>Vigun01g250900.1.v1.2 pep primary_assembly:ASM411807v1:1:41734489:41738012:1 gene:Vigun01g250900.v1.2 transcript:Vigun01g250900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLKRKTLHSLCRLFLLLLCLSCTAIADDGAFMSKLAKALSPTPSGWSGSSFCDWKNVRCTTNRVTSINIASQSLTGTLPPDLNSLSQLTSLSLQGNALAGALPSLANLSMLQTVFLGGNNFTSIPNGCFQGLTSLQSLSMADSVNLAPWTIPAELTQSTNLVKLDLGNTNLIGTLPDVFDSLLSLQELRLSYNNLTGGLPKTFAGSGIQYLWLNNQKDGFGFSGTIEVLASMTHLSQVWFQKNLFTGAIPDLSNCTTLFDLQLRDNQLTGVVPPSLISLSSLQNVSLDNNMLQGPFPSFGKGVKVTLEGVNSFCRKDSGPCDSRVTTLLDIAKDFGYPVQLARSWIGNDPCNDWSFVVCAAGKIVTVNLAKQNLTGTISPAFANLTDLRNLYLNDNHLGGSIPGGLTNLAQLEVLDVTNNNLSGDVPKFPTKVKFSTTGNPLLGHSGGGGGSGTTPSSGSGNAPSGSPNAGSGGSALSPAWIGGIVVIAVFFVAVVVFVFCKCHAKNRHGKFGRVNNPENGKGEVKIDMMSVTNSNGYGGVPSELQSQGSERSDIHVFEGGNATISIQVLRQVTDNFSEKNILGRGGFGVVYKGELHDGSQIAVKRMESVATGSKGLNEFQAEIAVLSKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFEWRENGCAPLTWKQRVAIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRRALDDTVPDERSHLVSWFRRVLINKENIPKAIDQTLDPDEETMESIYKVAELAGHCTAREPYQRPDMGHAVNVLVPLVEQWKPTSHEEEEGYGIDIHMSLPQALQRWQANEGTSTMFDMSISQTQSSIPAKPSGFADSFDSMDCR >Vigun02g152600.1.v1.2 pep primary_assembly:ASM411807v1:2:29922705:29926152:1 gene:Vigun02g152600.v1.2 transcript:Vigun02g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDSNLMENEGGCRGREGKGRRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPIKQVLLSMFTIHNETLNVWTHLVGFFIFLALTIYTAMKIPKVVDLNSLQHFPDILMKPDLQKLQSELLTCLPSIPDLHRLREEISSWHLKEYLYNCLPVRFSSSNHTDVCVLHSVKEDLANMIAPLMIRPITRWPFFAFLGGAMFCLLASSICHLLSCHSERMAYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITVLGIATILVSLLPVFQNPEFRTIRASLFFGMGLSGAAPILHKLYLFWGQPEVFHTTGYEILMGVLYGIGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYVHYRAGLVYLRWRDLQGC >Vigun02g152600.2.v1.2 pep primary_assembly:ASM411807v1:2:29922734:29926124:1 gene:Vigun02g152600.v1.2 transcript:Vigun02g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDSNLMENEGGCRGREGKGRRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPIKQVLLSMFTIHNETLNVWTHLVGFFIFLALTIYTAMKIPKVVDLNSLQHFPDILMKPDLQKLQSELLTCLPSIPDLHRLREEISSWHLKEYLYNCLPHSVKEDLANMIAPLMIRPITRWPFFAFLGGAMFCLLASSICHLLSCHSERMAYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITVLGIATILVSLLPVFQNPEFRTIRASLFFGMGLSGAAPILHKLYLFWGQPEVFHTTGYEILMGVLYGIGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYVHYRAGLVYLRWRDLQGC >Vigun08g166800.1.v1.2 pep primary_assembly:ASM411807v1:8:33844512:33846365:-1 gene:Vigun08g166800.v1.2 transcript:Vigun08g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSESALEVAEGLSKMSVVDDSFCVTSPTEITVRKKYCGVFLKQRYKALDVNGNLLFQVDGSSLDVRKKRIMRDAAGSPILTMREKINLKSLRHRWMVHKGRSSEEKDLIFGVQRSHPLDMKPRLDVFMATNINEAISSFQLVGSHIENSCKVYKGDTVIAEVIDVYPRNNFSNWTESFRVKINAGVDYAFIVALLVILTVNDYI >Vigun08g166800.3.v1.2 pep primary_assembly:ASM411807v1:8:33844478:33849312:-1 gene:Vigun08g166800.v1.2 transcript:Vigun08g166800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSESALEVAEGLSKMSVVDDSFCVTSPTEITVRKKYCGVFLKQRYKALDVNGNLLFQVDGSSLDVRKKRIMRDAAGSPILTMREKINLKSLRHRWMVHKGRSSEEKDLIFGVQRSHPLDMKPRLDVFMATNINEAISSFQLVGSHIENSCKVYKGDTVIAEVIDVYPRNNFSNWTESFRVKINAGVDYAFIVALLVILTVNDYI >Vigun08g166800.2.v1.2 pep primary_assembly:ASM411807v1:8:33844478:33849312:-1 gene:Vigun08g166800.v1.2 transcript:Vigun08g166800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSESALEVAEGLSKMSVVDDSFCVTSPTEITVRKKYCGVFLKQRYKALDVNGNLLFQVDGSSLDVRKKRIMRDAAGSPILTMREKINLKSLRHRWMVHKGRSSEEKDLIFGVQRSHPLDMKPRLDVFMATNINEAISSFQLVGSHIENSCKVYKGDTVIAEVIDVYPRNNFSNWTESFRVKINAGVDYAFIVALLVILTVNDYI >Vigun09g035500.10.v1.2 pep primary_assembly:ASM411807v1:9:3140145:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.6.v1.2 pep primary_assembly:ASM411807v1:9:3139499:3144131:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.8.v1.2 pep primary_assembly:ASM411807v1:9:3139499:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.13.v1.2 pep primary_assembly:ASM411807v1:9:3139499:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.4.v1.2 pep primary_assembly:ASM411807v1:9:3140638:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.12.v1.2 pep primary_assembly:ASM411807v1:9:3139499:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.9.v1.2 pep primary_assembly:ASM411807v1:9:3140145:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.3.v1.2 pep primary_assembly:ASM411807v1:9:3140638:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.7.v1.2 pep primary_assembly:ASM411807v1:9:3136593:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.14.v1.2 pep primary_assembly:ASM411807v1:9:3136593:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.1.v1.2 pep primary_assembly:ASM411807v1:9:3140416:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.11.v1.2 pep primary_assembly:ASM411807v1:9:3140638:3144200:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun09g035500.5.v1.2 pep primary_assembly:ASM411807v1:9:3139499:3144128:1 gene:Vigun09g035500.v1.2 transcript:Vigun09g035500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSTVAVPFALGNLIQKDPSVTTHMEITGLKLRANTAPVLILNPAIESENHSDICLQSQIKVSSEAKNNQVGADLVSEMVSQGDNNCFHAENQTQNQSLLAKDFKCEPISQSAAGDKSSPCREESSVSVANCCEISSPITIKVDDNTVHGRAGLTEPPGSAIEPLQNMVSVAGGHESEDGSGSDEPDKKTFSVVHEIAEKATCLDLSGAISTNPLWGCSSVCGRREEMEDAIAVRPHLFEVPSMMVMDDYVSENSNFSPAHFFGVYDGHGGCQVANYCREHLHSVLVDEIKAARWSLDEQNGRENWENQWKKAFSNCFHKVDDEVGGVGEGNGASVEPLASEAVGSTAVVALLTQTHIIVANCGDSRAVLCRGKEAMPLSDDHKPNREDEWERIEASGGRVIQWNGYRVLGVLAVSRSIGDRYLKPWVIPEPEVKCIQRDKNDECLILASDGLWDVMTNEEACDFARRRILLWHKKNGNNSSSQQGQGPDPAAQYAAEYLSRLALQRGTKDNISVIVIDLKPQRKLKKKE >Vigun01g228700.3.v1.2 pep primary_assembly:ASM411807v1:1:40130936:40135330:1 gene:Vigun01g228700.v1.2 transcript:Vigun01g228700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRNSSVSISIFVVVFTIFLFGVFIYNEDVKSMAEFTFLRPKPVQEPKPEREETVSKNSRTQLEKNQVEDSEENREPIDLKAAVAEEKMVEEYDEEKEDVVLPPEDCDLFTGEWVLDNVTHPLYKEDQCEFLTSQVTCMRNGRRDSLYQNWRWQPRDCSLPKFKPRLLLEKLRGKRLMFVGDSLNRNQWESMICLVQSAVPQGKKSLNKNGSLSIFAIEDFNATVEFYWAPFLVESNSDDPKMHSILNRIIMPESIEKHAVNWKNVDYLIFNTYIWWMNTATMKVLRGSFDEGSTEYDEVPRPIAYGRVLNTWSKWVEDNIDPNRTKVFFSSMSPLHIKSEAWNNPDGIKCAKETTPIVNISDALQIGTDRRLFVVANNVTQAMKVVPVKFLNITTLSEFRKDAHTSVYTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISQS >Vigun01g228700.2.v1.2 pep primary_assembly:ASM411807v1:1:40132097:40135346:1 gene:Vigun01g228700.v1.2 transcript:Vigun01g228700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRNSSVSISIFVVVFTIFLFGVFIYNEDVKSMAEFTFLRPKPVQEPKPEREETVSKNSRTQLEKNQVEDSEENREPIDLKAAVAEEKMVEEYDEEKEDVVLPPEDCDLFTGEWVLDNVTHPLYKEDQCEFLTSQVTCMRNGRRDSLYQNWRWQPRDCSLPKFKPRLLLEKLRGKRLMFVGDSLNRNQWESMICLVQSAVPQGKKSLNKNGSLSIFAIEDFNATVEFYWAPFLVESNSDDPKMHSILNRIIMPESIEKHAVNWKNVDYLIFNTYIWWMNTATMKVLRGSFDEGSTEYDEVPRPIAYGRVLNTWSKWVEDNIDPNRTKVFFSSMSPLHIKSEAWNNPDGIKCAKETTPIVNISDALQIGTDRRLFVVANNVTQAMKVVPVKFLNITTLSEFRKDAHTSVYTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISQS >Vigun01g228700.1.v1.2 pep primary_assembly:ASM411807v1:1:40132310:40135330:1 gene:Vigun01g228700.v1.2 transcript:Vigun01g228700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRRKNNPPNSETATTMKGKRNSSVSISIFVVVFTIFLFGVFIYNEDVKSMAEFTFLRPKPVQEPKPEREETVSKNSRTQLEKNQVEDSEENREPIDLKAAVAEEKMVEEYDEEKEDVVLPPEDCDLFTGEWVLDNVTHPLYKEDQCEFLTSQVTCMRNGRRDSLYQNWRWQPRDCSLPKFKPRLLLEKLRGKRLMFVGDSLNRNQWESMICLVQSAVPQGKKSLNKNGSLSIFAIEDFNATVEFYWAPFLVESNSDDPKMHSILNRIIMPESIEKHAVNWKNVDYLIFNTYIWWMNTATMKVLRGSFDEGSTEYDEVPRPIAYGRVLNTWSKWVEDNIDPNRTKVFFSSMSPLHIKSEAWNNPDGIKCAKETTPIVNISDALQIGTDRRLFVVANNVTQAMKVVPVKFLNITTLSEFRKDAHTSVYTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISQS >Vigun05g185600.2.v1.2 pep primary_assembly:ASM411807v1:5:35836386:35845856:1 gene:Vigun05g185600.v1.2 transcript:Vigun05g185600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSGCFFASTSFLPSSFSMYAISLASGLFLLDKPASAISVAVIGVILGWPFSILAFLPVTVYSLSRKFKVTFIAATITSVVLLALSIVTDFYYYGKWTSSVLNLLIYNVAGGGESHLYGIEGPLYYLRNGFNNFNICFVLALLFLGILPIAKKKYAPDLLIVISPIYIWLGFMSLQPHKEERFLYPIYPLICVAASAVIESFPDLFRSKYDTYQRSIIVTVAKVMRPVALSLILYASHARTFSLIHGYSAPLEVYKILEHHDAENNSVLCVGSEWHRYPSSFFIPDYVGQVRWIDDGFRGLLPFPFNSTLGGTAAAPPYFNNKNIASSEQFLLDVDACTFLVELQLNRPYLTRGSDLSTWEPIAALPYLDRELSPPLYRSFFIPYLWQEKNVFGTYKLFKRVTT >Vigun05g185600.1.v1.2 pep primary_assembly:ASM411807v1:5:35836386:35845856:1 gene:Vigun05g185600.v1.2 transcript:Vigun05g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAVRQRRSEASDPSPSQPYTKLDKPQQSEEKDGKGLGWFFPFLALGFLRYMSATSNIIHDCDEVFNYWEPLHYLLYKTGFQTWEYSSQYALRSYLYLLFHEIVGRPASWLFSEDKVRVFYAVRFFLGLLSVLTDTVLVVALSRKYGKRLATYALAMLCLTSGCFFASTSFLPSSFSMYAISLASGLFLLDKPASAISVAVIGVILGWPFSILAFLPVTVYSLSRKFKVTFIAATITSVVLLALSIVTDFYYYGKWTSSVLNLLIYNVAGGGESHLYGIEGPLYYLRNGFNNFNICFVLALLFLGILPIAKKKYAPDLLIVISPIYIWLGFMSLQPHKEERFLYPIYPLICVAASAVIESFPDLFRSKYDTYQRSIIVTVAKVMRPVALSLILYASHARTFSLIHGYSAPLEVYKILEHHDAENNSVLCVGSEWHRYPSSFFIPDYVGQVRWIDDGFRGLLPFPFNSTLGGTAAAPPYFNNKNIASSEQFLLDVDACTFLVELQLNRPYLTRGSDLSTWEPIAALPYLDRELSPPLYRSFFIPYLWQEKNVFGTYKLFKRVTT >Vigun09g175300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34689059:34691090:1 gene:Vigun09g175300.v1.2 transcript:Vigun09g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAREGKALPQAPPSADLLVCFPSRAHLTLMPKPICSPARPSEPSKRHHNSHHHRKKSFSRGGAGGQASPLLWSKTKSMGSEITEPTSPKVTCAGQIKVRPKTTCRSWQSVMEEIEKIHTDKKQRKRLSWAETLGFKKDIMQFLTCLRSIRFDFRCFGSFSGTDIATEEEEDDEEEEEEVEEEEEKHVGVGENESEASRTVFSKWFMVLQENQNKRREETEERRNDEESVSVSVSVPPPNALLLMRCRSAPAKSWVREREGGDVEEEEREKGKQKGEVVVKKGQSLKSLMEEERRKKEKMVVMRYDSDFYGISSDIAKETWIVGGLRDLMSRSRSSKR >Vigun10g098400.1.v1.2 pep primary_assembly:ASM411807v1:10:28836873:28840006:-1 gene:Vigun10g098400.v1.2 transcript:Vigun10g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSLTLPSSRMSILLKDSPSGAPQQHNKIIWRRNEKRAFDGTHSSVDSSSLVQNNSRKRMFPQSDGVFHDTKVDVSMPKQTSLCVLMLHYTENGLFPQAQTTWEQLLYSSFVPSVEFISRLFDAYAKHGKFDEVVNILRYVDMRKFSILPNVYSLAISCFGREGQLELMEDMAKEMASRGVHVSSKTANAFVLYYSIFGSLKDMEHAYGRLKRSRFMIEREVIRAMASAYTRDRQFYELGEFLRDVGLGRKDVGNLIWNLLLLSYAANFKMKSLQKEFLQMVESGFRPDITTFNIRALAFSRMALFWDLHLSIEHMEHERVIPDLVTFGCVVDAYLDRGLGRNLDFVLNKMNLDHSPMLLTDPFVYEALGKGDFQMSSEAFLEFKTQQRKWTYRALIQKYLKKHYRRDQIFWNY >Vigun10g098400.3.v1.2 pep primary_assembly:ASM411807v1:10:28836340:28840006:-1 gene:Vigun10g098400.v1.2 transcript:Vigun10g098400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSLTLPSSRMSILLKDSPSGAPQQHNKIIWRRNEKRAFDGTHSSVDSSSLVQNNSRKRMFPQSDGVFHDTKVDVSMPKQTSLCVLMLHYTENGLFPQAQTTWEQLLYSSFVPSVEFISRLFDAYAKHGKFDEVVNILRYVDMRKFSILPNVYSLAISCFGREGQLELMEDMAKEMASRGVHVSSKTANAFVLYYSIFGSLKDMEHAYGRLKRSRFMIEREVIRAMASAYTRDRQFYELGEFLRDVGLGRKDVGNLIWNLLLLSYAANFKMKSLQKEFLQMVESGFRPDITTFNIRALAFSRMALFWDLHLSIEHMEHERVIPDLVTFGCVVDAYLDRGLGRNLDFVLNKMNLDHSPMLLTDPFVYEALGKGDFQMSSEAFLEFKTQQRKWTYRALIQKYLKKHYRRDQIFWNY >Vigun10g098400.5.v1.2 pep primary_assembly:ASM411807v1:10:28836340:28840083:-1 gene:Vigun10g098400.v1.2 transcript:Vigun10g098400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSLTLPSSRMSILLKDSPSGAPQQHNKIIWRRNEKRAFDGTHSSVDSSSLVQNNSRKRMFPQSDGVFHDTKVDVSMPKQTSLCVLMLHYTENGLFPQAQTTWEQLLYSSFVPSVEFISRLFDAYAKHGKFDEVVNILRYVDMRKFSILPNVYSLAISCFGREGQLELMEDMAKEMASRGVHVSSKTANAFVLYYSIFGSLKDMEHAYGRLKRSRFMIEREVIRAMASAYTRDRQFYELGEFLRDVGLGRKDVGNLIWNLLLLSYAANFKMKSLQKEFLQMVESGFRPDITTFNIRALAFSRMALFWDLHLSIEHMEHERVIPDLVTFGCVVDAYLDRGLGRNLDFVLNKMNLDHSPMLLTDPFVYEALGKGDFQMSSEAFLEFKTQQRKWTYRALIQKYLKKHYRRDQIFWNY >Vigun10g098400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28836339:28840006:-1 gene:Vigun10g098400.v1.2 transcript:Vigun10g098400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEQIIWRRNEKRAFDGTHSSVDSSSLVQNNSRKRMFPQSDGVFHDTKVDVSMPKQTSLCVLMLHYTENGLFPQAQTTWEQLLYSSFVPSVEFISRLFDAYAKHGKFDEVVNILRYVDMRKFSILPNVYSLAISCFGREGQLELMEDMAKEMASRGVHVSSKTANAFVLYYSIFGSLKDMEHAYGRLKRSRFMIEREVIRAMASAYTRDRQFYELGEFLRDVGLGRKDVGNLIWNLLLLSYAANFKMKSLQKEFLQMVESGFRPDITTFNIRALAFSRMALFWDLHLSIEHMEHERVIPDLVTFGCVVDAYLDRGLGRNLDFVLNKMNLDHSPMLLTDPFVYEALGKGDFQMSSEAFLEFKTQQRKWTYRALIQKYLKKHYRRDQIFWNY >Vigun10g098400.4.v1.2 pep primary_assembly:ASM411807v1:10:28836873:28840006:-1 gene:Vigun10g098400.v1.2 transcript:Vigun10g098400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSLTLPSSRMSILLKDSPSGAPQQHNKIIWRRNEKRAFDGTHSSVDSSSLVQNNSRKRMFPQSDGVFHDTKVDVSMPKQTSLCVLMLHYTENGLFPQAQTTWEQLLYSSFVPSVEFISRLFDAYAKHGKFDEVVNILRYVDMRKFSILPNVYSLAISCFGREGQLELMEDMAKEMASRGVHVSSKTANAFVLYYSIFGSLKDMEHAYGRLKRSRFMIEREVIRAMASAYTRDRQFYELGEFLRDVGLGRKDVGNLIWNLLLLSYAANFKMKSLQKEFLQMVESGFRPDITTFNIRALAFSRMALFWDLHLSIEHMEHERVIPDLVTFGCVVDAYLDRGLGRNLDFVLNKMNLDHSPMLLTDPFVYEALGKGDFQMSSEAFLEFKTQQRKWTYRALIQKYLKKHYRRDQIFWNY >Vigun03g419400.1.v1.2 pep primary_assembly:ASM411807v1:3:62560302:62563021:-1 gene:Vigun03g419400.v1.2 transcript:Vigun03g419400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPSFVNGLARTVSIKKEKNCKREEDGRTAAEDLAKEARKSELLLTSSGVVKSTKANNFASVFTNRGRKGVNQDRLLVWEEFGCQQDIMFCGVFDGHGPWGHFVAKRVRKLVPAILLCNWQENLAATSLDLDFKMEEDRHIHGLDVWKQSYVKTYAAVDQDLKQQTGFDSFLSGTTALTIIKQGEYLITANIGDSRAVLATTSEDGTLTPHQLTTDFKPNLPQEAERITQCRGQVFSLEDEPGVYRVWMPNGKPPGLAISRALGDHCMKDFGLISVPDVTQTKITSRDQFVILATDGVWDVISNQEAVEIVSATPHKEKAAQKLVKCAIREWKRKKSGIAMDDMSAICLFFHSFSSHQLPPTKTVD >Vigun04g035200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2925977:2927299:-1 gene:Vigun04g035200.v1.2 transcript:Vigun04g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRRRKGNSKHKKGGKPMMELVVPNSFRCPISLDMMKDPVTLSTGITYDRENVERWFEEGNITCPVTNQVVRNFDMIPNHSLRIMIQDWCVENRQHGVERIPTPRIPISPIEVAELLMQVKNSARRLDQYGCVELVQKMRKWGGESERNKRCIVENGAPVALASSFDAFANDSVERNVVVLEEILSALNWMFPLQLEAHKCLGSLASLRCMVWFLKHQDLSGKEKSIVALSELLSFGDVQHVEALSQIEGVNELLVEFINKKISPTITKASLRVVWYLVSSSSSSSNSSEKMKFSFVELGLVSSLLEILIDSDKSRYEKALAILDSLFTSEEGRNIACGNDLTVPLLVKKILRVSPLSTDYSVSALWKLCKFGEKDEGRSIVEALQVGAFQKLLLVLQVGCGEETKEKATELLKLMNPYRAELECIDSDYKNVKRSF >Vigun02g155000.1.v1.2 pep primary_assembly:ASM411807v1:2:30153385:30155599:-1 gene:Vigun02g155000.v1.2 transcript:Vigun02g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIASTNYLPELEMEYPTFVDQYQMDSFACSLDDFDFESFSGSPESTPNCFPAQSPDHSFTPPRPTKRLNNTFSTFKTCSTDSISHSVSASPSSQLISFGHFNPSPRASQQFRNFHVKPKSENPSSENMDFTDFVSQGSYQDKSFFISDNRTNQVGITARNPIQAQEHVIAERKRREKLSQRFIALSAVLPGLKKMDKASVLGDAIKYVKQLRERVQTLEEKTAKITTGSSVLVKRSILFADAENSDSHCDNSLPEIEVRVSGKDVLIRTQSDKHSGRAAVILSELEKLHFIVQSSSLLPFGNNNIDVTIIAQMKENYMAAKDLLGRLRKALKQVDGA >Vigun09g209800.1.v1.2 pep primary_assembly:ASM411807v1:9:38417120:38421682:-1 gene:Vigun09g209800.v1.2 transcript:Vigun09g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVNKHVVRCSIGTVSGESKTHFPAKSQRSSFSAVKFRPDDVSSDSAVSSSSRREVICIVTWPCLLPLIGCLPANALQAGAKEYLLIKEEVRKVLSKGKAAGVLRLVFHDAGTFDVNDNSGGMNGSIVYELERPENAGLKKSVKVLQKAKTQIDAIQPVSWADMIAVAGAEAVEVCGGPPIQVSLGRLDALVPDPEGRLPEESLNASGLKKCFQSKGLSTQELVALSGAHTIGSKGFGSPNSFDNSYYKVLLEKPWTSSGGMSSMIGLPSDHALVEDDECLRWIKKYADSENLFFEDFKNAYVKLVNSGVRWKNM >Vigun09g209800.2.v1.2 pep primary_assembly:ASM411807v1:9:38417120:38421682:-1 gene:Vigun09g209800.v1.2 transcript:Vigun09g209800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVNKHVVRCSIGTVSGESKTHFPAKSQRSSFSAVKFRPDDVSSDSAVSSSSRREVICIVTWPCLLPLIGCLPANALQAGAKEYLLIKEEVRKVLSKGKAAGVLRLVFHDAGTFDVNDNSGGMNGSIVYELERPENAGLKKSVKACSVSLFFCSLLSPLFLLLFEEFHMIVLQKAKTQIDAIQPVSWADMIAVAGAEAVEVCGGPPIQVSLGRLDALVPDPEGRLPEESLNASGLKKCFQSKGLSTQELVALSGAHTIGSKGFGSPNSFDNSYYKVLLEKPWTSSGGMSSMIGLPSDHALVEDDECLRWIKKYADSENLFFEDFKNAYVKLVNSGVRWKNM >Vigun05g067100.1.v1.2 pep primary_assembly:ASM411807v1:5:5790073:5795578:1 gene:Vigun05g067100.v1.2 transcript:Vigun05g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQRLVGTSEDDEEMGMDVKDEDDDEDDYEENGGEQGNASGMVGIDGGNEMGTATGDNRFPQHQQFHEQVGTPGGGTRRSRPVEEKERTKLRERRRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGQKPAGGNSTIHTSSSSHVASQQTPSSSLRGVASSYRSPLEYSACQTKGVFMPTPSPYDLSSTSRSQTSIVGDGEAQRDNHPLIGGSIDNADEKQIADLPARLPERDLAGTPYVPVYVMLPLGVINIKCELVDPDGLLKQLRVLKSVHVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVREVKLKLQVVMSFHECGGNFGDDVCIPLPHWVAEIGRSNPDIFFTDREGRHNPECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFDEYFEDGLISMIEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEARGHAIWARGPDNAGTYNSQPHETGFFCDGGDYDGFYGRFFLSWYSQVLVDHGNRVLSLAKLAFEGSCVTAKLSGIYWWYKTASHAAELTAGYYNPCNRDGYAAIMTMLKINGVSLNIPCVELPTLNQHEGFPETFADPEGLVWQVLNAGWDVDLPVTSQNGFPCLNRVSYNKVLDHAKPMNDPDGRHFSSFTYLRLSPRLMERQNFIEFERFVKRMHGEAVLDLQV >Vigun03g017700.1.v1.2 pep primary_assembly:ASM411807v1:3:1253466:1255208:-1 gene:Vigun03g017700.v1.2 transcript:Vigun03g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMKDAGALGAKTARACDSCISRRARWFCAADDAFLCHGCDTLVHSANQLASRHERVRLQTASSKVTTATTTTTHAWHSGFTRKARTPRHNNNKHFALQQRLKEEVLFNNSSVLPLVPELGGEEQEPVVADNEETEEQMLCRVPVFDNYDVRTDDLDSFSDMDFAEFAADVENLLDKEDDEVSARVGGGVEGAMAKVKDEEDVDGDVACYLESVFDMTNDDVFHWNSIESVLSDAREEKECVVASDGAVGEEGGTKRDIFLRLNYDEVITAWSSQGSSPWTTSNPPKFNSGYDFCLGLSGVDGEIRGLRGDLDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTCFVGANAFPAYQ >Vigun09g027900.5.v1.2 pep primary_assembly:ASM411807v1:9:2303218:2308287:-1 gene:Vigun09g027900.v1.2 transcript:Vigun09g027900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDIRSAQVVWGKISMVNAERRLLANALQDPDNQQFVLLSDSCVPLYTFDYIFDYLMYTNVSFVDCFTDPGPHGNGRYSKRMLPEIEMKNFRKGAQWFSMKRQHAVIVMADNLYYSKFKAYCQPGFEGKNCIADEHYLPTFFQIVDPGGIANWSTTHVDWSERKWHPKSYRVRDVSYELLKNITSIDVSVHVSSDEKRQVQSWPCLWNGIQKPCYLFARKFNPGTLSTLLQLFSNYSAP >Vigun09g027900.2.v1.2 pep primary_assembly:ASM411807v1:9:2303218:2308037:-1 gene:Vigun09g027900.v1.2 transcript:Vigun09g027900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKDLRLGMGDVQILPGSRHRPPMKKPMWIIVLVLFVCVFLVCAYIYPPKSSSACYIFSSGNCRSITDWLPPVPAREYTDEEIASRAVIRDILKTPVVLSKNPKIAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDIRSAQVVWGKISMVNAERRLLANALQDPDNQQFVLLSDSCVPLYTFDYIFDYLMYTNVSFVDCFTDPGPHGNGRYSKRMLPEIEMKNFRKGAQWFSMKRQHAVIVMADNLYYSKFKAYCQPGFEGKNCIADEHYLPTFFQIVDPGGIANWSTTHVDWSERKWHPKSYRVRDVSYELLKNITSIDVSVHVSSDEKRQVQSWPCLWNGIQKPCYLFARKFNPGTLSTLLQLFSNYSAP >Vigun09g027900.3.v1.2 pep primary_assembly:ASM411807v1:9:2303329:2308466:-1 gene:Vigun09g027900.v1.2 transcript:Vigun09g027900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKDLRLGMGDVQILPGSRHRPPMKKPMWIIVLVLFVCVFLVCAYIYPPKSSSACYIFSSGNCRSITDWLPPVPAREYTDEEIASRAVIRDILKTPVVLSKNPKIAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDIRSAQVVWGKISMVNAERRLLANALQDPDNQQFVLLSDSCVPLYTFDYIFDYLMYTNVSFVDCFTDPGPHGNGRYSKRMLPEIEMKNFRKGAQWFSMKRQHAVIVMADNLYYSKFKAYCQPGFEGKNCIADEHYLPTFFQIVDPGGIANWSTTHVDWSERKWHPKSYRVRDVSYELLKNITSIDVSVHVSSDEKRQVQSWPCLWNGIQKPCYLFARKFNPGTLSTLLQLFSNYSAP >Vigun09g027900.4.v1.2 pep primary_assembly:ASM411807v1:9:2303218:2309300:-1 gene:Vigun09g027900.v1.2 transcript:Vigun09g027900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDIRSAQVVWGKISMVNAERRLLANALQDPDNQQFVLLSDSCVPLYTFDYIFDYLMYTNVSFVDCFTDPGPHGNGRYSKRMLPEIEMKNFRKGAQWFSMKRQHAVIVMADNLYYSKFKAYCQPGFEGKNCIADEHYLPTFFQIVDPGGIANWSTTHVDWSERKWHPKSYRVRDVSYELLKNITSIDVSVHVSSDEKRQVQSWPCLWNGIQKPCYLFARKFNPGTLSTLLQLFSNYSAP >Vigun09g027900.1.v1.2 pep primary_assembly:ASM411807v1:9:2303170:2309524:-1 gene:Vigun09g027900.v1.2 transcript:Vigun09g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKDLRLGMGDVQILPGSRHRPPMKKPMWIIVLVLFVCVFLVCAYIYPPKSSSACYIFSSGNCRSITDWLPPVPAREYTDEEIASRAVIRDILKTPVVLSKNPKIAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDIRSAQVVWGKISMVNAERRLLANALQDPDNQQFVLLSDSCVPLYTFDYIFDYLMYTNVSFVDCFTDPGPHGNGRYSKRMLPEIEMKNFRKGAQWFSMKRQHAVIVMADNLYYSKFKAYCQPGFEGKNCIADEHYLPTFFQIVDPGGIANWSTTHVDWSERKWHPKSYRVRDVSYELLKNITSIDVSVHVSSDEKRQVQSWPCLWNGIQKPCYLFARKFNPGTLSTLLQLFSNYSAP >Vigun03g347600.1.v1.2 pep primary_assembly:ASM411807v1:3:54762940:54765568:1 gene:Vigun03g347600.v1.2 transcript:Vigun03g347600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMVTLSRTLYRSLLRNPNAYHHHLPLHFSTDLVSDLDEADSPPDSPSPDTAQGEERIAADRPLENGLDIGIYRAILVGKAGQVPFQKTLKSGTVVTLLSIGTGGIRNNRRPLENENPRDYANRSAIQWHRVSIYPQRLGTLVTKHIVPGSMLYVEGNLETKVFTDPITGLARRIREVAVRRNGRIVFLGEGGGDVPQDTQQNNLKAVGYY >Vigun11g040000.1.v1.2 pep primary_assembly:ASM411807v1:11:5682382:5684777:1 gene:Vigun11g040000.v1.2 transcript:Vigun11g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFLVLPYLKHPIRHKLLKVLAFVCLWLWWSTCIHVEAANDSLKPGDTLNNTDTAILRSKKGKYSLGFGSPTGDDNCYLFVFNVNKNVQVWVSDGNQHVHKDSAVLSLNRSGVLKIESQRGNPIILYSPPQPINNTKATLLDTGNFVLQQLQPNGTKTLLWQTFDYPTETLLPTQKLGVNHKTGHHWLLVSWFTETLANPGAFSLEWEPVEQELIIKRRGKVCWRSGKLMNNRFEYISEDAQSRLKYTIVSNGDENSFSFTASNEEPDLMCSLSEIGQLYDDKGNVARADLCYGYNYTDGGCQRWQDIPKCSNPGDVFQKNIGSPSYKNVSVERNTSYGHSDCEASCWSSCACSGFKELYPNGTGCIFFHWNSADNYTSDSSSETFYLLVNMLTHHKGTKEWRWTGAVAATALLAICLFTICLVLKKRKYVFQAKKSQSIVMKMVHLATCSRSSAMEDFEDDLKKGHGLTVFNYTSVMEATNGFSSENKLGQGGFGPLYRPLETSEVMH >Vigun11g040000.2.v1.2 pep primary_assembly:ASM411807v1:11:5682403:5684777:1 gene:Vigun11g040000.v1.2 transcript:Vigun11g040000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFLVLPYLKHPIRHKLLKVLAFVCLWLWWSTCIHVEAANDSLKPGDTLNNTDTAILRSKKGKYSLGFGSPTGDDNCYLFVFNVNKNVQVWVSDGNQHVHKDSAVLSLNRSGVLKIESQRGNPIILYSPPQPINNTKATLLDTGNFVLQQLQPNGTKTLLWQTFDYPTETLLPTQKLGVNHKTGHHWLLVSWFTETLANPGAFSLEWEPVEQELIIKRRGKVCWRSGKLMNNRFEYISEDAQSRLKYTIVSNGDENSFSFTASNEEPDLMCSLSEIGQLYDDKGNVARADLCYGYNYTDGGCQRWQDIPKCSNPGDVFQKNIGSPSYKNVSVERNTSYGHSDCEASCWSSCACSGFKELYPNGTGCIFFHWNSADNYTSDSSSETFYLLVNMLTHHKGTKEWRWTGAVAATALLAICLFTICLVLKKRKYVFQAKKSQSIVMKMVHLATCSRSSAMEDFEDDLKKGHGLTVFNYTSVMEATNGFSSENKLGQGGFGPLYREFFQQGKRLP >Vigun03g063100.1.v1.2 pep primary_assembly:ASM411807v1:3:5179320:5182167:-1 gene:Vigun03g063100.v1.2 transcript:Vigun03g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACSRAPISHLPLRNPKTRIYNSESRVLQLPNRRLFLFSLPLSSFVLLPVPSFGDGNGAIDKFQHGSSSSYAATMYDPLSAAEREASASVSQRVSQAVDLLEKGRELQAQGDFTAALGYFSQVVESYKDLAFSEYARVGRALALYEVGDREEAIAEMEDVSISLKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHFTDLSYVRDTKHWPPSLISSLQHFITLS >Vigun06g077100.1.v1.2 pep primary_assembly:ASM411807v1:6:20801544:20805230:-1 gene:Vigun06g077100.v1.2 transcript:Vigun06g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRNLSTKFSTMMKASSSSSSITRTQTPKNTYPFSFTFLSHFSTNQVEEKPSVKPVVEYSGLEPTRDHEKPRVVVLGSGWAGCRLLKGLDTSVYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISREPGSYFFLANCTHIDANNHMVHCETVTEGVETIAPWKFTISYDKLVIALGSQPSTFGIQGVKEHAFFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKQRLLHCVVVGGGPTGVEFSGELSDFITRDVRQRYVHVKDYIRVTLIEANEILSSFDDRLRRYATKQLTKSGVRLVRGIVKDVKAHKIVLSDGSEVPYGLLVWSTGVGPLPIIQSLDLPKAPGGRIGVDEWLRVPSVHDVFSIGDCSGFVESTGRQTLPALAQVAERQGKYLSALLNKIGKAGAGHANSAEEIEFGDPFVYKHLGSMATIGRYKALVDLRQGKEAKGLALAGVLSFFIWRSAYITRVVSWRNRFYVFVNWITTVVFGRDISRL >VigunL053801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000031.1:37422:42053:-1 gene:VigunL053801.v1.2 transcript:VigunL053801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRQGTAEEKTAKSDPIYRNRLVNMLVNRILKHEKKSLAYQILYRAMKKIQQKTFTSRRVGGSTHQVPVEIGSAQRKELAIRWLLGASRKRAGRNMAFKLSYELVDAAKGSGDAIRNKEETHRMAEANRNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLVIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSRNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFFCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHEIELQEIVNGLINTQMYNSPGIFIVLLFITVGIGFKLSPAPSHQWTPDIYEGVRFMLGFFKTPWTCRREMLFPLRPRHNFTSILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRIKTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGLYFLVSIGLLTSVVSIYYYLKIIKSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun04g115500.3.v1.2 pep primary_assembly:ASM411807v1:4:28911237:28916761:-1 gene:Vigun04g115500.v1.2 transcript:Vigun04g115500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDFYNSAALCWVKSNTNLKQQVGLSPKPICSFEGNRRKFVAMASTIPVEQVNNGPLQVTGDSFIREHLRKLSPYQPILPFEVLSARLGRKPEDIVKLDANENPYGPPPEVMEALGSIKFPYVYPDPESRRLRAALAQDSGLEAEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRKPDFSLNVEQIAEVVKQEKPKCIFLTSPNNPDGSIIDDDVLLKILDLPILVILDEAYIEFSAIESRMSWVKKHENLIVLRTFSKRAEWWDQDDLSGKLHGQDDFLG >Vigun04g115500.2.v1.2 pep primary_assembly:ASM411807v1:4:28908527:28916575:-1 gene:Vigun04g115500.v1.2 transcript:Vigun04g115500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDFYNSAALCWVKSNTNLKQQVGLSPKPICSFEGNRRKFVAMASTIPVEQVNNGPLQVTGDSFIREHLRKLSPYQPILPFEVLSARLGRKPEDIVKLDANENPYGPPPEVMEALGSIKFPYVYPDPESRRLRAALAQDSGLEAEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRKPDFSLNVEQIAEVVKQEKPKCIFLTSPNNPDGSIIDDDVLLKILDLPILVILDEAYIEFSAIESRMSWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEFLWRAKQPYNVSVAAEISACAALQNPTYLENVKNALLKERGRLYDLLKEVPFLRPFPSHSNFILCEVTSGKDAKKLKEDLAQMGVMIRHYDKKELKGYVRVTVGKPEQTDALMKCLKKLS >Vigun04g115500.1.v1.2 pep primary_assembly:ASM411807v1:4:28908527:28916761:-1 gene:Vigun04g115500.v1.2 transcript:Vigun04g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDFYNSAALCWVKSNTNLKQQVGLSPKPICSFEGNRRKFVAMASTIPVEQVNNGPLQVTGDSFIREHLRKLSPYQPILPFEVLSARLGRKPEDIVKLDANENPYGPPPEVMEALGSIKFPYVYPDPESRRLRAALAQDSGLEAEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRKPDFSLNVEQIAEVVKQEKPKCIFLTSPNNPDGSIIDDDVLLKILDLPILVILDEAYIEFSAIESRMSWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEFLWRAKQPYNVSVAAEISACAALQNPTYLENVKNALLKERGRLYDLLKEVPFLRPFPSHSNFILCEVTSGKDAKKLKEDLAQMGVMIRHYDKKELKGYVRVTVGKPEQTDALMKCLKKLS >VigunL009701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:120573:120740:1 gene:VigunL009701.v1.2 transcript:VigunL009701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHHGIARWLGGEICEKMKFVVFEM >Vigun10g078400.1.v1.2 pep primary_assembly:ASM411807v1:10:21363488:21363974:1 gene:Vigun10g078400.v1.2 transcript:Vigun10g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFFFSHILAFILIISVISRTSEAGAQRCQKVLQPTNCTLQQCGSDCFNMFKNSQPFGQCIRDNINNTYACVCVYNCNATPHLV >Vigun03g430700.1.v1.2 pep primary_assembly:ASM411807v1:3:63507174:63508301:1 gene:Vigun03g430700.v1.2 transcript:Vigun03g430700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKSVASILLALNLVLYFIVLVIASWAVNHGIQRSGETASVLSLPARIFPIYFPMGNMTTGFFVILSLIAGVVGFTTSLTGLNNIFQWDAPNLHAAAMSSLTTWALTLLAMGFACKEIELGWTDSNLRTLETITIIVSATQLLCTGVIHVGISEVVPPRIGRV >Vigun07g260000.1.v1.2 pep primary_assembly:ASM411807v1:7:37670001:37674484:-1 gene:Vigun07g260000.v1.2 transcript:Vigun07g260000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPADGNLGPLPVSQVSEERTFNEEQNKSNSASVPVPATVATHTRTIGIIHPPPDIRTIVDKTSQFVAKNGPEFEKRIIANNTGNVKFNFLNSSDPYHAYYQHRLAEFRAQNQSSGQQTPSQPTDSAVPESAPTAPIPDSNGIAAVEKLDVSAQFKPVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREVNNPQFHFLKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVSDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFVDEEDEELPPPMTIEVVIRRSKVSATEDDTVEPEKEVEMEMDEEEAQLVEEGMRAASLEDPDDGKQNEVRVTEDPEPPMRIVKNWKRPEERIPAERDSAKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAADDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKINDEQPKQVIWDGHTGSIGRTANQAMSQNIGNEDQNDTSNNEAKNLLGPAAPPPRPGMPSVRPLPPPPGLALNLPRGPVQYSVPHSGALPIPPPRPPVIPMMPSVRPAPPPPMQMTSGQQSIMAGQPPPMPPMHLNNQGFQIPPPPGSQFTPVPVPRPYVPLPVPASVMPMMHPPPLPQGVPPPPPPEEAPPPLPEEPEPKRQKLDDSALIPEDQFLAQHPGPVRILVSVPNVDEGNLKGQVLEITVQSLSETVGSLKEKIAGEIQLPANKQKLSGKPGFLKDNISLAHYNLGGGETLALTLRERGGRKR >Vigun09g070600.1.v1.2 pep primary_assembly:ASM411807v1:9:7695955:7702153:1 gene:Vigun09g070600.v1.2 transcript:Vigun09g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVLRREGRRLTQPINALHSSLISQDQAPHGSRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRAENSRGLWQPFTALLGDNPSTDVKKNVVVTVSSDKGLCGGINSTSVKISRVLHKLNSGPDKETKYVILGEKAKAQLVRDSKKDIELSLTELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFHSVVQFLPTVSTVLSPEVIEREAESGGKLGELDSYEIEGGETKSEILQNLAEFQFSCVMYNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >Vigun03g068300.1.v1.2 pep primary_assembly:ASM411807v1:3:5633058:5635702:1 gene:Vigun03g068300.v1.2 transcript:Vigun03g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKKSNVKPKSSAPDGSSKGKKDSSAVKKRVEYASTKSQVKSKSTSSSSETTTKTTTKVREKKVYILLGQKHDPPEQKEPLRVFYESLSKQIPTSEMAEFWLMEHGMLSPESAKRAYEKKQRKQKELRAAAPVKPSKPGTKTETSQKQQKASKKDDIKAKKTIVESDDDDDEEEDEEEDNDNDNDNDDNEFISSHKRRKG >VigunL069800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:90120:91376:-1 gene:VigunL069800.v1.2 transcript:VigunL069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDSFLCLGSWPSAGSFVFNTDILATNPINLSVVLGLLVFFGKGVLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVEIEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRTVSANIGMFGMMK >Vigun10g031466.1.v1.2 pep primary_assembly:ASM411807v1:10:4117321:4118062:1 gene:Vigun10g031466.v1.2 transcript:Vigun10g031466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGTCFTAVFSAIRVIKDSICTISSSNMSILKQPERMKVLKDFNLYSSFGRLLRLVQPFKFISSILRRSPMDGCILHKLGQSFRMSRSRLGSLEKSGVSLKYLE >Vigun06g005300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:2835970:2838002:-1 gene:Vigun06g005300.v1.2 transcript:Vigun06g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFALANVLLFLLLNITNFLNVIACPYCPYPSPKPPKHPPIVKPPKPCPPPHSSPKPPHVNPPHVPKPPHYPKPPYVPKPHPRPPLHPHPPHVPLPPYVPKPPVVTPPYIPKPPVVPVTPPYVPKPPVVTPPYIPKPPVVPVIPPYIPKPPVVPVTPPYIPPYVPKPPVVPVTPPYVPKPPIVYPPVVPVTPPSPPSETPCPPPPPAQPTCPIDSLKLGACVDVLGGLIHIGIGSSAKQTCCPLLAGLVDLDAAVCLCTTIRAKVLNINIIIPIALQLLIDCGKTPPDGFKCADS >Vigun06g213200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32507949:32508716:-1 gene:Vigun06g213200.v1.2 transcript:Vigun06g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIKVWFCVLSVLGLAIVGDVANAQDSAADYLNAQNTARSEVGVENIVWNDTVAAFAKNYANERKDCQLIHSGGGGIYGENIAMSSGEMSVAEAVKLWVDEKPFYDYTSNSCIGGECLHYTQVVWRKSVYLGCAKVSCNNGGTFVTCNYSPPGNYVGERPY >Vigun11g105900.1.v1.2 pep primary_assembly:ASM411807v1:11:30441648:30443013:1 gene:Vigun11g105900.v1.2 transcript:Vigun11g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVANSRDARRRRRILDQGSDRLAFITGRIQTLPPTLPDSAASSTQFPHPNTTLSASVHHSDSISHNPQPYSDDHAPNTAIQTPPENQPERDILTVPTSEIQPEQVQLQPPAPSPSPSPSPSPSPSPSPSPPYTVEPKRFVTPSDITCAIDSSRVTRLCCSVLVALLVVLSFLGTNLFSTVISVRPLYVVLVTNVTAVIARLFFGVQRDSGRTRRSGSSSDDGGDEYSQLARMLELCLVAKTVADAVFMDCAVYAIVLICGLSVIKA >Vigun01g063033.1.v1.2 pep primary_assembly:ASM411807v1:1:14582761:14585141:1 gene:Vigun01g063033.v1.2 transcript:Vigun01g063033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIRIRNEIVLEWTIVDDDFNVHQIIYNMNIHNPMITQGWKDLRSFYSNFFFFFFDEGLTPPKDGGTCLRRLSMFRKEVH >Vigun09g094500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13824617:13826649:-1 gene:Vigun09g094500.v1.2 transcript:Vigun09g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSATASSKLILFPHASSSSSSSPTSLNSTPFRSTTTTTTHKPTTLSSSFLQPSTILRRTPSTTTHRRQFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAALGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIVSGSALLALEALMENPAIKRGDNEWVDKIYQLMDEVDNYIPIPQRQTELPFLLAVEDVFTITGRGTVATGRVERGTIKVGDTVDLVGLRETRNTTVTGVEMFQKILDEALAGDNVGLLLRGIQKMDIQRGMVLAKPGTITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTGIMNDKDEESKMVMPGDRVKMVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >Vigun03g141100.1.v1.2 pep primary_assembly:ASM411807v1:3:14140492:14142054:1 gene:Vigun03g141100.v1.2 transcript:Vigun03g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTLATAITLLFSFEPPSLDTREKFVQCLYNYPHISDSISNAVYTQTNSSYSSILDMSIRNSRFFNLSEKPQVIVTPLDVSHIQATIMCSQRHGLQIRTRSGGHDYEGLSYVAEVPFVVLDLINLRQIKVDVENRTAWVQAGATLGELYYTISQKSKTLGFPGGGGYGFLMRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVKKANSSEHGKQTIQANFVSMFQGGVEELIPLMQKSLPELGLDRKDCTETSWIGSVIFANAVLVGSSVNEAPEVLLNRTRFRPGINKAKSDYVRKPIPVEGLQGLWRLLYEVPDGELQFAPYGGRMDEISESELPFPHRFRYIFHIHYVVVWEEEGEEAAQKYMNWIRRFYTSYDQASIWGVKYFGNNFRRLAIVKTRIDPENFFRNEQSIPTLSADEEN >Vigun04g054000.1.v1.2 pep primary_assembly:ASM411807v1:4:5089854:5092764:-1 gene:Vigun04g054000.v1.2 transcript:Vigun04g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPTKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNDALLSSDGQSKTAANLSHMAQWESARLEAEARLVRESKLRSHSLQQQIGTSSTFASSSSASNKAEAPPPPPSRSSLDVLKAWNNGGWLKSSEGSGAIASTDLESPTSTLSFSENAPPIMNGIGGENNNNSNNNNNSNNDNAMPMIEFVGSSGNSSSLVKEEGEQEWKGYDSSITTFSSGMHEFTMAMEGTWVHETLRTNGSHDDIVEEGFTNLLLKTNSEDPSLSSEGGGESNNGDGGSNSHSDFYEDNNNYWNSILNLVNSSPSHSPMF >Vigun03g225000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37406281:37407501:1 gene:Vigun03g225000.v1.2 transcript:Vigun03g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLVSILFFALLSVGICSAARTLLVSIGHGIGDDIHGSIGVTGGGYGGGGGSGGGGGYGGAGAHGVGGYGGGAGGGEGAGGGYGAAGGGHGGGGGSGGGGGSGGVAGGGYGGGAGKGGGEGYGGGGGPGGGYGGGGGGGRGGGGGGGAGGAGGGYGGGEGAGSGGGYGGEHGGGYGGGGGSGGGGGGGAGPGGASGGGYGSGGGSGGGYGGGAAGGGGGGSGGGGGGGYGGGGAHGGGYGGGAGGGEGGGHGGYYP >Vigun06g070300.2.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027641:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQ >Vigun06g070300.5.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027641:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQVLRHTNY >Vigun06g070300.1.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027688:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQVLRHTNY >Vigun06g070300.3.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027688:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQVLRHTNY >Vigun06g070300.6.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027641:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQVLRHTNY >Vigun06g070300.4.v1.2 pep primary_assembly:ASM411807v1:6:20023557:20027641:1 gene:Vigun06g070300.v1.2 transcript:Vigun06g070300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMKVAYRSLITFLLTCIAIKYGVSKINPLQGPTLSLLVTAVSSHVIASATDMDKPNTIITFYLSGTVACETLLWILIDQLSLFCLINFLLIVIVEFLFFHPLTKLLLPYFNSITHLLSGTSPNTEPQPQDSQVLRHTNY >Vigun08g093500.4.v1.2 pep primary_assembly:ASM411807v1:8:21956559:21968663:-1 gene:Vigun08g093500.v1.2 transcript:Vigun08g093500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPRLFGNRKKPPNMDPKKSKTRGKKQQPKLERRNAAKHFEYDAGSSSSLRGDSASVSTSSSSSSSLYTRSMDFYGHRSFRIEGVEGEFDRICQSLGLSGPEDFSIPTAAWEAMKLRSSSNTPSRRNHDEKAFDEEAKEKEEIEVVESEDGVRVLDECVVPVESSGCCTAGGGGIKGFRPPMLKPPPGIRVSVVDETSSTWDLLRDFAPKGEEGKESYLELSAADEDEDREEKEEEDEEEGEVGGVRVEREEEESAASIADIVDEFSGFSTSNEDDSSSTTTGPNSSNISPNGRIKRVITAGNWQKGELLGRGSFGYVYEGISEDGFFFAVKQVSLLDQGNQARQSVYQLEQEIALLSQFEHENIVQYFGTEMDASNLYIFIELVTKGSLRNLYQRYTLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKLSDFGLAKAIKLNDVKSCKGTAFWMAPEVVKGKQNGYGLPADIWSLGCTVLEMLTGQFPYSHLECMQALFRIGRGEPPIVPDSLSRDAQDFILQCLKVNPDERPSAAKLLNHTFVQRPLLSQTSGSASPYIRRG >Vigun08g093500.2.v1.2 pep primary_assembly:ASM411807v1:8:21956479:21968755:-1 gene:Vigun08g093500.v1.2 transcript:Vigun08g093500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPRLFGNRKKPPNMDPKKSKTRGKKQQPKLERRNAAKHFEYDAGSSSSLRGDSASVSTSSSSSSSLYTRSMDFYGHRSFRIEGVEGEFDRICQSLGLSGPEDFSIPTAAWEAMKLRSSSNTPSRRNHDEKAFDEEAKEKEEIEVVESEDGVRVLDECVVPVESSGCCTAGGGGIKGFRPPMLKPPPGIRVSVVDETSSTWDLLRDFAPKGEEGKESYLELSAADEDEDREEKEEEDEEEGEVGGVRVEREEEESAASIADIVDEFSGFSTSNEDDSSSTTTGPNSSNISPNGRIKRVITAGNWQKGELLGRGSFGYVYEGISEDGFFFAVKQVSLLDQGNQARQSVYQLEQEIALLSQFEHENIVQYFGTEMDASNLYIFIELVTKGSLRNLYQRYTLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKLSDFGLAKAIKLNDVKSCKGTAFWMAPEVVKGKQNGYGLPADIWSLGCTVLEMLTGQFPYSHLECMQALFRIGRGEPPIVPDSLSRDAQDFILQCLKVNPDERPSAAKLLNHTFVQRPLLSQTSGSASPYIRRG >Vigun08g093500.3.v1.2 pep primary_assembly:ASM411807v1:8:21957428:21968663:-1 gene:Vigun08g093500.v1.2 transcript:Vigun08g093500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPRLFGNRKKPPNMDPKKSKTRGKKQQPKLERRNAAKHFEYDAGSSSSLRGDSASVSTSSSSSSSLYTRSMDFYGHRSFRIEGVEGEFDRICQSLGLSGPEDFSIPTAAWEAMKLRSSSNTPSRRNHDEKAFDEEAKEKEEIEVVESEDGVRVLDECVVPVESSGCCTAGGGGIKGFRPPMLKPPPGIRVSVVDETSSTWDLLRDFAPKGEEGKESYLELSAADEDEDREEKEEEDEEEGEVGGVRVEREEEESAASIADIVDEFSGFSTSNEDDSSSTTTGPNSSNISPNGRIKRVITAGNWQKGELLGRGSFGYVYEGISEDGFFFAVKQVSLLDQGNQARQSVYQLEQEIALLSQFEHENIVQYFGTEMDASNLYIFIELVTKGSLRNLYQRYTLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKLSDFGLAKAIKLNDVKSCKGTAFWMAPEVVKGKQNGYGLPADIWSLGCTVLEMLTGQFPYSHLECMQALFRIGRGEPPIVPDSLSRDAQDFILQCLKVNPDERPSAAKLLNHTFVQRPLLSQTSGSASPYIRRG >Vigun08g093500.1.v1.2 pep primary_assembly:ASM411807v1:8:21956479:21968755:-1 gene:Vigun08g093500.v1.2 transcript:Vigun08g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPRLFGNRKKPPNMDPKKSKTRGKKQQPKLERRNAAKHFEYDAGSSSSLRGDSASVSTSSSSSSSLYTRSMDFYGHRSFRIEGVEGEFDRICQSLGLSGPEDFSIPTAAWEAMKLRSSSNTPSRRNHDEKAFDEEAKEKEEIEVVESEDGVRVLDECVVPVESSGCCTAGGGGIKGFRPPMLKPPPGIRVSVVDETSSTWDLLRDFAPKGEEGKESYLELSAADEDEDREEKEEEDEEEGEVGGVRVEREEEESAASIADIVDEFSGFSTSNEDDSSSTTTGPNSSNISPNGRIKRVITAGNWQKGELLGRGSFGYVYEGISEDGFFFAVKQVSLLDQGNQARQSVYQLEQEIALLSQFEHENIVQYFGTEMDASNLYIFIELVTKGSLRNLYQRYTLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKLSDFGLAKAIKLNDVKSCKGTAFWMAPEVVKGKQNGYGLPADIWSLGCTVLEMLTGQFPYSHLECMQALFRIGRGEPPIVPDSLSRDAQDFILQCLKVNPDERPSAAKLLNHTFVQRPLLSQTSGSASPYIRRG >Vigun08g044500.1.v1.2 pep primary_assembly:ASM411807v1:8:4661356:4662593:-1 gene:Vigun08g044500.v1.2 transcript:Vigun08g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSVSSSLLLTFFILFGLSVAKEILVGGKTDAWRIPASESDSLNQWAERSRFQVGDFLVWKYDGGKDSVLQVSREEYVNCSTSNPIKVHSDGTTKVKLDRPGPFYFISGAQGHCEKGQKLVVVVLTPRGRSSGIVSSPAPAPAPFLAEFEGPAVAPTSSANALHGGILVVALGAMLGLFM >Vigun09g017000.2.v1.2 pep primary_assembly:ASM411807v1:9:1262687:1266283:-1 gene:Vigun09g017000.v1.2 transcript:Vigun09g017000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLEEQVAEVEKFYNSNKAQVNNVKDKGREKHVIGSRRSHQGASSKEPNSSNSMQEVMQQFCTIFHQIAEHKWAWPFMEPVDVEGLGLHDYHEIIAKPMDFSTIKKKMNAKDGSGYKNVREVYSDVRLIFKNAMKYNNEKHDIHIMAKSLLEKFEKKWLQLLPKIVQAENEQLKEEAHMQMEKQLAQEAAYANMAKEISLSLCEVEVHLKNLKEMVIEKCRKISLRERLELVKSFSRLNLDNLNKALQIISEDDPTFKPNDQEVNLDLDKQTDYTVWKLNVFVKKALQEQEKNAAQDPNVNRNGNIEEKKNTNKRRKL >Vigun09g017000.1.v1.2 pep primary_assembly:ASM411807v1:9:1262687:1268038:-1 gene:Vigun09g017000.v1.2 transcript:Vigun09g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPDAIKEDLNRFRYSFSENFNKVQKLEEQVAEVEKFYNSNKAQVNNVKDKGREKHVIGSRRSHQGASSKEPNSSNSMQEVMQQFCTIFHQIAEHKWAWPFMEPVDVEGLGLHDYHEIIAKPMDFSTIKKKMNAKDGSGYKNVREVYSDVRLIFKNAMKYNNEKHDIHIMAKSLLEKFEKKWLQLLPKIVQAENEQLKEEAHMQMEKQLAQEAAYANMAKEISLSLCEVEVHLKNLKEMVIEKCRKISLRERLELVKSFSRLNLDNLNKALQIISEDDPTFKPNDQEVNLDLDKQTDYTVWKLNVFVKKALQEQEKNAAQDPNVNRNGNIEEKKNTNKRRKL >Vigun09g017000.3.v1.2 pep primary_assembly:ASM411807v1:9:1262686:1266632:-1 gene:Vigun09g017000.v1.2 transcript:Vigun09g017000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVMQQFCTIFHQIAEHKWAWPFMEPVDVEGLGLHDYHEIIAKPMDFSTIKKKMNAKDGSGYKNVREVYSDVRLIFKNAMKYNNEKHDIHIMAKSLLEKFEKKWLQLLPKIVQAENEQLKEEAHMQMEKQLAQEAAYANMAKEISLSLCEVEVHLKNLKEMVIEKCRKISLRERLELVKSFSRLNLDNLNKALQIISEDDPTFKPNDQEVNLDLDKQTDYTVWKLNVFVKKALQEQEKNAAQDPNVNRNGNIEEKKNTNKRRKL >Vigun01g198800.1.v1.2 pep primary_assembly:ASM411807v1:1:37549863:37554112:1 gene:Vigun01g198800.v1.2 transcript:Vigun01g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPDPDIDDDFRELYKEYTGPPGSAATNTQERAKPNKRSNAGSDEEDEGRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANRKSQDFFERIPARDKNVRALFTEKVLSKIERDIGCKIKMDEKFIIVSGKDRLVMAKGVDAVHKIREEGEQRGSSSSQMTRSRSPERSERSPVNTRFQRSEPQRSHSGPRNTSQFQQRFGRQERAVEDRIREDVQKFARGSPQARAFGNSGARGRSSQSRSPRQAPFTGNSYSSFDGRNQNMGAFRNDGWDHRRESGIQPGHQFDYNASPQTLEELELEYKNEAAELMKIRDREEDEENFKHREAIKDLREKYMSKVGLVRATHAKQWEDFLQHDAQRFQQQAVQQMSSGYRGFKQQSFPEYDGSSVNPLPILGRIYHWIQGTGSQTTWNLILLGLMIILVNFIGVEILQKLTTDIKILYISIKWVQIARVSSLMQWRT >Vigun01g198800.2.v1.2 pep primary_assembly:ASM411807v1:1:37549863:37554112:1 gene:Vigun01g198800.v1.2 transcript:Vigun01g198800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPDPDIDDDFRELYKEYTGPPGSAATNTQERAKPNKRSNAGSDEEDEGRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANRKSQDFFERIPARDKNVRALFTEKVLSKIERDIGCKIKMDEKFIIVSGKDRLVMAKGVDAVHKIREEGEQRGSSSSQMTRSRSPERSERSPVNTRFQRSEPQRSHSGPRNTSQFQQRFGRQERAVEDRIREDVQKFARGSPQAFGNSGARGRSSQSRSPRQAPFTGNSYSSFDGRNQNMGAFRNDGWDHRRESGIQPGHQFDYNASPQTLEELELEYKNEAAELMKIRDREEDEENFKHREAIKDLREKYMSKVGLVRATHAKQWEDFLQHDAQRFQQQAVQQMSSGYRGFKQQSFPEYDGSSVNPLPILGRIYHWIQGTGSQTTWNLILLGLMIILVNFIGVEILQKLTTDIKILYISIKWVQIARVSSLMQWRT >Vigun08g163800.1.v1.2 pep primary_assembly:ASM411807v1:8:33615522:33616176:-1 gene:Vigun08g163800.v1.2 transcript:Vigun08g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVMINSKMHSIGVVIMMMIMLGCTGAKDFTPNKKCGARCYFRCLISDDPSCYENCEYKCHHHPPLNVYDECINSCSVTKSNIGYRVVLTAAMNSCLQNCHVKI >Vigun09g202200.3.v1.2 pep primary_assembly:ASM411807v1:9:37653603:37664717:1 gene:Vigun09g202200.v1.2 transcript:Vigun09g202200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRGKNKRHRQGDDGNWKMEIWRKIHNSGAVTQDDMNQLYMIWKPVCSGCRVNSKDNPNCFCALVPPLTGSRKSGLWQKMSDFLEGLGHDPSKDLRASANSPAGLTNLGATCYANSILQCLYMNQSFREGIFSVEQDVLQQQPVLDQLTRLFVQLHISKMAFIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLEGCLSHSKVPRARTIVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDESLDEYLTVEELNGDNQYFCESCKRRVDASRSIKLCTLPKVLNFQLKRYVFLPKTTTKKKVNSAFSFPAELDMRHRMSELSQFDLVYDLSAVLIHKGTGANSGHYIAHIKDVNTGQWWEFDDEHVTNLGCHPFGEGSSNSTSKSIKTEVIQSENSQAMIADSNGNGLNTSHSQSSLVETFSSSDAYMLMYHLKHSKNVGESGNVICGARHNEREGVAVALQNGDSLLCHIYDEIQNFNSSYEEACMQYTKMKEFEVGRITGRRQEVRSVLAEAPVQPLEQPFYWISNEWLRHWADNIVPIPLDNSPVQCPHGKVPVSKVTSMKRLSPKAWDMLFSKYGGGPALSHDDHCLDCLIHGAKTVVSADTYRDRRESMKSLARDILDGNCPDGKFYISRPWLQQWWKRKVLDAPSEADAGPTAAISCPHDQLMPEQAPGAKRVLIPESFWLFLHEDAVSVKPDDPLGGPTFSSDSEECSLCSNELSEVACLEDSLRLVKQKQRQSHEKLFQAKSLPLFLNCKYFLVPSSWISKWRNYINPTLKNSDKPETLDGAIDSFLCEKHSQLLERPPELVFRRGAIFQKESSVGGLTIVSENDWKSFCEEWGGIETKGISATIDHTNDPDNVLAGSSEEMLTCKDQLGTADKMNYENGTGQIFIKTCPKVCENCIGEKESCKLMQKLNYCNEDICVILVRGKEVPRSMLEASKGSVETDRRVSKRSRKTKNGSSISLKVSASTTLYQLKMMIWESFGVVKENQILYKGDMMIDSDNEFVTLADVNIFAGDQIIVRDSEIHENRDIADELCDDKKDLQHTEEGFRGTLLTANVSSQVV >Vigun09g202200.2.v1.2 pep primary_assembly:ASM411807v1:9:37653998:37664717:1 gene:Vigun09g202200.v1.2 transcript:Vigun09g202200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRGKNKRHRQGDDGNWKMEIWRKIHNSGAVTQDDMNQLYMIWKPVCSGCRVNSKDNPNCFCALVPPLTGSRKSGLWQKMSDFLEGLGHDPSKDLRASANSPAGLTNLGATCYANSILQCLYMNQSFREGIFSVEQDVLQQQPVLDQLTRLFVQLHISKMAFIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLEGCLSHSKVPRARTIVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDESLDEYLTVEELNGDNQYFCESCKRRVDASRSIKLCTLPKVLNFQLKRYVFLPKTTTKKKVNSAFSFPAELDMRHRMSELSQFDLVYDLSAVLIHKGTGANSGHYIAHIKDVNTGQWWEFDDEHVTNLGCHPFGEGSSNSTSKSIKTEVIQSENSQAMIADSNGNGLNTSHSQSSLVETFSSSDAYMLMYHLKHSKNVGESGNVICGARHNEREGVAVALQNGDSLLCHIYDEIQNFNSSYEEACMQYTKMKEFEVGRITGRRQEVRSVLAEAPVQPLEQPFYWISNEWLRHWADNIVPIPLDNSPVQCPHGKVPVSKVTSMKRLSPKAWDMLFSKYGGGPALSHDDHCLDCLIHGAKTVVSADTYRDRRESMKSLARDILDGNCPDGKFYISRPWLQQWWKRKVLDAPSEADAGPTAAISCPHDQLMPEQAPGAKRVLIPESFWLFLHEDAVSVKPDDPLGGPTFSSDSEECSLCSNELSEVACLEDSLRLVKQKQRQSHEKLFQAKSLPLFLNCKYFLVPSSWISKWRNYINPTLKNSDKPETLDGAIDSFLCEKHSQLLERPPELVFRRGAIFQKESSVGGLTIVSENDWKSFCEEWGGIETKGISATIDHTNDPDNVLAGSSEEMLTCKDQLGTADKMNYENGTGQIFIKTCPKVCENCIGEKESCKLMQKLNYCNEDICVILVRGKEVPRSMLEASKGSVETDRRVSKRSRKTKNGSSISLKVSASTTLYQLKMMIWESFGVVKENQILYKGDMMIDSDNEFVTLADVNIFAGDQIIVRDSEIHENRDIADELCDDKKDLQHTEEGFRGTLLTANVSSQVV >Vigun09g202200.1.v1.2 pep primary_assembly:ASM411807v1:9:37653564:37664795:1 gene:Vigun09g202200.v1.2 transcript:Vigun09g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRGKNKRHRQGDDGNWKMEIWRKIHNSGAVTQDDMNQLYMIWKPVCSGCRVNSKDNPNCFCALVPPLTGSRKSGLWQKMSDFLEGLGHDPSKDLRASANSPAGLTNLGATCYANSILQCLYMNQSFREGIFSVEQDVLQQQPVLDQLTRLFVQLHISKMAFIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLEGCLSHSKVPRARTIVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDESLDEYLTVEELNGDNQYFCESCKRRVDASRSIKLCTLPKVLNFQLKRYVFLPKTTTKKKVNSAFSFPAELDMRHRMSELSQFDLVYDLSAVLIHKGTGANSGHYIAHIKDVNTGQWWEFDDEHVTNLGCHPFGEGSSNSTSKSIKTEVIQSENSQAMIADSNGNGLNTSHSQSSLVETFSSSDAYMLMYHLKHSKNVGESGNVICGARHNEREGVAVALQNGDSLLCHIYDEIQNFNSSYEEACMQYTKMKEFEVGRITGRRQEVRSVLAEAPVQPLEQPFYWISNEWLRHWADNIVPIPLDNSPVQCPHGKVPVSKVTSMKRLSPKAWDMLFSKYGGGPALSHDDHCLDCLIHGAKTVVSADTYRDRRESMKSLARDILDGNCPDGKFYISRPWLQQWWKRKVLDAPSEADAGPTAAISCPHDQLMPEQAPGAKRVLIPESFWLFLHEDAVSVKPDDPLGGPTFSSDSEECSLCSNELSEVACLEDSLRLVKQKQRQSHEKLFQAKSLPLFLNCKYFLVPSSWISKWRNYINPTLKNSDKPETLDGAIDSFLCEKHSQLLERPPELVFRRGAIFQKESSVGGLTIVSENDWKSFCEEWGGIETKGISATIDHTNDPDNVLAGSSEEMLTCKDQLGTADKMNYENGTGQIFIKTCPKVCENCIGEKESCKLMQKLNYCNEDICVILVRGKEVPRSMLEASKGSVETDRRVSKRSRKTKNGSSISLKVSASTTLYQLKMMIWESFGVVKENQILYKGDMMIDSDNEFVTLADVNIFAGDQIIVRDSEIHENRDIADELCDDKKDLQHTEEGFRGTLLTANVSSQVV >Vigun04g034300.1.v1.2 pep primary_assembly:ASM411807v1:4:2836095:2839752:-1 gene:Vigun04g034300.v1.2 transcript:Vigun04g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTGAAATCDLDRFGVVFRSGPRHSDCVTFAANKLRSDVRNPGCVDLRSNFNKSPSQVSLFLCSDSTNRRNSLLCVNPSGRRNLGGRASYSVETGAYDVAALQPPTHVAEEKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDDQALAIKKALEAKGLSSNVYVGMRYWYPFTEEAIQQIKRDRITRLVVLPLYPQFSISTTGSSVRVLEQVFREDAYLSTLPVSIINSWYQREGYIKSMANLIEKELQSFSEPKEAMIFFSAHGVPVSYVEEAGDPYRDQMEECIFLIMQELKARGISNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIKNWARVPALGLTPSFITDLADAVIEALPSAKAMYPRISTSEDADHDPVKYFIKLFFGSFLAFILFLSPKMIMAFRNHVI >Vigun03g266100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43614010:43615682:1 gene:Vigun03g266100.v1.2 transcript:Vigun03g266100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTQRQNKLFEQALALYDRETPDRWQNVANVVGRSVEEVKRHYEILKEDVKRIEHGQVPFPRYKTNTTTN >Vigun02g144400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29264209:29265229:1 gene:Vigun02g144400.v1.2 transcript:Vigun02g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPLLTLSFSLALFLCFLFTHHGAVHAQIDDTGLNLMSDALDWPTTMSLYDDLDEDEEEDVESGFSRRSLFWRRMKYYISYGALSANRIPCPPRSGRSYYTHNCYKARGPVHPYSRGCSVITRCRR >Vigun03g391700.3.v1.2 pep primary_assembly:ASM411807v1:3:59782566:59785824:1 gene:Vigun03g391700.v1.2 transcript:Vigun03g391700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTENWTGWYTEFGGPVPRRSAEDTAFSVARFIQNGGSLVNYYMFHGGTNFDRTSGGPFIATSYDYDALLDEYGLLNEPKWGHLRDLHKAIKSCESALVSVDPYVTWPGKNLEVHEFKTPSTCAAFLANYDTKNSATIAYGNGKYELPAWSVSVLPDCATEVFNTARVGAQSSPPKMTGLNTGFVWHSYKEWPLESTEKDSFIGYELWEQINVTRDSTDYLWYMTDVYIDANEGFLKKGESPYLNVMSAGHAMVVFVNGEYSGVAYGSLEVPKFTFGGKVKMWAGNNKISLLSATVGLANVGLHYETWKAGVSGPVTLSGLNEGTRDLSKQKWSYKVGLKGEDLYIYSVNGEKSVQWTRGNALIRKHCLTWYKTRFNAPEGNDPLALDMYTMGKGQVWINGRSIGRHWPANKARGGCGDCYYAGTYNEKKCLTNCGEPSQRWYHVPRSWLYPTGNYLVVFEEWGGDPFGITLMKRTVESGVCAEGSSPKLACGKV >Vigun03g391700.2.v1.2 pep primary_assembly:ASM411807v1:3:59782199:59785824:1 gene:Vigun03g391700.v1.2 transcript:Vigun03g391700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALDTGVPWIMCKHDHVPDPIINTCNGYYCENFVPDKDYKPKMWTENWTGWYTEFGGPVPRRSAEDTAFSVARFIQNGGSLVNYYMFHGGTNFDRTSGGPFIATSYDYDALLDEYGLLNEPKWGHLRDLHKAIKSCESALVSVDPYVTWPGKNLEVHEFKTPSTCAAFLANYDTKNSATIAYGNGKYELPAWSVSVLPDCATEVFNTARVGAQSSPPKMTGLNTGFVWHSYKEWPLESTEKDSFIGYELWEQINVTRDSTDYLWYMTDVYIDANEGFLKKGESPYLNVMSAGHAMVVFVNGEYSGVAYGSLEVPKFTFGGKVKMWAGNNKISLLSATVGLANVGLHYETWKAGVSGPVTLSGLNEGTRDLSKQKWSYKVGLKGEDLYIYSVNGEKSVQWTRGNALIRKHCLTWYKTRFNAPEGNDPLALDMYTMGKGQVWINGRSIGRHWPANKARGGCGDCYYAGTYNEKKCLTNCGEPSQRWYHVPRSWLYPTGNYLVVFEEWGGDPFGITLMKRTVESGVCAEGSSPKLACGKV >Vigun03g391700.1.v1.2 pep primary_assembly:ASM411807v1:3:59780886:59785824:1 gene:Vigun03g391700.v1.2 transcript:Vigun03g391700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPRGVVYTLLLFSWVCAVTATVSYDHKAILINGQRKILISGSIHYPRSTPEMWPDLVQKAKDGGIDVIETYVFWNGHEPWPGKYYFEDRYDLVRFIKVVQQAGLYLHLRIGPYVCAEWNFGGFPVWLKFVPGISFRTDNEPFKAAMKTFTEKIVYMMKAEKLFQTQGGPIILSQIENEYGPVEWEIGAPGKAYTQWAAQMAVALDTGVPWIMCKHDHVPDPIINTCNGYYCENFVPDKDYKPKMWTENWTGWYTEFGGPVPRRSAEDTAFSVARFIQNGGSLVNYYMFHGGTNFDRTSGGPFIATSYDYDALLDEYGLLNEPKWGHLRDLHKAIKSCESALVSVDPYVTWPGKNLEVHEFKTPSTCAAFLANYDTKNSATIAYGNGKYELPAWSVSVLPDCATEVFNTARVGAQSSPPKMTGLNTGFVWHSYKEWPLESTEKDSFIGYELWEQINVTRDSTDYLWYMTDVYIDANEGFLKKGESPYLNVMSAGHAMVVFVNGEYSGVAYGSLEVPKFTFGGKVKMWAGNNKISLLSATVGLANVGLHYETWKAGVSGPVTLSGLNEGTRDLSKQKWSYKVGLKGEDLYIYSVNGEKSVQWTRGNALIRKHCLTWYKTRFNAPEGNDPLALDMYTMGKGQVWINGRSIGRHWPANKARGGCGDCYYAGTYNEKKCLTNCGEPSQRWYHVPRSWLYPTGNYLVVFEEWGGDPFGITLMKRTVESGVCAEGSSPKLACGKV >Vigun09g233500.1.v1.2 pep primary_assembly:ASM411807v1:9:40452963:40457302:-1 gene:Vigun09g233500.v1.2 transcript:Vigun09g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSREDGSKEEEKDEEANRLLEEAGAVSSSSEEDVAYEAGEKILVADFEFDTVDDATVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATVMGLLIQLLSARVGVATGRHLAELCRDEYPHWARLVLWFMAELALIGADIQEVIGSAIAIQILSRGVLPLWVGVLITASDCFFFLFLENYGVRKLEAAFAVLISVMGLSFAWMFADARPNREELLMGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKVDPNKKGRVQEALNYYSIESSAALSVSFMINLFVTTVFAKGFYGTKQAKNIGLVNAGQYLEEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRALITRSFAIVPTIIVAIVFNRSEASLDILNEWLNVLQSMQIPFALIPLLTLVSKEQLMGTFRVGPVLERVAWTVAGLIIVINGYLLLDFFISEVNGVMLGLLACSCTTAYIAFIVYLVSQSGILPSAWVNRLPKGFSSTGN >Vigun02g203100.5.v1.2 pep primary_assembly:ASM411807v1:2:33693899:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARRSSDLKIRFREEGGKHWTDALPIGNGRLGAMIYGRRHSETIHLNEDTLYTGTPADYTNSKAPQALSLVRNLVNRQQYPQATAAASALTGSNPSEAYQLLADIRLDFDYSHLTGAQQTYQRELDLDTATVKVSYSVGDVQFKREHFASYPDQVIVTNISATQNARLSFTLSLDSKMSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKDFLEYKAYPIMEGCVSFLLSWLIEGNEGYLETNPSTSPEHSFIAPNGEPACVSQSSTMDVAIIHEVFSTFLSAAEVIGKTKDNIVRKVCKAQPR >Vigun02g203100.3.v1.2 pep primary_assembly:ASM411807v1:2:33695110:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARRSSDLKIRFREEGGKHWTDALPIGNGRLGAMIYGRRHSETIHLNEDTLYTGTPADYTNSKAPQALSLVRNLVNRQQYPQATAAASALTGSNPSEAYQLLADIRLDFDYSHLTGAQQTYQRELDLDTATVKVSYSVGDVQFKREHFASYPDQVIVTNISATQNARLSFTLSLDSKMSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKDFLEYKAYPIMEGCVSFLLSWLIEGNEGYLETNPSTSPEHSFIAPNGEPACVSQSSTMDVAIIHEVFSTFLSAAEVIGKTKDNIVRKVCKAQPRLRPLYIAQDGSIMEWVKDFKDPEVHHRHLSHLFGLFPGHTITFEQTPALFEAAEKSLYKRVFMQKFIQTHT >Vigun02g203100.4.v1.2 pep primary_assembly:ASM411807v1:2:33693899:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKDFLEYKAYPIMEGCVSFLLSWLIEGNEGYLETNPSTSPEHSFIAPNGEPACVSQSSTMDVAIIHEVFSTFLSAAEVIGKTKDNIVRKVCKAQPRLRPLYIAQDGSIMEWVKDFKDPEVHHRHLSHLFGLFPGHTITFEQTPALFEAAEKSLYKRGEEGPGWSTTWKTACWARLQNSSNAYKMIKRLINLVDPDHEIPFQGGLYSNLFTAHPPFQIDANFGFAAAVAEMLVQSTLSDLFLLPALPWEKWPNGSLKGLKARGGTTVSICWREGDLQEFGVWSEDQTRTTMRKRIHYKGTMVTADLMTGVFYRFNGQLKCLNSCSLSEMTSS >Vigun02g203100.1.v1.2 pep primary_assembly:ASM411807v1:2:33693899:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARRSSDLKIRFREEGGKHWTDALPIGNGRLGAMIYGRRHSETIHLNEDTLYTGTPADYTNSKAPQALSLVRNLVNRQQYPQATAAASALTGSNPSEAYQLLADIRLDFDYSHLTGAQQTYQRELDLDTATVKVSYSVGDVQFKREHFASYPDQVIVTNISATQNARLSFTLSLDSKMSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKDFLEYKAYPIMEGCVSFLLSWLIEGNEGYLETNPSTSPEHSFIAPNGEPACVSQSSTMDVAIIHEVFSTFLSAAEVIGKTKDNIVRKVCKAQPRLRPLYIAQDGSIMEWVKDFKDPEVHHRHLSHLFGLFPGHTITFEQTPALFEAAEKSLYKRGEEGPGWSTTWKTACWARLQNSSNAYKMIKRLINLVDPDHEIPFQGGLYSNLFTAHPPFQIDANFGFAAAVAEMLVQSTLSDLFLLPALPWEKWPNGSLKGLKARGGTTVSICWREGDLQEFGVWSEDQTRTTMRKRIHYKGTMVTADLMTGVFYRFNGQLKCLNSCSLSEMTSS >Vigun02g203100.6.v1.2 pep primary_assembly:ASM411807v1:2:33696317:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARRSSDLKIRFREEGGKHWTDALPIGNGRLGAMIYGRRHSETIHLNEDTLYTGTPADYTNSKAPQALSLVRNLVNRQQYPQATAAASALTGSNPSEAYQLLADIRLDFDYSHLTGAQQTYQRELDLDTATVKVSYSVGDVQFKREHFASYPDQVIVTNISATQNARLSFTLSLDSKMSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKVTGF >Vigun02g203100.2.v1.2 pep primary_assembly:ASM411807v1:2:33693899:33699850:-1 gene:Vigun02g203100.v1.2 transcript:Vigun02g203100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQTYVNGTNQIIMKGACRGKRLSPHLQHRAQDTPQGIQFTAILDLKIGGANGVISVLDDNKLKVEASDWAVLLLVASSSFSGPFTPPSDSKRDPTSECFSILSSISNLSYSDLYARHLNDYQELFHRVSLKLMRSTQLNMSEDSSLELKTLTPTLANLSLVDSGTQVSTSDRVKSFQTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDLEPVWDGAPHLNINLEMNYWPALPCNLSECQEPLFDYISSLSVNGSKTAYVNYQASGWVAHSKSDIWARTSAGHGDVLWALWPMGGAWLCTHLWEHYAYTLDKDFLEYKAYPIMEGCVSFLLSWLIEGNEGYLETNPSTSPEHSFIAPNGEPACVSQSSTMDVAIIHEVFSTFLSAAEVIGKTKDNIVRKVCKAQPRLRPLYIAQDGSIMEWVKDFKDPEVHHRHLSHLFGLFPGHTITFEQTPALFEAAEKSLYKRGEEGPGWSTTWKTACWARLQNSSNAYKMIKRLINLVDPDHEIPFQGGLYSNLFTAHPPFQIDANFGFAAAVAEMLVQSTLSDLFLLPALPWEKWPNGSLKGLKARGGTTVSICWREGDLQEFGVWSEDQTRTTMRKRIHYKGTMVTADLMTGVFYRFNGQLKCLNSCSLSEMTSS >Vigun01g147800.1.v1.2 pep primary_assembly:ASM411807v1:1:32935745:32944873:-1 gene:Vigun01g147800.v1.2 transcript:Vigun01g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSLRIGSSSIWRNSDAEIFSNSFEQENDEEALKWAAIQKLPTVARLRKALLTSPDGEANEIDVQKLGLQEKRALLERLVRTAEEDNERFLLKLKSRIDRVGIDLPTIEVRFENLNIEAEARVGTRALPTFTNFMVNIVEGLMNSLHILPTMKQHLNILQNVSGKIKPGRMTLLLGPPSSGKTTLLLALAGKLDPKLKISGKVTYNGHGMNEFVPQRTAAYVNQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAELSRREKEASIKPDPDIDAYMKAVASEGQKANMITDYVLRILGLEVCADTVVGNAMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQYVHILKGTTVISLLQPAPETYNLFDDIILLSDSHIVYQGPREHVLEFFELMGFKCPERKGVADFLQEVTSRKDQEQYWAHKDQPYRFVTAKEFSETHKSFHVGRSLAQELATEFDKSKSHPAALTTKKYGVGKWELLKACLSREYLLMKRNSFVYTFKLFQLAALAIIAMTIFFRTEMHRDSVTHGGIYVGALFYGVVVTMFNGLAELSMVVSRLPVFYKQRDYLFFPSWVYALPAWILKFPLTFMEVGVWVFLTYYVIGFDPHVGRLFRQYLVLALVNQMASALFRLIAAVGREMTVALTLGSFTLAILFSMSGFVLSKDNIKKWWLWGFWISPMMYGQNAMVNNEFLGERWRHVLPNSTEPLGVEILKSRGFFTQSYWYWIGVGALIGYTLLFNLGYILALKYLNPFGKHQAVISDESQSNDQNGGSKKGTNVMKNTKHSFSQYSNKVRNGESTSGSTSPHTSSTRHEAVDAETNHNRKRGMVLPFEPHSITFDDVTYSVDMPVEMRNRGVVEDKLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYIGGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSPEINDETRKMFIEEVMELVELKPLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKQGGQEIYVGPLGHHSSHLISYFEEIQGVSKIKDGYNPATWMLEVSTSAKEMELGIDFAQVYKSSELYRRNKGLIKELSTPAPGSKDLYFPSQYSTSFFTQCMACLWKQHWSYWRNPLYTAIRFLYSTTVAVVIGSMFWNLGSKIDLQQDLFNAMGSMYAAVLLIGIKNANAVQPVVAVERTVFYREKAAGMYSALPYAFAQVVIELPYVLAQAVVYGIIIYAMIGFEWTVTKVFWYLFFMYFTFLTFTYYGMMSVAVTPNQHISSIVSSAFYAVWNLFSGFIVPRPRIPVWWRWYSWINPVAWSLYGLVASQYGDIHKNMESSDGRTTVEGFVRSYFGFEHDFLGVVAAVMVAFPVVFALVFAISVKMFNFQRR >Vigun06g186300.2.v1.2 pep primary_assembly:ASM411807v1:6:30550550:30554182:1 gene:Vigun06g186300.v1.2 transcript:Vigun06g186300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEAQGNSSSLPPFLSKTYDMVDDPSTNSIVSWSVTNKSFVVWNPPEFSRDLLPRFFKHSNFSSFIRQLNTYGFRKIDPELWEFANEGFVRGQPHLLKNIHRRKPVHSHSLQNTQGQGSSSLTESERQSFKDEIRKLKDEKDQILRELERHEQEWKMYEVQLQYSNDRLEQLEKKQENLVSSVSEVLQKPGIALNLLPLTEKGDRKRRLPRSGIFSDDAGIDDHMETSSVLPGINAEGASIFSPNMERFDLLESSIMFWENIAHDVQSHVNMDFDETTSCADSPAISSVQLEVEVQPKSPGMNVDSESAVAAVSDLDASKEPPVGTVSVAAGVNDIFWERFLTENPGSENQEVHSERKDSDGRSNAKFWWNIRNVNNPPEQMGHS >Vigun06g186300.1.v1.2 pep primary_assembly:ASM411807v1:6:30550550:30554182:1 gene:Vigun06g186300.v1.2 transcript:Vigun06g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEAQGNSSSLPPFLSKTYDMVDDPSTNSIVSWSVTNKSFVVWNPPEFSRDLLPRFFKHSNFSSFIRQLNTYGFRKIDPELWEFANEGFVRGQPHLLKNIHRRKPVHSHSLQNTQGQGSSSLTESERQSFKDEIRKLKDEKDQILRELERHEQEWKMYEVQLQYSNDRLEQLEKKQENLVSSVSEVLQKPGIALNLLPLTEKGDRKRRLPRSGIFSDDAGIDDHMETSSVLPGINAEGASIFSPNMERFDLLESSIMFWENIAHDVQSHVNMDFDETTSCADSPAISSVQLEVEVQPKSPGMNVDSESAVAAVSDLDASKEPPVGTVSVAAGVNDIFWERFLTENPGSENQEVHSERKDSDGRSNAKFWWNIRNVNNPPEQMGHS >Vigun04g015800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1172239:1174341:-1 gene:Vigun04g015800.v1.2 transcript:Vigun04g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYFPRSLTRIANTTLHHTRSEQLTGIVSNSVTRLLCTSSTQLQQENPVKKQAQSPQESVHEEIRQSHEQEEDNEDDDSINEETGEIGGPKGPEPTRYGDWERNGRCSDF >Vigun03g206400.3.v1.2 pep primary_assembly:ASM411807v1:3:33488962:33494862:1 gene:Vigun03g206400.v1.2 transcript:Vigun03g206400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVPEWQQAYMNYGYLKSLLKDIILHKQRNKGHSSGSSSGLKRKLSLHRTFSGLTQRHYQPLSPDQDIENQPILVHSVLREGHEKYETTFLMASEEGGEYELVYFRRLDDEFNKVVSFYMSKVEEVMKEAAELNKQMDALVAFRVKVQNPTQSFDCSVEMTRLASDVSASTTVLHANTPRGVQLNRRISTVIEDIKEEGSSHDGHLEKFDNDDKDKVIETTNKKVEVQNKKKNIRPIKPIKPASVEILNRVQLNNTHETPRSTIRGIIKYPGQAELNFTKENLSKVEETLKRAFIEFYNKLRLLKNYTFLNVMAFSKIMKKYDKITSRGAAKAYMKMVDSSCLGSSDEFTRLMERVENVFIKHFSNSNRNKGMRILRPKPKRERHRITFSMGFSAGCTVALTVALILIVRTRKIMDHSGSSKYMEIMFPLYSLFGFVVLHMLMYAANIYFWRRYRVNHSFIFGFKQGTDLGYNEVLLLSFVLAALALTSVLVNLDMQIDPVTKDYKLFTELLPLILVLIVIAILLCPLNIVYRSSRVFFLTCVFHCICAPLYKVTLPDFFMADQFTSQVQALRSFEFYICYYGWGDFKQRETNCKSTRVFIAFSFIVAVIPYWSRFLQCVRRLFEEKDMMQGYNGLKYFLTIAAVCLRTADNLDGGRRWKVMAWIFSISTAIFSTYWDIVIDWGLLQRHSRNRWLRDKLLIPQKSVYFAAMVCMVTNNIEFQLLLLA >Vigun03g206400.1.v1.2 pep primary_assembly:ASM411807v1:3:33488962:33494862:1 gene:Vigun03g206400.v1.2 transcript:Vigun03g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVPEWQQAYMNYGYLKSLLKDIILHKQRNKGHSSGSSSGLKRKLSLHRTFSGLTQRHYQPLSPDQDIENQPILVHSVLREGHEKYETTFLMASEEGGEYELVYFRRLDDEFNKVVSFYMSKVEEVMKEAAELNKQMDALVAFRVKVQNPTQSFDCSVEMTRLASDVSASTTVLHANTPRGVQLNRRISTVIEDIKEEGSSHDGHLEKFDNDDKDKVIETTNKKVEVQNKKKNIRPIKPIKPASVEILNRVQLNNTHETPRSTIRGIIKYPGQAELNFTKENLSKVEETLKRAFIEFYNKLRLLKNYTFLNVMAFSKIMKKYDKITSRGAAKAYMKMVDSSCLGSSDEFTRLMERVENVFIKHFSNSNRNKGMRILRPKPKRERHRITFSMGFSAGCTVALTVALILIVRTRKIMDHSGSSKYMEIMFPLYSLFGFVVLHMLMYAANIYFWRRYRVNHSFIFGFKQGTDLGYNEVLLLSFVLAALALTSVLVNLDMQIDPVTKDYKLFTELLPLILVLIVIAILLCPLNIVYRSSRVFFLTCVFHCICAPLYKVTLPDFFMADQFTSQVQALRSFEFYICYYGWGDFKQRETNCKSTRVFIAFSFIVAVIPYWSRFLQCVRRLFEEKDMMQGYNGLKYFLTIAAVCLRTADNLDGGRRWKVMAWIFSISTAIFSTYWDIVIDWGLLQRHSRNRWLRDKLLIPQKSVYFAAMVLNVLLRFAWLQTILNFNFYFLHRQAMISIVASLEIIRRGMWNFFRVENEHLNNVGKYRAFKSVPLPFNYDDDDDDDEDGDKNE >Vigun03g206400.2.v1.2 pep primary_assembly:ASM411807v1:3:33488962:33494863:1 gene:Vigun03g206400.v1.2 transcript:Vigun03g206400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVPEWQQAYMNYGYLKSLLKDIILHKQRNKGHSSGSSSGLKRKLSLHRTFSGLTQRHYQPLSPDQDIENQPILVHSVLREGHEKYETTFLMASEEGGEYELVYFRRLDDEFNKVVSFYMSKVEEVMKEAAELNKQMDALVAFRVKVQNPTQSFDCSVEMTRLASDVSASTTVLHANTPRGVQLNRRISTVIEDIKEEGSSHDGHLEKFDNDDKDKVIETTNKKVEVQNKKKNIRPIKPIKPASVEILNRVQLNNTHETPRSTIRGIIKYPGQAELNFTKENLSKVEETLKRAFIEFYNKLRLLKNYTFLNVMAFSKIMKKYDKITSRGAAKAYMKMVDSSCLGSSDEFTRLMERVENVFIKHFSNSNRNKGMRILRPKPKRERHRITFSMGFSAGCTVALTVALILIVRTRKIMDHSGSSKYMEIMFPLYSLFGFVVLHMLMYAANIYFWRRYRVNHSFIFGFKQGTDLGYNEVLLLSFVLAALALTSVLVNLDMQIDPVTKDYKLFTELLPLILVLIVIAILLCPLNIVYRSSRVFFLTCVFHCICAPLYKVTLPDFFMADQFTSQVQALRSFEFYICYYGWGDFKQRETNCKSTRVFIAFSFIVAVIPYWSRFLQCVRRLFEEKDMMQGYNGLKYFLTIAAVCLRTADNLDGGRRWKVMAWIFSISTAIFSTYWDIVIDWGLLQRHSRNRWLRDKLLIPQKSVYFAAMTSHDQHCCQLRDHSSWHVELL >Vigun09g201400.1.v1.2 pep primary_assembly:ASM411807v1:9:37564594:37572909:-1 gene:Vigun09g201400.v1.2 transcript:Vigun09g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKEMEGLSSSSAIAQKTWELENNIIPMDTPGAASSSSAATATNADDSIFYYDEAGQNEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDADAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLIAPTPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQANRSHTEPSGPEPMIES >Vigun07g079300.7.v1.2 pep primary_assembly:ASM411807v1:7:11014023:11023260:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun07g079300.9.v1.2 pep primary_assembly:ASM411807v1:7:11014023:11023337:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun07g079300.10.v1.2 pep primary_assembly:ASM411807v1:7:11014023:11023260:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun07g079300.4.v1.2 pep primary_assembly:ASM411807v1:7:11014121:11023260:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun07g079300.3.v1.2 pep primary_assembly:ASM411807v1:7:11014121:11023260:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun07g079300.8.v1.2 pep primary_assembly:ASM411807v1:7:11014023:11023337:1 gene:Vigun07g079300.v1.2 transcript:Vigun07g079300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGQKRPDMVDELPADKRACSSLDFRPSSSNSSVQTHMNSIVETNDHDMDTSSSASASSQSEGDPEKDSTYGSCDSDDMDQQHNSTLYEYHRRRLSSDHGKFKNIISSLSEQTEPSCQLAVLTELCEVLSFCTEGSLSSMTSDLLSPLLVKLAKDEKNPDIMLFSIRAITYICDLYPRSAGFLVQHDAVPTLCQRLYAIEYQDVAEQCLQALEKISREQPLACLEAGAIMAVLSYIDFFSTSIQRVALSTVVNICKKLPSENPSLFMEAVPILCNLLQYEDRQLVENVATCLIKIVERVGQSSEMLDELCKHGLIQQVTHLLSSNGQTALSQLIYNGLIGLLVKLSSGSLVAFRTLYELNISSILKEILATFDLSYGVSTSQLVGGHCNRVYEALKLLNELLPDRAKDQNDQLVLEKESFLDNHPDFLQRLGIDLFPMLIQVFNSGASLFVCHGCLSVMYKIVNSSKSDMLVELLKNANISSFLAGVFTRKDHHMLMLALQIAEIILHNFSDNFLKLFIKEGVFFAIDALLTPERSSKLMYPVFSGIQLSSDSSQKSASRETLKCLCYAFSTGQSPTSSEARSCKLDKDSVYNLAEHIKTKYLAPELFDSEKGLTDILQNLRALSNDLLSMSTDNGGLAVLEEKINNILYQIMDKLTGKEQVSTFEFIESGVVKSLVSYLSLGQYMRENKGVQSVCNYNAVLEKRFEALASVCASQHLSCEIPISILIRNLQTALTSLEAFPIILSSGPKLRNSFATVPNRCSIPYPCLKVRFVRGEGETFLSDYTEDFHTVDPFSCMHSIEAYLWPKVSSKSTEHSKSPSIQVLLPESPPIQSPSHAISVSVEIPDTLGPVDMMTDFPDTQKDQPKLMQPISDQVVTMNAGESSSSRTQGYTVQELQMNAEPSPKLEKQDPSFCSNEAAQKLVFFIEGQRLDQKLTLYQAILGHIIKQNDSFSSAKLWSHVHTITYRSAVESQDIIPPQYHFSPQDISDDKVLAHYQHTPFFSDIFSCELVSDLEKSSPTYDILFLLKCLESMNRLIFHLMSRERICAFGKGKVDDLDSLKIIVPSVPQNEFVSSKLTEKLEQQMRDSLAVSVGGMPLWCSQLMVSCPFLFSFEARCKYFKLKAFGQPQVQPHLSHNGSGSVIDRRLGPGGLPKKKFLVHRNRILESAAKMMELHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCHEFQKSGLGMWREDVSSFTLKSNLQAEEMGIHSFYGLFPRPWSSMQDTSGDKQFSDVAKKFFLLGQVVAKALQDGRILDLHFSKAFYKLILGKELSLYDILSFDPGLGRVLQEFQALVIRKSTMESCNGGNAELQYRKSFRDTSIEDLCLDFTLPGYPDIVLASGTDHSMVNMRNLEDYVSLIVDATVRSGISRQVEAFKSGFNKVFSIEHLQIFNEEELERMLCGEYDSWAINELGDNIKFDHGYTASSPPIVNLLEIVREFDHEQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHCNNRADTDLPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >Vigun04g167500.1.v1.2 pep primary_assembly:ASM411807v1:4:39227263:39231427:-1 gene:Vigun04g167500.v1.2 transcript:Vigun04g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVHLLPLFFTLLVLLFNPTRVCGNDELKALMDLKSSLDPEGRFLSSWTMDGNPCGGFFEGVACNEKGQVANVSLQGKGLSGKLSPAIGGLKHLTGLYLHYNSLYGEIPREVANLTELSDLYLNVNHLSGEIPPEIGKIEKLQVLQLCYNQLTGSIPTQLGDLKKLSVLALQSNQLAGAIPATLGDLEMLTRLDLSSNILFGSIPTKLANLPSLQVLDVHNNTLSGNVPTALKRLEEGFVYENNVGLCGVGFSSLKACTASSNVNLTRPEPYGAGVGSISRDIPETANVKFPCNTTHCQNPSKSKQATSITVGIVLVTIAVSAIGVLTFTVYRRRKQKLGSAFDTSEGRLSTDQAKSIYRKNGSPLVSLEYANGWDPLADSRNFNGDKQDLFQSFRFNLEEVESATQYFSELNLLGKSNFSATYKGVLRDGSAVAIKSISKTSCKSDEAEFLKGLNILTSLRNEHLVRLRGFCCSRGRGECFLVYDFVPNGNLTRYLDVKEGDGEVLEWSTRVSIVKGIAKGIAYLHAHKANKPALVHQNISAEKVVIDRRYNPMLSDSGLYKLLTNDIVFSALKGSAAKGYLAPEYTTTGRFTEKSDVYAFGVLLFQIITGKQKITSAMRLAAESFTFQEFIDPNLRGKFFEYEAAKLARMALLCSHESQFERPTMEAIVQELGNCSSCL >Vigun02g149300.1.v1.2 pep primary_assembly:ASM411807v1:2:29662656:29665730:-1 gene:Vigun02g149300.v1.2 transcript:Vigun02g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAYTQPVMIRLNLYCSLIPSARQARPGTSYGSLIIHNHKASEFPHRISIKAKAIKDEMDGETSGSSGRSWDPGLEIEVPFEQRPVNEYSSLKDGVLYSWGELGPGSFFLRLGGLWLAVFTVLGGPIAAASFNPSREPLRFILAAGTGTLFIVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVVKLLKQTLVGTGALLVTGVMLFIFATPVENFLRTTFTTEEIKSTVPKVNTKLNLRKEELLKLPVEVITDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLVK >Vigun07g156800.1.v1.2 pep primary_assembly:ASM411807v1:7:26795597:26798179:1 gene:Vigun07g156800.v1.2 transcript:Vigun07g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPIMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQSRLQRNLMYLAAIADSQPQPPPMPGQYPPSGMMQQGAHYMQAQQAQQMSQQQLMASRSSLLYAQQPFSALQQQQQGLHSQLGMSSSGSQGFHMMQSEATTVGGNAAIGSGGFPDFVRIGGSGKQDIGSSGEGRGGSSSGHSGDGGETLYLKSAADGN >Vigun11g048750.1.v1.2 pep primary_assembly:ASM411807v1:11:7725098:7725544:1 gene:Vigun11g048750.v1.2 transcript:Vigun11g048750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEIKSIGVIFTVMILLNFAQAGLTKVSYCSFKCRITCFVQSSPFSFEKCVHECMKLHCSKLSPDFVYNCIIGCRLTKSLVINNECCVQECKEKL >Vigun08g136650.1.v1.2 pep primary_assembly:ASM411807v1:8:30873078:30875123:-1 gene:Vigun08g136650.v1.2 transcript:Vigun08g136650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDMNISTCDELEQIFDSGDAQQLKTLHSSQQLCFPKLSSIVVTNCNKLKCLFYNISASHFTNLRYLEITNCSELHKAFDFEDEADDGGLEEMGKDGKQLLLHNLEDITLTDLPNFQEIHHGFKLTEDVRHTIRECPKYSPCLYLHPGDT >Vigun05g195450.1.v1.2 pep primary_assembly:ASM411807v1:5:37817791:37818019:1 gene:Vigun05g195450.v1.2 transcript:Vigun05g195450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQTRFGFIKSAFIFSSPSKKGPSSNWRSESSFSKLDLDPSNRRSSSSSLVR >Vigun02g136100.1.v1.2 pep primary_assembly:ASM411807v1:2:28578894:28583519:-1 gene:Vigun02g136100.v1.2 transcript:Vigun02g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYCVKESKPCVGWAQRYFDDCLCDLKDEISFALGFVSLICWGVAEIPQIITNFRAKSSHGVSLAFLLTWVAGDIFNLVGCVLEPATLPTQFYTALLYTITTIVLVLQSLYYDYIYKWNKRRRNIDIDEAQEEEKKPLRPKPAPQSGIAIPNDEPKATPKREYYYRSARSLAGNDTPPFGTYLRAAKSVPSAMEMNNDSSSDDEAPPLSTKPVTQPRPIPRSVPASYGTFLAASMNLPRQGNALKEGYKRFNGRKLLLQEQNMHSALGQWLGWFMAVIYMGGRLPQIWLNIKRGSVEGLNPLMFLFALIANATYVGSILVRTTEWESIRANMPWLLDAIGCVALDLFIILQYANYRYIRKKARSGDDADYGNYKEATKSFVS >Vigun02g136100.2.v1.2 pep primary_assembly:ASM411807v1:2:28578894:28583520:-1 gene:Vigun02g136100.v1.2 transcript:Vigun02g136100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYCVKESKPCVGWAQRYFDDCLCDLKDEISFALGFVSLICWGVAEIPQIITNFRAKSSHGVSLAFLLTWVAGFVNFSLSLFSTNFNPTFSNCFAQPFLCSDIFNLVGCVLEPATLPTQFYTALLYTITTIVLVLQSLYYDYIYKWNKRRRNIDIDEAQEEEKKPLRPKPAPQSGIAIPNDEPKATPKREYYYRSARSLAGNDTPPFGTYLRAAKSVPSAMEMNNDSSSDDEAPPLSTKPVTQPRPIPRSVPASYGTFLAASMNLPRQGNALKEGYKRFNGRKLLLQEQNMHSALGQWLGWFMAVIYMGGRLPQIWLNIKRGSVEGLNPLMFLFALIANATYVGSILVRTTEWESIRANMPWLLDAIGCVALDLFIILQYANYRYIRKKARSGDDADYGNYKEATKSFVS >Vigun02g199200.1.v1.2 pep primary_assembly:ASM411807v1:2:33395091:33398083:1 gene:Vigun02g199200.v1.2 transcript:Vigun02g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVKHRPSSSVLLFLFIVFIFMSSLNRVSGEDPIDIYCPTEFPLYNLNSSFHDNLNLVLGLLSSDNASKAGFYNTSIGEEPNKVYGQSLCRGDISNSTVCKECIEKASQDIMNSCRSENAMIWYNLCQVRYSFQSFDVVAYTGKYPKQNDEEKNVSDPIRFREYLSFLMNNLSTEAASVKNMFAAGEIDYPGKKTIYGLVQCTRDMSLENCGSCLSSAFTEITACCSYREGGIILSRTCNMRFQMSQFFNASSAYLLVYPTSTGGKWKSWMFVLIICGSIFVLALVVGLGIACLRGKNNRDRDKEMSERTLLQELTTPKHVAVTEEGDLISSDEMLFMTLASIRVATDEFSDTNKLGQGGFGAVYKGVLPDGNEVAVKRLSRKSWQGIEEFKNEVVLIAKLQHKNLVRLLGCALEGEEKLLIYEFMSNKSLDQLIFDPEKRSKLDWKTYNGIINGIARGLLYLHEESRLKIIHRDLKPNNVLLDYDLSAKISDFGMARIFSENQNAANTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVILLEIISGKRNSGFYQTELAPTLLAYAWRVWNEGKALDFVDPVLLESCPASEVVRCMHIGLLCVQENPEHRPTMSTVMLLQGSESVVLPQPKQPAFSLSRVLRLDPSTKTNPSEKEIIFSDILPR >Vigun04g060600.1.v1.2 pep primary_assembly:ASM411807v1:4:6210465:6215544:-1 gene:Vigun04g060600.v1.2 transcript:Vigun04g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVFTSLKDENPELQKQIGCISGFFQLFDRHRFLTEQQGGTRNQVKEVNNTTQKATGKNVKVAGENQQFSTESSRTSMSSSSRSSSMSSLEFNRAIQIEPPSIISPMKIPENSNPEVAVKQHGNQGPQSLDFYDIVKESMHRDVQGLSIKVVAKEEKKGRILKHVDSPRPLEPPKHVNMVAWDSPRLSYDGRDTQDSLKSATKAKELPRLSLDSREGSIKSFNEGTKCLGLLKGLQKGYGSSGTMIKQLQEPETSRRSSSVVARLMGLETFPDCTETCTSKNESNAEPSTNDEYKQHQIAASMKGSSFLQFRRDASILNVTPYSRFSLEPEVNLQQIQTSKRSESAAKASNQSLSVYGEIEKRIADIEFKNSGKDLRALKQILDAMQRYKESFDITRDQASNSLSDNRSNSSLSESSVVKSPRIRQKGPASTTSEMRNSTQGCKSPIFTMKPAKSARQTSKFGSANPANGVVGDKLHRKTAKDKSNNKMRTSKLLQSPKVPQVINGENGTNSSKSPRLQKKFGLERRSPTTSPSSESCSNRKQQNRQKFSETKNQRGDFKHEVGVEVIHIDQNNAAEDLNKESFQAEKIVTAEQPSPVSVLDAAFYSEEPPSPVKKKSDITRDLDDALSDSSEENSEDLPLLSYNAKANFSSSDTDLRTQNLVQILHQIDSNDERFTSFRDYNDPDHKYISEILLASGLLSSPSSCYDFHSSSHPINPKLFLALEQIKTNKNCFNIEYNAKKISGPSSPEKMQRKLVFDVVSDILAQKLILESSTPWCGSYQPTSRKIKGNLLLDELCTEIDKLQHKNRNVKLINEDENMTSLLWEELMQCPTIYTNSYMEIPNVVLDIERLIFKDLITEVVRSELANQSGKHCRKLVFCK >Vigun03g368700.1.v1.2 pep primary_assembly:ASM411807v1:3:57165564:57171761:1 gene:Vigun03g368700.v1.2 transcript:Vigun03g368700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRKAAPPITSSDVDSSLRTEPKKSTTKQFDRIDNLFESYANKSLGLIDPDGIVAFCKDVHVDHTDVRMLILAWKMKAEKQGYFSKDEWRKGLKCLGADTLPKLRKVVGGLKKEVMVPECFEDFYSYAFQYCLTEDKQRSVDIETICELLNVVLRSEFPTQVNLLTEYLKVQNDYRALNIDHWRNFYRFFKEVSFSDLRSYDSSQAWPVILDNFVEWLKEKPEKI >Vigun03g368700.2.v1.2 pep primary_assembly:ASM411807v1:3:57165564:57171761:1 gene:Vigun03g368700.v1.2 transcript:Vigun03g368700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCCKVVEPKKSTTKQFDRIDNLFESYANKSLGLIDPDGIVAFCKDVHVDHTDVRMLILAWKMKAEKQGYFSKDEWRKGLKCLGADTLPKLRKVVGGLKKEVMVPECFEDFYSYAFQYCLTEDKQRSVDIETICELLNVVLRSEFPTQVNLLTEYLKVQNDYRALNIDHWRNFYRFFKEVSFSDLRSYDSSQAWPVILDNFVEWLKEKPEKI >Vigun03g368700.3.v1.2 pep primary_assembly:ASM411807v1:3:57166129:57171761:1 gene:Vigun03g368700.v1.2 transcript:Vigun03g368700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQDFKISRFWCCGLIIRTDLIRFSAAYWIICFHFFYGLIWRERVVVVLEPKKSTTKQFDRIDNLFESYANKSLGLIDPDGIVAFCKDVHVDHTDVRMLILAWKMKAEKQGYFSKDEWRKGLKCLGADTLPKLRKVVGGLKKEVMVPECFEDFYSYAFQYCLTEDKQRSVDIETICELLNVVLRSEFPTQVNLLTEYLKVQNDYRALNIDHWRNFYRFFKEVSFSDLRSYDSSQAWPVILDNFVEWLKEKPEKI >Vigun03g368700.4.v1.2 pep primary_assembly:ASM411807v1:3:57165367:57171762:1 gene:Vigun03g368700.v1.2 transcript:Vigun03g368700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILAWKMKAEKQGYFSKDEWRKGLKCLGADTLPKLRKVVGGLKKEVMVPECFEDFYSYAFQYCLTEDKQRSVDIETICELLNVVLRSEFPTQVNLLTEYLKVQNDYRALNIDHWRNFYRFFKEVSFSDLRSYDSSQAWPVILDNFVEWLKEKPEKI >Vigun03g018900.1.v1.2 pep primary_assembly:ASM411807v1:3:1358576:1362801:1 gene:Vigun03g018900.v1.2 transcript:Vigun03g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSFSLFRFCFLFLRCIAIILKFSISNQPFRMQRFVDDVLAVTKESVKTFTYESLNNTVRLINGVSALVLALLPGKANILEGIQGWELRPTFRGPRLPRWMENGASSFNQFIHELSLDSDVSSQEYLSGEEEEEEGGGDEYEEYPKTPLSPSSRVPRDTFTDYGRHQTDWILISLQFLMGLPFRLFQLVYSGVSKPRSIAGCQHPSQPHPPNGLQSLKDHIIHRATDRRRGVIEDLHLAMEIFIEAVFDVIHKSIHVLFSPSEAFGTLFRLFSSNERPILVDKDVVEDASVSSAPAERNTSFRSSLNTDARTCQDVITELGYPYEAIHVITADGYVLLLERIPRRDARKAVYLQHGTFDSSMGWVSNGVVGSPAFAAYDQGFDVFLGNIRGLVSREHVNKNISSREYWKYSINEYGTKDIPALIEKIHQVKTTELKLSKPDIEEESNDDQLYKLCAICHSLGGASMLMYVVTRRLKSKPHRLSRLVLLSPAGFHHDSSLLFSAAERVLFLLGPILSRIFPAFYVPTRFFRMLVNKLARDLQHLPAAGGLVQTLLGYVAGGDSSNWVGVLGLPHYNMNDMPGVSFGVALHLAQIKRSRRFRMFDYGSAYNMKIYGSPEPLDLGEHYGLIDIPVDLVAGQRDTVIRSSMVKRHYKLMKEAGVDVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVKPSSKNHQRAPRLRRKGQASVTG >Vigun02g061500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20717360:20719747:1 gene:Vigun02g061500.v1.2 transcript:Vigun02g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAATSNSNIHQLQSFNSQNNNYHLRDASFSSYLNSKEEILGESGHGFASNRKEHLHHGVKKEDDGEIGVFGAEKYFNGEDMESPPRVVNNDANKNQPQKDEQTALVTRKNKVQYGTPSVRSESSLNSQSALLQSGVRNSSKNMKNKLRRKSFLASLGCKCYCSDSNSVDISDHAGEIKDASNGKTTSRNIFNADPEANHLVKMTKPHAAEILISKDAYFQRPEKLAQGLSREKSSSLSSVTSSSGNQLVKLQLQAEKPRNSLEVFGSPILDSRSKSLSFDRRLAKTSWEAAPKIEETDAGGNYNDAESDASSDLFEIESLTGKSNSLLARSTSNIVSSCASPTTCYAPSEASIEWSVATASALEYSAMSDFDDQRSIATTRSPIKTSLVSSNAKPKVIKEMQRRRPSILLGCKSQKAVGVAADAFTAYDKPSSNTQIRRRSDTYPHVTEFKAETKEGTFGPRHNKQHAYATPPLQRSLSPHPSQLLYI >Vigun11g077100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22794371:22796026:1 gene:Vigun11g077100.v1.2 transcript:Vigun11g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKLHIVVFPWLAFGHLIPFLELAKRIAQKGHKISFISTPRNIHRLPKLPESLKPWLHLIEFPLPHVDKLPENAENTVDTPQHLVPYLFKAYDALEQPLTKFLEKSTPDWVICDFAPHWLPPLSSMLGIPCIFFCSFAACGSSFAVELFMGKKSTESAEAKLLRAVHKRKEVAQSSQPKEVNRFFETLKGAQVFATRSCMEIDGEFVKSLGSSSGKLVIPTGLLPPSPEDSNDHNWYTIVNWLDKWEKGSLIYVAFGTEVTLSDEEFTEIAMGLELSGFPFLWTVKNRNTSSVSDESQDWIENESKRGMMWRRWAPQSRILAHKSVGAFLTHCGWSSVIEGLQVGCPLVMLPFQYDQWPIAKFMEEKKVGLKVHRNEHDFKFTRDSVAKALRSVMLEEEGKCYRSGAQEMSKIVGDKQLQEKYVNQFVDYMKMNRPGYN >Vigun09g118300.2.v1.2 pep primary_assembly:ASM411807v1:9:26099084:26108252:1 gene:Vigun09g118300.v1.2 transcript:Vigun09g118300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLLTTDFGFKPQGKSAPMAASKASSNNSSSLNFDLGSRSARASNSLAGHDSVNAASFDDLFGGGGKSDASYDFDSVFRGSADFRSKSVNLPAHDKPVYDKPMYDDDDIFDGVPGLKSSSKFSYGDVFAPAAFDDLLGGLGKSKPSDKEERGVADFDDLIPGFGSSKASTDRTVPNIDLSSQPTISSSKMASGETDDPFKVFEPTSAPMDSSSKYFTDPLEEISKFSSSRNTKNGSLSNSNGEVYDDIDPFGGLGNSVPSFSAERNSTKGSSSPNTTSDKESNGESSVRSPERQTQNKIPVDNDQEFHQAAFNMPTYSSNSYKPFGERSTSPSHDNDGFKPANTQADMTPKYEEEFESNDDIWLTVSEIPLFTQPTAAPPPSRPPPPRPVHIPKSGGGSSASANVRKKDSEFSSFPSSTQFYQGPKSTPAAAKLSSAFQFDELEEFAMGRSLGNDNEHGNGLADKELEMNSAAAAMKVAMDKAEAKFRHAKEVRERENTKAVKSKEPVQLEKDGRTVTEDGGKQERLDHEQQQKEREEREQRRREKEIEEKEREQQRLEREREMARQAVERATREARERAAVEARQRAERAAVEKANAEARKRAERAAVQRAQAEARERAAAEAKERAEKAAAEAKEREVRERAAAARAESEARGKQERAAVERAAAEARERAAVQARERAAAAARMNQQQNDNDLESFFSTGARANSAPRPPRSSASEPVFDAQFQAKTAGVSSSMKKASSSTNIADDLSSIFGAAPSSSGAFQEVDGESEERRKARLERQQRAQERAAKALAEKNQRDLQTQREQAEKHRLGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVRKVYRKATLCIHPDKVQQKGATIQQKCIAEKVFDLLKEAWNKFNSEELF >Vigun09g118300.1.v1.2 pep primary_assembly:ASM411807v1:9:26099056:26108264:1 gene:Vigun09g118300.v1.2 transcript:Vigun09g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLLTTDFGFKPQGKSAPMAASKASSNNSSSLNFDLGSRSARASNSLAGHDSVNAASFDDLFGGGGKSDASYDFDSVFRGSADFRSKSVNLPAHDKPVYDKPMYDDDDIFDGVPGLKSSSKFSYGDVFAPAAFDDLLGGLGKSKPSDKEERGVADFDDLIPGFGSSKASTDRTVPNIDLSSQPTISSSKMASGETDDPFKVFEPTSAPMDSSSKYFTDPLEEISKFSSSRNTKNGSLSNSNGEVYDDIDPFGGLGNSVPSFSAERNSTKGSSSPNTTSDKESNGESSVRSPERQTQNKIPVDNDQEFHQAAFNMPTYSSNSYKPFGERSTSPSHDNDGFKPANTQADMTPKYEEEFESNDDIWLTVSEIPLFTQPTAAPPPSRPPPPRPVHIPKSGGGSSASANVRKKDSEFSSFPSSTQFYQGPKSTPAAAKLSSAFQFDELEEFAMGRSLGNDNEHGNGLADKELEMNSAAAAMKVAMDKAEAKFRHAKEVRERENTKAVKSKEPVQLEKDGRTVTEDGGKQERLDHEQQQKEREEREQRRREKEIEEKEREQQRLEREREMARQAVERATREARERAAVEARQRAERAAVEKANAEARKRAERAAVQRAQAEARERAAAEAKERAEKAAAEAKEREVRERAAAARAESEARGKQERAAVERAAAEARERAAVQARERAAAAARMNQQQNDNDLESFFSTGARANSAPRPPRSSASEPVFDAQFQAKTAGVSSSMKKASSSTNIADDLSSIFGAAPSSSGAFQEVDGESEERRKARLERQQRAQERAAKALAEKNQRDLQTQREQAEKHRLGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVRKVYRKATLCIHPDKVQQKGATIQQKCIAEKVFDLLKEAWNKFNSEELF >Vigun11g036533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4906734:4907159:1 gene:Vigun11g036533.v1.2 transcript:Vigun11g036533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGHRNVACVLCRYQHRRHDGSCEFGQYFASNRSIEFENACRLFGLANLLRLMRSAEVFERQVMADSILIEGNMWSNDPIHGALGHVLTLNNKIQSVQRELQIVNTMLAQCSLQTTSHVANTVVVRVLFLSMIIVSAAFS >Vigun06g067600.1.v1.2 pep primary_assembly:ASM411807v1:6:19630716:19634102:-1 gene:Vigun06g067600.v1.2 transcript:Vigun06g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGSRSNENKLLSFGDFFCKSSIENLWSLEHLSLPFIESSAQVVWISFVYC >Vigun11g038000.1.v1.2 pep primary_assembly:ASM411807v1:11:5211026:5222619:-1 gene:Vigun11g038000.v1.2 transcript:Vigun11g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQSRFYKHLQCNSMEPRNEEFQPGSQSVIQDHMDGMHSIRRPSDYSTSDVKPVLNYSIQTGEEFALEFMRDRVNLRKPMFSNVSDSNSNYATGCMELKGVLGINHAASESGSDISVLSKTEKGPTEFNRPSTSLHGDRSNYGSIRSIPRVSLNQENSRFVRGYGSSVGSDSSTMMKCLCSFGGRILPRPSDGKLRYVGGQTRILRLRKDISWQELMQKALLIYNLVHVLKYQLPGEDLDALVSVSSDEDLQNMMEECNLLEDRERSKKLRLFLFSMSDLEDAHFSLSSISDDSEVQYVVAVNGMDFGSINSSTPLGVSFSADDLHELERQTSHRETNNRAAVESVGASAPLTNKSDPSLTIHSSQAILPNASNSYELDQLSYGDQMPQFGEYSRQYFVHHGLNSTHNPVGETFIPMAPHLLNNQQGVQNEDHLSSGLQIQNSQLSAMQVKKISDNSVKQGSDSEKVLTSETTSPAPVQTFDSGLKSNFPEASVAVTMPEGHPPSLPSTKKVQHKDYEELSSTSSSAFVPTYVDSHPNAIDLSCLHPPPLPERVYYSERTPREQVELLNRSSKSDDTHSSQIHVSDLLSDVNPEDPVIESGGNLHPTDELVNTEKPLHADGHTTDNGFSKNQMSKPLPDTNSLIKSKLSELTDPELKPVLSSNEGTKDVETENYRKDSQTKPLFDETETKTKTKDGKSDLPTLHHVSSAKRLDDLASNLPEIDWGEASGKESNDGSVGQELPVSVTGSVTKDVNQDFPQNVVSKQSQGDILIDIDDRFPRELLSDMFSKAIHGEDPSSLHPLSGDGVGLSINMENHEPKRWSYFHKLAQGLDNVSLIDQDHLGFPPGIGKTEDSRTHHVMPLTTDGDPLHHEDSHLKFNEENPEDLHLRIETETTILKSNYNQSQLKDNESMQFDAMMENLRMQGSEFEDGKFDVKNSNLPPPLDPSLGDIDISTVQVIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQHGPGGTMATVAEYMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSNCDPEWRTLMEQCWAPNPAVRPSFTEIASRLRIMSAAASQTKTQGHKTSK >Vigun07g231300.1.v1.2 pep primary_assembly:ASM411807v1:7:35304369:35308917:-1 gene:Vigun07g231300.v1.2 transcript:Vigun07g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPSLLPEIGPDGLAKEAPVISYTEKIIEAEQLQLQKYIQENYSKIRDVERELANLSLEMKLTAGPKKAALEHLRKKIETSTERIRLAKLKEEQARKAWESASQVVRDEEAMKQKLCEDLSNLVQESSNSQFARLEELKRRLEALNPSRASTNLHDGRSAASSQDSTPQGSSVLNAKESNEGSTESVSNQSNGQKATVRNGPNQQPHNEGEGRSKKKVNLQSKGKGIGVVAKGRSSTPGWTGAGFDVDGRT >Vigun08g149400.1.v1.2 pep primary_assembly:ASM411807v1:8:32166013:32167600:1 gene:Vigun08g149400.v1.2 transcript:Vigun08g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTADFFYKEAQRLGYVARSAFKLLQIQKQHNIINRGATVLDLGCAPGGWLQVACQSLGPFHGGGSVLGVDTKKVKVPPLHCDSRVQTISADVTTLSHHRLKALSPKEKGFSVILSDMCPLVSGITTKDAALSFELGMRALDLALGNKIHLEPVDDDPSCSDDGKGVLKVGGHLVIKLLESEDAKEINQLSKPFFKKTSWLRPKATRPSSREIYLICQCLKPDAKI >Vigun11g113750.1.v1.2 pep primary_assembly:ASM411807v1:11:31667855:31668277:-1 gene:Vigun11g113750.v1.2 transcript:Vigun11g113750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVVFQQGLLKAMEGESRLDVSMAKKDNLGNKVLKQVLKEKTVIGHYMKFKNLYMTKFSVNHLYLKQTLYSYKMSSEKEISK >Vigun04g100700.2.v1.2 pep primary_assembly:ASM411807v1:4:23488931:23489601:1 gene:Vigun04g100700.v1.2 transcript:Vigun04g100700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVARAAIRARCHYVNKNGSGYVNELVYYKNTTSKVQGLENATKDGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICEGRSRYIRNS >Vigun04g098612.1.v1.2 pep primary_assembly:ASM411807v1:4:22589530:22591485:1 gene:Vigun04g098612.v1.2 transcript:Vigun04g098612.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDLIKDIDEKKETLKLGVRVKDLWFVQNRDTNRHMELILLDQKGDMIHAMVKKEDISLWEEKLVEGHTYIMHNFKIMKNQGQFRVCDHPYKLLFIGATTIKEQRISSIALNIYNFKSIEDIVNGKFSADLMYGKLESKMVTHLYHALRL >Vigun09g240100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41010430:41011085:1 gene:Vigun09g240100.v1.2 transcript:Vigun09g240100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRIELMVSAIFLSLMIFHGTFLAQGRPLQLQMKVTTTHENTAITALNTKNPQNDSVRNWTVNDFQPTDPGHSPGAGHSSPRANNPKP >Vigun07g118100.5.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMCSCLYLIHCTFCVAFSEEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKVIGQFNLGFIICKLDQDLFIVDQHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEDVKELISTLCDGDGHMECSIVGSFKLDSSDSICPSRVRSMLASRACRSSIMVGDALGRNEMRKILDHMAELKSPWNCPHGRPTMRHLVDLTKIHKSEHKMQM >Vigun07g118100.3.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKVIGQFNLGFIICKLDQDLFIVDQHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEGRC >Vigun07g118100.7.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21896967:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKRFLFLYPLYEIGKYLYTDFYL >Vigun07g118100.4.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEDVKELISTLCDGDGHMECSIVGSFKLDSSDSICPSRVRSMLASRACRSSIMVGDALGRNEMRKILDHMAELKSPWNCPHGRPTMRHLVDLTKIHKSEHKMQM >Vigun07g118100.2.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEGRC >Vigun07g118100.6.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMCSCLYLIHCTFCVAFSEEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKVIGQFNLGFIICKLDQDLFIVDQHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEGRC >Vigun07g118100.1.v1.2 pep primary_assembly:ASM411807v1:7:21892897:21899702:1 gene:Vigun07g118100.v1.2 transcript:Vigun07g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAKIIKPIGKGIVHRICAGQVILDLSSAVKELVENSLDAGATSVEISLKDFGEQWFQVIDNGCGISPDNFKFLALKHHTSKLAEFHDLQSLTTFGFRGEALSSLCALGDLSVETRTVSEPVATHLTFNNSGVLVSERKTARQIGTTVMVKKLFSSLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRFVCSNTTGKNVKSVVLKTQGSGSLKDNIITVLGMNTFNCLEPVTLSISDSCKVEGFLSKSGQGNGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKSANSKQYPVAILNFIVPTRAYDVNVTPDKRKVFFSEENALLQALREGLQQIYCAGKVCYSVNEVMVPAQKEECVELSSSSGKSPIVMKLSSSNDNHPQEKHYSESNNGSISLDDLNMECDNDTISQDELEKKHIADIKSASKSINEYQYSHVEEGLICDKNGSLMNQEFTLRAHSTSKVDNNGRQSARPGRIIHDHHTIVSKTIDSGNTSSKYSFNHSRHVQSTLNNFVSVNKRNRDGVFRALSEVPVLRNQDSHCQLKTANTETKDLITRSSLCFDQIDKPSSASEIESFKQPDPVNVYHKTEISDSFNGDSSDREPESNMEICLKNYTPLADTPSITPGIDMITTDVLASIPPVHSSPALLDSSKSSGRKICSNMQFSFQELKKRREKRLSLFQSSKFGRGKAKDKSLYSAATLELSQSQTGEEKERALAAAATELERFFKKEDFSRMKVIGQFNLGFIICKLDQDLFIVDQHAADEKFNFERLSQSTILNQQPLLRPITLELSPEEEIVASMHMDIIRKNGFTLEEDPNAQPGCRFKVKSVPFSKNTMFGIEDVKELISTLCDGDGHMECSIVGSFKLDSSDSICPSRVRSMLASRACRSSIMVGDALGRNEMRKILDHMAELKSPWNCPHGRPTMRHLVDLTKIHKSEHKMQM >Vigun08g100950.1.v1.2 pep primary_assembly:ASM411807v1:8:24993527:24998290:1 gene:Vigun08g100950.v1.2 transcript:Vigun08g100950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSYTCRSSSISFRDLKKNFSTSSKSKSKPKVSTHSSLHSTQPPRLEVQIPTPITPQANIQQGPSFSFSPPLPSASQASIQQGPKNACPPPPFAPQPRTLKVRISSTSQPRIAPQSNSQPPHQSFPVSEPTISTTFIF >Vigun06g203766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31810924:31811253:1 gene:Vigun06g203766.v1.2 transcript:Vigun06g203766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLITYLPARDLIRTHPGPTKNLVLKAQRLARQPAAAENKTPTLPRQLSSGTTSLTAWRHTQRCQAPGYRYQIRNNLTSPGGNKQPVRRHTSTQQYKHRISPGETNH >Vigun04g113900.1.v1.2 pep primary_assembly:ASM411807v1:4:28548043:28551491:-1 gene:Vigun04g113900.v1.2 transcript:Vigun04g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDVEGGSFSAKDYHDPPPAPFIDGEELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKAGGDLCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKGYYNRYGGGANELSEGYSTGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDHWMFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSNPTI >Vigun02g092900.3.v1.2 pep primary_assembly:ASM411807v1:2:24822678:24827966:-1 gene:Vigun02g092900.v1.2 transcript:Vigun02g092900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCNGCSVSSVAVFSSSIFVVSLILVRLLYVIYHSRRPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLRKDRFNPRFYIAAATDNMSLEKAQLLENSLATENATRVTQTSQFMKIYRSREVGQSYITSIWTTLIAMGHALWLMIKIRPEVILCNGPGTCIPLCAIAFIFKVVGIKWSSIFYVESIARVRRLSLSGLLLYKFRMADQLFVQWPQLQRQYPRATYVDIIMDDDTPSLLGAPSTVIDPLCILIVMPHITSHMVSITLQPKLFTMAMNLSS >Vigun02g092900.5.v1.2 pep primary_assembly:ASM411807v1:2:24824250:24827966:-1 gene:Vigun02g092900.v1.2 transcript:Vigun02g092900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLQCVFSCCLFKFHFCCLLDFGSSPLCHISQSQALEQKSFKTCGHTAEMLNLLAVLRKDRFNPRFYIAAATDNMSLEKAQLLENSLATENATRVTQTSQFMKIYRSREVGQSYITSIWTTLIAMGHALWLMIKIRPEVILCNGPGTCIPLCAIAFIFKVVGIKWSSIFYVESIARVRRLSLSGLLLYKFRMADQLFVQWPQLQRQYPRATYVGRLM >Vigun02g092900.4.v1.2 pep primary_assembly:ASM411807v1:2:24824082:24827985:-1 gene:Vigun02g092900.v1.2 transcript:Vigun02g092900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCNGCSVSSVAVFSSSIFVVSLILVRLLYVIYHSRRPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLRKDRFNPRFYIAAATDNMSLEKAQLLENSLATENATRVTQTSQFMKIYRSREVGQSYITSIWTTLIAMGHALWLMIKIRPEVILCNGPGTCIPLCAIAFIFKVVGIKWSSIFYVESIARVRRLSLSGLLLYKFRMADQLFVQWPQLQRQYPRATYVGRLM >Vigun02g092900.1.v1.2 pep primary_assembly:ASM411807v1:2:24824309:24827966:-1 gene:Vigun02g092900.v1.2 transcript:Vigun02g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFMIQQNFCAGKDVSVAVTEILNLPPMDKCNGCSVSSVAVFSSSIFVVSLILVRLLYVIYHSRRPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLRKDRFNPRFYIAAATDNMSLEKAQLLENSLATENATRVTQTSQFMKIYRSREVGQSYITSIWTTLIAMGHALWLMIKIRPEVILCNGPGTCIPLCAIAFIFKVVGIKWSSIFYVESIARVRRLSLSGLLLYKFRMADQLFVQWPQLQRQYPRATYVGRLM >Vigun02g092900.2.v1.2 pep primary_assembly:ASM411807v1:2:24822678:24827966:-1 gene:Vigun02g092900.v1.2 transcript:Vigun02g092900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCNGCSVSSVAVFSSSIFVVSLILVRLLYVIYHSRRPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLRKDRFNPRFYIAAATDNMSLEKAQLLENSLATENATRVTQTSQFMKIYRSREVGQSYITSIWTTLIAMGHALWLMIKIRPEVVLCQNDLVLLSYFSLLQFELIMYAIIQILCNGPGTCIPLCAIAFIFKVVGIKWSSIFYVESIARVRRLSLSGLLLYKFRMADQLFVQWPQLQRQYPRATYVDIIMDDDTPSLLGAPSTVIDPLCILIVMPHITSHMVSITLQPKLFTMAMNLSS >Vigun04g139400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34588737:34592675:1 gene:Vigun04g139400.v1.2 transcript:Vigun04g139400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTNLRYLSLSNCYFAGRIPSKLGDLSQLRYLNLRSNILWGEIPVQIGNLKLLQYLDLGGYYLSGKIPYQIGNLRKLQYLSIGGNYGEIWRPNISNSLSGAIPFQIGNLPLLHTLRLDGNFDVKAKDAQWLSSLHSLTVLHLTSLRNLCSSRQWLQTISKIIPNLTELRLAECNLLDVDIQSLFHSRSSNNSISPTILDLSSNMLTSSTLQLLFNFPSLKILDLSYNNLASLMFLENFNISSKLQELHLQSCNLIDRSFLVSSPFTVNSLSSLHHLDLSYNLLRSCSTFHCLSNFTTNLRILQLQSNLLEGPIPNEFGKAMNSLEYLILSDNKLQGKVPSFFGSMCRLYRLELSNNKFNGEFPSFIQNSSWCSRHIFGALYLAHNQITGKIPEGIGLLSELQILDLRWNFLEGDVTECHLSNFFKLFFLLLSHNSLSLKFVSNWIPPFQLNYLELASCKLGKTFPSWLQTQSSLFSLDISENGISDSVPEWFWNKMQTIYYLDMSHNNFIGSIPNMQLKLLSRPSINLNSNKFEGKVPLFLLQASELLLSSNNFSDLSSFLCGNVTAANLATLDLSYNQIKGKLPDCWKSVDQLLFLDLSSNELTGNIPISMGTLVKLEAFVLRNNSLMGELPSSLKNCNNLIMLDVSENMLSGPIPSWIGESMQQLIILIMRGNHLSGNIPLHLCYLKRVHLFDLSRNNLWGGIPTCLNNFAALSENNINRTETESRVHWYNTTYYEIYSVLVDSYYKLRITWMWKGVERSFTNPELTLRSIDLSCNNLTGKIPREIAYMFGLVSLNLSANNLSGEIPSEIGNLRSLESLDLSRNQFNGRIPSSISQMDFLQKLDLSHNSLSGRIPSGGHFDTFDGSCFEGNVDLCGELLNKSCAGDQISVKPQEATTHGEDSVFYEALYMSLGIGFFTGFWGLLGPLLLWQAWRIAYLRFLNTLIDYLLVMVEVNIAKCQRWLEE >Vigun04g139400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34588737:34592675:1 gene:Vigun04g139400.v1.2 transcript:Vigun04g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTNLRYLSLSNCYFAGRIPSKLGDLSQLRYLNLRSNILWGEIPVQIGNLKLLQYLDLGGYYLSGKIPYQIGNLRKLQYLSIGGNYGEIWRPNISNSLSGAIPFQIGNLPLLHTLRLDGNFDVKAKDAQWLSSLHSLTVLHLTSLRNLCSSRQWLQTISKIIPNLTELRLAECNLLDVDIQSLFHSRSSNNSISPTILDLSSNMLTSSTLQLLFNFPSLKILDLSYNNLASLMFLENFNISSKLQELHLQSCNLIDRSFLVSSPFTVNSLSSLHHLDLSYNLLRSCSTFHCLSNFTTNLRILQLQSNLLEGPIPNEFGKAMNSLEYLILSDNKLQGKVPSFFGSMCRLYRLELSNNKFNGEFPSFIQNSSWCSRHIFGALYLAHNQITGKIPEGIGLLSELQILDLRWNFLEGDVTECHLSNFFKLFFLLLSHNSLSLKFVSNWIPPFQLNYLELASCKLGKTFPSWLQTQSSLFSLDISENGISDSVPEWFWNKMQTIYYLDMSHNNFIGSIPNMQLKLLSRPSINLNSNKFEGKVPLFLLQASELLLSSNNFSDLSSFLCGNVTAANLATLDLSYNQIKGKLPDCWKSVDQLLFLDLSSNELTGNIPISMGTLVKLEAFVLRNNSLMGELPSSLKNCNNLIMLDVSENMLSGPIPSWIGESMQQLIILIMRGNHLSGNIPLHLCYLKRVHLFDLSRNNLWGGIPTCLNNFAALSENNINRTETESRVHWYNTTYYEIYSVLVDSYYKLRITWMWKGVERSFTNPELTLRSIDLSCNNLTGKIPREIAYMFGLVSLNLSANNLSGEIPSEIGNLRSLESLDLSRNQFNGRIPSSISQMDFLQKLDLSHNSLSGRIPSGGHFDTFDGSCFEGNVDLCGELLNKSCAGDQISVKPQEATTHGEDSVFYEALYMSLGIGFFTGFWGLLGPLLLWQAWRIAYLRFLNTLIDYLLVMVEVNIAKCQRWLEE >Vigun09g208400.1.v1.2 pep primary_assembly:ASM411807v1:9:38256263:38259983:-1 gene:Vigun09g208400.v1.2 transcript:Vigun09g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYQKEKSNLCNKSQVPLMGRRKIQVFDEKSDGFFSVGCLGFQSEDRCYQFGGLFASVSQMGVGVGVQPSEPSDSRDNGDTKLPFNELFIKYQGKDEVVEERVDGKKRKGGFSLRLKIKSPSLRRLFSGAIAGAVSRTAVAPLETIRTLMMVGNSDHSTTEVFHNIVKTDGWKGLFRGNFVNVIRVAPSKAIELFTFDTVNKNLSPKPGEESKFPIPASLIAGACAGVTSTICTYPLELVKTRLTVQRDVYDGLLHAFLKIIREEGPAELYSGLAASLIGVVPYAAANYYAYDTLKKAYKKVFKQEKVGNIETLLIGSVAGAISSSSTFPMEVARKQMQLGTLSGRQVYKNVFHALACILEQEGIQGLYRGLVPSCMKLIPAAGISFMCYEACKRIFLEDDEED >Vigun06g002200.1.v1.2 pep primary_assembly:ASM411807v1:6:1074510:1081854:-1 gene:Vigun06g002200.v1.2 transcript:Vigun06g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSDIGVAAAINISSALLFFVAFAILRLQPWNDRVYFPKWYLKGLRTDPVQGRAFVSKFINLDWRAYLGFLNWMPEAIRMPEPELIDHAGLDSVVYLRIYLIGLKIFVPIAFLAWTILVPVNWTSTGLEGAHIRNITSSDIDKLSVSNVHSRSERFWGHIVMAYAFTFWTCYVLLKEYGKVASMRLGFLAAEKRRPDQFTVLVRNIPSDPDESVSELVEHFFLVNHPDHYLTHQVVYDANKLAKLVNKKKKLKNWLVYYQNKLERTSKRPQIKTGFLGLWGKKVDAIDHHTNEIDKLSKEIVEEREKVTNDPNSIMPAAFVSFKTRWGAAVCAQTQQTRDPTLWLTEWAPEPRDVYWRNLPIPYVSLTVRRLIIAVAFFFLTFFFMIPIAFVQTLASIEGIQKAAPWLKPLVTIPFIKSFIQGFLPGIVLKLFLIFLPTILMIMSKFEGFGSISSLERRSASRYYLFNFVNIFLGNILTGTAFQQLSSFIHQPANQYPVTIGTAIPLKASFFITYIMVDGWASIAAEVLMLKPLIFYHLKNFFLVKTEKDREEAMDPGSIGFNSGEPRIQLYFLLGLVYAAVTPAVLPFIIVFFGLAYVVFRHQIINVYNQQYESGAAFWPDVHFRIILALIFSQIVLMGLLTTKKAASSTPFLIVLPILTIWFHRYCKGRFESAFVKFPLQEAMMKDTLERAIEPNLNLKGYLQNAYVHPIFKDSMDEDSDDEEIMSMDFETESAIVRTKRQSRKNTPLPSRNNASSLSLSDGIQSHLEP >Vigun06g002200.2.v1.2 pep primary_assembly:ASM411807v1:6:1074536:1081838:-1 gene:Vigun06g002200.v1.2 transcript:Vigun06g002200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSDIGVAAAINISSALLFFVAFAILRLQPWNDRVYFPKWYLKGLRTDPVQGRAFVSKFINLDWRAYLGFLNWMPEAIRMPEPELIDHAGLDSVVYLRIYLIGLKIFVPIAFLAWTILVPVNWTSTGLEGAHIRNITSSDIDKLSVSNVHSRSERFWGHIVMAYAFTFWTCYVLLKEYGKVASMRLGFLAAEKRRPDQFTVLVRNIPSDPDESVSELVEHFFLVNHPDHYLTHQVVYDANKLAKLVNKKKKLKNWLVYYQNKLERTSKRPQIKTGFLGLWGKKVDAIDHHTNEIDKLSKEIVEEREKVTNDPNSIMPAAFVSFKTRWGAAVCAQTQQTRDPTLWLTEWAPEPRDVYWRNLPIPYVSLTVRRLIIAVAFFFLTFFFMIPIAFVQTLASIEGIQKAAPWLKPLVTIPFIKSFIQGFLPGIVLKLFLIFLPTILMIMSKFEGFGSISSLERRSASRYYLFNFVNIFLGNILTGTAFQQLSSFIHQPANQYPVTIGTAIPLKASFFITYIMVDGWASIAAEVLMLKPLIFYHLKNFFLVKTEKDREEAMDPGSIGFNSGEPRIQLYFLLGLVYAAVTPAVLPFIIVFFGLAYVVFRHQIINVYNQQYESGAAFWPDVHFRIILALIFSQIVLMGLLTTKKAASSTPFLIVLPILTIWFHRYCKGRFESAFVKFPLQEAMMKDTLERAIEPNLNLKGYLQNAYVHPIFKDSMDEDSDDEEIMSMDFETESAIVRTKRQSRKNTPLPSRNNASSLSLSDGIQSHLEP >Vigun02g145800.1.v1.2 pep primary_assembly:ASM411807v1:2:29389264:29393852:1 gene:Vigun02g145800.v1.2 transcript:Vigun02g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLFHSHLHTLPPLSSAVHRPLFQPPTAAAFPRSSPFRRLCPPPLLAANTLTANSVSPRNGLYTVGDFMTRKEDLYVVKPTTSVDEALDILVEKRITGFPVIDDNWKLVGVVSDYDLLALDSISGHGLKDNSMFPEVDSTWKLLQTFNEIQKLLSKTNGKLIGELMTTAPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGIITRGNVVRAALQVKRANQNKA >Vigun02g145800.4.v1.2 pep primary_assembly:ASM411807v1:2:29389140:29393852:1 gene:Vigun02g145800.v1.2 transcript:Vigun02g145800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLFHSHLHTLPPLSSAVHRPLFQPPTAAAFPRSSPFRRLCPPPLLAANTLTANSVSPRNGLYTVGDFMTRKEDLYVVKPTTSVDEALDILVEKRITGFPVIDDNWKLVGVVSDYDLLALDSISGHGLKDNSMFPEVDSTWKTFNEIQKLLSKTNGKLIGELMTTAPMVVRETTNLEDAARFFHLFPCLTLFFRIF >Vigun02g145800.2.v1.2 pep primary_assembly:ASM411807v1:2:29388809:29394112:1 gene:Vigun02g145800.v1.2 transcript:Vigun02g145800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLFHSHLHTLPPLSSAVHRPLFQPPTAAAFPRSSPFRRLCPPPLLAANTLTANSVSPRNGLYTVGDFMTRKEDLYVVKPTTSVDEALDILVEKRITGFPVIDDNWKLVGVVSDYDLLALDSISGHGLKDNSMFPEVDSTWKTFNEIQKLLSKTNGKLIGELMTTAPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGIITRGNVVRAALQVKRANQNKA >Vigun02g145800.3.v1.2 pep primary_assembly:ASM411807v1:2:29389107:29393917:1 gene:Vigun02g145800.v1.2 transcript:Vigun02g145800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLFHSHLHTLPPLSSAVHRPLFQPPTAAAFPRSSPFRRLCPPPLLAANTLTANSVSPRNGLYTVGDFMTRKEDLYVVKPTTSVDEALDILVEKRITGFPVIDDNWKLVGVVSDYDLLALDSISGHGLKDNSMFPEVDSTWKTFNEIQKLLSKTNGKLIGELMTTAPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGIITRGNVVRAALQVKRANQNKA >Vigun01g237500.2.v1.2 pep primary_assembly:ASM411807v1:1:40876229:40896220:-1 gene:Vigun01g237500.v1.2 transcript:Vigun01g237500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASHARAVKSLNKSSGRGRFVFKSFSERVDEIDINVYRSLEKVKAEPSEGSSFFRDCLIEWRELNTAEDFISFYEEMMPYTQTLPLVLLHKESLISKLLSRLHIKARLSLDAILRLIAALSRDLLEEFVPLLPRIVDSLVSLLENGGDKEPDIIEQIFMSWSYIMMYLQKYLVRNPSEVLKVTSKLRYYPNKYVRQFMAEAMSFVLRNAPDEQLERGIRRVIDDAVKKPSPCRESGAEALLFNIMKGYTSRFHSKAERVLQLLTSEAIYAVGDKVSQDSMAIVKIVKSVFKKLCETMESKELNLVWNCLYKEADGCLNTGNIRHLQYILSVLVSAIKMQNGKKVPDYKPVLKLALRLVQTFTKPCGVIDSQDMNLVVHRILKLMLAILKGLCNCNTSMIPECALQWAPIFRSGSSSLLCFIRKLLQEDLCLFHFRSNVISAMNGLMEISEEEVIHLLQSFCEKMQLDKRDLVDGTSEEEPLARICSRLQEIISCWKEKINDIARADVLCEIDEGMLAHLWGAVSCYSHMSIVGGNPSLMVELMEALDHLLTAKAGYIGDMSKRALESIIGAALSSYNRLCNHTFCGADETGKFLSLAKRYKLSPPVLLAVADYLEFNFSLENNGCRIYHPELEGKTADAVAIFSDNLHHSDKEIRISTIKILRHYKPIVWESSSVDEAADMKNKTEVSPTSNADFTENNALLLLLSLETTPISISTSRSVQLLISKIQMELSAGRIPNVYVPLVLNGLLGVLNNRFRYLWDPVLECIAVLISIHLTHVWDSLVDYIERCQAIFLTPCNLHASDNGALFGRPTGLLDCFKSFVCHASDSTPTVTILELLLQALQKIPAVIESRSRQFIPLFLKFLGYNTLDLASVGLFDSVSCEGKEWKTILKEWLNLLKLMKNPKSFYCSQFLKEVLQNRLLEENDPEIQMRVLDCLLIWKDDYILPYIEHLRSLINSKNLREELTTWSLSRESEYIEECHRSYLVPLVIRLLMPRVRKLKGLASRKKASICHRKAILSFVAGVDVIELPLFFVLLIKPLRIVKKTDGPANLFWTLPTGSINEFQDAALLEYFTFDNIANLSWKKKYGFLHVIEDIVAVFDELHIRPFLDLLVGCVVRLLESCTLSLSANLNRLPSDQHNCSPNSNSIGEDSVPTDQIQISGNLNQLKDMRSLCLKIISLVLNKYEDHEFCSDWWDKFFSAVKPLIEKFKQETASSEKPSSLLSCFTAMSGNNKLVALLCWKESLVPDIFSIISVNSASETVIYCVLKFVENLLNLDHQFNGEDNAAQTVLLSNIEVLMDSMCCLFGRDNAIKRKLIKSPGETVIRIFKFLPKYIRDTKLAKQFVEILLLFMEKKTQNSDVWVEVLQVIQNILPTLGHESTTKILSAVSPLYISAELDMRLRICDLLDALVASNTSILPVAKLLRQLNTTSTLGWLDHDAILDAYRIINIDFFGSVQVEQALLILSHCVHDMSSEETTFMCSAHSSLLSFVDFSALILHQEENSEEHISVMKNTDNCWTKSCIQRVAKKFLLKHMADAMDGSLSVIKGWIKLLHQMVLKLPEVSNLKSLMVLCNEDGEAVFFDNISDSVIRKRVKALSWFQNVVSVNKLSEFITEKVFLRLFFNMLFDEKEEKAEHMKNACIETIASVAGQMGWKSYYTLLIRCLRGASSNPDKQKLFIRLICCILDKFHFYDKQPKEPLDVVSDMEMRDTDVNKEIQACLYKIVLPNIQKLLDSESEKVNVNISLAALKLLKLLPGDVMDLYLPTIVHRISNFLKRHLESIRDEARSALATCLKELGLEYLQFIVKVLQSTLKRGYELHVLGYTLNFILSKCLSTPVVGKIDYCLKDLLSVIENDILGDVADQKEVEKIASKMKETRKKKSFESLKLVAQNITFKSYALKLLAPVTSHLQKHITPNVKGKLENMLSHIATGIESNPSVDQTDLFIFIYGIVEDGLKDEISWHENKLLLLEDKDSRVKTKRISKGPVVAKGLLCSHLITVFGVRIFHKRMKSLKQDVKDENTLSLLDPFVKLLCDGLSSKYEDILSTSLECLAILVRLPLPSLQQHAGRLKASLLDIAQGSVRSSSSLMQSCLKLLSVLLRNTKISLTSDQINSLIHLPIFLDIEKNPSLVALSLLKGIVSRKLVVPEIYDVVTRVAELMVTSQIEPIRKRCSKILLQFLLDYRLSEKRLQQHMDFLLLNLSYEHSTGRESILEMIHTIIVKFPTSVLDEQSYSIFVRLVLCLANDNDYIVRAMAGNAIKKLVSSVSPNSLNSILDYVLCWYLGGKQQLCGAAAQVLALLIEVKKKGFREHINSILPVTRHIFRSAIDAVTNWQEGFSTESVIPLWNEAYYSLVMFEKMINQFGDLCFAEYLEDIWEAICEMLLHPHSWIRSISVRLIALYFSHVTDASRENHGTSLRSYFIMSPSRLFLIATSLCCHLKMPVINDSDSSLMTQIIIFAICGVHSLMGQSACIDPPAFWSTLSQQEKDRFLKAFDLLDSRKGRSMFMSSSTASIFEHSNQLNVDNAQRALVTLLLRKMGKIALQMDAIQMGIVFNSFGNIMAQISQDDCLHYAHVILLPLYKVCEGFAGKVVTENVKKLAEDTCRKLENILGTHNFVQVYNLTRKNLMLKRNKRRQEEKQMAVINPMRNAKRKLKISAKNRANKKRKIMTMKEGKLMAMRNAKGNFRISANKKRKNL >Vigun01g237500.1.v1.2 pep primary_assembly:ASM411807v1:1:40876229:40896220:-1 gene:Vigun01g237500.v1.2 transcript:Vigun01g237500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASHARAVKSLNKSSGRGRFVFKSFSERVDEIDINVYRSLEKVKAEPSEGSSFFRDCLIEWRELNTAEDFISFYEEMMPYTQTLPLVLLHKESLISKLLSRLHIKARLSLDAILRLIAALSRDLLEEFVPLLPRIVDSLVSLLENGGDKEPDIIEQIFMSWSYIMMYLQKYLVRNPSEVLKVTSKLRYYPNKYVRQFMAEAMSFVLRNAPDEQLERGIRRVIDDAVKKPSPCRESGAEALLFNIMKGYTSRFHSKAERVLQLLTSEAIYAVGDKVSQDSMAIVKIVKSVFKKLCETMESKELNLVWNCLYKEADGCLNTGNIRHLQYILSVLVSAIKMQNGKKVPDYKPVLKLALRLVQTFTKPCGVIDSQDMNLVVHRILKLMLAILKGLCNCNTSMIPECALQWAPIFRSGSSSLLCFIRKLLQEDLCLFHFRSNVISAMNGLMEISEEEVIHLLQSFCEKMQLDKRDLVDGTSEEEPLARICSRLQEIISCWKEKINDIARADVLCEIDEGMLAHLWGAVSCYSHMSIVGGNPSLMVELMEALDHLLTAKAGYIGDMSKRALESIIGAALSSYNRLCNHTFCGADETGKFLSLAKRYKLSPPVLLAVADYLEFKYGFSLENNGCRIYHPELEGKTADAVAIFSDNLHHSDKEIRISTIKILRHYKPIVWESSSVDEAADMKNKTEVSPTSNADFTENNALLLLLSLETTPISISTSRSVQLLISKIQMELSAGRIPNVYVPLVLNGLLGVLNNRFRYLWDPVLECIAVLISIHLTHVWDSLVDYIERCQAIFLTPCNLHASDNGALFGRPTGLLDCFKSFVCHASDSTPTVTILELLLQALQKIPAVIESRSRQFIPLFLKFLGYNTLDLASVGLFDSVSCEGKEWKTILKEWLNLLKLMKNPKSFYCSQFLKEVLQNRLLEENDPEIQMRVLDCLLIWKDDYILPYIEHLRSLINSKNLREELTTWSLSRESEYIEECHRSYLVPLVIRLLMPRVRKLKGLASRKKASICHRKAILSFVAGVDVIELPLFFVLLIKPLRIVKKTDGPANLFWTLPTGSINEFQDAALLEYFTFDNIANLSWKKKYGFLHVIEDIVAVFDELHIRPFLDLLVGCVVRLLESCTLSLSANLNRLPSDQHNCSPNSNSIGEDSVPTDQIQISGNLNQLKDMRSLCLKIISLVLNKYEDHEFCSDWWDKFFSAVKPLIEKFKQETASSEKPSSLLSCFTAMSGNNKLVALLCWKESLVPDIFSIISVNSASETVIYCVLKFVENLLNLDHQFNGEDNAAQTVLLSNIEVLMDSMCCLFGRDNAIKRKLIKSPGETVIRIFKFLPKYIRDTKLAKQFVEILLLFMEKKTQNSDVWVEVLQVIQNILPTLGHESTTKILSAVSPLYISAELDMRLRICDLLDALVASNTSILPVAKLLRQLNTTSTLGWLDHDAILDAYRIINIDFFGSVQVEQALLILSHCVHDMSSEETTFMCSAHSSLLSFVDFSALILHQEENSEEHISVMKNTDNCWTKSCIQRVAKKFLLKHMADAMDGSLSVIKGWIKLLHQMVLKLPEVSNLKSLMVLCNEDGEAVFFDNISDSVIRKRVKALSWFQNVVSVNKLSEFITEKVFLRLFFNMLFDEKEEKAEHMKNACIETIASVAGQMGWKSYYTLLIRCLRGASSNPDKQKLFIRLICCILDKFHFYDKQPKEPLDVVSDMEMRDTDVNKEIQACLYKIVLPNIQKLLDSESEKVNVNISLAALKLLKLLPGDVMDLYLPTIVHRISNFLKRHLESIRDEARSALATCLKELGLEYLQFIVKVLQSTLKRGYELHVLGYTLNFILSKCLSTPVVGKIDYCLKDLLSVIENDILGDVADQKEVEKIASKMKETRKKKSFESLKLVAQNITFKSYALKLLAPVTSHLQKHITPNVKGKLENMLSHIATGIESNPSVDQTDLFIFIYGIVEDGLKDEISWHENKLLLLEDKDSRVKTKRISKGPVVAKGLLCSHLITVFGVRIFHKRMKSLKQDVKDENTLSLLDPFVKLLCDGLSSKYEDILSTSLECLAILVRLPLPSLQQHAGRLKASLLDIAQGSVRSSSSLMQSCLKLLSVLLRNTKISLTSDQINSLIHLPIFLDIEKNPSLVALSLLKGIVSRKLVVPEIYDVVTRVAELMVTSQIEPIRKRCSKILLQFLLDYRLSEKRLQQHMDFLLLNLSYEHSTGRESILEMIHTIIVKFPTSVLDEQSYSIFVRLVLCLANDNDYIVRAMAGNAIKKLVSSVSPNSLNSILDYVLCWYLGGKQQLCGAAAQVLALLIEVKKKGFREHINSILPVTRHIFRSAIDAVTNWQEGFSTESVIPLWNEAYYSLVMFEKMINQFGDLCFAEYLEDIWEAICEMLLHPHSWIRSISVRLIALYFSHVTDASRENHGTSLRSYFIMSPSRLFLIATSLCCHLKMPVINDSDSSLMTQIIIFAICGVHSLMGQSACIDPPAFWSTLSQQEKDRFLKAFDLLDSRKGRSMFMSSSTASIFEHSNQLNVDNAQRALVTLLLRKMGKIALQMDAIQMGIVFNSFGNIMAQISQDDCLHYAHVILLPLYKVCEGFAGKVVTENVKKLAEDTCRKLENILGTHNFVQVYNLTRKNLMLKRNKRRQEEKQMAVINPMRNAKRKLKISAKNRANKKRKIMTMKEGKLMAMRNAKGNFRISANKKRKNL >Vigun02g025101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8353385:8353855:1 gene:Vigun02g025101.v1.2 transcript:Vigun02g025101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIVLCWHQNVLLALGFVFIFGTIEALFFSTSLIKLQQGAWVPVALALILLTFMYVWHYGTLKKYEYDVHNKVSINWLLGLGPSIGIVRVRGVGLIHTELVSGIPVIFSHFVTNLPAFHAVLVFLCIKHVPVPHVRPDEQFLVRSIGPREFINY >Vigun01g226800.1.v1.2 pep primary_assembly:ASM411807v1:1:39963230:39969494:1 gene:Vigun01g226800.v1.2 transcript:Vigun01g226800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWRAGAKLSLVASAVGGGVTTALIATSDDPETALKLCATVPHRLFSDAFTAANIAFDYEYSLWGLPEGSIERERIKHEVHLRSAQKLQDLCFKNGGIYIKLGQHLGQLEYLVPQEYVQTMRESMLNKCPVSSYEQVCNVFKKELGDTPDKIFSEFDPVPIASASLAQVHVARMHDGQKVAVKVQHTHMTDTAAADHATVELVVNTLHRFFPSFDYRWLIDEISESLPKELDFLTEAKNSERCLDNFHKLSPHIANYVYAPKVYWNLSTSKLLTMEFMDGAYVNDVKTIQKLGINLHELSTLVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSSKASIWGRRKPQLILLDHGLYKELDFQTRTNYASLWKALVFADANAIKEYSAKLGAGEDLYALFAGVLTMRPWNRVVDPSMDHLVIQGNESERLELQMYASQYFHQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKVSSEAVIEAKMLQSKSLLTWLNVKLDKILLEVRLWGMQVALWLLQLRKTLSWSNQPL >Vigun11g226000.1.v1.2 pep primary_assembly:ASM411807v1:11:41625862:41637315:-1 gene:Vigun11g226000.v1.2 transcript:Vigun11g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNPLDIMDDEEFDWEAAAREIDVVCQMASDAQRKGEQQSALKKESPTPQFEEDGENPTFVHHIDAEAAKTWIYPVNVPLRDYQFDITQSALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPQGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTVDMTGQLSPPKRAQFWKKKRVFFVTPQVLEKDIHSGTCLVKYLVCLVIDEAHRAMGNYAYCEAVRELMAVPAQLRILALTATPGSKQQTVQAVIDNLHISRLDYRSETDHDVMSYVHNRKIELIQVTMGPEAVEINNKFMEAIRPIVARLTNIGVIQNRDYRTLSPCQLLEIRENFRQRLRQDLSRVNYEDVEGYFGVLITLYYIHKLLSSHGIRPAYEMLERKLKQGYFAKFMSKSEVILKARQLMQQSLSHGASSPKLSKMLEVLLEHFKTNDPQSSRVIIFSNYRESVRDIMNALKDIRELVRATEFIGQSSGKAMKGQSQKVQQAVLTKFRSGTYNVIVATSIGEEGLDIMEVDLVISFDANISPLRMIQRMGRTGRKHDGRVVVFACEGTELKGYLRKQAKSKTINKHMRNGGLNSFSFHPSPRMIPHVFKPEVQYVELSIEKFIPRQKNVKDDELHISPSKDKLTVAEIDLLETYFHPTGENNCRISLIAFPHFQTFPSRVHKVKHSSGTLMFIDMMQRMQGLASFPKDDKTSSLQEDLCLGHRKPVAPIKLDKANMDSESCFTHALRTSVDSVNCLDLDSCHLGIQSKDFVDLTWQEETCEGDEAIHETPMYKRSVSNETYNAGQMANLMEIETSSLVADACINDMKDEELSPRLTNFIKSGVVPESPIDERGNSGLHSVICDYILPVSVHKEQNVSSLSSGETKMVDNEKGTDKNVCTSSFNETQSPLLDLKNCTIRRGRVFLSQTEEGRVHNSDLSLSEEGLPADCGEMSESVKPSRKFKRLRKAEDTESNRNQKNIKFFDSTVNFLKSSHASNPAQYKHGRGKRKSTYNVRDFIEEEAEVSSDAYVSNDEDGEDDNSFDSFIDDRTNPTAVSQPEASRMDMMAIYRRSLLSQAPRNGALDFSATFTPDRVTMAASTSESGDSSGKSFNHFHTEATKESANRTSESISIDQTTSEAVFSSCCPMGNGTEIKSHKRRLSFYHSEHFPSMNLEQEFALESKKEVGDVDATTNVLCDDQFYNDLDLDELEAKATLLLKRKLDLSIQKQDKVSQSHLPNLDIFGSPSFDLGI >Vigun11g226000.3.v1.2 pep primary_assembly:ASM411807v1:11:41625862:41637315:-1 gene:Vigun11g226000.v1.2 transcript:Vigun11g226000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNPLDIMDDEEFDWEAAAREIDVVCQMASDAQRKGEQQSALKKESPTPQFEEDGENPTFVHHIDAEAAKTWIYPVNVPLRDYQFDITQSALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPQGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTVDMTGQLSPPKRAQFWKKKRVFFVTPQVLEKDIHSGTCLVKYLVCLVIDEAHRAMGNYAYCEAVRELMAVPAQLRILALTATPGSKQQTVQAVIDNLHISRLDYRSETDHDVMSYVHNRKIELIQVTMGPEAVEINNKFMEAIRPIVARLTNIGVIQNRDYRTLSPCQLLEIRENFRQRLRQDLSRVNYEDVEGYFGVLITLYYIHKLLSSHGIRPAYEMLERKLKQGYFAKFMSKSEVILKARQLMQQSLSHGASSPKLSKMLEVLLEHFKTNDPQSSRVIIFSNYRESVRDIMNALKDIRELVRATEFIGQSSGKAMKGQSQKVQQAVLTKFRSGTYNVIVATSIGEEGLDIMEVDLVISFDANISPLRMIQRMGRTGRKHDGRVVVFACEGTELKGYLRKQAKSKTINKHMRNGGLNSFSFHPSPRMIPHVFKPEVQYVELSIEKFIPRQKNVKDDELHISPSKDKLTVAEIDLLETYFHPTGENNCRISLIAFPHFQTFPSRVHKVKHSSGTLMFIDMMQRMQGLASFPKDDKTSSLQEDLCLGHRKPVAPIKLDKANMDACINDMKDEELSPRLTNFIKSGVVPESPIDERGNSGLHSVICDYILPVSVHKEQNVSSLSSGETKMVDNEKGTDKNVCTSSFNETQSPLLDLKNCTIRRGRVFLSQTEEGRVHNSDLSLSEEGLPADCGEMSESVKPSRKFKRLRKAEDTESNRNQKNIKFFDSTVNFLKSSHASNPAQYKHGRGKRKSTYNVRDFIEEEAEVSSDAYVSNDEDGEDDNSFDSFIDDRTNPTAVSQPEASRMDMMAIYRRSLLSQAPRNGALDFSATFTPDRVTMAASTSESGDSSGKSFNHFHTEATKESANRTSESISIDQTTSEAVFSSCCPMGNGTEIKSHKRRLSFYHSEHFPSMNLEQEFALESKKEVGDVDATTNVLCDDQFYNDLDLDELEAKATLLLKRKLDLSIQKQDKVSQSHLPNLDIFGSPSFDLGI >Vigun11g226000.2.v1.2 pep primary_assembly:ASM411807v1:11:41625862:41637315:-1 gene:Vigun11g226000.v1.2 transcript:Vigun11g226000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNPLDIMDDEEFDWEAAAREIDVVCQMASDAQRKGEQQSALKKESPTPQFEEDGENPTFVHHIDAEAAKTWIYPVNVPLRDYQFDITQSALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPQGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTVDMTGQLSPPKRAQFWKKKRVFFVTPQVLEKDIHSGTCLVKYLVCLVIDEAHRAMGNYAYCEAVRELMAVPAQLRILALTATPGSKQQTVQAVIDNLHISRLDYRSETDHDVMSYVHNRKIELIQVTMGPEAVEINNKFMEAIRPIVARLTNIGVIQNRDYRTLSPCQLLEIRENFRQRLRQDLSRVNYEDVEGYFGVLITLYYIHKLLSSHGIRPAYEMLERKLKQGYFAKFMSKSEVILKARQLMQQSLSHGASSPKLSKMLEVLLEHFKTNDPQSSRVIIFSNYRESVRDIMNALKDIRELVRATEFIGQSSGKAMKGQSQKVQQAVLTKFRSGTYNVIVATSIGEEGLDIMEVDLVISFDANISPLRMIQRMGRTGRKHDGRVVVFACEGTELKGYLRKQAKSKTINKHMRNGGLNSFSFHPSPRMIPHVFKPEVQYVELSIEKFIPRQKNVKDDELHISPSKDKLTVAEIDLLETYFHPTGENNCRISLIAFPHFQTFPSRVHKVKHSSGTLMFIDMMQRMQGLASFPKDDKTSSLQEDLCLGHRKPVAPIKLDKANMDSESCFTHALRTSVDSVNCLDLDSCHLGIQSKDFVDLTWQEETCEGDEAIHETPMYKRNACINDMKDEELSPRLTNFIKSGVVPESPIDERGNSGLHSVICDYILPVSVHKEQNVSSLSSGETKMVDNEKGTDKNVCTSSFNETQSPLLDLKNCTIRRGRVFLSQTEEGRVHNSDLSLSEEGLPADCGEMSESVKPSRKFKRLRKAEDTESNRNQKNIKFFDSTVNFLKSSHASNPAQYKHGRGKRKSTYNVRDFIEEEAEVSSDAYVSNDEDGEDDNSFDSFIDDRTNPTAVSQPEASRMDMMAIYRRSLLSQAPRNGALDFSATFTPDRVTMAASTSESGDSSGKSFNHFHTEATKESANRTSESISIDQTTSEAVFSSCCPMGNGTEIKSHKRRLSFYHSEHFPSMNLEQEFALESKKEVGDVDATTNVLCDDQFYNDLDLDELEAKATLLLKRKLDLSIQKQDKVSQSHLPNLDIFGSPSFDLGI >Vigun01g159900.1.v1.2 pep primary_assembly:ASM411807v1:1:34148891:34153427:-1 gene:Vigun01g159900.v1.2 transcript:Vigun01g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHLLSHSHSLPKTYNHSFNQNPLSQKLLLPLKFKATLRPRALRAVLSQKAAQTAVEDSKKAPFQHCFTKSEDGYLYCEGLKVHDIMESVEKRPFYLYSKPQITRNVEAYKNALEGLNSIIGYAIKANNNLKILEHLRHLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKILEDLVLAAQAGVFVNIDSEFDLENIVEAAKRAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKEHPNELKLVGAHCHLGSTITKVDIFRDAATIMVNYIDQIRAQGFEVDYLNIGGGLGIDYYHSGAILPSPRDLIDTVRDLVLSRGLNLIIEPGRSLIANTCCLVNRVTGVKTNGSKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPSNAEKTTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSVSKIRHGETFEDHIRFFEGL >Vigun04g184700.1.v1.2 pep primary_assembly:ASM411807v1:4:40974215:40976308:1 gene:Vigun04g184700.v1.2 transcript:Vigun04g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASVARNIIGIIGNVISFGLFFSPAPTFYKIIKQKSVEEFKPDPYIATVLNCAFWVLYGMPFVHPNSLLVVTINSVGLVIEFVYLAIFLIYATNKGRKKVSILLLSEAIFFATIILITMLALHGSKRRSLIVGIVCDVFNVMMYVSPLTIIAKVIKTKSVKYMPFWLSLANFLNGVCWTTYALIHPFDIYVLISNSIGVVSGLVQLILYASYCWRGENNDDNTTEVQLSQRPRDLEA >Vigun01g151600.1.v1.2 pep primary_assembly:ASM411807v1:1:33427337:33433259:-1 gene:Vigun01g151600.v1.2 transcript:Vigun01g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDNDSVVTQISIGGFGSEVKASDLVNYLEDKIGLVYRCRLKTSWTPPESYPEFNITDTAKITRTDDYMKVKPHAFVHFASSESVTAALNASGSCDMFLKNESLKVSCGPENPYFLNKRRRTKTPFKMSDVIVEIGTLVSPWEFSVAWKGPDKGVKFLVDPFDGMCRFCFTRDTAFSFKGIEKKAVIKCDFQVGFLVRDINEIRRYNDTSYLVVLLHLASSPWVWYRTAEDDIEDLVPYDLLDDDDPWIRTTDFTPSGAIGRCNFYKISIPPRHGAKLMKAMLYLKAQRVQTQELPPKQTTLRALNEPDFGRPMSDAFFYVDYQKDISFDVMFLVNAIVHKGIFNQHRLSDGFFELLRNQSKELNVAALKHLCSYKRPVFDATKRLKLVQEWLHRNPKLYQISKKLDDVVEVRRLVITPSKAYCIPPEVELSNRVLRKFREVSDCFLRVTFMDEGMQTINVNALNYYVAPIVKEITSNSFPQKTKIYNRVKTILEKGFSFCGRKYSFLAFSSNQLRDRSAWFFAEGKIRCDEIRSWMGKFNQKNVAKCAARMGQCFSSTYATVEVVANEVNFSLPDVERNNYVFSDGIGIISPDLAREVAEKLKLDNIPSAYQIRYAGFKGVVASWPAKGDGIRLSLRPSMDKFQSSHTILEICAWTRFQPGFLNRQIITLLSALGVPDDIFWQMQEAMLWKLNQMLVDADIAFDVLTKSCAEHGNAAAIMLSCGFSPLTEPHLRGMLTSTRAAQLWGLREKSRIFVSSGRWLMGVLDECGVLEQGQCFVQVSTPSLENCFSKHGSRFSETKNLQVVKGFVVIAKNPCLHPGDVRVLEAVDAPDLHHLCDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDDNLIPPSKRSWIPMEYAAVESKLLTRQVMTRDIIEFFVRNMVNENLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKVVTMPHHLKPKLYPDFMGKENHQSYRSKKILGRLYRRIKDAYDEDIDAPYLNFLTGDIPYDKDLEVPGSADFLVESWEQKCAYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRHIFERLSSDVGDLSEEEKNSLYEQKASAWYQVTYHPHWVKKSLDLQDKSPGNQEIDSLGSTVMLSFPWIAVDYLARTKIRERHRKSGNFDSTKPVDSLAKYLSERL >Vigun01g151600.2.v1.2 pep primary_assembly:ASM411807v1:1:33427347:33433235:-1 gene:Vigun01g151600.v1.2 transcript:Vigun01g151600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDNDSVVTQISIGGFGSEVKASDLVNYLEDKIGLVYRCRLKTSWTPPESYPEFNITDTAKITRTDDYMKVKPHAFVHFASSESVTAALNASGSCDMFLKNESLKVSCGPENPYFLNKRRRTKTPFKMSDVIVEIGTLVSPWEFSVAWKGPDKGVKFLVDPFDGMCRFCFTRDTAFSFKGIEKKAVIKCDFQVGFLVRDINEIRRYNDTSYLVVLLHLASSPWVWYRTAEDDIEDLVPYDLLDDDDPWIRTTDFTPSGAIGRCNFYKISIPPRHGAKLMKAMLYLKAQRVQTQELPPKQTTLRALNEPDFGRPMSDAFFYVDYQKDISFDVMFLVNAIVHKGIFNQHRLSDGFFELLRNQSKELNVAALKHLCSYKRPVFDATKRLKLVQEWLHRNPKLYQISKKLDDVVEVRRLVITPSKAYCIPPEVELSNRVLRKFREVSDCFLRVTFMDEGMQTINVNALNYYVAPIVKEITSNSFPQKTKIYNRVKTILEKGFSFCGRKYSFLAFSSNQLRDRSAWFFAEGKIRCDEIRSWMGKFNQKNVAKCAARMGQCFSSTYATVEVVANEVNFSLPDVERNNYVFSDGIGIISPDLAREVAEKLKLDNIPSAYQIRYAGFKGVVASWPAKGDGIRLSLRPSMDKFQSSHTILEICAWTRFQPGFLNRQIITLLSALGVPDDIFWQMQEAMLWKLNQMLVDADIAFDVLTKSCAEHGNAAAIMLSCGFSPLTEPHLRGMLTSTRAAQLWGLREKSRIFVSSGRWLMGVLDECGVLEQGQCFVQVSTPSLENCFSKHGSRFSETKNLQVVKGFVVIAKNPCLHPGDVRVLEAVDAPDLHHLCDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDDNLIPPSKRSWIPMEYAAVESKLLTRQVMTRDIIEFFVRNMVNENLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKVVTMPHHLKPKLYPDFMGKENHQSYRSKKILGRLYRRIKDAYDEDIDAPYLNFLTGDIPYDKDLEVPGSADFLVESWEQKCAYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRHIFERLSSDVGDLSEEEKNSLYEQKASAWYQVTYHPHWVKKSLDLQDKSPGNQEIDSLGSTVMLSFPWIAVDYLARTKIRERHRKSGNFDSTKPVDSLAKYLSERL >Vigun07g218400.9.v1.2 pep primary_assembly:ASM411807v1:7:34076310:34087230:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.8.v1.2 pep primary_assembly:ASM411807v1:7:34076310:34087230:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.4.v1.2 pep primary_assembly:ASM411807v1:7:34075560:34087215:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGNQNPFRSGQPQKILGQKTAALGTSIGSLTVTSHSASPGLQETSIGNQNPFRSGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.6.v1.2 pep primary_assembly:ASM411807v1:7:34076310:34087215:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.2.v1.2 pep primary_assembly:ASM411807v1:7:34069871:34087214:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKIEPEEVEGEIIGTTDYFFVKVGEAVPLKSSDFSFDAETLPSQAIAISERFRLSFVAHSSGFFVVRTKDLIDSANEFKEKGNGSPVEQLSLVDVSIGRIRSLTLSTDNLTLAAVTSSSGDIQFYSVESFLNKEVKQSFSCSLDDSALVKDMRWITTQKNSYVVLSNTGKLYHGEIGFPLKQVMDNVDAVDWGMKGSFVAVASKSVLSILSAEFEERVSISLSFGSWIGDSAANKSIKVDYVKCIRPDSIVIGCIQVTEDGKEENYLVQVIRSRHGEINDKCSELVIQSFYDICQGLIDDIVPVGSGPYLSLVYINQCQLAINSNLKNTDQHIVLLGWSADDDKSEVAIVDIERDKWVPRIELQENGDDNLLVGLCVDNVSIYQKVGVQLGVEERTELLPFCVLICLTVEGKLVMFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.3.v1.2 pep primary_assembly:ASM411807v1:7:34075466:34087215:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGNQNPFRSGQPQKILGQKTAALGTSIGSLTVTSHSASPGLQETSIGNQNPFRSGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.7.v1.2 pep primary_assembly:ASM411807v1:7:34069871:34087230:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKIEPEEVEGEIIGTTDYFFVKVGEAVPLKSSDFSFDAETLPSQAIAISERFRLSFVAHSSGFFVVRTKDLIDSANEFKEKGNGSPVEQLSLVDVSIGRIRSLTLSTDNLTLAAVTSSSGDIQFYSVESFLNKEVKQSFSCSLDDSALVKDMRWITTQKNSYVVLSNTGKLYHGEIGFPLKQVMDNVDAVDWGMKGSFVAVASKSVLSILSAEFEERVSISLSFGSWIGDSAANKSIKVDYVKCIRPDSIVIGCIQVTEDGKEENYLVQVIRSRHGEINDKCSELVIQSFYDICQGLIDDIVPVGSGPYLSLVYINQCQLAINSNLKNTDQHIVLLGWSADDDKSEVAIVDIERDKWVPRIELQENGDDNLLVGLCVDNVSIYQKVGVQLGVEERTELLPFCVLICLTVEGKLVMFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.5.v1.2 pep primary_assembly:ASM411807v1:7:34076310:34087215:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun07g218400.1.v1.2 pep primary_assembly:ASM411807v1:7:34069871:34087168:1 gene:Vigun07g218400.v1.2 transcript:Vigun07g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKIEPEEVEGEIIGTTDYFFVKVGEAVPLKSSDFSFDAETLPSQAIAISERFRLSFVAHSSGFFVVRTKDLIDSANEFKEKGNGSPVEQLSLVDVSIGRIRSLTLSTDNLTLAAVTSSSGDIQFYSVESFLNKEVKQSFSCSLDDSALVKDMRWITTQKNSYVVLSNTGKLYHGEIGFPLKQVMDNVDAVDWGMKGSFVAVASKSVLSILSAEFEERVSISLSFGSWIGDSAANKSIKVDYVKCIRPDSIVIGCIQVTEDGKEENYLVQVIRSRHGEINDKCSELVIQSFYDICQGLIDDIVPVGSGPYLSLVYINQCQLAINSNLKNTDQHIVLLGWSADDDKSEVAIVDIERDKWVPRIELQENGDDNLLVGLCVDNVSIYQKVGVQLGVEERTELLPFCVLICLTVEGKLVMFHVASLAGNKDSPEIDSVLHNYENTSLENHPGDKGCTFSEGLQKQEDKTFEVNGNLMAKPSVNLQQITCSDTKDSEVKLVANSQSLLSNEQQVISDVDANQDTGNQNPFRSGQPQKILGQKTAALGTSIGSLTVTSHSASPGLQETSIGNQNPFRSGEPQMILGQKTATLGTSLGSLTMNSHSASPGLQETSVGNQNPFRSGEPQKILGQKTASLGTSIGSLTMNSHSASPGLQETTEKTRELWTANSSQDSQKASNLLPGQKFSFPKESDVSSVSASSHADGVGFQDKKYTVGATNVSGIIGGKPFVVQDMNKSPAINSTSRLVQNRGQLSPLVPGNMQPALNSSSRLSSDSNTAAMKSSATKFLPSNEQHGASSKLGISSSDLSKQFGNINEMTKELDLLLRSIEVAGGFKDACTRSLQSSIEEVELGMDALSKKCKLLMSQVDEHNEEVHYLLNKTIRVMARKIYLEGIYKQASDTRYWDLWNRQKLNSELELKRQHILSLNQDLTNQLIELERHFNALELNKFSQNGGRCMGHGPSQNRYGPSRYVQSLHSLHSAINSQLVAAENLSDCLSKQMSALSLRSQTEERKNLKELLETIGIPYEAAFGSPDTKCFMKTPPSKKTLFSDLTVNKDQSRRNQTSAVKSSEPETARRRRDSLDRSWTCFEPPKTTVKRMLLQELQKLNRKESLYSMNKEKKVTTLEGSAPRQTDASIPSIVFPSSKMKANILNSHLELEELSEKSKAFIPADSLRAPNQVSESTSSLLPKSNALFIPPQSAFHLSPTMVHGYSTETKDLAAEKSAVQKFDFASNNESKPTLHWKIAQKSSMPTYSNTETPSMQTISSERPITNSKMTIPTSSTTVDKPSSAFTPETLRKVFPSSETQSSTISASSPFLGKVTDFHVDKSLPKVNVPAVPTFGGSFKFGSSSTAKTSSSPPSSSVSSAAVPPVAVSATSNILTSLNTNTDSNHAMSSSSSPFLHFSNQKPKDTVTSLSNPPGFKSSLGSLKSETQPASVPKSDIQPVAVSNSKTDPDAAAEVVTRPNEPVNNASELKLEPTTKFSPSIDQSSSNNITSFDLNAIPVSQAARPSDAPLQFSTSFLSSASASSGKNEGLEVGISHEDEMEEEAPETSNNTAELNLGSFGGFGISSSPNPSMPKSNPFGGSFNTVATSLSSSTVPFSVPSGELFKPASFTFSNPQSSAQTQTTNPGAFSGGFNAVAAVPGQAPPSGFGKPAQIGSGQQVLGSVLGGFGQSRQLGSGLPGSGFSPPSGFGGGFAGNSSTSAFSNTAIGGGFAGMASTGRGFAGVPSTGSGFSGGGFAGAAAAAPGVGFGAVSSNGGFAGGFGGAGSGGGFGAFSSQGNNSGFGAVGGSKPPELFTQMRR >Vigun03g396500.1.v1.2 pep primary_assembly:ASM411807v1:3:60283147:60284712:1 gene:Vigun03g396500.v1.2 transcript:Vigun03g396500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSKEGLNRGAWTADEDKILREYITLHGEGRWRNLPKTAGLKRCGKSCRLRWLNYLRPDIKRGNITSDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKVKDGHKTSTSCRTQIPNPKLDSHLVRTKATKCTKLFFPHPSMLQLPDNSHQQQNLVADAVMTHHLELTTTDFNVGDICFSDLLDSDFTDICNNDLLFSPSSDQPYHPLCSQELLKNWTQTNFADQTTASNNLHSFISFLDTTEETLGQS >Vigun03g396500.2.v1.2 pep primary_assembly:ASM411807v1:3:60283147:60284712:1 gene:Vigun03g396500.v1.2 transcript:Vigun03g396500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSLSDSTSSWETAGRLPGRTDNEIKNYWNTNLGKKVKDGHKTSTSCRTQIPNPKLDSHLVRTKATKCTKLFFPHPSMLQLPDNSHQQQNLVADAVMTHHLELTTTDFNVGDICFSDLLDSDFTDICNNDLLFSPSSDQPYHPLCSQELLKNWTQTNFADQTTASNNLHSFISFLDTTEETLGQS >Vigun06g015050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:7073559:7074968:1 gene:Vigun06g015050.v1.2 transcript:Vigun06g015050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLNLNPKITLKYRKQNPKEHFFSFTPTLTHAKQLHSLTAPTKRHLPALHPFRQARDAGDDADHHSPATHLFLPFSPTREILSPSSVARKKNETNPIVCSVTPP >Vigun08g071700.3.v1.2 pep primary_assembly:ASM411807v1:8:11682321:11688166:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQMILSMYASVTNIVPDLGEQTKISGYIVDKDKDAVEKFEYDTSKMTAFDICNGVWKIISE >Vigun08g071700.2.v1.2 pep primary_assembly:ASM411807v1:8:11682316:11688349:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQSTCYAANA >Vigun08g071700.5.v1.2 pep primary_assembly:ASM411807v1:8:11682260:11688366:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQMILSMYASVTNIVPDLGEQTKISGYIVDKDKDAVEKFEYDTSKMTAFDICNGVWKIISE >Vigun08g071700.4.v1.2 pep primary_assembly:ASM411807v1:8:11682321:11688347:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQSTCYAANA >Vigun08g071700.6.v1.2 pep primary_assembly:ASM411807v1:8:11682321:11688348:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQMILSMYASVTNIVPDLGEQTKISGYIVDKDKDAVEKFEYDTSKMTAFDICNGVWKIISE >Vigun08g071700.1.v1.2 pep primary_assembly:ASM411807v1:8:11682260:11688366:1 gene:Vigun08g071700.v1.2 transcript:Vigun08g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVEKLISYTDDLVTVLVEPRDLNNLSYCHQQKLSMSSTSHSHHQDVRSSLQDCEKKVDACKQQIEEARSETVSDAELDLLQRGLEEELEKERLLKEEFRAIGEEFNDLEQQWISVQGQKKTLQKIEKTKLRAQMILSMYASVTNIVPDLGEQTKISGYIVDKDKDAVEKFEYDTSKMTAFDICNGVWKIISE >Vigun02g183100.1.v1.2 pep primary_assembly:ASM411807v1:2:32325405:32330528:1 gene:Vigun02g183100.v1.2 transcript:Vigun02g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSIGNLTSTKPPYVINSPRFCSPHKSSNAPSHQNAAQHNNNNIMLQRNVLVTGGAGYIGSHTVLQLLLGGFTTVVVDNLDNSSEVALQRVRKLAGEFGNNLFFHKVDLRDRAALEQIFVSTQFDAVIHFAGLKAVGESVQKPLLYYNNNLTGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAMNPYGRTKLIIEEICRDVHQAEPDWKIILLRYFNPVGAHASGYIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYNTVDGTGVRDYIHVVDLADGHIAALLKLDEPNIGCEVYNLGTGKGTSVLEMVRAFEAASGKKIPLVMAGRRPGDAEIVYASTKKAERELKWKAKYGIDEMCRDQWNWASKNPYGYEDQEDSTD >Vigun05g072550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6394828:6395241:-1 gene:Vigun05g072550.v1.2 transcript:Vigun05g072550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATLHGLHNPFPRSQFLGQEHPLRPPPKAPPTHEMLKPQAKFNIFEMMGGRGLCNGEKGIQQELKRQPIVVDQPPPSASSGKEEEEDDDENGDEGGEVPEDGFEKEMMGLTGGFPGGEKGLKKFIEKELSSKTR >Vigun08g188600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35689169:35691740:1 gene:Vigun08g188600.v1.2 transcript:Vigun08g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPKHVAAVVKAQKDPLKALEMFNSAKKESGFKHTLLTYKCMVQKLGHHGEFEKMEKVLSEMRECVNNALLEGAYIEAMKNYGRKGKVQEAVDTFERMDFYNCDPSVHSYNAIMNILVEYGYHEQAHKVYMRMRDRGVESDVYTYTVRIKSFCRTSRPHAALRLLRNMPELGCDSNAVAYCTVVAGLYDSGDHAHARVLFDEMLARCLCPDVVTFNKLLHVLCKKGLVSESEQLLGKALKRGVCPNLFTFNIFVQGLCREGAVDRAVRLLDSVLREGLSLDVVTYNILICGLCRNSWVVEAEGYLRKMVNDGFEPDAFTYNSIIDGYCKKGMVQCANRVLKDAIFRGFKPDEFTYCSLINGFCRDDDPDQAMAVFKDGLGKGLRPSVVVYNTLIKGLSQQGLILPALQLMNEMSENGCQPNIWTYNLVINGLCKMGCVSDANHLVDDAIAKGCLPDIFTYNTLIDGYCKQMKLDNATEVVNRMWSLGMTPDVITYNTLLNGLCKAGKSEEVMEIFKAMEEKGCAPNIVTYNIIVESLCKAKKVFEAVDLLGEMKSKGLKPDVVSFGTLITGFCKIGDLDGAYRLFRRMEKQYDVCHTTATYNIIVSAFSEQLNMNMAMKLFSKMKGNGCDPDNYTYRVIIDGFCKMGNISLGYNFLLENIEKGFIPSLTTFGRVLNCLCAEDKVPEAVSIIHCMLQKGIIPNTVNTIFEADKKVVAAPKILVEDLLKKGHITYHTYELLYDGIRDKKIPKKRLKTANSLHQGAR >Vigun06g231400.1.v1.2 pep primary_assembly:ASM411807v1:6:33786040:33791114:1 gene:Vigun06g231400.v1.2 transcript:Vigun06g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALSQFKINHVGAKCSPNPIPMLPTLHHTRRPLLCRSSSSSDLRNISGLKITAQVETDSTSHLERCFNAPIASEPASMKGGQYGAFGAVTLEKSKLDLSQKETFSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDEGDEGDEGGLFRRRILFEELFDRKFVDAVLNEWQRTMMDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQTLSRSFIGRLLADPAFLYRFVLEEVATVGCSLWWELKNRKDRIKQEWDLALVNVLTAAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRIQCFLFKAAELCMVGLSAGAVQGALSNTLASKKEGRLSVTVPSVSSNALGYGAFLGIYANLRYQLLCGFDRAMMTHFDVIGVALFFSTTFRFLNVQLGETSKRVWLGVEADPLAQSDDLLKVYNRTSENVENQSSKWFISKNAVVSGLGLLGIKQRNADGAGAESSAPKARRKRIVRKKVAAGSA >Vigun11g152200.11.v1.2 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.4.v1.2 pep primary_assembly:ASM411807v1:11:36207974:36210302:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207974:36210492:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.10.v1.2 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.2.v1.2 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDSLIIYPEGEQELRQKLMDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207974:36210492:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.1.v1.2 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDSLIIYPEGEQELRQKLMDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207974:36210986:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g152200.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207974:36210491:-1 gene:Vigun11g152200.v1.2 transcript:Vigun11g152200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIELETTKHLKTELFNQLKVAYQERDEARCQLVKLMNQFMPSSSNNLQNVFDMQNHFTFPSAMRASSGITESDNSLSHGSSQVEFLFDSSTEVTNINAVNPFDKMTYLNQNLIQDFNFSAPHVSMIPSVKPVCDPAAAVIDRLANERGLPQMGQLLQAVKDAGPLLNTLLLAGQLPTWVNPPPIEEIKVPPVAIKKCDVTSIIKPNTFGESGNSLLKSKIPTLHHSSNALSTCSASMLNLAGQTTGSWNSTWQLNSTSGVTSKSRQ >Vigun11g075300.1.v1.2 pep primary_assembly:ASM411807v1:11:21916809:21917455:1 gene:Vigun11g075300.v1.2 transcript:Vigun11g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKVTLVAIVFALIACNGFVLGKEECKESKDCKGKIDLCKDSAICVNKVCRCSVSIVGKLQVCKTAADCPKCPPGLCNQQYCDVTTGECSCLC >Vigun02g031800.1.v1.2 pep primary_assembly:ASM411807v1:2:13454934:13456743:-1 gene:Vigun02g031800.v1.2 transcript:Vigun02g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKQKKNHNVKRFLISINVLGSAGPLRFVVNEEELVAAVIETALKSYAREGRLPLLGTDNAGFSLYCPHLGPDALSPWDTIGSHGARNFTLCKKAENAKEAVDDGRGSSAGLPRRGSGSLRSWLNRSLNLKIYSH >Vigun03g168900.3.v1.2 pep primary_assembly:ASM411807v1:3:19885809:19892186:1 gene:Vigun03g168900.v1.2 transcript:Vigun03g168900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIARLIVDSGWVPHRPVIFLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPSSWPSNVYAEAAIYPMANSAAADVFPVIPGDTDYRIFSQDYGNIPGLDIIFLLGGYFYHTSSDTVERLLPGSIQARGENLFSIIKTFTNSSKLQNTYQTNYSEVTAITFNDERAVFFDYFSWFMIFYSRRVAKILHSIPIFLFLVFPFTHGRSHSWSAALCNFTKGIFIHTVGIISAVVVPVVFSILRLVLSSQTMNWFAHPYLAFLMFVPCALTGLLIPRIIWRRFPLSQDVSIVKTSEEALSDEASFWGGFGFYAILTMAYLVAGLSGGFVTFFVCASMLPAWISFCLAVKFFGQRSLRSTMFYILPLVPCLAYSVYFGGFLVQFLIEKMGMMGSLPLPYGHYVPDIIVAALIGIVTGWCTGPLMPICGHWLARSSILQFLLQLSVFGLALSSQFFPYTTSAPKRIVFQHTFHTAGPSQILESTYDFSVTDSNSLLFLFKHSPEVAKELNVTSEFSFESASKSKRNDWMAIFPVSFLFSNSLKFPAQKDDILKQYEYFPELSIQNPSLNSEKGPRRVHLELSLGSLQEVWVAVLNITGPLSSWSFADNLLPGTETFGGGPQSYICRLSGPSDGNWTFWLEANSSEALRVDVAVLDQKLVDPMKRLKDLFPDWVDVTAYSSFMSSYIL >Vigun03g168900.2.v1.2 pep primary_assembly:ASM411807v1:3:19884290:19892186:1 gene:Vigun03g168900.v1.2 transcript:Vigun03g168900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIARLIVDSGWVPHRPVIFLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPSSWPSNVYAEAAIYPMANSAAADVFPVIPGDTDYRIFSQDYGNIPGLDIIFLLGGYFYHTSSDTVERLLPGSIQARGENLFSIIKTFTNSSKLQNTYQTNYSEVTAITFNDERAVFFDYFSWFMIFYSRRVAKILHSIPIFLFLVFPFTHGRSHSWSAALCNFTKGIFIHTVGIISAVVVPVVFSILRLVLSSQTMNWFAHPYLAFLMFVPCALTGLLIPRIIWRRFPLSQDVSIVKTSEEALSDEASFWGGFGFYAILTMAYLVAGLSGGFVTFFVCASMLPAWISFCLAVKFFGQRSLRSTMFYILPLVPCLAYSVYFGGFLVQFLIEKMGMMGSLPLPYGHYVPDIIVAALIGIVTGWCTGPLMPICGHWLARSSILQFLLQLSVFGLALSSQFFPYTTSAPKRIVFQHTFHTAGPSQILESTYDFSVTDSNSLLFLFKHSPEVAKELNVTSEFSFESASKSKRNDWMAIFPVSFLFSNSLKFPAQKDDILKQYEYFPELSIQNPSLNSEKGPRRVHLELSLGSLQEVWVAVLNITGPLSSWSFADNLLPGTETFGGGPQSYICRLSGPSDGNWTFWLEANSSEALRVDVAVLDQKLVDPMKRLKDLFPDWVDVTAYSSFMSSYIL >Vigun03g168900.1.v1.2 pep primary_assembly:ASM411807v1:3:19880804:19892186:1 gene:Vigun03g168900.v1.2 transcript:Vigun03g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSSEDVSAIKLLLLLAVMYGLVSALTYSVVHLKFVNPLGNDAPLDRFSEGRTIQHVRILSQEIDGRQEGRPGLKKAAEYIKGQLEVLKERASSNVRIEIDETTVSGSFNMLFLGHNIALGYRNHTNIIMRISSLVSKETDPSVLVNGHFDSPLGSPGAGDCGSCVASMLEIARLIVDSGWVPHRPVIFLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPSSWPSNVYAEAAIYPMANSAAADVFPVIPGDTDYRIFSQDYGNIPGLDIIFLLGGYFYHTSSDTVERLLPGSIQARGENLFSIIKTFTNSSKLQNTYQTNYSEVTAITFNDERAVFFDYFSWFMIFYSRRVAKILHSIPIFLFLVFPFTHGRSHSWSAALCNFTKGIFIHTVGIISAVVVPVVFSILRLVLSSQTMNWFAHPYLAFLMFVPCALTGLLIPRIIWRRFPLSQDVSIVKTSEEALSDEASFWGGFGFYAILTMAYLVAGLSGGFVTFFVCASMLPAWISFCLAVKFFGQRSLRSTMFYILPLVPCLAYSVYFGGFLVQFLIEKMGMMGSLPLPYGHYVPDIIVAALIGIVTGWCTGPLMPICGHWLARSSILQFLLQLSVFGLALSSQFFPYTTSAPKRIVFQHTFHTAGPSQILESTYDFSVTDSNSLLFLFKHSPEVAKELNVTSEFSFESASKSKRNDWMAIFPVSFLFSNSLKFPAQKDDILKQYEYFPELSIQNPSLNSEKGPRRVHLELSLGSLQEVWVAVLNITGPLSSWSFADNLLPGTETFGGGPQSYICRLSGPSDGNWTFWLEANSSEALRVDVAVLDQKLVDPMKRLKDLFPDWVDVTAYSSFMSSYIL >Vigun01g067300.1.v1.2 pep primary_assembly:ASM411807v1:1:18103294:18106688:1 gene:Vigun01g067300.v1.2 transcript:Vigun01g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSVHRNQQPNMKHLTLPLESKAENLVIPSSPFKEKPKNGNFVTDDAAFKSQWSPSRSTTTFSVTDCGDDDGKDESFFDSKAWLDSDCEDDFYSVKGEFTPSRGSTPLHHIFLNKTPSSEPGSVPEPSPTTQKKKLLDLFRESVRENRSEDGENNFDSEMKEEKARSADEVPKSTHSTPYVSWFNNSVCSSERTMNGDHVSLREKTVKSVQGCLPSLASCRSFSERKRKTSLAIAANGKA >Vigun10g151100.3.v1.2 pep primary_assembly:ASM411807v1:10:37032707:37035718:-1 gene:Vigun10g151100.v1.2 transcript:Vigun10g151100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQAQMVGGNNQEQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPTFQHGRFRPPPTSYHGSSSTATFSHQPPRQYTFPYSAYGYSGYSQDTLYPMNYYNVYGVQQFSAYYPSAGASGAVGLIHNIYPYYGQYAQSIQAQGFGVQYPQMPQFPFLPHPYGSTGILSYPSSVSVPTTTAVTGTATTTITPTTSTGMTATVAGSSQASQTASEQNSTSSKMQNMQG >Vigun10g151100.2.v1.2 pep primary_assembly:ASM411807v1:10:37032707:37035718:-1 gene:Vigun10g151100.v1.2 transcript:Vigun10g151100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQAQMVGGNNQEQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPTFQHGRFRPPPTSYHGSSSTATFSHQPPRQYTFPYSAYGYSGYSQDTLYPMNYYNVYGVQQFSAYYPSAGASGAVGLIHNIYPYYGQYAQSIQAQGFGVQYPQMPQFPFLPHPYGSTGILSYPSSVSVPTTTAVSVTGTATTTITPTTSTGMTATVAGSSQASQTASEQNSTSSKMQNMQG >Vigun10g151100.4.v1.2 pep primary_assembly:ASM411807v1:10:37032707:37035718:-1 gene:Vigun10g151100.v1.2 transcript:Vigun10g151100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQAQMVGGNNQEQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPTFQHGAGRFRPPPTSYHGSSSTATFSHQPPRQYTFPYSAYGYSGYSQDTLYPMNYYNVYGVQQFSAYYPSAGASGAVGLIHNIYPYYGQYAQSIQAQGFGVQYPQMPQFPFLPHPYGSTGILSYPSSVSVPTTTAVSVTGTATTTITPTTSTGMTATVAGSSQASQTASEQNSTSSKMQNMQG >Vigun10g151100.1.v1.2 pep primary_assembly:ASM411807v1:10:37032707:37035718:-1 gene:Vigun10g151100.v1.2 transcript:Vigun10g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQAQMVGGNNQEQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPTFQHGPFPYIVLPFFLMLYHFFHKVQDGSGLHLLLIMVLHLLLHFPINLLGNTHFLIQLTDILDIHKTHCILWCKLLQNYYNVYGVQQFSAYYPSAGASGAVGLIHNIYPYYGQYAQSIQAQGFGVQYPQMPQFPFLPHPYGSTGILSYPSSVSVPTTTAVTGTATTTITPTTSTGMTATVAGSSQASQTASEQNSTSSKMQNMQG >Vigun10g151100.5.v1.2 pep primary_assembly:ASM411807v1:10:37032707:37035718:-1 gene:Vigun10g151100.v1.2 transcript:Vigun10g151100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKQAQMVGGNNQEQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGANKNRPPTFQHGAGRFRPPPTSYHGSSSTATFSHQPPRQYTFPYSAYGYSGYSQDTLYPMNYYNVYGVQQFSAYYPSAGASGAVGLIHNIYPYYGQYAQSIQAQGFGVQYPQMPQFPFLPHPYGSTGILSYPSSVSVPTTTAVTGTATTTITPTTSTGMTATVAGSSQASQTASEQNSTSSKMQNMQG >Vigun05g145500.4.v1.2 pep primary_assembly:ASM411807v1:5:19821822:19829207:1 gene:Vigun05g145500.v1.2 transcript:Vigun05g145500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSSLFLKGHIFPSSIFLLTNTKRTPNVKLHPILYLCKAIQTNSYEISNGSYHPTVQHSTEKNTMEKPSRKIESIGAFQKLPIVMPSIDILGSALRKARKVSPTKGIANIAKREKNKGAKQLDALMKEIAVPLRTYVESFPNKTYLHPYERSLIELTLGDGYYEMVLQKVDGLRKRVVSVGKEHASLCAKSSSKREAEERLSEGLKKIEEVFAQERKVVDDLLGIAKTLRAMPVINLETPTLCLVGAPNVGKSSLVHVLSTGKPEICNYPFTTRGILMGHIIFNIQKFQVTDTPGLLRRHDGIIWKS >Vigun05g145500.3.v1.2 pep primary_assembly:ASM411807v1:5:19821822:19829207:1 gene:Vigun05g145500.v1.2 transcript:Vigun05g145500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSSLFLKGHIFPSSIFLLTNTKRTPNVKLHPILYLCKAIQTNSYEISNGSYHPTVQHSTEKNTMEKPSRKIESIGAFQKLPIVMPSIDILGSALRKARKVSPTKGIANIAKREKNKGAKQLDALMKEIAVPLRTYVESFPNKTYLHPYERSLIELTLGDGYYEMVLQKVDGLRKRVVSVGKEHASLCAKSSSKREAEERLSEGLKKIEEVFAQERKVVDDLLGIAKTLRAMPVINLETPTLCLVGAPNVGKSSLVHVLSTGKPEICNYPFTTRGILMGHIIFNIQKFQVTDTPGLLRRHDEDRNNLEKLTLAVLSHLPTAVLYVHDLSGECGTSPSDQFSIYKELRERFTGHLWLDVVSKCDLLKTSPVVYATDEPLPSQPELENYRKSGPDGAINVSVKTEEGLHELKQRVHELLNLQMAKIIDTSNKQEK >Vigun05g145500.2.v1.2 pep primary_assembly:ASM411807v1:5:19821822:19829207:1 gene:Vigun05g145500.v1.2 transcript:Vigun05g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSSLFLKGHIFPSSIFLLTNTKRTPNVKLHPILYLCKAIQTNSYEISNGSYHPTVQHSTEKNTMEKPSRKIESIGAFQKLPIVMPSIDILGSALRKARKVSPTKGIANIAKREKNKGAKQLDALMKEIAVPLRTYVESFPNKTYLHPYERSLIELTLGDGYYEMVLQKVDGLRKRVVSVGKEHASLCAKSSSKREAEERLSEGLKKIEEVFAQERKVVDDLLGIAKTLRAMPVINLETPTLCLVGAPNVGKSSLVHVLSTGKPEICNYPFTTRGILMGHIIFNIQKFQVTDTPGLLRRHDEDRNNLEKLTLAVLSHLPTAVLYVHDLSGECGTSPSDQFSIYKELRERFTGHLWLDVVSKCDLLKTSPVVYATDEPLPSQPELENYRKSGPDGAINVSVKTEEGLHELKQRVHELLNLQMAKIIDTSNKQEK >Vigun06g121600.1.v1.2 pep primary_assembly:ASM411807v1:6:24895616:24897097:1 gene:Vigun06g121600.v1.2 transcript:Vigun06g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKERESEIDTLEECEGEEDAVVDALSDSHMPNTSLAELNMLLVLLSLLYFSSDECTEDEESEEKIKDEITVTRKCTSRLQRKKRGRHDPKLGRKPISSRFQTTVKDSRHGRKM >Vigun04g099300.3.v1.2 pep primary_assembly:ASM411807v1:4:23126016:23128006:1 gene:Vigun04g099300.v1.2 transcript:Vigun04g099300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLCDETLNTEKLKRYIKDENSRYAKGVKEAKLKIDATKEKVKCLERKLWNEKAKVVSSTVSPFPMEEKIRTEVDEAHADMLRYQNRR >Vigun11g061400.6.v1.2 pep primary_assembly:ASM411807v1:11:13482411:13486870:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun11g061400.2.v1.2 pep primary_assembly:ASM411807v1:11:13482319:13486795:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun11g061400.4.v1.2 pep primary_assembly:ASM411807v1:11:13482411:13486870:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun11g061400.5.v1.2 pep primary_assembly:ASM411807v1:11:13482343:13486870:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun11g061400.1.v1.2 pep primary_assembly:ASM411807v1:11:13482319:13486745:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun11g061400.3.v1.2 pep primary_assembly:ASM411807v1:11:13482343:13486795:-1 gene:Vigun11g061400.v1.2 transcript:Vigun11g061400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIVFYGSTLCVMITVHFAMKLLAEHVSNWRKPKEQKAIVIIILMAPLYAVDSYVGLINFFGSETFFTFLDSIKECYEALVIAKFLSLMYSYLNISLSKNIVPDEIKGREIHHSFPMTLFQPHTTRLDHKTLKLLKNWTWQFVVIRPVCSILMITLQYFDVYPSWVSWANTIILNISVSLALYSLVVFYHVFSKELEPHKPLAKFLCIKGIVFFCFWQGIVLELLAALGIIRSRYSWLSVERIEEGYQNILVCIEMVFFSIYQQYAYTAAPYKAYNESSAASDKKSK >Vigun05g193500.1.v1.2 pep primary_assembly:ASM411807v1:5:37529244:37536364:1 gene:Vigun05g193500.v1.2 transcript:Vigun05g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSLGARTGSYGSLQNGNVSVATLVRRPSKTLLYNPREKERGFFFICRFLGRGRVPMLLMFSLGLCVFVFGCFTVYKGGSITSEIEDTRSNAVTRYGILKPRGVVGDKSQDSNSSKVFSSKSRYRSKSRPPSAPNLLSLSKSKGKRAKSPTWRHRCDHFAFPPPPVDRRRTGPRPCPVCYIPVKQAIASMPSSPSKSPILRSLTYVHDENSIHSDRHGGSDFGGYPSLEERDAAFDIKETMKVHCGFVKGSRPGRGTGFDFDEADLLELDKYHDVIVASAIFGNYDVIQQPRNISSEAKRNIPFYMFVDEETEKYMKNASILSSSRRVGLWRIIVVHNIPYADSRRNGKVPKLLLHRIFPNARYSIWIDGKLELVVDPYQIIERFLWRPNATFAISRHYRRFDVFVEAEANKAAGKYENSSIDNQIRFYKLYDGLTHYSRDKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKTGWRINMFMDCERRNFVIQTYHRDVLEHMPPPAAVVIRRPTPAAYYNKYQMRNHPRRWRGERRPGLKHHHRVFGTVSNHFLV >Vigun05g193500.2.v1.2 pep primary_assembly:ASM411807v1:5:37529380:37536239:1 gene:Vigun05g193500.v1.2 transcript:Vigun05g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSLGARTGSYGSLQNGNVSVATLVRRPSKTLLYNPREKERGFFFICRFLGRGRVPMLLMFSLGLCVFVFGCFTVYKGGSITSEIEDTRSNAVTRYGILKPRGVVGDKSQDSNSSKVFSSKSRYRSKSRPPSAPNLLSLSKSKGKRAKSPTWRHRCDHFAFPPPPVDRRRTGPRPCPVCYIPVKQAIASMPSSPSKSPILRSLTYVHDENSIHSDRHGGSDFGGYPSLEERDAAFDIKETMKVHCGFVKGSRPGRGTGFDFDEADLLELDKYHDVIVASAIFGNYDVIQQPRNISSEAKRNIPFYMFVDEETEKYMKNASILSSSRRVGLWRIIVVHNIPYADSRRNGKVPKLLLHRIFPNARYSIWIDGKLELVVDPYQIIERFLWRPNATFAISRHYRRFDVFVEAEANKAAGKYENSSIDNQIRFYKLYDGLTHYSRDKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKTGWRINMFMDCERRNFVIQTYHRDVLEHMPPPAAVVIRRPTPAAYYNKYQMRNHPRRWRGERRPGLKHHHRVFGTVSNHFLV >Vigun05g104800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10528336:10528713:-1 gene:Vigun05g104800.v1.2 transcript:Vigun05g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSKRVCFSPDVNDKPTMFLKHSEDNNDGGRVSRNRMRITRTCTFRLHMDSNSSPIRLLLRLGEKVASAIRNVSVRRRSSRKVSSSTLVRSRSLSDLTDSHRAETVEDCIEFLHSSSSRERPS >Vigun11g091000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27101970:27103001:-1 gene:Vigun11g091000.v1.2 transcript:Vigun11g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQCYHICLTLVFCMAMWAFEVTSRTLQDDSMHERHEEWMSRYGKVYKEPQEREKRLRIFRENVNYIDAFNNAANKPYKLGVNQFADLTNEEFIGTRNRFKGHMCSSITRTTSFKYQNNTAVPSTVDWRKKGAVTPVKDQGQCGCCWAFSAVASAEGIHQLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNQGLNTEANYPYQGVDGKCNANAAANDAATITGYEDVPANNEKALQKAVANQPVSVAIDASGSDFQFYESGVFTGSCGTDLDHGVTAVGYGVSDDGTEYWLVKNSWGTQWGEEGYIRMQRGVASQEGLCGIAMQASYPTA >Vigun08g098750.1.v1.2 pep primary_assembly:ASM411807v1:8:23975380:23976082:1 gene:Vigun08g098750.v1.2 transcript:Vigun08g098750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVAVRSPSAKPSRPPMSSVEPSTGWAIFGNNHCSYSSFNFVTNSLTSELKFYDYHC >Vigun04g016000.1.v1.2 pep primary_assembly:ASM411807v1:4:1185211:1189462:1 gene:Vigun04g016000.v1.2 transcript:Vigun04g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDEFVSYSPLPSNPNPNPNPYAYHYPNPSSYPQNVVVLLPTYRPRLQRRRHRCILYSSALFFFLLVAGAAFLLFPSDPEVRLVRIGLNRIGIRTNPKPILDLSFSLTVKVRNRDFFSLSYDTLAVSVGYRGRPIGLVSVPGDGVRIRARASSYVDATLTVDGFEVLYDAFYLLEDIAKGVIPFDTESRVEGKLGLFFFTVPLKATVSCEVYVNINQQRIVRQDCYPKSLGDPLDQSADIEAGDI >VigunL027750.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000397.1:1660:2158:1 gene:VigunL027750.v1.2 transcript:VigunL027750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQQESPSPLEPLKNVTRIKDVSVREILQERREAIERGKLKGRSMSFRNFDDESNGSEGVHGYCTRDELCSLSSSSSSCLAGDDDNDNQVMDKLIHCMATVKDSVSATYRNRRTRYVVLLGEVTVILLMIVMCMCWQRIWVEMIAI >Vigun03g044700.2.v1.2 pep primary_assembly:ASM411807v1:3:3590112:3594272:-1 gene:Vigun03g044700.v1.2 transcript:Vigun03g044700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELELDLDDKSSVGLSPNTVLPSQQYCVNVKKISKKGKPTGKDEFFTLKEGFAEIKFARFRSSSCKNHLSKPHGLEGNIETRRTSMYQSSEELKSRKKMGTMIEGRKKIEISRRSDASFTGSIVDSLCGSDDEGSGVRSPNSFIEICINSDVKNKNSTARGRDSTNLKPRSDKVADSVINGNCSVEKDTVHSLQKSFSAKVEVSHLPSPLGSDCSSSASPKVQFIHSRRRLNHFTKSKSLRSTVSQAPESIKSNEKANIAARNRTYQKSLLNDLSKTGKHSDIISEFINREIQYSGIASSPVHLHGNLKLENKHGVPFFEFKVKCPEDVYVAKTWRTGNAFNWVYTFHSIDNRKKSNATGLGYHDFDKDSSTVAQMLVSCNLCSELEGKVFDNSMVTEFVLYDFTHSRQSVSREKSFSEPDASNTLKASNVGWKEEAMGLDENRAVKNKPQDKSPLSNVEFDDLSSFPCSPTECHSNLEIGAIVLQIPFSKRESLKYKRGDIMSGKEHSNIRDLSAEIDRKSLHHSKIQEQVKVVIPTGNHGLPNAESKGPSSLLDRLRHGGGCDCGGWDMACPLILLGNPSIQFSEDCPLFEEHQPLELFVQGAKGSSPTFSMTRIEEGHYAVDFHAQLSALQAFSICVAILHGTSASGGGGHENNQQSSQCSSLKMLLEEDADFFFKSVTTEKKTGCKNPKVVPRSYVLNPPFSPIARV >Vigun03g044700.1.v1.2 pep primary_assembly:ASM411807v1:3:3590074:3595123:-1 gene:Vigun03g044700.v1.2 transcript:Vigun03g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELELDLDDKSSVGLSPNTVLPSQQYCVNVKKISKKGKPTGKDEFFTLKEGFAEIKFARFRSSSCKNHLSKPHGLEGNIETRRTSMYQSSEELKSRKKMGTMIEGRKKIEISRRSDASFTGSIVDSLCGSDDEGSGVRSPNSFIEICINSDVKNKNSTARGRDSTNLKPRSDKVADSVINGNCSVEKDTVHSLQKSFSAKVEVSHLPSPLGSDCSSSASPKVQFIHSRRRLNHFTKSKSLRSTVSQAPESIKSNEKANIAARNRTYQKSLLNDLSKTGKHSDIISEFINREIQYSGIASSPVHLHGNLKLENKHGVPFFEFKVKCPEDVYVAKTWRTGNAFNWVYTFHSIDNRKKSNATGLGYHDFDKDSSTVAQMLVSCNLCSELEGKVFDNSMVTEFVLYDFTHSRQSVSREKSFSEPDASNTLKASNVGWKEEAMGLDENRAVKNKPQDKSPLSNVEFDDLSSFPCSPTECHSNLEIGAIVLQIPFSKRESLKYKRGDIMSGKEHSNIRDLSAEIDRKSLHHSKIQEQVKVVIPTGNHGLPNAESKGPSSLLDRLRHGGGCDCGGWDMACPLILLGNPSIQFSEDCPLFEEHQPLELFVQGAKGSSPTFSMTRIEEGHYAVDFHAQLSALQAFSICVAILHGTSASGGGGHENNQQSSQCSSLKMLLEEDADFFFKSVTTEKKTGCKNPKVVPRSYVLNPPFSPIARV >Vigun03g044700.3.v1.2 pep primary_assembly:ASM411807v1:3:3590112:3595026:-1 gene:Vigun03g044700.v1.2 transcript:Vigun03g044700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELELDLDDKSSVGLSPNTVLPSQQYCVNVKKISKKGKPTGKDEFFTLKEGFAEIKFARFRSSSCKNHLSKPHGLEGNIETRRTSMYQSSEELKSRKKMGTMIEGRKKIEISRRSDASFTDVKNKNSTARGRDSTNLKPRSDKVADSVINGNCSVEKDTVHSLQKSFSAKVEVSHLPSPLGSDCSSSASPKVQFIHSRRRLNHFTKSKSLRSTVSQAPESIKSNEKANIAARNRTYQKSLLNDLSKTGKHSDIISEFINREIQYSGIASSPVHLHGNLKLENKHGVPFFEFKVKCPEDVYVAKTWRTGNAFNWVYTFHSIDNRKKSNATGLGYHDFDKDSSTVAQMLVSCNLCSELEGKVFDNSMVTEFVLYDFTHSRQSVSREKSFSEPDASNTLKASNVGWKEEAMGLDENRAVKNKPQDKSPLSNVEFDDLSSFPCSPTECHSNLEIGAIVLQIPFSKRESLKYKRGDIMSGKEHSNIRDLSAEIDRKSLHHSKIQEQVKVVIPTGNHGLPNAESKGPSSLLDRLRHGGGCDCGGWDMACPLILLGNPSIQFSEDCPLFEEHQPLELFVQVQGAKGSSPTFSMTRIEEGHYAVDFHAQLSALQAFSICVAILHGTSASGGGGHENNQQSSQCSSLKMLLEEDADFFFKSVTTEKKTGCKNPKVVPRSYVLNPPFSPIARV >Vigun03g044700.4.v1.2 pep primary_assembly:ASM411807v1:3:3590112:3595026:-1 gene:Vigun03g044700.v1.2 transcript:Vigun03g044700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELELDLDDKSSVGLSPNTVLPSQQYCVNVKKISKKGKPTGKDEFFTLKEGFAEIKFARFRSSSCKNHLSKPHGLEGNIETRRTSMYQSSEELKSRKKMGTMIEGRKKIEISRRSDASFTDVKNKNSTARGRDSTNLKPRSDKVADSVINGNCSVEKDTVHSLQKSFSAKVEVSHLPSPLGSDCSSSASPKVQFIHSRRRLNHFTKSKSLRSTVSQAPESIKSNEKANIAARNRTYQKSLLNDLSKTGKHSDIISEFINREIQYSGIASSPVHLHGNLKLENKHGVPFFEFKVKCPEDVYVAKTWRTGNAFNWVYTFHSIDNRKKSNATGLGYHDFDKDSSTVAQMLVSCNLCSELEGKVFDNSMVTEFVLYDFTHSRQSVSREKSFSEPDASNTLKASNVGWKEEAMGLDENRAVKNKPQDKSPLSNVEFDDLSSFPCSPTECHSNLEIGAIVLQIPFSKRESLKYKRGDIMSGKEHSNIRDLSAEIDRKSLHHSKIQEQVKVVIPTGNHGLPNAESKGPSSLLDRLRHGGGCDCGGWDMACPLILLGNPSIQFSEDCPLFEEHQPLELFVQGAKGSSPTFSMTRIEEGHYAVDFHAQLSALQAFSICVAILHGTSASGGGGHENNQQSSQCSSLKMLLEEDADFFFKSVTTEKKTGCKNPKVVPRSYVLNPPFSPIARV >Vigun03g128800.2.v1.2 pep primary_assembly:ASM411807v1:3:12448970:12454980:-1 gene:Vigun03g128800.v1.2 transcript:Vigun03g128800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVILEYLLTYMGYEDAGDFTVKERLRTSLHGNLVFYLSLGSVALFGVILLISLHKNYWSGNISGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWLNADWSIEQKVLSHKVAKMAVKLDDAHRNFSNAIVITQATSKQMSKRDSLRPYMNIIDRMLLQMLKEDPSFKPQGGRLGESDMDYDRDDKSMASLRRRLKRAREQYYRYRSEYTKFVLEALELEDTIKNYERRDTTGWKYTSCLRPERIGRVGAFLETCEFLWRCILWKYVEKSLAVILGVMSFAILLAEATILTSGVDLSLFSILVHAAGQQEVLVQLAAFIPLMYMCICTYYSLFKMGTMMFYSLTPKQTSPVSLLMICSMIARYAAPISYNFLNLINIGGHRKTVFEKKMGKINDAVPFFGKGFNKIYPLIMVIYTSLIAANTFDRVIKYFGNLKIFKFINEDAEDMDGFDPSGVIILQRERSLLQQGHNVGELVFPLARSFSITMDVESTNKTTDQDESDTTNTLEEENENQDKIRKFGSRKYAALRTSLNEEVSTKDLTQEGVSSSFTSDINDSQSTSSAPSSSSSSSVLASRWESMMDGFKSFRSNIDSKRFIPISNPPESILNSKSSSDSLDEIFEKLKHAPPEYRDSDD >Vigun03g128800.1.v1.2 pep primary_assembly:ASM411807v1:3:12448970:12454791:-1 gene:Vigun03g128800.v1.2 transcript:Vigun03g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMFSLPVAIGMVIFTLHYFSGPDVPRYVFFTVAYTWFCSVSIIILVPADIWTALNNYESGAISFLWSLSYWSTFLLTWAVVPTIQGYEDAGDFTVKERLRTSLHGNLVFYLSLGSVALFGVILLISLHKNYWSGNISGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWLNADWSIEQKVLSHKVAKMAVKLDDAHRNFSNAIVITQATSKQMSKRDSLRPYMNIIDRMLLQMLKEDPSFKPQGGRLGESDMDYDRDDKSMASLRRRLKRAREQYYRYRSEYTKFVLEALELEDTIKNYERRDTTGWKYTSCLRPERIGRVGAFLETCEFLWRCILWKYVEKSLAVILGVMSFAILLAEATILTSGVDLSLFSILVHAAGQQEVLVQLAAFIPLMYMCICTYYSLFKMGTMMFYSLTPKQTSPVSLLMICSMIARYAAPISYNFLNLINIGGHRKTVFEKKMGKINDAVPFFGKGFNKIYPLIMVIYTSLIAANTFDRVIKYFGNLKIFKFINEDAEDMDGFDPSGVIILQRERSLLQQGHNVGELVFPLARSFSITMDVESTNKTTDQDESDTTNTLEEENENQDKIRKFGSRKYAALRTSLNEEVSTKDLTQEGVSSSFTSDINDSQSTSSAPSSSSSSSVLASRWESMMDGFKSFRSNIDSKRFIPISNPPESILNSKSSSDSLDEIFEKLKHAPPEYRDSDD >Vigun06g148900.1.v1.2 pep primary_assembly:ASM411807v1:6:27378763:27380717:-1 gene:Vigun06g148900.v1.2 transcript:Vigun06g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLTHTMHRSPLQPENNRYGRSNSTVSEIEEDNSSDLPFNDSLVTISEQEKKEEEDTVYVAVGKSPSSIEALSWTLNNFTTPSTILYLIHVFPEIKHLPNPLGVGMIPKDQVSPEQVESYMAQERGKRRELLNKFLQLCSASKVKVDTILIESDLIAKAIIDLIPILQIRKLVIGANKSQIRKLRSRKGSGIVDQIVQNAPESCNVSIVCDGKEVNEQIGGAIASDTSMSQKNKHQQNASVSCVCFNF >Vigun04g196600.1.v1.2 pep primary_assembly:ASM411807v1:4:42138594:42143168:-1 gene:Vigun04g196600.v1.2 transcript:Vigun04g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTPFMDKQIMDLTHGSSTAQQHSKDFIDLMKHEPPQQHHHHREEDDDEEEEEKSLGNGINKDDIVPSYDFQPIRPLAAASSYDSAPNFGAAFSRPWNSDSNSKNYSSLDSLEPAKVIVEKDRSASDASMLSEIDRTMKKHMENMLNVLEGVSARLSQLETRTHHLENSVDDLKVSVGNNHGSTDGKLRQLENILREVQSGVLTIKDKQDIMQAQLQLAKLQVSNTNQKSEAQSSTTTDPVQQAVPAPVQSQPQIPTPANLPQSIPVVPPPNAPPQQGLPPPPQLQLPNQFPPNQIQAAPQRDPYFPPPVQSQETPNQQYQLPLSQPPHAQPGAAPHQQYQQTPHPQYPQPPPHVPQQQPPSHPSMNPSQLQQPPLGHHVEEQPPYPPQTYPPNVRQPPSQSPTGPPPPQQFYGAPSHSYEPPSGRPSSGSGYSSGYGSLSGTGPAEQYRYGAPPQYGGNPALKPPQLPTASVSPSGGSGYPQLPTARILPQALPTASAVSGSSGSAGTGGRVSVDDVVDKVATMGFPRDHVRATVRKLTENGQSVDLNAVLDKLMNDGEVQPPRSWFGR >VigunL020466.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000270.1:19504:20633:-1 gene:VigunL020466.v1.2 transcript:VigunL020466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSCCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSPDSLLIRQRPQILFTNVEKILRSKIQLFQMSGFQAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVIFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYNMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun03g138900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13688010:13689502:1 gene:Vigun03g138900.v1.2 transcript:Vigun03g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFTIVLLIVVFLGSALSELCNPQDKQALLQFKKDLGNPTTLSSWLPTTDCCDRTWQGVLCDTDTQTYRVNNLDLSDLNLPKPYPIPSSLANLPNLNFLYIGRTNNLVGPIPRAIANLTQLRYLYITHTNVSGAIPDFLSQIKTLVTLDFSYNALSGTLPPSISSLPNLVGITFDGNRISGAIPDSYGSFSKLFTSMTISRNRLTGKIPATFSNLNLAFVDLSRNMLEGDASVLFGSGKNTQKIHLAKNSLAFDLGKVGLSKNLNGLDLRNNRIYGTLPQGLTQLKFLHSLNVSFNNLCGEIPQGGNLQRFDVSSYANNKCLCGSPLPACT >Vigun07g267560.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38305940:38307010:1 gene:Vigun07g267560.v1.2 transcript:Vigun07g267560.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICCFRAKIFYPLFWRENPTHRVIEDILKEHGPLPTARFSYLEVKKMTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun03g028000.1.v1.2 pep primary_assembly:ASM411807v1:3:2112468:2114103:1 gene:Vigun03g028000.v1.2 transcript:Vigun03g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPQEDETLKNYLKKHGTGGNWITLPQKAGLKRCGKSCRLRWLNYLRPHIKHGGFTDEEDQLICNLYSTIGSRWSLIAAQLPGRTDNDVKNHWNTKLKKKFLVANTNATVDTVSPQFTTSTAPHSQVEDRVFDHENFIPLPLGSNVSGFGSSCSVPVPNEVSVVSNSTLVKQEHETQWFGFHDDIEGENEALLLDFVYQDLLLNNGFVSQEKNSEVAPSFG >Vigun07g008100.1.v1.2 pep primary_assembly:ASM411807v1:7:689004:692581:1 gene:Vigun07g008100.v1.2 transcript:Vigun07g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLGVQVSEVKNVIIWGNHSSTQYPDVNHATVTTPAGDKSVRELVADDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPQGTWVSMGVYSDGSYNVPAGLIYSFPVTCANGEWTIVQGLPIDEFSRKKLDLTGEELSEEKALAYSCLS >Vigun01g177700.3.v1.2 pep primary_assembly:ASM411807v1:1:35882637:35886709:1 gene:Vigun01g177700.v1.2 transcript:Vigun01g177700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGSSVVVPRNFRLLEELEKGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPYNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVESKKFGLLANWQRRFTMEDILTQMKKEMAASHNRKLIQPPEGTCY >Vigun01g177700.2.v1.2 pep primary_assembly:ASM411807v1:1:35882637:35886656:1 gene:Vigun01g177700.v1.2 transcript:Vigun01g177700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGSSVVVPRNFRLLEELEKGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPYNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVESKKFGLLANWQRRFTMEDILTQMKKEMAASHNRKLIQPPEGTCY >Vigun01g177700.1.v1.2 pep primary_assembly:ASM411807v1:1:35882770:35886705:1 gene:Vigun01g177700.v1.2 transcript:Vigun01g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGSSVVVPRNFRLLEELEKGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPYNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVESKKFGLLANWQRRFTMEDILTQMKKEMAASHNRKLIQPPEGTCY >Vigun07g220600.1.v1.2 pep primary_assembly:ASM411807v1:7:34305172:34307905:-1 gene:Vigun07g220600.v1.2 transcript:Vigun07g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVYRETEGHGFPKVPSLVPHISNVKKSSSFKESEKKVAGGQGDEQEHSVSINMPLNCEDVQLHGTARVSETDLSGNGAPIFSSESTTTYSKPPLPSQSMPNGSVQPEEASSVNFTNHESLKSLNDNGTNFCKTWYSKLEAKLSILRGRVHSQSVEEDNSLSNTKKPLPVDFLFVKASEDPQIQTPKKCPEEMVHPQDKQWPFLLRFPISSFGICLGVSSQAILWKALATSPSTEFLHITPKINFVLWLISVAVVATIFAIYLFKIILYFEAVRREFHHAVRVNFFFAPWISLLFLALGVPSSFAKELHHAVWYILMIPLFFLMLKIYGQWMFGGKRMLSKVANPTNMLAIVGNFVGALLGASMGLREGPIFFFTIGLTHYMVIFVTLAQMLPTNKTLIRDLHPVFFLLVAPPSVAALALAKIQGSFHLESRIFYFTSMFLYLTLAVRVNLFRGLKFSISWWAYTFPVTAAAIATINYTNQVTNVVTQALSVMLSLISTFIVTTVFVSTIVHAFVLRDLFPNDLAIATSERKRKTHKKRFPLGLGSHGHAKKTESYLKFVNSDKNDLV >Vigun07g220600.2.v1.2 pep primary_assembly:ASM411807v1:7:34305172:34307905:-1 gene:Vigun07g220600.v1.2 transcript:Vigun07g220600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVYRETEGHGFPKVPSLVPHISNVKKSSSFKESEKKVAGGQGDEQEHSVSINMPLNCEDVQLHGTARVSETDLSGNGAPIFSSESTTTYSKPPLPSQSMPNGSVQPEEASSVNFTNHESLKSLNDNGTNFCKTWYSKLEAKLSILRGRVHSQSVEEDNSLSNTKKPLPVDFLFVKASEDPQIQTPKCPEEMVHPQDKQWPFLLRFPISSFGICLGVSSQAILWKALATSPSTEFLHITPKINFVLWLISVAVVATIFAIYLFKIILYFEAVRREFHHAVRVNFFFAPWISLLFLALGVPSSFAKELHHAVWYILMIPLFFLMLKIYGQWMFGGKRMLSKVANPTNMLAIVGNFVGALLGASMGLREGPIFFFTIGLTHYMVIFVTLAQMLPTNKTLIRDLHPVFFLLVAPPSVAALALAKIQGSFHLESRIFYFTSMFLYLTLAVRVNLFRGLKFSISWWAYTFPVTAAAIATINYTNQVTNVVTQALSVMLSLISTFIVTTVFVSTIVHAFVLRDLFPNDLAIATSERKRKTHKKRFPLGLGSHGHAKKTESYLKFVNSDKNDLV >Vigun11g158900.1.v1.2 pep primary_assembly:ASM411807v1:11:36687703:36689664:1 gene:Vigun11g158900.v1.2 transcript:Vigun11g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNSGSKSRWCLQGMTALVTGGSKGIGYAIVEELAQLGATVHTCARNEVELSESLKEWSTKGYRVTGSVCDVASPVEREELIARVSSQFNGKLNILVNNVGTNIQKQTLDFTAEDFAFLMNTNLESGFHLSQIAHPLLKASEAASIIFISSIAGVMAIKLASVIYGATKGAMNQMTKNLACEWAKDNIRTNCIAPGPIRTPLLEKHSKEETVMNAVICQTPLGRIGEAEEVSSLVAFLCLPAASYITGQTICVDGGFTVNGLNTL >Vigun11g013100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1553091:1557880:-1 gene:Vigun11g013100.v1.2 transcript:Vigun11g013100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEMIKGALVSSFVQITIDNLASRFGDIFRGDKSNKKMLSNLKVKLLAVDVVADDAEQKQFTDGRVREWLLQAKDAVFDAEDLLEEIDHALSKTQVEAQSHSTATKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLKRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTLLAQHVINDPRTDEAKFDVKAWVCVSDEFDVFKVSKTILEHVTRSTNNSRDIEMVHQSLKETLTGKKFLLILDDVWNENQSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLDLFAKHAFADDYDAQSNPECNKIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPKERCNIVPALALSYIHLPPHLKVCFAYCALFPKDYKFKKEHLIELWITENFLQHGKSPEETGQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCEVGQTNEIQKVSRHVLFELRNHGCFSGFGTLCKTQRLRTFLLTPDRKMVFFWKMIFFWSCNMSIHKLFTKFKFLRILSLSRCYSLKELPDSVGILEHLRSLDLSRTYIKKLSESICSLSHLQILKLNYCMDLEELPSNLHLITTLCRLEFTSTKVRKVPPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQNIENPREALKADLKNKTHLLKLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVLLKLDGCESCQSLPPLGLLPFLKDLYISGFDEIVSIDVGFHGNNSSSFQSLERLEFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALELKLHNCGKVQLDWATMEWLRMGGHHMKALFCERDGSHTLDELEIEESINDDSFFLSIFPLDSFPTLEVLTLSRLKNLQMISLDQAHHHLDDLTISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLELLSGGDLPSNLTEMRLENCSRLVGSLKGGFRDGSYLGRLSIRELDAKCFPEEGLLPTSLTYLTIGDCPNLEELDYKGLSQLSSLRSLTLEHCPKLQCLPEQGLPESISNLTIQNCPLLKQRCQRGGEDREKIAQIRYIYLFN >Vigun11g013100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1553052:1557914:-1 gene:Vigun11g013100.v1.2 transcript:Vigun11g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEMIKGALVSSFVQITIDNLASRFGDIFRGDKSNKKMLSNLKVKLLAVDVVADDAEQKQFTDGRVREWLLQAKDAVFDAEDLLEEIDHALSKTQVEAQSHSTATKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLKRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTLLAQHVINDPRTDEAKFDVKAWVCVSDEFDVFKVSKTILEHVTRSTNNSRDIEMVHQSLKETLTGKKFLLILDDVWNENQSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLDLFAKHAFADDYDAQSNPECNKIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPKERCNIVPALALSYIHLPPHLKVCFAYCALFPKDYKFKKEHLIELWITENFLQHGKSPEETGQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCEVGQTNEIQKVSRHVLFELRNHGCFSGFGTLCKTQRLRTFLLTPDRKMVFFWKMIFFWSCNMSIHKLFTKFKFLRILSLSRCYSLKELPDSVGILEHLRSLDLSRTYIKKLSESICSLSHLQILKLNYCMDLEELPSNLHLITTLCRLEFTSTKVRKVPPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQNIENPREALKADLKNKTHLLKLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVLLKLDGCESCQSLPPLGLLPFLKDLYISGFDEIVSIDVGFHGNNSSSFQSLERLEFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALELKLHNCGKVQLDWATMEWLRMGGHHMKALFCERDGSHTLDELEIEESINDDSFFLSIFPLDSFPTLEVLTLSRLKNLQMISLDQAHHHLDDLTISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLELLSGGDLPSNLTEMRLENCSRLVGSLKGGFRDGSYLGRLSIRELDAKCFPEEGLLPTSLTYLTIGDCPNLEELDYKGLSQLSSLRSLTLEHCPKLQCLPEQGLPESISNLTIQNCPLLKQRCQRGGEDREKIAQIRYIYLFN >Vigun05g139600.1.v1.2 pep primary_assembly:ASM411807v1:5:17055226:17059334:1 gene:Vigun05g139600.v1.2 transcript:Vigun05g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGKRRREKNYRIAHGGYNGLPPPPKPSQLDALPSKLRQIMSFSQRPNGANGSSKNQNNDEGHVQNKTISKDKGDVRTKDVKEGNTNGQLKELQQMDRSEEQLVESGAVDKKKKKRKRKEVKDLRFEMEVDKTSSQLKRKERKKKYLEAKKKKRKSSHEEEMDFPGHEKIEFGDIVQAPPKLSVPPRAFKNASQERLRLQAIEEYRSRKGWTSRPGNHLLPPVTTLDS >Vigun06g147300.7.v1.2 pep primary_assembly:ASM411807v1:6:27234478:27247440:-1 gene:Vigun06g147300.v1.2 transcript:Vigun06g147300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNLNQQQQQQPQQQQPQTTHFDFNKLFKTPPAAAASASAAAPPTIPNPSNLNSSPSFPSPSPSTPPPSSYPTPSSSYPPPTGTYPYHHPHFLPYPALHHQQQHQEHPLILHHLPQMHAPQRPTIFPPPSPSPSSPHLPSSPNPTTGARLMALLGTQNPPSNQEPSVVYSSPSATSSSPMVSEFSVPPNPSGLPSTQHSGSPVNLASPQSTPTRMLSSKLPKGRHLIGEHAVYDIDVRMPGEVQPQLEVTPITKYASDPGLVLGRQIAVNKSYICYGLKLGAIRVLNINTALRYLLRGHTQRVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDEDDKPQITGKVILALQILGESESVHPRVCWHPHKQEILMVAIGNRILKIDSMKAGKGETFSAEEPLKCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASADGTVKIWEERKTTPLAVLRPHDGKPVNSVTFLTAPHRPEHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWHCIQTLDIRSSSESNPEDAFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGSNPTDTRMDYIAEFTVTMPILSLTGTSDNLPDGEHIVQIYCVQTQAIQQYGLNLSQCLPPPLDNVELEKTESNLSRSFDAIDGSTNMETGNMPQVHSSSSESVPVVSLAVNLPSSDISVLPPEASISSISEAETKANDVPSRNGFEHIQSAPPPLPQSPRLSHKLSGFKSSSNNLETSSTTADHSSDQTNLDSSSERRMESEKDMADVPASGDNLRKDDKVVSNDVSVVSNTQATYKHPTHLVTPSEIFSKTALSSENSHTSQGMNVPDVVARSDTENIEVDVVQVIGEMGSNQESTESQRDRDSHTNVTEKKEKLFYSQASDLGIQMARETYYDMEAARQADHIKTIDAPGQSCNSVEEEVQDTSKDVPANISESETMATTVQSPAPAVKGKRQKGKTSHLSGPSSASPSPFNSTDSSNDQGGNSGASSIEAALPQLSAMQEMMGQLLSMHKEMQKQMNAMVSVPVTKEGKRLEGSLGRNMEKVVKAHTDALWARLQEENAKQEKLERDRTQQITNLISNYVNKDMVSILEKIIKKEISSIGTTITRSLSQVIEKTISSAITESFQKGVGDKALNQLEKSVGSKLEATVARQIQTQFQTSGKQALQEGLKTSLEASVVPAFEMSCKAMFEQIDVAFQNGLVKHTAAIQQQFDSTYSPLAMTLRDTINSASSITQTLSGQLADGQRQLLEIAANSKVTVDPFVAQINNGLHETEDPTKELSRLISERKFEEAFTGALHRSDVSLVSWLCSQVDLSGILAMVPLPLSQGVLLSLLQQLSCDISTDTPRKLAWMTDVAAAINPADPRIAAHVRRILDQVSHTLGHHRNLPTTSPSEASTIRLLMHVINSVLLSCK >Vigun06g147300.5.v1.2 pep primary_assembly:ASM411807v1:6:27234478:27247440:-1 gene:Vigun06g147300.v1.2 transcript:Vigun06g147300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNLNQQQQQQPQQQQPQTTHFDFNKLFKTPPAAAASASAAAPPTIPNPSNLNSSPSFPSPSPSTPPPSSYPTPSSSYPPPTGTYPYHHPHFLPYPALHHQQQHQEHPLILHHLPQMHAPQRPTIFPPPSPSPSSPHLPSSPNPTTGARLMALLGTQNPPSNQEPSVVYSSPSATSSSPMVSEFSVPPNPSGLPSTQHSGSPVNLASPQSTPTRMLSSKLPKGRHLIGEHAVYDIDVRMPGEVQPQLEVTPITKYASDPGLVLGRQIAVNKSYICYGLKLGAIRVLNINTALRYLLRGHTQRVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDEDDKPQITGKVILALQILGESESVHPRVCWHPHKQEILMVAIGNRILKIDSMKAGKGETFSAEEPLKCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASADGTVKIWEERKTTPLAVLRPHDGKPVNSVTFLTAPHRPEHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWHCIQTLDIRSSSESNPEDAFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGSNPTDTRMDYIAEFTVTMPILSLTGTSDNLPDGEHIVQIYCVQTQAIQQYGLNLSQCLPPPLDNVELEKTESNLSRSFDAIDGSTNMETGNMPQVHSSSSESVPVVSLAVNLPSSDISVLPPEASISSISEAETKANDVPSRNGFEHIQSAPPPLPQSPRLSHKLSGFKSSSNNLETSSTTADHSSDQTNLDSSSERRMESEKDMADVPASGDNLRKDDKVVSNDVSVVSNTQATYKHPTHLVTPSEIFSKTALSSENSHTSQGMNVPDVVARSDTENIEVDVVQVIGEMGSNQESTESQRDRDSHTNVTEKKEKLFYSQASDLGIQMARETYYDMEAARQADHIKTIDAPGQSCNSVEEEVQDTSKDVPANISESETMATTVQSPAPAVKGKRQKGKTSHLSGPSSASPSPFNSTDSSNDQGGNSGASSIEAALPQLSAMQEMMGQLLSMHKEMQKQMNAMVSVPVTKEGKRLEGSLGRNMEKVVKAHTDALWARLQEENAKQEKLERDRTQQITNLISNYVNKDMVSILEKIIKKEISSIGTTITRSLSQVIEKTISSAITESFQKGVGDKALNQLEKSVGSKLEATVARQIQTQFQTSGKQALQEGLKTSLEASVVPAFEMSCKAMFEQIDVAFQNGLVKHTAAIQQQFDSTYSPLAMTLRDTINSASSITQTLSGQLADGQRQLLEIAANSKVTVDPFVAQINNGLHEVTEDPTKELSRLISERKFEEAFTGALHRSDVSLVSWLCSQVDLSGILAMVPLPLSQGVLLSLLQQLSCDISTDTPRKLAWMTDVAAAINPADPRIAAHVRRILDQVSHTLGHHRNLPTTSPSEASTIRLLMHVINSVLLSCK >Vigun06g147300.8.v1.2 pep primary_assembly:ASM411807v1:6:27234478:27247440:-1 gene:Vigun06g147300.v1.2 transcript:Vigun06g147300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNLNQQQQQQPQQQQPQTTHFDFNKLFKTPPAAAASASAAAPPTIPNPSNLNSSPSFPSPSPSTPPPSSYPTPSSSYPPPTGTYPYHHPHFLPYPALHHQQQHQEHPLILHHLPQMHAPQRPTIFPPPSPSPSSPHLPSSPNPTTGARLMALLGTQNPPSNQEPSVVYSSPSATSSSPMVSEFSVPPNPSGLPSTQHSGSPVNLASPQSTPTRMLSSKLPKGRHLIGEHAVYDIDVRMPGEVQPQLEVTPITKYASDPGLVLGRQIAVNKSYICYGLKLGAIRVLNINTALRYLLRGHTQRVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDEDDKPQITGKVILALQILGESESVHPRVCWHPHKQEILMVAIGNRILKIDSMKAGKGETFSAEEPLKCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASADGTVKIWEERKTTPLAVLRPHDGKPVNSVTFLTAPHRPEHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWHCIQTLDIRSSSESNPEDAFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGSNPTDTRMDYIAEFTVTMPILSLTGTSDNLPDGEHIVQIYCVQTQAIQQYGLNLSQCLPPPLDNVELEKTESNLSRSFDAIDGSTNMETGNMPQVHSSSSESVPVVSLAVNLPSSDISVLPPEASISSISEAETKANDVPSRNGFEHIQSAPPPLPQSPRLSHKLSGFKSSSNNLETSSTTADHSSDQTNLDSSSERRMESEKDMADVPASGDNLRKDDKVVSNDVSVVSNTQATYKHPTHLVTPSEIFSKTALSSENSHTSQGMNVPDVVARSDTENIEVDVVQVIGEMGSNQESTESQRDRDSHTNVTEKKEKLFYSQASDLGIQMARETYYDMEAARQADHIKTIDAPGQSCNSVEEEVQDTSKDVPANISESETMATTVQSPAPAVKGKRQKGKTSHLSGPSSASPSPFNSTDSSNDQGGNSGASSIEAALPQLSAMQEMMGQLLSMHKEMQKQMNAMVSVPVTKEGKRLEGSLGRNMEKVVKAHTDALWARLQEENAKQEKLERDRTQQITNLISNYVNKDMVSILEKIIKKEISSIGTTITRSLSQVIEKTISSAITESFQKGVGDKALNQLEKSVGSKLEATVARQIQTQFQTSGKQALQEGLKTSLEASVVPAFEMSCKAMFEQIDVAFQNGLVKHTAAIQQQFDSTYSPLAMTLRDTINSASSITQTLSGQLADGQRQLLEIAANSKVTVDPFVAQINNGLHETEDPTKELSRLISERKFEEAFTGALHRSDVSLVSWLCSQVDLSGILAMVPLPLSQGVLLSLLQQLSCDISTDTPRKLAWMTDVAAAINPADPRIAAHVRRILDQVSHTLGHHRNLPTTSPSEASTIRLLMHVINSVLLSCK >Vigun06g147300.6.v1.2 pep primary_assembly:ASM411807v1:6:27234478:27247440:-1 gene:Vigun06g147300.v1.2 transcript:Vigun06g147300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNLNQQQQQQPQQQQPQTTHFDFNKLFKTPPAAAASASAAAPPTIPNPSNLNSSPSFPSPSPSTPPPSSYPTPSSSYPPPTGTYPYHHPHFLPYPALHHQQQHQEHPLILHHLPQMHAPQRPTIFPPPSPSPSSPHLPSSPNPTTGARLMALLGTQNPPSNQEPSVVYSSPSATSSSPMVSEFSVPPNPSGLPSTQHSGSPVNLASPQSTPTRMLSSKLPKGRHLIGEHAVYDIDVRMPGEVQPQLEVTPITKYASDPGLVLGRQIAVNKSYICYGLKLGAIRVLNINTALRYLLRGHTQRVTDMAFFAEDLHLLASASTDGRIFVWKINEGPDEDDKPQITGKVILALQILGESESVHPRVCWHPHKQEILMVAIGNRILKIDSMKAGKGETFSAEEPLKCSIDKLIDGVHLVGKHDGNVTELSMCQWMKSRLASASADGTVKIWEERKTTPLAVLRPHDGKPVNSVTFLTAPHRPEHIVLITAGPLNQEVKIWVSDNEEGWLLPSDSESWHCIQTLDIRSSSESNPEDAFFNQVVALPRAGLFLLANAKKNTIYAVHIEYGSNPTDTRMDYIAEFTVTMPILSLTGTSDNLPDGEHIVQIYCVQTQAIQQYGLNLSQCLPPPLDNVELEKTESNLSRSFDAIDGSTNMETGNMPQVHSSSSESVPVVSLAVNLPSSDISVLPPEASISSISEAETKANDVPSRNGFEHIQSAPPPLPQSPRLSHKLSGFKSSSNNLETSSTTADHSSDQTNLDSSSERRMESEKDMADVPASGDNLRKDDKVVSNDVSVVSNTQATYKHPTHLVTPSEIFSKTALSSENSHTSQGMNVPDVVARSDTENIEVDVVQVIGEMGSNQESTESQRDRDSHTNVTEKKEKLFYSQASDLGIQMARETYYDMEAARQADHIKTIDAPGQSCNSVEEEVQDTSKDVPANISESETMATTVQSPAPAVKGKRQKGKTSHLSGPSSASPSPFNSTDSSNDQGGNSGASSIEAALPQLSAMQEMMGQLLSMHKEMQKQMNAMVSVPVTKEGKRLEGSLGRNMEKVVKAHTDALWARLQEENAKQEKLERDRTQQITNLISNYVNKDMVSILEKIIKKEISSIGTTITRSLSQVIEKTISSAITESFQKGVGDKALNQLEKSVGSKLEATVARQIQTQFQTSGKQALQEGLKTSLEASVVPAFEMSCKAMFEQIDVAFQNGLVKHTAAIQQQFDSTYSPLAMTLRDTINSASSITQTLSGQLADGQRQLLEIAANSKVTVDPFVAQINNGLHEVTEDPTKELSRLISERKFEEAFTGALHRSDVSLVSWLCSQVDLSGILAMVPLPLSQGVLLSLLQQLSCDISTDTPRKLAWMTDVAAAINPADPRIAAHVRRILDQVSHTLGHHRNLPTTSPSEASTIRLLMHVINSVLLSCK >Vigun06g029100.2.v1.2 pep primary_assembly:ASM411807v1:6:12963791:12965798:1 gene:Vigun06g029100.v1.2 transcript:Vigun06g029100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVKDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCLRYRMLYYIFTFFLAGEC >Vigun06g029100.1.v1.2 pep primary_assembly:ASM411807v1:6:12963790:12965798:1 gene:Vigun06g029100.v1.2 transcript:Vigun06g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVKDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCLRYRMLYYIFTFFLAGEC >Vigun06g029100.3.v1.2 pep primary_assembly:ASM411807v1:6:12963791:12965798:1 gene:Vigun06g029100.v1.2 transcript:Vigun06g029100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVKDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCLRYRMLYYIFTFFLAGEC >Vigun09g032700.6.v1.2 pep primary_assembly:ASM411807v1:9:2791618:2795195:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLVVAEEEQKAKAELFLAMLNYPSAEMMRSQKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.4.v1.2 pep primary_assembly:ASM411807v1:9:2791579:2795382:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIMVSVLEFMDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEVSESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun09g032700.3.v1.2 pep primary_assembly:ASM411807v1:9:2791618:2795195:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLVVAEEEQKAKAELFLAMLNYPSAEMMRSQKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun09g032700.10.v1.2 pep primary_assembly:ASM411807v1:9:2791641:2795194:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEVSESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun09g032700.9.v1.2 pep primary_assembly:ASM411807v1:9:2791641:2795194:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEVSESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.2.v1.2 pep primary_assembly:ASM411807v1:9:2791641:2795280:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLQKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun09g032700.7.v1.2 pep primary_assembly:ASM411807v1:9:2791644:2794953:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIMVSVLEFMDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.5.v1.2 pep primary_assembly:ASM411807v1:9:2791641:2795280:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLQKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.1.v1.2 pep primary_assembly:ASM411807v1:9:2791577:2795382:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIMVSVLEFMDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEVSESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.8.v1.2 pep primary_assembly:ASM411807v1:9:2791644:2794953:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIMVSVLEFMDLPLSSPTSIRASLGRIEYQVNDKENLSFPITSIRDDLIFKIQDAEGNEISRTGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun09g032700.11.v1.2 pep primary_assembly:ASM411807v1:9:2791641:2795194:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLSSPTSIRGIQIKLILEKGVLEDKFPLGGGHLRLKLQVILSDEERDRIRSLRQSALKKKHDELLSGGRRGAESESRAVLGNAELPFRRNDEVSESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKSNEERQRDVFNFLNLPE >Vigun09g032700.12.v1.2 pep primary_assembly:ASM411807v1:9:2791644:2795106:-1 gene:Vigun09g032700.v1.2 transcript:Vigun09g032700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLVVAEEEQKAKAELFLAMLNYPSAEMMRSQKLNSYDESHPTFPQRLFPDVLSAPSSQESPKKHLQQEAGSRDEKESDTRTQLEHKQLKPNIADEYKESSSTKPVSQQKGKKPAYQSPSEKHPQRATGSEEIAVFFGTEKNDAPASNVVQPNEEEGGPQHSEKRASLGRIPSNVRKMISAFEGGSAQDKRSQIKPPPTKQQQSSNERRYSSKTQHLEKDKSEKTEPADLHERVKSSSLNEAHVGTGTEGSKHERKVETKDSKPKTSDNNGDENSGGPFNQVVKVAIIVGFGLLVLLTRKRRKRMKKKNA >Vigun11g182900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38603633:38604314:1 gene:Vigun11g182900.v1.2 transcript:Vigun11g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRASSAANQASSKAVEVPKGYLAVYVGEKMKRFVIPISCLTQPSFQDLLSQAEEEFGYDHPMGGLTIPCSEDVFQEITSLLLNKL >Vigun09g051300.1.v1.2 pep primary_assembly:ASM411807v1:9:5053271:5061784:-1 gene:Vigun09g051300.v1.2 transcript:Vigun09g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGGSSSTVSTGVQEIPGRSTQRGAFYQFTQQNLPACKPVLTPAAIIATFLLMSFIFIPIGLVTLRASNSVVEIVDRYDMDCVPEEYRNNKVAYIKDDLITKNCSRFLKVLKPMKAPIYIYYQLDNYYQNHRRYVKSRSDLQLLHGLGYNDTSSCKPLESSHNLPVVPCGLIAWSLFNDTYKFSRGPSELKVNRKNIAWKSDRDHKFGKHVYPFNFQNGTLIGGGKLDPSIPLGDQEDLIVWMRTAALPSFRKLYGRIEEDLDVDDVIVVHLQNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGVANLFVGAFCIFISIVFLLLHMKNPRTDLMGTWPTYLGIGKTLLADKGHGMVHWWLYFS >Vigun09g051300.3.v1.2 pep primary_assembly:ASM411807v1:9:5053216:5061786:-1 gene:Vigun09g051300.v1.2 transcript:Vigun09g051300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGGSSSTVSTGVQEIPGRSTQRGAFYQFTQQNLPACKPVLTPAAIIATFLLMSFIFIPIGLVTLRASNSVVEIVDRYDMDCVPEEYRNNKVAYIKDDLITKNCSRFLKVLKPMKAPIYIYYQLDNYYQNHRRYVKSRSDLQLLHGLGYNDTSSCKPLESSHNLPVVPCGLIAWSLFNDTYKFSRGPSELKVNRKNIAWKSDRDHKFGKHVYPFNFQNGTLIGGGKLDPSIPLGDQEDLIVWMRTAALPSFRKLYGRIEEDLDVDDVIVVHLQNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGVANLFVGAFCIFISIVFLLLHMKNPRPYGDMAYLSWNRKNIAS >Vigun09g051300.2.v1.2 pep primary_assembly:ASM411807v1:9:5053268:5061784:-1 gene:Vigun09g051300.v1.2 transcript:Vigun09g051300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGGSSSTVSTGVQEIPGRSTQRGAFYQFTQQNLPACKPVLTPAAIIATFLLMSFIFIPIGLVTLRASNSVVEIVDRYDMDCVPEEYRNNKVAYIKDDLITKNCSRFLKVLKPMKAPIYIYYQLDNYYQNHRRYVKSRSDLQLLHGLGYNDTSSCKPLESSHNLPVVPCGLIAWSLFNDTYKFSRGPSELKVNRKNIAWKSDRDHKFGKHVYPFNFQNGTLIGGGKLDPSIPLGDQEDLIVWMRTAALPSFRKLYGRIEEDLDVDDVIVVHLQNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGVANLFVGAFCIFISIVFLLLHMKNPRTDLMGTWPTYLGIGKTLLADKGHGMVHWWLYFS >Vigun09g051300.4.v1.2 pep primary_assembly:ASM411807v1:9:5053216:5061786:-1 gene:Vigun09g051300.v1.2 transcript:Vigun09g051300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGGSSSTVSTGVQEIPGRSTQRGAFYQFTQQNLPACKPVLTPAAIIATFLLMSFIFIPIGLVTLRASNSVVEIVDRYDMDCVPEEYRNNKVAYIKDDLITKNCSRFLKVLKPMKAPIYIYYQLDNYYQNHRRYVKSRSDLQLLHGLGYNDTSSCKPLESSHNLPVVPCGLIAWSLFNDTYKFSRGPSELKVNRKNIAWKSDRDHKFGKHVYPFNFQNGTLIGGGKLDPSIPLGDQEDLIVWMRTAALPSFRKLYGRIEEDLDVDDVIVVHLQNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGVANLFVGAFCIFISIVFLLLHMKNPRPYGDMAYLSWNRKNIAS >Vigun03g218400.2.v1.2 pep primary_assembly:ASM411807v1:3:36375225:36383399:-1 gene:Vigun03g218400.v1.2 transcript:Vigun03g218400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPKIAGNESLKVVFDSLRKFHGKEFRQLLSGEYTQMAGRAGRRGLDKIEFGAKNELSIFRLSSMNYIKACTRKKGNLTFQKRHFQVDASIIKTGFDPNTYRLNFQVKSHLLHGDLVAARKLFDEIPHKNIISTNTMITGYLNSGNLSTARSLFDGMVERSVVTWTMLIGGYAQNNKFREAFGLFADMCRHGMIPDHVTLTTLLSGFTEFDSVNEVEQVHAHVVKMGYDSTLTVCNSLLDSYCKTRSLGLACHLFKHMPEKDNVTFNVLLTGYSKEGFNHDAINLFFKMQNLGFKPTDFTFAAVLTAGIQLDDIEFGQQVHSFVVKCNFVWNVFVANALLDFYSKHDRVVEVRKLFYEMPEVDGISYNVIITSCAWNERVEESLELFRELQFTRFDRRQFPFATLLSIAANALNLEMGRQIHSQAIVTDAISEILVGNSLVDMYAKCDKFGEANRIFADLAHQSSVPWTALISGYVQKGLHEDGLKLFIEMQRAKIRADSATYASILRACANLASLTLGKQLHSHIIRSGCISNVFSGSALVDMYAKCGSIKEALHMFQEMPTRNCVSWNALISAYAQNGDGAHALRSFEQMVHSGLQPNSVSFLSILCACSHCGLVEEGLQYFNSMTQVYKFVPKKEHYASMVDMLCRSGRFDEAEKLMARMPFEPDEIMWTSILNSCRIHKNQEMAKRAADQLFNMKILRDAAPYVSLSNIYAAAGEWENVGKVKKAMRERGVRKVPAYSWVEIQQRTHVFSANDMSHPQMKEITRMLDELEEQMEKQGYKPDSNCALHNVDEEVKVESLKYHSERIAIAFALISTPKGSPILVMKNLRACNDCHAAIKVISKIVNREITVRDSSRFHHFRDGSCSCKDYW >Vigun03g218400.1.v1.2 pep primary_assembly:ASM411807v1:3:36375034:36383403:-1 gene:Vigun03g218400.v1.2 transcript:Vigun03g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHLLRVEELKVDASIIKTGFDPNTYRLNFQVKSHLLHGDLVAARKLFDEIPHKNIISTNTMITGYLNSGNLSTARSLFDGMVERSVVTWTMLIGGYAQNNKFREAFGLFADMCRHGMIPDHVTLTTLLSGFTEFDSVNEVEQVHAHVVKMGYDSTLTVCNSLLDSYCKTRSLGLACHLFKHMPEKDNVTFNVLLTGYSKEGFNHDAINLFFKMQNLGFKPTDFTFAAVLTAGIQLDDIEFGQQVHSFVVKCNFVWNVFVANALLDFYSKHDRVVEVRKLFYEMPEVDGISYNVIITSCAWNERVEESLELFRELQFTRFDRRQFPFATLLSIAANALNLEMGRQIHSQAIVTDAISEILVGNSLVDMYAKCDKFGEANRIFADLAHQSSVPWTALISGYVQKGLHEDGLKLFIEMQRAKIRADSATYASILRACANLASLTLGKQLHSHIIRSGCISNVFSGSALVDMYAKCGSIKEALHMFQEMPTRNCVSWNALISAYAQNGDGAHALRSFEQMVHSGLQPNSVSFLSILCACSHCGLVEEGLQYFNSMTQVYKFVPKKEHYASMVDMLCRSGRFDEAEKLMARMPFEPDEIMWTSILNSCRIHKNQEMAKRAADQLFNMKILRDAAPYVSLSNIYAAAGEWENVGKVKKAMRERGVRKVPAYSWVEIQQRTHVFSANDMSHPQMKEITRMLDELEEQMEKQGYKPDSNCALHNVDEEVKVESLKYHSERIAIAFALISTPKGSPILVMKNLRACNDCHAAIKVISKIVNREITVRDSSRFHHFRDGSCSCKDYW >Vigun03g218400.3.v1.2 pep primary_assembly:ASM411807v1:3:36375034:36383399:-1 gene:Vigun03g218400.v1.2 transcript:Vigun03g218400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPKIAGNESLKVVFDSLRKFHGKEFRQLLSGEYTQMAGRAGRRGLDKIEFGAKNELSIFRLSSMNYIKACTRKKGNLTFQKRHFQVDASIIKTGFDPNTYRLNFQVKSHLLHGDLVAARKLFDEIPHKNIISTNTMITGYLNSGNLSTARSLFDGMVERSVVTWTMLIGGYAQNNKFREAFGLFADMCRHGMIPDHVTLTTLLSGFTEFDSVNEVEQVHAHVVKMGYDSTLTVCNSLLDSYCKTRSLGLACHLFKHMPEKDNVTFNVLLTGYSKEGFNHDAINLFFKMQNLGFKPTDFTFAAVLTAGIQLDDIEFGQQVHSFVVKCNFVWNVFVANALLDFYSKHDRVVEVRKLFYEMPEVDGISYNVIITSCAWNERVEESLELFRELQFTRFDRRQFPFATLLSIAANALNLEMGRQIHSQAIVTDAISEILVGNSLVDMYAKCDKFGEANRIFADLAHQSSVPWTALISGYVQKGLHEDGLKLFIEMQRAKIRADSATYASILRACANLASLTLGKQLHSHIIRSGCISNVFSGSALVDMYAKCGSIKEALHMFQEMPTRNCVSWNALISAYAQNGDGAHALRSFEQMVHSGLQPNSVSFLSILCACSHCGLVEEGLQYFNSMTQVYKFVPKKEHYASMVDMLCRSGRFDEAEKLMARMPFEPDEIMWTSILNSCRIHKNQEMAKRAADQLFNMKILRDAAPYVSLSNIYAAAGEWENVGKVKKAMRERGVRKVPAYSWVEIQQRTHVFSANDMSHPQMKEITRMLDELEEQMEKQGYKPDSNCALHNVDEEVKVESLKYHSERIAIAFALISTPKGSPILVMKNLRACNDCHAAIKVISKIVNREITVRDSSRFHHFRDGSCSCKDY >VigunL073040.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:83904:85591:1 gene:VigunL073040.v1.2 transcript:VigunL073040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLDYLVYLITLLLLAAGAPLLTIAHLFRNNLFRRDNFTYFCQILLLLSTAGTISMCFDSSEQERFDAFEFIVLIPLPTRSMLFMISAHDSIAMYLAIEPQSLCFYVMAASKRKSEFSTEAGSKYLILGAFSSGILLFGYDRTTTDI >Vigun01g162400.1.v1.2 pep primary_assembly:ASM411807v1:1:34418716:34420325:-1 gene:Vigun01g162400.v1.2 transcript:Vigun01g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSMVSNQHDKAKKGLEGTALDIPINRYANLKSATSDDDFTNVLAHIKSSKTPAVINYGASWCRVCSQILPAFCRLSNNFPKLTFVYADIDECPETTQHIRYTPTFQFYRNGEKVDEMYGTGEERLHDRLWLHS >Vigun02g137300.2.v1.2 pep primary_assembly:ASM411807v1:2:28712391:28725989:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.3.v1.2 pep primary_assembly:ASM411807v1:2:28712430:28725331:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.4.v1.2 pep primary_assembly:ASM411807v1:2:28712430:28723109:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.6.v1.2 pep primary_assembly:ASM411807v1:2:28712430:28725989:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.5.v1.2 pep primary_assembly:ASM411807v1:2:28712392:28725989:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.7.v1.2 pep primary_assembly:ASM411807v1:2:28712430:28725989:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun02g137300.8.v1.2 pep primary_assembly:ASM411807v1:2:28712430:28725989:-1 gene:Vigun02g137300.v1.2 transcript:Vigun02g137300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSGVQYAGESDCTQQSSGTAFVYQEEPNCAENGEQDKLVAARLNESSHKMQGPQIERQGGLSTNSDCQCIGASCCDCQVDDQNEYCGFHDFEEDIINEPCLTSDNSISVVDTIESESPNNSREGDLSCSEPKWLEGDGSVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHERKKYFVIFFPHTRIYSWADMLLVRSINEFPHPIAYKTHQVGLKMVKDLTVARRFIMRKLVVGMLNMVDQFPFNALTETARDMKVWKEFAMEASRCNDYSDFGRMLLKLHSSILQHHINVDWLRYSYPSWTERCQSANSADSVELLKEELFDSILWNGINTLSDTPVQSTLSSEWKTWKHDVMKWFTTPPSLSISKDTQQQSSDDLFRANLQVCRKRAKLEVRRADTHASQVEIKAQTVALQADPGFFTNQGILSTLAAESCKQVGVREVSMAVDSPGHLVDKWNEIVVENTDPHFLHTKHMESTPTKEMTIAKSVESGSKNRQCIAYIEAKGRQCVRWANDGDVYCCVHLSSRFLGSSTKSEKPVPLDTPMCEGTTVLGTRCKHRALPGSLFCKKHRPHAETEQISNIPQNTLKRKHEENYTGSEGILSRDLVLVNVESPLQMDPLSSIGGDSKHGENNSNEKPMHSEHEHNAMESLQCIGSPPYDKMNPCKEGTKRYCLYCESHLPSWLKRARNGKSRIVSKEVFTELLRDCNSWEQKVHLHKACELFYRLLKSILSLRNPVPKDVQFQWALTEASKDSSVGEFFKKLVHSEKARIKSLWGFNDDMDISSVMEEPPLLPSPHNDHYDKENAIKCKLCSAEFPDDQELGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNTEQLWQHVLSVHPIDFKPSKALEQQTLSTGEDSPVKRDQGNSAPLENNSENTSGFRKFVCRFCGLKFDLLPDLGRHHQAAHMGPNLASSRPAKRGVRYYAYRLKSGRLSRPRFKKSLAAASYRLRNKANANLKRSIQETNSHGTGGITIQPHVTESTNIGRLAEHQCSAVSKILFSEIQKTKPRPNHLDILSIARSACCKVSLVASLEEKYGILPEKLYLKAAKLCSEHNILVSWHQEGFICPSGCNVSKAQVLLSPIESLPNSSVMPKAGNLSDPTSDEWDVDEFHCIINSRTLKLGSLQKAVVLCDDISFGKESIPVICVVDQELVHSLHINGCNGQNINPSRPWESFTYVTKPMLDQSLILDSESLQLGCACSYTTCCPETCDHVYLFGNDYDDAKDIFGKPMRGRFPYDENGRIILEEGYLVYECNHMCRCNKSCPNRVLQNGVRVKLEVFKTEKKGWAVRAGEAILRGTFVCEYIGEVLDVKEAHDRRRSYGTEHCSYFYDIDARVNDMSRLIEGQAQYVIDATKFGNVSRFINHSCTPNLVNHQVLVESMDSERAHIGFYANRDIALGEELTYDYQYEHVLSEGSPCLCESLKCRGRLY >Vigun04g102500.1.v1.2 pep primary_assembly:ASM411807v1:4:23638654:23643821:-1 gene:Vigun04g102500.v1.2 transcript:Vigun04g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKVRDSASDDCDCWWWLIQNGTPTVFITIGLFALLVRVAVSLHPYSGAANPPKFGDYEAQRHWMEITTNLPVKEWYRNSSSNDLSYWGLDYPPLTAYQSFIHGRFLRFFHPDSVSLFTSRGHESYLGKLLMRWTVLSSDALIFFPAVLYFIVVHYNQSSRSRKSELGWHTAALLLSPCLILIDHGHFQFNCISLGFTIGAVAAILSGKDLVGSVLYCLALNHKQMSAYFAPAFFSHLLGKSLRRKHPIVEVLKLGLVVLGTFAAVWWPYLYSTQSVLEVLSRLAPFERGIFEDYVANFWCASSVLIKWKRLFTTDSLKLISFTATVITCLPSMIQQIKSPSYRGFLYALLNSSFSFYLFSFQVHEKSILLPLLPATLLAVEEPFIFKWFTQFAMLSMFPLICRDNLVVAYLALLALFILILNAPVQHKVRETNYLSSYLGSATMFFILCCYFVLHIVYLTMHPPEKYPFLFEAIIMNLCFSQFVLVTLACNIKQWLLNKPVKLEEIEKKLI >Vigun04g102500.2.v1.2 pep primary_assembly:ASM411807v1:4:23638654:23643821:-1 gene:Vigun04g102500.v1.2 transcript:Vigun04g102500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKVRDSASDDCDCWWWLIQNGTPTVFITIGLFALLVRVAVSLHPYSGAANPPKFGDYEAQRHWMEITTNLPVKEWYRNSSSNDLSYWGLDYPPLTAYQSFIHGRFLRFFHPDSVSLFTSRGHESYLGKLLMRWTVLSSDALIFFPAVLYFIVVHYNQSSRSRKSELGWHTAALLLSPCLILIDHGHFQFNCISLGFTIGAVAAILSGKDLVGSVLYCLALNHKQVLSRLAPFERGIFEDYVANFWCASSVLIKWKRLFTTDSLKLISFTATVITCLPSMIQQIKSPSYRGFLYALLNSSFSFYLFSFQVHEKSILLPLLPATLLAVEEPFIFKWFTQFAMLSMFPLICRDNLVVAYLALLALFILILNAPVQHKVRETNYLSSYLGSATMFFILCCYFVLHIVYLTMHPPEKYPFLFEAIIMNLCFSQFVLVTLACNIKQWLLNKPVKLEEIEKKLI >Vigun04g105300.1.v1.2 pep primary_assembly:ASM411807v1:4:25227169:25229709:1 gene:Vigun04g105300.v1.2 transcript:Vigun04g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASKRLSSLSSPCFRPYHAASAFLSPNVVVHDPRSLPSSHLTNFLLPFRGFTTESLSYTQKDSIIPEIPATVAAVKNPTSTIVYDEHNHERFPPGDPSKRAFAYFVLTGGRFAYASLVRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGATVTVKWRGKPVFIRRRTEDDIKLANSVDVLSLRDPQQDAERVKDPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLDENKLLIG >Vigun04g157400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37940722:37941344:1 gene:Vigun04g157400.v1.2 transcript:Vigun04g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHKSRFLLTIMFLLFILAILLHLSSCRHISWGFKEDMKKPRTSTSFPFSSSFPHSFNSAQIKENNKVSGFHTVSHTATPGGPNPLHN >Vigun04g034800.2.v1.2 pep primary_assembly:ASM411807v1:4:2892526:2895713:-1 gene:Vigun04g034800.v1.2 transcript:Vigun04g034800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRVLSPTYLSQIIRTQKNPIKALHIFNEAKSRYPNYCHNGPVYATMISILGTSGRLTEMRDVIEQMREDSCECKDSVFVSVIKTYANAGQVDEAVSVYKTIPQFNCVNWTESFITILQIMVNENRLEMAHRLFVESSCGWEVRSRVRALNLLMYALCQKSRSDLALQLFQEMDYQSCYPNRDSYAILMKGLCQDRRLHEATHLLYSMFWRISQKGNGEDIVVYRTLLDALCDAGKLEEAMEILDKILRKGLKAPKRCYNRPDLGQFLDGKDIESAKRVIHEALIKGSIPSLASYNAMAIDLYTEGKIDEADKVIMEMQDRGFRPTHSIFEAKVAALCKVTKVNEAIKVIEEDMVKVNCLPTARVYNTLLKSLYNVGNSTAVLESLNKMSNKVGNAGDRDTYSMLLEMLCGERSFCHGVSEHNGFKDLPPTLAASRLYVPLNHTLLLIIINMVYTFLKPLITLLSFTSIRNFDL >Vigun04g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2892526:2896931:-1 gene:Vigun04g034800.v1.2 transcript:Vigun04g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRVLSPTYLSQIIRTQKNPIKALHIFNEAKSRYPNYCHNGPVYATMISILGTSGRLTEMRDVIEQMREDSCECKDSVFVSVIKTYANAGQVDEAVSVYKTIPQFNCVNWTESFITILQIMVNENRLEMAHRLFVESSCGWEVRSRVRALNLLMYALCQKSRSDLALQLFQEMDYQSCYPNRDSYAILMKGLCQDRRLHEATHLLYSMFWRISQKGNGEDIVVYRTLLDALCDAGKLEEAMEILDKILRKGLKAPKRCYNRPDLGQFLDGKDIESAKRVIHEALIKGSIPSLASYNAMAIDLYTEGKIDEADKVIMEMQDRGFRPTHSIFEAKVAALCKVTKVNEAIKVIEEDMVKVNCLPTARVYNTLLKSLYNVGNSTAVLESLNKMSNKVGNAGDRDTYSMLLEMLCGERRYVEASQLLEKMSIKSYWPCTNSYNSVIKGLCSLGRQYEAVMWLEDMMSQGKLPETSVWNSLTSLFCNSEKIKVSSETFSRLSSL >Vigun03g424000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62934974:62938962:1 gene:Vigun03g424000.v1.2 transcript:Vigun03g424000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIYPRTTLGCAEVFEFTTLKWNPLLQHQFPKFQFCTATSTSTSNSRSFVVSYLVNNCAFSQETALKASRNLYFSKPQKPDSVLSFFRNHAFSNSHISQTLQKSPVLLSYNAQKVLLPKFEFLRSKGASSLDIIHTVTACPNFLRRSLENHIIPTYEFIRGLLQNFLTDKQIIDFVILNPPLLYESCVAPNLKLLLDSGVTHSKIVKLLQRWPRVLYLGDISKTVQELKQMGFDASLYTFCVALLAKRTVNESKWAKKIDTYKRWGWSQEQVLLAFRKQPYCMLSSCDKINAVMSYWVEQAGFNSVDLVKSPGVILLSLRKRIAPRACVLQFLVSKRLLRKDASLTAPFVLTEKLFLENYVKRFREDSSHLLKLYTENMSLGNDRDKACM >Vigun04g070232.1.v1.2 pep primary_assembly:ASM411807v1:4:8161071:8163539:-1 gene:Vigun04g070232.v1.2 transcript:Vigun04g070232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELELVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGEQICLPEYKFHAAHTILETYQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGVLKTLVTLNDKRK >Vigun03g323200.2.v1.2 pep primary_assembly:ASM411807v1:3:51887937:51891159:-1 gene:Vigun03g323200.v1.2 transcript:Vigun03g323200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVTGSPKGTWEPIITADTTTQSYWWNWRVLATGNDDKEGQKETATRNDAQKETSSTLYEDEIWRPCLKGIHPVWLLGFRVFAFVVLLVLLVLTATADGGSIFYFYTQWTFVAVTIYFGLGSLLSMHGCYQHLKKASGDKVGNVDGDAEQGISDAPTIPQCSNPSNNDKGLGTPQEHLVRQPAGTWGYIFQIIFQMIAGAVMLTDCVFWFIIVPFLTIKDYHINLLVISMHTINAVFLLGDTALNSLRFPWFRIGYFFMWTITYVIFQWIVHAIVKLWWPYPFLDLSSSYAPLCYFSMALLHIPCYGIFALIMKLKHSVLSTRYPDSYLCVR >Vigun03g323200.1.v1.2 pep primary_assembly:ASM411807v1:3:51887937:51891159:-1 gene:Vigun03g323200.v1.2 transcript:Vigun03g323200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVTGSPKGTWEPIITADTTTQSYWWNWRVLVCVIWVLLSAIFSSLLILKYEVSRKATGNDDKEGQKETATRNDAQKETSSTLYEDEIWRPCLKGIHPVWLLGFRVFAFVVLLVLLVLTATADGGSIFYFYTQWTFVAVTIYFGLGSLLSMHGCYQHLKKASGDKVGNVDGDAEQGISDAPTIPQCSNPSNNDKGLGTPQEHLVRQPAGTWGYIFQIIFQMIAGAVMLTDCVFWFIIVPFLTIKDYHINLLVISMHTINAVFLLGDTALNSLRFPWFRIGYFFMWTITYVIFQWIVHAIVKLWWPYPFLDLSSSYAPLCYFSMALLHIPCYGIFALIMKLKHSVLSTRYPDSYLCVR >Vigun09g125800.1.v1.2 pep primary_assembly:ASM411807v1:9:27907245:27911060:1 gene:Vigun09g125800.v1.2 transcript:Vigun09g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKYLNFVQLNQLIGSKTGGILVDPFTSSVQGKEDPCSHMVVRGKAMVGCVEDLYDLVNYVLQDVQFYDQQRFKQFVSHSKTRMENWLRGSGHEIAAAKDGCKTKCNRLDVRKDGRSCDLLQGCI >Vigun02g064400.1.v1.2 pep primary_assembly:ASM411807v1:2:21310601:21312195:1 gene:Vigun02g064400.v1.2 transcript:Vigun02g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSCSYCGKSSFIRDDESGALICSSCGCVQQFDQFEAQIGGIDGPQGTFIHVGTAGSGSVYSYRERKHFAAKSTIEEVTYSLGLSSKNGDVRSMVNTVTDGEFGQGEWFRVLVGACAYVVMRREDRPLPMAEVASTVGCDVYEIGRMIQRVVDFLDLRPDFPEFDISFSSVERGVIERMRKQGVFLIQCAVKWFLSTGRRPLPLVVAVLVFVAELNGVVVVMEELAKEVHARVSTCRLRYKELLETLVKAAQVLPWGKDVTVKNIIKNAPLVIRYMERKVMLNPGKAQEKRKGLDQAAVDLEDVVAECLRHDNEFEYGVDGVTSRKDLQYFSLESKSVGHADRMQISTECLSVMYKKFLDQNRCAEPLRGSGNARKRFIFQFDILECREWWDGKSELSKKLMLKELMEKDVGVDTMPPSFVNGLLKCKIRKEKINAAKLRIKRIMQPLDANLGDVPVPLDSSCPERRRKRKGMVVDDVDWEDLIIETLVLHQVKDEEIEKGHYNTLLDLHVFNSGIV >Vigun09g037200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3271457:3272182:-1 gene:Vigun09g037200.v1.2 transcript:Vigun09g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGLANGGAQIDANIMQTFQKSFVQVQSIFDQNRLLINEINQNHESKAPDNLTRNVGLIRELNNNIRRVYDLYADLSSSFTKSMEVTSEGDSSGGVKSDGKLGHKRHRPV >Vigun07g046500.1.v1.2 pep primary_assembly:ASM411807v1:7:4776777:4780303:1 gene:Vigun07g046500.v1.2 transcript:Vigun07g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRCSSSFSAILDSAQEKGLSCVPQEYVIPTLHRPKDYANVAVIDMGALKHGSPTRSCAIQQLRDASHRLGFFQVVNHGVSESVIDDAVFVASKFFELPRKEKMKLMSNDVFKPVRYGTSLKDGIDKVQFWRVFLKHYAHPLKDWIHFWPQNPSDYREKMGRYCEEVKKLSTELMMALIESLELDECKNVRKKIENGMQVMAVNCYPPCPEPEIALGLPPHSDYSCLTILYQNHPGLEIMDLEDGTWKVVPHIPGALQVHVGDHFEVLSNGFYKSVVHRATLNKDKTRISITALFSLGLDDKMETAQELVDDEHPKMYRESSFRDFLNFLASNDIAEGNNFIDMLKINYN >Vigun06g031800.1.v1.2 pep primary_assembly:ASM411807v1:6:13783172:13786882:-1 gene:Vigun06g031800.v1.2 transcript:Vigun06g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGTLSEIHQSAKKLLLRSRDGLERLERLEYSATAGTGADTELSFAVKKDITQIQSLCVEMERLWRSVTAKPQRDLWKRKVEQIAEEAESLRASLDKYNLRHQKRMREANERAELLERANGDSAHVLRIFDEEAQAVHSVRASARELENANAIGEAILSSIHGQRERLKSAHRKALDILNTVGISNSVLRLIERRNRVDQWIKYAGMLLTLIFLFAFVFWRH >Vigun03g247950.1.v1.2 pep primary_assembly:ASM411807v1:3:41225898:41227792:1 gene:Vigun03g247950.v1.2 transcript:Vigun03g247950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSDKSAPRVHADRVSPSSAHPSNSSTSSDEESLSDVPLRSGYEWVDSVVREYFSKYKWSSSIRRFAEAYAILDEDSPDEAVSLDRVGRVDNACHGREGYPDEFFYMYSVLFTNLHVRLPFDEITVGVLRTLNVAPSQLHPNAWAALQAFRFLCRILGLKPSPAVFLHYYSTRPKEPVKWLSLFGQPRIGLLAPYSSSFKNYKNTFFRVVVNPAGRSYFFDGDTSKFPFYWTRNPLHYDEWPRTMMSAEDCEVLNLLDSLPRRLPTKRIVAILNSPRPPLMASHEGVGVGQKSRFFLLREKLNERKKSGDPMAGTSAAVQSKIGAGTSSPRPPPVAEKKRKKTTPKDGGSSRLSSPKRSRVSEDASYQRLMGSEMQIYDGMSITISQEEANLITETPVPTLMKAFAEYQSRALVIGRHIGHELIKVGETEDLEAEVALLKKQLRAANSEKEKFSGELGDCQKQLQQATSDRKSWRNRCLEAEEKVKKTVEEVSALKRALTEMKTANADLDKEVWELRESVVEEHELGFRKALRQAALLFEIPLDDDHFDVGKDVYQKSLVRIEDIPPIPNQSEDMPSTPSTEAAEGGKDDTDAGTRDEQ >Vigun08g108700.4.v1.2 pep primary_assembly:ASM411807v1:8:27037184:27039830:1 gene:Vigun08g108700.v1.2 transcript:Vigun08g108700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHEEPRISNGSSINSSADSNLWESDVLRFPLVQTNMGSSSRKVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESNDSDWSIGWLEPHGPGFPSDDEADNSFAVLVPCYGRDYGRIVEDPKSNLLSGVGKFPDSYSDESKKYVEEWLSSLRNT >Vigun08g108700.3.v1.2 pep primary_assembly:ASM411807v1:8:27037008:27039830:1 gene:Vigun08g108700.v1.2 transcript:Vigun08g108700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHEEPRISNGSSINSSADSNLWESDVLRFPLVQTNMGSSSRKVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESNDSDWSIGWLEPHGPGFPSDDEADNSFAVLVPCYGRDYGRIVEDPKSNLLSGVGKFPDSYSDESKKYVEEWLSSLRNT >Vigun08g108700.5.v1.2 pep primary_assembly:ASM411807v1:8:27037177:27039837:1 gene:Vigun08g108700.v1.2 transcript:Vigun08g108700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHEEPRISNGSSINSSADSNLWESDVLRFPLVQTNMGSSSRKVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESNDSDWSIGWLEPHGPGFPSDDEADNSFAVLVPCYGRDYGRIVEDPKSNLLSGVGKFPDSYSDESKKYVEEWLSSLRNT >Vigun08g108700.2.v1.2 pep primary_assembly:ASM411807v1:8:27036643:27039834:1 gene:Vigun08g108700.v1.2 transcript:Vigun08g108700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHEEPRISNGSSINSSADSNLWESDVLRFPLVQTNMGSSSRKVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESNDSDWSIGWLEPHGPGFPSDDEADNSFAVLVPCYGRDYGRIVEDPKSNLLSGVGKFPDSYSDESKKYVEEWLSSLRNT >Vigun08g108700.1.v1.2 pep primary_assembly:ASM411807v1:8:27037012:27039838:1 gene:Vigun08g108700.v1.2 transcript:Vigun08g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHEEPRISNGSSINSSADSNLWESDVLRFPLVQTNMGSSSRKVKRKWHSREERKVDREYDVVLVPSDGGCVSGSESNDSDWSIGWLEPHGPGFPSDDEADNSFAVLVPCYGRDYGRIVEDPKSNLLSGVGKFPDSYSDESKKYVEEWLSSLRNT >Vigun11g062766.1.v1.2 pep primary_assembly:ASM411807v1:11:14193117:14194060:1 gene:Vigun11g062766.v1.2 transcript:Vigun11g062766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVSATIRDNNVGTQAFPGTTILWTFTTINIHGQNFAYVDRHFSAAFENELDDQWTLLDNFGNTFIVTYNMDPLNPKLTNGWKDLEKIYTDQIVDSYVQLRYVGGSRFQVTCFVGQCEPHNKESFLLGAATHPGTALYAVKLTKSQAQASHLDLNVRFGDIIRSLEMDVVYLLARRSGVECKLLVSRTKRSTKFGQGWRQFCVDNQLKEGDRVPFEVDHVQKHCIIEVFINGCNCDVVKSINLD >Vigun05g168300.2.v1.2 pep primary_assembly:ASM411807v1:5:28675541:28679235:1 gene:Vigun05g168300.v1.2 transcript:Vigun05g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQFGRHGVRQIVRSRDISYDNVVVNPLLFASQGLRYKRKLQVILTTDIDKLGKAGDTVKVAPGYFRNHLMPKLLAFPNIDKFAYLLNEQRKIYQPTEEEKQEDLTVVKESKEDMMKEYERAALRLDKAKLVLRRLIDVQKAKSRESKADPLELRFPVTKDNLVAEVARQLCVNIAPDNLHLPSPLSTLGEYEVPLRLPKSIPLPEGKVNWSLKVKVRSK >Vigun05g168300.1.v1.2 pep primary_assembly:ASM411807v1:5:28675541:28679235:1 gene:Vigun05g168300.v1.2 transcript:Vigun05g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQFGRHGVRQIVRSRDISYDNVVVNPLLFASQGLRYKRKLQVILTTDIDKLGKAGDTVKVAPGYFRNHLMPKLLAFPNIDKFAYLLNEQRKIYQPTEEEKQEDLTVVKESKEDMMKEYERAALRLDKAKLVLRRLIDVQKAKSRESKADPLELRFPVTKDNLVAEVARQLCVNIAPDNLHLPSPLSTLGEYEVPLRLPKSIPLPEGKVNWSLKVKVRSK >Vigun10g101200.1.v1.2 pep primary_assembly:ASM411807v1:10:29438700:29439470:-1 gene:Vigun10g101200.v1.2 transcript:Vigun10g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTTRVMCFIFFPFLHSPNLKPKVLSSNTSLSKTFPSLPSQSNQNSLSPHWSLPPQHRLHASWKTLTAERGMNKSACPLAEQAQVHSLFSDVKLAEFNPILAR >Vigun09g266700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43022327:43023837:1 gene:Vigun09g266700.v1.2 transcript:Vigun09g266700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTKHFQKLQSNKTAKPRKHNRYLRKIANVLRYAEMCVVLVLVSRLSINLPSTLRNSTEYFRNFMGSPRFVFFLGNVIIITLFAQSGHFSNHSSDKPSPEPDLYLEFLQNSTIKPTLVVHSNTRKAKGQKIDPTKTETGLETVKKDYRRCRSEMVERVVENEKPARVLRRCETEKVVGDVDSYPEDGMSNDEFRCKIEAFIARQQRLRTQE >Vigun11g038400.3.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFGVGVMERR >Vigun11g038400.1.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFDCNRSKLLDWKKRFNIIGGISQGLLYLHKYSRLKVIHRDLKASNILLDENMNPKISDFGLARMFTQEESMRNTSRIIGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRRNTSLYDVDLPLNLIGHAWELWKEGEPLQLVDPSLSESFDIDEVKRCIQVGLLCVAQYANDRPTMCDVVSMLTNESSKLSLPQKPAFYLERSYFDNKTSSEELNTNSMEEITTST >Vigun11g038400.9.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKACVCKCRMLHAGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFGENYITT >Vigun11g038400.5.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKACVCKCRMLHAGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFDCNRSKLLDWKKRFNIIGGISQGLLYLHKYSRLKVIHRDLKASNILLDENMNPKISDFGLARMFTQEESMRNTSRIIGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRRNTSLYDVDLPLNLIGHAWELWKEGEPLQLVDPSLSESFDIDEVKRCIQVGLLCVAQYANDRPTMCDVVSMLTNESSKLSLPQKPAFYLERSYFDNKTSSEELNTNSMEEITTST >Vigun11g038400.6.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFDCNRSKLLDWKKRFNIIGGISQGLLYLHKYSRLKNVYTGRIHEKY >Vigun11g038400.4.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFEYIFLSIEKIVTEASYWTGRSDSI >Vigun11g038400.7.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKACVCKCRMLHAGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFEYIFLSIEKIVTEASYWTGRSDSI >Vigun11g038400.8.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKACVCKCRMLHAGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFGVGVMERR >Vigun11g038400.2.v1.2 pep primary_assembly:ASM411807v1:11:5335061:5338795:1 gene:Vigun11g038400.v1.2 transcript:Vigun11g038400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFGAYCYIAGREPKMVSCKPQNFVYALSFWWWITCNHVTSQKNSLKPGENLSSWGLVRSENGYGLGFQTLDNCCYLIISGLAPKYEYWPAWVGNRNQPVDRHARLLLSRSGVLKIESKHSKPIILYSSPQPSKNTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTDNLFPGMKLGVNHKSGHKWSLVSWLTSEKPSLGAFELEWEPTERELIIKRRGKLCWASGKLENGGVMHDTHYVIVSNENESYFSITTFNEEHTRWALLETGQLINRNGVDNNVARADLCYGYNEDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATLLENSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFLWNSTTGTTVASGGHKFFVLTNKSHNKGKKMWIWITVVSVVAALLIICAIALAIKKTKSLLEEKKRKGVAENNMADLATSNRFSDVNAVDNEFKMVQNLNVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGHEVAIKRLSKTSRQGIVEFKNEVVLICELQHTNLVQLLGYCIHEDERILIYEFMSNQSLDYYLFGENYITT >Vigun08g098150.1.v1.2 pep primary_assembly:ASM411807v1:8:23770257:23770480:1 gene:Vigun08g098150.v1.2 transcript:Vigun08g098150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDIIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun03g195700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27574152:27575892:1 gene:Vigun03g195700.v1.2 transcript:Vigun03g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFFIILSLCFCVLIRRFVFSLRNKATTHPGPSHIPIISNIWLKESLQIEPILRTLHAKYGPILTLHIATTPVVFIRDRFLAHQALVQNGSLFSDRPKALAAAKIITTHQHNISSASYGATWRTLRRNLTSQMLHHSRLKSFSGIRNWVLHTLLTHLKSHSQSNNSVRVIDHFQYSMFCLLVFMCFGERLNDRKVRDIERVQRQMLLRIRSFNIFNIWPRVTRLLFRKLWEELLRLRKEQEDVLVPLIRARKQKQKQGKEEGVVSYVDTLLDLQLPEEKRKLSEEELVTLCNEFLNAGTDTTSTTLQWIMANLVKYPHVQERVVDEIREVLGEREEREVKEEELQKLPYLKAVILEGLRRHPPGHFVLPHAVSEDVVLNDYVVPKNRTVNFMVAEMGWDPKVWEDPMAFKPERFMSDEGFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFEWKVPEGGDVDLSEKQEFTVVMKNALKVHLSPRI >Vigun08g152700.6.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTGEQLKGGILNYILGIPSD >Vigun08g152700.1.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLYGVFRHIIQTEGVRGLYRGILPEYYKVVPGVGICFMTYETLKMLLSDIATG >Vigun08g152700.10.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTDK >Vigun08g152700.2.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520047:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTVVYYAFDAEKESLVQELHQVSNQIDP >Vigun08g152700.3.v1.2 pep primary_assembly:ASM411807v1:8:32515980:32520047:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTGEQLKGGILNYILGIPSD >Vigun08g152700.9.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTDK >Vigun08g152700.7.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520048:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTEKESLVQELHQVSNQIDP >Vigun08g152700.8.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520047:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTEKESLVQELHQVSNQIDP >Vigun08g152700.4.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLTVGPSIAISFSVYESLRSYWQSNRSNDSPVAVSLACGSLSGIASSTGHST >Vigun08g152700.11.v1.2 pep primary_assembly:ASM411807v1:8:32515986:32520043:-1 gene:Vigun08g152700.v1.2 transcript:Vigun08g152700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEARVGVAVDGGVRKLVQPPPKQIGTISQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLKKVPGLQSHRDNVSADLCVHFVGGGMAGITAATSTYPLDLVRTRLAAQTNFTYYRGIWHALHTISKEEGILGLYKGLGTTLLVWCYGQFNITRRLYYFSTN >Vigun11g005600.1.v1.2 pep primary_assembly:ASM411807v1:11:594160:609352:1 gene:Vigun11g005600.v1.2 transcript:Vigun11g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDPSRSPLGYEGHHEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEINNLLDQEILPALEKLRKEKTQYMQWANGNADLDRLRRFCIAYDYVQAERIKDNAALEVEEVKGKMAEIDAGVKTNQVEMKEMEAKIAQLSAEKEASMGGEMKSLSEKVDALSQNLVRETSVLNNKEDSLRSEEANRANIVKNIEELKQSVDDKASAVKKAEEGASGLKNTVDELTKSLEEHEKEYQGVLAGKSSGNEEKCLEDQLRDAKVAVGSAETELKQLKAKISHCEKELKEKTNQLKSKREEANAVVRELNSRQKDVENIRTELESLSYKEGEMEDLQKERTIEMDCVQKWKDEIRNLSAYLANVEFTYRDPVKNFERSKVKGVVAKLIKVKDSSTMTALEVTAGGKLYNVVVDTENTGKQLLQSGNLRRRVTIIPLNKIQSHPVPSRVQQAAVRLVGKGNAEVALSLVGYEEELKSAMEYVFGSTFVCKTIDAAKEVAFNRDIYTTSVTLEGDIFQPRGLLTGGSRKGSGDLLGRLHALAEAESKLSVHQRRLSEIEAKISKLLPLQKKFLDLKAQLELKSYDLTLFQSRAEQNEHHKLGELVKKIEQELNEAKSTINDKQLLYEDCVKTVSSLENSIKDHDKNRESRLKGLEKKIKSIKSQMQSSLKDLKGHDSEKERLVMEMEAVIQEQASLQNQLESLGTLISNLASDVEEQKSKVVAARDNLDQVQSELKSVRLKMKERDKEISAIIKEQQKLEHKITESNLERKRMENEVKRMEMEQKDCSVRVDKLIEKHAWIASEKQLFGRSGTDYDFSSCDPSKSREQLEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLNVTWVKVNKDFGSIFSTLLPGTMAKLEPPEGGSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKQSK >Vigun10g058650.1.v1.2 pep primary_assembly:ASM411807v1:10:11243455:11244944:-1 gene:Vigun10g058650.v1.2 transcript:Vigun10g058650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGTYCFSIVPSTTPAYPSLPLGSYFDLHKPLLYSKPSKFTSLVINGHRRITLENLKFDMKNAPFNIYRTKRGGEVTYHGPAQLVMYTIINLGTHKMDLHWYLRSLKEVVIHVLSSTFSFQASTVEGLTGVWQCITGNEKLAVVGIRVSSWITYHGLALNVTTDLSPFKWIIPCGIQDRQVGSIKELVREGVGHGIADLHHLNDASLIHITHKSLLEEFSQVFQLEYNYKRISSTMLYERK >Vigun07g010200.4.v1.2 pep primary_assembly:ASM411807v1:7:874905:879136:-1 gene:Vigun07g010200.v1.2 transcript:Vigun07g010200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVSFGVLLTWFVVVTRVCGTEVQRCNCDDEWSFWTIESILECQRVGDFLIAVAYFSIPVELLYFVSCSNLPFKWVLFQFIAFIVLCGMTHLLNGWTYGPHTFQLMVAITVFKTLTALVSCATALTLFTLIPLLLKVKVREFMLKKKTWDLGREVGFIMKQKEASVHVRMLTQEIRKSLDRHKILYTTLVELSKTLGLQNCAIWMPNDEKTEMNLTHELNGRNLNCSILITDPDVARIKGSDEVNVIDSDSVLATASSGDSGVAGPVAAIRMPMLRVSNFKGGTPELRQTCYAVLVLILPVTEAKSWSTQELEIIKVVADQVAVALSHAAILEESHMMREKLEEQNRALQVEKMNAMRANQARAAFQKVMSNGMGRPMHSIMGLLSVMQEENLKREQKVVVDSMFRASTVMSNLLNDAMDWSARDDGRFPLEMKPFGLHNMVKDAACFARFMCVYRGLGFVVDADKSLPDNVVGDEKRVFQVLLHMVGSAINGNQGGGVLVLRVYAETGSQGRSDQGWTNWRPSSSNGDVNIRFEIGIKIGESEMENSVPSGHLPGTMRATHRVEERLSFSICKRIIQLMQGNIWFVPNGEGHAQVMAISLRFQLQRSVAVSISETGESSEPSNSNSFFRGLQVLLVDNDDVNRAVTQKLLQKLGCSVTCACSGFECLSVIGGGGCSFQVIVLDVHMPELDGFEVASRVRKFGSRNWPVIVALTASTEDLWEKCMQVGINGVIRKPVLLHGIAGELRRILLQGNTVM >Vigun07g010200.2.v1.2 pep primary_assembly:ASM411807v1:7:874879:879509:-1 gene:Vigun07g010200.v1.2 transcript:Vigun07g010200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVSFGVLLTWFVVVTRVCGTEVQRCNCDDEWSFWTIESILECQRVGDFLIAVAYFSIPVELLYFVSCSNLPFKWVLFQFIAFIVLCGMTHLLNGWTYGPHTFQLMVAITVFKTLTALVSCATALTLFTLIPLLLKVKVREFMLKKKTWDLGREVGFIMKQKEASVHVRMLTQEIRKSLDRHKILYTTLVELSKTLGLQNCAIWMPNDEKTEMNLTHELNGRNLNCSILITDPDVARIKGSDEVNVIDSDSVLATASSGDSGVAGPVAAIRMPMLRVSNFKGGTPELRQTCYAVLVLILPVTEAKSWSTQELEIIKVVADQVAVALSHAAILEESHMMREKLEEQNRALQVEKMNAMRANQARAAFQKVMSNGMGRPMHSIMGLLSVMQEENLKREQKVVVDSMFRASTVMSNLLNDAMDWSARDDGRFPLEMKPFGLHNMVKDAACFARFMCVYRGLGFVVDADKSLPDNVVGDEKRVFQVLLHMVGSAINGNQGGGVLVLRVYAETGSQGRSDQGWTNWRPSSSNGDVNIRFEIGIKIGESEMENSVPSGHLPGTMRATHRVEERLSFSICKRIIQLMQGNIWFVPNGEGHAQVMAISLRFQLQRSVAVSISETGESSEPSNSNSFFRGLQVLLVDNDDVNRAVTQKLLQKLGCSVTCACSGFECLSVIGGGGCSFQVIVLDVHMPELDGFEVASRVRKFGSRNWPVIVALTASTEDLWEKCMQVGINGVIRKPVLLHGIAGELRRILLQGNTVM >Vigun07g010200.1.v1.2 pep primary_assembly:ASM411807v1:7:874882:879442:-1 gene:Vigun07g010200.v1.2 transcript:Vigun07g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVSFGVLLTWFVVVTRVCGTEVQRCNCDDEWSFWTIESILECQRVGDFLIAVAYFSIPVELLYFVSCSNLPFKWVLFQFIAFIVLCGMTHLLNGWTYGPHTFQLMVAITVFKTLTALVSCATALTLFTLIPLLLKVKVREFMLKKKTWDLGREVGFIMKQKEASVHVRMLTQEIRKSLDRHKILYTTLVELSKTLGLQNCAIWMPNDEKTEMNLTHELNGRNLNCSILITDPDVARIKGSDEVNVIDSDSVLATASSGDSGVAGPVAAIRMPMLRVSNFKGGTPELRQTCYAVLVLILPVTEAKSWSTQELEIIKVVADQVAVALSHAAILEESHMMREKLEEQNRALQVEKMNAMRANQARAAFQKVMSNGMGRPMHSIMGLLSVMQEENLKREQKVVVDSMFRASTVMSNLLNDAMDWSARDDGRFPLEMKPFGLHNMVKDAACFARFMCVYRGLGFVVDADKSLPDNVVGDEKRVFQVLLHMVGSAINGNQGGGVLVLRVYAETGSQGRSDQGWTNWRPSSSNGDVNIRFEIGIKIGESEMENSVPSGHLPGTMRATHRVEERLSFSICKRIIQLMQGNIWFVPNGEGHAQVMAISLRFQLQRSVAVSISETGESSEPSNSNSFFRGLQVLLVDNDDVNRAVTQKLLQKLGCSVTCACSGFECLSVIGGGGCSFQVIVLDVHMPELDGFEVASRVRKFGSRNWPVIVALTASTEDLWEKCMQVGINGVIRKPVLLHGIAGELRRILLQGNTVM >Vigun03g126800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12136263:12136820:-1 gene:Vigun03g126800.v1.2 transcript:Vigun03g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRCTIFALMIAISFSTMDITSANLLGGLPIVGSLINGLPIVGSLLPFELAVPPVSETPVPPVSVTSVPPVSEPPVPPVSVP >Vigun09g065300.1.v1.2 pep primary_assembly:ASM411807v1:9:6885574:6889049:-1 gene:Vigun09g065300.v1.2 transcript:Vigun09g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKHHNNTKNNNCFLPLILSLFLSTFLLLLSLLASSTSSSQRRHHHRAQAPPERPHFVESKLRVSPTSTSAVPRIAYLISGSAGDGESLKRTLKALYHPWNHYAVHLDLEAPARERLELANFVTNEPLFVKFGNVRTVVKANLVTYRGPTMVANTLHAAAVLLNQARDWDWFINLSASDYPLVTQDDLLHTLSSIPRHLNFIEHTSDIGWKESHRAKPVILDPGLYSLQKSDVFWVSEKRNVPTAYKLFTGSAWMMLSRPFTEYCIWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDNPPKQHPHFLTDHDYQRMVDSNAPFARKFGRNEPVLDKIDKELLGRNADGYVPGKWFSQANSSTIDQYSGIGNITDLRPGPGAERLGRLISGLLSAENFQANQCS >Vigun11g089600.1.v1.2 pep primary_assembly:ASM411807v1:11:26975562:26976510:-1 gene:Vigun11g089600.v1.2 transcript:Vigun11g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPPAIPQSQGSSTKKRPPKNDKVWLEDYLHLLHSRQPFHLTMNQLNQVIRIHGFKKIHHAPKKVLVEAVESLDLVDVPRSTLRDSVSAFAAVALEDVVADLAELKWQECCVTSVERISFSEGKSVLPASSDESFRVTSQWKSMDEVRNLIPEDSRRSLKPIKMVPKRKRRKVPAPDSVASTVDSASLASC >Vigun03g034400.1.v1.2 pep primary_assembly:ASM411807v1:3:2643122:2645912:1 gene:Vigun03g034400.v1.2 transcript:Vigun03g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQSFWQFSDQLRLQTSNLANLSLNDSIWSNSYVSKRRDERINFDIKVGGEINSFKSKEHASDYNDNLNGSFLAMTYNNNNNNNILGVGLDSGVGLNGGFNKGIYSKPSFANLNTNINLNINPKGHKGKLEDDLLHLPKSSKKNNNPNKKHDSNNSGTNNNDNGKDSKAAADKRFKTLPPSESLPRNETIGGYIFVCNNDTMAENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAAGFGGTNIDPTAWEDKKCPGESRFPAQVRVITRKTCEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFAEQDTFNETFKALDA >Vigun10g066000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:14874951:14876700:-1 gene:Vigun10g066000.v1.2 transcript:Vigun10g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQNSNLNFVLFPLMSQGHMIPMMDIAKILAEQGVTVTVVTTLQNASRFKATFARSIDSGSQIKLLEIQFPYQEAGLPEGCENLDMLPSLGTGLDFFNAANSNTQKEQVEKLLEDLTPPPSCIVSDMCLHYTANIATRFNIPRISFLGQSCFSLFCMYSLGVSRVLSGIKSNTEYFVLPGLPDKVEMTKAQLPAQKTDAGWRQYYARTGAAEGVSYGVVMNSFEELEPDYASAYKKSRKGRVWCIGPVSLSNRDELDKAERGNKASIDEHFCMKWLGLQKPGSVIYACLGSMCNITPQQLIELGLALETSNRPFIWVIREGSNLEEVEKWMKEEGFEERTKGRSLVIHGWAPQVLILSHPAIGGFLTHCGWNSTLEAICAGVPMVTWPLFGDQFLNEKLIVQILRVGVKVGVEVPVEWGEEEETGVLVKKEDVERAINELMDETGESEKIRERVKELADMAKKAVEQGGSSHSNVTLLIQDVMQQSQRDA >Vigun02g082550.1.v1.2 pep primary_assembly:ASM411807v1:2:23588554:23591687:1 gene:Vigun02g082550.v1.2 transcript:Vigun02g082550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKSVTQKLDLVFLDGPFPIQGTTSDVKGYEWFHANEDFTEYTNFEEGLAYIEDYMVNNGPFQGIMGFSQGVAFTKVKKMKFVIVISGGKFGGKKFAMPELAKNAYSEIIDIPSFTL >Vigun07g012200.1.v1.2 pep primary_assembly:ASM411807v1:7:1052750:1056074:1 gene:Vigun07g012200.v1.2 transcript:Vigun07g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHENGVVEPKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >Vigun09g027200.1.v1.2 pep primary_assembly:ASM411807v1:9:2168408:2177479:-1 gene:Vigun09g027200.v1.2 transcript:Vigun09g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPSHKEATAFGSTSRNMATEDLGILLNGHRFHGGRKDVTPNRSGSAPPSMEGSFLAIENLLPQQIITQNASFAALSSAMQNCESEEQLRADPAYLAYYSSNVNLNPRLPPPLTSWENRHLGHHIGSFRNNWRMSAADDSDKSSLDLPQRTLSTHKEESEDESHQQPYDDELIKASGLWRRPDAASLASQPKNVVDLIQEDFPRTMSPVYSKSLSESPGLVDKPIDLEVGSSSSHDPPVTTVEAAKPTVGADSIRVSSIVDTHAPVASSSSLESTGSIGVNDLDVASVASQLKALGVSNLPHSESLSYEEKWKTSYQNNLMQRPGFQQQNNASDIPSANSQNVNSVYIGREQFPFNSSKFSNVQPLLQSSGFTPPLYATAAAYMNSANPFYTNMQAPGMYTPQYVGGYTVNPTAFPPYVTAYPPHGAVPFVVDGATSSSYTPLTPGVSTGGNISHGTEMVQANKYLGQFGFPVQPSFGDPMYMQYQQQPFVEGYSISGHFDPMTPRASSVNQISPYDSQKRPSTGTYLDDKKLPDQRSATNMNSRRGGLLIPSYFGHMPNMGFLMQYPSSPLPSPVLSGYPEGSPGHLGGNNEIKLSPASGRNGGIISEWQGQRSFDGGHDPKIVNFLEDLKSGKGRRFELSDIIGHIVEFSSDQHGSRFIQQKLESCSVEEKTLVFKEVLPHASKLMTDVFGNYVIQKFFEYGSPEQRRELADRLVGQILPLSLQMYGCRVIQKALEVIELEQKAQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKKISFILSAFCGQVAILSMHPYGCRVIQRVLEHCTDESQCQFIVDEILESVCDLAQDQYGNYVTQHVLERGKPQERSQIISKLSGHIVELSQHKFASNVVEKCLEYGDATEREMLIAEIFGHGEQSDNLLIMMKDQFANYVVQKVIDICSEKQQAMLLSQVRIHAHALKKYTYGKHIVARLEHQFGENQTPGS >Vigun04g189100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41322675:41325821:1 gene:Vigun04g189100.v1.2 transcript:Vigun04g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEDIKKETVDLERIPVDEVFRELNCTKEGLTNEEGEKRLQVFGPNKLEEKEESKILKFLGFMWNPLSWVMEAAAIMAIGLANGGGEPPDWEDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGKWSEVEACILVPGDIISVKLGDIIPADARLLEGDSLKIDQSALTGESLPVTRSPGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIIEIVVMYPIQHRKYRSGINNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFSRDADKDTVILLGARASRIENQDAIDTCIVGMLGDPKEARDGITEVHFLPFNPVDKRTAITYIDSEGKWCRVSKGAPEQIIELCNLREDVKKKALGIIGKFADRGLRSLAVCKQEVPEKTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGQHKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVQPSPLPDSWKLREIFITGIVLGAYLAVMTVVFFWAAYSSDFFTEKFGVRSIRENHGELTAAIYLQVSIVSQALIFVTRSRSWSYVERPGVLLVVAFIIAQLIATVIAVYADWEFAKIKGIGWGWAGVIWLYSIITYIPMDILKFIIRYSLTGKAWNNITENRVAFTSKNDYGKGEREAQWAAAQRTLHGLNPPQAVHDMLNERNNYRELSELAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIEAIQQHYTL >Vigun05g133600.2.v1.2 pep primary_assembly:ASM411807v1:5:15732353:15735055:-1 gene:Vigun05g133600.v1.2 transcript:Vigun05g133600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIELVEKIVVVPEQPTPRKRMFLSNIDLSLVVYQDSASFFDPPNTQMSFSEICSKLYSALGKMLVQYDFMAGRLVPSLEETQRFEIDCNGAGIVVAAARTDRKLSEFGVISAPNPQLRELVVFLHEGCDQETDLKEKPLASLQLTQFGCGSLALASHYNHCTLDGFAIRDFEVNLGALTRGEDLITVPNADRTLLRARTPPIVSHPHFEYSKSTVTHNLFTLRGKSGTNVKQSLQENQIHVLHLSPQSIGSFKKKALEEDKTLKNTSTFQVVPELPDGFAGNALVPGFARATVRELKELEDACHIRKVQEGLERLNDEYIKSGIDWLEVNKGAPCMEDSFSLVAWWRLGLEEQLFAWGRLKCATPLEVKPGLVMLLPGPQDQGGINICLDLPEDQMQEFSRIMLQI >Vigun05g133600.1.v1.2 pep primary_assembly:ASM411807v1:5:15732353:15735055:-1 gene:Vigun05g133600.v1.2 transcript:Vigun05g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIELVEKIVVVPEQPTPRKRMFLSNIDLSLVVYQDSASFFDPPNTQMSFSEICSKLYSALGKMLVQYDFMAGRLVPSLEETQRFEIDCNGAGIVVAAARTDRKLSEFGVISAPNPQLRELVVFLHEGCDQETDLKEKPLASLQLTQFGCGSLALASHYNHCTLDGFAIRDFEVNLGALTRGEDLITVPNADRTLLRARTPPIVSHPHFEYSKSTVTHNLFTLRGKSGTNVKQSLQENQIHVLHLSPQSIGSFKKKALEEDKTLKNTSTFQVVAAKIWKARSIATRMSEEKVSTMLFPVDVRKKVVPELPDGFAGNALVPGFARATVRELKELEDACHIRKVQEGLERLNDEYIKSGIDWLEVNKGAPCMEDSFSLVAWWRLGLEEQLFAWGRLKCATPLEVKPGLVMLLPGPQDQGGINICLDLPEDQMQEFSRIMLQI >Vigun03g245600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40858716:40861116:-1 gene:Vigun03g245600.v1.2 transcript:Vigun03g245600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDQEKENRGYVRGEGEGLEGNGGGVNCNEIRSFTCDICNKGFSSGKALGGHMRIHNLSRKRVHGEKQSTGDAAKSKAAKWGMVDGNPTCSVCGKVFSSRKSLFGHMRSHTEKAKRGIQSNALIVGASSNSTSSSTLSDDLAADLSGVLRSWSVTAKRGRKSSSCSKSDSGLEEGDDEIDPRMEEAACELLLLARGRPKCVEDQIQEHPFNKRKGCEEALLQPILGNSDDVKIKKRNRKKLKLTELASTGEGKNKFSICRKCNTTFPCHQSLKDHLCSLENSKNIQSRSDGVSATKEDIQGEKTGAGTVEGTRGYECGVLNKTFSTGQAIGDHKRSDWTSMAQATTYSNMEIAENCSKVLSFDLNQIPAVDGEEGVQSDLFIPANIMTSSSYDSCC >Vigun05g108700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11136096:11137115:1 gene:Vigun05g108700.v1.2 transcript:Vigun05g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRNVVDYNIRNNTYNLYDVTFENHNIHTLVTHHPFQVERWLSNNTGRRQDLMVGLDIEWRPNTQPNTQNPVATLQLCVGHACLVFQIIHSPYIPHSLVSFFLDPNVTFFGVGIRADAKKLLQDYGLYVGNVCELRSLAADLLWRYPHLKRAGLKTLCRHVLEVEVEKPLSVTRSFWDTPQLTTEQVQYAVIDAFLSYEIGRVLNERSYP >Vigun02g198200.1.v1.2 pep primary_assembly:ASM411807v1:2:33325423:33327912:-1 gene:Vigun02g198200.v1.2 transcript:Vigun02g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQSLLDNPRKSVSKTICLIFSVAVVLTSSALIASYLTKPTLLFKNTISHRVCDHAVDPSACLAHVSEVVQDPIFSATQNHKFGLLQSFLMKSTSHIERVMGATNAMRVRMNDPREEAALRDCVELMELSMDRVWDSKVSLTKGTTDSARDAHTWLSSVLTNHVTCLDGLEGRARTVMEAELQDLVSRARTSLAMFVAVLPPNPELEVVPLNGKFPSWVGSKDRRLLESSAGEIKPNVVVAKDGSGDFTTVAEAVASVPDKSKTRYVIHVKKGTYEEKVEIGKRKKNVMLVGDSMDTTIITGSLNYIDGTGTFQTATVAAVGDGFIAQDIWFQNSAGPEKHQAVALRVGADLSVINRCRVDAYQDTLYAHSNRQFYRDTLVTGTIDFIFGNAAAVFQNCTLEARKPMKNQKNMVTAQGRTDPNQNTGTSIQQCNLTPSEDLTPVIDSFQTFLGRPWKNFSRTVVMQSYLDRHIDPKGWAEWDNQHKDYLETLYYGEYMNRGPGAGTSKRVNWTGYHIIKSAAVANQFTVKNLIQGDAWLKNTGVNFIEGL >Vigun10g020200.1.v1.2 pep primary_assembly:ASM411807v1:10:2356149:2358039:-1 gene:Vigun10g020200.v1.2 transcript:Vigun10g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIMSPLSFCYMVNMATTSKVVMISLSIMMMLFSTTLSVVLEFDENHIKSTTFFSEKVEVGPGKIAVKTLFDIHFPKGHIGIKSFDVEVVDEDGNFVPLYEAYLHHWFAVKYIENITMSEYIKQSHDIRNGIEYERNDGACQGFLLPHYWGLGGESQGTSSNLPGPFAVEVGNPTKIKHGFTEKWLFSIMLIDTRGTHDRKGCTECRCKLMNLPKDFYNVTTNINGQLLSKNYKGGLFCCQDNLQCKLRNGFKGPTRKLSLKYKIRWVDWDEHQVPLKFYILDSADRVISNGSTPIHDCQVEYKIPRNHDNDFPHVKKANIPITKGGYLIHGTAHMHTGVVNITLYGQDGRVLCTSNPKYGIGKEAGNENGYLVGMSVCNPKPGSIIIKDGEILTVESIYENKFRTGAMGISTFTWQNIYQKKIWKFDVKLFSYLL >Vigun07g117200.2.v1.2 pep primary_assembly:ASM411807v1:7:21673500:21678360:-1 gene:Vigun07g117200.v1.2 transcript:Vigun07g117200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGRGPARNGSNPVNQPEWRSPATDTALEESMWHLTLGGGESYPERPGVPNCVYYMRTGVCGYGGRCRYNHPRDRAAVAAAVRATGEYPERVGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNVYGYPLRPGEKECSYYLKTGQCKFGISCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPEQYGGASSSLRVARPPMLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVPSPGAQPAVGGTSLYGVTQLSSPTSAFARPYTPLPSTSGPLGSSLKEQLFPERPGELECQYYLRTGDCKFGLACRYHHPRDHIVARPLLNPVGLPLRPKTGCTTLRILFAKWALQVWLDVQI >Vigun07g117200.1.v1.2 pep primary_assembly:ASM411807v1:7:21673500:21678360:-1 gene:Vigun07g117200.v1.2 transcript:Vigun07g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGRGPARNGSNPVNQPEWRSPATDTALEESMWHLTLGGGESYPERPGVPNCVYYMRTGVCGYGGRCRYNHPRDRAAVAAAVRATGEYPERVGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNVYGYPLRPGEKECSYYLKTGQCKFGISCKFHHPQPAGTSLPASAPQFYQQVQSPTVPLPEQYGGASSSLRVARPPMLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVPSPGAQPAVGGTSLYGVTQLSSPTSAFARPYTPLPSTSGPLGSSLKEQLFPERPGELECQYYLRTGDCKFGLACRYHHPRDHIVARPLLNPVGLPLRPGVQPCAFYLQNGHCKFGSTCKFDHPLGSMRYSPSASSLIDVPVTPYPVGSLLSQLAPSTTSSELRPELMSGSKKESFSARIPSSGNSSGTSVGLIFSQGGSVSLSDVQLSSQSSASASSSRSTRQSGEIR >Vigun06g204300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31850153:31852160:1 gene:Vigun06g204300.v1.2 transcript:Vigun06g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSNRGLDWDQTLLQAQNLELPKPTPMRKQQQQTQPSEPLKCPRCDSINTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRAKKSTTTTTTTSNGSMDGELRTRVTVPPLAVDDHKPTPSSLYQSLCLPQQNPTSTKVSEGKDFGIGNGIFLSSTVPFPQNQSLLFPFTTSNSFDTCAVSNSLQSSGVYNYGEEFKILEEPTVNSTMACTSGGTRTQPWEIAGTSSGLEMSNYWSWEDIDSLVSTDPNNPWDDSDIKP >VigunL024300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:49907:51230:1 gene:VigunL024300.v1.2 transcript:VigunL024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun03g341100.1.v1.2 pep primary_assembly:ASM411807v1:3:53997104:54000374:-1 gene:Vigun03g341100.v1.2 transcript:Vigun03g341100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTKRCHYEVLDLSQDSSPEEIRSSYRRLALQRHPDKLIKSGLSQEEATAQFQELQHAYEVLSDPKERAWYDSHRSQILFSDPNSLSKSVVPDLFSFFSNTVYSGYSDTGKGFYKVYSDVFDKIHANEINFARKMGLGVDAVRQAPVMGNLDSPYAQVTAFYGYWLGFCTVMDFCWADEYDAMAGPNRKSRRLMEEENNKARRKAKKEYNDTVRRLGDFVKKRDKRVIDMRVKKSVEMERKKEEEREKKKRLEKEKKERAMAYEEPDWAKVEEEEVVEEWVEEGEEEKKNDKEFYCVLCGKKFKSEKQWKNHEQSKKHKERVAEFRDSIGDEEDLEEGKEGLGSEEDGVGVDGDGGHGVGDLEARIRDGLNVEEGVIKNGGESDDDDDGGGDEFYDASHVKEGEDSNGSVNLDDSGNEDDDNDENGVLEAMVAGHKNRKPRASTHKSKAAIAQSQIETEEDEFGPMEYSNRKSTRKKRRAKKEKGRENWEESQGNAGSCNDENINGNGNDNSRAEESCSQHFVENGDNIIGNEQQVSRGNKISNQPADTKGAGKDTKIKAKISSKGRKAKVTSKNVGNTCDACGEEFDSRNKLHKHLGDSGHATIIRGR >Vigun04g178000.1.v1.2 pep primary_assembly:ASM411807v1:4:40154812:40156851:1 gene:Vigun04g178000.v1.2 transcript:Vigun04g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGCGNKRGLKSGNGGPVRRSQKPVATVNDAIWFVSELKHEFRNQKEKFRLFHQFLVDYRDDSISYATLVGKVKELLRGHNKLIMGFNYFIEKDFWIELDDETAPHDEEQFMNKIKNRFQDDELACNLILDTISKYKEGLKDITEVSRELAIYIEGNEDLVEDFTRLLERHGCGDVVDEDEGGNQQTTFDQVELKEI >Vigun08g023500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2015402:2016583:-1 gene:Vigun08g023500.v1.2 transcript:Vigun08g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGESISIQKLKKTLSEIKMPVRIPRIIPKPDQKSYPESENAIMKKIMDSLKEADANNDGRFNKDELKHALKDLGAYFPVWRTDRAFDRVDVNKDGHISGDEIDSLLEYLRSRGYGK >Vigun05g253800.1.v1.2 pep primary_assembly:ASM411807v1:5:44844394:44845990:1 gene:Vigun05g253800.v1.2 transcript:Vigun05g253800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCTSDCVNKTRLPHRPTYFNLYKWPESDVKFLSTIKDDTPIRDKLISYVNSNICVASEVQAREVDSFSCRQIYLRSYKFSKKKVGVAEKTLKCLNRLKEGVACVSNKLKLKGKNKVLGRAKDVTYAAFSIFQTFLPCYAKKNTRKR >Vigun07g023600.1.v1.2 pep primary_assembly:ASM411807v1:7:2060456:2078302:1 gene:Vigun07g023600.v1.2 transcript:Vigun07g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSAKSKGLRLSGSRAGNSPTSSTTSSSKQFLETSVDGLSSPASSSARSKTPYSFSESVPSDAKENVTVTVRFRPLNPREIRQGEEIAWYADGETVVRNEYNPSLAYAYDRVFGPTTTTRQVYDVAAQHIISGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFSLTVESSPCGENSEGEAVALSQLNLIDLAGSESSRAETTGMRRREGSYINKSLLTLGSVISKLTEGRPSHIPYRDSKLTRLLQSSLSGHGRISLICTVTPSSSNAEETHNTLKFAHRAKHIEIQAAQNTIIDEKSLIKKYQHEIQRLKDELEQMKRGVVSIQPKETGEVDFVLLKQKLEDGQVKLQSRLEEEEDAKAALLGRIQRLTKLILVSTKSSHSTRFSNRPGHRRRHSFGEEELAYLPYKRRDLISDDENTDLHVNLEGNVETADDSYKEEKRTKKHGLLNWLKMRKRDTGLSAFSGTSDKSCGTKSVSTPSTPLAESSNRAESRHSHSLPAQSSPSVDFVSATREDKEIHEDRVLGQETPLISVKSIDEIDLLREQQKILSEEVALHSSTLKRLSQEAARNPQMDQIHVEMERLKDEIKAKKEQINFLQKQIADSIIASDKLDESGVSLNLTELMTQLNEKSFELEVKTADNHIIQEQLNQKIRECESLQETVGSLKQQLADALELRNLRPISNHSQHFSVTKGYLEPYLEKETATITSSNEKILLQQQASEIEELKQKLAELTELKEQLEAGNQKLAEESSYAKELASAAAVELKALSEEVAKLMNQNERLLGEQAVLKNSAAQRRNSGSGTVRSVKRESHVRRNDQGGGLNSVIKRELELSKERELSYEAALLERDHKEAELQRTIEESKQREAYLENELANMWVLVAKLKKSQGAETDV >Vigun01g065300.1.v1.2 pep primary_assembly:ASM411807v1:1:17268897:17286189:1 gene:Vigun01g065300.v1.2 transcript:Vigun01g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Vigun08g101332.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25055870:25058129:1 gene:Vigun08g101332.v1.2 transcript:Vigun08g101332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLSHNPHNVLLDDLFVPKITDFGLAKLCPKNQSTVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTNVSVEDSFQVLYPEWIHNLVEGKDVEISVEDEGDTKIAKKLAIVGLWCIQWNPADRPSMKTVVQMLEGDGYELVAPPSPLHISGSSTTNTIVPARRQNFELEVIHEIEENSVDHF >Vigun10g116501.1.v1.2 pep primary_assembly:ASM411807v1:10:32160567:32166842:-1 gene:Vigun10g116501.v1.2 transcript:Vigun10g116501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPHHTSQPPSMEDQNQCKTSDQQLQNLNLPKVLLHGPPGFSSVLQPPYSEKFHLLNHSSLPLHQFAATHPHHCATVAAVLCDGGYPLTVDMLSLLPSLRLVVTASAGTDHVDLDECRRRGIQVAGAGNLFSEDVADLAVALLIDVVMKISAADRSLRKRHVDSRAITYASKVTGKRVGIVGLGRIGLEVAHRLEALNCKVSYNSRKQKPFVPYPFYSNVVDLATNTNVLVLCCALNDQTRHIINREVMVALGKGGVIVNVGRGALIHEKELLKCLMEGEIEGAGLDVFENEPLVDEHFFSLDNVVLSPHAGFSTLESYVAICQLLGRNLEAFFSDNPLITPVI >Vigun02g125200.1.v1.2 pep primary_assembly:ASM411807v1:2:27721908:27727865:1 gene:Vigun02g125200.v1.2 transcript:Vigun02g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYLTPTQRYVAGALFGLALNQAHFHQTHPLGLSTDDFPSDSERTPSKLEVSDDPSLWVHEHHALLRPVFKYLDIDPAAWSGLEETAVSTSVSRHVGPLLRLLSEESGDDYSERSDKELALSGAVDAMVLSMESNSESLTSRREKLREYEHQCREKFSTSDAESNSEKIDMQLDTKEEAGTPFHDCEEPDQGSIHSNIDESPIEEVMMLSYPRKVAVLYELLCACLSDLGENNKKHGRRRKGYDARHRVSLRLLATWLDIKWSKMEGIETIVASSAMAFIKEQESSKEETLSKENKGDRWKKGCIIGAAALTGGTLMAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAATAAGHVAGSVAVAASFGAAGAGLSGTKMARRVGGVDEFEFKVIGENHNQGLLAVEIMISGFVFEDEDFIRPWEGVNDNLERYALQWESKNLYALSTAIRDWLTSRIAGALMKRGAMLTVLHSLLTALAWPVTLLAATEFIDSTWTIAIDRSDKAGKLLAEVLLGGLQGNRPVTLVGYSLGARVIFKCLECLAETKNSAELVERVVLLGAPIAIRDENWETVRKMVAGRFINAYSSNDWMLGIAFRASLLSQGLAGIQPVDIPGIQNVNVTDHIEGHSSYLWATQQVIDELQLDSYYPVFT >Vigun02g125200.2.v1.2 pep primary_assembly:ASM411807v1:2:27721908:27727865:1 gene:Vigun02g125200.v1.2 transcript:Vigun02g125200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDIDPAAWSGLEETAVSTSVSRHVGPLLRLLSEESGDDYSERSDKELALSGAVDAMVLSMESNSESLTSRREKLREYEHQCREKFSTSDAESNSEKIDMQLDTKEEAGTPFHDCEEPDQGSIHSNIDESPIEEVMMLSYPRKVAVLYELLCACLSDLGENNKKHGRRRKGYDARHRVSLRLLATWLDIKWSKMEGIETIVASSAMAFIKEQESSKEETLSKENKGDRWKKGCIIGAAALTGGTLMAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAATAAGHVAGSVAVAASFGAAGAGLSGTKMARRVGGVDEFEFKVIGENHNQGLLAVEIMISGFVFEDEDFIRPWEGVNDNLERYALQWESKNLYALSTAIRDWLTSRIAGALMKRGAMLTVLHSLLTALAWPVTLLAATEFIDSTWTIAIDRSDKAGKLLAEVLLGGLQGNRPVTLVGYSLGARVIFKCLECLAETKNSAELVERVVLLGAPIAIRDENWETVRKMVAGRFINAYSSNDWMLGIAFRASLLSQGLAGIQPVDIPGIQNVNVTDHIEGHSSYLWATQQVIDELQLDSYYPVFT >Vigun09g116700.2.v1.2 pep primary_assembly:ASM411807v1:9:25634131:25638270:1 gene:Vigun09g116700.v1.2 transcript:Vigun09g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTESMYESEDNFYQHAPYYAGSLENSSYHESSSYDGDYSNTSYNQPSTYARSSENSWRQKKQQPTYIADHFSSLDQVVSALREAGLESSNLILAIDFTKSNEWTGKHSFHRKSLHHIGNSPNPYEQAISIIGRTLSSFDEDNLIPCFGFGDASTHDQNVFSFYQDNRFCHGFEEVLARYREIVPYLKLSGPTSFAPVIDAAIDIVERNNGQYHVLVIIADGQVTRNPDTPHGRLSPQEEATISSIIGASHYPLSIILVGVGDGPWDEMKHFDDNITERVFDNFQFVNFTKIMSENTEASKKEAAFALAALMEIPFQYRAAQNIQLTEESVLRQHRRPLPPPNEVIDHDNARMAIPHMPNLESVQPSAPAGIEPVCPICLTNPKDMAFGCGHTTCKECGSTLSSCPMCRKQITTRLRLYT >Vigun09g116700.1.v1.2 pep primary_assembly:ASM411807v1:9:25634131:25638270:1 gene:Vigun09g116700.v1.2 transcript:Vigun09g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTESMYESEDNFYQHAPYYAGSLENSSYHESSSYDGDYSNTSYNQPSTYARSSENSWRQKKQQPTYIADHFSSLDQVVSALREAGLESSNLILAIDFTKSNEWTGKHSFHRKSLHHIGNSPNPYEQAISIIGRTLSSFDEDNLIPCFGFGDASTHDQNVFSFYQDNRFCHGFEEVLARYREIVPYLKLSGPTSFAPVIDAAIDIVERNNGQYHVLVIIADGQVTRNPDTPHGRLSPQEEATISSIIGASHYPLSIILVGVGDGPWDEMKHFDDNITERVFDNFQFVNFTKIMSENTEASKKEAAFALAALMEIPFQYRAAQNIQLTDRESVLRQHRRPLPPPNEVIDHDNARMAIPHMPNLESVQPSAPAGIEPVCPICLTNPKDMAFGCGHTTCKECGSTLSSCPMCRKQITTRLRLYT >VigunL059351.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000345.1:50419:51528:1 gene:VigunL059351.v1.2 transcript:VigunL059351.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRIVQAQGLGLKGGERAGEEGRGGGGHGTSPSRGSGASPWAPDRLPRRRTGSGRKGFERSVPCARGRPVARSLEPRAASRRPDGHQPSAAPERRPRAPKPAALGSPTGQRQIRAVRAAPSNTRATASTGAAWGARARTAGAAGGGGGLDGDGRREAEVLGRSARVRRGAAGSIRPARPSRWTLPITALRVTPPRALAISAADRPASHRVFRAVTRSALQEEEDMGQPRLHAPDRRADGSLPLPFPRLGGSRPTLEILRYWQARRRRLNLGASDPGPAPSGLAREASVMSRLRLKTLAGVYQAPSQLPERPVASPRRRKGLVRPWPLGETQRR >Vigun01g198000.2.v1.2 pep primary_assembly:ASM411807v1:1:37455627:37456382:-1 gene:Vigun01g198000.v1.2 transcript:Vigun01g198000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKNLLITIVTLSSLLLSTAHASVQSPSPSPSSSPSYPNNNILGTASSNAGDNLLNPSLHVMNFCKGTENPALCSGTIAPFMEGPFDPMKALETEMEATFIQSKKVAKLIADELRNPNIDRRARGALDICKSQYKSIMETVNEAVELLNEQNVVDAYYKFSSVISDQSTCEDAFVESPGVTIPFAEDSHTVYQLGGNCLAIMDAMVNSRNNFLLA >Vigun02g151001.2.v1.2 pep primary_assembly:ASM411807v1:2:29812712:29813645:1 gene:Vigun02g151001.v1.2 transcript:Vigun02g151001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWRSYLQSLKLLEELCVSTAGEGGYATNPMPSNSSMNLHLLPPLTWKGKTIEPLMQSKQLFYTPQSHASVLKPNWKSKVMECLYGSITTCCHNG >Vigun02g151001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29812712:29813645:1 gene:Vigun02g151001.v1.2 transcript:Vigun02g151001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLFAGGGVMEFVNLQTRGICQQYLTCIMNSVMRIVVVEHNTAKINVFLTQSLNFSVGYATNPMPSNSSMNLHLLPPLTWKGKTIEPLMQSKQLFYTPQSHASVLKPNWKSKVMECLYGSITTCCHNG >Vigun03g077800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6432378:6433612:-1 gene:Vigun03g077800.v1.2 transcript:Vigun03g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEREEVKQNLGVLDILNEAVTFYVTNINFIIFTSLTSLPFFLLMVYFEISFQKIMVEAPQIISLLPFFEPNYAYVYLSESGYSYIDPPRKSFRNDYLPVLIQLGFIYLVPLHVLELCSAVITMDSASKLKSEESYITLKDMFKSSIDMSIMKGTLITSLYVVFWSTCFLIAFPWILSNCYMLFRDFGYYIFFTVICFVAFTKVLMAYLEWSGIWNMSFVISVVEGIYGVGAIRVSYFLSRGNQKRGLVLMFVFFALGVCLRLSCVSLECYKRGYGIFVQIGVLTVVNTLKWVSSMIYFYDCKERKMEKKVDEESGKILQNDS >Vigun09g099250.1.v1.2 pep primary_assembly:ASM411807v1:9:15932779:15933131:1 gene:Vigun09g099250.v1.2 transcript:Vigun09g099250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVFVMANSRLKKKKKDVRKTKYYNMDDLVSDYEWTMEEKEASSSLDALYEDILVEVGENEYASSSNLDNDEGLRGEDIDENENDLEDTDDYPSVDMKYFLG >Vigun05g204200.1.v1.2 pep primary_assembly:ASM411807v1:5:39261759:39266452:-1 gene:Vigun05g204200.v1.2 transcript:Vigun05g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAKKGAETKKGKTFGLGCEPVVGSLAPAKKRDYKITNRLQEGNRPIYAVVFNFLDSRYFNVFATASGNRITVYQCLEGGVIAVLQSYVDEDKDESFYTVCWACSDDGSPYIVAGGSKGIIRVIDSGRESIYRSFIGHGDSINEVRTQTLKPSLVISASKDESLRLWNTRTGICILIFAGAGGHRNEILSVDFHPSDIYRIASCGMDNTVKIWSMKEFWKYVEKSFTWTDLPSKFPTKFVQHPVYCASVHVNYVDCTRWLGDFILSKSVDDEVLLWEPKVKEEITGTGAVDVLQKYPVPACDIWFIKFSCDFHLNIATVGNREGKIFVWELQSCPPVLSTKLSHPQSKAPIRQTATSFDGSTILSCAEDGTIWRWDDVSNSST >Vigun05g204200.2.v1.2 pep primary_assembly:ASM411807v1:5:39261759:39266452:-1 gene:Vigun05g204200.v1.2 transcript:Vigun05g204200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRIDDGSPYIVAGGSKGIIRVIDSGRESIYRSFIGHGDSINEVRTQTLKPSLVISASKDESLRLWNTRTGICILIFAGAGGHRNEILSVDFHPSDIYRIASCGMDNTVKIWSMKEFWKYVEKSFTWTDLPSKFPTKFVQHPVYCASVHVNYVDCTRWLGDFILSKSVDDEVLLWEPKVKEEITGTGAVDVLQKYPVPACDIWFIKFSCDFHLNIATVGNREGKIFVWELQSCPPVLSTKLSHPQSKAPIRQTATSFDGSTILSCAEDGTIWRWDDVSNSST >Vigun10g084200.2.v1.2 pep primary_assembly:ASM411807v1:10:23809652:23814025:-1 gene:Vigun10g084200.v1.2 transcript:Vigun10g084200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLVSLLSFFLCYLIFLSIYFSAASGKCLEDQQSLLIQLRNNLTFEPENSSKLKLWNQSIDCCNWSGVTCDEEGRVTGIDLSGELIGGGFDDSSVVFSLQHLQKLNLAGNNFSSAIPSGFNKLENLTYLNLSWSGFEGQIPVEISQMTSISNLRLASCNLKTFPGFLRNHSRIASLDLSDNHIQGMVPNWIWKLQNLERLNISHNLLTHLEGPLKNLSSKLVVLDLHHNKLQGPLPVIPENTLYLDFSSNKFNSVIPQDIGNYMPFTFFLSLSNNTLSGSIPDSLCNATYLQVLDLSNNNISGAIPSCLMAMNENLGVLNLRKNNLTGPVPDMFSAACSLRTLDLHNNILDGKIPKSLSNCTSLEVLDLGKNKIMDVFPCLLKNISTLRVLVLRKNNLHGQIGCPKTNGTWHMLQIVDLAFNNFTGKLPGNCFTRWEAMMSDENQAESKVKHIQYQFLQYGNQIYYRDSVTVTIKGQRMDLIKILTVFTSIDFSSNHFEGEIPNELFDFKALYTLNLSKNAFSGKIPQSIRNLKELESLDLSKNLLEGNIPTELATLSFLSVLDLSFNNLFGRIPTGTQIQSFPETSFIGNKGLCGPPLNTNCSANASPETTKTAKDFDWQYIVTGVGFGVGAGVFLPILMIWERGRKWSNNTIDNFLMRVFSLFGLAYIPIEDDEGGDDAEDLEDDSSEEDDWDYPSFKGRYCVFCSKLDISMKRVIHDPSCTCYPSSSASNSTHSSKSYSP >Vigun10g084200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23809651:23813974:-1 gene:Vigun10g084200.v1.2 transcript:Vigun10g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLVSLLSFFLCYLIFLSIYFSAASGKCLEDQQSLLIQLRNNLTFEPENSSKLKLWNQSIDCCNWSGVTCDEEGRVTGIDLSGELIGGGFDDSSVVFSLQHLQKLNLAGNNFSSAIPSGFNKLENLTYLNLSWSGFEGQIPVEISQMTRLVTLDLSSFSSLTGHGLKLENPNLRKLVQNLTSIRQLYLDGVSISDAGHEWSSALMPLRDLQEVRMSQCNLSGPLEPSLASLENLSVIVLDANNLSSEVPETFANLKFLTILSLPSCQLTGTFPQKIFNIVTLSIVDVSFNNNLQGFFPDFPPGSLQTLRVSNTSFSGAFPNSIGNMRNLTELDFSYCRFNGTLPNSLSNLTELSYLDLSYNNFTGRIASFDMAKKLTYLDLSNNGLSGAVSSSSHFEGQKNLISIDLGYNAIGGSIPSSLFTLPHLQKIMLSHNQFGQLDEFTNVSSSKLIILDLSSNNLSGSFPTSIYQLSRLSVLTLSSNKLNGKINLDKLSELRNLTTLDLSYNNLSVDVNDTNADQSYFPSISNLRLASCNLKTFPGFLRNHSRIASLDLSDNHIQGMVPNWIWKLQNLERLNISHNLLTHLEGPLKNLSSKLVVLDLHHNKLQGPLPVIPENTLYLDFSSNKFNSVIPQDIGNYMPFTFFLSLSNNTLSGSIPDSLCNATYLQVLDLSNNNISGAIPSCLMAMNENLGVLNLRKNNLTGPVPDMFSAACSLRTLDLHNNILDGKIPKSLSNCTSLEVLDLGKNKIMDVFPCLLKNISTLRVLVLRKNNLHGQIGCPKTNGTWHMLQIVDLAFNNFTGKLPGNCFTRWEAMMSDENQAESKVKHIQYQFLQYGNQIYYRDSVTVTIKGQRMDLIKILTVFTSIDFSSNHFEGEIPNELFDFKALYTLNLSKNAFSGKIPQSIRNLKELESLDLSKNLLEGNIPTELATLSFLSVLDLSFNNLFGRIPTGTQIQSFPETSFIGNKGLCGPPLNTNCSANASPETTKTAKDFDWQYIVTGVGFGVGAGVFLPILMIWERGRKWSNNTIDNFLMRVFSLFGLAYIPIEDDEGGDDAEDLEDDSSEEDDWDYPSFKGRYCVFCSKLDISMKRVIHDPSCTCYPSSSASNSTHSSKSYSP >Vigun10g084200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23809652:23814025:-1 gene:Vigun10g084200.v1.2 transcript:Vigun10g084200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTELDFSYCRFNGTLPNSLSNLTELSYLDLSYNNFTGRIASFDMAKKLTYLDLSNNGLSGAVSSSSHFEGQKNLISIDLGYNAIGGSIPSSLFTLPHLQKIMLSHNQFGQLDEFTNVSSSKLIILDLSSNNLSGSFPTSIYQLSRLSVLTLSSNKLNGKINLDKLSELRNLTTLDLSYNNLSVDVNDTNADQSYFPSISNLRLASCNLKTFPGFLRNHSRIASLDLSDNHIQGMVPNWIWKLQNLERLNISHNLLTHLEGPLKNLSSKLVVLDLHHNKLQGPLPVIPENTLYLDFSSNKFNSVIPQDIGNYMPFTFFLSLSNNTLSGSIPDSLCNATYLQVLDLSNNNISGAIPSCLMAMNENLGVLNLRKNNLTGPVPDMFSAACSLRTLDLHNNILDGKIPKSLSNCTSLEVLDLGKNKIMDVFPCLLKNISTLRVLVLRKNNLHGQIGCPKTNGTWHMLQIVDLAFNNFTGKLPGNCFTRWEAMMSDENQAESKVKHIQYQFLQYGNQIYYRDSVTVTIKGQRMDLIKILTVFTSIDFSSNHFEGEIPNELFDFKALYTLNLSKNAFSGKIPQSIRNLKELESLDLSKNLLEGNIPTELATLSFLSVLDLSFNNLFGRIPTGTQIQSFPETSFIGNKGLCGPPLNTNCSANASPETTKTAKDFDWQYIVTGVGFGVGAGVFLPILMIWERGRKWSNNTIDNFLMRVFSLFGLAYIPIEDDEGGDDAEDLEDDSSEEDDWDYPSFKGRYCVFCSKLDISMKRVIHDPSCTCYPSSSASNSTHSSKSYSP >Vigun03g122700.1.v1.2 pep primary_assembly:ASM411807v1:3:11516808:11520542:-1 gene:Vigun03g122700.v1.2 transcript:Vigun03g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALLRSLRRRDFPTSSISAFRSLTSGTKTSYVGHKWASLYRPFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRMIGRRFDDPQTQKEMKMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKKSESIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKAPCKSCLKDANVSIKDVDEVLLVGGMTRVPKVQEVVSNIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKSLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIDKMVKEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYREKIPSEVAKEIEDAVSDLRTAMAGENADEIKAKLDAANKAVSKIGQHMSGGSSGGSSSTGGSQGGDQAPEAEYEEVKK >Vigun06g084500.1.v1.2 pep primary_assembly:ASM411807v1:6:21671188:21673085:-1 gene:Vigun06g084500.v1.2 transcript:Vigun06g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIQSLLVLLLATFLTFMVPSDAHLSPNFYDKLCPKALPIIKSVVQRAIIRERRIGASLLRLHFHDCFVNGCDGSVLLDDTRNFTGEKTALPNLNSIRGFEVVDEIKAAVDKACKGHVVSCADILATAARDSVAILGGPNLWYSVLLGRRDARTASKDAANTNLPAPFFSFSQLLSSFNSHGMNLKDLVALSGGHTIGFARCTNFRNRIYNDTNINPTFAASLRRTCPRVGGDNNLAPLDPTPANVDTSYFKELLCKKGLLHSDQELYKGVGSESDKLVKLYSMNPFSFANDFKASMIKMGNLKPLTGRKGEIRRNCRRVN >Vigun08g176950.2.v1.2 pep primary_assembly:ASM411807v1:8:34716704:34717440:1 gene:Vigun08g176950.v1.2 transcript:Vigun08g176950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQLNLNDFVFSVCTLCGKVLLDLDASLHVIFADKNADKSSTMYNRRGRNDKNVQPQKQETDTSQTLSEA >Vigun08g176950.1.v1.2 pep primary_assembly:ASM411807v1:8:34716414:34717440:1 gene:Vigun08g176950.v1.2 transcript:Vigun08g176950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACMEYWCSHCCRVCPTRLEPIYGDISVHSCSVCTLCGKVLLDLDASLHVIFADKNADKSSTMYNRRGRNDKNVQPQKQETDTSQTLSEA >Vigun03g062000.1.v1.2 pep primary_assembly:ASM411807v1:3:5089844:5092763:1 gene:Vigun03g062000.v1.2 transcript:Vigun03g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSACAELSRCFAAAASAKPNSGKSNSTAATSLVISSPIGHDGAVSSVSRSRKTSRIVAEASQGLTYRDAGVDIDAGAELVRRIAKMAPGIGGFGGLYPLGDSYLVAGTDGVGTKLMLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGRLDVDVAEKVVKGIVDGCKQSDCVLLGGETAEMPGLYKEGEYDLSGCAVGIVKKDSVINGKNIVAGDVIIGLPSSGVHSNGFSLVRRVLAQSGLSLKDQLPGSNITLAEALMAPTVIYVKQVLDLISKGGVKGIAHITGGGFTDNIPRVFPEGLGALIYDGSWEVPAVFRWLQEAGKIEDSEMRRTFNMGIGMILVVSPEAANRILENKGQTDKFYRIGEIISGNGVTFS >Vigun01g109100.2.v1.2 pep primary_assembly:ASM411807v1:1:28166875:28171533:1 gene:Vigun01g109100.v1.2 transcript:Vigun01g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNAIANGLAGAGGGIIAQIITYPLQTVNTRQQTERTLKKNKQSLPSNTTTAPSTLLQIFQVIKTEGWGGLYSGLKPSLLGTAASQGIYYYFYQVFKNKAVAITAARKVKGHGDGTVGMFGWLVVAAIAGSLNVLFTNPIWVLVTRMQAREVYNEAGTVGFWKGVFPALIMVCNPSIQFMIYESSLKHLRAKRAAKKQGNTSISALEVFLVGAIAKLGATVTTYPLLVVKSRLQAKQEIGGNNSLRYSGTFDAVLKMIRYEGITGFYKGMSTKIVQSVFAASVLFMIKEELVKAFIVLTNKSKKVASNLSS >Vigun01g109100.1.v1.2 pep primary_assembly:ASM411807v1:1:28166875:28171533:1 gene:Vigun01g109100.v1.2 transcript:Vigun01g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESNAIANGLAGAGGGIIAQIITYPLQTVNTRQQTERTLKKNKQSLPSNTTTAPSTLLQIFQVIKTEGWGGLYSGLKPSLLGTAASQGIYYYFYQVFKNKAVAITAARKVKGHGDGTVGMFGWLVVAAIAGSLNVLFTNPIWVLVTRMQTHTQAERKIMDEKKEALRKAASESAIADSTLQDKLDELNSMKPRPYGTIHAAREVYNEAGTVGFWKGVFPALIMVCNPSIQFMIYESSLKHLRAKRAAKKQGNTSISALEVFLVGAIAKLGATVTTYPLLVVKSRLQAKQEIGGNNSLRYSGTFDAVLKMIRYEGITGFYKGMSTKIVQSVFAASVLFMIKEELVKAFIVLTNKSKKVASNLSS >Vigun04g151600.1.v1.2 pep primary_assembly:ASM411807v1:4:36830474:36834192:1 gene:Vigun04g151600.v1.2 transcript:Vigun04g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPPKVVLGSVAFAVFWVLAVFPCVPFLPIGRTAGSLLGAMLMVIFQVLTPDQAFAAIDIPILGLLFGTMVVTVFLERADLFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCVVLTEFVLKIARQHNLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGSFLTGILPAMLVGLVVNVVILIVIYWRVLTIHKDEEDPISEVAEEEIMSHQFSPAIMTHCASFNSEECSDSSEPTNNLQNSSQVHTMTNQTVPSLSEVQMGVSSTNSKDSTTTTNAPKDGTNDTNPSKDVAIVVDRPKEARIMHSSEGKEDYLSIKWKRILWKSCVYAITLMMLIAMLLGVNMAWAAIAAAIILVVLDFKDAGPSIDKVSYSLLIFFCGMFITVDGFKKTGIPSALWDLMEPYSRIDHATGIAVLAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEKKAWLVLAWVSTIAGNFSLLGSAANLVVCEQARRAPNIGYTLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun03g413300.2.v1.2 pep primary_assembly:ASM411807v1:3:62042959:62047293:-1 gene:Vigun03g413300.v1.2 transcript:Vigun03g413300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLNLIRLFIVSVLFNVSTSDELPANFVFGDSLVDVGNNNYLVSFSKANYLPNGIDFGRPTGRFTNGRTIVDIIGQELGMDLIPPYLAPTTVGPVILKGVNYASGGGGILNFTGQAFGGRLNFDAQIDNFANTRQDIIATIGVPATLDLFNRSLFSVTIGSNDFINNYLTPALSYSERESETPQSFVAKMISKFRVQLTRLFNLGARKVVVANVGPIGCIPSQRDSNLGAGYSCVSFPNQLAQLYNSQLKDLITELNSNLEGSAFAYADVYQILDDILKNYVALGFVNPNSACCRVAGRFGGLVPCGPTSKVCWDRSKYVFWDPYHPTDAANVMVAKHLLEGGSNYIWPRNIRGLLQT >Vigun03g413300.1.v1.2 pep primary_assembly:ASM411807v1:3:62042904:62044959:-1 gene:Vigun03g413300.v1.2 transcript:Vigun03g413300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVAQVYVQYRRRILIQYFVAVISYCSFSLMAVLLNLIRLFIVSVLFNVSTSDELPANFVFGDSLVDVGNNNYLVSFSKANYLPNGIDFGRPTGRFTNGRTIVDIIGQELGMDLIPPYLAPTTVGPVILKGVNYASGGGGILNFTGQAFGGRLNFDAQIDNFANTRQDIIATIGVPATLDLFNRSLFSVTIGSNDFINNYLTPALSYSERESETPQSFVAKMISKFRVQLTRLFNLGARKVVVANVGPIGCIPSQRDSNLGAGYSCVSFPNQLAQLYNSQLKDLITELNSNLEGSAFAYADVYQILDDILKNYVALGFVNPNSACCRVAGRFGGLVPCGPTSKVCWDRSKYVFWDPYHPTDAANVMVAKHLLEGGSNYIWPRNIRGLLQT >Vigun07g029700.1.v1.2 pep primary_assembly:ASM411807v1:7:2701916:2705305:1 gene:Vigun07g029700.v1.2 transcript:Vigun07g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKLISTTKRTQKSEPRYPKRGTSSRGLWNSSSVTLNFTKNEVLIPVQGTQFPSNLIAMENAQQQTRVVASETIEFGVKEQVKQKRYRKRNFKKTTSMYRGVTRCKGRFESFVWDKDLKTTGKRGKTVYIGGFKDELEAARAHDLIAIKIWGKFAYTNFPVSFYVKEISEMQQMSLREYILTIRRVSKDTSATDGCNFSSVVDGSSPEEQENNANGDALSNPKSSTMQSVFDEIGQQSQAAKTPQDQHENVEENKFVYDDHQFAWLDFDLSSSLWSYDSGSAQVQDHADALGNNNALNLESSTAGAGNGSVASSGSSSVEFHSIMEPNNILQFEGQNPHVASVHRYEPLQYGFATNTQNENVVVDNDWDITQYLNLDYSDMDDHETTDH >Vigun09g063900.1.v1.2 pep primary_assembly:ASM411807v1:9:6725652:6730785:-1 gene:Vigun09g063900.v1.2 transcript:Vigun09g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSANAVSGGRGAPVPKDVDYANYFCTYAFLYHQKEMLSDRVRMDAYFNAIFDNKKHFAGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARALVKANNLQNVVEVIEGSMEDVTLPEKVDVIISEWMGYFLLRESMFDSVIHARDHWLKPTGMMYPSHARMWMAPIRTGIVDHKLGEYESTMDDWRSFVDETKDYYGVDMSTLTKPFSDEQRKYYLQTSLWNNLHPHQVIGTAAIIKEIDCLTATVTDIEKVRSNFSLSITMENAKLCGFGGWFDVHFRGRSEDPAEQEIELTTAPSVDYGTHWGQQVFLLHPPMNLSEGDDLKVSFVMSRSKGNHRLMEVELGCEIHQHSGKLLAPFKNKYYIE >Vigun11g097300.1.v1.2 pep primary_assembly:ASM411807v1:11:28533688:28535300:-1 gene:Vigun11g097300.v1.2 transcript:Vigun11g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEDRVSKLLAAQTHVWNHIFSFINSMSLKCAIDLGIPDIIHKHGEPMPLSQLTASLSMNPSKANNIYRLMRILTHSGFFSEVKVNENEVEMGYVLTDASTLLLKDNPLSVTPFLHAMLDPILTKPWHGLATWFRNDDPSPFQTAHGMKIWDYAGRDQKLNQLFNDAMASDAELVSNVVIERCGGVLKGLESVVDVGGGTGTMAKGIAKSFPHIDCTVFDLPHVVADLQGSHNLKFVGGDMFEWVPPADAVLLKWILHDWNDEQCVRILKKCKEGVKKKVIAIDMVMESEKLDYESTETQLMVDMVVMVLYPGKERTEKEWAKIIFSAGFSDYKITPIVGLRSLIEIYP >VigunL082600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:36963:43354:1 gene:VigunL082600.v1.2 transcript:VigunL082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYMEKARINNYDFTYGQFLKILFIRNKIFSFCDGKKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEWKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKLRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIQSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTKHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQIIDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWEANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITPKGFLIDNSDNIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSITNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHKQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSYLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQEIFPF >Vigun03g282700.1.v1.2 pep primary_assembly:ASM411807v1:3:46285417:46287774:-1 gene:Vigun03g282700.v1.2 transcript:Vigun03g282700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVKTANINKTRKGEVTLYSSSTISLDSVFKLTKMTSMASTNFLVFFTLVLLCMIGSSRSKCDFEAIFNFGDSNSDTGGFWAAFPSQSGPFGMTYFNKPVGRATDGRLIVDFLAQALGLPFLSPYLQSIGSDYTHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKQFKTKVNQVHEQGSKLPSPDIFGKSLYTFYIGQNDFTSNLASIGIGGVQQYLPQVVSQIAATIKELYNLGGRTFLVLNLAPVGCYPSFLVQLPHNSSDIDEFGCLVSYNNAVREYNNMLKQSLRQIRESLSDASVVYVDTFTVLLELFQHPTSHGLKYGTKACCGYGGGDYNFDPRVYCGNSKEINGRKVTATACNDPYNYVSWDGIHASEAANKLTTFAILNGSYSDPPFPFQEHCDLQPIH >Vigun06g004400.1.v1.2 pep primary_assembly:ASM411807v1:6:2250340:2262781:1 gene:Vigun06g004400.v1.2 transcript:Vigun06g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKQVIKSLDAFPRAEDHLLQKTQSGALVSVIGLIIMATLFVHELGYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNRDGHIIGTEYISDLVEKEHTHHKHDDHKDHDEHSEQKIHLQNLDESTENIIKKVKEAIKNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFDGAKNVNVSHVIHDLSFGPKYPGLHNPLDDTNRILHDTSGTFKYYIKVVPTEYRYISKEVLPTNQFSVSEYYSPINQFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMYRLIESLTKSKSKR >Vigun03g286300.2.v1.2 pep primary_assembly:ASM411807v1:3:46820605:46825680:-1 gene:Vigun03g286300.v1.2 transcript:Vigun03g286300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLHENFGGVKSKNTTDEALQKWRKVCGVVKNPKRRFRFTANISKRYEAAAMRRTNQEKLRVAVLVSKAAFQFIQGVQPSDYVVPDEVKEAGFQICADEMGSIVEGHDVKKLKFHGGVNGIAEKLSTSTTTGLSGDSEARRRRQELFGVNKFTESEVRSFWVFVFEAVQDMTLMILGVCAIVSLIVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYNLLPGDLVHLSIGDQVPADGLFVTGFSVLIDESSLTGESEPVMVTSQNPFLLSGTKVQDGSCTMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVKGLMGRKLEEGRFWWFSADDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCICMKVKEVSNNSSLSSELPDPALKILLQSIFSNTGGEVVVNKKGKREILGTPTESALLQFGLALGGDFHAERQTCKIVKVEPFNSEKKRMGVVLEIPEGGLRAHCKGASEIILAACDKVMNSDGVVVSIDGESGNYLTSTINQFAGEALRTLCLAYIELESGFSDQDPIPATGYTCIGIVGIKDPVRPGVRESVDVCRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELFELIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFTSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRAPVGRRGDFINNVMWRNILGQALYQFVVIWFLQTIGKWVFFLRGPNAEVVLNTLIFNTFVFCQVFNEVNSREMEEIDVFKGIWENHVFIAVLGCTVFFQIVIVEYLGTFANTTPLSLVQWIFCLGVGYGSMPLAVRLKQIPV >Vigun03g286300.1.v1.2 pep primary_assembly:ASM411807v1:3:46820605:46825680:-1 gene:Vigun03g286300.v1.2 transcript:Vigun03g286300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLHENFGGVKSKNTTDEALQKWRKVCGVVKNPKRRFRFTANISKRYEAAAMRRTNQEKLRVAVLVSKAAFQFIQGVQPSDYVVPDEVKEAGFQICADEMGSIVEGHDVKKLKFHGGVNGIAEKLSTSTTTGLSGDSEARRRRQELFGVNKFTESEVRSFWVFVFEAVQDMTLMILGVCAIVSLIVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYNLLPGDLVHLSIGDQVPADGLFVTGFSVLIDESSLTGESEPVMVTSQNPFLLSGTKVQDGSCTMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVKGLMGRKLEEGRFWWFSADDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCICMKVKEVSNNSSLSSELPDPALKILLQSIFSNTGGEVVVNKKGKREILGTPTESALLQFGLALGGDFHAERQTCKIVKVEPFNSEKKRMGVVLEIPEGGLRAHCKGASEIILAACDKVMNSDGVVVSIDGESGNYLTSTINQFAGEALRTLCLAYIELESGFSDQDPIPATGYTCIGIVGIKDPVRPGVRESVDVCRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELFELIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFTSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRAPVGRRGDFINNVMWRNILGQALYQFVVIWFLQTIGKWVFFLRGPNAEVVLNTLIFNTFVFCQVFNEVNSREMEEIDVFKGIWENHVFIAVLGCTVFFQIVIVEYLGTFANTTPLSLVQWIFCLGVGYGSMPLAVRLKQIPV >Vigun03g101300.1.v1.2 pep primary_assembly:ASM411807v1:3:8707951:8712608:1 gene:Vigun03g101300.v1.2 transcript:Vigun03g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISGGGGKSSWSSSTSSWVPTTSVSASGKRIQREMVELNNDPPPDCSAGPKGDNLYHWIATIIGTPGTPYQGGIFFLDIKFPSDYPFKPPQVVFKTRIYHCNVDPDGLVSMGILKDDWSPALTITKVLLAVRSIFTNPDHYNAVVPGIAHLYSGDRAKHDGIAAEWTVRFAK >Vigun07g053000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5604862:5606375:1 gene:Vigun07g053000.v1.2 transcript:Vigun07g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQNSCVLPENMLMEILSWLPLKEVVQLRCVSKAWNHLVSDPAFVKLHFQRSPKNTHLLLTFVDTADDGAQDRDYAVICPIQDLLDNPSSTLETLHRNNRPFNRSYTVLGVCNGLVCLQDSSTEEVFSEYWFRIWNPAIRAMSKDSPHIRFRNSDYKDISWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPVSTGYAYGSPRTFGIFVSATLNWLAFPKFYLDNSDGVKMNQLEIFSYHLKDETCRYFPMPDGILEVYVYGPEIEVLKGCLCLFHHYEYNLIIWLKREFNDEKSWSKLLTFSYQEYVNDEFPLELSIIWEDDEVLLLANTCIFKPRFLWYNTRYNRVDGDERYENDKWYLFSHEYAHSLVSPCMN >Vigun07g053000.2.v1.2 pep primary_assembly:ASM411807v1:7:5604865:5606376:1 gene:Vigun07g053000.v1.2 transcript:Vigun07g053000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQNSCVLPENMLMEILSWLPLKEVVQLRCVSKAWNHLVSDPAFVKLHFQRSPKNTHLLLTFVDTADDGAQDRDYAVICPIQDLLDNPSSTLETLHRNNRPFNRSYTVLGVCNGLVCLQDSSTEEVFSEYWFRIWNPAIRAMSKDSPHIRFRNSDYKDISWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPVSTGYAYGSPRTFGIFEYVNDEFPLELSIIWEDDEVLLLANTCIFKPRFLWYNTRYNRVDGDERYENDKWYLFSHEYAHSLVSPCMN >Vigun11g135100.3.v1.2 pep primary_assembly:ASM411807v1:11:34445171:34448012:1 gene:Vigun11g135100.v1.2 transcript:Vigun11g135100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVVSSNCVLLSEFSESNPTQLSAMELGIQNFGCTHYRRRCKIRAPCCDEVFDCRHCHNEAKNSEEVDVVDRHDVPRHEIKKVICCLCDTEQDVQQYCINCGVCMGKYFCDICKFFDDDTSKNQYHCEECGICRTGGKDNFFHCKRCGCCYSKIMENGHRCVEGAMHHNCPVCFEYLFDTVREISVLPCAHTIHLDCVKEMEKHHRYSCPVCSKSICDMSSLWKKLDKVVCRIHFLSPDIFFKQHMIASICSVL >Vigun11g135100.2.v1.2 pep primary_assembly:ASM411807v1:11:34445171:34448017:1 gene:Vigun11g135100.v1.2 transcript:Vigun11g135100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVVSSNCVLLSEFSESNPTQLSAMELGIQNFGCTHYRRRCKIRAPCCDEVFDCRHCHNEAKNSEEVDVVDRHDVPRHEIKKVICCLCDTEQDVQQYCINCGVCMGKYFCDICKFFDDDTSKNQYHCEECGICRTGGKDNFFHCKRCGCCYSKIMENGHRCVEGAMHHNCPVCFEYLFDTVREISVLPCAHTIHLDCVKEMEKHHRYSCPVCSKSICDMSSLWKKLDKVVWILCNDCGVKSHVQYHIVAHKCLSCNSYNTRQIQGAPATPSSSRVTEMVK >Vigun11g135100.1.v1.2 pep primary_assembly:ASM411807v1:11:34445171:34448012:1 gene:Vigun11g135100.v1.2 transcript:Vigun11g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAKVVSSNCVLLSEFSESNPTQLSAMELGIQNFGCTHYRRRCKIRAPCCDEVFDCRHCHNEAKNSEEVDVVDRHDVPRHEIKKVICCLCDTEQDVQQYCINCGVCMGKYFCDICKFFDDDTSKNQYHCEECGICRTGGKDNFFHCKRCGCCYSKIMENGHRCVEGAMHHNCPVCFEYLFDTVREISVLPCAHTIHLDCVKEMEKHHRYSCPVCSKSICDMSSLWKKLDKVVALTPMPETLKNKMVWILCNDCGVKSHVQYHIVAHKCLSCNSYNTRQIQGAPATPSSSRVTEMVK >Vigun04g073800.1.v1.2 pep primary_assembly:ASM411807v1:4:8782980:8790227:1 gene:Vigun04g073800.v1.2 transcript:Vigun04g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQDTENTTITPKHMIPKLTLLAIMVILTLAITPLWYPFCSYHSSSLLKMKQNNKNHIDDDDDDDQKLPSTYLEKCDIFTGEWVPNPRAPYYTNKTCWAIHEHQNCMKYGRPDSEFMKWRWKPNECELPIFNPFQFLEIVKGKSMAFVGDSVGRNQMQSMICLLSRVEWPIDVSYTSDEYFKRWKYPSYNFTMATFWTPHLVRSSVGDPNGPSNTGLFNLYLDEHDEKWTTEVEGFDYIILDGGHWFYRPMVFYERKKVVGCHFCLLENVPDLTMFYGYRRAFRTAFKAINSLEEFKGTVFLRTFAPSHFENGIWNQGGNCVRTKPFRSNESVLEGTNLELYMIQLEEFKKAEKEGRKKGLRLKLIDTTQVMLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLQMLKMEGMRSAIS >Vigun04g073800.2.v1.2 pep primary_assembly:ASM411807v1:4:8782980:8790227:1 gene:Vigun04g073800.v1.2 transcript:Vigun04g073800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQDTENTTITPKHMIPKLTLLAIMVILTLAITPLWYPFCSYHSSSLLKMKQNNKNHIDDDDDDDQKLPSTYLEKCDIFTGEWVPNPRAPYYTNKTCWAIHEHQNCMKYGRPDSEFMKWRWKPNECELPIFNPFQFLEIVKGKSMAFVGDSVGRNQMQSMICLLSRVEWPIDVSYTSDEYFKRWKYPSYNFTMATFWTPHLVRSSVGDPNGPSNTGLFNLYLDEHDEKWTTEVEGFDYIILDGGHWFYRPMVFYERKKVVGCHFCLLENVPDLTMFYGYRRAFRTAFKAINSLEEFKGTVFLRTFAPSHFENGIWNQGGNCVRTKPFRSNESVLEGTNLELYMIQLEEFKKAEKEGRKKGLRLKLIDTTQDP >Vigun09g151500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31582433:31584110:1 gene:Vigun09g151500.v1.2 transcript:Vigun09g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESSSSSSRGVMEKKNMAILPWELVIGILLMLPVKSLVRFKCVCKSWLSLLSDPHFAISHFQRLTASSSRLLFIAPPALEIRSIDFNASLHDDSASSTLNLNFLPPSTYHNVQLIGSCRGFLLLNCCQSLWVWNPSTGVHRKLSSTPIESNLMQAMFFTFLYGFGYDPSTDDYLVVKASHNPMSLGSATTRVEFLSLRTNVWRDVEATHLSYMNSSKGIKGIREGSLLNGAIHWLAFCCNGSMDVIVVFDLTERSFSEILLPVEFLDYESNCCDLAVLGDLLSICFGEWDHSVEIWVMEEYRVQSSWTKTIIVSAENIPAAMYFFPICYTKDGHIFGTDGWTGLAKYNGKGQLQEHRSYSQGSYRSQVAVYTESLLSFPM >Vigun11g029300.1.v1.2 pep primary_assembly:ASM411807v1:11:3818943:3837642:-1 gene:Vigun11g029300.v1.2 transcript:Vigun11g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKFAVSLLIARAKSLQLHHHTCQCVASSSPTSTPLFRFFPSSIRSANSVATTRLFSSYYSLEQFSDDEYDGDFENQQVSSTVANVDEWKWKLSMLLRSEKDQEIVSRDKKDRRDYEQIANLAKRMGLYSELFGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEYLDRLQLNSAKTTDSLDDGNSTNQVKEIDIDENVDSFVDESVMEKVLQKRSLRMRNMQRTWQESPEGRKMLEFRKSLPSFMEKQGLLQAIAHNQVIVVSGETGCGKTTQIPQYVLESQIESGRGAFCNIICTQPRRISAMAVSERVSAERGEPLGETVGFKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTFRIPGFTYPVRAHFLEDVLEITGYKLTSFNQIDDYGQEKLWKTQKQLAPRKRKNQITSLVEDALSKSSFENYSSRARDSVASWAPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRILLLTCHGSMATSEQKLIFEKPPPNIRKVILATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPEPRAVQNAIDFLKMIGALDEKENLTNLGSFLSILPVDPKLGKMLIMGAIFRCFDPVLTIVAGLSVRDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSSYEYCWRNFLSAQTLQAIHSLRKQFSFILKDAGLLDTDASMINKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLLFGEKVKVSAVFIRDSTGVSDSILILFGGALSNGIQAGHLKMLDGYVDFFMDPNLADCYLKVKEELNKLIQKKLEDPSIDIHKEGKYLMLAVQELVSGDQCEGRFVFGRESRKPRPSNDENKFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAERDAAIEALAWLTHTSDNNQPEDDKSPPDVTDNMLKLLGKRRKSKRRHD >Vigun11g126200.1.v1.2 pep primary_assembly:ASM411807v1:11:33334074:33337387:-1 gene:Vigun11g126200.v1.2 transcript:Vigun11g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHFSSSSSPTASSSDGILVVSAEQEPAPPSSAVVEGFATVTDCEARGVTDRTQQELSLLAILVTLLRKSLIACKSEGRGDLCTMEISWPTNVRHVAHVTFDRFNGFLGLPREFEPEVSTRSPSASATVFGVSTESMQLSYDTRGNSVPTILLLMQRHLYALGGLQAEGIFRINADNTQEENVRDQLNRGLVPEDVDIHCLAGLIKAWFRELPSGVLDSLSPEHVMQCQTEDDCAELVSQLPHTEASLLDWAINLMADVVQEERLNKMNARNIAMVFAPNMTHMADPLTALMYAVQVMNFLKTLVLRTLRERKDCVVESFPGFCLEPSDENGDHSLLESCQQDDVGTESEESGETFVSETNVSECSSESLQNKYSTIGECGSFIGSSENLDFEEELHCEFPTKGNLGKSKSGQSSDSIAKKGSKKTGGLQQPVINATVSAEKKGISNLSRIDSRSERIEAWR >Vigun09g094800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13968588:13972314:-1 gene:Vigun09g094800.v1.2 transcript:Vigun09g094800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYGQPRFSFGLISDVQYADISDGRSFLGVPRYYRHSILVLQRAVKEWNTHQKHMFVINFGDIVDGFCPKDQSLDSVQNVVDEFEKFKGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNEDKNSPDNLEGPERRFVMFNGGLGKEQMEWLDGVLLDATKLKQKVIVCCHLPLDPGAASKATLLWNYDEVMNLIHRYNCVKACLAGHDHKGGYSIDSHGIHHRVFEAALECPPGTNAFGYIDVYDDRISLVGTDRMESTDMHFSPPY >Vigun09g094800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13968586:13972314:-1 gene:Vigun09g094800.v1.2 transcript:Vigun09g094800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVINFGDIVDGFCPKDQSLDSVQNVVDEFEKFKGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNEDKNSPDNLEGPERRFVMFNGGLGKEQMEWLDGVLLDATKLKQKVIVCCHLPLDPGAASKATLLWNYDEVMNLIHRYNCVKACLAGHDHKGGYSIDSHGIHHRVFEAALECPPGTNAFGYIDVYDDRISLVGTDRMESTDMHFSPPY >Vigun09g094800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13968588:13972314:-1 gene:Vigun09g094800.v1.2 transcript:Vigun09g094800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYGQPRFSFGLISDVQYADISDGRSFLGVPRYYRHSILVLQRAVKEWNTHQKHMFVINFGDIVDGFCPKDQSLDSVQNVVDEFEKFKGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNEDKNSPDNLEGPERRFVMFNGGLGKEQMEWLDGVLLDATKLKQKVIVCCHLPLDPGAASKATLLWNYDEVMNLIHRYNCVKACLAGHDHKGGYSIDSHGIHHRVFEAALECPPGTNAFGYIDVYDDRISLVGTDRMESTDMHFSPPY >Vigun09g094800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13968586:13972314:-1 gene:Vigun09g094800.v1.2 transcript:Vigun09g094800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVINFGDIVDGFCPKDQSLDSVQNVVDEFEKFKGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNEDKNSPDNLEGPERRFVMFNGGLGKEQMEWLDGVLLDATKLKQKVIVCCHLPLDPGAASKATLLWNYDEVMNLIHRYNCVKACLAGHDHKGGYSIDSHGIHHRVFEAALECPPGTNAFGYIDVYDDRISLVGTDRMESTDMHFSPPY >Vigun07g208800.1.v1.2 pep primary_assembly:ASM411807v1:7:33047742:33055104:-1 gene:Vigun07g208800.v1.2 transcript:Vigun07g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNLSSGFTSGVSTKGNKISILAFEVANTIVKGANLMQSLSKDNIRHLKEVVLPSEGVKNLISRDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPQKQLKEEAEIVMQQLMTFVQYTAELYHELHALDRFDQDYRRKLQEEDNSNATQRGDSLAILRAELKSQKKHVRNLKKKSLWSKILEEVMEKLVDIVHFLYLEIHEAFGSSDTDKQAKDSQSNHKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDALYQGLPPNVKSALRSRLQSFQVKEELTVPQIKAEMEKILQWLVPIAANTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKDKTEAYILELVIWLHHLVSQVRVGNGGIRSPVKSPIRSPTQKTGQLFTQKTCSSPMLTVEDQQMLRDVGKRKLTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPISENKNDIFSTRRLPSVPVIDFDIDRMKALDVIDRVDNIGSS >Vigun06g013001.1.v1.2 pep primary_assembly:ASM411807v1:6:5975441:5977881:-1 gene:Vigun06g013001.v1.2 transcript:Vigun06g013001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCDLLMFGYVTCVLKMIVSIIHCCRYSPLHNVKRPWEQHTDQSIQYPSTMLLTIDHDDRVVPLHTLKLLAVFEDRYCSFYDPDIPIPS >Vigun09g019400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1489262:1491034:1 gene:Vigun09g019400.v1.2 transcript:Vigun09g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRVNDEAEPQRGYWRWSKQDFLPEESFQSWNNYVSALSQTWLRFKDRLFSRSDDATETEELKKQSEHDMKRCLNWWDLIWFGFGAVIGAGIFVLTGQEAHDHAGAAIVLSYVASGFSAMLSVFCYTEFAVEVPSAGGSFAYLRVELGDFVAFITAGNILLESVIGSAAVARSWTSYFTNLLNRPKNSLRIKTNLKEGYNLLDPIASGVLVIASVITIISTRKTSFLNWIASAINTAVIIFVIVAGFLHADTSNLSPFLPYGAKGVFQAAAIIYFAYGGFDHIATMAEETKKPSRDIPIGLVGSMSMITVIYCLMALSLSMMQKYTEIDTGAAFSVAFQHVGMKWAKYVVAFGALKGMTTVLLVGRLAQARYITHIARCHMIPPWFALVHHKTGTPINATLLITIASAIIAFFTGLKVLSSLISVSTLFVFMMISIALVVRRYYVRGVTPKENLLKLVIFLGLIIASSMGISAYWGLRSNGWFGYIVTVPFWFLATLGMSLFLTQQRAPRVWGVPLVPWFPSLSIATNVFLMGSLEYEAFIRFGACTVAMLIYYFLFGLHATYDMAHQQEKLQSKVDHRETIKNEGP >Vigun01g174150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35584985:35586514:-1 gene:Vigun01g174150.v1.2 transcript:Vigun01g174150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGMVLDVVTFNGVLRDICYARRTDEANRLMLLALSKGLEPDDMTYRTLVIGYSEEGRREKGEFLVNEMLDRGFIPDLASYNKLMSGLSNCRRSTCRQVNKFDR >Vigun07g232200.1.v1.2 pep primary_assembly:ASM411807v1:7:35416502:35418504:-1 gene:Vigun07g232200.v1.2 transcript:Vigun07g232200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHPTDELYFEITTDNYYSLPIQTTPPENQIFYDPVIDASAPIPSTAQMGTTKGKQREKLPAWTKEDYKITTMDENKRWIHRETEKQRRQEMTRLCTDFRSLLPLEYIKGKRSISDHMHEGTKYIKHLENKVKELQAKRDKLKKLSDLSPVVSDSESFSTTHLPICVIVHPFPGGVQIKCSYSFRKYVFPLSRVIHMVLKEGLDVLNCTSTKTDDSFIHTIRLEVPYTMTGTDYTELQRKLVAAISSSCSEEILSESKNC >Vigun03g127400.2.v1.2 pep primary_assembly:ASM411807v1:3:12312503:12313984:-1 gene:Vigun03g127400.v1.2 transcript:Vigun03g127400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWELVEEDDDELPLNEEDDDDDLDEIEQGDDQNRHHLVLAQFYKIFQKAISSSGTYKDQFSYHEYLIYILIPCI >Vigun03g127400.1.v1.2 pep primary_assembly:ASM411807v1:3:12312503:12313984:-1 gene:Vigun03g127400.v1.2 transcript:Vigun03g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWELVEEDDDELPLNEEDDDDDLDEIEQGDDQNRHHLVLAQFYKIFQKAISSSGTYKDQFSYHEYLIYILIPCI >Vigun03g027400.1.v1.2 pep primary_assembly:ASM411807v1:3:2055896:2059613:1 gene:Vigun03g027400.v1.2 transcript:Vigun03g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MGSSPNSKPKIVRGTAGYVLEDVPHLADYIPDIPTYTNPLQINPAYSVVKQYFVHVDDSVPQKIIANKDSPRGVHFRRAGPRQNVYFDADDVQAAIVTCGGLCPGLNTVIRELVCALYHMYGVKKILGINGGYKGFYAHNTIALTPKNVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGADRIFEEIRRRHLKVAVVGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESGENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFHLEGPGGLFEYVEKRLKENGHMVIVIAEGAGQELVSESMQSMQKQDASGNKLLQDVGLWISQKIKEHFSRLKTMHINLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEGQNKVVITDRMWARVLSSTNQPSFTVSKNNAEEKTGDEPNGEQQQQ >Vigun11g077500.1.v1.2 pep primary_assembly:ASM411807v1:11:22928902:22954044:-1 gene:Vigun11g077500.v1.2 transcript:Vigun11g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTARNLCTLAALFRSRSISKPNTKVEPFIKPSPEFDVGFGSELQHFSEHDLARDSSRGDFVDEDGGTAEMSALLCSKQDKGFGYEEVDHEEKRKSAVEIPWMPDLCHGNLLVKRKGVVRERKHKWIFKYSSDDRFDRLIKVCAAKLGTTKTVNVFGHLGRKTGVKEYNALLKVCIEKARATDDEHIAVNEMSKAFHLLKSMRDSGYPLEEQTYGQLLWYISDMGLVQEFQLFSDVIKAENPGSASRLGYYEMLLWLRVDNEEMIRDICEFITVDDRENTSALRVSYLMALCESDRKKQVLDVVKNVDIKLLSAECIVNIFQSLGRLQQESVADDILLDLRARDYDEDKISNFIVSYALSIPNLAVEDIIAKVNNMHELLELLPSSSSYEKLILHCCGLDKVGDALDIVEQMCDAGFNLSTGVLQFILQICEESFEYILVHRIHSIICRYHLALNGEICRCLVHFCVRIKDFEGAYKIIGDLEEMNVKLKTNMYNAIMAGYFREKNISAGLRVVKHMQDANVPPNSQTFCYLIHNCETEEDIMKYCDEMKQSGIQPTKQVFMALVNSYAACGKLEKAKQVLLDPNIPDKNINEIKSVLVAALASHGQLSEALLVYEQIKKAGHKLEPKAATSLIEELTQHNGELDRLLLLLKEVSDLDYWVDGCFKVIVYCARNKNLSSTILLFKQLKDTFRNDEMVMEALFDGVFSAIAESESTHLQIGLDLLWAIKDELGLWPSRQCLDFLLSACANAGDLNNARLIWREYEIAGFPYNVLSYLRMYQALLAAGDVRSASFMLKKIPRDDAEVCSVIIACQETYCALDSLGEKKKQGESKGKKSKKKSKT >Vigun05g177800.1.v1.2 pep primary_assembly:ASM411807v1:5:33240637:33250507:-1 gene:Vigun05g177800.v1.2 transcript:Vigun05g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVACTPHLYRAAKGRVHSHTNLVPTTICLKPHSTFTLSESSSNLTLRCKAQPQQLLNICTSTNSSFRAGPLQCGNSSNGYSGNEGRGLREWIELVGEALSTAFPLWVTIGCVLGLFRPSCFNWVTPKLNIFGLTIIMLGMGMTLTLDDLRGALSMPKEVLAGFILQYSVMPLSGFLVSKLLNLPSHYAAGLILVGCCPGGTASNIITYLARGNVALSVIMTAASTICAVVMTPFLTSKLAGKYVTVDASGLLISTLQVVLFPVLAGAFLNQYFQPLVKVVSPLMPPMAVAAVAILCGNAIAQSSSAILISGGQVILASSLLHASGFFFGYVLARMQGVDVSSSRTISIEVGMQNSALGVVLATKHFGDPLTAVPCAVSSVIQAIFGSMLAGIWRQSVPAEMKH >Vigun09g187800.1.v1.2 pep primary_assembly:ASM411807v1:9:36176185:36179919:1 gene:Vigun09g187800.v1.2 transcript:Vigun09g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFRLLLLLSLFSLLTAQQHDQRQLLLNLKSSLKSSKFSKLFDSWNGTNSVCSFNGVTCNNLRSVTEINLSNKNLTGVLPFHSLCNLPSLQKLAFGYNALYGNVSEDIRKCVSLRYLDLGNNLFSGPFPDISPLNHLEYLFLNKSGFSGTFPWQSLLNMTGLLQLSVGDNPFDFTPFPREVVSLKKLNWLYLSNCTLGGKLPVGLGNLTELTELEFSDNHITGEFPAEIVNLRKLWQLVFFNNSFTGKIPTGLRNLTGLQFLDGSMNRLEGDLSEVKYLTNLVSLQFFENNLSGEIPNEIGEFKGLQALSLYRNKLTGPIPQKVGSWAEFDFIDVSENFLSGTIPPEMCKKGKMTALLVLQNNLSGEIPATYGDCWSMKRFRVSSNSLSGTVPPAIWGLPNAEIIDIELNQLEGPVAPDIRNAKKLASILARQNRLSGEIPEEISKATSLVSVDLSENQISGKIPEGIGELKELGNLHLQSNRLSGSIPESLGSCKSLNDIDLSRNSLSGEIPTSLGSFPALNSLNLSDNDLSGEIPGGLAFLRLSLFDLSYNRLRGPIPQALTLEAYNGSLSGNPGLCSVDAINSFPRCSSSSGMSKDMRALVICFAIASILLLSCLGIYLQIKRRKEEGERFGERSLKEESWDVKSFHVLSFSEGEILDSIKQENLIGKGGSGNVYRVTLSNGKELAVKHIWNTDVPARKKSWSSTPMLGNKQGGKSKEFDAEVQALSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTSRKMELDWETRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKVVQANVGKDSSSRVIAGTHGYIAPEYGYTYKVTEKSDVYSFGVVLMELVTGKRPNEAEFGENKDLVSWVHNMARSKEGLRGVVDSRIPEMYKEEACKVLRTAVLCTGTLPALRPTMRGVVQKLEDAEPCKLVGIVISKEGGEKKIGVNEKK >Vigun08g020000.1.v1.2 pep primary_assembly:ASM411807v1:8:1744539:1748529:-1 gene:Vigun08g020000.v1.2 transcript:Vigun08g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDCGGKLNLRKPHFYEVYSSAFSSHRLKIPDGFVCRMEGRTHGSFSLTGPSGNVWTVQLLKQDNDLFFHHGWSSFVVDHSLECGDLLVFRYEGHLHFTVQVFDKDACEKEAAFTSECSQSSSDFEEIVGQKRDAKESSSLDIAFDGVAKKRRGCKYDNRELEPGFVGKELLRYEVVRPIRMFRETEETSKACLASNNLVPFHMDNRNEGAAILYRSGKEDGHYFLGGVSLSNVSAQDEKKVAQSFTSNFPYFVRIMKSFNVSGSYTLNIPYQFSMAHLPNCKIKIILHNLKGEHWTVNSVPTTRVHTSHTLCGGWMAFVRGNNIKIGDICIFELVQECELRVHIAGVGKDDLDDQVGRANAGHAGTTRYMPMNAKVNSKSIRKVDLSDKKWPKIGQETVLSIDLKKSGRASNTSKKMGLCSQSKAAHKKLANPRRHRVEDELSSQAKSGLRMLFALDEQRVAQAFSSPFPSFVKIMKMFNVSGSYTLKIPYQFSAAHLPTYKTEVILRNSRGECWTVNSVPDAKGRTVHTFCGGWMAFVRDNDINFGDTCIFELVTQCEMQVYISGVGKEGPEHQNGHLKPDRLSILPSSC >Vigun02g082100.1.v1.2 pep primary_assembly:ASM411807v1:2:23495665:23499798:-1 gene:Vigun02g082100.v1.2 transcript:Vigun02g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRRLNGVTPILGPDPKESSVGENPKRSTAVNKRALREDGGGGAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPTSPQASSATAEHFFHSFSNNNFTKHSPSLPFTSHRSRHSTGSASCFDHPSPVDFSFSNPRNPSSSLNMLLLRDLINSSSNNPSLLSSSTHNFHDQFYNKGTASVNVNTLCGTNFTEKGDDDSGSGFFSRESSDSGLLEEIVNKFLPKAKPNKCETLPKIESFRNPQESVLPSLISESTLVSTAQCYDDTKKGFQKNESFGVSFDHQGLPMQKFDNFNGFNVQGMALGNEQTMTNHAENCIVEDVFQYQELLNSFAIRMQNA >Vigun02g082100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23497626:23499798:-1 gene:Vigun02g082100.v1.2 transcript:Vigun02g082100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRRLNGVTPILGPDPKESSVGENPKRSTAVNKRALREDGGGGAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPTSPQASSATAEHFFHSFSNNNFTKHSPSLPFTSHRSRHSTGSASCFDHPSPVDFSFSNPRNPSSSLNMLLLRDLINSSSNNPSLLSSSTHNFHDQFYNKGSSTFSSLPTVSSSSSSSPLIPSYSVNYPLEGTASVNVNTLCGTNFTEKGDDDSGSGFFSRESSDSGLLEEIVNKFLPKAKPNKCETLPKIESFRNPQESVLPSLISESTLVSTAQCYDDTKKGFQKNESFGVSFDHQGLPMQKFDNFNGFNVQGMALGNEQTMTNHAENCIVEDVFQYQELLNSFAIRMQNA >Vigun02g082100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23495665:23499798:-1 gene:Vigun02g082100.v1.2 transcript:Vigun02g082100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRRLNGVTPILGPDPKESSVGENPKRSTAVNKRALREDGGGGAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPTSPQASSATAEHFFHSFSNNNFTKHSPSLPFTSHRSRHSTGSASCFDHPSPVDFSFSNPRNPSSSLNMLLLRDLINSSSNNPSLLSSSTHNFHDQFYNKGSSTFSSLPTVSSSSSSSPLIPSYSVNYPLEGTASVNVNTLCGTNFTEKGDDDSGSGFFSRESSDSGLLEEIVNKFLPKAKPNKCETLPKIESFRNPQESVLPSLISESTLVSTAQCYDDTKKGFQKNESFGVSFDHQGLPMQKFDNFNGFNVQGMALGNEQTMTNHAENCIVEDVFQYQELLNSFAIRMQNA >Vigun09g049650.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4890504:4892273:1 gene:Vigun09g049650.v1.2 transcript:Vigun09g049650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFKMHQSQPQLIYLQWNGRTIPISTAMMSMPFPFFPTTIMTTSLDPSPSRSSIFCHSTSSSLTSLPLTHLRLATQSFIILFFSWSSFSVQKKFAVATG >Vigun09g049650.2.v1.2 pep primary_assembly:ASM411807v1:9:4890504:4892273:1 gene:Vigun09g049650.v1.2 transcript:Vigun09g049650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFKMHQSQPQLIYLQWNGRTIPISTAMMSMPFPFFPTTIMTTSLDPSPSRSSIFCHSTSSSLTSLPLTHLRLATQSFIILFFSWSSFSVQKKFAVATEMKALQIAGKG >Vigun09g049650.1.v1.2 pep primary_assembly:ASM411807v1:9:4890504:4892273:1 gene:Vigun09g049650.v1.2 transcript:Vigun09g049650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFKMHQSQPQLIYLQWNGRTIPISTAMMSMPFPFFPTTIMTTSLDPSPSRSSIFCHSTSSSLTSLPLTHLRLATQSFIILFFSWSSFSVQKKFAVATDLKEYMEILSE >Vigun09g236000.2.v1.2 pep primary_assembly:ASM411807v1:9:40641343:40652654:-1 gene:Vigun09g236000.v1.2 transcript:Vigun09g236000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEDCCVKVAVHVRPLIADEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSSAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGFQTGIIPQVMNVLFSKIGTLKHQIDFQLHVSFIEILKEEVRDLLDPSSVSKPETANGHSGKMTTPGKPPIQIRETSNGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNIPGDSGLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPMSNEMLKMRQQLEYLQAELCARAGGSSEEVQVLKERIAWLEAANEDLCRELHEYRSRCSIVEPGEREAYDGNTYIVKTDAIKRSLPIIEAEYPMSETVGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLQQKESEMKLFGISDAEVLKQHFGRKITELEDEKRVVQRERDCLLAEVENLAANSDGQTQKLEDIHAQKLKALEAQIMDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQCIKAQKVQLQQRIKQESEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKTSGRETSVTTNGSGTNGQSNEKSLQRWLDHELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNEFAAKGLSPPRKNGFARASSMSPNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGEAKNLLQYMFNSVADARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKEVEKELKVREQANGSTLATPPLGDSPNPLKQQAEDVKGPLSPMSMPVPKQLKYTPGVANGLVRESAAFVDQGKRMIPIGHLSMKKLAMVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRTKPRSQVLPRIR >Vigun09g236000.1.v1.2 pep primary_assembly:ASM411807v1:9:40641343:40652654:-1 gene:Vigun09g236000.v1.2 transcript:Vigun09g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEDCCVKVAVHVRPLIADEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSSAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGFQTGIIPQVMNVLFSKIGTLKHQIDFQLHVSFIEILKEEVRDLLDPSSVSKPETANGHSGKMTTPGKPPIQIRETSNGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNIPGDSGLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPMSNEMLKMRQQLEYLQAELCARAGGSSEEVQVLKERIAWLEAANEDLCRELHEYRSRCSIVEPGEREAYDGNTYIVKTDAIKRSLPIIEAEYPMSETVAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLQQKESEMKLFGISDAEVLKQHFGRKITELEDEKRVVQRERDCLLAEVENLAANSDGQTQKLEDIHAQKLKALEAQIMDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQCIKAQKVQLQQRIKQESEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKTSGRETSVTTNGSGTNGQSNEKSLQRWLDHELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNEFAAKGLSPPRKNGFARASSMSPNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGEAKNLLQYMFNSVADARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKEVEKELKVREQANGSTLATPPLGDSPNPLKQQAEDVKGPLSPMSMPVPKQLKYTPGVANGLVRESAAFVDQGKRMIPIGHLSMKKLAMVGQASGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRTKPRSQVLPRIR >Vigun09g023000.1.v1.2 pep primary_assembly:ASM411807v1:9:1845585:1850098:-1 gene:Vigun09g023000.v1.2 transcript:Vigun09g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVEMAVSDPTSFQRVFQRVASAQFRRPLLHLVSSQEGRERYLTQCVPLHKAALRGDWKEAKKLLDEDPTLLNSAITKGWATVLHVAVGANHERFVEELVKIMSREDLELQDDKNNTAFCFATAVGNVNIAEIMRRKNESLPTIRGGLGVTPLHLAVLQGRREMAEYLFPKTKEILYEEDWVTLFLICIESGLYELALEMLKEKESLAFARAENGETGLHILARKPSNCSSGSLLQYPKQLLHKCMKLKDPPALKLTKLLWEVFLKLEDSRMMEEIREPSQVTFLAAEVGNFEFLSVIMSTYPDLLWELNTMGRSIIHVAALHRHASIFNLIHELGPIKEFLFTFVDDEGSTLLHCVAEIARPDRLNIVSGAAFQMMLELTWFEEVKKIMQPLYIELPNNENIIPRDLFSNKHEELLKKGESWMKRTASSCMVVSTLIATGVFSAAFSVPGGTKDDTGSPNYLKKPLFTVFAFSDALALISSSTSTLIFLSILISRYAEQDFLSSLPFKLISGLVTLFVSIISMMVAFSSAFFITYYHGSKGVPFSIAVLAFLPICLFICLQFRLWHDIVYSHYICNSLFRPSKRMIQ >Vigun03g193000.1.v1.2 pep primary_assembly:ASM411807v1:3:26867084:26868761:1 gene:Vigun03g193000.v1.2 transcript:Vigun03g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTQAPPPPPPRPLYKQQSWSPDTIRDEAWQRRKDNHNMSRENWRRLRPSKSLSEDDMEELKACIDLGFGFSSVEIDPKLSDTIPALELYLAVNKQYNHHSISRSSSSSSLVSDSDISSPTTIFDAGDDLAVKKTRLKQWAQVVACAVRESSSSSSSGSYPSDQVN >Vigun08g075633.1.v1.2 pep primary_assembly:ASM411807v1:8:13178181:13179442:1 gene:Vigun08g075633.v1.2 transcript:Vigun08g075633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTKLITVKLQAEAASSRQIPSGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWSKLKTEAARKAGAEEAERYIVQGK >Vigun03g409000.3.v1.2 pep primary_assembly:ASM411807v1:3:61594771:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKYIANSELELEEILQEASHRWLRPVEICEILRNYKKFKLTPDPPIRPPAGSLFLFNRKALRYFRKDGHRWRKKQDGKTVREAHEKLKVGSVDALHCYYAHGEDNENFQRRSFWMLDQQLEHVVLVHYREIKEGCKSSISPFPVVPVTLVGSSQNSSVLSSTRISTPISVVQTSFTSSANKVGQNGHSSEYEDVNSKDGPQAPSFKRYHTEGSVEGSEADFTVHRLNNDKIDAVNRMQDGVIFRDSHMYIQQVEENLLTVDQVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRASLEDSKEISRMERKKGLFENRQLHCKNPGSYQRTPSSKAIQKICVVC >Vigun03g409000.2.v1.2 pep primary_assembly:ASM411807v1:3:61594771:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKYIANSELELEEILQEASHRWLRPVEICEILRNYKKFKLTPDPPIRPPAGSLFLFNRKALRYFRKDGHRWRKKQDGKTVREAHEKLKVGSVDALHCYYAHGEDNENFQRRSFWMLDQQLEHVVLVHYREIKEVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRARSFFQRQLAKSKSDVSDSVLDKVADSLGKVQNMSHFEDYLHFAALKIQKRYRGWKGRKDFLKIGNCIVKIQAHIRGHQVRKQYKKFVWSVSIVEKAILRWRRKGAGLRGFRGGQPVGIDEYDFLSVGRRQKSDDVKKALDRVKSMVRNPDARDQYMRLTMKYQKFKIVDSRSIQSHVD >Vigun03g409000.1.v1.2 pep primary_assembly:ASM411807v1:3:61594771:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKYIANSELELEEILQEASHRWLRPVEICEILRNYKKFKLTPDPPIRPPAGSLFLFNRKALRYFRKDGHRWRKKQDGKTVREAHEKLKVGSVDALHCYYAHGEDNENFQRRSFWMLDQQLEHVVLVHYREIKEGCKSSISPFPVVPVTLVGSSQNSSVLSSTRISTPISVVQTSFTSSANKVGQNGHSSEYEDVNSKDGPQAPSFKRYHTEGSVEGSEADFTVHRLNNDKIDAVNRMQDGVIFRDSHMYIQQVEENLLTVDQVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRARSFFQRQLAKSKSDVSDSVLDKVADSLGKVQNMSHFEDYLHFAALKIQKRYRGWKGRKDFLKIGNCIVKIQAHIRGHQVRKQYKKFVWSVSIVEKAILRWRRKGAGLRGFRGGQPVGIDEYDFLSVGRRQKSDDVKKALDRVKSMVRNPDARDQYMRLTMKYQKFKIVDSRSIQSHVD >Vigun03g409000.5.v1.2 pep primary_assembly:ASM411807v1:3:61597065:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQSMKMSIQKMVLKPPLSKDIILKDLLKVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRARSFFQRQLAKSKSDVSDSVLDKVADSLGKVQNMSHFEDYLHFAALKIQKRYRGWKGRKDFLKIGNCIVKIQAHIRGHQVRKQYKKFVWSVSIVEKAILRWRRKGAGLRGFRGGQPVGIDEYDFLSVGRRQKSDDVKKALDRVKSMVRNPDARDQYMRLTMKYQKFKIVDSRSIQSHVD >Vigun03g409000.6.v1.2 pep primary_assembly:ASM411807v1:3:61597033:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGQLEHVVLVHYREIKEVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRARSFFQRQLAKSKSDVSDSVLDKVADSLGKVQNMSHFEDYLHFAALKIQKRYRGWKGRKDFLKIGNCIVKIQAHIRGHQVRKQYKKFVWSVSIVEKAILRWRRKGAGLRGFRGGQPVGIDEYDFLSVGRRQKSDDVKKALDRVKSMVRNPDARDQYMRLTMKYQKFKIVDSRSIQSHVD >Vigun03g409000.4.v1.2 pep primary_assembly:ASM411807v1:3:61594771:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKYIANSELELEEILQEASHRWLRPVEICEILRNYKKFKLTPDPPIRPPAGSLFLFNRKALRYFRKDGHRWRKKQDGKTVREAHEKLKVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRARSFFQRQLAKSKSDVSDSVLDKVADSLGKVQNMSHFEDYLHFAALKIQKRYRGWKGRKDFLKIGNCIVKIQAHIRGHQVRKQYKKFVWSVSIVEKAILRWRRKGAGLRGFRGGQPVGIDEYDFLSVGRRQKSDDVKKALDRVKSMVRNPDARDQYMRLTMKYQKFKIVDSRSIQSHVD >Vigun03g409000.7.v1.2 pep primary_assembly:ASM411807v1:3:61594771:61601890:1 gene:Vigun03g409000.v1.2 transcript:Vigun03g409000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKYIANSELELEEILQEASHRWLRPVEICEILRNYKKFKLTPDPPIRPPAGSLFLFNRKALRYFRKDGHRWRKKQDGKTVREAHEKLKVGSVDALHCYYAHGEDNENFQRRSFWMLDQQLEHVVLVHYREIKEVQNQDGLDTICAQLYDRNDHPIVANTKALVEQKLKDGESKQAESGEIKKLDSFGRWMDKEIGGDCENSLMASDSGNYWSTLGAHNEDKEVSSLRHIQLDMDSLGPSLSQEQLFSIHDFSPEWAYTGVRTKVLIVGTFLGSKKLSSETKWGCMFGEIEVSAETLADNVLWCQTPLHSPGRVPFYVTCSNRLACSEVREFQFDEHPTKFLGPLGIKISPEVEVRLQIRLLKLVDLGPDNKWWKCSVSGCEKCKLKGIMCSMRGDSGVFKETFQIDGIDHINPRDVLFQRLTRDKLYEWLIYKVHEGGKGSHVLDDEGQGVIHLAAALGYVWAMVPLIAAGISPNFRDNRGRTGLHWASYFGREETVIALVKLGAAPGAVEDPTSALPPGQTAADLASSRGHKGIAGYLAEADLINRLSILTVKENGAGNIATTIATDSAFKSAEDDSSNLTMDEQHYLKESLAVFRKSAHAAASILAAFRASLEDSKEISRMERKKGLFENRQLHCKNPGSYQRTPSSKAIQKICVVC >Vigun07g269550.1.v1.2 pep primary_assembly:ASM411807v1:7:38504117:38504482:1 gene:Vigun07g269550.v1.2 transcript:Vigun07g269550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLISFILSLYFSFQTQSCYVLQSGDREQLSGSSSQYVASHSETATFLLHRLLSCLN >Vigun02g187500.1.v1.2 pep primary_assembly:ASM411807v1:2:32611106:32613982:-1 gene:Vigun02g187500.v1.2 transcript:Vigun02g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVAGSSTSFSATFTTRRSSSSKNSHLTHPKTLAPPQCQKTSLQGLTLHEAKRGVSESFLGEKKNGSSITRRRLEIAAKTAGASKTIEVEVDKPLGLTLGQKSGGGVVITAVDGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGGDVDVKKLSKRPAPPRFGRKLNDAQKARATHICLDCGYIYFLQKPFDEQADTYVCPQCQAPKKRFAKYDANTGRAIGGGLPPIGVIVGLVAGVGAVGALLLYGLQ >Vigun02g187500.2.v1.2 pep primary_assembly:ASM411807v1:2:32611106:32613982:-1 gene:Vigun02g187500.v1.2 transcript:Vigun02g187500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVAGSSTSFSATFTTRRSSSSKNSHLTHPKTLAPPQCQKTSLQGLTLHEAKRGVSESFLGEKKNGSSITRRRLEIAAKTAGASKTIEVEVDKPLGLTLGQKSGGGVVITAVDGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGGDVDVKKLSKRPAPPRFGRKLNDAQKARATHICLDCGYIYFLQKPFDEQADTYVCPQCQAPKKRFAKYDANTGRAIGGGLPPIGVIVGLVAGVGAVGALLLYGLQ >Vigun08g013500.1.v1.2 pep primary_assembly:ASM411807v1:8:1159549:1163018:1 gene:Vigun08g013500.v1.2 transcript:Vigun08g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNATIATPDASRNIVANKGFGIRRRSSGFWGENTRGSLNVRFPSTQPCKSFKASSLKPATARAVYSSDVNVNVESPATEGSVFQSPKANPENVAAIILGGGAGTRLFPLTSTRAKAAVSIGGCYRLIDIPMSNCINSGIRKVYVLTQFNSFSLNAHLSRTYNIKNGVNFGGGFVEVLAATQTPGESGSKWFQGTADAVRRFIWVFEDAKNKNIEHIMIISGDHLCRMDYLKLVEQHSANNADITVSCVPMDESGAYDHGLMKIDKRGRVTEFLENPNEADVNAMRVDTTLLGLSAEEAEKYPFISPMGVFVFRTEVLLKLLRWSCPSCNDLESEIIPSSLRDHKVQAYMFKNYWKDIGTIKSFFEANLDLTEQSPKFEFYDQKRPFFTSPRNLPPTRAIKCRIVDAIISHGVFLNQCKVQHSVVGLRSRLEAGSELQDTMMMGADFYQTDSEIATLLAAGKVPMGVGENTKIRKCIIDKNAKIGRNVIIANADGVEEADRPEEGFYIRSGIVVIVKNATIKDGTVI >Vigun09g051700.1.v1.2 pep primary_assembly:ASM411807v1:9:5101477:5106183:-1 gene:Vigun09g051700.v1.2 transcript:Vigun09g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKIGGTWAGVVEEVDLHAWTLAMLRDCVAERSNSPSQSINLICAGKILRDDAVPPQTLSQLGIKNNAKILATRASSPQQGISLVAQEERCSRLARIRAAATAMAERHSDGALPIEDFNIEVEDQSGQKVRLGSETDQRAVMMGLMLHAKGKKLIKQGNYKDALEVLSMGEESFSLCDSKVIELIDNVPILQIDMVWCYFMIRDIRWLADAGKRLEIARIGIERAHGKDSLRLRLLQGGRFPELALHLRLELLEGVVAYHTGQLEKSRKALASARAKFEQLQVSDEALSFVMSMGYVERDAKRALRMNNQDVGGAIDFLAEEKAKKLQKREEDVRRRNEIKEQKRYGMTPLKKAVDLERLNELVSIGFDKELAAEALRQNENDTQKALDDLTNPETNSALQVAIESRKRKRQKQAKDYEIEKVVRMGFDRARVVAAFEAGGGVEQVLLRLTAQPGIEPNTDSTGTSNGGATSSAPLPNNVNSDDHLNTTDEVEDSKTERDKEMEDELSADIAKADALADYDIEVNVEGEAITEYLALLQTASH >Vigun04g086000.1.v1.2 pep primary_assembly:ASM411807v1:4:14526050:14530609:1 gene:Vigun04g086000.v1.2 transcript:Vigun04g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAVKLYSVFFKFLLKNRLQNQIQAPSEESNQFGVTTRPEESVAAANPSFSDGVATKDIHIDPVTSLSVRIFLPDSALAPEPKSKTIYKSEPAGSAGNLETASRAVRRNSYERSVFTPREEARRSSAGEYRGYLPTADVPRRGWVSGSNDSVANDMFCRRIAKLCDAVVVAVGYRLAPENRFPAAFEDGLKVLHWLAKQVNLAECSKSLGGRKLEGHHKHIVDSFGASIVEPWLAAHGNPSRCVLLGASCGANIADHVARKAVEAGSLLDPVKVVAQVLMYPFFIGSVPTHSEIKLANSYFYDKAMCMLAWKLFLPEGEFSLDHPAANPLVPGQGPPLKQRPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVYEYKDAVHEFATLDILIKSPQAQACAEDIAIWVKKYISLRGHEFSY >Vigun02g130100.1.v1.2 pep primary_assembly:ASM411807v1:2:28131147:28133783:1 gene:Vigun02g130100.v1.2 transcript:Vigun02g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLELLGKVKAKAVSGVQLKWMSTVMCLGDGSQGAVGSPVGLGLHAHEPTPVATLPSDIVSVHAGHYHSLALTSHGQLWAWGRNNEAQLGRGPSSRESWHEAERVKGLESVKVCAAFASGVVSAAVGDDGSVWVWGKSKRGQLGLGENITEALVPTKVEALSGENVSKVSFGWGHALARTADGKLFGWGYSADGRIGKMGNRLETSPLESTFQNNSQLSSSDLEDAKNRVLQGMEQENNMPIVWEPRLVEELRAVHVVDIACGLDHSLVLCRDGVLLSCGSNVYGQLGRAEADLGILPVDMSFTPIFIAAGLGHSLAICQLGESDGGVGTTNIASWGWNLSSQLGRLGDGKVPSFIDALNGENPVSVSAGRAHSLALTSKGELWLWGSGKSGRLGLPSSADQVEPFCLDSLEGFQILQAVAGFDHNLILVAG >Vigun08g085100.1.v1.2 pep primary_assembly:ASM411807v1:8:18692781:18696284:-1 gene:Vigun08g085100.v1.2 transcript:Vigun08g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDMQMEEIEAILEKIWDLHDKLSDAIHSVSRSHFLTSLKTLKNSSPLPNSAANAAADSSGFVFVKDFRPADDDDDSAVREAKSLNAIRTALENLEDQLEFFHTIQTQQRVERDAAIARLEQSRIVLAMRLTEHRGKKYKVIEEALAFIGDVHDTSCIVAPDIFLYGQPNCSAENFATVKVKRSNTLINIFVSSFNFVKRSLGLDHMGGIVGNAALVAISMIALLHLHQVANHEQPYRPQDRVHSNRTTVRRTKLVGSSSDAHSSNLDVLLARG >Vigun11g194300.1.v1.2 pep primary_assembly:ASM411807v1:11:39313806:39316952:-1 gene:Vigun11g194300.v1.2 transcript:Vigun11g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTPQYYTSKHTNTMHSHIPFHYNAMTKPRTLNLTFFMQDIQILEIFLALLVFVLIHSLRQKRYHGLVVWPVLGMVPSLVTALRTNLYEWITEVLKRQNGTFRFKGPWFSNLNCIVTADPRNLEHLLKTKFPLFPKGGYFRNTVRDLLGDGIFNADDDTWQKQRKIASIEFHSTKFRQLTTESLFELVHYRLLPVLEASVKKSVAIDLQDILLRLTFDNVCMIAFGVDPGCLRLGLPEIPFAKAFEDATEATVFRFVTPTCVWKAMRLLNLGVERKLKKSIKGVDEFAENVIRTRKKELSLQCEDTKNRSDLLTVFMRLKDENGRPYSDKFLRDICVNFILAGRDTSSVALSWFFWLLEQNPEVEENILAEICRLVSQRIDIKREEFDNSLRFRPEEIKKMDYLHAALSEALRLYPSVPVDHKEVVKDDTFPDGTVLKKGTKVIYAIYAMGRMESIWGNDWKEFKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKYAAASIIFRYRVKVVENHPVEPKLALTMYMKHGLKVNLYRRDVAQIHRHLEEGFK >Vigun07g026400.3.v1.2 pep primary_assembly:ASM411807v1:7:2369788:2374130:1 gene:Vigun07g026400.v1.2 transcript:Vigun07g026400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSWYRRILNNSVVCPTFQITSQTVTLCVGPTRMRAVSAFPSTSQHVAQNDTVLERRNPYPSTYLNRFNEGSLKFEGNECKAHRINVVSRLFPRTQIS >Vigun07g026400.5.v1.2 pep primary_assembly:ASM411807v1:7:2369788:2371900:1 gene:Vigun07g026400.v1.2 transcript:Vigun07g026400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSWYRRILNNSVVCPTFQITSQTVTLCVGPTRMRAVSAFPSTSQHVAQNDTVLERRNPYPSTYLNRFNEGSLKFEGNECKAHRINVVSRLFPRTQIS >Vigun07g026400.4.v1.2 pep primary_assembly:ASM411807v1:7:2369788:2374130:1 gene:Vigun07g026400.v1.2 transcript:Vigun07g026400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSWYRRILNNSVVCPTFQITSQTVTLCVGPTRMRAVSAFPSTSQHVAQNDTVLERRNPYPSTYLNRFNEGSLKFEGNECKAHRINVVSRLFPRTQIS >Vigun11g167400.1.v1.2 pep primary_assembly:ASM411807v1:11:37438719:37444158:-1 gene:Vigun11g167400.v1.2 transcript:Vigun11g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNTTQFFKLFLFLLILHSALAEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGLQTHNDAKHFAISAKIPEFSNKDRTLVVQYSIRFEQDIECGGGYIKLHSGYVNQKKFGGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIRKELQCETDKLTHFYTFILRPDASYSILVDNRERDSGSMYADWDILPPRKIKDVKAKKPADWDDREYIEDPNDVKPEGYDSIPAEIPDPKAKKPADWDDDDDGLWKPKKIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVYDNILICDDPQYAKQVVDEFMANNREAEKEAFEEAEKERRAREEEEAQRAREEGERRRKERDHKYGNKRRRRRPDPHDMYDYHDEL >Vigun09g179500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35200124:35202154:1 gene:Vigun09g179500.v1.2 transcript:Vigun09g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKRFKNLTQNLKDKASVIAAVLSPKRHVSSVRVHVLRATTHALTAPPSEETIAAVLTVGQGSNRHPRDCIDALMDRLHQTRSATVALKCLFTLHNVVVKGSFTLKDQLSCYPSYGGHNFLNLSNFRDESDVESVELSSWVRWYAGVLEQSLTVSRVLGYYLNASRESQESKKIILSNASNADLLYKVEALVGFVEQISHVPDSLHLQRNELVYEVVRLVGEDYRSVQVEILLRVEELRERMEDLDVGELNELVGYLGRLEETQEKLVLLFVNRKRNNGFWDLLHNTKIKGITKKREIEGKWLTVVVNTGAAELARSTNPFLDPGQLSPVPRVDFATVR >Vigun01g033200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4241222:4241455:1 gene:Vigun01g033200.v1.2 transcript:Vigun01g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKINKIVLLGMICIGLVVCSGRDIEKNGKSDGNVVLLCFIDLAHCVDDHVCDQKCKSANYLAGGRCKYKTCCCRG >Vigun06g190600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30830585:30834547:-1 gene:Vigun06g190600.v1.2 transcript:Vigun06g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKPNLETPTTKAMVNTYHTSKLCSNQCGSSLVQTIDAPLPLVWSLIRRFENPQGYKLFVKKCTMVAGDGGIGSVREVMVTSGLPAGVSVERLDKLDDEKHVLKFSIIGGDHRLANYSSTITLHEEEEKYGGRRWRLSRTWWMFRREVVVKTRAALRIPS >VigunL018701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:47191:47514:1 gene:VigunL018701.v1.2 transcript:VigunL018701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFDVSMPPIGELTRVGHIQHSFDVFDREFTKRFPGIEIDRFVNEVLISTRENDQVTFDVDALYKLLNDLQLVGTIHSIGPGDDCHIIYNRRVVIVDNTGKVVVL >Vigun03g059000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4827391:4829078:1 gene:Vigun03g059000.v1.2 transcript:Vigun03g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSEQELKKMKVKKGWLAVEVEEESEEGGCGEGSHRFMIPISYLYHPLFKNLLDKAYEVYGYHTQGPLKLPCSVDDFLHLRWRIHKEATTTHHLHHHQPHHRQLSHSFSFTSC >Vigun02g146400.1.v1.2 pep primary_assembly:ASM411807v1:2:29439969:29442547:-1 gene:Vigun02g146400.v1.2 transcript:Vigun02g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELMMGYRNDTFAEEDAVREAASGLESVEKLIRLLSHAQLQQLQQQSHATNSKSSMEIESDYGAVADVAVSKFKKVISLLGRTRTGHARFRRAPVPPPPPPPPPPSEPRFYRATPLQQIPPPTEHPFIPKNGPIETKDSSKTINFSYSNSFVSSLTGDTDTKQPSSSSPAAATPFQITNLSQVSSAGKPPISTSSLKRKCSSDNLGSAKCGSSSSRCHCSKKSRKMRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHNHTLSAVEATTNLILESS >Vigun02g146400.2.v1.2 pep primary_assembly:ASM411807v1:2:29439969:29442547:-1 gene:Vigun02g146400.v1.2 transcript:Vigun02g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELMMGYRNDTFAEEDAVREAASGLESVEKLIRLLSHAQLQQLQQQSHATNSKSSMEIESDYGAVADVAVSKFKKVISLLGRTRTGHARFRRAPVPPPPPPPPPPSEPRFYRATPLQQIPPPTEHPFIPKNGPIETKDSSKTINFSYSNSFVSSLTGDTDTKQPSSSSPAAATPFQITNLSQVSSAGKPPISTSSLKRKCSSDNLGSAKCGSSSSRCHCSKKRKMRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHNHTLSAVEATTNLILESS >Vigun11g016900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2142649:2143629:-1 gene:Vigun11g016900.v1.2 transcript:Vigun11g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGLQAYEEEKLLSLPKERGWGSRHLYLHQHFWCPSTHFQGVINFQNHFQAKDSDVIVASFPKSGTTWLKALTFSILNRHRFSSSHNHPLLTSNPHELVPFLDFLFHGDNIHDKLSHLSNMTEPRVFGTHVPFPSLPKSIKESSCKIVYICRNPFDTFVSSWTFFNKIKSDSLNDVTIEEALENYCKGIIGFGPTWEHMLGYWKESIAKPNKVLFLKYEELKENVSFYVKRVAEFLDCPFTKEEESNGVIENIIKLCSFEKMKNLEVNKCGIVGRNIEKKYLFRKGEIGDWVNYFSPAMIEKLSKTMEEKLSGSGLSFKTCS >Vigun03g012600.1.v1.2 pep primary_assembly:ASM411807v1:3:848823:850464:1 gene:Vigun03g012600.v1.2 transcript:Vigun03g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSRSGRELQRYNNMGGRQVVGCIPYRYKQDVDGNISDELEVLVVSSQKGQGLMFPKGGWELDESVEEAACRESLEEAGVLGIIEHELGQWYFISKRHGIYYEGHMFPMFVKEQLDTWPEKNLRRRIWMTVGEAREVCQHWWMKEALDILVKRIRVSSEQGKKDMVLDDSLGF >Vigun01g188400.1.v1.2 pep primary_assembly:ASM411807v1:1:36775921:36782199:1 gene:Vigun01g188400.v1.2 transcript:Vigun01g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVERRKPLILCSTKHVINSTAPTTNLFDHDSSPSFFSFPVGILRFSDLTNLSVDHSSLLALSTPLLKTLSITSGSPVLVKNVDTNTQKIAVAVALDTPGTTANTDSPSSSSLFSSSSSRIMILFPSCHFPFNGSVLDDQIAYVSPLLAFNLNLHVACLKSILYHGQEALASYFGPRDKRGDEDAAKGTVDSVINVELEPLALPPKFASLLRVSFVKIPKCGILESIRASSPFESELRQDMIDLSLQKYFEVDRYLSKGDVFGISISWNCNSTICVPCNQRSLDQNDNLICFKVVGMEPSDEQFFRVNNTLTALVLVGSSPSALPPDLLIGGPEGPVPLRGDTVNILASILTPTFCPSVLSSKFRVSVLLYGLAGCGKRTVVRYVARRLGLHVVEYNCHDLMVSDRTSVALAQAFKTARRYSPAILLLRHFDVFRESQSPEGSPHDQRGNTSEVASVIRKFTEPVSEQRDSNSLGKSNVESVETSAEKASEHQVLLIAAADSSEGLPSTIRRCFSHEISMGPLTEEQRAEMLLQSLQSFSELFSNTDSEALVKEIVGQTSGYMPRDIRALIADAGANLFPRNNAKVEKDESDDVDSFFNSKMAEDTSHGKVSHQIPGKEDLLNALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVSLYSVAKKCPPNFTGADMYALCADAWFHAAKRKVLSANPESSSKDNEADSVVVQYDDFVEVLEELSPSLSIAELKKYEQLRDQFEGR >Vigun07g045800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4651541:4651654:-1 gene:Vigun07g045800.v1.2 transcript:Vigun07g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLFGIVLGLIPITLARLFVTAYLQYRRGDQLDL >Vigun05g082200.2.v1.2 pep primary_assembly:ASM411807v1:5:7753188:7757424:1 gene:Vigun05g082200.v1.2 transcript:Vigun05g082200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVERILLALQNEGAGGESFLGSIRIAVLPIVKVFTMCALGLLMASKYVDILHASGRKLLNGLVFTLLLPCLIFSQLGQAVTLQKMLDWWFIPMNVVLGSAAGSLIGFIVATIIRPPYPFFKFTIVQIGIGNIGNVPLVLLAALCRDPSNPFGDSEKCSKDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDNESLPLKSTSMGDTTPEQLPLLVREEGVSTTSQNTSKKWEIKALLAYVYEKLKLKQILQPPIIASILAMVLGAVPFFKQLIFTPDAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFRTTAAIIFARLLLVPPVGIGIVILADKLGFLPPDDKMFRFVLFLQHSMPTSILAGAVANLRGCGKDAAAVLFWVHIFAIISMAGWIILFLYILF >Vigun05g082200.1.v1.2 pep primary_assembly:ASM411807v1:5:7753188:7757424:1 gene:Vigun05g082200.v1.2 transcript:Vigun05g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVERILLALQNEGAGGESFLGSIRIAVLPIVKVFTMCALGLLMASKYVDILHASGRKLLNGLVFTLLLPCLIFSQLGQAVTLQKMLDWWFIPMNVVLGSAAGSLIGFIVATIIRPPYPFFKFTIVQIGIGNIGNVPLVLLAALCRDPSNPFGDSEKCSKDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDNESLPLKSTSMGDTTPEQLPLLVREEGVSTTSQNTSKKWEIKALLAYVYEKLKLKQILQPPIIASILAMVLGAVPFFKQLIFTPDAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFRTTAAIIFARLLLVPPVGIGIVILADKLGFLPPDDKMFRFVLFLQHSMPTSILAGAVANLRGCGKDAAAVLFWVHIFAIISMAGWIILFLYILF >VigunL059047.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000323.1:17060:17815:-1 gene:VigunL059047.v1.2 transcript:VigunL059047.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRLNTLLFYYLFHHLFLYFLFFFFNFPSFCSLYFFFFYFLLQRVLILLGLSWCHKLQLHFMSLMPQIKGTLIKIERFKMKSWPSKRKMTRVCGSNIT >Vigun07g098900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17282942:17283979:-1 gene:Vigun07g098900.v1.2 transcript:Vigun07g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNHSYNNKLGYEALPPMKALAETLQHQPQPSSSDYAFPIFVIVVLSILATVLLLLSYFTFLTKYCSNWRQVNPMRWISLLRIRHNEDPFIAFSPAMWNRGLDESIIREIPTFQFIKGEEGEDQSVYGCVVCLAEFKERDVLKVLPNCNHAFHLDCIDVWLQANSNCPLCRSSISGNTHCPLDHIIAPSSSPQDSQLLSNMGSDEDFVVIELGGEPGAMLPQVQQERNDSRGSLAHRNHSTRKVEHLKPWKCHHVSIMGDECIDIRKKDDQFLIQPIRRSFSMDSAHDRQTYLDAQVTIQRNRHQNEASASEYYNSRCRRAFFPFCYGKGSKNAVLPLENDV >Vigun11g056300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10701065:10704438:-1 gene:Vigun11g056300.v1.2 transcript:Vigun11g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGKDIAEGSSRSSSTSSATAADITDRHQQHQQQLQQQQQHPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNSNQVLDFLRYLDQFGKTKVHAQGCLFFGQVEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNLIKPNGDTSNLPLQQ >Vigun06g196400.1.v1.2 pep primary_assembly:ASM411807v1:6:31193295:31196464:-1 gene:Vigun06g196400.v1.2 transcript:Vigun06g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISKSSCKSASHRLFKDKARNHVDDLQVMFLDLQFARKESRTIDVALLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDVYRLLQLCEEEDDATSPLVAPKSEPNDQTMQAEARVIVQEGHHEQEFLLLKECKHSAVGVPNMAVNNLDGPGLEFHQFEFSKDLDHSFYAGTGFCEEGGVPHISSYLPSACPPPSAFLGPKCALWDCPRPVQGLEWCQDYCSSFHAALALNEGPPGMTPVLRPGGIGLKDNLLFAALSAKAHGKVVGIPECEGAATAKSPWNAPELFDICVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNLFEWHLYEYEISKCDACALYRLELKLVDGKKSSKTKIITGDSVADLQKHMGSLSAEFHSDSNKRSAKGSRTKLGIGGGVYSASNRPALLNAPYQYGLTAPYDFVVDNTSDYM >Vigun10g123000.1.v1.2 pep primary_assembly:ASM411807v1:10:33099370:33103445:-1 gene:Vigun10g123000.v1.2 transcript:Vigun10g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRFGQVLYQEIDWLLLSWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAELISTTVNSTFLLHLQYLTLQSSSSYFIHSCSICIVHYGFAACFFSSLLVYCIICWSDSDMNDLE >Vigun09g044900.4.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCLLVVMCVWAWLGSLTFATSDDGLMRVGLKRRNLDLQSLKDARIKDFVRPTDLGDDQKNCCDEDIIYLKNYLDAQYFGEISIGSPPQYFNVVFDTGSSNLWVPSSKCIFSIACYFHSKYRSKISSTYTEIGTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun09g044900.1.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCLLVVMCVWAWLGSLTFATSDDGLMRVGLKRRNLDLQSLKDARIKDFVRPTDLGDDQKNCCDEDIIYLKNYLDAQYFGEISIGSPPQYFNVVFDTGSSNLWVPSSKCIFSIACYFHSKYRSKISSTYTEIGTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun09g044900.3.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCLLVVMCVWAWLGSLTFATSDDGLMRVGLKRRNLDLQSLKDARIKDFVRPTDLGDDQKNCCDEDIIYLKNYLDAQYFGEISIGSPPQYFNVVFDTGSSNLWVPSSKCIFSIACYFHSKYRSKISSTYTEIGTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun09g044900.6.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLWTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun09g044900.2.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCLLVVMCVWAWLGSLTFATSDDGLMRVGLKRRNLDLQSLKDARIKDFVRPTDLGDDQKNCCDEDIIYLKNYLDAQYFGEISIGSPPQYFNVVFDTGSSNLWVPSSKCIFSIACYFHSKYRSKISSTYTEIGTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun09g044900.5.v1.2 pep primary_assembly:ASM411807v1:9:4214140:4218469:-1 gene:Vigun09g044900.v1.2 transcript:Vigun09g044900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLWTPCSIPYGQGSIYGFFSQDNVQIGDVIIKDQEFAEITREGSLALSALPFDGILGLGFLDASVGKVTPVWYNMIEQGQICHQIFSLWLNQDPTEEMGGEIVFGGIDYRHFRGEHTYVPLSQKGYWQIDVGDVLLATNSTGLCEGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKSILHNYGDSIWSSLIAGLNPDLVCSDIGFCSNNGFNTMNDVIETVVHNESWNGSPTRESPFCSFCNMIVLWIQVQIKQSNVKEKVFKYVDELCEKLPNPPGHSFINCNSISSMPHITFTIGNKSFPISPEQYVLQFEEGCSTVCYGGFIAIDVPPPQGPLWVLGNIFLGAYHTVFDYGNLRIGFAEAA >Vigun03g165800.1.v1.2 pep primary_assembly:ASM411807v1:3:19008830:19013566:-1 gene:Vigun03g165800.v1.2 transcript:Vigun03g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKLEIKRIENKSSRQITFSKRRNGLMKKARELSILCDAKVALFIFSSTGKLFDLCNGDRLE >Vigun01g127500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30521294:30522452:-1 gene:Vigun01g127500.v1.2 transcript:Vigun01g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIFFCLNLSLLITLVTATYYQSLTPTLMGFREDKFTHLHFFFHDVVTGPNPSMVIVAEPNGKAKDALPFGTVVAMDDPLTAGPEPDSKLVGKAQGIYTSISQAEMGLMMVMTMAFTDGDFNGSTISVLARNMIMSEPVREMAIVGGTGAFRFARGYAQARFHSVDFSKGDAIVEYDVFVNHY >Vigun01g178800.1.v1.2 pep primary_assembly:ASM411807v1:1:35957800:35962338:-1 gene:Vigun01g178800.v1.2 transcript:Vigun01g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKSRFKRICVFCGSSSGKKPTYQEAAVQLGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPTSLMPREITGEPIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSAPTAKELMVELEEHVPEQDEFASKLVWEERLNFVTESEVAM >Vigun01g178800.3.v1.2 pep primary_assembly:ASM411807v1:1:35957799:35961483:-1 gene:Vigun01g178800.v1.2 transcript:Vigun01g178800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPTSLMPREITGEPIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSAPTAKELMVELEEHVPEQDEFASKLVWEERLNFVTESEVAM >Vigun01g178800.2.v1.2 pep primary_assembly:ASM411807v1:1:35957799:35962338:-1 gene:Vigun01g178800.v1.2 transcript:Vigun01g178800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPTSLMPREITGEPIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSAPTAKELMVELEEHVPEQDEFASKLVWEERLNFVTESEVAM >Vigun01g178800.4.v1.2 pep primary_assembly:ASM411807v1:1:35957799:35962249:-1 gene:Vigun01g178800.v1.2 transcript:Vigun01g178800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREITGEPIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSAPTAKELMVELEEHVPEQDEFASKLVWEERLNFVTESEVAM >Vigun01g178800.5.v1.2 pep primary_assembly:ASM411807v1:1:35957799:35959994:-1 gene:Vigun01g178800.v1.2 transcript:Vigun01g178800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREITGEPIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPKARRIIVSAPTAKELMVELEEHVPEQDEFASKLVWEERLNFVTESEVAM >Vigun04g126900.1.v1.2 pep primary_assembly:ASM411807v1:4:32061149:32065495:-1 gene:Vigun04g126900.v1.2 transcript:Vigun04g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKAEEKAAADAAAWIFNVVTSVGIIIVNKALMATHGFSFATTLTGMHFATTTLMTVILKILGYVQPSHLPLSELLKFVVFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNARGFIAAFVAVWSTSMQQYYVHYLQRKYSLSSFNLLAHTAPAQAASLLFLGPFLDFWLTDTRVDKYDYKTASLIFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKENLNLQVVFGMVIAVVGMIWYGNASSKPGGKERRSHSLPTNKTET >Vigun04g126900.2.v1.2 pep primary_assembly:ASM411807v1:4:32061149:32065495:-1 gene:Vigun04g126900.v1.2 transcript:Vigun04g126900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKAEEKAAADAAAWIFNVVTSVGIIIVNKALMATHGFSFATTLTGMHFATTTLMTVILKILGYVQPSHLPLSELLKFVVFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNARGFIAAFVAVWSTSMQQYYVHYLQRKYSLSSFNLLAHTAPAQAASLLFLGPFLDFWLTDTRVDKYDYKTASLIFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKENLNLQVVFGMVIAVVGMIWYGNASSKPGGKERRSHSLPTNKTET >Vigun05g056000.1.v1.2 pep primary_assembly:ASM411807v1:5:4793174:4796139:-1 gene:Vigun05g056000.v1.2 transcript:Vigun05g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVEVENGDDNDAVLVPPPNFAMVEDCIFRSGFPTSSNLPFLQTLNLRSIIYLCPEPYPEENLEFLRSQNIRLFQFAIEGKTDISTPILKDSVMDALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVFEEYQRFAGAKSRTMDLTFIEMFDILSLSQCLYSIIYQYHGYGSKKRRLLYKDENLQKPRLTSF >Vigun05g056000.2.v1.2 pep primary_assembly:ASM411807v1:5:4793174:4796140:-1 gene:Vigun05g056000.v1.2 transcript:Vigun05g056000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLMFSVKLKYEVANWCRYLCPEPYPEENLEFLRSQNIRLFQFAIEGKTDISTPILKDSVMDALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVFEEYQRFAGAKSRTMDLTFIEMFDILSLSQCLYSIIYQYHGYGSKKRRLLYKDENLQKPRLTSF >Vigun08g221300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37981468:37985737:-1 gene:Vigun08g221300.v1.2 transcript:Vigun08g221300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTRRKPVIYCVCLFFIVNFFLQISDAFYLPGSYMHTYSTGDHIYAKVNSLTSIETELPYSYYSLPYCKPLGGIKKSAENLGELLRGDQIDNSPYLFSMNVNHSIYLCTTPPLSEVEVKLLKQRTRDLYQVNMILDNLPVMRFANQNGIKIQWTGFPVGYTAPDGSADYIINHLKFKVLVHEYEGNGVEIIGTGEEGMGVISEADKKKVSGYEIVGFQVIPCSIKRDPEVMTKLHMYDIISSSDCPAELDKYQPIKEQERVAFTYEVDFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPEGSKLLCVMVGDGVQILGMAAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTLKGTSEGWRSVSWSSACFFPGIAFIILTTLNFLLWGSKSTGAIPIYLYFELFFLWFCISVPLTLIGGFMGTKAQQIDYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKSFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Vigun08g221300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37981468:37984787:-1 gene:Vigun08g221300.v1.2 transcript:Vigun08g221300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTRRKPVIYCVCLFFIVNFFLQISDAFYLPGSYMHTYSTGDHIYAKVNSLTSIETELPYSYYSLPYCKPLGGIKKSAENLGELLRGDQIDNSPYLFSMNVNHSIYLCTTPPLSEVEVKLLKQRTRDLYQVNMILDNLPVMRFANQNGIKIQWTGFPVGYTAPDGSADYIINHLKFKVLVHEYEGNGVEIIGTGEEGMGVISEADKKKVSGYEIVGFQVIPCSIKRDPEVMTKLHMYDIISSSDCPAELDKYQPIKEQERVAFTYEVDFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPEGSKLLCVMVGDGVQILGMAAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTLKGTSEGWRSVSWSSACFFPGIAFIILTTLNFLLWGSKSTGAIPIYLYFELFFLWFCISVPLTLIGGFMGTKAQQIDYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKSFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Vigun08g221300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37981468:37984787:-1 gene:Vigun08g221300.v1.2 transcript:Vigun08g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTRRKPVIYCVCLFFIVNFFLQISDAFYLPGSYMHTYSTGDHIYAKVNSLTSIETELPYSYYSLPYCKPLGGIKKSAENLGELLRGDQIDNSPYLFSMNVNHSIYLCTTPPLSEVEVKLLKQRTRDLYQVNMILDNLPVMRFANQNGIKIQWTGFPVGYTAPDGSADYIINHLKFKVLVHEYEGNGVEIIGTGEEGMGVISEADKKKVSGYEIVGFQVIPCSIKRDPEVMTKLHMYDIISSSDCPAELDKYQPIKEQERVAFTYEVDFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPEGSKLLCVMVGDGVQILGMAAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTLKGTSEGWRSVSWSSACFFPGIAFIILTTLNFLLWGSKSTGAIPIYLYFELFFLWFCISVPLTLIGGFMGTKAQQIDYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKSFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Vigun08g140900.2.v1.2 pep primary_assembly:ASM411807v1:8:31315633:31322857:1 gene:Vigun08g140900.v1.2 transcript:Vigun08g140900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKLSALQPAVAGLKEISENEKSGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDTLAPTPEDASEVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNSKYGSNVPLVLMNSFNTHEDTQKIIEKYQNSKIEIHTFNQSQYPRLVAEDFLPLPSKGRTDKDGWYPPGHGDVFPALYNSGKLDALLSQGKEYLFVANSDNLGAIVDLQILHHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVSEFKSIEKFKIFNTNNLWVNLNAAKRLVEADALKMEIIPNPKEVDGIKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLENGFVIRNKARTNPENPSIELGPEFKKVSNFMGRFKSIPSIVELDSLKVAGDVWFGAGVVLKGKVSIVAKPDVKLEIPDSAVIADKEINGPEDL >Vigun08g140900.1.v1.2 pep primary_assembly:ASM411807v1:8:31315633:31322857:1 gene:Vigun08g140900.v1.2 transcript:Vigun08g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEKLSALQPAVAGLKEISENEKSGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDTLAPTPEDASEVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNSKYGSNVPLVLMNSFNTHEDTQKIIEKYQNSKIEIHTFNQSQYPRLVAEDFLPLPSKGRTDKDGWYPPGHGDVFPALYNSGKLDALLSQGKEYLFVANSDNLGAIVDLQILHHLIQNKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVSEFKSIEKFKIFNTNNLWVNLNAAKRLVEADALKMEIIPNPKEVDGIKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLENGFVIRNKARTNPENPSIELGPEFKKSPSQVSNFMGRFKSIPSIVELDSLKVAGDVWFGAGVVLKGKVSIVAKPDVKLEIPDSAVIADKEINGPEDL >Vigun09g067200.2.v1.2 pep primary_assembly:ASM411807v1:9:7102577:7117548:1 gene:Vigun09g067200.v1.2 transcript:Vigun09g067200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPRLGQFYDFFSFSHLTPPFQYIRKSNRPFLEEKTEDDFFQIDVRVCSGKPTTIVASRIGFYPAGKHPLVSHTLVGLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVSDNPSIFPPLPMEDETWGGNGGGQGRSVSHENRQWARDFAILAAMPCQTAEERQIRDRKAFLLHSLFVDVSVFKAVSVIKNLVDIKQNSSLPTSYEERVGDLTIKVTRDVSDASLKLDCKNDGNRVLGLSEEELAQRNLLKGITADESATVHDTPTLGAVLIKHCGYTAVVKVSADRDLEGSPTSSEIDIEEQPEGGANALNVNSLRMILHRPSTLQSSNAIQRIQGTDIEYSRSTQSLVRKVLEESLQNLKEETTRPRKSIRWELGACWVQHLQNQATGKSEPKKAEEAKIEPAVKGLGKQGGLLKELKKKIDNKNSKVELGKDISPSNNGNDINKQEATKQELERQGEEKETIWRKLLSDAAFTRLKESKTDLHLKSPDELMDMAHKYYVDTALPKLVADFASLELSPVDGRTLTDFMHTRGLQMSSLGQVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNVSELASSIASCLNILLGTPTSETSDEDIITSYELKWKWVENFLLKRFGWQWKDENGQDLRKFAILRGLCHKVGLELVPRDYDIDSSCPFRKTDIVSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADQKAREAQKKARAKLKGKPGQNWETASDENQKDEDMSKGYSFTETTSDKENKSEAQIKDNGIDKAESIHIDQTMPNESNNKLAQDDSSDEGWQEAVPKSRSLTGRKSSSSRRPTLAKLNTNFMNVSQSRYRAKPNNFSSPRTNSNETIVGPSPSVPKKFVKSASFSPKLNSGNAPDGGAVIDSKSAPATPAPSDQIAKPAPSSTGVSVQSAGKLYSYKEVALAPPGTIVKAVAEQSPKGNPIQQNSDISAVIVAIKETQNIVATNDVNDFGQKSIDEKIQIPGHEEKTEKETTVVDGNTETVNSKADDEVALKIQEASVVSVIEKKSEVGNITVVEIENSGRLDNIDKSASKGESEVLLQESCEPTSDNSNPLTILVEDEKQLLDNDSYLLADTGNEGNEKHESSSNAVCKSLPLEGEKQETETGKEPTKKLSAAAPPFNPSTIPVFGSVPVPGFKDHGGILPPPVNIAPLLPVSPRRTPHQSATARVPYGPRISGGYNRYGNRVPRNKTVFISGEPSPDGNPNSPPRIMNPHATEFVPGQHWVSNGYVVSPNGYITSPNAIPGSPNNFPPVSPNGMPVSPSGYPATLNGIQVNQNGSVPSPTISTDSSQVACVETDIENKSQTLDEESKNSIPTDVSSEKKHVEQNPQELSASSENSTPKVEEKQADLSPPSDFSNEDTVIKKDAVDQKKQSKCWGDYSDSEADIIEVTS >Vigun09g067200.1.v1.2 pep primary_assembly:ASM411807v1:9:7100071:7117548:1 gene:Vigun09g067200.v1.2 transcript:Vigun09g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKTKPHKAKGDKKKREEKVLPTVIEITVETPDESQVTLKGISTDRILDVRKLLAVHVETCSLTNFSLSHEVRGARLKDTVEIVSLKPCHLTIVQEDYTEELAVAHIRRLLDIVACTTSFASATKPPACKSKDPTEPGSENGSETSPRLKPVDPNSDSGNAKADKVDGDISMCPPPRLGQFYDFFSFSHLTPPFQYIRKSNRPFLEEKTEDDFFQIDVRVCSGKPTTIVASRIGFYPAGKHPLVSHTLVGLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVSDNPSIFPPLPMEDETWGGNGGGQGRSVSHENRQWARDFAILAAMPCQTAEERQIRDRKAFLLHSLFVDVSVFKAVSVIKNLVDIKQNSSLPTSYEERVGDLTIKVTRDVSDASLKLDCKNDGNRVLGLSEEELAQRNLLKGITADESATVHDTPTLGAVLIKHCGYTAVVKVSADRDLEGSPTSSEIDIEEQPEGGANALNVNSLRMILHRPSTLQSSNAIQRIQGTDIEYSRSTQSLVRKVLEESLQNLKEETTRPRKSIRWELGACWVQHLQNQATGKSEPKKAEEAKIEPAVKGLGKQGGLLKELKKKIDNKNSKVELGKDISPSNNGNDINKQEATKQELERQGEEKETIWRKLLSDAAFTRLKESKTDLHLKSPDELMDMAHKYYVDTALPKLVADFASLELSPVDGRTLTDFMHTRGLQMSSLGQVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNVSELASSIASCLNILLGTPTSETSDEDIITSYELKWKWVENFLLKRFGWQWKDENGQDLRKFAILRGLCHKVGLELVPRDYDIDSSCPFRKTDIVSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADQKAREAQKKARAKLKGKPGQNWETASDENQKDEDMSKGYSFTETTSDKENKSEAQIKDNGIDKAESIHIDQTMPNESNNKLAQDDSSDEGWQEAVPKSRSLTGRKSSSSRRPTLAKLNTNFMNVSQSRYRAKPNNFSSPRTNSNETIVGPSPSVPKKFVKSASFSPKLNSGNAPDGGAVIDSKSAPATPAPSDQIAKPAPSSTGVSVQSAGKLYSYKEVALAPPGTIVKAVAEQSPKGNPIQQNSDISAVIVAIKETQNIVATNDVNDFGQKSIDEKIQIPGHEEKTEKETTVVDGNTETVNSKADDEVALKIQEASVVSVIEKKSEVGNITVVEIENSGRLDNIDKSASKGESEVLLQESCEPTSDNSNPLTILVEDEKQLLDNDSYLLADTGNEGNEKHESSSNAVCKSLPLEGEKQETETGKEPTKKLSAAAPPFNPSTIPVFGSVPVPGFKDHGGILPPPVNIAPLLPVSPRRTPHQSATARVPYGPRISGGYNRYGNRVPRNKTVFISGEPSPDGNPNSPPRIMNPHATEFVPGQHWVSNGYVVSPNGYITSPNAIPGSPNNFPPVSPNGMPVSPSGYPATLNGIQVNQNGSVPSPTISTDSSQVACVETDIENKSQTLDEESKNSIPTDVSSEKKHVEQNPQELSASSENSTPKVEEKQADLSPPSDFSNEDTVIKKDAVDQKKQSKCWGDYSDSEADIIEVTS >Vigun09g067200.3.v1.2 pep primary_assembly:ASM411807v1:9:7100262:7117548:1 gene:Vigun09g067200.v1.2 transcript:Vigun09g067200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKTKPHKAKGDKKKREEKVLPTVIEITVETPDESQVTLKGISTDRILDVRKLLAVHVETCSLTNFSLSHEVRGARLKDTVEIVSLKPCHLTIVQEDYTEELAVAHIRRLLDIVACTTSFASATKPPACKSKDPTEPGSENGSETSPRLKPVDPNSDSGNAKADKVDGDISMCPPPRLGQFYDFFSFSHLTPPFQYIRKSNRPFLEEKTEDDFFQIDVRVCSGKPTTIVASRIGFYPAGKHPLVSHTLVGLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVSDNPSIFPPLPMEDETWGGNGGGQGRSVSHENRQWARDFAILAAMPCQTAEERQIRDRKAFLLHSLFVDVSVFKAVSVIKNLVDIKQNSSLPTSYEERVGDLTIKVTRDVSDASLKLDCKNDGNRVLGLSEEELAQRNLLKGITADESATVHDTPTLGAVLIKHCGYTAVVKVSADRDLEGSPTSSEIDIEEQPEGGANALNVNSLRMILHRPSTLQSSNAIQRIQGTDIEYSRSTQSLVRKVLEESLQNLKEETTRPRKSIRWELGACWVQHLQNQATGKSEPKKAEEAKIEPAVKGLGKQGGLLKELKKKIDNKNSKVELGKDISPSNNGNDINKQEATKQELERQGEEKETIWRKLLSDAAFTRLKESKTDLHLKSPDELMDMAHKYYVDTALPKLVADFASLELSPVDGRTLTDFMHTRGLQMSSLGQVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNVSELASSIASCLNILLGTPTSETSDEDIITSYELKWKWVENFLLKRFGWQWKDENGQDLRKFAILRGLCHKVGLELVPRDYDIDSSCPFRKTDIVSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADQKAREAQKKARAKLKGKPGQNWETASDENQKDEDMSKGYSFTETTSDKENKSEAQIKDNGIDKAESIHIDQTMPNESNNKLAQDDSSDEGWQEAVPKSRSLTGRKSSSSRRPTLAKLNTNFMNVSQSRYRAKPNNFSSPRTNSNETIVGPSPSVPKKFVKSASFSPKLNSGNAPDGGAVIDSKSAPATPAPSDQIAKPAPSSTGVSVQSAGKLYSYKEVALAPPGTIVKAVAEQSPKGNPIQQNSDISAVIVAIKETQNIVATNDVNDFGQKSIDEKIQIPGHEEKTEKETTVVDGNTETVNSKADDEVALKIQEASVVSVIEKKSEVGNITVVEIENSGRLDNIDKSASKGESEIRVTREMRNMNLVAMQSVSHYH >Vigun01g049100.1.v1.2 pep primary_assembly:ASM411807v1:1:7557681:7560825:-1 gene:Vigun01g049100.v1.2 transcript:Vigun01g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGNGQLTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYHTNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDEDDADVQEDGWVVCRVFKKKNQNRGFQQDIEEEENLTHMRTSGPCHVLEPKHHMQGGLYDYNFDGTMQLPQLFSPESAVAPTTTCLATSMNAMDILECSQNLLRLTTNGCGLNLMQQQGERFSGDWSFLDKLLASHHGMDHHQHHPQSKCNPPTHHAATSVGTSAQKFPFHYLGCDTHDIMKFSK >Vigun03g251400.2.v1.2 pep primary_assembly:ASM411807v1:3:41759934:41762988:1 gene:Vigun03g251400.v1.2 transcript:Vigun03g251400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPYSCLATGLKHDVFISFRGPDVRNGLLSHLNKELCRRKIDVYVDKRIERGDEISSALLRAIEGSQILLVIFSEDYASSPWCLEELAKMVECNEKNKQILLPVFYNVDPSDVRSKRGNYAVALAKHEERFKENMLMFKENMLMVQSWRSALEKASHVAGFHYPKNFDDESDLVDEIVERISGTLTNFSPSGSNGLVGIDQNIAQIQSLLLKESSEVRFVGIWGMGGIGKTTIARTIFDKYSPEYEGCCFLNVKEEVERHGLSNLQEKLISELMEGEGLYTSGTNKATILNSVERRMIRKKVLIVLDDVNTPKQLKYLIGKPICFGPGSRVLVTSRDMRVLTTGGVNRIHEVKEMDPQDSLKLFCLNAFNENQPRKGYKELSEEVVKIAQGNPLALKVLGSDFHSRGIDTWECALSKIKKYPNEEIQSVLRFSYDGLDEVEKKAFLDIAFFFKDDDKDYVIKQLDAWGFHGASGIEALQQKALITISDNRIQIHDLIREMGCEIVRQESTKYPRKRSRLRDPMEVSDVLKQNLRTDKVEGMQIDVSGIKDFPLRLGTFKKMPCLRFLKFYLPLHAELSLLQSHDGPIWCPEKQDELLLSAGCKELMRVASEIHIKCLHYLVIEDCSDPSRLNELTSTEMKMLQNTAQDAGVEIILNSSIGHLSSLECSDVVDQQFRNLPNELLYVRYTYYLKISKGKRPDSGKPKLNILFDSLRYYQRVSMDELYNTVVVFPRSSRGEMWVKGAKKEVKGFVVATFYFHLYTVLFFLSPSSFSITLLIQTVICSFILFFCILLSFFSRSRYLGQSFDNC >Vigun03g251400.1.v1.2 pep primary_assembly:ASM411807v1:3:41759933:41762988:1 gene:Vigun03g251400.v1.2 transcript:Vigun03g251400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPYSCLATGLKHDVFISFRGPDVRNGLLSHLNKELCRRKIDVYVDKRIERGDEISSALLRAIEGSQILLVIFSEDYASSPWCLEELAKMVECNEKNKQILLPVFYNVDPSDVRSKRGNYAVALAKHEERFKENMLMFKENMLMVQSWRSALEKASHVAGFHYPKNFDDESDLVDEIVERISGTLTNFSPSGSNGLVGIDQNIAQIQSLLLKESSEVRFVGIWGMGGIGKTTIARTIFDKYSPEYEGCCFLNVKEEVERHGLSNLQEKLISELMEGEGLYTSGTNKATILNSVERRMIRKKVLIVLDDVNTPKQLKYLIGKPICFGPGSRVLVTSRDMRVLTTGGVNRIHEVKEMDPQDSLKLFCLNAFNENQPRKGYKELSEEVVKIAQGNPLALKVLGSDFHSRGIDTWECALSKIKKYPNEEIQSVLRFSYDGLDEVEKKAFLDIAFFFKDDDKDYVIKQLDAWGFHGASGIEALQQKALITISDNRIQIHDLIREMGCEIVRQESTKYPRKRSRLRDPMEVSDVLKQNLRTDKVEGMQIDVSGIKDFPLRLGTFKKMPCLRFLKFYLPLHAELSLLQSHDGPIWCPEKQDELLLSAGCKELMRVASEIHIKCLHYLVIEDCSDPSRLNELTSTEMKMLQNTAQDAGVEIILNSSIGHLSSLECSDVVDQQFRNLPNELLYVRYTYYLKISKGKRPDSGKPKLNILFDSLRYYQRVSMDELYNTVVVFPRSSRGEMWVKGAKKEVKGFVVATFYFHLYTVLFFLSPSSFSITLLIQTVICSFILFFCILLSFFSRSRYLGQSFDNC >Vigun07g168900.1.v1.2 pep primary_assembly:ASM411807v1:7:28282788:28289503:-1 gene:Vigun07g168900.v1.2 transcript:Vigun07g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRESFGVVVGDEAPESFHVAPRIIENNLDFSRAVVPAPSTEGKKKRGRPRKYGPDGKVALGAVTALSPMPISSSIPLTGEFSAWKRGRGRPVESIKKSSFKFEVESPGQGDGIAYSVGANFTPHVLTVNSGEDVTMKIMSFSQQGSRAICILSATGTISNVTLRQPSSCGGTLTYEGRFEILSLSGSFMPTENGITRSRSGGMSVSLAGPDGRVMGGGLAGLLVAAGPVQVVVGSFLPGHQLEHKNKKQRVEHVHISTVNPSPVNIISSEELKVSFGGVKPIMTPAAFQEENIVSFNNGQDSRNSSPDDKDPLPDKESNLSQSNAEAAAC >Vigun03g149600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15480292:15481134:-1 gene:Vigun03g149600.v1.2 transcript:Vigun03g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSGGSIVTRSVWAFNLEEEFVLIRSFIASNPLTLASIDTEFPGVLFHSNSDHRQPQDNYAVMKANVECLHLIQVGLTLSDSDGNLPNFESSHQFIWEFNFREFDLSRDPHACESIALLKRQGIDFERNQRFGVDIGRFVELLMYSGLLCNSKIEWITFHGASDFAYLVKALTYKFYPTQPLLPVNLSHFLRCVKYFFRGTIYDVKHMIKFCPDLYGGLDRVCDSLHLDRAVGKSHQAGSDSLLTLHAFNKIKNIYFSEHSHSHILQYTDVLYGLELF >Vigun09g272800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43414288:43415053:1 gene:Vigun09g272800.v1.2 transcript:Vigun09g272800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRSRASSVLNGFTLNPVPYPVLHILSLILLFLAISSYFSYQEVLEAVQQQLGWMLLATPLLLILMLRCLSSLHTSQCFFFNSSPWDRTTTTHHFQSEGTSPWGVAAFILLVLLLLHYHSTFLDSWFV >Vigun10g145700.2.v1.2 pep primary_assembly:ASM411807v1:10:36359109:36363459:-1 gene:Vigun10g145700.v1.2 transcript:Vigun10g145700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQNATSSAGEMQPEKRIRRSKTICSCNSPRPPFLSAHSTFSWFEEDIWTEIAKFLDGKSLVMLAATSRWFQRVIMEDGIWRFVCLRDLQVPPPERVAFRWCKLYTSAFDGSHSYMFRQKEKHIDWMRIGAFSFDSSVAVLAERLSFPGKIRKGESIEKLLRSQGCCVLDNVKSGVWIADLQLVRCPVCDLNTCDGNLNTIRRALHIPNPFVLQLASNLDKTNNAPVNLHH >Vigun10g145700.1.v1.2 pep primary_assembly:ASM411807v1:10:36359109:36363459:-1 gene:Vigun10g145700.v1.2 transcript:Vigun10g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQNATSSAGEMQPEKRIRRSKTICSCNSPRPPFLSAHSTFSWFEEDIWTEIAKFLDGKSLVMLAATSRWFQRVIMEDGIWRFVCLRDLQVPPPERVAFRWCKLYTSAFDGSHSYMFRQKEKHIDWMRIGAFSFDSSVAVLAERLSFPGKIRKGESIEKLLRSQGCCVLDNVKSGVWIADLQLVRCPVCDLNTCDGTMQTLDARHIELFLCEGYRSGRWDYQLVGSHDIKKRADGAAGSIFDIKHLGDSSTSAVFDYKSWIGRANDWQPKAMIAFHAVAVNTNLQENEGLHVKYHAMREGTNGEVVSIRISQQLL >Vigun07g113800.1.v1.2 pep primary_assembly:ASM411807v1:7:21054974:21059835:-1 gene:Vigun07g113800.v1.2 transcript:Vigun07g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERYETSLLLLCLFSFSALVLASSPCPLTGLPLVRNISEIPQDNYGRAGLSHMTVAGSLLHGLKEVEVWLQTFTPGTYTPIHRHSCEEVFVVLKGSGTLYLASDSHGKYPGKPQEHFIFANSTFHIPVNDVHQVWNTNEHEDLQVLVIISRPPVKLFTYEDWSMPHTAAQMKFPYYWDEQCYKKESPKDEL >Vigun04g152750.1.v1.2 pep primary_assembly:ASM411807v1:4:36969150:36973941:-1 gene:Vigun04g152750.v1.2 transcript:Vigun04g152750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGESSLYFPTGDDNSDDLLRLLEALDSQGWPLLSPMKLQLEHCDKCSQVFCSTLNYRRHIRVHHRLQKLDKVQDFTKSRDLVGAYWDKLSAEEAKEVVSLENVLLEEVPAASILKSLTTLIQNQRLYSFPPHYMMSGAVLLGIAQSRPSSFPISSQLLFGFLDDASEKTWLCGTTESVKKYVFDGDAGKICLELKNLVACTGFLIEQKLVKAWLTDQDAEALRCQEQLVEEEKAAQKRQAEILGKKRQKKLRQKEQKARERREKAEAEIKGYIDRGVKALSLTEASSDTHNLEAHNPNAFSDNVASPVPPQYIDTNEEIKVDTPSEYDTIPDQNLESKSAEPNVLHTDHISPLPKVEVNQKAEAKHDNKVLSPKSEEKTDKGVLKTTQEKQADQLKSQEILIGSIPVNIDTCKQSEGNTVASQKDSMVENVGKPMETEDEVPIQSDETEGDAVHRNVPSLSTGRTQGRMDSRSLQSFFQDARAFLDRRWGETISSEHVILNISSDSEESSSSQETQD >Vigun03g002100.1.v1.2 pep primary_assembly:ASM411807v1:3:157324:160862:1 gene:Vigun03g002100.v1.2 transcript:Vigun03g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHKHTLVVVAATLALLLAAADADLAAERAALLALRSAVGGRTLFWNATRDSPCNWAGVQCERDHVVELHLPGVALSGQIPVGIFGNLTQLRTLSLRFNALRGSLPSDLAACVNLRNLYIQRNLLSGAIPSFLFELPDLVRLNMGFNNFSGPFPTGFNSLTRLKTLFVENNQLSGPIPDLSKLSLDQFNVSNNLLNGSVPVKLQTFPQDSFLGNSLCGRPLSLCPGDIADPISVDNNPKPNSHTKHKLSAGAIAGIVVGSVVFLLLLVFLFIFLCRSKSAKKTSAVDIATVKHPEADAPVLAEKGIPDVENGGHANGNSAAAVAAVAAGNKAEANGAGAAKKLVFFGNAARAFDLEDLLRASAEVLGKGTFGTAYKAVLEAGPVVAVKRLKDVTISEKEFKEKIEAVGAMDHESLVPLRAFYFSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWEVRSGIALGAARGIEYLHSRGPNVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPSMSEVVRSIEELRRSSLKEDQDQIQHDSVNDIEL >Vigun09g044700.1.v1.2 pep primary_assembly:ASM411807v1:9:4189353:4191046:1 gene:Vigun09g044700.v1.2 transcript:Vigun09g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVMEEVNVVQTKGGTVSVASAFAGHQEAIQSRDYKFLRIAVEEAYKGVECEDGGPFGAIIVRNDEVVASCHNMVLRNTDPTAHAEVTAIRKACEKLNQIELSDCEIYASCEPCPMCFGAIHLSRVKRLVYGAKAEAAIAIGFDDFISDALRGTGFYQKAQLEIKRADGKEANIAEEVFQKTKEKFRMY >Vigun09g044700.2.v1.2 pep primary_assembly:ASM411807v1:9:4189353:4191046:1 gene:Vigun09g044700.v1.2 transcript:Vigun09g044700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVMEEVNVVQTKGGTVSVASAFAGHQEAIQSRDYKFLRIAVEEAYKGVECEDGGPFGAIIVRNDEVVASCHNMVLRNTDPTAHAEVTAIRKACEKLNQIELSDCEIYASCEPCPMCFGAIHLSRVKVRNALFFLSFSNALFLSLILQ >Vigun09g044700.3.v1.2 pep primary_assembly:ASM411807v1:9:4189353:4191046:1 gene:Vigun09g044700.v1.2 transcript:Vigun09g044700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVMEEVNVVQTKGGTVSVASAFAGHQEAIQSRDYKFLRIAVEEAYKGVECEDGGPFGAIIVRNDEVVASCHNMVLRNTDPTAHAEVTAIRKACEKLNQIELSDCEIYASCEPCPMCFGAIHLSRVKK >Vigun02g027125.1.v1.2 pep primary_assembly:ASM411807v1:2:9361224:9367464:-1 gene:Vigun02g027125.v1.2 transcript:Vigun02g027125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFITIRLSAGICQRAYLIQQRKVINLRCTLTVKKPLDVMEGQSEGESVTKEATTEANRDDDATETGKRRQRDRDEAKKRTPTETARLRLRDRDGAKEIARRRRREGDYATETPRGRLRDGDAATETAQRDGATKTTQRDGDGRDDATTRRRRRSATEMGATARRRQRSTTEMGATTRRRGDGDGRDDARRRRSATELRHGLGFRLIKLGFRLGEVTAL >Vigun02g054800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19780689:19780934:1 gene:Vigun02g054800.v1.2 transcript:Vigun02g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIIYAASAIVAGLVVGLASIGPGVGQGTAAGQAVEGIARQLEAEGKIQGTLLLSLAFMEALTIYELVVALALLFANPFV >Vigun07g080800.1.v1.2 pep primary_assembly:ASM411807v1:7:11403912:11405358:-1 gene:Vigun07g080800.v1.2 transcript:Vigun07g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMEIKSTLTTYVLLFILLLALSPFSTGESEALHSEIYEIDYRGPETHSSIIPPPHHFHVGKPHSTTPHKGSHRGTKALRDYGYPQNKVKKVHG >Vigun07g056800.1.v1.2 pep primary_assembly:ASM411807v1:7:6243762:6246665:-1 gene:Vigun07g056800.v1.2 transcript:Vigun07g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERNSTLNYIPELACYNTTFDLNNKIWRSDNVISERVPLLSIQIAYNILASSFFHHILKQFHLPLTVAQMLGGVLLSSSFLGRIPGVFQMIYRPEGIMTVETFANVGIMYYVFLTGLEMNSDTILRSSKQAVIIAVASILIPTLIGAGFLTLQHDIAGGSSLTLTVKGYMFWCAILSVTSFPVLARLLSDLKILYTRLGKDALTAAMLIDAFGWVLFALFIPYSHEGGKPLLSVICTLLFIVFCFCVVRPILARVVEHRMRSESWNSSKLLDVTMGLFVCAYITDLLGAHHVVGAFVYGLILPSGKFADLLLEMLDDFVTAIIVPVYFASFGFRLNLESLWSENHTVLLPLFMVFLLAIPKILSSLLVSVYYGMSSRDGVGLGLLLNTKGIMAVILISIAWDKNILDPYAFTIMILAVLFMTTVVSPFINAIYKPKLRFKQTQQRTVQKLWSEAELRVAACVHNSHQALGMIYVLEATNATRTSPLHVSVLHLVELTRRGTGLLVAQIDNSNVQAGSSEGQYGSQEEFETICNALNEFGEEYKAVRFDTSSIVATYDTIHQDIYNVTEEKRANLILLPFHKQLSSGELETTNTAFCDINKNVLQAPPCSVGILVNRGLKSLSTLTMSIIVIFIGGPDDREALSIAWRMASHSATKLHVIRLLVLGTEVEEGKAFQNDSSEVLSTEMDNVMQKKLDDEHIFQFRHKGLHNNDSIAYSEMELRLETGEETSLILNEVDKKGCDLYILGQGSGKNYTVFQRLLEWCDSPELGVMGDILASTSFGSNSSLLVVQQYNMERKSKLRCRSKYYTNNISDEIL >Vigun05g198700.1.v1.2 pep primary_assembly:ASM411807v1:5:38440117:38445382:-1 gene:Vigun05g198700.v1.2 transcript:Vigun05g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKRNGVVSMDTSDAPVSEQPQAMDTSESGVQNAVSGAINMKMKQKGRPMKRSKNVRKMKAIAKAVSANEKSIEKVSKNENKRSRVKSAKSLYE >Vigun02g066900.1.v1.2 pep primary_assembly:ASM411807v1:2:21677700:21679545:1 gene:Vigun02g066900.v1.2 transcript:Vigun02g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSSSSNTKPEKHEVFISFKSEDTRKTFTSHLNAALKRRDIETYVECKVERGEEIPLTHVKAIEESTLSLIVFSKNYADSRCLDELVKIVECGKRKKQIVLPVFYDIDPSDVRNQRGTFAEAFTKHERHFEVKKVREWRNALVEAANFSGWDCNDVNRTEFEMVEEIANDVLQKLNRANVSDLDAQIAKLEQLAKLQGEFYQKIISVENLQNQRATVQRVIELKMERNMRLLRLTPDLLSHMQRSNSDDSYGGVFGNTFF >Vigun01g029800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3676792:3679359:1 gene:Vigun01g029800.v1.2 transcript:Vigun01g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPFFNRSNTIHSEHSQKPYLPQSQQSLDRSGSLNRFYGSSEPAKTSIRGKMVKKLCTLFESSSKKAPESESQSESKARWDSCTTTTTTTTPFRLSGTEERIVVYLTSLRGVRRTFEDCNAVRMILKGFRVWVDERDVSMDIAYREELQRALGERHVSLPQVFVRGKYVGGAEVIKHLFETGELAKIILEGFPRLKPGFVCELCGDARFVPCENCSGSRKVFDEDEGSLKRCLECNENGLLRCPYCCS >Vigun06g117400.1.v1.2 pep primary_assembly:ASM411807v1:6:24520378:24524308:-1 gene:Vigun06g117400.v1.2 transcript:Vigun06g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLFLVFFLLLVMVLSVFAIEVEYSHCNCDEEGIWSIHNVLVCQKVSDFFIAIAYFSIPLELLYFVSRSNVPFKLVFLQFIAFIVLCGLTHLLNAYTYYGPHSFRLFLSLTVAKFLTALVSCATAISFPTLIPLLLKIKVRELFLRQNVLELGQEVGIMKKQEEASWHVRMLTCEIRKSLDKHTILYTTLVELSKTLDLHNCAVWMPDDDRREMLLTHELKPNSASSFHSSIPISDPDVLDVKNSKGVWILRPDSALGAASSGGGSGDSGAVAAIRMPILHVSNFKGGTPEMVETSYAILVLVLPNSNSRAWTSHEMEIVEVVADQVAVALSHASVLEESQLMSQKLAEQNRALQQAQKNAMMARKARSSFEKVMSHGMRRPMHSILGLLSMFQEDSIRPEQKIVIDSIFKVSNALSRLINDVMEISTNDNGNFRLEMKPFSLHSMMREVTCTTKCLCIYKGFGLEVDVDKSLPDLVAGDEARSFQVILHMIGYLLNVCDKGTLVFQVYLESGSGDKDDRSFAIRRSNMQNDYVPIKFNFRINSISSESDESFSTTNYSGRRHHNNEPKEGLSFSMCKTIVQMMQGNIWMSTNSLGVTQGMTLLLRFPTGSYHGRFILAPKDVSNSQFRGLKVVLADDDDVNRTVTKKLLEKLGCQVTAVSSGFECLGAVSASGNSIKIVLLDLHMPEMDGFEVTRRIRKFQSRNWPLIVAVTASAEEHIKERCLQVGMNGLIQKPILLHEIADELRTVLQRAGEKL >Vigun05g211900.1.v1.2 pep primary_assembly:ASM411807v1:5:40269173:40273570:1 gene:Vigun05g211900.v1.2 transcript:Vigun05g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKNMKIPNVPSGGAASALLKLGVIGGIGLYAAANSLYNVEGGHRAIVFNRLVGVKDEVYPEGTHFVIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPEQLPTVYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQREVVSREIRKILTERAANFNIVLDDVSITALTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKKSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAHTISNAANKVFLNSDDLLLNLQEMSLEPSKK >Vigun11g144500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35364089:35365212:1 gene:Vigun11g144500.v1.2 transcript:Vigun11g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQCVLSFSVALLVSFLYSTTTLAQLSPASAPLKPSQPTPTPPAEAPKQPLVPSLPQSPSDSTPDTSAVDIVGILRQAKSFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDSSFSELKPGFLNSLSDGQKLELLQFHVLSEYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVGKVLLPMDFFTVAKAPAKAPSLAPEPSTDASKAPKADKDDSSSSDSSHVNPTEQNSGTSKISVYGKLVQLGLGLALVATTM >Vigun10g161100.1.v1.2 pep primary_assembly:ASM411807v1:10:37996168:37999680:1 gene:Vigun10g161100.v1.2 transcript:Vigun10g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIPGVLLKLLQSMDSNVKVNGEYRSVLLQVISIVPAITGSELWPNQGFFLKVSDSSHSTYVSLSKEDSELILSNKLQLGQFFYVDRIEAGTPLPILVDVTPVPGRHPFIGNPKDLMQMLEPSEGPVQSDNHPRINRSKSMNSTTAKESRSPWQKIVIKEEKSAVASRYMRGVRSSTSNINVHDASEERKGNDFQNDVDSSKKVGSAKVKLQKLQALSVNTTRTLLLETSSPRQRIAQSNIQEIAMSPSKRISTKHNSTKVETTNLNILPSSEDKSWSTEAIPWSSLPDKLLSPGKELLRRKHLASMVAVEAQKEVTASAVLAKLLSMFANICTSAASENPHVTLNKFFSFQELINQSNGTSTVPHTDKLLNLYKVSSLTETEKNDKKSVLVPGKISSKSPKYSPDLSDTEKQEWATVNGLKEINELREVLVIETKSWFLKYLEKTLDVWFSISSQEKRGKTGKDTAGKKMNHANHIALTLSLLKQANEWLEKLRNTSNMENEALLETVDRLKQKVYSCLLLHVDSAAFALENRA >Vigun03g193450.1.v1.2 pep primary_assembly:ASM411807v1:3:26962321:26964144:1 gene:Vigun03g193450.v1.2 transcript:Vigun03g193450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKRKKFRAMRRSYNVIPQESYRQYQQGVLHI >Vigun07g255200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37330792:37334897:1 gene:Vigun07g255200.v1.2 transcript:Vigun07g255200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSHELVVPTTQKFKPIVAVLHSRCSCSIAEPTNLADLLQGNLPYSYLHQIHTRIFQLGAHQDNLVATRLIGHYPSRIALRVFHHLQNPNIFPFNAIIRVLAQEGNFFHAFSLFNDLKRHPLSPNDFTFSFLLKACFRSKDLRHVEQIHVHIQKMGFLSDSSVCNGLVSVYAKGYNHLPSARKVFDEIPDKRVVSCWTSLISGFARSGQSEEALRLFHVMISQNLLPQSDTMVSVLSACSSLEVPKIEKWINILSELIGDGVSTRETSCDSVNTALVYLFGKWGKIEKSREGFDRISAIGKRSVVPWNAMISTYVQNGYPVEGLSLFRMMVEELPTTPNHITMVSVLSACAQIGDLSFGSWVHDHLISLGHKDTIASNKILATSLIDMYSKCGNLDKAKEVFEHTVSKDVVLFNAMIMGLAVYGEAEDALRLFYRMPEFGLQPNAGTFLGALSACSHSGLLASGRRIFREVSLSPSLTLEHYACYIDLLARVGCIKEAMKVVSSMPFKPNNFVWGALLGGCLLHSRVELAQEVSRRLVEVDPDNSAGYVMLANALASENQWSDVSELRLEMKEKGIKKQPGSSWIVVDGVVHEFLVGYLSHPKIESIYHTLGGLVKHMKVASHCQLVCCC >Vigun07g255200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37330876:37334802:1 gene:Vigun07g255200.v1.2 transcript:Vigun07g255200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSHELVVPTTQKFKPIVAVLHSRCSCSIAEPTNLADLLQGNLPYSYLHQIHTRIFQLGAHQDNLVATRLIGHYPSRIALRVFHHLQNPNIFPFNAIIRVLAQEGNFFHAFSLFNDLKRHPLSPNDFTFSFLLKACFRSKDLRHVEQIHVHIQKMGFLSDSSVCNGLVSVYAKGYNHLPSARKVFDEIPDKRVVSCWTSLISGFARSGQSEEALRLFHVMISQNLLPQSDTMVSVLSACSSLEVPKIEKWINILSELIGDGVSTRETSCDSVNTALVYLFGKWGKIEKSREGFDRISAIGKRSVVPWNAMISTYVQNGYPVEGLSLFRMMVEELPTTPNHITMVSVLSACAQIGDLSFGSWVHDHLISLGHKDTIASNKILATSLIDMYSKCGNLDKAKEVFEHTVSKDVVLFNAMIMGLAVYGEAEDALRLFYRMPEFGLQPNAGTFLGALSACSHSGLLASGRRIFREVSLSPSLTLEHYACYIDLLARVGCIKEAMKVVSSMPFKPNNFVWGALLGGCLLHSRVELAQEVSRRLVEVDPDNSAGYVMLANALASENQWSDVSELRLEMKEKGIKKQPGSSWIVVDGVVHEFLVGYLSHPKIESIYHTLGGLVKHMKVASHCQLVCCC >Vigun03g201284.1.v1.2 pep primary_assembly:ASM411807v1:3:30750551:30753024:1 gene:Vigun03g201284.v1.2 transcript:Vigun03g201284.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKDERERRVGETWRVKAEAVKEMLSDEPSPDDIADNLGLE >Vigun07g059000.1.v1.2 pep primary_assembly:ASM411807v1:7:6594048:6597782:1 gene:Vigun07g059000.v1.2 transcript:Vigun07g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTHTHAHSTFDVNPNPNAPFPYDIHHPQFRSSDYPTPTFPLSPHDPPLPSPTHDSSEPHAPVRACPQTTAALKVQKVYRSYRTRRRLADSAVVAEELWWQVIDFARLNHSTISFFNLPESAASRWNRVKLNASKVGKGLFLDAKAQKLAFQHWIEAIDPRHRYGHNLHYYYEEWCKTDSGQPFFYWLDLGNGKNLDLEQCPRSKLRKQCIKYLGPQEREHYEYTVCEGKIIHRQSGDFLHTKEDSKDAKWIFVMSTSKKLYAGKKKKGLFHHSSFLAGGATLAAGRLEAEHGVLKSISAYSGHYRPTDEALNSFISYLKENGVNIDEVEIRNPKDDSDTYEDGKVSEMETAFEDCNHGNIAEHVVSEEAENTSSSSNVVEPQPLSVGSYKRTLSGGLQSPRAEVPKKAILQRINSKKAKSYQLGHQLSRKWSTGAGPRIGCVADYPVELRLQALEMLHLSPRLPPSPSSYRLLGGLVSPTASSTPNATRTETDENSRHSTLK >Vigun02g000700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:308565:310184:1 gene:Vigun02g000700.v1.2 transcript:Vigun02g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDLCSCYETKLEMAIAGVHTVTTLESTFLRESLSRSSGREGDGGRGGTRSSSVLQMWREIEDEQVVRPVQGRRMSSRFWFVCSLRHLHK >VigunL060116.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:66490:66849:-1 gene:VigunL060116.v1.2 transcript:VigunL060116.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g358800.1.v1.2 pep primary_assembly:ASM411807v1:3:56098511:56114602:-1 gene:Vigun03g358800.v1.2 transcript:Vigun03g358800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESEVHDLSDDADYAASQQQGSASMMLRSDSTKNSPREGAEIVFMKENVAIHPTQFASERISGRLKLIKQSSSLFMTWIPYKGHSSEARLSDDERNLYTIKAVSFTEIRSIRRHTPALAWPYIIVVLSSGLAYPPLYFYSGGVKEFLATIKQHVLLVRSEEDANVFLVNDFQNTLQRTLSSLELPRAVPIACGPSNLSVDESVLNENQGRADNDVNNGSFSATQFPGRPSHKVDPARDLSIHVLEKFSLVTKFARETTSQLFGENHSNGFSERRTHIRNNLDHPRKSSHAEEKTSDESCVALDSQEVALDSQEFENLSLVWGKPRQPPLGSSEWIIFLDSEGRVTDSEALRKRVFYGGLDHDLRNEVWGLLLGYYPYESTYAEREFQKSVKKSEYENIKNQWQSISSAQAKRFTKFRERKGLIEKDVVRTDRSIPFYEGDDNPNVNILRDILLTYSFYNFDLGYCQGMSDLLSPILFVMDDESEAFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQRDCLNYFFCFRWILIQFKREFEYEKTMRLWEVLWTHYPSEHLHLYLCVAILNRYRGKIIREEMDFDTLLKFINELSGHIDLDASLRDAEALCICAGENGAACIPPGTPPSLPLDDGSFYALQDEVL >Vigun07g094600.1.v1.2 pep primary_assembly:ASM411807v1:7:15369328:15376409:1 gene:Vigun07g094600.v1.2 transcript:Vigun07g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDRILLCSLGVKSANPEDIERDVLEKVTKNDSVTVTEAEGSAEEECSDLRENVDPSANPKAELHQKLRTVQFEIDAVASTVGRLRNVENNEECSNAGEEDLVSGIAEVDSSNNSNLQCALSADRLRSLRKTKAQLEKELLNLSKDDASKSVEDGQLIFSLAREERKPKRKVKEDKKSDKGKGKRLKKVSFDDDVDFDTVLDAASAGFVETERDELVRKGILTPFHKLKGFERRFEQLETSTSHNAAEEENASDLAAASVERAAKSMYEAARSRPTTKLLEPQDAPKLDAPTFPFRRLRKPLQSSKPLDREMELNKDSKRKKRRPLPGRKWTKRVSCEDTRMEESENGDGCLDSSSFENLEEQGIELDDHESYVTLEGGLKIPVKIFEALFEYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHYSGMYKPSIIVCPVTLLRQWKREANKWYPKFLVELLHESAEDSAPRKKRAKSEETDCETNSSSDNDYEKGVPPSRNTRNWESLINRVMRSESGLLITTYEQLRILGDQLLDIQWGYAVLDEGHKIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLTELWSLFDFVFPGKLGVLPVFEVEFAVPIAVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQVSAYRAFLASTDVEQILDGHRNSLYGIDVMRKICNHPDLLERDHAFSDPDYGNPERSGKMKVVGQVLNVWKEQGHRVLLFTQTQQMLDIFENFLTSSGHVYRRMDGLTPVKQRMALIDEFNASSEIFIFILTTRVGGLGTNLTGADRVIIFDPDWNPSNDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMKDLFVLNVDGETGSTETSNIFSQISEQVNVIGTQKENKDKNEHSQTARLDSEDVVVNNDDKSERGSPEGKGKEKVEHNNGVDDGTDILKSLFDANGIHSAVNHDLIMNAHDEEKMRLEEQASQVAGRAAEALRQSRMLRSHDSVSVPTWTGRSGTAGAPSSVRRKFGSTVNPLLVNKSKGTTKLNGFAAGASAGKALSSVELLAQIRGNQEKAIGAGLEHQSSMLSSSTNQARSLDVRSSRATASSSGLQPEVLIRQICTFIQQRGGSSDSASIVQYFKERIPSQDLALFKNLLKEIATLHKGSNGSHWVLKPDYQF >Vigun09g133000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29166133:29166885:1 gene:Vigun09g133000.v1.2 transcript:Vigun09g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYSKKSLKPREDECSNSDTRVLIDFMKMSKNGDITRESSNNKAINSSISDSRDEDEKIGNVKEGKTFCCNYCKKEFSTSQALGGHQNAHKQERAMAKRVEAFDVSGLGHFPYSSYYSSLYNFHHSLYGGSFNRELRVRKDSMIQKLPWIPRYEHSLFKRNNGRLSSSIFDGFEPMKSDYQIPKSDATPNLRVENDNGRNSDKVETLPLFTDVVNNSLSQSEITKLDDPFIPKRSSYGSSFNIDLTLKL >Vigun09g278900.13.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLN >Vigun09g278900.8.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKELESYFNAATKLKRKLHLSTLKERFFFFFITLVLLTFLNIMP >Vigun09g278900.11.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTKKVSPSLLFILKKFNIN >Vigun09g278900.10.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTKKVSPSLLFILKKFNIN >Vigun09g278900.7.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTKKELESYFNAATKLKRKLHLSTLKERFFFFFITLVLLTFLNIMP >Vigun09g278900.6.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERELESYFNAATKLKRKLHLSTLKESVVEGKEIGKRERKPKIHFDGTDVKILKQEKSHKCKFPLSLLVNDSNSLIKNIYVFVILIYQF >Vigun09g278900.12.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLN >Vigun09g278900.9.v1.2 pep primary_assembly:ASM411807v1:9:43864819:43866932:1 gene:Vigun09g278900.v1.2 transcript:Vigun09g278900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPFDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELLEKNAHALIFPFTHTSPRRKNEKVHKTNTKLTKRKLEDLNVEIVTLDKYLSILKHPSNAFELFLQEFANNYKETKVDLDNFERELESYFNAATKLKRKLHLSTLKERFFFFFITLVLLTFLNIMP >Vigun05g049100.1.v1.2 pep primary_assembly:ASM411807v1:5:4236739:4241191:-1 gene:Vigun05g049100.v1.2 transcript:Vigun05g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECTFERENSMLLLFLCVFLTLSGPQAAAEDSEANALLKWKRSFDNHSQTLLPTWRGNNPCTWEGIQCDKSNSVSIINLSSYGLKGTLHTLSFSIFSNLLSLNIYQNSFHGTIPPQIGNITKVNVLNFSSNSFHGSIPQEMWTLGSLQSLDLSLCHLSGELPNSIANLSNLSFLDLGGNNFSSSIPPGIGELHKLQYLGMGDCNLFGSIPREIGMLTNLELVDLSRNSLSGTIPATIGNMSSLNQLYLSNNSLISGKIPSSLWNMSNLTLLFLDANNLSGSIPASIENLANLEQLGLDINQFSGPIPSTIGNLTKLNMLYLGFNNLSGAIPPSIGNLINLDVLSLQANKLSGPIPDTVGNLKMLTVLELSSNKLNGSIPQGLNDIANWLSLLLAENDFTGHLPPDICSAGSLQFFNAFGNRFTGPVPRSLKNCSSIARLRVERNQLEGDIAQDFGVYPNLVYIDLSDNKFHGHISPNWGKCHNLHTLKISNNNISGGMPIELAEANKLGVLHLSSNHLSGNLPKELGNMKSLFQLKISNNHLSGNIPTEIGLLQNLEDLDLGDNELRGPIPIEVMKLQKLRNLNLSKNNIDGSIPSQFSQYLVSLDLSANLLIGTIPTNIGELERLFMLNLSHNSLSGTIPSTFSRALSIFNISDNQLEGPIPNIPLFLDAPIESLKNNKGLCGNVKGLVLCPTNHRRESNKVILVTGLTLGALVLVLCGVALSMYIFCRVKRKGKSHSNSEEAPRKALFSVWSYDGKIMFENIIEATENFDDKYLIGAGSQGYVYKVVLPSGLVVAVKKLHSAMDEEMSEFSSKAFASEIKALTEIKHRNIIKLHGFCSHSQVSFLVYEFMEGGSLDQLLNKDTEATSFDWEKRVNVVKGVANALSYLHHDCSPPIVHRDISSKNVLLDVEYEAHVSDFGTAKFLKPSSDSWTQFAGTFGYAAPELAQTMEVNEKCDVYSFGVLALEIIMGKHPRDLISQFVSPPPMPPILNDLLLVDVLDQRPPQPTKPIDRDLILIVRLALACLSQNPRSRPTMEQVSKAFETGKSPSADLFPTISLGQLC >Vigun06g062000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18966723:18967755:-1 gene:Vigun06g062000.v1.2 transcript:Vigun06g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALPMQSNIIPKYKNSRSSMGNSSNKRIDIEFSKWSTTEFPQEYVLVYTSVDDPFRSSTFRLSANTDMVLCRLAGDMCKKHPVRCRVKITALRDSRGNCKFGEVAVSQQGFEDPSVEDNSAWFNYGCEDEGAHISLKSSELDLVNRERTFWTFRYSYDVGVRRKLEYSVTIQCNKETGLSVEFNGPYMYKGGYFYDALGLQRKREVLGKPPQELTEKVESEFEGKEYTLLKRDQTPSAKAKRAINKDAQTVAVVNTAAQATGLINNIGGQTQGYFNGAILNNVKFYGPL >Vigun08g147200.1.v1.2 pep primary_assembly:ASM411807v1:8:31997496:31998909:1 gene:Vigun08g147200.v1.2 transcript:Vigun08g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKAEGSEENMLSSMEEGFSPSPSTTFGLHHCCSNHVATLAQKVITEVIGTYFVVFAGCGSVAVNKIYGSVTFPGICVTWGLIVMVMIYTLAHISGAHFNPAVTVTLAIFRRFSYKHMPLYIFAQLLGSILASGTLALMLDVTPKSFFGTIPVGSNVQSLAAEIIITFLLMFVISAVTTDDRAVGDFAGVVVGMTIMLNVFIAGPVSGASMNPARSIGPAVMIHLYKGLWIYIVGPIVGAIAGALAYNFLRSTHNSPSEQTSGPSSG >Vigun09g112000.1.v1.2 pep primary_assembly:ASM411807v1:9:24362614:24364415:-1 gene:Vigun09g112000.v1.2 transcript:Vigun09g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIDFFPPSFHFENAESTKSRIHLPFSSPSILHIILINSLSLLLAIFVQAALHCPTTLHDLLTMVEILTLVASSTSTMVKICSKYFSKRLTSL >Vigun01g121500.1.v1.2 pep primary_assembly:ASM411807v1:1:29831090:29833364:1 gene:Vigun01g121500.v1.2 transcript:Vigun01g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKTDKSRKQKLQIKNLIFLIYVANQHFSFTCETFKSNMAHYQDYILLVTTFLVSTIVVRAILSRKRNKTNRPPSPQALPIIGHLHLLAPIPHQALHKLSTRYGPIMHLFLGSVPCVVASTPEAAREFLKTHENHFSNRPQSSAVDFLTYGSQDFSFAPYGPYWKFMKKICMSELLGGSTLTQLLPVRRQETSRFLRLMLKKGKAGEAVDVGGELLRLSNNVVSRMIMSQTCSEDDSEAEEVRKLVQDTVLLTGKFNVSDFVWFFKNWDVQGFGKRLKEIRDRFDTMMERAIKEHQEERKRRKEVGSGRDDDDDQIKDLLDVLLDIHEDENSDIKLTKENIKAFILDVFMAGTDTAALTIVWALAELINHPDVMERARQEIDDVIGSGRLVEESDIVNLSYLQAIVKETLRIHPTGPLIVRESSESCTIWGYEIPPKTQLFVNVWAIGRDPNHWENPLEFRPERFLGEEGSGKSQLDVRGQYFHLIPFGSGRRGCPGTSLALQVVQTNLAAMIQCFEWKVKGVNETVDMEEKPGLTLSRLHPLLCVPVPRLNPFPPL >Vigun04g083000.1.v1.2 pep primary_assembly:ASM411807v1:4:12863534:12873180:1 gene:Vigun04g083000.v1.2 transcript:Vigun04g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRLFVSDTCFFSPPIRYSPAPALSTFFAVNLRFNQRRRRSFCSASNHDTLLAGGGPVVAGDGEKHEEDLKSWMHKHGLPPCKVVLKDKPCHNGPHKPIHYVAANQDLQVGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLSVESPLLWSKSELDYLLGSPIKDEVIERVEAIRKEYNELDTVWFMAGSLFQQYPYDIPTEAFSFEIFKQAFAAIQSCVVHLQKVSLARRFALVPLGPPLLSYQSNCKALLTAVDGAVELAVDRPYKAGDPIVVWCGPQPNSKLLINYGFVDENNSNDRLIVEAALNTEDPQYQDKRMVAQRNGKSSVQVFRVYCGKEREALLDMLPYLRLGYVSDPSEMQSVISSQGPVCPVSPCMERAVLDQLADYFKTRLAGYPTTLAEDESMLADVNLNPKKRVATQFVRLEKKMLHACLDAATDFINQLPDDSISPCPAPYAPLLK >Vigun01g143600.1.v1.2 pep primary_assembly:ASM411807v1:1:32489849:32495497:-1 gene:Vigun01g143600.v1.2 transcript:Vigun01g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGASLPPGFRFYPSDEELVCHYLYKKIANEEVLKGTLVEIDLHICEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDPTTQEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKEENNSSKMMYEYETTPQSLTMASSSPTMNRFSTSFPSSMPLPSHHHHHHHHFNANQNSSFMDLLHFSRDTNTNNTIVTQITSKGDDGYGFLWDMDLEENGLQDAVASNLDAITFEIDNNNNENNMVFL >Vigun07g159600.2.v1.2 pep primary_assembly:ASM411807v1:7:27153242:27156871:-1 gene:Vigun07g159600.v1.2 transcript:Vigun07g159600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLNPRLLPHRRLHPNQTCWHGSNFTSVTHLEDSSRRYLLKEFPELLSCPPNSKLLEVGCGNGSTALPILRANKDLTVYACDCSDETLERANEIISDASTVASFQQRFRTFCCDLSTSGFPNWLACDPCRDKFLQKQSYCLSDVREGNGLHFTNPYSSEEVECCVGGVDFVTLIFTLSAVPLERMPKSVNECFFVLKPGGMVFFRDYGLYDMTMLRFEPDKRVGFREYMRSDGTRSYFFCLDAVRNLFLGAGFTELELDYCCVKSINRQKGKCMQRVWVHGKFQKPALRNE >Vigun07g159600.1.v1.2 pep primary_assembly:ASM411807v1:7:27153242:27156870:-1 gene:Vigun07g159600.v1.2 transcript:Vigun07g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTTSSEGEAEAQYFCNDFHWEDLRAEVEANPSYAYHFESASSSSSSPPPESDVLAWKQFHLRHASGRFFKERRYLLKEFPELLSCPPNSKLLEVGCGNGSTALPILRANKDLTVYACDCSDETLERANEIISDASTVASFQQRFRTFCCDLSTSGFPNWLACDPCRDKFLQKQSYCLSDVREGNGLHFTNPYSSEEVECCVGGVDFVTLIFTLSAVPLERMPKSVNECFFVLKPGGMVFFRDYGLYDMTMLRFEPDKRVGFREYMRSDGTRSYFFCLDAVRNLFLGAGFTELELDYCCVKSINRQKGKCMQRVWVHGKFQKPALRNE >VigunL007200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:23598:23969:-1 gene:VigunL007200.v1.2 transcript:VigunL007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EYFYVSSYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETIFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun01g034033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4398025:4398338:1 gene:Vigun01g034033.v1.2 transcript:Vigun01g034033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHLYHLKPLKKEDCWKLFSDIAFHDKDATKYPYLVSIGSKIVDKCGGLPLALKALGNILRVKFSQHEWVKILESDMWHLFDNDANINPALRLSYHNLPSF >Vigun05g097100.1.v1.2 pep primary_assembly:ASM411807v1:5:9412606:9423205:-1 gene:Vigun05g097100.v1.2 transcript:Vigun05g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNIFNSIRSMKIMDACKGTQVHALKPSATATAAAADRSSVSAADKLLHTSLDHSKTPTGRTKTVSITASRHDAVSETLLPCGLPASELLEPSIEPSLKPLDFVETLARVHRRAESCEALEKSEVYLEQCAVLRGLPDPKLFRRGLREARRHAAEVHAKVVLASWLRYERREDELVGSNSMDCCGRNLECPKASLVPGYDHESVFDRCTCFRREIVSDCVVRSQECERECSTSSEYVDGSGDRDGEDDDDVFFCIGDSEISCRRYSMAALSRPFETMLCGGFLECRREKINFSMNCVSVEAMMAVEVFSRTKRLSEFPPNVILEMLSFANKFCCEEMKHACDTHLASLVLDMDDAVLLIEYGLEETAYLLVAACLQVFLRELPGSLQRWSVMKLFCSPEGRDRLALVGHVSFVLYYFLSQVAMEEELTSNMTVMLLERLGECAVEGWQKQLAYHQLGVVMLERKEYKDAQRWFEAAVEAGHVYSLVGVARAKYKLGHVSSAYEMINSLTENYKPAGWMYQERSLYCTGKEKMLDLLSATELDPTLSFPYKYKAVCLLEENKIGAAISEIDKIIGFKVSPDCLELRAWFLIAMKDYEGALRDVRAILTLDPNYMVFYGNMHGGHLVELLRPVAQQWSQADCWMQLYDRWSSVDDIGSLAVVHKMLENDPGKSILRFRQSLLLLRLNSQKAAMRSLRLARNHSCSAHERLVYEGWILYDTGYREEALAKAEESISIQRSFEAFFLKAYALADSSLDSESSKYVINLLEEALRCPSDGLRKGQALNNLGSVYVDCGKLDLAADCYKHALNIKHTRAHQGLARVYHLKEQHKAAYDEMTKLIAKARNNASAYEKRSEYCDRDMAKSDLSLATQLDPLRTYPYRYRAAVLMDDHKEDEAIAELSRAIGFKPDLQLLHLRAAFHDSMGDYISTVRDCEAALCLDPSHAETLELCNKARERINEQKLTLWMLNYKSERLWMQMSLLFPIYNTGRDGYKLPQF >Vigun04g122400.1.v1.2 pep primary_assembly:ASM411807v1:4:31281350:31284067:1 gene:Vigun04g122400.v1.2 transcript:Vigun04g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRVLLSLSFSLLSHVKHKLLSKSTPPTSLFRSRITFSTSSSSIDPPIEESKTSSSKSQRDALILEQFKQRKLKGSPKDAKGTPQGGSTSVPLSSDAYTEKVVQKGVQNEEEPTMVVRSFKELGVSDELVEVMEEIGGFIPSEIQCVVIPVILEGKSVLLSSPSEPDRTLAFLLPLIQLLRRDRELLGSNSKHPQAIVLCATEEKATQCFNAATYIIHNTKLKSAKDCASPDNGQSQASIGLMIGTPCEILQYVEEGSVVPSEIRYLVLDEADCMLGSGLDPEIHKILRPIQDHESKSDVKRLQTILAISTMAEVLGEQSPIVKHLECHHAGNISAMSLEMDQTEVFHFTESLHALKKKVAEAMDSLLQQE >Vigun08g192800.8.v1.2 pep primary_assembly:ASM411807v1:8:35994841:36000453:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.2.v1.2 pep primary_assembly:ASM411807v1:8:35993877:36000452:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.6.v1.2 pep primary_assembly:ASM411807v1:8:35994108:36000405:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.5.v1.2 pep primary_assembly:ASM411807v1:8:35994103:36000453:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.3.v1.2 pep primary_assembly:ASM411807v1:8:35993904:36000452:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.4.v1.2 pep primary_assembly:ASM411807v1:8:35993997:36000405:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.9.v1.2 pep primary_assembly:ASM411807v1:8:35993903:36000453:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.10.v1.2 pep primary_assembly:ASM411807v1:8:35993877:35999005:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun08g192800.7.v1.2 pep primary_assembly:ASM411807v1:8:35994841:36000453:1 gene:Vigun08g192800.v1.2 transcript:Vigun08g192800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLKGELTKKTPFLGLRRWVLIGVGVGAFIVLILCILSIWVMFRRKSRRSLDKYSVSQIPNVSKDIDVDKVGVQSSHVQPENVLMPVHSMTSDKNSDNISVHLGNRKSGDPDNISQCSSIYHHERGFSSMSAEEGSSGNVKKQSTLSHGGLATASPLVGLPEFSHLGWGHWFTLRDLEMATKRFSAENIIGEGGYGVVYKGILINGIEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGNMHQHGTLTWEARLKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKMMVGTRRAEEVVDSNLEVKPPLRALKRTLLVALRCIDPDADKRPKMSQVVRMLEADEYPYREDRRNRKSGTVNMEIETVKDISGPSDAERMKDRN >Vigun11g089100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26850400:26850831:-1 gene:Vigun11g089100.v1.2 transcript:Vigun11g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRKKVNFRHGDKVEVCSNEEGFIGSYYLATVVSRLDNGLYVVRYDTLLEDDGSRPLTETLFPNELRPKPPPLAAATSFARCQRVDAFDNDGWWVGQVSGKVDDHHYYVYFSTTHEEIAYPSSAIRVHQEWLNGQWIRSDS >Vigun09g028700.2.v1.2 pep primary_assembly:ASM411807v1:9:2385372:2389526:-1 gene:Vigun09g028700.v1.2 transcript:Vigun09g028700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSETVPSSSQPSSSSSSQKLPQDSPQVPAFSNFPPYLGGYYQMIPGMYPALVPGLTLPQHEEHGNRGAGIYAVPVNSFDRQVTGLPCNTLIPLTYRIPTRPSSDAAAASENQGQAGQQPQQQQPAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLFVLVFFAAIVYLYQTGALTPIIRWLSRGMQRAAAPPHPARPAARAENVPPPRQEGDNVAPAEGQPEAEIGNQPANEGERAVENENVAEVGGVNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >Vigun09g028700.1.v1.2 pep primary_assembly:ASM411807v1:9:2385372:2389526:-1 gene:Vigun09g028700.v1.2 transcript:Vigun09g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSETVPSSSQPSSSSSSQKLPQDSPQVPAFSNFPPYLGGYYQMIPGMYPALVPGLTLPQHEEHGNRGAGIYAVPVNSFDRQVTGLPCNTLIPLTYRIPTSRPSSDAAAASENQGQAGQQPQQQQPAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLFVLVFFAAIVYLYQTGALTPIIRWLSRGMQRAAAPPHPARPAARAENVPPPRQEGDNVAPAEGQPEAEIGNQPANEGERAVENENVAEVGGVNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >Vigun09g028700.3.v1.2 pep primary_assembly:ASM411807v1:9:2385372:2389526:-1 gene:Vigun09g028700.v1.2 transcript:Vigun09g028700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSETVPSSSQPSSSSSSQKLPQDSPQVPAFSNFPPYLGGYYQMIPGMYPALVPGLTLPQHEEHGNRGAGIYAVPVNSFDRQVTGLPCNTLIPLTYRIPTENQGQAGQQPQQQQPAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLFVLVFFAAIVYLYQTGALTPIIRWLSRGMQRAAAPPHPARPAARAENVPPPRQEGDNVAPAEGQPEAEIGNQPANEGERAVENENVAEVGGVNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >Vigun11g014200.1.v1.2 pep primary_assembly:ASM411807v1:11:1728977:1748126:1 gene:Vigun11g014200.v1.2 transcript:Vigun11g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPGSVPDFEALRELFKHHIESFDHMVDAGLDTAIRHIKAVEVFDELTATKLRISLENPVMYPPQKERISRTMKEALLPFECRQAKISYTGKLTIDVCFQYNDGPVIRETLNFGQFPIMLQSKLCNLRGADPKKLVSYKEEASEMGGYFVLNGLERVIRLLIMPKRNYPTSMVRNSFSERREGYTDKAVVIRCVRADQSSLSIRLYYLRNGSARLGFWLRGREYLLPVGIVLKALIKTSDREIYVKLTSCYNEKYAKGKGVVGTQLVGERAKIILDELRDLSLFTQDQCLEYIGEHFQPIMPELKQASYSIVAEAVLKDCILVHLDENFDKFNLLIFMLQKLFSLIDQTSVPDNPDSLQNHEVLLPGHLITLYIKEKLEDWLQKGRRLIMDEIDKKSQKFDISDIAQVKKIMDKNSSKQVSAAVENMLKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFISHFRSVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHLTRTCRITSFFDSQGKIKDYFKIKKSIEDILKEVGMTPSVPNTPLPGPPEALTVLLDGCVIGCIASSEVEKVVAYIRELKVSSASVIPDDMEVGYVPLSMGGAYPGLYLSTSPSRFVRPVKNISIPSNGNENIELIGPFEQVFMEIRCLDGGDGGRKSSFPATHEEIHPTEMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSSQTIQHRADQKLYHLQTPQTPIVRTSTYSKYNIDEFPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMFHGQIYQTETIDLTEQSRKSDQSSRMFRKSNVEKSAHPSIDSDGLPHVGQMIRPDEPYCSIYNEVTSSTHTFKRKGSEAVYVDYVAVDVKNSKQLQKVNIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDVDMPFSGNTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLRGEFVDATPFRSSVKKDDEGSDSKSGSLVDDLGLILKEKGFNYHGLEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTIDQVTRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIADVCSLCGSMLTTTFIEPQKRPVRQIGGLPPGRTAKKVTCHACQTSRGMETVAMPYVFRYLAAELAAMNIKMTLKLTDGAEVGA >Vigun11g014200.2.v1.2 pep primary_assembly:ASM411807v1:11:1728977:1748126:1 gene:Vigun11g014200.v1.2 transcript:Vigun11g014200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKLCNLRGADPKKLVSYKEEASEMGGYFVLNGLERVIRLLIMPKRNYPTSMVRNSFSERREGYTDKAVVIRCVRADQSSLSIRLYYLRNGSARLGFWLRGREYLLPVGIVLKALIKTSDREIYVKLTSCYNEKYAKGKGVVGTQLVGERAKIILDELRDLSLFTQDQCLEYIGEHFQPIMPELKQASYSIVAEAVLKDCILVHLDENFDKFNLLIFMLQKLFSLIDQTSVPDNPDSLQNHEVLLPGHLITLYIKEKLEDWLQKGRRLIMDEIDKKSQKFDISDIAQVKKIMDKNSSKQVSAAVENMLKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFISHFRSVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHLTRTCRITSFFDSQGKIKDYFKIKKSIEDILKEVGMTPSVPNTPLPGPPEALTVLLDGCVIGCIASSEVEKVVAYIRELKVSSASVIPDDMEVGYVPLSMGGAYPGLYLSTSPSRFVRPVKNISIPSNGNENIELIGPFEQVFMEIRCLDGGDGGRKSSFPATHEEIHPTEMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSSQTIQHRADQKLYHLQTPQTPIVRTSTYSKYNIDEFPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMFHGQIYQTETIDLTEQSRKSDQSSRMFRKSNVEKSAHPSIDSDGLPHVGQMIRPDEPYCSIYNEVTSSTHTFKRKGSEAVYVDYVAVDVKNSKQLQKVNIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDVDMPFSGNTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLRGEFVDATPFRSSVKKDDEGSDSKSGSLVDDLGLILKEKGFNYHGLEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTIDQVTRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIADVCSLCGSMLTTTFIEPQKRPVRQIGGLPPGRTAKKVTCHACQTSRGMETVAMPYVFRYLAAELAAMNIKMTLKLTDGAEVGA >Vigun09g253600.2.v1.2 pep primary_assembly:ASM411807v1:9:42031840:42034531:1 gene:Vigun09g253600.v1.2 transcript:Vigun09g253600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDSKPVKKEEESNNKSSVSKMVAKVKKEEPESMKKKPKAVPIKKELKVKKEIDDDDDLPLARRTSNSKEVNKKKKTKEKEKEQEKKRAKKVYDLPGQKRDPPEEKDPLRIFYESLYEQIPQSEMSQIWLMESGLLPKEIAKKVFEKKQKKFPQKLSSPVKVVSAAKSSTKSSTKSVTVKKKNPTSPLSSLKKSTTNPTAKQSNKRKSKALSSEDDDVTDSDDEVIIAAAKRRKMA >Vigun09g253600.1.v1.2 pep primary_assembly:ASM411807v1:9:42031805:42034783:1 gene:Vigun09g253600.v1.2 transcript:Vigun09g253600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDSKPVKKEEESNNKSSVSKMVAKVKKEEPESMKKKPKAVPIKKELKVKKEIDDDDDLPLARRTSNSKEVNKKKKTKEKEKEQEKKRAKKVYDLPGQKRDPPEEKDPLRIFYESLYEQIPQSEMSQIWLMESGLLPKEIAKKVFEKKQKKFPQKLSSPVKVVSAAKSSTKSSTKSVTVKKKNPTSPLSSLKKSTTNPTAKQSNKRKSKALSSEDDDVTDSDDEVIIAAAKRRKMA >Vigun07g044200.1.v1.2 pep primary_assembly:ASM411807v1:7:4479765:4482985:1 gene:Vigun07g044200.v1.2 transcript:Vigun07g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIAAEQVLEPWHKLEDKVVLVTGASSGIGRDFCIDLAKAGSYVVAAARRLDRLTSLCHEINRLWPSPAGNSRAVAVELDVAADASTIDKAVQKAWDAYGRIDSLINNAGVRGSVKSPLKLSEEEWDQVFKTNVTGCWLVSKYVCKRMCDAQMKGSIINISSVAGLNRGQLPGGVAYASSKAGVNMLTKVLAMELGVHKIRVNSISPGIFKSEITENLLQKKWLEGVVRKIMPLRRLGTSDPALTSLARYLIHDSSEYVTGNNFIVDFGATLPGVPIYSSL >Vigun02g099900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25496126:25497190:-1 gene:Vigun02g099900.v1.2 transcript:Vigun02g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLRMEQIPGKGRGIVAAKSLKAGEIILTESPLILYSASPLFAPSSSPFTNCDHCFRILPSHTNIFPCPSCSHHTFCSQRCLSLARNSSHSTWVCKALIFLLQHPNSTTLFQQHPPERQVQARFIVASHNLFLRSPSELHTLLSLHGTPDSAIFDAAKFLHSLISPLFPQQCQLSVDITAQLLAKDRLNSFCLMDPYSPEGPQRSIKAYAIYPKATFFNHDCVPNACRFDYVDTGDEHNTDMVIRLIEDVPAGKEICISYFRIGRDYCTRKRILMEDYGFTCGCDTCVIEAKGEDNGEKNSDLPHVRFLRKHVCERKNCAGTMAPLPPKDDVPSNVLECNFCGNFKEIL >Vigun05g036600.4.v1.2 pep primary_assembly:ASM411807v1:5:2980633:2981763:1 gene:Vigun05g036600.v1.2 transcript:Vigun05g036600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSLCFCFEDKENGPRTIKDVKLISAGKILENNRTVGECQSPLCDTPDTVTTMHVVVQHPATEKEKKAASKATQNKCMCIIL >Vigun05g036600.5.v1.2 pep primary_assembly:ASM411807v1:5:2978090:2982537:1 gene:Vigun05g036600.v1.2 transcript:Vigun05g036600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQDQFEIKFRLTDGSDIGPKSFPAATSIATLKESVLAQWPKDKENGPRTIKDVKLISAGKILENNRTVGECQSPLCDTPDTVTTMHVVVQHPATEKEKKAASKATQNKCMCIIL >Vigun05g036600.1.v1.2 pep primary_assembly:ASM411807v1:5:2978766:2982311:1 gene:Vigun05g036600.v1.2 transcript:Vigun05g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQDQFEIKFRLTDGSDIGPKSFPAATSIATLKESVLAQWPKDKENGPRTIKDVKLISAGKILENNRTVGECQSPLCDTPDTVTTMHVVVQHPATEKESCKQSNTEQMHVYYLIASRLNSRCHLS >Vigun05g036600.3.v1.2 pep primary_assembly:ASM411807v1:5:2978139:2982462:1 gene:Vigun05g036600.v1.2 transcript:Vigun05g036600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQDQFEIKFRLTDGSDIGPKSFPAATSIATLKESVLAQWPKDKENGPRTIKDVKLISAGKILENNRTVGECQSPLCDTPDTVTTMHVVVQHPATEKEKKAASKATQNKCMCIIL >Vigun03g095900.1.v1.2 pep primary_assembly:ASM411807v1:3:8103241:8104025:1 gene:Vigun03g095900.v1.2 transcript:Vigun03g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQYSGLLLGISSVAQVLHYSLSLAHPTIQYYSYNTVSK >Vigun06g151000.1.v1.2 pep primary_assembly:ASM411807v1:6:27574798:27576527:-1 gene:Vigun06g151000.v1.2 transcript:Vigun06g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGSEFYRKSSALDAEDEEENDENSSTSTEGNGPRKRRRKTVRKLEVFVKNLVTKVMEKQEQMHKQLVEMIEKKERERIKREEAWKNEEMERIRKEEEARAEEKSRNLALISFIQNLLGQEIEIPQAVEACSKREEGEVEVNGHKELNSDPSKSRWPDVEVQSLITVRTSLEHKFRFMGSKGSIWEEISEAMYGLGYNRSAKKCKEKWENINKYYKRTIGSGKKRRQNSKSCPYFDELDILYRNGLLSVGNALSNTTDAPQNEEKE >Vigun01g065400.1.v1.2 pep primary_assembly:ASM411807v1:1:17322241:17323353:1 gene:Vigun01g065400.v1.2 transcript:Vigun01g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLRSMRFSRSRSKLRNGNKATTPTENDCRGICQIQWELRPGGMLVQKRESNLSSSEEGFITITVSTVSHSHQISIESTSTFGELKIILSLVTSFEPREQRLLFKGKERADDEYLHMVGVRDKDKVLLLEDPAIKEKKMLGLSDPKSFHLCFTN >Vigun09g111550.1.v1.2 pep primary_assembly:ASM411807v1:9:24238527:24238903:1 gene:Vigun09g111550.v1.2 transcript:Vigun09g111550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMEKLLQFLDSVPCKIRAKRGSATHPRSIAEMVRRTRSRERMMKL >Vigun01g029300.5.v1.2 pep primary_assembly:ASM411807v1:1:3526241:3539139:-1 gene:Vigun01g029300.v1.2 transcript:Vigun01g029300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSFADHKLCGFLCAVLTATDRDSDPAFAERCEIFSDEGEVGFHSQTGVVLSTVLNSSQCGGGGGGSKAKRTHGVGMVNGSMSVVHQLHALVTRKCTKIDARVVCVEAPRVVLLVDVYLPIQVWSGWQFPRSGAVAAAVFRHLSCDWDERCSMLSYPDYCRKTHGANESIWNLSDCHVLCCKLHPHVSSSSRKSLFELHELFKTLPGVGKQRMFNGSKIIPMDHSCRSGIWELSDDILTKILSSLDPMDLIRVSETCHHLRSLAASVMPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYAVLSTEDGFSFHVNTVSGEIVTGEAPTVRDFRGGMFCDEPGLGKTVTALSLIMKTRGTLADPPDGAQVVWCQHNGNQKCGYYEISGNNITGCSTLGKRNVSQYVSRTNDNHDYSSKRARMSNPDQQMIKLQGSCSMELSKSPVEAHFNESMHSNQYTRSLSRIKKNLCFTNEEEAMISKEKEIGEGLIKAKHASDVTPHLSLKKLPRKREGDPFEYSDTWIQCDACHKWRKLADNSMASSSAAWFCSMNPDPLYQSCSVPEQHFHTTSRITYLPGFHLKGTHGGDKQNVCFFTSVLKEHYSLINSQTKKALAWLAKISTDKLAGMETNGIRGPILNTCTASSRHFNAFHKVFQAFGLLKRVDKGVCKWFYPQHLNNLTFDVAALGMALREPLDFVRLYLSRATLVVVPANLVDHWKTQIEKHVRPGQLRIYVWTDHRKPSVHCLAWDYDVVITTFSRLSAEWGPRKRSVLMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLIASNRWILTGTPTPNTPNSQLPHLQPLLRFLHEESYGLNQKSWEAGVLRPFEAEMEEGRSRLLHLLHKCMISARKTDLQSIPPCIKKVVYLDFNEEHARSYNELVITVRRNILMADWNDPSHVESLLNPKQWKFRRATIKNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRCNLLYGGHCVRCKEWCRLPVITPCRHLLCLDCVSVDHTKCTYPGCSKLYEMQSRLPRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSTKVSYLVQKLKALQGTNEETSFCTDNNNDEMPTENSFFLHRSDDKSAFQKCLKSSTKTNSNVEKVLIFSQFLEHIHVIEQQLAIAGIKYTGMYSPMHSSNKV >Vigun01g029300.2.v1.2 pep primary_assembly:ASM411807v1:1:3526241:3539139:-1 gene:Vigun01g029300.v1.2 transcript:Vigun01g029300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSFADHKLCGFLCAVLTATDRDSDPAFAERCEIFSDEGEVGFHSQTGVVLSTVLNSSQCGGGGGGSKAKRTHGVGMVNGSMSVVHQLHALVTRKCTKIDARVVCVEAPRVVLLVDVYLPIQVWSGWQFPRSGAVAAAVFRHLSCDWDERCSMLSYPDYCRKTHGANESIWNLSDCHVLCCKLHPHVSSSSRKSLFELHELFKTLPGVGKQRMFNGSKIIPMDHSCRSGIWELSDDILTKILSSLDPMDLIRVSETCHHLRSLAASVMPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYAVLSTEDGFSFHVNTVSGEIVTGEAPTVRDFRGGMFCDEPGLGKTVTALSLIMKTRGTLADPPDGAQVVWCQHNGNQKCGYYEISGNNITGCSTLGKRNVSQYVSRTNDNHDYSSKRARMSNPDQQMIKLQGSCSMELSKSPVEAHFNESMHSNQYTRSLSRIKKNLCFTNEEEAMISKEKEIGEGLIKAKHASDVTPHLSLKKLPRKREGDPFEYSDTWIQCDACHKWRKLADNSMASSSAAWFCSMNPDPLYQSCSVPEQHFHTTSRITYLPGFHLKGTHGGDKQNVCFFTSVLKEHYSLINSQTKKALAWLAKISTDKLAGMETNGIRGPILNTCTASSRHFNAFHKVFQAFGLLKRVDKGVCKWFYPQHLNNLTFDVAALGMALREPLDFVRLYLSRATLVVVPANLVDHWKTQIEKHVRPGQLRIYVWTDHRKPSVHCLAWDYDVVITTFSRLSAEWGPRKRSVLMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLIASNRWILTGTPTPNTPNSQLPHLQPLLRFLHEESYGLNQKSWEAGVLRPFEAEMEEGRSRLLHLLHKCMISARKTDLQSIPPCIKKVVYLDFNEEHARSYNELVITVRRNILMADWNDPSHVESLLNPKQWKFRRATIKNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRCNLLYGGHCVRCKEWCRLPVITPCRHLLCLDCVSVDHTKCTYPGCSKLYEMQSRLPRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSTKVSYLVQKLKALQGTNEETSFCTDNNNDEMPTENSFFLHRSDDKSAFQKCLKSSTKTNSNVEKVLIFSQFLEHIHVIEQQLAIAGIKYTGMYSPMHSSNKKKSLATFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLGFLQEADKCRRSPIKDVAAESEDDGGGRGYKSLHDFAESSYLLKLRSVYTNSESPEGVLIDQLQASSN >Vigun01g029300.3.v1.2 pep primary_assembly:ASM411807v1:1:3526241:3539347:-1 gene:Vigun01g029300.v1.2 transcript:Vigun01g029300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSFADHKLCGFLCAVLTATDRDSDPAFAERCEIFSDEGEVGFHSQTGVVLSTVLNSSQCGGGGGGSKAKRTHGVGMVNGSMSVVHQLHALVTRKCTKIDARVVCVEAPRVVLLVDVYLPIQVWSGWQFPRSGAVAAAVFRHLSCDWDERCSMLSYPDYCRKTHGANESIWNLSDCHVLCCKLHPHVSSSSRKSLFELHELFKTLPGVGKQRMFNGSKIIPMDHSCRSGIWELSDDILTKILSSLDPMDLIRVSETCHHLRSLAASVMPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYAVLSTEDGFSFHVNTVSGEIVTGEAPTVRDFRGGMFCDEPGLGKTVTALSLIMKTRGTLADPPDGAQVVWCQHNGNQKCGYYEISGNNITGCSTLGKRNVSQYVSRTNDNHDYSSKRARMSNPDQQMIKLQGSCSMELSKSPVEAHFNESMHSNQYTRSLSRIKKNLCFTNEEEAMISKEKEIGEGLIKAKHASDVTPHLSLKKLPRKREGDPFEYSDTWIQCDACHKWRKLADNSMASSSAAWFCSMNPDPLYQSCSVPEQHFHTTSRITYLPGFHLKGTHGGDKQNVCFFTSVLKEHYSLINSQTKKALAWLAKISTDKLAGMETNGIRGPILNTCTASSRHFNAFHKVFQAFGLLKRVDKGVCKWFYPQHLNNLTFDVAALGMALREPLDFVRLYLSRATLVVVPANLVDHWKTQIEKHVRPGQLRIYVWTDHRKPSVHCLAWDYDVVITTFSRLSAEWGPRKRSVLMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLIASNRWILTGTPTPNTPNSQLPHLQPLLRFLHEESYGLNQKSWEAGVLRPFEAEMEEGRSRLLHLLHKCMISARKTDLQSIPPCIKKVVYLDFNEEHARSYNELVITVRRNILMADWNDPSHVESLLNPKQWKFRRATIKNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRCNLLYGGHCVRCKEWCRLPVITPCRHLLCLDCVSVDHTKCTYPGCSKLYEMQSRLPRPENPNPKWPVPKDLIELQPSYKQKLKALQGTNEETSFCTDNNNDEMPTENSFFLHRSDDKSAFQKCLKSSTKTNSNVEKVLIFSQFLEHIHVIEQQLAIAGIKYTGMYSPMHSSNKKKSLATFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLGFLQEADKCRRSPIKDVAAESEDDGGGRGYKSLHDFAESSYLLKLRSVYTNSESPEGVLIDQLQASSN >Vigun01g029300.4.v1.2 pep primary_assembly:ASM411807v1:1:3526241:3539139:-1 gene:Vigun01g029300.v1.2 transcript:Vigun01g029300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSFADHKLCGFLCAVLTATDRDSDPAFAERCEIFSDEGEVGFHSQTGVVLSTVLNSSQCGGGGGGSKAKRTHGVGMVNGSMSVVHQLHALVTRKCTKIDARVVCVEAPRVVLLVDVYLPIQVWSGWQFPRSGAVAAAVFRHLSCDWDERCSMLSYPDYCRKTHGANESIWNLSDCHVLCCKLHPHVSSSSRKSLFELHELFKTLPGVGKQRMFNGSKIIPMDHSCRSGIWELSDDILTKILSSLDPMDLIRVSETCHHLRSLAASVMPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYAVLSTEDGFSFHVNTVSGEIVTGEAPTVRDFRGGMFCDEPGLGKTVTALSLIMKTRGTLADPPDGAQVVWCQHNGNQKCGYYEISGNNITGCSTLGKRNVSQYVSRTNDNHDYSSKRARMSNPDQQMIKLQGSCSMELSKSPVEAHFNESMHSNQYTRSLSRIKKNLCFTNEEEAMISKEKEIGEGLIKAKHASDVTPHLSLKKLPRKREGDPFEYSDTWIQCDACHKWRKLADNSMASSSAAWFCSMNPDPLYQSCSVPEQHFHTTSRITYLPGFHLKGTHGGDKQNVCFFTSVLKEHYSLINSQTKKALAWLAKISTDKLAGMETNGIRGPILNTCTASSRHFNAFHKVFQAFGLLKRVDKGVCKWFYPQHLNNLTFDVAALGMALREPLDFVRLYLSRATLVVVPANLVDHWKTQIEKHVRPGQLRIYVWTDHRKPSVHCLAWDYDVVITTFSRLSAEWGPRKRSVLMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLIASNRWILTGTPTPNTPNSQLPHLQPLLRFLHEESYGLNQKSWEAGVLRPFEAEMEEGRSRLLHLLHKCMISARKTDLQSIPPCIKKVVYLDFNEEHARSYNELVITVRRNILMADWNDPSHVESLLNPKQWKFRRATIKNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRCNLLYGGHCVRCKEWCRLPVITPCRHLLCLDCVSVDHTKCTYPGCSKLYEMQSRLPRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSTKVSYLVQKLKALQGTNEETSFCTDNNNDEMPTENSFFLHRSDDKSAFQKCLKSSTKTNSNVEKVLIFSQFLEHIHVIEQQLAIAGIKYTGMYSPMHSSNKKKSLATFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLGFLQLINVEDLQLRMLPPNLRMMAGDEDINRCMILRKAVIY >Vigun01g029300.1.v1.2 pep primary_assembly:ASM411807v1:1:3526232:3539369:-1 gene:Vigun01g029300.v1.2 transcript:Vigun01g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSFADHKLCGFLCAVLTATDRDSDPAFAERCEIFSDEGEVGFHSQTGVVLSTVLNSSQCGGGGGGSKAKRTHGVGMVNGSMSVVHQLHALVTRKCTKIDARVVCVEAPRVVLLVDVYLPIQVWSGWQFPRSGAVAAAVFRHLSCDWDERCSMLSYPDYCRKTHGANESIWNLSDCHVLCCKLHPHVSSSSRKSLFELHELFKTLPGVGKQRMFNGSKIIPMDHSCRSGIWELSDDILTKILSSLDPMDLIRVSETCHHLRSLAASVMPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYAVLSTEDGFSFHVNTVSGEIVTGEAPTVRDFRGGMFCDEPGLGKTVTALSLIMKTRGTLADPPDGAQVVWCQHNGNQKCGYYEISGNNITGCSTLGKRNVSQYVSRTNDNHDYSSKRARMSNPDQQMIKLQGSCSMELSKSPVEAHFNESMHSNQYTRSLSRIKKNLCFTNEEEAMISKEKEIGEGLIKAKHASDVTPHLSLKKLPRKREGDPFEYSDTWIQCDACHKWRKLADNSMASSSAAWFCSMNPDPLYQSCSVPEQHFHTTSRITYLPGFHLKGTHGGDKQNVCFFTSVLKEHYSLINSQTKKALAWLAKISTDKLAGMETNGIRGPILNTCTASSRHFNAFHKVFQAFGLLKRVDKGVCKWFYPQHLNNLTFDVAALGMALREPLDFVRLYLSRATLVVVPANLVDHWKTQIEKHVRPGQLRIYVWTDHRKPSVHCLAWDYDVVITTFSRLSAEWGPRKRSVLMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLIASNRWILTGTPTPNTPNSQLPHLQPLLRFLHEESYGLNQKSWEAGVLRPFEAEMEEGRSRLLHLLHKCMISARKTDLQSIPPCIKKVVYLDFNEEHARSYNELVITVRRNILMADWNDPSHVESLLNPKQWKFRRATIKNVRLSCCVAGHIKVTHAGEDIQETMDMLVQSGLDPTSGEYTSIRCNLLYGGHCVRCKEWCRLPVITPCRHLLCLDCVSVDHTKCTYPGCSKLYEMQSRLPRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSTKVSYLVQKLKALQGTNEETSFCTDNNNDEMPTENSFFLHRSDDKSAFQKCLKSSTKTNSNVEKVLIFSQFLEHIHVIEQQLAIAGIKYTGMYSPMHSSNKKKSLATFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLGFLQEADKCRRSPIKDVAAESEDDGGGRGYKSLHDFAESSYLLKLRSVYTNSESPEGVLIDQLQASSN >Vigun09g273800.1.v1.2 pep primary_assembly:ASM411807v1:9:43456771:43460100:-1 gene:Vigun09g273800.v1.2 transcript:Vigun09g273800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEVETVIVGNYEEMESEGKTGDIKSKLLSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGTLFQLFYGLLGCWTAYLISALYVEYRTRKEREKFNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTVASLTHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLASVYVLTLTLPSAAAVYWAFGDMLLDHSNAFSLLPRSPFRDMAVILMLIHQFITFGFACTPLYLVWEKAIGIHECRSLCKRALARLPVVIPIWFLAIVFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSPAARQNAVEQPPKLVGRWAGAYTINVFVVVWVVVVGFGFGGWASMVNFVHQIDTFGFFTKCYQCPSPTSVHPPPLNATAPSPLPH >Vigun09g273800.2.v1.2 pep primary_assembly:ASM411807v1:9:43456771:43460100:-1 gene:Vigun09g273800.v1.2 transcript:Vigun09g273800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEVETVIVGNYEEMESEGKTGDIKSKLLSFLWHGGSVYDAWFSCASNQLGMLSGTLFQLFYGLLGCWTAYLISALYVEYRTRKEREKFNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTVASLTHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLASVYVLTLTLPSAAAVYWAFGDMLLDHSNAFSLLPRSPFRDMAVILMLIHQFITFGFACTPLYLVWEKAIGIHECRSLCKRALARLPVVIPIWFLAIVFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSPAARQNAVEQPPKLVGRWAGAYTINVFVVVWVVVVGFGFGGWASMVNFVHQIDTFGFFTKCYQCPSPTSVHPPPLNATAPSPLPH >Vigun07g068000.1.v1.2 pep primary_assembly:ASM411807v1:7:8136709:8138002:1 gene:Vigun07g068000.v1.2 transcript:Vigun07g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVKHILAAMFFVLLFSSGMSSQHCLGPCAKYPDCDGTCKKASYQIGQCVHVQPNNDFCCCAKYIVKPAKME >Vigun03g304800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49444724:49445485:-1 gene:Vigun03g304800.v1.2 transcript:Vigun03g304800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKACSSLAIFLTLNLLFFSLVSACGSYSCPGPTPKPKPTPSPSPSGSCPRDALKLGVCANVLNGLVNATVGQPPVTPCCTLLDGLVDLEAAVCLCTALKANILGINLNLPISLSLLLNVCSKQVPHDFQCA >Vigun09g016600.1.v1.2 pep primary_assembly:ASM411807v1:9:1212596:1215384:-1 gene:Vigun09g016600.v1.2 transcript:Vigun09g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPNSSVKVGHIDDVQELRKTKPRTVPQRFVRDMTERPTLLPPPDTHMPVIDFSKLTKGNKDEIFNLATACEEWGFFQVINHEIDLNLLEGIEKMSREFFMLPLEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGLQPHYVRNPNLWPKKPERFSETVEEYSVEIRKLCYNLLKHIALGLDLKGDVFEKMFGVSVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQAKGSPVGLQILKDNTWLPIQPIPNALVINIGDTIEVLTNGKYRSVEHRAVANEEKDRLSIVTFFAPSYEVELGPMPEFVDENHPCKYRRYNHGEYSKHYVTNKLQGKKTLDFAKIQTKNTN >Vigun06g153500.3.v1.2 pep primary_assembly:ASM411807v1:6:27746930:27748591:1 gene:Vigun06g153500.v1.2 transcript:Vigun06g153500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSGRGELRRMQREQERERRRIRDRQRRQSMTQEQRERHLARRRRNYQLRRQRAANAHLPYTSFPQFQPPESSAGEASTSDELQGLPSPTFAEYGIFHQVIGFPQHDLNLGQHMLNMETKLIQGSSVPLETLLCKPANSPKRMRLNHVRGLARNLTHSIVDPCARLVTSELLSKELQDVLLGNTAKSLRLNCVKRLARSKNNSFYEENAYQKEYKFPAGGIGYLLARTS >Vigun06g153500.2.v1.2 pep primary_assembly:ASM411807v1:6:27746417:27748591:1 gene:Vigun06g153500.v1.2 transcript:Vigun06g153500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSGRGELRRMQREQERERRRIRDRQRRQSMTQEQRERHLARRRRNYQLRRQRAANAHLPYTSFPQFQPPESSAGEASTSDELQGLPSPTFAEYGIFHQVIGFPQHDLNLGQHMLNMETKLIQGSSVPLETLLCKPANSPKRMRLNHVRGLARNLTHSIVDPCARLVTSELLSKELQDVLLGNTAKSLRLNCVKRLARSKNNSFYEENAYQKEYKFPAGGIGYLLARTS >Vigun07g044100.1.v1.2 pep primary_assembly:ASM411807v1:7:4471516:4474926:1 gene:Vigun07g044100.v1.2 transcript:Vigun07g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKLTLPMSPQLSLEPWTTLAGKVVMVTGASSGIGRDFCLDLARAGCRVLLAARRVDRLQSLCDEINRMPSPAELAECDRSLRAVAVELDVAADGAVIDRQVQKAWDAFGHLDALINNAGVRGTVKSPLELSEEEWNQSFRTNLTGTWLVSKSVCKRMRDAQRKGSIINIASIAGFNRGQLPGGAAYASSKAGVNMLTKVMALELGTHKIRVNSISPGLFRSEITEKLMEKDWLSKVAIKTVPLRSYGTSDPALTSLVRYLIHDSSEYVSGNNFIVDAGVTLPGLPIFSSL >Vigun07g247632.1.v1.2 pep primary_assembly:ASM411807v1:7:36754560:36757838:1 gene:Vigun07g247632.v1.2 transcript:Vigun07g247632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYCVLALFLSCLISRARAQYNTEYCNNNEGNYTINSTYHNNLNTLLSTLSSHTQINYGFYNFSYGQNNDKVNAIGLCRGDVKQDECRRCLNDSAVTITQLCPNQKEALLWLNTSKCLLRYSHSTIFGVVESSPSFYLRNSNNVTEADKFNQALSNLMRNLTVVAASGDSRRKYSTGSAAASNFQTVYGLVQCTPDLSETDCNRCLDGCISEIPSCCQGRMGARVLRPSCNIRFESAMFYDQTPELDPDVTPPSPSPPSSAGSSNTITIVVAVVVPTVVVAVVFLLCLCRYLRSRKARNSLTGQVDQDDDIEITQSLQFDFDIIRVATEDFSNSNKLGEGGFGAVYMARLPNEQMIAVKRLSSGSSQGDAEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNKSLDYFIFDPAKKALLDWAMRYKIIRGIARGLLYLHEDSLLRIIHRDLKASNILLDEEMNPKIADFGMARLVLLDQTHANTNRVVGTYGYMAPEYIMQGQFSVKSDIFSFGVLLLEIVSGQKNSGFRHGDNVEDLLSFTWRSWREGTAVNIVDPSLNNNSRNEMMRCIHIGLLCVQDNLSDRPSMATVMLMLSSYSLSLPIPSEPAFYAHSTTRSLPATMSWGHSSRATTNESTNKSAQESENENSITELYPR >Vigun04g083300.4.v1.2 pep primary_assembly:ASM411807v1:4:12975764:12983553:1 gene:Vigun04g083300.v1.2 transcript:Vigun04g083300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIRQLLRRKLQSCSSSSFTSPVISKNDGANSTGSNSLRAFALVGAGVTGILSFSTTALADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Vigun04g083300.5.v1.2 pep primary_assembly:ASM411807v1:4:12975765:12983552:1 gene:Vigun04g083300.v1.2 transcript:Vigun04g083300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIRQLLRRKLQSCSSSSFTSPVISKNDGANSTGSNSLRAFALVGAGVTGILSFSTTALADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Vigun04g083300.1.v1.2 pep primary_assembly:ASM411807v1:4:12975758:12983666:1 gene:Vigun04g083300.v1.2 transcript:Vigun04g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIRQLLRRKLQSCSSSSFTSPVISKNDGANSTGSNSLRAFALVGAGVTGILSFSTTALADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Vigun09g278600.2.v1.2 pep primary_assembly:ASM411807v1:9:43828278:43832087:-1 gene:Vigun09g278600.v1.2 transcript:Vigun09g278600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPLLLFFVFMLLFHVLHSSDVSTTKASTSLYDCDQCHRAKEREISTTRNRLNPDEKDIDIIATYSDTSGHVRLARLKMRDLSDSWIWENPTNGNSEYQKSSQVMRQERKKARTAELTQENRETNNHIVSAAIKHTEEFDTTVKGKYSIWRREYENPNSDSTLKLMRDQIIMAKAYANIAKSKNNTVLYEALLKHSSDSQRAIGEASSDTELHLGALDRAKAMGHVLSIAKDQLYDCLLVERKLRVMLQSTEDRVNVQKKRSAFLIQLAAKTVPRPLHCLPLQLAADYYLQGYHKKGNLDKEKIEDPSLYHYAIFSDNVLATSVVVNSTVQNAKEPEKHVFHIVTDKLNFAAMRMWFLTNPPSRATIEVQNIDDFKWLNSSYCSVLRQLESARIKEYYFKANHPSSLSVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDRILFLDDDIVVQRDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPLISNNFSPEACGWAFGMNIFDLKEWKKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRGWHVLGLGYDPALNLTEIENGAVIHYNGNYKPWLNLAVSKYKSYWSKYVTLDNPYLRVCNLGE >Vigun09g278600.1.v1.2 pep primary_assembly:ASM411807v1:9:43828278:43832087:-1 gene:Vigun09g278600.v1.2 transcript:Vigun09g278600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPLLLFFVFMLLFHVLHSSDVSTTKASTSLYDCDQCHRAKEREISTTRNRLNPDEKDIDIIATYSDTSGHVRLARLKMRDLSDSWIWENPTNGNSEYQKSSQESVESFPTDSSIEDNPKHSIDERKPEENKVEVPHSSSMTPMKIKRQVMRQERKKARTAELTQENRETNNHIVSAAIKHTEEFDTTVKGKYSIWRREYENPNSDSTLKLMRDQIIMAKAYANIAKSKNNTVLYEALLKHSSDSQRAIGEASSDTELHLGALDRAKAMGHVLSIAKDQLYDCLLVERKLRVMLQSTEDRVNVQKKRSAFLIQLAAKTVPRPLHCLPLQLAADYYLQGYHKKGNLDKEKIEDPSLYHYAIFSDNVLATSVVVNSTVQNAKEPEKHVFHIVTDKLNFAAMRMWFLTNPPSRATIEVQNIDDFKWLNSSYCSVLRQLESARIKEYYFKANHPSSLSVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDRILFLDDDIVVQRDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPLISNNFSPEACGWAFGMNIFDLKEWKKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRGWHVLGLGYDPALNLTEIENGAVIHYNGNYKPWLNLAVSKYKSYWSKYVTLDNPYLRVCNLGE >Vigun11g213401.1.v1.2 pep primary_assembly:ASM411807v1:11:40821986:40824147:1 gene:Vigun11g213401.v1.2 transcript:Vigun11g213401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSYSSGPCCQVVNLQRKSDEKCSNSRFPIFRERGVCYSNTIYRRGSVRFHENKEQQRDLVGSVTVTEGCKRINCKAYSDRYEGYVINGEKDLTDISGIEESATTNVVIPGLPDGSNGECGAPISSCFWEWRPKLNVHYDKAGCENVDSPPVLFLPGFGVGSFHYEKQLRDLGRDNRVWALDFLGQGLSLPFEDPTSNGNGSSWGFGDETQPWATNLVYSIDLWHDQVRHFIEEVIGEAVYIVGNSLGGYVALYFAARNPDLVKGVTLLNATPFWANIFPWAGTFPLPASVRRLTELVWEKISDPASIAEVLNQVYADHSTKVDGLISHIVETTRHPAASAAFASIMCAPQAELSFNESLSRCGRMNVPICLMYGREDPWVKPLWGVQVKSKVPEAAYYQISPAGHCPHDEVPEVINFLLRGWIKNVESQGSISLPLLDDLVSEEEGNVWELEFMREGSRKSTMVRFFGSRISILDRIRSYIDNPRSRLRNSAAKSQ >Vigun08g150100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32269620:32270777:-1 gene:Vigun08g150100.v1.2 transcript:Vigun08g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHWCFRCNKYVRVWRQEMPMCPECDSGFVEEIESSSRPVHVEPRRRRFPTAAAMYMIGHRSGNSDQNPRSSRHQQHCRTATGDPSAINPVIMLRGEGSSHERGSSFDLFYDDGAGTGLRPLPPRMSEFLLGTGFDRVMDQLSNVESNSGSGRHDNHHAPASKAAVDALPEIEINASHMVTESHCAVCKEPFELCTRAREMPCKHIYHPECILPWLAIRNSCPVCRKQLPVENARAGLERVEEQVENNVGLTIWRLPGGGFAVGRLGRREGEREVNVPLVYTEVDGGFNFNNIVMGEPRRISWSVSESRGRRRGGTFRRMFNGLFSCLRGGGVGPQRSSSSGSSRSATSTSVRASRPNMGPNMGPSSSTRRSWSMDVNGGTRPW >Vigun05g036300.1.v1.2 pep primary_assembly:ASM411807v1:5:2959871:2961969:1 gene:Vigun05g036300.v1.2 transcript:Vigun05g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHCHCHHHHQAPPPPPPTITATTTTTQCCCYHNPPNTCCTAPPPQHHLLHPIASFLSQPQPHPILPSPKNYTKSHTHHNLNLPTQNHHLQHQHQTHSAISSLLHRIESLESSLNHYTRHSLRHTAATVIQTHFRSFLVRRSRTLTQLKHLASIKSTFNALKSSCSSHAHVDFAALSLKAMNLLLELDSIEGCDPMIVDGKRSISRDLVRFLDSIEEVALRKHVLYVREAKTVRSGKKVQTPRNAGDDERRKLLNNLRDRVEKLSRLCKVSANDEEDSESEEGIHDDGVTNVLIGGSSEVSAKKNGVFLGKRGVQAGVKKSVRFAENGNIREVYSRDVRCSDGSCSSSDEQGEVLDNVSGAVEDDGVNSYQGADEEEEVLVMESGRFLENEEKEQLHAHQEKLMYSAPLPLKMENRSGVKKSKGVKILT >Vigun08g100900.1.v1.2 pep primary_assembly:ASM411807v1:8:24947820:24953354:1 gene:Vigun08g100900.v1.2 transcript:Vigun08g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRKYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGTFQGGAPGTVSYSGVPAAGPPALAPQPPPPPPPLVYPPYGYPTYTPDYGYHQATLYNPQIQQPQYYQQLYGPSSSTMGSPYYYGYSVQAPRSTFSSPQPHRLPAGPSYLYYPTPMEPSFSAYRPPPQLQPLPIRQPSPSPSDSQTQQRTSSEAAGGVVITSESSNSQGRN >Vigun08g100900.2.v1.2 pep primary_assembly:ASM411807v1:8:24947410:24953354:1 gene:Vigun08g100900.v1.2 transcript:Vigun08g100900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRKYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGTFQGGAPGTVSYSGVPAAGPPALAPQPPPPPPPLVYPPYGYPTYTPDYGYHQATLYNPQIQQPQYYQQLYGPSSSTMGSPYYYGYSVQAPRSTFSSPQPHRLPAGPSYLYYPTPMEPSFSAYRPPPQLQPLPIRQPSPSPSDSQTQQRTSSEAAGGVVITSESSNSQGRN >Vigun11g148800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35864428:35864640:1 gene:Vigun11g148800.v1.2 transcript:Vigun11g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNSTVQNQNPSFPSRRRGQIKAQIFSSLFKFVASTFSKEQNNKGDNNGGASAKSTPPPSDYYSSDTS >Vigun06g128700.1.v1.2 pep primary_assembly:ASM411807v1:6:25538765:25541877:1 gene:Vigun06g128700.v1.2 transcript:Vigun06g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYNLKNPAVKRILQEVKEMHSNPSDDFMSLPLEENIFEWQFAIRGPRDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKDERRTLAVKSREAPPKFGTPERQKLIDEIHEYMLSKAPPVPQPSATEASEEHPRNEEAEALVDSPNPESLPAGERIPDEEGDGIVEEQEVLANANPAGVEVSREIQSNVSRNEVPQRSDTSARVHNPRPETRVQKPDDRLFTVAAIGLAIAIVVLLLKKFIKSTEHGALFSNNGS >Vigun06g128700.2.v1.2 pep primary_assembly:ASM411807v1:6:25525526:25541857:1 gene:Vigun06g128700.v1.2 transcript:Vigun06g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYNLKNPAVKRILQEVKEMHSNPSDDFMSLPLEENIFEWQFAIRGPRDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKDERRTLAVKSREAPPKFGTPERQKLIDEIHEYMLSKAPPVPQPSATEASEEHPRNEEAEALVDSPNPESLPAGERIPDEEGDGIVEEQEVLANANPAGVEVSREIQSNVSRNEVPQRSDTSARVHNPRPETRVQKPDDRLFTVAAIGLAIAIVVLLLKKFIKSTEHGALFSNNGS >Vigun06g128700.3.v1.2 pep primary_assembly:ASM411807v1:6:25538842:25541878:1 gene:Vigun06g128700.v1.2 transcript:Vigun06g128700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVENIFEWQFAIRGPRDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKDERRTLAVKSREAPPKFGTPERQKLIDEIHEYMLSKAPPVPQPSATEASEEHPRNEEAEALVDSPNPESLPAGERIPDEEGDGIVEEQEVLANANPAGVEVSREIQSNVSRNEVPQRSDTSARVHNPRPETRVQKPDDRLFTVAAIGLAIAIVVLLLKKFIKSTEHGALFSNNGS >Vigun05g061200.6.v1.2 pep primary_assembly:ASM411807v1:5:5274656:5281012:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNALTISKVTKPLQSSSALSESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun05g061200.5.v1.2 pep primary_assembly:ASM411807v1:5:5274656:5281012:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNALTISKVTKPLQSSSALSESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTQVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun05g061200.2.v1.2 pep primary_assembly:ASM411807v1:5:5274641:5281021:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNSNPPQTFPFFDPFNMEDPNKKVRKPYTITKSRENWTDHEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFMKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNALTISKVTKPLQSSSALSESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun05g061200.4.v1.2 pep primary_assembly:ASM411807v1:5:5274656:5281012:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNSNPPQTFPFFDPFNMEDPNKKVRKPYTITKSRENWTDHEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFMKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun05g061200.3.v1.2 pep primary_assembly:ASM411807v1:5:5274656:5281012:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNSNPPQTFPFFDPFNMEDPNKKVRKPYTITKSRENWTDHEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFMKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTQVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun05g061200.1.v1.2 pep primary_assembly:ASM411807v1:5:5274656:5281012:1 gene:Vigun05g061200.v1.2 transcript:Vigun05g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNSNPPQTFPFFDPFNMEDPNKKVRKPYTITKSRENWTDHEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFMKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNALTISKVTKPLQSSSALSESSFIYRPDSSPVLRPAVSSVPLPSWGYNLTPQAGLLSKVTKDHMVLNQKINPFNYCYSSSSESKQTDQGDQGQPIKAMPDFAQVYSFIGSVFDPNVTNHLQTLQQMDPINVKTQVLLLMRNLSTNLRSPEFENERRMLSLYNANSERKKSSRPSLTDRSESVILSA >Vigun04g088100.1.v1.2 pep primary_assembly:ASM411807v1:4:15316265:15320541:-1 gene:Vigun04g088100.v1.2 transcript:Vigun04g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMASKLAFFPPNPASYKVVKDEVTGLLLLSPFPHRENVEILKLSTRRGTQILTMYVRHPMASSTLLYSHGNATDLGQMYDLFINLTIHLRVNLIGYDYSGYGQSSGKPSEQNTYADIEAVYKCLEETYGTKQEDIILYGQSVGSGPTLDLATKLPQLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVNCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLQGGNHCDLEQFPEYIRHLKKFIAIVEKSPSQRYSFRRSMEQFEQPRKSTDVFDVSRKSTDRREKPRLSTDRPEKLKNLSNNAEKLERLRVTFDHIERSRRSVDCLEKSRKSIDHQLEKARKSVDRLERIRT >Vigun04g030500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2420876:2421028:1 gene:Vigun04g030500.v1.2 transcript:Vigun04g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSHLHIMEFIEVMNIKAKIFIQPIKGEKLLANVVKGKMKINITKKYF >Vigun07g281200.1.v1.2 pep primary_assembly:ASM411807v1:7:39594695:39597323:-1 gene:Vigun07g281200.v1.2 transcript:Vigun07g281200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTQKLKLSSIFSVIAKFSYSTSPHNNLHYDSSVVDNLVAVFTQQPNAAAPELNRFAPILTPPLVESVLTRLPTWKLAFSFFQWASDQHQHGYRHSCYTYNTIASIFSRSRQTTHLKTIVKDLVESAPCSFTPGALGFLIRCLGEVGLAQEAHHLFDEMRVKGLCVPNDYCYNCLLEALSKSGEVDLVEARLEEMKGFGWEFDKFTLTPVVQAYCKARRFDQALRVYDGMKEKGWVDALGDVDKAFELVERMEGEGVRLNEKTFCVLIHGFVKEDRVDRALQLFEKMCRVGFTPPVSLFDVLIGGLCKSNDAQRALSLLSELKQFGVAPDVGIFTKLISAFPDRSVIAKLLEEVPEDKEEKTLVLIYNAVLTCYVNDGMMDEACRLLQMMIQSKSSDVQMDDFFKDKRLVFPNAASFSIVIDGLLTNGQLDLALSLFNDLKQFVGRPSVLIYNNLINGLCDSNRLEESRELLREMKESEIEPTHFTHNSIYGCLCKRKDVLGAIDMLKVMRACGHEPWIKNSTLLVKELCDHGRAVEACDFLDSMVQLGFLPDIVSYSAAMGGLIKIQEVDRALNLLRDLCSRGHCPDVVAFNIIIRGLCKVNRAAEAEKLLDEIVVKGLCPSVLSYNLLIDSWCKSGSVDRAMSLLSRMSEEDREPNVVTYSTLVDGFCRERRPDDALLVWNEMEKKGCSPNRVAFMALIYGLCMCNRPSAALQYLREMEQKEMKPDSFIYIALLSAFLSDMDLASAFEIFKEMVYSGFFPESHDKSYPVVMDAMDKFSKDHRTSSGMKDLSEEGKLPMHWETSYALLVNRSRCYIGQVKIEAFEKKQMYTKTCDAADNLPTKRT >Vigun02g136400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28608182:28609975:-1 gene:Vigun02g136400.v1.2 transcript:Vigun02g136400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVSLSLRAQILYVVLMFFSFIAAQSQQTNGTNFSCPSDSPPSCETYVTYIAQSPNFLSVTSVSNIFDTSPLSIARASNLKNEEDKLIPGQALLVPVTCGCTRNRSFANISYEINPGDSFYFVSTTSYQNLTNWHVVMDLNPGLSPFTLPIGIKVVMPLFCKCPSKNQLDRGIKYLITHVWQPSDNVSLVSNKFGASPEDILSENNYGQNFTAANNLPVLIPVTRLPDLIQSPSDGRKHRIGLPVIIGISLGCTLLVVVSAILLVYVCSLKMKSLNRSASSAETADKLLSGVSGYVSKPTMYETGAILEATMNLSEQCKIGESVYKANIEGKVLAIKRFKEDVTEELKILQKVNHGNLVKLMGVSSDNEGNCFVVYEYEENGSLDDWLFAKSCSETSNSRTSLTWCQRISIAVDVAMGLQYMHEHAYPRIVHRDITSSNILLDSNFKAKIANFSMARTFTNPMISKIDVFAFGVVLIELLTGKKAMTTKENGEVIMLWKDIWKIFDEEENKEERLRKWMDPKLDNYYPIDYALSLASLAVNCTADKSLSRPTIAEIVLSLSLLTQPSPSTLERSLTSSGLDVEATQIVTSIAAR >Vigun03g094300.1.v1.2 pep primary_assembly:ASM411807v1:3:7976752:7978793:1 gene:Vigun03g094300.v1.2 transcript:Vigun03g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKAITKAAAAFSQRGNNESVALVNEEQPQALAIVAPIVSSYNEKIRPVLDAMENLRRLNIAKEGIQLPSIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNHPLPTPELQLEYHGKIIMTDEENVSQAINGATEELAGHGKGISNNPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYDQIKDIIMEYIKPEESIILNVLSASVDFTTCESIRMSQSVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEDARIEEQRLFDSHKLLSKIDKSIVGIPVLAQKLVQIVKKINEKLANNLSESENLPSNLTSVADAMTAFMHIIGLTKESLRKILLRGEFDEYPDEKNMHCTARLVDMLDSFSHDLYGCPESDVTKEFLMDEIKVLEEAKWIGLPNFMPRVAFLTILQNKVRGVRHMPIGFAENVWNYLEDVLFTVITRHCDNYYQLLVSTKRAAQVLISKKKQNSCKHVVEAIEMEMYTDYTCNSEFSQEYNRLISHQAGFVNGVLSVQQPSHVNLEGVGGIDVGHLRQYPAAVLSQAFDLKVRMISYWKIVQKRLIDTIALHLMLSIHNLVNTDLEREIVHDLLSPSGGGIERLLEESPSIAGKREKLKRSVRVLRESKDTVGNIIDRIGSYTDF >Vigun08g193400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36033452:36034198:1 gene:Vigun08g193400.v1.2 transcript:Vigun08g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQEQDKGNSNMSAIDVTVITPKVPKAVSPVSSAAEGDTLRRPRGRPAGSKNKPKPPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARRKQRGLYILNGTGCVTNVTLRQPGSSGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGAVVGALIASGPLVIMAASFMHATFDRLPLEDDELSAAMQNQHYQNGRTHHLDISDLYAMPQNLLMNGTMPPEIYSWAPGRNLSKT >Vigun10g050800.1.v1.2 pep primary_assembly:ASM411807v1:10:7900208:7905335:1 gene:Vigun10g050800.v1.2 transcript:Vigun10g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLWGGPGTISGFLLRFGQCAFGAASIALMVTGFGFSSYTAFCYLIASMGLQFLWSFGLACLDIYALRRKRDLQNPILVSLFVVGDWVTATLSLAAACSSAGVVVLYSRDLNMCATTKRLTCNKYQISVAMAFVTWVLTAMSSHVMFWILASV >Vigun01g076850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21559959:21561304:1 gene:Vigun01g076850.v1.2 transcript:Vigun01g076850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRLDAAELVKIRQVLKDKEIELGDKETELTNLRVKVDELTPKMEAYETQVQGLVEKCKKLENEKEEMADQLCTTLNQGFQLALNQVKILCPEADISGADITKEVVDGQLVEITDD >Vigun02g040866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16683246:16683756:-1 gene:Vigun02g040866.v1.2 transcript:Vigun02g040866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFENCNEVKFHFPFCNTISIDLIFSSSLGRSIRNRGSIFSTTLLLRLTLIHPNHDLIFFLSSLTFKIFYHTPSPIHTTTHKSHASPPCRSLALHLEVRYWRESIDN >Vigun05g062700.1.v1.2 pep primary_assembly:ASM411807v1:5:5404223:5406327:1 gene:Vigun05g062700.v1.2 transcript:Vigun05g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTRIYQVVAVSFLLESLNVYSFECEDDRCKTGFCNSSGACICNLPDPSTILNGNRTFLGGKFCDEEMSMCDGTNSFWCEHGGSCEEIVQGEKYSCNCPDGFGGEHCEHSGAPCGESFCFHNAECLAEAGDVCQCPSEWRGSADCSLLTKPTDFNSNSTETKLSKVSSSSSDSNKTLAVLALSSVGAAVAGAIYGKKVFSKKKREAVKFQQLSEIQASGIFDDDDDDDEDQRRVPQRIHADISHI >Vigun06g141000.1.v1.2 pep primary_assembly:ASM411807v1:6:26655883:26659403:-1 gene:Vigun06g141000.v1.2 transcript:Vigun06g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSVFTVKVALFCAVVLLAASPRFSNAQLDNSFYRDTCPRVHSIVREVLRNVSKSDPRILASLIRLHFHDCFVQGCDASVLLNSTDTIVSEQGAGPNNNSLRGLDVVNEIKTAVENQCPGVVSCADILALAAEISSVLAHGPDWKVPLGRRDSLNASFDLANQNLPGPNFSLDQLKSAFERQNLSTIDLVALSGAHTIGRAQCRFFVNRLYNFNSTGNPDPTLNTTLLQALQAICPNGGPGTNLTNLDLSTPDRLDSNYYSNLQLQNGLLRSDQELFSTTGADTIPIVNNFSNNQTLFYENFKASMIKMSIIQVLTGTQGEIRAHCNFVNANSATLSTLPTKQSSKDGVVSSI >Vigun05g019800.3.v1.2 pep primary_assembly:ASM411807v1:5:1624834:1628270:-1 gene:Vigun05g019800.v1.2 transcript:Vigun05g019800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLPGSRRTIFVSACVWFFLSLLPSLSPASVVSATHGVFNVKCKYQERTLSALKAHDYRRQLSLLAGVDLPLGGSGRPDAVGLYYAKIGIGTPPKNYYLQVDTGTDIMWVNCIQCKECPTRSSLGMDLTLYDIRESSSGKSVPCDQEFCKEVNGGLLSGCTTNTTCPYLEIYGDGSSTAGNFVKDIVLYEQVSGDLKTDSANGSIIFGCGARQSGDLSSSNEEALDGILGFGKANSSMISQLASSGKVKKMFAHCLNGVNGGGIFAIGHVVQPKVNMTPLLPDQYAILLIHFGIRLLFLMLLLITLLGINSSVFLSFFLLVSIHIISFADIVVCFVMIEHCFLFLIWANLTLKLAHEN >Vigun05g019800.1.v1.2 pep primary_assembly:ASM411807v1:5:1618470:1628270:-1 gene:Vigun05g019800.v1.2 transcript:Vigun05g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLPGSRRTIFVSACVWFFLSLLPSLSPASVVSATHGVFNVKCKYQERTLSALKAHDYRRQLSLLAGVDLPLGGSGRPDAVGLYYAKIGIGTPPKNYYLQVDTGTDIMWVNCIQCKECPTRSSLGMDLTLYDIRESSSGKSVPCDQEFCKEVNGGLLSGCTTNTTCPYLEIYGDGSSTAGNFVKDIVLYEQVSGDLKTDSANGSIIFGCGARQSGDLSSSNEEALDGILGFGKANSSMISQLASSGKVKKMFAHCLNGVNGGGIFAIGHVVQPKVNMTPLLPDQPHYSVNMTAVQVGQTFLSLSTDASAQGDGKGTIIDSGTTLAYLPEGIYDPLVSKIISQQTGLKVQTLHDEYTCFQYSESVDDGFPAVTFFFENGLSLKVYPHDYLFPSGDFWCIGWQNSGTQSRDNKNMTLLGDLVLSNKLVFYDLENQAIGWTEYNCSSSIKVKDERTGTVHLVGFHYINSFACVLNINGIMILFLLPLLHTLLH >Vigun02g156000.1.v1.2 pep primary_assembly:ASM411807v1:2:30239362:30240603:-1 gene:Vigun02g156000.v1.2 transcript:Vigun02g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NAGSTCPLPPPPPYTPPPSGPQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTTVPPPEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCKMLLRYQYGARSVKCAVCSFVTLVGASTSTAEQKFST >Vigun03g433800.5.v1.2 pep primary_assembly:ASM411807v1:3:63797310:63800080:1 gene:Vigun03g433800.v1.2 transcript:Vigun03g433800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKDGMNSRLLLDCAKSCILSSSPVFRRQQFLIRCVEGSIDHRPHSRDMQALRSIEVDSESVIRAITPALDPTRHKGQAGNIAVIGGCREYTGAPYFAAISALKIGGDLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVGDENKRSIASKVLSEVDKWMERFNCLVVGPGLGRDPFLLVCFLLNASLCTSFITHGV >VigunL059927.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:28101:28304:-1 gene:VigunL059927.v1.2 transcript:VigunL059927.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun04g059700.2.v1.2 pep primary_assembly:ASM411807v1:4:6029641:6033505:-1 gene:Vigun04g059700.v1.2 transcript:Vigun04g059700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPVVLVLPYPAQGHVNPLMILSQKLVKNGCKVVFVNTEFDHKRVVSSFGEQQHSRKHEEESVMKLVSVPDGLGADDDRNDFGKLCDALQNSMPKELEKLIHNMHLKGENKISFIVADLFMAWALDVGKKFGMKGAIVGPASATTFALMCSIPSLIHDGTLDSDGVRLTTKETIQISSSMAEMNIGDLFWLNIGDIINGKKIFQYLIYCCQSLNLTEWWLCNTTDELEPGALSFVPKILPIGPLLRSYDTKSGTTKAIGQYWEEDLSCISWLDEQHHGTVLYVAFGSITLFDQNQFNELALGLVLTKRPFLWVIREDNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVSHCGWNSTMEGLSNGVPFLCWPYFAEQIQNGRYICDELKVGLGFDKDKNGIVSCKEVKLKVEQLLNDENMKSRSLELKEKVMNNIVKGGASLEKLHRFVKWIKE >Vigun04g059700.1.v1.2 pep primary_assembly:ASM411807v1:4:6029641:6033505:-1 gene:Vigun04g059700.v1.2 transcript:Vigun04g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPVVLVLPYPAQGHVNPLMILSQKLVKNGCKVVFVNTEFDHKRVVSSFGEQQHSRKHEEESVMKLVSVPDGLGADDDRNDFGKLCDALQNSMPKELEKLIHNMHLKGENKISFIVADLFMAWALDVGKKFGMKGAIVGPASATTFALMCSIPSLIHDGTLDSDGVRLTTKETIQISSSMAEMNIGDLFWLNIGDIINDELEPGALSFVPKILPIGPLLRSYDTKSGTTKAIGQYWEEDLSCISWLDEQHHGTVLYVAFGSITLFDQNQFNELALGLVLTKRPFLWVIREDNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVSHCGWNSTMEGLSNGVPFLCWPYFAEQIQNGRYICDELKVGLGFDKDKNGIVSCKEVKLKVEQLLNDENMKSRSLELKEKVMNNIVKGGASLEKLHRFVKWIKE >Vigun05g040200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3257267:3259903:1 gene:Vigun05g040200.v1.2 transcript:Vigun05g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRTGTTTAVTNVGTLKQIRALMIVNGFTSNVGFLRKLVLAAAMSMVGPAANAAVTQYTLQMFAQIPQPDTFMWNTIIRGSSQSRDPSHAVALYVQMDQRSVKPDNFTFPFVLKACTKLVWVKTGSAVHGRILRFGLGSNVVVRNTLLLFHAKCGDLKIATEIFDDSDKRDVVAWSALIAGYAQRGDLSVARKLFGEMPNRDLVSWNVMITAYTKHGEMKCARKLFDESPMRDVVSWNAMIGGYVLRGLNREALELFDEMCTVGECPDEVTMLSLVCACTDLGDLESGEKVHTKIMEMSEGKFSTLLGNALVDMYAKCGNIRKAIHVFWLIRDKDVVSWNTVISGLAFHGHAEESLGLFREMQSTKVCPDEITFVGVLAACSHVGYVDEGNRYFHLMRNKYKIEPNIRHCGCVVDMLGRAGLLKEAFDFIASMKIEPNAIIWRSLLGACKVHGDVDLAKQANEQLLRMRGNQSGDYVLLSNVYASQGEWNGAEKIRKLMDDNGVTKNRGSSFVEAHS >Vigun08g073000.2.v1.2 pep primary_assembly:ASM411807v1:8:12257707:12274237:1 gene:Vigun08g073000.v1.2 transcript:Vigun08g073000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSGDNRIVETEKRLGVSMWIERALIVLVLLLHQIDGGDGCLASSCGKIRNISYPFRLKGDPEGCGLREYELSCENNTTLLSLLSGTFHVKAINYNNFTIRVVDPGLQQPNCSSLPRYFLSPSNFTDSYANVLNPYQTSYEKFVNEHIVFLNCSHGVSGNRKYVDTGGCLNWDSKGYTYAMAGDLEAEDLEVGCDVKLVAPTSWWGLNPNNYSYAMMHTALVYGFYLSWIRLVCEHTCGFDNHTYCHFNDSTHSVQCLNDAFRPPRLLHSLEVAISSILKGFFIAIFRPKQYVGIYYTANHPHDMEYEPRLVKLGHYVGYFIIRYVLGMILFIILLVYKWRRRHSSVYENIENYLQQNSLMPIRYSYKDIKKMTKGFNEKLGEGGYGSVFKGNLRSGPCVAIKVLRKSKGNGQDFINEVATIGRIHHQNVVHLIGYCAEGSKRALVYEFMPNGSLDKFIFSKEGNMHLTYDTIHDIAIGVAHGISYLHHGCDMQILHFDIKPHNILLDEKFTPKISDFGLAKLYSTDKSVVTMTAARGTIGYMAPELFYNNVGRISNKSDVYSFGMFLMEIASKRKNLNPHAEHSSQLYFPFWIYDQLNKGKDLEMEDVRDFENKIIKKMSIISLWCIQLKPNDRPSMNKVVEMLEGDIENLKLPPKPSLYPHETLEDSQRMCFRQTTLSDFVGSSTYSVASNVPLDESI >Vigun08g073000.1.v1.2 pep primary_assembly:ASM411807v1:8:12257707:12274237:1 gene:Vigun08g073000.v1.2 transcript:Vigun08g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSGDNRIVETEKRLGVSMWIERALIVLVLLLHQIDGGDGCLASSCGKIRNISYPFRLKGDPEGCGLREYELSCENNTTLLSLLSGTFHVKAINYNNFTIRVVDPGLQQPNCSSLPRYFLSPSNFTDSYANVLNPYQTSYEKFVNEHIVFLNCSHGVSGNRKYVDTGGCLNWDSKGYTYAMAGDLEAEDLEVGCDVKLVAPTSWWGLNPNNYSYAMMHTALVYGFYLSWIRLVCEHTCGFDNHTYCHFNDSTHSVQCLNDAIPSEPSAFRPPRLLHSLEVAISSILKGFFIAIFRPKQYVGIYYTANHPHDMEYEPRLVKLGHYVGYFIIRYVLGMILFIILLVYKWRRRHSSVYENIENYLQQNSLMPIRYSYKDIKKMTKGFNEKLGEGGYGSVFKGNLRSGPCVAIKVLRKSKGNGQDFINEVATIGRIHHQNVVHLIGYCAEGSKRALVYEFMPNGSLDKFIFSKEGNMHLTYDTIHDIAIGVAHGISYLHHGCDMQILHFDIKPHNILLDEKFTPKISDFGLAKLYSTDKSVVTMTAARGTIGYMAPELFYNNVGRISNKSDVYSFGMFLMEIASKRKNLNPHAEHSSQLYFPFWIYDQLNKGKDLEMEDVRDFENKIIKKMSIISLWCIQLKPNDRPSMNKVVEMLEGDIENLKLPPKPSLYPHETLEDSQRMCFRQTTLSDFVGSSTYSVASNVPLDESI >Vigun11g155800.1.v1.2 pep primary_assembly:ASM411807v1:11:36503718:36505573:-1 gene:Vigun11g155800.v1.2 transcript:Vigun11g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTPPSPTHQSPLITIFNFQRRKMAVPTILLCVMFTISLTWTMVEARIPGIYSGGAWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDKKWCHSGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAFRRVPCRKHGGIRFTVNGFRYFNLVLISNVAGAGDIVRTYVKGSRTGWMPMSRNWGQNWQSNAVLVGQTLSFRVTGSDRRTSTSWNIAPPSWQFGQTFTGKNFRV >Vigun02g151300.1.v1.2 pep primary_assembly:ASM411807v1:2:29827030:29832097:-1 gene:Vigun02g151300.v1.2 transcript:Vigun02g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGYLNENFEVKPKNSSEEALQRWRKLCGFVKNPKRRFRFTANLTMRSKAAAMRRTNQEKLRIAVLVSKAAIQFIQSVQLSDYKVPEEVKAAGFEICGDELGCIVEGHDVKKFRLHGGVNGIAEKLSTSTTEGLNSDSESLNGRQQIYGINKFTESEATSFWVFIWEAFQDMTLMILGVCAIVSLLVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYELLPGDIVHLAIGDQVPADGLFVSGFSVLIDESSLTGESEPVMVNSEYPFLLSGTKVQDGSCKMLITSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLVSKKLQQGSLSSWNGDDALELLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKTCFCMNSKEVSNNKASGLCSELPESAVKLLLQSIFNNTGGEVVVNQNGKREILGTPTEAAILEYGLSLGGDFQGERQACNLVKVEPFNSTKKKMSVVVELPDGGLRAHCKGASEIILAACDKVLNSNGEVVPLDEESTGHLQATINQFASEALRTLCLAYVELENGFSPEDPIPVSGYTCIGVVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSEKELLELIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFTSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFMVIWFLQSRGKTIFLLDGPNSDLVLNTLIFNSFVFCQVFNEINSREMEKINVFKGILDNYVFVCVISATVFFQILIVEYLGTFANTTPLTLAQWFFCLFVGFMGMPIAARLKKIPV >Vigun02g151300.2.v1.2 pep primary_assembly:ASM411807v1:2:29827030:29832097:-1 gene:Vigun02g151300.v1.2 transcript:Vigun02g151300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGYLNENFEVKPKNSSEEALQRWRKLCGFVKNPKRRFRFTANLTMRSKAAAMRRTNQEKLRIAVLVSKAAIQFIQSVQLSDYKVPEEVKAAGFEICGDELGCIVEGHDVKKFRLHGGVNGIAEKLSTSTTEGLNSDSESLNGRQQIYGINKFTESEATSFWVFIWEAFQDMTLMILGVCAIVSLLVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYELLPGDIVHLAIGDQVPADGLFVSGFSVLIDESSLTGESEPVMVNSEYPFLLSGTKVQDGSCKMLITSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLVSKKLQQGSLSSWNGDDALELLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKTCFCMNSKEVSNNKASGLCSELPESAVKLLLQSIFNNTGGEVVVNQNGKREILGTPTEAAILEYGLSLGGDFQGERQACNLVKVEPFNSTKKKMSVVVELPDGGLRAHCKGASEIILAACDKVLNSNGEVVPLDEESTGHLQATINQFASEALRTLCLAYVELENGFSPEDPIPVSGYTCIGVVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSEKELLELIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFTSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFMVIWFLQSRGKTIFLLDGPNSDLVLNTLIFNSFVFCQVFNEINSREMEKINVFKGILDNYVFVCVISATVFFQILIVEYLGTFANTTPLTLAQWFFCLFVGFMGMPIAARLKKIPV >Vigun03g347000.1.v1.2 pep primary_assembly:ASM411807v1:3:54616089:54620671:-1 gene:Vigun03g347000.v1.2 transcript:Vigun03g347000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQISGEAEPNPSTATRLRNPHHGLKEKLRTLTLLYEQQKQASVSLKNASFRFPEKTVMRENAMPNTVVTRTFVLPQPPSNDDDAKENAVIGADRIVGFSCPRKTTTDNNNNVSVCYSATVARKLSMQEPERVDGISEKQGKMGSRIMVFVRLRPMNKKEKEAGSRCCVRVVNRRDVYLTEFANENDYLRLNRLRGRHFTFDAAFPDSASQQEVYSTSTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFSKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVMVEYRVRDAAMNVINRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNFSFGETQNTVHWADRAKEIRLKVSDANEDQLPVPEIETDQTKLVLELQKENRELRIQLAQHQQKMLTLEAQSLASHSSPTPPSAEPLSTPPTSAQPVEKRRSRSSFLAGTCFTPETKKKGAELAIKTLQRTVKTLEAEIERMKKDHKLRLKQKDDIIRELSQNGGRQTLTAGEAGKGVVTRSSLRPKEQNNSEIKSPSQRFRSPAPTAKKRTFWDITTNNSPSVTTLNGRKTRSHVLAEPTAPPPSMLLQPGFARQKAII >Vigun09g196800.1.v1.2 pep primary_assembly:ASM411807v1:9:37166197:37171118:1 gene:Vigun09g196800.v1.2 transcript:Vigun09g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLLPIFALLSVAVVVTYGALSPEVYWKSVLPNTPIPKAVTHILHPDWVEEKSTAVNVGKGGVNVQTGRRGGGGTKVNVGGGKGKGVSVNTGHKGKPVYVNVSPFVYKYAATETQLHDDTKVALFFKENDLHYGKKLDLHFTPSSNQATFLPRQVADSIPFSSNKVNDVFAKFSIKPESEEANIVKNTLNECEDTSIKGEEKYCATSLESMVDFSTSKLGKNVAVLSTEVDQETGLQQYTIAPGVKKVSGDNAVVCHKQSYPYAVFYCHKTETTRTYSVPLEGANGIRVKAVAVCHTDTSQWNPKHLAFEVLKVKPGTVPVCHFLPEDHVVWVQK >Vigun03g200833.1.v1.2 pep primary_assembly:ASM411807v1:3:29803703:29805210:-1 gene:Vigun03g200833.v1.2 transcript:Vigun03g200833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHLTAMSDVYSFGVVLLQLLTGRRSVDKNRPPREQNLVEWARPVLNDSRKMSRIMDPRLEGQYSEMGTKKTAVLGYQCLSHRPRSRPSMSTVVKTLEPLQDFDDIPIGTFVYTAPQDHNNEVQNNAKDQLETNYLTKLPYQAKSKLFYRNFIR >Vigun07g037300.4.v1.2 pep primary_assembly:ASM411807v1:7:3586182:3591785:-1 gene:Vigun07g037300.v1.2 transcript:Vigun07g037300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFDMWDDPAKSNDVLVKLANSAKVVDSLKDMKYKVEEAKLINQLAEMNAIDYGLYKQAYEASLGVSDILDQYEISKLLKGPFDMAGACLVIKASPDDIHPKLWAEQLLSMYLGWAKRQGYEGRIVDKCLSKNGGINSATIEFEFECAYGYLSGEKGAHHLIRGSSNESSQLEASSATIDVIPMFFENNACDLEIDSEDLIISSPSIQGENKRQTDLSVCIQHLPTGISVQSSGERSLFANKMKALNRLKAKLLVIAREQEVGSIKSIEKDNILNPWEEETRRYVFHPYKLVHDVKTGIEMPDLNYVLEGNIGTLIAAHISSRTVS >Vigun07g037300.3.v1.2 pep primary_assembly:ASM411807v1:7:3586182:3591785:-1 gene:Vigun07g037300.v1.2 transcript:Vigun07g037300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFDMWDDPAKSNDVLVKLANSAKVVDSLKDMKYKVEEAKLINQLAEMNAIDYGLYKQAYEASLGVSDILDQYEISKLLKGPFDMAGACLVIKASPDDIHPKLWAEQLLSMYLGWAKRQGYEGRIVDKCLSKNGGINSATIEFEFECAYGYLSGEKGAHHLIRGSSNESSQLEASSATIDVIPMFFENNACDLEIDSEDLIISSPSIQGENKRQTDLSVCIQHLPTGISVQSSGERSLFANKMKALNRLKAKLLVIAREQEVGSIKSIEKDNILNPWEEETRRYVFHPYKLVHDVKTGIEMPDLNYVLEGNIGTLIAAHISSRTVS >Vigun07g037300.2.v1.2 pep primary_assembly:ASM411807v1:7:3586182:3591785:-1 gene:Vigun07g037300.v1.2 transcript:Vigun07g037300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATMVSEHACPTRTVHEVASSLCSKWHSSLGKKKPHVQLFQCSVRIRACSSSMANNKDIYNQVGLFSLKRKIEDAVLRAETFTSTALEIEEATRIKQEEMVRDFDMWDDPAKSNDVLVKLANSAKVVDSLKDMKYKVEEAKLINQLAEMNAIDYGLYKQAYEASLGVSDILDQYEISKLLKGPFDMAGACLVIKASPDDIHPKLWAEQLLSMYLGWAKRQGYEGRIVDKCLSKNGGINSATIEFEFECAYGYLSGEKGAHHLIRGSSNESSQLEASSATIDVIPMFFENNACDLEIDSEDLIISSPSIQGENKRQTDLSVCIQHLPTGISVQSSGERSLFANKMKALNRLKAKLLVIAREQEVGSIKSIEKDNILNPWEEETRRYVFHPYKLVHDVKTGIEMPDLNYVLEGNIGTLIAAHISSRTVS >Vigun07g037300.1.v1.2 pep primary_assembly:ASM411807v1:7:3586182:3591785:-1 gene:Vigun07g037300.v1.2 transcript:Vigun07g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATMVSEHACPTRTVHEVASSLCSKWHSSLGKKKPHVQLFQCSVRIRACSSSMANNKDIYNQVGLFSLKRKIEDAVLRAETFTSTALEIEEATRIKQEEMVRDFDMWDDPAKSNDVLVKLANSAKVVDSLKDMKYKVMVEEAKLINQLAEMNAIDYGLYKQAYEASLGVSDILDQYEISKLLKGPFDMAGACLVIKASPDDIHPKLWAEQLLSMYLGWAKRQGYEGRIVDKCLSKNGGINSATIEFEFECAYGYLSGEKGAHHLIRGSSNESSQLEASSATIDVIPMFFENNACDLEIDSEDLIISSPSIQGENKRQTDLSVCIQHLPTGISVQSSGERSLFANKMKALNRLKAKLLVIAREQEVGSIKSIEKDNILNPWEEETRRYVFHPYKLVHDVKTGIEMPDLNYVLEGNIGTLIAAHISSRTVS >Vigun09g147900.1.v1.2 pep primary_assembly:ASM411807v1:9:31136946:31137410:-1 gene:Vigun09g147900.v1.2 transcript:Vigun09g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRITMNSIFGILCIALLLTSVSANVVCHKEGNCPDAKACFSYCVGLGYKDYGGLCTSQRFNLCCCVSAERPPAIV >Vigun01g158000.1.v1.2 pep primary_assembly:ASM411807v1:1:34004009:34006107:-1 gene:Vigun01g158000.v1.2 transcript:Vigun01g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFEFADKVPPSFDRVASNQGFNPGLIVLLVVGGLLLTFLVGNFVLYTYAQKTLPPRKKKPVSKKKMKKERLKQGVSAPGE >Vigun06g092300.2.v1.2 pep primary_assembly:ASM411807v1:6:22448720:22450144:1 gene:Vigun06g092300.v1.2 transcript:Vigun06g092300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSETIIKSDKSTMILAITIVGKPVKISMLLYFYLISSIFGISSRNLNVIAKPKHPSPLNLPLSITEENFRYLVSLALNHSFQLAMPFAFMSTVHLRPCFQSLFCVFRSVALHCGFTTAASVIFVCLTCSQQLHMFITALPVRGCFRGLRLRRFATASV >Vigun11g215200.1.v1.2 pep primary_assembly:ASM411807v1:11:40943613:40944829:1 gene:Vigun11g215200.v1.2 transcript:Vigun11g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKANLFKGKAKKKSIPPNRHGKAPVTRKGKRFVKPSKVTKEMDADREVSKFINHCNEIKAATLATKDGGQLGIIKPPLEQPSGAK >Vigun03g089300.2.v1.2 pep primary_assembly:ASM411807v1:3:7497709:7502106:1 gene:Vigun03g089300.v1.2 transcript:Vigun03g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVEKTEEVLRSEIDELLRQQREITERLRDPRGLRRGALAGPALRTNGAVRQRPFIRSGDRNESEDQPPAKRRLSSAVVKVDDGELPEDADAGQDGKEKDSAIEGVNGTGAVIQSDRNLFNSHQSGWSKRDGNQRTSKVSDIPPAEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSNSLQRAEQRAREESERLRKEEREQLAEKRRRDLTLRARVSAKTEEKKLELLFLRWSEHHKRLSNFIRTKAEPPIYYLPNKPLDEDPMSLEKRKEEAFLEWKNARREEVSEYQKQIGEQYVANVEKDLERWQNARNARKANNDQNLQETMDKELDTHRLEHGPKKRKIPDGNNNEDDDDDVEDINVGEDDMMDDELDDDSGRRIDETTK >Vigun03g089300.3.v1.2 pep primary_assembly:ASM411807v1:3:7497709:7502106:1 gene:Vigun03g089300.v1.2 transcript:Vigun03g089300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVEKTEEVLRSEIDELLRQQREITERLRDPRGLRRGALAGPALRTNGAVRQRPFIRSGDRNESEDQPPAKRRLSSAVVKVDDGELPEDADAGQDGKEKDSAIEGVNGTGAVIQSDRNLFNSHQSGWSKRDGNQRTSKVSDIPPAEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSNSLQRAEQRAREESERLRKEEREQLAEKRRRDLTLRARVSAKTEEKKLELLFLRWSEHHKRLSNFIRLFLILVQKCPYSHFIQTKISFYSCAL >Vigun03g089300.1.v1.2 pep primary_assembly:ASM411807v1:3:7497709:7502106:1 gene:Vigun03g089300.v1.2 transcript:Vigun03g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVEKTEEVLRSEIDELLRQQREITERLRDPRGLRRGALAGPALRTNGAVRQRPFIRSGDRNESEDQPPAKRRLSSAVVKVDDGELPEDADAGQDGKEKDSAIEGVNGTGAVIQSDRNLFNSHQSGWSKRDGNQRTSKVSDIPPAEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSNSLQRAEQRAREESERLRKEEREQLAEKRRRDLTLRARVSAKTEEKKLELLFLRWSEHHKRLSNFIRTKAEPPIYYLPNKPLDEDPMSLEKRKEEAFLEWKNARREEVSEYQKQIGEQYVANVEKDLERWQNARNARKANNDQNLQETMDKELDTHRLEHGPKKRKIPDGNNNEDDDDDVEDINVGEDDMMDDELDDDSGRRIDETTK >Vigun11g156900.1.v1.2 pep primary_assembly:ASM411807v1:11:36572589:36578040:-1 gene:Vigun11g156900.v1.2 transcript:Vigun11g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHFVLLDKKGPLHQFLPDSNHIHSFRYFPFVFFSFLRLSRSTNKSFFSRSMNFSRIALSLSRSSRNLSQGNGRLRTLTGISRTNTCSDGAESVLGFVRSYVSSARASSSRIFSNLPDFKSVAANPSVRRFFSSEAPKKKNYEKFYPKEKKEVPKEDGKKYDSKDNSNANTDDHGNFQETFMKQVQNIITPLLVMGLFLSTFSNGPREQQEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYIRNSPRNQTDSEVVQGTLPAKEYGGQYKYYFNIGSVESFEEKLQEAQEALGIDSHDFVPVTYSAEMVWYQELMRFAPTLLLLGSLLYMGRRMQGGFGVGGGGGGKGARGIFNIGKAPVTKVDKNTKNKIYFKDVAGCDEAKLEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFLEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFQIYLKKIKLDREPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEVTQVSMDHFESAIDRIIGGLEKKNRVISKVERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGSAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPSREESFEMSKPYSNKTAAIIDSEVRDWVNKAYERTVQLIEEHKEQVTRLAELLLEKEVLHQDDLVQILGERPFKAAEATNYDRFKKGFEEEEEKVAESTIVDVPEEGGGSSSPLEPEVVPR >Vigun08g087950.1.v1.2 pep primary_assembly:ASM411807v1:8:19901706:19902736:1 gene:Vigun08g087950.v1.2 transcript:Vigun08g087950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHFLGFISRFFRFIYRMQVRKRYKGIHNLLLSGHQGNVVRNVYTVFSDFHHIRPLLRDDRKSRQVQRSGFDYAFTVYFFYVFFIFICFLRFGYAFSEQNDEEHCYTG >Vigun10g110800.1.v1.2 pep primary_assembly:ASM411807v1:10:31089893:31099360:1 gene:Vigun10g110800.v1.2 transcript:Vigun10g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESIMRKCVCSLVLMAFCMMPELASVFGATISPSSINQERQALLNTGWWNDYRNISDHCDWEGISCNEAGTVTAIESWHMKTPSSKELLWIDKLNFTAFPNLVSLYLTGMGLRGTIPTAIGSLTNLSNLFLYNNHLHGSIPPQLGNLTQLQVLSLYNNSLVGSIPSTLGNLKSLYGLYLDSNDLEGSIPTEIGNLTKLSELSLFNNLLTGSIPPNLGQLESLTNFFLQANQIIGVIPVEFGNLKSLQTLYLSNNSLSGSIPPTLGRLGNLKHLFLDSNQIEGHIPEELGNLSKLEVLQLSHNKISGILPPKLLQMDKMSSLYLSSNQLCGSIPLKTMKCPYHTGVDLSHNLFNGTITSEIGCINDLSLSHNFLVGEIPFLFRRSFILSRLDLSYNNFSGKIHKELASLSYINLAYNSFDFSQDLDSKSNLPDYCYFQEDSLINDHHMPNFTYCHLLHQTNPQTRKSKPMIMLIVLPIIFFILLLLLSILYFSRCKPKKKCEGIETKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHRVESQNPSFDKSFRNEVKMLTEIRHKNIVKLHGFCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWSKRVNVIKGMAQALSYMHHDCSPPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVFSFGVVTMETLMGKHPRELISASSNPTTQNMLVKDLLDSRLPLPLRKDAQDIYLVINVALSCLCFKPNLRPSMQQVTEKLSSFKFPLNLPFHEIFIHQVMSQDIFHLSSNFQE >Vigun08g182700.1.v1.2 pep primary_assembly:ASM411807v1:8:35213973:35216771:-1 gene:Vigun08g182700.v1.2 transcript:Vigun08g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAEQPNNGEIRENKQKNMGGEGRNRRVLQDIGNLVAKQGHVGINVSKPVARNFRAQLLANAQDKNKKSSTEVENAAVVAKAKAKATQKPKEEPEVIVISSDDESEEKEEYVPKANKARDKDAKAFSSVLSARSKAACGLPREPVVNIDETDNDNELAALDYIDDIYEFYKNTEKDGCVHDYMGSQPDINAKMRSILVDWLIEVHRKFELMPETLYLTMNIVDRFLSVKAVPRRELQLVGISSMLLASKYEEIWAPEVNDFVCISDNAYVSAQVLMMEKTILRKLEWYLTVPTPYVFLVRYIKASTPSDEEMEYMVFFLAELSMMHYPTVVLHCPSLIAASAVLAARCTLQRTPFWTSTLKHYTGYSEEQLRDCAKILVNLHATAAESKLRAVYKKYSSSDCCCVALRSPAKNMTSLS >Vigun09g050101.1.v1.2 pep primary_assembly:ASM411807v1:9:4925860:4927474:-1 gene:Vigun09g050101.v1.2 transcript:Vigun09g050101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEMAHNVSTRKRKDIVERAAQLDVVVTNKTARLRSQEDE >Vigun08g003000.1.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTGEVYKDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAIGDGKSGTINYCQFIAATMDPHKLEKGGNLFKAFQYFDKDDKGYITGDELREAITEHQMGDEAAIDGVFEDVDSDKDGKISYEEFMSMMRNKC >Vigun08g003000.2.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAIGDGKSGTINYCQFIAATMDPHKLEKGGNLFKAFQYFDKDDKGYITGDELREAITEHQMGDEAAIDGVFEDVDSDKDGKISYEEFMSMMRNKC >Vigun08g003000.5.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTGEVYKDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAVSSIHDW >Vigun08g003000.6.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAIGDGKSGTINYCQFIAATMDPHKLEKGGNLFKAFQYFDKDDKG >Vigun08g003000.7.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAVSSIHDW >Vigun08g003000.4.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTGEVYKDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAVSSIHDW >Vigun08g003000.3.v1.2 pep primary_assembly:ASM411807v1:8:291430:294388:-1 gene:Vigun08g003000.v1.2 transcript:Vigun08g003000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFSKVLTHTTDDEIPISSTDSAPQLTYKQPRKNLLFPRPLLPPAMPTQCSSQTEPVLGKPYVKIKHMYEMKKELGRGKFGVTYLCVEKATGIAYACKSIAKKGPQEVENVRREVMILQHLSGQHNIVEFKEAYEDREKVHLVMELCSGGELFHRVVTKGRHSEREAATVMRQIVKVVHVCHFMGVMHRDLKPENFLFATKDEDAPLKLTDFGSSVFFHTGEVYKDIVGNAYYVAPEVLKRNYGKEIDVWNAGVILYILLSGVPPFWAETEKGVFVEVLGGKLDMDSEPWPSTSDAAKDLIRKMLTYDPKERITAAEALEHPWLKEGGEASEKHEDNAVLNRMEQFKAMNQMKKLAMKVIAENLSEEETKGLRQMFNNMDTDNSGTITFEELKSGLSRLGSLPSESEIRQLLNAIGDGKSGTINYCQFIAATMDPHKLEKGGNLFKAFQYFDKDDKG >Vigun05g133300.1.v1.2 pep primary_assembly:ASM411807v1:5:15645381:15647420:-1 gene:Vigun05g133300.v1.2 transcript:Vigun05g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLLKMIRQSLLETLVEALDRRRDDKIDRISILPQSVLLHILSLLDFKEAAATSVLSTSWRDLFLQLPNILLVFDTNGNPSDNPRLFHIFTLFANRVFRERNPEASIKLLHVSVRNYTKRMEEDYRSLLMSVAAAVSTHKVHQFSLDLGTYSSSTKASSIVLPPAMFRSETLTSLLLTLFVGWDVPENVWLPNLRDAHFLPYRLMHENSIQRFLDGCPRLEKLMFCIRLITWKVKTKVKTLRMSSSTLKVLGVTWDLIDETEMSIAVKSESLESLTLCLRGGHKVNVDAPNLKSFDISGHVRELNIIQGFPSIDEAEIDVAYTFQASDLDNIYSRSEKASTFLRALGNVRLLRISEPIMKVLYLSTSAMPTFRNMYKIKLIPHYCDDFPRDRIEQVLFDLFENCPNLQVLSFDKINVFNDYNNSGDVDFEPVFPIRMVQNLKKLKIAHFKGREGEYKLVEYFMNNGESLEIVSVRKDGWKAITREQQKRILSLRAEKRVRIYLGKNLIL >Vigun03g391500.1.v1.2 pep primary_assembly:ASM411807v1:3:59757396:59759248:-1 gene:Vigun03g391500.v1.2 transcript:Vigun03g391500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAYRHSSVIPLDLNEDQNHELFTPTHQTYPSFSSLSSSYPVLFNPPDQEAGSHYWEPTKHLSGHEEAEKINPTVGSWDHSVAESELKVTVWKKKERSEDHEAAAEDGSVKLMSSKMRMMQKMMVPDQTGAYIEDSTVHKFEDQKQPLSPLGTDNSSSNNCSNHSNNNTVRVCADCHTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAASGNGTVILETEKSVKGNKLQKKEKKARSEGAAQMKKKRKHGVGAKASQSRNKFGFEDLTLRLRKSLAMHQVFPQDEKEAAILLMALSYGLVHG >Vigun03g391500.2.v1.2 pep primary_assembly:ASM411807v1:3:59757396:59759248:-1 gene:Vigun03g391500.v1.2 transcript:Vigun03g391500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAYRHSSVIPLDLNEDQNHELFTPTHQTYPSFSSLSSSYPVLFNPPDQEAGSHYWEPTKHLSGHEEAEKINPTVGSWDHSVAESELKVTVWKKKERSEDHEAAAEDGSVKLMSSKMRMMQKMMVPDQTGAYIEDSTVHKFEDQKQPLSPLGTDNSSSNNCSNHSNNNTVRVCADCHTTKTPLWRSGPRGPKRKARRAMAAAASGNGTVILETEKSVKGNKLQKKEKKARSEGAAQMKKKRKHGVGAKASQSRNKFGFEDLTLRLRKSLAMHQVFPQDEKEAAILLMALSYGLVHG >Vigun05g226200.1.v1.2 pep primary_assembly:ASM411807v1:5:41851414:41854152:-1 gene:Vigun05g226200.v1.2 transcript:Vigun05g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVTMVPAGEPSSSAGPSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Vigun11g000600.1.v1.2 pep primary_assembly:ASM411807v1:11:96120:107667:-1 gene:Vigun11g000600.v1.2 transcript:Vigun11g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQALAKTAAVIEKTVQTTVQEVTGPKALQDYELLDQIGSAGPGLVWRLYSARARDPARQHQYPVVCVWVLDKRSLSETRMRAGLTKAAEDSFLDLIRTDAAKLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASAANTLAIVDNIPVLPKDLRGMEMGLLEVKHGLLQIAESLDFLHNQAHLIHRAIAPENILITLSGAWKLAGFGFAVSATQTPGDSSNLQPFHYAEYDVEDSILPLQPSLNYAAPELVRSTGSSAVCSSDIFSLGCLAYHLITRKSLFDCHNNVKMYMNTLTYLSSDAFSSIPSDLVHDLQRMLSLNESSRPTAMDFTGSPFFRHDTRLRALRFLDHMLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNVVIQPMILPMVLTIAESQDKNDFEQYTLPALVPALSTASGDTLLLLVKHADLIINKTSQEHLVSHVLPMIVRAYDDNDARLQEEVLKKSISLSKQLDSQLVKQVVLPRVHGLALKTTVAAVRVNALLCLGDMVNRLDKHSVLDILQTIQRCTAVDRSPPTLMCTLGVANSIFKQYGVEFVAEHVLPLLMPLLSAQQLNVQQFAKYMLFVKDMLHKIEEKRGVAVTDSGMPEVKRPPVVNGVQSEAVRTSSSSVPASTRSSSSWDEDWGPKTKKTASSTDNSIDAASPSLAGSHVGQVTSLQKHLSSTALSAQQTTNSCPSVDVEWPPRASPGVTPQFSDTEKQTIGAGTSSTSNLEADDPFADWPPRPNGSVSSGSGIPNNGTSGMPLNIGFNSMTNTSSNIGPQTSMSWSVSSQSSADPISLNSRTSSTVGSLNSGLGHQNSLGFLKQSQAFPASNVSYNNAQSPATDLGSIFSSNKNEQIAPRLAPPPSSAVGRGRGRGRGAASTTRSSHTKSHAEQPPLLDLLG >Vigun03g062700.1.v1.2 pep primary_assembly:ASM411807v1:3:5146089:5149218:1 gene:Vigun03g062700.v1.2 transcript:Vigun03g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETALAFDVNETKPIICYAATMITSEGVWNKQNPLNYSLPLFLLQLVLVLVVTRLFVYVLKPIRQPRVISELMGGIILGPSVLGKNQTFSDAVFPQRGEILLETMANVGIVYFMFLVGVGMDAASLRRIGRKAVTIAVLGMILPFAVGAVFSAYLIKFNGVAERSTANILFIGSILSVAAFPVLVRILAELKFINSELGRIALSSALVNDLLSCLLLVLSVNLAQRNTPSITLLPTFLASIGFIVFNIFVVRPLMLWMVRKTPEGETFSDFYMCIILAGVMLSGLITDAIGTHAVFGAFVFGLTIPHGPLGLSLVERLEDFITLLLLPLFFASTGLKTDLGLLDNFQEWATLVTLVILACVGKIIGTVIAALYYQISVRDGAVLGLLLNTKGVVEIIVINIGKDQKVLTSESFATLMLISVLMTAIIVPGMSLIYKPVRGVIPYKRRTIQLSQKESEFRVLVCIHTPRNVPTMINLLDATNPSKKSPICIYMVHLTELSGHASALILVQHHTSKDSDHPACNKTQAQSEHIVNAFRNYELQASNVSVQPSTVISPYSTMHEDVCNLAQEKRVAFIIVPFHKHQTVDGEMEPTNMSHRSVNINILSQAPCSVGILVDRGFNTSTHLGPDQQKSHNVAVLFFGGPDDREALSYAWRMSENPGVNLTLMRFVYAEEVLHQHSDHSPDESTVLTVNTDKDNQKKLDDRLISWFRTSRENDASVVYMEKMVNNGEQTVAAIRSMDDVHDLFIIGRGEEMKSPLIAGLTDWSECPELGAIGDLLASSDFAATASVLIVQQYLGEGMEEDILETDQDEQTLTP >Vigun06g220300.1.v1.2 pep primary_assembly:ASM411807v1:6:33024851:33025216:1 gene:Vigun06g220300.v1.2 transcript:Vigun06g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKHENGIEGEPTIIVRVIACFQPLHDCQAEYFRHLLKPVT >Vigun09g098600.1.v1.2 pep primary_assembly:ASM411807v1:9:15502374:15506848:1 gene:Vigun09g098600.v1.2 transcript:Vigun09g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEYESVGSPTKDPLLGKPLSHVRTLDHDPQHSDLNHVAQDDGALGGELQDKLDLKDEGESEDKGSNLEDGGGKLSDEGAAPESGKGEGSEEDGGGGDDDDDCNGGDEGCDWIENVNESESDKVGGDVEGVESRDERSSGIAQQYPLRPEAEDCAYYLKTGSCKFGFNCKFNHPLKRKNQAKKENAGEKEEQAERSGQTECKYYLRSGGCKFGKACKFNHTRGKSSSASPFAELNFLGLPIRVGEKECHYYMRTGSCKFGANCKFNHPDPTAGGGDSPSRYGNGSSVSLQGVSQSSISSWSSTRPLNESTPFVPMILSPNPGVSPQSSEWDGYQAPVYLPERNMHLPSTYVMNNPVMETNVYMNHQKQVQVEEFPERPGEPECSYFLKTGDCKFKSNCKFHHPKNRIARLPPCSLSDKGLPLRPDQNVCTYYSRYGICKFGPACKFDHPPPSTMSGLDQQSSHTDSASVDVAEDGGVSVGNQ >Vigun09g222200.1.v1.2 pep primary_assembly:ASM411807v1:9:39522971:39525703:1 gene:Vigun09g222200.v1.2 transcript:Vigun09g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRAPSTHPSVEEVFLDFKGRRTAIVKALTTEYKDFFQQCDPAKDDLCLYGFPNEVWQVDLPAEEVPAEIPEPVLGINFARDGMEERDWVSFVAAHSDTWLIAVAMFFGARFGFDKADRGRLFDMINDLPTVFEVAVGKVKKEGKKKPPVSNHSNSKSKSNSKRGSESQRKNSKAMESKEEEQGETACGACGENDAAGVDGFWICCDICERWFHGKCVKITRAKADFIKHYKCPTCSIKKLVNCSFKL >Vigun09g129600.1.v1.2 pep primary_assembly:ASM411807v1:9:28659215:28670056:-1 gene:Vigun09g129600.v1.2 transcript:Vigun09g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPRVGGFSAGLAVILNGEDGKKNLPKTRLLSCCDDLGQQSVERTLEYVFGLPNRSLNSLTGPVDRGCIHSVIRNDFSRYNVKLSDSYSESDGVCYINGKSGNGPDIIGLEESSICGDIKVIKSPFLIESMAMFSSARASACVWKGKWMYEVMLETSGIQQLGWATLSCPFTDHKGVGDADDSYAYDGRRVSKWNKDAETYGQSWVVGDIIGCCIDLDRDEILFYRNGNSLGVAFQGIRKMGPGFGYYPAVSLSQGERCELNFGARPFKYPIEGYLPLQAPPCKNYFVTQLLQCWSRLLDMHSVERADHSLVQKLRRVKRFDSLEEIFHPASYAICEELFSILEADVGITEYVAWGPLLSFMFDVFGLHAPHDYSSLDKVVEVMLQFEGSHVLFKHILNALSFGCKIALLILTECPYSGSYSHLALACHLLRREELMVLWWKSPDFEFVFEGFLSQKTPNKHDLDFMIPTVWWPGSCEDASYEGNMMLTTTALSESVNKIEEKHRDLCRLVIQFIPPTNPPQLPGAVFRTFLQSLLLKNRGAERNIPPPGVSSNSVLVSIYTVVLHFLSEGFALGDICGWLKSCKTDVGFLHRGGEQSFPVHLFLKSDPHRADISRLGGSYSHLSKLHPTFDHEMEVIQWDEGCMDNEETRVTHSTRQKPCCCSSYDSDFTRNFKVPAKYLAKGSRGHCSSIPERPAHVTAECSDGTLNDEITDKPSPSDQSEPEYGYRQVLHMKSVPKDTNISTATLREEELLDALLWLYHVGLAPNFKQASYYMTHQTQSISLLEETDKQIRERACSEQLKHLKEVRNGYREEVIDCVRHCAWYRISLFSRWKQRGMYAMCMWVVQLLLVLSNMDSVFIYIPEYYLEALVDCFHVLRKSDPPFVPSTIFIKRGLTSFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYREYLATFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFSFSKNGESSSSSVLFQRLLREACMSDEGLFSSFLNRLFNTLSWTMTEFSVSVREMQEKYQVIEFQQRKCCVIFDLSCNLARILEFCTREIPQAFLSGPDTNLRRLTELVVFILNHITSAADAEFFDLSVRRHSQSPEKINRGMILAPLVGIILNLLDATNSEEYRENNDLLDVFASMDCPDTVQYGFQYLLDYNWDGSFRGEAYVAKYEQLENFLSLLTCRTVLPHGKVDSVGDTDLDDRLCCICYACEADAQIAPCSHKSCYGCITRHLLNCQRCFFCNATVTSVSKIG >Vigun03g348050.3.v1.2 pep primary_assembly:ASM411807v1:3:54807248:54812193:1 gene:Vigun03g348050.v1.2 transcript:Vigun03g348050.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTGVNNHYRTAYKADDQKSVMDVALISNMDPVNIGLACSDKPGPVTSLKPRKKTMTSVYLKFFETAVDGKTRRCKFCGQSYSIATATGNLGRHLANRHPGYDKSGDAVSNSAARTITVVKKSQPQGKANQVDYDHLNWLLVRWLVLAALPPSILEEKWLVNSYKFLNPSIHLWPSDKYRTVLDEVFRSMREDVRALLEQVSSKLSITLDFWTSFEQIYYMSVTCQWIDENWCFQKLLLDICRIPYPCGSTEIYRSLVKVLKFYNIETRILSCTHDNSTSAMHACHTLKEDLDGQKIGPFCYIPCAARTLNVIIDDGLRSAKQVISKIREFVIELNASPVISEDFIQISTAYQEGIWKFPLDISARWSGNYQMLDLVRKAGKSVDAVIRKYEEMLGSRMLLGSSDKSVVSIMHQYLEPFYKTTNNICTSKVPTVGLVLFFMDHISETINSCRESRHSPEWLKNAAEEMAKKARNYIHQVCNIFTYMTAILDPRIKGELIPDSLNSETFLDEARSHFIRNYSTSHFSSMSSGYNAQEIEEGGSVSFAEEIARKKRRTTMSSATDELTQYLSEAPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQATSVVPEELFCGKGDEIDKQRICMPHDSTQAILCIKSWIQVGVKFKFKSTEIDYERLMELAAAAAATDNSPASSDKKPK >Vigun03g348050.2.v1.2 pep primary_assembly:ASM411807v1:3:54807248:54812193:1 gene:Vigun03g348050.v1.2 transcript:Vigun03g348050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNSPTRVTVGDANYMDWTGVNNHYRTAYKADDQKSVMDVALISNMDPVNIGLACSDKPGPVTSLKPRKKTMTSVYLKFFETAVDGKTRRCKFCGQSYSIATATGNLGRHLANRHPGYDKSGDAVSNSAARTITVVKKSQPQGKANQVDYDHLNWLLVRWLVLAALPPSILEEKWLVNSYKFLNPSIHLWPSDKYRTVLDEVFRSMREDVRALLEQVSSKLSITLDFWTSFEQIYYMSVTCQWIDENWCFQKLLLDICRIPYPCGSTEIYRSLVKVLKFYNIETRILSCTHDNSTSAMHACHTLKEDLDGQKIGPFCYIPCAARTLNVIIDDGLRSAKQVISKIREFVIELNASPVISEDFIQISTAYQEGIWKFPLDISARWSGNYQMLDLVRKAGKSVDAVIRKYEEMLGSRMLLGSSDKSVVSIMHQYLEPFYKTTNNICTSKVPTVGLVLFFMDHISETINSCRESRHSPEWLKNAAEEMAKKARNYIHQVCNIFTYMTAILDPRIKGELIPDSLNSETFLDEARSHFIRNYSTSHFSSMSSGYNAQEIEEGGSVSFAEEIARKKRRTTMSSATDELTQYLSEAPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQATSVVPEELFCGKGDEIDKQRICMPHDSTQAILCIKSWIQVGVKFKFKSTEIDYERLMELAAAAAATDNSPASSDKKPK >Vigun03g348050.1.v1.2 pep primary_assembly:ASM411807v1:3:54807247:54812193:1 gene:Vigun03g348050.v1.2 transcript:Vigun03g348050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLCFLLIRQVTVGDANYMDWTGVNNHYRTAYKADDQKSVMDVALISNMDPVNIGLACSDKPGPVTSLKPRKKTMTSVYLKFFETAVDGKTRRCKFCGQSYSIATATGNLGRHLANRHPGYDKSGDAVSNSAARTITVVKKSQPQGKANQVDYDHLNWLLVRWLVLAALPPSILEEKWLVNSYKFLNPSIHLWPSDKYRTVLDEVFRSMREDVRALLEQVSSKLSITLDFWTSFEQIYYMSVTCQWIDENWCFQKLLLDICRIPYPCGSTEIYRSLVKVLKFYNIETRILSCTHDNSTSAMHACHTLKEDLDGQKIGPFCYIPCAARTLNVIIDDGLRSAKQVISKIREFVIELNASPVISEDFIQISTAYQEGIWKFPLDISARWSGNYQMLDLVRKAGKSVDAVIRKYEEMLGSRMLLGSSDKSVVSIMHQYLEPFYKTTNNICTSKVPTVGLVLFFMDHISETINSCRESRHSPEWLKNAAEEMAKKARNYIHQVCNIFTYMTAILDPRIKGELIPDSLNSETFLDEARSHFIRNYSTSHFSSMSSGYNAQEIEEGGSVSFAEEIARKKRRTTMSSATDELTQYLSEAPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQATSVVPEELFCGKGDEIDKQRICMPHDSTQAILCIKSWIQVGVKFKFKSTEIDYERLMELAAAAAATDNSPASSDKKPK >Vigun07g064200.1.v1.2 pep primary_assembly:ASM411807v1:7:7445993:7453785:1 gene:Vigun07g064200.v1.2 transcript:Vigun07g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKKKRRRSDDSSSDSPSSQSSDDSNSSDSSSSERHRRDGHRSRRRSRRGRSKHDSDSSSDESSDRGRKKKKSSRYITEEEIAQYLAKKAQKKALKVAKKLKTRTVSGYSNDANPFGDSNLNEKFVWRKKIERDVVQGVPIDAFSVKAEKMRQRERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFHDWEKREEEFHFDQSKVRSEIRLREGRAKPIDILTKHLNGSADLDIEINEPYMVFKGLTVKEMEELHDEIKMHLDLDRATPTHVEYWEALLLVCDWELAEARKKDAIDRARVRGEEPPPELLAEERGLHYSVEPDVKRLLEGKTHAELEALQVHISSEMRTGTAKVVEYWEAVLKYLHIYKAKASLKEIHAKMLRKHLQHLEQPSEDEDKLEDAPVRNSDEDNEHYRKSQSADESFSPEPIKVEEDQEAEDQAGSFSPELFHGDENEEAIDPEEDRALLEWKRMAVKEEQHKRIQEAMALKPAPSEDNFETKAMKAMGAMEDGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >Vigun05g254900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44941284:44943329:-1 gene:Vigun05g254900.v1.2 transcript:Vigun05g254900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAITRARVPRCMLFSSTSHSQHFQFRNDIRNNLKPKDPISENNKFEETIDVLCQQKRVKEAIELLHRIDHRPSARVYSTLIAACVRHRALELGRRVHAHTKASNFVLGVFISNRLLDMYAKCGSLVDAQMLFDEMGHRDLCSWNTMIAGYAKLGRLEQARKLFDQMPQRDNFSWNAAISGYVSHDRPWEALELFRGMQRCERSNSNKFTLSSALAASAAIPCLRLGKEIHGFLIRTELNLDEVVWSALLDLYGKCGSLDEARGIFDQMKSKDVVSWTTMIHRCFEDGRKEEGLSLFRDLTRSGVRPNEYTFAGVLNECADHAAEHLGKEVHGYMMRVGYDPCSFAVSALVHMYSKCGNTRVARRVFNQMLQPDLVSWTSLIVGYAQNGEPDEALHFFELLLQSGTKPDQITFVGVLSACTHAGLVDKGLEYFHSIREKHGLVHTADHYACVIDLLARSGRFKEAENIIDNMPIKPDKFLWASLLGGCRIHGNLELAKRAAKALYEIEPENPATYITLANIYANAGLWTEVAKVRKDMDNRGIVKKPGKSWIEIKRKVHVFLVGDTSHPKTSHIHEFLVELSKKMKEEGYVPDTNFVLHDVEEEQKEQNLVYHSEKLAVAFGILSTPPGTPIKVFKNLRTCVDCHTGIKYISKIVQRRIIVRDSNRFHCFENGSCSCKDYW >Vigun01g036700.1.v1.2 pep primary_assembly:ASM411807v1:1:4752514:4755830:1 gene:Vigun01g036700.v1.2 transcript:Vigun01g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGWLSMIKKLFLWGAQSSQSKKEKRRVWIFGRVKAKRLPSITAPPPSKETRLSEAEDEHSKHALTVAIASAAAAEAAITAAQVAVEVVRLQSAHQQHNEKQEQLQPVKTGHHAPHSTHHCQRKMEEASAIRIQTAFRGYLSRKALRALKGIVKLQAIIRGRAVRRQALCTLKCLESLVSIQSHMFARRLQMVEGRWDCGEHEEDMEGSRDMIIRMDSNSERRWDDSILLKEEVDASCMSKKEAVVKRERVKEYSFNHRKSAESERGKINGRWRYWMEQWVDTQLSKSKELEDLVSVFSSHHSKPGEENGRRQLKLRNTERQNQVEGLDSPAVSSRNTFPHRSQISEAEDHTFPNSPSIPTYMAATKSTQAKARSTSSPRARLGGNFDMNSDTYSPCKKKLPLVSSINQQRSPSLKGLPRPLKLSQTLRDLSINSDCSVPN >Vigun04g010100.1.v1.2 pep primary_assembly:ASM411807v1:4:754974:760090:-1 gene:Vigun04g010100.v1.2 transcript:Vigun04g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGAKYKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWCGVDGEDNVLVMDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQNLKAATKKQKYDKICEKKVATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTILKYQQAQKNRTQPRMSMVPGASSTPAVPMDVDNNRGDVSAERIKSGNVTGSGVKIQFKSPGLKNLGSEKPLDKNIFGEANIPSTSYSLAGTSKRNSLKPALSTEAANIVNGQGSNKIGPSSSWISSVQRISSAK >Vigun04g010100.3.v1.2 pep primary_assembly:ASM411807v1:4:754974:760090:-1 gene:Vigun04g010100.v1.2 transcript:Vigun04g010100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGAKYKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWCGVDGEDNVLVMDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQNLKAATKKQKYDKICEKKVATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTILKYQQAQKNRTQPRMSMVPGASSTPAVPMDVDNNRGDVSAERIKSGNVTGSGVKIQFKSPGLKNLGSEKPLDKNIFGEANIPSTSYSLAGTSKRNSLKPALSTEAANIVNGQGSNKIGPSSSWISSVQRISSAK >Vigun08g139200.1.v1.2 pep primary_assembly:ASM411807v1:8:31180450:31184171:-1 gene:Vigun08g139200.v1.2 transcript:Vigun08g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEALSQLHSYFGKVEKKVTSAEPKKTIICSSTEEDDDKYSLLHTVFSWKLKDALNEDLYKNKVQKIPETFESVSSYLNSFIVPLIEETHSDLCSSLKGVPRARFCEIKSFQRARFFRPDKELFYQITLKNISDEVEDEEEENGGKYVPESGDIFSLTDVKPRRVDDLNRPRRFYHIAYVCSQNEEILILSSKIMEMDILNDLSSNKLYAVFLFNLTTSNRVWKALHSLPENYDLDIIKQVLQPEVNSGETCENCQSEENKTLHGITTRCLLQNQNLNESQEEAVSSCVGMIKCAHSDIKLIWGPPGTGKTKTLACLLFCLLKLKHRSLACAPTNTAILQVAARLHGLFQGSAEYETYGLGDIVLMGNKSRMKLDSCPNLKDVFLDHHPEKEYSLYKKEKGAMSLEEFVTLKDIDLASAFRSCKQRMKISGTMTFAEYVMQNRMDVFERFHTEQGMISVLTMKQFIKQTFADLTKKLKFCMQTLYTHLPTSFISTEQVKNMIEVMDLLDSVEARLKVSLNSYQESNIGPVCFGSSGTKCRSIKLYTEGMNPIKFLVIDEAAQLKECESAIPLRLPGLNHCILIGDEKQLPALVKSKIAENADFGRSLFERLVRLGYKKHMLAVQYRMHPSISLFPCKEFYDGLISDGSNVVQSSYSKSFIEGAMYGSFSFINVSKGKEHFGRGGFSSKNMVEAATISEIIGSLKEAFMKSKKRVSIGIISPYNAQVYEIQEKIKQYCSTSHPGFSVSVRSVDGFQGGEEDIIIISTVRSNGSGKVGFLTNAQRANVALTRARYCLWVIGNASTLVSSDCVWKKLVLDAKKRDCYYNADDDKRLAQAIDMAAFELDLLEESDSRFKKLSLGDKLPTKFSK >Vigun08g133800.2.v1.2 pep primary_assembly:ASM411807v1:8:30519261:30520974:-1 gene:Vigun08g133800.v1.2 transcript:Vigun08g133800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLISFLPFFLYSVSFILLVSQILKVGKRSKTSAKTLKHLPGPWKLPVIGSLHHLVGFLPHHRLRELAQKHGPLMHLKLGEASTIVVSSPEVAKDVMKTYDAIFAQRPHTIGADIIRICSQELLSIARVKSFRSIREKEVLNLIRYIETNTGSCVNLSEKVASMTSVIVGRTTFGEKCKDQEEFISIVKKLVKMAESLVILDLFPSHKWLHKLSGQQPKLEELHKQYDVIIGNIISEAEQKIGEVEVNSLLSVLLNVRNQGSLEYPLTIDNIKAVMMNIFGGGTYTSSAIIEWAISEMLQNPKVMAKAQEEVRRVFGSKGYDNEESLEELKYLKAVIKETLRLHPPFPLLHPRECRETCELKGYVIPVGTQVIVNAWAIARDPDYWSDPEKFYPERFMDSPIDYKGNHHEFIPFGAGRRICPGISFGVITIELCLAQLLYYFNWELPNGNNENLEMDEALGAFSRRKTDLILVPISHNRLPISSDLN >Vigun05g102200.1.v1.2 pep primary_assembly:ASM411807v1:5:10183631:10187344:1 gene:Vigun05g102200.v1.2 transcript:Vigun05g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQHEPWLLENGNPKVLTREMRHGRTAHSKSSNSLRKKSDRTLVSKVPCATLRNVLLNLQEVILGTKLSILIPAIPAAIVAEYCGFGRPWVFVLSLLGLTPLAERVSFITEQVAFYTGPTVGGLLNATCGNVTELIIAIFALSSNKIAVVKYSLLGSILSNLLLVLGTSLLCGGIANVRLEQKYDRRQGDVNSLMLLLALLCYLLPMLFKYSAASAALTVDPSLHLSRASSIVMLIAYVVYIIFQLWTHRQLFEAEDEDEDDNNGSDDEQAVIGLWSGIAWLIGMTVFIALLSEYVVDTIEDASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIAMFVVPLCVIVAWTMGIKMDLNFNILETGSVALAIIVTSFTLQDGTSHYMKGLILLLCYIVIGACFFVERTPLNQGDVTNVIPKPAINAVLSA >Vigun05g102200.2.v1.2 pep primary_assembly:ASM411807v1:5:10183631:10187344:1 gene:Vigun05g102200.v1.2 transcript:Vigun05g102200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQHEPWLLENGNPKVLTREMRHGRTAHSKSSNSLRKKSDRTLVSKVPCATLRNVLLNLQEVILGTKLSILIPAIPAAIVAEYCGFGRPWVFVLSLLGLTPLAERVSFITEQVAFYTGPTVGGLLNATCGNVTELIIAIFALSSNKIAVVKYSLLGSILSNLLLVLGTSLLCGGIANVRLEQKYDRRQGDVNSLMLLLALLCYLLPMLFKYSAASAALTVDPSLHLSRASSIVMLIAYVVYIIFQLWTHRQLFEAEDEDEDDNNGSDDEQAVIGLWSGIAWLIGMTVFIALLSEYVVDTIEDASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIAMFVVPLCVIVAWTMGIKMDLNFNILETGSVALAIIVTSFTLQDGTSHYMKGLILLLCYIVIGACFFVERTPLNQGDVTNVIPKPAINAVLSA >Vigun05g255900.1.v1.2 pep primary_assembly:ASM411807v1:5:45035324:45041126:-1 gene:Vigun05g255900.v1.2 transcript:Vigun05g255900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIEQDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADTDSKAEPAGIKINQQDQATAGEVAQKSACCG >Vigun05g255900.2.v1.2 pep primary_assembly:ASM411807v1:5:45035324:45040860:-1 gene:Vigun05g255900.v1.2 transcript:Vigun05g255900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIEQDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADTDSKAEPAGIKINQQDQATAGEVAQKSACCG >Vigun03g426500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63171488:63173449:1 gene:Vigun03g426500.v1.2 transcript:Vigun03g426500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKQKGGVGAESGGVVEDLGCVIHEHALFFDKLIELIPAKFYLPTDDKEKPWFQGLSKVAKAELKKETKENIKKSRRDRLDPEKPSATTLDLLKESLGKEKVDDSDEEQEEAVAKPIVSGLEGDDRSVTYEELRQRLHRKLEEFRAGRNLGNSEKKRDERNARRGYTDLKRKRDDETEKGDNVSGELVEKVKKDAAEASKELVFGHVKLQNEEMLGKKKRKLSKHKELERAKKLEEVKKNDPEKAEVFAKKQSWKAAMDRASGVKVHDDPKLLQKSIKKEKKKQQKNSEKWKDRVQTRDQLKAEKQKKRSDNIAARIHEKKMRKIAKREKKLMRPGFEGRKEGFMNDGGAT >Vigun05g033900.1.v1.2 pep primary_assembly:ASM411807v1:5:2755621:2760311:1 gene:Vigun05g033900.v1.2 transcript:Vigun05g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQDRDNTRNNHHYISSAPTTTAQSPMNNLPRDLLRSFMGVNNHHGHHGNHQNLPVPVVKLEEEEELELSLGLSMNGRFGVDPTAKKIKRTTSIPEFVRDEMGYAVVQCTAPLVRTCSLPTETEEEWRKRKELQTLRRMEARRKRSEKQRNLKALREQQQQQQPRVGSEGNNPVEQSAGAYAEGAPLGRTVSLTTRVCGLGLNGDSEKEKKEKGGVVLAPPSPSQGSIGSSGTSEVESRQGQGPTPIDVRSPTSGNLQPDSELKSAIAAQASVAENGSKNAGAVGVRSESNKHSVPQNRTKDIVRNLLEDMPCVSTKGDGPNGRRVEGFLYRYGKGEEVRIVCVCHGSFLTPSEFVKHAGGGDVANPLKHIVVSPSIL >Vigun03g122300.2.v1.2 pep primary_assembly:ASM411807v1:3:11445709:11460021:-1 gene:Vigun03g122300.v1.2 transcript:Vigun03g122300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLAWCQSFKGYDLTKQKSPGYSHTISRVYRNSIFVLYSVNKKVPVLPHGANHGIFHGSSVSENFFKKPPLYAPLSSGWKGLYRPRWERLQTNVAYDVAGAVDVINDLGLDTLTFLAVTVIIVPVFKSLKASPILGFFCAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFGMGLAQVLLSTLAFTAFELPPNGAVGTKILEFLFHSRPDLVNIRSVDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDLAVVPLLVILPILESQNITEGSIWPTLAQESLKALGGLGLLSLGTKYILRRVFEVVADTRSSEAFVALCLLTIAGTSLGTQNLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFLTTGTSIDMQLLLREWPNVLSLLGGLIVIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAASFIEEKSDAENNEKALETVNFNASEPVVILGFGQMGQVLANFLSNPLASGEGDEVGWPYVAFDLDPNVVKTARKIGFPIVYGDGSRPDVLQSAGVSSPKAFMIMYTGKKKTIDAVQRLRLTFPA >Vigun03g122300.1.v1.2 pep primary_assembly:ASM411807v1:3:11445709:11460021:-1 gene:Vigun03g122300.v1.2 transcript:Vigun03g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLAWCQSFKGYDLTKQKSPGYSHTISRVYRNSIFVLYSVNKKVPVLPHGANHGIFHGSSVSENFFKKPPLYAPLSSGWKGLYRPRWERLQTNVAYDVAGAVDVINDLGLDTLTFLAVTVIIVPVFKSLKASPILGFFCAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFGMGLAQVLLSTLAFTAFELPPNGAVGTKILEFLFHSRPDLVNIRSVDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDLAVVPLLVILPILESQNITEGSIWPTLAQESLKALGGLGLLSLGTKYILRRVFEVVADTRSSEAFVALCLLTIAGTSLGTQNLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFLTTGTSIDMQLLLREWPNVLSLLGGLIVIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAASFIEEKSDAENNEKALETVNFNASEPVVILGFGQMGQVLANFLSNPLASGEGDEVGWPYVAFDLDPNVVKTARKIGFPIVYGDGSRPDVLQSAGVSSPKAFMIMYTGKKKTIDAVQRLRLTFPAIPIYARARDLKHLLDLKKSGATDAILENAETSLHLGSKLLKGLGVMSDDVAFLSQLIRDSMELQAQEAVGQPENRGLDIMKPLQVKASDLREARVPVAVTSPESELSEMNQKDQASSVRNEREVDPEEQEHELSEAVKLEGNGVLLSKQNSEESAEEP >Vigun11g066750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:16799207:16800093:1 gene:Vigun11g066750.v1.2 transcript:Vigun11g066750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTEHSKGRSDVWEHFTKQDPYSEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRKKMSSSTTEGASVGPSPTISKFDQNASRMKLVKMFVKSELPFRFVEDEDFCDFVRSLQPRFEVPSRTTLRREMWELYEEEKAKLKFFLSK >Vigun01g045200.1.v1.2 pep primary_assembly:ASM411807v1:1:6685588:6690156:-1 gene:Vigun01g045200.v1.2 transcript:Vigun01g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRRRVGARCSVVAGSVWESRMKSDEVGGGVKVFNAEQGSEEGGNGGTRLKRSQNGGVIATGKTKSWKLERSEGLENKKIQSGRGKVEQCKNLNVSSDSIKKSPKQVRKEKNEGTSVCTDKLEQGQILTRRKRSEVGEPGDKNIGEIRKNNGKNDSDENCKDFGVCQEKVISSSSDDASMVKCSLVHVDGDSHGDEEEDEEEEIDTEMEIQSFDVKEINPPKSKVGNKPEKEFVNVPEKQKIEKSVKTDRHFHQKHERLLLAVPLTVKPSPPIKKLSTVHQNFSKADSIPKAEECYSFPQSQNKLQSLVDLIMWKDISRSAFIFGIGTFTIVSSSYARDTNLSLISAMSYLGLVYLAVIFLYRSLICRGVIDVESSNYVLGEEEAIWVLKLILPYLNEFLSQLRTMFSGDPGTTIKLAISFFVLARCGSSITILIWPNSASLDLLPCQESAPHILHS >Vigun01g045200.2.v1.2 pep primary_assembly:ASM411807v1:1:6685588:6690159:-1 gene:Vigun01g045200.v1.2 transcript:Vigun01g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRRRVGARCSVVAGSVWESRMKSDEVGGGVKVFNAEQGSEEGGNGGTRLKRSQNGGVIATGKTKSWKLERSEGLENKKIQSGRGKVEQCKNLNVSSDSIKKSPKQVRKEKNEGTSVCTDKLEQGQILTRRKRSEVGEPGDKNIGEIRKNNGKNDSDENCKDFGVCQEKVISSSSDDASMVKCSLVHVDGDSHGDEEEDEEEEIDTEMEIQSFDVKEINPPKSKVGNKPEKEFVNVPEKQKIEKSVKTDRHFHQKHERLLLAVPLTVKPSPPIKKLSTVHQNFSKADSIPKAEECYSFPQSQNKLQSLVDLIMWKDISRSAFIFGIGTFTIVSSSYARDTNLSLISAMSYLGLVYLAVIFLYRSLICRGVIDVESSNYVLGEEEAIWVLKLILPYLNEFLSQLRTMFSGDPGTTIKASLDLLPCQESAPHILHS >Vigun08g148200.1.v1.2 pep primary_assembly:ASM411807v1:8:32082441:32086267:1 gene:Vigun08g148200.v1.2 transcript:Vigun08g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNERRQQERTGRYGTSRVEFLQELVTQFQNTSADETREKILANLANFAYDPYNYNFLRQLNVLELFIDCLTEPNEKLVEFGIGGICNSCVDPANSAIVTKFGGIPPIIQCLSSPDRNTVNYALGSLYYICDDFNKEEILKPEVIDIIRRYAAAEDVSVSFSNLAKAFVNKHLSGNE >Vigun09g122400.1.v1.2 pep primary_assembly:ASM411807v1:9:27309169:27314322:-1 gene:Vigun09g122400.v1.2 transcript:Vigun09g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSSSPNEEQLDVENSKLDKDKERTPRSARVVKVHNQALLSGLAYCFSSCGMILVNKFVLSSYDFNAGISLMLYQNLISVVIVSVLSILGLVSTEPLTWRLIKVWLPVNVIFVGMLVTSMFSLKYINVAMVTVLKNVTNVITALGEMYLFKKHHDGRVWAALFLMIISAITGGLTDLSFNAIGYAWQTLNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGCFLIVVFNEVDYLLSTPLLRLPSFWLVMTFSGILGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASIFFGLLAGVFFARAKIRERSQS >Vigun03g298900.1.v1.2 pep primary_assembly:ASM411807v1:3:48691332:48694777:1 gene:Vigun03g298900.v1.2 transcript:Vigun03g298900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAAEIKNRMASQPVNNARPPTVQIRGQPVNQKAGCCSN >VigunL059111.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:7873:8135:1 gene:VigunL059111.v1.2 transcript:VigunL059111.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELQNPVNHRVFERKLRLKPLG >Vigun03g343200.1.v1.2 pep primary_assembly:ASM411807v1:3:54222785:54226483:1 gene:Vigun03g343200.v1.2 transcript:Vigun03g343200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDMMIIMTTLKKLKSLVILFLKRQRERVREYDNVTGKGVSKLNTDSKALTLLRVVAGYLEFLLHFHCERNSAPEEMESVWFSTTGSAVPRVGFLAILLLSLLLCTTFSSTEAYDALDPTANITIKWDVISWTPDGYIAVVTMYNFQQYRHIQAPGWILGWTWAKKEVIWNVVGGQTTEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCTGGVLTSWAQDPEHAISSFQLSVGSAGTTNKTVKLPRNFTLKAPGPGYTCGPAKIVKPTKFITKDKRRTTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNNTIVNCPTCTCGCQNKTEPGSCVDPNSPHLASVVSPPGKAISTPLVRCTNHMCPIRVHWHVKLQYKEYWRIKITITNFNYIVNYSQWNLVVQHPNFDNLTQVFSFNYKPITPYMGLNDTGMLWGVKFYNDLLTSAGPLGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRLVFSLLSTVFGTLACVVMLLT >Vigun05g073600.1.v1.2 pep primary_assembly:ASM411807v1:5:6481266:6483464:-1 gene:Vigun05g073600.v1.2 transcript:Vigun05g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGGSSGGTTTRLLPTAAEDGGDSDRESSERPREPWKGEYVKSIVFAGLDAIITCFALISSINASTRSSGHVLVLGFSNLVADAISMGFGDSVSASSEQEVIIEERKVTEWDVINQRKKEQSELINHYQALGMDCNDATMFVTWCKVVNIFTKYKDIMVDQRMMADKGVLPADQEVKPWKNGLVTFASFMLFGSVPLLSFIILIPFTDNESIKFVSACLASALALALLGVAKARIAGQNIMFSVAVTLFSGSIAAASAYLVGWMLKHIAGLES >Vigun05g073600.2.v1.2 pep primary_assembly:ASM411807v1:5:6481266:6483464:-1 gene:Vigun05g073600.v1.2 transcript:Vigun05g073600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGGSSGGTTTRLLPTAAEDGGDSDRESSERPREPWKGEYVKSIVFAGLDAIITCFALISSINASTRSSGHVLVLGFSNLVADAISMGFGDSVSASSEQEVIIEERKVTEWDVINQRKKEQSELINHYQALGMDCNDATMVVNIFTKYKDIMVDQRMMADKGVLPADQEVKPWKNGLVTFASFMLFGSVPLLSFIILIPFTDNESIKFVSACLASALALALLGVAKARIAGQNIMFSVAVTLFSGSIAAASAYLVGWMLKHIAGLES >Vigun04g124700.1.v1.2 pep primary_assembly:ASM411807v1:4:31649524:31654782:-1 gene:Vigun04g124700.v1.2 transcript:Vigun04g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRISSSLSSPRRTWIYDVFLSFRGEDTRFQFTNNLYHSLCQKGIRTFIDQDGLRRGEEITPALFHAIQNSMISIVVFSESYASSTYCLNELVKILEGAKEEGRSIYPIFYGVDPSEVRHHTGTYAEALSKHEAKFHNDADTEKVQKWRKALHEAANLSGWHCKYWYQPEYEFIRKIVEEISEKINYIPLHVADNPIGLEYAVKGVKSLLGDGSDVNMIGIYGIGGIGKTTTARAVYNIIFWHYEGSCFLPDIREKAVNKNEIVQLQEILLSQILKGEDIKVGDVNRGIPLIKQRLQQKKVLLVLDDVDKLKQLKALAGGCDWFGSGSIIIITTRDKHLLDAHGVVNLYEVKPLHFEKALELFNLHAFRSGKVGPPYMSISKRAVSYACGLPLALEVIGSHLFGKSLDECNSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNTYELGNVTPMLKAHGFHVEDGLRVLVDKSLIKIDSFGFVRIHDLIRDTGREIVRQESTLEPGRRSRLWFDQDIVHVLEENTGSDRIEFIKLEEYNNVQVQWDGKAFKEMKNLKILIIEDATFSVGPEHLPNS >Vigun06g112000.1.v1.2 pep primary_assembly:ASM411807v1:6:24069550:24073305:-1 gene:Vigun06g112000.v1.2 transcript:Vigun06g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPRVKLGSQGLEVSKLGFGCMGLTGVYNDPVPEEVGISIIKYAFSKGITFFDTSDVYGPRINEVLVGKALRDLPRDQIQIATKFGIVKMVSNNVIVDGSPEYVRSCCEGSLQRLGVSYIDLYYQHRVDTSVPIEDTMGELKKLVQEGKIRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTREIEEDIVPLCRELGIGIVPYSPLGRGFFGGKAVTESIPANSFLAFQPRLQGDNFDKNKILYSRIEKLAEKHGCTSSQLALAWILGQGDDVVPIPGTTKIKNLDSNIGSFEVKLSKDDLEEITEAVPISEVAGNRTTDAFFRCSWKFADTPPKP >Vigun09g145550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30860723:30861004:-1 gene:Vigun09g145550.v1.2 transcript:Vigun09g145550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRSPCTAFVFLVSDVVDVTVEEKRDVVNGLHCLRVPGQRRGWRRNTTGLVSGFPNFARERKWMAGSGMEVCLAERVPKLNTQQLLLQVFF >Vigun01g214500.2.v1.2 pep primary_assembly:ASM411807v1:1:38804097:38814629:-1 gene:Vigun01g214500.v1.2 transcript:Vigun01g214500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYIWTSITLGISANATFAENATTEASSNNDLGDDLMGLRKIEDGSVVSNIHTAKWRVFTDKAREFFQQGKLDEAEKLFLSAIQEAKEGFGEQDPHVASACNNLAEFYRVKKAFDKAEPLYLEAINILEESFGPDDVRVGVAAHNLGQFYLGQKKLEEARVNYERALKIKRRVLGYGHSECSDTMFHLGVVLYLQGKERDAEVLIKDSIRMLEEGGEGESSVCIRRLRYLSQIYMKSHQVAEAEMIQRKILHILELSKGWNSLATVIAAESLALTLLASGNTKDSKDLLERCLDVRKELLPSDHIQIGANLLHLARVVMLDCSQHKKLDVSKAEAELDMAKNHLHNSIRIARECLRKVSKQKDKLKRNSEPGYSRKEGQAALAILLQSLNTLSSVELEKQELQKIQEGNINLEARDALLQCISAYKEFVSEKSIADTPEIKNEYLSCLKRAQNLFGHKLH >Vigun01g214500.1.v1.2 pep primary_assembly:ASM411807v1:1:38804097:38814629:-1 gene:Vigun01g214500.v1.2 transcript:Vigun01g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVAAANLLKKLRFPASHAFPASTVTTTDALSVKLKLPRWYQTGGHGWRINLRDPCLWIVISGHVAITLGISANATFAENATTEASSNNDLGDDLMGLRKIEDGSVVSNIHTAKWRVFTDKAREFFQQGKLDEAEKLFLSAIQEAKEGFGEQDPHVASACNNLAEFYRVKKAFDKAEPLYLEAINILEESFGPDDVRVGVAAHNLGQFYLGQKKLEEARVNYERALKIKRRVLGYGHSECSDTMFHLGVVLYLQGKERDAEVLIKDSIRMLEEGGEGESSVCIRRLRYLSQIYMKSHQVAEAEMIQRKILHILELSKGWNSLATVIAAESLALTLLASGNTKDSKDLLERCLDVRKELLPSDHIQIGANLLHLARVVMLDCSQHKKLDVSKAEAELDMAKNHLHNSIRIARECLRKVSKQKDKLKRNSEPGYSRKEGQAALAILLQSLNTLSSVELEKQELQKIQEGNINLEARDALLQCISAYKEFVSEKSIADTPEIKNEYLSCLKRAQNLFGHKLH >Vigun01g038400.1.v1.2 pep primary_assembly:ASM411807v1:1:5150863:5153968:-1 gene:Vigun01g038400.v1.2 transcript:Vigun01g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGAGQVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPNDPRKVDHLLNLDGAKERLHLFKANLLEEGSFDSAVQGCHAVFHTASPFFGNAKDPQTELLDPALKGTLNVLRSCVNSPTLKRVVLTSSFAAVGFSNRPKTPDVVVDETWYSDPEFCERTGLWYNLSKTLAEDAAWKFVKENSIDMVTINPALVIGPLLQPELNTSAAIVLNLVNGAQTFKNDVFRWVDVKDVAIAHILAYENASANGRYLLVERVIHYSDVVKILRDLYPTLQLPQKCEDDKPYVPLFKVSKEKAKSLGIEFTPLEVSLKDTVESLKEKKFTKF >Vigun05g154000.1.v1.2 pep primary_assembly:ASM411807v1:5:24517496:24518654:1 gene:Vigun05g154000.v1.2 transcript:Vigun05g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQCSPVVPQELMVEILSRVPVKDLMRLRCISKWLNTLVFDPTFVKLHLQKSSKNTYILLTFRDYENDESRYCAAPCSLQNLLHNPSSTVDVCHRFNHDYTILGVCNDLVCLQDSYRGDEFEEYWVRFWNPSTRLMGEDSPHIRIRSGDHNYPYLFMFGFGYDDWSDRYQVRVYYLGDSCWRNTLTCDAFPALSVHGAYVCGHLNWLALPKCGPGYRWGTVTINELEIFSYDLKNEKCSYLSMPDGLSEVPPDVPVLEVLKGCLCLSHHQGTCFVVWIMREFGVAKSWTLLLNVSYEDLHIRVLHGLPALPVILCLSQNDDVMLLASYDTAEFILYNKKDNSIDGRELFNDDKFFFFSYDFVQSLVLPYQN >Vigun07g014800.2.v1.2 pep primary_assembly:ASM411807v1:7:1367878:1369471:-1 gene:Vigun07g014800.v1.2 transcript:Vigun07g014800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRPQYNLSSNIKVFVTMESGLKGEVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRDHNNNNNNPVEHSYDPRIRTSAASGSSSVLSDGPNIDLALVYANFLNQKPSSESGIESRSNPDQVPTAFDPSLENNSRLSNTDVTGCLNLPEQPSTHSAEANNCGQICYGEFNTMQTIQKQGIEQCSSHGGAVNFELPPLPGEVEASHDHHHHHHMMWSNSEMMTFEATQPVLGPEVHDADLLIGNWSPFDLP >Vigun07g014800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1367879:1369471:-1 gene:Vigun07g014800.v1.2 transcript:Vigun07g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRPQYNLSSNIKVFVTMESGLKGEVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGNKNLLRQSIDGFTFKTSSSPPPSANATDHNNNNNNPVEHSYDPRIRTSAASGSSSVLSDGPNIDLALVYANFLNQKPSSESGIESRSNPDQVPTAFDPSLENNSRLSNTDVTGCLNLPEQPSTHSAEANNCGQICYGEFNTMQTIQKQGIEQCSSHGGAVNFELPPLPGEVEASHDHHHHHHMMWSNSEMMTFEATQPVLGPEVHDADLLIGNWSPFDLP >Vigun06g070750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20151337:20151759:-1 gene:Vigun06g070750.v1.2 transcript:Vigun06g070750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPYAGYAAFIAVCINLLAVKPYPITDLQIIFFLASTLFHTLAISIPQPSFIATITLHASGLLACQTLVFVVLPHSWRCYYYLLNAFLLLLLACFFFNHHFIHFLPTSIPHHVTDLEAQHQQLQQIQQTENFDNVELRT >Vigun07g264366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38010318:38010626:-1 gene:Vigun07g264366.v1.2 transcript:Vigun07g264366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPILKDSLVANFVRSISEKYEPIYHSLDLDKFGSSSCLTNYTNQLNSHLSGYEYFNHSRFILLYTILPSIHHSQMQIVLKLKKFDLSSINKRHFLILKKVC >Vigun05g100300.1.v1.2 pep primary_assembly:ASM411807v1:5:9857884:9859475:1 gene:Vigun05g100300.v1.2 transcript:Vigun05g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTHAKPGCFCDFFQLLFCAENGNTSQMHPFSDPITKPYASETVHGHNSDDAMVNATKPGVVARLMGLDSLPSTNLVSNANIPDSVPRSRSVNFVDYLLKFDTSQNNNHHQVKTSASFREVPAPFQHKSKSHDLVVFYWDSGSEDHEIEPLSRIQEMGLEESRNRKKQGSKNKEIVGVAEERNLIKRRQFSKFENEPRVVPLGSKVRNRNQAKALAPVSGSGRKGGSSSGPSGLRTTSTLPNKQKKVPSEPKRLKNTRKQEKIESEFSSENSSAISVLDDYDFSFLYGPDFPDYRNHLKAKTKWEFSELLLDDDVGDRRSKDKECSYPDINQKKECLSELRKKLCKFTEEDFRETDFTRKGVCEGENYEEICLEYEHKIFDILLHQVVNELVELSH >VigunL005700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000125.1:437:7261:-1 gene:VigunL005700.v1.2 transcript:VigunL005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKRVILDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVVVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKRDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFCEKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSNQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCRNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLFSSLLSNSLPSFLFVSFGGIPIHRSKIHIYELKGPNDPLCNQKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRKKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYYNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNGFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSNLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPKSWVSNTDSIDDKEKEFLVQFSTLTTEKMIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHNLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGMAVSQNVLLSNCSIDPISIYMKKKSYLWSLPGPDEKNGITSYGLVEKNYDVVHGLLEVEGALVGSSRTERDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWSFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDLEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELIFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLSQMR >Vigun02g161800.1.v1.2 pep primary_assembly:ASM411807v1:2:30724301:30727903:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRVNGEKNAIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPLNLGAIPMLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEAIGRLQSERIILQMVLKHQKIIEELVEENERLRRILMEDLKVPSSKLEASSSGRNRNKVPCSECFECRRKQRKK >Vigun02g161800.7.v1.2 pep primary_assembly:ASM411807v1:2:30722942:30727882:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEAIGRLQSERIILQMVLKHQKIIEELVEENERLRRILMEDLKVPSSKLEASSSGRNRNKVPCSECFECRRKQRKK >Vigun02g161800.2.v1.2 pep primary_assembly:ASM411807v1:2:30724405:30727902:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRVNGEKNAIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPLNLGAIPMLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEALQQCWS >Vigun02g161800.5.v1.2 pep primary_assembly:ASM411807v1:2:30722942:30727882:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRVNGEKNAIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPLNLGAIPMLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEAIGRLQSERIILQMVLKHQKIIEELVEENERLRRILMEDLKVPSSKLEASSSGRNRNKVPCSECFECRRKQRKK >Vigun02g161800.4.v1.2 pep primary_assembly:ASM411807v1:2:30725495:30727902:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEALQQCWS >Vigun02g161800.6.v1.2 pep primary_assembly:ASM411807v1:2:30724405:30727888:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRVNGEKNAIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPLNLGAIPMLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEAIITMILLQNYNVYDGHNYHRFPNQYACEL >Vigun02g161800.3.v1.2 pep primary_assembly:ASM411807v1:2:30724301:30727903:-1 gene:Vigun02g161800.v1.2 transcript:Vigun02g161800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVMSATRGATDAFSGVSRHVNASLMKLGAKNIEVGVGCGVGFGHGFGVGLAVKPGVLNQIQSCLVDVMTKMATKLGLSPSLALNQAVFPPLQNAVSTVNTNQSSAGSMMQLATKSTDQASQGLAGSLPVQIRSDFENTPLRSTSVDSAFGSRTEKVISNFLQNPLLKGEGEGLDEAIGRLQSERIILQMVLKHQKIIEELVEENERLRRILMEDLKVPSSKLEASSSGRNRNKVPCSECFECRRKQRKK >Vigun04g110400.1.v1.2 pep primary_assembly:ASM411807v1:4:27597690:27603100:-1 gene:Vigun04g110400.v1.2 transcript:Vigun04g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLIIFHLLAIFVPALGDAFIGVNIGTDVTNMPSPTEVVALLKAQGIQYVRLYDADRAMLRALANTGIRVIVSVPNDQLLGIGQSNATAAIWVARNVIAHVPATNITAIAVGSEVLTSLPNAAPVLVPALKFLQAALVAANLDQQIKVSTPHSSFIILDSFPPSQAFFNKTWDPVMVPLLNFLQSTGSYLMLNVYPYYDFMQPNAVIPLDYALFRPLPPNKEAIDSNTMLHYTNVFDAVVDAAYFAMSYLNFTNIPILVTESGWPSKGDSSEPDATIDNANTYNSNLIRHVLNNSGTPKQPGISVSTYIYELYNEDLRTGPVSENNWGLFYANGAPVYTLHLSNAGTIFANDTTNQTFCVAKSNADTKMLQAALDWACGPGKVDCSPLLQGQPCYDPNTVDAHATYAINAYYQKMAKSAGTCDFKGVASVTTTNPSHGSCIFPGSRGKNGSSTNGTALAPSTNSTNSGCLSQYYNGGPLTSSLILTLILSVAVL >Vigun03g180750.1.v1.2 pep primary_assembly:ASM411807v1:3:22939110:22940076:-1 gene:Vigun03g180750.v1.2 transcript:Vigun03g180750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLFFKSFGVHIHPRKVVSYVPDFWLPLPSHWIKCNILMLMGQLKVPNNHDPNKKIRYTESEL >Vigun08g082800.1.v1.2 pep primary_assembly:ASM411807v1:8:17510841:17520725:-1 gene:Vigun08g082800.v1.2 transcript:Vigun08g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGGAEQKLLENGTSSQSSSSSSSQIRKGGLRTMPFIIVNECLERVASYGIMPNMILYLLNGYGMPIAKGTSVIYTWSATSDILSIFGAFLSDSYLGRFTVISIGSFSSLLGLIVLWLTAMIPELKPSCESIMLHCSSATTAQLAVLFLSLGLISIGAGCVRPCSIAFGADQLTIKEGSNDERLLDSYFNWYYTSIALSTIIALSVIVYIQENLGWKIGFGLPALLMLISAVSFILGSPFYAKAKPGHSLLTSFVQVAVVSVKNRKLSLPHSNFDQYYQDPDSELRVPTDSLRCLNKACMIKTPETLSNPDGSVSDPWSQCTVGQVESLKSLLRVLPMWSTGILMMVSQSSFSTLQATTLDRRLVGNFKMPAGSFTLVMVITLSIVIPTYDRIIVPLLAKHWGMPRGFSCKTRIGIGLLFVCVGKATSALVETMRRNAAIEQGFEDQPNAVINMSVLWLVPEFVLLGIGEAFNPVGQVEFFYTYFPKTMSSFAMALFTLELAAANAVGGVLVSIVDKVTSLGGKESWLSTNINRGHLNYYYALLTFLGVINYFYFLAICWAYGPAPGQKLEASADKEEEQFEYREVPTS >Vigun01g004800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:594796:596376:-1 gene:Vigun01g004800.v1.2 transcript:Vigun01g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAVFLILLLPISSSSAASTLVEEKFKECLITKLDGNSASVDKIIFTKSSSQYPQVFEALEHNPRWVNSSMQQPLLILTPFHESEIQAGIRCSKELGLQLRVRSGGHDYEGLSYLSEVPFVMVDLINMRSIQVNIADETAWVQAGASLGELYYQISKASKVHGFPAGTCPSVGIGGLISGGGQGVILRKHGLAADHVVDAYIIDANGEIHDRKSMGEDVFWAIRGGSATSFGVILAWKISLVRIPPIVTGFNVFKTVEEGANNLIHRWQYIAPELPEDLVIRVVAQNSGDKSKTFTALFTSMFLGGVDRLIPLMNQSFPELGLQAKDCIEMNWSQALMFIAGYNIHDPLELLLNRTTTNKDPFKAKSDFVTEPIPKSGLEGAWKMLVEEEEALSVMILEPYGGRMNEISESETPFPHRKGNLYNIQYLVKWKGNGYEASKRHLDWAKRIYSYMTPYVSKSPRAAYFNYKDLDLGQNLLDNTSYSMACVWGEKYFKGNFRRLAQIKTKFDPQNFFRNEQSIPLLN >Vigun03g056100.1.v1.2 pep primary_assembly:ASM411807v1:3:4578227:4578769:-1 gene:Vigun03g056100.v1.2 transcript:Vigun03g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTILGFMLLLVLIFASDVAVKKTEARECETPSKTFRGLCISDRNCEAVCNTEGFPNGKCEGLRQRCMCIRNC >VigunL070301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:101575:103268:1 gene:VigunL070301.v1.2 transcript:VigunL070301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISTKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVGTKKKAADSVARVAIRARCHYVNKKWLGGMLTNWYTTKTRLQKFRDLRMQQKMGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICRQIKRERRCLNKNNSLFSTSFFRGQNNMNVLLCSINTLKRLYDISAVEVGQHFYWQIGGFLVHVQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLAYFSKYIQPTPILLPINILEDFTKPLSLSFRLFGNILVDELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLVVAYIGESIEGHH >Vigun01g238800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40970625:40972141:1 gene:Vigun01g238800.v1.2 transcript:Vigun01g238800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRCEMVFERTRGGCKEHPHDKQSPGVCSSCLREKLSQLYSTNPIIDPLCFSPQSPASPHQSFSSGRGGGHRKPRFRRNASLVAAESGSSAQGLNLKKSKSHAFGSKSRGRERDVSGRKKDGFWSKVLKLKKRDTRDSIFTSKTST >Vigun05g127200.1.v1.2 pep primary_assembly:ASM411807v1:5:14400399:14404898:-1 gene:Vigun05g127200.v1.2 transcript:Vigun05g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQQSLIYSFVARGTVILAEHTDFNGNFAEVALDCLRRLPASNSKFTYNADAHTFNYLNDNGFTYCVVAVESVGRQLAMAFLERIKDDFSKRYGGGKAATATSKSLNKEFGPKLKEHMQYCVEHPEEVSKLAKVKAQVSEVQQAMRANIDQVLDRQVKIDVLVDQTENLRDQADTFRGVGNQLRRKMWYQNMKIKLIVLAIIIAIILIIILSVCNGFNCGG >Vigun09g243000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41210099:41212053:-1 gene:Vigun09g243000.v1.2 transcript:Vigun09g243000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTVSSLLVHLQSSSKIRACKWKSSSSMRAFTTKASVSETTSLPASETAKLPLREIPGDYGLPFVGPISDRLDYFYNQGRDVFFRSRAQKYNSTVFRVNMPPGPFNSSDPRVIVLLDAKSFPVLFDMTKVEKRDLFTGTYMPSLELTGGYRILSYIDPSEPKHETLKRFIFFLLKHRSSHVIPEFHSTYTAMFETLEKELADKGKAAFGDPNDQAAFNFLARALFGINPPDTKLGSDGPSIIQKWVFFQLGPLLSLGLPKFIEDPTLHTIPLPPFLIKSDYQKLYDFFYESAAGDVFDEASRLGISKEEACHNLIFATCFNSFGGMKILFPAILKWIAGAGLKFQAQLAEEVRAAVKANGGKVTMAALEAMPLVKSAVYEALRIEPPVPLQYGRAKKDLVIESHENSFSVKRGEMLFGFQPFATKDPKIFENAEEYVGDRFVGEGEKLLKYVVWSNGPETESTSLGNKQCAGKDFVVLFSRLLVVELFLRYDSFEVEIGSSPLGVSVNITSLKKATS >Vigun11g211800.1.v1.2 pep primary_assembly:ASM411807v1:11:40683195:40685971:1 gene:Vigun11g211800.v1.2 transcript:Vigun11g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDRLSQLVAREANLLYGVEDRVQSLQYELQMMKELLSSTRSKKGMEHTVLNQIRDVSHLAEDVIDTFVAKVSIYKRRTILGRMLRGFHQARLLRDVAEKIDKIKTTLNEIRDNKDKYDAFKETKNRSAAEEEEEEKRAQSVQKLRRNVEEEDVVGFVQDSKDVINRLLEGGSNRKVVSIVGMGGLGKTTLARKVYNSTQVKQHFMCHAWVYVSNECRVRELLLDLLKHLMPDFEQQCRGNKKGKKNTLDINSLSEEELKKQVWNCLERKRYLVVVDDLWKRQDWEEVQDAFPDNNRGSRILITSRLKEVACMLPMIWELFRRKVFRAEDYPSDLEALGKQMAQSCRGLPLSIIVLAGLLANKEKSRREWSKVMGHVNWYLSQDETQVKDIVLKLSYDNLPRRLKPCFLYLGLFPEDFEIPVTPLLQKWVAEGFIQDTGSRDPDDVAEDYLYELIDRSLVQAARVDTNGDLIVIRVHDLLRDLCILESKEDRVFEVFTDHNILIPTKPRRLSIHSKMDHYISSSNNDHSCVRSLFFLGSYYYIRSWDWKWLFERLKLVRVLEFGVNGSNKIPSDIGNFIHLRYLRIQSHYVPFVPNSILNLWNLQTIDLGPSRHIVPISFPAQIWKLKHLRHLNAPRAIKLRGSCSGSDEKMWNLQTVFTLVLNSQATSLIKKGTFPNVKKLGLIVTSECEGELPKLLQRLQELSYLNKLLIVLRDRDDAGVEYSSDESVKRNNGLKSQELLRSLGQLNCLTILTIGNAFDLLTCALAFPPNVTELTLSEIKCINDEGMNGLGNHTKLKILRLLGYPTSSGDSFVLNCGRDSFPQLEVVEMEILKVTEWKLENGAMWRLQNVVINYCKRLDDLPNELWSLSGLRKVEVKKPSVQMARMLGNLEINSGCQLVIEN >Vigun09g263600.1.v1.2 pep primary_assembly:ASM411807v1:9:42779524:42785023:-1 gene:Vigun09g263600.v1.2 transcript:Vigun09g263600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGIQGPSSGSSSSSGFQLLNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPTPVIDGRRANCNLASLGRPRPPLPYGRVRPASPYVGSVQTPRGAYVGGFGYQQPLSYSYQQGLVYPPYGYTAYGPEYIYPQSLYNPYMGQQYLQLYGVPGTVNTTIYPYGQLGQAVPSGHGYSAMQGYTVPGHQIVPYGGSNVNAITTSPMPAIQASFPSGIAAPVPGQPQFIVPAPSPQFMQGGGPDQTAG >Vigun02g060850.1.v1.2 pep primary_assembly:ASM411807v1:2:20632305:20633098:-1 gene:Vigun02g060850.v1.2 transcript:Vigun02g060850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRVWCECWRVRGGLWEVLRRRKEGQLRHLQINTKCNVSRQIFISFFVFLSPPKNLLFICFNPNINLDSSKDSNLLRGVIKKLQRSR >Vigun03g119500.1.v1.2 pep primary_assembly:ASM411807v1:3:11129363:11130474:1 gene:Vigun03g119500.v1.2 transcript:Vigun03g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMERKPLGIMFFLLFVLIADVGVKRAEADCFKPSAHFKGACFLSDDCASQCMKEGHPGGECQGFIPRRCMCMC >Vigun05g121801.1.v1.2 pep primary_assembly:ASM411807v1:5:13424375:13425343:-1 gene:Vigun05g121801.v1.2 transcript:Vigun05g121801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVEWWETCPACNQSSFSHSLLVWQHLVYFCGNDKSSIWDFSFKNQPFTSVIWLGNLLCLHALWIVTDNLRPTRAEATDVANGVLDAK >Vigun01g235900.1.v1.2 pep primary_assembly:ASM411807v1:1:40729655:40734129:1 gene:Vigun01g235900.v1.2 transcript:Vigun01g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQNVVVSDTKSGVSLTVFPTPAQKKPPAAPGGYISISRRRVLKNLEINGDQRINAWVESMRASSPTHLKSTPSFSQEQNSWILHHPSALDMFDQIIDASKGKQIVMFLDYDGTLSPIVEDPDRAFMSHSMRKTVRKLARCFPTAIVTGRCKDKVYNFVQLAELYYAGSHGMDIKGPTRSSKYNKDSKAEAILFQPASDFLPMIDEVYQQLVEKTKSTPGAMVENNKFCLSVHFRCVDEKKWSDLARQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGLANCSDVFPVYIGDDRSDEDAFKKLRERGQGFGILVSKFPKDTSASYSLQEPNEVMNFLQRLVEWKHMSQRARSRV >Vigun11g099200.1.v1.2 pep primary_assembly:ASM411807v1:11:29169260:29171109:-1 gene:Vigun11g099200.v1.2 transcript:Vigun11g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRKLWVISILIIQQFAFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADIIAIRLGLPMPPPYLGVPKSQRHQVVTGLNYASGSCGILNSTRSGDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNENNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHANECFSGTQLCLPYNIQKLIHAH >Vigun01g226700.1.v1.2 pep primary_assembly:ASM411807v1:1:39940172:39943238:-1 gene:Vigun01g226700.v1.2 transcript:Vigun01g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKEEKSKRIMRGLKTVFFLITMVISLLLFSAPVLLVVADALLPSALLSTISSSSLSLENLASHFHDYDFRYSLVDIPLISIIRSFIIFCVYSLCDGPRLSHGPYLGITTMCSVLSLMFVSLKAVYIFSVSGIDRSGYVGGTEIALFVCSCALAVGHVVVAYRTSCRERRKLLVYKIDIEAISACKNGYPRYPKIPQEERIK >Vigun03g144500.1.v1.2 pep primary_assembly:ASM411807v1:3:14652524:14657891:-1 gene:Vigun03g144500.v1.2 transcript:Vigun03g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLTEVSQLFDRFKASFLRNDYDNCSNLLSQLKVLLTGFRSLPPLFADTPNAVHELTIARDIYEHAVVLSVKTEDQDAFERDFFQLKPYYTDACNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSAALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHDTYVYFMDLLAKTVRDEIAGCSEKAYDYLSIKDAKQMLLFSSDQELLEYITEEHPEWEIKNGSVYFQKAKESAPCKEIPSLQLINQTLSYARELERIV >Vigun04g172300.1.v1.2 pep primary_assembly:ASM411807v1:4:39633199:39634387:1 gene:Vigun04g172300.v1.2 transcript:Vigun04g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKYYLDAILVPLGLVMMVAYHVWLWHKTQTQPFTTTFGREADGRRLWVLLMIKDVDRRSIVGIQSLRNLIMASTLMATSAILICAGLGAVISSTYSAKEAIDNTIFGAHGELMVGLKYAILLATFLFSFLCHTCCIGFLNQINILICSPQDHKSLVTSHYLTHLFDKAIILNTLGNRLFYSALSFLLWIFGPVPTFLSSMAMLLLLYNLDFLSVPNGGFVHENDNT >Vigun11g057900.3.v1.2 pep primary_assembly:ASM411807v1:11:12134148:12138313:1 gene:Vigun11g057900.v1.2 transcript:Vigun11g057900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFNSNKWVGNIYQKFEAVCHEVDDIVGQDAKKYLENQVQNVGDSVKKFYSGVVHELLPLDSLASSKYEDHLLVAETNNIDFSVDSVCKDNNKERDEENPINHYYVAFMNSNATDIADHKQHGVPVKNIHESGSVSLGLEGSCITKEEVGVDSRGTSESKKENFHISFEEVAIGSADYNQDGVPVKNIPAYQESDESCSASLELEDSYITQEEVGVDSRGTSESERENFHTCFEEFAVESDPKPMNLMSLGEKESLEFSMHSESSSFDSGWEVSIKTKVNIYMNAEKNSCLIADENAMNSSSSKVWSPQSLDEETPINYFCLALRDTNAMDIADIQKVGVHTRNVNQVSDESCTVSLEVEDSYISQEEVGDDSRGTSVSTKENIHTSSEVVALESVPKPLNMMSVGEKGPLEFPIHSEPCFDSFESGYKVSIRTKDNRDVNPRQNSCLIVEKNARNSSTSQLLSSQSLDEKESTNVSLLRESSNAYQNTHGILAEVSPDVSVSSERPMTRTEPSCSSSSYENESCKRNPGDASLCATSDSFVLPVCCESSTHPAREVMEPQGGLVFSGFCQSMGSKDKSLVCSLESCTEVIQLNDDPKVDKNCVNVDDSELHAVACRTRKLRSYKKRIQDAFASKKRLSKEYEQLAIWYGDCDIEPRRDFLETLLPLSIRTDVESKNVQEQHDSESEWELL >Vigun11g057900.1.v1.2 pep primary_assembly:ASM411807v1:11:12133765:12138920:1 gene:Vigun11g057900.v1.2 transcript:Vigun11g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFNSNKWVGNIYQKFEAVCHEVDDIVGQDAKKYLENQVQNVGDSVKKFYSGVVHELLPLDSLASSKYEDHLLVAETNNIDFSVDSVCKDNNKERDEENPINHYYVAFMNSNATDIADHKQHGVPVKNIHESGSVSLGLEGSCITKEEVGVDSRGTSESKKENFHISFEEVAIGSADYNQDGVPVKNIPAYQESDESCSASLELEDSYITQEEVGVDSRGTSESERENFHTCFEEFAVESDPKPMNLMSLGEKESLEFSMHSESSSFDSGWEVSIKTKVNIYMNAEKNSCLIADENAMNSSSSKVWSPQSLDEETPINYFCLALRDTNAMDIADIQKVGVHTRNVNQVSDESCTVSLEVEDSYISQEEVGDDSRGTSVSTKENIHTSSEVVALESVPKPLNMMSVGEKGPLEFPIHSEPCFDSFESGYKVSIRTKDNRDVNPRQNSCLIVEKNARNSSTSQLLSSQSLDEKESTNVSLLRESSNAYQNTHGILAEVSPDVSVSSERPMTRTEPSCSSSSYENESCKRNPGDASLCATSDSFVLPVCCESSTHPAREVMEPQGGLVFSGFCQSMGSKDKSLVCSLESCTEVIQLNDDPKVDKNCVNVDDSELHAVACRTRKLRSYKKRIQDAFASKKRLSKEYEQLAIWYGDCDIEPRRDFLETLLPLSIRTDVESKNVQEQHDSESEWELL >Vigun11g057900.2.v1.2 pep primary_assembly:ASM411807v1:11:12133827:12138450:1 gene:Vigun11g057900.v1.2 transcript:Vigun11g057900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFNSNKWVGNIYQKFEAVCHEVDDIVGQDAKKYLENQVQNVGDSVKKFYSGVVHELLPLDSLASSKYEDHLLVAETNNIDFSVDSVCKDNNKERDEENPINHYYVAFMNSNATDIADHKQHGVPVKNIHESGSVSLGLEGSCITKEEVGVDSRGTSESKKENFHISFEEVAIGSADYNQDGVPVKNIPAYQESDESCSASLELEDSYITQEEVGVDSRGTSESERENFHTCFEEFAVESDPKPMNLMSLGEKESLEFSMHSESSSFDSGWEVSIKTKVNIYMNAEKNSCLIADENAMNSSSSKVWSPQSLDEETPINYFCLALRDTNAMDIADIQKVGVHTRNVNQVSDESCTVSLEVEDSYISQEEVGDDSRGTSVSTKENIHTSSEVVALESVPKPLNMMSVGEKGPLEFPIHSEPCFDSFESGYKVSIRTKDNRDVNPRQNSCLIVEKNARNSSTSQLLSSQSLDEKESTNVSLLRESSNAYQNTHGILAEVSPDVSVSSERPMTRTEPSCSSSSYENESCKRNPGDASLCATSDSFVLPVCCESSTHPAREVMEPQGGLVFSGFCQSMGSKDKSLVCSLESCTEVIQLNDDPKVDKNCVNVDDSELHAVACRTRKLRSYKKRIQDAFASKKRLSKEYEQLAIWYGDCDIEPRRDFLETLLPLSIRTDVESKNVQEQHDSESEWELL >Vigun08g048300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5381228:5382275:1 gene:Vigun08g048300.v1.2 transcript:Vigun08g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMTFYWGKKVTILIDSWKTNSWMCYLLSLFACLVVAAFYQYLENWLIHLKLVTRDRRPTEIQVPFLWGIARDRRRLEVKLAKAILFGVKSGIGFLLMLTIMSFNGGVFVAVVVGLTIGYLLFRSEEVLDDAIVVDSSCACA >Vigun09g189000.2.v1.2 pep primary_assembly:ASM411807v1:9:36387219:36388372:-1 gene:Vigun09g189000.v1.2 transcript:Vigun09g189000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVSNRNQRTKGFKMPYEETSAKAFEIQKASSETKRLGRKGLQPWSNDKLFELMEDTEEKIEEEESEEVEDLIDEQDKEKEEQNEDEDGEDMGKQMEDMSLSEDESHEEGDKDTQSKSEKYNKETGASRVVMRMTKSLGSGFELGGLRKVKEEQVGNSKGIETEKQKKKSWTQAKSLTTALIHPNVLVTKGIDDVLDFGAASKIRYFKT >Vigun09g189000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36387089:36388372:-1 gene:Vigun09g189000.v1.2 transcript:Vigun09g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVSNRNQRTKGFKVKQGFKIFTLIVVGIWLLHQLKQHSHEKMPYEETSAKAFEIQKASSETKRLGRKGLQPWSNDKLFELMEDTEEKIEEEESEEVEDLIDEQDKEKEEQNEDEDGEDMGKQMEDMSLSEDESHEEGDKDTQSKSEKYNKETGASRVVMRMTKSLGSGFELGGLRKVKEEQVGNSKGIETEKQKKKSWTQAKSLTTALIHPNVLVTKGIDDVLDFGAASKIRYFKT >Vigun10g066800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15442297:15446102:-1 gene:Vigun10g066800.v1.2 transcript:Vigun10g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLHLEMKERQRWRAEEDALLRSYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKYGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREKKELNRIPDPINNSKYEHILESFAEKLVKEQHPSPSFVMSASDGTFLRTDTPAPASSLLPSWLSNSSSAAAGPSSLSVTLSLSSATVAAAPFSWLQPDRGQDNAPFALGNIPAFSENMLISQMVEHCKELEEGQRALSAHKKEAAWRLSRVELQLESEKASRRREKMEEFEAKIKALREEERAALSRIEAEYKDEFAALKRDAENKEQKLAEQWAAKHLQLTRLLEQIGCRAGFLEPNAR >Vigun10g197700.1.v1.2 pep primary_assembly:ASM411807v1:10:41051355:41057897:-1 gene:Vigun10g197700.v1.2 transcript:Vigun10g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDKEKETEKKKYPIGAEHYLLYEEIGQGVSASVHRALCVPLNEVVAIKILDFERDNCDLNNVSREAQTMILVDHPNVLKSHCSFVSEHNLWVVMPYMSGGSCLHILKAAHPDGFEEVVIATILKEVLKGLEYLHHHGHIHRDVKAGNILIDSRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSASKLLKHSFFKQARSNDHIRRLLEGLPALGDRMEALKRKEEDMLAQKKMPDGKMEELSQNEYKRGISGWNFNLEDMKAQASLIHDFDDAISDMNHVASSSSLATLDSQEKPLPSAFHNPSRSVDMEENDEMRNQSASVSAIDAKTRLEKSDDDSCITSSSHEPSSCVDDHVDNNLGEKSDIENGGRFVDGMSTHHYHRRGCSSSILPEVTFPPIRPPESEKPQNLLPNGSSCNATSVPQTGEDVLTELPSRVSKSPANSDDTDEKSKVPVVQQRGRFKVTSENVDPEKVGPSPVLQKSHSMQVFSHNVASVQQPPLPLLPAYEATSSNLSGHAVFPILHSVLQTNILQQESILCLMRLITAGDSTADGSCTLAQIAGTEKSLLEAAQEREKELLHEITDLQWRLICAQEELQKLKTEHAQV >Vigun10g197700.3.v1.2 pep primary_assembly:ASM411807v1:10:41051355:41057897:-1 gene:Vigun10g197700.v1.2 transcript:Vigun10g197700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDKEKETEKKKYPIGAEHYLLYEEIGQGVSASVHRALCVPLNEVVAIKILDFERDNCDLNNVSREAQTMILVDHPNVLKSHCSFVSEHNLWVVMPYMSGGSCLHILKAAHPDGFEEVVIATILKEVLKGLEYLHHHGHIHRDVKAGNILIDSRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSASKLLKHSFFKQARSNDHIRRLLEGLPALGDRMEALKRKEEDMLAQKKMPDGKMEELSQNEYKRGISGWNFNLEDMKAQASLIHDFDDAISDMNHVASSSSLATLDSQEKPLPSAFHNPSRSVDMEENDEMRNQSASVSAIDAKTRLEKSDDDSCITSSSHEPSSCVDDHVDNNLGEKSDIENGGRFVDGMSTHHYHRRGCSSSILPEVTFPPIRPPESEKPQNLLPNGSSCNATSVPQTGEDVLTELPSRVSKSPANSDDTDEKSKVPVVQQRGRFKVTSENVDPEKVGPSPVLQKSHSMQVFSHNVASVQQPPLPLLPAYEATSSNLSGHAVFPILHSVLQTNILQQESILCLMRLITAGDSTA >Vigun10g197700.2.v1.2 pep primary_assembly:ASM411807v1:10:41051355:41057897:-1 gene:Vigun10g197700.v1.2 transcript:Vigun10g197700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDKEKETEKKKYPIGAEHYLLYEEIGQGVSASVHRALCVPLNEVVAIKILDFERDNCDLNNVSREAQTMILVDHPNVLKSHCSFVSEHNLWVVMPYMSGGSCLHILKAAHPDGFEEVVIATILKEVLKGLEYLHHHGHIHRDVKAGNILIDSRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSASKLLKHSFFKQARSNDHIRRLLEGLPALGDRMEALKRKEEDMLAQKKMPDGKMEELSQNEYKRGISGWNFNLEDMKAQASLIHDFDDAISDMNHVASSSSLATLDSQEKPLPSAFHNPSRSVDMEENDEMRNQSASVSAIDAKTRLEKSDDDSCITSSSHEPSSCVDDHVDNNLGEKSDIENGGRFVDGMSTHHYHRRGCSSSILPEVTFPPIRPPESEKPQNLLPNGSSCNATSVPQTGEDVLTELPSRVSKSPANSDDTDEKSKVPVVQQRGRFKVTSENVDPEKVGPSPVLQKSHSMQVFSHNVASVQQPPLPLLPAYEATSSNLSGHAVFPILHSVLQTNILQQESILCLMRLITAGDSTAQIAGTEKSLLEAAQEREKELLHEITDLQWRLICAQEELQKLKTEHAQV >Vigun06g147800.1.v1.2 pep primary_assembly:ASM411807v1:6:27282153:27283182:-1 gene:Vigun06g147800.v1.2 transcript:Vigun06g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLEKMVRKAALIIALSWLLLVTFIVVSATDDNTKGLPPTSKHIIYYPQGCRCCWFIWKPMIRCGNVCCGDGCCS >Vigun08g052566.1.v1.2 pep primary_assembly:ASM411807v1:8:6274527:6278145:1 gene:Vigun08g052566.v1.2 transcript:Vigun08g052566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRKLLRVRTLKSQWSLFGTGSRPPRGHHETYFKPNEFSQGHFQSLLLHLDTRRRLSSLFASHQTTFHQISVKKKYCRSSYQRVDASITSI >Vigun07g189200.1.v1.2 pep primary_assembly:ASM411807v1:7:30718888:30728885:1 gene:Vigun07g189200.v1.2 transcript:Vigun07g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETVFLPAPPTTTTTTVNPVAAPAAPPTSLAPGFRFHPTDEELVIYYLKRKVSGKSFRFDAISEVDIYRSEPWDLADKSRLKTRDQEWYFFSALDKKYGNGGRMNRATNKGYWKATGNDRPVKHDQRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVDEELERAGTGSSQPQDAYVLCRVFHKNNIGPPNGQRYAPFVEEEWDDASGMVPGADPVHNGSIAHQPHVEGNNGVLCAEGRNGVLQDTQSINKTPFDVNKLPIETQNLLAVCKRESMAEYPSPEKDDNNCKQVDEYPSPQTDNPKPFSLIYKRRRHNLNSNHSNVSGDSIRTGQDPCSSTITTAATTLPTTTAGAATTNSAPKKHFLSALVEFSLQESLESKESLALVKAPDFDAENLESSMSPSCIKFIKDLQNEMHKIAVEKETMRFEIMSAQAMINILQSRVDILSKENEDLKRMAQKP >Vigun08g147600.1.v1.2 pep primary_assembly:ASM411807v1:8:32040981:32042801:-1 gene:Vigun08g147600.v1.2 transcript:Vigun08g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKENINKGAWSKQEDQKLIDYIRVHGEGCWRSIPKAAGLHRCGKSCRLRWLNYLRPDIKRGIFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRRKLIKMGIDPNNHKPHQSFSRPHASSAEGASTSESMNKGHNKVPIFKSPLAATHHRISFTEQESAIISSPSLNLDLTIALPSSLIGALEEDTPVQNSESTNTQIDLNC >Vigun01g231800.1.v1.2 pep primary_assembly:ASM411807v1:1:40411624:40414879:-1 gene:Vigun01g231800.v1.2 transcript:Vigun01g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSSSSSQTLRIGIVGFGTFGQFLAKTMIKQGHSLSATSRSDYSDICLQMGIHFYRDVSDFLAADIDVILLCTSILSLSEVVGSMPLASLKRPTLFVDVLSVKEHPRELLLRELPLHSDILCTHPMFGPVTGKNGWKGLTFMYDKVRIRNEDICSSFIQIFSSEGCKMVQMTCEEHDKAAAKSQFITHTIGRTLAEMDIQSTPIDTKGFQELVKLKETMVGNSFDLFSGLYVYNRFARQELENLERALQKVKETLVEKKKEEQGQEKI >Vigun09g176700.1.v1.2 pep primary_assembly:ASM411807v1:9:34864981:34866529:1 gene:Vigun09g176700.v1.2 transcript:Vigun09g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLVQHIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDLVSSLPYVLALASMTDLMDHQTLDEHAMMLQAEAVQLAKLQYLHYLIQSSNSLSPNHYDQNATTNNMEPLTLLNSISNVKENPVMLQPDAPASFSHGIASSQPLHHPNVLPHLIDPQVSFSSQSCLNSEMGQGTNFAMVSQGDHTVDHDSSWIIPSIPPNAIATSANNPGDASSSTSSYGGGPQSYWPELFFEDPIMHDLS >Vigun04g026600.1.v1.2 pep primary_assembly:ASM411807v1:4:2032542:2034407:-1 gene:Vigun04g026600.v1.2 transcript:Vigun04g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIFLRSLSFHARRLRLSPTASLFSLTAPTSFSSRSNAPDKTHSLVEDISNEELKRRVAKLQEGDAEAIPSVFEAILQRYLVGKPIEADEELMKEILGRRTLSEDEEEECDSDWEEEIDDSKIENEDIDLGFKGRSKIDEGKNKR >Vigun10g115900.1.v1.2 pep primary_assembly:ASM411807v1:10:32088545:32089882:1 gene:Vigun10g115900.v1.2 transcript:Vigun10g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAMEGLRKMGAKMSEKSEFKLIIDHSNRKSYVRGEDVVLK >Vigun08g136300.2.v1.2 pep primary_assembly:ASM411807v1:8:30793162:30812610:-1 gene:Vigun08g136300.v1.2 transcript:Vigun08g136300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLCIATKIAEYAVFPILDHAQYLCCFNKFALKLPIAKEQLELTRDSVKERIKEAINKTEKVEPSVEKWVKDVEKVLEEVKMLEERISSVSKSYFRRQCKYSLVKEIETKTTEMIQLVCNSKFEPFSKITELPGMKYYSSDDFFMFNSTEASYNKLLEELKNKSVFMIGLVGLGGSGKTTLAKEVGKKVEEMKIFEKVVFATVSQPLNIRSIQDQIADQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIGLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKMHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDKKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINKWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIKYEKAFPDDVSFSRLQRYVIMSGYLFSHKHYLCRGDLVVIEKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLSLSNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTLVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVFTISKCPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQTHSSLTLHKLRTLQIFSCDNLEYIFSVFLVEGLVSLKSLDISWNPKLKYVFGSEKEHNLAGYPSFQHTNSERNLPNLKSMKLRSLPNLIDIWPEYCRAHLPSLNDLHCKRCPKLSNSSIHKVMTVSDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILCLNDLKIKGIFEFQMGEEGDTTQLLPLNLDISYLSLSNLAELNFIWKGPTAFLSLQNLETVYVDGCPKLKTIFSTTVVTSLPMLQYLHIYYCDELEQIFDLGDAQQLKTLSSSQQLCFPKLSSIVVTNCNKLKCLFYNISASHFTNLRYLEITNCSRLHKAFDFEDEADDGGLERMGKDGKQLLLHNLKFITLTDLPNFQEIHHGFKLKEDVQHTIRECPVYSPSLYLHPGSKNLIGN >Vigun07g067100.1.v1.2 pep primary_assembly:ASM411807v1:7:7960962:7962563:-1 gene:Vigun07g067100.v1.2 transcript:Vigun07g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLLLHHFFHLFIYSFFHLLCCMLSFFSLLLSHSLNIQPMKHHFAFLFFLLLLSSFLVSARLLQPPKGEKEVEVHDNAISRSSFELNDNMEELMGSEECYVKDEGCSSRRMMVDAHLDYIYTQHHKP >Vigun05g206400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39563315:39564879:-1 gene:Vigun05g206400.v1.2 transcript:Vigun05g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMDASSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPRRTQSKLEQFNLFPHLITFYPINVPHVEGLPHGAETTSDVPFSLAPLIMTAMDRTERDIELLLNQLKPQIVFFDFTYWLPSITSRLGIKSILNLIINPATISYTTVPERMNHKGTLTELDLMQPPLGYPVSSIKLHAHEAKLLASMRNLEYGSGIQFYDRVYGCLTSSDAIAFKGCREIEGPYVDYLAEQFGKPVLLSGPVIPEPPNTVLEEKWSAWLGRFKDGSVVFCALGSEWKLPHDQFLELLLGLELTGLPFLVVLKVPIGFETIEAALPEGFKERVKGRGIVDSGWIQQQLILEHPSVGCFITHCGTGSLTEALVNKCQMVLLPQLGGDHVINARMMGSNLKVGVEVEKDGEDGLFRKENVSKTVKIVMDEENEIGREVKKNHAKWRNFLIRHDLESICIDDFCQKLQDLLK >Vigun05g197500.1.v1.2 pep primary_assembly:ASM411807v1:5:38190963:38194325:-1 gene:Vigun05g197500.v1.2 transcript:Vigun05g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKSFCCFAAMAAALVLILLNVAVVCHGGKTSSFIRKVERAEDMPLHSDVFAAPSGYNAPQQVHITIGDHVGRAMIVSWVTMDEPGNSLVRYWSEDYPNKKELAKGHHVTYRFFNYSSGFIHHCTLRGLEFNKKYYYEIGMGHTIRQFWFMTPPEVHPDQPFTFGLIGDLGQTYDSNRTLAHYESNPHKGQAVLFVGDLSYADNHPNHDNVRWDTWGRFVERNNAYQPWIWATGNHEIDYAPELGETEPFKPFRHRYHVPYKASGSTEPFWYSVKIASAHIIMLASYSAYGKYTPQYEWLEAELKKVDRSKTPWLIVLVHSPWYNSNSYHYMEGETMRVAFESWFVKYKVDVVFAGHVHAYERSERVSNIEYNLVNGRCGPKKDLSAPVYINIGDGGNIEGLALNMTVPQPEYSAYREASFGHAIFEIKNRTHAHYSWHRNEDDVSVTADSMWFFNRFWLPVDDSTTK >Vigun04g170700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39484275:39485467:1 gene:Vigun04g170700.v1.2 transcript:Vigun04g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPKRSHEDSVHQSSKHPHPDSGTYSKLMPSVSNDHHIPYDMGQDSRVAKTLRTESRDADRRSPLHTVYRMPSSSNDSHTDHPTGTENRIESRDFKESRDLRFENRDMNSEKKELHGEVRRVSQIAKSEKDARVDARGDDNKDVRYERDSHNDSKGDIKTDKDGYGMVSSSSHLNWKESKEYRGKRFSDTPGGSLDSWHASRGNTPEVGKGSSMAEERDSLETHEAVGENKIDSKSEDRFKERKRKDGKHRDWGDREKERSDRRSSTPVNNNSGDNKESAKEDKDVEKLERERKDLPKEKESSKEREKDHNKRESWNSIHKEPLIQYLNKH >Vigun11g172900.1.v1.2 pep primary_assembly:ASM411807v1:11:37829225:37833878:-1 gene:Vigun11g172900.v1.2 transcript:Vigun11g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIFIFLFLAFIPLLCTSFTPERPSDRRVLVLLDDFAVKSSHSLFFNSLKSRGFDLHFHLADDPKIALQRYGQYLYDALILFSPTVERFGGSVDAAAILDFVDSGHDLIVAADTNASDLIREIATECGVDFDEDPAAMVVDHSGYAASATEGDHTLIASDDFIKSDVILGSKKIEAPILFQGIGHSLNPTNRLVLKALSASPSAFSANPKSKLTSPPSLTGSSISLVSVIQARNNARILVSGSLSMFSNRFFRSGVQKAGSPTKHEKSGNEQFFTELSKWVFHERGHLKALQLQHHKVGESSEPSIYRINDDLEFSVEIFEWSGTSWEPYVADDVQVQFYMMSPYVLKTLSTNGKGRYFTSFKVPDVYGVFQFKVEYEKLGYTSLSLSKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFVFTAVHLYNK >Vigun03g186800.1.v1.2 pep primary_assembly:ASM411807v1:3:24763070:24768415:-1 gene:Vigun03g186800.v1.2 transcript:Vigun03g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLWTENATPHSSHFSAAIYFAFASFAARFFLDRFVFRRLSIRMLTKGKAPSRITKEMQVKIGKCSESMWKLTYYAAVEAFILKITYQEPWFSNTKLYFNDWPNHELKSSLVLYYMCQCGFYIYSIAAILTWETRRKDFSVMFTHHVITVLLIGCSYLTSFFRIGSIILALHDASDVFMEAAKVFKYSGREFGASVCFGFFAVSWLILRLIFFPFWVIKATSIDLQQCLNLSEGFDMFLYYIFNTMLIMLLIFHIYWWKLICAMIYRQLKNRGKVGEDIRSDSDDD >Vigun05g084200.1.v1.2 pep primary_assembly:ASM411807v1:5:7987065:7988799:-1 gene:Vigun05g084200.v1.2 transcript:Vigun05g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDPLAIGGVIGDVLNPFTSSVSLTVSINNRAISNGYELRPSHLVNRPRVTVGGQDLRIFYTLVLVDADAPSPSNPVLREYLHWMVTDIPATTNASFGREVVVYESPQPSAGIHRLVFVLFQQLGRDTVISPQLRHNFNSRNFAENNNLTPVAAAYVNCQRERGCGGRRY >Vigun10g035600.1.v1.2 pep primary_assembly:ASM411807v1:10:4783366:4788255:-1 gene:Vigun10g035600.v1.2 transcript:Vigun10g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDVLINFNGEDIHRKFVSHLNSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSKSDWCLDQLQHIIKWHETYSRYVLPVYYEIQPSDVRLQKGDFGKAFKETAQQTFSAQQLEHGMSRWSHALTKAANLFGWDESNYRSDADLVDTIVKSILTLPVLSATKFPVGLQSHVEDAIQIIKNKSSEVCRIGICGMGGSGKTTLAKAIYNQIQGTFMEKSFIEDIAQVRQTRGLLHLQEQLLSDVLKTKVEIHSDEMGRSMIRERLYRKRLLIVLDDVNDYGPLELWGRGSSSWLAEGTVIIITLRDEDLLKMQQVYSIVRINVMNPNESLELLSWHAFREAKPKMEYHFLAKRVVDYCGGLPLALEVIGSYLYERTKEEWNRVLLRLDNIPQNEFLDILKISFDGLHNQREKDLFLDICCFFVGTSRAYVSKILNACGVDPDRGIRVLIKRNLVKVRKNNKFGMHPLVRDMGIREISRKQFVTNKRLWFDEDMNYALSENTLFSSQGAKVIQRLPTGRDLFERHPSYPLKVRDLLQLAGNSGNLKWISLQGFSSEYLPNDFYLHDTIVIELKHSLLRFVWKEPQVLASLKVLNLSYSMYLSKTPAFWGLPGLEQLILKDCPNLCHVHRSIGFLCSLRLLNLKGCTSLSNLPREIYKSKSLNSLILSGCSKIFLLEKDIVQMKSLITLIAENTAVKQVPFSITSSKSIGYISLPQLEGRSRNLFPSIIRSRLSTTMNPQSYIHSFMDMEDNSWDYVAPFLSSLANLRSVFVQCDPEFEISKQLKPILVEYGMNITESRIPKHNIRSSLIGVGRYQEFFNTVSDSISKALAKSESCDVSLPSVNDPNWLAQMGDGQSVSFTVPRDRVVKEMVLCVFYLSTSKIIEPELTTVLIVNYTKCTLVIHNHGTVISFSDEDWHDIMSNFGSGDKVEIFVSFGHGLLVKNTVVYLMYGEPNKNSLIGFIKKIVM >Vigun11g028000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3675427:3675760:1 gene:Vigun11g028000.v1.2 transcript:Vigun11g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSMSLVVMFVLVVASIGMEKEGLLRMAEGRHLCTEILYRDPDCDNFKCHRQCDQKHPPATEGGGHCQSGICLCTYYCSSSP >Vigun06g039700.1.v1.2 pep primary_assembly:ASM411807v1:6:15883855:15887121:-1 gene:Vigun06g039700.v1.2 transcript:Vigun06g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILVTRLFASFTNCRSTQFQWGSLLQHKHDASLFMFNTFTSGGDSHKGDTFTVSYLSNSCGLSLELARKLSKRVNLKTPDGPNAVLDTLKNYGFSKTQVAKVVEKNPRLLAASAEKTLLPKLKFFNSIGVSNSIIHRIALEDPVILQRSLEKCLVPRYEIIRSIVRDDRKVVSVLRNVPLGFTNCDLKKCLVPNIEILRQSGVPQGSISLMMVNATSSAMVKHSRFVEVVERIKKLGFDPKKTTFVMAIDVLLAISKGGWESRIQMYERWGWNCELALQAFLKFPNFMKLSEETVNKKMTFLVKDMGLSSLDIAAYPPVLAYSLEKRIIPRLSVIKILKSKGF >Vigun06g185800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30520591:30521439:1 gene:Vigun06g185800.v1.2 transcript:Vigun06g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVKRGPVKSIKDLRNNNNRRWRRKTPIKNVVAASSAALASIRRRITKLFSKIARISTTHKKKATSYKILKKTTTTTEEHEEQLDAIRRTLVFDDATATPLLPPSLSVRKTVFLDLDETLVHSHPSPPPENFDFVVRPVIDGQPMDFYVVKRPGVDEFLETLASKYEIVVFTAALREYASMVLDRLDQNRYISHRLYRDSCRHIDGKLVKDLKETGRDLKRVVIVDDNPSSFSNQPENAILIRPFVDDIFDRELWKLRSFFDGCDCCDDMRDAVKRYQQR >Vigun05g265800.1.v1.2 pep primary_assembly:ASM411807v1:5:45774537:45777519:-1 gene:Vigun05g265800.v1.2 transcript:Vigun05g265800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGFILLLFFALTTLSTIGFAQHQSSVVETLSLNRSSFPTGFVFGTASSAYQYEGAANEGGRKPSIWDAYTHTYPDQISGEDNGDVAIDQYHRYKEDVQIMKDMNLDAYRLSISWSRILPNGKLSGGVNPEGIRYYNNLIDNLLANGIEPYVTLFHWDLPQTLEEEYGGFLSRRVVDDFRDYAEICFKHFGNRVKYWITLNEPWSYTNNGYALGSFPPCRCSKWVDSTCVGGDSGTEPYIASHNLLLAHAAAVHVYKKKFQNTQKGVIGITLISHWFEPYSNSQADKDAAIRALDFMFGWYMEPLTSGRYPKSMRSLVGKRLPKFSKHEAKLVAGSYDFLGLNYYTTYYVADASKANKPSYTTDANANQLTVRNGVSIGPQFGPSWLFIYPKGIRKLLLYTNTTYNSPSIYITENGMGDINTDPTLSVKEMLQDTYRIDYYYRHLYYILSATRDGIKVKGYFAWSLLDNFEWKDGYQVRFGLNFVDYKNGLKRHAKQSAKWFQNFLRKD >Vigun11g201300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39933357:39935958:1 gene:Vigun11g201300.v1.2 transcript:Vigun11g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEWFWNLQNLWPFRVDELRESKELVKKLSIPEQTKQFVYAVRDSQNQSVVYILSALNLSERSVSDAECLIREIKPDAVLVQAGVSPFYQLQSEESSVPLPTSSFGVIKRCFLDKIDRDMYENVAGNFVLREIFGTSFHGPLLAAKRASEDVGSSFLVIESPSCWGNSNSNSNNRDNNSDNDSNSGGGVDRGSNFRGFVNSLVPQKHAASWAPSALKRFSLDEELRRMLVKAMSGYLDPLLLSSVNGSSVLEGGDEEIQPLTSYETPGFARSIYPLLEDLCSIFRDLPSLGKALAHVQKMLLDVNRGEVLDKRTVSEVYTFRIAAEVLRIALNNKGLKSAAKSDKVEFSELPVDDKSHALLAQAIRSQSDKFKTIVAVVDASALAGLRKHWDTPLPVEVKDLVGELITNSEGKGVMLNHSDKKRLLTDKPMVAVGAGATAVLGASSLTKVVPASTLVKVVTFKIPTSFKIGLSQMQKVLAFAFGQSKVVVPGFATSGAKTSGIMKTALSAEKIRVVTHSVIASAEKTSISVMRTAFYEIMRKRKVRPVGFLPWATFAGSIGTCGGLLLYGDGIECAVESLPAAPSIASLGRGIQHLQEVSQAVMQTEGSRIQASIESLIRRMKKARD >Vigun11g076200.2.v1.2 pep primary_assembly:ASM411807v1:11:22449935:22453967:1 gene:Vigun11g076200.v1.2 transcript:Vigun11g076200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRENTNWLFDYGLIDDIPVPDASFTVPSSAFTWPPNALNATSNVRVRSETCAASSSKACREKLRRDKLNDKFVELGSILEPGRPTKTDKAAILIDAVRMVTQLRGEAQKLKDSNQGLQEKIKELKAEKNELRDEKQILKAEKEKLEQQLKSLNAQPSFMPPPTAIPAAFAAQGQAHGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Vigun11g076200.1.v1.2 pep primary_assembly:ASM411807v1:11:22449935:22453967:1 gene:Vigun11g076200.v1.2 transcript:Vigun11g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRENTNWLFDYGLIDDIPVPDASFTVPSSAFTWPPNALNATSNVSVEIDGSLADSDGLKESGSKKRVRSETCAASSSKACREKLRRDKLNDKFVELGSILEPGRPTKTDKAAILIDAVRMVTQLRGEAQKLKDSNQGLQEKIKELKAEKNELRDEKQILKAEKEKLEQQLKSLNAQPSFMPPPTAIPAAFAAQGQAHGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Vigun08g192100.1.v1.2 pep primary_assembly:ASM411807v1:8:35958159:35960042:-1 gene:Vigun08g192100.v1.2 transcript:Vigun08g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSNSKTHCMAINLTTTASLHSKPSFLTHKHNSPIKFYHPSSFLTTCAQTQGTDTGISQQDASAGTGSLSSSRTQLDLLEQLTSTSSPNTGYESDGSSGKVTIREQLAQLVGERDDDFSIPLGKNLKKVSAKFLTISQKRNIRRQAYLNEVSQRNDSVFFATIGAFVILPPFIILGIAILTGYVQLFP >Vigun08g192100.2.v1.2 pep primary_assembly:ASM411807v1:8:35958159:35960042:-1 gene:Vigun08g192100.v1.2 transcript:Vigun08g192100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSNSKTHCMAINLTTTASLHSKPSFLTHKHNSPIKFYHPSSFLTTCAQTQGTDTGISQQDASAGTGLVLLGSLSSSRTQLDLLEQLTSTSSPNTGYESDGSSGKVTIREQLAQLVGERDDDFSIPLGKNLKKVSAKFLTISQKRNIRRQAYLNEVSQRNDSVFFATIGAFVILPPFIILGIAILTGYVQLFP >Vigun08g194800.2.v1.2 pep primary_assembly:ASM411807v1:8:36107471:36113315:-1 gene:Vigun08g194800.v1.2 transcript:Vigun08g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKEAISEGCSQKKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRGTIDRYKKACAASSNAESVSEANTQFYQQESSKLKRQIRDIQNLNRHILGEALSSLSLKELKNLESRLEKGLSRVRSRKHETLFADIEFMQKREIELQNHNNFLRAKIAEHDREQQEEQHMTGNVCESLPASQSYDRNFFPVNLIDSSHQYSRQDHTALQLV >Vigun08g194800.3.v1.2 pep primary_assembly:ASM411807v1:8:36107471:36113004:-1 gene:Vigun08g194800.v1.2 transcript:Vigun08g194800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKEAISEGCSQKKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRGTIDRYKKACAASSNAESVSEANTQFYQQESSKLKRQIRDIQNLNRHILGEALSSLSLKELKNLESRLEKGLSRVRSRKHETLFADIEFMQKREIELQNHNNFLRAKIAEHDREQQEEQHMTGNVCESLPASQSYDRNFFPVNLIDSSHQYSRQDHTALQLV >Vigun08g194800.1.v1.2 pep primary_assembly:ASM411807v1:8:36107471:36113315:-1 gene:Vigun08g194800.v1.2 transcript:Vigun08g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKEAISEGCSQKKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRGTIDRYKKACAASSNAESVSEANTQFYQQESSKLKRQIRDIQNLNRHILGEALSSLSLKELKNLESRLEKGLSRVRSRKHETLFADIEFMQKREIELQNHNNFLRAKIAEHDREQQEEQHMTGNVCESLPASQSYDRNFFPVNLIDSSHQYSRQDHTALQLV >Vigun07g287800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40055675:40057897:1 gene:Vigun07g287800.v1.2 transcript:Vigun07g287800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFLFLLPFLFYSFVSLLKLILQRRGQSCYMLAYECFKPPEDTKLDTDSATKIVLRNRKLRLEELRFLLKTIVSSGIGENTYCPRTVLEGREECPTLKDTYEEIDEIMFDTLDNLFKKTGISPSEVDILVVNVSLFSPAPSLAARIINRYKMRENVKAFNLAGMGCSASVVAIDVVQQLFKTYKNSVGIVVSTEDLGAHWYCGTDKKMMLSNCLFRSGGCSVMLTNKASLKERAILKLKHMERTQYGADDEAYNCCIQVEDEQGYSGFRLTKSLVKSAAQALTVNLQAMAPKILPVWEMVRFFFASVKYSGMKKREMVPFFTGLVLGKNKTKKTKVNLLGGGLNFKTGIEHFCVHPGGRAVIDGVGKGFRLSEYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVTRDLSDTNVWKDCIESYPPQNLSNPFKDKYNWINDEYLSFVRLDFSRMVL >Vigun03g330600.1.v1.2 pep primary_assembly:ASM411807v1:3:52791650:52793826:1 gene:Vigun03g330600.v1.2 transcript:Vigun03g330600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACAATDSVASGEIMLFGVRVVVDSMRKSVSMNNLSQYEHPHDSNNSSNSNNNKKDVLAAADYASADDAVPHNTGRQRERERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISKNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVQNQDTLSHSQPVCPAVPETNKSGFPMMPVYQFGVGSGVIAVQGGKPLEELTLGQGNIEHNVPINLVHSIPVVADPKASTVSDIITPSSSSAVDPPTLSLGLSFSSDQRQTSSRHSGLHAMPCFSNGDSIISVA >Vigun03g182300.1.v1.2 pep primary_assembly:ASM411807v1:3:23459593:23475835:1 gene:Vigun03g182300.v1.2 transcript:Vigun03g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQSPPLEILVRGPEQFSLWTGPPFADGQPAVKLDKVNCINAKFSDDGSKLMVTKSNSLITVYDCNTAKEIRAFEVPNVVAATLSPCGTYLQTFQKPSAPQEKNVTLWKIDTGAPVYQQSQKNMTKGNWPAIQFSSDEATACRLATNEVQFFDTGDFSKGVTIRLRVPGVAAAELSSSPGSHVAAFVPESKGVPASVQIFASGNASQSQPVARRSFFRCSTTQLKWNHGSTGLLVVVQSDFDKTNQSYYGESKLCYLTTDGMHEGLVPLRKDGPIHDAQWSYSGLEFAVVYGFMPAKATLFDKKCNPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMVFWDYIDKKQLGTTRAEWSVTSEWSADGCYFMTATTAPRLQVDNGIKIFHYNGSLYFKKMFDKLYQVDWKPESPNKFGDIAELIKSLNLVQLEDKKPAGQGPPKSTQTSVKASSANPQAQKPAAYRPPHAKNAAAIQAQLLGETPSESMSKNALKNKKKREKQKEKKAASDASSS >Vigun03g182300.2.v1.2 pep primary_assembly:ASM411807v1:3:23459593:23475835:1 gene:Vigun03g182300.v1.2 transcript:Vigun03g182300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQSPPLEILVRGPEQFSLWTGPPFADGQPAVKLDKVNCINAKFSDDGSKLMVTKSNSLITVYDCNTAKEIRAFEVPNVVAATLSPCGTYLQTFQKPSAPQEKNVTLWKIDTGAPVYQQSQKNMTKGNWPAIQFSSDEATACRLATNEVQFFDTGDFSKGVTIRLRVPGVAAAELSSSPGSHVAAFVPESKGVPASVQIFASGNASQSQPVARRSFFRCSTTQLKWNHGSTGLLVVVQSDFDKTNQSYYGESKLCYLTTDGMHEGLVPLRKDGPIHDAQWSYSGLEFAVVYGFMPAKATLFDKKCNPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMVFWDYIDKKQLGTTRAEWSVTSEWSADGCYFMTATTAPRLQVDNGSRTTKVNPDFSKSLFCQSPSTKTCCISSTTC >Vigun02g157300.1.v1.2 pep primary_assembly:ASM411807v1:2:30345124:30348797:-1 gene:Vigun02g157300.v1.2 transcript:Vigun02g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCWECLLKLLNFILSLTGLAIVGYGIYLFVEFSKASDGDTLTTSPVSDDSALIQLGRPVLMTVSLSDDFFDNLPSAWFILLFIGTGVVLFLISCFGCIGAATRNGCCLSCYSILVVLLILVELGCAAFIFFDKNWKEEIPTDKTGDFDAIYEFLSENWNIVRWVALGIVIFEALLFLLALIVRAVNKPASYDSDEEFINPRQPLLNRPAPAPVTGLPVAGTIDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESSRFQQVNSQPTEEKSRCTIM >Vigun09g038300.2.v1.2 pep primary_assembly:ASM411807v1:9:3404537:3410511:1 gene:Vigun09g038300.v1.2 transcript:Vigun09g038300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMATTANRKIETYEEFAKVHALLLAASGLPECLHQRLFEKLYGESFDGGKHFKIEPCEGGCQRRLVLTSASMEKNSDVFLVDHAWTFRLSDAFKQLREVPGLTERMGSLMCVDADVSSDDGEDERNDELGVEETIEMEVDAAKENGDGTLRWLELEGLNIDDAMLVSLALPTRFPDLVALSLLENKLNSAEVVVQEVIKLKHLKGLWLRSNPVHNNSDGKLAGAILKELPELEIYNSSFTSNFGEWALGFCAGIYGKDNPVNADQAHTSLHTVSSLDLSNRNIHNLKNKAFTPICLPSLTYLNIQGNPLEQNSVGDLLDLLQRFPCLRSLEVDIPGPLGRRAIDILESLPNISELNDEEKLDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLASAVSFSILWPTQNVQKGDECTRDFLLGIGEDKQRSARLTAWFHTPENYFIHAYEKHHQKLLSTSLIPPTFQYSATQSIHQHGGRPLLVYTDIPHVEEYLTHPEFAITNEPKDADIIWTSMQVDEEMKKATGITDQQYINQFPFEACLVMKHHLAETIQKAHGSPQWLQPTYNLETHLSQLIGDYCVRKREGLDNLWILKPWNMARTIDTTVTDNLPAIIRLMETGPKICQKYIEQPALFQGKKFDLRYIVLVRSMHPLEIFLSDCFWVRIANNQYSLARSSLFEYETHFTVMNYRGTINHKNANEFVREFEEEHQVKWLDIHTRVRKMIRSVFEAAAVAHPEMHNPTSRAMYGVDVMLDGSFQPKLLEVTYCPDCTRACKYDMDIVVGEGGIAKGCDFFNNVFKCLFLNGTSQVSQL >Vigun09g038300.1.v1.2 pep primary_assembly:ASM411807v1:9:3404537:3410511:1 gene:Vigun09g038300.v1.2 transcript:Vigun09g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMATTANRKIETYEEFAKVHALLLAASGLPECLHQRLFEKLYGESFDGGKHFKIEPCEGGCQRRLVLTSASMEKNSDVFLVDHAWTFRLSDAFKQLREVPGLTERMGSLMCVDADVSSDDGEDERNDELGVEETIEMEVDAAKENGDGTLRWLELEGLNIDDAMLVSLALPTRFPDLVALSLLENKLNSAEVVVQEVIKLKHLKGLWLRSNPVHNNSDGKLAGAILKELPELEIYNSSFTSNFGEWALGFCAGIYGKDNPVNADQAHTSLHTVSSLDLSNRNIHNLKNKAFTPICLPSLTYLNIQGNPLEQNSVGDLLDLLQRFPCLRSLEVDIPGPLGRRAIDILESLPNISELNGIDASKILQPGKHVNDSMLLPRIPEWTPDEPLADRIINAMWQYLMTYRLADEEKLDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLASAVSFSILWPTQNVQKGDECTRDFLLGIGEDKQRSARLTAWFHTPENYFIHAYEKHHQKLLSTSLIPPTFQYSATQSIHQHGGRPLLVYTDIPHVEEYLTHPEFAITNEPKDADIIWTSMQVDEEMKKATGITDQQYINQFPFEACLVMKHHLAETIQKAHGSPQWLQPTYNLETHLSQLIGDYCVRKREGLDNLWILKPWNMARTIDTTVTDNLPAIIRLMETGPKICQKYIEQPALFQGKKFDLRYIVLVRSMHPLEIFLSDCFWVRIANNQYSLARSSLFEYETHFTVMNYRGTINHKNANEFVREFEEEHQVKWLDIHTRVRKMIRSVFEAAAVAHPEMHNPTSRAMYGVDVMLDGSFQPKLLEVTYCPDCTRACKYDMDIVVGEGGIAKGCDFFNNVFKCLFLNGTSQVSQL >Vigun05g270400.1.v1.2 pep primary_assembly:ASM411807v1:5:46179490:46184464:-1 gene:Vigun05g270400.v1.2 transcript:Vigun05g270400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASSNNTLVSVIVIVALIFPEVVHSQALSPSDSVADNVSDTITQSDNTIRVDPLDHFEKYRGGFNITNKHYWSSVIFTGVYGYAIGVLCILCGIVYGIFSVITLVCQRNDRRRVKKVFPCNYKSCDPSIPLAILLTTFAIAATGLVLAGSARFHSEAKNAVNIIIKTANEASETIQNTTGALKDMESNFMEANVTAETSVDIDSTTEKLDDASANIEKQARKNRRLINKGLKLVFVTTTVIISINLVAVIALSVSGVLRLRRALYMLVILCWLMTVICWLLFGVYFFLEKFSGDACTALNNFQENPYNNSLSSLLPCDELLSAKSVLSDVSAGIYDLVNKVNANISAMQATSDMNLAHVCNPFSAPPNYLYQPENCPANTIRIGDIPKALKPFTCPDAIDGSCDSLYYISGREYTRVEAYTNSIQDVLNVYPSVEHLLECKLVKEAFSEVLFNHCKPLKKFAEMVWLGMLFLAVFMVLLILLWTIKTRHEHRYNLSDGSVEPHFAPTKALESGTVKEIVI >Vigun03g180300.1.v1.2 pep primary_assembly:ASM411807v1:3:22780448:22785802:-1 gene:Vigun03g180300.v1.2 transcript:Vigun03g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQFEERDLTKFKERVLNIFTMARQGILWSVQQNLGVLEEKLGLEEERPFIFIFIFFLAHFVSLVYFHHPSSPFYPKRLTTKCFSIYL >Vigun04g197200.1.v1.2 pep primary_assembly:ASM411807v1:4:42214427:42218305:1 gene:Vigun04g197200.v1.2 transcript:Vigun04g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGNEHVVGAADPNDVVTPFSHREETHNSQPLTGDGASPGKIFIGGLARETTIAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDKVIEDPHVINGKQVEIKRTIPRGAVGSKDFRTKKIFVGGIPSNVTEDEFRDFFTRYGEVKDHQIMRDHSTNRSRGFGFITFDSEEAVDDLLSMGNKIEFAGAQVEIKKAEPKKPNSAPPSSKRYNDSRSSYSGAGYGDAYDGFGGSFGVGGGYRSGGAYAGGRGGAYGAYGSEFGGYGGYAGAMGPYRGDPSLSYAGRYGGSFSRGYDLGGYGGPSENYGAYGGGGGGSSGGAGAGAYQSGYDGSLGGGYGGSSGGPFYGSSRGGYGAGRYHPYGR >Vigun04g197200.2.v1.2 pep primary_assembly:ASM411807v1:4:42214427:42218305:1 gene:Vigun04g197200.v1.2 transcript:Vigun04g197200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRKTGQPRGFGFITYADPSVVDKVIEDPHVINGKQVEIKRTIPRGAVGSKDFRTKKIFVGGIPSNVTEDEFRDFFTRYGEVKDHQIMRDHSTNRSRGFGFITFDSEEAVDDLLSMGNKIEFAGAQVEIKKAEPKKPNSAPPSSKRYNDSRSSYSGAGYGDAYDGFGGSFGVGGGYRSGGAYAGGRGGAYGAYGSEFGGYGGYAGAMGPYRGDPSLSYAGRYGGSFSRGYDLGGYGGPSENYGAYGGGGGGSSGGAGAGAYQSGYDGSLGGGYGGSSGGPFYGSSRGGYGAGRYHPYGR >Vigun04g197200.3.v1.2 pep primary_assembly:ASM411807v1:4:42214427:42218305:1 gene:Vigun04g197200.v1.2 transcript:Vigun04g197200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHSTNRSRGFGFITFDSEEAVDDLLSMGNKIEFAGAQVEIKKAEPKKPNSAPPSSKRYNDSRSSYSGAGYGDAYDGFGGSFGVGGGYRSGGAYAGGRGGAYGAYGSEFGGYGGYAGAMGPYRGDPSLSYAGRYGGSFSRGYDLGGYGGPSENYGAYGGGGGGSSGGAGAGAYQSGYDGSLGGGYGGSSGGPFYGSSRGGYGAGRYHPYGR >Vigun06g228800.2.v1.2 pep primary_assembly:ASM411807v1:6:33623611:33628118:-1 gene:Vigun06g228800.v1.2 transcript:Vigun06g228800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDKDNSFSTPSFRIRYARQDVFLSIMISFYLSYGVHEAKSSAVILKFELFHTPTPEMGPEIQSSLDACAASVHEYRIPPKALLGLHSYCPVYFDAFHAVLVDTSVHISLLKSGYRTPQLKVPSNSLASEGTGREDYLRLNKAAFVKELMAAHDILLDDLLRISRGINQAIDLAGINFDSVVTKSLNSPSPAHEKNTDDGTSLQLSDGTKVNAESWDDMLNSFQSIGSQILYLWNTFLKFHRENKTKILEFLRNSWANDRRTEWSIWMMYSKVDMPHQYMSNGVEGTSLYRSLRGGRLASTRRFSDDPIQTATMRAELHRRGIAQMKINNRSLQDMYIYEDPLRVPIIIVERLENMYRSTRVKSYFLPFEAEDKHILENGSKAVIKLPGNHPQQNDHVLRVVVFVHGFQGHHLDLRLIRNQWLLLDPKIHVLMSESNEDKTSEDFREMGLRLAQEVISFLKKKMDRASKVGNLKDIKISFVGHSIGNLIVRAALAESIMEPYLRYLCTYVSISGPHLGYMYSSNSLFNSGLWLLKKIKGTQCIHQLTFTDDHDLENTFIYNLSKKKTLTNFKNVILLSSPQDGYVPYHSARIELSPAATLDFSKQGKVFLEMLNNCLDQLRTNSDHRVVMRCDINFGTSSYGKRSFNTIIGRAAHIEFLECDIFVKFIMWSFPELFC >Vigun06g228800.1.v1.2 pep primary_assembly:ASM411807v1:6:33623611:33629625:-1 gene:Vigun06g228800.v1.2 transcript:Vigun06g228800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLGWFVGLNQTNLSTKRLLNAHHQPPSSGKPNHQPLMLDAVYEVAVYIHRFHNLDLFEQGWYRIKVTMRWEDDDDDDSYPGIPARVVQYEAPEVSSADNFCGVWMIDDKDNSFSTPSFRIRYARQDVFLSIMISFYLSYGVHEAKSSAVILKFELFHTPTPEMGPEIQSSLDACAASVHEYRIPPKALLGLHSYCPVYFDAFHAVLVDTSVHISLLKSGYRTPQLKVPSNSLASEGTGREDYLRLNKAAFVKELMAAHDILLDDLLRISRGINQAIDLAGINFDSVVTKSLNSPSPAHEKNTDDGTSLQLSDGTKVNAESWDDMLNSFQSIGSQILYLWNTFLKFHRENKTKILEFLRNSWANDRRTEWSIWMMYSKVDMPHQYMSNGVEGTSLYRSLRGGRLASTRRFSDDPIQTATMRAELHRRGIAQMKINNRSLQDMYIYEDPLRVPIIIVERLENMYRSTRVKSYFLPFEAEDKHILENGSKAVIKLPGNHPQQNDHVLRVVVFVHGFQGHHLDLRLIRNQWLLLDPKIHVLMSESNEDKTSEDFREMGLRLAQEVISFLKKKMDRASKVGNLKDIKISFVGHSIGNLIVRAALAESIMEPYLRYLCTYVSISGPHLGYMYSSNSLFNSGLWLLKKIKGTQCIHQLTFTDDHDLENTFIYNLSKKKTLTNFKNVILLSSPQDGYVPYHSARIELSPAATLDFSKQGKVFLEMLNNCLDQLRTNSDHRVVMRCDINFGTSSYGKRSFNTIIGRAAHIEFLECDIFVKFIMWSFPELFC >Vigun11g137400.2.v1.2 pep primary_assembly:ASM411807v1:11:34662109:34664210:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLPCFSHTHSHNVKLQLQRRRISTVSSALAETAASMAVAVTFVGAAATLIVKRSKTSESAQIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGLPKKWSYCTKCSSGRSCSTCGGSGKLSY >Vigun11g137400.1.v1.2 pep primary_assembly:ASM411807v1:11:34662109:34664240:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLPCFSHTHSHNVKLQLQRRRISTVSSALAETAASMAVAVTFVGAAATLIVKRSKTSESAQSKIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGLPKKWSYCTKCSSGRSCSTCGGSGKLSY >Vigun11g137400.5.v1.2 pep primary_assembly:ASM411807v1:11:34662292:34664210:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTFVGAAATLIVKRSKTSESAQIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGLPKKWSYCTKCSSGRSCSTCGGSGKLSY >Vigun11g137400.4.v1.2 pep primary_assembly:ASM411807v1:11:34662109:34664240:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTFVGAAATLIVKRSKTSESAQSKIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGLPKKWSYCTKCSSGRSCSTCGGSGKLSY >Vigun11g137400.6.v1.2 pep primary_assembly:ASM411807v1:11:34662292:34664210:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTFVGAAATLIVKRSKTSESAQSKIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGSGAIVQNALLVDRVQPVVAVES >Vigun11g137400.3.v1.2 pep primary_assembly:ASM411807v1:11:34662109:34664210:1 gene:Vigun11g137400.v1.2 transcript:Vigun11g137400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLPCFSHTHSHNVKLQLQRRRISTVSSALAETAASMAVAVTFVGAAATLIVKRSKTSESAQSKIQFKACEDCGGSGICSECNGEGFVLRKRSGENSEQARVQPKNMATRFTSGSGAIVQNALLVDRVQPVVAVES >Vigun11g168200.1.v1.2 pep primary_assembly:ASM411807v1:11:37496924:37505478:-1 gene:Vigun11g168200.v1.2 transcript:Vigun11g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDADQSNRPHRNRQSGSKSDKKKSKKKQNQDAGGEDQKSQNPKAFAFSSSNKAKRLQSRAVEKEQRRLHAPIIDRSYGEPAPYVVVVQGPPQVGKSLLIKSLVKHYTKHNLPDVRGPLTIVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREVHNLARFISVMKFHPLSWRTSHPYVLVDRFEDITPPEKVHVNDKCDRKVTLYGYLRGCNLKKGNKVHIAGVGDYSLTAITALPDPCPLPSAAKKKGLRDKERLFYAPMSGLGDLLYDKDAVYININDHLVQFSKVDGENSAMTSKGKDRDVGELLVKSLQNTKYSINEKLENSSISFFGEKSKVSSAALTDANGENKDVEQNEAVINTKVSDGSESSDQDEEDNMKESEASDSDNEDSPNSNGLKGDQIQEHIEFHDGRRRRRAIFGNDIDQSDVMDSEGDEDAAASDDLVSSEESSEEEEEDDNDNDNDDTNENGMGNVSKWKESLAERTLSRKAPSLMQLVYGESINNSTTANSENENSGDEESDDDFFKPIEEVKKQSMRDGLDDDGMINTEDCSKCTQFVQQRWDEEIRNRFVSGNLAKAALRNALQTANTEGENEDVYGDFEDLETGEKHENNQTDDALAATTLKGDDLEAEERRLKKRALRAKFDSQFDKDPGSPEEDTDNEIERKFHRGQANESSYFDKLKEEIELQKQRNIAELNDLDEDTRLEIEGFRTGTYLRLEIDDVPCEMVEYFDPYHPILVGGVGIGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSVGWRRYQTTPVYAIEDTNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLANNQATFRITATAVVLEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNQAKKKGGQPKEGIARCTFEDKILMSDIVFLRAWTQVEVPQFYNPLTTALQPREKTWKGMRTVAELRREHNLPVPVNKDSLYKKIERKPRKFNPLVIPKSLQASLPFASKPKDIPKRKKPLLEERRGRGVVMEPRERKVHALVQHLQLINSDKMKKRKLKEEKKRKELEAERAKDEQLSKKRRREERREKYRAQDKQNKRIRRAEV >Vigun05g026400.1.v1.2 pep primary_assembly:ASM411807v1:5:2147041:2148123:1 gene:Vigun05g026400.v1.2 transcript:Vigun05g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFNLLSFILFLSLLFPGNDASNNCLSENQSRTGNWAHGMSEKKVRIINRCVCSVSEVKLNCTDFQTYKTEDPSILNISGDVCLLKNGSSISTDEAVEFLYAWDPPFPFQPISFNQSCH >Vigun06g177000.1.v1.2 pep primary_assembly:ASM411807v1:6:29716206:29718402:-1 gene:Vigun06g177000.v1.2 transcript:Vigun06g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFLYGSSVKSYPGKFTNSVFWTYFVTILWGLLFGYNLGVSVFASTVIRLIGRRTTMICAGVLILGGAELNDFALNVRMLIVGRILIGLGIGCANKSLSIYISEVDPYKHIGVLNMMFKFTITVGIFVANVINYNYVEEENGEGWRYSLRFPTILALIIICSASSLPRLPSFFIEHGLDGKAKSKLIEIRGITDVNEEFEDLVAASECSKAVKHPWISLLKRRYRPQLTFAIAIPFFQQLTGMNVIVFYAPILFKTIGFGVNASFMSTMITGGCHAITTLVSIFTVDKFDRRTLFLVGGIQMFIGQVTIFVAIACKFGLDGNPEMLPKYATVTVWGICVYMTGFAWSWSPLGWLVPSEIFSLEVRSAAQSINISMNMILTFAITQIFTIMLCHIKFGLFIFFACFVIVMNIFIYSLLPETKGVSIEEMYVVWQSHSYWKKFVEPDAEVNTTNDEC >Vigun11g049000.1.v1.2 pep primary_assembly:ASM411807v1:11:7837598:7838085:1 gene:Vigun11g049000.v1.2 transcript:Vigun11g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIETKSIVGVVITLMILLNFSQANLTDDSSCNLKCKLECVLNLVTYGACVRDCESRCSNLSSDPINNCITDCHLLNSITINNGARDSMNSVMNTCIQECKERL >Vigun08g161300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33381379:33384740:1 gene:Vigun08g161300.v1.2 transcript:Vigun08g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDSKSKLSSSPSLVMENNLQKQNLDGFCNFSTLLELSACDDFEAFKREVDEKGVDVNESGFWYGRRIGSKKMGSETRTPLMIASLFGSTRVLKYILEAGTVDVNRACGSDRATALHCAASGGSESSPEIVKLLLDAGADAESLDASGNKPANLIAPAFDSSSKSRRKAMELLLRGGGERDEVVSQEMELQMLATPFKEGAEKKEGSDKKEYPVDISLPDINNGVYGSDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGTCQKSDSCEYAHGVFESWLHPAQYRTRLCKDETGCTRKVCFFAHKPEELRPVYASTGSAMPSPKSYSTTALDMTSMSPLALSSTSLPMPTVSTPPMSPLAAASSPKSGNLWQNKINLTPPSLQLPGSRLKAALSARDLEMEMELLGLDSPARQQQQQQQQLIEEIARISSPSFRNKEFNRIADLNPTNLDDLLASADPSVLSQLHGLSVQSSTPSQTGLQMRQNMNHLRASYPSNIPSSPVRKPSAFGFDSSAAVAAAVMNSRSAAFAKRSQSFIDRGAATHHLGLSSPSNPSCRVSSTLSDWSSPTGKLDWGVNGDELNKLRKSASFGFRNNGVTASPMAQHEHVEPDVSWVHSLVKDVPSERSEMFGGEKQQYDLLPPWVEQLYIEQEQMVA >Vigun01g142500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32353098:32354128:1 gene:Vigun01g142500.v1.2 transcript:Vigun01g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALDLDFDYHLFPNENLNFFENAGDPFSWDYNFDDIFNFSDDQTKESSSASNVSGSVGSVELQEVSSNNTTYEEVAKETEAVAAPAPAKEGRMYRGVRKRPWGKFAAEIRDSTRNGVRVWIGTFDTAEAAALAYDQAAFSTRGSLAVLNFPEEVVRESLKDMPSKPWEEGSSPVLALKRKHTMRRKSGSKKKKSKSDNREQLEFCTTSKNVLVFEDLGADYLEQLLSLTY >Vigun03g243400.3.v1.2 pep primary_assembly:ASM411807v1:3:40554111:40560155:-1 gene:Vigun03g243400.v1.2 transcript:Vigun03g243400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLESSSNFDSLMYNSSSHRSTVSIQSRSSGVNNSTREVSFGHSGSKPSRYGSKGADSESLSMSQKEISDEDARVVYVDDPEKTNEKLEFAGNSILTGKYSILTFLPRNLFEQFHRVAYIYFLAIAVLNQLPQLAVFGRGVSIMPLAIVLLVTAVKDAFEDWRRHKSDKIENNRFGLVLVKDQFVKKKWKHIRVGEVIKIRANETLPCDVVLLSTSDPTGVAYVQTLNLDGESNLKTRYAKQETQSKLPEKEKLNLLIKCEKPNRNIYGFQGNIEVDGKRLSLGSSNIVLRGCELKNTKWALGVAVYCGPETKAMLNSSGAPSKRSLLETRMNSEIIMLSFFLIALCTVTSVCAGVWLNRHKDELNLSPYYRKLDFSKGGEDNYKYYGWGLEIVFTFLMSVIVYQVMIPISLYISMELVRVGQAYFMIGDSKMYDKATESGFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASILGFDYSSTAASLENEQVEFSVQADGTVFKPKMMVKVNQELLQLSKSGFTNEEGKQIYDFFLALAACNTIVPLVVDTSDPMVKLVDYQGESPDEQALTYAAAAYGFMLIERTSGHIVLDIRGERKRFNVLGLHEFDSDRKRMSVILGYSDNSVKLFVKGADTSMLRLIDKSLNTDILEATENHLRSYSSVGLRTLVIGMRDLDASEFEQWHTAFEVASTALMGRAALLRRVAINIEKNLCILGATAIEDKLQQGVPESIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSNMIQIIINSNSKESCRRRLQDALVMSRQHMTVPGVTHNSGGSAGSVLTLALIIDGTSLVYILDSELEEEFFQLAIRCSVVLCCRVAPLQKAGIVALVKKRTDDMTLAIGDGANDVSMIQMADIGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRLGYMIIYNFYRNAIFVLVLFW >Vigun03g243400.2.v1.2 pep primary_assembly:ASM411807v1:3:40554111:40560155:-1 gene:Vigun03g243400.v1.2 transcript:Vigun03g243400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLESSSNFDSLMYNSSSHRSTVSIQSRSSGVNNSTREVSFGHSGSKPSRYGSKGADSESLSMSQKEISDEDARVVYVDDPEKTNEKLEFAGNSILTGKYSILTFLPRNLFEQFHRVAYIYFLAIAVLNQLPQLAVFGRGVSIMPLAIVLLVTAVKDAFEDWRRHKSDKIENNRFGLVLVKDQFVKKKWKHIRVGEVIKIRANETLPCDVVLLSTSDPTGVAYVQTLNLDGESNLKTRYAKQETQSKLPEKEKLNLLIKCEKPNRNIYGFQGNIEVDGKRLSLGSSNIVLRGCELKNTKWALGVAVYCGPETKAMLNSSGAPSKRSLLETRMNSEIIMLSFFLIALCTVTSVCAGVWLNRHKDELNLSPYYRKLDFSKGGEDNYKYYGWGLEIVFTFLMSVIVYQVMIPISLYISMELVRVGQAYFMIGDSKMYDKATESGFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASILGFDYSSTAASLENEQVEFSVQADGTVFKPKMMVKVNQELLQLSKSGFTNEEGKQIYDFFLALAACNTIVPLVVDTSDPMVKLVDYQGESPDEQALTYAAAAYGFMLIERTSGHIVLDIRGERKRFNVLGLHEFDSDRKRMSVILGYSDNSVKLFVKGADTSMLRLIDKSLNTDILEATENHLRSYSSVGLRTLVIGMRDLDASEFEQWHTAFEVASTALMGRAALLRRVAINIEKNLCILGATAIEDKLQQGVPESIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSNMIQIIINSNSKESCRRRLQDALVMSRQHMTVPGVTHNSGGSAGSVLTLALIIDGTSLVYILDSELEEEFFQLAIRCSVVLCCRVAPLQKAGIVALVKKRTDDMTLAIGDGANDVSMIQMADIGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRLGYMIIYNFYRNAIFVLVLFWYVLFTAFTLTTAINEWSSMLYSIIYTALPTIVVGVLDKDLGKGTLLKNPQLYGAGLRNEAYNKKLFWLTMVDTLWQSIAVFFAPLVAYWGTTVDVASIAIWGSIVATFISVMVIDAIPAFPGFWAIFDVGGTALFWLCLVGIIIAALLPRLVVKYVYQYYFPSDIQISRETEKFGNPRDNGGRQIEMLPVSDVSVR >Vigun03g243400.1.v1.2 pep primary_assembly:ASM411807v1:3:40554111:40560155:-1 gene:Vigun03g243400.v1.2 transcript:Vigun03g243400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLESSSNFDSLMYNSSSHRSTVSIQSRSSGVNNSTREVSFGHSGSKPSRYGSKGADSESLSMSQKEISDEDARVVYVDDPEKTNEKLEFAGNSILTGKYSILTFLPRNLFEQFHRVAYIYFLAIAVLNQLPQLAVFGRGVSIMPLAIVLLVTAVKDAFEDWRRHKSDKIENNRFGLVLVKDQFVKKKWKHIRVGEVIKIRANETLPCDVVLLSTSDPTGVAYVQTLNLDGESNLKTRYAKQETQSKLPEKEKLNLLIKCEKPNRNIYGFQGNIEVDGKRLSLGSSNIVLRGCELKNTKWALGVAVYCGPETKAMLNSSGAPSKRSLLETRMNSEIIMLSFFLIALCTVTSVCAGVWLNRHKDELNLSPYYRKLDFSKGGEDNYKYYGWGLEIVFTFLMSVIVYQVMIPISLYISMELVRVGQAYFMIGDSKMYDKATESGFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASILGFDYSSTAASLENEQVEFSVQADGTVFKPKMMVKVNQELLQLSKSGFTNEEGKQIYDFFLALAACNTIVPLVVDTSDPMVKLVDYQGESPDEQALTYAAAAYGFMLIERTSGHIVLDIRGERKRFNVLGLHEFDSDRKRMSVILGYSDNSVKLFVKGADTSMLRLIDKSLNTDILEATENHLRSYSSVGLRTLVIGMRDLDASEFEQWHTAFEVASTALMGRAALLRRVAINIEKNLCILGATAIEDKLQQGVPESIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSNMIQIIINSNSKESCRRRLQDALVMSRQHMTVPGVTHNSGGSAGSVLTLALIIDGTSLVYILDSELEEEFFQLAIRCSVVLCCRVAPLQKAGIVALVKKRTDDMTLAIGDGANDVSMIQMADIGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRLGYMIIYNFYRNAIFVLVLFWYVLFTAFTLTTAINEWSSMLYSIIYTALPTIVVGVLDKDLGKGTLLKNPQLYGAGLRNEAYNKKLFWLTMVDTLWQSIAVFFAPLVAYWGTTVDVASIGDLWTLAVVILVNLHLAMDVIRWNWIIHAAIWGSIVATFISVMVIDAIPAFPGFWAIFDVGGTALFWLCLVGIIIAALLPRLVVKYVYQYYFPSDIQISRETEKFGNPRDNGGRQIEMLPVSDVSVR >Vigun01g006500.1.v1.2 pep primary_assembly:ASM411807v1:1:795002:804474:1 gene:Vigun01g006500.v1.2 transcript:Vigun01g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGLTFKLHPLVIVNISDHYTRVKSQMNPTLAPQHTNNAAAPNGGDGVVSPLPPRVYGCVIGVQKGRTVEIFNSFELLYDPSTHSLDRTFLEKKQELYKKVFPHFYILGWYSTGSDAEESDMHIHKALMDINESPVYVLLNPSINHSQKDLPVSIYESELHVIDGIPQLIFVLSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLHSRIKVLNHYLLAMQKGDVPCENSLLRQVSSLLRRLPAIESGKFQDDFLMEYNDTLLISYLAMLTNCSSGMNELVDKFNTAYDRHTRRGGRTAFM >Vigun01g184150.1.v1.2 pep primary_assembly:ASM411807v1:1:36453907:36457760:1 gene:Vigun01g184150.v1.2 transcript:Vigun01g184150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLNGYMPINVWKKCVQNMLIILDILVQHPNIVVDDSVEPDENETQKGTDYNGPIRVWGNLVAFLERIDVEFFKSLQCIDPHTRDYVERLRDEPCFWFLLRMSRNILKERVELIYYKPQENGDDGGEANCGRKPTFPDNSRTIMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFSIARDLLLMSHLQDSIQHMDISTQILFNRAMSQLGLCAFRIGLISEAHGCLSELYSGGRVKELLAKTPEQERLERRRQMPYHMHINLELLESVHLVSAMLLEVPNMAANVHDAKRKVISKTFRRLHEASEKQTFTGPPENVRDHVMAATRFLIKGDFQKAFDIIVSLDVWKFVRNRDTVLEMLKDKIKEEALRTYLFTFASSYESLSLDQLSKIFDLSVSCTHSIVSRMMINEELHASWDQPTGCVVFQDVEYSRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPLRRRDGQDYAAAAAGGSGTASSGGRWQDLGLSQPRQGSGRAGGYGGGGRSIGQVAAGGYSRDRMGRGTGGGYQSGRYQDGGYGRSAQGGSALRGPQGDTSSRMVSLKGVRA >Vigun01g184150.2.v1.2 pep primary_assembly:ASM411807v1:1:36453907:36457760:1 gene:Vigun01g184150.v1.2 transcript:Vigun01g184150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLNGYMPINVWKKCVQNMLIILDILVQHPNIVVDDSVEPDENETQKGTDYNGPIRVWGNLVAFLERIDVEFFKSLQCIDPHTRDYVERLRDEPCFWFLLRMSRNILKERVELIYYKPQENGDDGGEANCGRKPTFPDNSRTIMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFSIARDLLLMSHLQDSIQHMDISTQILFNRAMSQLGLCAFRIGLISEAHGCLSELYSGGRVKELLAKTPEQERLERRRQMPYHMHINLELLESVHLVSAMLLEVPNMAANVHDAKRKVISKTFRRLHEASEKQTFTGPPENVRDHVMAATRFLIKGDFQKAFDIIVSLDVWKFVRNRDTVLEMLKDKIKEEALRTYLFTFASSYESLSLDQLSKIFDLSVSCTHSIVSRMMINEELHASWDQPTGCVVFQDVEYSRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPLRRRDGQDYAAAAAGGSGTASSGGRWQDLGLSQPRQGSGRAGGYGGGGRSIGQVAAGGYSRDRMGRGTGGGYQSGRYQDGGYGRSAQGGSALRGPQGDTSSRMVSLKGVRA >Vigun01g184150.3.v1.2 pep primary_assembly:ASM411807v1:1:36454028:36457760:1 gene:Vigun01g184150.v1.2 transcript:Vigun01g184150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLNGYMPINVWKKCVQNMLIILDILVQHPNIVVDDSVEPDENETQKGTDYNGPIRVWGNLVAFLERIDVEFFKSLQCIDPHTRDYVERLRDEPCFWFLLRMSRNILKERVELIYYKPQENGDDGGEANCGRKPTFPDNSRTIMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFSIARDLLLMSHLQDSIQHMDISTQILFNRAMSQLGLCAFRIGLISEAHGCLSELYSGGRVKELLAKTPEQERLERRRQMPYHMHINLELLESVHLVSAMLLEVPNMAANVHDAKRKVISKTFRRLHEASEKQTFTGPPENVRDHVMAATRFLIKGDFQKAFDIIVSLDVWKFVRNRDTVLEMLKDKIKEEALRTYLFTFASSYESLSLDQLSKIFDLSVSCTHSIVSRMMINEELHASWDQPTGCVVFQDVEYSRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPLRRRDGQDYAAAAAGGSGTASSGGRWQDLGLSQPRQGSGRAGGYGGGGRSIGQVAAGGYSRDRMGRGTGGGYQSGRYQDGGYGRSAQGGSALRGPQGDTSSRMVSLKGVRA >Vigun07g081000.1.v1.2 pep primary_assembly:ASM411807v1:7:11430550:11434450:-1 gene:Vigun07g081000.v1.2 transcript:Vigun07g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLVVAVAGSTGFATKHFLSNHRNTGEVENANIHDPSAFTFSSSESASQRDGVFTFSSSKSLTQQDRPKSRRPRASKNGVRAPKVEVRPEQRNGGRRLRFLLKKREISKNVAAKSPFHCFKDNSLFDWGICFGIMYMMSAGKAEINKLNETMNETAKLVQELKSEVNNRKSSCDLQNLDSVGNGVRNSSKIRGRNVAMHNNTKSELEDTDLKIWSPAVNDSGECGSSALTEEPEPQVLEMDQLEAELEFELQKLSGCTTGAPCYEETKPNLNEFEAPDEGYHGTDDWNFNYSESHGVSASELHQKLSHLLIKQQENQIIELESELHRTQSNLREKEAELHALKNCVKHLTELSLSTVSDDETQALTDPKGTSDCDNNNIIDFESKPSIVGTKRPFNSESWS >Vigun02g165300.3.v1.2 pep primary_assembly:ASM411807v1:2:30983807:30986670:1 gene:Vigun02g165300.v1.2 transcript:Vigun02g165300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTMEDVAIIGGLVGVQFIYAGNAVFMGYALSLGFSSLTIIILTSLATFLILFPVSFFFERTKWPKNCDFKLTKQLLCLSFGGLLFQYLFLKGLDLTSPAMGTAMPNIAPGLIFLIAWISGLEKVDLRNQYSKVKIMGTVLCVLGALTMSIMQSISTGAPEKNSTFPLSKTPSGFVFNTQKMIGCFYLVVAVVILSSNVVLQAFTLGDFPAPMSLGAVTSLLSAFMTATVQVLEKHRLKTGQLLVRSGNLIGYFILAGAVSGICLSFNGWALKKKGPVFVSMFSPIGTVCSVIFAVIKLGESMSTGSLGGMFLMFSGLYLVLWAKGKEGLPNGDSLESEFDIERPLLC >Vigun02g165300.2.v1.2 pep primary_assembly:ASM411807v1:2:30983807:30986670:1 gene:Vigun02g165300.v1.2 transcript:Vigun02g165300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTMEDVAIIGGLVGVQFIYAGNAVFMGYALSLGFSSLTIIILTSLATFLILFPVSFFFERTKWPKNCDFKLTKQLLCLSFGGLLFQYLFLKGLDLTSPAMGTAMPNIAPGLIFLIAWISGLEKVDLRNQYSKVKIMGTVLCVLGALTMSIMQSISTGAPEKNSTFPLSKTPSGFVFNTQKMIGCFYLVVAVVILSSNVVLQAFTLGDFPAPMSLGAVTSLLSAFMTATVQVLEKHRLKTGQLLVRSGNLIGYFILAGAVSGICLSFNGWALKKKGPVFVSMFSPIGTVCSVIFAVIKLGESMSTGRTLKQLLPSRSGDREFPRAYCKKVEVSWISKNIYLVFHVGSSKQIFAIHIQVMLL >Vigun02g165300.5.v1.2 pep primary_assembly:ASM411807v1:2:30983807:30986670:1 gene:Vigun02g165300.v1.2 transcript:Vigun02g165300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTMEDVAIIGGLVGVQFIYAGNAVFMGYALSLGFSSLTIIILTSLATFLILFPVSFFFERTKWPKNCDFKLTKQLLCLSFGGLLFQYLFLKGLDLTSPAMGTAMPNIAPGLIFLIAWISGLEKVDLRNQYSKVKIMGTVLCVLGALTMSIMQSISTGAPEKNSTFPLSKTPSGFVFNTQKMIGCFYLVVAVVILSSNVVLQAFTLGDFPAPMSLGAVTSLLSAFMTATVQVLEKHRLKTGQLLVRSGNLIGYFILAGAVSGICLSFNGWALKKKGPVFVSMFSPIEH >Vigun02g165300.4.v1.2 pep primary_assembly:ASM411807v1:2:30983807:30986100:1 gene:Vigun02g165300.v1.2 transcript:Vigun02g165300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTMEDVAIIGGLVGVQFIYAGNAVFMGYALSLGFSSLTIIILTSLATFLILFPVSFFFERTKWPKNCDFKLTKQLLCLSFGGLLFQYLFLKGLDLTSPAMGTAMPNIAPGLIFLIAWISGLEKVDLRNQYSKVKIMGTVLCVLGALTMSIMQSISTGAPEKNSTFPLSKTPSGFVFNTQKMIGCFYLVVAVVILSSNVVLQAFTLGDFPAPMSLGAVTSLLSAFMTATVQVLEKHRLKTGQLLVRSGNLIGYFILAGAVSGICLSFNGWALKKKGPVFVSMFSPIGTVCSVIFAVIKLGESMSTGSLGGMFLMFSGLYLVLWAKGKEGLPNGDSLESEFDIERPLLC >Vigun02g165300.1.v1.2 pep primary_assembly:ASM411807v1:2:30983807:30986670:1 gene:Vigun02g165300.v1.2 transcript:Vigun02g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTMEDVAIIGGLVGVQFIYAGNAVFMGYALSLGFSSLTIIILTSLATFLILFPVSFFFERTKWPKNCDFKLTKQLLCLSFGGLLFQYLFLKGLDLTSPAMGTAMPNIAPGLIFLIAWISGLEKVDLRNQYSKVKIMGTVLCVLGALTMSIMQSISTGAPEKNSTFPLSKTPSGFVFNTQKMIGCFYLVVAVVILSSNVVLQAFTLGDFPAPMSLGAVTSLLSAFMTATVQVLEKHRLKTGQLLVRSGNLIGYFILAGAVSGICLSFNGWALKKKGPVFVSMFSPIGTVCSVIFAVIKLGESMSTGSLGGMFLMFSGLYLVLWAKGKEGLPNGDSLEKH >Vigun03g224500.1.v1.2 pep primary_assembly:ASM411807v1:3:37280274:37285881:1 gene:Vigun03g224500.v1.2 transcript:Vigun03g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPMTKPSLNPNKHLKEQFVSNLTGSSMPEIVALTVSVPVLVLIRHSISSISIAGASLKKKNDDAPSGKRNFKSYLATLTLDFLVIVVPMLLFFTVLANWTYIIACSLTILTLLFISTKRSGGSSSFEGEPNSLRAYVTSYRVLVMIITFLCILAVDFKIFPRRYAKTETYGTSLMDLGVGAFVLANSLVSRQARNIALVSWKTAVVSTSPLILLGFLRLVTTTGVDYQVHVGEYGVHWNFFFTLAAVSILTSFINISPQYCGVIGSLLLVGYQFCLVQGLNHYLLSDERGVDILSQNKEGIFSIFGYWGMYLLGVYIGNSLIFGSHSSGFRSSRWVRMRVWALSILFWLLTVLLDRHVERVSRRTCNLPYVTMVLADNLQLLSVLMLADLVPGRKTSVLEEAFSRNLLATFLLANILTGLVNLSVDTLSASSIKALIILLVYAYILSIVIGILDYFGIKLKFW >Vigun11g165800.1.v1.2 pep primary_assembly:ASM411807v1:11:37289170:37307736:1 gene:Vigun11g165800.v1.2 transcript:Vigun11g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKRGGGSGRRSKGRTQSKDHASQFGADDYDQLSEEITALCAIFQEDCKVLPGSPPRIVIKLRPYSKDMGYEDLDVSASLVVRCLPGYPFKCPKLQITPEKGLSEADADKLLSLLHDQATLNAREGRVMIYNLVEAAQEFLSGIEPIGISNDSKLLHSTVESNEELFTKDKTSLSKKGSFVYGFIDLFSGYGETWSWGFGMDETAGKSSSLPLSNLDGSKQRFETHEKKFNSKETRLVMQEHPAKLDTVGEVIEDSKNSLSLTSSSTSSEEDFVVNDDEGEKEYFIVDKYTTEDNEGINESESPEALPSDSLPRPQSSQTIEKDILMVHMLRLVCTSKGSLTDCLPQVVTELYNLGIITDLARDMASKPPSIFNKTFDRVFQKHLASSKISQFWNPDLGGSNTVSHSSRYLNDFEELRPLGHGGFGHVVLCKNKLDGRHYAVKKIRLKDKSMPDRILREVATLSRLQHQHVVRYYQAWFETGVSDSYGDSTWGSKTTVSSTFSFNAATSNDVFGHENQLESTYLYIQMEYCPRTLRQKFESYNDFDKESAWHLFRQIVEGLSHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDQDVGHTADATGVSIDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHVVLSDLKQKGEVPPIWVAEFPEQESLLRQLMSPAPSDRPSAIELLQNAFPQRMESELLDDILRTMQKSEDTSIYDKVLSAIFDEEMLSTKHIRQVGRLGSVGDSSSSIQYTEFETEVRDYVVDMNREIFRQHCAKHLEISTMRLLEDCPQFNRNAVKLLTHGGDMLELCHELRFPFVNWIISNQKSSFKRYEISCVFRRAVGHSSPNRYLQGDFDIIGGTSALTEAEVIKVTRDVVTCFFQADSCDIHLNHGDLLDAIWSWTGVKVEHRLKVAELLSMMGSLRPQSSERKSKWVVIRRQLLQELNLAETKVNRLQTVGLRFCGSADHALPRLRGALPSDKRTFKALDELSELVSLLRIWRIDRNIYIDALMPPTESYHRDLFFQVYLRKENSPGSLSEGVLLAVGGRYDYLLHQLWRSDSKGNPPTGVGTSIALETIIQNCPVDIKPNRNEGTTSILVCSRGGGGLLVERMELVAELWEENFKAEFVPTPDPSLTEQYEYANEHGIKCLVIIADTDFSLTDSVKVRHLEHKREKSVERKNLVKFLSEAMATQFRNPSIWI >Vigun09g083300.1.v1.2 pep primary_assembly:ASM411807v1:9:10153253:10157586:-1 gene:Vigun09g083300.v1.2 transcript:Vigun09g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGVTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHFAPGIPLVLVGTKLDLREDKHYMADHPGLMPVTTEQGEELRKQIGATYYIECSSKTQQNVKGVFDAAIRMVIKPPQKQNEKRKKKPRGCFLNVLCGRNIVRLK >Vigun02g120700.1.v1.2 pep primary_assembly:ASM411807v1:2:27322724:27326510:-1 gene:Vigun02g120700.v1.2 transcript:Vigun02g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATNFSLSLRTKQQTPISKPSFFFYSTNNNNHLRFKSNHFPSHSVSTARNSRICATSSPVMDPSAAKSEPILPTTVEVDLGNRSYPIYIGSGLLDQPDYLQRHVHGKRVLVVTNKTVAPLYLDKVVDALTRGNPNVSVESVILPDGEQYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAASAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMHALLARDPSALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIENGVGYGQWLHGEAVAAGTVMAVDMSYRLGWIDESLVKRVGDILKQSKLPTAPPEIMTVDMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDDTLRAFCKS >Vigun07g152500.1.v1.2 pep primary_assembly:ASM411807v1:7:26326272:26328332:1 gene:Vigun07g152500.v1.2 transcript:Vigun07g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMLFFVLCLLSSHVSLNVDGIQTILKEDLDLDRQLELINKPPMKSIHTKFGDIIDCIDIYKQPLFDHPLLKDHKLQRKPNFHNVIEESSQKNLRTRSMFGLSKDDCPKRTVPILRTTKDDLIREKLMLNNHILVQDLPGVHLAEVSPKPHFGPYYEVNGVNSIYNPRVDTKFQISMSHLWVQNGRIESTNKISLGWHVIPELYGDYGTHLYSSWTSDNYKKTGCYNIRCAGFVQTSNDQYLGARITNISVYGGPTVASLFSISQDPVTKNWWLSVENKFIGYFPIKLFSNMTSADQVGWGGRTKTHLDTNSPQMGSGHLPHFPYYNYNTRVCYFRQVFIRNSLRKSHEVQPYETYSFTDNPNCYDVKYPKSSVGYVLLFGGPGGKCGN >Vigun07g152500.2.v1.2 pep primary_assembly:ASM411807v1:7:26326272:26328332:1 gene:Vigun07g152500.v1.2 transcript:Vigun07g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMLFFVLCLLSSHVSLNVDGIQTILKEDLDLDRQLELINKPPMKSIHTKFGDIIDCIDIYKQPLFDHPLLKDHKLQLAEVSPKPHFGPYYEVNGVNSIYNPRVDTKFQISMSHLWVQNGRIESTNKISLGWHVIPELYGDYGTHLYSSWTSDNYKKTGCYNIRCAGFVQTSNDQYLGARITNISVYGGPTVASLFSISQDPVTKNWWLSVENKFIGYFPIKLFSNMTSADQVGWGGRTKTHLDTNSPQMGSGHLPHFPYYNYNTRVCYFRQVFIRNSLRKSHEVQPYETYSFTDNPNCYDVKYPKSSVGYVLLFGGPGGKCGN >Vigun03g400200.1.v1.2 pep primary_assembly:ASM411807v1:3:60701525:60714286:-1 gene:Vigun03g400200.v1.2 transcript:Vigun03g400200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEVPAFGTDEGNDDLCNSIISRFGNSTEENHQHLCAVIGAMSQELKDNNKPSTPFAYFCAARLSLDKFTSESNPSRHIIDALLTVLSLAIPRVPRVLLKKESLQGQPLSESLLRVLRSSSASESAIVSGLKCLSHLLIVKESVDWSDVSPLFNVLLGFLTDARPKVRKQSHLCHCDVLLNFQNSSLLASASEGVTNLLERFILLVGGANANTGEGTKEAQQILYILDALKECLPFLSRKSKTSILNYFKYLLDLHQPLVTRRITDGLSFLCHYPLSEVSPEALLELLNTLARSIESNKMSGDRLTFTARLLDAGMNKVYSLNRQICVVKLPIVFNTLKDILASEHEEAIHAATDALKSMISSCIDESLIKQGVEQISLSENKESRRSAPTIIEKICATVESLLDYHYTAVWDRVFQVVSAMFQKLGNHSPYFMRGILKNMEEVQKLPDEDFPFRKQLHECFGAALVAMGPATLLSLVPLNLEAEDLSDANVWLFPILKHYIVGAPLNYFSEEILVMIKRMREKAQKLEKQGLMVSSRNADAIAYSLWSLLPSFCNYPSDTATSFMNLEKHLRSKLKEEPDIRGIICTSLRLLIQQNNIVDLEHKGCIGEDMAKEQVHYSPQVARENLYVLKSSAKNWLKDLSDVFLKSTKDDGGCLQCTIGDVASIADKADVRNLFKEKMVKLYKYTQKARKVGTSTSSNSMQIDDASNNLSLSILRAQLLDLAVSLLPGLDAEDIALLFEAIKPAFQDVEGVMQKKAYKVLSIILKSSDSFVSLKFEELLGTMVDILPCHFSAKRHRLDCLYFLVVHVSKSKDNLEHWRDIFLTEIILALKEANKKTRNRAYEILVEIAHAFGDEERGGNRENLNNFFQMVAGHFAGETPHMISAAAKGLARLAYEFSDLVLTALKLLPGTLALLRSNNREIIKANLGFLKVLVAKSQAEGLQTHLKSMVEGLLKWQDNSKNHFKAKVKLLLGMLVSKCGLEAVKAVMPEEHIKLLSNICKIKERKERNRSVKSEETKSHFSKATTSRQSMWNHTKIFSDFDGDSGNSEAEHLNSRGGKASLHPKSSASSFRSNMRLRKNLPEHLSDESDDEPLDLLDRQKTRSALKTSEHLKRKSRIDDEMEIDSEGRLIIREEGEGRKKKREDDDFDSRSEPDSHISAKSGTKGQKRRKTLDSGWAYTGKEYGSKKAGGDVKKKDKLEPYAYWPLDRKMMSRRPQQRAAARKGMASVVKMTKKLEGKSASGALSLHNLKRKRTPNKGTKGNKKAA >Vigun03g049700.2.v1.2 pep primary_assembly:ASM411807v1:3:3992063:3993997:1 gene:Vigun03g049700.v1.2 transcript:Vigun03g049700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRWCWFPLYVAAAIGLGIIAISSAIRSSDSKNSIQEGTPIPHELSRNASNALRRAGFFLMADLLHHSPSFFKPPQNSTIFAIRDSAIRNTSHPLWFLKTLLLYHTTTATSTFSFHHLLNMSQGTCLTTLLRHKNISLTKVHPATNSVEINNVLISNPNIFLADQLAVHGVLAPFSPLHPHDLLQRGLDFFIRPPSCSSNHSLSKNAVHWNRVVHLLRAKGYASFSVALRSVLEGIKKDHSGSLGFATIFAPPDFMLLGPNPLLHRAVRLHILPQRFRYEELASLPVRTLLKTLVPDELLEIDGVLDFAPGMFVNGVEIVAPDMITSENFVVHGISKAFEMTEYSFSDENDDVSDSFLHKRKTQNIFTAEKSTKKENEYFQDTGSSPKTLRYYIRGSKFKFDDAEKDIEEMNSDQGVFSEEG >Vigun05g023800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1978211:1979593:1 gene:Vigun05g023800.v1.2 transcript:Vigun05g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIGFEGYEKRLEISFSEDGVLAGGSGLRALSRDQLDEFLNPAECTIVSSLSNDYLDSYVLSESSLFIYPYKIIIKTCGTTKLLLSIPAILKLADSIDLSVKSVRYTRGSFIFPGAQSYPHRSFSEEVSVLDSYFGNLGNGSKAYAMGDPSKSQLWHIYSASAQARESLESVYGLEMCMTGLDKECASVFFKENTSSAALMTEKSGIRNILPLSDICDFEFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYDFDDMTLCELVDRVLTCFRPAEFSVALHIDMHGEKLDKFPLDISGYYCGERTSEELGVGGAVMYQKFVQGDGTASPRSILRCCWSEDEENEDEAREI >Vigun02g042800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17317018:17319078:-1 gene:Vigun02g042800.v1.2 transcript:Vigun02g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHILVFPYPAQGHILALLDLTHHLALAGITITIIITPKNLPILNPLLSSHPNTIQTLVLPFPPHPKIPAAAENVREVGNTGNYPFINALSKLQPQIIHWFTTHPNPPAALISDFFLGWTHQLAAQLTIPRIAFYSVAAFFNNVFTRCWHNSNLLTDNNDIHFHGIPGTPSFKRDHLPSVFLRYRESDPDSEFVKESFLSNDAAWACVFNTFRTLEAPYLDHIRAELGHSRVYAVGPLGTNRSENSTTGSEVLSWLDAFEEEGSVLYVCFGSQKLLKKKQIEALAMGLEKSQTRFVWVAPTPNKEQMEQGYGLVPDGFVDRVSGRGMVVTGWAPQVAILRHPVVGGFVSHCGWNSVTEAMVSGVVIMGWPMEADQFLNARLLVEETGVAVRVCEGADSVPDPNELSRVVKRVMSGESPEKRRAKLMREESVRAVSEGGDSSMQVDQLIEALLQLGDKQG >Vigun08g181100.1.v1.2 pep primary_assembly:ASM411807v1:8:35064675:35066258:-1 gene:Vigun08g181100.v1.2 transcript:Vigun08g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVTEGGITDVPANSVEIENLARFAVDDYNKKQNAVLEFVRVISAKKQDVSGVLYYITLEAKDGETKNVYETKVWVREWLNSKEVLEFKLVSADTKGGGVSDVPSDTAHIENLARFAVDQYNKNQNANLEFVRVIDAKEQVVEGFMYYITLEAKDGESTNVYEAKVWERSWINSTELLDFKPVDVAL >Vigun01g241200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41090857:41093613:-1 gene:Vigun01g241200.v1.2 transcript:Vigun01g241200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTAVTTTTLFTPSSSSSSFSSSSYSSSYSSSSFSSPLSPKPNTFTPSKSNAVNAVNALCTKPKLRSNVADKLNNIASEFTSLSQPIDRVKRLLHYASLLPPFLDADRVPANRVVGCATQVWVVAEIDERRRMRFRADSDSEISKGFCWCLVWILDGAKPEEVLMVDREDLADVNVGLGMSLKAHSRTNTWHNVLFTMQTAAKDLL >Vigun06g146700.2.v1.2 pep primary_assembly:ASM411807v1:6:27189411:27200359:1 gene:Vigun06g146700.v1.2 transcript:Vigun06g146700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYHGDEMDYAADDNEMAEVEDDMYFRGRAFGESDSDDDDDYEYDPLEDRITDTTAAEARRGKDIQGIPWDRLTISREKYRQTRLEQYKNYENIPQSGEMSEKECKATDKGGNYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSNYSIVHWSSLSSKRSEVLNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRNNLLIAGGFQGELICKYLDRPGVSFCTRTTYEDNAITNAVEIYDHPSGAVHFMASNNDSGVRDFDMERFQLSKHFSFPWPVNHTSLSPDGKLLAIVGDNPEALLVDSQTGKTITPLHGHLDFSFASAWHPNGQIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGQFMAMAEPADFVHVYDAKHGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQLNRRRNYMYLDCL >Vigun06g146700.1.v1.2 pep primary_assembly:ASM411807v1:6:27195608:27200403:1 gene:Vigun06g146700.v1.2 transcript:Vigun06g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYHGDEMDYAADDNEMAEVEDDMYFRGRAFGESDSDDDDDYEYDPLEDRITDTTAAEARRGKDIQGIPWDRLTISREKYRQTRLEQYKNYENIPQSGEMSEKECKATDKGGNYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSNYSIVHWSSLSSKRSEVLNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRNNLLIAGGFQGELICKYLDRPGVSFCTRTTYEDNAITNAVEIYDHPSGAVHFMASNNDSGVRDFDMERFQLSKHFSFPWPVNHTSLSPDGKLLAIVGDNPEALLVDSQTGKTITPLHGHLDFSFASAWHPNGQIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGQFMAMAEPADFVHVYDAKHGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQLNRRRNYMYLDCL >Vigun02g160200.1.v1.2 pep primary_assembly:ASM411807v1:2:30611576:30621896:-1 gene:Vigun02g160200.v1.2 transcript:Vigun02g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGERGVGSKSEVTSADRKQINDGLDKQLEQSSPSTSRAAGINGKDRSSSSSLLASAKDSRAASDTVPISKNSNASDVLPATRNLNDQGRWDTGGGHWRQGYQDDRGAGLLLGRGCYPQRQNNRWDSGGGHWRQGYQNDRGAGLLPRRGCYPQRQNFGYGNRFQVGRHDERFVSELKLSKSEETLSRKCIAFQEPCEIACYSRVESGEVYFDDRSLRPFKRHITEDVGADLNEGYDTFIPKKDFGSDSFGDFLACIRDKTVPLQNIHFVTFRNNLNKILATAYIRREPWEMGVHKRNGVVYLDVHTLPERPESDLDRRRCYVGYCFESLATEDPRRGDGEGIHHVNPNVEFCSVIKTTLGAHNILMGAEMDCCDSTNDGKRFYVELKTSRELNYRSEQRFEREKLLKIWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTEDITERVKMKNYWQGGVCLAFADEVLCWLYGTVKEDEDYILRFARPFNRLELLQAQSCPDVITSHLELL >Vigun05g084700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8032144:8035674:-1 gene:Vigun05g084700.v1.2 transcript:Vigun05g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMNRYCVCVPLLVCLVLAIEVVVAQDFKPTDNILLDCGGPPSSTDTDGREWTTDVGSKFGSSSEKSTTASQAATQDPAVPQVPYMTARVFHAPFTYAFPVASGWKFLRLHFYSASYSNLNASDALFAVTANSYTLLRNFSVAQTTLALNYAYILKEYAIYVEGKTLNVTFTPSTNASNAYAFVNGIEVVSMPDIYTSTDGTTMIVGTNTAYTIDNSTALENVYRLNVGGNDISPSHDTGMFRSWSDDVPFLFGAAFGVTEPADSNVKFEYPPGTPSYIAPLDVYTTARSMGPNPKINTNYNLTWIFNIDSGFSYLVRLHFAEVSSNITKVNQRVFDIFLNNQTATPQADVIAWANIFSLSHSNGVPVHKDYVVFIPDEGEPRVDLWLALHPDPTDKPMYYDAILNGVEIFKINATAGNLAGTNPIPPPVQDIIDPSTARTHNHGKSKNHTGIIAGGVVGGVVVVLVIGLFALAASRRRRQGRDSGASEGPSGWLPLSLYGNSHSAASAKTNTTGSYASSLPSNLCRHFSFAEIKSATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAAHCYLKGILDQIIDPYLKGKIAPECFKKFAETAMKCVADQGIERPSMGDVLWNLEFALQLQESAEESGNGFGDIHNEEEPIYTDSKGKKDSDAIAGYDGNVTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Vigun03g422800.5.v1.2 pep primary_assembly:ASM411807v1:3:62839440:62843133:1 gene:Vigun03g422800.v1.2 transcript:Vigun03g422800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSRGEGFLNGKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFNKLIEELEPGSIRAYNDGGLDESLQCKLNDSLIYHEDQSMARYKGINDSNHGCYAKCSPCTKSELSCDPFSQGILDILERAISQAENDFLYMVEREMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCITGCRMDKSERLKAIQLTDNHTVDNEVERARLLAEHPDDPRIVIAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYISTQPSLNVHRISSSDQFVIVGSDGLFDFFSNDEAVKLVECYILSTPFGDPAKFLIEQLVARAAVSAGLSMEELMNIPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >Vigun03g422800.4.v1.2 pep primary_assembly:ASM411807v1:3:62839440:62843133:1 gene:Vigun03g422800.v1.2 transcript:Vigun03g422800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSRGEGFLNGKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFNKLIEELEPGSIRAYNDGGLDESLQCKLNDSLIYHEDQSMARYKGINDSNHGCYAKCSPCTKSELSCDPFSQGILDILERAISQAENDFLYMVEREMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCITGCRMDKSERLKAIQLTDNHTVDNEVERARLLAEHPDDPRIVIAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYISTQPSLNVHRISSSDQFVIVGSDGLFDFFSNDEAVKLVECYILSTPFGDPAKFLIEQLVARAAVSAGLSMEELMNIPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >Vigun03g422800.2.v1.2 pep primary_assembly:ASM411807v1:3:62839440:62843133:1 gene:Vigun03g422800.v1.2 transcript:Vigun03g422800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEGELKISFGYKCNSDRGIPCEVASGCKILPGVRRTSSFSCLSGAALSANATLANTNICNGKIGEEILPSWDSPNSFRKVTSSPSLSKLDILSSSLPSSLSYLSCSPSTTSDILEYDSCTLKSMSDPSRGEGFLNGKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFNKLIEELEPGSIRAYNDGGLDESLQCKLNDSLIYHEDQSMARYKGINDSNHGCYAKCSPCTKSELSCDPFSQGILDILERAISQAENDFLYMVEREMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCITGCRMDKSERLKAIQLTDNHTVDNEVERARLLAEHPDDPRIVIAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYISTQPSLNVHRISSSDQFVIVGSDGLFDFFSNDEAVKLVECYILSTPFGDPAKFLIEQLVARAAVSAGLSMEELMNIPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >Vigun03g422800.1.v1.2 pep primary_assembly:ASM411807v1:3:62839440:62843133:1 gene:Vigun03g422800.v1.2 transcript:Vigun03g422800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHCDTDSPLVAADTHVGDAKRNQIYFLSLPFRDLLSHTLSCIYTRTLTHSFFSSIIPSLSLSLCLPSSLPHPSIRFLTAQGIMLSPEGELKISFGYKCNSDRGIPCEVASGCKILPGVRRTSSFSCLSGAALSANATLANTNICNGKIGEEILPSWDSPNSFRKVTSSPSLSKLDILSSSLPSSLSYLSCSPSTTSDILEYDSCTLKSMSDPSRGEGFLNGKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFNKLIEELEPGSIRAYNDGGLDESLQCKLNDSLIYHEDQSMARYKGINDSNHGCYAKCSPCTKSELSCDPFSQGILDILERAISQAENDFLYMVEREMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCITGCRMDKSERLKAIQLTDNHTVDNEVERARLLAEHPDDPRIVIAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYISTQPSLNVHRISSSDQFVIVGSDGLFDFFSNDEAVKLVECYILSTPFGDPAKFLIEQLVARAAVSAGLSMEELMNIPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >Vigun03g422800.3.v1.2 pep primary_assembly:ASM411807v1:3:62839876:62843141:1 gene:Vigun03g422800.v1.2 transcript:Vigun03g422800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEGELKISFGYKCNSDRGIPCEVASGCKILPGVRRTSSFSCLSGAALSANATLANTNICNGKIGEEILPSWDSPNSFRKVTSSPSLSKLDILSSSLPSSLSYLSCSPSTTSDILEYDSCTLKSMSDPSRGEGFLNGKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYFNKLIEELEPGSIRAYNDGGLDESLQCKLNDSLIYHEDQSMARYKGINDSNHGCYAKCSPCTKSELSCDPFSQGILDILERAISQAENDFLYMVEREMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCITGCRMDKSERLKAIQLTDNHTVDNEVERARLLAEHPDDPRIVIAGKVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYISTQPSLNVHRISSSDQFVIVGSDGLFDFFSNDEAVKLVECYILSTPFGDPAKFLIEQLVARAAVSAGLSMEELMNIPAGRRRKYHDDVTVIVIMLGMNQRTSKASTCI >Vigun03g142600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14389437:14391017:-1 gene:Vigun03g142600.v1.2 transcript:Vigun03g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMAKLLFLSVTVFTSIFPAATSSSAGHDQGFRQCFQAILGNNTTSEVTFSKSSSSYESLLNSSIRNARFLSSSVPKPDIIVTPQSLFHIQVALLCSKKNNLEVRVRSGGHDYEGLSYVSHVPFLIIDLIKLRSITINMDEESAWVQSGATVGELYHAIAQKSKVHGFPAGSCSTMGVGGHFSGGGFGTIFRKYGLASDNVIDAQIIDVNGNILNRTLMGEDLFWAIRGGGGSSFGVITAWKIKLVHVPSTVTVFDVSRSLDEGATDLFHKWQTVAPELPAELFLHVVVGVSNSGSQGGKTAVLSFTGLYLGTPQKLLPLMQNSFSELGLQHNNFTQMTWIQSVLYFAGHSIDESLEVLLRRNQTSSSFKAKSDYVTEPIPLAGIEGLWNMLLLENSPFLIFTPYGGKMGEISESETPFPHRKGNLYGIQYSVNLVSNEEAPEHLDWLRRLYEYMAPYVSKFPRRSYLNYRDLDLGVNKRKMDYETAKSWGLRYFNGNFERLTQVKARVDPGNFFRDEQSIPPL >Vigun04g104933.1.v1.2 pep primary_assembly:ASM411807v1:4:24940395:24948759:1 gene:Vigun04g104933.v1.2 transcript:Vigun04g104933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFSATHSVVLEPDEKKVAMKTLLDIDFPKGHIEIKSFDVEVVDEDGNSLPLYEVYLHHWFAVKNDGACQGFLLPHYWGLGGESRGTSSNLLDPFAVEVGNATKIKSGFKEKWLFSIMVIDTRGTQDRKGCTECKCKLLNIPKDFYNVTTGINGQLLSRNYKGGLFCCQDNLQCKLRNGFCGPTRKLSLRYKIRWVDWDEHQVPLKFYILDSTDRVKLNGSTLIHDCQVQLGLVGSHQVGNKNGYLVGMSVCYPKPGSIKIKDGEILTLESIYENKFRTGAMGHFYIYLAEQIPNKDLKF >Vigun05g178000.1.v1.2 pep primary_assembly:ASM411807v1:5:33268321:33273914:-1 gene:Vigun05g178000.v1.2 transcript:Vigun05g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMACSLHVHGFNPLQSHTNHLPETTLRLKPPYYAFTQPRSPPSGITLRRNFQPQPLLNLCTPKVSSSKVSPLRCGILSNMYGGKENRGVGEWLVVASEVLSTAFPLWVSIGCVVGLMKPSFFNWVTPKLTIMGLNIVMLGMGMTLSIDDLRGALAMPKQVLYAFALQYSVSDATDWNSHKQNFQSPTTFCSRFNINWWLSRRGNVALSVIITTASTLTATMMTPFVTAKLAGKFVTVDASGLLVSTLQVVLVPVLAGAFLNQFLKPTVKVVSPMMPPFAVTSVAILCGNAIAQSSSAILVCGGEVILATFVLHASGFFFGYVFARLIGLDLSSSRTISTQVGMKNSILGVVLAMKHFGDPLAAVPAAVSTVCQSIIGSILARIWRNSDHV >Vigun10g070300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:16892766:16892957:1 gene:Vigun10g070300.v1.2 transcript:Vigun10g070300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGISSTMGETQRGETPTSFFNEATCCYDTWFYSVTSYSCKTTTVTSVDVLAREGASTSSFTR >Vigun10g070300.1.v1.2 pep primary_assembly:ASM411807v1:10:16892766:16893152:1 gene:Vigun10g070300.v1.2 transcript:Vigun10g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGISSTMGETQRGETPTSFFNEATCCYDTWFYSVTSYSCKTTTVTSVDVLAREGASTSSFTRFAE >Vigun09g255900.1.v1.2 pep primary_assembly:ASM411807v1:9:42207068:42211546:-1 gene:Vigun09g255900.v1.2 transcript:Vigun09g255900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTVDSSSNHHTPSGAADTYSFPRKNLPSPWAQVVRGADSEPNHQSPPSSSSSSSLDSVNSIGPAADTVDAAVKPVWKRPSNDAAEIGPVMGAISWPALSESTKPTPKSISDSVDDESLTPPKGPVVSDSTQKQASDNVKPSPAINHGMGNRQRSMKRGGANGNNVGYGPVQNNFSGASPHFPPPPPFPVLQMPPSSFAHGIPGVPVPSPREPYRNKNWDTRPPIGGFMPPMNENRSPSRRGNAGHHPRGDVSYHNSYGNRRDQDRGGYANNRDTHVNHQRMPPRGLMRPPPPNPASFMGPQPMRPFANPPGFPEFYYFPTLQFEPFGGMPFLTHAPPPAMFLPVAETPLASTIVNQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIASFPRVRSLTSNIKLILESLRASTVVEVQGDKLRRRKEWTK >Vigun11g022720.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2770652:2772490:-1 gene:Vigun11g022720.v1.2 transcript:Vigun11g022720.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINAVIDDAEQKQFSNSLVKEWLDEVRDTLYDAEDLLDEIDYEFSKTVVEAESQTSSSKVRSLESKMIEVLDDLESLSNQKVVQDFKISSSVRPGLDNKVSEKKVESTSLVAEEVIYGRDEDKEMILSLLTSDTNDNKLSILSIVGMGGVGKTTLAQHLYNDPKTNEPKFDEKAWVCVSDVFDVLTVSKAVFGAFTNSRDDSQGLEMVHRKLKERLSGRKFLLVLDDVWNEDRNQWKALQTPLTCGAKGSKVLVTTRSSKVASIMQSSYIHQLKELHEDHSWQVFAKHAFQDENSKLTSELEEIGMKIVEKCKGLPLALETLGSLLHTKSSVSEWESVLRSEIWDLQTEDSQIIPALLLSYYHLPSHLKRCFSYCALFPKDHKFDKKSLILLWMAENFLQCSEHSKSPEEVGEQYFNDLLSRSFFQQPIGYSKKSFVMHDLLNDLAKYVYGEICYRLGVDKAERVPKKTRHFSTVINPLQYGESLCDAKGLRTFVTFGGDCEMSIRELISNFKLLRVLSLSSCHNIKEVPDGIGNLIHLRSVDLSNTYIETLPDSMCLLCNLLVLKLNNCLYLNELPSTLHELTNLRCLELLGTTLRKAPVLLGKVKNLQV >Vigun08g100000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24543388:24545409:1 gene:Vigun08g100000.v1.2 transcript:Vigun08g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTGDALLLKYCFYLSAGKQKTFKHDLHPTIHPYFTLPFHGLIIQENQPEMHSHHSILLHLCTHNPKPVPSTTSPRSTQSSTHIGFIFLPQVHHSSQNSLTLCHPSFQTKHTTPFSISTIQTHFNTITHNLQTLIPPIITTFPLHSTRTPNQQHRNNPSPSSSSTGTKITKTENPRSPSPNPLHSHNHIISFHWALHYLHQSRVTQSFIPIHSNQKQKAVRKRQNHRRRVGVSNRDGLGRDNHEIWY >VigunL000600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:3149:3622:-1 gene:VigunL000600.v1.2 transcript:VigunL000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFMLIFLGSSLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLTIFVVMFMNGSDYYQNFRVWTVGDGITLMVCTGIFLSQITTILETSWHRIIWTTRPNQILEQDLVSTSQQIGIHLSTNFFFHLN >Vigun11g181900.2.v1.2 pep primary_assembly:ASM411807v1:11:38535159:38536991:-1 gene:Vigun11g181900.v1.2 transcript:Vigun11g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun11g181900.1.v1.2 pep primary_assembly:ASM411807v1:11:38535159:38536991:-1 gene:Vigun11g181900.v1.2 transcript:Vigun11g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun08g083700.1.v1.2 pep primary_assembly:ASM411807v1:8:18052705:18073175:-1 gene:Vigun08g083700.v1.2 transcript:Vigun08g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGDIYRRRIRVFTMAIVIYVDYKGVQQRDKWTSKSRQAALWEKAHERNAKRVLKLIIEMEGLWVKLGQYMSTRADVLPAAYIRLLKQLQDSLPPRPLEEVYGTIQKELGKSMDELFADFVNEPLATASIAQVHRATLLNGQEVVVKVQHDGIKTVILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRTVATNLGCRKQYDGIMSANRVDVLIPDVIQSTEKVLVLEYMDGIRLNDLESLEAFGVNKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKESPHRPILLDFGLTKRLSSTIKQALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEVTTVFFRSTTPANEYHKTMKSLAEQRDKNMKVIQEKMNLDKKEMKRFNPVDAFPGDIVIFGRVLNLLRGLSSSMNVRIVYMDIMRPFAESVLSGYISRGPSVNDKWIFDSPVHSEVESKLRQLLIDLGNNDKILGIQVCAYKDEEVIIDTAAGVLGKYDPRPVKPDSLFPVFSVTKGITAGMVHWMVDNGQLNLEDNVANIWPGFGSNGKDVIKVHHVLNHTSGLHNAMGDITQENPLLMLDWDECLNCISQSVPETEPGKEQFYHYLSFGWLCGGIIEHASGKKFQEILEEAIIRPLHIEGELYVGIPPGVESRLAALTVDTDDLSKLSALNNRSDLPSTFQPQQIAQVATTLPVVFNTLNARRAIIPAGNGHVSARALARYYAALADGGKIPPPHSSASKPLLGSHPHIPKLNSSQKAPKKQKCIGRKQTTMPTVSTNRTYEKVSSYDDLEADEGSNINRESSSSDDTSSSIIGSNLRNHVAGKVYRNPRIIDEFLGTGDYENLTLPNGGFGLGFKRFTSKDGSSIAFGHSGMGGSTGFCDVTNKFSIAVTLNKMSFGGVTGKIVQLVCSELNIPVPDDFLRFAVEQRGEDAQLQMGRPMIN >Vigun09g257500.1.v1.2 pep primary_assembly:ASM411807v1:9:42327125:42332800:-1 gene:Vigun09g257500.v1.2 transcript:Vigun09g257500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQREEVVLDFEDNNGGSNNKDENLPSKGAVNGSSDKLHQDSREKRRGSESTRKRKQEQQKNEENHLQTSHSEENVVRSSSNNSTPRYSWKSSMSRTKSRLIDPPEESCANSESSEQKVAENDDSVEDMPEIYKKTRFSAFSLLQWLCLALIIVALLSNIWVSCLQKLKLCGIPLWEWETMILVILCGRLVSGWVIKLIVFFVERNFILRKRVLYFVYGLKHSVKNCVWLGLVLLVWHFILVNAVKKKVNDRILSCVTKVVVCLFIGTLLWLIKTILVKVFALHFHVKTFFERIREALFSQYVIETLSCDRLREEEEGEDRSVGRVLCDKKKKKQDEEMSIEQLHRLNKRNISAWNMKRMINIVMHGSFTTLDERIFCSDVEDEPLLQLRSENQAKIAAQKIFHNVAQPGSQCIFLQDVMQFMRRDEALKSMDLLGAACTDHGISMSCLREWMVNAFRERRALALSLKDTKTAVDELHNMLNMIVGIVILIVWLAILGTPILHFLVFMGSQLLLAVFVFGNSCRTVFEAIIFLFVMHPFDVGDRCEVDGVQMVVEEMNILTTVFLKCDNQKVTYPNSVLATKAISNYQRSPDMGDAVDFCIHVSTPLDKVATMKRRIIEYIERKNEQWHVAPMVIMKDVEDLNKVNMSVWLTHKMNYQDMKERWNRRAELIEEMMKVLKELDIEYRLLPIDVNVRNQPPLLSNKLPSNWKTCATSLS >Vigun11g026900.1.v1.2 pep primary_assembly:ASM411807v1:11:3503059:3509152:1 gene:Vigun11g026900.v1.2 transcript:Vigun11g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEARTLSDEYEVSDVLGRGGFSVVRKGTRKSSSDTKSHVAIKTLRRSGTASNSNHPSGFAKAKGAMMGFPSLRQVSVSDALLTNEILVMRRIVENVSPHPNVIDLYDVYEDSNGVHLVLELCSGGELFDRIVAQDRYSETEAAGVVRQIASGLEAIHRANIVHRDLKPENCLFLDVRRDSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITTKSDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMNGTFSFYEKTWKGITQSAKQLISDLLTVDPSRRPSAQDLLSHPWVVGDKAKDDAMDPEIVSRLQSFNARRKLRAAAIASVWSSTIFLRTKKLKSLVGTHDLTEEEIENLRINFKKICVSGDSATLSEFEEVLKAMNRPSLVPLAPRIFDLFDNNRDGTVDMREILCGFSSFKNSKGDDALRLCFQMYDTDRSGCITKEEVASMFRALPEECLPADITEPGKLDEIFDRMDANSDGKVTFDEFKAAMQIDSSLQDLLLSSLRPQS >Vigun11g026900.2.v1.2 pep primary_assembly:ASM411807v1:11:3503059:3509152:1 gene:Vigun11g026900.v1.2 transcript:Vigun11g026900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEARTLSDEYEVSDVLGRGGFSVVRKGTRKSSSDTKSHVAIKTLRRSGTASNSNHPSGFAKAKGAMMGFPSLRQVSVSDALLTNEILVMRRIVENVSPHPNVIDLYDVYEDSNGVHLVLELCSGGELFDRIVAQDRYSETEAAGVVRQIASGLEAIHRANIVHRDLKPENCLFLDVRRDSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITTKSDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMNGTFSFYEKTWKGITQSAKQLISDLLTVDPSRRPSAQDLLSHPWVVGDKAKDDAMDPEIVSRLQSFNARRKLRAAAIASVWSSTIFLRTKKLKSLVGTHDLTEEEIENLRINFKKICVSGDSATLSEFEEVLKAMNRPSLVPLAPRIFDLFDNNRDGTVDMREILCGFSSFKNSKGDDALRLCFQIDQGASPRKK >Vigun05g185700.2.v1.2 pep primary_assembly:ASM411807v1:5:35854854:35856924:1 gene:Vigun05g185700.v1.2 transcript:Vigun05g185700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSEERENFVYAAKLAEQAERYDEMVDAMKKVAKLDVELSVEERNLFSVGYKNVVGSRRASWRILSSIEQKEESKGNELNVKRIRDYRQKVELELSNICSDIMIILDEHLIPSTNIAESTLFYYKMKGDYYRYLAEFKAGDEKNEVADQSLKAYQTAASTGENELQPTHPIRLGPAILLSKPLMMLSQS >Vigun05g185700.1.v1.2 pep primary_assembly:ASM411807v1:5:35854854:35856924:1 gene:Vigun05g185700.v1.2 transcript:Vigun05g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSEERENFVYAAKLAEQAERYDEMVDAMKKVAKLDVELSVEERNLFSVGYKNVVGSRRASWRILSSIEQKEESKGNELNVKRIRDYRQKVELELSNICSDIMIILDEHLIPSTNIAESTLFYYKMKGDYYRYLAEFKAGDEKNEVADQSLKAYQTAASTGENELQPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDDAVSELDSLNEESYKDGTLILQLLRDNLTLWTSDIPEEGGNI >Vigun06g057800.1.v1.2 pep primary_assembly:ASM411807v1:6:18429431:18436456:1 gene:Vigun06g057800.v1.2 transcript:Vigun06g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVFPKFLEAVKMLRDLPKEVEELTDELESFQDFIHDANKMAEAEEDNNRRDRMRKRLMRLRKTAFFMEDVIDDYVICDEKQPEEDSRCAALLCEAVEFIKTQILRLQIAYRIEKVKSLAHTEKDWFENHFPIGSRSDGSIWDNIRMEPHFIKEDEVVGLEGPIKTLKKWLTEGRKERTVISIVGMAGSGKTTLSKQVFDKVHKHFECHVLITVSRSYDIEKLLRDLTNKICEERKEDPPRVESMDRMKLIEEVNKLLCKKRYIVLFDDVWNETFWDDIKFALIDNKNGSRILITTRVGKVVDFCKNSSFVEVYKLQPLSKEKSLELLCKKAFGYGFDGCCPKDYEEVGLDIVRKCECLPLAIVAIGSLLYRKCKSPPEWRRFSQNLSSELQSNSELQSVTKILSLSYDDLPKNLKSCLLYFGMYPEDYEVKCGRLIQQWIAEGFVKQDCRRNLEEVAQEQLMELISRSLVLVASFTTDGKVKACRVHDSIHEMIREKMKNTGFCHYIDEHNDFESSDIIRRLTIATSSKCLSECREESHVRSIIFFTKEDLSEDFTSALLAKYTRLKVLDFEFTRLWDVPKNLGSLIHLKYLSFRKTSISSLPKSIGELQNLETLDVRTGEEIEIPKEITKLRKLRCLFGYRISTIEVKDSLGKLTSLEKIHELVIDEDGVVIRELGKLNQLRDLRLSNFLGRHSDSLSSSINEMQLLERLDIFVQYMTEPIDLHITSSLSKLRKLHLVGTLKAFPSWILQLQSLVKLYLKYSMLNNIPLKSLGNIPNLLILTFASRSYKGETLHFENGGFGKLKELQFKALYKLRSIVIDSGALQSLEKLHMFRIPKLKTVPSGIQYLQKLQVLDVLYMPTEFQQRIYPETGEDHWMIKHVPDVLRMLNFNDQLLLDLVVKIGEEREILRAIEWMERASRKSASQVVGGG >Vigun06g182100.2.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180513:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.6.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180523:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.5.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180522:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.8.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180523:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYETYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.9.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180522:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYETYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.7.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180513:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun06g182100.4.v1.2 pep primary_assembly:ASM411807v1:6:30176357:30180513:-1 gene:Vigun06g182100.v1.2 transcript:Vigun06g182100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYETYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun03g350200.1.v1.2 pep primary_assembly:ASM411807v1:3:55098744:55109926:1 gene:Vigun03g350200.v1.2 transcript:Vigun03g350200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENAFARSASFREQGEDEEALRWAALERLPTYKRARRGIFRNLTGDMKEIDVRDLQSQDQRLLLERLVDCVDNDPEIIFHRMRSRFNAVGLEFPKIEVRFQNLTVETYVHVGSRALPTIPNFICNMTEALLRQLLIYRRKRSKLTILADISGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGNITYNGHGLKEFVPQRTAAYISQQDWHVAEMTVRETLQFAGRCQGVGFKFDMLLELARREKNAGIKPDEDLDLFMKSFALGGLETNLVVEYIMKILGLDICGDTLVGDEMLKGISGGQKKRLTTGELLIGPARVLFMDEISTGLDSSTTYQIIRYLKHSTRALDATTIVSLLQPAPETYELFDDVILLCEGQIVYQGPRESAVDFFRQMGFSCPERKNVADFLQEVTSKKDQEQYWSVLDRPYRYVPVGKFAEAFSLYREGRLLSEQLNIPFDRRYNHPAALATLSYGAKRLELLKTNFQWQKLLMKRNSFIYVFKFVQLLLVALITMSVFFRTTMHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWFLSIPTSAIEAGCWVAVTYYAIGYDPSITRFFQQFLLFFFLHQMSIGLFRLIGSLGRNMIVSNTFGSFAMLVVMALGGYIISRDRIPVWWIWGFWISPLMYAQNSASVNEFLGHSWDKKAGTQTTNSLGLEVLKQRSLYAESYWYWIGLGAMVGYTILFNILFTIFLAYLNPMGRQQAVVSKDELQEREKRRIGESVVIELREYLQRSASSGKHFKQKGMVLPFQPLSMAFSNINYYVDVPLELKQQGILEDRLPLLVNVTGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGGVFISGYPKRQDTFARISGYCEQTDVHSPCLTVWESLLFSAWLRLSSDVDFETQKAFVEEIMELVELTPLRGALVGLPGIDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLCMKRGGELIYAGPLGPKSSELISYFEAIEGIPKIRPGYNPATWMLEVTSSAEENRLGVDFAEIYRGSSLYQYNQELVERLSKPSNNSKELHFPTKYCRSSFEQFLTCLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGAKRETQQDIFNAMGSMYSAILFIGITNGTAVQPVVSVERFVSYRERAAGMYSALSFAFAQVVIEFPYVFAQAIIYSSIFYSMGSFIWTVDRFIWYLFFMYFTMLYFTFYGMMTTAITPNHNVAAIIAAPFYMLWNLFSGFMIPRKRIPIWWRWYYWANPVAWSLNGLLTSQYGDDNHPVKLSDGNLMSIRELLKAVFGYRHDFLCVTAVMVAGFCIFFAVIFAFAIKSFNFQRR >Vigun03g350200.2.v1.2 pep primary_assembly:ASM411807v1:3:55100799:55109926:1 gene:Vigun03g350200.v1.2 transcript:Vigun03g350200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGNITYNGHGLKEFVPQRTAAYISQQDWHVAEMTVRETLQFAGRCQGVGFKFDMLLELARREKNAGIKPDEDLDLFMKSFALGGLETNLVVEYIMKILGLDICGDTLVGDEMLKGISGGQKKRLTTGELLIGPARVLFMDEISTGLDSSTTYQIIRYLKHSTRALDATTIVSLLQPAPETYELFDDVILLCEGQIVYQGPRESAVDFFRQMGFSCPERKNVADFLQEVTSKKDQEQYWSVLDRPYRYVPVGKFAEAFSLYREGRLLSEQLNIPFDRRYNHPAALATLSYGAKRLELLKTNFQWQKLLMKRNSFIYVFKFVQLLLVALITMSVFFRTTMHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWFLSIPTSAIEAGCWVAVTYYAIGYDPSITRFFQQFLLFFFLHQMSIGLFRLIGSLGRNMIVSNTFGSFAMLVVMALGGYIISRDRIPVWWIWGFWISPLMYAQNSASVNEFLGHSWDKKAGTQTTNSLGLEVLKQRSLYAESYWYWIGLGAMVGYTILFNILFTIFLAYLNPMGRQQAVVSKDELQEREKRRIGESVVIELREYLQRSASSGKHFKQKGMVLPFQPLSMAFSNINYYVDVPLELKQQGILEDRLPLLVNVTGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGGVFISGYPKRQDTFARISGYCEQTDVHSPCLTVWESLLFSAWLRLSSDVDFETQKAFVEEIMELVELTPLRGALVGLPGIDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLCMKRGGELIYAGPLGPKSSELISYFEAIEGIPKIRPGYNPATWMLEVTSSAEENRLGVDFAEIYRGSSLYQYNQELVERLSKPSNNSKELHFPTKYCRSSFEQFLTCLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGAKRETQQDIFNAMGSMYSAILFIGITNGTAVQPVVSVERFVSYRERAAGMYSALSFAFAQVVIEFPYVFAQAIIYSSIFYSMGSFIWTVDRFIWYLFFMYFTMLYFTFYGMMTTAITPNHNVAAIIAAPFYMLWNLFSGFMIPRKRIPIWWRWYYWANPVAWSLNGLLTSQYGDDNHPVKLSDGNLMSIRELLKAVFGYRHDFLCVTAVMVAGFCIFFAVIFAFAIKSFNFQRR >Vigun06g186100.1.v1.2 pep primary_assembly:ASM411807v1:6:30537001:30540440:-1 gene:Vigun06g186100.v1.2 transcript:Vigun06g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQAARRKLEVTRQVALHIEKMKRPYILAKRYDWEGFRKFFSKHKDLLDKRIDLHHSTAFHYAAHCGNPEMYREMIEWVGEDDIKRVLRLQDDMGNTPLHEVAFTGEVEMTKSILEHEEEAGSDQYQALIEMRNNLGETPVYRAAALGKTDLLSFFLQDLQLDPNIHFHRDDKMSILHTTVIDQFFGTALWLLKRYDYLAHEKEDNDLTTLQLLAKMPSTFKSQTQMGPFKNLTYLLLPKFQDYKYYSQSNGYNTKREDLESGRNNINESSSTQTQHEESMREGQKLENHKKGKKKSPTTQRNLSAWSTAVFSWLWYSMWKLLAKEWKEIDKLWRKKEMHNLAKELVFLLAPKDYSWRNTAIARDKTVSMGRSQHEETPKEKKSEQEEEKKQEGASKPTAYTPLLMAACNGITEIVELIIHFHPHSIEHVSEDEQNILYMAVKHRQKEIYRILKKLKMVRSLAGKIDKDNNTVLHYTAEFQGGSQPGYAMQLQEELHWFDRIEKRLPYHYTVHKNQKNQTAKQLFVKKHASLLKDAREWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDGGFPRFLNHPIFLVFTIMDIVALVGSLASVIMFLSILTSPCEMWDFRKSLPRKLMAGFALLFFSMATTMLAFSATILINLKLEGNTLTSTLTWTSILTYCAAFFPVCMFALVQFPLFMAIKGCTRSVIRNLKKIVPRFLLKLVKRKKKLWDI >Vigun06g186100.2.v1.2 pep primary_assembly:ASM411807v1:6:30537001:30540440:-1 gene:Vigun06g186100.v1.2 transcript:Vigun06g186100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQAARRKLEVTRQVALHIEKMKRPYILAKRYDWEGFRKFFSKHKDLLDKRIDLHHSTAFHYAAHCGNPEMYREMIEWVGEDDIKRVLRLQDDMGNTPLHEVAFTGEVEMTKSILEHEEEAGSDQYQALIEMRNNLGETPVYRAAALGKTDLLSFFLQDLQLDPNIHFHRDDKMSILHTTVIDQFFGTALWLLKRYDYLAHEKEDNDLTTLQLLAKMPSTFKSQTQMGPFKNLTYLYYKYYSQSNGYNTKREDLESGRNNINESSSTQTQHEESMREGQKLENHKKGKKKSPTTQRNLSAWSTAVFSWLWYSMWKLLAKEWKEIDKLWRKKEMHNLAKELVFLLAPKDYSWRNTAIARDKTVSMGRSQHEETPKEKKSEQEEEKKQEGASKPTAYTPLLMAACNGITEIVELIIHFHPHSIEHVSEDEQNILYMAVKHRQKEIYRILKKLKMVRSLAGKIDKDNNTVLHYTAEFQGGSQPGYAMQLQEELHWFDRIEKRLPYHYTVHKNQKNQTAKQLFVKKHASLLKDAREWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDGGFPRFLNHPIFLVFTIMDIVALVGSLASVIMFLSILTSPCEMWDFRKSLPRKLMAGFALLFFSMATTMLAFSATILINLKLEGNTLTSTLTWTSILTYCAAFFPVCMFALVQFPLFMAIKGCTRSVIRNLKKIVPRFLLKLVKRKKKLWDI >Vigun06g186100.3.v1.2 pep primary_assembly:ASM411807v1:6:30537001:30540440:-1 gene:Vigun06g186100.v1.2 transcript:Vigun06g186100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEQAARRKLEVTRQVALHIEKMKRPYILAKRYDWEGFRKFFSKHKDLLDKRIDLHHSTAFHYAAHCGNPEMYREMIEWVGEDDIKRVLRLQDDMGNTPLHEVAFTGEVEMTKSILEHEEEAGSDQYQALIEMRNNLGETPVYRAAALGKTDLLSFFLQDLQLDPNIHFHRDDKMSILHTTVIDQFFGTALWLLKRYDYLAHEKEDNDLTTLQLLAKMPSTFKSQTQMGPFKNLTYLLLPKFQDYKYYSQSNGYNTKREDLESGRNNINESSSTQTQHEESMREGQKLENHKKGKKKSPTTQRNLSAWSTAVFSWLWYSMWKLLAKEWKEIDKLWRKKEMHNLAKELVFLLAPKDYSWRNTAIARDKTVSMGRSQHEETPKEKKSEQEEEKKQEGASKPTAYTPLLMAACNGITEIVELIIHFHPHSIEHVSEDEQNILYMAVKHRQKEIYRILKKLKMVRSLAGKIDKDNNTVLHYTAEFQGGSQPGYAMQLQEELHWFDVLWLL >Vigun10g011300.1.v1.2 pep primary_assembly:ASM411807v1:10:1181420:1184284:-1 gene:Vigun10g011300.v1.2 transcript:Vigun10g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPQVGHHLFNLCNTKMSPFLLFCLVFGSQLMAGFSSSTPPPSYGDHVSVLSIDGGGIRGIIPAKILDYLDKSLKARDPTTSLADYFDVISGTSTGGLMTAMLAAPKSSHSKSPLFTPSEVVQFYKKYGPDIFKPRAIWDLTKCPKYDGVFLRNITRQILKDTRLNETLTNLVIPSFDERRVSPVIFSNFKLKTETYLNAKLSDICLATSAAPTYLPPHQFENAGVEFDMVDGAMAANNPAMVAVSEVIQHTENKNVLLLSLGTGTTKSNKLGGIFDGVCQLGWLINSVDVFSEALYGTDMVHYYLATVFPGLLSQENYLRIEEYNLDPSLEGMDNATPKNMDNLEKVGKNLLYQNVLRVNVNSFVPIPLDQTNAQALDRFAEKLHAERLLRLKRKSMEKEGRPFIGNVEANSNRISAT >Vigun01g070000.1.v1.2 pep primary_assembly:ASM411807v1:1:18719870:18726534:1 gene:Vigun01g070000.v1.2 transcript:Vigun01g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQNTGMLSREQLFHLFDRFSFLTSQPDVKKRIAGAVQDKQEAVAVTTAIQEEIFLEMGVDPRFGISCLGKVSTVYENDMDLVIQFYKFLSKEEVACDEAELGEEEFAEKLLNQKMLQEQQLEMLKYMRKFNLDDQSAILEKLHQQMENGNYESETSLLSAEQIEEIVPRKVSPLYTPR >Vigun10g157600.2.v1.2 pep primary_assembly:ASM411807v1:10:37702164:37712138:-1 gene:Vigun10g157600.v1.2 transcript:Vigun10g157600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDKTFSELVSIVKTWIPWRSEPANVSRDFWMPDQSCRVCYECDSQFTLFNRKHHCRLCGRIFCNKCTTNSIPAPVWIIVGKDSCSTNKSASNNLELEKIRVCNYCYKQWEQGVVAFDNSIPVSNLDNSASASTSSLNSSKSSATANSSNITLCSMPYSVGSYQQVQQGSVLNLHKSPVKGKDTDTDREGLSPLGGRSDIVADLGDSLPKQCGFAINRTDDDEDEYGVYRSDCDTRHYSQGNNYYGQAEFDRIGLIDDSRKVDNDGENIDAKLPSNYSFDTDTQGLEGAPIIAKNEDEPDICDENEAPSSLYVSEDVDVEPVDFENNGLLWLPPEPEDEEDEHEALLFDDDDDDDDGNVIGEWGYIRSSSSFGSGDFRQRDRSNEEQKKVMKNVVDGHFRALVAQLLQVENLSVEDNDENNWLEIVTSLSWEAATILKPDMSKGGVMDPAGYVKVKCIACGNRNESVLVKGVVCKKNVAHRRMSSKVDKPRLLILGGALEYQRVTNLFSSVDTLLQQEMDHLKMAVAKIASHQPNMLLVEKSVSRYAQEYLLAKDITLVLNVKRPLLERVARCTGTQIVPSIDHLSSQKLGYCESVHVEKFLEDLNCVGQCGKKTLKTLMFFEGCPKPFGFTILLKGADKDELKKVKHVVQYGVFAAYHLAMETSFLADEGASLPEIPLNSLALPDQALAIQRSISTVPGFGIADNEKPQGHEPDTGPRRTQSVPISELASTTCSAGPCVSNGASQLMPLGSSLDHSTAFFSSIVASGNSIAESHRDKHLPRTSRDRNEMNSKQPVVEETSMVDDTLVIVDDPTAVDPGTSENGDSKISTNQLSGSGSLSPKDGQNHPENLEIPNEEFILEKEVFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIRYYGNFDKPLGRYLRDHLFDQSYRCHSCEMPSEAHVQCYTHRQGTLTISVKKLPEIILPGERDGKIWMWHRCLRCPRINGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASIDVHSVYLPPHTLIFDYGNQDWIQRELDEVVNRAELLFSEVLNGLSQIGEQRSNAVQMSNGHKSPELRRQVAELEGMLQKEKLEFEESLRKILNQEKRNGQPGIDILEINRLWRQLLFQSYMWDHRLIYAANLANSNNGSGSSSPISEDKEKTVDENKMAINSVHGGPNLNENPCLGGGRAVVDGKSSQDLESEMAEKENHEKDDRSISIGKSINDQSDILEPELGVRRTLSDGPLPVVPSLSETLDAKWTGENHSGFGISKDNSSMNPDLADTLMIGVQKETYHLGDRTEDQNCSKSFYSSFKGHDNMEDSSSWLGMPFLNFYRQFNKNLFASTQKFDTLVDYNPVYMSSFRKQELQGGARLLMPIGVNDTVIPVYDDEPSSVIAYALMSSEYHFQLTDEERPREGSEFTSSYFSDSGTFQSFSSVDETAFDSQKSFGSIEDMILSMSGSRSSSMFDPMLYTKAMHARVSFGEDGPLGKVKYSVTCYYAKRFEALRRVSCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIATGSPTCLAKILGIYQVTSKHIKGGKESRMDVLVMENLLYRRTVTRLYDLKGSSRSRYNADITGKNKVLLDQNLIEAMPTSPIFVGNKAKRSLERAVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPSIAASNSQSDLGEDNPQSRTPAE >Vigun10g157600.1.v1.2 pep primary_assembly:ASM411807v1:10:37702164:37712138:-1 gene:Vigun10g157600.v1.2 transcript:Vigun10g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDKTFSELVSIVKTWIPWRSEPANVSRDFWMPDQSCRVCYECDSQFTLFNRKHHCRLCGRIFCNKCTTNSIPAPVWIIVGKDSCSTNKSASNNLELEKIRVCNYCYKQWEQGVVAFDNSIPVSNLDNSASASTSSLNSSKSSATANSSNITLCSMPYSVGSYQQVQQGSVLNLHKSPVKGKDTDTDREGLSPLGGRSDIVADLGDSLPKQCGFAINRTDDDEDEYGVYRSDCDTRHYSQGNNYYGQAEFDRIGLIDDSRKVDNDGENIDAKLPSNYSFDTDTQGLEGAPIIAKNEDEPDICDENEAPSSLYVSEDVDVEPVDFENNGLLWLPPEPEDEEDEHEALLFDDDDDDDDGNVIGEWGYIRSSSSFGSGDFRQRDRSNEEQKKVMKNVVDGHFRALVAQLLQVENLSVEDNDENNWLEIVTSLSWEAATILKPDMSKGGVMDPAGYVKVKCIACGNRNESVLVKGVVCKKNVAHRRMSSKVDKPRLLILGGALEYQRVTNLFSSVDTLLQQEMDHLKMAVAKIASHQPNMLLVEKSVSRYAQEYLLAKDITLVLNVKRPLLERVARCTGTQIVPSIDHLSSQKLGYCESVHVEKFLEDLNCVGQCGKKTLKTLMFFEGCPKPFGFTILLKGADKDELKKVKHVVQYGVFAAYHLAMETSFLADEGASLPEIPLNSLALPDQALAIQRSISTVPGFGIADNEKPQGHEPDTGPRRTQSVPISELASTTCSAGPCVSNGASQLMPLGSSLDHSTAFFSSIVASGNSIAESHRDKHLPRTSRDRNEMNSKQPVVEETSMVDDTLVIVDDPTAVDPGTSENGDSKISTNQLSGSGSLSPKDGQNHPENLEIPNEEFILEKEVFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIRYYGNFDKPLGRYLRDHLFDQSYRCHSCEMPSEAHVQCYTHRQGTLTISVKKLPEIILPGERDGKIWMWHRCLRCPRINGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASIDVHSVYLPPHTLIFDYGNQDWIQRELDEVVNRAELLFSEVLNGLSQIGEQRSNAVQMSNGHKSPELRRQVAELEGMLQKEKLEFEESLRKILNQEKRNGQPGIDILEINRLWRQLLFQSYMWDHRLIYAANLANSNNGSGSSSPISEDKEKTVDENKMAINSVHGGPNLNENPCLGGGRAVVDGKSSQDLESEMAEKENHEKDDRSISIGKSINDQSDILEPELGVRRTLSDGPLPVVPSLSETLDAKWTGENHSGFGISKDNSSMNPDLADTLMIGVQKETYHLGDRTEDQNCSKSFYSSFKGHDNMEDSSSWLGMPFLNFYRQFNKNLFASTQKFDTLVDYNPVYMSSFRKQELQGGARLLMPIGVNDTVIPVYDDEPSSVIAYALMSSEYHFQLTDEERPREGSEFTSSYFSDSGTFQSFSSVDETAFDSQKSFGSIEDMILSMSGSRSSSMFDPMLYTKAMHARVSFGEDGPLGKVKYSVTCYYAKRFEALRRVSCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIATGSPTCLAKILGIYQVTSKHIKGGKESRMDVLVMENLLYRRTVTRLYDLKGSSRSRYNADITGKNKVLLDQNLIEAMPTSPIFVGNKAKRSLERAVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPSIAASNSQSDLGEDNPQSRTPAE >Vigun10g157600.3.v1.2 pep primary_assembly:ASM411807v1:10:37702164:37712138:-1 gene:Vigun10g157600.v1.2 transcript:Vigun10g157600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDKTFSELVSIVKTWIPWRSEPANVSRDFWMPDQSCRVCYECDSQFTLFNRKHHCRLCGRIFCNKCTTNSIPAPVWIIVGKDSCSTNKSASNNLELEKIRVCNYCYKQWEQGVVAFDNSIPVSNLDNSASASTSSLNSSKSSATANSSNITLCSMPYSVGSYQQVQQGSVLNLHKSPVKGKDTDTDREGLSPLGGRSDIVADLGDSLPKQCGFAINRTDDDEDEYGVYRSDCDTRHYSQGNNYYGQAEFDRIGLIDDSRKVDNDGENIDAKLPSNYSFDTDTQGLEGAPIIAKNEDEPDICDENEAPSSLYVSEDVDVEPVDFENNGLLWLPPEPEDEEDEHEALLFDDDDDDDDGNVIGEWGYIRSSSSFGSGDFRQRDRSNEEQKKVMKNVVDGHFRALVAQLLQVENLSVEDNDENNWLEIVTSLSWEAATILKPDMSKGGVMDPAGYVKVKCIACGNRNESVLVKGVVCKKNVAHRRMSSKVDKPRLLILGGALEYQRVTNLFSSVDTLLQQEMDHLKMAVAKIASHQPNMLLVEKSVSRYAQEYLLAKDITLVLNVKRPLLERVARCTGTQIVPSIDHLSSQKLGYCESVHVEKFLEDLNCVGQCGKKTLKTLMFFEGCPKPFGFTILLKGADKDELKKVKHVVQYGVFAAYHLAMETSFLADEGASLPEIPLNSLALPDQALAIQRSISTVPGFGIADNEKPQGHEPDTGPRRTQSVPISELASTTCSAGPCVSNGASQLMPLGSSLDHSTAFFSSIVASGNSIAESHRDKHLPRTSRDRNEMNSKQPVVEETSMVDDTLVIVDDPTAVDPGTSENGDSKISTNQLSGSGSLSPKDGQNHPENLEIPNEEFILEKEVFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIRYYGNFDKPLGRYLRDHLFDQSYRCHSCEMPSEAHVQCYTHRQGTLTISVKKLPEIILPGERDGKIWMWHRCLRCPRINGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASIDVHSVYLPPHTLIFDYGNQDWIQRELDEVVNRAELLFSEVLNGLSQIGEQRSNAVQMSNGHKSPELRRQVAELEGMLQKEKLEFEESLRKILNQEKRNGQPGIDILEINRLWRQLLFQSYMWDHRLIYAANLANSNNGSGSSSPISEDKEKTVDENKMAINSVHGGPNLNENPCLGGGRAVVDGKSSQDLESEMAEKENHEKDDRSISIGKSINDQSDILEPELGVRRTLSDGPLPVVPSLSETLDAKWTGENHSGFGISKDNSSMNPDLADTLMIGVQKETYHLGDRTEDQNCSKSFYSSFKGHDNMEDSSSWLGMPFLNFYRQFNKNLFASTQKFDTLVDYNPVYMSSFRKQELQGGARLLMPIGVNDTVIPVYDDEPSSVIAYALMSSEYHFQLTDEERPREGSEFTSSYFSDSGTFQSFSSVDETAFDSQKSFGSIEDMILSMSGSRSSSMFDPMLYTKAMHARVSFGEDGPLGKVKYSVTCYYAKRFEALRRVSCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIATGSPTCLAKILGIYQVTSKHIKGGKESRMDVLVMENLLYRRTVTRLYDLKGSSRSRYNADITGKNKVLLDQNLIEAMPTSPIFVGNKAKRSLERAVWNDTGFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPSIAASNSQSDLGEDNPQSRTPAE >Vigun01g104000.2.v1.2 pep primary_assembly:ASM411807v1:1:27353882:27363054:-1 gene:Vigun01g104000.v1.2 transcript:Vigun01g104000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEVEIKMPMEAFPPVSADVSFIYDSFPKYKLGADNQILEEPVEENQGPSLKDVIEQEASNLSDQHKRISVRDLASKFDKNLAAAAKLSNEAKLRDVASLEGHVLLKKLRDALESLRGRFAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARSVVLRIGEALEEQEKASQASKPQDVDGLIEEVQEARRIKLLHQPSKVMAMEYELRALRDQIREKSIFSIKLQKELTMSKRDEENKSRLYMLNGSEALGSYLQVQPCSDEVPHVSKCSIQWYRLSSEGSWREVISGAIKSIYAPDPSDVGRILQIDIVSNGKKLTLTTNPVQPVSGLGSHVESLLRKSNADFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPSMQLCGVRSDVSNAAKALFWQARKGLSFVLTFESERERNVAIMLARKYALDCNVVLAGPDDLV >Vigun01g104000.1.v1.2 pep primary_assembly:ASM411807v1:1:27354027:27362852:-1 gene:Vigun01g104000.v1.2 transcript:Vigun01g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTIFLMTKISPEVEIKMPMEAFPPVSADVSFIYDSFPKYKLGADNQILEEPVEENQGPSLKDVIEQEASNLSDQHKRISVRDLASKFDKNLAAAAKLSNEAKLRDVASLEGHVLLKKLRDALESLRGRFAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARSVVLRIGEALEEQEKASQASKPQDVDGLIEEVQEARRIKLLHQPSKVMAMEYELRALRDQIREKSIFSIKLQKELTMSKRDEENKSRLYMLNGSEALGSYLQVQPCSDEVPHVSKCSIQWYRLSSEGSWREVISGAIKSIYAPDPSDVGRILQIDIVSNGKKLTLTTNPVQPVSGLGSHVESLLRKSNADFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPSMQLCGVRSDVSNAAKALFWQARKGLSFVLTFESERERNVAIMLARKYALDCNVVLAGPDDLV >Vigun01g104000.4.v1.2 pep primary_assembly:ASM411807v1:1:27354008:27361908:-1 gene:Vigun01g104000.v1.2 transcript:Vigun01g104000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEVEIKMPMEAFPPVSADVSFIYDSFPKYKLGADNQILEEPVEENQGPSLKDVIEQEASNLSDQHKRISVRDLASKFDKNLAAAAKLSNEAKLRDVASLEGHVLLKKLRDALESLRGRFAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARSVVLRIGEALEEQEKASQASKPQDVDGLIEEVQEARRIKLLHQPSKVMAMEYELRALRDQIREKSIFSIKLQKELTMSKRDEENKSRLYMLNGSEALGSYLQVQPCSDEVPHVSKCSIQWYRLSSEGSWREVISGAIKSIYAPDPSDVGRILQIDIVSNGKKLTLTTNPVQPVSGLGSHVESLLRKSNADFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPSMQLCGVRSDVSNAAKALFWQARKGLSFVLTFESERERNVAIMLARKYALDCNVVLAGPDDLV >Vigun01g104000.3.v1.2 pep primary_assembly:ASM411807v1:1:27353906:27363054:-1 gene:Vigun01g104000.v1.2 transcript:Vigun01g104000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEVEIKMPMEAFPPVSADVSFIYDSFPKYKLGADNQILEEPVEENQGPSLKDVIEQEASNLSDQHKRISVRDLASKFDKNLAAAAKLSNEAKLRDVASLEGHVLLKKLRDALESLRGRFAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKKLVNQEKSFACAEIESARSVVLRIGEALEEQEKASQASKPQDVDGLIEEVQEARRIKLLHQPSKVMAMEYELRALRDQIREKSIFSIKLQKELTMSKRDEENKSRLYMLNGSEALGSYLQVQPCSDEVPHVSKCSIQWYRLSSEGSWREVISGAIKSIYAPDPSDVGRILQIDIVSNGKKLTLTTNPVQPVSGLGSHVESLLRKSNADFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPSMQLCGVRSDVSNAAKALFWQARKGLSFVLTFESERERNVAIMLARKYALDCNVVLAGPDDLV >Vigun02g081200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23391977:23395833:-1 gene:Vigun02g081200.v1.2 transcript:Vigun02g081200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEEKRVEEELSYPIMVAERVRSAVDEADSFKVECSEVWKQVDRLLQMLRTLVRFATATNTSAASPSLYERPIRRVAAETAKNLDRALALVRKCKRRSILHRVVSIVSAADFRKVLAHLDASVGDMKWLLSIFDADGGIVLSLPPIASNDPILSWVWSFIASLQMGQLNDRIEAANELASLAQDNDRNKKIIVEECGVPPLLKLFKEGTSPLAQIAAAGALCHLANDLERVRVIVSEHGVPAVVQVLSDSPVRVQTLAANLVARMAKHDPVAQEDFARENVIRPLVTLLSFDTFVDDQWGHLGKQSIHSIVQINKELGKGTRGGRHFSNSYSNSYLFAEGSSRGGNHRKERENEDPEVKLQLKVSCAEALWMLARGSVTNSRKITETKGMLCLAKIVQNEQGELQFNCLMTIMEVAVAAESNADLRRAAFKTNSPAAKIVVEQLLRIIKEVDSPALQIPAMKSIGALARTFPARETRVIQPLVAQMSNRNAEVADEAAVALSKFASPDNFLHVEHSKTIIEFNGIPALMRLLRSNEVTQMHDGLTLLCYLALHAGNSESLEQARVLTVLEGADRTVLPQHLKELVSRAIIHLNLYHAGMNSQRMSYLP >Vigun07g221400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34406944:34409871:1 gene:Vigun07g221400.v1.2 transcript:Vigun07g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCSKTIANTFFPCRFRETCLQVLSLCNSKTLEEGACVHSTMIKVGLQQDLYLSNNLLSLYAKCFGVGPARHFFDEMPHKDVVSWTTLLSAHTKHRYHFEALELFDMMLGSGQCPNEFTLSSALKSCSALGEFEFGAQIHASVVKLGLELNPVLGTTLVDLYTKCDGTVEPHKLLTFVTDGDVVSWTTMISSLVETSKWSEALQVYVKMIEVGVYPNGFTFVKLLGMSSFLGLGMGCGKILHAQLIRFGVEMNLILKTAIVDMYAKCRRVEDAIKVSNQTPECDVYLWTTIISGFIQNFQIREAVNAFLDMRLSRAQPNNFTYASLLNASSSILSLGLGEQFHSLVITVGLENDIFVGNALVDMYMKCSQTPANGVEAFRGIDSPNVISWTSLIAGFAEHGLEEESFQLFREMQAAGVQPNSFTLSAILGACSKMKSVFQTMKIHGHIIKTKADVDIAVGNSLVDGYAGGGMTDEAWSVIHKMNHRDLITYTTLAAKLNQRGDHEMALKVIAHMCNDDVKMDEFSLASFLSAAASLGTMETGKQLHCYSVKSGFEICNSFSNSLVHLYSKCGSMHDAYRAFEDINEPDTVSWNGLISGLASNGHISDALSAFDDMRLAGVKPDSFTFLSLMFACSQGSLLNQGLDYFYSMEKTYDITPKLDHYVCLIDLLGRGGRLEEAMGVIETMPFMPDSVIYKTLLNACKLHGNVPLGEDMARRCLELDPCDPAVYLLLASLYDNARLSDFGDKTRRLMRERGLRRSPRQCWMEVRGRIHVFSASEKIDKGEIHEKLEYFITEMKNRGYPYQENEDKLYHPEQLALAFGVLTAPTMAPIRINKNSAICNHCHSFIMHLTEFVDREIIVRDRKRIHFFKEGQCSCRGHSLKC >Vigun03g064433.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5293252:5298428:-1 gene:Vigun03g064433.v1.2 transcript:Vigun03g064433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQNTTTTAFTNVNTTWNLEKMETNEPQQQQHHDHIILQVQDPLASGIWSNNYPHTHNPNLLQMHQTPPSTTSSVVAPPSSSSSGFLGDILGVQSMEEEEEPEEELGAMKEMMYKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGEPKWTPLRCLTKPSAMLSSSRDKSGCFNPFLTLPSHHNALVSPPQTPAPCY >Vigun09g045800.1.v1.2 pep primary_assembly:ASM411807v1:9:4346566:4350717:1 gene:Vigun09g045800.v1.2 transcript:Vigun09g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNSGLSKKTFVFGLKVWVLMGILVGLFIVIILVVLSICLTLRKKFRRVNGKLPLSHVLAISEEIKEIRVDQVSANNHPQNGTFMSLNDKFGEGETEKVMNQTQNGDNSSQSGSFNHVEKDGNGSQSGEENGAKGIASYRSSLHPITAPSPLSGLPEFSQLGWGHWFTLRDLELATNRFSKENVIGEGGYGIVYRGQLINGSPVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLIYEYVNNGNLEQWLHGAMRQHGFLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPATEVNLVDWLKMMVGFRRSEEVLDPNIETRPSTSALKRALLTALRCVDPDAEKRPRMSQVVRMLESEEYPIPREDRRRRKSQAGNMEVETHSDTDKSDNTDYKSNGRRNQCV >Vigun11g207000.1.v1.2 pep primary_assembly:ASM411807v1:11:40329954:40333760:-1 gene:Vigun11g207000.v1.2 transcript:Vigun11g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNVNVPKVPGGGIAALLKVSIIGGIAVYGAANSLYNVEGGHRAIVFNRILGVKEQVYPEGTHVMIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRRILTERASHFNIALDDVSITSLSFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAQTISHSANKVYLNSDDLLLNLQEFTLEPSGKKR >Vigun01g198900.1.v1.2 pep primary_assembly:ASM411807v1:1:37555929:37560004:1 gene:Vigun01g198900.v1.2 transcript:Vigun01g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESVAKIAKTNGGANNSVANALINRWNARPYSQRYFEILEKRKTLPVWHQKEEFLQVLKDNQTLILVGETGSGKTTQIPQFVLDAVELETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKISKEISNLGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPLKEGGPGRKIVISTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSVSAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYDNFVNHRALKAADNVRQQYTDFNSRDYYVNIRKAMLAGYFMQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLSNFPQCEAKRVLEKLYKKREKDKEETRSRR >Vigun07g059400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6675593:6678938:-1 gene:Vigun07g059400.v1.2 transcript:Vigun07g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINAQNFGGITTFDILVHSKDNAENRQLKATFIRTGARRNVQYVSPVASSLFMSWRFTPNPVELLNQNELVTCYNFASSAQVGTSTKSRSPSRPQSSEIIENGNYKPYYYSLTNSGQQKKCQTKRKVENLNQFCYSPLV >Vigun07g059400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6675395:6679182:-1 gene:Vigun07g059400.v1.2 transcript:Vigun07g059400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINAQNFGGITTFDILVHSKDNAENRQLKATFIRTGARRNVQYVSPVASSLFMSWRFTPNPVELLNQNELVTCYNFASSAQVGTSTKSRSPSRPQSSEIIENGNYKPYYYSLTNSGQQKKCQTKRKVENLNQFCYSPLV >Vigun04g141425.1.v1.2 pep primary_assembly:ASM411807v1:4:35048290:35048905:1 gene:Vigun04g141425.v1.2 transcript:Vigun04g141425.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIEKGEDEEEVTLSKIHIHPISNQRSTKGKTNNPEEHADSSDSERQTGLCKGIQREMVAAKEG >Vigun09g010000.1.v1.2 pep primary_assembly:ASM411807v1:9:750199:752604:1 gene:Vigun09g010000.v1.2 transcript:Vigun09g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:S1-1 MGALTFTDEFTSTVQPGRLFKALILDAPNLIPKLMPEAIKNVQLVEGNGGPGSIQEITIAEGDKIKHLKHRIDAIDPEKLTYSYAVIEGDGALEKVDSISHEIKFEATEEGGCKTKNVSTYHPKAGVEVKEEDFKAAKDEGLALLKAVDAYLLANPDAYV >Vigun05g142500.1.v1.2 pep primary_assembly:ASM411807v1:5:18419898:18421108:-1 gene:Vigun05g142500.v1.2 transcript:Vigun05g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLRWNPRDKLLRGNQNLGSDRALHFLGKGEGNTIAWKMCGLDKSTCLIVMFDLSSSEWTNTLGVVNPKLYLQFLTR >Vigun05g015000.1.v1.2 pep primary_assembly:ASM411807v1:5:1201166:1207927:1 gene:Vigun05g015000.v1.2 transcript:Vigun05g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGTSIAKHAIRRTLSKGGSTYLVSRARILPSSSTSSHGRSFHSTVFKSKAQAAPIPRAVPLSKLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLNLESREIPEDLDPALYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADRHKCNWLRDKIETPTLTQFNRDRREAIFDRLAWSSLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLRQIFCEFSGGLQPEGEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVNPVVVGKTRAKQYYSNDLDRTKNMGVLIHGDGSFAGQGVVYETLHLSALPNYSTGGTIHIVFNNQVAFTTDPTSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSTLEIYEKKLLESGELSQEEIDKIHKKVTSILNEEFLASKEYIPKRRDWLSAYWLGFKSPEQLSRIRNTGVKPEILKNVGKAITTIPEHFTPHKAVKRIYEQRAQMIETGEDIDWGFAEALAFATLLIEGNHVRLSGQDVERGTFSHRHAVVHDQKTGDKYCPLDHVIMNQDEEMFTVSNSSLSEFGVLGFELGYSMENPNSLIIWEAQFGDFANGAHVIFDNFLASGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPHVIPEMDPTLRKQIQECNLQIVNVTTPANFFHVLRRQVHREFRKPLIVMSPKNLLRSKVCRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNYPSDVEEGIRRLVLCSGKVYYELDEHRTKVEAKDVAICRVEQLCPFPYDLVQRELKRYPNAEVVWCQEEPMNMGGYTYVLPRLVSSMKAVNRGGYDDVKYIGRAPSAATATGFLKVHQKEQTEIAEKALQQEPIDFPY >VigunL014001.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:183122:184838:1 gene:VigunL014001.v1.2 transcript:VigunL014001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGMLALTSKGSSSFFQQGLRTMPASLFGYGSLPSEWYLIQDSLLPGRFPNLFPRPEAFSFFLFTLVARADLAQRGLDPYIIEKGTVPGKDVSRLPFSIDTDMEPKTDSVGGLLPHHSGLLLRGILPMLKVACLPSHPLEKLVARKKRRGREAVYEASLPLSGSFPPPSFVLPPSVIKVPFPFLKCPIGLPQQMYKVDRCLADPLLPIWVGLAQKSNEVTRISPVVSLFPSVGRWEREVWDMFGVSSINHPDLRRISIDYGFEGHPLRKDLPFSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >Vigun02g022600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7621114:7622010:1 gene:Vigun02g022600.v1.2 transcript:Vigun02g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNVACFHRHRGSFPFPCLFQLGTHATINMTPSTTHTFNDGSFSEKREVSYTVEGSTCNLALARCVYRDGSVDLLTFLIHDSIICSNSMEMLYLQIYKTNTGFLHMIDFKSNGSSIPWTKTKKTVHPYGDVTDTKVYLYASANRSGLLIWKCKKTAYHEDAKEVTMAHYFVNGNGTTVVNRSIETTNVGFSVLVKVGVCDGKFDIISEGPEQHPVSALLYMFNQVNESGIWKPSMCPDCGKHSQVSWQSDFEDNDGVSLPPPQGSRQNVVTIVNDGRFRGHAPGASIRCMNFNAYN >Vigun03g032800.3.v1.2 pep primary_assembly:ASM411807v1:3:2533483:2538663:-1 gene:Vigun03g032800.v1.2 transcript:Vigun03g032800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKFSFHARKLHILTWLIHLSLTLLTVTATSSSSSSSSHCGMAVTPSTSLLSFLQRLQKTAFEAFGATDFDPKTYVDVPLKFALSVTEDAFQKLPRNANGTVAAEDLKRFLEAYFGGAGDDLVHLNPHDFVREPEGFLPKVKHPKVRAWALQVHSLWKNLTRKVSDAVQAHPDLHTLLPLPGSVVIPGSRFREVYYWDSYWVIRGLIASKMHDTATAIVTNLISLIEQYGFVLNGARSYYTNRSQPPLLSAMIYEIYRTTGDEELVKRSLPALLKEYEFWNSDIHKVTILDAQGCTHTLNRYNAMWDKPRPESFIKDQVFASNFSSVSEKQQFYRDLASAAESGWDFSTRWMRNPPNFTTLATTSVIPVDLNAFVLGMELNIAFFAKVVGDNSTAERFLENADLRKKAMDSVFWNANMNQWLDYWLENKCEEVHVWKNGHQNKNVFASNFVPLWMKPFYSDTSLVASVVESLKTSDLVRAAGVATSLTDSGQQWDFPNGWAPLQHMLVEGLLKSGSQEARSLAEEIAIKWVTTNYIVYKKTGLMHEKLDVEHCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRDIEC >Vigun03g032800.2.v1.2 pep primary_assembly:ASM411807v1:3:2531254:2538663:-1 gene:Vigun03g032800.v1.2 transcript:Vigun03g032800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKFSFHARKLHILTWLIHLSLTLLTVTATSSSSSSSSHCGMAVTPSTSLLSFLQRLQKTAFEAFGATDFDPKTYVDVPLKFALSVTEDAFQKLPRNANGTVAAEDLKRFLEAYFGGAGDDLVHLNPHDFVREPEGFLPKVKHPKVRAWALQVHSLWKNLTRKVSDAVQAHPDLHTLLPLPGSVVIPGSRFREVYYWDSYWVIRGLIASKMHDTATAIVTNLISLIEQYGFVLNGARSYYTNRSQPPLLSAMIYEIYRTTGDEELVKRSLPALLKEYEFWNSDIHKVTILDAQGCTHTLNRYNAMWDKPRPESFIKDQVFASNFSSVSEKQQFYRDLASAAESGWDFSTRWMRNPPNFTTLATTSVIPVDLNAFVLGMELNIAFFAKVVGDNSTAERFLENADLRKKAMDSVFWNANMNQWLDYWLENKCEEVHVWKNGHQNKNVFASNFVPLWMKPFYSDTSLVASVVESLKTSDLVRAAGVATSLTDSGQQWDFPNGWAPLQHMLVEGLLKSGSQEARSLAEEIAIKWVTTNYIVYKKTGLMHEKLDVEHCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRDIEC >Vigun03g032800.4.v1.2 pep primary_assembly:ASM411807v1:3:2533366:2538747:-1 gene:Vigun03g032800.v1.2 transcript:Vigun03g032800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPSTSLLSFLQRLQKTAFEAFGATDFDPKTYVDVPLKFALSVTEDAFQKLPRNANGTVAAEDLKRFLEAYFGGAGDDLVHLNPHDFVREPEGFLPKVKHPKVRAWALQVHSLWKNLTRKVSDAVQAHPDLHTLLPLPGSVVIPGSRFREVYYWDSYWVIRGLIASKMHDTATAIVTNLISLIEQYGFVLNGARSYYTNRSQPPLLSAMIYEIYRTTGDEELVKRSLPALLKEYEFWNSDIHKVTILDAQGCTHTLNRYNAMWDKPRPESFIKDQVFASNFSSVSEKQQFYRDLASAAESGWDFSTRWMRNPPNFTTLATTSVIPVDLNAFVLGMELNIAFFAKVVGDNSTAERFLENADLRKKAMDSVFWNANMNQWLDYWLENKCEEVHVWKNGHQNKNVFASNFVPLWMKPFYSDTSLVASVVESLKTSDLVRAAGVATSLTDSGQQWDFPNGWAPLQHMLVEGLLKSGSQEARSLAEEIAIKWVTTNYIVYKKTGLMHEKLDVEHCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRDIEC >Vigun03g032800.5.v1.2 pep primary_assembly:ASM411807v1:3:2533444:2538663:-1 gene:Vigun03g032800.v1.2 transcript:Vigun03g032800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPSTSLLSFLQRLQKTAFEAFGATDFDPKTYVDVPLKFALSVTEDAFQKLPRNANGTVAAEDLKRFLEAYFGGAGDDLVHLNPHDFVREPEGFLPKVKHPKVRAWALQVHSLWKNLTRKVSDAVQAHPDLHTLLPLPGSVVIPGSRFREVYYWDSYWVIRGLIASKMHDTATAIVTNLISLIEQYGFVLNGARSYYTNRSQPPLLSAMIYEIYRTTGDEELVKRSLPALLKEYEFWNSDIHKVTILDAQGCTHTLNRYNAMWDKPRPESFIKDQVFASNFSSVSEKQQFYRDLASAAESGWDFSTRWMRNPPNFTTLATTSVIPVDLNAFVLGMELNIAFFAKVVGDNSTAERFLENADLRKKAMDSVFWNANMNQWLDYWLENKCETLHLWLVSLKVSKLRTLSVPLELQLL >Vigun09g117000.2.v1.2 pep primary_assembly:ASM411807v1:9:25705662:25709432:-1 gene:Vigun09g117000.v1.2 transcript:Vigun09g117000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGDGADGRKVLVGVKLDPSSRELLTWALVKVAEPGDIVIALHVLDSITEGTASLLSLVKTFDSVLAVYEGFCNLKQVGLRLKVCRGDSVRKVLVQEAKTFGVSTVILGTSKSHHTIRSSAWVAKYCAKKLPKCISVFSVDNGKIAFRREANCGDREKSPEGSSLSPRSLAVYAKKNMKSCESCALQEIPGTGFERELCDDFEKGDSLALVPFKKHDDGPCFSVVVDNSKRKPGWSLLRNVFHHKKHSPKSSTKNTYIFQRALRQSSFHSSAVVHPDHKQIGIEQIDDSPLDGVSGAIVPFGSATIFTRPSISYESGNLPEELLILQEKYSSSCTLHSLQELVSATSNFAPENLVGKGGCSYVYRGCLPDAKELAVKILKPSENVVKEFVQEIEIITTLCHKNIISISGFCLEGNHLLLVYDFLSRGSLEENLHGNKADCSAFGWQERYKVAVGVAEALDYLHNGCAQAVIHRDVKSSNILLSDDFEPKLSDFGLASWGSSSSHVTCTDVAGTFGYLAPEYFMHGKVTDKIDVYAFGVVLLELLSNRRPINNECPKGQESLVMWVSFPSQL >Vigun09g117000.1.v1.2 pep primary_assembly:ASM411807v1:9:25705662:25709432:-1 gene:Vigun09g117000.v1.2 transcript:Vigun09g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGDGADGRKVLVGVKLDPSSRELLTWALVKVAEPGDIVIALHVLDSITEGTASLLSLVKTFDSVLAVYEGFCNLKQVGLRLKVCRGDSVRKVLVQEAKTFGVSTVILGTSKSHHTIRSSAWVAKYCAKKLPKCISVFSVDNGKIAFRREANCGDREKSPEGSSLSPRSLAVYAKKNMKSCESCALQEIPGTGFERELCDDFEKGDSLALVPFKKHDDGPCFSVVVDNSKRKPGWSLLRNVFHHKKHSPKSSTKNTYIFQRALRQSSFHSSAVVHPDHKQIGIEQIDDSPLDGVSGAIVPFGSATIFTRPSISYESGNLPEELLILQEKYSSSCTLHSLQELVSATSNFAPENLVGKGGCSYVYRGCLPDAKELAVKILKPSENVVKEFVQEIEIITTLCHKNIISISGFCLEGNHLLLVYDFLSRGSLEENLHGNKADCSAFGWQERYKVAVGVAEALDYLHNGCAQAVIHRDVKSSNILLSDDFEPKLSDFGLASWGSSSSHVTCTDVAGTFGYLAPEYFMHGKVTDKIDVYAFGVVLLELLSNRRPINNECPKGQESLVMWATPILKGGKFHQLFDPNLGIEYDDGQMKRMVLAASLCIRREPRLRPQISLILKLLRGDEEVTRWAEEEVNSPQEVDGFEEEPIPTNIQSHLNLALMDLEDDTLSISSTDQSISLEEYLQGRWSRCSSFD >Vigun03g394400.6.v1.2 pep primary_assembly:ASM411807v1:3:60057648:60070733:1 gene:Vigun03g394400.v1.2 transcript:Vigun03g394400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAMASGKFDPPSSSPDRPLYPGQRGSHIAASLDRSGSFRESMENPALSSLPNILRSSSPATRGEVENFFNYVHFDPKFLTLDHKSNRQVEYKRHVNAALGISPDESPSSSSKGKLLPSPVPEDMKRLKDILVANAMRARDRLKMFSEALSVFHEVFPTITLKKRSRAESFSNDRSNAMSSDRPVLGSSMGKGGVQGHPVTGGFELEQQKSEERTKNVVPNKRTRTSMVDVRMDVRTNSLVRPSGTVDRDKEKSRITSNGAVQSEERILPIVGDGWEKTKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQGMQQRLVTDSRSKLSNDSHSFRPGVSNGTVGAGKSDGISQQAGLGIRASTPRNNQDNNSPVNDRRGRPVGSDKERVNFRAVNKATARDEFNSASPTASAKMNTAIRAPRSGSGVAPKLSPVVHRAAVPNDWELSHCATKPPAAANNRKRVASARSSSPPVVPWQRPQKSSRTARRTNFMSIVSNNDEAPALDTASDVAGNDLGLGFSRRMAGSSTQQIKLKADPSSSAALSESEESGVADTKPKEKGRKPEEIDHKSGQNIQKVSNLVLPTRKNKLVSEEHGDGVRRQGRTARSLTATRSLMPMTSEKLGNMGTAKQLRSARLSDKNESKAGRPPSRKLSDRKAYARQKPTINAATDFFVGSEDGHEELLAAVKGLINSAHTFSSPFWRQMEPFFSLITEEDIAYWKQKVNLESSMPMPTPIPSNIDGCETIVNGYGLTACERDSGSDPQWNAGVISEQLLLSKGDHNTIPLCHRLIAALISEEECSGGSEQFKFDTFNPEFDPDGQSESSGLDYHSGTNFRFACHSASNGYRIIDKPEHDVTDSDIIGIPPTGLNSSFGKSVNGFLHDRASMSSFISSEMQYDSLDINDKILLELKSIGIALVPEPDMLQTDDEGILEDITKLEELYQGQISKKKSLLDGLFRAASVDKELQEKDFEQRALDKLVVMAYEKYMASWGPSPSGGKNTSNKMAKQAALGFVKRTLERCHQFEETGKSCFSDPLFKDMFLAESSKPHVSSLSVEARTASMGSQQSPSQFSQNMDNHDLHSSDMLPALNHSSEQTSGKDDLWSNRVKKRELSLDDVGGAPGLSSAPGVGSSVTSSAKGKRSERDRDGKGHSREVQSRNGTTKVGRPASSSAKGDRKSKTKPKQKATQNSVSVNGLLGKLSEQPKPALSSTPKSNEMPTTSNTKEKDEFGLGGLDDHEPIDLSNLQLPGMDVLGVGDDQGQDLGSWLNIDDDGLQDHDDFMGGLEIPMDDLSDLNMIV >Vigun03g394400.2.v1.2 pep primary_assembly:ASM411807v1:3:60057281:60069783:1 gene:Vigun03g394400.v1.2 transcript:Vigun03g394400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAMASGKFDPPSSSPDRPLYPGQRGSHIAASLDRSGSFRESMENPALSSLPNILRSSSPATRGEVENFFNYVHFDPKFLTLDHKSNRQVEYKRHVNAALGISPDESPSSSSKGKLLPSPVPEDMKRLKDILVANAMRARDRLKMFSEALSVFHEVFPTITLKKRSRAESFSNDRSNAMSSDRPVLGSSMGKGGVQGHPVTGGFELEQQKSEERTKNVVPNKRTRTSMVDVRMDVRTNSLVRPSGTVDRDKEKSRITSNGAVQSEERILPIVGDGWEKTKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQGMQQRLVTDSRSKLSNDSHSFRPGVSNGTVGAGKSDGISQQAGLGIRASTPRNNQDNNSPVNDRRGRPVGSDKERVNFRAVNKATARDEFNSASPTASAKMNTAIRAPRSGSGVAPKLSPVVHRAAVPNDWELSHCATKPPAAANNRKRVASARSSSPPVVPWQRPQKSSRTARRTNFMSIVSNNDEAPALDTASDVAGNDLGLGFSRRMAGSSTQQIKLKADPSSSAALSESEESGVADTKPKEKGRKPEEIDHKSGQNIQKVSNLVLPTRKNKLVSEEHGDGVRRQGRTARSLTATRSLMPMTSEKLGNMGTAKQLRSARLSDKNESKAGRPPSRKLSDRKAYARQKPTINAATDFFVGSEDGHEELLAAVKGLINSAHTFSSPFWRQMEPFFSLITEEDIAYWKQKVNLESSMPMPTPIPSNIDGCETIVNGYGLTACERDSGSDPQWNAGVISEQLLLSKGDHNTIPLCHRLIAALISEEECSGGSEQFKFDTFNPEFDPDGQSESSGLDYHSGTNFRFACHSASNGYRIIDKPEHDVTDSDIIGIPPTGLNSSFGKSVNGFLHDRASMSSFISSEMQYDSLDINDKILLELKSIGIALVPEPDMLQTDDEGILEDITKLEELYQGQISKKKSLLDGLFRAASVDKELQEKDFEQRALDKLVVMAYEKYMASWGPSPSGGKNTSNKMAKQAALGFVKRTLERCHQFEETGKSCFSDPLFKDMFLAESSKPHVSSLSVEARTASMGSQQSPSQFSQNMDNHDLHSSDMLPALNHSSEQTSGKDDLWSNRVKKRELSLDDVGGAPGLSSAPGVGSSVTSSAKGKRSERDRDGKGHSREVQSRNGTTKVGRPASSSAKGDRKSKTKPKQKATQNSVSVNGLLGKLSEQPKPALSSTPKSNEMPTTSNTKEKDEFGLGGLDDHEPIDLSNLQLPGMDVLGVGDDQGQDLGSWLNIDDDGLQDHDDFMGGLEIPMDDLSDLNMIV >Vigun03g394400.7.v1.2 pep primary_assembly:ASM411807v1:3:60057238:60068887:1 gene:Vigun03g394400.v1.2 transcript:Vigun03g394400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAMASGKFDPPSSSPDRPLYPGQRGSHIAASLDRSGSFRESMENPALSSLPNILRSSSPATRGEVENFFNYVHFDPKFLTLDHKSNRQVEYKRHVNAALGISPDESPSSSSKGKLLPSPVPEDMKRLKDILVANAMRARDRLKMFSEALSVFHEVFPTITLKKRSRAESFSNDRSNAMSSDRPVLGSSMGKGGVQGHPVTGGFELEQQKSEERTKNVVPNKRTRTSMVDVRMDVRTNSLVRPSGTVDRDKEKSRITSNGAVQSEERILPIVGDGWEKTKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQGMQQRLVTDSRSKLSNDSHSFRPGVSNGTVGAGKSDGISQQAGLGIRASTPRNNQDNNSPVNDRRGRPVGSDKERVNFRAVNKATARDEFNSASPTASAKMNTAIRAPRSGSGVAPKLSPVVHRAAVPNDWELSHCATKPPAAANNRKRVASARSSSPPVVPWQRPQKSSRTARRTNFMSIVSNNDEAPALDTASDVAGNDLGLGFSRRMAGSSTQQIKLKADPSSSAALSESEESGVADTKPKEKGRKPEEIDHKSGQNIQKVSNLVLPTRKNKLVSEEHGDGVRRQGRTARSLTATRSLMPMTSEKLGNMGTAKQLRSARLSDKNESKAGRPPSRKLSDRKAYARQKPTINAATDFFVGSEDGHEELLAAVKGLINSAHTFSSPFWRQMEPFFSLITEEDIAYWKQKVNLESSMPMPTPIPSNIDGCETIVNGYGLTACERDSGSDPQWNAGVISEQLLLSKGDHNTIPLCHRLIAALISEEECSGGSEQFKFDTFNPEFDPDGQSESSGLDYHSGTNFRFACHSASNGYRIIDKPEHDVTDSDIIGIPPTGLNSSFGKSVNGFLHDRASMSSFISSEMQYDSLDINDKILLELKSIGIALVPEPDMLQTDDEGILEDITKLEELYQGQISKKKSLLDGLFRAASVDKELQEKDFEQRALDKLVVMAYEKYMASWGPSPSGGKNTSNKMAKQAALGFVKRTLERCHQFEETGKSCFSDPLFKDMFLAESSKPHVSSLSVEARTASMGSQQSPSQFSQNMDNHDLHSSDMLPALNHSSEQTSGKDDLWSNRVKKRELSLDDVGGAPGLSSAPGVGSSVTSSAKGKRSERDRDGKGHSREVQSRNGTTKVGRPASSSAKGDRKSKTKPKQKATQNSVSVNGLLGKLSEQPKPALSSTPKSNEMPTTSNTKEKDEFGLGGLDDHEPIDLSNLQLPGMDVLGVGDDQGQDLGSWLNIDDDGLQDHDDFMGGLEIPMDDLSDLNMIV >Vigun03g394400.1.v1.2 pep primary_assembly:ASM411807v1:3:60057648:60069785:1 gene:Vigun03g394400.v1.2 transcript:Vigun03g394400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAMASGKFDPPSSSPDRPLYPGQRGSHIAASLDRSGSFRESMENPALSSLPNILRSSSPATRGEVENFFNYVHFDPKFLTLDHKSNRQVEYKRHVNAALGISPDESPSSSSKGKLLPSPVPEDMKRLKDILVANAMRARDRLKMFSEALSVFHEVFPTITLKKRSRAESFSNDRSNAMSSDRPVLGSSMGKGGVQGHPVTGGFELEQQKSEERTKNVVPNKRTRTSMVDVRMDVRTNSLVRPSGTVDRDKEKSRITSNGAVQSEERILPIVGDGWEKTKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQGMQQRLVTDSRSKLSNDSHSFRPGVSNGTVGAGKSDGISQQAGLGIRASTPRNNQDNNSPVNDRRGRPVGSDKERVNFRAVNKATARDEFNSASPTASAKMNTAIRAPRSGSGVAPKLSPVVHRAAVPNDWELSHCATKPPAAANNRKRVASARSSSPPVVPWQRPQKSSRTARRTNFMSIVSNNDEAPALDTASDVAGNDLGLGFSRRMAGSSTQQIKLKADPSSSAALSESEESGVADTKPKEKGRKPEEIDHKSGQNIQKVSNLVLPTRKNKLVSEEHGDGVRRQGRTARSLTATRSLMPMTSEKLGNMGTAKQLRSARLSDKNESKAGRPPSRKLSDRKAYARQKPTINAATDFFVGSEDGHEELLAAVKGLINSAHTFSSPFWRQMEPFFSLITEEDIAYWKQKVNLESSMPMPTPIPSNIDGCETIVNGYGLTACERDSGSDPQWNAGVISEQLLLSKGDHNTIPLCHRLIAALISEEECSGGSEQFKFDTFNPEFDPDGQSESSGLDYHSGTNFRFACHSASNGYRIIDKPEHDVTDSDIIGIPPTGLNSSFGKSVNGFLHDRASMSSFISSEMQYDSLDINDKILLELKSIGIALVPEPDMLQTDDEGILEDITKLEELYQGQISKKKSLLDGLFRAASVDKELQEKDFEQRALDKLVVMAYEKYMASWGPSPSGGKNTSNKMAKQAALGFVKRTLERCHQFEETGKSCFSDPLFKDMFLAESSKPHVSSLSVEARTASMGSQQSPSQFSQNMDNHDLHSSDMLPALNHSSEQTSGKDDLWSNRVKKRELSLDDVGGAPGLSSAPGVGSSVTSSAKGKRSERDRDGKGHSREVQSRNGTTKVGRPASSSAKGDRKSKTKPKQKATQNSVSVNGLLGKLSEQPKPALSSTPKSNEMPTTSNTKEKDEFGLGGLDDHEPIDLSNLQLPGMDVLGVGDDQGQDLGSWLNIDDDGLQDHDDFMGGLEIPMDDLSDLNMIV >Vigun03g394400.5.v1.2 pep primary_assembly:ASM411807v1:3:60057343:60069783:1 gene:Vigun03g394400.v1.2 transcript:Vigun03g394400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAMASGKFDPPSSSPDRPLYPGQRGSHIAASLDRSGSFRESMENPALSSLPNILRSSSPATRGEVENFFNYVHFDPKFLTLDHKSNRQVEYKRHVNAALGISPDESPSSSSKGKLLPSPVPEDMKRLKDILVANAMRARDRLKMFSEALSVFHEVFPTITLKKRSRAESFSNDRSNAMSSDRPVLGSSMGKGGVQGHPVTGGFELEQQKSEERTKNVVPNKRTRTSMVDVRMDVRTNSLVRPSGTVDRDKEKSRITSNGAVQSEERILPIVGDGWEKTKMKKKRSCIKLDGSPSTTLTKPVNTFQETKQGMQQRLVTDSRSKLSNDSHSFRPGVSNGTVGAGKSDGISQQAGLGIRASTPRNNQDNNSPVNDRRGRPVGSDKERVNFRAVNKATARDEFNSASPTASAKMNTAIRAPRSGSGVAPKLSPVVHRAAVPNDWELSHCATKPPAAANNRKRVASARSSSPPVVPWQRPQKSSRTARRTNFMSIVSNNDEAPALDTASDVAGNDLGLGFSRRMAGSSTQQIKLKADPSSSAALSESEESGVADTKPKEKGRKPEEIDHKSGQNIQKVSNLVLPTRKNKLVSEEHGDGVRRQGRTARSLTATRSLMPMTSEKLGNMGTAKQLRSARLSDKNESKAGRPPSRKLSDRKAYARQKPTINAATDFFVGSEDGHEELLAAVKGLINSAHTFSSPFWRQMEPFFSLITEEDIAYWKQKVNLESSMPMPTPIPSNIDGCETIVNGYGLTACERDSGSDPQWNAGVISEQLLLSKGDHNTIPLCHRLIAALISEEECSGGSEQFKFDTFNPEFDPDGQSESSGLDYHSGTNFRFACHSASNGYRIIDKPEHDVTDSDIIGIPPTGLNSSFGKSVNGFLHDRASMSSFISSEMQYDSLDINDKILLELKSIGIALVPEPDMLQTDDEGILEDITKLEELYQGQISKKKSLLDGLFRAASVDKELQEKDFEQRALDKLVVMAYEKYMASWGPSPSGGKNTSNKMAKQAALGFVKRTLERCHQFEETGKSCFSDPLFKDMFLAESSKPHVSSLSVEARTASMGSQQSPSQFSQNMDNHDLHSSDMLPALNHSSEQTSGKDDLWSNRVKKRELSLDDVGGAPGLSSAPGVGSSVTSSAKGKRSERDRDGKGHSREVQSRNGTTKVGRPASSSAKGDRKSKTKPKQKATQNSVSVNGLLGKLSEQPKPALSSTPKSNEMPTTSNTKEKDEFGLGGLDDHEPIDLSNLQLPGMDVLGVGDDQGQDLGSWLNIDDDGLQDHDDFMGGLEIPMDDLSDLNMIV >Vigun02g041000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16720736:16721499:1 gene:Vigun02g041000.v1.2 transcript:Vigun02g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEELGYKALLREVSDQEASLAELEKHVNELKQSLAEKRNEELGYDIVKEAFEKLGFGIEEKKPTMFDVCSPTAEQKALLQRTLDVEARIAELEKIVAEFEQLLAQKRDQ >Vigun03g100400.1.v1.2 pep primary_assembly:ASM411807v1:3:8616676:8625040:1 gene:Vigun03g100400.v1.2 transcript:Vigun03g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGLSRRCLCTIAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAESQGIPMNTGHSKAIFGKGFVGEVPVFLAKPQTYMNLSGESTGPLAAYYKLPLNQVLVFHDDMTLPCGVLRLYDKGGHGSHKGLRSVIYHFRGNREFPRLRIGIGSPPGQMDPKAFLLQKFNLTARQRIDEALQEGVDALKLLLSKGFTESAKRFNKEQKYKHLRVQNLPV >Vigun05g091600.1.v1.2 pep primary_assembly:ASM411807v1:5:8866997:8876043:1 gene:Vigun05g091600.v1.2 transcript:Vigun05g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDHMVKGHVLAKKAENKLHACCPLFGSNVEDAALLFHKSATSFKLAKSWDKAGSLFLESSACHMKLGNKHDAVNAYIEGARCYKKTSLQGAVFCLNKVVTIYEELGRHIMAAKYSKEIGDLGELNKDIDCARLHYERAAQLFEIGDAATSVIQCKLKVAQFFAQLQQYQKAIKIYEDIARQSLNSNLWKYGVRVHLLNAGLCQLVKGDFVAISNSLERYQDLDPTFSTTREYKFLADLAASVDEEDVENFTRVVKEFNDITPLEPWKSTLLLRVKNVLKEKEMQEEDDLI >Vigun11g168900.1.v1.2 pep primary_assembly:ASM411807v1:11:37543531:37544615:-1 gene:Vigun11g168900.v1.2 transcript:Vigun11g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVAGFVTTLFMMVMLMVPKTASAENIDRIVTPQFFDMIINQADGDCAGKNFYSRDAFLKAHRSYPRFGALNNEDDSKREIAAAFAHFTHETGHFCYIEEIGGASRDYCDESNTEFPCVPYKGYYGRGPIQLSWNFNYGPAGQNIGFDGLNAPETVANDPVVSFKTALWYWMEFVRPVMNEGFGATIRAINGQLECNEGNPDTVRARVYYYTKYCSQFGVAPGDNLTC >VigunL000900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:11819:12338:-1 gene:VigunL000900.v1.2 transcript:VigunL000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTGERSFADIITSIRYWIIHSITIPSLFIAGLLFVSTGLAYDGFGSPCPNEYFTENRQGIPLITGHFDPLEQLDEFNQYQQCSSSNDKLNLNYRAMTQSNLNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun06g038600.1.v1.2 pep primary_assembly:ASM411807v1:6:15711614:15712283:-1 gene:Vigun06g038600.v1.2 transcript:Vigun06g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FISLFFYCSVEGFQYWIIAQTWPAGFCNHNVCDATKPIPLKFTIHGLWPSNYNMSPPLPCSKSKLNVSLINGIVPILDQDWPSYKTINSYFWNYEWQKHGSCSNMLPFDYFTLALDIYKRNDLQQILKDANILHDNTYGINKIMTAIQTSRVGVQPQLSCEKGDLVEIRLCLNINPIPQYINCPPNKACPTYVNFI >Vigun04g049900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4386546:4388288:1 gene:Vigun04g049900.v1.2 transcript:Vigun04g049900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTRRGLRAPRNPAFGPCDSTNPIDNCWRCNKDWANDRYQLAKCGKGFGRRAVGGLGGPIYVVNDTSDDDMQNPKPGTIRHAVTQQGPLWITFSRSMRITLQQELMISSDKTIDGRGANVQFKDGGGLTMQFVNNIIIHGIRVKNIVPKDGGMIRDSYNHVGHRTRSDGDAISIFGASNIWIDHVSLSNSADGLIDVIEGSTAITVSNCHMTRHNDVMLFGASDTNPHVNDKLMQITVAFNHFGQGLSQRMPRCRFGFFHVLNNDYTHWQIYAIGGSSKPTILSQGNRFIAPNLDFAKEITHRDYATPEQWMQWQWQSDMDLLMNGATFNTTGAPIQMTYKKGLIMKPRDGTHVSRLTRHAGALNCYAGFPC >Vigun08g044600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4665225:4665715:1 gene:Vigun08g044600.v1.2 transcript:Vigun08g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKAQAEASKRPPGHGATEVLHQRKSLPYSYTKMAIAGLLITAAVGYTVLYAKKKPEASARDVAKVSAGVAQPEDTHPHK >Vigun09g140000.1.v1.2 pep primary_assembly:ASM411807v1:9:30210665:30212843:1 gene:Vigun09g140000.v1.2 transcript:Vigun09g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPPQPLTTLPSLPDLLLTALSVCFLVSSSKPHIASTRCPFPRRFLKFPAMSLTATPSKSTTTATALNTLPPRRHNFASPQSLSEWLKPRLPSESFASWGVKPGTKNVHNLWLELSQGETSLADSTPPVRTVHVVLVHITAKHGKFLVESHQELSDGNVRKRGRPLSEKMKPNEDPESAAVRGIFEELGSAIVGARPNPETSDIVSIDPNSYEMRVEERDSGSYPGLPGCYVLHTLSATVEGLPEGDFCTYEVDEYDGVFEEKKLADRAVSVKKHYWTWVSLYVNCQISELGVLLFPS >Vigun08g179000.5.v1.2 pep primary_assembly:ASM411807v1:8:34887713:34889075:1 gene:Vigun08g179000.v1.2 transcript:Vigun08g179000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLQRTKLQCLKLRGFASVTPQEVLKPGDVFRKARAFTEEDVLQYAKVSFDSNPLHTESAAAKDVGFEGPLVHGMLVASLFPHIISSRFPGAVYVSQNLNFKFPVYIGDQIIAEVQATNVRANRNRYLAKFKTRCLKNGELIVIDGEAVALLPTLTVEQEQHEEP >Vigun08g179000.1.v1.2 pep primary_assembly:ASM411807v1:8:34887580:34889092:1 gene:Vigun08g179000.v1.2 transcript:Vigun08g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLQRTKLQCLKLRGFASVTPQEVLKPGDVFRKARAFTEEDVLQYAKVSFDSNPLHTESAAAKDVGFEGPLVHGMLVASLFPHIISSRFPGAVYVSQNLNFKFPVYIGDQIIAEVQATNVRANRNRYLAKFKTRCLKNGELIVIDGEAVALLPTLTVEQEQHEEP >Vigun08g179000.2.v1.2 pep primary_assembly:ASM411807v1:8:34887579:34889076:1 gene:Vigun08g179000.v1.2 transcript:Vigun08g179000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLQRTKLQCLKLRGFASVTPQEVLKPGDVFRKARAFTEEDVLQYAKVSFDSNPLHTESAAAKDVGFEGPLVHGMLVASLFPHIISSRFPGAVYVSQNLNFKFPVYIGDQIIAEVQATNVRANRNRYLAKFKTRCLKNGELIVIDGEAVALLPTLTVEQEQHEEP >Vigun08g179000.3.v1.2 pep primary_assembly:ASM411807v1:8:34887498:34889093:1 gene:Vigun08g179000.v1.2 transcript:Vigun08g179000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLQRTKLQCLKLRGFASVTPQEVLKPGDVFRKARAFTEEDVLQYAKVSFDSNPLHTESAAAKDVGFEGPLVHGMLVASLFPHIISSRFPGAVYVSQNLNFKFPVYIGDQIIAEVQATNVRANRNRYLAKFKTRCLKNGELIVIDGEAVALLPTLTVEQEQHEEP >Vigun08g179000.4.v1.2 pep primary_assembly:ASM411807v1:8:34887445:34889075:1 gene:Vigun08g179000.v1.2 transcript:Vigun08g179000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLQRTKLQCLKLRGFASVTPQEVLKPGDVFRKARAFTEEDVLQYAKVSFDSNPLHTESAAAKDVGFEGPLVHGMLVASLFPHIISSRFPGAVYVSQNLNFKFPVYIGDQIIAEVQATNVRANRNRYLAKFKTRCLKNGELIVIDGEAVALLPTLTVEQEQHEEP >Vigun07g013400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1215757:1216882:-1 gene:Vigun07g013400.v1.2 transcript:Vigun07g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNGAYYGPSIPPPKSYRRPSRSGGGCGCLSCCCGCIFDCIISLICKILVTVLVIAAVLVFLFWLIVRPNVLKFHVTDASLTRFTYSNNTLHYNLALNVSIRNPNKRVGVYYDQIEAVALYEDARFASQTLGPFFQHTKNTTFISPLFNGQRVTPLTAEQGSQFEKDNDSGIFPIDVKLFMNVRFKFLFFKSHTIRPNIRCGLHVPLKSRNGTSSPDAAFEATECDWIYKRWWIR >Vigun01g103900.1.v1.2 pep primary_assembly:ASM411807v1:1:27337052:27339583:-1 gene:Vigun01g103900.v1.2 transcript:Vigun01g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYVSFSNEWPFFQSIGEAVKGRKQQCAAKGRSRKVLGDIGNLANVEEVEVKPNRPITRSFGALLLAKAQAAAAAATDKNNKKRVSANVAGPPPVSNAVAATKRVVQKRGQKKGTVKPKPEKAIDKEAIPDKEIQKDKTGEGDDNSKKNSHAFTSVLTARSKAASGITDKPKEHIIDIDADDVDNELAAVEYIDDLYRFYKLVENENRPHDYIESQPEINERSRAILVNWLIEVNTKFGLSLETLYLTINIIDRFLSVKSIPRSEELQLVGISAMLMASKYEEIWAPEVASLVCLTTFTPEQILLMEKTILYQLEWTLTVPTPLVFLVRFIKATVPDQEMENMTHFLSELGLVNYATIMYCPSMISASAVFAARCTLNKTPLWNETLKLHTGYSQEQLMECARLLVSFHSMVGDEEELKVVHRKYSDPQKGAVAMLPPAKNLLPEPHRVNETEEAGKKTPLVFS >Vigun01g103900.2.v1.2 pep primary_assembly:ASM411807v1:1:27337052:27339865:-1 gene:Vigun01g103900.v1.2 transcript:Vigun01g103900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTVKQQGACEAVKGRKQQCAAKGRSRKVLGDIGNLANVEEVEVKPNRPITRSFGALLLAKAQAAAAAATDKNNKKRVSANVAGPPPVSNAVAATKRVVQKRGQKKGTVKPKPEKAIDKEAIPDKEIQKDKTGEGDDNSKKNSHAFTSVLTARSKAASGITDKPKEHIIDIDADDVDNELAAVEYIDDLYRFYKLVENENRPHDYIESQPEINERSRAILVNWLIEVNTKFGLSLETLYLTINIIDRFLSVKSIPRSEELQLVGISAMLMASKYEEIWAPEVASLVCLTTFTPEQILLMEKTILYQLEWTLTVPTPLVFLVRFIKATVPDQEMENMTHFLSELGLVNYATIMYCPSMISASAVFAARCTLNKTPLWNETLKLHTGYSQEQLMECARLLVSFHSMVGDEEELKVVHRKYSDPQKGAVAMLPPAKNLLPEPHRVNETEEAGKKTPLVFS >Vigun06g176600.1.v1.2 pep primary_assembly:ASM411807v1:6:29671797:29673746:1 gene:Vigun06g176600.v1.2 transcript:Vigun06g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKVREPKEENVTLGPAVRDGEHAFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALSRSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >Vigun08g060900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8079894:8081348:-1 gene:Vigun08g060900.v1.2 transcript:Vigun08g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHENPTSNPISDPEAQEITRTPTPSTAAAAKGYSAKVVVNKVKNNLVFHSKWGELNGAMGDLGTYIPIVLALTLARDLNLGTTLIFTGVYNIITGAIYGVPMPVQPMKSIAAQALSDTTFGVSEIMAAGILTGGVLFVLGVTGLMQLVYMLIPLCVVRGIQLAQGLSFALTAVKYVRKVQDLPKSKSLGERHWLGLDGLVLAIVCLCFIVIVNGAGEKNRGCCEGVQSGGDDMQNEVARNKRRSRVRRVIFSLPSAFMVFVLGVVLAFVRRHEVVHEIKFGPSSIEVVKFSKHAWKKGFVKGAIPQLPLSILNSVVAVCKLSSDLFPGKDFSATSLSVTVGLMNLVGSWFGAMPSCHGAGGLAGQYKFGGRSGGCVALLGVAKLALGLVLGSSLAHILRQFPVGILGVLLLFAGIELAMCCRDMNTKEDSFVMLICTAVSLVGSSAALGFLCGMIIYVLLRLRNWSRDKPLSAIWMQKNPEQV >Vigun06g086600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21861944:21862453:-1 gene:Vigun06g086600.v1.2 transcript:Vigun06g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKVKLAFIANDCARRASYRRRKKSMLKKIEELSTLCGVEACAIVYSSFDQEPQIWPSESGVKNVVEKFRAMPEWKKHKMGNQESVMEKSIVKGKRKITKLEEENKEMEITMFVFQCLDKTRVQPPHNMTLPDLNLLSSVIEQKLNDISRRLDFTDVTDIVDTNLKL >Vigun06g192200.1.v1.2 pep primary_assembly:ASM411807v1:6:30937127:30938675:-1 gene:Vigun06g192200.v1.2 transcript:Vigun06g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVQMKHVFAVCAVMLLTCAYQAMSRTLYESSSAEAFQDWTSQHRREYVDDAEKERRFKIFAENLEYIEKFNNGGKKSYKLGLNPFSDLTEEEFIASHTGLKLNSSQPRSSSATYLSVDDNVPESLNWRDKGAVTPVKDQGRCGSCWAFSAVAAVEGIFQIQKQKLVPLSEQQLVDCDNDSNGCDGGLPDHAFNYIIENGGIAGETDYPYRGSDGTCQTTQLVAQITGYKDVPRNNEEQLLQAVAKQPVSVGVAVNQNFKAYESDVFEGPCGYNLNHAVTVIGYNTTEDGKKYWLIKNSWGERWGEKGYMKLLRESGEPGGVCGIAMQASYPVMQ >Vigun03g285900.1.v1.2 pep primary_assembly:ASM411807v1:3:46755314:46756619:-1 gene:Vigun03g285900.v1.2 transcript:Vigun03g285900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVAPAQLHPNSWGFVRAFQILCAGLDINPTAPMFLYFFELKQSSPKQFWVSLNNVSGRGLLTLFQSSYKGGKGAFLKILAPEHNTALLEGFPLYWTRLPTPQGARQMEELTLTERESCAKLEGLEVVFDIRKILDLEYRKVDLKLFIERQMALSQRDLLRRIKQKESNKVGASSRTKDSSSKAIVLESEQETTQSDPKLKRKRVEPLISEASDSTDNIPLSALGFKRSFWDDKFAHLAHGRANNYFPVDDKLLSGRQLSSVQEGLLHNIHQVEASSLFLMDRLEASERKELKAASDLAAANKEIEQLRLDMEDFAKMKKTLEDTEAELSILRAEMDELKPKAEGLSAQCQVLEGEKEELTDQLCSTLKEGFQLALDQVKILHPDVDISAADITKEIVDGQLVELS >Vigun01g000200.2.v1.2 pep primary_assembly:ASM411807v1:1:64295:73703:1 gene:Vigun01g000200.v1.2 transcript:Vigun01g000200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQIIPPQHDLDAKWDACLDLTVRRLVYSSSAGAFAGLLFFRSPVTRWASIAFGAGVGIGSAYAECSRLFDGPPTKLPLPKVSETAAQGYE >Vigun01g000200.1.v1.2 pep primary_assembly:ASM411807v1:1:64365:67766:1 gene:Vigun01g000200.v1.2 transcript:Vigun01g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQIIPPQHDLDAKWDACLDLTVRRLVYSSSAGAFAGLLFFRSPVTRWASIAFGAGVGIGSAYAECSRLFDGPPTKLPLPKVSETAAQVGFVFYFLVYT >Vigun02g063700.2.v1.2 pep primary_assembly:ASM411807v1:2:21201456:21203632:-1 gene:Vigun02g063700.v1.2 transcript:Vigun02g063700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKLDGNPTIRKQDLELEKQLELINKPPVKSIYTEFGRIVNCIDIYKQPVFDHPLLKDHKLQRKPNFQNVTGESRRKHLGTISMFGLGKDECPMGTVPILRTTKDDLIREKSLFNDHILVQDLPGVHLAEVSLKPHFGPYYGVGGTNSIYNPRLDTKLQISMSHLWVVPQLYGDYASHLYASWTFTEMRHICDPLISLSWLLKDILFLCSISCFVQSSKEIYLGVPIGPTSHYGGPTYFVCFQDPVTKNWWISIDKKSIGYFPAKLFLNMSSTDQVGWGGRTRTPPGTHSPEMGYGHFPHDNILSHACYFKETWIQDNERKSHGAKPYETFSFTDNPSCYDVRYYGDDGYPEGYLLMFGGPGGNCSN >Vigun08g178400.1.v1.2 pep primary_assembly:ASM411807v1:8:34824882:34827000:1 gene:Vigun08g178400.v1.2 transcript:Vigun08g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYSQKERSKTIRELCGLIISRAPKLCNFVEWKGFKVVYKRYASLYFCICNDEEDNELETLAIIHHFVETLDRYFGSVCELDLIFNFHKAYFILDEILIAGAMQETSKRTTLRLIAAQEDLVEVAKMEASSLSNIIAQATK >VigunL073800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:121121:121705:1 gene:VigunL073800.v1.2 transcript:VigunL073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMPRKMLFAAIPSICALSSKKISIYNEEMIVARCFIGFIIFSRKSLGNTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQCISKAEKIELIRESLVVLRMVRVGGSIKNK >Vigun02g012100.1.v1.2 pep primary_assembly:ASM411807v1:2:4623038:4636691:1 gene:Vigun02g012100.v1.2 transcript:Vigun02g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTQSESKQNDEEEVAPEPQLPKPSAGGWGFLQFSFLSDLQKAAAVAAEEISRNAAVVAQTASKGIAELQNEAEESESSKEDEGAEDSAAKKESDDEDTKLRKSALDRLEKAGEDSLLSQGLKAFDSSVETFASGAWSALGNAWRGSTDFVQRLENSAANLSGSSHHDGPGAAGSNASSLLETGRAFTARGMQVLEYVSKETMDLLINETGIEVEKDTNPGEEQNDEDQLSEEVTFDRCFYIYGGPEQLEELEALSSHYAMLFNRRKVKLSAEQKSVYDGKLKEVQQIFNLSAEIDSSSTDSNKGKTIKRGNEGSSDEMKNLHDSSVGKAAEMAAGFTNALTGLAANDIVQRTTSRLESLHSEGVHRLSEMCCFAVSQLLVFGKSIISRANKTEDEADDDKAHIEWPEDVTAKANIVRVNAQTMIGYVEAVSNSFITGISDVAEAYQAAIKAVTTESQSVVPHASVQEKANAFSENLRADQTTAVCKIQEGMQFLAHVLISTSMNAA >Vigun01g143700.2.v1.2 pep primary_assembly:ASM411807v1:1:32522458:32528327:1 gene:Vigun01g143700.v1.2 transcript:Vigun01g143700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ASMARGRADVNSRKKVVVAVLFLVIVGVFFYFYSQSGDSSIVEYGDKSLRQFGLKGDRDVSESSSTVVGEEDSAIPKSIPVCDDRLSELIPCLDRNLIYQTRLKLDLAVMEHYERHCPIPERRYNCLIPPPPGYKIPINWPKSRDQVWKANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNDNINNEGRVRSVLDVGCGVASFGGYLLSSDVITMSLAPNDVHQNQIQFALERGIPAYLGVLGTLRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNSCYLKRMHGTLPPLCRSDDDPDAVSGVKMKACISRYSDQMHKAKGSGLAPWPARLTTPPPRLAEIHYSTKMFEKDVEVWQERVDNYWSKLGSKIKAGTIRNVMDMRANIGSFAAALKEKDVWVMNVVPENQPKSLAIIYDRGLIGAVHNWCEAFSTYPRTYDLLHAWSVFSDIIKKECSPEDLLIEMDRILRPKGFIIVYDKRSVVEYVRKYLPALHWEVVSIYDIDQGKDDHAVVIIQKKMWLTSGSIQVSE >Vigun01g143700.6.v1.2 pep primary_assembly:ASM411807v1:1:32522177:32528327:1 gene:Vigun01g143700.v1.2 transcript:Vigun01g143700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ASMARGRADVNSRKKVVVAVLFLVIVGVFFYFYSQSGDSSIVEYGDKSLRQFGLKGDRDVSESSSTVVGEEDSAIPKSIPVCDDRLSELIPCLDRNLIYQTRLKLDLAVMEHYERHCPIPERRYNCLIPPPPGYKIPINWPKSRDQVWKANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNDNINNEGRVRSVLDVGCGVASFGGYLLSSDVITMSLAPNDVHQNQIQFALERGIPAYLGVLGTLRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNSCYLKRMHGTLPPLCRSDDDPDAVSGVKMKACISRYSDQMHKAKGSGLAPWPARLTTPPPRLAEIHYSTKMFEKDVEVWQERVDNYWSKLGSKIKAGTIRNVMDMRANIGSFAAALKEKDVWVMNVVPENQPKSLAIIYDRGLIGAVHNWCEAFSTYPRTYDLLHAWSVFSDIIKKECSPEDLLIEMDRILRPKGFIIVYDKRSVVEYVRKYLPALHWEVVSIYDIDQGKDDHAVVIIQKKMWLTSGSIQVSE >Vigun01g143700.4.v1.2 pep primary_assembly:ASM411807v1:1:32522177:32528327:1 gene:Vigun01g143700.v1.2 transcript:Vigun01g143700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ASMARGRADVNSRKKVVVAVLFLVIVGVFFYFYSQSGDSSIVEYGDKSLRQFGLKGDRDVSESSSTVVGEEDSAIPKSIPVCDDRLSELIPCLDRNLIYQTRLKLDLAVMEHYERHCPIPERRYNCLIPPPPGYKIPINWPKSRDQVWKANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNDNINNEGRVRSVLDVGCGVASFGGYLLSSDVITMSLAPNDVHQNQIQFALERGIPAYLGVLGTLRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNSCYLKRMHGTLPPLCRSDDDPDAVSGVKMKACISRYSDQMHKAKGSGLAPWPARLTTPPPRLAEIHYSTKMFEKDVEVWQERVDNYWSKLGSKIKAGTIRNVMDMRANIGSFAAALKEKDVWVMNVVPENQPKSLAIIYDRGLIGAVHNWCEAFSTYPRTYDLLHAWSVFSDIIKKECSPEDLLIEMDRILRPKGFIIVYDKRSVVEYVRKYLPALHWEVVSIYDIDQGKDDHAVVIIQKKMWLTSGSIQVSE >Vigun01g143700.5.v1.2 pep primary_assembly:ASM411807v1:1:32522168:32528328:1 gene:Vigun01g143700.v1.2 transcript:Vigun01g143700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ASMARGRADVNSRKKVVVAVLFLVIVGVFFYFYSQSGDSSIVEYGDKSLRQFGLKGDRDVSESSSTVVGEEDSAIPKSIPVCDDRLSELIPCLDRNLIYQTRLKLDLAVMEHYERHCPIPERRYNCLIPPPPGYKIPINWPKSRDQVWKANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNDNINNEGRVRSVLDVGCGVASFGGYLLSSDVITMSLAPNDVHQNQIQFALERGIPAYLGVLGTLRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNSCYLKRMHGTLPPLCRSDDDPDAVSGVKMKACISRYSDQMHKAKGSGLAPWPARLTTPPPRLAEIHYSTKMFEKDVEVWQERVDNYWSKLGSKIKAGTIRNVMDMRANIGSFAAALKEKDVWVMNVVPENQPKSLAIIYDRGLIGAVHNWCEAFSTYPRTYDLLHAWSVFSDIIKKECSPEDLLIEMDRILRPKGFIIVYDKRSVVEYVRKYLPALHWEVVSIYDIDQGKDDHAVVIIQKKMWLTSGSIQVSE >Vigun02g090300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24527134:24529115:1 gene:Vigun02g090300.v1.2 transcript:Vigun02g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGESSASTSEPDSDDNHRIPTNHHLNPPSGLTPHEFSSLVPSITEHHTYLVGPGQCSSLLAQRVQAPPEAVWSVVRRFDKPQTYKHFIKSCAVKDPFHMAVGVTRDVNVISGLPAATSTERLDLLDDDRRVTGFSIIGGEHRLSNYRSVTSVHAFDSDADAKIYTVVLESYIVDVPDGNTEEDTRLFADTVVKLNLQKLATVTEGTNRDGDHKPHSR >Vigun11g004800.2.v1.2 pep primary_assembly:ASM411807v1:11:495220:503171:1 gene:Vigun11g004800.v1.2 transcript:Vigun11g004800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGSSNEPNSNSKWPLFIFFKGARDVFKLDALSRELLGIAFPSALAVAADPIASLIDTAFIGHIGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTLEKLKEVIVPEEHMLQHMEKGSLKHSNNISPKPLLQNSESKDPEVKSFGSQALQNNHATRDNHTETGDANKSICKSSWITKSGEKDGKKKKKRRIASASTALLFGTILGLIQTAVLIFAAKPLLRVMGVKPDSPMLNPAEKYLKLRSIGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYAFNVILDPILIFTLKLGIKGAAISHVLSQYMMAITLLLILMKKVHLLPPSIKDLQIFRFLKNGGLLMLRVIAVTFCVTLAASLAARLGSIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYKKTTAAAIRTLQMAFVLGMGLSLTVGLGLYFGAGIFSRNIHVVHLIKIGLPFVAATQPINSLAFVFDGVNYGASDFAYAACSLVVVSIVSVAIEFVLYRAKHFIGIWIALTIYMTLRMLAGVWRMGTGTGPWHYLRGCSLT >Vigun11g004800.1.v1.2 pep primary_assembly:ASM411807v1:11:495220:503171:1 gene:Vigun11g004800.v1.2 transcript:Vigun11g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGSSNEPNSNSKWPLFIFFKGARDVFKLDALSRELLGIAFPSALAVAADPIASLIDTAFIGHIGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTLEKLKEVIVPEEHMLQHMEKGSLKHSNNISPKPLLQNSESKDPEVKSFGSQALQNNHATRDNHTETGDEANKSICKSSWITKSGEKDGKKKKKRRIASASTALLFGTILGLIQTAVLIFAAKPLLRVMGVKPDSPMLNPAEKYLKLRSIGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYAFNVILDPILIFTLKLGIKGAAISHVLSQYMMAITLLLILMKKVHLLPPSIKDLQIFRFLKNGGLLMLRVIAVTFCVTLAASLAARLGSIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYKKTTAAAIRTLQMAFVLGMGLSLTVGLGLYFGAGIFSRNIHVVHLIKIGLPFVAATQPINSLAFVFDGVNYGASDFAYAACSLVVVSIVSVAIEFVLYRAKHFIGIWIALTIYMTLRMLAGVWRMGTGTGPWHYLRGCSLT >Vigun02g080900.1.v1.2 pep primary_assembly:ASM411807v1:2:23357325:23364845:1 gene:Vigun02g080900.v1.2 transcript:Vigun02g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPLDYVSINENVKKSQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQRPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFILKRDGYPSDPELIYLTDGASKGVMQILNTIIRGQDDGILVPVPQYPLYSATIALLGGTLVPYYLEETANWGLDVNELRQSVEQARFKGITVKAMVIINPGNPTGQCLSEANLREILKFCYQENLALLGDEVYQQNIYQDERPFISSKKVLMDFGPPISKEVQLISFHSVSKGYYGECGQRGGYFEMTNIPPETVDEIYKVASISLSPNVPAQIFMGVMINPLKPGDISYDQFVRESNGILESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKQAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDNRGYSRL >Vigun02g080900.2.v1.2 pep primary_assembly:ASM411807v1:2:23357916:23364838:1 gene:Vigun02g080900.v1.2 transcript:Vigun02g080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPLDYVSINENVKKSQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQRPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFILKRDGYPSDPELIYLTDGASKGVMQILNTIIRGQDDGILVPVPQYPLYSATIALLGGTLVPYYLEETANWGLDVNELRQSVEQARFKGITVKAMVIINPGNPTGQCLSEANLREILKFCYQENLALLGDEVYQQNIYQDERPFISSKKVLMDFGPPISKEVQLISFHSVSKGYYGECGQRGGYFEMTNIPPETVDEIYKVASISLSPNVPAQIFMGVMINPLKPGDISYDQFVRESNGILESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKQAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDNRGYSRL >Vigun02g125300.1.v1.2 pep primary_assembly:ASM411807v1:2:27730260:27734852:-1 gene:Vigun02g125300.v1.2 transcript:Vigun02g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFLKSSEAMSNLNPFNIAIILILPLTTLLFVLLHRTRRRAPYPPGPKGLPIIGNMFMMDQLTHRGLATLAKQYGGVFHLRMGFLHMIAISDPEAARQVLQVHDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWQSVRDEVDVAVRSVAASAGKAINVGELVFNLTKNIIYRAAFGSNSQEGQDEFIGILQEFSKLFGAFNIADFIPYLGRVDPQGLNARLARARSALDSFIDKIIDEHVEKKKKNENGVCDGETDMVDELLAFYTEEAKLNNEPEDLQNSIRLTKDNIKAIIMDVMFGGTETVASAIEWAMAELMRSPEDQKRVQQELADVVGLDRRVEESDFEKLTFLKCALKETLRLHPPIPLLLHETAEEATVSGYFIPKKARVMINAWAIGRDKNSWEEPETFKPARFLEPGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALELAVAHLLHCFTWELPDGMKPSELDMGDIFGLTAPKATRLVAVPTKRVVCPLF >Vigun05g111633.1.v1.2 pep primary_assembly:ASM411807v1:5:11696032:11696280:1 gene:Vigun05g111633.v1.2 transcript:Vigun05g111633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWKSSKLPDLDEMIEEVCSLQTFYLMFEGFL >Vigun10g048150.2.v1.2 pep primary_assembly:ASM411807v1:10:7244404:7245983:-1 gene:Vigun10g048150.v1.2 transcript:Vigun10g048150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRISSIPYSNTFSRYFTPSHPLSLHPPFSSFSGRFVFSLFPPFHLGFSGFRKTIERGNQRDRDRERAQARAGGKTKQSKDDGLTPEQRRERDAKALQEKAAKKAAQAAGGNNAGGGRGKK >Vigun10g048150.1.v1.2 pep primary_assembly:ASM411807v1:10:7244019:7245542:-1 gene:Vigun10g048150.v1.2 transcript:Vigun10g048150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IWTPFHLESSIPYSNTFSRYFTPSHPLSLHPPFSSFSGRFVFSLFPPFHLGFSGFRKTMSRGNQRDRDRERAQARAGGKTKQSKDDGLTPEQRRERDAKALQEKAAKKAAQAAGGNNAGGGRGKK >Vigun07g270300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38604378:38605460:1 gene:Vigun07g270300.v1.2 transcript:Vigun07g270300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGGRRVIFYACVVLMLVALHTCHTCQVEGIRVLPGNAVPDVEFSHGSVNDNNKRKEDLFNKYFNGRTHLARTNTTQKGFDEAKRRVPSCPDPLHN >Vigun04g030800.1.v1.2 pep primary_assembly:ASM411807v1:4:2450521:2456295:-1 gene:Vigun04g030800.v1.2 transcript:Vigun04g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSISPPPAPPATAVPFPADTNDHLPAPIAEPPSNHPPYAEMIYTAIEALKEKDGSSKKAIGKYMEQVYKDQLPPNHSALLTQHLTRMKTSGMLVMVKKSYALPRSAAAAAAAAAAEEPVPGPSPSTVPRPRGRPRKAQTPVHNLPQPLVQAQDAVIPSVQQNAEPVWAALGLADEPVQAETPKKRPGRPKKLATGATGTGRRGRPVGSGKGPGRPPKPKPVASDTSASASAGPKRRPGRPPKNQTQATQIPFAPSTPTAGAGAGAEDGAEAGAVVPGSEPVEEQVPAGDGILLGPRVRGRPKKFADEMIPSGRGRGRGRGRGRGRGRGGSLLLARPGVRSVGRPRKGSTFDGPPQNAANEELKRKLEHFQSKVKESLAVIKPHFNHQSPVTAIAAIQDLEELGTMDLNAPLRDETIPLQQEEQPPQAPPAQPPQQQPPPPQQQQQAPPQPHLAPAPLQPQTQLFQQPFPSFQLQQQPFHHQQTQLQFQQPPQQHQLFQAPPSHHQQFHP >Vigun03g350700.2.v1.2 pep primary_assembly:ASM411807v1:3:55180682:55185281:-1 gene:Vigun03g350700.v1.2 transcript:Vigun03g350700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDDSSIYVGGLPYDITDETIRTVFNLYGAILDVKIINDQRGRGKCYCFVTFTNPRSAIDAINDMNGRTIDGRVVKVNGVRSRGGRSNFGRERYYYHNDERNGDWDRGRDRDRDYDHDNSGRDGYRNRSNDWSRDRDRSRDRDRDQDRDRRFEHMHDYDEDRDPMLDNDWSREDDRVENEQEHSRGDGGDEDRDHNLDFNADRKTDRTSDPDRSFDEDRKDQSRRNNDLNVTNQHSMDLSSESTGARDDQVEAQIESSTKQLDQLKKEVSQMEEGLEEKRVHVKELQKQSKKLEDALISAKKNTSYRQMQLIKALIDTVLLEIDGDGVGLKDGQLTNGSLDAR >Vigun03g350700.3.v1.2 pep primary_assembly:ASM411807v1:3:55180682:55185281:-1 gene:Vigun03g350700.v1.2 transcript:Vigun03g350700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDDSSIYVGGLPYDITDETIRTVFNLYGAILDVKIINDQRGRGKCYCFVTFTNPRSAIDAINDMNGRTIDGRVVKVNGVRSRGGRSNFGRERYYYHNDERNGDWDRGRDRDRDYDHDNSGRDGYRNRSNDWSRDRDRSRDRDRDQDRDRRFEHMHDYDEDRDPMLDNDWSREDDRVENEQEHSRGDGGDEDRDHNLDFNADRKTDRTSDPDRSFDEDRKDQSRRNNDLNVTNQHSMDLSSESTGARDDQVEAQIESSTKQLDQLKKEVSQMEEGLEEKRVHVKELQKQSKKLEDALISAKKNTSYRQMQLIKLHKCFLQVKDYTERLKTSEKELQELGYCGSAQVSLK >Vigun03g350700.1.v1.2 pep primary_assembly:ASM411807v1:3:55180682:55185281:-1 gene:Vigun03g350700.v1.2 transcript:Vigun03g350700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDDSSIYVGGLPYDITDETIRTVFNLYGAILDVKIINDQRGRGKCYCFVTFTNPRSAIDAINDMNGRTIDGRVVKVNGVRSRGGRSNFGRERYYYHNDERNGDWDRGRDRDRDYDHDNSGRDGYRNRSNDWSRDRDRSRDRDRDQDRDRRFEHMHDYDEDRDPMLDNDWSREDDRVENEQEHSRGDGGDEDRDHNLDFNADRKTDRTSDPDRSFDEDRKDQSRRNNDLNVTNQHSMDLSSESTGARDDQVEAQIESSTKQLDQLKKEVSQMEEGLEEKRVHVKELQKQSKKLEDALISAKKNTSYRQMQLIKLHKCFLQVKDYTERLKTSEKELQALIDTVLLEIDGDGVGLKDGQLTNGSLDAR >Vigun04g137351.1.v1.2 pep primary_assembly:ASM411807v1:4:34300402:34303695:1 gene:Vigun04g137351.v1.2 transcript:Vigun04g137351.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFPRLCYALLLLLLHAAESILGLNNSTEIKCIERERQALLNFKHGLIDDYGVLSTWSDEDNSRDCCKWKGIQCDHQTGHVSFLRLRGSDTQYLRGALNITLLFALQNIQHLDLSYNLFEWKEIPQLMGSLTNLRYLNLSYSHFGESIPTQLGSLTHLLSLDLSHNYLLRGDIPYQLGSLSNLTSLDLSYSNLDGKLPCQFANLSQLRYLDLRGNSFSGALPFQVGNLPFLHTLRLGGDFDVKPKDARWLSNLSSLTHLALNGLHNPDALQLMIHSPMLRELRLVDCSLSDPHIHSLFYSPSNFSNSLTILDLSDNMLTSSTFQLLSNFSLNLQELYLSQNNIVFSSPVFSTFPSLVTLDLSYNNMTSSVFQAISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTLQLYDNMLEGPIPDGFGKAMNSLEVLYLSRNKLQGVIPSFFGNICTLRNLDLSSNKLSGNISIFFQNSSWCNRQVFQNLDLSHNNITGAIPKSIGLLSELEYLSLDGNCLEGDVTESHLSSFSKLRYLFLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLGPSFPSWLHTQSSLSDLDISDNGLNYVPDWVWDNLQNMRTLNMSHNNLSGPIPNISLKLHYAPSVILNSNQFEGKIPSFLLQASKLRLSNNKFSDLFSFICNQGNSEMWILDLSNNQLKGQLPDCWTSVDRLRYLDLSNNKLSGRIPLSMGSLVELKVLVLRNNNLTGELASTLKNCSNLIMLDVAKNMLSGPIPSWIGESMQQLIILNMRENHFSGNLPIELCYLKYIQLLDLSNNMLSKGIPSCLKELTAMSKKGIDTPVTLNRMYFIYIPYSKIYDNIYGEEYPFTISLIWKGVEQRFKNPELIKGIDLSSNKLTGEIPKEIGYLAGLVYLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDYLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDEDSVFYEALYMSMGIGYFTGFWGLLGPILLWSSWKNAYLDFLNRLTIGMYEQCGKCR >Vigun01g207300.1.v1.2 pep primary_assembly:ASM411807v1:1:38295085:38306727:1 gene:Vigun01g207300.v1.2 transcript:Vigun01g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGPPPSMGQPSIQSSAPNLAPPFHTSLPAQPQMPFVPMGSPPPQGAAPAHMGSNVPPRTFQPSFPGYPSKQTGPEMQGPPMPSAFPANQGNFGTVPPAGASPFLSHQGGYVPSPQVAPPPGIQSMQQQPGSMPHIGGVQGLAEDFNALTMQTRPGTMDPLFDPKELPRPLEGDIEPKNLVDMYPMNCNPRFLRLTTSAVPSSQSLASRWHLPLGAVVSPLAEPPDGEEVPIVNFAPASVVRCRRCRTYVNPYMTFTEAGRKFRCNVCTLLNDVPSEYYAQLDATGKRVDINQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVANTIKSCLDELPGFPRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVEAFLDSLPTMFHDNVNLESAFGPALKAAFMVMSQLGGKLLIFQNSLPSLGVGRLKLRGDDSRVYGTDKEHGLRLPEDPFYKQMAAEFSKYQISANVYVFSDKYTDVASLGTLAKYTAGQVYFYPAFQSAIHGDKLRHELKRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTTQTMYFQVALLYTASCGERRIRVHTMAVPVVTELADIYRLADTGAIVSLLSRLAIEKTLSQKLEDARTAVQLRIVKSLREYRNLYSVQHRLANRMIYPESLKFLILYGLALCRSTALRGGYGDVPLDERCAAGHIMMTVSIKVLLKLLYPSLIRLDEYLMKASVQADDLKSVERRLPLTGESLDSRGLYLYDDGFRFIIWFGRVISPDIAKNLLGPDFAAELSKTTLSEHDNEMSRRLMKLLEKLRNTDRAYYQLCHLVRQGEQPKEGFLFLSNLVEDQMGGNSGYAEWMLQISRQVQQS >Vigun01g207300.2.v1.2 pep primary_assembly:ASM411807v1:1:38295142:38306693:1 gene:Vigun01g207300.v1.2 transcript:Vigun01g207300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGPPPSMGQPSIQSSAPNLAPPFHTSLPAQPQMPFVPMGSPPPQGAAPAHMGSNVPPRTFQPSFPGYPSKQTGPEMQGPPMPSAFPANQGNFGTVPPAGASPFLSHQGGYVPSPQVAPPPGIQSMQQQPGSMPHIGGVQGLAEDFNALTMQTRPGTMDPLFDPKELPRPLEGDIEPKNLVDMYPMNCNPRFLRLTTSAVPSSQSLASRWHLPLGAVVSPLAEPPDGEEVPIVNFAPASVVRCRRCRTYVNPYMTFTEAGRKFRCNVCTLLNDVPSEYYAQLDATGKRVDINQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVANTIKSCLDELPGFPRTQIGFATFDSTIHFYNMKSSLTQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVEAFLDSLPTMFHDNVNLESAFGPALKAAFMVMSQLGGKLLIFQNSLPSLGVGRLKLRGDDSRVYGTDKEHGLRLPEDPFYKQMAAEFSKYQISANVYVFSDKYTDVASLGTLAKYTAGQVYFYPAFQSAIHGDKLRHELKRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTTQTMYFQVALLYTASCGERRIRVHTMAVPVVTELADIYRLADTGAIVSLLSRLAIEKTLSQKLEDARTAVQLRIVKSLREYRNLYSVQHRLANRMIYPESLKFLILYGLALCRSTALRGGYGDVPLDERCAAGHIMMTVSIKVLLKLLYPSLIRLDEYLMKASVQADDLKSVERRLPLTGESLDSRGLYLYDDGFRFIIWFGRVISPDIAKNLLGPDFAAELSKTTLSEHDNEMSRRLMKLLEKLRNTDRAYYQLCHLVRQGEQPKEGFLFLSNLVEDQMGGNSGYAEWMLQISRQVQQS >Vigun07g154901.1.v1.2 pep primary_assembly:ASM411807v1:7:26596722:26597974:1 gene:Vigun07g154901.v1.2 transcript:Vigun07g154901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVACVQVTVRRYSEFPTSRSTTTEYRCAQLDINWFNCFSPLKVNLFHLHSINEFRSLFHNHGLCLHNQDQLKSTL >Vigun03g373000.1.v1.2 pep primary_assembly:ASM411807v1:3:57540722:57546921:-1 gene:Vigun03g373000.v1.2 transcript:Vigun03g373000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSDPTVLSLRPGGGKGSRLLGPRFDSSSSSSASPAFGSFSADLPLLRPHGGAPSPFSIKAGDSRFEGRERVRYTREQLLQLSEGVETLDDVLKIKQEFEAELFGEDQSWARPESNPSHQFQNRYSEPDNRDWRGRSGQQPFGNADERSWDNLKENREFGNNRQEQLNSQFARAQISSNQGGGPTPTLVKAEVPWSARRGTLSEKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPPFPSDEPGGKEITFKRVLLNICQEAFEGADNLREEVRQMTAPEQEMERRDKDRLVKIRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPQDSKVCPAEENVEAICQFFNTIGKQLDESPKSRRINDMYFSRLKELSTNPQLVPRLRFMVRDVLELRSNSWVPRREEVKAKTITEIHSEAEKNLGLRPGATASMRNSRVVSGVQGNASQGGFPIARPGTGGMMPGMPGTRRMPGMPGIDNDNWEVPRTRSMPRGDMSGMQGGGRGQSPLISKTPTVNSKLLPQGSGGTISGRSSALVHGGGGAPSARPSNVGLSTEPTPQMPAPVKPVSAIPSEKPQASAPKSNVEELQRKTSSLLEEYFSVRLLDEALQCVEELKAPAYHPEVVKESLSIGLDKSPPCIEPVGKLLEYLFVKKILSARDIGTGCMLFASVLDDIGIDLPKAPNNFGEIIGKLVLAGALDFKVVRDVLKKVEDDRFQKAILSAALQVISSASGQAVLDSQASDIEACQSLFN >Vigun01g016200.1.v1.2 pep primary_assembly:ASM411807v1:1:1761684:1764735:-1 gene:Vigun01g016200.v1.2 transcript:Vigun01g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQPGSRGAEALKDVAPGSSISVKYHPLFGPHDDLLLLELDEKLLPDVLHERVVLRGQPDEDAVLCTQSRTYAMKFVGTSNSVLLIPPANHSEFCENPQKNDSNKEEEKVVAPVIKVVSGNMELVEAAPRLDKLKSFLLEKTYNFEEYDDGNLEDNVESTIGLYSWNDLVDNIQASDEELRSGLQALSAVEINGYWRLVDGSYMDMILGMLLKNSVLNDWSLNALNEDEVVSTLVSDGFPGVLARHCLHTYGTKVNEDMPDCVWKLDEKRVCIHFAREILKSGKRKLESFMDEWRKKVPDGMQPTFDLVEGEVLIERIGVETWIRAFSVASLPSNPAERFAILFRERTKWEWKDLQPYVRDLKVPGLSSEGLLLKYTRRTQPSPDAEPVFSAR >Vigun06g138100.1.v1.2 pep primary_assembly:ASM411807v1:6:26361193:26361931:1 gene:Vigun06g138100.v1.2 transcript:Vigun06g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSLLMLLLSLSLSVALAADTSALQDFCVADPKGQVLVNGLACKDPKLVEENDLLFSGLHIAGNTTNPVGSKVTPVFVTQLPGLNTLGISMARIDYAPWGINPPHTHPRATEVLTVLEGTLEVGFITSNPENRHLRKVLQKGDVFVFPIGLVHYQRNVGYGNAVAIAALSSQNPGVITIGNAVFGSTPDIDTDVLVKAFHLDKTTVNYLQSKF >Vigun03g311300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50419838:50422644:1 gene:Vigun03g311300.v1.2 transcript:Vigun03g311300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSPTSTFCERNEGHPNVSNPQLQDETNMCTTLIPKSPTCQHHHHQTQNPNKTHLSLALIEAKCISNISFSMILTGLLLYSRSMISMVFLGHLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAKRFKLLGLTMQRTVLLLLLTSLFISFFWLNIKKLLLLCGQEEDIANEAQFYIYYSLPDLILQSLLHPLRIYLRSQSITLPLTCCAALSIILHVPINYLFVSVLNLGIGGIALSAVVTNFNLVASLVIYVVVSGTHKKTWPGISRSCFKGWKRLLNLAIPSCVSVCLEWWWYEIMILLCGLLVNPHASVASMGVLIQTTALIYIFPSSLSFGVSTRVGNELGAGNPARAKIAALVGLCFSFVLGFSALAFAISVRKVWATMFTGDGEILALTSMVLPIIGLCELGNCPQTTVCGVLRGTARPKLGANINLGCFYLVGMPVAVWLGFFAGFDFKGLWLGMLAAQGSCMITMMFVLARTNWEGQALRAKELTSCDSNEEKKLVQEEEEEEEEKEVEEGFLGSCATKEASELVV >VigunL059115.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:886:1245:1 gene:VigunL059115.v1.2 transcript:VigunL059115.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g070500.2.v1.2 pep primary_assembly:ASM411807v1:7:8707069:8709875:1 gene:Vigun07g070500.v1.2 transcript:Vigun07g070500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKRSSILATLAFLMLMGVAVYFRLWAIHYNLSADDTQIIRQQFDIANREAMDESAEWRLRYDEEVDRTKKCLQELQLFQESSQKGKNASDINHNFAVLQKYYLKGWKH >Vigun07g070500.1.v1.2 pep primary_assembly:ASM411807v1:7:8707069:8709875:1 gene:Vigun07g070500.v1.2 transcript:Vigun07g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKRSSILATLAFLMLMGVAVYFRLWAIHYNLSADDTQIIRQQFDIANREAMDESAEWRLRYDEEVDRTKKCLQELQLFQESSQKGKNASDINHNFAVLQKENAVLLERLETLKRELEEERLKCSSRYMK >Vigun04g204000.2.v1.2 pep primary_assembly:ASM411807v1:4:42666276:42684523:1 gene:Vigun04g204000.v1.2 transcript:Vigun04g204000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQAENIPENLLALTKIQRPLYVKHPMMKFSWTRTEDADVMEWHNIWLNALDNFRRLYQGKDIADIIEVKALQLLKGKYEDLKLHLEKVLKSADYSGFQAECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTEASLPSVEKTIGSASEISEEEAEDRLQDAIQEKFAVFGDKEHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLRNELQSFEGEEYDESHKKKAIEALKRMESWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVADGAFHYYEKISFQLFFVTQEKVKHIKQLPVDMNAIKESLSSLTVPSQKPMFSQHMLPLSEDPALAMAFAVARRAAAVPLLLINGTYRKTVRTYLDSSILQYQLQRLNKHGSLKGRHAHSRSVLEVPIFWFIYSEPLLLDKYFQAKALSDMIIVVQSESSSWESHLHCNGHSLLLDLRQPIKAAVAATAEHLAGLLPLHLVYGQAHETAIEDWLWSVGCNPFSITSQGWHISQFQSDSIARSYVITSLEESIQLVNSAIHLLLMERTTEKTFRIFQSQELELANKYNYVVSLWKRVSTVTGELRYIDALRLLNTLEDASNRFVGQVNATLSLLHPINCTRERKIHMVFDMTTIPAFLIVLGCLYMVLRPRRPKPKIN >Vigun04g204000.1.v1.2 pep primary_assembly:ASM411807v1:4:42649872:42684523:1 gene:Vigun04g204000.v1.2 transcript:Vigun04g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSAVALPILFLIVSSLGSPIETRKSGSSSVFSLFNLKERSRFWSEDVIHNDFDDLKFSSHGKSSSFNYTNAGNIANYLKLQEVDSIHLPVPVNFIFIGFEGKGSHEFKLLPEEIERWFTKIDHIFEHTRIRHEEVLTPFYKSNMDKMRWHHLPVVSHINYNFSVHAIEMGEKVTSIIEHAINVFGRKEDPVGSRDNNGGSWQVDVDMLDGLLSSLVEYLQLDNAYNIFILNPKRDERKSKYGYRRGLSEPEINLLKENKSLQMKLLQAENIPENLLALTKIQRPLYVKHPMMKFSWTRTEDADVMEWHNIWLNALDNFRRLYQGKDIADIIEVKALQLLKGKYEDLKLHLEKVLKSADYSGFQAECLTDTWIGKDRWAFIDLSAGPFSWGPAVGGEGVRTEASLPSVEKTIGSASEISEEEAEDRLQDAIQEKFAVFGDKEHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLRNELQSFEGEEYDESHKKKAIEALKRMESWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVADGAFHYYEKISFQLFFVTQEKVKHIKQLPVDMNAIKESLSSLTVPSQKPMFSQHMLPLSEDPALAMAFAVARRAAAVPLLLINGTYRKTVRTYLDSSILQYQLQRLNKHGSLKGRHAHSRSVLEVPIFWFIYSEPLLLDKYFQAKALSDMIIVVQSESSSWESHLHCNGHSLLLDLRQPIKAAVAATAEHLAGLLPLHLVYGQAHETAIEDWLWSVGCNPFSITSQGWHISQFQSDSIARSYVITSLEESIQLVNSAIHLLLMERTTEKTFRIFQSQELELANKYNYVVSLWKRVSTVTGELRYIDALRLLNTLEDASNRFVGQVNATLSLLHPINCTRERKIHMVFDMTTIPAFLIVLGCLYMVLRPRRPKPKIN >Vigun10g151900.1.v1.2 pep primary_assembly:ASM411807v1:10:37180473:37184002:1 gene:Vigun10g151900.v1.2 transcript:Vigun10g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTATKFAPSPLPLNSTTPRSNDKPLSFSSDHSKTNPSSSFLGSTRKLLRFTALAKPRAQTRASTPVADVLLHQTSNLLITKEEGLELYEDMILGRFFEDKCAEMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDYVVSTYRDHVHALSKGVSSRAVMSELFGKATGCCRGQGGSMHMFSKEHNMLGGFAFIGEGIPVATGAAFSSKYRREVLNEADADQVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIWKKGPAFGMPGIHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRDPAEKEHYAGRDPITALKQYLFENNLASEQELKAIEKKIDEILDDAVEFADNSPLPPRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAQV >Vigun10g157500.1.v1.2 pep primary_assembly:ASM411807v1:10:37698826:37700702:1 gene:Vigun10g157500.v1.2 transcript:Vigun10g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSYSSAQISLQKYGSFLKYANKDLKSKHCSVPQKKAPVGPVAFRITASIKNKIYEDKSQGIICYEDESGEIICEGYDEGPRYQRIPRPTHHPRDVEIMNLVQQQSWLQIVKGEEINHLAKGVLRQQEDLNFNRWL >Vigun11g142700.1.v1.2 pep primary_assembly:ASM411807v1:11:35233872:35235688:-1 gene:Vigun11g142700.v1.2 transcript:Vigun11g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISILTQERLLGASLGVVLTGVVVFEQRRYIYSSISQNQSQVREPIFGKKSRSEFAHKWNKTVDQTFGPLIKSLSSRGW >Vigun03g124700.1.v1.2 pep primary_assembly:ASM411807v1:3:11762745:11764881:1 gene:Vigun03g124700.v1.2 transcript:Vigun03g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVQRLIQEIKRLGKVQGDGSYKVTFGTLFNDEECANIFEALVGTLRAAKKRKILTYEGELLLQGVHDNVEITLSPASAA >Vigun05g204700.1.v1.2 pep primary_assembly:ASM411807v1:5:39330210:39333796:-1 gene:Vigun05g204700.v1.2 transcript:Vigun05g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPPFLDVYKPLLLQNSHGDDDGDGVGGIRNDKSEWCELPLIDLSRLSLDHDEREECMKEMSEAARTWGFFQVVNHGVSQELLQNLRHEQMEVFRNSFAEKSRENFLNLPPRSYRWGNPSATNLTQISWSEAFHLFLPDIARMEDQHQSLRSSIEAFASAVTPLAERLVQILAQKVNIKFSYFQENCSAHTCFLRLNRYPPCPLHSRVFGLLPHTDSSFLTILNQDQIGGLQLMKDGKWISVKPNPQALVVNIGDLFQAVSNDMYISAKHQVVGGVKEERFSVAYFYNPRKDAVIESHMKRAVYRKFTFGEYREQIEKDVKETGDKVGLSRFLL >Vigun02g050800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19224552:19226970:1 gene:Vigun02g050800.v1.2 transcript:Vigun02g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYQSMEERKFVCKYCSKRFPCGKSLGGHIRTHMMSENSALERNNATNGMFKLNGRGKRKRDLGSEENGGGGGGGGGGAYGLRENPKKTTRFVHSNSSLQHEKFCKECGKGFLSLKALCGHMACHSEKDKGSIRFESSSGGFSEKQKMVMDSQSDTETSTPRRSKRMRFKTHSSSNNQAQSSVSEVEQGQEEVARCLMMLSKDSSYKGRFALFTESSDNNSVVLEAKSPSSETKVAMMNSDGKNFMSVEKKLEQPKDLKLKYAEVGYDSDNSDSGYFRYGPKEVDSTDSNNGNFKNEVQSSKVFSGFEDYYVESRKVPSRSVEFKKFVLEDWENDRHDGGAARKFDSKKSKKPNYDDSLGQNLGRVSSRKMANGSVNKYECLTSERDNGYDDSAYESDENSTDSDSYPAPKAYSNRNLSGQKGKKKLKSKKNKAHECPICNKIFRSGQALGGHKRSHFIGGSDENTLVIRPGAAAVPCLIDLNLPAPVDE >Vigun04g164000.1.v1.2 pep primary_assembly:ASM411807v1:4:38820161:38821585:-1 gene:Vigun04g164000.v1.2 transcript:Vigun04g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETIDPYHRLQILPNPDGTFTRLIGNAVPNTAPSSDPSLPISVLTKDITINPHNHTWLRLFLPRSLLSSSNPNKLPLIVFFHGSGFVLLSAASCVFHDFCIQIADSAQAIVASVEYRLAPEHRLPAAYNDGAEALRRIANCEDEWLRQYSDYSKCYLMGNSAGATIAYHTGLRAVEEVNDLDGVKIQGLILRQPFFGGVQRTESELRLANNPFLPLCVADSMWELALPTGADRDHEYCSVRAGNGVHKFEKMRELGWRVLVSGNNGDPLVDRDKELVQLMEEKGVKVVKDFQEEGFHGVELFDPLKASQFIDLVKHFINPICV >Vigun11g180500.1.v1.2 pep primary_assembly:ASM411807v1:11:38440081:38440399:1 gene:Vigun11g180500.v1.2 transcript:Vigun11g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDSIEYIHFNSTYLFLCVQIPWKRTSITKNFVLRSEGQSSDYRKCWNAQLLKTIDAAGCLDSTEIMMTRSMTIMHY >Vigun05g074100.2.v1.2 pep primary_assembly:ASM411807v1:5:6525240:6527499:-1 gene:Vigun05g074100.v1.2 transcript:Vigun05g074100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGTNLVTTVIGFGMSATFIVFVCTRIICGRLRGGVESRMVYEIESRIDIEQPEHHVNDPESEPVLLDAIPTLKFNQEAFSSLEHTQCVICLADYKEREVLRIMPKCGHTFHLSCIDIWLRKQSTCPVCRLPLKNSSETKHVRPVTFTMSQSLDESHTSERNENVETHDEEATPTAASNSLQQPTSGEEGARQ >Vigun05g074100.1.v1.2 pep primary_assembly:ASM411807v1:5:6524995:6527510:-1 gene:Vigun05g074100.v1.2 transcript:Vigun05g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGTNLVTTVIGFGMSATFIVFVCTRIICGRLRGGVESRMVYEIESRIDIEQPEHHVNDPESEPVLLDAIPTLKFNQEAFSSLEHTQCVICLADYKEREVLRIMPKCGHTFHLSCIDIWLRKQSTCPVCRLPLKNSSETKHVRPVTFTMSQSLDESHTSERNENVETHDEEATPTAASNSLQQPTSGEEGARQ >Vigun05g026800.1.v1.2 pep primary_assembly:ASM411807v1:5:2170119:2175317:1 gene:Vigun05g026800.v1.2 transcript:Vigun05g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQGDLVVICVSIGVALGILIACLVYFGIRWYNKRTHLSRSANEPSLTAIPIRTNGIGTSTDFSASLTSSVANYSSPNPPKKSHPAGWNHQSKDGFASVSGILKYSYKEIQKATQNFTNILGEGSFGTVYKAMIPTGEVVAVKMLAPNSKQGEKEFLTEVFLLGRLHHRNLVNLLGYCVDKGQLMLVYEFMSNGSLENLLYGEEKELSWDERLQIAADISHGVEYLHEGAVPPVVHRDLKSANILLDHSMRAKVSDFGLSKEEIFDGRNSGLKGTYGYMDPAYITSSKFTVKSDIYSFGIIIFELITAIHPHQNLMEYINLAAMDYDGVDGILDKQLVGKCNVEEVRQLAKIAHKCLHKSPRKRPSISEVSQAILGIKQRRLMKEENMSFASTNFSRSVSQIDEQQVELTSIITMNHREMG >Vigun05g182800.1.v1.2 pep primary_assembly:ASM411807v1:5:35131441:35136037:-1 gene:Vigun05g182800.v1.2 transcript:Vigun05g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSQSKPDGAVKKIRKPKPWKHPQPITKTQLTQLRDEFWDTAPHYGGRKEIWDALRAAAEADLTLAQAIVDSAGVIVQSSDLTVCYDERGAKYELPKYVLSEPTNLIRES >Vigun06g190000.2.v1.2 pep primary_assembly:ASM411807v1:6:30779913:30785539:-1 gene:Vigun06g190000.v1.2 transcript:Vigun06g190000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWNTFGFCLRIYIFLFSLWGIRDCCSVNDEGLALLAFQARITSDPFNALVNWDPNDCNPCEWLGVHCVDGKVQVLDLKGLSLEGTLAPELGKLSHLNSILKLGKTASHSNEADYCDILPSSDVPKIAQNVPEIVSSTRRKLLQSSGNNLAAVPFSDKTPIEFSTAPTTFSSGAIPAVPEAIEKKNRPAPSDPDSNAPDDASDENQSDNVSDENQSQDASDGNQASQQHLNNGASTWKWIIIFLLLLLVIIIIILLYIWRKRAAKVIRPWKTGISGQLRKAFITGVPKLDRGELETACEDFSNIVVDGYAGCTIYKGTLSSGVEIAVVSTLVTSSKNWSKSMELRYRKKIDTLSRINHKNFVNLIGYCEEQEPFTRMLVFEYAPNGNVFEHLHVADLERLDWSARIKIIMGIAYCLQYMHHDLNPPLAQSNLTSNMIFLTDDFSAKVAEVTFRHIVSPENSGDSKKSDDGPSEENIETNIYNFGELLLEIISGKLSYSEEHGNLVDWAATYLNEKTNLKDLVDPTLQCFKENELNCICDVIQDCIQPDEKLRPTMRDVTSKLREVLGLSPEQVVPRLSPLWWAELEILSVDST >Vigun06g190000.3.v1.2 pep primary_assembly:ASM411807v1:6:30779913:30785539:-1 gene:Vigun06g190000.v1.2 transcript:Vigun06g190000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWNTFGFCLRIYIFLFSLWGIRDCCSVNDEGLALLAFQARITSDPFNALVNWDPNDCNPCEWLGVHCVDGKVQVLDLKGLSLEGTLAPELGKLSHLNSIVWQRSFNQWYKSDSLIILTKAKLKKFANAFAWPLLKLGKTASHSNEADYCDILPSSDVPKIAQNVPEIVSSTRRKLLQSSGNNLAAVPFSDKTPIEFSTAPTTFSSGAIPAVPEAIEKKNRPAPSDPDSNAPDDASDENQSDNVSDENQSQDASDGNQASQQHLNNGASTWKWIIIFLLLLLVIIIIILLYIWRKRAAKVIRPWKTGISGQLRKAFITGVPKLDRGELETACEDFSNIVVDGYAGCTIYKGTLSSGVEIAVVSTLVTSSKNWSKSMELRYRKKIDTLSRINHKNFVNLIGYCEEQEPFTRMLVFEYAPNGNVFEHLHVADLERLDWSARIKIIMGIAYCLQYMHHDLNPPLAQSNLTSNMIFLTDDFSAKVAEVTFRHIVSPENSGDSKKSDDGPSEENIETNIYNFGELLLEIISGKLSYSEEHGNLVDWAATYLNEKTNLKDLVDPTLQCFKENELNCICDVIQDCIQPDEKLRPTMRDVTSKLREVLGLSPEQVVPRLSPLWWAELEILSVDST >Vigun06g190000.6.v1.2 pep primary_assembly:ASM411807v1:6:30779913:30785539:-1 gene:Vigun06g190000.v1.2 transcript:Vigun06g190000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIYNSVFFMDDMCSVWQRSFNQWYKSDSLIILTKAKLKKFANAFAWPLLKLGKTASHSNEADYCDILPSSDVPKIAQNVPEIVSSTRRKLLQSSGNNLAAVPFSDKTPIEFSTAPTTFSSGAIPAVPEAIEKKNRPAPSDPDSNAPDDASDENQSDNVSDENQSQDASDGNQASQQHLNNGASTWKWIIIFLLLLLVIIIIILLYIWRKRAAKVIRPWKTGISGQLRKAFITGVPKLDRGELETACEDFSNIVVDGYAGCTIYKGTLSSGVEIAVVSTLVTSSKNWSKSMELRYRKKIDTLSRINHKNFVNLIGYCEEQEPFTRMLVFEYAPNGNVFEHLHVADLERLDWSARIKIIMGIAYCLQYMHHDLNPPLAQSNLTSNMIFLTDDFSAKVAEVTFRHIVSPENSGDSKKSDDGPSEENIETNIYNFGELLLEIISGKLSYSEEHGNLVDWAATYLNEKTNLKDLVDPTLQCFKENELNCICDVIQDCIQPDEKLRPTMRDVTSKLREVLGLSPEQVVPRLSPLWWAELEILSVDST >Vigun06g190000.1.v1.2 pep primary_assembly:ASM411807v1:6:30779913:30785539:-1 gene:Vigun06g190000.v1.2 transcript:Vigun06g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWNTFGFCLRIYIFLFSLWGIRDCCSVNDEGLALLAFQARITSDPFNALVNWDPNDCNPCEWLGVHCVDGKVQVLDLKGLSLEGTLAPELGKLSHLNSIVLCKNNFSGAIPKELGDLPKLELLDLRENNLSGNIPAEIGNMSLLKHLLLCDNKIEGDGDPQDWGKFKFPLKSLLVESCSSPLTTLFSCINRKFGHCVWQRSFNQWYKSDSLIILTKAKLKKFANAFAWPLLKLGKTASHSNEADYCDILPSSDVPKIAQNVPEIVSSTRRKLLQSSGNNLAAVPFSDKTPIEFSTAPTTFSSGAIPAVPEAIEKKNRPAPSDPDSNAPDDASDENQSDNVSDENQSQDASDGNQASQQHLNNGASTWKWIIIFLLLLLVIIIIILLYIWRKRAAKVIRPWKTGISGQLRKAFITGVPKLDRGELETACEDFSNIVVDGYAGCTIYKGTLSSGVEIAVVSTLVTSSKNWSKSMELRYRKKIDTLSRINHKNFVNLIGYCEEQEPFTRMLVFEYAPNGNVFEHLHVADLERLDWSARIKIIMGIAYCLQYMHHDLNPPLAQSNLTSNMIFLTDDFSAKVAEVTFRHIVSPENSGDSKKSDDGPSEENIETNIYNFGELLLEIISGKLSYSEEHGNLVDWAATYLNEKTNLKDLVDPTLQCFKENELNCICDVIQDCIQPDEKLRPTMRDVTSKLREVLGLSPEQVVPRLSPLWWAELEILSVDST >Vigun06g190000.4.v1.2 pep primary_assembly:ASM411807v1:6:30779913:30785539:-1 gene:Vigun06g190000.v1.2 transcript:Vigun06g190000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWNTFGFCLRIYIFLFSLWGIRDCCSVNDEGLALLAFQARITSDPFNALVNWDPNDCNPCEWLGVHCVDGKVQVLDLKGLSLEGTLAPELGKLSHLNSIVLCKNNFSGAIPKELGDLPKLELLDLRENNLSGNIPAEIGNMSLLKHFVWQRSFNQWYKSDSLIILTKAKLKKFANAFAWPLLKLGKTASHSNEADYCDILPSSDVPKIAQNVPEIVSSTRRKLLQSSGNNLAAVPFSDKTPIEFSTAPTTFSSGAIPAVPEAIEKKNRPAPSDPDSNAPDDASDENQSDNVSDENQSQDASDGNQASQQHLNNGASTWKWIIIFLLLLLVIIIIILLYIWRKRAAKVIRPWKTGISGQLRKAFITGVPKLDRGELETACEDFSNIVVDGYAGCTIYKGTLSSGVEIAVVSTLVTSSKNWSKSMELRYRKKIDTLSRINHKNFVNLIGYCEEQEPFTRMLVFEYAPNGNVFEHLHVADLERLDWSARIKIIMGIAYCLQYMHHDLNPPLAQSNLTSNMIFLTDDFSAKVAEVTFRHIVSPENSGDSKKSDDGPSEENIETNIYNFGELLLEIISGKLSYSEEHGNLVDWAATYLNEKTNLKDLVDPTLQCFKENELNCICDVIQDCIQPDEKLRPTMRDVTSKLREVLGLSPEQVVPRLSPLWWAELEILSVDST >Vigun01g067900.2.v1.2 pep primary_assembly:ASM411807v1:1:18204974:18205432:-1 gene:Vigun01g067900.v1.2 transcript:Vigun01g067900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQLETMEHTTQARFRLQNQAASSRGERWWFAFLFVLAAAVSDGGSCF >Vigun01g067900.1.v1.2 pep primary_assembly:ASM411807v1:1:18204974:18205633:-1 gene:Vigun01g067900.v1.2 transcript:Vigun01g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIFPLPLLFPIIRLETMEHTTQARFRLQNQAASSRGERWWFAFLFVLAAAVSDGGSCF >Vigun03g377900.2.v1.2 pep primary_assembly:ASM411807v1:3:58118053:58121176:1 gene:Vigun03g377900.v1.2 transcript:Vigun03g377900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATILPSSNPHFLSFNAPTSLKWGQIKEQDVSMVVNRTRGQAIGVLVASGKDDTVMVDPVEAKRLAAKQMERIKAKEKLKRRRQIEAINGAWAMIGLTAGLVIEGQTGKSILTQLQDYLGAIVSFFVR >Vigun03g377900.1.v1.2 pep primary_assembly:ASM411807v1:3:58118053:58121176:1 gene:Vigun03g377900.v1.2 transcript:Vigun03g377900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATILPSSNPHFLSFNAPTSLKGRENLSSCRLRLTNSNRWGQIKEQDVSMVVNRTRGQAIGVLVASGKDDTVMVDPVEAKRLAAKQMERIKAKEKLKRRRQIEAINGAWAMIGLTAGLVIEGQTGKSILTQLQDYLGAIVSFFVR >Vigun09g021100.1.v1.2 pep primary_assembly:ASM411807v1:9:1632126:1637483:1 gene:Vigun09g021100.v1.2 transcript:Vigun09g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKTNKAVIVLQGRYAGKKAVIVRTFDEGTRERPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFIKLVNYQHLMPTRYTLDVDLKDAVTPDVLHAKDKKVTALKETKKRLEDRFKTGKNRWFFTKLRNQQPSPFRTRALLGFVLVSNQFVPRAQLTISTPLLRSITRAAVLQGLASKFY >Vigun08g218900.1.v1.2 pep primary_assembly:ASM411807v1:8:37833580:37835714:-1 gene:Vigun08g218900.v1.2 transcript:Vigun08g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSNSPMVVRSRDEVYVAAMPLRATKGPPQLLMSAAYSLNFWDFQHFMVIIKPYSPSQVLVFDFQPKDPEDIYVAIAALYGRAVPGTVLVRKLQKLPRNKCWLVGYSSEDAVEIAGEFNKKWETNLRIGLHDCRNYTNSLVKELTGEKDVLERLRKIGG >Vigun10g183200.4.v1.2 pep primary_assembly:ASM411807v1:10:40031978:40034937:1 gene:Vigun10g183200.v1.2 transcript:Vigun10g183200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLLVGRVMPYLEQELDKRFKLFRVWDYPNPAQLPSQHVAAIRAVVGSSSAGADAALIEALPKLEIVSSFSVGVDKIDQEKCREKGIRVTNTPDVLTDEVADLTIGLILALLRRICECDRYVRSGQWKHGNYKLTTKFSGKTVGIIGLGRIGQAIAKRAEGFQCPISYYSRTEKQDSKYKYYPSVVELASNCDILVVACALTEETHHIINREVINALGPKGYLINIGRGKHVDEPELVSALVEGRLGGAGLDVFENEPAVPEELFGLENVVLLPHVGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >Vigun10g183200.3.v1.2 pep primary_assembly:ASM411807v1:10:40030083:40034937:1 gene:Vigun10g183200.v1.2 transcript:Vigun10g183200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGVLLVAQAMPYLEQELDRRYKLFRAWDYPNAAQLLSQHATAIRAVVGNASAGADAALIEALPKLEIVSSFSVGVDKIDLEKCREKGIRVTNTPDVLTDEVSDLAIGLMLALLRRICESDRFLRSGQWKRGDYKLTTKFSGKTVGIIGLGRIGQAIAKRAEGFQCPISYYSRTEKQDSKYKYYPSVVELASNCDILVVACALTEETHHIINREVINALGPKGYLINIGRGKHVDEPELVSALVEGRLGGAGLDVFENEPAVPEELFGLENVVLLPHVGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >Vigun10g183200.2.v1.2 pep primary_assembly:ASM411807v1:10:40030083:40034937:1 gene:Vigun10g183200.v1.2 transcript:Vigun10g183200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLLVGRVMPYLEQELDKRFKLFRVWDYPNPAQLPSQHVAAIRAVVGSSSAGADAALIEALPKLEIVSSFSVGVDKIDQEKCREKGIRVTNTPDVLTDEVADLTIGLILALLRRICECDRYVRSGQWKHGNYKLTTKFSGKTVGIIGLGRIGQAIAKRAEGFQCPISYYSRTEKQDSKYKYYPSVVELASNCDILVVACALTEETHHIINREVINALGPKGYLINIGRGKHVDEPELVSALVEGRLGGAGLDVFENEPAVPEELFGLENVVLLPHVGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >Vigun10g183200.1.v1.2 pep primary_assembly:ASM411807v1:10:40031992:40034937:1 gene:Vigun10g183200.v1.2 transcript:Vigun10g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLLVGRVMPYLEQELDKRFKLFRVWDYPNPAQLPSQHVAAIRAVVGSSSAGADAALIEALPKLEIVSSFSVGVDKIDQEKCREKGIRVTNTPDVLTDEVADLTIGLILALLRRICECDRYVRSGQWKHGNYKLTTKFSGKTVGIIGLGRIGQAIAKRAEGFQCPISYYSRTEKQDSKYKYYPSVVELASNCDILVVACALTEETHHIINREVINALGPKGYLINIGRGKHVDEPELVSALVEGRLGGAGLDVFENEPAVPEELFGLENVVLLPHVGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >Vigun02g121700.1.v1.2 pep primary_assembly:ASM411807v1:2:27392076:27393067:-1 gene:Vigun02g121700.v1.2 transcript:Vigun02g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVALLPRWSVFLLLLLFFCNTGAKVVTIDVRAAKDLIQTGSIYLDVRTVEEFSKGYVDAVNIVNIPYMLNTPKGKVMNPDFLKEVSLACNKEDHIIVGCQIGRRSFYATSALLSDGFKNVKDMGGGYEEWVINKFPVKIPAAKEEL >Vigun07g120800.1.v1.2 pep primary_assembly:ASM411807v1:7:22317793:22325370:-1 gene:Vigun07g120800.v1.2 transcript:Vigun07g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMRVEELRTELHKRGLSITGTKPTLLRRLEAALRKETQNATSEADVSSPRRTRKRARDSQNQDSNHSQQIEVAEEAKEEEVKIVTATKKGVAVLDQYLPDSVKTRYHVLRLGGDVYDAMLNQTNVSDNNNKFYVIQVLESDSGGEFLVYNRWGRVGVKGQDKIHGPYKSCERAIQEFEQKFLAKTNNAWSDRDSFVSYPKSYAWLEMDYSGKENESTVTENPDRALGKQPQESKLEPRVAKFISLICNVSMMNQQMMEIGYNANKLPLGKLSKSTILKGYEVLKRLANVIDKGDRKVMEQLSGEFYTVIPHDFGFKKMSVFVIDTPQKLKRKLEMVEALSEIEVATKLLKDDAEVQGDPLYAHYQRLHCELVPVEFGCAEFSMIEEYMKNTHAETHSNYTVEIVQIFRAQREGEAERYRKFASTKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYATGTAKDGVLLLCEVALGEMAGLLNACYDADQLPEGKLSTKGLGATAPDPSKARELEDGLVVPLGKPEKNLCLKSELLYNEYIVYNVEQIRMRYIVHVNFNFKNRR >Vigun07g120800.2.v1.2 pep primary_assembly:ASM411807v1:7:22317793:22325370:-1 gene:Vigun07g120800.v1.2 transcript:Vigun07g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMRVEELRTELHKRGLSITGTKPTLLRRLEAALRKETQNATSEADVSSPRRTRKRARDSQNQDSNHSQQIEVAEEAKEEEGGDVYDAMLNQTNVSDNNNKFYVIQVLESDSGGEFLVYNRWGRVGVKGQDKIHGPYKSCERAIQEFEQKFLAKTNNAWSDRDSFVSYPKSYAWLEMDYSGKENESTVTENPDRALGKQPQESKLEPRVAKFISLICNVSMMNQQMMEIGYNANKLPLGKLSKSTILKGYEVLKRLANVIDKGDRKVMEQLSGEFYTVIPHDFGFKKMSVFVIDTPQKLKRKLEMVEALSEIEVATKLLKDDAEVQGDPLYAHYQRLHCELVPVEFGCAEFSMIEEYMKNTHAETHSNYTVEIVQIFRAQREGEAERYRKFASTKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYATGTAKDGVLLLCEVALGEMAGLLNACYDADQLPEGKLSTKGLGATAPDPSKARELEDGLVVPLGKPEKNLCLKSELLYNEYIVYNVEQIRMRYIVHVNFNFKNRR >Vigun02g001300.1.v1.2 pep primary_assembly:ASM411807v1:2:867101:871404:-1 gene:Vigun02g001300.v1.2 transcript:Vigun02g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEIKNTTIDPESEFLASKRTNGNEWETFKENVRPLKRGRNVNLLNHALKSHTHTHLKKSLLQHRRKLIEAIDEYQGDDPLLPWLQCIKWVQEAFPPGGDSSGLVVIYEQCVRAFWHSERYKDDLRYLKVWLEYADNCFDAEVIYAFLDANGIGKSHSNFYISYALHLESKNKFKAANQIFELGISRNAQPDDKLKAAYRKFLAHSMARPTTATDDSVEKLAPSRSFGTVLANRENRSALSTVITNCDKNDRIRAAPFSIYKDSGATGETDPHQPDQSHSWRALGARADRNKENNAIPGKWKSFKVPQRLGTRAGGATPSTFIPVFVDEECQDSQSTKEEGLKCPSLKIKQEDDKELKRETELLRKNPLRNFPQNSLPR >Vigun09g215800.1.v1.2 pep primary_assembly:ASM411807v1:9:39022505:39026166:-1 gene:Vigun09g215800.v1.2 transcript:Vigun09g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSLSKTMRHTPRIRVRCVFVCYILILLNSVYASASSSSRCKAWLVQSIPTNMPHLPNVPGTLSTGDVLRWLAANSTTRLHIIAQYWQLLPSPNDPRSGDYGYTQRQMHEFGASEGVSLYQELDAAADRNVSIRLLSHSGVYPTFTSEPSKLAFGRPNVENVTLLLEDWWGSGIVHAKVWISDSRDVYIGSANNDWKSLTQVKELGIYFTNCPEIAKKVEVYFDNLWTLASLNSSAYTKTVFDQEWQVERKFPCWSHFIDHKERCKSPLPRYLKTPHVAGYPILSDPYMFVVSFQTPGNNYSTNLPQASYLSFAPPELLFGKYQADEQAWIDTIKSVGDKQTVRISTMDWLGQSQFMDQTIFWSSLSSAISEVVFSKNATVQLLVAYWGHSISNTDVYLKSLLYTNNLCSSSKYNKCFGKVEIKYYVVPGFNMTGPAIHGGSKTGNKYPDFTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNTAIVSQLREIFNADWNSPYAVPLEELEKGHKSSI >Vigun01g254200.1.v1.2 pep primary_assembly:ASM411807v1:1:41944710:41945283:1 gene:Vigun01g254200.v1.2 transcript:Vigun01g254200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLLFVVAFVTQLTYGGGEGSLTPEECPAKCDYRCSATRVKKACLYYCNLCCDKCLCVPSGTYGNKEECPCYNNWKTKNGTPKCP >Vigun03g038800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2964933:2967283:1 gene:Vigun03g038800.v1.2 transcript:Vigun03g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTASKVDETVDRARNEGSLPLTGQYTPRDFVLSIQRPRSVIILVKAGAPVDQTIAALSDHLEPGDCIIDGGNEWYENTERRISNVAEKGLLYLGMGVSGGEDGARNGPSLMPGGSHTAYTNVQDILHKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELADIFAEWNRGELESFLIEITADIFKVKDEDGEGFLVDKILDKTGMKGTGKWTVQQAAELSIAAPTIAASLDCRYLSGLKEERESAATVLKEAGLSEELGKTGVSGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSNEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLIVDPEFAREMVQRQAAWRRVVGLAVSAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKLARKSGTGVGALN >Vigun01g182700.1.v1.2 pep primary_assembly:ASM411807v1:1:36321665:36324302:-1 gene:Vigun01g182700.v1.2 transcript:Vigun01g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPWSASSKMKSRYPFQDRLFRTKNSQENLDRFIPNRSAMDFGYAHYMLTEGNKKGREKENDAVTSPSREAYQKQLAEAFDMNRTRILAFKNKPPTPVELIPKCILSPPPPSKFSKPRRHIPQSSERTLDAPDILDDFYLNLLDWGCGNVLSIALGNSVYIWNADDSSTAELVTVDEEDGPVTSVAWAPDGRHLAIGLSNFHVQLWDCHSSRMVRTLRGGHQARVGSLSWNNHILTTGGMDGRIVNNDVRVRSHIVDSYRGHQQEVCGLKWSPSGQQLASGGNDNVVHIWDRATVSSNSANRWLHRFEEHRAAVKALAWCPFQANLLASGGGVGDHCIKFWNTHTGACLNSVNTGSQVCALLWNKNERELLSSHGFTENQLTLWKYPSMLRMGELKGHTSRVLYMTQSPDGCTVASAAADETLRFWNIFGTPDPSKPAPKANTEPFAHVNRIR >Vigun01g179900.1.v1.2 pep primary_assembly:ASM411807v1:1:36049322:36057815:1 gene:Vigun01g179900.v1.2 transcript:Vigun01g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPHTPTSSNAFSFLSKGWREVRDSADADIQLMRDRANSFKDLATSFDRELENFFNSATPPFSVPAMRSPPPREIEFVKSLRPKLSEIRRAYSSPDFSKRVLEKWRPRTRIRIDLSAIKKAIVSAEEDGILDFEKRGRRLSFWEEWKSEGEGESKDWEPIRALKIRLKEFEKRGSSFEAFKNSEFVEKVKSGLKSMCKEPEESKEVPPLDVPELLAYFVKQSGPFLDHLGVKRDVCDKIVESLYSKRRNHFLLQSLSGEESSIVGNGNINDELDLRIASVLQSTGHRHEGGFWTDHAKHDPSESERHVAIVTTASLPWMTGTAVNPLFRAAYLSQSAKQKVTLLVPWLCKSDQELVYPGSLTFTSPEEQEVYIRSWLEERIGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSRDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLEIGEKIATERELGQKSFTKGAYFLGKMVWAKGYKELIDLLAKHKADLDGFKLDVFGNGEDANEVQSAARKLDLNLSFQKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYRTSEDFVAKVKEALENEPYPLTPEQRYQLSWEAATQRFMEYSELDSILNKENNGEKSSLDKGKLVPKSVSMPNLTELVDGGLAFAHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >Vigun05g105400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10590277:10592864:-1 gene:Vigun05g105400.v1.2 transcript:Vigun05g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPKSLSSHSLLKLLKAQKSLLSALRLFDDTTRRPGFVPSSAVFHHILRRLTADPALFLANAPRIVSSIRCPCHEEVPLTLLKAYAKSRMPDEALHVFQTMPHVFGCTPTVRSFNSLLNAFVESNQWARAEGFFKYFESARVSPNVETYNVLMKVLCKKREFEKGRELLTWMSGAGLSPDKVTYGTLIGATAKSGDLGFALEVFDEMRERGVEPDVVCYNMIIDGFFKSGDFVKASEMWERLLREESVFPSVVSYNVMISGLCKCGRFGEGLEIWERMKSNHRRHDLFTYSTLIHGLSEGGDLDGARRVYEEMVGRGVRPDVVTCNAMLNGLCKAGKVKECFELWDDMENWGLRNVRSYNIFLKGLFENGKVEEAVSMWGGLSKADCATYGVVIHGLCRNGHVNWALRVLEEAEQKGGGVDVDAFTYSSMINALCKEGRLDEVSGVVELMNKRGCKLNLHVCNVLIDGFVKHSRLDSAVKAFGEMSSKGCSPNVVSYNILINGLLRAGRFPEASDYVNEMLQKGWKPDIITYSMLIDGLYENKMGEAAVRLWHQFLNTGHMPDITMYNIVIHRLCCSGKVEDALQLYSTMRQRKCISLVTYNTIMEGFYKVGNCKMASMIWDHISADGLQPDIISYNITLKGLCSCGRVTDAIEVLNDALAHGVLPTAITWNILVRALIFF >Vigun05g105400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10590339:10592834:-1 gene:Vigun05g105400.v1.2 transcript:Vigun05g105400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPKSLSSHSLLKLLKAQKSLLSALRLFDDTTRRPGFVPSSAVFHHILRRLTADPALFLANAPRIVSSIRCPCHEEVPLTLLKAYAKSRMPDEALHVFQTMPHVFGCTPTVRSFNSLLNAFVESNQWARAEGFFKYFESARVSPNVETYNVLMKVLCKKREFEKGRELLTWMSGAGLSPDKVTYGTLIGATAKSGDLGFALEVFDEMRERGVEPDVVCYNMIIDGFFKSGDFVKASEMWERLLREESVFPSVVSYNVMISGLCKCGRFGEGLEIWERMKSNHRRHDLFTYSTLIHGLSEGGDLDGARRVYEEMVGRGVRPDVVTCNAMLNGLCKAGKVKECFELWDDMENWGLRNVRSYNIFLKGLFENGKVEEAVSMWGGLSKADCATYGVVIHGLCRNGHVNWALRVLEEAEQKGGGVDVDAFTYSSMINALCKEGRLDEVSGVVELMNKRGCKLNLHVCNVLIDGFVKHSRLDSAVKAFGEMSSKGCSPNVVSYNILINGLLRAGRFPEASDYVNEMLQKGWKPDIITYSMLIDGLYENKMGEAAVRLWHQFLNTGHMPDITMYNIVIHRLCCSGKVEDALQLYSTMRQRKCISLVTYNTIMEGFYKVGNCKMASMIWDHISADGLQPDIISYNITLKGLCSCGRVTDAIEVLNDALAHGVLPTAITWNILVRALIFF >Vigun05g105400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10590339:10592865:-1 gene:Vigun05g105400.v1.2 transcript:Vigun05g105400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPKSLSSHSLLKLLKAQKSLLSALRLFDDTTRRPGFVPSSAVFHHILRRLTADPALFLANAPRIVSSIRCPCHEEVPLTLLKAYAKSRMPDEALHVFQTMPHVFGCTPTVRSFNSLLNAFVESNQWARAEGFFKYFESARVSPNVETYNVLMKVLCKKREFEKGRELLTWMSGAGLSPDKVTYGTLIGATAKSGDLGFALEVFDEMRERGVEPDVVCYNMIIDGFFKSGDFVKASEMWERLLREESVFPSVVSYNVMISGLCKCGRFGEGLEIWERMKSNHRRHDLFTYSTLIHGLSEGGDLDGARRVYEEMVGRGVRPDVVTCNAMLNGLCKAGKVKECFELWDDMENWGLRNVRSYNIFLKGLFENGKVEEAVSMWGGLSKADCATYGVVIHGLCRNGHVNWALRVLEEAEQKGGGVDVDAFTYSSMINALCKEGRLDEVSGVVELMNKRGCKLNLHVCNVLIDGFVKHSRLDSAVKAFGEMSSKGCSPNVVSYNILINGLLRAGRFPEASDYVNEMLQKGWKPDIITYSMLIDGLYENKMGEAAVRLWHQFLNTGHMPDITMYNIVIHRLCCSGKVEDALQLYSTMRQRKCISLVTYNTIMEGFYKVGNCKMASMIWDHISADGLQPDIISYNITLKGLCSCGRVTDAIEVLNDALAHGVLPTAITWNILVRALIFF >Vigun08g212800.2.v1.2 pep primary_assembly:ASM411807v1:8:37398672:37409200:-1 gene:Vigun08g212800.v1.2 transcript:Vigun08g212800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNMPQESILSSIFASPHKRGLNLKCSASVCTNNEASYPVMAEESINDHELAQRKAEEAASRRYEAAEWLRQMDNSASSSLSKEPSEEEFCLALRNGLILCNVLNRVNPGAVVKVVDNAVVDSLALQSSEGPAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKLSGGVGVWRYGGTVRITSFPKWSPTNILGTESVDESESSQFLHLSGGVSIEETKAANALTSLFDKFGLKLLLAYLKETDGVDDLPLNAMVIDSLIRKVIRDFSALLDSQGTQLGHFLKKILKGDTSCLTKREFVDAITLYLNQRRSLASNEVSKLCTCGGKRDNNQHSVQYCAKHAEIIDAQQKELEGLRYFYEGIKLELKQIQSKWDQELNRLENHIKSLEEASSSYHKVLEENRVLYNQVQDLKGAIRVYCRVRPFLPGQPNGQSTVDYIGENGNIMIMNPLKQGKDARRVFSFNKVFATSATQEEIYADTQPLVRSALDGYNVCIFAYGQTGSGKTYTMSGPDLMTEETWGVNYRALRDLFHISKERADAIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASLVPVNCTQDVLDLMKVGQRNRAVGATALNERSSRSHSVLTVHVRGRDLVSNSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINKSLSALGDVISALAQKSQHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNALGETISTLKFAERVATIELGAAQSNKETGEIRELKEEISNIKSALERKETELQQWKAGNARNPTESQKAPRAVSPFRLPKNGTSDSMKPENYQRHMDDRSSEAKTCSSGKQRRSRFPSTHIEKESIPKMSILAEEKIVSSGNTRSPSPPVRRRSISTDRGSVIKSKVRSDTAENQPISKHLLPARVLVNKSLVTMPMPSSIDNNSRVNLHSQESVKQDRINETLFNLQKINSRKVNQEHEEEQLKQAALGVARHGGTRKNKVDNKSKVKPHQQFPFRIQKPDMTIPITDMEIGRDMTTEAPRKSNYCEPENDISLMESAVHGVNLKKINHNISRNFQNIGSRGGQAAEPLLSSKVENKIFQHGSGRNLKEGTNTTLPEFRRSRSTPRGKFFVLS >Vigun08g212800.1.v1.2 pep primary_assembly:ASM411807v1:8:37398636:37409200:-1 gene:Vigun08g212800.v1.2 transcript:Vigun08g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESILSSIFASPHKRGLNLKCSASVCTNNEASYPVMAEESINDHELAQRKAEEAASRRYEAAEWLRQMDNSASSSLSKEPSEEEFCLALRNGLILCNVLNRVNPGAVVKVVDNAVVDSLALQSSEGPAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKLSGGVGVWRYGGTVRITSFPKWSPTNILGTESVDESESSQFLHLSGGVSIEETKAANALTSLFDKFGLKLLLAYLKETDGVDDLPLNAMVIDSLIRKVIRDFSALLDSQGTQLGHFLKKILKGDTSCLTKREFVDAITLYLNQRRSLASNEVSKLCTCGGKRDNNQHSVQYCAKHAEIIDAQQKELEGLRYFYEGIKLELKQIQSKWDQELNRLENHIKSLEEASSSYHKVLEENRVLYNQVQDLKGAIRVYCRVRPFLPGQPNGQSTVDYIGENGNIMIMNPLKQGKDARRVFSFNKVFATSATQEEIYADTQPLVRSALDGYNVCIFAYGQTGSGKTYTMSGPDLMTEETWGVNYRALRDLFHISKERADAIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASLVPVNCTQDVLDLMKVGQRNRAVGATALNERSSRSHSVLTVHVRGRDLVSNSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINKSLSALGDVISALAQKSQHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNALGETISTLKFAERVATIELGAAQSNKETGEIRELKEEISNIKSALERKETELQQWKAGNARNPTESQKAPRAVSPFRLPKNGTSDSMKPENYQRHMDDRSSEAKTCSSGKQRRSRFPSTHIEKESIPKMSILAEEKIVSSGNTRSPSPPVRRRSISTDRGSVIKSKVRSDTAENQPISKHLLPARVLVNKSLVTMPMPSSIDNNSRVNLHSQESVKQDRINETLFNLQKINSRKVNQEHEEEQLKQAALGVARHGGTRKNKVDNKSKVKPHQQFPFRIQKPDMTIPITDMEIGRDMTTEAPRKSNYCEPENDISLMESAVHGVNLKKINHNISRNFQNIGSRGGQAAEPLLSSKVENKIFQHGSGRNLKEGTNTTLPEFRRSRSTPRGKFFVLS >Vigun08g212800.3.v1.2 pep primary_assembly:ASM411807v1:8:37398636:37409200:-1 gene:Vigun08g212800.v1.2 transcript:Vigun08g212800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESILSSIFASPHKRGLNLKCSASVCTNNEASYPVMAEESINDHELAQRKAEEAASRRYEAAEWLRQMDNSASSSLSKEPSEEEFCLALRNGLILCNVLNRVNPGAVVKVVDNAVVDSLALQSSEGPAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKLSGGVGVWRYGGTVRITSFPKWSPTNILGTESVDESESSQFLHLSGGVSIEETKAANALTSLFDKFGLKLLLAYLKETDGVDDLPLNAMVIDSLIRKVIRDFSALLDSQGTQLGHFLKKILKGDTSCLTKREFVDAITLYLNQRRSLASNEVSKLCTCGGKRDNNQHSVQYCAKHAEIIDAQQKELEGLRYFYEGIKLELKQIQSKWDQELNRLENHIKSLEEASSSYHKVLEENRVLYNQVQDLKGAIRVYCRVRPFLPGQPNGQSTVDYIGENGNIMIMNPLKQGKDARRVFSFNKVFATSATQEEIYADTQPLVRSALDGYNVCIFAYGQTGSGKTYTMSGPDLMTEETWGVNYRALRDLFHISKERADAIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASLVPVNCTQDVLDLMKVGQRNRAVGATALNERSSRSHSVLTVHVRGRDLVSNSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINKSLSALGDVISALAQKSQHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNALGETISTLKFAERVATIELGAAQSNKETGEIRELKEEISNIKSALERKETELQQWKAGNARNPTESQKAPRAVSPFRLPKNGTSDSMKPENYQRHMDDRSSEAKTCSSGKQRRSRFPSTHIEKESIPKMSILAEEKIVSSGNTRSPSPPVRRRSISTDRGSVIKSKVRSDTAENQPISKHLLPARVLVNKSLVTMPMPSSIDNNSRVNLHSQESVKQDRINETLFNLQKINSRKVNQEHEEEQLKQAALGVARHGGTRKNKVDNKSKVKPHQQFPFRIQKPDMTIPITDMEIGRDMTTEAPRKSNYCEPENDISLMESAVHGVNLKKINHNISRNFQNIGSRGGQAAEPLLSSKVENKIFQHGSGRNLKEGTNTTLPEFRRSRSTPRGKFFVLS >Vigun01g001600.1.v1.2 pep primary_assembly:ASM411807v1:1:255873:260549:1 gene:Vigun01g001600.v1.2 transcript:Vigun01g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAASLWRKQPLPFACHSRTFVSDSTSKLRIFDRQRKRAQRDRAAWSTPLHDPLLHSVAQNLLDRLQDCKKTFPTALCLGGALQPITRSLSAPPANGGVEKLIVMDASYDMVQACRNAYPASPNNAVQKEFLVADEEFLPIKESSVDLVVSCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTLAQMEREGGISPRVSPLAQVRDAGNLLTRAGFTLPGVDVDEYIVKYESALELIEHLRAMGETNALSQMNNILKRDTALATAAIYDSMFSSEDGTVPATFQVIYMTGWREHPSQQKAKRRGSATISFKDIQKQFGSQS >Vigun08g085650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:18907345:18907671:-1 gene:Vigun08g085650.v1.2 transcript:Vigun08g085650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSHANMLPVTIQYPYEKIISSEHFRGRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIQKNGCLITVLILESVYFVVIVLSIALQIVYQRPKNMNFQLMIATN >Vigun05g254000.1.v1.2 pep primary_assembly:ASM411807v1:5:44859837:44862383:1 gene:Vigun05g254000.v1.2 transcript:Vigun05g254000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPEVKTINTYKKKMAKQLTGKRDDTPLHSAARSGNLAVLKDIICGTNELELHELLGKQNQDGETPLYIAAEYGYTDLVREIIQYYDLADAGIKARNGFDALHIAAKQGDLDVMRVLMESHPELSMTVDLSNTTALHTAAIQGHTEIVKFLLEAGSSLATIARSNGKTALHSAARNGHVAVVKALLEKEPSVATRTDKKGQTALHMAVKGQKLGVVEELIKADPSSMNMVDSKGNTALHIATRKGRSKIVKLLLEQKETITSAVNRSGETAIDTAEKTGNDDVRAILLEHGVQSARAIKPSQGATATTARELKQTVSDIKHEVHHQLEHTRQTRKRVQGIAKRINKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPHDIPPGMSLGEANIAPELPFIIFFVFDSVALFISLAVVVVQTSVVVIESKAKKQMMAVINKLMWLACVLISVAFLALSFVVVGKEEKWLAIGVSIIGTTIMATTLGTMCYWVVRHRIEASNLRSIRKSSLHSRSNKSISVSAFSDSELLNSEYYKKMYAI >Vigun03g150000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15583530:15585623:1 gene:Vigun03g150000.v1.2 transcript:Vigun03g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEELQFLNIPDILRESTSIPKRSPKTFYLITLTLIFPLSFAILAHSLFTHPLIVQLQNPFNDPSQASHQWTLLLIIQFSYLLFLFAFSLLSTAAVVFTVASLYTAKAVSFSSTIAAIPRVFKRLFITFLWVTLLMILYNSLIILSLVLIIVAIDTDNSLLLLFSLLIILSLFLVAHVYITALWHLASVVSVLEPVYGLAAMKKSYHLLKGRTKYAAVLVAAYLVVCSIISGIFSVVVVHGGDVYGVFTRIVVGGFLVGLLVIVNLVGLLVQSVFYYVCKSFHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >Vigun03g150000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15583564:15585589:1 gene:Vigun03g150000.v1.2 transcript:Vigun03g150000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEELQFLNIPDILRESTSIPKRSPKTFYLITLTLIFPLSFAILAHSLFTHPLIVQLQNPFNDPSQASHQWTLLLIIQFSYLLFLFAFSLLSTAAVVFTVASLYTAKAVSFSSTIAAIPRVFKRLFITFLWVTLLMILYNSLIILSLVLIIVAIDTDNSLLLLFSLLIILSLFLVAHVYITALWHLASVVSVLEPVYGLAAMKKSYHLLKGRTKYAAVLVAAYLVVCSIISGIFSVVVVHGGDVYGVFTRIVVGGFLVGLLVIVNLVGLLVQSVFYYVCKSFHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >Vigun05g000080.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19293:19808:1 gene:Vigun05g000080.v1.2 transcript:Vigun05g000080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTLHTKPKTPHPKHETIHPKPETLNPKSETLNLKPKPQTPNPKTLHPTPYTLHPTPETLNPNPQILNHKPETLNMIPQNLNPKPETLNPNHKPQIPKPETPNPKPYTRNPKPYTRNPKHETLNPKPETLNLKPKPQTPNPKTRNLIPYTLHLKPHTRNPKHKTQNLKP >Vigun03g410400.1.v1.2 pep primary_assembly:ASM411807v1:3:61832544:61838308:1 gene:Vigun03g410400.v1.2 transcript:Vigun03g410400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSSIGISTMRPCCRIFCNYKSPSVFGFSPTKFSDSAIRGLLFRSGHHKSTRRYRYNTCDTQIVGYINVIKPNRRDFSVSGSNWGLARDFSTSVCINIGSFRPRVVSLIPHVASDFRNQSTSVDSHAHDTSFEKIYIQSGLNVKPLVIEKIETDQGVLEEVSEETCGESNVNLDNLKDLSENKVQSKDSEVEREAWKLLRDAVVTYCGNPVGTVAANDSADKQPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGSNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYTLQDRVDVQTGIRLILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLIVNDATKSLVAAVSNRLSALCFHMREYYWVDMKKINEIYRYKTEEYSTDAVNKFNIYPEQIPSWLVDWISEDGGYFIGNVQPAHMDFRFFTLGNLWAIVSSLGTTSQNQGILNLIEAKWDDIVAQMPLKICYPALESEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACMKMGRPDLAQKAVDSAGKRLSSDKWPEYYDTRNGRFIGKQSRLMQTWTIAGFLTSKMLLEYPEKASLLFWEEDFELLQNCVCMLSKTGRRKCSRFASRSQFLV >Vigun11g143500.1.v1.2 pep primary_assembly:ASM411807v1:11:35307457:35314130:-1 gene:Vigun11g143500.v1.2 transcript:Vigun11g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKTLSFACVMGCCSCFGFIRTSNRQRQRSKPAINNNLYQEPLLDVDDDVEDEEGEHLYNDEVGNTSGDDAGEETRPKRSEEILNMRVENDMVCRQFPVKDTHKVVRMEDENGNKMINEYIREYKIGSGSYGKVALYRSSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMVEHPNIVNLIEVIDDPESDNFYMVLEYVEGKWVCEGTGPACGLGEETARRYLRDIVSGLTYLHAHNIVHGDIKPDNLLITRHGTVKIGDFSVSQAVEDNKDELRRSPGTPVFTAPECILGLTYGGKAADTWAVGVTLYCMILGEYPFLGDTLQDTYDKIVNNPLVLPNDLNPPLKNLIEGLLLKDPRLRMTLGDVAEDSWVIGDDGPIPEYLCWCKRKSLGIGNHDESKTI >Vigun07g080000.1.v1.2 pep primary_assembly:ASM411807v1:7:11170823:11184211:1 gene:Vigun07g080000.v1.2 transcript:Vigun07g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSIRMSVHPRVSGFHFHVPLSSASLLPIHHNSDSFSKLRNLIRLAAAPSLLPKASPRIDGPTDYDDGVTLGTVKLPVDTDLQRFDSLLFQWANSLCQGANLPLPVPLKVDKIVGGARLGFITIEDGKTEVLVYIDCVVSPPNQNSAPMFRAIRNGPLKDKVPPGEPRIMRSLLQALQKSIEIAKL >Vigun07g200500.1.v1.2 pep primary_assembly:ASM411807v1:7:32105710:32116545:-1 gene:Vigun07g200500.v1.2 transcript:Vigun07g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEIPNWLKGLPLAPEFRPTDTEFADPIAYISKIEKEASSFGICKIIPPLPKPSKKYVFSNLNRSLLKCPDLGPDNSSLGVCNSLKTGSGDGSSDGVSRAVFTTRHQELGQSQSVKKAKGIIQNPQPGVHKQVWQSGEVYTLEQFESKSKSFARSLLGSVKDVSPLVIESMFWKATLEKPIYVEYANDVPGSAFEESKGQFHYSHRRLRKRTYYKSRLDSSDCKQTVMGCGRDTQTDETKGASVQSDADKCLRMTKSVDTVSTFSSNDDSQSFKEKSSDTGNDMQGTAGWKLSNSPWNLQVIARSSGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGSSKTWYAVPGDYAFAFEEVIRTEGYGGDVDHLAALKLLGEKTTLLSPEVIVASGIPCCRLTQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLRVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFISRVPRTLLPGVRSSRLRDRQKEEREFLVKQAFIEDMLQENKLLSILLGKEAAKRAILWNADLLPDSGKGFQLHGLTSTTGTSVENMSNISSAEKSGHYMLDDEMSLYMDSLTNIDIGGDDLPYHFETDSGALACVGCGILGFPFMAVIQPTEKLTMELPDNHLIQVSSPDSTAGLHSSISRDLSFSGLSSVKEMPDQSLNKCSKCWNTSSKFFRPRIFCLGHAVQIVDMLQSKGGANVLIICHSDYQKIKAHAREVAEEIHGAFDYNEVPLDTASPENLTLIDLAVDGEELDECEDWTSTLGINLRNWVHTRNNAPSNQVPWTLGRLFHDKCPASNILALNWQSRRFRSKRSSHLAQTKSSHSIERKKDDQSGGRINDSTGDKKLIQYARKKFKSKQSFPVVSMVCELQEKSQKVSATLSAEHNNCVSETLQTEDLRTEGALPCASACTEMSAMHPEIQIAEMPISTNMSAAKSQPSNSILDRVLMIDKAGAQIENQTMQESYVDRNNDLTPSHSKMHYNTSVSEICSKESQDCQDKKCSSTLSDAPDGNIEMIKKTEIAEAVIIDSHCNRLTLDDQGHQEYQSTNKSNNEEAAVSTASMVNQSTLTSVDGKVESPNNNHISERIRSPIFLEKTTEEGIDSISKMDKEPRIDERPISKHTPNEVCEVRREIFASVDLHNNGILDDEQQVTQGGKNSKREITHVSTEQCCPSSVQCQIENANKINEGPVCSYAAEGENKSVTSIELGCSEVSGEACPNKDSCMQFFPDKEKEMKNQSVNRIDEELFSGTDTSIKDSSLSVQECSKIENEIYVTEKINENKADLSHYKRGLESCELATEVPRLNSGKKKMRKVERTRNQSNSDNFIRSPCEGLRPRAGKIAAEKSRVEINPVDKENQVAKRARRSSEVLVPRKNKKDGVKKSHKCDLDGCRMSFKTKAELLLHKRNLCPHEGCGKKFSSHKYALLHQRVHDDERPLKCPWKGCSMSFKWAWARTEHIRVHTGEKPYHCKVEGCGLSFRFVSDFSRHRRKTGHHVKPPA >Vigun04g018100.1.v1.2 pep primary_assembly:ASM411807v1:4:1340790:1343289:1 gene:Vigun04g018100.v1.2 transcript:Vigun04g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNQNQQQQKKNKEDFSLKVTTPNINAGRVISGDRLPTAFDLVEKMQFLFARVVKAKRLCACQPCNPYVKVNLGSFTGTTRCLEKTTTPEWNHVFAFSRERIQVPVLEVVVWNKKCCDPDELLGSVGFTISDVPMRVPPDSPLAPQWYKLEDQKRVKLDVELMLSVWMGTQADEAFPEAWHSDATETCGENIAHTRSKVYISPRLWYLRVNVIQAQDLLLKLKSGNNSDIFIQGVVGNLALRSRFRKSNANPMWNEDLMFVVEEPFDDCLLLTLEQGSYSKHERVGSCVVPLKNVQQRTDATPPASVWYNLHMPKENKGEEKVKFSSKLNMRISLDGGYHVLDEATHYTSDLRPSSRNLCKPSIGVLELGILNAVGLSPMKKDNRTDAFCVAKYGPKWVRTRTVVDSLSPKWNEQYTWEVYDPCTVITISVFDNGNLSAAKSGGGKKPEEALDKRIGKVRIRLSTLESDKIYTHSYPLINLQTQGAKKMGEIQLAVRFSCPSMLNVLQTYAQPLLPRMHYTSPLSRFQLDSLRNQAAVITTLRFRRAEPPLSKEIVEYMVDMGANVWSMRRGKAQFYRIAGLLSFLVSAAKQFHEIHSWKSTVTTVADTASVEELEEEFDPFPSKFSGDNLKKRYDRLRAVAGRVVEVMADLATQGERVQSLLSWRDPRATVLFVIFCFVAVIVTCFPPRFRSFDIPAVPQNFLRRMPAKSDSML >Vigun05g029100.1.v1.2 pep primary_assembly:ASM411807v1:5:2316412:2322398:1 gene:Vigun05g029100.v1.2 transcript:Vigun05g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSIFGTTRPVSVPRKSAIYVWGYNQSGQTGRKGKEDQLRIPKQLPPELFGCPAGTNARWLDVACGREHTAAIASDGSLFTWGANDFGQLGDGTEVRRKHPKKVKQLESEFVKSVSCGAHCSACIAEPRENDGTISTRRLWVWGQNQGSNLPRLFWGAFEPNTIIREVSCGAVHVVALSEEGVLQAWGYNEYGQLGRGVTCEGLQGARILSSYAKFLDEPPELVKIARVSCGEYHTAAISDKGEVYTWGLGNMGQLGHSSLQYGDKELIPRRVVTLDDVFIKDVACGGVHTCAVTQGGALYAWGGGQSGQLGLGPQTGLFSCVANDSQTFFRNIPVLVVPKGVQLVACGHSHTLISMSDGRIHGWGYNNYGQAANEKCTYAWYPSPVDCCVGEVRKLAAGGGHSAVLTDACSLKELCEFVLADSMTLANAAKVEDIAYRTGSDALARLCGRLREYMVAGGALEQKDEKNSKL >Vigun05g029100.2.v1.2 pep primary_assembly:ASM411807v1:5:2316129:2322398:1 gene:Vigun05g029100.v1.2 transcript:Vigun05g029100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSIFGTTRPVSVPRKSAIYVWGYNQSGQTGRKGKEDQLRIPKQLPPELFGCPAGTNARWLDVACGREHTAAIASDGSLFTWGANDFGQLGDGTEVRRKHPKKVKQLESEFVKSVSCGAHCSACIAEPRENDGTISTRRLWVWGQNQGSNLPRLFWGAFEPNTIIREVSCGAVHVVALSEEGVLQAWGYNEYGQLGRGVTCEGLQGARILSSYAKFLDEPPELVKIARVSCGEYHTAAISDKGEVYTWGLGNMGQLGHSSLQYGDKELIPRRVVTLDDVFIKDVACGGVHTCAVTQGGALYAWGGGQSGQLGLGPQTGLFSCVANDSQTFFRNIPVLVVPKGVQLVACGHSHTLISMSDGRIHGWGYNNYGQAANEKCTYAWYPSPVDCCVGEVRKLAAGGGHSAVLTDACSLKELCEFVLADSMTLANAAKVEDIAYRTGSDALARLCGRLREYMVAGGALEQKDEKNSKL >Vigun05g029100.3.v1.2 pep primary_assembly:ASM411807v1:5:2316222:2322398:1 gene:Vigun05g029100.v1.2 transcript:Vigun05g029100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSIFGTTRPVSVPRKSAIYVWGYNQSGQTGRKGKEDQLRIPKQLPPELFGCPAGTNARWLDVACGREHTAAIASDGSLFTWGANDFGQLGDGTEVRRKHPKKVKQLESEFVKSVSCGAHCSACIAEPRENDGTISTRRLWVWGQNQGSNLPRLFWGAFEPNTIIREVSCGAVHVVALSEEGVLQAWGYNEYGQLGRGVTCEGLQGARILSSYAKFLDEPPELVKIARVSCGEYHTAAISDKGEVYTWGLGNMGQLGHSSLQYGDKELIPRRVVTLDDVFIKDVACGGVHTCAVTQGGALYAWGGGQSGQLGLGPQTGLFSCVANDSQTFFRNIPVLVVPKGVQLVACGHSHTLISMSDGRIHGWGYNNYGQAANEKCTYAWYPSPVDCCVGEVRKLAAGGGHSAVLTDACSLKELCEFVLADSMTLANAAKVEDIAYRTGSDALARLCGRLREYMVAGGALEQKDEKNSKL >Vigun05g029100.4.v1.2 pep primary_assembly:ASM411807v1:5:2316247:2322398:1 gene:Vigun05g029100.v1.2 transcript:Vigun05g029100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSIFGTTRPVSVPRKSAIYVWGYNQSGQTGRKGKEDQLRIPKQLPPELFGCPAGTNARWLDVACGREHTAAIASDGSLFTWGANDFGQLGDGTEVRRKHPKKVKQLESEFVKSVSCGAHCSACIAEPRENDGTISTRRLWVWGQNQGSNLPRLFWGAFEPNTIIREVSCGAVHVVALSEEGVLQAWGYNEYGQLGRGVTCEGLQGARILSSYAKFLDEPPELVKIARVSCGEYHTAAISDKGEVYTWGLGNMGQLGHSSLQYGDKELIPRRVVTLDDVFIKDVACGGVHTCAVTQGGALYAWGGGQSGQLGLGPQTGLFSCVANDSQTFFRNIPVLVVPKGVQLVACGHSHTLISMSDGRIHGWGYNNYGQAANEKCTYAWYPSPVDCCVGEVRKLAAGGGHSAVLTDACSLKELCEFVLADSMTLANAAKVEDIAYRTGSDALARLCGRLREYMVAGGALEQKDEKNNRRHYLDKSNHAIDKKDLTDNEFVRIESMDH >Vigun07g003500.3.v1.2 pep primary_assembly:ASM411807v1:7:275750:283244:-1 gene:Vigun07g003500.v1.2 transcript:Vigun07g003500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSNADQTIEILVMRNVLNFIGSVDLSPNTYFLHLELLNFTIIAMSTQLLCGLSPRSNDVNPFLDAAMAQDGHLVSSVVCKLVLNYISRPRVPFNRASYPIFDDGRENSMLKRVGSAAANIVLLPFSYLISSSSEGSRDPIADSSLHVLLVLIHYHKCLVSEGYSLIENQVSASSDSLPKEDTYFSDNPYCKALEHATDCELDRVEFEDNAHSDQHVKLPFSPLFDTLGMCLADETAVLLLYSLLQGNSTFLEYVLVRTDLDTLLMPILEALYDARRRTANQIYMLLIILLILSQDSSFNAGVHKLILPTVPWYKERLLLQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHHLSPYASQRLVSLFHMLSRKYNKLSDPRDKKLNDAENNSIEISSLVDMSAELHIYTDFLRLILEIINAILTYALPRNPEVVYAIMHKQDVFQPFKNHPRFNELIENIYTVLEFFNSRMDAQRMDGGWSVNEVLQVVIDNCRSWRVDGMKMFTQLHFTYEQESHPEEFFIPYVWKLVLSKCEFEFNATAINLFPADIPTNKLDNAVEGNTFQNSDFDHV >Vigun07g003500.4.v1.2 pep primary_assembly:ASM411807v1:7:275750:283244:-1 gene:Vigun07g003500.v1.2 transcript:Vigun07g003500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSCTCLSMITSRYQKVFWETIEILVMRNVLNFIGSVDLSPNTYFLHLELLNFTIIAMSTQLLCGLSPRSNDVNPFLDAAMAQDGHLVSSVVCKLVLNYISRPRVPFNRASYPIFDDGRENSMLKRVGSAAANIVLLPFSYLISSSSEGSRDPIADSSLHVLLVLIHYHKCLVSEGYSLIENQVSASSDSLPKEDTYFSDNPYCKALEHATDCELDRVEFEDNAHSDQHVKLPFSPLFDTLGMCLADETAVLLLYSLLQGNSTFLEYVLVRTDLDTLLMPILEALYDARRRTANQIYMLLIILLILSQDSSFNAGVHKLILPTVPWYKERLLLQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHHLSPYASQRLVSLFHMLSRKYNKLSDPRDKKLNDAENNSIEISSLVDMSAELHIYTDFLRLILEIINAILTYALPRNPEVVYAIMHKQDVFQPFKNHPRFNELIENIYTVLEFFNSRMDAQRMDGGWSVNEVLQVVIDNCRSWRVDGMKMFTQLHFTYEQESHPEEFFIPYVWKLVLSKCEFEFNATAINLFPADIPTNKLDNAVEGNTFQNSDFDHV >Vigun07g003500.2.v1.2 pep primary_assembly:ASM411807v1:7:275750:283244:-1 gene:Vigun07g003500.v1.2 transcript:Vigun07g003500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSCTCLSMITSRYQKVFWELLCGLSPRSNDVNPFLDAAMAQDGHLVSSVVCKLVLNYISRPRVPFNRASYPIFDDGRENSMLKRVGSAAANIVLLPFSYLISSSSEGSRDPIADSSLHVLLVLIHYHKCLVSEGYSLIENQVSASSDSLPKEDTYFSDNPYCKALEHATDCELDRVEFEDNAHSDQHVKLPFSPLFDTLGMCLADETAVLLLYSLLQGNSTFLEYVLVRTDLDTLLMPILEALYDARRRTANQIYMLLIILLILSQDSSFNAGVHKLILPTVPWYKERLLLQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHHLSPYASQRLVSLFHMLSRKYNKLSDPRDKKLNDAENNSIEISSLVDMSAELHIYTDFLRLILEIINAILTYALPRNPEVVYAIMHKQDVFQPFKNHPRFNELIENIYTVLEFFNSRMDAQRMDGGWSVNEVLQVVIDNCRSWRVDGMKMFTQLHFTYEQESHPEEFFIPYVWKLVLSKCEFEFNATAINLFPADIPTNKLDNAVEGNTFQNSDFDHV >Vigun07g003500.5.v1.2 pep primary_assembly:ASM411807v1:7:275750:283244:-1 gene:Vigun07g003500.v1.2 transcript:Vigun07g003500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLCGLSPRSNDVNPFLDAAMAQDGHLVSSVVCKLVLNYISRPRVPFNRASYPIFDDGRENSMLKRVGSAAANIVLLPFSYLISSSSEGSRDPIADSSLHVLLVLIHYHKCLVSEGYSLIENQVSASSDSLPKEDTYFSDNPYCKALEHATDCELDRVEFEDNAHSDQHVKLPFSPLFDTLGMCLADETAVLLLYSLLQGNSTFLEYVLVRTDLDTLLMPILEALYDARRRTANQIYMLLIILLILSQDSSFNAGVHKLILPTVPWYKERLLLQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHHLSPYASQRLVSLFHMLSRKYNKLSDPRDKKLNDAENNSIEISSLVDMSAELHIYTDFLRLILEIINAILTYALPRNPEVVYAIMHKQDVFQPFKNHPRFNELIENIYTVLEFFNSRMDAQRMDGGWSVNEVLQVVIDNCRSWRVDGMKMFTQLHFTYEQESHPEEFFIPYVWKLVLSKCEFEFNATAINLFPADIPTNKLDNAVEGNTFQNSDFDHV >Vigun07g003500.1.v1.2 pep primary_assembly:ASM411807v1:7:275750:283244:-1 gene:Vigun07g003500.v1.2 transcript:Vigun07g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPYTVEHLVGTFVGDESLPLSSDFWQKLIELPFDAQLPSQRVHEACQLLAKNNCKTRHLAKILFHLACYLQESMSTSGVPPLDYEKAVNAVCFTSVFLKHLIESAQDGVVELYLSLHDNESIPKSILGDQTIEILVMRNVLNFIGSVDLSPNTYFLHLELLNFTIIAMSTQLLCGLSPRSNDVNPFLDAAMAQDGHLVSSVVCKLVLNYISRPRVPFNRASYPIFDDGRENSMLKRVGSAAANIVLLPFSYLISSSSEGSRDPIADSSLHVLLVLIHYHKCLVSEGYSLIENQVSASSDSLPKEDTYFSDNPYCKALEHATDCELDRVEFEDNAHSDQHVKLPFSPLFDTLGMCLADETAVLLLYSLLQGNSTFLEYVLVRTDLDTLLMPILEALYDARRRTANQIYMLLIILLILSQDSSFNAGVHKLILPTVPWYKERLLLQTSLGSLMVIILIRTVQFNLSKLRDVYLHTTCLATLANMAPHVHHLSPYASQRLVSLFHMLSRKYNKLSDPRDKKLNDAENNSIEISSLVDMSAELHIYTDFLRLILEIINAILTYALPRNPEVVYAIMHKQDVFQPFKNHPRFNELIENIYTVLEFFNSRMDAQRMDGGWSVNEVLQVVIDNCRSWRVDGMKMFTQLHFTYEQESHPEEFFIPYVWKLVLSKCEFEFNATAINLFPADIPTNKLDNAVEGNTFQNSDFDHV >Vigun06g114400.3.v1.2 pep primary_assembly:ASM411807v1:6:24253341:24258703:1 gene:Vigun06g114400.v1.2 transcript:Vigun06g114400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGISSSECRKLSRSVTMALASAFLEWLDHVLGKERKGYYWNLICSGHKTEISSVVLCRAHDKLVNVQGMCESCLFSFATVNKSNAETYRLLVGKLGKGSESGFDEDPLLGGNESVKFCSCCNEQWTLKSYGRRSVISKSIGSGGAEFDESNVVGNNFHKKRRAKSFVSSRGARLRNKRADPLSHVGYTELKVTSDTESEQELSLSDDDGTSISVRGTYDPKKDIEVPCEHMEALILDLNENLASEKLGTSSSGLQPSLFEQGMQLENKDTHDSKSTAAILESRNGLAEFDSLQQVERNDVSPSAVEPIPCNEVPALSNKTGFAVEHAKENYDLTTDEVNLKSKQRITTGYEEIIESVDKPTTSKAGLESATFSNDIGQQNPNLLDLGDAYKLAVGNRGRPGMLVEHWLGKDSTRISEDLKILLSQFSFTRGTDLSVNDIISPRLSMNSDEVKNADVSNSAGMQILQRMISLERNESGLSLDGSIVSEIEGESAVDRLKRQVDHDRKLMNALYKELEEERNASAVAANQALAMITRLQEEKATLHMEALQYLRMMDEESEYETEALQKANDLLVEKEKEIEEFEAKLEFYRKKFPDEVVPENMVQINSEMKVKEIGLDHCIEKDEIIHGKSESTDISDKVEVLPILLEKQNIQSEKNSPLEFQDERLYISQRLEKLEKQVYLFLNIHQSRDNWINSENDEKESLENLEKLDNNILMDESVASLKLNSDDMGDDSSSKEPLVCKKSDELGYNGHSPLVLCGNNDLSSTHSLASDFIGRLQVLESDLSFLKHSINLSSNGDEGLKLLREIADHLQQLRQIGIRELDQPVA >Vigun06g114400.4.v1.2 pep primary_assembly:ASM411807v1:6:24253341:24258674:1 gene:Vigun06g114400.v1.2 transcript:Vigun06g114400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGISSSECRKLSRSVTMALASAFLEWLLIFLLFIDAAFSYVITKFAGYCKLQTPCLFCSRLDHVLGKERKGYYWNLICSGHKTEISSVVLCRAHDKLVNVQGMCESCLFSFATVNKSNAETYRLLVGKLGKGSESGFDEDPLLGGNESVKFCSCCNEQWTLKSYGRRSVISKSIGSGGAEFDESNVVGNNFHKKRRAKSFVSSRGARLRNKRADPLSHVGYTELKVTSDTESEQELSLSDDDGTSISVRGTYDPKKDIEVPCEHMEALILDLNENLASEKLGTSSSGLQPSLFEQGMQLENKDTHDSKSTAAILESRNGLAEFDSLQQVERNDVSPSAVEPIPCNEVPALSNKTGFAVEHAKENYDLTTDEVNLKSKQRITTGYEEIIESVDKPTTSKAGLESATFSNDIGQQNPNLLDLGDAYKLAVGNRGRPGMLVEHWLGKDSTRISEDLKILLSQFSFTRGTDLSVNDIISPRLSMNSDEVKNADVSNSAGMQILQRMISLERNESGLSLDGSIVSEIEGESAVDRLKRQVDHDRKLMNALYKELEEERNASAVAANQALAMITRLQEEKATLHMEALQYLRMMDEESEYETEALQKANDLLVEKEKEIEEFEAKLEFYRKKFPDEVVPENMVQINSEMKVKEIGLDHCIEKDEIIHGKSESTDISDKVEVLPILLEKQNIQSEKNSPLEFQDERLYISQRLEKLEKQVYLFLNIHQSRDNWINSENDEKESLENLEKLDNNILMDESVASLKLNSDDMGDDSSSKEPLVCKKSDELGYNGHSPLVLCGNNDLSSTHSLASDFIGRLQVLESDLSFLKHSINLSSNGDEGLKLLREIADHLQQLRQIGIRELDQPVA >Vigun06g114400.1.v1.2 pep primary_assembly:ASM411807v1:6:24253341:24258674:1 gene:Vigun06g114400.v1.2 transcript:Vigun06g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGISSSECRKLSRSVTMALASAFLEWLLIFLLFIDAAFSYVITKFAGYCKLQTPCLFCSRLDHVLGKERKGYYWNLICSGHKTEISSVVLCRAHDKLVNVQGMCESCLFSFATVNKSNAETYRLLVGKLGKGSESGFDEDPLLGGNESVKFCSCCNEQWTLKSYGRRSVISKSIGSGGAEFDESNVVGNNFHKKRRAKSFVSSRGARLRNKRADPLSHVGYTELKVTSDTESEQELSLSDDDGTSISVRGTYDPKKDIEVPCEHMEALILDLNENLASEKLGTSSSGLQPSLFEQGMQLENKDTHDSKSTAAILESRNGLAEFDSLQQVERNDVSPSAVEPIPCNEVPALSNKTGFAVEHAKENYDLTTDEVNLKSKQRITTGYEEIIESVDKPTTSKAGLESATFSNDIGQQNPNLLDLGDAYKLAVGNRGRPGMLVEHWLGKDSTRISEDLKILLSQFSFTRGTDLSVNDIISPRLSMNSDEVKNADVSNSAGMQILQRMISLERNESGLSLDGSIVSEIEGESAVDRLKRQVDHDRKLMNALYKELEEERNASAVAANQALAMITRLQEEKATLHMEALQYLRMMDEESEYETEALQKANDLLVEKEKEIEEFEAKLEFYRKKFPDEVVPENMVQINSEMKVKEIGLDHCIEKDEIIHGKSESTDISDKVEVLPILLEKQNIQSEKNSPLEFQDERLYISQRLEKLEKQVYLFLNIHQSRDNWINSENDEKESLENLEKLDNNILMDESVASLKLNSDDMGDDSSSKEPLVCKKSDELGYNGHSPLVLCGNNDLSSTHSLASDFIGRLQVLESDLSFLKHSINLSSNGDEGLKLLREIADHLQQLRQIGIRELDQPVA >Vigun06g114400.2.v1.2 pep primary_assembly:ASM411807v1:6:24253341:24258703:1 gene:Vigun06g114400.v1.2 transcript:Vigun06g114400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGISSSECRKLSRSVTMALASAFLEWLDHVLGKERKGYYWNLICSGHKTEISSVVLCRAHDKLVNVQGMCESCLFSFATVNKSNAETYRLLVGKLGKGSESGFDEDPLLGGNESVKFCSCCNEQWTLKSYGRRSVISKSIGSGGAEFDESNVVGNNFHKKRRAKSFVSSRGARLRNKRADPLSHVGYTELKVTSDTESEQELSLSDDDGTSISVRGTYDPKKDIEVPCEHMEALILDLNENLASEKLGTSSSGLQPSLFEQGMQLENKDTHDSKSTAAILESRNGLAEFDSLQQVERNDVSPSAVEPIPCNEVPALSNKTGFAVEHAKENYDLTTDEVNLKSKQRITTGYEEIIESVDKPTTSKAGLESATFSNDIGQQNPNLLDLGDAYKLAVGNRGRPGMLVEHWLGKDSTRISEDLKILLSQFSFTRGTDLSVNDIISPRLSMNSDEVKNADVSNSAGMQILQRMISLERNESGLSLDGSIVSEIEGESAVDRLKRQVDHDRKLMNALYKELEEERNASAVAANQALAMITRLQEEKATLHMEALQYLRMMDEESEYETEALQKANDLLVEKEKEIEEFEAKLEFYRKKFPDEVVPENMVQINSEMKVKEIGLDHCIEKDEIIHGKSESTDISDKVEVLPILLEKQNIQSEKNSPLEFQDERLYISQRLEKLEKQVYLFLNIHQSRDNWINSENDEKESLENLEKLDNNILMDESVASLKLNSDDMGDDSSSKEPLVCKKSDELGYNGHSPLVLCGNNDLSSTHSLASDFIGRLQVLESDLSFLKHSINLSSNGDEGLKLLREIADHLQQLRQIGIRELDQPVA >Vigun06g114400.5.v1.2 pep primary_assembly:ASM411807v1:6:24253696:24258674:1 gene:Vigun06g114400.v1.2 transcript:Vigun06g114400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGISSSECRKLSRSVTMALASAFLEWLLIFLLFIDAAFSYVITKFAGYCKLQTPCLFCSRLDHVLGKERKGYYWNLICSGHKTEISSVVLCRAHDKLVNVQGMCESCLFSFATVNKSNAETYRLLVGKLGKGSESGFDEDPLLGGNESVKFCSCCNEQWTLKSYGRRSVISKSIGSGGAEFDESNVVGNNFHKKRRAKSFVSSRGARLRNKRADPLSHVGYTELKVTSDTESEQELSLSDDDGTSISVRGTYDPKKDIEVPCEHMEALILDLNENLASEKLGTSSSGLQPSLFEQGMQLENKDTHDSKSTAAILESRNGLAEFDSLQQVERNDVSPSAVEPIPCNEVPALSNKTGFAVEHAKENYDLTTDEVNLKSKQRITTGYEEIIESVDKPTTSKAGLESATFSNDIGQQNPNLLDLGDAYKLAVGNRGRPGMLVEHWLGKDSTRISEDLKILLSQFSFTRGTDLSVNDIISPRLSMNSDEVKNADVSNSAGMQILQRMISLERNESGLSLDGSIVSEIEGESAVDRLKRQVDHDRKLMNALYKELEEERNASAVAANQALAMITRLQEEKATLHMEALQYLRMMDEESEYETEALQKANDLLVEKEKEIEEFEAKLEFYRKKFPDEVVPENMVQINSEMKVKEIGLDHCIEKDEIIHGKSESTDISDKVEVLPILLEKQNIQSEKNSPLEFQDERLYISQRLEKLEKQVYLFLNIHQSRDNWINSENDEKESLENLEKLDNNILMDESVASLKLNSDDMGDDSSSKEPLVCKKSDELGYNGHSPLVLCGNNDLSSTHSLASDFIGRLQVLESDLSFLKHSINLSSNGDEGLKLLREIADHLQQLRQIGIRELDQPVA >Vigun09g058200.2.v1.2 pep primary_assembly:ASM411807v1:9:5884211:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.1.v1.2 pep primary_assembly:ASM411807v1:9:5884211:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPAGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.4.v1.2 pep primary_assembly:ASM411807v1:9:5884145:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.7.v1.2 pep primary_assembly:ASM411807v1:9:5884211:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPAGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.5.v1.2 pep primary_assembly:ASM411807v1:9:5884144:5889629:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.3.v1.2 pep primary_assembly:ASM411807v1:9:5884211:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPAGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.6.v1.2 pep primary_assembly:ASM411807v1:9:5884145:5889507:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun09g058200.8.v1.2 pep primary_assembly:ASM411807v1:9:5884144:5889629:-1 gene:Vigun09g058200.v1.2 transcript:Vigun09g058200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGLFAGSPNSKELVAIQGPDEPKPVKNLDGQLCEICGDSVGVTVEGDLFVACEECGFPVCRPCYEYERREGTQVCPQCHTRYKRIKGSPRVEGDEDEDDVDDIEHEFKHEEMHKGNMIHGDDDGNSKSGLAKVNGELLSTSVGEPGAKLDEKENIDEWMLRQGNLWPETDDSVDQEKAMKEPLSRKVAIPSGKLSPYRMMVVARFLLLLLFFQYRIFHPVPDAVGLWFISVTCEIWLALSWMIDQIPKWFPIDRETYLDRLSIRFEQENKPNMLSPIDIIVTTVDPIKEPPLVTANTVLSILALDYPADKISCYVSDDGASMLTFEALQETAEFSRKWVPFCKKFSIEPRAPEKYFSDKIDFLKDKLQSTYVKERRTMKREYEEFKVRINALVAKSMRVPPEGWTMKDETPWPGNNPKDHPSMIQVLLPHNVGSELPCLVYTSREKRPAFQHHGKAGAINALLRVSAVLSNAPFVLNLDCNHYVNNSKVVREAMCFFMDIQLGNGIGFVQFPLRFDSLDRHDRYANKNTVLFDINLRCLDGLQGPAYVGSACIFRRKSLTGCDSPVSSKRPSMVQVHSKQDENGEEASITAAATDEEKELLKSQKNDENKFEKSTHTMNSSLTEEGGVDPSSSQEVLLKEAIHVMGSKYEDRTLWGYEMGLSYGSIAADTLTSLKMHCHGWRSVYCMPKRDPFRGTAPINLTDRLNQVLRWAVGSLQILFSHHCPLLYDLNSGRLKGLQRIAYINSTVYPFSSIPLLIYCIVPAICLLTDKFITPSVGTFASLVFTALFISIFASAILELRWSGVGLEEWWRSQQFWVIGSVSANLFAVVQSIMGALPLGRVNTNFSIVSKAPDDVEFRELYTIRWTALLIPPTTIIIINLIGIVAGFTDSINSGEHSWGALLGKLFFSLWVIVHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRVDPFVLKTKGPDVKQCGISC >Vigun03g381900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58660373:58661211:1 gene:Vigun03g381900.v1.2 transcript:Vigun03g381900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKTSLLSRLRVAVKKVKLLLSATVLSHAWHAANILRGVSISKRQISFNDRPGLMMCTPSSSSEETDSEGLISPPAHTLQRTISCPSDDDIDKRAEMFITNFRRQLQMERQISLQLRYRRENSLELVSP >Vigun03g301600.1.v1.2 pep primary_assembly:ASM411807v1:3:49114189:49116510:1 gene:Vigun03g301600.v1.2 transcript:Vigun03g301600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEVILVDVAHSAIRNDPRINWLCNPVHKHRELRGLTSAGKSNRGLRGRGHLYHKNRPSRRATWKRNNTLSLRRYR >VigunL006800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:10313:11694:1 gene:VigunL006800.v1.2 transcript:VigunL006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun04g196800.1.v1.2 pep primary_assembly:ASM411807v1:4:42148582:42152149:-1 gene:Vigun04g196800.v1.2 transcript:Vigun04g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLNKPLASSSITKHVNGFNPSLFTLSNSTLKVKGHTILSQVPQNITLTPCTYDTHTTGCFLGFHAPSLDSRHVAPLGQLKNINFSSIFRFKVWWTTLWTGSNGRDLETETQFLMLQSGSDRPYVLFLPIVEGPFRASLQPGSDDNISVCVESGSRHVTGSTYESVVYVHAGDNPFTLVKEATRVVRAHLGSFNLLEEKTVPGIVDKFGWCTWDAFYLTVHPDGVKNGVKGLVDGGCPPGFVLIDDGWQCISHDAEPEKEGMNQTVAGEQMPCRLISYEENYKFRDYRNGEGLGGFVRELKEAFATVEYVYVWHALCGYWGGLRPGVAGMAEAAVEKPVLSEGLKLTMEDLAVDKIVENGVGVVPPETVAEMYEGLHAHLERAGIDGVKVDVIHLLEMICEKYGGRVDMAKAYYKALTASVRKHFKGNGVIASMEHCNDFMLLGTEAISLGRVGDDFWCTDPYGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAAFHAASRAISGGPIYISDKVGNHNFELLKTLVLPDGSILRCQYYALPTRDCLFADPLHDGKTMLKIWNLNKYTGVLGVFNCQGGGWFRESRSNKCAAEFSHKVSTKTNPKDIEWDSGSNPISIEGVELFALYFSQAKKLILSAPSDSEEISLEPFNFELITVSPVTVFSGKSVKFAPIGLVNMLNTGGAVQSLSFDDAQNLVEVGVRGTGEMRVYASERPSTCRIDGKEVDFEYEGSMVKIEVPWTSSRLSTVQFAF >Vigun07g000600.1.v1.2 pep primary_assembly:ASM411807v1:7:63727:65804:-1 gene:Vigun07g000600.v1.2 transcript:Vigun07g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVFTVQRREPELIAPAKATPREVKLLSDIDDQDGLRFQIPVIQFYRYDPAQAGTDPVAVIREALAKTLVFYYPFAGRLREGAGRKLMVDCTGEGVLFIEADADVTLTQFGHALQPPFPCWENLLYDVPSSQGVLHTPLLLIQVTRLKCGGFIFALRLNHTMSDAAGLVQFMNAVGEIARGRQEPSVPPVWRRELLNARDPPRVTCIHREYDVVPDTKGTIIPVDDMAHRSFFFGRSELSAIRRLLPPHQNQCSSFDVLTACLWRCRTLALQPDSDEEVRIICIVNARSKFNPPLPEGYYGNVFAFSVAVTTAGKLCENPLGYALELVRKAKADVSEEYMQSLADLMVTRGRPHFTVVRSYLVSDVRRAGFEDVDFGWGKAVYGGPAKGGVGAIPGVASFYIPFRNDKGEEGLVMPVCLPSEAMQRFEKELDSVLNNRLPPTINTPSSNSRHLLLSSL >Vigun03g039400.1.v1.2 pep primary_assembly:ASM411807v1:3:2996114:3004886:1 gene:Vigun03g039400.v1.2 transcript:Vigun03g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAISERSASAVVERKFQYRQLLFLAYQSLGFLFGDLSLSPLYVYQSIFSGRLKHVQNEDAIFGAFSLIFWTLLIISLLKYALIMLSADDNGEGGVVALYSQLCRSAKLCLLPNHQASDEELSTYRKPGSSNGSIPSSPLKRFIEKHKNTKMALLIFVLLGACMAICVGALMPAISVLSSVEGLKIEAKITNKSMVSCISCVLLIGLFVMQHRGSYKVAFVFPPVIILWLLTIFMIGIYNIIKWNPKVYQALSPYYVYKFFMLTGKDGWTNLGGVFLCVTGTEAMFADLGYYRQTPVRVAFYCIIYPCLVLQYMGQAAFLSKNLSAVPISFYASIPDVLFWPVFVVSALAVIVASQAVIASTFSVVQQCHAFECFPRVKAVHSRRWIPGQTYIPEINWILMIISLAVTFGLGDKNRIGYAYGIAYLILLFVTTCLTSLVIILVWHQSPIIALAFALFFGSIEIIFLSSYCTKIPKGGWIPLVLSAVFMVVMYVWHYGSRKKYLLDMHDKISMRSILGLGPSLGIVRVPGMGLIYTELATGVPASFSHFLTNLPAFYQVVVFVCVKTVPVPCVPHEERYLIGRIGPKSFRMYRCIVRNGYKDVYSHENDFENDLVMSIAEFIQLEAEGSSGNAEGSVDGRMAVVRTSGKFGTRLLMSESSAFEERNNTNLPGALTLTSSKSPTLKRLQAMYEQESPELNTGRRIRFELQNVIYKDPRVKEELMELVEAKRTGAAYVIGHSHVKAKWNSTFIKKFAINLYSFLRKNCRSPAVGLNIPQISLIKVGMNYHV >Vigun03g039400.2.v1.2 pep primary_assembly:ASM411807v1:3:2996398:3004902:1 gene:Vigun03g039400.v1.2 transcript:Vigun03g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSADDNGEGGVVALYSQLCRSAKLCLLPNHQASDEELSTYRKPGSSNGSIPSSPLKRFIEKHKNTKMALLIFVLLGACMAICVGALMPAISVLSSVEGLKIEAKITNKSMVSCISCVLLIGLFVMQHRGSYKVAFVFPPVIILWLLTIFMIGIYNIIKWNPKVYQALSPYYVYKFFMLTGKDGWTNLGGVFLCVTGTEAMFADLGYYRQTPVRVAFYCIIYPCLVLQYMGQAAFLSKNLSAVPISFYASIPDVLFWPVFVVSALAVIVASQAVIASTFSVVQQCHAFECFPRVKAVHSRRWIPGQTYIPEINWILMIISLAVTFGLGDKNRIGYAYGIAYLILLFVTTCLTSLVIILVWHQSPIIALAFALFFGSIEIIFLSSYCTKIPKGGWIPLVLSAVFMVVMYVWHYGSRKKYLLDMHDKISMRSILGLGPSLGIVRVPGMGLIYTELATGVPASFSHFLTNLPAFYQVVVFVCVKTVPVPCVPHEERYLIGRIGPKSFRMYRCIVRNGYKDVYSHENDFENDLVMSIAEFIQLEAEGSSGNAEGSVDGRMAVVRTSGKFGTRLLMSESSAFEERNNTNLPGALTLTSSKSPTLKRLQAMYEQESPELNTGRRIRFELQNVIYKDPRVKEELMELVEAKRTGAAYVIGHSHVKAKWNSTFIKKFAINLYSFLRKNCRSPAVGLNIPQISLIKVGMNYHV >Vigun01g123800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30069790:30072755:1 gene:Vigun01g123800.v1.2 transcript:Vigun01g123800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123800.1.v1.2 pep primary_assembly:ASM411807v1:1:30069790:30072755:1 gene:Vigun01g123800.v1.2 transcript:Vigun01g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELGFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123800.3.v1.2 pep primary_assembly:ASM411807v1:1:30069878:30072755:1 gene:Vigun01g123800.v1.2 transcript:Vigun01g123800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELGFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30069878:30072755:1 gene:Vigun01g123800.v1.2 transcript:Vigun01g123800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun11g079500.1.v1.2 pep primary_assembly:ASM411807v1:11:23427752:23430859:-1 gene:Vigun11g079500.v1.2 transcript:Vigun11g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLWSCFSSKGSNRRDGIAERRVSTADVASESSRGGGGQGAVVVEMFSSQGCATSPEAELVLSRLGRGDFELEVPVVVLIFHVDYWDYVGWKDPFGSSQWTVRQKAYVEALGLDTIFTPQVVVQGKAHCIGNDENALIETITNAPRFPAPTLQATFTKPAPDSLQVSLTGALRTRVDGSGANVMVALYESGLVTDVPRGENKGRVVSNDYVVRKLEKLCTVKDISHKKTVSGTVNFPLWEGFNSSKCGLAVFVQDTSHQILGSQSFQLPEDI >Vigun07g005200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:413383:414517:1 gene:Vigun07g005200.v1.2 transcript:Vigun07g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYIDSAFRSSKPNEVYFFLKNKYVRVYYTPGDTKDKILTDLRLICDGFPSLLDTPFGEYGIDCAFDTEASKAYIFSTNLCAYIDYAPGTTDDNILSGPMTIAAMFPVLKNTVFENGIDSAFRSTRGKEVYLFKGNKYGRIAYDSKQLVGTIRNISDGFPVLKGTIFENGIDACFASHKEGQAYLFKGEKYVRINFTPGDTHDTLVGDVRPILDGWPCLKGTLPRDNKGLDAHSHSDHQEPYPDQHDEL >Vigun03g150500.1.v1.2 pep primary_assembly:ASM411807v1:3:15740829:15744972:-1 gene:Vigun03g150500.v1.2 transcript:Vigun03g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSACLVGNGLSTRGNRMALNKDFNGRYLYSSWRISSLNNNKASKAFSIRASLEERQQEGRRGFLKLLLGNAGVGVPALLGSGKAYADEQGASSSRMSYSRFLEYLDKDRVKKVDLYDNGTIAVVEAVSPELGNRVQRVKVQLPGLNQELLQKFREKNIDFAAHSPQEESGSLLANLIGNLAFPLILIGGLFLLSRRSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFDPDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGGPEVTTGAAGDLQQITGLAKQMVTTFGMSDIGPWSLMEPSAQSGDVIMRMMARNSMSEKLAEDIDAAIKRISDEAYEIALEHIRNNREAIDKIVDVLLEKETLSGDEFRALLSEFTEIPVENRVPPSTPVPATV >Vigun04g013700.1.v1.2 pep primary_assembly:ASM411807v1:4:987968:994241:1 gene:Vigun04g013700.v1.2 transcript:Vigun04g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKVDFEVGADGVAVITMGNPPVNALAIPIIMGLKDRFDEAARRNDVKAIVLTGKGGRFSGGFDISVMKKVHDTGDSSHLPDVSVELVVNAIEDSKKPVVAAVEGLALGGGLELAMGCHARIAAPRAQLGLPELTLGIIPGFGGTQRLPRLIGLSKAVEMMLTSKPITSEEGRKLGLIDSIVSSEELLKVSRLWALEIGERRKPWVRSLHRTDKIGSLSEARKVLGTARQHVKKTAPHLPQQQACVDVIEHGIVHGGYSGVLKEAEVFKKLVVSDTSKGLINIFFAQRAITKVPGVTDIGLKPRNVKKAAVIGGGLMGSGIATALLLGNIRVFLKEINSEFLTKGIKTIEGNVNGLARRGKLTKQKADAALSLLQGVLDYTEFKDVDLVIEAVIENVSLKQTIFSDLEKICPPHCILASNTSTIDLNLVGKKTSSQDRIAGAHFFSPAHIMPLLEIIRTDKTSPQVILDLITVGKIIKKAPVVVGNCTGFAVNKTFFPYSQAASLLVNLGVDVYRIDRLIRDFGFPIGPFQLADLAGYGVSFATSKVFADAFSDRVFNSPLLDLMMKSGRNGKNNGRGYYIYEKGSKPKPDPSIQPIIEESRRICNIMPNGKPISVTDQEIVEMILFPVVNEACRVLEDGVAIRASDLDIASVLGMSFPNYRGGIVFWADLVGGNHIYTSLKKWTQLYGNFFKPSKYLEERAVKGIPLSAPASSTPKLLGRL >Vigun08g197300.1.v1.2 pep primary_assembly:ASM411807v1:8:36303006:36305439:-1 gene:Vigun08g197300.v1.2 transcript:Vigun08g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLWLGFLFFIPILLLFRRERNPSKRVPPGSLGIPIIGQSLGLLRAMRANTAEKWVQERINKYGPISKLSLFGKPTVLIYGQAANKFVFSSGGNAIANQQTQSIKAILGDRNLLELSGEDHSRVRGALVSFLKPESLKMYVGKMDEEFRNHLQMHWEGKHQVKVLPLMKNLTFNIICSLLFGLERGKQRDQYLDSFQTMIEGMWSVPINVPFTRYNRSLRESARIQTMLKETVQKKRIELEQNAASARQDLISCLLGMVDEDGKHVMTENEILDNIKLVMVAGHDTSSVLITFIIRLLANEPAIYAAVLQEQEEIAKGKSSGEALTWEDLSKMKYTWRVAMETLRMFPPIFGGFRKAATDIEYDGYFIPKGWQIFWVTAMTHMDNSIFSEALKFEPGRFENQASLPPYCFVPFGGGARICPGYEFSRLETLVAIHYLVTRFSWKLCSDNFFSRDPMPVPSQGLLIQIWPRRLS >Vigun05g011500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:926464:926859:-1 gene:Vigun05g011500.v1.2 transcript:Vigun05g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEALLEVAKEYQKKMEKKVIPREQGSKEMVVVNWRGLAKTLTTLYGQPLHYLTQKLCKEWDRSRFGSKNEEKALNSILSWREAEETIWKVEAVHRLCTSPLHLALLWLEDPEYHLIVDEVISTTSTSTK >Vigun10g103050.1.v1.2 pep primary_assembly:ASM411807v1:10:29556651:29557760:-1 gene:Vigun10g103050.v1.2 transcript:Vigun10g103050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDIDLKHVRIPIYRLRARRLNHVPRRVKPKKTSSTTRTKKDQGLVITAFPCHEVFMFLNQHFLGALQVEYQNKTKSPFETHPFHMWTFLASICFYSVVLAFKVHLKAPCAHFSMFLQNVLIVSGSLSSASLLSIFQPLHHFWFLYAIGLCMPLMLAHQYLLKNLVLKVVTGITTSAFQLRDNLCMVFGRIRQ >Vigun09g083700.1.v1.2 pep primary_assembly:ASM411807v1:9:10308391:10313206:-1 gene:Vigun09g083700.v1.2 transcript:Vigun09g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNTPIPKAVTQLPKLDEILSLGGEKTQLQDGINQMSSSEDGNQLFVWGVKKNHGVKEMSSSEDGNQLFVWGVKKNHGGQEMSSSEDGNQLLVWGVKKNHGVKEMSSSEDGNQLFVWGVKKNHGGQEMSSSEDGNQLLVWGVKKNHGVKEMSSSEDGNQLFVWGVKKNHGVKEMSSSEDGNQLFFWGVKKNHGVKEMSSSEDGNQLFVWGVKKNHGGQEMSSSEDGNQLLVWGVKKNHGVKEMSSSEDGNQLFVWGVKKNHGVKEMSSSADENQLLFWGVKKNHGVKEMLSSEEGNQLLVWSVKKNHGGQEMSSLEDGNQLLVWSVKKDETPFDSQSKTNVVIQEVVPVAEHHHHDHSKSSIFFLEEGLRRGTKLDAHFPKRKYSSPLLPRKIAEHLPFSSEKINEILEVLVVEPKSEKAENVEFALNMCEEPALNGEEKYCATSVESMVDFVTSKLGKNVHVTSTEIENEGKSQKFSVKDGVKILAKEEIISCHPMQYPYVVFYCHKLSNSSAHFMPLEGEDGTRIKAVAVCHKDTSEWDPNHIAFKVLKGKPGTIPVCHFFPEGHLLWYGK >Vigun11g028600.1.v1.2 pep primary_assembly:ASM411807v1:11:3724263:3724792:1 gene:Vigun11g028600.v1.2 transcript:Vigun11g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITSILFLLLVLSMEIENERAMKMVEAKMCFQEFYWPDCSFFVCKPECIIKYGKSASGMCDDSLTCICSFPCK >Vigun09g130300.1.v1.2 pep primary_assembly:ASM411807v1:9:28801045:28802683:-1 gene:Vigun09g130300.v1.2 transcript:Vigun09g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSRLTISLVLFVLVLGCVNAQLSTDFYYSSCPKLLSTVRSTVQSAISKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKNANPNRNSARGYEVIDSIKSAVEKVCPGVVSCADILAIAARDSVQILGGPSWNVKLGRRDARTASQSAANNGIPAPSSNLNQLISRFSALGLSTKDLVALSGGHTIGQARCTNFRARIYNESNIDTSFARTRQSSCPRTSGSGDNNLAPLDLQTPTTFDNYYFRNLVQKKGLLHSDQQLFNGGSTDSIVRGYSTNPSSFSSDFVSAIIKMGDISPLTGSKGEIRKNCRRIN >Vigun01g092500.1.v1.2 pep primary_assembly:ASM411807v1:1:25370572:25375583:1 gene:Vigun01g092500.v1.2 transcript:Vigun01g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPAAASRSVLASRTSSRSVTETVNGSHKFVIKGYSLAKGIGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGNGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFKRAQLEASTFLKDDCLKINCTVGVVVSSIDCSKLNTIHVPESDIGSHFGMLLENEEGSDVTFSVGGERFHAHKLVLAARSTAFETEFFNGMEEDEQEVVVTDMEPKVFKALLHFIYRDTLIDDEELFVSRSSFLPSVSESFAAKLLAAAEKYGLPRLKLMCESVLCKDISIDSVAYILALADRYRATELKSVCLQFSAENLVAVMQSDGFEYLKENCPLLQSELLKTVAGCEEEFSGEGKCRSVWAQFSDGGDTNDRSVRQQTWENGVDRSQSLWVHLSDGVNNNDRSPGQEP >Vigun01g092500.2.v1.2 pep primary_assembly:ASM411807v1:1:25370572:25375004:1 gene:Vigun01g092500.v1.2 transcript:Vigun01g092500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPAAASRSVLASRTSSRSVTETVNGSHKFVIKGYSLAKGIGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGNGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFKRAQLEASTFLKDDCLKINCTVGVVVSSIDCSKLNTIHVPESDIGSHFGMLLENEEGSDVTFSVGGERFHAHKLVLAARSTAFETEFFNGMEEDEQEVVVTDMEPKVFKALLHFIYRDTLIDDEELFVSRSSFLPSVSESFAAKLLAAAEKYGLPRLKLMCESVLCKDISIDSVAYILALADRYRATELKSVCLQFSAENLVAVMQSDGFEYLKENCPLLQSELLKTVAGCEEEFSGEGKCRSVWAQFSDGGDTNDRSVRQQTWENGVDRSQSLWVHLSDGVNNNDRSPGQEP >VigunL015166.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:761904:766587:1 gene:VigunL015166.v1.2 transcript:VigunL015166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSKNKKTQPLFFDISKSKIKRAEWKNKVEKDPGIPNNWPFKEQELKALQARRTKAIEELEQKKAEHKERAHKSKLGLLEEEDDSKLLEDSNKNTNDFGNAAKTRDSSDRAFYKDLFKVIEASDVLLEVLDAWNPLRTRCPEIENMVMKSGPNKRLVLVLNKIDLVLEEALEKWLKYLREELPTVAFKCSTQQQRSKGRIASKKAKSSNCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCLGVEILKLCLPEQRVTLYKLVAEEKRKLKEGGIHDVATTARIVLRDWNEGKIQYYTMPPNRDQGEPLEAKIVSKFAKEFNVDEVYNNESSYIGSLKYVDDFNVVEVPSSHPLNLTEMMLEDETETKSGNQGEGPENVGEVDEAMEDDGGKKKDNNAASRQNEKLYTADGMLNTKLRRAEKNKRRKNKKASMDGDYDFKTDYFQKGALMDSENSQSEDADDEPVNSEVAVCLHPN >Vigun03g154100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16331381:16331707:-1 gene:Vigun03g154100.v1.2 transcript:Vigun03g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTTSSFSTYMVLFISLLLLSHFTMAMLEHSFSPSTSSKEGAKKSNTKVVASAREDSEQNSKDMAVGQTEKCSVHVPKREHSQSPNRIFNASVHEVPSGPNPISNR >Vigun07g167400.2.v1.2 pep primary_assembly:ASM411807v1:7:28162139:28167597:-1 gene:Vigun07g167400.v1.2 transcript:Vigun07g167400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFRCKSCNHVTTTDDVEDWVYHQLENTTHLLHGVIHGNGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCNTLGVRKVSVMDVSKKYGLEYRLLHAIMKGHPWYGDWGYRFGSGSYCLTHEAYKSAVENLSNLPLSTFSQGQMPVPHSRVQDMIKYFQSLSEHELVNIRDLFCFIMGLIGDARKNVSNVDDTACKKRRFNASGLSISWEKADIERVEQAMIRVLRAVSESKWVNWRALRGAACKVGSPELLDYCLGELGGKMVYGGMVVNSRCNPQTGVYEFRLEPATGACYGILANNNSSGSKYPSEENLLQCLRYLYDSLLHPQMMVNYVEAGTRTLAMSSVQKLFDCKQFVKDYSPELLPLSDLHKLRISCQVEIVDESEDPEAIAPTELIVLPMNATVAELKSQAAIAFQDVYLMFRRFQVDEVVGYSGVEDSTQVKLLLGSKDVVCVRGRCVGKNGLSKFRMERGLERWTVDCSCGAKDDDGERMLACDICGVWRHTRCSDIHDSDPVPARFVCLKCQNSESKLKSGGHCKDETVTNVTTPTSCFGKTFPVPSDVS >Vigun07g167400.3.v1.2 pep primary_assembly:ASM411807v1:7:28162139:28167597:-1 gene:Vigun07g167400.v1.2 transcript:Vigun07g167400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFRCKSCNHVTTTDDVEDWVYHQLENTTHLLHGVIHGNGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCNTLGVRKVSVMDVSKKYGLEYRLLHAIMKGHPWYGDWGYRFGSGSYCLTHEAYKSAVENLSNLPLSTFSQGQMPVPHSRVQDMIKYFQSLSEHELVNIRDLFCFIMGLIGDARKNVSNVDDTACKKRRFNASGLSISWEKADIERVEQAMIRVLRAVSESKWVNWRALRGAACKVGSPELLDYCLGELGGKMVYGGMVVNSRCNPQTGVYEFRLEPATGACYGILANNNSSGSKYPSEENLLQCLRYLYDSLLHPQMMVNYVEAGTRTLAMSSVQKLFDCKQFVKDYSPELLPLSDLHKLRISCQVEIVDESEDPEAIAPTELIVLPMNATVAELKSQAAIAFQDVYLMFRRFQVDEVVGYSGVEDSTQVKLLLGSKDVVCVRGRCVGKNGLSKFRMERGLERWTVDCSCGAKDDDGERMLACDICGVWRHTRCSDIHDSDPVPARFVCLKCQNSESKLKSGGHCKDETVTNVTTPTSCFGKTFPVPSDVS >Vigun07g167400.1.v1.2 pep primary_assembly:ASM411807v1:7:28162139:28167597:-1 gene:Vigun07g167400.v1.2 transcript:Vigun07g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVSGGKGLKRAAKKRVTADFYDFLTFPSPSLAEAENFAGGPFRSNVRSFLTKHALLPPPYALFPHLLTWQILFRVGELTEGPDSPVVCLDVVEEDVARSRSVYCDQCRVFGWSGHPVCGKRYHFIIKADGSSIGGYHKPCMCCGDILHLSESKCKSCNHVTTTDDVEDWVYHQLENTTHLLHGVIHGNGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCNTLGVRKVSVMDVSKKYGLEYRLLHAIMKGHPWYGDWGYRFGSGSYCLTHEAYKSAVENLSNLPLSTFSQGQMPVPHSRVQDMIKYFQSLSEHELVNIRDLFCFIMGLIGDARKNVSNVDDTACKKRRFNASGLSISWEKADIERVEQAMIRVLRAVSESKWVNWRALRGAACKVGSPELLDYCLGELGGKMVYGGMVVNSRCNPQTGVYEFRLEPATGACYGILANNNSSGSKYPSEENLLQCLRYLYDSLLHPQMMVNYVEAGTRTLAMSSVQKLFDCKQFVKDYSPELLPLSDLHKLRISCQVEIVDESEDPEAIAPTELIVLPMNATVAELKSQAAIAFQDVYLMFRRFQVDEVVGYSGVEDSTQVKLLLGSKDVVCVRGRCVGKNGLSKFRMERGLERWTVDCSCGAKDDDGERMLACDICGVWRHTRCSDIHDSDPVPARFVCLKCQNSESKLKSGGHCKDETVTNVTTPTSCFGKTFPVPSDVS >Vigun01g027200.1.v1.2 pep primary_assembly:ASM411807v1:1:3218581:3223111:-1 gene:Vigun01g027200.v1.2 transcript:Vigun01g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYEHGYRLIAGCVPFRYRNGNGCGDSSEKTVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMDFLGYYEFRSKTLQDECSPEGLCKAAMFALFVKEELESWPEQSTRERSWLAVSEALGSCRHAWMRDALECFRKWHEECNGGSRQS >VigunL087000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:12002:13856:1 gene:VigunL087000.v1.2 transcript:VigunL087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun02g081000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23371156:23372157:1 gene:Vigun02g081000.v1.2 transcript:Vigun02g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEKPQVEESDSTRKWVIAGISLRAPLKPIYTTTVEKEVEEEDEGDVADSSTTPKGEEARIPTALKCPPAPRKRKPSLKCNYRGGAREFFTPPDLETVFIRHVERAN >Vigun10g053900.6.v1.2 pep primary_assembly:ASM411807v1:10:8969487:8988239:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g053900.4.v1.2 pep primary_assembly:ASM411807v1:10:8969463:8988247:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALFRISTMADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g053900.2.v1.2 pep primary_assembly:ASM411807v1:10:8969447:8988220:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g053900.7.v1.2 pep primary_assembly:ASM411807v1:10:8969487:8988239:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHRLTKELPKFLSPVTQAGVCCHQSSKALNLVALSVMLVPSARNPAANWNQVRGFHEHRISTMADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPGEMDFWVHQLIMWNILICYQAGLTYI >Vigun10g053900.5.v1.2 pep primary_assembly:ASM411807v1:10:8969448:8988247:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHRLTKELPKFLSPVTQAGVCCHQSSKALNLVALSVMLVPSARNPAANWNQVRGFHEHRISTMADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g053900.3.v1.2 pep primary_assembly:ASM411807v1:10:8969659:8988208:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALFRISTMADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g053900.1.v1.2 pep primary_assembly:ASM411807v1:10:8969659:8988208:-1 gene:Vigun10g053900.v1.2 transcript:Vigun10g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHRLTKELPKFLSPVTQAGMCVCCHQSSKALNLVALSVMLVPSARNPAANWNQVRGFHEHRISTMADKLHEPATEIDLLSFIKASLDEFEGTHHYWLNRSEKIDQLFGTDGIFLVLAASNFNCDITLKKLKTIQKRFPHINIIGLGAIASSSDQVHLMQLLMAENIAFPILLSQQTFPKIEKGACYMLFKNFRSPVIYHEKDASLEILSQAVQELDEKARGNSKTLDVVRCTSLEQHWIIKDLCSFSALQNLLLYYPGCVSADESHNRLFFSDCNHHRILVSDGNGEILDSIGSSPGFEDGDFESAKLRRPAGSCYDPTEDCLYFVDSENHAIRKADMGARTVDTLYPTSTSNKGGIRIWNWIMTKLGLESSGKTNVEKTSEVFDSTSLYFPWHLLKSVDDTLYIIDRRFRTLWIMDICSGKINEVLEGSPRILEICGQSIMKRLSVIDRIPSDWFQHETKSASLLEGLPHSDLLSSLATLQNHIFVCDPVGQRVLKVCGESGVCSNFGLSNIGILGLPYWLNFPLETFYAVGNGLLGTPIDHVEYLDLLPGRIDIHLNVNIPVDIELIEPVQESCIWCQTRGAATEISGVDEVPGSLYKAGVAQQWYDELDDLAAPKRESEINVQDDNLDKNSVVEDDEKVRISCGVCTSPGTSEVIIYAVLHCKLRRIPNSNEGNREEHAGRIVDILTSKRSGKIERDLWSASLLQSKGDIRDLIFMLPLHVRIRLNSLDHPKAENGRDIILTDSSIKVNVVLS >Vigun10g184400.1.v1.2 pep primary_assembly:ASM411807v1:10:40106213:40106893:1 gene:Vigun10g184400.v1.2 transcript:Vigun10g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMPLMLCPWWSLVKLGTALVCEPSSTLTTILYHAELLPRNLNLDRLVRREFLHRENHFFHFLTTVLRCVW >VigunL018650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:46850:47182:-1 gene:VigunL018650.v1.2 transcript:VigunL018650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSDISLYQFPSPTKSFTLSKIRLIGIIGNESPKSSLYNLSAVPIFLRRSKRSHSLPNLSRAIGAISQVRSRSSHSKSPAIYSRVQLCRPLMAFTSQPKISTYGARRR >Vigun06g063800.1.v1.2 pep primary_assembly:ASM411807v1:6:19233965:19238369:-1 gene:Vigun06g063800.v1.2 transcript:Vigun06g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSASPTPLLGDRLCENGYECVKILPATNDAHGGVIVDLKEPMDSELFATLLRSSLLHWKKQGKDGVWIKLPIELVNLVETAVKEGFWYHHAEPNYLMLVYWIPKTGCTIPPNASHCVGVGAIVLNDKKEVLVVQEKRGGFHGIGVWKIPTGVVDAGEEIFEAAIREVKEETGIDTEFMEVLAFRHTHNSFFGKSDLSFVCMLRPLSFDIKKQDLEIEAAQWMPFEEFADQPFNQMHEPFKYMIELCLAKVENGYDGFSPRPVSSYFVEELNCLYLNSHDLDNTS >VigunL084000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000629.1:24135:24680:1 gene:VigunL084000.v1.2 transcript:VigunL084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPSAHSPFTRFSKQRRKGGQQVPRALCPTHLSRSKCSSPVPPNAPISRQRSCECAVMLRMLRHRIDRPSSRSFPVHSLYISDTQGRTRWEGSSPSLCPADHSAGILHSRLRLTAARGWSCRYVSLSGSLAPPVISFYDMLLSSPYSICNLVISASLRVSTHLRKKRRTSFSDSAIAL >Vigun03g267800.1.v1.2 pep primary_assembly:ASM411807v1:3:43869765:43871211:-1 gene:Vigun03g267800.v1.2 transcript:Vigun03g267800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKFRKTSRSGREDEKDRISNLPEAVLLHVMNFMNARDVVRTCVLSKRWKNLWKQVTTLSFSSSGKILFYNKFVPQFLSNRDASTSLIDLDIRAYGFKAPKLITGIVKYAARHNAKHLKITTEYNFRAIPNPFIPLIFSCHSLTSLVLTTCTGVLPLELPKSLLLPTLKTLHLTNVRFAAIDDHCVQPFSACSVLNTLGMEGYSFCNNADTLCITNSNLSILKISNSVVYNPYPRTFKHKIILSTPNLASITIGDNIIFSDHQLTSTSGLPFLEEVNVKILSSPMDYSVVAGWLQVLSHAKRLTLSFRILNDVPNLVSMEIQSPCFVRLESLKMVLVNQHKVSEEEVNIIVRHLLQNSTITTVSVCHEFQKARSLSRAALLK >Vigun01g252400.1.v1.2 pep primary_assembly:ASM411807v1:1:41836138:41843508:-1 gene:Vigun01g252400.v1.2 transcript:Vigun01g252400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRKAHMSLKNEHDSSIQHLFTTFSLGCLPTSPFNDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIERKESQLSQRQFESIIPQMQNEIFSVNQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKATSYAEHMKVLAVESSNAEPHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDYRGQGVRTLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSNICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFPVEKDRLMANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMTNKDLDRYYNALDKALMRFHTMKMEEINKIIWELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRFALAETFCLNCGILALDEPTTNLDGPNAESLVAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDLQHSIIESQEIFD >Vigun10g022400.1.v1.2 pep primary_assembly:ASM411807v1:10:2649397:2652662:1 gene:Vigun10g022400.v1.2 transcript:Vigun10g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLRDYVVGFPKESDMNTVEGRITLKVPEGSNDVLLKNLYLSCDPYMRVLMSKISHEGFGSYTPGSPLTGYGVSKVLESGHPDYKKDDLVWGITKWEEFSLVPATQIHFKIEHTDVPLSYYTGILGMPGMTAYAGFFELGSPKKGENVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKFGFDGAFNYKEESDLNATLKRYFPEGIDIYFENVGGKTLDAVLLNMRLHGRIPVCGMISQYNLTQPEGVTNLANLIFKQIRMEGFTVGKFYHLYSKFLEFVLPHIREGKVLYVEDIAEGLENGPAALVGLYTGRNVGKQVVVVARE >Vigun04g002300.2.v1.2 pep primary_assembly:ASM411807v1:4:200089:201430:1 gene:Vigun04g002300.v1.2 transcript:Vigun04g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVGLRLSLPVLGSVCVCYSSTFATTKKLNWKVKGPPRRMVLGLGASFFSHFMTMLGAMGSSKSFMASAKITAGPSVDELLKNVEWPEQFPFKEEDFQRYDETSDSLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWVSHFPPGYKQERVVGLGMNEQELKRNPVLTEYVVQDLNVNPKLPLEDNSFDIITNVVKILLYY >Vigun04g002300.3.v1.2 pep primary_assembly:ASM411807v1:4:199551:204017:1 gene:Vigun04g002300.v1.2 transcript:Vigun04g002300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLSSFPLRKRISSAMMNSLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWVSHFPPGYKQERVVGLGMNEQELKRNPVLTEYVVQDLNVNPKLPLEDNSFDIITNVVSVDYLTKPLDVFKEMCRVLKPGGQAIMSFSNRCFWTKAISIWTSTGDADHVMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSKKLSTA >Vigun04g002300.1.v1.2 pep primary_assembly:ASM411807v1:4:199551:204017:1 gene:Vigun04g002300.v1.2 transcript:Vigun04g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVGLRLSLPVLGSVCVCYSSTFATTKKLNWKVKGPPRRMVLGLGASFFSHFMTMLGAMGSSKSFMASAKITAGPSVDELLKNVEWPEQFPFKEEDFQRYDETSDSLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWVSHFPPGYKQERVVGLGMNEQELKRNPVLTEYVVQDLNVNPKLPLEDNSFDIITNVVSVDYLTKPLDVFKEMCRVLKPGGQAIMSFSNRCFWTKAISIWTSTGDADHVMIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSKKLSTA >Vigun07g033900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3243981:3245262:-1 gene:Vigun07g033900.v1.2 transcript:Vigun07g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHQHQHQHQIFQRSSSACTATTRNTRRILPSTLSHSRAFESDDVSDKLLVRRGSSPSVSTLYHQQQQQQQQQQGQKLTPQNKLSSLIRSFLNIFTFPTMIPTCKWLTIPSHLSITPSLGRKVTGTLFGHRRGHISFAVQLHPRAEPLLLVELAMSTSSLVKEMSSGLVRLALECQKASSFSAAANGGRQHHKRLFQEPSWTMYCNGRNCGYAVSRTCGDLDWHVLTTVQSVSVGAGVIPLLEDGKSGGGGGSEGELMYMRARFERVVGSRDSEAFYMLNPDGNGGPELSIFLLRI >Vigun03g232100.1.v1.2 pep primary_assembly:ASM411807v1:3:38639363:38646664:1 gene:Vigun03g232100.v1.2 transcript:Vigun03g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNLGNLALLLDLASPRGAILERKTRPVGSDIVLSSAPSKRDSPSSCCYAPEGDARSRVVLARGKSNSEKNGVEFDSDEEEEEEGGMEDWETEMRRRVREFEARRELETKAEELQSSVDEGREETEEEKRMRVRKELEKVAKEQAERRATAQLMFDLGQKAYGKGSYGRAIEFLEAALTIIPRPTLFGGEIQIWLAMAYEAHNRHKDCIALYRQLENTHPSISIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWSDKNKDKDRRMSGSVTSQLPSSKDYLADFLVWKPPIGLGKSRAFWVGLTIWLGLVGTVLFIQR >Vigun04g061200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6329965:6330609:-1 gene:Vigun04g061200.v1.2 transcript:Vigun04g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLSLVLLILLLHHNLLNADSCVTEDCLIANDLESEFSFGSHAARMLYDVSQSVTGKTGNGNNKAVDCPQKEAYRSCVPSQNGGGPNKKCADYTRNC >Vigun04g042800.2.v1.2 pep primary_assembly:ASM411807v1:4:3700251:3702808:-1 gene:Vigun04g042800.v1.2 transcript:Vigun04g042800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSLSQAFMYDVFINFRGLDTRQGFTGHLRKALDDSGIHVFVDDEGIQSGKKITPELKKAIEKSRIAITVFSTNYASSSFCLDELALILDCSKRNGLLVLPVFYKVPPCHVRHQQGNYAEALARLEKRLLEERRHRSMENWKMALNEVADHAGFSFEDGRKEYEHELIEKIVERVFSFINNGEEKLHVADYPVGLGSQVPEIRKLLDVGCDDGAHMIGIHGMGGVGKSTLARAVYNLITDKFEGSCFLQNVREESNKHGLKHIHSIILSEVLGMKKINLASEQQGISIIKNRLKRKKVLLVLDDVDQHKQLQGIAGSPDWFGPGSIVIITTRDKQLLASHEVKTTHEVKELNKEDALKLLKFKAFRMEDVDPTYTEVLNQVVTYASGIPLTLEVIGSNLFGKSVQEWESAIKQYKRIPSNQILEMLKVSFDSLGEEEKSVFLDIVCCFKGYKLSEIEEKLRALYDNCMKYHIGVLVEKSLIKINHDERVTFHDLIEDIGKRIDRQQSPREPGKRRRLWLQEDIIQVLRDNSGTSEIKIICLYFPISDIQVVEWDGNAFTNMKSLKILIVRNGIFSQHISYLPESLKVLEWRAQVSF >Vigun04g042800.1.v1.2 pep primary_assembly:ASM411807v1:4:3700251:3702808:-1 gene:Vigun04g042800.v1.2 transcript:Vigun04g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSLSQAFMYDVFINFRGLDTRQGFTGHLRKALDDSGIHVFVDDEGIQSGKKITPELKKAIEKSRIAITVFSTNYASSSFCLDELALILDCSKRNGLLVLPVFYKVPPCHVRHQQGNYAEALARLEKRLLEERRHRSMENWKMALNEVADHAGFSFEDGKEYEHELIEKIVERVFSFINNGEEKLHVADYPVGLGSQVPEIRKLLDVGCDDGAHMIGIHGMGGVGKSTLARAVYNLITDKFEGSCFLQNVREESNKHGLKHIHSIILSEVLGMKKINLASEQQGISIIKNRLKRKKVLLVLDDVDQHKQLQGIAGSPDWFGPGSIVIITTRDKQLLASHEVKTTHEVKELNKEDALKLLKFKAFRMEDVDPTYTEVLNQVVTYASGIPLTLEVIGSNLFGKSVQEWESAIKQYKRIPSNQILEMLKVSFDSLGEEEKSVFLDIVCCFKGYKLSEIEEKLRALYDNCMKYHIGVLVEKSLIKINHDERVTFHDLIEDIGKRIDRQQSPREPGKRRRLWLQEDIIQVLRDNSGTSEIKIICLYFPISDIQVVEWDGNAFTNMKSLKILIVRNGIFSQHISYLPESLKVLEWRAQVSF >Vigun03g028400.1.v1.2 pep primary_assembly:ASM411807v1:3:2141346:2142300:-1 gene:Vigun03g028400.v1.2 transcript:Vigun03g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVCIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun03g114500.1.v1.2 pep primary_assembly:ASM411807v1:3:10473685:10475015:1 gene:Vigun03g114500.v1.2 transcript:Vigun03g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCNLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENFSDQSELRKDKSIAIEVGKRTSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLTCLSRTDDLDPDVVLCVTPRKDLYATSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun05g076866.1.v1.2 pep primary_assembly:ASM411807v1:5:7063975:7068190:-1 gene:Vigun05g076866.v1.2 transcript:Vigun05g076866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELICATLSTLHAFLSWIPLGYIFESPLLETLLKFFPSSCLSEPDIAMLNRGCSLQFQNYFDAHIKMYNIFMVQLQSILPPTTNIPEAYAHGSNEEQAFIQNVALFFTSFFKVHIRILESTQENIFALPLGLEYLINISYVDDTEVFKVCLDYWNVLVAELFEPHHGLENPAATIASMIGLQVSDQGSVPNEETND >Vigun11g174900.1.v1.2 pep primary_assembly:ASM411807v1:11:38004610:38008121:-1 gene:Vigun11g174900.v1.2 transcript:Vigun11g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHSAAIDTVNSLRHRLKQKRLSLLDTDICEYARSQGRTPVTFGPTDLVCCRTLQGHTGKVYSLDWTSEKSQIVSASQDGRLIVWNALTRQKIHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFHLNSPTDKDGNLPVSRMLSGHKGYVSSCQYVPDEDTHLITGSGDQTCVLWDITTGLKTSVFGGEFQSGHTADVYSISINASNSRMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYQQHSDNDIPPVTSIAFSASGRLLFAGYTNGDCYVWDTLLAKVVLNLGSLQDSHDDRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKTT >Vigun06g165000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28678675:28683043:-1 gene:Vigun06g165000.v1.2 transcript:Vigun06g165000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQHGQPGKGIGSAPAQEAHNKNQNQSNPNNPPIQTVGSSVPSSYGLRCNYRHQQQQDQMEEKVKSFWAQQRKEIEESTDIRSQHSVPLSRIKKIMKTDPDVKLVAAETPVVFSKACELFIMELTMKAWANAEDNNRRTIKKCDIASAIAKTDVFDFLDDIAPLPGNHKNTVMDPLVAGNAPTPTQNVPYMPPPQHVAGPPPPYVAPTTLHQQNPPPSSDD >Vigun06g165000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28678676:28683043:-1 gene:Vigun06g165000.v1.2 transcript:Vigun06g165000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQHGQPGKGIGSAPAQEAHNKNQNQSNPNNPPIQTVGSSVPSSYGLRCNYRHQQQQDQMEEKVKSFWAQQRKEIEESTDIRSQHSVPLSRIKKIMKTDPDVKLVAAETPVVFSKACELFIMELTMKAWANAEDNNRRTIKKCDIASAIAKTDVFDFLDDIAPLPGNHKNTVMDPLVAGNAPTPTQNVPYMPPPQHVAGPPPPYVAPTTLHQQNPPPSSDD >Vigun06g165000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28678676:28680808:-1 gene:Vigun06g165000.v1.2 transcript:Vigun06g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQHGQPGKGIGSAPAQEAHNKNQNQSNPNNPPIQTVGSSVPSSYGLRCNYRHQQQQDQMEEKVKSFWAQQRKEIEESTDIRSQHSVPLSRIKKIMKTDPDVKLVAAETPVVFSKACELFIMELTMKAWANAEDNNRRTIKKCDIASAIAKTDVFDFLDDIAPLPGNHKNTVMDPLVAGNAPTPTQNVPYMPPPQHVAGPPPPYVAPTTLHQQNPPPSSDD >Vigun06g165000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28678675:28680809:-1 gene:Vigun06g165000.v1.2 transcript:Vigun06g165000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQHGQPGKGIGSAPAQEAHNKNQNQSNPNNPPIQTVGSSVPSSYGLRCNYRHQQQQDQMEEKVKSFWAQQRKEIEESTDIRSQHSVPLSRIKKIMKTDPDVKLVAAETPVVFSKACELFIMELTMKAWANAEDNNRRTIKKCDIASAIAKTDVFDFLDDIAPLPGNHKNTVMDPLVAGNAPTPTQNVPYMPPPQHVAGPPPPYVAPTTLHQQNPPPSSDD >Vigun10g070900.1.v1.2 pep primary_assembly:ASM411807v1:10:17147533:17149462:-1 gene:Vigun10g070900.v1.2 transcript:Vigun10g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSFLTLEPHFIYDVFINFWGEDIGRRFISHLHYALLQAQVKTLIKEENLPEGPKREEHMRAIGGTKATIIVFTKSYAESTCCLRELEKIIECHETFGQILMPVFYEIDKLDVRHQKYDFGQALEEAAHKSYSGEQVEHALSRWSRALTKAASITCWDLRNFRHDAELVEGIVSRVKMILDYKDLFITQYPVGLESHVEKVIACIENHSTKVCMIGIWGMGGSGKTTIAKAIYNRIYREFIGKSFIENISDVWDPKNERYVDLQENLLSDILKSKLEVESVGMGRTMIENGLTRKKLFIVLDDVSEFGQLENLCGNREWFGQGTVIIITTRDVNLLNQIKVNYVYKMDVMNENDSLELLSWHAFREAKPRKELHEHGRNVTPYCGGLPLALEVLGSFLCEKTMEEWESVSSKLKIIPIDQIEEKLKISFDGLHDTEKDIFIDVCCFFIGKERGHVTRILNDCGLHGDIGITVLIERGLIKVDRHNKLQVHPLLKHMGREIIRQRCQKEPDKWSRLWFQDDVKDVPKDNTATEAIQGLNRKVYYLEPMVLSKGGD >Vigun10g000900.1.v1.2 pep primary_assembly:ASM411807v1:10:139178:141252:1 gene:Vigun10g000900.v1.2 transcript:Vigun10g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRKLQKTEGQRRSPRLSTLVIEAHDCLDNEQGPAFRTRGKKNTKLRPPRQLKPSKSQDVKHSSHNDIQKKSCNDKSSNLSSSSLLPEKRILQLVLDTLQRKDTYEIFVEPVDPNEVEDYYAIIEEPMDFGTIRAKLHEKMYKTLGEFEHDVFLIFDNAMRFNSLGTIYFRQARLINELAKKVFDVLKKSPEKFEMEYSETRQKIRRTNQEDSRNSRDLKPNEITSKTTPCSSRTLNKRSFKTTYLDAKDVEISIGTKKSNKSKSFEIDKRDMYEPLYFVKDKSLLPTINGIGYKDSLMLFAKDLGPVAQNITKRKFLGCEIFTTSTSAPCKIERHTFNTVTNHLDNVPCYPNTISPKDNVEKEKGCNPSNGNTWGCYKWPIGCDKEFLSKRFCFKPCYS >Vigun03g105400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9098512:9099465:-1 gene:Vigun03g105400.v1.2 transcript:Vigun03g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPSLFGGRRSNVFDPFSLDVWDPFKDFSFPNSLSSSFPDSVTQNSAFVSTRVDWKETPEAHVFKADIPGLKKEEVKVEIEDDKVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFRLPENARVDGVKASMENGVLTVTVPKEEIKKPDVKAIEISG >Vigun02g095566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25145337:25145648:1 gene:Vigun02g095566.v1.2 transcript:Vigun02g095566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGMKPKNRESVFRHKNEFLQILRYYKDNVPKFNSSQLRDALVYDAMLEAAKHGNVEFINDMREANHDLLWAMDNHGRDIFSYAILHRKHNVFQHAYSLWI >Vigun03g355500.1.v1.2 pep primary_assembly:ASM411807v1:3:55697406:55700499:-1 gene:Vigun03g355500.v1.2 transcript:Vigun03g355500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKHKQMASTLLSVHRFLPSQKRENIGYMSAFRSSFRGQAPCNSSFCGRQIAGSHGKRLVVHSLLGRKVRSVKKRETVIPEPDYRISIVLLGIAGGLIYTDNLVPAVPVGLLGLLLLFQTTRVRFVFEDDALEVRIGEQLQESGENVFVGGENRWKYSTFVNWEFWWPNFPILVYFKETQTKPEGQIHFFPIIFNGKQLYEVMVERAGPSKTSGPKES >Vigun03g355500.2.v1.2 pep primary_assembly:ASM411807v1:3:55697406:55700499:-1 gene:Vigun03g355500.v1.2 transcript:Vigun03g355500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKHKQMASTLLSVHRFLPSQKRENIGYMSAFRSSFRGQAPCNSSFCGRQIAGSHGKRLVVHSLLGRKVRSVKKRETVIPEPDYRISIVLLGIAGGLIYTDNLVPAVPVGLLGLLLLFQTTRVRFVFEDDALEVRIGEQLQESGENVFVGGENRWKYSTFVNWEFWWPNFPILVYFKETQTKPEGQIHFFPIIFNGKQLYEVMVERAGPSKTSGPKES >Vigun06g214100.1.v1.2 pep primary_assembly:ASM411807v1:6:32558421:32561610:1 gene:Vigun06g214100.v1.2 transcript:Vigun06g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSYLRLFEGELEIGDDFYESFEAPKYVDFTKPDSCHPGEDRHWFCSRVGCDLKHEQELDPETVEKNFVLRVMAARSPNVRLRKALKKKETSAKLKCPLTAPAKSRVSRMDYISSLSHKMTDKNVKVKPLSKVAATPIAKVRPSPSVAKALTTPRNHQKKVSNAESFRSVQSKKVLTVGDPKSRVVSKPLVFQSPKKVIKIKSSMELKTPMRALCSAMKKLELDGVKKNEEGGNKSLPVTASKKQLKGREVKSRVFDSLCSNKRKEQETKAMSSLKEKKVKKGTQKRQVHQNESSDMEIDEKSRCGSLGVCLESEISSLGDEISQEPKRGEESLVMVQVLSEASKCDTTSLSKGKVSEKAEENSLVSSDDKENRRQLTDDKENASIFHENIEMSTDVPKRKAILESKHEDDSRRTQKKSSSTTNASPVVKHRKLKPTNPKPFKLRTDERGILKEATLDRKILSPLKETAIKVGGTAMRKHQIVNQKSETYEPDTDYYSSCDEKSRPKAQENQSGGTQIDNSSCKVQRKLSATTPYNVNDKFKRKSEMTQRKDLRPLSGLRRKKEKVVIATKLSAIIEKPSDIVKPKAAKPRKALTVPIEPNFHRLHVPKNCSNRKRTIGD >Vigun01g229400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40203255:40205247:1 gene:Vigun01g229400.v1.2 transcript:Vigun01g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESSHKSFTVTHSPPTNKYHPQNTNLTYASNFKLPKMVRVKEAHVVTPSEPTPNTVLPLSALDSQLFLRFTIEYLLVYRPAPGLDRASTAARLKTALAKALVPYYPFAGRVRPQPQGLGLEVVCRAQGAVFIEASSERYSANDFQKAPKTVAQWRKLLSLYVTDVLKGSPILVVQLTWLADGAAAVGVGINHCICDGIGSAEFLNYFSDLASEKCQGLGLGVGVGVDPKPKPVWDRHLMNPDNRSEANPAMHAEFCGVPDLCGFMNRVTSRLKPTCIVLDERRIEALKRACGGASCTSFEVVAAHVWRSWARALGFPKNQTLKLLFSVNVRKRVKPGLPEGYYGNAFVLGCAQSSAWELGERGVAHVVSAVKRAKERVDSEHVRRVVELVSESRASPDSVGVLILSQWSRLGLERVELGMGKPLHVGPICCDRYCLFLPVTGERHSVKVMVAVPTAAVDNFHRFLRESNS >Vigun11g065950.1.v1.2 pep primary_assembly:ASM411807v1:11:16081974:16084168:-1 gene:Vigun11g065950.v1.2 transcript:Vigun11g065950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTLHRRNVIYGLYPDEIEIGRKGKLREKKLLTQQFGSSKEFIKSADHALDWKTNFHNETIPSDCIEAAVEVAGCEYECGTWWGEKIGWIYGSIVEDVPTGLNIHRRGWRSECCTPNPIAFTGCAPRGLPSSMVQKKRWATGLTETFFGKHSPIMGIIFGKIQLREGLSYFGVVDWGLRGVFNVCYALLPAYCIVTDTTIFPKGPGLWIPIALFVIYSVHTLLEYLKIGLSIRNWWNNQRMTIITTTSAWFLGFLIDMLKLAGVYDPVFEITDKEPSSSDADGNEADAGRFTFDESLVFVIGSTILLVQLGAMLIRLLRLEASHSGNGCGIGEFISSTYVVVCYFPYLKGLFGSGKYGIPLSTTCKSAILAFIFVHLCRK >Vigun10g010600.1.v1.2 pep primary_assembly:ASM411807v1:10:1057041:1059937:-1 gene:Vigun10g010600.v1.2 transcript:Vigun10g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFVLLFAGQVIGGFSTQKLPPSDNGNILTVLSIDGGGIRGIIPATVLDYLEKALKGKDPNADLAHYFDVIGGTSTGGLITAMLATPSHDDPTRGAFTPAQIVEFYKQNGPHVFNSSRPGNGPQFDGEFLHNITREVLKDTRLNQTLTNVVIPAFDLKRQKPVIFSNYKLEDAPYLNALLSDICISTSAEPTQLPPYYFVNDGVEFNMIDGGVAARNPTQATISEVLQHNEYPKILLLSLGTGAEKYVENPDFDARSAANWTILNWAFVANDMLGRASSAITEYYLASLFSGFQPPHSTYLRIDEHDLNHDFSNSVNVTKENLEGLEKTGQQLLQEKVVKMNLNTFNLEEHGETNAEALHRIADILHEERQHRLKGKSMGNGGRPLLQTFRVRSDKNQAN >Vigun11g223700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41455362:41456941:-1 gene:Vigun11g223700.v1.2 transcript:Vigun11g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLVVGCVGCSSLLLVIAFFLHRHCKSKRRGSRVHDSGRLDDESSHSQPQPQTEAGSRVLQKRLSHVISMGNGSPLEEFSLQTLLQVTDNFSEEKRVGIGSFGSVYRATLEDGKEVAIKRAEASSSTYTVLGAQVDKDNAFVNELESLSRLHHKNLVRLVGFYEDTKERILVYEYMDNGSLSDHLHKLQTSALMSWPVRIKVALDAARGIEYLHQYATPPIIHRDIKSANILLDAKWTAKVSDFGLSLMGPDPEDEEAHLSLLAAGTVGYMDPEYYRLQHLTPKSDVYSFGVVLLELLSGYKAIHKNENGVPRNVVDFVVPFIFQDEIHRVLDRRVPPPTPFEIEAVAFVGYLAADCVRLEGRDRPTMSQVVNNLERALAACLAQPILSRSTTHSSSQ >Vigun09g014100.5.v1.2 pep primary_assembly:ASM411807v1:9:1046761:1048297:-1 gene:Vigun09g014100.v1.2 transcript:Vigun09g014100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTTNRKRTEECMNVNLTNSDSSRKRSKLSTKPAPSATSAVARLSRYPQVSAPLAREVHAPCRPTKFPLAARVSIRVGDAMGNALITKFEKAKRSTLEKCRSVVEKGKEVIVVDAESDEPCADSSVEEPHGDGDKFRVQQQSQSTLSFDSELRNAELKVASRGKLWGSETQLDVESVHAYKKLLEDVGRRNGTFQRLNFEIDLNEKRRDHFNLLRPKKELDEESEALQEPFVALSSEEEDEVERAFSANRWTILVTHEHSNIEITGEKFQCLRSTGWLNDEVINLYLALLKEREQRQSHKFLKCHFFNTFFYKRVG >Vigun09g014100.3.v1.2 pep primary_assembly:ASM411807v1:9:1042284:1048554:-1 gene:Vigun09g014100.v1.2 transcript:Vigun09g014100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTTNRKRTEECMNVNLTNSDSSRKRSKLSTKPAPSATSAVARLSRYPQVSAPLAREVHAPCRPTKFPLAARVSIRVGDAMGNALITKFEKAKRSTLEKCRSVVEKGKEVIVVDAESDEPCADSSVEEPHGDGDKFRVQQQSQSTLSFDSELRNAELKVASRGKLWGSETQLDVESVHAYKKLLEDVGRRNGTFQRLNFEIDLNEKRRDHFNLLRPKKELDEESEALQEPFVALSSEEEDEVERAFSANRWTILVTHEHSNIEITGEKFQCLRSTGWLNDEVINLYLALLKEREQRQSHKFLKCHFFNTFFYKRLISGPNGYDFKSVRRWTSQRKLGYSLLECDKIFVPIHQEIHWCLAVINNKDKKLQYLDSMKGEDSSVLEKLAKYFADEVKDKNGKDIGVNTWKKEFVKDLPVQKNGCDCGVFMIKYVDFYSRGLELYFDQVNMPYFRRRTAKEILRLKAE >Vigun09g014100.1.v1.2 pep primary_assembly:ASM411807v1:9:1042284:1048550:-1 gene:Vigun09g014100.v1.2 transcript:Vigun09g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTTNRKRTEECMNVNLTNSDSSRKRSKLSTKPAPSATSAVARLSRYPQVSAPLAREVHAPCRPTKFPLAARVSIRVGDAMGNALITKFEKAKRSTLEKCRSVVEKGKEVIVVDAESDEPCADSSVEEPHGDGDKFRVQQQSQSTLSFDSELRNAELKVASRGKLWGSETQLDVESVHAYKKLLEDVGRRNGTFQRLNFEIDLNEKRRDHFNLLRPKKELDEESEALQEPFVALSSEEEDEVERAFSANRWTILVTHEHSNIEITGEKFQCLRSTGWLNDEVINLYLALLKEREQRQSHKFLKCHFFNTFFYKRLISGPNGYDFKSVRRWTSQRKLGYSLLECDKIFVPIHQEIHWCLAVINNKDKKLQYLDSMKGEDSSVLEKLAKYFADEVKDKNGKDIGVNTWKKEFVKDLPVQKNGFVF >Vigun09g014100.2.v1.2 pep primary_assembly:ASM411807v1:9:1042284:1048550:-1 gene:Vigun09g014100.v1.2 transcript:Vigun09g014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTTNRKRTEECMNVNLTNSDSSRKRSKLSTKPAPSATSAVARLSRYPQVSAPLAREVHAPCRPTKFPLAARVSIRVGDAMGNALITKFEKAKRSTLEKCRSVVEKGKEVIVVDAESDEPCADSSVEEPHGDGDKFRVQQQSQSTLSFDSELRNAELKVASRGKLWGSETQLDVESVHAYKKLLEDVGRRNGTFQRLNFEIDLNEKRRDHFNLLRPKKELDEESEALQEPFVALSSEEEDEVERAFSANRWTILVTHEHSNIEITGEKFQCLRSTGWLNDEVINLYLALLKEREQRQSHKFLKCHFFNTFFYKRLISGPNGYDFKSVRRWTSQRKLGYSLLECDKIFVPIHQEIHWCLAVINNKDKKLQYLDSMKGEDSSVLEKLAKYFADEVKDKNGKDIGVNTWKKEFVKDLPVQKNGCDCGVFMIKYVDFYSRGLELYFDQVNMPYFRRRTAKEILRLKAE >Vigun09g014100.4.v1.2 pep primary_assembly:ASM411807v1:9:1042284:1048550:-1 gene:Vigun09g014100.v1.2 transcript:Vigun09g014100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTTNRKRTEECMNVNLTNSDSSRKRSKLSTKPAPSATSAVARLSRYPQVSAPLAREVHAPCRPTKFPLAARVSIRVGDAMGNALITKFEKAKRSTLEKCRSVVEKGKEVIVVDAESDEPCADSSVEEPHGDGDKFRVQQQSQSTLSFDSELRNAELKVASRGKLWGSETQLDVESVHAYKKLLEDVGRRNGTFQRLNFEIDLNEKRRDHFNLLRPKKELDEESEALQEPFVALSSEEEDEVERAFSANRWTILVTHEHSNIEITGEKFQCLRSTGWLNDEVINLYLALLKEREQRQSHKFLKCHFFNTFFYKRLISGPNGYDFKSVRRWTSQRKLGYSLLECDKIFVPIHQEIHWCLAVINNKDKKLQYLDSMKGEDSSVLEKLVQAFV >Vigun08g101100.1.v1.2 pep primary_assembly:ASM411807v1:8:25026463:25028421:1 gene:Vigun08g101100.v1.2 transcript:Vigun08g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATIKKPHAVCVPHPTQGHINPMLKLAKLLHFKGFHITFVNTEYTHKRLLKSRGPDSLKGLSSFRFETIPDGLPEPAVDATQDIPSLCDSTRRTCLPHLNNLLAKINSSDAPPVTCIVADGVMSFTLDAAEQLGVPLALFWTPSACGFLCYVQFEQLIQKGIIPLKDSSSLTNGYLETTIDCIPGIKEIRLRDFPSFIRTTDSDDFMIEFLRWECGRAREASAIILNTFDAMEHDVLEALSSILPPVYSIGPLNLLVKDIDDEDLNAIRSNLWKEEFECVEWLDTREPNSVVYVNFGSITVMTSEQLNEFAWGLASSNKSFLWVIRPDVVGGENVVLPPGFVEQTKDRGLLSSWCPQEQVLAHPAIGGFLTHSGWNSTLESVCGGVPMICWPFFAEQQTNCRFCCKDWGIGLEIEVVKRDKIESLVRELVDGEKGREMKEKAMQWKDLAVSASSGPYGSSFLNLENLIRQVLVGESVKN >Vigun09g026700.1.v1.2 pep primary_assembly:ASM411807v1:9:2109072:2112808:1 gene:Vigun09g026700.v1.2 transcript:Vigun09g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSRNLLASSVDSNNFIVGGVDVWEHYQNKGVTNNHFSLGINKLQDPMDRMLDRNNIESIKKTMQMHEDIFKQQVRELHRVYSVQKMLMDDLRKETKQKKFWSPMNGIDMSHSHFLQQQQKQQQQSATALISYGADFHVQSLREELCSKERSGSCSGESMKRQRGFFDLQRPGERDIFGGCEENEAGPSSYTALERCKISNKGCDEEMEVDLTLSIGGSQVKNNNSNNNNNNNSNNNSKKPYLLPLGCSDSPNGKTRELNSSVSFQSDRVGDCSDPTTPMSSSSVTFDQERKGPHWLSQGLKLK >Vigun09g026700.2.v1.2 pep primary_assembly:ASM411807v1:9:2109653:2112798:1 gene:Vigun09g026700.v1.2 transcript:Vigun09g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSRNLLASSVDSNNFIVGGVDVWEHYQNKGVTNNHFSLGINKLQDPMDRMLDRNNIESIKKTMQMHEDIFKQQVRELHRVYSVQKMLMDDLRKETKQKKFWSPMNGIDMSHSHFLQQQQKQQQQSATALISYGADFHVQSLREELCSKERSGSCSGESMKRQRGFFDLQRPGERDIFGGCEENEAGPSSYTALERCKISNKGCDEEMEVDLTLSIGGSQVKNNNSNNNNNNNSNNNSKKPYLLPLGCSDSPNGKTRELNSSVSFQSDRVGDCSDPTTPMSSSSVTFDQERKGPHWLSQGLKLK >Vigun03g135900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13302572:13303516:1 gene:Vigun03g135900.v1.2 transcript:Vigun03g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGARTNGISSNQVEIPIHANGVESKQSEESNIDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVKKDISAMLVAGFAGLVAGACSMAIGEFVSVYTQYDIEVTQLKREREGNNNGGVDEESQREKLPNPFQAALASALAFSIGALVPMLAAVFIRRHKIRMGVIGGAVSLALLVFGGIGAMLGKTPVKKSCFRVLIGGWMAMAITFGLTKLVGFAEL >Vigun02g109800.1.v1.2 pep primary_assembly:ASM411807v1:2:26371445:26373970:-1 gene:Vigun02g109800.v1.2 transcript:Vigun02g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKPVIVTAPPPPVSSPPNPLLKTAVSTVKTEEKPQTTTLPPPSTTTPKTYPVPSIKTTPQQRLELKRKTNSWAPALMLNALDNIINNFIDPPLKPSVDPRHVLSQNFAPVDELPPTECQIVQGALPPCLDGAYIRNGPNPQFLPRGPYHLFDGDGMLHALRISQGKATLCSRYVKTYKYTMENDAGFPLIPNVFSGFNSLVASAARGSLTAARVATGQFNPSNGIGLANTSLAFFGNRLFALGESDLPYTVNVTRNGDVETIGRYDFNGKLTFSMTAHPKIDPDTGECFAFRYGPVPPFLTYFRFDPNGNKYDDVPIFSMTSPSFLHDFAITKNYAVFCDIQLGMNPLDMIAGGSPVGSVASKVPRIGIIPRDAKDESKMKWFDVPGFNVIHAINAWEEDGGRTVVLVAPNILSVEHALERMELVHAMVEKVKIDLETGIVTRQPVSARNLDFAVINPAFVGKKNRFVYAAVGDPMPKISGVVKLDVSKANERRDCTVGCRMFGEGCYGGEPFFVAKEEGGEEDDGYLVTYVHDERKGESTFLVMDTKSPELDVVAEVKLPRRVPYGFHGLFVKESDLRKAVSW >Vigun02g142100.2.v1.2 pep primary_assembly:ASM411807v1:2:29070148:29076925:-1 gene:Vigun02g142100.v1.2 transcript:Vigun02g142100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLIINPLSLSFLLSLSMFRLRGLLHKTFTSYVVLLCILFWLAGHGLCSLNGIENSPDYDGCASFEKKFDLGSLDTIVSDSSLGYGFSSSHNLEKVCPNSHSFCFPSMLSEFSHKEKIVKEASQGESGGQYNSPFCVELPQDRRQTSNESWLSEHGVFRLLNGGFVACSLNSREGVDGVPPRQTEVACKDDISSCGASSLKQKTTRFWSKNSEISKSNSFDGSVSPNVRIGPTVLDWGQKYLYSSSSAFLTVTNTCNDSLLNLYEPFSTDLQFYPCNFSDISLRPGESALICFVFFPKSLGLSSASLILQTSSGGFIVEAKGYATESPFGIQPLSGVQISPGGRLSKNFSLFNPFDETLYVEEITAWISISSGHNYVETEAICRINDFQGFDAWLFPTIKDRLVANTGQFGSPTVAIRPHRNWNIAPHGSETLLEMDIMVGFEGKIFGAFCLHLVRPSQDTSDIIMVPIEAEVDSHSACDTAGIFISATLEGLATCDSGEIAITISLRNDAPHVLSFVKAIEVSDTQLFRIKLKEGLLLFPGTVTKVGIIYCSHLHLELHDFSPKSSLQENCKLLILTNDSSNPLIEIPCEDILYICFEHQRKIYSSAQAEDNQPDKTRAGYTGRSMQLRPNVKVLETEDVDELVLANWKSQGTIGGMSVLEDREVLFPMIQVGSYVSRWITVKNPSQHPVVVQLILNSGEIINQCKGLSDLLHPSSSSNLVIDEGATPKRYGFSIPENAVTEAYVQPHDHVTLGPITFYPSDRCGWSGSALIRNNLSGVEWIPLKGYGGLHSLVLLERSEHVDSVDFDLKMPKTLNFSLTYTLLHMKEITSTCSQHLVKELYAKNTGDLPLEVKSIRVSGRDCGLDGFKILFCKGFTLEPGESTKLLISHQTDFSAAVVRRDLELVLATGIFLLPMKASFPYDMLGNCKRSMYWMRVKRSLLGFILIASLIFLMFCFLFPQTTQSGFLDFSWKNDDSLVHATIKSAGKSSLLHHDQRKSKLSMSSKMNHLMEASTGKYSYGQEENPSKLEISQHLIQTSESDEQTSHAFDTQSDRKLSSTDVQSCDPMKTSELGYLTVKTGKEKGRRRRRKSLGAKLAALSEVSSSQSGNSTPSSPLSPTLSATSKCNWSLSLDVEQPSEALSSMTQVATQHSSNDQPSALAAESNILKPAFSQRCSNSTSSQVLHSASRSVTRLPVQIPCATSPIPANTFPSPLGSKSTVNSHARAPGSQLHNQTAVHSREAGLANEYTYDIWGDHFSGLHLLLPKNVTSMNSSLVENNFDSFFVRGPQNLVTNSQEG >Vigun02g142100.1.v1.2 pep primary_assembly:ASM411807v1:2:29070148:29076925:-1 gene:Vigun02g142100.v1.2 transcript:Vigun02g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLIINPLSLSFLLSLSMFRLRVWQLFNFFAQRGLLHKTFTSYVVLLCILFWLAGHGLCSLNGIENSPDYDGCASFEKKFDLGSLDTIVSDSSLGYGFSSSHNLEKVCPNSHSFCFPSMLSEFSHKEKIVKEASQGESGGQYNSPFCVELPQDRRQTSNESWLSEHGVFRLLNGGFVACSLNSREGVDGVPPRQTEVACKDDISSCGASSLKQKTTRFWSKNSEISKSNSFDGSVSPNVRIGPTVLDWGQKYLYSSSSAFLTVTNTCNDSLLNLYEPFSTDLQFYPCNFSDISLRPGESALICFVFFPKSLGLSSASLILQTSSGGFIVEAKGYATESPFGIQPLSGVQISPGGRLSKNFSLFNPFDETLYVEEITAWISISSGHNYVETEAICRINDFQGFDAWLFPTIKDRLVANTGQFGSPTVAIRPHRNWNIAPHGSETLLEMDIMVGFEGKIFGAFCLHLVRPSQDTSDIIMVPIEAEVDSHSACDTAGIFISATLEGLATCDSGEIAITISLRNDAPHVLSFVKAIEVSDTQLFRIKLKEGLLLFPGTVTKVGIIYCSHLHLELHDFSPKSSLQENCKLLILTNDSSNPLIEIPCEDILYICFEHQRKIYSSAQAEDNQPDKTRAGYTGRSMQLRPNVKVLETEDVDELVLANWKSQGTIGGMSVLEDREVLFPMIQVGSYVSRWITVKNPSQHPVVVQLILNSGEIINQCKGLSDLLHPSSSSNLVIDEGATPKRYGFSIPENAVTEAYVQPHDHVTLGPITFYPSDRCGWSGSALIRNNLSGVEWIPLKGYGGLHSLVLLERSEHVDSVDFDLKMPKTLNFSLTYTLLHMKEITSTCSQHLVKELYAKNTGDLPLEVKSIRVSGRDCGLDGFKILFCKGFTLEPGESTKLLISHQTDFSAAVVRRDLELVLATGIFLLPMKASFPYDMLGNCKRSMYWMRVKRSLLGFILIASLIFLMFCFLFPQTTQSGFLDFSWKNDDSLVHATIKSAGKSSLLHHDQRKSKLSMSSKMNHLMEASTGKYSYGQEENPSKLEISQHLIQTSESDEQTSHAFDTQSDRKLSSTDVQSCDPMKTSELGYLTVKTGKEKGRRRRRKSLGAKLAALSEVSSSQSGNSTPSSPLSPTLSATSKCNWSLSLDVEQPSEALSSMTQVATQHSSNDQPSALAAESNILKPAFSQRCSNSTSSQVLHSASRSVTRLPVQIPCATSPIPANTFPSPLGSKSTVNSHARAPGSQLHNQTAVHSREAGLANEYTYDIWGDHFSGLHLLLPKNVTSMNSSLVENNFDSFFVRGPQNLVTNSQEG >Vigun01g254300.3.v1.2 pep primary_assembly:ASM411807v1:1:41946938:41953704:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.4.v1.2 pep primary_assembly:ASM411807v1:1:41946938:41953704:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.11.v1.2 pep primary_assembly:ASM411807v1:1:41946944:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.13.v1.2 pep primary_assembly:ASM411807v1:1:41946948:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.10.v1.2 pep primary_assembly:ASM411807v1:1:41946944:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.2.v1.2 pep primary_assembly:ASM411807v1:1:41946938:41953704:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDSTSAPEPHKMSGTSSVTTTSGQKLSIFAAKSGFVIPKNKLSGSLVPIFRGAKKHGVTGAINEESSKQTERKSKWGPDLTQDATVRRGKALALQIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.1.v1.2 pep primary_assembly:ASM411807v1:1:41946948:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDSTSAPEPHKMSGTSSVTTTSGQKLSIFAAKSGFVIPKNKLSGSLVPIFRGAKKHGVTGAINEESSKQTERKSKWGPDLTQDATVRRGKALALQIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.14.v1.2 pep primary_assembly:ASM411807v1:1:41946948:41953690:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDSTSAPEPHKMSGTSSVTTTSGQKLSIFAAKSGFVIPKNKLSGSLVPIFRGAKKHGVTGAINEESSKQTERKSKWGPDLTQDATVRRGKALALQIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.12.v1.2 pep primary_assembly:ASM411807v1:1:41946948:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.6.v1.2 pep primary_assembly:ASM411807v1:1:41946942:41953700:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDSTSAPEPHKMSGTSSVTTTSGQKLSIFAAKSGFVIPKNKLSGSLVPIFRGAKKHGVTGAINEESSKQTERKSKWGPDLTQDATVRRGKALALQIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun01g254300.9.v1.2 pep primary_assembly:ASM411807v1:1:41946951:41953684:1 gene:Vigun01g254300.v1.2 transcript:Vigun01g254300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIDSTSAPEPHKMSGTSSVTTTSGQKLSIFAAKSGFVIPKNKLSGSLVPIFRGAKKHGVTGAINEESSKQTERKSKWGPDLTQDATVRRGKALALQIRVDQITKQLESEKLEVGDTQNLPLGAENTDKSKSGSQMNIKKSEMLELEKREAIGEILKLDPSYKPPRGFKPLLKEASIPLPVQEYPGYNFVGLIYGPEGDNQKQLEKETAAKIKIHGTKADTGEKGEIKPGTDIHSSYKEMCVNISADSFEKVDAAMSIIELLITSVTGNLAAGSTPSISVSRDSTDILGQSQEGQPSHADSVSLENQAVLQPVAVTQKHEDNFQYSTPWFSVVPSNTPIFASSGTVAPLNPLGLGRTPHFPSQTSNTVPTFGSQPGFQPIIPNQHVSVQAPPPRQILHYPHLTQATPLGHVGPPRNASIISVQNLSTPTNASHSFPVTLSQSTPIGQLQTSVSSFPLPISGVSPLPIANQPITHLGVPSGQNEPLVAVKTSIGPSNMGSMVPPGRPASLHQQPEVAFMPPQSNMSMTPRSASFPPHQVGISPGPLSSLRPMSVPIPAPKHSSVNHLAGPVSFPSSGISPSFPLPQQAGIPNSASGIAPFHTHLKPSVLVPSKSGNFTFRSHAPNADYSQAGATQEPPSGPRPPPFGFGVPDQPLQNFPRTQFTAQVDQTISFGGRSNSIPTPRHTAFPYGGQPAPRSPVPQMGMNNFIPAPQRPNLTGAVAQRGMPIRQSYAVQMTRPDIPMPLNHKFVNNTLMASGKLPYSADQIYDPFSPTSAPPQQKGNPGQ >Vigun10g116000.1.v1.2 pep primary_assembly:ASM411807v1:10:32090404:32092802:-1 gene:Vigun10g116000.v1.2 transcript:Vigun10g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKINRQFLFSSSIFVFLVVLPTLVAAECTCDEENEDRDRTKALRYKIAALVSILIASAIGVCIPLLGKVIPALSPEKNIFFLIKAFAAGVILATGFIHVLPDAFENLTSPCLKEHPWGDFPFTGFVAMCTAMGTLMVDTYATAYFQKHHSNEVQIESGDVEKETGHEGHVELHTHATHGHAHGPVHSQDQSSQLLRHRVISQVLELGIIVHSVIIGISLGASESPNTIRPLVAALTFHQFFEGMGLGSCISQANFKRVSVTVMGLFFALTTPVGIGIGIGISSVYDENSPTALIVEGVFNAASAGILIYMALVDLLAADFMSPRMQQSGRLRLGANVFLLLGAGLMSMVAKWA >Vigun10g173500.2.v1.2 pep primary_assembly:ASM411807v1:10:39206387:39214843:-1 gene:Vigun10g173500.v1.2 transcript:Vigun10g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVKLADCGLSYAVVSIMGPQSSGKSTLLNNLFRTNFREMDAFKGRSQTTKGIWMARCNGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSFEEKEEQFREQVASLRQRFHHSIAPGGLAGDRRGVVPASGFSFSCQEIWKVIKENKDLDLPAHKVMVATVRCEEITDEKYAAFSANEDWCQLEEAVQSDPVPGFGRKLSSLLGTCLSEYDAEAAFFDEGVRTAKQKQLQEKLFQLVHPAFQSALGHIRSGTLDKFKAAFDKALNGGEGFSMAARKCSGSCMVQFDEACADIVIEQANWDTSKVREKLVRDIDAHIAAVRAAKISELTSSYEEKLKQALSGPVEALLDGANSDTWSSIRNLLKRETLSAVSGFSAELIGFDMDDETRQNMLKSLEDYARGLVEGKAKEEVGRVLIRMKDRFTMLFSHDADSMPRVWTGKEDIRSITKTARSSSLKLLSVMAAIRLDDNDSDNIEKVLAVALVEPAPSSNGTRSMTVVDPLASSSWEEVSSSKTLITPVQCKSLWRQFRTETEYTVSQAIAAQEASKRNNNWLPPPWAIAALVILGFNEFMTLLRNPLYLGVIFVGYLLIKALWVQLDISGEFRNGALPAIISLSTKFVPTIMNIMKKLAEEGQNHAANNPQRTPSKNSFNDSNADSSSSSSSLTALDNGTEYDGPLKDE >Vigun10g173500.1.v1.2 pep primary_assembly:ASM411807v1:10:39206387:39215382:-1 gene:Vigun10g173500.v1.2 transcript:Vigun10g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDSCCSTQILDGDGVYNVSGVDNFMKEVKLADCGLSYAVVSIMGPQSSGKSTLLNNLFRTNFREMDAFKGRSQTTKGIWMARCNGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSFEEKEEQFREQVASLRQRFHHSIAPGGLAGDRRGVVPASGFSFSCQEIWKVIKENKDLDLPAHKVMVATVRCEEITDEKYAAFSANEDWCQLEEAVQSDPVPGFGRKLSSLLGTCLSEYDAEAAFFDEGVRTAKQKQLQEKLFQLVHPAFQSALGHIRSGTLDKFKAAFDKALNGGEGFSMAARKCSGSCMVQFDEACADIVIEQANWDTSKVREKLVRDIDAHIAAVRAAKISELTSSYEEKLKQALSGPVEALLDGANSDTWSSIRNLLKRETLSAVSGFSAELIGFDMDDETRQNMLKSLEDYARGLVEGKAKEEVGRVLIRMKDRFTMLFSHDADSMPRVWTGKEDIRSITKTARSSSLKLLSVMAAIRLDDNDSDNIEKVLAVALVEPAPSSNGTRSMTVVDPLASSSWEEVSSSKTLITPVQCKSLWRQFRTETEYTVSQAIAAQEASKRNNNWLPPPWAIAALVILGFNEFMTLLRNPLYLGVIFVGYLLIKALWVQLDISGEFRNGALPAIISLSTKFVPTIMNIMKKLAEEGQNHAANNPQRTPSKNSFNDSNADSSSSSSSLTALDNGTEYDGPLKDE >Vigun09g025400.1.v1.2 pep primary_assembly:ASM411807v1:9:1978776:1980430:-1 gene:Vigun09g025400.v1.2 transcript:Vigun09g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAVIGVIIIVVVILVKIGILICVCRKRNQKNDRSVLPGSKFLTLTINKFLNDMEREKPIRFTDQQLRIATDNYSNLLGSGGFGTVYKGIFSNGTIVAVKVLRGTSDKKIEEQFMAEVGTIGRIHHFNLVRLHGFCFEENLVALVYEYMGNGSLDRYLFHEKKTLGYEKLHEIAVGTARGIAYLHEECQQRIIHYDIKPGNILLDRNFNPKVADFGLAKLCNRDNTHITMTKGRGTPGYAAPELWMPFPITPKCDVYGFGMLLFEIIGRRRNIDIKLAESQEWFPIRVWEKFDAGQLGELISICGIEEKDKKVAERMIKIALWCVQYRPELRPIMSVVVKMLEGSVEIPEPDNPFQHLMGGIAIAHPVPVSQTYNTASISSASSVMVTNSSIVCATPIMRKYGIELASSNV >Vigun09g021800.2.v1.2 pep primary_assembly:ASM411807v1:9:1701187:1706286:1 gene:Vigun09g021800.v1.2 transcript:Vigun09g021800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFGEDSDSRGGMWVLEQRIDQPMDEEGERLKNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGIDNPEDVIGALSLIIYSLTLVPLVKYVLIVLRANDNGQGGTLALYSLLCRHANIRTIPNQHRTDEQLTTYSRSTIREKSFAAKTKRWLEEQPYIKDIILILALVGTCMVIGDGILTPAISVLSAVGGIKVNHADLSNEVVVLVAVVILVGLFSMQHYGTDRVGWLFAPIVLLWFLLIGGIGIFNICKYDSSVLKAFSPLYIYRYLKRGGRDGWTSLGGILLSITGTEALFADLAHFPVSSVQIAFTLLVFPCLLLAYSGQAAYLMHNLNHSNDAFYRSIPDKIYWPVFVVATAAAIVASQATISATFSIIKQANAHGCFPRIKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFHNLFVFVKLESMMEGCSDSDDYSLYEQQTERSREGLLLNNNAITASMNMNPTVSSLDSIVSVTSPSQLNATVRSSGHVSNQTEVDEVEFLNSCRDAGVVHILGNTVVMASRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQVFYV >Vigun09g021800.5.v1.2 pep primary_assembly:ASM411807v1:9:1701707:1706082:1 gene:Vigun09g021800.v1.2 transcript:Vigun09g021800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFGEDSDSRGGMWVLEQRIDQPMDEEGERLKNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGIDNPEDVIGALSLIIYSLTLVPLVKYVLIVLRANDNGQGGTLALYSLLCRHANIRTIPNQHRTDEQLTTYSRSTIREKSFAAKTKRWLEEQPYIKDIILILALVGTCMVIGDGILTPAISVLSAVGGIKVNHADLSNEVVVLVAVVILVGLFSMQHYGTDRVGWLFAPIVLLWFLLIGGIGIFNICKYDSSVLKAFSPLYIYRYLKRGGRDGWTSLGGILLSITGTEALFADLAHFPVSSVQIAFTLLVFPCLLLAYSGQAAYLMHNLNHSNDAFYRSIPDKIYWPVFVVATAAAIVASQATISATFSIIKQANAHGCFPRIKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFHNLFVFVKLESMMEGCSDSDDYSLYEQQTERSREGLLLNNNAITASMNMNPTVSSLDSIVSVTSPSQLNATVRSSGHVSNQTEVDEVEFLNSCRDAGVVHILGNTVVMASRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQVFYV >Vigun09g021800.3.v1.2 pep primary_assembly:ASM411807v1:9:1701248:1706082:1 gene:Vigun09g021800.v1.2 transcript:Vigun09g021800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFGEDSDSRGGMWVLEQRIDQPMDEEGERLKNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGIDNPEDVIGALSLIIYSLTLVPLVKYVLIVLRANDNGQGGTLALYSLLCRHANIRTIPNQHRTDEQLTTYSRSTIREKSFAAKTKRWLEEQPYIKDIILILALVGTCMVIGDGILTPAISVLSAVGGIKVNHADLSNEVVVLVAVVILVGLFSMQHYGTDRVGWLFAPIVLLWFLLIGGIGIFNICKYDSSVLKAFSPLYIYRYLKRGGRDGWTSLGGILLSITGTEALFADLAHFPVSSVQIAFTLLVFPCLLLAYSGQAAYLMHNLNHSNDAFYRSIPDKIYWPVFVVATAAAIVASQATISATFSIIKQANAHGCFPRIKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFHNLFVFVKLESMMEGCSDSDDYSLYEQQTERSREGLLLNNNAITASMNMNPTVSSLDSIVSVTSPSQLNATVRSSGHVSNQTEVDEVEFLNSCRDAGVVHILGNTVVMASRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQVFYV >Vigun09g021800.1.v1.2 pep primary_assembly:ASM411807v1:9:1701187:1706286:1 gene:Vigun09g021800.v1.2 transcript:Vigun09g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFGEDSDSRGGMWVLEQRIDQPMDEEGERLKNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGIDNPEDVIGALSLIIYSLTLVPLVKYVLIVLRANDNGQGGTLALYSLLCRHANIRTIPNQHRTDEQLTTYSRSTIREKSFAAKTKRWLEEQPYIKDIILILALVGTCMVIGDGILTPAISVLSAVGGIKVNHADLSNEVVVLVAVVILVGLFSMQHYGTDRVGWLFAPIVLLWFLLIGGIGIFNICKYDSSVLKAFSPLYIYRYLKRGGRDGWTSLGGILLSITGTEALFADLAHFPVSSVQIAFTLLVFPCLLLAYSGQAAYLMHNLNHSNDAFYRSIPDKIYWPVFVVATAAAIVASQATISATFSIIKQANAHGCFPRIKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFHNLFVFVKLESMMEGCSDSDDYSLYEQQTERSREGLLLNNNAITASMNMNPTVSSLDSIVSVTSPSQLNATVRSSGHVSNQTEVDEVEFLNSCRDAGVVHILGNTVVMASRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQVFYV >Vigun09g021800.4.v1.2 pep primary_assembly:ASM411807v1:9:1701707:1706082:1 gene:Vigun09g021800.v1.2 transcript:Vigun09g021800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFGEDSDSRGGMWVLEQRIDQPMDEEGERLKNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGIDNPEDVIGALSLIIYSLTLVPLVKYVLIVLRANDNGQGGTLALYSLLCRHANIRTIPNQHRTDEQLTTYSRSTIREKSFAAKTKRWLEEQPYIKDIILILALVGTCMVIGDGILTPAISVLSAVGGIKVNHADLSNEVVVLVAVVILVGLFSMQHYGTDRVGWLFAPIVLLWFLLIGGIGIFNICKYDSSVLKAFSPLYIYRYLKRGGRDGWTSLGGILLSITGTEALFADLAHFPVSSVQIAFTLLVFPCLLLAYSGQAAYLMHNLNHSNDAFYRSIPDKIYWPVFVVATAAAIVASQATISATFSIIKQANAHGCFPRIKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVLVMLVTTLLMILIMILVWRCHWILVVVFTGLSLIVECTYFSAVLFKVDQGGWAPLAIAGAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFHNLFVFVKLESMMEGCSDSDDYSLYEQQTERSREGLLLNNNAITASMNMNPTVSSLDSIVSVTSPSQLNATVRSSGHVSNQTEVDEVEFLNSCRDAGVVHILGNTVVMASRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQVFYV >Vigun08g198300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36377222:36378103:-1 gene:Vigun08g198300.v1.2 transcript:Vigun08g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRREGYGAAAAEEEWEDFAAEKFSYGGGRKATREVKIKITKKQLEELVGKVEVKELRVEQVLAQFIDQSMERPWRPALQSIPEH >Vigun03g388100.2.v1.2 pep primary_assembly:ASM411807v1:3:59414131:59415221:-1 gene:Vigun03g388100.v1.2 transcript:Vigun03g388100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMGGDYNHFWDTFFQNQDLDSWAMDEAAMSGYYDSSSPDGGASSVASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIEYIQHLHEQEKRIEAEILDLESGKLKNPTYEFEHDLPILLRSKKKRTEQLFDSATSRNSPIQLIELRVAYMGDKTFVVSLTCSKRIDTMVKLCEVFESLNLKVITANITSFSGTLLKTVFIEVSLPFFPFAKISHYFGFLR >Vigun03g388100.1.v1.2 pep primary_assembly:ASM411807v1:3:59408923:59415448:-1 gene:Vigun03g388100.v1.2 transcript:Vigun03g388100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMGGDYNHFWDTFFQNQDLDSWAMDEAAMSGYYDSSSPDGGASSVASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIEYIQHLHEQEKRIEAEILDLESGKLKNPTYEFEHDLPILLRSKKKRTEQLFDSATSRNSPIQLIELRVAYMGDKTFVVSLTCSKRIDTMVKLCEVFESLNLKVITANITSFSGTLLKTVFIEADEEEKDVLQIKIETAISALNGPQSPMSI >Vigun01g235500.1.v1.2 pep primary_assembly:ASM411807v1:1:40704250:40705226:1 gene:Vigun01g235500.v1.2 transcript:Vigun01g235500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLLLMALALFIFPVATATLHVKGSVYCDTCRAGFETNVTFYIEGARVGIQCKERKSLKVAFYTEGVTDSTGTYHIEVENDHGDHICECMLVKSPIKWCSTPDSGRDRSSIVLTHYKNGIVNHLHYANAMGYLRDQPLPQCHNLLKYYLADSDF >Vigun03g345200.2.v1.2 pep primary_assembly:ASM411807v1:3:54431866:54438971:-1 gene:Vigun03g345200.v1.2 transcript:Vigun03g345200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDSVNSGGDAWINENRFRIVRQLGEGGFAYVYLVKELINDSAAGGLAKKLKDSSHISDDGTYAMKKVLIQNNEQLELVREEIRVSSLFNHPNLLPLLDHAIISVKPTQETSWNHEAYLLFPVHMDGTLLDNAKVMKSNKEFYSTSDVLQIFRQLCAGLKHMHSFDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQITNRSEALQLQEWAAEHCSAPFRAPELWDCPSQATIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQVKWPAGPKPPYPEAFHQFVSWMLQPQAAMRPRIDDIVIHVDKLIAKFSQ >Vigun03g345200.1.v1.2 pep primary_assembly:ASM411807v1:3:54431866:54438971:-1 gene:Vigun03g345200.v1.2 transcript:Vigun03g345200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDSVNSGGDAWINENRFRIVRQLGEGGFAYVYLVKELINDSAAGGLAKKLKDSSHISDDGTYAMKKVLIQNNEQLELVREEIRVSSLFNHPNLLPLLDHAIISVKPTQETSWNHEAYLLFPVHMDGTLLDNAKVMKSNKEFYSTSDVLQIFRQLCAGLKHMHSFDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQITNRSEALQLQEWAAEHCSAPFRAPELWDCPSQATIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQVKWPAGPKPPYPEAFHQFVSWMLQPQAAMRPRIDDIVIHVDKLIAKFSQ >VigunL043600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:446881:447126:1 gene:VigunL043600.v1.2 transcript:VigunL043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun07g201900.2.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32314509:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRETASAASKGSIGGEASEESSHGGESRTTVHIGNPRRSSFVWLALLLIIIYCCSSIYHYQFQSMPVPLTADEAGKRGFSEIEAFKHVKALTEVGPHPVGSEALHLAVQYVLTACQSIKKTALWEVDVEVDIFHAKSGANDLASGLLSGRTLVYSDLNYVVLRILPKYVSEAREESILVSSHIDTVFSTAGAGDCSSCVGVMLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun07g201900.3.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32314465:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRETASAASKGSIGGEASEESSHGGESRTTVHIGNPRRSSFVWLALLLIIIYCCSSIYHYQFQSMPVPLTADEAGKRGFSEIEAFKHVKALTEVGPHPVGSEALHLAVQYVLTACQSIKKTALWEVDVEVDIFHAKSGANDLASGLLSGRTLVYSDLNYVVLRILPKYVSEAREESILVSSHIDTVFSTAGAGDCSSCVGVMLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun07g201900.6.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32311516:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun07g201900.5.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32314465:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRETASAASKGSIGGEASEESSHGGESRTTVHIGNPRRSSFVWLALLLIIIYCCSSIYHYQFQSMPVPLTADEAGKRGFSEIEAFKHVKALTEVGPHPVGSEALHLAVQYVLTACQSIKKTALWEVDVEVDIFHAKSGANDLASGLLSGRTLVYSDLNYVVLRILPKYVSEAREESILVSSHIDTVFSTAGAGDCSSCVGVMLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun07g201900.4.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32314491:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRETASAASKGSIGGEASEESSHGGESRTTVHIGNPRRSSFVWLALLLIIIYCCSSIYHYQFQSMPVPLTADEAGKRGFSEIEAFKHVKALTEVGPHPVGSEALHLAVQYVLTACQSIKKTALWEVDVEVDIFHAKSGANDLASGLLSGRTLVYSDLNYVVLRILPKYVSEAREESILVSSHIDTVFSTAGAGDCSSCVGVMLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun07g201900.1.v1.2 pep primary_assembly:ASM411807v1:7:32295683:32314465:-1 gene:Vigun07g201900.v1.2 transcript:Vigun07g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRETASAASKGSIGGEASEESSHGGESRTTVHIGNPRRSSFVWLALLLIIIYCCSSIYHYQFQSMPVPLTADEAGKRGFSEIEAFKHVKALTEVGPHPVGSEALHLAVQYVLTACQSIKKTALWEVDVEVDIFHAKSGANDLASGLLSGRTLVYSDLNYVVLRILPKYVSEAREESILVSSHIDTVFSTAGAGDCSSCVGVMLELARGVSQWAHGLKRAVIFLFNTGEEEGLNGAHSFITQHPWSKTVRMAIDLEAMGIGGKSSIFQAGPHPWAIENYALVAKYPSAQVMAQDVFASGAIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLKTGSLQHLGENMLAFLLHIGASSHIPEGNSTEAEEDIRKNSAIYFDILGMYMVVYRQKFANMLHNSVILQSILIWITSLIMGGIPAAVSLTLSFLSVLLMWVFALSFSFLVAFLLPFISSSPVPYVSSPLLVVGLFGAPAFLGALIGQHLGFLLLQKYLLNAHSKRRQLPPIIKAAVVKMEAERWLFKAGSFQWLILLILGNYFKIGSSYVALVWLVSPAFAYGFFEATLTSERLPKPLKLITLILGLATPILFSAGIFIRLAAILIGGMVRFDRNPGGTPEWLGGFVIAAFIASLLSLTLVYLLSYVHLSGAKKAIILATLMLFASSLAIVVSGVVPPFSEDIARAVNVVHVVDATGKPDEGQNPKSYLSLFSTTPGNLNKEVEQINEGFVCGRDKTVDFVTFSVKYGCWAYNDTNSGWSEMDIPTMHVLSDAKGNGRITEVSIDTKGSIRWVLAINTEEIEDFELKDARDSEELISVGKKNGVDGWHIIQFSGGKKAPKLFDLTLHWRSGSTHNSDTPILKLRTDVDKLTPVTERVLTKLPRWCSLFGKSISPYTFAFLRNLYVNF >Vigun02g153100.6.v1.2 pep primary_assembly:ASM411807v1:2:29950655:29955152:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.9.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29953122:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.1.v1.2 pep primary_assembly:ASM411807v1:2:29950631:29955196:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.8.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29954261:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.2.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29955152:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.7.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29955152:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.5.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29954261:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKQVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.3.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29955152:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKQVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun02g153100.4.v1.2 pep primary_assembly:ASM411807v1:2:29950661:29955152:-1 gene:Vigun02g153100.v1.2 transcript:Vigun02g153100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICYHAHIDREIESLIERIHPPRVCIDNDSCRDCTVVKQVDSANRKGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDEAGKKLTDETLMLHIQQELCVTRSKGKILKDREGGSEKGEEEEVVGREITAMEMSGMDRAGLLSELAGVLVELGCVVTAATAWTHNDRVACIILVEDALKAGPISDPKRLGLVEEQLENVVAAHRGERKRVRVTRLGAGHTHRERRLHQLMYADRDYESCRACDGDSSGEHKKGCDGTHVSVGKCEDKGYLVVNVRSRDRPKLLFDTVCVLTDMQYVVFHAAISSKRSMAHQEYYIRQMGSSVIPSESEKQKLALCLIAAVERRVSHGLRVDIVTENRVGLLSNVTRVFRENGLSVSRVEIGTEGGKAVGSFFVTDCSGEEVNPNIVELVRRETGGSVVIDHKSPHRLHQSSSSSSSSSSSVIHQTNDTVEAKPKLSLGSLLWSRLERLSGGFGPVN >Vigun11g145600.1.v1.2 pep primary_assembly:ASM411807v1:11:35454472:35460262:1 gene:Vigun11g145600.v1.2 transcript:Vigun11g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDIIQKSKYGGLDVIETYVFWNLHEPVQGQYNFEGSADLVKFVKTVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNKPFKDEMKRFTAMIVDMMKKENLYASQGRPIILSQIENEYGNIDAAYGPAAKSYIKWAASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFNPNSNSKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFYQLGGTFNNYYMYHGGTNFGRTTGGPFISTSYDYDSPIDEYGTIRQPKWGHLKDLHKAIKLCEEALTATDPTITSIGPNIEAAVYKTGSTCAAFIANNNETSDANVTFNGNSYHLPAWSVSILPDCRNVVLNTAKISSVSTISSFTTESLKEKIGSSDDSSSRWSWISEPVGISKADSFSKFGLVEQINTTGDRSDYLWYSLSIDLDAGTQTVLHIESLGHALHAFINGKLAGSGTGTKDKAAVKVDIPVTLVAGKNTIDLLSLTVGLQNYGAFFDLRGAGITGPVILKSLNNGSSLDLSSHNWTYQIGLKGEDLGPSSGSFGQWNSQSTLPINQPLIWYKKNFTAPSGSDPVAINMTGMGKGEAWVNGKSIGRYWPTNVAPSDGCTDSCNYRGSYHASKCLRNCGKPSQALYHVPRSWLRPEGNTLVLFEETGGNPEKITFATKQIASVCSYASESHPLHVDLWNSDAESGRKVEPVLFLECPYPNLVISSIKFASFGTPQGTCGNFKHGNCSSNKALSVVQKGCIGSSSCRIEVSINTFGDPCEGVAKNLAVEASCA >Vigun11g145600.3.v1.2 pep primary_assembly:ASM411807v1:11:35446933:35460262:1 gene:Vigun11g145600.v1.2 transcript:Vigun11g145600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTAMIVDMMKKENLYASQGRPIILSQIENEYGNIDAAYGPAAKSYIKWAASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFNPNSNSKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFYQLGGTFNNYYMYHGGTNFGRTTGGPFISTSYDYDSPIDEYGTIRQPKWGHLKDLHKAIKLCEEALTATDPTITSIGPNIEAAVYKTGSTCAAFIANNNETSDANVTFNGNSYHLPAWSVSILPDCRNVVLNTAKISSVSTISSFTTESLKEKIGSSDDSSSRWSWISEPVGISKADSFSKFGLVEQINTTGDRSDYLWYSLSIDLDAGTQTVLHIESLGHALHAFINGKLAGSGTGTKDKAAVKVDIPVTLVAGKNTIDLLSLTVGLQNYGAFFDLRGAGITGPVILKSLNNGSSLDLSSHNWTYQIGLKGEDLGPSSGSFGQWNSQSTLPINQPLIWYKKNFTAPSGSDPVAINMTGMGKGEAWVNGKSIGRYWPTNVAPSDGCTDSCNYRGSYHASKCLRNCGKPSQALYHVPRSWLRPEGNTLVLFEETGGNPEKITFATKQIASVCSYASESHPLHVDLWNSDAESGRKVEPVLFLECPYPNLVISSIKFASFGTPQGTCGNFKHGNCSSNKALSVVQKGCIGSSSCRIEVSINTFGDPCEGVAKNLAVEASCA >Vigun11g145600.2.v1.2 pep primary_assembly:ASM411807v1:11:35446916:35460262:1 gene:Vigun11g145600.v1.2 transcript:Vigun11g145600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTAMIVDMMKKENLYASQGRPIILSQIENEYGNIDAAYGPAAKSYIKWAASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFNPNSNSKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFYQLGGTFNNYYMYHGGTNFGRTTGGPFISTSYDYDSPIDEYGTIRQPKWGHLKDLHKAIKLCEEALTATDPTITSIGPNIEAAVYKTGSTCAAFIANNNETSDANVTFNGNSYHLPAWSVSILPDCRNVVLNTAKISSVSTISSFTTESLKEKIGSSDDSSSRWSWISEPVGISKADSFSKFGLVEQINTTGDRSDYLWYSLSIDLDAGTQTVLHIESLGHALHAFINGKLAGSGTGTKDKAAVKVDIPVTLVAGKNTIDLLSLTVGLQNYGAFFDLRGAGITGPVILKSLNNGSSLDLSSHNWTYQIGLKGEDLGPSSGSFGQWNSQSTLPINQPLIWYKKNFTAPSGSDPVAINMTGMGKGEAWVNGKSIGRYWPTNVAPSDGCTDSCNYRGSYHASKCLRNCGKPSQALYHVPRSWLRPEGNTLVLFEETGGNPEKITFATKQIASVCSYASESHPLHVDLWNSDAESGRKVEPVLFLECPYPNLVISSIKFASFGTPQGTCGNFKHGNCSSNKALSVVQKGCIGSSSCRIEVSINTFGDPCEGVAKNLAVEASCA >Vigun10g107500.1.v1.2 pep primary_assembly:ASM411807v1:10:30651457:30652208:-1 gene:Vigun10g107500.v1.2 transcript:Vigun10g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFVVIFVLAFVTQLVYGGGEGSLTVKECPKACDYRCSKASGREDCLYFCNLCCDKCLCVPSGTFGNKEECPCYNNWKNKSGKPKCP >Vigun05g274500.4.v1.2 pep primary_assembly:ASM411807v1:5:46455857:46458767:-1 gene:Vigun05g274500.v1.2 transcript:Vigun05g274500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAIFFSAIIFLVYGNGVLPTEATHHVYRNLQTLSSHDHSSDHQPYRTAYHFQPPKNWINAPMRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDHAIYPSQQSDINGCWSGSATTLPGGKPAILYTGIDPNNHQVQNLAIPKNFSDPFLREWVKSPKNPLMAPTQANQINSSSFRDPTTAWKGKDGYWRVLIGSKVHRRGMAILYKSKNFVNWVQAEHPLHSSEGTGMWECPDFYPVLNKSPLTTGLDTSVNGDHVRHVLKVSLDDTKHDHYLIGSYDSAKDIFTPDSGFESTKPVLRHDYGKYYASKTIFEDGKNRRVLFGWVNESSSVADDIEKGWSGIHTIPRTIWLHKSGKQLVQWPVVEIESLRVKPVHWPSRVVNGGETIQVTGVTAAQADVEISFEVNEFGKAEVLDHLEDPQILCGRKGAIVKGLP >Vigun05g274500.1.v1.2 pep primary_assembly:ASM411807v1:5:46455748:46459117:-1 gene:Vigun05g274500.v1.2 transcript:Vigun05g274500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAIFFSAIIFLVYGNGVLPTEATHHVYRNLQTLSSHDHSSDHQPYRTAYHFQPPKNWINDPNAPMRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDHAIYPSQQSDINGCWSGSATTLPGGKPAILYTGIDPNNHQVQNLAIPKNFSDPFLREWVKSPKNPLMAPTQANQINSSSFRDPTTAWKGKDGYWRVLIGSKVHRRGMAILYKSKNFVNWVQAEHPLHSSEGTGMWECPDFYPVLNKSPLTTGLDTSVNGDHVRHVLKVSLDDTKHDHYLIGSYDSAKDIFTPDSGFESTKPVLRHDYGKYYASKTIFEDGKNRRVLFGWVNESSSVADDIEKGWSGIHTIPRTIWLHKSGKQLVQWPVVEIESLRVKPVHWPSRVVNGGETIQVTGVTAAQADVEISFEVNEFGKAEVLDHLEDPQILCGRKGAIVKGGLGPFGLLVFASRGLQEYTAVFFRIFRYQNKNLVLMCSDQSRSSLNNDNDKTTYGTFVDVDPLREKLSLRTLIDHSVVESFEGEGRACITARVYPTIAINEKAQLYAFNNGTASVKITKLSAWSMKKAKIN >Vigun05g274500.5.v1.2 pep primary_assembly:ASM411807v1:5:46455856:46458486:-1 gene:Vigun05g274500.v1.2 transcript:Vigun05g274500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWGYSADPNAPMRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDHAIYPSQQSDINGCWSGSATTLPGGKPAILYTGIDPNNHQVQNLAIPKNFSDPFLREWVKSPKNPLMAPTQANQINSSSFRDPTTAWKGKDGYWRVLIGSKVHRRGMAILYKSKNFVNWVQAEHPLHSSEGTGMWECPDFYPVLNKSPLTTGLDTSVNGDHVRHVLKVSLDDTKHDHYLIGSYDSAKDIFTPDSGFESTKPVLRHDYGKYYASKTIFEDGKNRRVLFGWVNESSSVADDIEKGWSGIHTIPRTIWLHKSGKQLVQWPVVEIESLRVKPVHWPSRVVNGGETIQVTGVTAAQADVEISFEVNEFGKAEVLDHLEDPQILCGRKGAIVKGLP >Vigun05g274500.2.v1.2 pep primary_assembly:ASM411807v1:5:46455858:46458766:-1 gene:Vigun05g274500.v1.2 transcript:Vigun05g274500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAIFFSAIIFLVYGNGVLPTEATHHVYRNLQTLSSHDHSSDHQPYRTAYHFQPPKNWINAPMRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDHAIYPSQQSDINGCWSGSATTLPGGKPAILYTGIDPNNHQVQNLAIPKNFSDPFLREWVKSPKNPLMAPTQANQINSSSFRDPTTAWKGKDGYWRVLIGSKVHRRGMAILYKSKNFVNWVQAEHPLHSSEGTGMWECPDFYPVLNKSPLTTGLDTSVNGDHVRHVLKVSLDDTKHDHYLIGSYDSAKDIFTPDSGFESTKPVLRHDYGKYYASKTIFEDGKNRRVLFGWVNESSSVADDIEKGWSGIHTIPRTIWLHKSGKQLVQWPVVEIESLRVKPVHWPSRVVNGGETIQVTGVTAAQADVEISFEVNEFGKAEVLDHLEDPQILCGRKGAIVKGGLGPFGLLVFASRGLQEYTAVFFRIFRYQNKNLVLMCSDQSRSSLNNDNDKTTYGTFVDVDPLREKLSLRTLIDHSVVESFEGEGRACITARVYPTIAINEKAQLYAFNNGTASVKITKLSAWSMKKAKIN >Vigun05g274500.3.v1.2 pep primary_assembly:ASM411807v1:5:46455856:46458486:-1 gene:Vigun05g274500.v1.2 transcript:Vigun05g274500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWGYSADPNAPMRYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDHAIYPSQQSDINGCWSGSATTLPGGKPAILYTGIDPNNHQVQNLAIPKNFSDPFLREWVKSPKNPLMAPTQANQINSSSFRDPTTAWKGKDGYWRVLIGSKVHRRGMAILYKSKNFVNWVQAEHPLHSSEGTGMWECPDFYPVLNKSPLTTGLDTSVNGDHVRHVLKVSLDDTKHDHYLIGSYDSAKDIFTPDSGFESTKPVLRHDYGKYYASKTIFEDGKNRRVLFGWVNESSSVADDIEKGWSGIHTIPRTIWLHKSGKQLVQWPVVEIESLRVKPVHWPSRVVNGGETIQVTGVTAAQADVEISFEVNEFGKAEVLDHLEDPQILCGRKGAIVKGGLGPFGLLVFASRGLQEYTAVFFRIFRYQNKNLVLMCSDQSRSSLNNDNDKTTYGTFVDVDPLREKLSLRTLIDHSVVESFEGEGRACITARVYPTIAINEKAQLYAFNNGTASVKITKLSAWSMKKAKIN >Vigun01g018000.1.v1.2 pep primary_assembly:ASM411807v1:1:1940282:1941684:-1 gene:Vigun01g018000.v1.2 transcript:Vigun01g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRCSIVNVTFLLTFFIMISDLCTMKIEAREPIYRLPCDNDKQCQVGCHNPNCGCASVCIKQVCQCPHLTLTYTDTVKPPYKAPPPPYQSPPPPQQTPPSLPHQAPPLPPHHTPHQAPPPPPYHYPPQDYQPQQTPPSLPHQAPPLPPHHTPHQAPPPPPYHYPPQDYQPQQTPPSLPHQAPPLPPHHTPHQAPPPPPYHYPPQDYQPHQTPPPPPHQAPPPPPYHTPHQAPPPPPYHYPPQDYQPHQAPPPPPHQAPLPPTPYHTPHQAPRPPPQQAPPSPPPYHTPVPPPHQAPPPPPYHYPPQDYQPHQTPSPPPHQAPPPCHTPVPPPYQGPPPPPHEAPPYKAPPPPPYQTQVPPPPPTHQAPPPPPHKVPPPPPPY >Vigun11g216484.1.v1.2 pep primary_assembly:ASM411807v1:11:41020607:41021366:1 gene:Vigun11g216484.v1.2 transcript:Vigun11g216484.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIINILLIFWLKIILKLGGLNSTLQGKRRLSVLVSCVSTIIIAMFVILLLLQALSRSSYGSQPLLSNYSISIHFSSLNGNNQYFTNLLVEDHS >Vigun08g167000.1.v1.2 pep primary_assembly:ASM411807v1:8:33864823:33866199:-1 gene:Vigun08g167000.v1.2 transcript:Vigun08g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQSWVSNHKLATLGGLWASGIGASLVANSRTSSPMKPSLRLIHARLHAQALTLAVLSGAAVYRYYAIHESGPKQQAHDPSPTVAQIAEWELQSPF >Vigun07g269900.2.v1.2 pep primary_assembly:ASM411807v1:7:38560679:38564006:-1 gene:Vigun07g269900.v1.2 transcript:Vigun07g269900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRQERETETLNQARQLVFRSDHTLAAQGAPHLGCCQPIGTGGYHPPGDPSAPLRFPRYFSGSSSTHMPPAAPAPVAPQPYLYASPTRPVSFGSSHFPHQHPVNDYYVGHVMSGSHGHYVGGGGGGGESTSSYTCIGAPVGQGGGFGSGGGGGGKDGSLHNNQEEGLSWGRGYPAGAQHRLDPPSAINRFQDGF >Vigun07g269900.1.v1.2 pep primary_assembly:ASM411807v1:7:38560679:38564006:-1 gene:Vigun07g269900.v1.2 transcript:Vigun07g269900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGNPLDLNNLPDEFSRDGKQVLEDHTSSPGCRKKKSGGKDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNQARQLVFRSDHTLAAQGAPHLGCCQPIGTGGYHPPGDPSAPLRFPRYFSGSSSTHMPPAAPAPVAPQPYLYASPTRPVSFGSSHFPHQHPVNDYYVGHVMSGSHGHYVGGGGGGGESTSSYTCIGAPVGQGGGFGSGGGGGGKDGSLHNNQEEGLSWGRGYPAGAQHRLDPPSAINRFQDGF >VigunL059101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000098.1:106294:110981:1 gene:VigunL059101.v1.2 transcript:VigunL059101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSKIEKDPGIPNNWPFKEQELKALQARRTKAIEELEQKKAEHKERAHKSKLGLLEEEDDSKLLEDSNKNTNDFGNAAKTRDSSDRAFYKDLFKVIEASDVLLEVLDAWNPLRTRCPEIENMVMKSGPNKRLVLVLNKIDLVLEEALEKWLKYLREELPTVAFKCSTQQQRSKGRIASKKAKSSNCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCLGVVMLKSQHNDASIALKNCKRIENLEDPISPGCFLSLNMLFLCKFLIPFSSIFSNRITLNYKFNYFQNRSLFTTSLYFCVNNDGVNERVTLYKIGSFNVGDVDDFLLKVAEEKRKLKEGGIHDVATTARIVLRDWNEGKIQYYTMPPNRDQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKYVDDFNVVEVPSSHPLNLTEMMLEDETETKSGNQGEGPGNVGEVDEAMEDDGGKKKDNNAASRQNEKLYTADGMLNTKLRRAEKNKRRKNKKASMDGDYDFKTDYFQKGALMDSENSQSEDADDEPVNSEVPVCLHPN >Vigun09g249400.1.v1.2 pep primary_assembly:ASM411807v1:9:41659500:41663377:-1 gene:Vigun09g249400.v1.2 transcript:Vigun09g249400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLSLILLFTLFLPFASASDTSDLFERWCKEHAKTYSSEEEKRYRFRVFEDNYAFVSQHNHNANANNSTYTLSLNAFADLTHHEFKTSRLGFSPSMLRFKRLQNQQPHQLLHLPSEIDWRQSGAVTPVKDQGACGACWAFSATGAIEGINKIVTGSLESISEQELVDCDTSYNSGCEGGLMDYAYQFIIDNKGIDTEDDYPYQARQRPCNKDKLKRRIVTIDDYADLPSNEEEILKAVASQPVSVGICGSDRAFQLYSQGIFSGPCSTALDHAVLIVGYGSENGVDYWIVKNSWGKYWGMNGYIHMIRNSGDSKGICGINTLASYPIKTNPNPPPPPAPVRCNLFTHCSEGETCCCATSFLGICFSWKCCGLTSAVCCKDRRHCCPQDYPICDTKKSQCLKITNGTTAITSGNQDFSNKPRGWKSH >Vigun03g220200.1.v1.2 pep primary_assembly:ASM411807v1:3:36631778:36637199:-1 gene:Vigun03g220200.v1.2 transcript:Vigun03g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGKLLQLPLPSLVNRGLRSSKPISIRFRINTSSSSPIPPNAFSQDKHKHKHLSDKVMVALLVATSSDPASINPANALLAMPGWQPGPHFQDDMKSFVNEGVRVLLHAKSIVEEDDLDRRWEEVTGEVVNEVIFFSKHTAVSNKPALTVHPIGVPHLREGDVPPQGGRPGWAALPNPRMGPWLRLLKNIAQAHNLVPEFEITLEATHHGPLTNKPTMFLEIGSTEDYWKRQDAAQVMAQLVWEGLGLGGGTDVGNWSREKNNKKILLGIGGGHYAPRHMDVVLKDNVWVGHLLSGYSVPMEDPKQSQGETDVEIGGTWRESIKAAYEATKSAFPGGEILAHLDNKSFKGWQRNAITGFLAEQNIKIGKPNSFY >Vigun07g020300.5.v1.2 pep primary_assembly:ASM411807v1:7:1693069:1696220:1 gene:Vigun07g020300.v1.2 transcript:Vigun07g020300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILISSRSNLLPPFQFQTLSTTTVTERPFPPPPPPDEATVRQTLLSFNNDWKRALEFFDWVEEAHSHCNFRHSTDTFNLVLDILAKFFEFDLCWHLIRRMHSRPSSPPNHTTFRVIFKRYVSAHLVQDAIDTFHRLEEFNLKDHTSFSHLIDALCEYKHAVEAQDLVFSKDMPVDVIGNTKIHNMVLRGWFKLGWWSKCNEFWEEMDRKGVQKDLHSYSIYMDILCKAGKPWKAVKLFKEVKRKGFQLDVVVYNILIRAIGLSQGVDFSIRVFREMKELGINPTVVTYNTLIRLLCDCYRHKEALALLRTMARDACHPTAISYHCFFASMEKPKQILAMFDDMIESGVRPSMDTYVMLLNKFDALVDKGLIDMARKYDEEMLTKGLSPKPRKELGTKLLGGESADLLDGYSQSTIQTQNVPLV >Vigun07g020300.4.v1.2 pep primary_assembly:ASM411807v1:7:1693069:1696220:1 gene:Vigun07g020300.v1.2 transcript:Vigun07g020300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILISSRSNLLPPFQFQTLSTTTVTERPFPPPPPPDEATVRQTLLSFNNDWKRALEFFDWVEEAHSHCNFRHSTDTFNLVLDILAKFFEFDLCWHLIRRMHSRPSSPPNHTTFRVIFKRYVSAHLVQDAIDTFHRLEEFNLKDHTSFSHLIDALCEYKHAVEAQDLVFSKDMPVDVIGNTKIHNMVLRGWFKLGWWSKCNEFWEEMDRKGVQKDLHSYSIYMDILCKAGKPWKAVKLFKEVKRKGFQLDVVVYNILIRAIGLSQGVDFSIRVFREMKELGINPTVVTYNTLIRLLCDCYRHKEALALLRTMARDACHPTAISYHCFFASMEKPKQILAMFDDMIESGVRPSMDTYVMLLNKFDALVDKGLIDMARKYDEEMLTKGLSPKPRKELGTKLLGGESADLLDGYSQSTIQTQNVPLV >Vigun07g020300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1693069:1696220:1 gene:Vigun07g020300.v1.2 transcript:Vigun07g020300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILISSRSNLLPPFQFQTLSTTTVTERPFPPPPPPDEATVRQTLLSFNNDWKRALEFFDWVEEAHSHCNFRHSTDTFNLVLDILAKFFEFDLCWHLIRRMHSRPSSPPNHTTFRVIFKRYVSAHLVQDAIDTFHRLEEFNLKDHTSFSHLIDALCEYKHAVEAQDLVFSKDMPVDVIGNTKIHNMVLRGWFKLGWWSKCNEFWEEMDRKGVQKDLHSYSIYMDILCKAGKPWKAVKLFKEVKRKGFQLDVVVYNILIRAIGLSQGVDFSIRVFREMKELGINPTVVTYNTLIRLLCDCYRHKEALALLRTMARDACHPTAISYHCFFASMEKPKQILAMFDDMIESGVRPSMDTYVMLLNKFGRWGFLRPVFMIWNRMEQLGCSPDAAAYNALIDALVDKGLIDMARKYDEEMLTKGLSPKPRKELGTKLLGGESADLLDGYSQSTIQTQNVPLV >Vigun07g020300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1693069:1696220:1 gene:Vigun07g020300.v1.2 transcript:Vigun07g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILISSRSNLLPPFQFQTLSTTTVTERPFPPPPPPDEATVRQTLLSFNNDWKRALEFFDWVEEAHSHCNFRHSTDTFNLVLDILAKFFEFDLCWHLIRRMHSRPSSPPNHTTFRVIFKRYVSAHLVQDAIDTFHRLEEFNLKDHTSFSHLIDALCEYKHAVEAQDLVFSKDMPVDVIGNTKIHNMVLRGWFKLGWWSKCNEFWEEMDRKGVQKDLHSYSIYMDILCKAGKPWKAVKLFKEVKRKGFQLDVVVYNILIRAIGLSQGVDFSIRVFREMKELGINPTVVTYNTLIRLLCDCYRHKEALALLRTMARDACHPTAISYHCFFASMEKPKQILAMFDDMIESGVRPSMDTYVMLLNKFGRWGFLRPVFMIWNRMEQLGCSPDAAAYNALIDALVDKGLIDMARKYDEEMLTKGLSPKPRKELGTKLLGGESADLLDGYSQSTIQTQNVPLV >Vigun07g020300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1693069:1696220:1 gene:Vigun07g020300.v1.2 transcript:Vigun07g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLILISSRSNLLPPFQFQTLSTTTVTERPFPPPPPPDEATVRQTLLSFNNDWKRALEFFDWVEEAHSHCNFRHSTDTFNLVLDILAKFFEFDLCWHLIRRMHSRPSSPPNHTTFRVIFKRYVSAHLVQDAIDTFHRLEEFNLKDHTSFSHLIDALCEYKHAVEAQDLVFSKDMPVDVIGNTKIHNMVLRGWFKLGWWSKCNEFWEEMDRKGVQKDLHSYSIYMDILCKAGKPWKAVKLFKEVKRKGFQLDVVVYNILIRAIGLSQGVDFSIRVFREMKELGINPTVVTYNTLIRLLCDCYRHKEALALLRTMARDACHPTAISYHCFFASMEKPKQILAMFDDMIESGVRPSMDTYVMLLNKFGRWGFLRPVFMIWNRMEQLGCSPDAAAYNALIDALVDKGLIDMARKYDEEMLTKGLSPKPRKELGTKLLGGESADLLDGYSQSTIQTQNVPLV >Vigun02g040800.1.v1.2 pep primary_assembly:ASM411807v1:2:16655674:16670107:-1 gene:Vigun02g040800.v1.2 transcript:Vigun02g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNVLFTAPSAGKKNATLICAPIMGESIEKMKIDVEKAKAGGVDVVEIRLDSLSSFDPHQDLTTLIQHHALPLLFTYRPKWEGGMYDGDENKRLDALRLAMELGADYIDVELQVAHGFFDSIRGKTFKKTKVIVSSHNYQLTPSIEDLGNLVARIQATGADIVKIATTATDITDVARMFQIMVHSQVPFIGLVMGDRGLISRILCAKFGGYLTFGTLESGVVSAPGQPTLKDLLYLYNLRLVAPDTKVYGIIGKPVGHSKSPILFNEVFKSVGYNGVYVFLLVDDLANFLRTYSSTDFAGFSVTIPHKEAAIKCCDEVDPVAKSIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGGSTNISPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYDRARELADAIGGDALALSDLDSYHPEDGMILANTTSIGMQPKVDETPISKYAFKYYSLVFDAVYTPKITRLLKEAEESGATIVTGLEMFMGQAYGQYENFTGLPAPRELFRKIMENY >Vigun01g023100.1.v1.2 pep primary_assembly:ASM411807v1:1:2453512:2455788:1 gene:Vigun01g023100.v1.2 transcript:Vigun01g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNAMHHNNVVEDHETMYQTYPCPYYVQSPSTLSHANSADIRIQNDSESTFHSPIRSETHPLNPTHEEDEGSRFALCRYTSSRGSSHSFSHHKKVSYDGSHVTVTENGDVNRFVIVNGDGDNGRDENESRGEGSFFEYYYGERKGGWKRYFSYRNSDSCAWIWLQMWWRILVSFGIALLVFYIATKPPSPNVSVEIARFSEFKLAEGVDRSGVTTKILTCNCSLNLMIENKSRFFGLHIRPPTMDMKFSNLPFAFSNAPELYAESGLSIFALQLGAKNKAMYGAGRNMEDMLDSGRGLPLVIRVILSSSFKVVPTLIKPTFHHHLQCLVLLKNSYDKKHRTQEFDSTCVVN >Vigun05g159400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25585459:25585818:-1 gene:Vigun05g159400.v1.2 transcript:Vigun05g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >VigunL059004.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000110.1:630:953:-1 gene:VigunL059004.v1.2 transcript:VigunL059004.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHVMHVLDCEKCEKVLFTTRGKWNVANRDELFVDLRRRQPFGLLETREAMLNCEEDGLLGCFNQWEATRELQILWTLGLLEATGGPARTPNTTDSWVVGSTGRPH >Vigun11g113600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31654837:31657558:-1 gene:Vigun11g113600.v1.2 transcript:Vigun11g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKVTALLPKPCFTTTLNSLECGSLLQSFSNSKSLTQAQQLHAHVTTGGNLRHNTYLATKLAACYAACGHMPHAQLIFDQIVLKNSFLWNSMIRGYACHHSPTKSLVLYREMLRFGQKPDKFTYPFVLKACGDLLLRGIGRKVHALVVVGGLEEDVYVGNSILSMYLKFGDVAAAQVVFDKMPLRDLTSWNTMVSGCVKNGETQGAFEIFGEMRRVGVVGDGTTLLALLSACGDVMDLKVGKEIHGHVVRNGGNGKVYNGFLVNSIIDMYCNCESISCARKLFEGLIVKDIVSWNSLISGYEKRGDAFEVLELFGRMVEGSEVPDEVTVISVLGACNQISALRLGTSVHSYGVKRGYGVNVAVGTALIGMYANCGSLICACCVFDEMPEKNLAVWTVMVTGFGIHGRGREAVSIFYEMLGTNVTPDEGIFTAVLSACSHSGLVDEGKEIFYKVTRDYNVDPGPTHYACLVDLLGRAGYLDEAYAVIQSMKLEPNEDVWTALLSACRLHRNVKLAEISAQKLFELNPNGASGYVCLSNIYAAERRWKDVENVRALVKKSRLKKAPSYSFVELNKMFHQFFVGDTSHQQSDDIYAKLKDLNEQLKKAGYKPDTTSVLFDVEEEIKEKMLWNHSERLALAFALITTAPGTTIRITKNLRVCGDCHTVIKMISKLTDREIIMRDISRFHHFRDGLCSCGGYW >Vigun01g219700.2.v1.2 pep primary_assembly:ASM411807v1:1:39354851:39356030:-1 gene:Vigun01g219700.v1.2 transcript:Vigun01g219700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQYLLWFKRKQILNSHIQQPFGARNTNTNSSWEERAFAEDAARILGGCIWPPRSYSCNFCKREFRSAQALGGHMNVHRRDRARLKQSLSHHNESLQHLHNKNDFAASKASSSRPSTISTQENCYHQPTMSSISWGHHHMGSSDSEPKGSPVNGREQFLKGSGCNDYVETSLSVGQSSVFGQKLPIESYGDEAISYKRSKTSMLPLPVFHKPCSSDRHLTFHSAEFVLGLKPGMEDLDLELRLGKPQKVI >Vigun01g219700.1.v1.2 pep primary_assembly:ASM411807v1:1:39354851:39356030:-1 gene:Vigun01g219700.v1.2 transcript:Vigun01g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQYLLWFKRKQILNSHIQQPFGARNTNTNSSWEERAFAEDAARILGGCIWPPRSYSCNFCKREFRSAQALGGHMNVHRRDRARLKQSLSHHNESLQHLHNKNDCSVAASKASSSRPSTISTQENCYHQPTMSSISWGHHHMGSSDSEPKGSPVNGREQFLKGSGCNDYVETSLSVGQSSVFGQKLPIESYGDEAISYKRSKTSMLPLPVFHKPCSSDRHLTFHSAEFVLGLKPGMEDLDLELRLGKPQKVI >Vigun03g156900.1.v1.2 pep primary_assembly:ASM411807v1:3:16868332:16874125:1 gene:Vigun03g156900.v1.2 transcript:Vigun03g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDGAPLLEDGLLQDERSEEYTGDGTEDFRGRPVLKKNTGNWRACPFILGNECCERLAFFGISSNLVTYLTTKLHQGNVSAARNVSIWQGTSYLTPLIGAVLGDGYWGRYWTIAVFSFIYLIGLCSLTLSASLPALKPAECLGSVCPSATPAQYAVFYFGLYVIALGAGGVKTCVPSFGADQFDDSDPEERIKKGSFFNWYYFSIYLGAILSCTLIVWIQDNAGWGLGFGIPALFMGLSIVSFFLGTRLYRFQKPRGSPVTRICQVLYASVWKCNLVVPWDSNLLYELPEERYAIRGSHKLEHSEDLRCLDRAAIVSDYERKSGDYSNQWRLCTVTQVEELKILIQLLPIWATGIIFSAVYAQMSTLFVEQAILMDTFIGNFKLPPASLSTFDVISVIIWVPLYDKIIVPIIRKFTGKERGFSKLQRIGIGLFFSVLCMLSAAVVEIRRLDLAREFDLVDEPVAVPLSIFWQIPQNFFLGAAEVFTFVGQLEFLYDQAPNSMKTLGTALPLLNFSLGNYFSSFILTTVTYFTTQGGNLGWIPDNLNKGHLDYFFLLLAGLSFLNLLAYIVAAKRYKQKKGY >Vigun11g174000.1.v1.2 pep primary_assembly:ASM411807v1:11:37911305:37914799:-1 gene:Vigun11g174000.v1.2 transcript:Vigun11g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQALSVPASASFAIASRSRTPSHVVFSHRNPCSVASSFPSPYGNSSEVGLSSKSGGFPLKFDEKNIHGASTSYGAIEAKKGNPSITPAVMTPGGPLDLQSVLFRNRIIFIGQPVNSQVAQRVISQLVTLATIDEKSDILVYINCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYSAFTGQPLEKVQQYTERDRFLSVSEALEFGLIDGVLETEY >Vigun11g194600.1.v1.2 pep primary_assembly:ASM411807v1:11:39364543:39365110:1 gene:Vigun11g194600.v1.2 transcript:Vigun11g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFNQHLFLGILIMVLALPSELVVAKLFPTESVGVDGGPNCNGLKCSLGTEFCTTCCNGQGSKEGKCVPKGAFFVCQCQ >Vigun03g300300.1.v1.2 pep primary_assembly:ASM411807v1:3:48855997:48859826:-1 gene:Vigun03g300300.v1.2 transcript:Vigun03g300300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEELKGADAEEGEEERGLTLVGYDAKRVLVGAGARVLFYPTLFYNVVRNKIQADFRWWDKVDEFILLGAVPFPIDVPHLKELGVRAVITLNESYETLVPTTLYYTHGINHLVIPTRDYYFAPSLRDIQRAVNFIHENALSGRTTYVHCKAGRGRSTTIVICYLVHHKMMTPDAAYAYVKSIRPRVLLASSQWQVVQEYYNHIMVRRAVGCAPSTNLLVKASEGAIGSQDLVIFDDSSVVMVTGSDLEGYNPSNESRTVASEIWADLSVVYRVRVAGEAAFQRISCLWLRYGTTNHNTFTAKPSNRHIGEINVDIHMY >Vigun11g048500.2.v1.2 pep primary_assembly:ASM411807v1:11:7572447:7577133:-1 gene:Vigun11g048500.v1.2 transcript:Vigun11g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSMESFEMLGVYLCFLCFLSMSSTLEMITPTQPLRDSKNENETLVSTNGTFEAGFFSPEIDSLRRYLGIWYKNISPRTVVWVANKENPLLDHSGVLKIFYGDVYITDGTGAIIWSPNNTAYDSVAMELLESGNMVAKDVNNKILWQSFDYPDDTLLPGMKLGMDLRTGHQRALTSWRSYNDPAPGEFSFRVHTGGLPQIVITKGSSDDIANRAAVYRPGSWNGLSLNGGVAGERIYPLIKSLFVMNKDEISYEIQALDSSTLLRSRLLPDGHLIRFIWLDGYKRWDTVYAASFDECERYDMCGANSICIGSGAHQHCECLGGFQTYFSESTCYRTTPPDCNQGDSFQKYEGVKLPDTSSSWYSDTISVDECQKLCLTNCSCSAYSHLNTSANGTACVYWLHDIVDVRTLPENGHYFYLRIAPSKFQGHAFNMQKRDQAFDRRKIGGIVVGGTIFIIVIVVFGFIFFLKRNKLKQSETNYWTDKNKKNDIDLPMFDFLSISNATNNFSESNKLGQGGFGPVYKGILSDGQEIAVKKLSKTSRQGLDEFKNEVMLITKLQHCNLVKLLGCSIQQDEKILIYEFMPNRSLDYFIFDSTRSSLIGWAKRFEIVDGIARGLLYLHQDSRLKIIHRDLKTGNVLLDSNMKSKISDFGMARTFSPDQDEANKNKVMGTYGYMSPEYAVHGSFSIKSDIFSFGVIVLEIISGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMDGSVNSQVELSKMLRYIHIGLLCVQHRSEDRPTMSSVVLMLNSENLLPEPSQPGFYPGTNKLTMTDSSPRNYDVYSLNKISETLFEAR >Vigun11g048500.3.v1.2 pep primary_assembly:ASM411807v1:11:7572447:7577133:-1 gene:Vigun11g048500.v1.2 transcript:Vigun11g048500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSMESFEMLGVYLCFLCFLSMSSTLEMITPTQPLRDSKNENETLVSTNGTFEAGFFSPEIDSLRRYLGIWYKNISPRTVVWVANKENPLLDHSGVLKIFYGDVYITDGTGAIIWSPNNTAYDSVAMELLESGNMVAKDVNNKILWQSFDYPDDTLLPGMKLGMDLRTGHQRALTSWRSYNDPAPGEFSFRVHTGGLPQIVITKGSSDDIANRAAVYRPGSWNGLSLNGGVAGERIYPLIKSLFVMNKDEISYEIQALDSSTLLRSRLLPDGHLIRFIWLDGYKRWDTVYAASFDECERYDMCGANSICIGSGAHQHCECLGGFQTYFSESTCYRTTPPDCNQGDSFQKYEGVKLPDTSSSWYSDTISVDECQKLCLTNCSCSAYSHLNTSANGTACVYWLHDIVDVRTLPENGHYFYLRIAPSKFQGHAFNMQKRDQAFDRRKIGGIVVGGTIFIIVIVVFGFIFFLKRNKLKQSETNYWTDKNKKNDIDLPMFDFLSISNATNNFSESNKLGQGGFGPVYKGILSDGQEIAVKKLSKTSRQGLDEFKNEVMLITKLQHCNLVKLLGCSIQQDEKILIYEFMPNRSLDYFIFDSTRSSLIGWAKRFEIVDGIARGLLYLHQDSRLKIIHRDLKTGNVLLDSNMKSKISDFGMARTFSPDQDEANKNKVMGTYHVLVQWLYVSRICCTWIIFNQIRYIQFWGDCIGDNQWEEE >Vigun11g048500.4.v1.2 pep primary_assembly:ASM411807v1:11:7572447:7577133:-1 gene:Vigun11g048500.v1.2 transcript:Vigun11g048500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSMESFEMLGVYLCFLCFLSMSSTLEMITPTQPLRDSKNENETLVSTNGTFEAGFFSPEIDSLRRYLGIWYKNISPRTVVWVANKENPLLDHSGVLKIFYGDVYITDGTGAIIWSPNNTAYDSVAMELLESGNMVAKDVNNKILWQSFDYPDDTLLPGMKLGMDLRTGHQRALTSWRSYNDPAPGEFSFRVHTGGLPQIVITKGSSDDIANRAAVYRPGSWNGLSLNGGVAGERIYPLIKSLFVMNKDEISYEIQALDSSTLLRSRLLPDGHLIRFIWLDGYKRWDTVYAASFDECERYDMCGANSICIGSGAHQHCECLGGFQTYFSESTCYRTTPPDCNQGDSFQKYEGVKLPDTSSSWYSDTISVDECQKLCLTNCSCSAYSHLNTSANGTACVYWLHDIVDVRTLPENGHYFYLRIAPSKFQGHAFNMQKRDQAFDRRKIGGIVVGGTIFIIVIVVFGFIFFLKRNKLKQSAETNYWTDKNKKNDIDLPMFDFLSISNATNNFSESNKLGQGGFGPVYKGILSDGQEIAVKKLSKTSRQGLDEFKNEVMLITKLQHCNLVKLLGCSIQQDEKILIYEFMPNRSLDYFIFDSTRSSLIGWAKRFEIVDGIARGLLYLHQDSRLKIIHRDLKTGNVLLDSNMKSKISDFGMARTFSPDQDEANKNKVMGTYHVLVQWLYVSRICCTWIIFNQIRYIQFWGDCIGDNQWEEE >Vigun11g048500.1.v1.2 pep primary_assembly:ASM411807v1:11:7572447:7577133:-1 gene:Vigun11g048500.v1.2 transcript:Vigun11g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSMESFEMLGVYLCFLCFLSMSSTLEMITPTQPLRDSKNENETLVSTNGTFEAGFFSPEIDSLRRYLGIWYKNISPRTVVWVANKENPLLDHSGVLKIFYGDVYITDGTGAIIWSPNNTAYDSVAMELLESGNMVAKDVNNKILWQSFDYPDDTLLPGMKLGMDLRTGHQRALTSWRSYNDPAPGEFSFRVHTGGLPQIVITKGSSDDIANRAAVYRPGSWNGLSLNGGVAGERIYPLIKSLFVMNKDEISYEIQALDSSTLLRSRLLPDGHLIRFIWLDGYKRWDTVYAASFDECERYDMCGANSICIGSGAHQHCECLGGFQTYFSESTCYRTTPPDCNQGDSFQKYEGVKLPDTSSSWYSDTISVDECQKLCLTNCSCSAYSHLNTSANGTACVYWLHDIVDVRTLPENGHYFYLRIAPSKFQGHAFNMQKRDQAFDRRKIGGIVVGGTIFIIVIVVFGFIFFLKRNKLKQSAETNYWTDKNKKNDIDLPMFDFLSISNATNNFSESNKLGQGGFGPVYKGILSDGQEIAVKKLSKTSRQGLDEFKNEVMLITKLQHCNLVKLLGCSIQQDEKILIYEFMPNRSLDYFIFDSTRSSLIGWAKRFEIVDGIARGLLYLHQDSRLKIIHRDLKTGNVLLDSNMKSKISDFGMARTFSPDQDEANKNKVMGTYGYMSPEYAVHGSFSIKSDIFSFGVIVLEIISGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMDGSVNSQVELSKMLRYIHIGLLCVQHRSEDRPTMSSVVLMLNSENLLPEPSQPGFYPGTNKLTMTDSSPRNYDVYSLNKISETLFEAR >Vigun11g153700.2.v1.2 pep primary_assembly:ASM411807v1:11:36297689:36302889:-1 gene:Vigun11g153700.v1.2 transcript:Vigun11g153700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDGDSSKSPKQPPLPSPLRFSKFYQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWTGHPRFELIRHDITEPLIIEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQSPGTQTRSFCYVSDLVDGLIRLMAGSDTGPINLGNPGEFTVLELAETVKELVNPDVEIKMVENTPDDPRQRKPDITKAKELLQWEPKFQLRDGLPLMEEDFRLRLGVVKNN >Vigun11g153700.8.v1.2 pep primary_assembly:ASM411807v1:11:36297689:36302870:-1 gene:Vigun11g153700.v1.2 transcript:Vigun11g153700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDGDSSKSPKQPPLPSPLRFSKFYQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWTGHPRFELIRHDITEPLIIEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQSPGTQTRSFCYVSDLVDGLIRLMAGSDTGPINLGNPGEFTVLELAETVKELVNPDVEIKMVENTPDDPRQRKPDITKAKELLQWEPKFQLRDGLPLMEEDFRLRLGVVKNN >Vigun11g153700.1.v1.2 pep primary_assembly:ASM411807v1:11:36297689:36302889:-1 gene:Vigun11g153700.v1.2 transcript:Vigun11g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDGDSSKSPKQPPLPSPLRFSKFYQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWTGHPRFELIRHDITEPLIIEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQSPGTQTRSFCYVSDLVDGLIRLMAGSDTGPINLGNPGEFTVLELAETVKELVNPDVEIKMVENTPDDPRQRKPDITKAKELLQWEPKFQLRDGLPLMEEDFRLRLGVVKNN >Vigun11g153700.3.v1.2 pep primary_assembly:ASM411807v1:11:36297689:36302870:-1 gene:Vigun11g153700.v1.2 transcript:Vigun11g153700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDGDSSKSPKQPPLPSPLRFSKFYQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWTGHPRFELIRHDITEPLIIEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQSPGTQTRSFCYVSDLVDGLIRLMAGSDTGPINLGNPGEFTVLELAETVKELVNPDVEIKMVENTPDDPRQRKPDITKAKELLQWEPKFQLRDGLPLMEEDFRLRLGVVKNN >Vigun11g153700.6.v1.2 pep primary_assembly:ASM411807v1:11:36297689:36302542:-1 gene:Vigun11g153700.v1.2 transcript:Vigun11g153700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSDGDSSKSPKQPPLPSPLRFSKFYQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWTGHPRFELIRHDITEPLIIEVDQIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQSPGTQTRSFCYVSDLVDGLIRLMAGSDTGPINLGNPGEFTVLELAETVKELVNPDVEIKMVENTPDDPRQRKPDITKAKELLQWEPKFQLRDGLPLMEEDFRLRLGVVKNN >Vigun05g028000.1.v1.2 pep primary_assembly:ASM411807v1:5:2250159:2258819:-1 gene:Vigun05g028000.v1.2 transcript:Vigun05g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAASSSPSSPEAILEWLHKEMGYRPLGTYAAGKSHLPSVESIRRICRGNMIPVWDFLVTRAKSEKTVRNIRRNITVHGGDGGGEAKEEVRGKGARKKERALIAGEGSETATTREAALQERDLAAKEVERLRNIVRRRRKDLRAKMLEVSREETERKRMLDERANYRHKQVMLETYDQQCDEAAKIFAEYHKRLYYYVNQAMESQRSGVDSSVEMANSFNAKSEKEAVYSTVKGSKSADDVILIETTREKNIRKACESLVAHMVEKIRNSFPAYEGSGIHLNPQAETAKLGFDYDGQIPDEVRTVIINCLKSPPQLLQAITAYTLRLKSLISREIEKIDVRADAETLRYKYENNIVMDVSSSDGSSPLQYQLYGNGKIGVDVPPGGSQNQLLDRQKAHVQQFLATEDALNKAAEARETCEKLMKRLHGSTDVSSRPIGIGSTSQNVGSLRQLELDVWAKEREVAGLKASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDSRRSELETIYTALLKANMDAASFWSQQPLTAREYASSTIIPACAAVAEASNSAKDLIEKEVSAFSQSPDNSLYMLPSSPQALLEAMGASGPPGQEAVTNAEVSAAILTARAGARDPSAIPSICRVSAALQYPASLEGPDAALASVLQSLEFCLKLRGSEASVLEDLLKAINLVYIRRDLVQSGNALLNHAGFVQQEYERTTSFSLSLAAKQEKTIMEEWLPELKTAILSAQQSLEDCKYVGGLLDEWWEQPASTVVDWVTVDGQNVTAWHNHVKQLLAFYDKELL >Vigun08g082750.1.v1.2 pep primary_assembly:ASM411807v1:8:17493445:17495563:1 gene:Vigun08g082750.v1.2 transcript:Vigun08g082750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGEQDDLICLDDITLLDEDCGLGTFDADKTSDSAQVINNTEEGVVPTTGMRFKSVEKVKSFYKQHAVKYGFGVRTRTSKKDDNNQLCYMKLVCSREGKYVSQIQPELKTHPTQKRQCSTCLTTVNKSEAWILSIVVHNHNHDISPTKSRLIRENRRLNLQAQRTLDINDEVGVRLNKTFRSLVGQAGGFVNLQFLERDIGNYIEQQRRAFGKEGDGQALIQHFSRMRELNNSFYYEIDTDAENIIIDVFWADARSRVASVDFGDVISFNTTYLTNKYDMPFAPFFGVNHHSHSILLGCGLAPDGIITDQCKVMKNVIAVVFPNTKHRWCLWHIMKKVPEKLGGLTIYKTIKHVLKELVYKSSFDLHHNKWFSTLYAKRNIWVPCYLKSQFWAGMSTIQRSESMNAFFDGFINPTTTLKQFVVQYDNALRSKVEKEIEVDFASFNTTLPCATQSLIKRQFQEEYTHAKLAEVQQELRRKINCTIKSCECDEMYSKYMVKEECIRNGQIMQQIGCTCHLFEFRAQEEVKSVSHYYLLKRWSKCIQRRHLHKSRYDFTCKRFYEITEVTCKSKNGTNFVLTQLDSMYTTLDLPILNIASLPSQKGKEKLTQHQMPITNNMVRSPSHVKRKGRP >Vigun04g190485.1.v1.2 pep primary_assembly:ASM411807v1:4:41432198:41434210:-1 gene:Vigun04g190485.v1.2 transcript:Vigun04g190485.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAEDLWGKETIDSPDAKWKLNLNFYLSFIRLQISSTPLSVHLTRKLEYLARQKGALGLKECSYSGAESSIKLSQKLPSTSYGGAVLTTLLLHWAHNPLPIQNFILSIHHSHSPFTPFPTLTMAAEVVGGALLSAFLQVAFDKLASTQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEHKQFTDPHVKAWVLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIDQR >Vigun02g185200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32471580:32472110:-1 gene:Vigun02g185200.v1.2 transcript:Vigun02g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSALHATSPSRDNKFKGVRRRKWGKWVSEIRVPGTQERLWLGTYITPEAAAVAHDVAVYCLRRPSSLEKLNLPETLCSYSGQLRDMSPRSVQKVASDVGMDVDARNIAAGGSSSGVAQNQESEKKMEEIGAGGDHCDEFWWDGLEGGDSGTWKGNGEDCTDRNGLNISIEDYL >Vigun03g025700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1909442:1911091:1 gene:Vigun03g025700.v1.2 transcript:Vigun03g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPLLNPRSLHCLIRHYHSSTVRHSMAAAEPISPSNTRVGWIGTGVMGQSMCAHLIRAGYTLTVFNRTASKAQPLVDLGAHFAASPHAVAARSDVVFSIVGYPSDVRSVLLHPTSGALAALRPGGVLVDMTTSEPSLAAEIAEAAVAKGCHSVDAPVSGGDRGAKNGTLAIFAGGEESTVKNLEPLFSVMGKVNYMGGSGKGQFAKLANQVTIASTMVGLVEGMVYAHKAGLDVGLFLEAISTGAAGSKSLDLYGKRILKRDFEAGFFVNHFVKDLGICLKECQNMGIALPGLALAQQLYLSLKAHGEGNLGTQALILVLERLNNVSLPPSDS >Vigun09g188833.2.v1.2 pep primary_assembly:ASM411807v1:9:36342241:36344305:1 gene:Vigun09g188833.v1.2 transcript:Vigun09g188833.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPITSLVLDILEYKIAKDSWKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKISTESFRRVIKHFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun09g188833.1.v1.2 pep primary_assembly:ASM411807v1:9:36342241:36343955:1 gene:Vigun09g188833.v1.2 transcript:Vigun09g188833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPITSLVLDILEYKIAKDSWKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKISTESFRRVIKHFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKVYYSITCLFQVSFLYGLPNAIESLHSWDTSSFIAV >Vigun11g121700.4.v1.2 pep primary_assembly:ASM411807v1:11:32840094:32844712:-1 gene:Vigun11g121700.v1.2 transcript:Vigun11g121700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGSASEIRSSAEGLQTLYLMNPNYVPYSDAAQHPAQNMLLVNPNNASNTSPTSANALNLGNFTHAPPPPSPNNHRDHHHHHLIGLTIPSSNIIGSNTAAGDHARPSFLGQHEFSGFHGGAAAAATTASTSRSNYNLWGSIFDQSASNMVTTTNTPSENIGCVASAVNPSTQIGFHRPNHLSLSLSSQQTPYRSLSGDVHAISPASLGGEDMRGLQSGVSNMHSVVLGSKYLKSTQELLDEVVNVGRGISKGEESMEGAKKEKMKGNIESTSGVGDGSSCGGENNDGGKQGGELGTAQRQELQMKKSKLVSMLDEVEQRYRQYHHQMQIVVTSFEQAAGVGAAKSYTALALRTISKQFRCLKDAISSQIKTASKTLGEDDCLGVKVEGSRLRYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKQEPNNGSQDNNTNRSKENNKELWSEANAATQESGGVRLNQINVLQSKAESFNNNNQTTSPTEISNSNSLSTSPMGGGGGGGGSLQSGFHLGDMQSPNKPRSTSEMQNSPGSILSVDMEMKPHGETNTREGTNTKFGIENHGGGFGAFPMEDIGRFHHVTEQLAPRFHGNGVSLTLGLPHSENLTLSGTQHGFLSPNMHLGMRTTNENEFCGTINTPTSSHSATSYDNIDIQNRKRFAAQLLRDFVA >Vigun11g121700.3.v1.2 pep primary_assembly:ASM411807v1:11:32840094:32844712:-1 gene:Vigun11g121700.v1.2 transcript:Vigun11g121700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGSASEIRSSAEGLQTLYLMNPNYVPYSDAAQHPAQNMLLVNPNNASNTSPTSANALNLGNFTHAPPPPSPNNHRDHHHHHLIGLTIPSSNIIGSNTAAGDHARPSFLGQHEFSGFHGGAAAAATTASTSRSNYNLWGSIFDQSASNMVTTTNTPSENIGCVASAVNPSTQIGFHRPNHLSLSLSSQQTPYRSLSGDVHAISPASLGGEDMRGLQSGVSNMHSVVLGSKYLKSTQELLDEVVNVGRGISKGEESMEGAKKEKMKGNIESTSGVGDGSSCGGENNDGGKQGGELGTAQRQELQMKKSKLVSMLDEVEQRYRQYHHQMQIVVTSFEQAAGVGAAKSYTALALRTISKQFRCLKDAISSQIKTASKTLGEDDCLGVKVEGSRLRYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKQEPNNGSQDNNTNRSKENNKELWSEANAATQESGGVRLNQINVLQSKAESFNNNNQTTSPTEISNSNSLSTSPMGGGGGGGGSLQSGFHLGDMQSPNKPRSTSEMQNSPGSILSVDMEMKPHGETNTREGTNTKFGIENHGGGFGAFPMEDIGRFHHVTEQLAPRFHGNGVSLTLGLPHSENLTLSGTQHGFLSPNMHLGMRTTNENEFCGTINTPTSSHSATSYDNIDIQNRKRFAAQLLRDFVA >Vigun11g121700.1.v1.2 pep primary_assembly:ASM411807v1:11:32840076:32844814:-1 gene:Vigun11g121700.v1.2 transcript:Vigun11g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGSASEIRSSAEGLQTLYLMNPNYVPYSDAAQHPAQNMLLVNPNNASNTSPTSANALNLGNFTHAPPPPSPNNHRDHHHHHLIGLTIPSSNIIGSNTAAGDHARPSFLGQHEFSGFHGGAAAAATTASTSRSNYNLWGSIFDQSASNMVTTTNTPSENIGCVASAVNPSTQIGFHRPNHLSLSLSSQQTPYRSLSGDVHAISPASLGGEDMRGLQSGVSNMHSVVLGSKYLKSTQELLDEVVNVGRGISKGEESMEGAKKEKMKGNIESTSGVGDGSSCGGENNDGGKQGGELGTAQRQELQMKKSKLVSMLDEVEQRYRQYHHQMQIVVTSFEQAAGVGAAKSYTALALRTISKQFRCLKDAISSQIKTASKTLGEDDCLGVKVEGSRLRYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKQEPNNGSQDNNTNRSKENNKELWSEANAATQESGGVRLNQINVLQSKAESFNNNNQTTSPTEISNSNSLSTSPMGGGGGGGGSLQSGFHLGDMQSPNKPRSTSEMQNSPGSILSVDMEMKPHGETNTREGTNTKFGIENHGGGFGAFPMEDIGRFHHVTEQLAPRFHGNGVSLTLGLPHSENLTLSGTQHGFLSPNMHLGMRTTNENEFCGTINTPTSSHSATSYDNIDIQNRKRFAAQLLRDFVA >Vigun11g121700.2.v1.2 pep primary_assembly:ASM411807v1:11:32840076:32844814:-1 gene:Vigun11g121700.v1.2 transcript:Vigun11g121700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGSASEIRSSAEGLQTLYLMNPNYVPYSDAAQHPAQNMLLVNPNNASNTSPTSANALNLGNFTHAPPPPSPNNHRDHHHHHLIGLTIPSSNIIGSNTAAGDHARPSFLGQHEFSGFHGGAAAAATTASTSRSNYNLWGSIFDQSASNMVTTTNTPSENIGCVASAVNPSTQIGFHRPNHLSLSLSSQQTPYRSLSGDVHAISPASLGGEDMRGLQSGVSNMHSVVLGSKYLKSTQELLDEVVNVGRGISKGEESMEGAKKEKMKGNIESTSGVGDGSSCGGENNDGGKQGGELGTAQRQELQMKKSKLVSMLDEVEQRYRQYHHQMQIVVTSFEQAAGVGAAKSYTALALRTISKQFRCLKDAISSQIKTASKTLGEDDCLGVKVEGSRLRYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKQEPNNGSQDNNTNRSKENNKELWSEANAATQESGGVRLNQINVLQSKAESFNNNNQTTSPTEISNSNSLSTSPMGGGGGGGGSLQSGFHLGDMQSPNKPRSTSEMQNSPGSILSVDMEMKPHGETNTREGTNTKFGIENHGGGFGAFPMEDIGRFHHVTEQLAPRFHGNGVSLTLGLPHSENLTLSGTQHGFLSPNMHLGMRTTNENEFCGTINTPTSSHSATSYDNIDIQNRKRFAAQLLRDFVA >Vigun08g140300.2.v1.2 pep primary_assembly:ASM411807v1:8:31257361:31261040:-1 gene:Vigun08g140300.v1.2 transcript:Vigun08g140300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLPPGFRFHPTDEELVAYYLKRKINGRTIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSKARSVGMKKTLVYYRGRAPHGSRTNWVMHEYRLDETECETASGLQDAYALCRVLKKTAVIPQKVEGHYVNVPNVNQITSDQSSSIELYSEGRGEDLVDSSNYFMSVDTCSPHNVGTETPLTIGGGMIRDHEKWSHFSSQDQIFSLPTSSYPNFGAIAYPPSKVDIALECARMQHRFVMPALEVDDFPQVGISELKMAQVSSSMQGSRTETDILQEILSVAQASQELINQSSYSQEWGGNDYYAPRDDDFSFMVGTNYNHSNEIMNSVRYADKAWEDANTRTIEIGDMDEEFKAERKVENLRWVGMSKEDLEKMEEQNIVPIEEISSFQTMMKENEVQESEQHSDMEHNNDFSLGFINDDEDPTDNFTEDGNKDDDYSSSPSFEVVEEIKVNRGMFVSTRQVAETFFQQTVPSKTVQVQLNTVMAHNHYVENAEAMVLIMEEQGSLRKVKAYVMGKLIKPSMTIASAFVFVFALVLMHYAFLKGEVKFWNETCCSNGGTIMKKTSESSQIMKWNESNDVWFIGIKSEKGLSAVLKKIGIFLTVSFALCAMWVNHT >Vigun08g140300.1.v1.2 pep primary_assembly:ASM411807v1:8:31257347:31260970:-1 gene:Vigun08g140300.v1.2 transcript:Vigun08g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLPPGFRFHPTDEELVAYYLKRKINGRTIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSKARSVGMKKTLVYYRGRAPHGSRTNWVMHEYRLDETECETASGLQDAYALCRVLKKTAVIPQKVEGHYVNVPNVNQITSDQSSSIELYSEGRGEDLVDSSNYFMSVDTCSPHNVGTETPLTIGGGMIRDHEKWSHFSSQDQIFSLPTSSYPNFGAIAYPPSKVDIALECARMQHRFVMPALEVDDFPQVGISELKMAQVSSSMQGSRTETDILQEILSVAQASQELINQSSYSQEWGGNDYYAPRDDDFSFMVGTNYNHSNEIMNSVRYADKAWEDANTRTIEIGDMDEEFKAERKVENLRWVGMSKEDLEKMEEQNIVPIEEISSFQTMMKENEVQESEQHSDMEHNNDFSLGFINDDEDPTDNFTEDGNKDDDYSSSPSFEVVEEIKVNRGMFVSTRQVAETFFQQTVPSKTVQVQLNTVMAHNHYVENAEAMVLIMEEQGSLRKVKAYVMGKLIKPSMTIASAFVFVFALVLMHYAFLKGEVKFWNETCCSNGGTIMKKTSESSQIMKWNESNDVWFIGIKSEKGLSAVLKKIGIFLTVSFALCAMWVNHT >Vigun01g097600.1.v1.2 pep primary_assembly:ASM411807v1:1:26402106:26407721:1 gene:Vigun01g097600.v1.2 transcript:Vigun01g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMEDYGFEYSDEEQEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVRMEQEKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINSIMDYVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIFFDIGEYGRMSKILKELHKSCQREDGSDDHKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPENDVEQLLVSLILDNRIQGHIDQVNRLLERSDRSKGMKKYTAVDKWNTQLKSLYQTISNRVG >Vigun09g207300.1.v1.2 pep primary_assembly:ASM411807v1:9:38193682:38195458:1 gene:Vigun09g207300.v1.2 transcript:Vigun09g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRTCNHLNFQRRESCQRCGEPRSGGGDYGAAFGGRGSSSFGFTTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGVSKEDSSTGSYDVDITRMRPFGFGAGSSARPGWKSGDWICTRSGCNEHNFANRMECYRCNAPRDSNSGRPPYSS >Vigun03g387000.1.v1.2 pep primary_assembly:ASM411807v1:3:59298790:59303751:-1 gene:Vigun03g387000.v1.2 transcript:Vigun03g387000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTKWKQAKKIVLTKPFSIEGESDAEPFYRAPNLLRRLLSLLKNVRPGSDLTNLQLPPVFNLPKSQLQCYGESVYCTSSNLLRKCNTEKSPVDRFTSVVAWSISTTRPSYFGVAPYNPILGETHHVSKGNLNVLLEQVSVNPPVSALHATDEKENIEMIWWQQPIPRFRGTSIETEVHGKRQLKLLNHGETYEMNCPHLSIRILPVPRMDWVGNVNIRCPETGLVAEISYTSSYSLLGFGGNRKLVKGKILDPSSFKVLYEVDGHWDRTVQVKDTNSGEVRVIYDAKEVISGLKTPIVKDAESVWTSESAVVWGELSEAIMRNEWEKARAEKEGVEERERKMVRERGVKGESWVPKNFRVSYSKDTGEWNCSPIHKWVPAAPIIAP >Vigun05g022100.1.v1.2 pep primary_assembly:ASM411807v1:5:1850737:1857538:1 gene:Vigun05g022100.v1.2 transcript:Vigun05g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKVWSGWSLTPNKSGVRGRTGPGSDLGPNSEDGASAKEKGIVAVVENGGNNLDREVLVERVSSLEKELYEYQFNMGLLLIEKKEWTSKYTEQSQDLVEVKDALEREKAAHLIALSEVEKREENLRKALGVEKECVLDLEKALREMRSENAKIKFTAESKLAEANALVASVEEKSLEVEAKLRSADAKFAEISRKSSEFDRKSQDLESQESALRRDRLSFIAEQEAHESTLSKQREDLWEWEKKLQEGEERLAKGQRIINEREQRANENDRLCQQKEKDLEEAQKKIDATNITLRSKEEDVNNRLADIALKEKEYDSLRINLDLKEKELSAWEEKLNAKEKVEMQKILDEHNAILDVKKQEFEVELNEKRKSFEDGLKDKLAELEKKEAEINHMEEKVGKREQALEKKAEKLKEKEKEYEQKVKALKEREKSIKSEEKSLETTKKKIESEREELVTHMAEVEKIRSNNEQELLRINEEIERLKVTEEERSEYLRLQSQLKHEVDQYRHQKELLLKETEDLRQQKETFEREWDELDLKRADVDKELKSVIQQKEEILKLQQFEEEKLKNEKQAKEDHIRRELESLALAKESFAAEMELEKSSLAEKEQSQRNQMLLDFELQKKELEADMQNQLEQKEKDLIERKTLFEEKRESELNNINFLREVANREMDEMKLQRSKLEKEKQETDENKKHLESQRMEMQEDIDLLVDLNRKLKNQREQFIVERRRFIEFVEKLRGCQNCGEMISEFVLSDLQSSVDIENLEVPSLPKLAGDIILGDSIENLPSRQNIGASPATDPKSPVSAGTISWLRKCTSKIFKISPISKFESEDSGSLRDVNLSIEKTNREDSPGRIPGRENEAELSFDVVNDSFDGRRVLSGNDITEVEAADQDPSVENQSNVDSKALEESKAGQQQPRRGGGRPRVKRTHTVKAVLKEARGILGEAAELPGESVDNHETEFPNGNAEDSANVDSESQRPSSRRMPMNVRKRNRVQTSQMTVSGHDGDASEGHSDSVIPGQRKRRRQKAAAPPAQTAGETRYNLRRHRTGATTSSVRAISAGGKESQGEVDRVKDTEEEIVDSKVSHSLSVGITNENGGSVHLEQSIKGVETRDGYGGDTTGTFTNNITLSEEVNGTADDAEDNDGEYESHGEDAGGVENEDDEDYQQPGEASIGKKLWNFFTT >VigunL020401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000256.1:46050:47719:-1 gene:VigunL020401.v1.2 transcript:VigunL020401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLRHSSSTSRRLFPLASQIHSSVSRSPLSTPNDSTSTSFYSFNSNPWWRSMATFTRTNPHVNVGTIGHVDHGKTALTATITKVLADEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVEAIDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTMTRLEDRPF >VigunL058033.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000440.1:65445:66511:1 gene:VigunL058033.v1.2 transcript:VigunL058033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun09g218200.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180872:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177624:39180871:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177633:39180871:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180789:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180871:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177624:39180871:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180872:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39178613:39180788:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.13.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39178613:39180775:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180744:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun09g218200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39177876:39180871:1 gene:Vigun09g218200.v1.2 transcript:Vigun09g218200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNYQCGQSVASLKRKSREDENDILFNTFSLDDLNEDLFERILSWLPTSTFFRLNSVCKRWKSVADSASFKLACSHIPSRDPWFLMVAPNLNQSVIFDSAESAWKRLNHPPLLQEECNQNCMPVAASGGLICHRKLSGNFIVSNPVTGSCTELPPIRAPQHQPLNAIVMSTACKDQLSFKIVLVFGELPNLLFKVYDSRSGCWDAEIALRRKTEDSSMEHDSTDDNVVYFLSKAGIVVASNMQRSPSKQYSSVITNKDGQDIVYFVSSSGNVVACNLTCKCFSEYPRLLPVFSEYSIDVVECNGEMVVVLLSEFLESATLRVWKYDEANRGWHQIAAMPAADSHVWYGKKADINCVGAGNQILICLNSSDLCTYILCDLETNKWVELPKCCINGEVIDFMSAFSFEPRIEASL >Vigun11g121200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32764546:32766621:-1 gene:Vigun11g121200.v1.2 transcript:Vigun11g121200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNDNINNVNDKLQGQSMLYSSASSSSSSVRREEDTMMQKQGIITILDSNANTISSASSLRRTLSADMSSKNWLSQTIAPSQELLHAKTIGDSEDDESNNKELDDEAERERLEIWSSIQRNKKEEQEKSASFDTWSSIISLKGKDEISKSLPASPYVHPLVKRSKSCLSEKSLQICTESLGSETGSDGLLFSSYSSSETGDAEEEEKVVEPTIQEEEEEEEMNNYASVVATKKALPPTRAFPPPLPSLHMRSRRDNGRLVLEAVSVPSHNNFSIQRQGGRLVLSFSNHQEEEEEEEENDGSPEQEYLGRLEIEEEEYSFGTKSRVSSTGFNSGFMEYESVMEKGRLLSGGVTTSSVQGLALMMNNKNKPIGAVNRNPKWSEKFSEMTNFNDVNAAQSLPPRPRVARLIPSAPAVAAAAAAASLNLNAYEYYWRTNSAPSKGTSVKLDPLDQNKKHHPNHQENTKNKVVVSRDMNKMVPREQQQVLVLRGKNGDYLVHNLKSCKDSRRSFLFWEPYCIATS >Vigun11g121200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32764269:32766639:-1 gene:Vigun11g121200.v1.2 transcript:Vigun11g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNDNINNVNDKLQGQSMLYSSASSSSSSVRREEDTMMQKQGIITILDSNANTISSASSLRRTLSADMSSKNWLSQTIAPSQELLHAKTIGDSEDDESNNKELDDEAERERLEIWSSIQRNKKEEQEKSASFDTWSSIISLKGKDEISKSLPASPYVHPLVKRSKSCLSEKSLQICTESLGSETGSDGLLFSSYSSSETGDAEEEEKVVEPTIQEEEEEEEMNNYASVVATKKALPPTRAFPPPLPSLHMRSRRDNGRLVLEAVSVPSHNNFSIQRQGGRLVLSFSNHQEEEEEEEENDGSPEQEYLGRLEIEEEEYSFGTKSRVSSTGFNSGFMEYESVMEKGRLLSGGVTTSSVQGLALMMNNKNKPIGAVNRNPKWSEKFSEMTNFNDVNAAQSLPPRPRVARLIPSAPAVAAAAAAASLNLNAYEYYWRTNSAPSKGTSVKLDPLDQNKKHHPNHQENTKNKVVVSRDMNKMVPREQQQVLVLRGKNGDYLVHNLKSCKDSRRSFLFWEPYCIATS >Vigun07g252800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37170250:37171469:1 gene:Vigun07g252800.v1.2 transcript:Vigun07g252800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTAPAAPFRGYQEEEDLDLQFREPWSKRKRSKRPRFETEEEYLALCLIMLAQSGNNSSSHRQTTQHSPPPSQKEPSPSPPLKLSHRCTVCNKAFPSYQALGGHKASHRKASSESTSVSAVASDSVTASPVGGGRMHECSICHKSFPTGQALGGHKRCHYDGGNHHSNSNANGNNSSALTSSSDGVGGGAASSHTLRGIDLNLPAPLTEFWPPVGFDFRKRVGGGGEQEVESPLPITAAKKARLFSGEDHVGDDQTA >Vigun05g006500.1.v1.2 pep primary_assembly:ASM411807v1:5:573050:576733:1 gene:Vigun05g006500.v1.2 transcript:Vigun05g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSCLSHSPLTLKPIKPCGSVVKGQSFAFSFRSHGPELCDRDIVRSRRLQGNLPRVNLSGDWSFIGGSKIVMKPNATRLLHYPKRGQMQASCFIGSQLASTAFTAGTVAVLPFYTLMVLAPNSDLTKKSMESSLPYVVLGILYAYLLYLSWTPETFRLIFASKYLLPELPGIARMFSSELTLASAWIHLLVVDLFAARHVFQDGLKNQIETRHSVSFCLFFCPIGILTHVITKAITKPATKEGHGV >Vigun05g006500.2.v1.2 pep primary_assembly:ASM411807v1:5:573357:576733:1 gene:Vigun05g006500.v1.2 transcript:Vigun05g006500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKPCGSVVKGQSFAFSFRSHGPELCDRDIVRSRRLQGNLPRVNLSGDWSFIGGSKIVMKPNATRLLHYPKRGQMQASCFIGSQLASTAFTAGTVAVLPFYTLMVLAPNSDLTKKSMESSLPYVVLGILYAYLLYLSWTPETFRLIFASKYLLPELPGIARMFSSELTLASAWIHLLVVDLFAARHVFQDGLKNQIETRHSVSFCLFFCPIGILTHVITKAITKPATKEGHGV >Vigun02g176600.3.v1.2 pep primary_assembly:ASM411807v1:2:31851457:31855387:1 gene:Vigun02g176600.v1.2 transcript:Vigun02g176600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELELDLNDKSSVGLSPDTVLPSQQYCLNVKKIYENGKPTGKDDFLKLKENFADINFGRSRNSSSHKSFPFRSHGLEGNLEIRKGAKYETSEQVISIKKMSTMRGRKKIEISSRSSDASFSGSVVDSLCGSDYESMDERPSVIYQDSNLASPSPSVSGSWAGMENDASNGYIEFCLHSDVWDEKYGAVEGIGSMNTESIGDKVACSLIDGNYHLKNDSVHGFQKAVSSIVEISHMQSPSESDCSPRISPKVSLTSMRKRLTPFTNSKTLTSPVSSVLETEVKSTRTRNFTRNRTYQRSLLNDFSKTAKQSDIISEFINRDILFSGLSCSPVHLHGKLKLKNKHGLPVFEFKVKCPEDVFVAKTWKSDNAFNWVYTFHSMDNRKKSTASHFGSHYSDKDSSMVAQMLVSSDSCSKLGVGMFDNSMVTEFVLFDLARSRKSVSPEKKSYSEQNCSDTLKDSRVGMKRETLRPDEETLATKSKPISSNACCDNSNSHPLSSTELYSNPEMAAIVLQIPFRKRESLKCERNDRVKDETYFKQNDISSEQSRKNLHNGKVLEQVKVVLPTGNHGFPSSDSKGPSSLLDRWKHGGGCDCGGWDMACPLILLGNPGVQFVEDNPLMEEHQTLELFTQGVKERTPSFGMTMVEEGQYAVDFHAQLSPLQAFSICVAIMHGTSTLGGAGQEKRQEISRCNSLKTLLEEEVELLMNSVTKGEKKNVCKIPKGIPRSYVPNPPFSPVARV >Vigun09g159300.1.v1.2 pep primary_assembly:ASM411807v1:9:32631912:32634592:-1 gene:Vigun09g159300.v1.2 transcript:Vigun09g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSKQLLLQLLLVALLIAKTKTQTISKPNCSSNCGDVTIPFPFGISDTCSLDTSFVLSCNQTSPSSHTLLLPQTNITVLNISLDGHMQISWPVASDCYADRGKLVRQTFQDLNLTTLQVSSSRNRLTVVGCDTLGLVVGSDLEGKNYTTGCISLCNRLQDIVTNGTCSGTGCCEISIPRGLSSFSYGSGSLFNHTHVIDFNPCGYAFLVEDGAYNFSSTDLVKFDKTTFPVAMNWVVKNQTCEEAKKEASSYACKAENSECFHSVEGSDVNECMGSNDCVEGAKCSNSPGSYNCVCPKGFEGDGKNYGTRCTQKSSMNSRKEIVLIIALSVSVGLVALLAGSFYVYWASKKRKIIKLKEQFFQQNGGVFLQQHIIKHKGSIETAKVFTIEELEEATDNFDEGKILGQGGQGTVYKGVLVDNRTVAIKKSKISDPNQIEQFINEVIVLSQINHRNVVKLLGCCLETEVPMLVYEFIPNGTIYEHLHDHNCSLKLTWKTRLRIATETAGALAYLHSATCTPIIHRDVKTTNILLDHNLIAKVSDFGASRIFPLDQSQLTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELVTGKKALSFDRPEADRNLAVYFVSSMKTGQLLNIVDNQILHDADVEQVTEVANIANRCLKVKGEERPTMKEVAMELEGLQIVGKHRWESVSSSSEETENFINSAPSILCVESGINSAFDSLNQISTSLGSGR >Vigun09g154700.1.v1.2 pep primary_assembly:ASM411807v1:9:32007624:32010630:-1 gene:Vigun09g154700.v1.2 transcript:Vigun09g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLRPNIFSVGSPFVQFGSSATAFSLSQACSVPNLGFHPLRLQRRKNLSPTTFFVRASRTESKGVTLGFRAPEFELPEPLTGKVWTLEDFEAYPALLVMFLCNHCPFVKHLKKDIVKLTKFFMEKGLAVVAISSNSVATHPQDGPEFMAEDAKLFKYPFPYLYDESQDVARDFGAVCTPEFFLFKKAGRRPFELVYHGQFDDSRPSNNVPVTGRDLGLAIDRVLSGQPVPSVQKPSVGCSIKWHPGKKF >Vigun11g123200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33046385:33048097:1 gene:Vigun11g123200.v1.2 transcript:Vigun11g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKKSLLRTVFTANGSCGCSKSKASEVHEPTPKPKISRNTHQKPNNLSSKASSTTSMEHVDEEEFTSTTVSESETLHDPNNINNNDNVGLKRSPLVDSVAIEKDSSNPYHDFRHSMLQMIFEKEIESEDDLQDLLQCFLHLNAPCHHHVIVKAFNAICEEAFPRNVRTTPAASEPSPSRRSPRRSPLQKSK >Vigun03g433900.2.v1.2 pep primary_assembly:ASM411807v1:3:63802497:63805925:1 gene:Vigun03g433900.v1.2 transcript:Vigun03g433900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSTQHEAEVMPVFEAIRRAKRSRYSASRFGFLEQSQRFSWLRIKGSTGERRVEFLGVEGGGCDLFDGEWVWDESYPLYHSKDCSFLDEGFRCSENGRRDLYYTKWRWQPKGCNLPRFNATLMLEKLRNKRIVFAGDSIGRNQWESLLCMLSSAVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTVEYYRAPFLVLQSRPPKGAPENIRTTLKVDTMDWNSEKWIDADIVVLNTGHWWNYEKTIRGGCYFQKGMEVKVEMQVEDAYKQSIQTVMKWIQDRVNPSKTQIFFRTLAPVHFRGGDWKNGGNCHLETLPELGSSLVPNDNWLQFKVANAVLSAHTNVSETKKLMVLNVTKMTGHRKDGHSSVYYLGRNVGPVVPHRRQDCSHWCLPGVPDTWNELLYALLLKHEAVHTEFKCVIH >Vigun03g433900.4.v1.2 pep primary_assembly:ASM411807v1:3:63804147:63805925:1 gene:Vigun03g433900.v1.2 transcript:Vigun03g433900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNATLMLEKLRNKRIVFAGDSIGRNQWESLLCMLSSAVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTVEYYRAPFLVLQSRPPKGAPENIRTTLKVDTMDWNSEKWIDADIVVLNTGHWWNYEKTIRGGCYFQKGMEVKVEMQVEDAYKQSIQTVMKWIQDRVNPSKTQIFFRTLAPVHFRGGDWKNGGNCHLETLPELGSSLVPNDNWLQFKVANAVLSAHTNVSETKKLMVLNVTKMTGHRKDGHSSVYYLGRNVGPVVPHRRQDCSHWCLPGVPDTWNELLYALLLKHEAVHTEFKCVIH >Vigun03g433900.3.v1.2 pep primary_assembly:ASM411807v1:3:63803858:63805925:1 gene:Vigun03g433900.v1.2 transcript:Vigun03g433900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYIDKRDEVFNATLMLEKLRNKRIVFAGDSIGRNQWESLLCMLSSAVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTVEYYRAPFLVLQSRPPKGAPENIRTTLKVDTMDWNSEKWIDADIVVLNTGHWWNYEKTIRGGCYFQKGMEVKVEMQVEDAYKQSIQTVMKWIQDRVNPSKTQIFFRTLAPVHFRGGDWKNGGNCHLETLPELGSSLVPNDNWLQFKVANAVLSAHTNVSETKKLMVLNVTKMTGHRKDGHSSVYYLGRNVGPVVPHRRQDCSHWCLPGVPDTWNELLYALLLKHEAVHTEFKCVIH >Vigun03g433900.1.v1.2 pep primary_assembly:ASM411807v1:3:63802497:63805845:1 gene:Vigun03g433900.v1.2 transcript:Vigun03g433900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSTQHEAEVMPVFEAIRRAKRSRLFEPSLGVLGFVLVTVFVIFFFFYSDDRYSASRFGFLEQSQRFSWLRIKGSTGERRVEFLGVEGGGCDLFDGEWVWDESYPLYHSKDCSFLDEGFRCSENGRRDLYYTKWRWQPKGCNLPRFNATLMLEKLRNKRIVFAGDSIGRNQWESLLCMLSSAVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTVEYYRAPFLVLQSRPPKGAPENIRTTLKVDTMDWNSEKWIDADIVVLNTGHWWNYEKTIRGGCYFQKGMEVKVEMQVEDAYKQSIQTVMKWIQDRVNPSKTQIFFRTLAPVHFRGGDWKNGGNCHLETLPELGSSLVPNDNWLQFKVANAVLSAHTNVSETKKLMVLNVTKMTGHRKDGHSSVYYLGRNVGPVVPHRRQDCSHWCLPGVPDTWNELLYALLLKHEAVHTEFKCVIH >Vigun08g028200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2558063:2559755:-1 gene:Vigun08g028200.v1.2 transcript:Vigun08g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTETTPDQPSVPPQTEAPPQPDPSAALSFTIWPPTDRTRDAIVNRLIETLTTPSVLSKRYGTLSSDEASAAARQIEGDAFSAAGASVATSADGIETLQLYSKEISKRMLDAVKTRAPPGSAAVEGVATVVSE >Vigun08g175600.2.v1.2 pep primary_assembly:ASM411807v1:8:34584278:34591121:1 gene:Vigun08g175600.v1.2 transcript:Vigun08g175600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQPSTIQWSSSFVFLSCHMLMASLSDIGLAAAINILSAFTFLLAFAILRIQPINDRVYFPKWYLKGLRSSPLQAGLFVSKFVNLDFKSYIRFLSWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAFSVMVPVNWTNSTLERSNLTYSQIDKLSISNIPTGSNRFWTHLVMAYAFTFWTCYILKREYQIVATMRLHFLASERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTHQVVYNAKKLSSLVSKKKKTQNWLDYYELKYSRNQSTRPSKKTGYLGLWGDRVDAIDFYTTEINRLSKEIELEKDRVTKNPKYIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDVYWDNMAIPYVSLTIRRLIVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPFIEIKVIKAFIQGFLPGIALKIFLIFLPTILMIMSKFEGYISTSSLERRAATRYYIFQFINVFLGSIITGTAFQQLDKFLHQSANEIPITIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFYHLKNFFLVKTEKDREEAMDPGTFGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIFALVISQLLLMGLLSTKEAANSTPLLITLPVLTIAFHLYCKGRYEPAFIKHPLQEAMMKDTLERAREPNFNLKEFIQNAYIHPVFKGDDDSDSEVMSEKWEEQEPVVVQTKRQSRRNTPLPSKHSGSLSS >Vigun08g175600.3.v1.2 pep primary_assembly:ASM411807v1:8:34585062:34591123:1 gene:Vigun08g175600.v1.2 transcript:Vigun08g175600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASLSDIGLAAAINILSAFTFLLAFAILRIQPINDRVYFPKWYLKGLRSSPLQAGLFVSKFVNLDFKSYIRFLSWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAFSVMVPVNWTNSTLERSNLTYSQIDKLSISNIPTGSNRFWTHLVMAYAFTFWTCYILKREYQIVATMRLHFLASERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTHQVVYNAKKLSSLVSKKKKTQNWLDYYELKYSRNQSTRPSKKTGYLGLWGDRVDAIDFYTTEINRLSKEIELEKDRVTKNPKYIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDVYWDNMAIPYVSLTIRRLIVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPFIEIKVIKAFIQGFLPGIALKIFLIFLPTILMIMSKFEGYISTSSLERRAATRYYIFQFINVFLGSIITGTAFQQLDKFLHQSANEIPITIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFYHLKNFFLVKTEKDREEAMDPGTFGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIFALVISQLLLMGLLSTKEAANSTPLLITLPVLTIAFHLYCKGRYEPAFIKHPLQEAMMKDTLERAREPNFNLKEFIQNAYIHPVFKGDDDSDSEVMSEKWEEQEPVVVQTKRQSRRNTPLPSKHSGSLSS >Vigun08g175600.1.v1.2 pep primary_assembly:ASM411807v1:8:34584451:34591165:1 gene:Vigun08g175600.v1.2 transcript:Vigun08g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASLSDIGLAAAINILSAFTFLLAFAILRIQPINDRVYFPKWYLKGLRSSPLQAGLFVSKFVNLDFKSYIRFLSWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAFSVMVPVNWTNSTLERSNLTYSQIDKLSISNIPTGSNRFWTHLVMAYAFTFWTCYILKREYQIVATMRLHFLASERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTHQVVYNAKKLSSLVSKKKKTQNWLDYYELKYSRNQSTRPSKKTGYLGLWGDRVDAIDFYTTEINRLSKEIELEKDRVTKNPKYIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDVYWDNMAIPYVSLTIRRLIVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPFIEIKVIKAFIQGFLPGIALKIFLIFLPTILMIMSKFEGYISTSSLERRAATRYYIFQFINVFLGSIITGTAFQQLDKFLHQSANEIPITIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFYHLKNFFLVKTEKDREEAMDPGTFGFNTGEPQIQLYFLLGLVYAVVTPFLLPYIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIFALVISQLLLMGLLSTKEAANSTPLLITLPVLTIAFHLYCKGRYEPAFIKHPLQEAMMKDTLERAREPNFNLKEFIQNAYIHPVFKGDDDSDSEVMSEKWEEQEPVVVQTKRQSRRNTPLPSKHSGSLSS >Vigun09g158700.1.v1.2 pep primary_assembly:ASM411807v1:9:32555555:32558919:-1 gene:Vigun09g158700.v1.2 transcript:Vigun09g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRNCVQFSKIIGRGNLMQMGFYLNQMLLLVLLSAAAAKTQPISKPNCPTKCGDVSIPFPFGLTEHCSLNTSFLITCNQTLSPPIPFLNSTQQSLSSPFNFLNATYEKLRVLNISLDGQLNVSLPVATSCVNNKTGESDNQFFIITFDTPFHLSSKQNKLTVLGADTAGLVSGQDSTSKTPYAPTSCVSINTTNPIYNYTHDDCSGSFCCETPIQHRLSEFGYISASNIFNKNYTKPYQSYPCGYTFLVKEGAYNFSMTDLINFNRTKTFPVVVDWAVGNTCRAAQKNTSSYACKSKYSECHNAKVGPGYHCKCSSGFRGNPYLPHGCQDVDECTEESHDCLKGRSKCCNSPEGSYTCLCPKGYEGDGKINGSGCVRRSNRKITIAFSVSGSILALLGGTLYVYCTLKKRKLNRLKEHFFQLNGGQLLQQQIGRYSGSNELTKIFTVEELKDATNNFSEEMVLGAGGEGTVYKGILPDNRTVAIKKSRISNPNQIEHFINEVILLCQINHRNVVKLLGCCLETEVPLLVYEFVPHGTVYDHLHDQTKSLRLTWKRRLQIAVETSGALAYLHSATHAPIVHRDVKTSNILLDHNLTAKVSDFGASRIIPLDRSELTTLVMGTLGYLDPQYFHSSQLTEKSDVYSFGVVLVELITGEKALSFDRAEAHRNLGVHFLSSMNEGRLLDIVDGRIIDEANVEQLMVVANIASHCLRMKGEERPTMREVAMELEGINTVEKHQWEKVNLSSEETETLLKAPPSSSFSVDGVNRRTMLAGSDILNRISFSLTSGR >Vigun04g103400.1.v1.2 pep primary_assembly:ASM411807v1:4:24187346:24194476:-1 gene:Vigun04g103400.v1.2 transcript:Vigun04g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAISGGTGFFTGFTRLCKGLAVVLVCGHIAVQFFPSTVTYFALIPARTIPFAWNLITSGYVEQSIYGVVISTLGLLFIGKLLEPIWGSREFFKFIFVVNLLTSICVFITAIALYYITRLETYLYMPLSGFHGVISGFLVGIKQIIPDQELPFIKIKTKWLPSITLLLCVAISFWTLEATTYLPTIISGTYISWIYLRYWQRKPETKLRGDPNEDFAFSTFFPEFLRPVIDPIASIFHRMLCGRSNSSNNAQGYNLESEPLPGSDSIEASRRRERGARALEERLAAERLAAARRELQREAEENV >Vigun07g156100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26705754:26709128:1 gene:Vigun07g156100.v1.2 transcript:Vigun07g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRASLLLLFFFPVLLKAAPSSDKDEGGSDSLMLGCGLDKNGGKDSDGRQWSSDEKYLKTKNDLTFKASFQDPSLLSEVPYMSARIFKTKTSYKFPIQGDKRYWLRLHFYPSSYDTFDSVHSYFSVTANSLKLLSNFSASVTCQALSQAYLDREYSLAPLDSDTLTVTFKPSAKHDKAFAFVNGIQLVEMPELFDEAPLLGFDGQTMETNALHFQTMFRLNVGGQYIAPNNDSGLSRMWYDDTPYIYGSATGVTNQATKDEKIDYKTLPESIAPVDIYSTSRSMGGDKNMNMGYNLTWIFQVDPNSMYLTRLHFCEYHYSRLNQIVFKIFINNRTAETAADVIGWSGGKGVPTYKDYVLYVRDRPGDDQLWLALHPANESKPEFYDALLNGVEVFKLNDTDLSGPNPQPSDLLIQYERKANTFHSKHETNRTFVISTAGGAAGFALVALIIVAARQKKKKRTPGTYSATSSWLPLYGHSQTAGTKSTVSGKSVGHANLTAMAQGLCRFFSLQDMKQATKNFDESNVIGVGGFGKVYKGVIDNGFKVAVKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEGDEMCLVYDYMALGTMREHLYKGTKPLDLLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPNLPKEQVSLAEWALYNIRRGTLEDIIDPSIKGKMNPESLTKFADAAQKCVSDLGFERPSMNDLLWNLEFALNLEKSTDGSAALDHEGEFSEVSLEDNTNNRAAHYKNLSLESEKGLSQDPSNDNSAVIFSQIANPSGR >Vigun08g048500.2.v1.2 pep primary_assembly:ASM411807v1:8:5400400:5403194:-1 gene:Vigun08g048500.v1.2 transcript:Vigun08g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRNTWKSVILILGCYKVKCPLEESEKQVLKQGSFQRLCLSDVSNSSSTQAIEDLSISFAGSKLYAFTLEELREATNSFSWSNMLGEGGFGPVYKGFVDDKLRPGLKAQTVAVKRLDLDGLQGHREWLVKIIFLGQLRHPHLVKLIGYCYEDEHRLLMYEYMPRGSLENQLFRKYSAAMPWSTRMKIALGAAKGLVFLHESDKPVIYRDFKASNILLDSDFTAKLSDFGLAKDGPEGEDTYVTTRIMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRRVVDKSRSNGGKSLVEWARPLLRDQKKLYSIIDCRLEGQFPMKGAMKVAMLAFKCLSPHPNARPTMSDVVKVLEPLQDFDDVFIGPFVYVAVSENGNKHKI >Vigun08g048500.1.v1.2 pep primary_assembly:ASM411807v1:8:5400400:5403194:-1 gene:Vigun08g048500.v1.2 transcript:Vigun08g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRNTWKSVILILGCYKVKCPLEESEKQVLKQGSFQRLCLSDVSNSSSTQAIEDLSISFAGSKLYAFTLEELREATNSFSWSNMLGEGGFGPVYKGFVDDKLRPGLKAQTVAVKRLDLDGLQGHREWLAEIIFLGQLRHPHLVKLIGYCYEDEHRLLMYEYMPRGSLENQLFRKYSAAMPWSTRMKIALGAAKGLVFLHESDKPVIYRDFKASNILLDSDFTAKLSDFGLAKDGPEGEDTYVTTRIMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRRVVDKSRSNGGKSLVEWARPLLRDQKKLYSIIDCRLEGQFPMKGAMKVAMLAFKCLSPHPNARPTMSDVVKVLEPLQDFDDVFIGPFVYVAVSENGNKHKI >Vigun06g096300.1.v1.2 pep primary_assembly:ASM411807v1:6:22762337:22767047:1 gene:Vigun06g096300.v1.2 transcript:Vigun06g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWARVSVLTFPWSTINAESAMDSKLSGVFYKMYRNTNNRKLCLSFSWDNYKLRSIGVIKSSQDVSYFQTEQEVGTWEEPDTGSDSESDDEEVEDHFLFESDGMRASVVADVNVTSRDNYEELIKKEVEQLLEPEERAILQQNVTPNLEKISTEKWSPLHTLVLSMQMSCVDKLLDNGMDIDFPDKEGLTALHKAIIGKKDTLISHLLRRRASPHVKDKDGATPLHYAVQVGAKMTVKLLIKYKADVNVEDNDGWTPLHVAIQSRNRDIAKILLVNGADKTRKNKDGKTALDLSLCYGKDFKSYELAKLLKTVPADSCL >Vigun06g096300.2.v1.2 pep primary_assembly:ASM411807v1:6:22762337:22767047:1 gene:Vigun06g096300.v1.2 transcript:Vigun06g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWARVSVLTFPWSTINAESAMDSKLSGVFYKMYRNTNNRKLCLSFSWDNYKLRSIGVIKSSQDVSYFQTEQEVGTWEEPDTGSDSESDDEEVEDHFLFESDGMRASVVADVNVTSRDNYEELIKKEVEQLLEPEERAILQQNVTPNLEKISTEKWSPLHTLVLSMQMSCVDKLLDNGMDIDFPDKEGLTALHKAIIGKKDTLISHLLRRRASPHVKDKDGATPLHYAVQVGAKMTVKLLIKYKADVNVEDNDGWTPLHVAIQSRNRDIAKILLVNGADKTRKNKDGKTALDLSLCYGKDFKSYELAKLLKTVPADSCL >Vigun03g257500.1.v1.2 pep primary_assembly:ASM411807v1:3:42364549:42372663:1 gene:Vigun03g257500.v1.2 transcript:Vigun03g257500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTANVRASSLRWKILRRALLSRSSPPDSEEQSQIIIKRISRRTSHGFNLIPSHVIDDERDSNKRDGSSTRDARVCYTLLIPDAPQLFLTQRVDSRADLGDFEICNRYNIDNTGLVCNWPSEDVLAHYCLSHADIFRSKKVIELGSGYGLAGFVIAAATGASEVVITDGNPQVVDYTQRNIEANSGAFGDTVVKSMTLHWNQEDITNLADTFDIIIASDCTFFKDFHRDLARIVKHLLSKAGSSEAIFLSPKRGNSLDLFLEVAKENGLRFSVTENYDQEVWKRHEGFLSEDRDSWPSYEKGHSYPLLIRITL >Vigun04g071400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8305096:8306552:1 gene:Vigun04g071400.v1.2 transcript:Vigun04g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFPSAHTLPAPPPHAPPPARGHAVDASHRRQRRRREPNLLSRFSSLLLLPVTAHHTVRAATARLATGERPRRRRRPPSAMSPARTKPPESLLFLASSSRVRDGDYDHRRERHWQRL >Vigun03g127500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12316416:12317047:-1 gene:Vigun03g127500.v1.2 transcript:Vigun03g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCEKWIIVGFVIAISFSGMMEGALAARDLVEIPNFSNPASLAPSPSLSPLQPLPFVAITCNFLGLVCPPPPPSTTGAP >Vigun11g008551.1.v1.2 pep primary_assembly:ASM411807v1:11:961877:962218:1 gene:Vigun11g008551.v1.2 transcript:Vigun11g008551.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLTLRIKQPPTPTATSTPKEMRDHEKWDRSNRMSIMIIRRGIPEVFRGTVSKDITTAKEFLAEIEKHFAKAIRWRH >Vigun02g173900.1.v1.2 pep primary_assembly:ASM411807v1:2:31664557:31666065:-1 gene:Vigun02g173900.v1.2 transcript:Vigun02g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLEITVMSAEGLNQHSSYFNRIRPFFTLTKLPAHLLYHYDGGGTGDHVFRVPLDPTFFSDTYSRLHLHLYNNRRFVGPTLLGWCLIPPSDIAFPPSHSLRHLSYRLRAKDGSKTHLILNLSLRFQGSLYPLHPATCQPVIGIPVTALRKFGSTTAATTVNVNESYTPSTLVQRCRFVPDMYLFVPISVYRQFFFSYC >Vigun08g062500.1.v1.2 pep primary_assembly:ASM411807v1:8:8475279:8476849:1 gene:Vigun08g062500.v1.2 transcript:Vigun08g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MAMDEHQSSQVLASENGRQFSEDYRENTTHHPQSQSQSFPGGFIGKHRLQAALTHLNNQITILQEELEKVETIGESSTVCKDLISSVESTPDPLLPCTKGSVDAAWDRWFGSTHHFRNHKRWI >Vigun01g021450.1.v1.2 pep primary_assembly:ASM411807v1:1:2258174:2261489:-1 gene:Vigun01g021450.v1.2 transcript:Vigun01g021450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNNGTANTVRTCPVCRKLSYFVIPSGIWYSTKEEKQEIIDNYKANCKQIDCKHFNFGNGNCPFGASCFYKNFQVWDHTRALKS >Vigun06g129100.5.v1.2 pep primary_assembly:ASM411807v1:6:25556638:25563047:1 gene:Vigun06g129100.v1.2 transcript:Vigun06g129100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWLLLVLALAFVICKFLLILIPPKVPSIHVDSSDVLDDGNQTQENGFIYVPPRGTAQQSNGKVQCYEPATMKYLGYVPALMPDEVREQVEKVREAQKMWAKTSFKQRRQFLRILLKYIIKHQELICEISSRDTGKTMVDASFGEIMTTCEKINWLLSEGEQCLKPEYRSSGRAMFHKRARVELHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGIGKMIMSNAAEKLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQASGQNCAGAERFYVHRNVYASFVSKVTKIIKSVTADPPLAGKYDMGALCMHAHSEKLQSLINDALEKGAEIIARGSFGHIGEGAVDQYFPPTVIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEAVRAGLEI >Vigun06g129100.6.v1.2 pep primary_assembly:ASM411807v1:6:25556637:25560320:1 gene:Vigun06g129100.v1.2 transcript:Vigun06g129100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWLLLVLALAFVICKFLLILIPPKVPSIHVDSSDVLDDGNQTQENGFIYVPPRGTAQQSNGKVQCYEPATMKYLGYVPALMPDEVREQVEKVREAQKMWAKTSFKQRRQFLRILLKYIIKHQELICEISSRDTGKTMVDASFGEIMTTCEKINWLLSEGEQCLKPEYRSSGRAMFHKRARVELHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGIGKMIMSNAAEKLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQASGQNCAGAERFYVHRNVYASFVSKVTKIIKSVTAVKFPFKHLICKM >Vigun06g129100.2.v1.2 pep primary_assembly:ASM411807v1:6:25556593:25563082:1 gene:Vigun06g129100.v1.2 transcript:Vigun06g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWLLLVLALAFVICKFLLILIPPKVPSIHVDSSDVLDDGNQTQENGFIYVPPRGTAQQSNGKVQCYEPATMKYLGYVPALMPDEVREQVEKVREAQKMWAKTSFKQRRQFLRILLKYIIKHQELICEISSRDTGKTMVDASFGEIMTTCEKINWLLSEGEQCLKPEYRSSGRAMFHKRARVELHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGIGKMIMSNAAEKLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQASGQNCAGAERFYVHRNVYASFDPPLAGKYDMGALCMHAHSEKLQSLINDALEKGAEIIARGSFGHIGEGAVDQYFPPTVIVNVNHSMRLMQEEAVRAGLEI >Vigun06g129100.3.v1.2 pep primary_assembly:ASM411807v1:6:25556593:25563082:1 gene:Vigun06g129100.v1.2 transcript:Vigun06g129100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWLLLVLALAFVICKFLLILIPPKVPSIHVDSSDVLDDGNQTQENGFIYVPPRGTAQQSNGKVQCYEPATMKYLGYVPALMPDEVREQVEKVREAQKMWAKTSFKQRRQFLRILLKYIIKHQELICEISSRDTGKTMVDASFGEIMTTCEKINWLLSEGEQCLKPEYRSSGRAMFHKRARVELHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGIGKMIMSNAAEKLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQASGQNCAGAERFYVHRNVYASFDPPLAGKYDMGALCMHAHSEKLQSLINDALEKGAEIIARGSFGHIGEGAVDQYFPPTVIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEAVRAGLEI >Vigun06g129100.4.v1.2 pep primary_assembly:ASM411807v1:6:25556638:25563082:1 gene:Vigun06g129100.v1.2 transcript:Vigun06g129100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWLLLVLALAFVICKFLLILIPPKVPSIHVDSSDVLDDGNQTQENGFIYVPPRGTAQQSNGKVQCYEPATMKYLGYVPALMPDEVREQVEKVREAQKMWAKTSFKQRRQFLRILLKYIIKHQELICEISSRDTGKTMVDASFGEIMTTCEKINWLLSEGEQCLKPEYRSSGRAMFHKRARVELHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGIGKMIMSNAAEKLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQASGQNCAGAERFYVHRNVYASFVSKVTKIIKSVTADPPLAGKYDMGALCMHAHSEKLQSLINDALEKGAEIIARGSFGHIGEGAVDQYFPPTVIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEVVRLANFYFFILIGKV >Vigun03g250800.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671800:41677216:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun03g250800.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671447:41677216:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun03g250800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671481:41677217:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun03g250800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671447:41677216:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun03g250800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671732:41677221:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun03g250800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41671481:41677216:1 gene:Vigun03g250800.v1.2 transcript:Vigun03g250800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTGNIVGQFLGGLNCYLRRCMFAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIGDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNGAFSRIDQVLKGNDFDLLSQDSCKEYPNATKACSAEVETAARKEGLFENNIENHIGNYTEAETRSCNGLVELTEERKDIQDEVPFIKLADIEKNMYMAVAPDPDILIRSSGEARLSNFLLWQTSTCPLYAPTALWPEIGLRHLIWAVLNFQRHYFYLEKKKKQS >Vigun11g216700.5.v1.2 pep primary_assembly:ASM411807v1:11:41048021:41056678:-1 gene:Vigun11g216700.v1.2 transcript:Vigun11g216700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIVNIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLTESNKTLAETIGKITVHCLYHRSGCTWQGSLSECTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQGQAQQVTTTQDPSTTSVVASTDQNQNAAPVAATAAQAAVSTTIPGQVSNQQPNLTPQTQALVQTTGQPTAEQWYQQQQYQQYYQQYPGQDPYQQQYQHYYPYQQSMVPQYQQAYSQPQPQSQSQPQAQLQPQPQPQAQHQSQQPQLQQQPQAQSQPLSHIQAPVVPPSQNQMQVQQQPQQLQPAVQPHGQTSHAPGHSLPQSQTQPYPYPQVQPHSVQPPPQPQQPMQIPPYQQPLPQMQHSQPQIQQPVQKYPVPQSQAHAQLQPNAPVQHPSQLPMPPHHPVTPNVQPQVQNAATPSVTGHHSYPQPPPHPNMQPGVPQHPMHGHPQSGHQPHAQHPVQMQNQFPPQIPTMRPNQSHAMFPNQQSSVQGQTTPPLQQQPVYSHNQQPGQINQRPTLQPVQQIPQQQPFAQHQMPMPSHLRPPGPAHSFPKQVYSQPQGNIAPSNSIQQNQSQNTGGRPLVPNHAGHLQPFAQSANTIPVRHGQNGAGYLLENQKLLAGTNNQVQLPSELQSRAPETIERHGDVVEQTDSAAAKLGKNFKDLDTVSGSTNELKSEKFEASLKPVEVGNMQNNEDPHSIKTSVPNANAVENGDSVNKNLGMGAAAESNWKPSVSTKSGGAMHGVQNDSNEHSVQGNEFQEGHPLKTETKLAESETDKLQNDDNSAPSNSQSNGGFAQLSHSTTFTDQSKHQQQMTNYGPSVQQRSSAILGSQLPHPTIPNQSLSSLHSSALVRNHGSAHDPHTGQPLAESFPPTMFKQPQDSDITPGRSFQPQSLGPPQPFNQVHEPPFRAGTSNLSRLGGPQFGAPLPGDMHGRMAGNIPPHGPEGFGLHDDRFKPFLVSSQQNIDRREYDDDLKKFSRLPLDAESISKFGNYSLSAHESGKRSVGIHDDVIKKSGSTLHPGYLGPGPGYGRHHMDGITPRSPVGEYAEMSSRRLGAHSGSLIGKSGIDDFDGRVSRHFGGEFRDSRFPHLPSHLHRDEFDGFGNFRMGEHPRSGDFIGQDEFAGHFRRGEPLGPHNFPRHLQLGEPVGFGAHPGHMRAVEHGSFRSFESFAKGNRPGHPQLGEPGFRSSFSLPGFPNDAGFLTGDIRSFDNLRRRKTSSMGWCRICKVDCETVEGLDLHSQTKEHQKMAMDMVKTIKQNAKKQKLIPSEQPTVDDGNKTHNTGFEGRGNKH >Vigun11g216700.3.v1.2 pep primary_assembly:ASM411807v1:11:41045006:41056693:-1 gene:Vigun11g216700.v1.2 transcript:Vigun11g216700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIVNIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLTESNKTLAETIGKITVHCLYHRSGCTWQGSLSECTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQGQAQQVTTTQDPSTTSVVASTDQNQNAAPVAATAAQAAVSTTIPGQVSNQQPNLTPQTQALVQTTGQPTAEQWYQQQQYQQYYQQYPGQDPYQQQYQHYYPYQQSMVPQYQQAYSQPQPQSQSQPQAQLQPQPQPQAQHQSQQPQLQQQPQAQSQPLSHIQAPVVPPSQNQMQVQQQPQQLQPAVQPHGQTSHAPGHSLPQSQTQPYPYPQVQPHSVQPPPQPQQPMQIPPYQQPLPQMQHSQPQIQQPVQKYPVPQSQAHAQLQPNAPVQHPSQLPMPPHHPVTPNVQPQVQNAATPSVTGHHSYPQPPPHPNMQPGVPQHPMHGHPQSGHQPHAQHPVQMQNQFPPQIPTMRPNQSHAMFPNQQSSVQGQTTPPLQQQPVYSHNQQPGQINQRPTLQPVQQIPQQQPFAQHQMPMPSHLRPPGPAHSFPKQVYSQPQGNIAPSNSIQQNQSQNTGGRPLVPNHAGHLQPFAQSANTIPVRHGQNGAGYLLENQKLLAGTNNQVQLPSELQSRAPETIERHGDVVEQTDSAAAKLGKNFKDLDTVSGSTNELKSEKFEASLKPVEVGNMQNNEDPHSIKTSVPNANAVENGDSVNKNLGMGAAAESNWKPSVSTKSGGAMHGVQNDSNEHSVQGNEFQEGHPLKTETKLAESETDKLQNDDNSAPSNSQSNGGFAQLSHSTTFTDQSKHQQQMTNYGPSVQQRSSAILGSQLPHPTIPNQSLSSLHSSALVRNHGSAHDPHTGQPLAESFPPTMFKQPQDSDITPGRSFQPQSLGPPQPFNQVHEPPFRAGTSNLSRLGGPQFGAPLPGDMHGRMAGNIPPHGPEGFGLHDDRFKPFLVSSQQNIDRREYDDDLKKFSRLPLDAESISKFGNYSLSAHESGKRSVGIHDDVIKKSGSTLHPGYLGPGPGYGRHHMDGITPRSPVGEYAEMSSRRLGAHSGSLIGKSGIDDFDGRVSRHFGGEFRDSRFPHLPSHLHRDEFDGFGNFRMGEHPRSGDFIGQDEFAGHFRRGEPLGPHNFPRHLQLGEPVGFGAHPGHMRAVEHGSFRSFESFAKGNRPGHPQLGEPGFRSSFSLPGFPNDAGFLTGDIRSFDNLRRRKTSSMGWCRICKVDCETVEGLDLHSQTKEHQKMAMDMVKTIKQNAKKQKL >Vigun11g216700.1.v1.2 pep primary_assembly:ASM411807v1:11:41045006:41056693:-1 gene:Vigun11g216700.v1.2 transcript:Vigun11g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIVNIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLTESNKTLAETIGKITVHCLYHRSGCTWQGSLSECTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQGQAQQVTTTQDPSTTSVVASTDQNQNAAPVAATAAQAAVSTTIPGQVSNQQPNLTPQTQALVQTTGQPTAEQWYQQQQYQQYYQQYPGQDPYQQQYQHYYPYQQSMVPQYQQAYSQPQPQSQSQPQAQLQPQPQPQAQHQSQQPQLQQQPQAQSQPLSHIQAPVVPPSQNQMQVQQQPQQLQPAVQPHGQTSHAPGHSLPQSQTQPYPYPQVQPHSVQPPPQPQQPMQIPPYQQPLPQMQHSQPQIQQPVQKYPVPQSQAHAQLQPNAPVQHPSQLPMPPHHPVTPNVQPQVQNAATPSVTGHHSYPQPPPHPNMQPGVPQHPMHGHPQSGHQPHAQHPVQMQNQFPPQIPTMRPNQSHAMFPNQQSSVQGQTTPPLQQQPVYSHNQQPGQINQRPTLQPVQQIPQQQPFAQHQMPMPSHLRPPGPAHSFPKQVYSQPQGNIAPSNSIQQNQSQNTGGRPLVPNHAGHLQPFAQSANTIPVRHGQNGAGYLLENQKLLAGTNNQVQLPSELQSRAPETIERHGDVVEQTDSAAAKLGKNFKDLDTVSGSTNELKSEKFEASLKPVEVGNMQNNEDPHSIKTSVPNANAVENGDSVNKNLGMGAAAESNWKPSVSTKSGGAMHGVQNDSNEHSVQGNEFQEGHPLKTETKLAESETDKLQNDDNSAPSNSQSNGGFAQLSHSTTFTDQSKHQQQMTNYGPSVQQRSSAILGSQLPHPTIPNQSLSSLHSSALVRNHGSAHDPHTGQPLAESFPPTMFKQPQDSDITPGRSFQPQSLGPPQPFNQVHEPPFRAGTSNLSRLGGPQFGAPLPGDMHGRMAGNIPPHGPEGFGLHDDRFKPFLVSSQQNIDRREYDDDLKKFSRLPLDAESISKFGNYSLSAHESGKRSVGIHDDVIKKSGSTLHPGYLGPGPGYGRHHMDGITPRSPVGEYAEMSSRRLGAHSGSLIGKSGIDDFDGRVSRHFGGEFRDSRFPHLPSHLHRDEFDGFGNFRMGEHPRSGDFIGQDEFAGHFRRGEPLGPHNFPRHLQLGEPVGFGAHPGHMRAVEHGSFRSFESFAKGNRPGHPQLGEPGFRSSFSLPGFPNDAGFLTGDIRSFDNLRRRKTSSMGWCRICKVDCETVEGLDLHSQTKEHQKMAMDMVKTIKQNAKKQKLIPSEQPTVDDGNKTHNTGFEGRGNKH >Vigun11g216700.2.v1.2 pep primary_assembly:ASM411807v1:11:41050625:41056678:-1 gene:Vigun11g216700.v1.2 transcript:Vigun11g216700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIVNIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLTESNKTLAETIGKITVHCLYHRSGCTWQGSLSECTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQGQAQQVTTTQDPSTTSVVASTDQNQNAAPVAATAAQAAVSTTIPGQVSNQQPNLTPQTQALVQTTGQPTAEQWYQQQQYQQYYQQYPGQDPYQQQYQHYYPYQQSMVPQYQQAYSQPQPQSQSQPQAQLQPQPQPQAQHQSQQPQLQQQPQAQSQPLSHIQAPVVPPSQNQMQVQQQPQQLQPAVQPHGQTSHAPGHSLPQSQTQPYPYPQVQPHSVQPPPQPQQPMQIPPYQQPLPQMQHSQPQIQQPVQKYPVPQSQAHAQLQPNAPVQHPSQLPMPPHHPVTPNVQPQVQNAATPSVTGHHSYPQPPPHPNMQPGVPQHPMHGHPQSGHQPHAQHPVQMQNQFPPQIPTMRPNQSHAMFPNQQSSVQGQTTPPLQQQPVYSHNQQPGQINQRPTLQPVQQIPQQQPFAQHQMPMPSHLRPPGPAHSFPKQVYSQPQGNIAPSNSIQQNQSQNTGGRPLVPNHAGHLQPFAQSANTIPVRHGQNGAGYLLENQKLLAGTNNQVQLPSELQSRAPETIERHGDVVEQTDSAAAKLGKNFKDLDTVSGSTNELKSEKFEASLKPVEVGNMQNNEDPHSIKTSVPNANAVENGDSVNKNLGMGAAAESNWKPSVSTKSGGAMHGVQNDSNEHSVQGNEFQEGHPLKTETKLAESETDKLQNDDNSAPSNSQSNGGFAQLSHSTTFTDQSKHQQQMTNYGPSVQQRSSAILGSQLPHPTIPNQSLSSLHSSALVRNHGSAHDPHTGQPLAESFPPTMFKQPQDSDITPGRSFQPQSLGPPQPFNQVHEPPFRAGTSNLSRLGGPQFGAPLPGDMHGRMAGNIPPHGPEGFGLHDDRFKPFLVSSQQNIDRREYDDDLKKFSRLPLDAESISKFGNYSLSAHESGKRSVGIHDDVIKKSGSTLHPGYLGPGPGYGRHHMDGITPRSPVGEYAEMSSRRLGAHSGSLIGKSGIDDFDGRVSRHFGGEFRDSRFPHLPSHLHRDEFDGFGNFRMGEHPRSGDFIGQDEFAGHFRRGEPLGPHNFPRHLQLGEPVGFGAHPGHMRAVEHGSFRSFESFAKGNRPGHPQLGEPGFRSSFSLPGFPNDAGFLTGDIRSFDNLRRRKTSSMGWCRICKVDCETVEGLDLHSQTKEHQKMAMDMVKTIKQNAKKQKIPSEQPTVDDGNKTHNTGFEGRGNKH >Vigun11g216700.4.v1.2 pep primary_assembly:ASM411807v1:11:41045006:41056693:-1 gene:Vigun11g216700.v1.2 transcript:Vigun11g216700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECIVNIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVSTTKACPYDGYLVTEADSKPLTESNKTLAETIGKITVHCLYHRSGCTWQGSLSECTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQGQAQQVTTTQDPSTTSVVASTDQNQNAAPVAATAAQAAVSTTIPGQVSNQQPNLTPQTQALVQTTGQPTAEQWYQQQQYQQYYQQYPGQDPYQQQYQHYYPYQQSMVPQYQQAYSQPQPQSQSQPQAQLQPQPQPQAQHQSQQPQLQQQPQAQSQPLSHIQAPVVPPSQNQMQVQQQPQQLQPAVQPHGQTSHAPGHSLPQSQTQPYPYPQVQPHSVQPPPQPQQPMQIPPYQQPLPQMQHSQPQIQQPVQKYPVPQSQAHAQLQPNAPVQHPSQLPMPPHHPVTPNVQPQVQNAATPSVTGHHSYPQPPPHPNMQPGVPQHPMHGHPQSGHQPHAQHPVQMQNQFPPQIPTMRPNQSHAMFPNQQSSVQGQTTPPLQQQPVYSHNQQPGQINQRPTLQPVQQIPQQQPFAQHQMPMPSHLRPPGPAHSFPKQVYSQPQGNIAPSNSIQQNQSQNTGGRPLVPNHAGHLQPFAQSANTIPVRHGQNGAGYLLENQKLLAGTNNQVQLPSELQSRAPETIERHGDVVEQTDSAAAKLGKNFKDLDTVSGSTNELKSEKFEASLKPVEVGNMQNNEDPHSIKTSVPNANAVENGDSVNKNLGMGAAAESNWKPSVSTKSGGAMHGVQNDSNEHSVQGNEFQEGHPLKTETKLAESETDKLQNDDNSAPSNSQSNGGFAQLSHSTTFTDQSKHQQQMTNYGPSVQQRSSAILGSQLPHPTIPNQSLSSLHSSALVRNHGSAHDPHTGQPLAESFPPTMFKQPQDSDITPGRSFQPQSLGPPQPFNQVHEPPFRAGTSNLSRLGGPQFGAPLPGDMHGRMAGNIPPHGPEGFGLHDDRFKPFLVSSQQNIDRREYDDDLKKFSRLPLDAESISKFGNYSLSAHESGKRSVGIHDDVIKKSGSTLHPGYLGPGPGYGRHHMDGITPRSPVGEYAEMSSRRLGAHSGSLIGKSGIDDFDGRVSRHFGGEFRDSRFPHLPSHLHRDEFDGFGNFRMGEHPRSGDFIGQDEFAGHFRRGEPLGPHNFPRHLQLGEPVGFGAHPGHMRAVEHGSFRSFESFAKGNRPGHPQLGEPGFRSSFSLPGFPNDAGFLTGDIRSFDNLRRRKTSSMGWCRICKVDCETVEGLDLHSQTKEHQKMAMDMVKTIKQNAKKQKLIPSEQPTVDDGNKTHNTGFEGRGNKH >Vigun05g031000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2452123:2452776:1 gene:Vigun05g031000.v1.2 transcript:Vigun05g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYIPIFCYSCRGAGMKRTHVSGVMWLVIILVNAYLGISDTAKTNDTTSVCDGSLQECLIAGHLESEFPTIAASHLARMLGEINSKTLITTNRASSCPIRNDRYRDCFSDEKKIPKERCSDPFKRSC >Vigun08g077132.1.v1.2 pep primary_assembly:ASM411807v1:8:14209850:14225772:1 gene:Vigun08g077132.v1.2 transcript:Vigun08g077132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGEGGLARRYLQGRRIRTGVRTENKGASWRVSSGCGREKRTPKRPIRHFQNIPIGIGPAAQLLLDTSTLQPISSTTASIGPPATSKPTRHFISWASNNYNTFSTPRSHSLKPRVRFY >Vigun06g081000.2.v1.2 pep primary_assembly:ASM411807v1:6:21283411:21287842:1 gene:Vigun06g081000.v1.2 transcript:Vigun06g081000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYGQDGGGSAPPSYGASGGYGSGGGSYGGGTYGGGGAGGGYGGTDGGGGYGAKNSGNGGGYGGNDGGGYGGRSGYGGNDGGGYGGRGGGQGGRGGGGFGGGYGGRGGGGGGGGGYQGGDRGGRGGGRGGGGRGGSGRDGDWRCPNQSCGNVNFARRVECNKCGAPCPNPNSSNERGGSGGGGGGFSRGGGGGGGGGGGYGNNRGGRSGNYDGGRGNDYNSGGGRSGNYDGGRGNDYNSGRGGSNDGRGGSYRGNQGREDGSYGQVPAPNAQSYGGAGGSFPPSYNSYGGNASYGTDAVPPPSSYTGGPNSYPPSYGGNAGGYGGDNQGDGRSGGRSGPPSGYDNSYGAGNRGGFGGSPAEPPAAVKQCDENCDDTCDNSRIYISNLPPDVSIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDENGNNKGDACLAYEDPSAAHSAGGFYNNYDLRGYKISVAMAEKSAPRAPPAYNQGGNRGGYGGDRRRDNYRDGGGSGPDRRDHYGNRSRPY >Vigun06g081000.1.v1.2 pep primary_assembly:ASM411807v1:6:21283411:21287842:1 gene:Vigun06g081000.v1.2 transcript:Vigun06g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYGQDGGGSAPPSYGASGGYGSGGGSYGGGTYGGGGAGGGYGGTDGGGGYGAKNSGNGGGYGGNDGGGYGGRSGYGGNDGGGYGGRGGGQGGRGGGGFGGGYGGRGGGGGGGGGYQGGDRGGRGGGRGGGGRGGSGRDGDWRCPNQSCGNVNFARRVECNKCGAPCPNPNSSNERGGSGGGGGGFSRGGGGGGGGGGGYGNNRGGRSGNYDGGRGNDYNSGGGRSGNYDGGRGNDYNSGRGGSNDGRGGSYRGNQGREDGSYGQVPAPNAQSYGGAGGSFPPSYNSYGGNASYGTDAVPPPSSYTGGPNSYPPSYGGNAGGYGGDNQGDGRSGGRSGPPSGYDNSYGAGNRGGFGGSPAEPPAAVKQCDENCDDTCDNSRIYISNLPPDVSIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDENGNNKGDACLAYEDPSAAHSAGGFYNNYDLRGYKISVAMAEKSAPRAPPAYNQGGNRGGYGGDRRRDNYRDGGGSGPDRRDHYGNRSRPY >Vigun09g203500.1.v1.2 pep primary_assembly:ASM411807v1:9:37813870:37820478:-1 gene:Vigun09g203500.v1.2 transcript:Vigun09g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREETTHGTAIPKKSRSLDLKSLYKPKLKKESPEKGLKRKGSHPGGVYENTNKKKKTRKEVSLSSLENADTLNKKVVDEEFQKGFGSGRQELCEQKLEPKQGSGSNTVLNKGSLCFDENVYIPKRRRDFVGRRKIEVGLSPKLARESSNTGGHGDQIHKLSSDDLDRGIESSKIKHKRDFDEFKGTKSKSAVKSGDSSSKKSLKKDRKLKAFAPDRNRVATEVKPRIDSSKTSDYKQKAVAPDRGRVAKEVRPLIDDSKTSDYKQKSLAPDRNKVAKEVKPLIDANKISDYLREDEEENLEENAARMLSSRFDPNYAGFCSSSKPSTLPSSNGLSFLISSSRNIDSCASKSHSGSESASVDTAGRVLRPRKQYNEKGRSRKRRHFYEISLGDLDKHWILNQRIKVFWPLDQIWYHGLVDDYNKETKCHHIKYDDREEEWINLETERFKLLLLPSEVPGKAGKKRAVRKTKSPSQQKGSLSSKERQIRDVITENNSCGESCMDTEPIISWLARSSHRFKSSAFNGVKRKKNPITLPSTASSLSNEAVKTGRPLVESSPRDGKSSFSRDSVSEDKLGDNFGSKSPLQSFNCPKDDKRPIVYFRRRFRKPTPMSPHISEDKHVNTTASCSISLDPVAGGPMDVKESNDGRGEMEGPLCYTHNGGVFKIFLETGSATFKFGLKYPIQSVLNGSFKLENLWLFRAILLLQYGTVMSLWPRVHLEMLFVDNVAGLRFLLFEGCLMMAAAFVFCVLRLFHQPAEQGKYIDVQLPATSIRFRFSSVYGIRKPLVFTFYNFSRVKNTKWMYLDSKLQRHCLLGKQLHLSECTYDNIQALQNQSSEYPITSIRGNPLVKVMQKRIRPGINVMGVSRELSQADTSEYSDSGKRKIPPFSLSFAAAPTFFISLHLKLLMEKSVAHISFCDHALVDDEEDCGPMTDDCSSIDDCSNRNAEFNVKKDMITLSKDAVRDGLTCAKPDLLISPSNGSGQVLSQNYQNIDRSADRTSILDCSEKHRNVQLPDWQTCHFDHSFPSNSLSDKIKVNDDSHSFLCDLSVQIPSVNQFEKSCDGDLRDAQHSSDFSWNANGGVSLSPNPTAPRSSWHRNRNNSSSFGFQSHGWSDVKGDSLHNGLSSGPKKPRTQVSYSVPISGYDYNSRHRSHYQRQRGLPHKRIRKANDKKSLDVARSPEKNLESLSCGANVLITLGDKGWRESGARVVLELFDHNEWKLSVKVAGITRYSYKAHQFLQTGSTNRYTHAMMWKGGKDWILEFPDRSQWAVFKEMHEECYNQNIRAASVKNIPIPGVVLIEESYDNEAEATFVRGSKYFRQVETDVEMALNPLHVLYDLDSEDEQWILTIQNSEKDNGFLDGISDEMFEKTMDMFEKAAYAQQRDHFTPTEIEELTVDVGPFCVTKIIYEYWQQKRQKKGMPLIRHFQPPLWERYQHELREWEVSVTKNNIPISNGCLDKGVAVEKPAMFAFCLKPRGLEVPNKGSKHRSQKKISVSGHSNNILYEQDGFHPYGRRFNGLVYGDEKFAFPGHSYDSVDDSPLPQISPIFSPRDVGSMGYYSINNRYDRNHIPKYNRHKSRKFGSFGFHNDSYSQRISSSGKRNGDGRWNVGYYDLAGGHRQYLLDGPQRHGIDQSDGTDLYELRMRDASGAAQHAVNVARMKRERAQRLLYRADLAIHKAVVALVTAEAMKASEDSNGDG >Vigun04g045300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3952163:3952975:-1 gene:Vigun04g045300.v1.2 transcript:Vigun04g045300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDDDVETGAPDTEAMVDQPTGSEQTPLEISLKRKCFEGKNAISLSDEEAHKEASTEHSWLGKHAPVIAKGDNWDSTNQGKAFGKGASFWDSTFSHSTHNRAHNLLDVDLIELSKYSAVDLSIGLQNYLNKATALAYMSEMKAKEARANKRSKKETDCELERLKAEIIELKDANAKANEKLLKAEAEIQAEKEAMTIAKDEYASALNTWEEEKKELLDYEVTLKTEVAKSFQNGFQAALEQARVIAPSIDFSTANPWKFVLNGAIIG >Vigun04g045300.1.v1.2 pep primary_assembly:ASM411807v1:4:3951910:3957480:-1 gene:Vigun04g045300.v1.2 transcript:Vigun04g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRHEPVSYICKNCRMENMMKPGDDMQCRECGYRILYKKRIYRKPRVSRRSRYQLLYEMSEKEDDDVETGAPDTEAMVDQPTGSEQTPLEISLKRKCFEGKNAISLSDEEAHKEASTEHSWLGKHAPVIAKGDNWDSTNQGKAFGKGASFWDSTFSHSTHNRAHNLLDVDLIELSKYSAVDLSIGLQNYLNKATALAYMSEMKAKEARANKRSKKETDCELERLKAEIIELKDANAKANEKLLKAEAEIQAEKEAMTIAKDEYASALNTWEEEKKELLDYEVTLKTEVAKSFQNGFQAALEQARVIAPSIDFSTANPWKFVLNGAIIG >Vigun11g224100.1.v1.2 pep primary_assembly:ASM411807v1:11:41499370:41500738:-1 gene:Vigun11g224100.v1.2 transcript:Vigun11g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATVPVRWQPPSVRFRAESVVKRRGRVTVRAFGNGNGKGKGRGDMDRVWREAWRTANDGFERFVFEARKTAERIDRRYSLSRRLSSVARAAADRAREIDREFEIGQRYRTFSIDFQRNWPKYRKQLNDFLDSPIGKSFATLFFIWFALSGWLFRILIIATWVLPFAGPLLIGTLANSLVIKGSCPACKMQFTGYKNQVIRCTGCGNIVWQPKGGKGDFFSRGAGGRTNSRSDPDIIDVDFEEK >Vigun09g117400.1.v1.2 pep primary_assembly:ASM411807v1:9:25826561:25829954:-1 gene:Vigun09g117400.v1.2 transcript:Vigun09g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLVKKPKVTEVDKAILALKTQRRKLAQYQQKLDAVIEAEKQAARDLIREKKKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKAGNDAMKAIQSEINIDDVQKLMDDTAEAKAYQDEINAVLGEKLSAEDEEEILAEFEDLEIQLTVQDLPEVPHSAHEEIEEKLDLPDVPTKAPVTSDAEVSTKRKVMEEPLAA >Vigun10g028800.1.v1.2 pep primary_assembly:ASM411807v1:10:3628187:3638832:1 gene:Vigun10g028800.v1.2 transcript:Vigun10g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLDLESQDGVRMPWNVIPGTKQDALNCVVPVSVVYTPIKQFPSMPVLNYTPLRCRTCRSVLNPFCIVDFAAKIWICPFCFQRNHFPPHYASISDESLPAELFPQYTTVEYNSDASSAASAAVPPVFLFVVDTCVIEEEIGFLRSALAQAVELLPENSLVGLITFGTFVHVHELGFGALPKTYVFKGSKDVTKDQLLEQMSFFAKKPRPAVGVVAGARDGLSADSISRFLVPASECEFTINSVLEELQKDPWAVPADQRATRCTSTALSIAASLLGACVPGSAARIMAFIGGPATEGPAPIVSKQLSEPIRSHKDLDKDSVPHYHKCVKFYDGLAKQLVHQGHVLDLFACALDQVGIAELKTAVERTGGLVVLAESFGHSVFKDSLKRVFQSGDYDLGLSSNGIFEINCSKDLKIQGIIGPCASLEKKGPLCSDAVIGQGGTSAWKMCGLDKSTSLCLFFDVVRKESPDAAMQATSNQFYFQFLTYYQNSKGQMRLRVTTLSRRWIAGPESIQDLIAGFDQEAAAIVMARQVSFKMETEAEFDPIRWLDKALINLCSRFGEFQKDTPSSFSLSPRLSIFPQFMFHFRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMVQPSLISYSFHSGPEPALLDVAAIAADKILLLDSFFTVVIFHGSTIAQWRKAGYHNEPEHQAFAQLLRAPHDDADLIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNTENYHPGGDIIFTDDVSFEVFLDHLQRLVVQ >VigunL059083.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000527.1:41959:42895:1 gene:VigunL059083.v1.2 transcript:VigunL059083.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCSGVLEWCDHGGFGQRDEWRRRRRDGGAMKKTRWLVHGGAGGSGSSVVRDGGRGTRGEDEDVAVPWYSSGDGVSDEGATGDGFPAWPVVVGRGCGDCGVGWCGRERERVRVRVLVV >Vigun10g083966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23774565:23774717:1 gene:Vigun10g083966.v1.2 transcript:Vigun10g083966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLGILTRLMLKLKNVSFARTPIKSFSPYYHPLQSKLLVNINYLPMT >Vigun06g028200.4.v1.2 pep primary_assembly:ASM411807v1:6:12466917:12470286:-1 gene:Vigun06g028200.v1.2 transcript:Vigun06g028200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGASRSFNHNLSPHHDIFVVAPASSFHHHHHHNDAVLSDPNNNSSNATALGVNVFPLLTATPCLTPESEGIMGNINSHRNRIQLWQPQDSPQHQHADGVLASNHRSRIQLWQEHDESPPPQHQQQQHPNAHVHEREGSGSGASTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMAATAAVGSSGSTSATKKPRLVPSQTTTTSHTSTSNNTTTPPRSFDTGSSHQDVSFKESLPCQVRAPAEFKCVRVTAVDDGDDEYAYQAVVKIGGHVFKGFLYDQGVEDKSEYPNLSELHLGGGGNVASGSDGGGRNGVSSSSPMVDPSDVYAASAGVLLGGSSNYDQWITEEPHHVKRIVYVLN >Vigun06g028200.2.v1.2 pep primary_assembly:ASM411807v1:6:12466730:12470365:-1 gene:Vigun06g028200.v1.2 transcript:Vigun06g028200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGASRSFNHNLSPHHDIFVVAPASSFHHHHHHNDAVLSDPNNNSSNATALGVNVFPLLTATPCLTPESEGIMGNINSHRNRIQLWQPQDSPQHQHADGVLASNHRSRIQLWQEHDESPPPQHQQQQHPNAHVHEREGSGSGASTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMAATAAVGSSGSTSATKKPRLVPSQTTTTSHTSTSNNTTTPPRSFDTGSSHQDVSFKESLPCQVRAPAEFKCVRVTAVDDGDDEYAYQAVVKIGGHVFKGFLYDQGVEDKSEYPNLSELHLGGGGNVASGSDGGGRNGVSSSSPMVDPSDVYAASAGVLLGGSSNYGNAIN >Vigun06g028200.5.v1.2 pep primary_assembly:ASM411807v1:6:12466917:12470286:-1 gene:Vigun06g028200.v1.2 transcript:Vigun06g028200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGASRSFNHNLSPHHDIFVVAPASSFHHHHHHNDAVLSDPNNNSSNATALGVNVFPLLTATPCLTPESEGIMGNINSHRNRIQLWQPQDSPQHQHADGVLASNHRSRIQLWQEHDESPPPQHQQQQHPNAHVHEREGSGSGASTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMAATAAVGSSGSTSATKKPRLVPSQTTTTSHTSTSNNTTTPPRSFDTGSSHQDVSFKESLPCQVRAPAEFKCVRVTAVDDGDDEYAYQAVVKIGGHVFKGFLYDQGVEDKSEYPNLSELHLGGGGNVASGSDGGGRNGVSSSSPMVDPSDVYAASAGVLLGGSSNYDQWITEEPHHVKRIVYVLN >Vigun06g028200.6.v1.2 pep primary_assembly:ASM411807v1:6:12466790:12470365:-1 gene:Vigun06g028200.v1.2 transcript:Vigun06g028200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGASRSFNHNLSPHHDIFVVAPASSFHHHHHHNDAVLSDPNNNSSNATALGVNVFPLLTATPCLTPESEGIMGNINSHRNRIQLWQPQDSPQHQHADGVLASNHRSRIQLWQEHDESPPPQHQQQQHPNAHVHEREGSGSGASTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMAATAAVGSSGSTSATKKPRLVPSQTTTTSHTSTSNNTTTPPRSFDTGSSHQDVSFKESLPCQVRAPAEFKCVRVTAVDDGDDEYAYQAVVKIGGHVFKGFLYDQGVEDKSEYPNLSELHLGGGGNVASGSDGGGRNGVSSSSPMVDPSDVYAASAGVLLGGSSNYGNAIN >Vigun06g028200.3.v1.2 pep primary_assembly:ASM411807v1:6:12466783:12470368:-1 gene:Vigun06g028200.v1.2 transcript:Vigun06g028200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGASRSFNHNLSPHHDIFVVAPASSFHHHHHHNDAVLSDPNNNSSNATALGVNVFPLLTATPCLTPESEGIMGNINSHRNRIQLWQPQDSPQHQHADGVLASNHRSRIQLWQEHDESPPPQHQQQQHPNAHVHEREGSGSGASTCQDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMAATAAVGSSGSTSATKKPRLVPSQTTTTSHTSTSNNTTTPPRSFDTGSSHQDVSFKESLPCQVRAPAEFKCVRVTAVDDGDDEYAYQAVVKIGGHVFKGFLYDQGVEDKSEYPNLSELHLGGGGNVASGSDGGGRNGVSSSSPMVDPSDVYAASAGVLLGGSSNYGNAIN >Vigun01g046100.1.v1.2 pep primary_assembly:ASM411807v1:1:6876762:6884649:-1 gene:Vigun01g046100.v1.2 transcript:Vigun01g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRSVSALSRLRSRVGQQSNLANSVRWLQTPSSSNTDLYSELKELVPEYQERVKKLKKDHGSVELGKITVDMVLGGMRGMTALVWLGSAVDPDEGIRFRGMSIPECQKKLPGAFPGGEPLPEAVLWLLLTGKIPNKEQVDSLSQELRSRATIPDYAYKAIDALPVSAHPMTQFTTGVMALQVQSEFQKAYESGIAKARYWEPTYEDTLNLIARLPAIAAYIYRRKYKDGKIIPLDDSLDYGANYAHMLGFDDPEMLEFMRLYISIHSDHEGGNVSSHTAHLVASPLSDPYLAFAAALNGLAGPLHGLANQEVLRWIRSIVAEFGTPNISTEQLADYINKTLSKGQVVPGYGHGVLRQTDPRYTCQREFALKHLPNDPLFQLVSKIKEVVPPILTKLGKVKNPWPNVDAHSGVLLNYYGLTEENYYTVLFGVSRSFGVGPQLIWDRALGMPLERPKSVTLEKLEQLCAKSS >Vigun08g010600.1.v1.2 pep primary_assembly:ASM411807v1:8:911655:913589:-1 gene:Vigun08g010600.v1.2 transcript:Vigun08g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNKHDASVFCTADEAVLCDGCDHRIHHANKLASKHQRFSLLRPKQHPLCDVCQERRAFTFCQQDRAILCRECDVSIHSANEHTLKHDRFLLTGVKLSASSSSQETPSPSNSAPDSLFHVSNQKTIPLSSTVSKVGVEAMGSASASSISEYLIETLPGWQVEDFLDSYSVAFGFSKSDEALPRFDGDMESHLGSFSTENVGIWVPQAPPPLLSSSQMNRVIGQNETNNIKGSSRSRLKDDNFTVPQISPQSNSKRGRFLW >Vigun08g186400.1.v1.2 pep primary_assembly:ASM411807v1:8:35566889:35571867:-1 gene:Vigun08g186400.v1.2 transcript:Vigun08g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTLGLVLVPLLLCFASVFSLPMSLRRQASILVSLKQDFEANTDSLRSWDMTNSVSLCTWEGIECDQKNRSVVSLDITNFNLSGTLSPSITGLRSLESVSLAGNGFSGGFPSDIHKLAGLRFLNISANKFSGDMNWEFSMLKELEVLDAYDNEFNCSLPLGVSQLPKLNTLNFGGNFFYGEIPPSYGDMVQLNFLSLAGNDLRGVIPSELGNLTNLTQLFLGYYNQFDGGIPPEFGKLVNLTHLDLASCGLTGPIPAELGNLSKLDTLYLQTNQLSGSIPPQLGNMSGMKCLDLSNNELTGDIPNEFSGLHQLTLLNLFINRLHGEIPPFIAELPNLEVLKLWQNNFTGAIPPSLGQNGKLVELDLSTNKLTGLVPKSLCLGRRLRILILLNNFLFGSLPADIGQCYTLQRVRLGQNYLTGSIPNGFLHLPELDLLELQNNYLSGWLPQETNTAPSKLGQLNLSNNRLSGSLPTSIGNFPNLQILLLHGNRLSGEIPPDIGRLKNILKLDLSVNNFSGTIPPEIGNCLLLTYLDLSQNQLSGPIPVQLSQIHIMNYLNVSWNHLNQNLPKELGAMKGLTSADFSHNDFSGSIPEEGQFSVFNSTSFIGNPRLCGYEANPCKHSSSSILESQDSSSAKPGVPGKYKLVFAVALLACSLAFATLAFIKSRKQRRHSNSWKLTTFQKLEYGSEDIIGCIKESNVIGRGGAGVVYHGTMPNGEQVAVKKLLGINKGCSRDNGLSAEIRTLGRIRHRYIVRLLAFCSNRETNLLVYEYMPNGSLGEVLHGKRGEFLKWDTRLKIATEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSEFEAHVADFGLAKFLQDTGTSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRKPVGNFGEEGVDIVQWTKLQTNWSKEKVVKIVDERLCHIPLEEAKQVYFVAMLCVQEQSVERPTMREVVEMLAQAKQPNTFQKQ >Vigun05g255700.2.v1.2 pep primary_assembly:ASM411807v1:5:45010173:45013293:-1 gene:Vigun05g255700.v1.2 transcript:Vigun05g255700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEPPRLVLNPYQDQTTQDIVNTVQTPQRTRKPTLSPELQISSAQFNLPEGWIVEERPRRSNPTHVDRYYYEPHTRKQFRSLCAVQRYLAGEEVTPRRMKSKKKLIESGEGQSSSMRAVSNFLLEENPSPTTSKSPMNSGSGEKRVRSTRYEGRYEEESVYRPTSVAKEEIINPMSWKYSVPARKSVKLDYQNKTISESSGTSSAHNLTTPPPAKISWVLSGPTGTWNPRVGGSPVPQSEKLNWSEAFIQSLGRDDGPSN >Vigun05g255700.1.v1.2 pep primary_assembly:ASM411807v1:5:45010173:45013293:-1 gene:Vigun05g255700.v1.2 transcript:Vigun05g255700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEPPRLVLNPYQDQTTQDIVNTVQTPQRTRKPTLSPELQISSAQFNLPEGWIVEERPRRSNPTHVDRYYYEPHTRKQFRSLCAVQRYLAGEEVTPRRMKSKKKLIESGEGQSSSMRAVSNFLLEENPSPTTSKSPMNSGSGEKRVRSTRYEGRYEEESVYRPTSVAKEEIINPMSWKYSVPARKKSVKLDYQNKTISESSGTSSAHNLTTPPPAKISWVLSGPTGTWNPRVGGSPVPQSEKLNWSEAFIQSLGRDDGPSN >VigunL057400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:19806:21145:1 gene:VigunL057400.v1.2 transcript:VigunL057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALCLSSPRATPPSESLGVSIESHANSKHIGVNYVFIGPLTTKYRPVIVKAAKEDRNTKPNSVICADCDGNGAVQCSQCKGNGVNSVDIFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >VigunL057400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:19806:21145:1 gene:VigunL057400.v1.2 transcript:VigunL057400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALCLSSPRATPPSGVSIESHANSKHIGVNYVFIGPLTTKYRPVIVKAAKEDRNTKPNSVICADCDGNGAVQCSQCKGNGVNSVDIFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >Vigun03g115300.1.v1.2 pep primary_assembly:ASM411807v1:3:10539925:10541444:1 gene:Vigun03g115300.v1.2 transcript:Vigun03g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFEGYSYTTALGRKRVVLVHNVEVLSPLKRTRSEKITFDSERSPLEALPLEILVKVLCGVDHEDLEQLARVSKTVREATEIARQTNFEYRTPKKKTFAISIPFGEEIEAPNAPLRKPKSKLIAKNLSSITMALFTSPNEEEDQ >Vigun03g010300.1.v1.2 pep primary_assembly:ASM411807v1:3:713121:716347:-1 gene:Vigun03g010300.v1.2 transcript:Vigun03g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNENGSGSGAVPPFLKKCYDMVEDRNTDSVIRWSDGGDSFVISDTTQFSVTLLPTYFKHNNFSSFIRQLNIYGFRKIDTDCWEFANENFVRGQKQLLKNIRRRKHPHVADQQKALPENDNSDEPSQEVPHHGLWKEVENLKSDKNSLTQELVKLRQHQESAENKLLLLSDRLQGMEKHQQQMLSFLVMVVQSPGFLVQLLHPKENNWRLSESWNILDQDKQDDKPIASDGMIIKYKPPVGEKLKPVVPLSPAFEKQTEPELSADGLKDLCISSEFMKVLLDEKFSPLENHSPFLLPDLPDDGSWEQLFLGSPFSGNIEDSNKESEERTDNGMEIEPTISETPNENFRTFESMIVEMEKNRE >Vigun07g146100.1.v1.2 pep primary_assembly:ASM411807v1:7:25705805:25707747:-1 gene:Vigun07g146100.v1.2 transcript:Vigun07g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLASSTFTLPSCASPLNKGVHQHQLNFALDRLLSKATIRTDQKTVGSSSLNKLTLSLKGRCLRCLRSCTRFLHETNSYNLDVGYCTEIVISGYWVGPDADDGWGLVEAVINQMN >Vigun09g158200.1.v1.2 pep primary_assembly:ASM411807v1:9:32485226:32487545:1 gene:Vigun09g158200.v1.2 transcript:Vigun09g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRTHHPTDSFHLYDGTRHKPHTTWEPTNSLMWPSKPPFQELNEDDSGICSPPMWTTSPPHSQNDHRTLSPTSRTQAIVRGQRELMEMVKNMPESNYELSLKDLVEHHRLETAVEERRNLTVYKRDKSGAGKRVDNKMTQVKRNGNIDRGGFYLKMGLPFSLGSKDKNKSKKKKSESSGNSSSRVTPKPDGSTKGGVDKEWWKKSPSACKGSDSGESSINSGSSKSSGSSSSNSNSSSNSRSNSRREKSGRLCWFFISRRKSQTQK >Vigun03g291200.1.v1.2 pep primary_assembly:ASM411807v1:3:47469816:47473751:-1 gene:Vigun03g291200.v1.2 transcript:Vigun03g291200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLCSIFFFLFHSHTLHAARISEYRALLSFKDSSITDDPTHALSSWNTTTPYCSWFGVTCDSRRHVTTLNLTSLSLSGTLYDDLSHLPFLSYLSLADNQFSGPIPASFSALSALRHLNLSNNAFNTTFPSNLARLVNLQVLDLYNNNMTGPLPLAVAAMPLLRHLHLGGNFFSGQIPPEYGTWQHLQYLAVSGNELTGNIPPELGNLTALRELYIGYYNAYSGGIPPEMGNLSQLVRFDAAYCGLSGEIPADIGRLQNMDTLFLQVNALSGSLTPELGNLKSLKSMDLSNNVLSGEVPANFAELKNLTLLNLFRNKLHGAIPEFVGELPALEVLQLWENNFTGSIPRSLGRNGKLTLVDLSSNKLTGMLPPDMCYGNRLQTLITLGNYLLGPIPDSLGKCESLTRIRMGENFLNGSIPVGLFGLPNLTQVELQNNLLTGQFPEVGSVALNLGQISLSNNKLSGPLPPTIGNFTSMQKLLLDGNKFSGQIPSQIGRLQQLSKIDFSHNQFSGPIAPEISKCKLLTFIDLSRNELSGEIPNQITAMRILNYLNLSRNNLVGSIPGSIASMQSLTSVDFSYNNLSGLVPGTGQFGYFNYTSFLGNTELCGPYLGPCKDGVANGPRQPHVKGPLSSSLKLLLVIGLLVCSIAFAVAAIIKARALKKASEARTWKLTAFQRLDFTADDVLDCLKEDNIIGKGGAGIVYKGAMPNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPSSKQGDLTITESSLSSSNSLESPTTTSKEPKDDQRPPQSSPPDLLSI >Vigun07g223200.3.v1.2 pep primary_assembly:ASM411807v1:7:34537604:34540960:1 gene:Vigun07g223200.v1.2 transcript:Vigun07g223200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLLVNGEGAALVAEDGDYVAARGFKKVKEVFWIESKRMWIIALPIVFNIWCQYGINSVTSIFVGHLSDIELSAVSLINSVVGTFAFGFMLGMGSATETLCGQAFGAGQVHMLGVYMQRSWVILAVTSILLLPLYIFAAPILKLLGQQKDIADLAGTFAIKVIPQFLSLAFNFPTQKFLQAQSKVNVIAWIGFVAFVVHVGLLWLFIHTLELGLTGAALAFDITSWGITSAQLVYVVVWCKDGWNGLSWSAFKDIWAFVRLSLASAIMLCLEIWYMMSLIVLAGNLNNAVIAVDSLSICMNINGWEGMIFIGINAAIGVRVSNELGLGRPRAAKYSVYVTIFQSLLLGIFFMAVILATRDYYGVIFTNSLVLQKAVSKLGFLLAITMVLNSVQPVISGVAIGGGWQALVAYVNIGCYYLFGLPLGFILGYKANFGVEGLWGGMICGMLLQTFLLVLILYKTNWKKEVEETNARMRIWGGQQIEVDKVAASA >Vigun07g223200.4.v1.2 pep primary_assembly:ASM411807v1:7:34537604:34540960:1 gene:Vigun07g223200.v1.2 transcript:Vigun07g223200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLLVNGEGAALVAEDGDYVAARGFKKVKEVFWIESKRMWIIALPIVFNIWCQYGINSVTSIFVGHLSDIELSAVSLINSVVGTFAFGFMLGMGSATETLCGQAFGAGQVHMLGVYMQRSWVILAVTSILLLPLYIFAAPILKLLGQQKDIADLAGTFAIKVIPQFLSLAFNFPTQKFLQAQSKVNVIAWIGFVAFVVHVGLLWLFIHTLELGLTGAALAFDITSWGITSAQLVYVVVWCKDGWNGLSWSAFKDIWAFVRLSLASAIMLCLEIWYMMSLIVLAGNLNNAVIAVDSLSICMNINGWEGMIFIGINAAIGVRVSNELGLGRPRAAKYSVYVTIFQSLLLGIFFMAVILATRDYYGVIFTNSLVLQKAVSKLGFLLAITMVLNSVQPVISGVAIGGGWQALVAYVNIGCYYLFGLPLGFILGYKANFGVEGLWGGMICGMLLQTFLLVLILYKTNWKKEVEETNARMRIWGGQQIEVDKVAASA >Vigun07g223200.1.v1.2 pep primary_assembly:ASM411807v1:7:34537534:34540960:1 gene:Vigun07g223200.v1.2 transcript:Vigun07g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLLVNGEGAALVAEDGDYVAARGFKKVKEVFWIESKRMWIIALPIVFNIWCQYGINSVTSIFVGHLSDIELSAVSLINSVVGTFAFGFMLGMGSATETLCGQAFGAGQVHMLGVYMQRSWVILAVTSILLLPLYIFAAPILKLLGQQKDIADLAGTFAIKVIPQFLSLAFNFPTQKFLQAQSKVNVIAWIGFVAFVVHVGLLWLFIHTLELGLTGAALAFDITSWGITSAQLVYVVVWCKDGWNGLSWSAFKDIWAFVRLSLASAIMLCLEIWYMMSLIVLAGNLNNAVIAVDSLSICMNINGWEGMIFIGINAAIGVRVSNELGLGRPRAAKYSVYVTIFQSLLLGIFFMAVILATRDYYGVIFTNSLVLQKAVSKLGFLLAITMVLNSVQPVISGVAIGGGWQALVAYVNIGCYYLFGLPLGFILGYKANFGVEGLWGGMICGMLLQTFLLVLILYKTNWKKEVEETNARMRIWGGQQIEVDKVAASA >Vigun09g137400.1.v1.2 pep primary_assembly:ASM411807v1:9:29860315:29861329:-1 gene:Vigun09g137400.v1.2 transcript:Vigun09g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTSWNLTSLVILFTFLTCSFSNTFTIINNCPQTIWPGTLAGSGSPPLAPTGFRLDTGQSIKLTTVPGWSGRIWARTGCKFDATGVGRCQTGDCGGRLECEGNGAAPPTSLFEITFGAGNGQDYYDVSMVDGYNLPLPALPRGAHGGACNATGCITDINRGCPAELQVLGGVPNQGVVGCRSACEAFKLDKYCCSGEFANPNTCQPSYYSTLFKKACPRAYSYAFDDGTSTFTCKAYEYDIVFCPNSNGYTPNPASPPPPIRQPYWKHHQVTSSSNILLPFPAPIFLLVLTLTFLAFVRHGQ >Vigun07g172600.2.v1.2 pep primary_assembly:ASM411807v1:7:28788260:28790890:1 gene:Vigun07g172600.v1.2 transcript:Vigun07g172600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSYSHPLICFSCRNISANGKNKFTVKFCAPFPSQWDRKCEFSGRPQVYNFPGPIKPKRLFVLHNTDDSHPSASTVADEMNTNHAPNGASPAFLSNWSPPRYLWRGLSVLILAGQVIVKTLKGKIHWRNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTAIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSDPVDYLVTPRVIATCIALPLLTLLCFTLGMASSAILADGVYGVSINIILDSAQRALRSWDIISAMIKSQVFGAIISIVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCI >Vigun07g172600.1.v1.2 pep primary_assembly:ASM411807v1:7:28788260:28791148:1 gene:Vigun07g172600.v1.2 transcript:Vigun07g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSYSHPLICFSCRNISANGKNKFTVKFCAPFPSQWDRKCEFSGRPQVYNFPGPIKPKRLFVLHNTDDSHPSASTVADEMNTNHAPNGASPAFLSNWSPPRYLWRGLSVLILAGQVIVKTLKGKIHWRNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTAIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSDPVDYLVTPRVIATCIALPLLTLLCFTLGMASSAILADGVYGVSINIILDSAQRALRSWDIISAMIKSQVFGAIISIVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCI >Vigun01g205900.1.v1.2 pep primary_assembly:ASM411807v1:1:38167711:38170870:-1 gene:Vigun01g205900.v1.2 transcript:Vigun01g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENSIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPNTHQPLSEVENDKDKGLTADRSNQKGSNEMSVVEPPKQKPITTSNTTSMPMDRYPLEVSATSKISSGGNNNNNNSSSSLDRFGTCHDNNSSMASSDMMGMGYFPFQHLNYGPNMGLTTANPNNTPLCFIPGSTSSQMMSELNSTMLHSVFSTHVKPTVSLQSNNNNNPSSIFSDGVQNWEGSTFSNNNNNNNNASKSNGSSSCSIQLQSSTNFLDHGSTITWGLHPESGTKTDTKDAHSSHVVPLHQKQKTSNGRSI >Vigun07g133700.3.v1.2 pep primary_assembly:ASM411807v1:7:24343208:24349373:1 gene:Vigun07g133700.v1.2 transcript:Vigun07g133700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPSQSSSNSGRSRHSARVLAQTTVDAKLHATFEESGSSFDYSSSVRVSGTADGVNQPRSDKVTTAYLHHIQRGKMIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGDHPALGIGTDIKTLFTAPSASALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMDRLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVIAEITKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHAQYMANMDSIASLVMAVVVNDNEEDGDTDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELEYQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKLWRLGVTPSESQIREIALWLSEYHMDSTGLSTDSLSDAGFPSALSLGDVVCGMAAVRITLKDVVFWFRSHTAAEIRWGGAKHEAGEKDDGRRMHPRSSFKAFLQVVKARSLPWKDYEMDAIHSLQLILRNAFKDTPGTELQTNAINTKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNIKVAELTGLPVGEAIGKHLLTLVEDSSTDRVKKMLDLALQGEEEKNVQFEIKTFGSKMDSGPISLVVNACASRDLRDNVVGVCFVAHDITAQKNVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNPAMSKLTGWKREEVMDKMLLGEVFGTQMSCCRLKNQEAFVNFGIVLNKAMTGSETEKVAFGFFARNGKYVECLLSVSKKLDVEGLVTGVFCFLQLASPELQQALHIQRLSEQTALKRLNALTYMKRQIRNPLCGIIFSRKMLEGTELGTEQKQFLHTSAQCQRQLSKILDDSDLDSILDGYLDLEMAEFTLHEVMIASLSQVMTKSNGKSIRIVNDVAEQIVMETLYGDSLRLQQVLADFLLISINFTPNGGQVAVAGSLTKEQLGKSVHLVKLELSITHGGSGVPEALLNQMFGNNGLESEEGMSLLISRKLLKLMNGDVRYLREAGKSAFILSAELAAAHNLKA >Vigun07g133700.1.v1.2 pep primary_assembly:ASM411807v1:7:24343208:24349373:1 gene:Vigun07g133700.v1.2 transcript:Vigun07g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPSQSSSNSGRSRHSARVLAQTTVDAKLHATFEESGSSFDYSSSVRVSGTADGVNQPRSDKVTTAYLHHIQRGKMIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGDHPALGIGTDIKTLFTAPSASALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMDRLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVIAEITKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHAQYMANMDSIASLVMAVVVNDNEEDGDTDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELEYQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKLWRLGVTPSESQIREIALWLSEYHMDSTGLSTDSLSDAGFPSALSLGDVVCGMAAVRITLKDVVFWFRSHTAAEIRWGGAKHEAGEKDDGRRMHPRSSFKAFLQVVKARSLPWKDYEMDAIHSLQLILRNAFKDTPGTELQTNAINTKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNIKVAELTGLPVGEAIGKHLLTLVEDSSTDRVKKMLDLALQGEEEKNVQFEIKTFGSKMDSGPISLVVNACASRDLRDNVVGVCFVAHDITAQKNVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNPAMSKLTGWKREEVMDKMLLGEVFGTQMSCCRLKNQEAFVNFGIVLNKAMTGSETEKVAFGFFARNGKYVECLLSVSKKLDVEGLVTGVFCFLQLASPELQQALHIQRLSEQTALKRLNALTYMKRQIRNPLCGIIFSRKMLEGTELGTEQKQFLHTSAQCQRQLSKILDDSDLDSILDGYLDLEMAEFTLHEVMIASLSQVMTKSNGKSIRIVNDVAEQIVMETLYGDSLRLQQVLADFLLISINFTPNGGQVAVAGSLTKEQLGKSVHLVKLELSITHGGSGVPEALLNQMFGNNGLESEEGMSLLISRKLLKLMNGDVRYLREAGKSAFILSAELAAAHNLKA >Vigun07g133700.2.v1.2 pep primary_assembly:ASM411807v1:7:24343208:24349373:1 gene:Vigun07g133700.v1.2 transcript:Vigun07g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPSQSSSNSGRSRHSARVLAQTTVDAKLHATFEESGSSFDYSSSVRVSGTADGVNQPRSDKVTTAYLHHIQRGKMIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGDHPALGIGTDIKTLFTAPSASALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMDRLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVIAEITKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHAQYMANMDSIASLVMAVVVNDNEEDGDTDAVQPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELEYQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKLWRLGVTPSESQIREIALWLSEYHMDSTGLSTDSLSDAGFPSALSLGDVVCGMAAVRITLKDVVFWFRSHTAAEIRWGGAKHEAGEKDDGRRMHPRSSFKAFLQVVKARSLPWKDYEMDAIHSLQLILRNAFKDTPGTELQTNAINTKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNIKVAELTGLPVGEAIGKHLLTLVEDSSTDRVKKMLDLALQGEEEKNVQFEIKTFGSKMDSGPISLVVNACASRDLRDNVVGVCFVAHDITAQKNVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNPAMSKLTGWKREEVMDKMLLGEVFGTQMSCCRLKNQEAFVNFGIVLNKAMTGSETEKVAFGFFARNGKYVECLLSVSKKLDVEGLVTGVFCFLQLASPELQQALHIQRLSEQTALKRLNALTYMKRQIRNPLCGIIFSRKMLEGTELGTEQKQFLHTSAQCQRQLSKILDDSDLDSILDGYLDLEMAEFTLHEVMIASLSQVMTKSNGKSIRIVNDVAEQIVMETLYGDSLRLQQVLADFLLISINFTPNGGQVAVAGSLTKEQLGKSVHLVKLELSITHGGSGVPEALLNQMFGNNGLESEEGMSLLISRKLLKLMNGDVRYLREAGKSAFILSAELAAAHNLKA >Vigun07g123300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22811632:22812963:1 gene:Vigun07g123300.v1.2 transcript:Vigun07g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNRGVGHRAWNLLRVTLLWARKGGVLRRRVAMELRLVPKYLKRLGHSNTPPSHIHYFERELSFDKTPIFHVKMYRPTSMRFHLPHIPCINPQVDFEYDFDDDDDDVEYDTGRKSALTAAGECDQEFYDDYERRLEMTSCSEQEEQEADAQGIDKRAEEFIQKFYQQMKLQRQISLLQYNATPNRDTTS >Vigun08g165600.1.v1.2 pep primary_assembly:ASM411807v1:8:33783446:33789017:1 gene:Vigun08g165600.v1.2 transcript:Vigun08g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHDFNDEHNQIMHASQDDEVMESLILDEDGGDDYVHRQSHRSTPPHSPNSPFNSFLDPPSYADAIFTSFDSNGHDQAIDKTPARSGCEDYLHIVVTDPQKEQDLATSLVPGSATFYTYLITTRTNFPEFGGEGTEFAVRRRFRDVVTLADRLSETYRGFFIPVRPDKSTVESQVMQKQEFVEQRRVALEKYLRKLATHPVIGRSEELRVFLEAKGRLPLARTTDVASRMLDGAVRLPRQLFGGEAEVAEVAQPAKGGRDLLRIFKELKQSVTNDWVGSKPLVVEEDKEFMERKDKLVDFENHLSNVSQQAESLVKFQQDMGETVGELGLAFVKLTKFETEEAIFESQRVRAADMKNVATATVKASRLYRELNTQTIKHLDKLHEYLGTMLAVNNAFSDRSSALLTVQTLSSELASLHSRVEKLEVASSKIFGGDKSRLRKIEELKEAIRVTENAKICADREYERIKENNRSELERIDQERNSDFQSMLRGFVVNQAGYAEKMAAVWEKLAEETAAYSRDSS >Vigun08g220900.1.v1.2 pep primary_assembly:ASM411807v1:8:37944978:37948715:-1 gene:Vigun08g220900.v1.2 transcript:Vigun08g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKTTEYSCNADGVCMRCNEKPPLCQTLVCQTCTSSWHLLCLNAPPVSNSDSAWHCPDCTDVDTSDPPPPAPSTGIVTDVRAIHTDTSLSDKEKAQRCQQLLSGTSNLAQDNTDAPVIFNGSFNCSICMQLPERPVSTPCGHNFCLRCFEKCMAHRRFECPNCRRPLSRTSFSQPKINVQLAIAIRLAKQAKSEGSSEPPPSVQHFLRNQDRPDTAFTTDRAKKTGKANACSGRIFVTIPPDHFGPIPAENDPEKNRGVLVGDTWNDRMECRQWGAHFPHVAGIAGQSSYGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKLQSFDQKFENMNESLRVSCRMGYPVRVVRSSKEKRSNYAPGKGVRYDGIYRIEKCWRKNGIQGCKVCRYLFVRCDNEPAPWASEQTGDRPRPLPEIGELECAIDITERKNAPAWDYDEEKECWTWKKPPPESKKASEAGTVRMKRKVDLAAERQKVLKGFSCGICKKVMQYPITTPCAHNFCKACLQGAFAGKSLMRERSQGGRSLRAQKNVKTCPFPLCTTDIADFLENPQVNREMMNLIESWGKKPVEEEPEESNDNDDGNENENEDESMDDATEVSTPSGSDDNVLEEINDNDLNQQHKRRKEE >Vigun03g438500.1.v1.2 pep primary_assembly:ASM411807v1:3:64196755:64198727:1 gene:Vigun03g438500.v1.2 transcript:Vigun03g438500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKVAKVDKQMNTKMMSYSCGCHTLVMLLFIFVLASLAAGEDNPLLELLSNRDEVVHIAGYGEEKLSTVLITGSVTCQAPHQPHAWPLQGAWVGLKCDSHGRKWKGKGSVVARGVTDEFGEFIVDLPSHLHAIPNLEKVCSVKIHRIPKRSFCRAVGVKKQKELSLSSFGNGIRTYNAGNIRIQHAT >Vigun11g146800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35581809:35584019:1 gene:Vigun11g146800.v1.2 transcript:Vigun11g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQGMFSLTRNCLKYRTTYFRRNIPNETILSTLSFCHKFHDPNEKNLPKIVFFYHTHKFDRFSFQINSSCIQFPVPFAPFNSPYSTNAPSRSYRRRARNRLLKSSKPTLDQAQFQLALSQLPPRFTTEELCTVIGRQDNPLVCLELFHWASQQPRFQHDVSTFHVTIKKLGTAKMFQEMDDIVNQVIAVPLIGSEALFNTVIYYFTQARKLTRAVNVFKHMKNKRNLICCYRPSIRTYNILFAAFLGRGSNSYINHVYMETIRCLFRQMVNDGIKPDIFSLNSMIKGYVLSLHVNDALRIFHQMGVVYDCQPNALTYDCLIHGLCSQGRTNNAKELFNEMKTKGFVPGSKSYNSLVNSLALGGEIGEAVNYLWEMTDKQRSPDFITYRTVLDEICRQGTIQEGMSFLQELQEKDLVDGHAYRKLLYVLEDDYGYSGSRNMGIE >VigunL013202.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000241.1:4465:6623:-1 gene:VigunL013202.v1.2 transcript:VigunL013202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITGAAQMDGGILVVSAPDRPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELVSFYKFPGDEIPIIRGSALSALQGTNDEIGRQAILKLMDVVDAYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGVLKSVKK >Vigun10g084950.1.v1.2 pep primary_assembly:ASM411807v1:10:24181401:24181943:-1 gene:Vigun10g084950.v1.2 transcript:Vigun10g084950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHKSVVSGQHCTNCSQFCPHFDTFLEGSKPVQQQPLKNYRKFLMITTKYSTTLEQLLNGDFKNSNQTSHSSNLENEDMELNQTQIKSLSNNNEILRPIIPIGPRFQAEVPKWEDTTNIKCHNNDDDLKWLGFQVWPMPNISENNTEGIREGRPGSCYCKNSR >Vigun10g113200.1.v1.2 pep primary_assembly:ASM411807v1:10:31473186:31477011:-1 gene:Vigun10g113200.v1.2 transcript:Vigun10g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGKIGGSERGGPCGACKFLRRKCVKGCIFAPYFDSDQGTAHFAAVHKVFGASNASKLLMRIPAHKRLDAVVTLCYEALARARDPVYGCVGHLFALQQQVMNLQAELTYVQARLATMQRMPMAVAPLPQPQSSSPQTLPCSDHLASNADMQSVPIMQYDPFLPHSASLELSSILFNQSDQQLEDRELQTLAREFVTKYLPGVRFQQSNSP >Vigun03g281350.1.v1.2 pep primary_assembly:ASM411807v1:3:46098477:46099142:1 gene:Vigun03g281350.v1.2 transcript:Vigun03g281350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSQGQFYMQIAVEELALRLMSEGYKWNTKRKEEKAGGRRNASFFYLFLCWQFRPFIVQHYQIVKKKHCMIIQTRIVDSLCLPKKTTAFVAFY >Vigun06g051400.4.v1.2 pep primary_assembly:ASM411807v1:6:17719890:17732559:-1 gene:Vigun06g051400.v1.2 transcript:Vigun06g051400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANTKRMSYSGADENMKLLRQTFGSKAMDILWLYSELLQVKESTIVIEYPEANQIHFESLPSLKKFSCGDIVEWPSLKNVIVNHCPNIRKCGLGKTKSVILENQESLANIFESWDDFFSTTVEYDIGDTEKLRERIHNLRPSYFTNLLVFRATNCDETLTKFISILMKRSKKLEVIEIQQCKISECLFEILDPIYGDEEVKYLTQLKELKLIQVYQLHMLYRWAFGRHFDFKSMQIIHLKSCPFIWVLFTPNKAMELCQLKELKLEACEDLKQVVYTDIYYKSPFFPRLSKVELKSLSQLERILKMYGNLLSLKTLIIEECPCLVEFTSNQAILVDFLEPMSDTLFELDELRLNGCHMLLYVASFMTPLEMKNLKKLFVSNCYALKIVINVEEIPNSIELLPQLDELILINLPNLTHIINKEYVRLYQSLHTMQVELCKSLNWLPISITLTKMKIVDCDVLCKTIIVKKEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEETNELKEHQNQDASSYFFPNSLSLEKLKVLYVIHQDIKELWHYSSPSESFCQLENLTLSNNNKLLSVISSHMITRFNNMKELHLDKCESLTTIFNFEDDKLDHPMEEMLPQLRIISLSNLNSLKFVWNKEPHVPFFSNLVSLFIVHCGSIRSLFSLSSSKYLEKLKLLKLYSCKELKEVISSDDENVSSIAFPQMKCLVLKDLPKFVNFSHYRGTLDWPNLQTLRVSNVPSMKIFSRGNINIPLLRSIDITFVKKLWFGNLNKTISFIYNNPEIARETTMATI >Vigun06g051400.3.v1.2 pep primary_assembly:ASM411807v1:6:17718026:17732559:-1 gene:Vigun06g051400.v1.2 transcript:Vigun06g051400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANTKRMSYSGADENMKLLRQTFGSKAMDILWLYSELLQVKESTIVIEYPEANQIHFESLPSLKKFSCGDIVEWPSLKNVIVNHCPNIRKCGLGKTKSVILENQESLANIFESWDDFFSTTVEYDIGDTEKLRERIHNLRPSYFTNLLVFRATNCDETLTKFISILMKRSKKLEVIEIQQCKISECLFEILDPIYGDEEVKYLTQLKELKLIQVYQLHMLYRWAFGRHFDFKSMQIIHLKSCPFIWVLFTPNKAMELCQLKELKLEACEDLKQVVYTDIYYKSPFFPRLSKVELKSLSQLERILKMYGNLLSLKTLIIEECPCLVEFTSNQAILVDFLEPMSDTLFELDELRLNGCHMLLYVASFMTPLEMKNLKKLFVSNCYALKIVINVEEIPNSIELLPQLDELILINLPNLTHIINKEYVRLYQSLHTMQVELCKSLNWLPISITLTKMKIVDCDVLCKTIIVKKEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEETNELKEHQNQDASSYFFPNSLSLEKLKVLYVIHQDIKELWHYSSPSESFCQLENLTLSNNNKLLSVISSHMITRFNNMKELHLDKCESLTTIFNFEDDKLDHPMEEMLPQLRIISLSNLNSLKFVWNKEPHVPFFSNLVSLFIVHCGSIRSLFSLSSSKYLEKLKLLKLYSCKELKEVISSDDENVSSIAFPQMKCLVLKDLPKFVNFSHYRGTLDWPNLQTLRVSNVPSMKIFSRGNINIPLLRSIDITFVKKLWFGNLNKTISFIYNNPEIARETTMATI >Vigun06g051400.1.v1.2 pep primary_assembly:ASM411807v1:6:17718026:17732558:-1 gene:Vigun06g051400.v1.2 transcript:Vigun06g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTFIFLVQTCSVALSFFHNCNISIIQPKHNLNQVGDMSSANTKRMSYSGADENMKLLRQTFGSKAMDILWLYSELLQVKESTIVIEYPEANQIHFESLPSLKKFSCGDIVEWPSLKNVIVNHCPNIRKCGLGKTKSVILENQESLANIFESWDDFFSTTVEYDIGDTEKLRERIHNLRPSYFTNLLVFRATNCDETLTKFISILMKRSKKLEVIEIQQCKISECLFEILDPIYGDEEVKYLTQLKELKLIQVYQLHMLYRWAFGRHFDFKSMQIIHLKSCPFIWVLFTPNKAMELCQLKELKLEACEDLKQVVYTDIYYKSPFFPRLSKVELKSLSQLERILKMYGNLLSLKTLIIEECPCLVEFTSNQAILVDFLEPMSDTLFELDELRLNGCHMLLYVASFMTPLEMKNLKKLFVSNCYALKIVINVEEIPNSIELLPQLDELILINLPNLTHIINKEYVRLYQSLHTMQVELCKSLNWLPISITLTKMKIVDCDVLCKTIIVKKEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEETNELKEHQNQDASSYFFPNSLSLEKLKVLYVIHQDIKELWHYSSPSESFCQLENLTLSNNNKLLSVISSHMITRFNNMKELHLDKCESLTTIFNFEDDKLDHPMEEMLPQLRIISLSNLNSLKFVWNKEPHVPFFSNLVSLFIVHCGSIRSLFSLSSSKYLEKLKLLKLYSCKELKEVISSDDENVSSIAFPQMKCLVLKDLPKFVNFSHYRGTLDWPNLQTLRVSNVPSMKIFSRGNINIPLLRSIDITFVKKLWFGNLNKTISFIYNNPEIARETTMATI >Vigun08g059300.1.v1.2 pep primary_assembly:ASM411807v1:8:7647289:7648367:-1 gene:Vigun08g059300.v1.2 transcript:Vigun08g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun09g075200.3.v1.2 pep primary_assembly:ASM411807v1:9:8514721:8516301:-1 gene:Vigun09g075200.v1.2 transcript:Vigun09g075200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAGMLLPEVFTKIGIINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPHELGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFIVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >Vigun09g075200.1.v1.2 pep primary_assembly:ASM411807v1:9:8514721:8516301:-1 gene:Vigun09g075200.v1.2 transcript:Vigun09g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTQASAAIFRPCASKSRFLTGSSGKLNREVSMRPMGCPPSASFKVEAKKGEWLPGLASPAYLNGSLPGDNGFDPLGLAEDPENLKWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPHELGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFIVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >Vigun09g075200.2.v1.2 pep primary_assembly:ASM411807v1:9:8514721:8516301:-1 gene:Vigun09g075200.v1.2 transcript:Vigun09g075200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTQASAAIFRPCASKSRFLTGSSGKLNREVSMRPMGCPPSASFKVEAKKGEWLPGLASPAYLNGSLPGDNGFDPLGLAEDPENLKWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPHELGYPGSVFNPLNFAPTLEAKEKELANGKCFILS >Vigun07g177650.1.v1.2 pep primary_assembly:ASM411807v1:7:29311364:29313801:1 gene:Vigun07g177650.v1.2 transcript:Vigun07g177650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLSTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNVRV >Vigun09g195500.1.v1.2 pep primary_assembly:ASM411807v1:9:37008448:37011982:1 gene:Vigun09g195500.v1.2 transcript:Vigun09g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDYEERQQTQELGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYESSFQTLSERLFKDTPWPSVDAVAHYVDNDHVFCLLYREMWFRHLYARLTPTLRQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNFLQALVEKSTIIQILEQEKEGLEQFTATDGYDYNGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGIKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIFKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQTRLVDETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEAKQQQLLSGVRTFLKVYSTISLAKLASYMEVDEPTLRTILMTYKHKTHAVDSDGKITSNADVDFYIDEDTIHVVESKPAKRYGDYFLRQIVKLEGVINEMDGIKLD >Vigun03g099600.1.v1.2 pep primary_assembly:ASM411807v1:3:8518836:8529571:-1 gene:Vigun03g099600.v1.2 transcript:Vigun03g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVKELQVEDLLNAGLTVTEANELYRVLRDILSQCPSDTDNHRSLIWRHLVTRKLLKPSFPHSLHHLLYHSVYHSQHTSLPLYWFPSLDTSKRTNLGRFMETHAPQLLGPSYKDPISSYRLFHNFSVQHPQLYWSLLLKELSVSFVEPPKSILDTSDPSRHGGTWLPGSVLNIADCCLQPSSHPYKPDDSIAIVWRDEGFDDSEVNRITLKQLRQQVMMVAKAIDGTFSKGDAIAIDMQMTANAVIIYLAIVLAGCAVVSIADSFAPKEIATRLRVSKAKGIFTQDFIARGGRKFPLYSRVIEAAECKVIVLPVAGDDVGVQLREQDLSWKGFISSANQTQNSRSDYYSPSYQSVDSVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWAAIDVQAGDVYCWPTNLGWVMGPTVLYHCFLLGATLALYHGSPQGRNFGKFVQDAGVTILGTVPSLVKTWKNTKCMEGLDWTKIKTFCSTGETSNVDDDLWLSSKAYYSPIVELCGGTELASSYIAGSPLQPQAFGAFSTASMTTGFVIFDENGVPYPDDVACVGEVGLFPLSLGASDRILNADHEKVYFKGMPIYKGKVLRRHGDIIRRTVDGYIVVQGRADDTMNLGGIKTSSVEIERVCDGADECILETAAVGVATTNRGPEQLVVFVVLKKGYNSTAETLKMKFTKAIQSNLNPLFKVTLVKIVPEFPRTSSNKILRRVLRDQMKHELSVQSRL >Vigun03g099600.3.v1.2 pep primary_assembly:ASM411807v1:3:8518836:8529571:-1 gene:Vigun03g099600.v1.2 transcript:Vigun03g099600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLMIPRLTVSRLNNSDNKMVAKAIDGTFSKGDAIAIDMQMTANAVIIYLAIVLAGCAVVSIADSFAPKEIATRLRVSKAKGIFTQDFIARGGRKFPLYSRVIEAAECKVIVLPVAGDDVGVQLREQDLSWKGFISSANQTQNSRSDYYSPSYQSVDSVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWAAIDVQAGDVYCWPTNLGWVMGPTVLYHCFLLGATLALYHGSPQGRNFGKFVQDAGVTILGTVPSLVKTWKNTKCMEGLDWTKIKTFCSTGETSNVDDDLWLSSKAYYSPIVELCGGTELASSYIAGSPLQPQAFGAFSTASMTTGFVIFDENGVPYPDDVACVGEVGLFPLSLGASDRILNADHEKVYFKGMPIYKGKVLRRHGDIIRRTVDGYIVVQGRADDTMNLGGIKTSSVEIERVCDGADECILETAAVGVATTNRGPEQLVVFVVLKKGYNSTAETLKMKFTKAIQSNLNPLFKVTLVKIVPEFPRTSSNKILRRVLRDQMKHELSVQSRL >Vigun03g099600.2.v1.2 pep primary_assembly:ASM411807v1:3:8518836:8529571:-1 gene:Vigun03g099600.v1.2 transcript:Vigun03g099600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVKELQVEDLLNAGLTVTEANELYRVLRDILSQCPSDTDNHRSLIWRHLVTRKLLKPSFPHSLHHLLYHSVYHSQHTSLPLYWFPSLDTSKRTNLGRFMETHAPQLLGPSYKDPISSYRLFHNFSVQHPQLYWSLLLKELSVSFVEPPKSILDTSDPSRHGGTWLPGSVLNIADCCLQPSSHPYKPDDSIAIVWRDEGFDDSEVNRITLKQLRQQVMMVAKAIDGTFSKGDAIAIDMQMTANAVIIYLAIVLAGCAVVSIADSFAPKEIATRLRVSKAKGIFTQDFIARGGRKFPLYSRVIEAAECKVIVLPVAGDDVGVQLREQDLSWKGFISSANQTQNSRSDYYSPSYQSVDSVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWAAIDVQAGDVYCWPTNLGWVMGPTVLYHCFLLGATLALYHGSPQGRNFGKFVQDAGVTILGTVPSLVKTWKNTKCMEGLDWTKIKTFCSTGETSNVDDDLWLSSKAYYSPIVELCGGTELASSYIAGSPLQPQAFGAFSTASMTTGFVIFDENGVPYPDDVACVGEVGLFPLSLGASDRILNADHEKVYFKGMPIYKGKVLRRHGDIIRRTVDGYIVVQGRADDTMNLGGIKTSSVEIERVCDGADECILETAAVGVATTNRGPEQLVVFVVLKKGYNSTAETLKMKFTKAIQSNLNPLFKSFLEHLPTRS >Vigun04g085700.1.v1.2 pep primary_assembly:ASM411807v1:4:14231622:14232375:-1 gene:Vigun04g085700.v1.2 transcript:Vigun04g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLKYLICIIFLSLVSRGSSYCNLNNINIATTKSGRIILGKPEWNVVVTNNCNCAQSQIKLLCQGFQTSEIVSPSILALQGDSCLLINGNPLKGFASVSFSYAWDVPYFLRPLTSHTTC >Vigun03g322300.1.v1.2 pep primary_assembly:ASM411807v1:3:51799473:51801160:1 gene:Vigun03g322300.v1.2 transcript:Vigun03g322300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGITLLTITAIATCLAMVSSAPESPPYTVVHSQSDFEIRLYGTSVWMSAPALDISFEKATWDGFHRLFQFTEGANLNFSRIPMTVPVLTTTVPGAGPLQSQGYYVSLYLPVKFQGNPPLPLPELNIRPYEFTTHCVAVRKFSGFANDERIVKEAEKLATSLSRSPWAQSKTRRGYSIAQYNTPIRIVNRKNEVWVDIDAPQSGCESVGVSAY >Vigun06g106700.4.v1.2 pep primary_assembly:ASM411807v1:6:23586572:23595476:-1 gene:Vigun06g106700.v1.2 transcript:Vigun06g106700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDMKGGKQAVGGIREAATGVEPQNDAVDFFYKTQGFQQLFTRVELSLSASNLLDRDITSKSDPMVVVFAKKRDGQLEELGRTEVIMNCLNPEWIEKISVAFQFEIVQPLEFHMYDIDTKYHGVPAKTLKLRDQEFLGMANCTLSEIVTKQSRRLTLRLQNKSGNGGLRNLGAITVHAEETVASRSVVEMVLRCSRLDNKDVFSKSDPFLRISRMVESGGYIPICKTEVINDNLNPKWRPICLGGHKFGSKDNPLLIECFDFNSSGDHVLIGKMQKSVTELEKLYREKTGANFVIPSTRDRQEKVLKGQLFVDQYCEKEQFSFIDYVSNGFELNFMVAVDFTASNGNPQHSDSLHYIDAYGRLNPYQKAIIEVGEVIQVYDSDRRFPAWGFGGKMPGGTVSHCFNLNGNPGGSEVVGVEGVMDAYANALRSIALSGPTLFGPVINMAAQMAAQSLSSQYNTKYYVLLIITDGVVTDLQETTNALVKASDLPLSVLIVGVGNADFASMEVLDADNGRGLVSSTGRVATRDIVQFVPMREVESGQISVVQALLEELPDQFLSFMQSRDIKPQPQVSTSAML >Vigun06g106700.1.v1.2 pep primary_assembly:ASM411807v1:6:23586572:23595476:-1 gene:Vigun06g106700.v1.2 transcript:Vigun06g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDMKGGKQAVGGIREAATGVEPQNDAVDFFYKTQGFQQLFTRVELSLSASNLLDRDITSKSDPMVVVFAKKRDGQLEELGRTEVIMNCLNPEWIEKISVAFQFEIVQPLEFHMYDIDTKYHGVPAKTLKLRDQEFLGMANCTLSEIVTKQSRRLTLRLQNKSGNGGLRNLGAITVHAEETVASRSVVEMVLRCSRLDNKDVFSKSDPFLRISRMVESGGYIPICKTEVINDNLNPKWRPICLGGHKFGSKDNPLLIECFDFNSSGDHVLIGKMQKSVTELEKLYREKTGANFVIPSTRDRQEKVLKGQLFVDQYCEKEQFSFIDYVSNGFELNFMVAVDFTASNGNPQHSDSLHYIDAYGRLNPYQKAIIEVGEVIQVYDSDRRFPAWGFGGKMPGGTVSHCFNLNGNPGGSEVVGVEGVMDAYANALRSIALSGPTLFGPVINMAAQMAAQSLSSQYNTKYYVLLIITDGVVTDLQETTNALVKASDLPLSVLIVGVGNADFASMEVLDADNGRGLVSSTGRVATRDIVQFVPMREVESGQISVVQALLEELPDQFLSFMQSRDIKPQPQVSTSAML >Vigun06g106700.3.v1.2 pep primary_assembly:ASM411807v1:6:23586572:23595476:-1 gene:Vigun06g106700.v1.2 transcript:Vigun06g106700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDMKGGKQAVGGIREAATGVEPQNDAVDFFYKTQGFQQLFTRVELSLSASNLLDRDITSKSDPMVVVFAKKRDGQLEELGRTEVIMNCLNPEWIEKISVAFQFEIVQPLEFHMYDIDTKYHGVPAKTLKLRDQEFLGMANCTLSEIVTKQSRRLTLRLQNKSGNGGLRNLGAITVHAEETVASRSVVEMVLRCSRLDNKDVFSKSDPFLRISRMVESGGYIPICKTEVINDNLNPKWRPICLGGHKFGSKDNPLLIECFDFNSSGDHVLIGKMQKSVTELEKLYREKTGANFVIPSTRDRQEKVLKGQLFVDQYCEKEQFSFIDYVSNGFELNFMVAVDFTASNGNPQHSDSLHYIDAYGRLNPYQKAIIEVGEVIQVYDSDRRFPAWGFGGKMPGGTVSHCFNLNGNPGGSEVVGVEGVMDAYANALRSIALSGPTLFGPVINMAAQMAAQSLSSQYNTKMELLRICKKQRTL >Vigun03g287800.2.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDNLLRERGREPKYVGPYRVTDDESLAAAMEAAGRIRLMIEAKLSPGPSICNIRRHGDNSRLHEVGVSVASGNFLAAKRRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun03g287800.5.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIAVCMKLVSVLQVETFLQPRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun03g287800.3.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDNLLRERGREPKYVGPYRVTDDESLAAAMEAAGRIRLMIEAKLSPGPSICNIRRHGDNSRLHEVGVSVASGNFLAAKRRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun03g287800.1.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSTSRTSFPNLPNCSSHKFNFHPQLLNLRPRSPKFKPNKPLSFTRVPTSTLEPCNVFSEELVGSVAEDEGYSSSMDDKRFVRWFRETWPYLRVHRGATFVIIVSGEIVASPYLDPILKDIAFLHHLGIRFVLVPGTHMQIDNLLRERGREPKYVGPYRVTDDESLAAAMEAAGRIRLMIEAKLSPGPSICNIRRHGDNSRLHEVGVSVASGNFLAAKRRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun03g287800.6.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIAVCMKLVSVLQVETFLQPRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun03g287800.4.v1.2 pep primary_assembly:ASM411807v1:3:46945290:46953806:-1 gene:Vigun03g287800.v1.2 transcript:Vigun03g287800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDNLLRERGREPKYVGPYRVTDDESLAAAMEAAGRIRLMIEAKLSPGPSICNIRRHGDNSRLHEVGVSVASGNFLAAKRRGVVKGVDFGSTGEVKKVDVSRMHERLDGNCIVILSNLGYSSSGEVLDCNTYEVATACALAIEADKLICIMDGPILDESGHLISFLTLQEADMLIRKRVEQSETAANYVKAVAEESFNTLNKFNGALPNGEPFSGYHIATFHNGVGFENGNGLWSGEQGFAIGGQESLSRTNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMAQVTDISGIKQLIQPLQESGILVMRTDEELLQSLGSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASYLGFEMLFLLTTRTADWFVRRGFSECSIDFIPEKKRRKINLSRKSKYYRKKLLPSKSGITFGSNFSSP >Vigun11g140800.1.v1.2 pep primary_assembly:ASM411807v1:11:35023015:35025072:1 gene:Vigun11g140800.v1.2 transcript:Vigun11g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTLFHSTLVLLLAFAFCALEANARTLQDASMREMHEQWMVTHGKLYLDSYEKEQKYQVFKENVQRIEAFNNAGNKPYKLGINQFADLTNEEFKARNRFKGHMCSTVTRTSSFMYQHVTAVPTSLDWRQKGAVTPIKNQGQCGSCWAFSAVAATEGITKLSTGKLISLSEQELIDCDTKGEDEGCEGGLMDDAFKFILQNKGLTTEAAYPYEGTDGTCNAKAENSHAASIKGYEDVPANSESALLKAVANQPVSVAIEASGYEFQFYSSGVFNGSCDTNLDHGVTVVGYGVSDDGSKYWLVKNSWGVKWGEKGYIRMQRDVAAKEGLCGIAMLASYPTA >Vigun02g070100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22084745:22085395:-1 gene:Vigun02g070100.v1.2 transcript:Vigun02g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYFQLLFFTLFLLLGQSRPDPDPLQDYCVADNKGEFFLNGVPCINPDKVTASNFVTSALSKSGNTSNIFGFSVIATNTVNLPGLNTLGLVLARVDIAANGIVPPHSHPRASEVVTCLKGQLLVGFIDTNNRVFTQNLKPGESFVFPKGLIHFLSNNALREPALALSGLNSQNPGTQIASLATFASKPEIFDEILKKGFQITGREVETIRRNLGG >Vigun03g413200.1.v1.2 pep primary_assembly:ASM411807v1:3:62036504:62038615:-1 gene:Vigun03g413200.v1.2 transcript:Vigun03g413200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSPEKLILVNRHPNGVALITINRPGSLNSLTRPMMVDLAQAFKKLDRDDSVRVIILTGSGRSFCSGVDLTAAEDVFKGDVKDPESDPVVQMELCRKPIIGAIRGFAVTAGFEIALACDILVAAKGSKFIDTHARFGIFPSWGLSQKLSRIIGVNKAREVSLTASPLTAEVAEKLGFVNHVVEDSELLKKSREIADAIVKNNEDLVLRYKAVINDGIKLDLGRALSLEKERAHDYYNGMTKEQFRKMQEFIAGRSSKKQSKL >Vigun01g195900.1.v1.2 pep primary_assembly:ASM411807v1:1:37274448:37278967:-1 gene:Vigun01g195900.v1.2 transcript:Vigun01g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDGILTKYIQANGEGSWRTLPKNAGLLRCGKSCRLRWINYLRADLKRGDISVEEENTIVKLHASLGNRWSLIASHLPGRTDNEIKNYWNSHLSRKIYTFRGTTTTKPDTITLTLPPKRKRGRTSRWAMKKNKTKTAPPLAAVPLPPTPPLEAEKHSSTHENTNHTQEEENVGTNGMWVEGEKEITKNEGDIWGPYEKEVINGGEGDQVLSFESFNDIFDTYCPQASGCWTISDEGCRESSEVVGNKTCDHTVMSSGREKQCFCSSSVVLDHKDESLSWERHQNLLSWLCEDEDWDKDLQSVGEIDPQKQKDMVDWFLS >Vigun03g256201.1.v1.2 pep primary_assembly:ASM411807v1:3:42247941:42250229:1 gene:Vigun03g256201.v1.2 transcript:Vigun03g256201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEIPLALEAARVGLEIRVVGNDCGEKVLFQLIQQHEVFLWVDVSHPLSQPSPLPQPLLQYLPYVLLRLLLSNFFSIQR >Vigun09g115400.1.v1.2 pep primary_assembly:ASM411807v1:9:25319186:25322563:-1 gene:Vigun09g115400.v1.2 transcript:Vigun09g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTRKMAEATNRTDDYIVCYAPTMITTNGVWQGDNPLDYSLPLFILQLTLVVIATRLFVFILKPFRQPRVIAEVLGGVILGPSVLGQNETFANAVFPLRSVMVIETMANIGLLYFLFLVGVEMDITVMRSVGRKAVASAMVGMILPFLVGVAFSYLLAKKTDTHINQGTYILFLGVALSVTAFPVLARILAELKLVNTELGKLALSAALINDVCAWILLALAIALAENNATSLASLWVLLSGAAFVAVCVFAVRPAVEWLIRKTPEGETFSEFYISLILAGVMVSGFITDAIGTHSVFGAFVFGLVIPNGPLSFTLVEKLEDFVSGLLLPLFFAISGLKTNLGLINGSYTWAILLLVIFLACIGKILGTLLIALLYQMPIREGAALGLLMNTKGLVEMVVLNVGKDQKVFDEESFAVMVVITVIMTAIVVPAISVIYRPSRSSIYYKRRTVEISKLDTEFRLLVCVHTPRNVPTMINLLEASNPSKNSPICAYVLHLVELSGRTSAMLIVHNTAKPDLPALNRTEAQSDHIIKAFENYEQHASFIAVQPLTAISPYSTMHEDICNLAADKRVSLIIVPFHKQQTVDGGMEATNMAYRAINQNVLANAPCSVGILVDRGLSGSNRLAGNQVSHNVAVLFFGGPDDREALCYGWRMVEHPGISLTIMRFIPGEQAEPSCPQQDSKNMDGPRVLLTVQTDRDIQKQLDEKLIQEFRMRCGSGDSVDYVEKVVKNGEDTVAAIRSMDDAHDLFVVGRGQGMISPLTAGLTDWSECPEMGAIGDLLASSDFAATASVLVVQQYIGQVYPGTETPNGDDYIDFSHCSISPAPNTIRAF >Vigun09g193500.2.v1.2 pep primary_assembly:ASM411807v1:9:36824684:36828683:1 gene:Vigun09g193500.v1.2 transcript:Vigun09g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLMLPTASMLRPSTTSSSSTRRLALFHLVTTGAIALPQCKLFGPKGSDLLKVAEATAAENVAQQEKILFDWVKNDNRRFLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEDRYSNAFLGYGPEDSNFTAELTYNYGVDNYDIGSGFGHFGVAVEDRVDLIKAKGGKVTREPGPVRDGSSVIAFIEDPDGYKFELLERRPTSEPLCQVMLRVGDLDRAIAFYKKAVGMKLLRKKDNPEDKYTVAFMGYGPEDKNTVLELTYNYGVTDYDKGNGYAQIAIGTNDVYKTAEAIKLSGGKIIREPGPLPGINTKIVACLDPDGWKLVFVDNVDFLKELE >Vigun09g193500.1.v1.2 pep primary_assembly:ASM411807v1:9:36824684:36828683:1 gene:Vigun09g193500.v1.2 transcript:Vigun09g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLMLPTASMLRPSTTSSSSTRRLALFHLVTTGAIALPQCKLFGPKGSDLLKVAEATAAENVAQQEKILFDWVKNDNRRFLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEDRYSNAFLGYGPEDSNFTAELTYNYGVDNYDIGSGFGHFGVAVEDIYKRVDLIKAKGGKVTREPGPVRDGSSVIAFIEDPDGYKFELLERRPTSEPLCQVMLRVGDLDRAIAFYKKAVGMKLLRKKDNPEDKYTVAFMGYGPEDKNTVLELTYNYGVTDYDKGNGYAQIAIGTNDVYKTAEAIKLSGGKIIREPGPLPGINTKIVACLDPDGWKLVFVDNVDFLKELE >Vigun03g020700.1.v1.2 pep primary_assembly:ASM411807v1:3:1502385:1507074:-1 gene:Vigun03g020700.v1.2 transcript:Vigun03g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGILGRGFTAKCKSLIKLTKTRIDVIRRKRRATEKFLKKDIADLLLNGLDINAYGRAEGLVVELTLSSCYGFVEQCCEFVLKHLPAMQKLSGCPEECRMAVSSLMFGAARFSDLPELRDLRQIFQERYGNSMECYVNQEFAANLNFKSSTLENKVCLMQDIASEFLINWDSSAFKLRMSRSSAVVQEHNAYMSNHDKPSQGKDLTHREVGNDVLLEENRDLANDGWRFQPDNEAVVLNRHERNLQSKSTVPGVSEVHKERDGHDNPGRHEVTVEKSDRGYRKEGCMLKPIGHPSPCKTVEQIEGGSKLHNSRGHITPPRENQVGMLKQTVHPSQKKKVEQLEGGSKLHYSSGNTTPPIENLGSMLKPIGHPSEQKAVERFECGSKEPNSWGNTTPLRENQGSSTKPIQRLSQKKTVEKFECGSRLEDNLGNTTPLRENQDTATARKSPSHAGSHFKVNAKEPFSVNHVGLPVTDESERNIQRDVTPTAKSSYSNVIPPPYVKQPNSKQQKISSGANIISSITDSGGLSTYHSAHEKLDTTVTERVQIGLNSSDQDWQGNIHERLSRQNREKEISFRQDAEEVPVLKPRSTRRRHSRSRPPSYYDASNEDSGVQRKSRSRSRRRDDSRRGLQAVFEEEQYQNAEEERIIDKLLIHYSKKPSVLVPEKLKRNSKIHHAHQMDDSTNELQKSGSGDGSDETPVMVSHASRSLSLPRKQQREVEVKKVFNRAATFEPVRSHDARHVHPNLPDYDDLAARIAALRG >Vigun04g187000.1.v1.2 pep primary_assembly:ASM411807v1:4:41173029:41174002:1 gene:Vigun04g187000.v1.2 transcript:Vigun04g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRHSFAVTMVVVLAMLVVSTEIVYAKLFYVGRNDGICLQDVCWANGITDWPKNKTIKAGDILVFNYDFNTRDVTVVDEEGYRTCNPGKNRIVYRTGHDYIQVPEGPSYYICSINRLCEKGTKIAIIPA >Vigun07g017200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1454448:1454726:-1 gene:Vigun07g017200.v1.2 transcript:Vigun07g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKAPSAANQASSKVVDAPKGYLAVYVGEKMKRFVIPISHLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCSEDVFQHITSSLSAQ >Vigun01g252300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41833618:41835135:1 gene:Vigun01g252300.v1.2 transcript:Vigun01g252300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKPKYPLSLSAFVTAAAISTTTTISHRFPCLEIAPPKPKHPQHLLSLLLKHPSQPKILQQIHCHIITSGLFHYPFHDTSTCLLLFNNVIRCYSRGPSPHLALHFFSYTQHSHTFFTYPSLDTFSFAFLSNASANPTCTHFGIQLHALIFKVGFQFHVYVQTGLLQMYSSSGLLVEAAQVFYQMPRRSLVTWNVFLTGLIKWEEVELALSVFNQMPSRSVVSWTLVIDGYTRRNQPTKALTLFREMIEVDGIEPTQVTLLTIFPAIANIGCIKICQSAHGYAEKRGFNSSDIRITNALIDLYAKCGCIASVNRFLQELPDQRKNLVSWTSAISGFAMNGMGREALETFENMEKAGLRPNRVTFLSVLSACSHGGLVEEGLNFFVKMAKDCEVVPDIKHYGCVIDMLGRAGRLEEAEKVASEVPHEVANAVMWRTLLGACSVHNNVEIGQRVTRKVLEMERGHGGDYVLMSNILVGVGRFKDAQRLRDMIDERIAFKLPGYSIA >Vigun04g094950.1.v1.2 pep primary_assembly:ASM411807v1:4:19649973:19653810:-1 gene:Vigun04g094950.v1.2 transcript:Vigun04g094950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFTRKNGVLVKKQSIVEFCVKDDGEQYYYGIVKEIIQVEYSGEPTKQLVVFNCEWFDNTINHGIKVQPQYGIVEVNFHRRYSNFDPFIFATNAIQVYYVPYPEKIKEKVDWWVVIKTKPICTVDDRYTLEVAYQESTTNVNITTNEELLVHLVDGEEYEELDEVDMAVVDNSKESKQIEEEEEEEEIVCQSEFEYISEDDETQNKLDDEFNGSNDDSDDSQLFAFPSTGSSFRRTHFGSPPLAQRALKSNSRPNVDQSFKTSQFAPPPLVHGALNSNSNPNVNESFKTSHFAPPPLVQGVQSTNPNPTPMDGRPNLGPDQTNSKSDETSSTINATSPGSNETFVASESNYNVFKPILNLDGQGFLPSRLAANWISDILKSHYNEPWPLWKKIPIRQRDLWFGELLARKINTKPNWILDSTWDILCQHWESKEFKIKSMTGKENRASNFGSFGGSLHTCGSITTSQHRYNMAKMNGMPPTLIELFRHTHQRRKDNSWVDEKSEQFMVSISGSKDRYIYCPTLAPRTSLDSLCFSCLPTEEELTQSASTQGITPPNELDVWCDVVGTKNGRIYGLGMESTVIRGWPYYHGTSSSNGWIQSQELEKLKKDLEGVKQERDELRIKIVNIERLFEENNAMIRQWMNSINRQSMPLSFE >Vigun03g241500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40387349:40390331:-1 gene:Vigun03g241500.v1.2 transcript:Vigun03g241500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAMDFTRLSSPKSPPIFTRPLTWVTSTAFRHQPRHLPPPTPPPPPHPTPPQTSNHAPLTLLPSILTNRVLDSSKCKSILPHLSPHEFDRLFFPIHHTVNPKTTLDFFRFASNHFKFRFTVRSYCLLLRSLLASGFLPRARFLLSRLIDGHVPTSFHDHENRLREIASSMLECNQASDKRHGELDLLLHILCSQYKSFGFRCAFDIFIMFSKKGIFPCLKTCNFLLGSLVKANELHKSYEVFDVTCQGVVPDVFTFTTAINAFCKGGRVGDAVDLFRKMEELGVSPNVVTYNNVIDGLSKSGRLDEAFRFKDRMVRSKVNPSVVTYGALINGLMKFEKFAEASEMLVEMYSMGFTPNEVVFNSLIDGYCRKGNMIEALRIRDDMVLKGMKPNSVTFNTLLQGFCRSNQMEQAEQVLGYLLSSGLSVNMDACSYVIHQLLEKSRLDSALKIVRELLFRNIKASDSLLTPLVCGLCKCEKHLEAIELWFMLAGKGLAANTVTSNALLHGLCERESMEKVFEVLKQMTEKGLVLDKISYNTLIFGCCKWGKIDVAFELKEKMVQQEFQPDTYTYNFLMKGLADMGKIDDVHRLLHEAKEYGLVPNVYTHALLLEGYCKADQIEEAVKLLKKLVYEKVELSSVVYNILIAAYCRVGNLKEAFELCDAMKSRGMPPTCATYSSLIHGMCCIGCVDEAKETFQQMRNEGLLPNIFCYTALIGGYCKLGQMDKVGSILMEMSSNGIQPNKITYTIMIDGYCKLGNVKEATKLLNQMIRNGIAPDTITYNVLQKGYCKERELEVTSNTGLHLEEEITYDTLVHRLHPHTAVINKK >Vigun05g045700.1.v1.2 pep primary_assembly:ASM411807v1:5:3788993:3792336:1 gene:Vigun05g045700.v1.2 transcript:Vigun05g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKHYYHHHQQHQQHHQQQRKYIVPVITIASFISLISLLLFALRLTSPDATLIFPFPLSAVISVPSPFVESKLHALPLPPPSPLPPVLAYLISASAGDAPALLRALSALYHPRNRYIIHLDRHSSPEERHLLAAHIERHPTFRHFRNVRLISKANLITYRGPTMVANTLHAAAIALSDSHYWDWFINLSASDYPLVTQDDLLHALSQLPRDLNFIDHTSDIGWKDHQRARPIIIDPGLYMTKKQDVFWITQRRSKPTAFKLFTGSAWMVLSRSFIDYCIWGWDNLPRTALMYYTNFVSSPEGYFHTVICNAQEFKNTTVNSDLHFISWDNPPRQHPHYLSTDYMKRMVDSNAPFARKFHGDDPVLDKIDAELLSRGPGMVVPGGWCTGSRENGSDPCSVVGDTTVLKPGPGSKRLQTLISSMMSDENFRTKQCA >Vigun04g184000.2.v1.2 pep primary_assembly:ASM411807v1:4:40882922:40887723:1 gene:Vigun04g184000.v1.2 transcript:Vigun04g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILSTLHNVSSSSSSFVSTTKRCLGLCCLWHRSLSGTGASVAADIEPSKPPVQIEHNQLLIDGMFVDAASGKTFPTNDPRTGDTIAKVAEADVEDVNRAVRAARKAFDEGPWPKMTAYERSRIILRCADILEKHNDEVAAIETWDSGKPYEQAANVEIPMVVRLFRYYAGWADKIHGLTVPADGSYHVQTLHEPIGVAGQIVPWNFPLLIFSWKVAPALACGNTVVMKTAEQTPLSAIYVSKLFLEAGLPPGVLNVISGFGPTAGAALCTHMDVDKLAFTGSSETGRRVLELSARSNLKPVTLELGGKSPFIVCKDADIDAAVEAAHSALFFNQGQCCCAGSRTFVHESIYDEFVEKAKARATKRVVGDPFKSGVEQGPQIDFAQFEKILKYIRSGIESGATLESGGQRVGSKGYYIEPTVFSNVQDDMLIAKDEIFGPVQSILKFKDLEEVIRRANATHYGLAAGVYTRNIDTANTLMRALRVGTVWINCYDVFDAAIPFGGYKMSGQGKVRGIYSLRSYLQVKASVTALKNPAWL >Vigun04g184000.1.v1.2 pep primary_assembly:ASM411807v1:4:40882922:40887723:1 gene:Vigun04g184000.v1.2 transcript:Vigun04g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILSTLHNVSSSSSSFVSTTKRCLGLCCLWHRSLSGTGASVAADIEPSKPPVQIEHNQLLIDGMFVDAASATFVLSGKTFPTNDPRTGDTIAKVAEADVEDVNRAVRAARKAFDEGPWPKMTAYERSRIILRCADILEKHNDEVAAIETWDSGKPYEQAANVEIPMVVRLFRYYAGWADKIHGLTVPADGSYHVQTLHEPIGVAGQIVPWNFPLLIFSWKVAPALACGNTVVMKTAEQTPLSAIYVSKLFLEAGLPPGVLNVISGFGPTAGAALCTHMDVDKLAFTGSSETGRRVLELSARSNLKPVTLELGGKSPFIVCKDADIDAAVEAAHSALFFNQGQCCCAGSRTFVHESIYDEFVEKAKARATKRVVGDPFKSGVEQGPQIDFAQFEKILKYIRSGIESGATLESGGQRVGSKGYYIEPTVFSNVQDDMLIAKDEIFGPVQSILKFKDLEEVIRRANATHYGLAAGVYTRNIDTANTLMRALRVGTVWINCYDVFDAAIPFGGYKMSGQGKVRGIYSLRSYLQVKASVTALKNPAWL >Vigun01g214700.1.v1.2 pep primary_assembly:ASM411807v1:1:38832487:38840330:1 gene:Vigun01g214700.v1.2 transcript:Vigun01g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREEELMEDNGTEEAKRLMLKIAAILDEARTSYATHNRKLKELSLLLSKSSSSSVFFSAFSRTLTPVFDFQRRLASIERVVSFVSALAASSSDEFLDYFLKFLLSAATASNKTARFRACQIVSEIILRLPDDAEVSNELWDEVIEWMMVRVRDKIPVVRTFAVRALSRFVNDSVNSDILDLYLEVLPLEQNADVRKMIVLSLPPSTATSHVIIDCTLDVSESVRKAAYCVLANKFPLQSLSIKLRTLILQRGLADRSLAVSKECFKLLKDEWLTKSCNGEPVELLKYLDVETYESVSESVMEALLKAGLVKLQNGASIQQYISSNGDRTEGDTIDCPPSIQLMEAEAALYWRTVCKHLQSEAHAKGSDAAATMGTEAEVYAAEASDRNDLLEKILPATVNEYIELVRAHINAGSNHRFATRQLLLLGAMFDFSDATNRKAAGAFLHELMCKCPEHEEDDEGNIVVLGDGLSFGGDNDWAEAVASFARKVHAAAGEFEEVVLAIIEELAQPCKERTANHVQWMHSLSLTGLLLKNAKSLRILQGKAITPDELLQTLLLPGAKQAHLDVQRIAVRCLGLYGLLERKPSAELLKQLRISYIKGPHSISIEACKALIDLVMWNGPEEVDKVLKLNIPCQINSEKSTFCPVNISDSEGDLDIETLDILYGGFENDDWSSPLPSNEDECVHAILGEGFAKILLLSDNYPSLPISLHPILLSKLIYLYFSEVSEHLHRLKQCLSVFFELYPCLSTNHKRGIVKSFMPVMRSMWPGIFGNYAGSNFTVSQMRKRAVQASRFMLQMVQIPLYVKEAQPDCESTTTEQPQVTDKCAEVPFDCGEEGLALRIAVEVVSFPSKKTAAEKAYVSALCRILVLLHFRISEQGPIKCMRKLLYHVVECVSSEKDLVKELKRMSERLRTVDSLPDQELLQDDVKLILGKLELDCDLDLDGPVSMPQTPAAPVTRPTRSRRRVRIEEENSDEESLSVVPTTHHTVKSRSERASKTAAINKMSSASRSLKIDEINEQEEDSDVTSDDSDASD >Vigun03g193400.1.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQIPDPPNLKKYGVPFYSVAWIPQHLIKSHQIQTAHDSDDSSNSDHNSAPSTEPESEPAAKAESEPASVTTGNYLLFTGGGGAGRSGIPNALVIAHFDIASNSLSSQPVFKLGTDSELPYRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun03g193400.6.v1.2 pep primary_assembly:ASM411807v1:3:26954219:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQIPDPPNLKKYGVPFYSVAWIPQHLIKSHQIQTAHDSDDSSNSDHNSAPSTEPESEPAAKAESEPASVTTGNYLLFTGGGGAGRSGIPNALVIAHFDIASNSLSSQPVFKLGTDSELPYRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun03g193400.5.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMIPPTPITTLRPPPNRSQSPRLRRSRSLHRSPPGTTCCSPVAVELVAAVFLMLWLLRISILHPILSLANRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun03g193400.3.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQIPDPPNLKKYGVPFYSVAWIPQHLIKSHQIQTAHDSDDSSNSDHNSAPSTEPESEPAAKAESEPASVTTGNYLLFTGGGGAGRSGIPNALVIAHFDIASNSLSSQPVFKLGTDSELPYRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun03g193400.7.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQIPDPPNLKKYGVPFYSVAWIPQHLIKSHQIQTAHDSDDSSNSDHNSAPSTEPESEPAAKAESEPASVTTGNYLLFTGGGGAGRSGIPNALVIAHFDIASNSLSSQPVFKLGTDSELPYRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILAWYIL >Vigun03g193400.2.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQIPDPPNLKKYGVPFYSVAWIPQHLIKSHQIQTAHDSDDSSNSDHNSAPSTEPESEPAAKAESEPASVTTGNYLLFTGGGGAGRSGIPNALVIAHFDIASNSLSSQPVFKLGTDSELPYRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun03g193400.4.v1.2 pep primary_assembly:ASM411807v1:3:26953789:26961911:-1 gene:Vigun03g193400.v1.2 transcript:Vigun03g193400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMIPPTPITTLRPPPNRSQSPRLRRSRSLHRSPPGTTCCSPVAVELVAAVFLMLWLLRISILHPILSLANRMALHSQGDGLICAMETPKVCRWFDWDYQNKSSEIHKLSLKMSDKVLTQLEDVGQQLALAFNNDGTALAAGGEDGNIRVFKWPSMEVILNESNAHSSVKDLHFSSDGKLLASLGSGGPCRVWDVSSSMVLSSLPNENRETFSSCRFSQTNDKTQVLYMAAMTDKGGSILTWNTQTWERMGSKHIIRDAISAFNVSADGKILACGTPSGDIVIVNSTNMRIQTMIKKAHLGIVTALAFSPDSRALASVSMDSSARVTIIEEKKTNGGLSLWIAVFIILLAIAAYFHQQGLEK >Vigun02g036000.1.v1.2 pep primary_assembly:ASM411807v1:2:14923981:14925341:1 gene:Vigun02g036000.v1.2 transcript:Vigun02g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCISMFYVILSSIYMSLNSYVCLIPKFNFSCIFLQTQTLFMEAQFDDDTFYAEIRRQILQLTSEDEDLSAEKQPFNEACVGGSTRPPPLAKYSCSWEAQSSSSSPLPVNFWKSGRGTGVFIPRVVTGKKYQRPGTMNGRKRIYKPVANKY >Vigun01g061600.2.v1.2 pep primary_assembly:ASM411807v1:1:13021359:13029892:1 gene:Vigun01g061600.v1.2 transcript:Vigun01g061600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNSIQCDSFVLQNYNARGIQPIKSTATEIPLPTQQSRSTGKTRVGINGFGRIGRLVLRVATSRDDIDVVAINDPFVDAKYMAYMFKYDSTHGPFKGTIKILDDSTLEINGKQVKVVSKRDPAEIQWSDFGADYVIESSGVFTTVEKASSHLKAGAKKVIISAPSADAPMFVVGVNEKTYQPEMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLKKNASYEDVKSAIKYASEGPLKGILGYTEEDVVSNDFVGDSRSSIFDAKAGIALSSSFVKLVSWYDNEWGYSNRVLDLIEHMALVGAQN >Vigun01g061600.1.v1.2 pep primary_assembly:ASM411807v1:1:13020464:13029892:1 gene:Vigun01g061600.v1.2 transcript:Vigun01g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLLRSAFLAPSSCDRSKVFSNASSCNVKSTGLQSSMFGNSIQCDSFVLQNYNARGIQPIKSTATEIPLPTQQSRSTGKTRVGINGFGRIGRLVLRVATSRDDIDVVAINDPFVDAKYMAYMFKYDSTHGPFKGTIKILDDSTLEINGKQVKVVSKRDPAEIQWSDFGADYVIESSGVFTTVEKASSHLKAGAKKVIISAPSADAPMFVVGVNEKTYQPEMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLKKNASYEDVKSAIKYASEGPLKGILGYTEEDVVSNDFVGDSRSSIFDAKAGIALSSSFVKLVSWYDNEWGYSNRVLDLIEHMALVGAQN >Vigun05g109200.1.v1.2 pep primary_assembly:ASM411807v1:5:11181828:11186886:1 gene:Vigun05g109200.v1.2 transcript:Vigun05g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLSDKRSGSVEADSGKWSSYQYVGGTGSARPTASLAGTEVSVDEIRSAAAASSGYYPPSLHGALVGSPEPDPTEQALVYQGGYGGDYGGPRPQFQRKILDEVEIRELLIDHVGHRCCWGSRPARTWKIHAVEDCNVYVGTLDTFIEEREIVRETEPYLGGGIDGKDNGPELGIWELDLRSQFPILFVPYNEVRQKIPHSEVIEKCSVCAGRGSTVCATCNADQEPGFYKENQMTQCATCYGRGLIAHKDGSDTECVKCKGRGKIPCVTCGSRGLIKCVTCNGSGSLLARNIAIIRWKTLSTRKVNATSGAASVPDEVFNRSKGVELCNTQAHQCTPAFFADSYFLNKFSSDVIAERAQVPATARVICERHTISVVPVTRVTMCHRRQSFSFYIIEYGREVYLKDYYPARYCWGLCPCLEWLKL >Vigun05g001500.1.v1.2 pep primary_assembly:ASM411807v1:5:125900:127751:-1 gene:Vigun05g001500.v1.2 transcript:Vigun05g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLIFLLFVTSLFTSPFVLIAQTPTPIISHISVVGAVYCDTCSTSTFSKHSYFLQGVEVHIQCRFRATSPKTSEQISFSVNRTTDQNGVYKLEIPSVDGVNCMDGSAIVSLCQASLISSSTPTCNVPFLKSTTREISVKSKQDNMCVYTLSGLSFKPPQKNTTLCGHHQNEQLSSAKFFFPWPPQLPPFPPLPPFPSFPFPPLPFPTSPPIPSLPFPFPQYRPTPSAFSPPAFNLGDPSTWIPHIPPSPSPNIPQHQTP >Vigun06g235300.2.v1.2 pep primary_assembly:ASM411807v1:6:34080139:34085606:-1 gene:Vigun06g235300.v1.2 transcript:Vigun06g235300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALQAPHMPSNFTAATTLTTYQNVGKLSSSDTSRCHMFAPTNYRPNSSTDYIRNTSSTYRPNGRVSSLNDRLVSNDKFRSGESETSKEMTRGPRGHYNYFLLPPSIVNDEFATTISRDQYNLSDFQTEYETAKFYVIKSFNEDDVHKGVKYNVWTSTPNGNRKLNTAYLDSEAKLRQTDTKCPVFLFFSVNASGQFVGVAEMLGPVDFKKDMNFWKLDKYNGFFPIKWHIIKDVPNNQFVHIILPNNENKPVTFTRDTQEIGLKQGLEMLNIFKNYSAKTSLLDDFDFYENREKLFRSEKKTMHARSEQGVYVNANYQNSLMAREKKTDMQSSGTMQGSLVKLTKNLSLNSSGKQGLR >Vigun06g235300.1.v1.2 pep primary_assembly:ASM411807v1:6:34080139:34085606:-1 gene:Vigun06g235300.v1.2 transcript:Vigun06g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKRFQKLQYVPKGTTNHSQQRKDEDVVSRKDYISSDSTSLVTSSGHDVRVAGVNESEALPCYASVPTSFGVQSKTVKGSVIAKTHPKSPGSMKPNNFKSTHRNESLTSTISKPVTNTEKDIKIINKAPHMPSNFTAATTLTTYQNVGKLSSSDTSRCHMFAPTNYRPNSSTDYIRNTSSTYRPNGRVSSLNDRLVSNDKFRSGESETSKEMTRGPRGHYNYFLLPPSIVNDEFATTISRDQYNLSDFQTEYETAKFYVIKSFNEDDVHKGVKYNVWTSTPNGNRKLNTAYLDSEAKLRQTDTKCPVFLFFSVNASGQFVGVAEMLGPVDFKKDMNFWKLDKYNGFFPIKWHIIKDVPNNQFVHIILPNNENKPVTFTRDTQEIGLKQGLEMLNIFKNYSAKTSLLDDFDFYENREKLFRSEKKTMHARSEQGVYVNANYQNSLMAREKKTDMQSSGTMQGSLVKLTKNLSLNSSGKQGLR >VigunL035200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:281640:287757:1 gene:VigunL035200.v1.2 transcript:VigunL035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDRGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKDAVYESLTYSAELYISAGLIWKSSRDIQKQTIFVGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELDPNGIPVYTGTIISDWGGRLELEIDKKARIWARVSRKQKISILVLSSAMGSNLSEILENDKKKIGSKENAILEFYRQFACVGGDPVFSESLWKELQKKFFQQRCELGKIGRRNINQKLNLDIPQNNTFLLPRDILTAADHLIGMKFGMALLDDINHLKNKRIRSVADLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDQTNPLTEIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGIWGAIESPFFEISERSKRIRMLYLSPNIDEYYRVATGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSESEKCIVGTGLECQVALDSGVSAIAEHEGNIVYTDTDRIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYEDIFTSFHIRKYEIQTHMTSYGSERITNKIPHLEAKLLRNLDKNGIVILGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIRISILQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQIFECSLGLSGGMLDRHYRITPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSKIFDGRTGNSFKQPALMGKTYILKLIHQVDDKIHGRSSGHYALGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIQTRQEVLGTTIIGGTIPKPTDAPESFRLLVRELRSLAMELNHFLISEKNFRIDRKEYKHQQLRIGSVSPQQISAWAKRILPNGEIVGEVKKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIRDKKDDPKFCEQCGVEFIDSRIRRYQMGYIKLACVVTHAWYLKRLPSYIANLLDKPLKELESLVYGDVGRSYWIGSYLNFSFARPVVKKPTFLRLRGSFEYEIQSWKHSIPLFFTTRGFDIFRNREISSGAVAIREQLADLDLRIIMDYSLIEWKELGKEGSPDNENEWEDRKVGRRKNFLVRRIELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVICQEKLVQAAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIIVGPSLSLHRCGLPGEIAIELFQTFLIRDLIRKHFASNIGIAKSKIRQKEPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPQKNYKYTKKKEPFFWNSYDAIGAYQQKRITFDSPLWLRWRLDLRIISSREVPIEVHYESLGTYHEIYGHYLVVRSTKKQIRSIYIRTNVGHISFYREIEEAVQGFCRAYSYGI >Vigun06g154000.2.v1.2 pep primary_assembly:ASM411807v1:6:27802873:27805493:1 gene:Vigun06g154000.v1.2 transcript:Vigun06g154000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLMVVRLVLAISVLGNLLLTTSAQSQACSSHTFTENRVFTTCRDLPHLSSYLHWSYDQSSGRLDIAFRHSGISDSDRWVSWAINPNNDLSSSMTGAQALVAISQSGGTPRAYTSSIQSPATQLAEGAISYPHSGLTATRQNNEITIYATLTLPNNTATLVHLWNDGSLSGSTPQAHSLPNKDHKIRIYWNFYHYAIGYATIIISIINIFKGFEALEVSVGDRYNDWKHAYIGIIAALGGIAVLLEAYTWFIVLKRKKSANKTAHGINGTNGVNGYGSSAQHV >Vigun06g154000.1.v1.2 pep primary_assembly:ASM411807v1:6:27802873:27805493:1 gene:Vigun06g154000.v1.2 transcript:Vigun06g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLMVVRLVLAISVLGNLLLTTSAQSQACSSHTFTENRVFTTCRDLPHLSSYLHWSYDQSSGRLDIAFRHSGISDSDRWVSWAINPNNDLSSSMTGAQALVAISQSGGTPRAYTSSIQSPATQLAEGAISYPHSGLTATRQNNEITIYATLTLPNNTATLVHLWNDGSLSGSTPQAHSLSNTQSKESLDLLSGATQAGSSGGSLRRRRNVHGILNAMSWGTLMPLGAIIARYLKVFKSADPAWFYLHVTCQTSAYIVGVAGWGTGLKLGSDSTGVTYDTHRALGITLFCLGTLQVFALLLRPNKDHKIRIYWNFYHYAIGYATIIISIINIFKGFEALEVSVGDRYNDWKHAYIGIIAALGGIAVLLEAYTWFIVLKRKKSANKTAHGINGTNGVNGYGSSAQHV >Vigun01g060650.1.v1.2 pep primary_assembly:ASM411807v1:1:12702865:12706046:1 gene:Vigun01g060650.v1.2 transcript:Vigun01g060650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDWIKEIDEKKETLKLVVRVKDLWFVQNWDMNRHMELILLDQKGDMIPTMVKKEDIGLWEEKLVEGHTYIMHNFKIMKNQGQFRVCDHPYKLLFIGATTIKEQPISSIPLNSYNFKSIEDIVDGKFSADLVYGSSAVIGCTLWDSYYFKFMSNWRGEPDSFIIVVMLTQAKIKPSSGQWPVSISNSWNGSKLLMGDECSELVRRFGNKVSQSQEASQLSSSSQYSEHEKFIYKAVVSTISEITTMKEEVYCVTVATTVKFNLGNDGWCYPVCNGCRKKTEEIGAFKCVMCGFNNEKLGIRYKLELQVCDGDSYANFVIWDQDCINLIGVSVVELMNKMIEDLDVMLGCTLAFKVRVQPKNRSSSVMKASNNLETIAFIRNHDPYIDFCVTPTKDLLLDFEVDCDHLEDIPSVEFSRSKTKKRVKQEKH >Vigun05g010600.2.v1.2 pep primary_assembly:ASM411807v1:5:857971:860344:-1 gene:Vigun05g010600.v1.2 transcript:Vigun05g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPCSCCGTLKYAHQNCVQRWCNEKGDTTCEICQQQLKPGYTVPPLPPLLHYGSSPINFGGNWEISRRELHNHQFTAFHEFLDLDFEYAAAPSTRSLIFCRIVTIIFIGLLLLRHTLPIIFILRGARAHSFTVFMSVVLRNIGMIVPFYIMVKAIISIQQFQYQGHEQSPMQAH >Vigun05g010600.1.v1.2 pep primary_assembly:ASM411807v1:5:857971:860344:-1 gene:Vigun05g010600.v1.2 transcript:Vigun05g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDIMSSPNRLVECRICHSEDEDSNMDTPCSCCGTLKYAHQNCVQRWCNEKGDTTCEICQQQLKPGYTVPPLPPLLHYGSSPINFGGNWEISRRELHNHQFTAFHEFLDLDFEYAAAPSTRSLIFCRIVTIIFIGLLLLRHTLPIIFILRGARAHSFTVFMSVVLRNIGMIVPFYIMVKAIISIQQFQYQGHEQSPMQAH >Vigun11g027000.1.v1.2 pep primary_assembly:ASM411807v1:11:3515116:3522338:1 gene:Vigun11g027000.v1.2 transcript:Vigun11g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMNVPPESLDKSPSSDAPPPPPPPAPPTEPSPVADDLPPPPPPPHYQPRRRDRRDDRDFDRPPNRRGDYYDRNASPPRDRDRDRDRDFKRRRSPSPPHRDQRYSPASRRSPPPYKRSRRGSPRGGYGPDDRHGYNYYGAYERGLGGRGGYADEKSYGRFGPRSGGGYQNGISDVESNRGYGDMPSQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRAYFNAHKDEEWLKDKYHPTNLLTVIERRNENARQLAKEFLLDLQSGALELNPGLEASVSNKSGQASEPNSEEEADTGGKRRRHGRGPNKENDFSAAPKAHPISSEPRRIQADVQQAQVLVRKLDAEKGIEDNILCSSSDHNKNDDKAHSGSVGPIVIIRGLTSVKGLEGVELLDTLITYLWRIHGVDYYGMIETNEAKGFRHVRPEGTGHEETGKSGSDWEKKLDLFWQGRLNGLDPLEIMTAKEKIDAAAMEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLEQTTKVREDLYFQNYMNDPDAPGGTPIMQQSQKDRPLKRRLAIEGRLRDDRGNRRDHDRNDRMNGDRPDNSPSSERQSLEMGNRDEAMYAGPAVPPFASDIPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLRDQGGPTSYDASGRKMRGGPHLGGAAPIIAVPPNFRPDPRRMRSYQDLDAPEDEVTVIDYRSL >Vigun03g322700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51859889:51862798:1 gene:Vigun03g322700.v1.2 transcript:Vigun03g322700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPVRHSAMGSIWMCHFFLLLFILDTSLIASMANNATDSYWLIRIKSELVDPSGALGNWSPTTHVCDWNGVTCAVDQEHVIALNLSGSGISGSISVEFRHLSSIQTLDLSSNSLSGSIPSELGQLQNLRILQLYSNDLSGNIPAEIGNMRKLQILRIGDNMLSGEIPPSIANLSELTVLAIGFCHLNGSIPVGIGKLKHLISLDLEMNSLSGPIPEEIQGCEELQNFAASNNMLEGDIPFSMGSLKSLKILNLANNSLSGSIPHALSHLSNLTYLNLLGNKLHGEIPSALNSLIQLQKLDLSKNNLSGSIPLLNVELQSLETLVLSDNALTGSIPSNFCLRGSKLRQLFLSSNMLSGKFPLELLNCSSIQQLDLSDNSFEGELPASLDKLKDLTDLVLNNNSFVGSIPPEIGNISSLEGLFLFGNFLTGKIPMEIGRLQRLSTIYLYDNQMSGLIPREITNCTSLEEIDFFGNHFTGPIPETIGKLKDLVVLHLRQNDLSGPIPPSMGYCKNLQILALADNTLSGSIPSTFSYLSELTKITLYNNSFEGPLPHSLSSLKSLKIINFSHNKFTGNFFPLTGSNSLTILDLTNNSFSGPIPSTLANSRNLSRLRLGQNYLTGSIPPEFHQLTELNFLDLSFNNLTGEMPPQLSNSKKIEHILMSNNRLSGKLPVWLGSLQELGELDLSYNNFSGRVPSELGNCSKLLKLSLHHNNLTGEIPLELGNLTALNVFNLQRNGFSGPIPPTMQQCTKLYELRLSENFLTGNIPVELGGLAELQVILDLSKNLFTGEIPPSLGNLMKLERLNLSFNQLEGKFPPSLGKLTSLHVLNLSNNHLEGQIPPTFSGFPLSSFLNNQGLCGPPLRSCSESTAQGKMQLSNTQVAAIIVAIVFTSTVICLVMLYLMLRIWCNWRKVAISSAEGGTVAHKIRNGEYWNMNSFGFIPSPDKQNSAATSFYNIKMETMENAHM >Vigun03g434000.3.v1.2 pep primary_assembly:ASM411807v1:3:63816975:63820888:1 gene:Vigun03g434000.v1.2 transcript:Vigun03g434000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSRSRDLDKLLLRPGNLVGPRFEPGAELRDDLQAFAKVLVVGAGGLGCELLKDLALTGFRNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGVQIVPHFCRIEDKEIEFYNDFSIIALGLDSVEARSYINTVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHGGVAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTPPYRSGRI >Vigun03g434000.2.v1.2 pep primary_assembly:ASM411807v1:3:63816975:63821754:1 gene:Vigun03g434000.v1.2 transcript:Vigun03g434000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSRSRDLDKLLLRPGNLVGPRFEPGAELRDDLQAFAKVLVVGAGGLGCELLKDLALTGFRNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGVQIVPHFCRIEDKEIEFYNDFSIIALGLDSVEARSYINTVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHGGVAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTYNGSQGLHTEVAEFERDKDCLVCGPGIRIELDTSITLQKFMDLLEEHPKLRLSKASITHRGKNLYMQAPPVLEEMTRSNLSLSLFNLMGKLPKDVVHVNGMTIKNDQKFSCLRKLRVVFKGVDGVTDMDTAGGA >Vigun03g434000.1.v1.2 pep primary_assembly:ASM411807v1:3:63816967:63821258:1 gene:Vigun03g434000.v1.2 transcript:Vigun03g434000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSRSRDLDKLLLRPGNLVGPRFEPGAELRDDLQAFAKVLVVGAGGLGCELLKDLALTGFRNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGVQIVPHFCRIEDKEIEFYNDFSIIALGLDSVEARSYINTVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVILPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHGGVAFDPDNPEHMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTYNGSQGLHTEVAEFERDKDCLVCGPGIRIELDTSITLQKFMDLLEEHPKLRLSKASITHRGKNLYMQAPPVLEEMTRSNLSLSLFNLMGKLPKDVVHVNGMTIKNDQKFSCLRKLRVVFKGVDGVTDMDTAGGA >Vigun02g125100.2.v1.2 pep primary_assembly:ASM411807v1:2:27711530:27715968:1 gene:Vigun02g125100.v1.2 transcript:Vigun02g125100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLQPKALPAVEVDGGDSEKSYVTEVRTVDMAEANEGCCVTVLKRKRGRPAKGNRVPKTTAPPTKQKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPSCIKRDEAFFRSKAKWNCGWHICSICGKGSHYMCYTCTYSLCKGCTKRADFVSVRENKGLCGICKRTIMLVENCAQGDKCEVDFDDKSSWEYLFKVYWTYLKEKLSLTIDEILEAKNPCKGVARLEVSGVAAGVSSLLLSPKIELPIGNVANDKLWHYQDPTGKVQGPFSLLQLYKWSVSGYFPADLRIWRIDETQDNAALLIDVLSGKCSKFVSLTYDSQKLSLETNSTLENKESSHDGGGEHNVTTNGNSANHQIVEQCGEQKLVDTCTQSNGKDESVKSNGWHSQSPGWTIQADGNNNEGQSGNFERTEDSPKCEDGPHLHPSLPSTAFCEKHEENPSDKLEEVHKMEVKSEDNGNFDLNRIFDGQSNSGQNYQKQSDSEDNSGQSSGQNWSCPSVTNPVCNLSTWLSIFGEPNDLDESVSDLLAEVEAMESLGGGLESPTSIMKCGEELTDGSITDCLSFADGLSPMLDAAGKGDALSSSGDLHFPSQTTTPDEPTKQPDVCHQHQKIFGEHKSKSSEVESTFSKISWNPTIQFSWDPPNC >Vigun02g125100.1.v1.2 pep primary_assembly:ASM411807v1:2:27711530:27715946:1 gene:Vigun02g125100.v1.2 transcript:Vigun02g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLQPKALPAVEVDGGDSEKSYVTEVRTVDMAEANEGCCVTVLKRKRGRPAKGNRVPKTTAPPTKQKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPSCIKRDEAFFRSKAKWNCGWHICSICGKGSHYMCYTCTYSLCKGCTKRADFVSVRENKGLCGICKRTIMLVENCAQGDKVLVCEVDFDDKSSWEYLFKVYWTYLKEKLSLTIDEILEAKNPCKGVARLEVSGVAAGVSSLLLSPKIELPIGNVANDKLWHYQDPTGKVQGPFSLLQLYKWSVSGYFPADLRIWRIDETQDNAALLIDVLSGKCSKFVSLTYDSQKLSLETNSTLENKESSHDGGGEHNVTTNGNSANHQIVEQCGEQKLVDTCTQSNGKDESVKSNGWHSQSPGWTIQADGNNNEGQSGNFERTEDSPKCEDGPHLHPSLPSTAFCEKHEENPSDKLEEVHKMEVKSEDNGNFDLNRIFDGQSNSGQNYQKQSDSEDNSGQSSGQNWSCPSVTNPVCNLSTWLSIFGEPNDLDESVSDLLAEVEAMESLGGGLESPTSIMKCGEELTDGSITDCLSFADGLSPMLDAAGKGDALSSSGDLHFPSQTTTPDEPTKQPDVCHQHQKIFGEHKSKSSEVESTFSKISWNPTIQFSWDPPNC >Vigun06g198000.1.v1.2 pep primary_assembly:ASM411807v1:6:31340688:31345550:1 gene:Vigun06g198000.v1.2 transcript:Vigun06g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGRGGRGWGRGGGFTTFASQVPFDLFPEDVTLPEFKIGDIDVNTKKLLNWSNKLQNYWKASPYLLEEATSKKRQTMHVDRFSDKKTNDFTRDSLSQVITFNGFPHELVQGKSKRMSRRKKFRWNPESEMKKMDFFEQQEKTNQGKEETDEAEKKEGEDEDGDGNVEEEDEEDMSDDDYNQNIDFDDDDDDYNDVDDGDDEPIY >Vigun01g066300.1.v1.2 pep primary_assembly:ASM411807v1:1:17567065:17574002:1 gene:Vigun01g066300.v1.2 transcript:Vigun01g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRAKGGRREESGVASCEVNNVTNLVKQITHQFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLMTKDIQLARRFGGIGRPW >Vigun05g076700.1.v1.2 pep primary_assembly:ASM411807v1:5:7036167:7037380:1 gene:Vigun05g076700.v1.2 transcript:Vigun05g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNIGFTTTFSASFRVTIVASTTHKRHSHKGFLLFSLAPIGILIFVAWFCIQAFVEVEVNHLVVDFNHFNEIYESNVRYS >Vigun04g135500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33954902:33956209:-1 gene:Vigun04g135500.v1.2 transcript:Vigun04g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKSTARLPAAEPSKGKRRRRASSSSPERPIINRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWINYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRRDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDIATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVDLTEKIRPAITKRFIEHYCTHLEDLEQQEEPQLDQQAEDQPAAEQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLHQNVRGPYPGMTPPEFFTYLQWPRDSPIFLGGGGPVAGEGPSGAADTDGADIEDEIDFGGD >Vigun09g004500.1.v1.2 pep primary_assembly:ASM411807v1:9:343400:345028:-1 gene:Vigun09g004500.v1.2 transcript:Vigun09g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLSSSSTLVDAKPPRQSPASSPQCVTLPTLPPPPLHSHTRPGKTAAFCRRIARNVMGMATTREAPAAEVATTEVVFAETPEIVKTIQEAWEKVEDKYAVSSLAVAGVVALWGSAGMISAIDRLPLIPGVLEVVGIGYTGWFAYKNLVFKPDRDAFVRKVKETYNEIIGSS >Vigun10g083750.1.v1.2 pep primary_assembly:ASM411807v1:10:23662110:23665252:-1 gene:Vigun10g083750.v1.2 transcript:Vigun10g083750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLNSVEGRGLLTLFQSSYKGFKGGFLKIKAPPHKTNLLERFPLYWTQNPSNPIAHQISDLTQAEREFCLMLEQLRTTFDTKQLLNLKFQPSNLKCHIESRMGMSKQDLAKRFKALKSSSQTSLTQPIQANPVVQEAVTLVSDEETTQSGPSFKRRRPVDRAHAVLDDATIVPVRSEHTLFSKGPVPHEGD >Vigun08g040850.1.v1.2 pep primary_assembly:ASM411807v1:8:4084348:4091955:1 gene:Vigun08g040850.v1.2 transcript:Vigun08g040850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGGFTGAFTSFLFSFNTQIETTATPSMVSTSTSSWAWPCVLRRVPQQGRVWPNKPRPTRCRTRVVHASVSSTRGRHGGAIFMVCQSFLEENPFTLWHIDEEPEMSLEIEEMGSCQSRELREVEGVVGEIVQLARNLPQNLTLEEALGEYEGRVNEKDCWEVLEILGEERLLLCCVSFFRWMSLQESSLVTPRASIVLFPLLGKGGMGDEVMGLFGSLPSSMEFRDVHVYNATISGLLYGGRYEDAWKVYESMETENIHPDHVTCSIMVTVMRKLGHSAKDAWQFFEKMNRKGVRWSEEVLSALIKSFCDEGLMRVALVIQSEMEKKGVSSNAIVYNILMDSYCKYNHVEAAEGLFVEMRAKGIKPTVATFNILMHAYSRRMQPKIVEKLMAEMQDVGLKPNAESYTCLISAYGKQKTPSDLAAADAFLRMKEVGIKSTSHSYTALIHAYSVNGLHEKAYIAFENMKMEGIKPCVETYNTLLDVLRRAGEAQTLMEIWKLMKNDKIELTRTTFNVLVDGFSKQGLYMEARGIISEFGKLGMQPTVMTYNMLMNAYAKGGQHSKLPQIFKEMAVLNLQPDSVTYSTMIFGFVRVHDFKRAFLYHKQMVKNKQTMDVSFYQTLLDILEARAARKNKDWRALHAQIKGKTGVKVIRQKDEFWKYYKRRRGRKNNSDGFILLFQTERFARVPSSFFFLLLLLRAFQSRGLNPFSSATLVQAYF >Vigun09g231300.1.v1.2 pep primary_assembly:ASM411807v1:9:40278797:40279158:1 gene:Vigun09g231300.v1.2 transcript:Vigun09g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFGCFDGGNKRMTKEEERLASEEARARAAEAAQKKQEEQPGEAKEGDADAEAEEAPETEKEKEVENSNNGEVKD >Vigun01g033550.1.v1.2 pep primary_assembly:ASM411807v1:1:4324923:4325354:-1 gene:Vigun01g033550.v1.2 transcript:Vigun01g033550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSQDAQPPYNEAAANGFPAAYNPKAPAKVEWSTALCCITWWCPCITFGQIAEIVDKGSTSCGASGCDCVYSCFYRSKMRRQYMLKETPCVDFFVHLCLVPRIP >Vigun01g142400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32337630:32338676:-1 gene:Vigun01g142400.v1.2 transcript:Vigun01g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVVSGNAEVRYRGIRKRPWGKFAAEIRDPTRKGARIWLGTFDTAEEAARAYDAAAFRFRGHKAILNFPNEYGHTTPNNPNIFSTSQTLHSFSGGEGSSQQHRNGAATFELEYLDNKLLEELLEAPDGTGNDTN >Vigun04g030150.1.v1.2 pep primary_assembly:ASM411807v1:4:2376691:2377591:-1 gene:Vigun04g030150.v1.2 transcript:Vigun04g030150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAMRVMIERGYGAELLESCSAMDPTVLPIDSFCGRLVACISGELHLPLLYYPSLD >Vigun01g191900.1.v1.2 pep primary_assembly:ASM411807v1:1:37002308:37007964:1 gene:Vigun01g191900.v1.2 transcript:Vigun01g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVFRGHEAQPLNDAYSAKPQKPWSSIRYIFREQRLHFLFLGVLLASVFFLLFPSASSPPLRAHDPLPVSFSGRGDDLTHWLTANTRAYDVAVHSTGKIPLGIKRKARRVVVTGGAGFVGSHLVDRLISRGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEALTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPQAKIEYKPNTEDDPHMRKPDISRAKELLGWEPKVDLRKGLPLMVSDFRQRIFGDQKEVATST >Vigun01g228600.5.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLTNPNGGAKGSITGSYFRLRTANFQKRPISGSPILCPFPTCRKICLKGQKVEFSSVSSLLKHQVSCKLTDVRNDSCSSKEHGKSQPLVKMCGITSAKDAIMAAEAGANLIGMIIWPNSKRSVPLSIAKEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.2.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSITGSYFRLRTANFQKRPISGSPILCPFPTCRKICLKGQKVEFSSEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.4.v1.2 pep primary_assembly:ASM411807v1:1:40123476:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSITGSYFRLRTANFQKRPISGSPILCPFPTCRKICLKGQKVEFSSVSSLLKHQVSCKLTDVRNDSCSSKEHGKSQPLVKMCGITSAKDAIMAAEAGANLIGMIIWPNSKRSVPLSIAKEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.3.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLKGQKVEFSSVSSLLKHQVSCKLTDVRNDSCSSKEHGKSQPLVKMCGITSAKDAIMAAEAGANLIGMIIWPNSKRSVPLSIAKEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.1.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLTNPNGGAKGSITGSYFRLRTANFQKRPISGSPILCPFPTCRKICLKGQKVEFSSVSSLLKHQVSCKLTDVRNDSCSSKEHGKSQPLVKMCGITSAKDAIMAAEAGANLIGMIIWPNSKRSVPLSIAKEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.7.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLTNPNGGAKGSITGSYFRLRTANFQKRPISGSPILCPFPTCRKICLKGQKVEFSSEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun01g228600.6.v1.2 pep primary_assembly:ASM411807v1:1:40123508:40126139:1 gene:Vigun01g228600.v1.2 transcript:Vigun01g228600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGLKGQKVEFSSVSSLLKHQVSCKLTDVRNDSCSSKEHGKSQPLVKMCGITSAKDAIMAAEAGANLIGMIIWPNSKRSVPLSIAKEISKAARDHGAEPVGVFVDDDSETILRASDAANLEFVQLHGHGSRSAFPSLILENRVIYVLHAKDDGSLSNTISEEECSLVDWVLVDSAQGGSGKAFDWARLKLPKIRSKYGWLLAGGINPENVSEALSTLKPEGVDVSSGICASDGIRKDQSRIVSFMNAVHSFEC >Vigun03g419300.2.v1.2 pep primary_assembly:ASM411807v1:3:62554742:62557983:-1 gene:Vigun03g419300.v1.2 transcript:Vigun03g419300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKTLPCHELTHLSDFDALLSSDDYISICGYGSLLSERSARSTFPNLVNFRIARLTGFRRLFNSVGALFFTHGVANIKTEEIAGLSVEPCEGETIILVVFEIKKTEIPAFIERERVYRFLAVVPESLDGKPFTNPAVLCASYSDDEFFKFRCNEGREKYFERYGEYKIHKIWRDDVLPCRVYLRHCLGAKSLGEEVYDNFVDHTFLGDRKTTIRQYFEKVGSKIMEEEPPESLKTRYGG >Vigun03g419300.1.v1.2 pep primary_assembly:ASM411807v1:3:62554742:62557983:-1 gene:Vigun03g419300.v1.2 transcript:Vigun03g419300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKTLPCHELTHLSDFDALLSSDDYISICGYGSLLSERSARSTFPNLVNFRIARLTGFRRLFNSVGALFFTHGVANIKTEEIAGLSVEPCEGETIILVVFEIKKTEIPAFIERERVYRFLAVVPESLDGKPFTNPAVLCASYSDDEFFKFRCNEGREKYFERYGEYKIHKIWRDDVLPCRVYLRHCSLGAKSLGEEVYDNFVDHTFLGDRKTTIRQYFEKVGSKIMEEEPPESLKTRYGG >Vigun01g170000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35193416:35197329:-1 gene:Vigun01g170000.v1.2 transcript:Vigun01g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLFLPFLFHLLLLFRYADPAPNCTRNSSLVDSESQFELVQHQLRGSLKITDDCSFRVSQFDMLPGSDVHWWGAPGTGFDNLTAGFIVSNDGLHGTYKNSTLDVHLLSNVTWSMINVLAVWDRSAASDFGHVVLRGDAPAKPPPTVFENCKVLSKNFRLRWSLNVSEDSLEIGLEAAIGITDYMAFGWAEPSAEDSDLMIGGDVAVAGFKEDGAPFVDDFFITKYSECAKNVDGVAQGVCPDSVYEGPDGVGLVNNSMLVYGHRSDGVSFVRYRWHLTKVSGKYDRPVNHTANMKVIWALGPIKPPDTINPYYLPQNHGAVNYGHLFLNVSEHVNDCIGPLDAEDKQDQGVIIADGNNPLVVSSAPAMHYPNPPNPAKVLYINKKEAPVLRVERGVPVKFSIQAGHDVALYITSDPLGGNATTRNQTETIYAGGPEAHGVQGSPTELVWAPDRNTPDNIYYHSLYDQKMGWRVEVVDGGLSDMYNNSVILDDQQVTFFWSLSKDSISIAARGEKKSGYLAIGFGSGMVNSYVYVGWVDESGIGHVNTYWIDGKDALSLHLTRENLTHVRCKTENGIITLEFTRPLDPSCRREKRVECKNIIDPTSPLKVVWAMGAKWTNDHLTNRNMHSSTSNRPIRVQLMRGSAEAEQDLLPVLAVHGFMMFVAWGILFPGGILAARYLKHLKGDGWFRIHVYLQYSGLIIVLLALLFAVAELRGFYVRSTHVKFGLATIFFACIQPVNAFLRPQKPANGEQAPFKRVIWEYFHAFVGRCAIVVGIAALFTGMKHLGDRYDVENARGLNWAMAIWFLVGALIVIYLEYQERQRIKKQVSAKSNWVFGNLEEDDSVDLLSSTRTTADKESLPSGRMEVQLEPLNR >Vigun07g232100.3.v1.2 pep primary_assembly:ASM411807v1:7:35405020:35406913:-1 gene:Vigun07g232100.v1.2 transcript:Vigun07g232100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKAEMEFAVEVEVLGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLLNHLHGQLAKDCLLDWPRRMNIAIGAAEGLVYLHHEANPHIIHRDIKASNVLLDSEFEAKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVSAKKPIEKLQGGVKRDIVQWVTPHVQKGNFTHIVDSKLKGRFDLEQLKSVIMIAVRCTESNPEKRPSMVEVVEWLKGGIGRRKEILPTLSHTNGDDKYEENYGEIRRTQSRLKIPSDDDKLRIR >Vigun07g232100.1.v1.2 pep primary_assembly:ASM411807v1:7:35405020:35406913:-1 gene:Vigun07g232100.v1.2 transcript:Vigun07g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKCFSCFLCDKEQSEMQNGREKKKRDYPWEIYTLKELLRATNNFHQDNKIGEGGFGSVYWGRTSKGVEIAVKRLKTMTAKAEMEFAVEVEVLGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLLNHLHGQLAKDCLLDWPRRMNIAIGAAEGLVYLHHEANPHIIHRDIKASNVLLDSEFEAKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVSAKKPIEKLQGGVKRDIVQWVTPHVQKGNFTHIVDSKLKGRFDLEQLKSVIMIAVRCTESNPEKRPSMVEVVEWLKGGIGRRKEILPTLSHTNGDDKYEENYGEIRRTQSRLKIPSDDDKLRIR >Vigun07g232100.2.v1.2 pep primary_assembly:ASM411807v1:7:35405020:35406913:-1 gene:Vigun07g232100.v1.2 transcript:Vigun07g232100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKCFSCFLCDKEQSEMQNGREKKKRDYPWEIYTLKELLRATNNFHQDNKIGEGGFGSVYWGRTSKGIAVKRLKTMTAKAEMEFAVEVEVLGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLLNHLHGQLAKDCLLDWPRRMNIAIGAAEGLVYLHHEANPHIIHRDIKASNVLLDSEFEAKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVSAKKPIEKLQGGVKRDIVQWVTPHVQKGNFTHIVDSKLKGRFDLEQLKSVIMIAVRCTESNPEKRPSMVEVVEWLKGGIGRRKEILPTLSHTNGDDKYEENYGEIRRTQSRLKIPSDDDKLRIR >Vigun09g079900.1.v1.2 pep primary_assembly:ASM411807v1:9:9439091:9440756:1 gene:Vigun09g079900.v1.2 transcript:Vigun09g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCEKVNAKRGAWTTEDDTKNLAFGSKHGSGVKRCGKSCRLRWSNCHRPDLNKHDNFTTQEEDLIIKLHAAIGSRWSIIAQQLPGRTDNDVKIYWNTKLKKKLSQMGIDPVTHKPFSKLIADYGNIGGCHKPSTRIGSINKDFKSAMMLKSETMAQGFTNINDQPKLPLQMENNGNNFLFNRSMDLAQFQSIPMASRASNCTDFENEMMSGSVLGEDRLSKTSSPSTPSTCSTSGFSWNEFLLEDAFTPLDQYQEKDTLVSKENVATRQSWNNKEVKSQHASGSDFQFSSFIDAMLDQENEMFLSFPHLMEEPSNLS >Vigun09g079900.2.v1.2 pep primary_assembly:ASM411807v1:9:9439100:9440750:1 gene:Vigun09g079900.v1.2 transcript:Vigun09g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKMHSVQASMLPYMVRSPCEKVNAKRGAWTTEDDTKNLAFGSKHGSGVKRCGKSCRLRWSNCHRPDLNKHDNFTTQEEDLIIKLHAAIGSRWSIIAQQLPGRTDNDVKIYWNTKLKKKLSQMGIDPVTHKPFSKLIADYGNIGGCHKPSTRIGSINKDFKSAMMLKSETMAQGFTNINDQPKLPLQMENNGNNFLFNRSMDLAQFQSIPMASRASNCTDFENEMMSGSVLGEDRLSKTSSPSTPSTCSTSGFSWNEFLLEDAFTPLDQYQEKDTLVSKENVATRQSWNNKEVKSQHASGSDFQFSSFIDAMLDQENEMFLSFPHLMEEPSNLS >Vigun06g221000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33058781:33059965:-1 gene:Vigun06g221000.v1.2 transcript:Vigun06g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHLTNLMTPLPELCASLCHVRKSEPCSSDCKVCIRICLTNPENPNYDSPPAPPPPPPPPQLPPFNLYNDGADESSSHRIATYLFLALAILTVAFFVVCCRTIYTRFSSRRRESSRHQPENTGGNDFVDEEHGAVVDHPIWYIRTLGLQQSIINAITVCRYKKGEGLIEGTECAVCLSEFEEDENLRLLPKCYHAFHLPCIDTWLRSHTNCPICRAPIVSDLATARLDSSFLDSNSSGHTHVEILENSAPGSELGNRAEEESQMEAEDGFRVCETETPVEEVPDILPRRSVSLDSFSVANINRALATVGSNANSKRVLGSVDDDAVSSSSSKVGSGSDLATTSKGSSSFRLTRYLQGASSSSMKRSQSYNGKYLLSWYGRNQKKPNAPLRSF >Vigun03g080000.2.v1.2 pep primary_assembly:ASM411807v1:3:6675073:6678021:-1 gene:Vigun03g080000.v1.2 transcript:Vigun03g080000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLIQFVRWLVISTTLMQSNVICGSESHFRAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHSPKGRFSDGRLIIDFIAKSLGLPYLSAYMDSVGSNFSHGANFATAGSTVRPQNTTKSQSGYSPISLDVQFVQFSDFKTRSKLVRQQGGVFKELLPKEEYFSEALYTFDIGQNDITAGYKLNLTTEQVKAYIPDVLDQFSTVIKMKFTEALCFG >Vigun03g080000.6.v1.2 pep primary_assembly:ASM411807v1:3:6675073:6678020:-1 gene:Vigun03g080000.v1.2 transcript:Vigun03g080000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLIQFVRWLVISTTLMQSNVICGSESHFRAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHSPKGRFSDGRLIIDFIAKSLGLPYLSAYMDSVGSNFSHGANFATAGSTVRPQNTTKSQSGYSPISLDVQFVQFSDFKTRSKLVRQQGGVFKELLPKEEYFSEALYTFDIGQNDITAGYKLNLTTEQVKAYIPDVLDQFSTVIKGVYGEGGRSFWIHNTGPLGCLPYMLDRYPMSPAQMDEFGCAKPFNEVAEYFNKRLKETVEKLREELPEAKITYVDVYRVKYTLISNAEKYGIAFYFSFIVVAYLNLENAMK >Vigun03g080000.3.v1.2 pep primary_assembly:ASM411807v1:3:6675073:6678020:-1 gene:Vigun03g080000.v1.2 transcript:Vigun03g080000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLIQFVRWLVISTTLMQSNVICGSESHFRAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHSPKGRFSDGRLIIDFIAKSLGLPYLSAYMDSVGSNFSHGANFATAGSTVRPQNTTKSQSGYSPISLDVQFVQFSDFKTRSKLVRQQGGVFKELLPKEEYFSEALYTFDIGQNDITAGYKLNLTTEQVKAYIPDVLDQFSTVIKGVYGEGGRSFWIHNTGPLGCLPYMLDRYPMSPAQMDEFGCAKPFNEVAEYFNKRLKETVEKLREELPEAKITYVDVYRVKYTLISNAEKYGFEKGVIACCGHGGKYNFNNRERCGASKRVNGTEILNGSFSDPPTSLGATNSRSWKTEL >Vigun03g080000.1.v1.2 pep primary_assembly:ASM411807v1:3:6675073:6678020:-1 gene:Vigun03g080000.v1.2 transcript:Vigun03g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLIQFVRWLVISTTLMQSNVICGSESHFRAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHSPKGRFSDGRLIIDFIAKSLGLPYLSAYMDSVGSNFSHGANFATAGSTVRPQNTTKSQSGYSPISLDVQFVQFSDFKTRSKLVRQQGGVFKELLPKEEYFSEALYTFDIGQNDITAGYKLNLTTEQVKAYIPDVLDQFSTVIKGVYGEGGRSFWIHNTGPLGCLPYMLDRYPMSPAQMDEFGCAKPFNEVAEYFNKRLKETVEKLREELPEAKITYVDVYRVKYTLISNAEKYGFEKGVIACCGHGGKYNFNNRERCGASKRVNGTEVVIAKSCEDPSVRIIWDGIHYTEAANNWIFLQILNGSFSDPPTSLGATNSRSWKTEL >Vigun03g080000.5.v1.2 pep primary_assembly:ASM411807v1:3:6675073:6678020:-1 gene:Vigun03g080000.v1.2 transcript:Vigun03g080000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLIQFVRWLVISTTLMQSNVICGSESHFRAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFHSPKGRFSDGRLIIDFIAKSLGLPYLSAYMDSVGSNFSHGANFATAGSTVRPQNTTKSQSGYSPISLDVQFVQFSDFKTRSKLVRQQGGVFKELLPKEEYFSEALYTFDIGQNDITAGYKLNLTTEQVKAYIPDVLDQFSTVIKGVYGEGGRSFWIHNTGPLGCLPYMLDRYPMSPAQMDEFGCAKPFNEVAEYFNKRLKETVEKLREELPEAKITYVDVYRVKYTLISNAEKYEFLE >Vigun08g139300.2.v1.2 pep primary_assembly:ASM411807v1:8:31187277:31192493:1 gene:Vigun08g139300.v1.2 transcript:Vigun08g139300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGHGSLVDTVFSWTLNDVFNEKLFKHEVRKIPKTFHSIKDYMKSFIPALIEETHSDLSSSLMSVSQAPFSEISTLQRSNDFQLPYGLFYEITVKSITDEVKGARKYKPESGDIIAFTNVKPRRIDDLKMTKEYCHIAYVLKSPDVFSDEITILTSKFMENDIEIDVRSNKSQKLYAVYLMNMTTNIRISKALTSRLEGADTNILKKVLGVVSTNGENCHICLSGENSSHGRAYTVAQTIISAHNLNESQKDAVLSSVTMKKCKHNDDIKLIWGPPGTGKTKTVASLLLSLFKLKTKTLACAPTNTAVLEVAVRLHGLVKDSADTPECETHGFGDIVLFGNSSRMKIESYKGLETVFLDNRVDDLLRCFSPDIGWKYYMESTIKFLKEPEEAYVSYKNRVDVENVMSLEEFAKGRVKNADIAYGSYKKRVRKNRDPMTFEQFLVKKYAHIVDLYQAYKDDKKLSSGMTMEQFIKQRLSYFGEKLKKLMRTLYTHLPTSFIPLNVLKSMLRAMNLLKSLEVSILQNISKHTNSDNEDRDECLELLNILSQSISLPNDLRSKYAISQFCLNNACLVFCTASSSFKLYKKEMTPFRYVVIDEAAQLKECESTIPLQLPGLRRGILIGDERQLPAMVKSKIADRAEFGRSLFERMVLLGYKRHMLNVQYRMRPSISMFPNKEFYNQQLSDAPLVRGTSYDKSFLHGKMYASYSFINISKGKEQSNHDHSLMNKIEVAAISEIIGNLKKDILKTRKKVSVGIISPYKAQVYEIQQKIKQYISVSDSLFSVSVRSVDGFQGGEEDIIILSTVRSNGSGKVGFLSNRQRANVALTRAKYCLWIVGNGATLMNSNSVWRNVVHDAKKRDCFHNADEDKKLGQAIEDAILELELLDESESLFKRLSLAEKSVTAPKLSRSYKSRRR >Vigun08g139300.1.v1.2 pep primary_assembly:ASM411807v1:8:31187273:31192492:1 gene:Vigun08g139300.v1.2 transcript:Vigun08g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGHGSLVDTVFSWTLNDVFNEKLFKHEVRKIPKTFHSIKDYMKSFIPALIEETHSDLSSSLMSVSQAPFSEISTLQRSNDFQLPYGLFYEITVKSITDEVKGARKYKPESGDIIAFTNVKPRRIDDLKMTKEYCHIAYVLKSPDVFSDEITILTSKFMENDIEIDVRSNKSQKLYAVYLMNMTTNIRISKALTSRLEGADTNILKKVLGVVSTNGENCHICLSGENSSHGRAYTVAQTIISAHNLNESQKDAVLSSVTMKKCKHNDDIKLIWGPPGTGKTKTVASLLLSLFKLKTKTLACAPTNTAVLEVAVRLHGLVKDSADTPECETHGFGDIVLFGNSSRMKIESYKGLETVFLDNRVDDLLRCFSPDIGWKYYMESTIKFLKEPEEAYVSYKNRVDVENVMSLEEFAKGRVKNADIAYGSYKKRVRKNRDPMTFEQFLVKKYAHIVDLYQAYKDDKKLSSGMTMEQFIKQRLSYFGEKLKKLMRTLYTHLPTSFIPLNVLKSMLRAMNLLKSLEVSILQNISKHTNSDNEDRDECLELLNILSQSISLPNDLRSKYAISQFCLNNACLVFCTASSSFKLYKKEMTPFRYVVIDEAAQLKECESTIPLQLPGLRRGILIGDERQLPAMVKSKIADRAEFGRSLFERMVLLGYKRHMLNVQYRMRPSISMFPNKEFYNQQLSDAPLVRGTSYDKSFLHGKMYASYSFINISKGKEQSNHDHSLMNKIEVAAISEIIGNLKKDILKTRKKVSVGIISPYKAQVYEIQQKIKQYISVSDSLFSVSVRSVDGFQGGEEDIIILSTVRSNGSGKVGFLSNRQRANVALTRAKYCLWIVGNGATLMNSNSVWRNVVHDAKKRDCFHNADEDKKLGQAIEDAILELELLDESESLFKRLSLAEKSVTAPKLSRSYKSRRRNRRRRVPNLSPEALLGFTQSQSSELRSSS >Vigun08g139300.3.v1.2 pep primary_assembly:ASM411807v1:8:31187277:31192492:1 gene:Vigun08g139300.v1.2 transcript:Vigun08g139300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGHGSLVDTVFSWTLNDVFNEKLFKHEVRKIPKTFHSIKDYMKSFIPALIEETHSDLSSSLMSVSQAPFSEISTLQRSNDFQLPYGLFYEITVKSITDEVKGARKYKPESGDIIAFTNVKPRRIDDLKMTKEYCHIAYVLKSPDVFSDEITILTSKFMENDIEIDVRSNKSQKLYAVYLMNMTTNIRISKALTSRLEGADTNILKKVLGVVSTNGENCHICLSGENSSHGRAYTVAQTIISAHNLNESQKDAVLSSVTMKKCKHNDDIKLIWGPPGTGKTKTVASLLLSLFKLKTKTLACAPTNTAVLEVAVRLHGLVKDSADTPECETHGFGDIVLFGNSSRMKIESYKGLETVFLDNRVDDLLRCFSPDIGWKYYMESTIKFLKEPEEAYVSYKNRVDVENVMSLEEFAKGRVKNADIAYGSYKKRVRKNRDPMTFEQFLVKKYAHIVDLYQAYKDDKKLSSGMTMEQFIKQRLSYFGEKLKKLMRTLYTHLPTSFIPLNVLKSMLRAMNLLKSLEVSILQNISKHTNSDNEDRDECLELLNILSQSISLPNDLRSKYAISQFCLNNACLVFCTASSSFKLYKKEMTPFRYVVIDEAAQLKECESTIPLQLPGLRRGILIGDERQLPAMVKSKIADRAEFGRSLFERMVLLGYKRHMLNVQYRMRPSISMFPNKEFYNQQLSDAPLVRGTSYDKSFLHGKMYASYSFINISKGKEQSNHDHSLMNKIEVAAISEIIGNLKKDILKTRKKVSVGIISPYKAQVYEIQQKIKQYISVSDSLFSVSVRSVDGFQGGEEDIIILSTVRSNGSGKVGFLSNRQRANVALTRAKYCLWIVGNGATLMNSNSVWRNVVHDAKKRDCFHNADEDKKLGQAIEDAILELELLDESESLFKRLSLAEKSVTAPKLSRSYKSRRR >Vigun08g139300.5.v1.2 pep primary_assembly:ASM411807v1:8:31187277:31192492:1 gene:Vigun08g139300.v1.2 transcript:Vigun08g139300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGHGSLVDTVFSWTLNDVFNEKLFKHEVRKIPKTFHSIKDYMKSFIPALIEETHSDLSSSLMSVSQAPFSEISTLQRSNDFQLPYGLFYEITVKSITDEVKGARKYKPESGDIIAFTNVKPRRIDDLKMTKEYCHIAYVLKSPDVFSDEITILTSKFMENDIEIDVRSNKSQKLYAVYLMNMTTNIRISKALTSRLEGADTNILKKVLGVVSTNGENCHICLSGENSSHGRAYTVAQTIISAHNLNESQKDAVLSSVTMKKCKHNDDIKLIWGPPGTGKTKTVASLLLSLFKLKTKTLACAPTNTAVLEVAVRLHGLVKDSADTPECETHGFGDIVLFGNSSRMKIESYKGLETVFLDNRVDDLLRCFSPDIGWKYYMESTIKFLKEPEEAYVSYKNRVDVENVMSLEEFAKGRVKNADIAYGSYKKRVRKNRDPMTFEQFLVKKYAHIVDLYQAYKDDKKLSSGMTMEQFIKQRLSYFGEKLKKLMRTLYTHLPTSFIPLNVLKSMLRAMNLLKSLEVSILQNISKHTNSDNEDRDECLELLNILSQSISLPNDLRSKYAISQFCLNNACLVFCTASSSFKLYKKEMTPFRYVVIDEAAQLKECESTIPLQLPGLRRGILIGDERQLPAMVKSKIADRAEFGRSLFERMVLLGYKRHMLNVQYRMRPSISMFPNKEFYNQQLSDAPLVRGTSYDKSFLHGKMYASYSFINISKGKEQSNHDHSLMNKIEVAAISEIIGNLKKDILKTRKKVSVGIISPYKAQVYEIQQKIKQYISVSDSLFSVSVRSVDGFQGGEEDIIILSTVRSNGSGKVGFLSNRQRANVALTRAKYCLWIVGNGATLMNSNSVWRNVVHDAKKRDCFHNADEDKKLGQAIEDAILELELLDESESLFKRLSLAEKSVTAPKLSRSYKSRRRRRVPNLSPEALLGFTQSQSSELRSSS >Vigun08g139300.4.v1.2 pep primary_assembly:ASM411807v1:8:31187277:31192492:1 gene:Vigun08g139300.v1.2 transcript:Vigun08g139300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGHGSLVDTVFSWTLNDVFNEKLFKHEVRKIPKTFHSIKDYMKSFIPALIEETHSDLSSSLMSVSQAPFSEISTLQRSNDFQLPYGLFYEITVKSITDEVKGARKYKPESGDIIAFTNVKPRRIDDLKMTKEYCHIAYVLKSPDVFSDEITILTSKFMENDIEIDVRSNKSQKLYAVYLMNMTTNIRISKALTSRLEGADTNILKKVLGVVSTNGENCHICLSGENSSHGRAYTVAQTIISAHNLNESQKDAVLSSVTMKKCKHNDDIKLIWGPPGTGKTKTVASLLLSLFKLKTKTLACAPTNTAVLEVAVRLHGLVKDSADTPECETHGFGDIVLFGNSSRMKIESYKGLETVFLDNRVDDLLRCFSPDIGWKYYMESTIKFLKEPEEAYVSYKNRVDVENVMSLEEFAKGRVKNADIAYGSYKKRVRKNRDPMTFEQFLVKKYAHIVDLYQAYKDDKKLSSGMTMEQFIKQRLSYFGEKLKKLMRTLYTHLPTSFIPLNVLKSMLRAMNLLKSLEVSILQNISKHTNSDNEDRDECLELLNILSQSISLPNDLRSKYAISQFCLNNACLVFCTASSSFKLYKKEMTPFRYVVIDEAAQLKECESTIPLQLPGLRRGILIGDERQLPAMVKSKIADRAEFGRSLFERMVLLGYKRHMLNVQYRMRPSISMFPNKEFYNQQLSDAPLVRGTSYDKSFLHGKMYASYSFINISKGKEQSNHDHSLMNKIEVAAISEIIGNLKKDILKTRKKVSVGIISPYKAQVYEIQQKIKQYISVSDSLFSVSVRSVDGFQGGEEDIIILSTVRSNGSGKVGFLSNRQRANVALTRAKYCLWIVGNGATLMNSNSVWRNVVHDAKKRDCFHNADEDKKLGQAIEDAILELELLDESESLFKRLSLAEKSVTAPKLSRSYKSRRRNRRRRVPNLSPEALLGFTQSQSSELRSSS >Vigun05g248700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44247475:44248625:1 gene:Vigun05g248700.v1.2 transcript:Vigun05g248700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGLCLLSLVSLTLVSIVIAQERAPHGLVYENPEAFSPSAYNFFHPNEKKPETKNPCAASKCSPMPLAAQVEATEIHESRAKTLERGSRKLGAGGLAGIVFGVAFVVVLAMGVYHVRVTRRTNMSRANHNGAVQTHV >Vigun11g153900.1.v1.2 pep primary_assembly:ASM411807v1:11:36319382:36325711:-1 gene:Vigun11g153900.v1.2 transcript:Vigun11g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVYMGGWVDNSTSSMGTNSKESSVVAGKNTYKNLLVASRPKGFAQRNYEQLVSFTHGDFGSSVVGRATFFLLKVAALEIVRRFSKSKCPCVWRGLQGLQILVYPPFKWIQRWAPFRGLVKSMQVLSRPLLVLSIATVFTDEPQCSDGTSDCVVDSPDSQVSAELSPAQSISNTSHSEIDPEVLEYENWLTLLNQELENQGISLPERINDDELHRFYAASNNDFSNFLASIKKTIRWRESYRFFSGEELETWSNMVFWHGFDVLHRPCLIVRLGIACRSLASEDRLQFAQAIISQVEYGVLHLVDADNPQIAVLVDCEGLSPLRIPMKVMRTCSSLLQDYFPNRLGCLFVIRLPAIVRVIAQTFIQVLKPTTKKKLKLGGEIYRRELFDNFPTPPSYLGGTCTCMRCSKIGNLDMLRPHSTGTSIIDREQDISDNGRLPSLPSNELDDLQVSNSDQLLRKAVISFLVFWVFIALGAGIYDPSSLHLAP >Vigun06g007000.1.v1.2 pep primary_assembly:ASM411807v1:6:3619645:3622403:1 gene:Vigun06g007000.v1.2 transcript:Vigun06g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKRQNEKRELVPSAGDDDDIILSQELLSTPDYLTPENQFPLKGFNSDMDKVEEDLVCPGAPKKPCNMKIKISRMDDGDALSSDDVEPVVEVDSDREPLVDFGSDFVRKKYSHKQRTGRYRSLSAMALMRRVTPPACVTNPYLKNISAGKREPFIYQRSTSPGLCPSLVGGRRGLSRYHNDFNEIEKIGSGNFGNVFKVLRRVDGCLYAVKQTSDQLCKNSEWKAYDEVQSLAAIGFQENIRGYYSSWIENKIHYIQMELCDHSLSMKSFPASLSQRYEIEVLYQISSALQFIHGKGIAHLDVKPENIYVKSGVYKLGGFGYATLLDDNVLVEAGDAQYMPLEILNENYDHLDKADIFSLGASMFDIIRRSRLPRAETEFSKLKEGKVPHLPGVTVQFQNLLKVMMDPDPLKRPSATEILGNSIFGGSLKMT >Vigun03g352200.1.v1.2 pep primary_assembly:ASM411807v1:3:55393279:55396814:-1 gene:Vigun03g352200.v1.2 transcript:Vigun03g352200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGNKVEVLSKVEVPCGSWLSAEIICGNGHHYTVKYDGYQDDAGEAIVERVSRRDIRPCPPVPVFMENWTPGDVVEVFQNFSWKMATVLKVLGKNYILVRLLGSSLEFQVSKFDIRLRQSWQDNKWIIVGKGSASCENRKRSSQLQKTATKTKLSGSTYYHPEKKNLSILESGLVSFKTLKRGSHSQVEAYAKPPPKFRALEKEGGCHRIRVRNSPTPFNQVQGVSFPRDVLAEECLPASINNRKTGIPNMVEIGRRKQTGAVGCSFEENLESNHADSVTCSVGSCSITSRNSYKLQFPVSAGPFEDVDGPYSDAESVCQRDYEEGNCSPPTQEELAAEIHRLELHAYRCTIEALHASGPLSWEQEALMTNLRLSLHISNDEHLTELRNLISSENSIPFR >Vigun06g152100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27638243:27638973:1 gene:Vigun06g152100.v1.2 transcript:Vigun06g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFMACHSQPKNQNRNPQIEGKESEVDTPVAVERMKSASKKRYIVVRRKLQHGEVYQLAPFILQSDMPLVPCWTTNNRKLKTRSVKIVVTAEELELLLRGSKKFQIQRRVARVRPSSGLRGCKKWFPSLPTIQEVHNY >Vigun11g088700.1.v1.2 pep primary_assembly:ASM411807v1:11:26670840:26671373:1 gene:Vigun11g088700.v1.2 transcript:Vigun11g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIELRVPNLDYEGCASKLKKALFKLKGVFLNKQLCVFFFLVCTVLLILLELQNETTVRSFMVPYLFLNFFFFFLYINHLS >Vigun03g047600.1.v1.2 pep primary_assembly:ASM411807v1:3:3795847:3799020:1 gene:Vigun03g047600.v1.2 transcript:Vigun03g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSQKILVTGGAGFIGTHTVVQLLKGGFTVSIIDNFDNSVMEAVDRVRQVVGPHLSQNLEFTQGDLRNRDDLEKLFSRTTFDAVIHFAGLKAVAESVAKPRRYFDFNLIGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCEEDFKLQAMNPYGRTKLFLEEIGRDIQKAEPEWKIILLRYFNPVGAHESGQLGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTRDGSAIRDYIHVMDLADGHIAALRKLFTSENIGCTAYNLGTGRGTSVLEMVAAFEKASGKKIPVKLCPRRPGDATEVYASTQRAETELGWKAKYGVEEMCRDQWNWAKNNPWGYTGKP >Vigun11g197200.1.v1.2 pep primary_assembly:ASM411807v1:11:39625901:39645548:-1 gene:Vigun11g197200.v1.2 transcript:Vigun11g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADISSVDASLWWDSFTVLLTELENSSLSSDLPPNLAKKLKDNHAWFVDTLSRFKPPNQSSKKALNSKTLKIGSHQLTVQPHLKDKALQISSILLLDEVQSYIFVERSIKHNDAVADSMAPEFLHMMLIQYYKERQCLLKCIRWILMHAIHNGPVSEDNTMKEEARKLFHDGLESKLISFFDNLLSCSYPEQMDVELFTLWAEETLIEDNLVLDILFLAYYDSFCTCSGEIWKKFGSLYKGILAGDYNLGKLAITTETQQLSYHAKVQLLLILIETLNLENILQMVHDEVPYRKGVSTFSMTDVQEMDALVSTFNAFEMNEAGPLVLAWAVFLYLLLTLLEKDENNELMEIDHISYVRQAYEAGSLRYCLEILECDILKDYDGPMSGYRSVLRTFISAFIASYEINLQPDDGNPTLILDILCKIYRGEESLCIQFWDKESFIDGPIRSLLCNLESEFPFRTAELVQLLSSLGEGTWPAECVYNFLNRSVGISSLFEVYGDSQIVEAQQVVQVPGVQGFFIPAGTRGRVLRVVGENTALVRWEYSSSGIFVLLLHLAQEMYLDNKDEVSFILDLLSRLVSFNTGICFAVMDISNSLQFDAVGLVNEQVEKRVWVVEIICNLIKKLPLNSGGAALMSMGIKILSVMLICSPSNVAVATLNANLFDMTLQTPMFNVGSNGLSSGSWLLSSKLARMLSIDCEQNSNDCPLAISVLDFTIRLVETGVEHDDLLALIIFSLQYVLVNHEYWKYKMKHIRWKITLKVLELMKKCISSTPNYGKMGEIIRNVLFSDSSIHNTLFQVVCTTSHALEKLHISRIFDPMEIEGLQLAISSVLDILSVMLTKLSKDTSLNFPVFLQAVFSCTTKPVPVVTSVLSLISYFQDPAIQYGAVRFISMLFAIADCIQPFSYGISCFVPDNEIMDLRQSLSYILLEQSVSNEDLFVATVNLFTSAAHYQPSFIVAIFAPEENTKDHLSIGNAKLQKEETSPIHAVSRKPSLIDALVHYIERADDLIKSNPRILLCVLNFMIALWQGAPLYANLLESLRRHGKFWEHLANAISNIASSGISSPTSVKEKDAFNLAYTFHCQSSILGIMAYELFLQRKLFHAESTVKDAAESKEKEQNVTRTEKSKATNLHDLNGIWSSLFNDSMLEKLIKSYTSYGYNNDIYNGAKVATSLFSVHVMMKLAVCDSGSLSVLLLQKIHEILAKLSIHPAFSELLSQYSQRGYSEGKELKKLILSDLYYHLQGELEGRKIGIGPFKELSQYLVESNFLGSYQHQFNKDAFTKNMFTKNVYLFDLAHLREDLRLDVWDCNNWRTSKEVAEVMLRFLQDANSVMLLSSSKLSALKGLIAVLAVNHDPQGRATAGGRISDELTFTFMDNICQSFLSNMEILSSVLDASEDILNFLACEVELIFQLTRTVSKSLSLDVSLLVLKCASSGLRVLGSLKPAPSEVNVIMKLLLTLLLSVLQSNSLNAHSGVVTKDNSGEDLSKVSNATLGLLPILCNCVMTSDHCMLSLSVMDLILRSFLTPRTWLPVLQNHLQLPVVMLKLHDRSSTSIPVIMKFFLTLARVRGGAEMLYCSGFLSSVRVLFAESGEDLSSISENLGGSCEKFVIPQDIWGLGLAVVTAIVKSLGDSSSGTAIVDSMIPYFFSEKARFIFNSLNAPDFPSDDRDKKRPRAQRAFISLATLKETEHTLMLMCELAKHWNSWIKAIRNVDRQLREKCIHLLAFISRGSQRLGELSSRNAPLLCPPTLKEDFEISSKPSFVSSKNGWFALSPLGCVPKAKISSFSTASTNGQATESTDLIPKTCFSDTVALQVYRIGFLLLKFLCLQTEGAAKRAEEVGFVDLAHFPELPMPEILHGLQDQAIAITTELCQANKQKVSPEIQDVCNLLMQILEMALHLELCVLQICRIRPVLGRVEDFSKEAKSLFSALEGHAFLKASRNSLKQMISCVYPGLLQAENFI >Vigun11g197200.2.v1.2 pep primary_assembly:ASM411807v1:11:39625968:39645530:-1 gene:Vigun11g197200.v1.2 transcript:Vigun11g197200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADISSVDASLWWDSFTVLLTELENSSLSSDLPPNLAKKLKDNHAWFVDTLSRFKPPNQSSKKALNSKTLKIGSHQLTVQPHLKDKALQISSILLLDEVQSYIFVERSIKHNDAVADSMAPEFLHMMLIQYYKERQCLLKCIRWILMHAIHNGPVSEDNTMKEEARKLFHDGLESKLISFFDNLLSCSYPEQMDVELFTLWAEETLIEDNLVLDILFLAYYDSFCTCSGEIWKKFGSLYKGILAGDYNLGKLAITTETQQLSYHAKVQLLLILIETLNLENILQMVHDEVPYRKGVSTFSMTDVQEMDALVSTFNAFEMNEAGPLVLAWAVFLYLLLTLLEKDENNELMEIDHISYVRQAYEAGSLRYCLEILECDILKDYDGPMSGYRSVLRTFISAFIASYEINLQPDDGNPTLILDILCKIYRGEESLCIQFWDKESFIDGPIRSLLCNLESEFPFRTAELVQLLSSLGEGTWPAECVYNFLNRSVGISSLFEVYGDSQIVEAQQVVQVPGVQGFFIPAGTRGRVLRVVGENTALVRWEYSSSGIFVLLLHLAQEMYLDNKDEVSFILDLLSRLVSFNTGICFAVMDISNSLQFDAVGLVNEQVEKRVWVVEIICNLIKKLPLNSGGAALMSMGIKILSVMLICSPSNVAVATLNANLFDMTLQTPMFNVGSNGLSSGSWLLSSKLARMLSIDCEQNSNDCPLAISVLDFTIRLVETGVEHDDLLALIIFSLQYVLVNHEYWKYKMKHIRWKITLKVLELMKKCISSTPNYGKMGEIIRNVLFSDSSIHNTLFQVVCTTSHALEKLHISRIFDPMEIEGLQLAISSVLDILSVMLTKLSKDTSLNFPVFLQAVFSCTTKPVPVVTSVLSLISYFQDPAIQYGAVRFISMLFAIADCIQPFSYGISCFVPDNEIMDLRQSLSYILLEQSVSNEDLFVATVNLFTSAAHYQPSFIVAIFAPEENTKDHLSIGNAKLQKEETSPIHAVSRKPSLIDALVHYIERADDLIKSNPRILLCVLNFMIALWQGAPLYANLLESLRRHGKFWEHLANAISNIASSGISSPTSVKEKDAFNLAYTFHCQSSILGIMAYELFLQRKLFHAESTVKDAAESKEKEQNVTRTEKSKATNLHDLNGIWSSLFNDSMLEKLIKSYTSYGYNNDIYNGAKVATSLFSVHVMMKLAVCDSGSLSVLLLQKIHEILAKLSIHPAFSELLSQYSQRGYSEGKELKKLILSDLYYHLQGELEGRKIGIGPFKELSQYLVESNFLGSYQHQFNKDAFTKNMFTKNVYLFDLAHLREDLRLDVWDCNNWRTSKEVAEVMLRFLQDANSVMLLSSSKLSALKGLIAVLAVNHDPQGRATAGGRISDELTFTFMDNICQSFLSNMEILSSVLDASEDILNFLACEVELIFQLTRTVSKSLSLDVSLLVLKCASSGLRVLGSLKPAPSEVNVIMKLLLTLLLSVLQSNSLNAHSGVVTKDNSGEDLSKVSNATLGLLPILCNCVMTSDHCMLSLSVMDLILRSFLTPRTWLPVLQNHLQLPVVMLKLHDRSSTSIPVIMKFFLTLARVRGGAEMLYCSGFLSSVRVLFAESGEDLSSISENLGGSCEKFVIPQDIWGLGLAVVTAIVKSLGDSSSGTAIVDSMIPYFFSEKARFIFNSLNAPDFPSDDRDKKRPRAQRAFISLATLKETEHTLMLMCELAKHWNSWIKAIRNVDRQLREKCIHLLAFISRGSQRLGELSSRNAPLLCPPTLKEDFEISSKPSFVSSKNGWFALSPLGCVPKAKISSFSTASTNGQATESTDLIPKTCFSDTVALQVYRIGFLLLKFLCLQTEGAAKRAEEVGFVDLAHFPELPMPEILHGLQDQAIAITTELCQANKQKVSPEIQDVCNLLMQILEMALHLELCVLQICRIRPVLGRVEDFSKEAKSLFSALEGHAFLKASRNSLKQMISCVYPGLLQAENFI >Vigun07g270200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38592572:38594522:1 gene:Vigun07g270200.v1.2 transcript:Vigun07g270200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGVAVNAVVMPTNNPPNVEDVTAMGKKKVKKEGEQKVGKSVVEDEERKESSRDMVGGFLQQQQGARDGGMMGWNWEENNQNIPWLRGVVDEQMSWGSTWVPAWDMDFLGGDAFTDLYNDVVWDDDIWNLNNQIPIPLDTKRFDWG >Vigun03g122900.1.v1.2 pep primary_assembly:ASM411807v1:3:11528325:11547616:-1 gene:Vigun03g122900.v1.2 transcript:Vigun03g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIPIQKIENLTNRQVTFCKRRNGLVKKTRELSILCDAEVGVIVFSCTGKLYEYSNTSMKSIIDRFNSHNENLGWLMDPTSSVKFWQREAASLREQLQHLQESNRQLMGEELSDLNMNQLKELENKLQTGLSNIQIKKDQMLKDEIKELQKKGMVIHQQNEELHKKINLIHENNVELRKVIEARDMEKEKATCYQQWI >Vigun05g101800.1.v1.2 pep primary_assembly:ASM411807v1:5:10100705:10110582:1 gene:Vigun05g101800.v1.2 transcript:Vigun05g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKANSKKQHGIDFKKIRRKIGKKLPPPKNTTNTEIKSKAIVLPEQSVAAEKAGLAVNKKGLTLKELLQQTSHHNPKVRRDALMGIKDLFARHPAEQKSHKYATVEKLRERIGDDDKVVRKSLYDLFKVVILPCCKDDNQELIVSLLMPYIFNAMTHLSADVRMMAFDFFDLMLEFYPPSFTPLYAEKIFLNYEHILKRNQYYLQEKGKLKDALSGLVRCLSLLPWNKAQTDLRNKDDTGRRVLHAFDDEMSTNSNGFSIIIKNLKDLVPPLINIFLEFIPSIHSMESLEGKSFACMASLLHSIDLIVRSIAYGTDKKSQSPSSQGGPDVADVSISSALLKKLFSLFPLNPTDHLSARDCDRLLDLNMVIAKIYFELNEWTSLPPHLLERFLEFFENALLGKFSWATQSAKTVWEEHLVQLLPFVSKFVSRGTGDWTSRLLQAFTLTFKESKPGSLLNACVSAIEDVLTYMESMIPTWTSNPEYIVLQEALRAWTGYLPLLLIQLGDNHPACSEAVLRLLHRIGQRAWNPALVCMYDNMQQSLQDFYCTYQEGGQISYGPFLRLPRESQVLSLCSLYYFSHLDQPILKSIVYCCLSDDLDSYVLFRIIEILHSAYREGHVEIADYLSFLITLVSRFKVPPEFGSSGFTSDPLRQTLMSMTAVVCSYIQQMGDNAIVLRLIEKLIIDQISQKPSLDNRYSLLRILVTVDSKPTRLSEQSIATLGHHLSEYLIDVVQCIPEDDEQRPPPDQFSLRFIYILPCFFMFDRCHKILNLVLKKMGSVIYDCSLLLNSDKSYQDVRNCVNKVNAATSVVLFMHKDVTIRQILSLFKENLDNVLEQVISLQSSGKISMKIEEHEIICALDRLKILSR >Vigun06g036500.1.v1.2 pep primary_assembly:ASM411807v1:6:15121682:15124974:-1 gene:Vigun06g036500.v1.2 transcript:Vigun06g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGRMGKGYALWLLFITQIFILVHFSACKVPAVIVFGDSSVDSGNNNFIPTVARSNFEPYGRDFFNGDPTGRFSNGRIPPDFVSEAFGIKLSVPAYLDPAYTISDFATGVCFASAGTGYDNATSNVARVIPLWKEVEYYKEYQEKLRAHLGEENANEILSEALYLVSIGTNDFLENYYALTERRCEFPSVQEYEDFLIGLAENFFTQIYGLGARKISLTGLPPMGCLPLERAMNVLEFHNCVEEYNNLALEFNGKLGWLVSKLNNDLPGLQMVDANVYDIFLQIVKNPSAFGFEEAKTGCCGTGRFEMGFLCDPKFTCQDANKYVFWDAFHPSQKTSQIISNYLIEKHLAMFL >Vigun03g169200.1.v1.2 pep primary_assembly:ASM411807v1:3:19929489:19942498:-1 gene:Vigun03g169200.v1.2 transcript:Vigun03g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIRIVLGVATFLIFNHAESASEHSLPRVVTPLPAPKITNLPQFQGQHKESLYWGTYRPQVYLGVRARTPKSLIAGLMWIVVKNSKYHLRHVCKHEDDLSTYGWTKHNGRDFGHQVLLDQGVALTTAFLKSKEEGSGYGGDWAIRISVQADKSKWNEEFGTGAHLFFYIADEGANALNLSRQNLNTLEDSLLASGSHADIGDWQLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLSSQIRKNAPPLLSDSSDDSPNVLVFQIIGGFSFTTDVIFISGTHSESSRVEERVSSLSGTSLYNQLKYKEKAFDEKFEEIFNLEEKVDSESISVGKAAVGNLLGGIGYFYGQSKIAVSRTDNLRDHVNYISYWPAELYTAIPCRPSFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNADGWIPREQILGDEALSRVPEEYVPQHPTNGNPPTLFLALSEIINGLKNNEFTAMDKTDILVFLDRAFVRLEAWFQWFHTTQSGKQMSSYYWHGRDNKTIYELNPKTLSSGFDDYPRASHPTADERHVDLRCWILLAAECLHSIEELLYKETKPGMNYGSTAQLLSDIELLNQMHFDDAYGAYFDFGNHTEKVQLKWKDVDIGHNHADRQLVRDVLERPVLRLVPHIGYVSLFPFMGKIIPSGSWILEKQLQLISNDSLFWTDYGLRSLATTSSLYMKFNSESEGPYWRGQIWMNINYRILSALHHYSKENGPYQDRAKAIYKQLRSNLIRNIVRNYKETGFLWEQYDQNSGEGKGSHPFTGWTSLVVLVMAEEYDRV >Vigun03g169200.4.v1.2 pep primary_assembly:ASM411807v1:3:19929490:19938677:-1 gene:Vigun03g169200.v1.2 transcript:Vigun03g169200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVVKNSKYHLRHVCKHEDDLSTYGWTKHNGRDFGHQVLLDQGVALTTAFLKSKEEGSGYGGDWAIRISVQADKSKWNEEFGTGAHLFFYIADEGANALNLSRQNLNTLEDSLLASGSHADIGDWQLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLSSQIRKNAPPLLSDSSDDSPNVLVFQIIGGFSFTTDVIFISGTHSESSRVEERVSSLSGTSLYNQLKYKEKAFDEKFEEIFNLEEKVDSESISVGKAAVGNLLGGIGYFYGQSKIAVSRTDNLRDHVNYISYWPAELYTAIPCRPSFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNADGWIPREQILGDEALSRVPEEYVPQHPTNGNPPTLFLALSEIINGLKNNEFTAMDKTDILVFLDRAFVRLEAWFQWFHTTQSGKQMSSYYWHGRDNKTIYELNPKTLSSGFDDYPRASHPTADERHVDLRCWILLAAECLHSIEELLYKETKPGMNYGSTAQLLSDIELLNQMHFDDAYGAYFDFGNHTEKVQLKWKDVDIGHNHADRQLVRDVLERPVLRLVPHIGYVSLFPFMGKIIPSGSWILEKQLQLISNDSLFWTDYGLRSLATTSSLYMKFNSESEGPYWRGQIWMNINYRILSALHHYSKENGPYQDRAKAIYKQLRSNLIRNIVRNYKETGFLWEQYDQNSGEGKGSHPFTGWTSLVVLVMAEEYDRV >Vigun03g169200.2.v1.2 pep primary_assembly:ASM411807v1:3:19929514:19942419:-1 gene:Vigun03g169200.v1.2 transcript:Vigun03g169200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIRIVLGVATFLIFNHAESASEHSLPRVVTPLPAPKITNLPQFQGQHKESLYWGTYRPQVYLGVRARTPKSLIAGLMWIVVKNSKYHLRHVCKHEDDLSTYGWTKHNGRDFGHQVLLDQGVALTTAFLKSKEEGSGYGGDWAIRISVQADKSKWNEEFGTGAHLFFYIADEGANALNLSRQNLNTLEDSLLASGSHADIGDWQLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLSSQIRKNAPPLLSDSSDDSPNVLVFQIIGGFSFTTDVIFISGTHSESSRVEERVSSLSGTSLYNQLKYKEKAFDEKFEEIFNLEEKVDSESISVGKAAVGNLLGGIGYFYGQSKIAVSRTDNLRDHVNYISYWPAELYTAIPCRPSFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNADGWIPREQILGDEALSRVPEEYVPQHPTNGNPPTLFLALSEIINGLKNNEFTAMDKTDILVFLDRAFVRLEAWFQWFHTTQSGKQMSSYYWHGRDNKTIYELNPKTLSSGFDDYPRASHPTADERHVDLRCWILLAAECLHSIEELLYKETKPGMNYGSTAQLLSDIELLNQMHFDDAYGAYFDFGNHTEKVQLKWKDVDIGHNHADRQLVRDVLERPVLRLVPHIGYVSLFPFMGKIIPSGSWILEKQLQLISNDSLFWTDYGLRSLATTSSLYMKFNSESEGPYWRGQIWMNINYRILSALHHYSKENGPYQDRAKAIYKQLRSNLISTQL >Vigun03g169200.5.v1.2 pep primary_assembly:ASM411807v1:3:19929538:19942410:-1 gene:Vigun03g169200.v1.2 transcript:Vigun03g169200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIRIVLGVATFLIFNHAESASEHSLPRVVTPLPAPKITNLPQFQGQHKESLYWGTYRPQVYLGVRARTPKSLIAGLMWIVVKNSKYHLRHVCKHEDDLSTYGWTKHNGRDFGHQVLLDQGVALTTAFLKSKEEGSGYGGDWAIRISVQADKSKWNEEFGTGAHLFFYIADEGANALNLSRQNLNTLEDSLLASGSHADIGDWQLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLSSQIRKNAPPLLSDSSDDSPNVLVFQIIGGFSFTTDVIFISGTHSESSRVEERVSSLSGTSLYNQLKYKEKAFDEKFEEIFNLEEKVDSESISVGKAAVGNLLGGIGYFYGQSKIAVSRTDNLRDHVNYISYWPAELYTAIPCRPSFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNADGWIPREQILGDEALSRVPEEYVPQHPTNGNPPTLFLALSEIINGLKNNEFTAMDKTDILVFLDRAFVRLEAWFQWFHTTQSGKQMSSYYWHGRDNKTIYELNPKTLSSGFDDYPRASHPTADERHVDLRCWILLAAECLHSIEELLYKETKPGMNYGSTAQLLSDIELLNQMHFDDAYGAYFDFGNHTEKVQLKWKDVDIGHNHADRQLVRDVLERPVLRLVPHIGYVSLFPFMGKIIPSGSWILEKQLQLISNDSLFWTDYGLRSLATTRV >Vigun03g169200.3.v1.2 pep primary_assembly:ASM411807v1:3:19929490:19938745:-1 gene:Vigun03g169200.v1.2 transcript:Vigun03g169200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVVKNSKYHLRHVCKHEDDLSTYGWTKHNGRDFGHQVLLDQGVALTTAFLKSKEEGSGYGGDWAIRISVQADKSKWNEEFGTGAHLFFYIADEGANALNLSRQNLNTLEDSLLASGSHADIGDWQLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLSSQIRKNAPPLLSDSSDDSPNVLVFQIIGGFSFTTDVIFISGTHSESSRVEERVSSLSGTSLYNQLKYKEKAFDEKFEEIFNLEEKVDSESISVGKAAVGNLLGGIGYFYGQSKIAVSRTDNLRDHVNYISYWPAELYTAIPCRPSFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNADGWIPREQILGDEALSRVPEEYVPQHPTNGNPPTLFLALSEIINGLKNNEFTAMDKTDILVFLDRAFVRLEAWFQWFHTTQSGKQMSSYYWHGRDNKTIYELNPKTLSSGFDDYPRASHPTADERHVDLRCWILLAAECLHSIEELLYKETKPGMNYGSTAQLLSDIELLNQMHFDDAYGAYFDFGNHTEKVQLKWKDVDIGHNHADRQLVRDVLERPVLRLVPHIGYVSLFPFMGKIIPSGSWILEKQLQLISNDSLFWTDYGLRSLATTSSLYMKFNSESEGPYWRGQIWMNINYRILSALHHYSKENGPYQDRAKAIYKQLRSNLIRNIVRNYKETGFLWEQYDQNSGEGKGSHPFTGWTSLVVLVMAEEYDRV >Vigun07g028000.2.v1.2 pep primary_assembly:ASM411807v1:7:2547973:2555207:1 gene:Vigun07g028000.v1.2 transcript:Vigun07g028000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIAIELEAAQQQQEQETKFLSSSLNIMASSTVKTTNSTTQLEPLPPHLHHQQPPHHFHHHHHHHQIPDQQISFGMMPSSSSHSIPANYLSKDSGAYDLGELDQAFFLYLDGQADPSSVQDQRQNSSSGMRPPPTLNIFPSQPMHAVPPPNSKVSMELPSTQTSGSKRPGSSITKPNPHTEAPSAPEPPKAVKREGNRKGATSSSEQEAPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLNQLEQELQRARAQGILMGGNALLGGDQGFPMAMTGISSEAAMFDVEYTRWLEEHQRIVCELRGAVQEHLHENELRLYVDNCLAHYDQVMNLKSIVAKTDVFHLVFGMWKTPAERCFMWIGGFRPSELIKIILGQIEPLTEQQILGICGLQQSTQEAEEALSQGLDALNQSLSDTLTSDSLWCPPNMTNYMGQMAVAMNKLSTLESFARQADNLRHQTIHRLQQLLTTRQAARCLVAISEYFHRLRALSSLWFTRPRQD >Vigun07g028000.4.v1.2 pep primary_assembly:ASM411807v1:7:2549388:2555028:1 gene:Vigun07g028000.v1.2 transcript:Vigun07g028000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPTLNIFPSQPMHAVPPPNSKVSMELPSTQTSGSKRPGSSITKPNPHTEAPSAPEPPKAVKREGNRKGATSSSEQEAPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLNQLEQELQRARAQGILMGGNALLGGDQGFPMAMTGISSEAAMFDVEYTRWLEEHQRIVCELRGAVQEHLHENELRLYVDNCLAHYDQVMNLKSIVAKTDVFHLVFGMWKTPAERCFMWIGGFRPSELIKIILGQIEPLTEQQILGICGLQQSTQEAEEALSQGLDALNQSLSDTLTSDSLWCPPNMTNYMGQMAVAMNKLSTLESFARQADNLRHQTIHRLQQLLTTRQAARCLVAISEYFHRLRALSSLWFTRPRQD >Vigun07g028000.3.v1.2 pep primary_assembly:ASM411807v1:7:2548236:2555028:1 gene:Vigun07g028000.v1.2 transcript:Vigun07g028000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIAIELEAAQQQQEQETKFLSSSLNIMASSTVKTTNSTTQLEPLPPHLHHQQPPHHFHHHHHHHQIPDQQISFGMMPSSSSHSIPANYLSKDSGAYDLGELDQAFFLYLDGQADPSSVQDQRQNSSSGMRPPPTLNIFPSQPMHAVPPPNSKVSMELPSTQTSGSKRPGSSITKPNPHTEAPSAPEPPKAVKREGNRKGATSSSEQEAPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLNQLEQELQRARAQGILMGGNALLGGDQGFPMAMTGISSEAAMFDVEYTRWLEEHQRIVCELRGAVQEHLHENELRLYVDNCLAHYDQVMNLKSIVAKTDVFHLVFGMWKTPAERCFMWIGGFRPSELIKIILGQIEPLTEQQILGICGLQQSTQEAEEALSQGLDALNQSLSDTLTSDSLWCPPNMTNYMGQMAVAMNKLSTLESFARQADNLRHQTIHRLQQLLTTRQAARCLVAISEYFHRLRALSSLWFTRPRQD >Vigun10g122966.1.v1.2 pep primary_assembly:ASM411807v1:10:33094780:33096932:1 gene:Vigun10g122966.v1.2 transcript:Vigun10g122966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRFGQVLYQEIDWLLLSWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAELISTTVNSTFLLHLQYLTLQSSSSYFIHSCSICIVHYGFAACFFSSLLVYCIICWSDSDMNDLE >Vigun06g021700.1.v1.2 pep primary_assembly:ASM411807v1:6:10180346:10181044:-1 gene:Vigun06g021700.v1.2 transcript:Vigun06g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKVTFIFSLFFYGSVVGFQYWTIAQTWPAGFCTHNVCDATKPIMLKFTIHGLWPSNYIRRHPSGCTTSDLNTSLINGIVPVLDQDWPNYTINNSKFWDYEWKKHGSCSNMIPFDYFTLALDIYTRNDLQQILKHANVLHGNTYSINKVITAIQTSRVSVLPQLSCRKGDLVEIKLCLNKNPIPQYINCPPPPQNTACPTNVNFV >Vigun05g271300.1.v1.2 pep primary_assembly:ASM411807v1:5:46264235:46265868:-1 gene:Vigun05g271300.v1.2 transcript:Vigun05g271300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVSERVALWGSERRFSSSNLNYNRPVTVCGLRSGYKARRRTRILSKESIQVIHALKLAKSPDHVLDAKLSRLLKPDALNLFDELLRQNELSLSLKVFHFIREEVGHDTLLQLYADMILLLGRNMKIDMAEELFSQVSEKGLKPDTRMCGEMIGAYLQAGMTEKAMEIYGSMKEWGCSPDKFIFTVLITNLERNEQQQHQQLVESLKQDCFHYVEFPDKFLQQLQQDKPRKRRVDLV >Vigun11g089700.1.v1.2 pep primary_assembly:ASM411807v1:11:27003570:27004904:-1 gene:Vigun11g089700.v1.2 transcript:Vigun11g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNQFYHISLTLLFCMGFWGFQVTCRTLQDVSMYERHEEWMSRYGIVYKDPQEREKRFRIFKQNVNYIEASNNAANKPYQLGTNQFADLTNEEFVARRNRFKGHMCSSIIRSTTFKYENVTAVPTTVDWRQKGAVTPVKNQGQCGCCWAFSAVAATEGIHALTTGKLISLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIKNHGLNTEANYPYKGVDGKCNAKAEAIDAATITGYEDVPVNNEDALEKAVANQPVSVAIDASGSDFQLYKSGVFTGSCGTELDHGVTAVGYGVSDDGRKYWLVKNSWGTEWGEEGYIRMQRGAAAEEGLCGIAMMASYPTA >Vigun09g054900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5502645:5503067:1 gene:Vigun09g054900.v1.2 transcript:Vigun09g054900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPLDSVFSFLEETVWFLDFANMGTSLLLRSHDCLRGRDALSLNSSSIRSQENPNPNPMPYETRRRRLYVGDHSGMVVKAHDTNLVMGQVKILKRGEKLSPVNTRSSDDGFDLDLGSTNRLGPDPSSVPVPGFLALRR >Vigun08g075300.1.v1.2 pep primary_assembly:ASM411807v1:8:13057963:13060601:-1 gene:Vigun08g075300.v1.2 transcript:Vigun08g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVPACYNVSIVNPNQFWKTDKVLKTELPILAIQVAFVAVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPILVAPCLYALFRNVYGHVMMFPLEESTNNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKAALTAAMIGDTYGWILFTLFVPFSINGKGAIYTVLSTITFVVVCIFVVRPLIQWFIDRKEDKDEWNDNQLLFIIMGVLACSCISDFLGAHAIVGAFVFGLILPHGKFAELVMSISDDFVSGFLTLIAIFSQESWPFIVVIILLLCALKILSTLFVTFFFGMRIQDGWTLGLILNNKGTMALIMLNIAWDRTIFSIPTYSVITSAVLLMTIVVSPVINAIYKPRQIFEQNKLKTIQKLRVDAELRFIACVHNTRQAASMISIIECFNATRVSPLHIFALYLVELTRRAAALVAAHIGKPRSQLGEQNLTRSQEELESIHNTCDALGEAYDAVRVETLNVVSAYTTIHENIYHSADENRTSLILLPFHKQLGLEGTLEVTSVAYKDINQNVMQGAPCSVGIFVDRDFGLVPKMNLHIRVVFVGGPDDREALAIAWRMAGRSGTKLSVVRILLLSEAAKVDASVHDEAQGILSAIIDTDRQKELDEEYISTFILTVVNNSDSISYSEIDVHSGEDIPAVLNEIEKLGCDIYIVGQGNCRNSKVFSNLMEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMAFGNNLNQKATNKGTFESIV >Vigun01g140550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32136357:32136554:1 gene:Vigun01g140550.v1.2 transcript:Vigun01g140550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNRMILLAFLLIIFTIFAGFPEFGEACRTLQEDQWLRQNNGILLQALPRGPVKPSSPDPVHT >Vigun06g064900.1.v1.2 pep primary_assembly:ASM411807v1:6:19339011:19344334:1 gene:Vigun06g064900.v1.2 transcript:Vigun06g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIRQSATESPNPKPEVGEIDTSPPFQSVKDAVSLFGEGAFSGEKPIIKKAKPYSAERVLAKETQLHVAQKELNKLREQVKNAETTKVQALVELERAKRTVEDLTQKMKVIGDSRELAIKTTEAAKSQAKKLAEEKHGIPDATGSAWKEELDSAVKRYAAVMTELDAAKQVLSKTRQEYDSCLDAKKSAFKRAAEAEDGLKENTERACEISKEISSVKESIEQAKLASIAAHQQQAMILAEKDVLRQSYKATLEQSEKQLLALKKEFSPELSKNLEMQLEERMSEIGALQKEMENKRTSDLDSVKSVTLELDDAKGSLQKVADEESSLKSLVESLKVELENVKKEHSELKEKESETESIVGNLHVKLRKSKSELEVCMSEESKVRGVSEEMILTLSQLTSETENARREAEDMKKKTEELKKEAEVTGLALAEAERKLKVALEEAEAAKAAEKRALDQITVLTERTTAARASTSESDAVITISKDEFDSLSHKVEESDKLADMKVAAAKAQVEAVKASENEALKKLETTQKEIEDIKAATQEALKKAEMAEAAKRAVESELRRWREREQKKAADAASRILAETQVSTESSPQHYRIQKQNPPHTTTQVRKFEKEKVSVSKKALLPNISGIFHRKKNQVEGGSPSYLPGENPL >Vigun08g049200.5.v1.2 pep primary_assembly:ASM411807v1:8:5507508:5511484:-1 gene:Vigun08g049200.v1.2 transcript:Vigun08g049200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLKNPLYTSPEPCQHLAEYKLKHGFNGYKAIQKLLVTSPIGKTSVKKPNTKAPRCSFCNGCEGRLYLCLICSSFSCLDHTILHPQSETGHAVFVDIERAELYCGVCCDQLYDPDFDQVVMSKHSTGLTSGATGNESIGQRLIKRRRLVSGVGLNFQKSKCGVSTKDVRAKSCYPMGLRGLNNLGSTCFMNSVLQVLLHAPPVRDYFLSGGHRLENCHRRRTTDLMCLLCEVNAIFSAVYSGDQNPYTPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKARNGSKGNEDCQCIAHRVFYGLLRSDVTCMTCGFTSTTYDPCLDISLNLNTSVSSTEKGKKLTKRNDDGSMSTLSGCLDLFTRPEKLGSDQKLYCQNCQEKQDSLKQMSIRKLPLVLSLHVKRFEHSFVKKSSRKIDRYLHFPFSLDLSPYLSSSILRARYGNRIYSFGGDESDMFSEFEIFAVVTHSGTLESGHYVSFVRIRNQWYKCDDAWITEVDEATVRASQCYMIFYAQKTLYNKANEDQSHTPNSPGRELYFPIAGCC >Vigun08g049200.3.v1.2 pep primary_assembly:ASM411807v1:8:5507507:5511484:-1 gene:Vigun08g049200.v1.2 transcript:Vigun08g049200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHSTGLTSGATGNESIGQRLIKRRRLVSGVGLNFQKSKCGVSTKDVRAKSCYPMGLRGLNNLGSTCFMNSVLQVLLHAPPVRDYFLSGGHRLENCHRRRTTDLMCLLCEVNAIFSAVYSGDQNPYTPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKARNGSKGNEDCQCIAHRVFYGLLRSDVTCMTCGFTSTTYDPCLDISLNLNTSVSSTEKGKKLTKRNDDGSMSTLSGCLDLFTRPEKLGSDQKLYCQNCQEKQDSLKQMSIRKLPLVLSLHVKRFEHSFVKKSSRKIDRYLHFPFSLDLSPYLSSSILRARYGNRIYSFGGDESDMFSEFEIFAVVTHSGTLESGHYVSFVRIRNQWYKCDDAWITEVDEATVRASQCYMIFYAQKTLYNKANEDQSHTPNSPGRELYFPIAGCC >Vigun08g049200.2.v1.2 pep primary_assembly:ASM411807v1:8:5507507:5511484:-1 gene:Vigun08g049200.v1.2 transcript:Vigun08g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHSTGLTSGATGNESIGQRLIKRRRLVSGVGLNFQKSKCGVSTKDVRAKSCYPMGLRGLNNLGSTCFMNSVLQVLLHAPPVRDYFLSGGHRLENCHRRRTTDLMCLLCEVNAIFSAVYSGDQNPYTPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKARNGSKGNEDCQCIAHRVFYGLLRSDVTCMTCGFTSTTYDPCLDISLNLNTSVSSTEKGKKLTKRNDDGSMSTLSGCLDLFTRPEKLGSDQKLYCQNCQEKQDSLKQMSIRKLPLVLSLHVKRFEHSFVKKSSRKIDRYLHFPFSLDLSPYLSSSILRARYGNRIYSFGGDESDMFSEFEIFAVVTHSGTLESGHYVSFVRIRNQWYKCDDAWITEVDEATVRASQCYMIFYAQKTLYNKANEDQSHTPNSPGRELYFPIAGCC >Vigun08g049200.6.v1.2 pep primary_assembly:ASM411807v1:8:5507508:5511484:-1 gene:Vigun08g049200.v1.2 transcript:Vigun08g049200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLKNPLYTSPEPCQHLAEYKLKHGFNGYKAIQKLLVTSPIGKTSVKKPNTKAPRCSFCNGCEGRLYLCLICSSFSCLDHTILHPQSETGHAVFVDIERAELYCGVCCDQLYDPDFDQVVMSKHSTGLTSGATGNESIGQRLIKRRRLVSGVGLNFQKSKCGVSTKDVRAKSCYPMGLRGLNNLGSTCFMNSVLQVLLHAPPVRDYFLSGGHRLENCHRRRTTDLMCLLCEVNAIFSAVYSGDQNPYTPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKARNGSKGNEDCQCIAHRVFYGLLRSDVTCMTCGFTSTTYDPCLDISLNLNTSVSSTEKGKKLTKRNDDGSMSTLSGCLDLFTRPEKLGSDQKLYCQNCQEKQDSLKQMSIRKLPLVLSLHVKRFEHSFVKKSSRKIDRYLHFPFSLDLSPYLSSSILRARYGNRIYSFGGDESDMFSEFEIFAVVTHSGTLESGHYVSFVRIRNQWYKCDDAWITEVDEATVRASQCYMIFYAQKTLYNKANEDQSHTPNSPGRELYFPIAGCC >Vigun08g049200.4.v1.2 pep primary_assembly:ASM411807v1:8:5507508:5511484:-1 gene:Vigun08g049200.v1.2 transcript:Vigun08g049200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLKNPLYTSPEPCQHLAEYKLKHGFNGYKAIQKLLVTSPIGKTSVKKPNTKAPRCSFCNGCEGRLYLCLICSSFSCLDHTILHPQSETGHAVFVDIERAELYCGVCCDQLYDPDFDQVVMSKHSTGLTSGATGNESIGQRLIKRRRLVSGVGLNFQKSKCGVSTKDVRAKSCYPMGLRGLNNLGSTCFMNSVLQVLLHAPPVRDYFLSGGHRLENCHRRRTTDLMCLLCEVNAIFSAVYSGDQNPYTPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGKARNGSKGNEDCQCIAHRVFYGLLRSDVTCMTCGFTSTTYDPCLDISLNLNTSVSSTEKGKKLTKRNDDGSMSTLSGCLDLFTRPEKLGSDQKLYCQNCQEKQDSLKQMSIRKLPLVLSLHVKRFEHSFVKKSSRKIDRYLHFPFSLDLSPYLSSSILRARYGNRIYSFGGDESDMFSEFEIFAVVTHSGTLESGHYVSFVRIRNQWYKCDDAWITEVDEATVRASQCYMIFYAQKTLYNKANEDQSHTPNSPGRELYFPIAGCC >Vigun09g134000.1.v1.2 pep primary_assembly:ASM411807v1:9:29345946:29348806:1 gene:Vigun09g134000.v1.2 transcript:Vigun09g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPARLRIQPADVKAAAMWGVAAATGGLYLVQPWGWLKKTFLEKPQPEQK >Vigun05g004800.1.v1.2 pep primary_assembly:ASM411807v1:5:397983:400856:-1 gene:Vigun05g004800.v1.2 transcript:Vigun05g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSREENVYMAKLAEQAERYEEMVEFMEKVAKTVEVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAIIKEYRGKIETELSKICDGILNLLESNLIPSAQSPESKVFYLKMKGDYHRYLAEFKTGAERKDAAESTLLAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDDAGEEIKETTKQPAE >Vigun04g154300.1.v1.2 pep primary_assembly:ASM411807v1:4:37339177:37347191:-1 gene:Vigun04g154300.v1.2 transcript:Vigun04g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKATRKGGKEAARTKKDLGLQHKLKSSEVPKMKKPALKVALVPDNVGKPTVKLEKVAVQDGTCSWENPIFESVKFVRDAKSGKLQEKIYHFVVSTGSSKSGFLGESSIDFADFAAETEPLTVSLPLKFANSGAILHVTIQNVEGVQRDQSTFRNGEDYGNGSSRHLLSICSADENSRNVYEDFPKLLPPLRQNSMPCKGTIEAIATRAQMHRGSLGSASDRSLGDSWRNSLEDTHPRETLQEPSDNVIENLRSEIASLKRKAEESELELQSLQKLMEKECSRGQSMSRQIISLRDERNMIKTKYEQLMSQQNLINETKNSKALQTEIEQARQQLEAIKEELAYEKEFSSNLKLQLQKTQYSNSELLLAVGELEGMLEHKNKKLLDKTKEHDDATELGHLKQKIADLNGEIDIYYKQFDELNEQIKELNFECEHLKKENLDISLRLNHREAKQIALQNKYSASLATIKQLESQVQRLEEKIGKQVDDFSGSLIYINELENQVSDLKREMKVQEEDYQKDFQAMKCAKLEQEEQAIQAEETLIKTIHNNDLACQRFEDEYRSLSAEMTL >Vigun03g430600.1.v1.2 pep primary_assembly:ASM411807v1:3:63502624:63506397:1 gene:Vigun03g430600.v1.2 transcript:Vigun03g430600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMKLVLFSALLLFSLQTGVSVTPLRFQHPLDPLTKEEITLVQTIVHRKYPTSNNTLSFNYIGLDDPHKDTILKWESTKPTTTTLPRKAFAIAIINTQTHQILIDLQTKTVLSDNIHNGNGFPTLSGDKLAVAIDLTLKYGPFKDSIKKRGLNLSEVVCSTFTLGWFGETQSRRTVRVECFMKESTPNIWVRPITGLTIVVDLDLMKIVEYHDRAIVPVPTTDNTEYRLSHLNPPFGPKQHSLATHQPQGPGFQINGYSVSWANWKFHIGFDPRAGLVISLASIYDLEKHRSRRVLYKGYISEQFVPYQDPTDDFYYKTFFDAGEFGFGLSTVSLVPNRDCPSNAQFLDVYVHAADGTPVLISNAICVFEKYGSILWRHTETGIPNESFAESRTEVSLVVRTIVSYDNIIDWEFKTSGSIKPAIALSGILEIKGVDMKHKKEIKSDEHGTLVSKNRIGVYHDHFFIYYLDLDIDGVYNSFEKTSLKTVRVTDGSSKRKSYWTTETETAESENDAKIRIGSTPGELSVVNPNKKTSVGNDVGYRLIPAIPVHSLLTDDDYPQIRGAFTNFDVWVTPYNRTEKWAGGLYMDHSHGDDTLAVWTKKNRDIKNKDIVVWHVVGIRHVPSQEDFPVMPLLSTAFELRPTNFFERNPALKTPSPRDVQWPGCRS >Vigun04g086400.1.v1.2 pep primary_assembly:ASM411807v1:4:14635454:14638658:1 gene:Vigun04g086400.v1.2 transcript:Vigun04g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMAYMFKYDTVHGQFKNCEIKIKDSKTLLFGSSSVNVYGIRNPEEIPWGESGADFIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKSDITVVSNASCTTNCLAPLAKVINDKFGIVEGLMSTVHSITATQKTVDGPSMKDWRGGRAASCNIIPSSTGAAKAVAKVLPALNNKLTGMAFRVPTVDVSVVDLTVRLEKGASYDEIKAAVKEASEGSMKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIQLNNNFVKLVTWYDNEWGYSTRVVDLIRHMASV >Vigun09g067800.1.v1.2 pep primary_assembly:ASM411807v1:9:7229690:7234149:1 gene:Vigun09g067800.v1.2 transcript:Vigun09g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCESGQASRKSKAAESPRVRPPLVLAEKNNATTTATTRRSTTREVSSRYRSPTPATPSSTRRCPSPNLTRTTSASTSSTTSSTSQLFPKRSLSAERKRPSTPPSPTARRPSTPTNDSSGDGKLLSRRVAGTGSRLPDSLWPSTMRSLSVSFQSDTISIPVSKKEKPVASASDRTLRPSSNVAAAAHKQAETPTGRKPTPERKRSPLRGKNASDQSENSKPVVDSLSSRLIDQHRWPSRLSGKVFSNALNKSFDCAAMNNTSVSSPRRLSISDESCKPLQRSSSDAVRLVSLVGSGRVRSVDDCSPRELRPHKSASATTIALAGVRSQSFSTTPGSRVSSPSKTSVLSSSTSRGVSPSRSRPSTPPSRGASPSRIRPTNSSIRSNNSISVLSFIADFKMGKKSASYIEDAHQLRLLYNRYLQWRFANARAEDVLYIQNAIVEKTLYNVWITSLSLWESVLRKRINLQQLKLDLKLNSVLNDQLMTYLDDWAVLERDHIDALSGAVEDLEASTLRLPVTGGEIADIEHLKVAICQAVDVMQAMGSGICSLLSRVEGMNSLISEVAVIAVQEKTMLHECEMLMASVAAMQVEESSLLTHLMQIK >Vigun05g246900.12.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.7.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTECCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.9.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035077:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.15.v1.2 pep primary_assembly:ASM411807v1:5:44015568:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.18.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTECCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.2.v1.2 pep primary_assembly:ASM411807v1:5:44008347:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.5.v1.2 pep primary_assembly:ASM411807v1:5:44008347:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.4.v1.2 pep primary_assembly:ASM411807v1:5:44008347:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.14.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.8.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTECCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.10.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035077:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.16.v1.2 pep primary_assembly:ASM411807v1:5:44015568:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.13.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.6.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035077:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.1.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035077:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.11.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKADRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.3.v1.2 pep primary_assembly:ASM411807v1:5:44008347:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTELEDGDSIAASMLQGSKINNEKEAGLITNSINSVTQLKEKLSSHNPYRGKSDSVRFVEYWVPVQISNLQLEQYCSILLSNASILRSSSKVDSIEAVRDVLILTRKCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun05g246900.17.v1.2 pep primary_assembly:ASM411807v1:5:44015080:44035072:1 gene:Vigun05g246900.v1.2 transcript:Vigun05g246900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFSHSAKDEENKYGRVTQNAEKGKKQLHPDVSDATGLRRSPRETSSRRIISGPSSIRRSGQFKKGVVTRIPVDKKRSELVGKKNMPSPLRRSGRTGSYSSTSHSDSKSSGSLNSNPKPKKEKSVRQLTFEAKEVKENEEQDLGTPQVEVRRMNARMYRSFFELPKEVPERMDKSNEGGKNIPDKIDQGFEGCHSDREVSKNGALPSKDGKLKEMRVSSRLSGPVKDLVENTVTLDSMAPSNAATYEAGLTSERILDEDLIRNTVRDDRGKKAIPSESKEITGGVNTDVSATLAKGDNSNVISDSGGLSRISGKIMETDGPSNATVFETGLVSERVQPDRCREEAFPSSNSKNGVQLPEDGKSKEMRVDHGLSDPMKDLVENTMSLGSSAPSDAATFEIGLVPKSIQPEDLIGNSVGYDSSVDKLVPSKTKRIMVDMDSDVSATLAEGNNCNSVPNCSSPSVLGGNIMGTDGSHSKRIRLDDNPIGSESCNPSTTECCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQYIGSSGRVIGNYLEDLLRQRFGPDSYERIDKNILPSKRQAAMKKFNDKNNKRFVFLLETCACLPSIKLSSVDSIIIFDSDWNPMNDIRSLQKITLDSQFDFIKIFRLYSTFTVEEKALILAKQCKSVDFSLQNMNWSTSHMLLMWGASCLFDELKAFHDGETYASNAKSLFGRPFLKEAMDEFSSLLSQDGEHIDSSNSSILLKVQQNGATYHGNFSLHGELKLGSLDEEPSQFFWTKLLDGKQFQWKYLNNSSQRSRKKVHHFEGSVNGPDNVTEGAAKKRRKVNIVDPPSSKFEDEKLPAGSKAGTPRDLVDRSQGDNVESERKSGLHDEQSSLHILLKPEIIKLCDFLLLPDKVKSMIEKFLEYVINNHDVNREPFSILQAFQLSLCWTAASLLKHKLDPIAYLIKELNFGCKKEEVDYIYSMLRCLKKIFLYRTGSYNDTDSPKASEPSDGICTRVEQEVELFKKDMSKSIKEIQKKCKKKLKKLCLLQDEEKQKLKEDIEEEEAKFEEWYKLQSAVIRSCSPNDVIRMEKLRVLNIEYEKGIEERKCQHETQLKDLEAKQSADKQKFLEKEAAWVEDVESWAQNELLKIVASKERGTGVESFQTYGQVQPDNGLKNHFAEGKGHDDKVKAITETVAENSPLSDERIANRAAVSLLGRKELLRQQGIINTTDSPENDGAVNLPSSMEQESDGGAVNEVSYRELRLSNGPDNNTHSSPWHENSGDSSSILNGHIPVDEQETRNELDTVCIFVDVPPETSGMVITECPQDASPLNPPSSMTQMSDKGRLEVPCLDRVLSPRTCQAACSGDEGPNNMSISNPLLEQQTTDVPLSIPAAADCVDDIERLTSAVLVAKRTTSEEQEGAPKTMAELSQEPPVSRTFNVTDLQEQVGQLSIGSTHDHEKFKELQHSSEQPELVPSTVDVVPAGQSNQASLIVKPVNQVQQLLSAELPSSHLNNFCLATEVEHQPTVAPNQDVQSDSNLEHSHGHPASDSDPNTVAPSEVIMQSANAINSSIPLEINYQHLEAEIHSASRKLHLSYYDPLKIELDRIRKVADQTMKIYEKKNMELKSEFEKELEELRRKYDIKIQGIETEFKQRKTTLDTSLNVVRMNKFLADAFRSKCSNLKPSCTSGTLQDSSFSAQQLPPPSRQQNSNWPSLVAGSSSCGPSPTNRQSPSTNPTSQLTLHPIRAGYSASRFPPNVSARSPIINTISLPVGNLQPGGEIRAPAPHLQPFRP >Vigun06g084600.1.v1.2 pep primary_assembly:ASM411807v1:6:21686653:21688938:1 gene:Vigun06g084600.v1.2 transcript:Vigun06g084600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFSSSANIVASAVLLLLLTAGTSSANLSNNFYSKTCPNVFNTVKSVVKSAVAKEPRIGASILRLFFHDCFVNGCDGSLLLDDTPSFLGEKTAAANNNSVRGFEVIDNIKSRVENLCPGVVSCADILAIASRDSVVLLGGPFWKVKVGRRDSRTANFSAANTGVIPPPTSNLTNLINTFQAQGLSAKDMVALSGAHTIGKARCTSFRDHIYNGTNIDRKFALARQRKCPRTNGTGDNNLAVLDLRTPKHFDNNYFKNLLNKKGLLNSDQVLFNGGSTDSLVRTYSENNKVFDSDFVTAMIKMGDNKPLTGSRGEIRKNCRRVN >Vigun04g141475.1.v1.2 pep primary_assembly:ASM411807v1:4:35092613:35093145:1 gene:Vigun04g141475.v1.2 transcript:Vigun04g141475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILISKSDQGNTKGVTFGLKIEGCSLINVANNFTSAIS >Vigun01g084400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23914559:23916601:1 gene:Vigun01g084400.v1.2 transcript:Vigun01g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVGSRISLLSVVLLRINHNSLVVNRCHVVEQSLVSDGFNLFNPLKYETFLAPPNFGLSVRPFSTSSSTRSLGESTDAPAHEALSDEDDGDGDEEDDYDDGHGKNKNKNGTDCSKLHLRDDDLAPDVKTILDIMHEMGSGPSDIKQKLEHCSVALSQELVGEVLSKTRNDWEAAFTFFLWAGKQPGYTHSVREYHSMISILGKMRKFDTAWTLIEEMRGGRTGPSLITPQTLLIMIRRYCAIHDVARAINTFYAYKRFNFQIGLEEFQSLLSALCRYKNVQDAENLLFCNKNVFPLDIKSFNIILNGWCNLIVSTSHAERIWKEMSKRGIKHDVVSYGSIISCYSKSSTLYKVLRMFDDMKKRKITPDRKVYNAVIHALAKGRLVKEAVNLIRKMEDNNIIPDVVTYNSLIRPLCKARKVDEAKQFFDEMLKRSLCPTIQTFHAFFRMLRTKEEVFELLDKMRELGCCPTNETYIMLIRKFCRWRQLDDVFKIWDAMKEDGIGHDRSSYIVLIHGLFLNGKLEDAFRYYAEMQEKGFLPEPKTEEILQAWVSGKQSTEDQVTDVEPNGLENDSFKNKVKDIPSKFDREKNFLRQPETRRVIRERGFSLWD >Vigun07g062800.1.v1.2 pep primary_assembly:ASM411807v1:7:7215778:7220887:-1 gene:Vigun07g062800.v1.2 transcript:Vigun07g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGDNEAQSPEASEPTESRPVGGTEFSWCKAVPLGTGVTVLGLLLSKRPEIPVLQNALHNLQNSHPILRSKIHLDPSTNTFHFLTPASPNVQVQHFDLASTATIVQAHSNGDDDPFHTLLEDQMNHDTWHDYAPRDLDVLYASVFTLPHDRFAVFLRLHTAACDRAAAVALLLDLLRLFSGDGTGDGTGDIGEEKVSSAMEDLIPEGKMHKPFWARGLDVLGYSLNAFRFSNLNFVDAASLRRSRIVRLQLNEEETKNLLAGCKARGIKLCGALAAAGMMASWTSKGLPNYQREKYAVVTLVDCRSLLDPVLPSNYAGFYHSAILNTHDVGEETLWELAKKSYTSFVNAMNYNKHFTDMSDLNYLMCKAIENPGLTPSSSLRTALISVFEDPVVVDSGEMHGEIGVEDFVGCASAHGVGPSIAVFDTIRNGMLDCACIYPSPLHSREQIQGLIDHMKRILVEGCSSENQQIEP >Vigun06g124200.1.v1.2 pep primary_assembly:ASM411807v1:6:25136527:25137552:1 gene:Vigun06g124200.v1.2 transcript:Vigun06g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFVFSLLLLIEVPKSCTAEEKEDKWSSFKFVQQWPKGFCDFQIIGRKRKCYSIPDKFVIHGLWPDGDAGKVPKCKTKTPLEWKDIRPLEQALHSNWPNLVGKDFTFWKTQWTKHGGCSEATFPKLEYFNLALHLYDQNNLLNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHDPELSCYHDPKLNVTALYQIRICLSTNGTSFINCFQTDDSCGDKGLLFPKKIKKKK >Vigun05g187200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36196893:36197150:-1 gene:Vigun05g187200.v1.2 transcript:Vigun05g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVSKVFAIFLVFLPLVFLSSEARLLLPKSSSSPMMGKRINTELLLRDLVNNSRVTEYQQKRSMLGERLERLSPAGPDPQHH >Vigun11g023400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2870518:2872713:1 gene:Vigun11g023400.v1.2 transcript:Vigun11g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMFKPNVKHCTVYDLNSHCIHISRNVIFYEDKFHSKTISQENSIDKMITPVFEKIKDYDFDLTVHKSKNLNDNIDSENHITHAQNIDDPDNLNKSDSIDTEQAFDSASEQNCPRRSTGHKNVPAYLQDFQTSFAIKTEKKTRYCIKDHVSMEDYLLSNRLFALLTHILNQNLMKMLHGMNIRRKQ >Vigun07g297800.2.v1.2 pep primary_assembly:ASM411807v1:7:40787442:40793772:1 gene:Vigun07g297800.v1.2 transcript:Vigun07g297800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRKLGVLTLEKHHHHRDRTNILPLSQLEDLAQATQDMEDMRDCYDSLLSAAAAAANSAYEFSESLGELGSCLLQNTALHDDEESGKVLIMLGKMQFQLQKLIDKYRSHITQTITIPSDSLLNELRIVEEMKRHCDEKRVAYESMLTRYRERGRSRSGRAETISLQQLQIARDEYDEEATLFVFRLKSLKQGQSWSLLTQAARHHAAQLCFFKKAVKSLETAEPHVKLVTEQHHIDYHFIGIEGEDEDEDEDEDEDEDEDGDSHDENDDGELSFDYTQNEHEQDVSTLDNSKKENLDRLRRNSFSFRVRSASQSAPLFADNKCDSRERLRQMRPTLSRKFNSYVLPTPVDAKSSIPPMSSKQVPSKIKANLDEPMKNLWHSSPLEQKKYGNIFGDGAFSGPNVRTAQSVVKESNSSTAYTRLPPPLIDGNLSSNHDFVTAYSKKIKRQAFSGPLVSNAWPTKPVSVESAQLFSGPLLRTSIPQLPSSSSPKVSPSASPPLSSSPKINELHELPRPPTISASNSKLLGLVGHSGPLVSRGHQLSARNNLVTSNAASPLPMPPSAMARSFSIPSSSAKVAALDGSRPPEDPNRSSISEYVDSPRPMYIASSTS >Vigun07g297800.3.v1.2 pep primary_assembly:ASM411807v1:7:40787442:40794347:1 gene:Vigun07g297800.v1.2 transcript:Vigun07g297800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRKLGVLTLEKHHHHRDRTNILPLSQLEDLAQATQDMEDMRDCYDSLLSAAAAAANSAYEFSESLGELGSCLLQNTALHDDEESGKVLIMLGKMQFQLQKLIDKYRSHITQTITIPSDSLLNELRIVEEMKRHCDEKRVAYESMLTRYRERGRSRSGRAETISLQQLQIARDEYDEEATLFVFRLKSLKQGQSWSLLTQAARHHAAQLCFFKKAVKSLETAEPHVKLVTEQHHIDYHFIGIEGEDEDEDEDEDEDEDEDGDSHDENDDGELSFDYTQNEHEQDVSTLDNSKKENLDRLRRNSFSFRVRSASQSAPLFADNKCDSRERLRQMRPTLSRKFNSYVLPTPVDAKSSIPPMSSKQVPSKIKANLDEPMKNLWHSSPLEQKKYGNIFGDGAFSGPNVRTAQSVVKESNSSTAYTRLPPPLIDGNLSSNHDFVTAYSKKIKRQAFSGPLVSNAWPTKPVSVESAQLFSGPLLRTSIPQLPSSSSPKVSPSASPPLSSSPKINELHELPRPPTISASNSKLLGLVGHSGPLVSRGHQLSARNNLVTSNAASPLPMPPSAMARSFSIPSSSAKVAALDGSRPPEDPNRSSISEYVDSPRPMYIASSTS >Vigun07g297800.1.v1.2 pep primary_assembly:ASM411807v1:7:40787626:40794365:1 gene:Vigun07g297800.v1.2 transcript:Vigun07g297800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRKLGVLTLEKHHHHRDRTNILPLSQLEDLAQATQDMEDMRDCYDSLLSAAAAAANSAYEFSESLGELGSCLLQNTALHDDEESGKVLIMLGKMQFQLQKLIDKYRSHITQTITIPSDSLLNELRIVEEMKRHCDEKRVAYESMLTRYRERGRSRSGRAETISLQQLQIARDEYDEEATLFVFRLKSLKQGQSWSLLTQAARHHAAQLCFFKKAVKSLETAEPHVKLVTEQHHIDYHFIGIEGEDEDEDEDEDEDEDEDGDSHDENDDGELSFDYTQNEHEQDVSTLDNSKKENLDRLRRNSFSFRVRSASQSAPLFADNKCDSRERLRQMRPTLSRKFNSYVLPTPVDAKSSIPPMSSKQVPSKIKANLDEPMKNLWHSSPLEQKKYGNIFGDGAFSGPNVRTAQSVVKESNSSTAYTRLPPPLIDGNLSSNHDFVTAYSKKIKRQAFSGPLVSNAWPTKPVSVESAQLFSGPLLRTSIPQLPSSSSPKVSPSASPPLSSSPKINELHELPRPPTISASNSKLLGLVGHSGPLVSRGHQLSARNNLVTSNAASPLPMPPSAMARSFSIPSSSAKVAALDGSRPPEDPNRSSISEYVDSPRPMYIASSTS >Vigun01g098700.1.v1.2 pep primary_assembly:ASM411807v1:1:26539483:26545479:1 gene:Vigun01g098700.v1.2 transcript:Vigun01g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIREWSGINTFAPATQTKLLELLGKLKQENVNSLTILVMGKGGVGKSSTVNSIIGERVVSISPFQSEGPRPVMVSRSRAGFTLNIIDTPGLIEGGYINDMALDIIKRFLLNKTIDVLLYVDRLDVYRVDNLDKLVAKAITDSFGKGIWNKAIVALTHAQFSPPDGLPYDDFFSQRSEALLKVLRSGAKIKKEAFQAVSMPVVLVENSGRCNKNDSDEKVLPNGTAWIPHLVQTITEIALNKSVSIHVDKNLIEGPNPNQRGKLWIPLVFALQYFLVMKPIKGLIERDIENERKPAWERRDPAFRKRDFL >Vigun01g098700.2.v1.2 pep primary_assembly:ASM411807v1:1:26539482:26545482:1 gene:Vigun01g098700.v1.2 transcript:Vigun01g098700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIREWSGINTFAPATQTKLLELLGKLKQENVNSLTILVMGKGGVGKSSTVNSIIGERVVSISPFQSEGPRPVMVSRSRAGFTLNIIDTPGLIEGGYINDMALDIIKRFLLNKTIDVLLYVDRLDVYRVDNLDKLVAKAITDSFGKGIWNKAIVALTHAQFSPPDGLPYDDFFSQRSEALLKVLRSGAKIKKEAFQAVSMPVVLVENSGRCNKNDSDEKVLPNGTAWIPHLVQTITEIALNKSVSIHVDKNLIEGPNPNQRGKLWIPLVFALQYFLVMKPIKGLIERDIENERKPAWERRDPAFRKRDFL >Vigun07g145000.1.v1.2 pep primary_assembly:ASM411807v1:7:25581785:25585935:1 gene:Vigun07g145000.v1.2 transcript:Vigun07g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASDHFSMIFIITLLLLLSSHIFTVADSLFNAVGSFQLHGLPFIEGNDEKQIKKISGLDENEKKQAFIVDKFRSLLGLKSFHTKVPSNGDFGFLSPSPSPSPLIEEAQASTPSPSPSPSPSPLPHVHSHFHHPRHRKNPPLHQTHHEDRGRAKRILIAVLVSAGVATLIGACGLFLLWRKFWSHKKKPKRTMPLCSKSKGSGGTYQSSSSKVSLDSGLDLFYLNALGEDVEEHGCTLKKTCKDGVEYGNVSGSSTKEIASVHEDADSEKGEAESDGDKIIPEDSHSSDDESFHSFVDSHSNNRLSNASASNLSDTNSLSRQNSTSLLNQFLSSPPHNSIPNTQSHQPSSGTKHEKQEIETTHQCSKTFTSPPPPPPPPPPPPPPLQMPLFSLHSLTSSSRVSSHSPLSLTSNNLSSPVNSDTFSGSNQSPEKELPSTPEPYPTHSPTNIPPPPCPPPFLKGNSYNTKTPPPPPSQLPQFTPLGKDGAPLPKLKPLHWDKVRAAPNRTMVWDKLRSSSFELDEEMIESLFGYNLQNSIKNDETKSKTPSPGKHVLEPKRLQNITILSKALNATAEHVCEALMQGKGLSLPQLEALVKMVPTKEEESKLFNYKGDINELGSAERFVRAMLDVPYAFQRVEGMLFRETFDDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGVRVSDSIMGKISQRSKNRTEEEKEEDYKRMGLELVSGLSTELYNVKKTATIDLDVLASSVSNLSEGMKKLQHLVEKELHKDERSMNFVQCMKSFLNYADANLKELRGDENKVLVRVKEITEYFHGDVSKEDANPLRIFVIVRDFLGMLDNVCKELRKSKAPRSPNPLAMLPLDRS >Vigun07g145000.2.v1.2 pep primary_assembly:ASM411807v1:7:25581785:25585936:1 gene:Vigun07g145000.v1.2 transcript:Vigun07g145000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASDHFSMIFIITLLLLLSSHIFTVADSLFNAVGSFQLHGLPFIEGNDEKQIKKISGLDENEKKQAFIVDKFRSLLGLKSFHTKVPSNGDFGFLSPSPSPSPLIEEAQASTPSPSPSPSPSPLPHVHSHFHHPRHRKNPPLHQTHHEDRGRAKRILIAVLVSAGVATLIGACGLFLLWRKFWSHKKKPKRTMPLCSKSKGSGGTYQSSSSKVSLDSGLDLFYLNALGEDVEEHGCTLKKTCKDGVEYGNVSGSSTKEIASVHEDADSEKGEAESDGDKIIPEDSHSSDDESFHSFVDSHSNNRLSNASASNLSDTNSLSRQNSTSLLNQFLSSPPHNSIPNTQSHQPSSGTKHEKQEIETTHQCSKTFTSPPPPPPPPPPPPPPLQMPLFSLHSLTSSSRVSSHSPLSLTSNNLSSPVNSDTFSGSNQSPEKELPSTPEPYPTHSPTNIPPPPCPPPFLKGNSYNTKTPPPPPSQLPQFTPLGKDGAPLPKLKPLHWDKVRAAPNRTMVWDKLRSSSFELDEEMIESLFGYNLQNSIKNDETKSKTPSPGKHVLEPKRLQNITILSKALNATAEHVCEALMQGKGLSLPQLEALVKMVPTKEEESKLFNYKGDINELGSAERFVRAMLDVPYAFQRVEGSMQGTSIKQVVLEATRSSAQNG >Vigun03g180800.1.v1.2 pep primary_assembly:ASM411807v1:3:22941120:22942294:1 gene:Vigun03g180800.v1.2 transcript:Vigun03g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLAPPPTTLSSRARNSLLYSRKNGNFPTSTVSCFGPQYQQSQPSSISDLHQVPSHSDHSLRRRTLMGLSGAVVVGLGLIDEQRASGAARRPPPPPPTEKKDPSVSGVMAKILASKRRKEAMKEEVEKLRARGKTVNKDAPPP >Vigun09g011100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:841408:842182:-1 gene:Vigun09g011100.v1.2 transcript:Vigun09g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQADFAKIGYEIEKFFGGLKSFGNGNNPPATQNLCRYKFYPSYGATKVVHLHVEDVKCNEAASRFAPEKLQMKGLVGQ >Vigun07g097900.1.v1.2 pep primary_assembly:ASM411807v1:7:17125382:17126294:1 gene:Vigun07g097900.v1.2 transcript:Vigun07g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTKLTLKLLIDSKGEKVLFAEASKPVVDFFLNLLCLPIATVIRILKKNRMVGSIANLYQSVENLDETYMQTEQHKDLLLKTSAPVSSQISGLLPSINDTSFNTSTNVFYKCPNHSGYVTCDRSTRCPHCGNTMTREMQLVGEKVANVQNSADKSGFVREVVTYMVMDDLVIQPMSSISSITLLNKFNIKEVGALQEKVVELDMNKGVDLLKASLQSKTVLTDIFLKKKSYFDIGFHTQCD >Vigun11g092700.1.v1.2 pep primary_assembly:ASM411807v1:11:27463059:27467070:1 gene:Vigun11g092700.v1.2 transcript:Vigun11g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSSRLPTQHISDTMALSSPLQNLSAVISATGNATTAAARPPRGRRCEVRSAASNTSATAPVQFGGYEEGKLERPKWTGETPLSRLVQTLIAFKPLYSVLKLGARRVMISTAEKNNIPWRQMAKEVLESEVYREMDSIQNQSLVYPDYYLNPFHAYEEGNLTWLAAAEAEAATMSMVRRALPGASSLEEANQILRGNWLQAIEQHHIQYSESSGINDILDIGCSVGISTKYLADKFPIAKVTGLDLSPYFLAVAQHKEKGAMPRKNPLRWIHANGEDTGLPSKSFDLVSLAFVLHECPTRVIVNLVREAFRLLRPGGTLALTDFSLKSKVLQELSPVLFTLIKSTEPFLDEYYLTDMDETLREAGFVNITSILTDPKHVTITATVPQ >Vigun10g192100.1.v1.2 pep primary_assembly:ASM411807v1:10:40631832:40634487:-1 gene:Vigun10g192100.v1.2 transcript:Vigun10g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDVFIGAIDQGTTSSRFIIYDGSSKAIGIHHVEFTQFYPQPGWVEHDPMEILESVKVCVAKAVDKATADGFNVDKGLKAIGLTNQRETALVWSKSTGLPFYNAIVWMDARTSSICRRLENELSGGRNHFVERCGLPISTYFSAVKLLWLMENVSAVKEAIEKKDALFGTIDTWLIWNLTGGVKGGLHVTDVSNASRTMFMNLKTLQWDETILKTLKIPAEILPKIVSNSEVIGEIGAGWPIAGIPIAGCLGDQHAAMLGQSCHKGEAKSTYGTGAFILLNTGEGIVKSTHGLLTTLAFKLGPNAPTNYALEGSVAIAGAAVQWLRDGLGLISSASEIEELASEVENTGGIYFVPAFSGLFAPWWREDARGVIVGMTRLTNKAHIARAVLESMCFQVKEVLDSMHKDSTLDSKEESLLRVDGGATINNLLMQIQADLLGRSVVRPVDIETTALGAAYAAGLAIGVWKEEHIFNCKEKMKNAKVFRPLMSEELRKKKFESWCKAVSKTFDLANLAL >Vigun09g027600.1.v1.2 pep primary_assembly:ASM411807v1:9:2235446:2239035:1 gene:Vigun09g027600.v1.2 transcript:Vigun09g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNVPEIKYDVFVSFRGKEIRDGFLSHLTEAFDMKKINGFVDDKLERGEEIWPSLVTAIERSAISLIIFSPDYASSRWCLEEVLKIVECKEKYERIVIPVFYKVAPTDVRHQSGSYENAFANHRLNYTRQVQMWKDALKKSADLSGIESSKFRNDAEVVKEIVDLVLKRLDKHQVIPKGLVGIDEKIATLESWICNEPKATHLIGIWGMGGIGKTTLAEVVFHRLRSQYQGSYFLANERDQSTKHGIIHLKKIIFSKLLGHEVDIDTPNSLPENIVRRIGYMKVLVVFDDVNDSDHIGKLLGSLDNFGMGSTVIVTTRDEQVLRINRVSKTYKVKEFSSDEALELFNLNAFSESNEAKEYDEISKLVVHYAKGNPLVVKVLAHLLHGRNKEEWEGLLGKLKTMPPREVYDVMKLSYDSLDRKEQQIFLDLACFFLRLLVPVEVGDLKCLLKDNESDNSVAFELRRLEDKALITISEDNTVSMHDSLQEMAWEIVRQECVEDPGSRSRLWDPNDINEALKNEKVSEDIKSIQVQLTSNKKQMLSPQIFSLRKLKFLEISGVDGNTYHQVILVEGAPFLATELRFVCWEDFPMKCLPESFNAEKLVILELRMSRMRKLWDGVKNLANLKRLNLHWAMKLKELPDLSGAIRLEELYLCGCYRLRSLHSSIFSLPKLKTLELSRCMSFTILPSSPWRNSELKCLLMRACDNLQTISELPPFLTTLDVSFSASLKTLPNLPLSLQALNAAFCESLENLPELPPLLSTLNVSACYSLQIPPNLPFSLQIVNLCESCSLRSILEHIRSIKTTDTKYFESQQTLLNFPTDLQMPCTCNSIETPQELSPSLSSQFDDFSAYLDTCIRNFLKA >Vigun02g191600.2.v1.2 pep primary_assembly:ASM411807v1:2:32899374:32900619:1 gene:Vigun02g191600.v1.2 transcript:Vigun02g191600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMTEGAPKNDNANANGVKDKGKKIIRIQVSKTKKPFFFYLNLAKKFIKQDNDVELCALGMDIMTSTVISKEEKEGRGIPKAKLGILLGKASEMEQSTIENQSTVDTKA >Vigun02g191600.1.v1.2 pep primary_assembly:ASM411807v1:2:32899374:32900619:1 gene:Vigun02g191600.v1.2 transcript:Vigun02g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMTEGAPKNDNANANGVKDKGKKIIRIQVSKTKKPFFFYLNLAKKFIKQDNDVELCALGMAIPTVVIISEILKSNGWAIEKNIMTSTVISKEEKEGRGIPKAKLGILLGKASEMEQSTIENQSTVDTKA >VigunL036400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:306989:307675:1 gene:VigunL036400.v1.2 transcript:VigunL036400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA MKKKKSIPLLYLTSIVFLPWCISFTFKKSLESWFINWWNTSQSEIFLNDIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDRMNTILHFSTNIICFFILSGYSILGNQELVLINSLVREFIYNLSDTIKAFSILLLTDLCIGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHSMND >Vigun01g028700.1.v1.2 pep primary_assembly:ASM411807v1:1:3427922:3434758:1 gene:Vigun01g028700.v1.2 transcript:Vigun01g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMIKDIDGKRETLKLAVRIVDLWYVESWESKRSMEMVLMDQKGDVIVAMIKKEDMGVWEEKLKEGESYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRAQPIASIPRKLWKFKSIKDIIDGKYCSDLLVDVIGMVDNVEEKGHSKNVVFDLKDLSGAIICCTLWDSYCEKLLTYWRTCSQTSNVAIILTQAKIKPASGPWPVSLSNSWNGSKLIIGDDIPELINFKKQFAETCGSETFEEGSQYSGSSQINHVDRFMYKTVVKSVSEIMTVLEEISCVTVARTLKFNLGNDGWNYLVCNFCGKRTHEVGSFKCLSCDAFNDSPRIRYKLEIQVTDGKKVGNFMLWDQDCMNLIGVSAADLRKKMIKHGEDDPKCFPEDLDVILGCIFAFKVKLQGKNRPASVMRVSTDVEIIDHVKALLGQEEASFVGECIVSCPSDIVPDTSNSSPMVIGKCSNASLLDVPTSSGTSLAIELAECIGNAACDLTADTDSSLMCLSSTADDEPDIVLCMTPSKDVSARIDDLPDIPSSIMEFDFLEDIPLAQLSATKTTKPTKSIKKEKL >Vigun10g054650.1.v1.2 pep primary_assembly:ASM411807v1:10:9161096:9162830:-1 gene:Vigun10g054650.v1.2 transcript:Vigun10g054650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTCGRGNDLQALVAIMVDQYLCRNKFYQTRATYCNEVLPHFSNLPPSENLMNLEEILNQYILMKKQNIRLEAEKVMLMQEKNRIQMLLQDIQKATNNFNARSPMSNVTTVVTNPTIVPPMKNSIQDPLAVSSTAIFPVQNTTSLPPPKPMFDANFTSPMIKVSDMKRKDTLTVDGCAVSKKPRGRPPGKKKQVQCTNMLLPSPNNKVDSGCSSASTESLVANFAKKELQISSNLVSRTHPTIHSLQSDTYVSLPPTQISPYATCNKEVTTPSYNMISTKRDMVEPVKQMVCKEGNSNFSPVVADNDETHKENTGKESNKDINKTSTRILDANSFHKHENLDNSFSVENPTSESNKE >Vigun11g002500.1.v1.2 pep primary_assembly:ASM411807v1:11:247248:252899:-1 gene:Vigun11g002500.v1.2 transcript:Vigun11g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPTHQNRISRGGGGGGGGGGRLAGNGRSAVGSIPYPRMYGEIDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGGHQPGVLNTGQGLHDSVPSPTVSASRKKQKITPSVPSRSFAGPSPPFHPQTVSAPHQPSSSAAKRGSVPGSKGKKHKPGQILPGVSSMKQYPSTGPGGRTQVPNRAVTGEHAEGASFDSLVGRRVRTRWPDDNNFYEAVITNYNPADGRHNLVYDMGSANETWEWVNLSEISPEDIQWVGEDPGINHRGGFGGSGHGMNRSVGRDGVPGGGRGRGATKGQSRKDFLSSQNGIGKKAPDDIQILHTDTLIKEVERVFSANHPDPLEIEKAKKVLKDHEQALIDAIARLNDLSDGESDGAGHHFSHAQSMDRE >Vigun03g096500.1.v1.2 pep primary_assembly:ASM411807v1:3:8148558:8150487:-1 gene:Vigun03g096500.v1.2 transcript:Vigun03g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLTNNNQQDAPQQNIADSPPSSSLFNIDGVVASPSSSSKRRRAIQKRVVQIPIKETEGCRLKGESNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSCVDPTMLVVTYSSDHNHPWPASRNNTRPTKKPEPEPVTEDPVEPETEPELEDKFAELGGDESMITTADEMGWLGEMETTTPTVLESPIIAAGYHADVESALLPMREEDELLFADLGELPECSVMFRQGLLAERRRYTAPWCGTTS >Vigun03g096500.2.v1.2 pep primary_assembly:ASM411807v1:3:8148558:8150487:-1 gene:Vigun03g096500.v1.2 transcript:Vigun03g096500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGIGFVETCRRAIQKRVVQIPIKETEGCRLKGESNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSCVDPTMLVVTYSSDHNHPWPASRNNTRPTKKPEPEPVTEDPVEPETEPELEDKFAELGGDESMITTADEMGWLGEMETTTPTVLESPIIAAGYHADVESALLPMREEDELLFADLGELPECSVMFRQGLLAERRRYTAPWCGTTS >Vigun11g041000.1.v1.2 pep primary_assembly:ASM411807v1:11:5868917:5873521:1 gene:Vigun11g041000.v1.2 transcript:Vigun11g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGRKQGAMSPCAACKLLRRRCAQDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQELPEYQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQVDVLQTQLALAQAEVVHMKLSQVSTSFEQLKALPAPSNSSSENLSPSSKLAKTLFAMDMVVDQPNMGESLWSC >Vigun02g151700.2.v1.2 pep primary_assembly:ASM411807v1:2:29851483:29855204:1 gene:Vigun02g151700.v1.2 transcript:Vigun02g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVQALYPPCTCRMLQIVECGHSAEKLGFTRFCGIEAIGLSRNRKSLLKPILAKEDNGASRIEDGHQVKKPNGLAPLANHSAALIGKNLSEKSSIGNSMNILWHECPIQKLDREHLLQQKGCVIWLTGLSGSGKSTLACFLSRSLHSRGKLTYILDGDNMRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGLICIASLISPYRKDRDACRALLPNGNFIEVFIDVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSSCEIVLQQQGSECTSLGDMTKIVISYLDKNGYLRA >Vigun02g151700.3.v1.2 pep primary_assembly:ASM411807v1:2:29851483:29855204:1 gene:Vigun02g151700.v1.2 transcript:Vigun02g151700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVQALYPPCTCRMLQIVECGHSAEKLGFTRFCGIEAIGLSRNRKSLLKPILAKEDNGASRIEDGHQVKKPNGLAPLANHSAALIGKNLSEKSSIGNSMNILWHECPIQKLDREHLLQQKGCVIWLTGLSGSGKSTLACFLSRSLHSRGKLTYILDGDNMRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGLICIASLISPYRKDRDACRALLPNGNFIEVFIDVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSSCEFYNNKEVNVRHWVI >Vigun02g151700.1.v1.2 pep primary_assembly:ASM411807v1:2:29851384:29855244:1 gene:Vigun02g151700.v1.2 transcript:Vigun02g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVQALYPPCTCRMLQIVECGHSAEKLGFTRFCGIEAIGLSRNRKSLLKPILAKEDNGASRIEDGHQVKKPNGLAPLANHSAALIGKNLSEKSSIGNSMNILWHECPIQKLDREHLLQQKGCVIWLTGLSGSGKSTLACFLSRSLHSRGKLTYILDGDNMRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGLICIASLISPYRKDRDACRALLPNGNFIEVFIDVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSSCEIVLQQQGSECTSLGDMTKIVISYLDKNGYLRA >Vigun02g151700.4.v1.2 pep primary_assembly:ASM411807v1:2:29851483:29855204:1 gene:Vigun02g151700.v1.2 transcript:Vigun02g151700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVQALYPPCTCRMLQIVECGHSAEKLGFTRFCGIEAIGLSRNRKSLLKPILAKEDNGASRIEDGHQVKKPNGLAPLANHSAALIGKNLSEKSSIGNSMNILWHECPIQKLDREHLLQQKGCVIWLTGLSGSGKSTLACFLSRSLHSRGKLTYILDGDNMRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGLICIASLISPYRKDRDACRALLPNGNFIEVFIDVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSSCEFYNNKEVNVRHWVI >Vigun10g088000.1.v1.2 pep primary_assembly:ASM411807v1:10:25362358:25364166:1 gene:Vigun10g088000.v1.2 transcript:Vigun10g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSQVSVHEVECEHGGERIMLRGSSEFISMYSKKGSKGVNQDALTVWKDFSGKKDMIFCGVFDGHGPLGHKFSNSIRDKLPLKLSASIKQSQQKAIKDNDTNETDVISHSNVYAEDNQNMSFSSWEGSFMRCFSEMDEDLAKNIDTKGFRGGSTAVTVIKQEDELIIGNLGDSRAVLCRRADDNNLVPVQLTVDLTPDIPSEALRIFNCGGRIFSTKQDPSVNRIWRSNGDRPGLAMARAFGNFCLKDYGLISVPDLSYRKLTKQDEFVVLASDGVWDVLTNSEVINIVASAPKRSMAAKLLVNHAVQAWRRTCVLTVDDCTAICLFLKD >Vigun02g121500.3.v1.2 pep primary_assembly:ASM411807v1:2:27381456:27386012:-1 gene:Vigun02g121500.v1.2 transcript:Vigun02g121500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLETGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHEKKMLEMIGRKLKKNSVALDIVNFGEEDEGKSEKLEALLAAVNNNDTSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASNQEKGGEQQASSQDATMTDHASAAMSNAENITRDMMVGYENLMQALAMSMDDPAISHDVKDTDMSEASASDPELALALQLSIADSAKDQASQSDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEPQQKNDDKPSEEEEKK >Vigun02g121500.2.v1.2 pep primary_assembly:ASM411807v1:2:27381494:27386012:-1 gene:Vigun02g121500.v1.2 transcript:Vigun02g121500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLETGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHEKKMLEMIGRKLKKNSVALDIVNFGEEDEGKSEKLEALLAAVNNNDTSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASNQEKGGEQQASSQDATMTDHASAAMSNAENITRDMMDDENALLQQALAMSMDDPAISHDVKDTDMSEASASDPELALALQLSIADSAKDQASQSDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEPQQKNDDKPSEEEEKK >Vigun02g121500.1.v1.2 pep primary_assembly:ASM411807v1:2:27381413:27386012:-1 gene:Vigun02g121500.v1.2 transcript:Vigun02g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLETGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHEKKMLEMIGRKLKKNSVALDIVNFGEEDEGKSEKLEALLAAVNNNDTSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASNQEKGGEQQASSQDATMTDHASAAMSNAENITRDMMDDENALLQQALAMSMDDPAISHDVKDTDMSEASASDPELALALQLSIADSAKDQASQSDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEPQQKNDDKPSEEEEKK >Vigun11g039300.1.v1.2 pep primary_assembly:ASM411807v1:11:5509650:5513703:1 gene:Vigun11g039300.v1.2 transcript:Vigun11g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLSLIDDFRNDLKKGHGLKVFDYTLVMAATNGFSHENKLGQGGFGPVYKGTLPTEEFAVKRLSRSSAQGIVEFKNELTLICELQHMNLVQLLGCCIHEEEKILIYEYIPNKSLDFYLFECTRSKLLDWNKRFNIIQRIAQGLLYLHKYSGLKVIHRDLKTSNILLDENMNPKILDFGMARMFTQQDSISNTNRVVGTYRYMSPEYAMEGVFSTKSDVYSFGVLLLEIVSGRKNTSFYDHDHPINLVGHVWELWKDDKCVESVDPSLMESLDSDDVQRCIHAGLLCVEHYANDRPTMSDVISMLTNKSERVSLPKRPAFYVQREMLNENLSSLQNCVLLLQ >Vigun11g155500.1.v1.2 pep primary_assembly:ASM411807v1:11:36483086:36484922:1 gene:Vigun11g155500.v1.2 transcript:Vigun11g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLADLESLPEADRQRMSAMMEQLQIRDSLRMYNSLVERCFTDCVDTFKHKSLQKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATQD >Vigun04g015900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1178517:1179634:1 gene:Vigun04g015900.v1.2 transcript:Vigun04g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHHDHHHYCKYIKRVYLCLGVFLLYAKKPHFHNHLEEAPSSPSSSSSSMASQGQGNKLTVSTSPKSDSGSGGCGGGAAGSLPKGQCLCSPTTHEGSFRCRLHRGPTASPTNWMKRSKSMPANKHVVSDSTC >Vigun06g053300.1.v1.2 pep primary_assembly:ASM411807v1:6:17900714:17917056:-1 gene:Vigun06g053300.v1.2 transcript:Vigun06g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSIPFKFYFPPTTATSFPPSQTKPLNLTFQRRTRTPTKTACAASENPKQRQNPKKNRTEAKTETTSDDVEKGIDPAGFLAKRGISHKAFALFLRERYKVLKDIKDEILKRHENFMILASGFELLGMHRHPEHRVDYMEWAPGARYCAIVGDFNDWSPTENCAREHYFGHDDYGYWFIILQDKLREGEEPDKYYFQMYNYVDDYDKGDSGVTVEELLKKANEQYWEPGENRYPNNRYEGPVKLYEQLFGPNGPQTMEDLPNIKDPKTRYKEWAAEHGPSRTAAMDSGKEYDIYNVIVDPEWHEKIRALKPPIAYWFETRKGRKAWMKKYSPAIPHGSKYRVYFNTPNGPLERVPAWATYVQPEVDGRQAYAIHWEPPPEQAYKWKNRSPKVPKSLRIYEAHVGISGSEPKISSFSDFTDKVLPYIKEAGYNAIQLIGIVEHKDYFTVGYRVTNYFAVSSRYGTPEDFKRLVDEAHGLGLLVFLEIIHSYAAADEMVGLSLFDGSNDCFFRTGKRGQHKFWGTRMFKYGDPDVLHFLVSNLNWWIVEYQIDGLQFHSVSSMLYTHNGFASFTGDLEEYCNQYVDKDAQVYLILANEILHYLHPNIVTIAEDATFYPGLCEPTSQGGLGFDYYVNLSVPYMWSTFLESVPDHEWNMTKIVNTLVSNKEYADKMLMYAENHNQSISGRRSFAEILFGEIDENSDHYKESLLRGTSLHKMIKLITSTIGGRAYLNFMGNEFGHPKRVEFPSSSNNNSYLLANRCWDLLAKDGVHRDLFSFDKDMMKLDENQRVLSRGFPNIHHVNDSSMVISYIRGPLVFIFNFHPTESYDSYSIGVEEAGEYQIIMNTDEIKYGGQGKLNENQYYLKTISKRVDGLRNCLELSLPSRTAQVYKLRRILRI >Vigun01g140200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32104482:32106029:-1 gene:Vigun01g140200.v1.2 transcript:Vigun01g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEILLNMQLIALVFLFLSLFLPLFFFSFSRFSNNKEAAPNKQTVTIPKSYPLIGSYFALKRVGNRIIQWESDILQISPANTFTFHRPLGHLQVFTANPANVEYILKTRFSNYQKGYIVISTLSDFLGTGIFNADGNTWKFQRQIASHEFNTKSLRKFVEQVVDAELSHHLIPIMASAAHQDQTLDFQDILQRFTFDNICKIAFGFDANYLTPSVERSKFAVAYEEATEIISRRFRQLFPLVWKVKRALNIGSEKRLRMAVREVHEFAKNIVREKKRELKEKESLESVDMLSRFLTSGHSDEDFVTDMVISFILAGKDTTSAALTWFFWLLSKNPRVEKEIVKEIMEKSEAPVYDEVKHMVYTHAALCESMRLYPPVPTDTKEAVEDDVLPDGTVVKKGMFVTYHVYAMGRSESIWGEDWAEFKPERWLEKLESGKWKFVAKDSFTYPVFQAGPRMCLGKDMAFMQMQSVVAGILRRFAVVPTVAEGVEPNFICFLSSQMEGGFPVKIIERETTN >Vigun07g228100.5.v1.2 pep primary_assembly:ASM411807v1:7:34997094:35000311:1 gene:Vigun07g228100.v1.2 transcript:Vigun07g228100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHVRWNEANIGEIEANKPVRQKITEPKTPYHPTLDDESSPSPVRGVFDECIEDQNHSANSEGNAFNNALSSSRTGTTQSDGWTSSEDEVQETETEDEEDKGLSFKEHRKAHYDEFLKVKELQQNASILDDASDEDNGAELTKGEGKNNSTSSTNCT >Vigun07g023800.2.v1.2 pep primary_assembly:ASM411807v1:7:2106722:2110689:-1 gene:Vigun07g023800.v1.2 transcript:Vigun07g023800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDFGIPPANQNNASLDKGSVYGGGGGDRGEPRGNIVKSGAPKKELPIEIPAISLDELNQLTDNFGTNALIGEGSYGRVYFAKMNDDNEVAIKKLDTTSSPEPDSDFAAQLSVVSRLKSDHFVELLGYCLEENNRILVYQYASLGSLHDILHGRKGVQGAEPGPVLNWSQRVKIAFGAAKGLEFLHEKCQPSIVHRDVRSSNVLLFNDYESKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPTGPDPNSNSNPNA >Vigun07g023800.1.v1.2 pep primary_assembly:ASM411807v1:7:2106722:2110681:-1 gene:Vigun07g023800.v1.2 transcript:Vigun07g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGAEEDFGIPPANQNNASLDKGSVYGGGGGDRGEPRGNIVKSGAPKKELPIEIPAISLDELNQLTDNFGTNALIGEGSYGRVYFAKMNDDNEVAIKKLDTTSSPEPDSDFAAQLSVVSRLKSDHFVELLGYCLEENNRILVYQYASLGSLHDILHGRKGVQGAEPGPVLNWSQRVKIAFGAAKGLEFLHEKCQPSIVHRDVRSSNVLLFNDYESKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPTGPDPNSNSNPNA >Vigun06g139000.1.v1.2 pep primary_assembly:ASM411807v1:6:26448640:26449527:1 gene:Vigun06g139000.v1.2 transcript:Vigun06g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASVNNDCYAWSIIKHGLHKHVLHASQELHMHIAVTLDNFENLSSSSTFGYESETSLPKVLGDILESVAGAILVDSGYDKEVVWQSIRPLLEPLVTPETLKLHPIREFYEVCQKRGYRIVYDVVSRKDGVTNYRMEVEADGDIHQYEYTGPALRDTAKKIVCKELLNSLKEG >Vigun10g029900.3.v1.2 pep primary_assembly:ASM411807v1:10:3764182:3767759:-1 gene:Vigun10g029900.v1.2 transcript:Vigun10g029900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYYYKRHHVPAFGSWDWNDNLPFTQCFESARQAGLLRYSYSESEDRDLYVTGDLYENDVVTPAMIVVPRRREKVRCQHEKEAKKQNWVSNVKEATLCQNQEEERVVLLSKLFDTNMHCLRLKES >Vigun10g029900.1.v1.2 pep primary_assembly:ASM411807v1:10:3764182:3767759:-1 gene:Vigun10g029900.v1.2 transcript:Vigun10g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYYYKRHHVPAFGSWDWNDNLPFTQCFESARQAGLLRYSYSESEDRDLYVTGDLYENDVVTPAMIVVPRRREKVRCQHEKEAKKQNWVSNVKELPSPISRPKPKPVDEDLYKISPELLYAKTRKKRGLCFFRSCLIPTCIA >Vigun10g029900.2.v1.2 pep primary_assembly:ASM411807v1:10:3764146:3767759:-1 gene:Vigun10g029900.v1.2 transcript:Vigun10g029900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYYYKRHHVPAFGSWDWNDNLPFTQCFESARQAGLLRYSYSESEDRDLYVTGDLYENDVVTPAMIVVPRRREKVRCQHEKEAKKQNWVSNVKELPSPISRPKPKPVDEDLYKISPELLYAKTRKKRGLCFSQLSSHSC >Vigun06g086100.1.v1.2 pep primary_assembly:ASM411807v1:6:21805104:21806432:1 gene:Vigun06g086100.v1.2 transcript:Vigun06g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKLDLTYITNDSKRKTTLKKRKHGLIKKMNEISTLCGIEACAIIYSPNDPQPEVWPSEPKVQRVLSKFMEMSEQKQCRKMLNQESLLKQIIIKGQQQLIRQRNENRKKEITHLMFQYLSVGKVFDNPSLINLNDLSWLIDQNLNEIKKNMTMIQIQERAPVTKNGEHGYMHHVHGLENTIDTIQNQH >Vigun02g097766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25328643:25328822:1 gene:Vigun02g097766.v1.2 transcript:Vigun02g097766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATLLNFWMIIDLFCQGGFSQPSLSIDLNHSPLWFFIERQITKLLFGFVNTHQISFF >Vigun01g227400.4.v1.2 pep primary_assembly:ASM411807v1:1:40007564:40011215:1 gene:Vigun01g227400.v1.2 transcript:Vigun01g227400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKNRGSFTKRRVKMKIESFKIKMLNFLTKKDKACREEHPMDLKEVFSKFAEGGSQMNKDQLLRFIEEQQGDSISAEEDLDKIVEDFFVARSCSSSSKTRSAKFPDVYSKEGLTLNDFVDFLLLHDFNAALNDKVHHDMNAPMSHYFMYTGHNSYLTGNQITSESSDAPIIEALKQGVRVIELDLWPSKSATKDGIKVVHGRTFTSPVAVRKCLQSIKEYAFYKSDYPVILTLEDHLKPKHQDKFAEMAFEIFGEMLYCPPTEPLTEFPSPESLKKQIIISTKPPKEYQQSDSISKSKAGPNGCENPEEQFLELKLSDSVSKMITGDKQNASGADRENINTTNSILNKQVVLRYKHLITIHGGKSKGSIKDQLKDDTKVKRLSLSEKKLKSASESHGADLIRFTQKNILRVYPKGERVKSSNFRPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFRANGGCGYVKKPEFIIRTLPNDEVFDPKKPLPVKQILRVKVYKGDGWRLDFSPTHFDRFSPPDFYTKVCIVGVPADCVKKRTVAKMDTWYPVWDEEFKFDLRVPELALLRIEVKDEDKGKDDFAGQTCLPVTELRQGFRSVPLFDPKGKKLKSVKLLMRFKIETINNL >Vigun01g227400.1.v1.2 pep primary_assembly:ASM411807v1:1:40006520:40011206:1 gene:Vigun01g227400.v1.2 transcript:Vigun01g227400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKNRGSFTKRRVKMKIESFKIKMLNFLTKKDKACREEHPMDLKEVFSKFAEGGSQMNKDQLLRFIEEQQGDSISAEEDLDKIVEDFFVARSCSSSSKTRSAKFPDVYSKEGLTLNDFVDFLLLHDFNAALNDKVHHDMNAPMSHYFMYTGHNSYLTGNQITSESSDAPIIEALKQGVRVIELDLWPSKSATKDGIKVVHGRTFTSPVAVRKCLQSIKEYAFYKSDYPVILTLEDHLKPKHQDKFAEMAFEIFGEMLYCPPTEPLTEFPSPESLKKQIIISTKPPKEYQQSDSISKSKAGPNGCENPEEQFLELKLSDSVSKMITGDKQNASGADRENINTTNSILNKQVVLRYKHLITIHGGKSKGSIKDQLKDDTKVKRLSLSEKKLKSASESHGADLIRFTQKNILRVYPKGERVKSSNFRPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFRANGGCGYVKKPEFIIRTLPNDEVFDPKKPLPVKQILRVKVYKGDGWRLDFSPTHFDRFSPPDFYTKVCIVGVPADCVKKRTVAKMDTWYPVWDEEFKFDLRVPELALLRIEVKDEDKGKDDFAGQTCLPVTELRQGFRSVPLFDPKGKKLKSVKLLMRFKIETINNL >Vigun01g227400.3.v1.2 pep primary_assembly:ASM411807v1:1:40007564:40011215:1 gene:Vigun01g227400.v1.2 transcript:Vigun01g227400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKNRGSFTKRRVKMKIESFKIKMLNFLTKKDKACREEHPMDLKEVFSKFAEGGSQMNKDQLLRFIEEQQGDSISAEEDLDKIVEDFFVARSCSSSSKTRSAKFPDVYSKEGLTLNDFVDFLLLHDFNAALNDKVHHDMNAPMSHYFMYTGHNSYLTGNQITSESSDAPIIEALKQGVRVIELDLWPSKSATKDGIKVVHGRTFTSPVAVRKCLQSIKEYAFYKSDYPVILTLEDHLKPKHQDKFAEMAFEIFGEMLYCPPTEPLTEFPSPESLKKQIIISTKPPKEYQQSDSISKSKAGPNGCENPEEQFLELKLSDSVSKMITGDKNASGADRENINTTNSILNKQVVLRYKHLITIHGGKSKGSIKDQLKDDTKVKRLSLSEKKLKSASESHGADLIRFTQKNILRVYPKGERVKSSNFRPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFRANGGCGYVKKPEFIIRTLPNDEVFDPKKPLPVKQILRVKVYKGDGWRLDFSPTHFDRFSPPDFYTKVCIVGVPADCVKKRTVAKMDTWYPVWDEEFKFDLRVPELALLRIEVKDEDKGKDDFAGQTCLPVTELRQGFRSVPLFDPKGKKLKSVKLLMRFKIETINNL >Vigun01g227400.2.v1.2 pep primary_assembly:ASM411807v1:1:40006520:40011206:1 gene:Vigun01g227400.v1.2 transcript:Vigun01g227400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKNRGSFTKRRVKMKIESFKIKMLNFLTKKDKACREEHPMDLKEVFSKFAEGGSQMNKDQLLRFIEEQQGDSISAEEDLDKIVEDFFVARSCSSSSKTRSAKFPDVYSKEGLTLNDFVDFLLLHDFNAALNDKVHHDMNAPMSHYFMYTGHNSYLTGNQITSESSDAPIIEALKQGVRVIELDLWPSKSATKDGIKVVHGRTFTSPVAVRKCLQSIKEYAFYKSDYPVILTLEDHLKPKHQDKFAEMAFEIFGEMLYCPPTEPLTEFPSPESLKKQIIISTKPPKEYQQSDSISKSKAGPNGCENPEEQFLELKLSDSVSKMITGDKNASGADRENINTTNSILNKQVVLRYKHLITIHGGKSKGSIKDQLKDDTKVKRLSLSEKKLKSASESHGADLIRFTQKNILRVYPKGERVKSSNFRPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFRANGGCGYVKKPEFIIRTLPNDEVFDPKKPLPVKQILRVKVYKGDGWRLDFSPTHFDRFSPPDFYTKVCIVGVPADCVKKRTVAKMDTWYPVWDEEFKFDLRVPELALLRIEVKDEDKGKDDFAGQTCLPVTELRQGFRSVPLFDPKGKKLKSVKLLMRFKIETINNL >Vigun08g076000.1.v1.2 pep primary_assembly:ASM411807v1:8:13374672:13379855:1 gene:Vigun08g076000.v1.2 transcript:Vigun08g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISRIHDKLFIALSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDDDQPFICTMDCLGAKELAKDFVVSGTASESLYGACESMFKPDMEPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >Vigun08g076000.2.v1.2 pep primary_assembly:ASM411807v1:8:13374680:13379764:1 gene:Vigun08g076000.v1.2 transcript:Vigun08g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISRIHDKLFIALSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGDDDQPFICTMDCLGAKELAKDFVVSGTASESLYGACESMFKPDMEPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >Vigun08g193000.3.v1.2 pep primary_assembly:ASM411807v1:8:36014496:36016996:1 gene:Vigun08g193000.v1.2 transcript:Vigun08g193000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMAVPSWRPTCCASSSSSSSSSASTAVINTEQLRSQIDHLHAEADATRAKEAAEKLQKQAVISIEKGEENYAREMLFQRKKVLQALDKSKRRIELLDELSAKLSEAISLKESQLIGNVNVNIEDTINDASSPVRIIAPKEEVQNDFSKDDSDPETMDFDNIQNVQLSIESEGNSLDDKEIQNLQESLSVGSSNEDSIARNLSEISSYEDFMERIDKKLSEIEAELVTVLNVSTLVLDNEERPNNSRLQQTIELLESIHGIRQRSELTSLEEKGLQNLSCIP >Vigun08g193000.1.v1.2 pep primary_assembly:ASM411807v1:8:36014492:36017112:1 gene:Vigun08g193000.v1.2 transcript:Vigun08g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMAVPSWRPTCCASSSSSSSSSASTAVINTEQLRSQIDHLHAEADATRAKATNARLRLLRLSEAAEKLQKQAVISIEKGEENYAREMLFQRKKVLQALDKSKRRIELLDELSAKLSEAISLKESQLIGNVNVNIEDTINDASSPVRIIAPKEEVQNDFSKDDSDPETMDFDNIQNVQLSIESEGNSLDDKEIQNLQESLSVGSSNEDSIARNLSEISSYEDFMERIDKKLSEIEAELVTVLNVSTLVLDNEERPNNSRLQQTIELLESIHGIRQRIRRTREAKLRI >Vigun08g193000.2.v1.2 pep primary_assembly:ASM411807v1:8:36014506:36016996:1 gene:Vigun08g193000.v1.2 transcript:Vigun08g193000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMAVPSWRPTCCASSSSSSSSSASTAVINTEQLRSQIDHLHAEADATRAKATNARLRLLRLSEAAEKLQKQAVISIEKGEENYAREMLFQRKKVLQALDKSKRRIELLDELSAKLSEAISLKESQLIGNVNVNIEDTINDASSPVRIIAPKEEVQNDFSKDDSDPETMDFDNIQNVQLSIESEGNSLDDKEIQNLQESLSVGSSNEDSIARNLSEISSYEDFMERIDKKLSEIEAELVTVLNVSTLVLDNEERPNNSRLQQTIELLESIHGIRQRSELTSLEEKGLQNLSCIP >Vigun01g162000.1.v1.2 pep primary_assembly:ASM411807v1:1:34373782:34379360:-1 gene:Vigun01g162000.v1.2 transcript:Vigun01g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSEEIKTIEQWKWSEMQGLELVPDAATSPQQQQQGQVPREMETTREQPNKDVAAAPAATMNGGSISGEKAESVPSVGFGELFRFADGLDYVLMGIGTVGAVVHGCSLPLFLRFFADLVNSFGSNANDVDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWSGERQSTKMRIKYLEAALNQDIQFFDTDVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPMIAVIGGIHTTTLAKLSGKSQEALSQAGNIVEQTVAQIRVVLAFVGESRALQAYSSALRVAQKLGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHATNGGLAIATMFAVMIGGLGLGQSAPSMAAFTKARVAAAKIFRIIDHKPSIDRNSESGIELETVTGLVELKNVDFSYPSRPEVRILNDFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIRENILLGRPDANQVEIEEAARVANAHSFIIKLPEGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATKALDRFMIGRTTLVIAHRLSTIRKADLVLFFSKEVSEIGTHDELFSKEMAHETSMSNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASHANYRPEKLAFKDQASSFWRLAKMNSPEWLYALIGSIGSVVCGSLSAFFAYVLSAVLSVYYNPNHRHMIQEIEKYCYLLIGLSSAALLFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLSLDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSERKIVGLFTSNLETPLRRCFWKGQISGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIQVFMVLMVSANGAAETLTLAPDFIKGATEIEPDDADATPVPDHLRGEVELKHVDFSYPTRPDMSVFRDLSLRARAGKTLALVGPSGCGKSSVIALVQRFYDPTSGRVMIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHDSATEAEIIEAATLANAHKFISSLPDGFKTFVGERAELMLLDEATSALDAESERSVQEALDRACAGKTTIIVAHRLSTIRNANLIALLKNHPDGIYARMIQLQRFTNNQVIGMATGSSSSARSKDDEREG >Vigun07g053400.1.v1.2 pep primary_assembly:ASM411807v1:7:5663908:5682011:-1 gene:Vigun07g053400.v1.2 transcript:Vigun07g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSFSTSHLSISTNTTIFSPKHHPPSAVTTTISFSLKPPSDSGNSATPRRPSKSLKTTPTSNPLANKLWLTSKLSPPPPPPPPPPPPPVNETETVAEDSGGDEDSNSDSQTDFRQPGKIFVGNLPAWVKKPQVAEFFRQFGAIRSVILIKGHHKTERNAGFAFVIYDGEDEAADKAAMRAVEFDGVEFHGRVLTVKLDDGKRMREKSQERARWLEGNGDKGEYPSTWHEERDGSRKGFQKVLETQPENWQAVVTAFEKIKKPARKEYGLMVKYYARRGDMHHARQTFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALHCVRKMKEEGIEITIVTYSIIVGGFARMANADAADHWFKEAKEKLPSLNAVIYGSIIYAHCQTCNMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLVVFDRLKECGFSPSVVSYGCLINLYTKIGKVSKALEISKMMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMRRALEVFDMMRRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLIKGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDDDEDEDDDDDDVKDYNVNGINKDDDDIDDENYDDVIS >Vigun02g081100.1.v1.2 pep primary_assembly:ASM411807v1:2:23388476:23391756:1 gene:Vigun02g081100.v1.2 transcript:Vigun02g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRTWPRVSSSPFSSLNFSNATAPRYSAAHSARSTRLCAGPPPSPPGADFTALPYDVMVRIAASFDHPNLCAASLVCRAWCEALRPLREAMVLLRWGKRFKHGRRDVRPNADKALDSFMKAAARGSALAMVDAGLIYWERGEKLKAMELYLRAAELGNPSAQCNLGISYLQAEPPNTEQAVKWLYKASVCGNVRAQYQLALWLHSGGGRVCSNMKEAAKWYMKAAEGGYVRAMYNISLCLSIGEGLSRNHQLARKWMKRAADRGHSKAQFEHGLTLFSEGDMMKAVVYLELATRAGEKRAAHVKNAVLHRLSSASRDHAMHLADSWRALPSV >Vigun11g064400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14941900:14942076:1 gene:Vigun11g064400.v1.2 transcript:Vigun11g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKIACAALIVVASVSVVAATVEVPASAPTPSSGTSAVVPLVGSMVGASILSFFAL >Vigun03g219100.1.v1.2 pep primary_assembly:ASM411807v1:3:36484584:36491617:1 gene:Vigun03g219100.v1.2 transcript:Vigun03g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKQTTDEREEEGGVRESGTPSTKEAVKSLTTQIKDMALKFSGAYKQCKPCTGSSNYKKGHRPYPDFDTISEGVPYPYIGGASSSSTPAWDFTTSHYPGGRSDPRFTGAFGGDRTPRGRDSASVCDVVLEDEDEPKEWMAVVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALNTPPRSEDEPRDSTYTRLTSAQESPMASNKDWTPRSHYKPSGSRGYYPSEPLDHGGGGGHFHAGPSMEPARDTTASRDEPSISNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKTWWEENRERIQAQYL >Vigun04g175400.3.v1.2 pep primary_assembly:ASM411807v1:4:39943255:39947252:-1 gene:Vigun04g175400.v1.2 transcript:Vigun04g175400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRVSQVEVAKQRCKCVIDAIDKLPCSTNITHSCKRTLLKLARAELAFLSRPSSSYGPLSVNIGHLETVVHILQQSFITGVSRVCKSIPLSPSVSNEERRGSSLKHIHVDVVCTLNRKPVWIIVSDRNPEYISWDRCRKSKGLKVRIQEVLAAAQSNLTLRPSSVILFFANGLATHIYNKLRDELGASEIELDFSVFSSDVLEETEGDWINVIARSYRNSCVLEINLAVGKDVVPNSGCIIQGSAVDSSQIDLSVGKAETQQQKLEENARIGDYSHLELLVDEAKTQAQPTEENVGTNLGDTFCSILKGMKPISMEIKNFESAKPGNLLGETDLVNFDTTALIALVSGISNGGTKKLLATPESEMRQRFKGNFDFVIGQGCS >Vigun04g175400.5.v1.2 pep primary_assembly:ASM411807v1:4:39943255:39947246:-1 gene:Vigun04g175400.v1.2 transcript:Vigun04g175400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRVSQVEVAKQRCKCVIDAIDKLPCSTNITHSCKRTLLKLARAELAFLSRPSSSYGPLSVNIGHLETVVHILQQSFITGVSRVCKSIPLSPSVSNEERRGSSLKHIHVDVVCTLNRKPVWIIVSDRNPEYISWDRCRKSKGLKVRIQEVLAAAQSNLTLRPSSVILFFANGLATHIYNKLRDELGASEIELDFSVFSSDVLEETEGDWINVIARSYRNSCVLEINLAVGKDVVPNSGCIIQGSAVDSSQIDLSVGKAETQQQKLEENARIGDYSHLELLVDEAKTQAQPTEENVGTNLGDTFCSILKGMKPISMEIKNFESAKPGNLLGETDLVNFDTTALIALVSGISNGGTKKLLATPESEMRQRFKGNFDFVIGQGCS >Vigun04g175400.2.v1.2 pep primary_assembly:ASM411807v1:4:39943255:39947246:-1 gene:Vigun04g175400.v1.2 transcript:Vigun04g175400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRVSQVEVAKQRCKCVIDAIDKLPCSTNITHSCKRTLLKLARAELAFLSRPSSSYGPLSVNIGHLETVVHILQQSFITGVSRVCKSIPLSPSVSNEERRGSSLKHIHVDVVCTLNRKPVWIIVSDRNPEYISWDRCRKSKGLKVRIQEVLAAAQSNLTLRPSSVILFFANGLATHIYNKLRDELGASEIELDFSVFSSDVLEETEGDWINVIARSYRNSCVLEINLAVGKDVVPNSGCIIQGSAVDSSQIDLSVGKAETQQQKLEENARIGDYSHLELLVDEAKTQAQPTEENVGTNLGDTFCSILKGMKPISMEIKNFESAKPGNLLGETDLVNFDTTALIALVSGISNGGTKKLLATPESEMRQRFKGNFDFVIGQIMSELQNPIHLEFGRILDGKHGLICESVLVEFKELVSMCGGPNEKLRAARLIDYLRVVPDTPSERMMGLPTTRKLAFKNKIVFGTGDHWHAPTFTANMAFVRAVSQTGMSLSTIEHRPRALTGD >Vigun04g175400.4.v1.2 pep primary_assembly:ASM411807v1:4:39938521:39947246:-1 gene:Vigun04g175400.v1.2 transcript:Vigun04g175400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRVSQVEVAKQRCKCVIDAIDKLPCSTNITHSCKRTLLKLARAELAFLSRPSSSYGPLSVNIGHLETVVHILQQSFITGVSRVCKSIPLSPSVSNEERRGSSLKHIHVDVVCTLNRKPVWIIVSDRNPEYISWDRCRKSKGLKVRIQEVLAAAQSNLTLRPSSVILFFANGLATHIYNKLRDELGASEIELDFSVFSSDVLEETEGDWINVIARSYRNSCVLEINLAVGKDVVPNSGCIIQGSAVDSSQIDLSVGKAETQQQKLEENARIGDYSHLELLVDEAKTQAQPTEENVGTNLGDTFCSILKGMKPISMEIKNFESAKPGNLLGETDLVNFDTTALIALVSGISNGGTKKLLATPESEMRQRFKGNFDFVIGQIMSELQNPIHLEFGRILDGKHGLICESVLVEFKELVSMCGGPNEKLRAARLIDYLRVVPDTPSERMMGLPTTRKLAFKNKIVFGTGDHWHAPTFTANMAFVRAVSQTGMSLSTIEHRPRALTGD >Vigun04g175400.1.v1.2 pep primary_assembly:ASM411807v1:4:39943255:39947362:-1 gene:Vigun04g175400.v1.2 transcript:Vigun04g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRVSQVEVAKQRCKCVIDAIDKLPCSTNITHSCKRTLLKLARAELAFLSRPSSSYGPLSVNIGHLETVVHILQQSFITGVSRVCKSIPLSPSVSNEERRGSSLKHIHVDVVCTLNRKPVWIIVSDRNPEYISWDRCRKSKGLKVRIQEVLAAAQSNLTLRPSSVILFFANGLATHIYNKLRDELGASEIELDFSVFSSDVLEETEGDWINVIARSYRNSCVLEINLAVGKDVVPNSGCIIQGSAVDSSQIDLSVGKAETQQQKLEENARIGDYSHLELLVDEAKTQAQPTEENVGTNLGDTFCSILKGMKPISMEIKNFESAKPGNLLGETDLVNFDTTALIALVSGISNGGTKKLLATPESEMRQRFKGNFDFVIGQIMSELQNPIHLEFGRILDGKHGLICESVLVEFKELVSMCGGPNEKLRAARLIDYLRVVPDTPSERMMGLPTTRKLAFKNKIVFGTGDHWHAPTFTANMAFVRAVSQTGMSLSTIEHRPRALTGD >Vigun04g101700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23503187:23504375:1 gene:Vigun04g101700.v1.2 transcript:Vigun04g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKHSPSISYIYSLLVFLPWCISFTFKKSLESWFINWWNTSQSKIFLNDIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDRMNTILHFSTNIICFFILSGYSILGNQELVLINSLVREFIYNLSDTIKAFSILLLTDLCIGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHSMND >Vigun09g241800.1.v1.2 pep primary_assembly:ASM411807v1:9:41139120:41141302:1 gene:Vigun09g241800.v1.2 transcript:Vigun09g241800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLQLTIVAILVAMLVVLWHGKKRNKGGRNNSKEAPVPVGAWPLIGHLHLLGGHDQLLYRTLGTMADQYGPAFNIWLGTRRAFVVSSWEVAKECFTTNDKALASRPTTVAAKIMGYNYAVFGFAPYSPFWREMRKIATLELLSNRRLEMLKHVRVSELSMGIRELYNSWVQNRSQPVAVELNRWLEDLTLNMVVRMVAGKRYFGASATCDDDEARRCQKAINQFFHLIGIFVVSDALPFLRWFDVQGHERAMKKTAMELDSILEGWLKEHRMQRVDGEVKAEGEQDFIDVMLSLQKSGHLSNFQYDSDTSIKSTCLAIILGGSDTTAGTLSWAISLLLNNRQALKKAQEELDLNVGMGRQVEESDIKNLAYLQAIIKETLRLYPAGPLLGPREAQEDCNVGGYHVPAGTRLVVNLWKIHRDPRVWEEPSAFRPERFLRSDGVDVKGQNFELIPFGSGRRSCPGMSFALQVLHLTLARLLHAFEFATPSDEAVDMTESPGLTIPKATPLELLLTPRLPPQLYTF >Vigun06g005900.1.v1.2 pep primary_assembly:ASM411807v1:6:3309613:3330463:-1 gene:Vigun06g005900.v1.2 transcript:Vigun06g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPKGSSSWLKSLDISDTWKDCRECGALAAIVISILVPVLFSALFFTKKKGKIRGVPAEVSGEGGYAIRNARKTELVEVPWQGAPTMAHLFEQSCDKYKQNQFLGTRKLIQREFITSSDGRKFEKLHLGDYEWETYGEVFARVSNFASGLLKLGHVMDSRVAIFSDTRAEWLIALQGCFRQNVTVVTIYASLGEDALIHSLNETEVSTLICDSKQLRKLDAIRSRLTSIQNVIYFEDGEKEDSFSGSLSGWTIASFSEVEKLGKENPVEPSLPSKNDTAVIMYTSGSTGLPKGVMITHGNIVATTAAVMTVIPNLGSKDVYLAYLPLAHVFEMAAESVMLAAGCAIGYGSPLTMTDTSNKIKKGTKGDATVLKPTLLTAVPAILDRIRDGVVKKVEQKGGLVKNLFHFAYKRRLVAVKGSWLGAWGLEKFVWDTIVFKQIRSALGGQLRFMLCGGAPLSGDSQYFINICMGAPIGQGYGLTETFAGAAFSEWDDYSVGRVGPPLPCCYIKLISWEEGGYLTSDKPMPRGEIVVGGFSVTAGYFKNEEKTKEVFKVDEKGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYISLGKVEAALSSCDYADNMMVYADPFHNYCVALVVASQQSLEKWAQQAGIDYRDFPDLCNKPETVKEVLQAISKVGKSAKLEKSEIPAKIKLLADPWTPESGLVTAALKIKREQLKAKFKDDLQKLYA >Vigun04g027500.1.v1.2 pep primary_assembly:ASM411807v1:4:2123821:2125022:1 gene:Vigun04g027500.v1.2 transcript:Vigun04g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIGVSSNFVSFLILLAIAFSFLSRVTPVSADMEVRKLGVMPSPPPSPLHNPPRHSRPPRPPPHRHHPPPPAF >Vigun03g364200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56772235:56773725:1 gene:Vigun03g364200.v1.2 transcript:Vigun03g364200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSNLTISYPFRTTHMDWFSWLSRTTLEPSLIYDYGLTFARNELQLEDACYFNHEFLQSMGISIAKHRLEILKLVKREGRGGGRRPKNLSGVIKKCLRKCMNKFVSREDDGDGDDDRVVKGVPSLMPVSMAPPEINWYEGKVRGSSVVRKQQEGSEEEEKALPVPPMYRSRTIALSGPLDGSRIMHDKMVHNRALKLSGPLDGRMHERMMMINSNRSPLIPRSLDARFVATAKSPRLSGHLDPRPMPAESKSPRPPRPSDSTRPDNESPMAYSPYNKPRADFDYDDDHTLWPSLFQDLKPT >Vigun10g110150.1.v1.2 pep primary_assembly:ASM411807v1:10:30980091:30980695:-1 gene:Vigun10g110150.v1.2 transcript:Vigun10g110150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKGTKAEEDQRVRLINFVETKYIVQVNSLLTEAHQSQIGVTPFKWCLQLDRCLDICTPLLLELLQRWDLGEESFHIWKYLLSLFAFDVCISLGLGVVRQEVECHVYGCGCISSLFSGERITIKGITKMISSMIGCEEHDVDSVCRLYILLAI >Vigun07g092000.1.v1.2 pep primary_assembly:ASM411807v1:7:14342015:14343468:-1 gene:Vigun07g092000.v1.2 transcript:Vigun07g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIRKSCYSLPFVFFCTVFWFLHLLPVSDADNTGLIFKGCAEQKLEDPSGIYSQNLKSLLDLLVSQSGKKAFFTTTSGEGQSVMRGLYQCRGDLSDTDCYNCVSKIPDMLENLCGKVVASRVQLIGCYLRYEIVGFKQVPETQLLYKVCGSKKDSYDDGFEERRDSAFGMVESGVKNGGDLFYTGSYQSLYVLGQCEGDLGKDDCGDCVKSAEDQAKAECGDSISAQIYLHKCFISYSFYPNRGGSSSAGSDTQKTVALAVGGFAALGFLIACFLFLKSMLKRKGEKY >Vigun07g092000.2.v1.2 pep primary_assembly:ASM411807v1:7:14342015:14343471:-1 gene:Vigun07g092000.v1.2 transcript:Vigun07g092000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLYQCRGDLSDTDCYNCVSKIPDMLENLCGKVVASRVQLIGCYLRYEIVGFKQVPETQLLYKVCGSKKDSYDDGFEERRDSAFGMVESGVKNGGDLFYTGSYQSLYVLGQCEGDLGKDDCGDCVKSAEDQAKAECGDSISAQIYLHKCFISYSFYPNRGGSSSAGSDTQKTVALAVGGFAALGFLIACFLFLKSMLKRKGEKY >Vigun11g076800.1.v1.2 pep primary_assembly:ASM411807v1:11:22702214:22707120:-1 gene:Vigun11g076800.v1.2 transcript:Vigun11g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDCLGRGSIIIIISRDEQILRIHGVDKVHQVQPLQKEEALQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLTVSQWRSALAMLRENKSKKIMDVLGISFEALDSTNKEIFLDISCFLHNYKVEDAVEILNFRGFYTDYGLQVLTNKSLLIIEDGIIKMHRLLIDLGQSIVREISPKEPRNWSRVWSCKDLQKILSNNKPAENLEAVVLSELEYFKTALSADGFSNMRHLKLLKINLVDFSGSLDYLPSELGYLCWPYYPFKSLPPRFLPYKLVSLSLVYSKIKQLWEDTKPLHNLKRLDLSFSEDLIKTPDLGEAINLEWLSLRRCTKIREIHPSIGLLRKLFYVNLEGCKSLIKLPNFEETQNLEILDLERCIKLEKIHPSIGLIRKLTFLNLKDCESLTMLPHFRDDLNLEILNLQGCMKLRQINPSIHHLKKLAILNFEGCKRLVCLPNTILCLSSLSYLNVSACSNLSYIQILEEARDEGHLKRPCVGEASVRSSIMKRWFKWPLYLLHPRTHKDSVSHLLPSPNFSCMRELDLSFCALLEIPDVVGNLYSLERLNLSGNSFSKLPHLKELSKLYHLVLRHCEQLKYLPELPSQTYLSSVIYKRPWTTTESPVWVNAPAKPPINFIPSGIEVLNCPKLVEIERERCTEMTVSWMIQILQAQRRVNPLSIPIEPLFSSIIPGSEIPRLFNHQNECSMSISSHASPFGHDYMAGVFCVVFRARCKRGIVSPMCHPIAKGRYNGSKIPMVLDDDLAIKDNYSDYMWIVFLDEYEFSRYQDSPLYDEIVALVGNDFDIDVKKCGYRLIYDLDLELSNLAMMHGGNLSALKNEHLAIEKNK >Vigun02g049900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19064970:19066043:-1 gene:Vigun02g049900.v1.2 transcript:Vigun02g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKGRILANGGAAIVGGDSLYVGYSSEDGVFISERLVHENIFTSFYIWKYEIHSYGQAMVMKESLIKFHI >Vigun05g035700.1.v1.2 pep primary_assembly:ASM411807v1:5:2904425:2907171:1 gene:Vigun05g035700.v1.2 transcript:Vigun05g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEDDHTCTCRLPLHASNFISLSFLLPFLITTNKQTLCSRIILQTNPSIYKKTTINKKVTNPFTQPYTPKTILLTFTSTAKATVYTPLFTMAIPHFLAIPFPIQGHINPLLQFSQVLVTYGCKITFLSSDENYRKLKSARDGAAMDPNIKFVSLPDGVDPEDDRKDQAKVISTTIKTMRVMLPKLIQDVNAFDTHNKISCIIVTKNMGWALEVGHHLGIKGSLFWPASATSLASFNSIQRLIDEGTIDCKTGLPSRNQELIQLSSNLPLMEAASMPWYCLDNPFFFLHMKQEMQNLNLAEKWLCNTTFDLEAGAFSTSPKLLPVGPLMANEKNNILCSLWEEDRTCLEWLDLQPPQSVIYVSFGSMVSLKPNQFNELALGLDLLKRPFLWVVREDNGFEVNNACEFRGSQGKVVSWAPQKKVLSHPAIACFITHCGWNSTIEGVCNGVPFLCWPFCSDQLMNQTYICDVWKVGVGFDRDENGMISKEEIKKKVEQLLVDEEIKGRSSKFMEMVIKNKTQGDHNLNMFINWAKD >Vigun05g035700.2.v1.2 pep primary_assembly:ASM411807v1:5:2904425:2907171:1 gene:Vigun05g035700.v1.2 transcript:Vigun05g035700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEDDHTCTCRLPLHASNFISLSFLLPFLITTNKQTLCSRIILQTNPSIYKKTTINKKVTNPFTQPYTPKTILLTFTSTAKATVYTPLFTMAIPHFLAIPFPIQGHINPLLQFSQVLVTYGCKITFLSSDENYRKLKSARDGAAMDPNIKFVSLPDGVDPEDDRKDQAKNMGWALEVGHHLGIKGSLFWPASATSLASFNSIQRLIDEGTIDCKTGLPSRNQELIQLSSNLPLMEAASMPWYCLDNPFFFLHMKQEMQNLNLAEKWLCNTTFDLEAGAFSTSPKLLPVGPLMANEKNNILCSLWEEDRTCLEWLDLQPPQSVIYVSFGSMVSLKPNQFNELALGLDLLKRPFLWVVREDNGFEVNNACEFRGSQGKVVSWAPQKKVLSHPAIACFITHCGWNSTIEGVCNGVPFLCWPFCSDQLMNQTYICDVWKVGVGFDRDENGMISKEEIKKKVEQLLVDEEIKGRSSKFMEMVIKNKTQGDHNLNMFINWAKD >Vigun03g403400.1.v1.2 pep primary_assembly:ASM411807v1:3:61051528:61054137:1 gene:Vigun03g403400.v1.2 transcript:Vigun03g403400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNTLPVEGWKLFPSSAMEIALDSDDGRSENMEVHNTITDDSSMHFSATRPVPSSGPIKVIPNPTKFTILYKGNMCVYEGIPAEKVREIMLIASVSAKSAEMKSGVPWTSLISKSASSLLGNSTDSAKKSSIRRLQDEFPLARRQSLQRFLEKRRNRLANKAPYISTKNLAHSIENNFCTEMSHFGSLNYPKRNFNPVLPPL >Vigun10g029700.1.v1.2 pep primary_assembly:ASM411807v1:10:3725488:3735721:1 gene:Vigun10g029700.v1.2 transcript:Vigun10g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTVANFEKPIGCYSPSVQELIVIDDVLSAMVGIEGRYILIKTVHGKNDDISFLVDPSMDLALQELAKRIFPLCKSFLLIDQFVESRSQFQNGLVNHAFSAALRALLLDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMMRSMQALSTVIQKASVNNISGSAVLNLLQSQAKAMAGDNAVRLMLEKMTQCASSAYMSILERWVYEGVIDDPYGEFFIAEDKSLQKESLTQDYEAKYWRQRYSLKDGIPSFLANIAGTILTTGKYLNVMRECGHNVQVPPSENSKLMSFGSNHHYLECIKAAYNFASSELLNLINDKYDLTGRLRSIKHYLLLDQGDFLVHFMDIARDELAKKPDEVSVEKLQSLLDLALRTTAAAADPFHEGLTCVVERSSLLRRLGTFNDLEVSQRSSTDNDLEETVSITGLETFSLSYKVHWPLSIVLSRKALTKYQLIFRFLFHCKHVDRQLCGAWQIHQGVRALNTRGTAISRSSLLCRSMLKFINSLLHYLTFEVIEPNWHVMYNRLQTANSIDEVIQHHDFFLDKCLRECLLLLPELLKKVEKLKSMCLQYSAATQWLISSSIDLGDSEEPIDSVGLNKAKRKSGQVLKSTTKNVAVTDSVLKFEKEFNTELQSLGSILSNNSQAEPYLAHLAQWILGVKSEQYGL >Vigun11g016600.2.v1.2 pep primary_assembly:ASM411807v1:11:2113421:2128687:1 gene:Vigun11g016600.v1.2 transcript:Vigun11g016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLQAYEEDNLLLSLPKEKGWAARHLYLFQGFWCPSNFIEGVIKFQKHFQAKDSDVIVASFPKSGTTWLKALTFAILNRHRFSSSHNHPLLTSNPHELVPFLDFIFHDDNIQDKLSDLSNMTEPRVFGTHVPFPSLSKSIKESNCKIVYICRNPFDIFVSLWIFTNKINPHAMHELKIEETLENYCKGIVGFGPTWEHMLGYWKESIANPNRVLFLKYEELKEDVNFYVKRVAEFLDCPFTEEEESNGVIENIINLCSFEKMKNLEVNKSGTFDRNFEKRHLFRKGEVGDWVNYFSPAMIEKLSKTMEEKLSGSGLSFKTSP >Vigun11g016600.1.v1.2 pep primary_assembly:ASM411807v1:11:2113385:2128794:1 gene:Vigun11g016600.v1.2 transcript:Vigun11g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLQAYEEDNLLLSLPKEKGWAARHLYLFQGFWCPSNFIEGVIKFQNHFQAKDSDVIVASFPKSGTTWLKALTFAILNRHRFSSSHNHPLLTSNPHELVPFLDFIFHDDNIQDKLSDLSNMTEPRVFGTHVPFPSLSKSIKESNCKIVYICRNPFDIFVSLWIFTNKINPHAMHELKIEETLENYCKGIVGFGPTWEHMLGYWKESIANPNRVLFLKYEELKEDVNFYVKRVAEFLDCPFTEEEESNGVIENIINLCSFEKMKNLEVNKSGTFDRNFEKRHLFRKGEVGDWVNYFSPAMIEKLSKTMEEKLSGSGLSFKTSP >Vigun10g048201.1.v1.2 pep primary_assembly:ASM411807v1:10:7257140:7258733:-1 gene:Vigun10g048201.v1.2 transcript:Vigun10g048201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMDNVSQPLIAFIVDQYLCRNQFYQTRATFRNEALPLFAARPSNTNVLSLEEILNQYILLKKQHTRLEEEKVMVMQEKNRIQKLLQDIQNGMVSFNARSPMSNVTTIITNSAIARPVEKSIRTTPVASSTIIFPMQNTMSLPIKPTDNKNLSSPMIGVFDKKRKEISTANGSVVAKKPRGTNMSLSSPNNKVDFGSFDASTQSLVINPTLKESQISTNYVSTTHPIIHSFPSDTDVTLVAKCNGEVIAPSYNVITTKRDMVDHVKQMACNEGNIDFSSIVANNDETQKRDTNKESNMDTNITSTIALDVNSFDIPKNLDTSFSNDIAASEFDNKRDDCIYLDFSEDMLDFINFEE >Vigun06g182600.2.v1.2 pep primary_assembly:ASM411807v1:6:30234039:30237922:1 gene:Vigun06g182600.v1.2 transcript:Vigun06g182600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQACTMMGSLQQSVWTKGMNFPAKGYGSTYGFVPEVKFCNMKPCKASPVEGSLVTGKPSSLSYSVPEIGGDGSSFLDYGLTEADPEVRAIIDKEKDRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQQRALAAFHVDGNKWGVNVQPLSGSPANFAVYTAVLKPHDRIMGLDLPHGGHLSHGFMTPKKRVSATSIYFESMPYRLDESTGLIDYDMLEKTAILFRPKLIIAGASAYPRDIDYPRMRKIADEVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDPVHGVDLEPAINNSVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKNYQNQVVANCRALAQRLVEHGYKLVSGGSDNHLVLLDLRPSGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGAPAMTTRGLGEKEFALIADLIHEGVQISLEAKSLVSGTKLQEFLKFVSSSEFPLGEKVSELRRKVEALTTQYPIPGV >Vigun06g182600.1.v1.2 pep primary_assembly:ASM411807v1:6:30231839:30237935:1 gene:Vigun06g182600.v1.2 transcript:Vigun06g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQACTMMGSLQQSVWTKGMNFPAKGYGSTYGFVPEVKFCNMKPCKASPVEGSLVTGKPSSLSYSVPEIGGDGSSFLDYGLTEADPEVRAIIDKEKDRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQQRALAAFHVDGNKWGVNVQPLSGSPANFAVYTAVLKPHDRIMGLDLPHGGHLSHGFMTPKKRVSATSIYFESMPYRLDESTGLIDYDMLEKTAILFRPKLIIAGASAYPRDIDYPRMRKIADEVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDPVHGVDLEPAINNSVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKNYQNQVVANCRALAQRLVEHGYKLVSGGSDNHLVLLDLRPSGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGAPAMTTRGLGEKEFALIADLIHEGVQISLEAKSLVSGTKLQEFLKFVSSSEFPLGEKVSELRRKVEALTTQYPIPGV >Vigun09g161400.1.v1.2 pep primary_assembly:ASM411807v1:9:32877642:32888119:1 gene:Vigun09g161400.v1.2 transcript:Vigun09g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKRASSSFVKKGDRQMFTVDLRPGETTIVSWKKLMKDSSKPNGSNSAPQQVAIAPGEPVDVEENDPSQPNRFSAVIEKIERLYMGKDSSDDEDLLDVPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPAPPNQQPKKRRRKDIMKNGGENSDGHGSNKNVKVGRPASGKTASLHAKNMLNSSENLVAPDEHYEDLKLSNQSDVSGIISKKKTVDTKPTLDSSISLKTSNDDVPGVTDAKDADKQRIGVFQSKSISDKCKDGTGSLDASHQKYNEKSAYAHSKSQPGRPPNSIDDLENIIRTKEKSGMCELPDLNLSEGKSATQATKYENMQKKEGSSVRPKTSMLEKALRELEKMVAESRPPAVDNQDAVKRRLPREIKLKLAKVARLAATHGKVSKELINRLMSILGHLIQLRTLKRNLKIMISMGLSAKQEEDNRFQQIKKEVVDMIKMLPPTLESKALQQQQKGEASGDFQEFGPDGKTNTKRKFAMDAALEDKICDLYDLFVDGLDENAGPQIRKLYAELAELWPRGHMDNHGIKRGICRAKERRRALYNKHKDQEKIKRKKLLVPKQEENVRFDANSITSQQNQRERSAPESSSHGFTSGSKQVSNASPTGRVPSPINGLKQEKAKGSSSSSLDDVRVAEAVLTKKVKRKPEVELEAHLVSEKVASLQGEERPRSLKQQSSGPLPNKSNLQPTSVPDLQHSS >Vigun01g045300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6718932:6720266:-1 gene:Vigun01g045300.v1.2 transcript:Vigun01g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQKDSVKFNVGGRVMETSATTLANAGRNSMFGAMFDDNWNLLLSSNKEGQRFLDRNPDCFGVLLDLLRTGELHIPPNIPEKLLYREALYYGLLDHVRAAKWGPFDGNRLRLSRSLQGQAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMLEEHPPLSLDFQRVNDVGWVDSDNVVVGVSERLGRGDGGMGLFSSQSGELRYKFQVSHENQVKSYTAGALSFSSDYKIFCSCKGRSNEYGVGVWDQVTGKQIDFFYEPLGWSLGDADKLQWLEGSNCLLVATMFPRKDNCYISVLDFREKKMVWCWSDMGAPFTADEKRVRDAIAMEDNSSICVVNEFEDLGFMDLRSSSATSVRWSSRSRLMKGKMPEEPCYPKLALHGGQLFSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEDNVFDIWETPPPPIL >Vigun07g075800.1.v1.2 pep primary_assembly:ASM411807v1:7:9900177:9905063:-1 gene:Vigun07g075800.v1.2 transcript:Vigun07g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIPNGKDAFCVTAANAAGDPLNWAAAAHALSGTHLDEVKRMVADYRKPAVRLGGETLTVAQVAAIAAHDQGLKVELAEAARAGVKASSDWVMDSMDKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESNCTLPHTATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPSGEILNAKEAFELANIGSEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVISAVFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKAAKKMHEVDPLQKPKQDRYALRTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTNEAVEILKLMSSTFLVALCQAIDLRHLEENLKNTVKNVVSQVAKRTLTTGVNGELHPSRFCEKDLLKVVDREYTYAYIDDPCSGTYPLMQKLRQVLVEYALANGENEKNLNTSIFQKIASFEEELKTLLPKEVEGARLAYENDQCAIPNKIKECRSYPLYKFVREELGTSLLTGEKVISPGEECDKVFTAMCQGKIIDPLLECLGEWNGAPLPIS >Vigun10g002700.1.v1.2 pep primary_assembly:ASM411807v1:10:250660:252308:1 gene:Vigun10g002700.v1.2 transcript:Vigun10g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKKVLEELLRGYDSATQLRVVMNEKDESETRTPFVQNLAKNVLRSFTNTLFLLDKYHSHHHLVSPTKSEDSQESCKSFTTSFKNRRGCYKRKRTTQEWEKVSQAPTVDGHHWRKYGQKEILKAKYSRHYYRCTHKYDQNCEATKQVQRIQEDPPLYKTTYFGHHTCNDLLSSEIILDSNDSLSDTSILLSFNNSFPAPTKQECPFLSSLNPSPSLIKEERIPSCYSDEHMLSPEPTLDHSSRHNNNNVTTLPLDHWDVMSSALCDSVDLDDISLLLDFDD >Vigun04g011200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:829710:830687:1 gene:Vigun04g011200.v1.2 transcript:Vigun04g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRSGGLLSNGGTGDDPCHVQLGKYAAIALSERQVMMQQNGGVLESWNITYEVGASACALQLTRECDYTKNVKGLRLQLRSETTKRSGDLAEFDFFLDVQTINGDDFVVSPRWFAICGVHTTTSLNQHKRVNNKTGSVSDMDMYLYGEGHKGGFIVLERKKKSGHLLPFEVTLAHYHATGSGINDYRKIKPDFGLSMVAKIRSIGGNLTIMVDGPEQHPSSALLYMFDEVSRNGYWHRDMCPHCAKNPKKQNREQWQSESEDSDSTPKGVSLGGSGKNVRVISNGGKFGGDGNGNFYERNVFNVIYQHQWSENKSVSGRTYT >Vigun04g012500.4.v1.2 pep primary_assembly:ASM411807v1:4:912571:916607:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVSIVCGGCAA >Vigun04g012500.1.v1.2 pep primary_assembly:ASM411807v1:4:912748:916610:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVSIVCGGCAA >Vigun04g012500.2.v1.2 pep primary_assembly:ASM411807v1:4:912829:916617:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVIVCGGCAA >Vigun04g012500.3.v1.2 pep primary_assembly:ASM411807v1:4:912571:916618:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVSIVCGGCAA >Vigun04g012500.6.v1.2 pep primary_assembly:ASM411807v1:4:912571:916617:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVIVCGGCAA >Vigun04g012500.5.v1.2 pep primary_assembly:ASM411807v1:4:912571:916617:1 gene:Vigun04g012500.v1.2 transcript:Vigun04g012500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMGSNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRHRRSGCSFVIVCGGCAA >Vigun07g182600.1.v1.2 pep primary_assembly:ASM411807v1:7:29889070:29889826:1 gene:Vigun07g182600.v1.2 transcript:Vigun07g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVKIILRIMKLKVRSQRNYNHSEKYSKPHLFGSGQRLEQLVRFSHP >Vigun04g017400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1256219:1256975:1 gene:Vigun04g017400.v1.2 transcript:Vigun04g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQRKFVSVIVLGLCLVLGMSHYVNARNLEKTSKEEVKKPESCIDGGSGGGLGGGSGGLGGGYGGGGSGLGSGFGGDGGGGSGLGAGIGGVGGVGGGGVGGGIVGGIGGGIYKGIGIGGVGGGVGAIGGIGGVIGGFENVEANKP >Vigun04g017400.3.v1.2 pep primary_assembly:ASM411807v1:4:1256219:1256975:1 gene:Vigun04g017400.v1.2 transcript:Vigun04g017400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQRKFVSVIVLGLCLVLGMSHYVNARNLEKTSKEEVKKPESCIDGGSGGGLGGGSGGLGGGYGGGGSGLGAGIGGVGGVGGGGVGGGIVGGIGGGIYKGIGIGGVGGGVGAIGGIGGVIGGFENVEANKP >Vigun04g017400.2.v1.2 pep primary_assembly:ASM411807v1:4:1256219:1256975:1 gene:Vigun04g017400.v1.2 transcript:Vigun04g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQRKFVSVIVLGLCLVLGMSHYVNARNLEKTSKEEVKKPESCIDGGSGGGLGGGSGGLGGGYGGGGSGLGAGIGGVGGVGGGGVGGGIVGGIGGGIYKGIGIGGVGGGVGAIGGIGGVIGGFENVEANKP >Vigun05g144500.1.v1.2 pep primary_assembly:ASM411807v1:5:19558024:19563675:-1 gene:Vigun05g144500.v1.2 transcript:Vigun05g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNWNLLDEALGLHNNDNAKPWPLCGRFVLVEDCVDTSAAFVLHHILKRSFSSHPSSAVLLLAFSHPFSHYDRVLRKLGCNLTAQRDNGRLFFLPMLMFQCPGEGKPNHDGLASVFEQIERVITVLHQDKKSISIIIDDISFLEVAANGSSDDVLNLMHYCHTLTSEYGCAFIALDHKDIYLNGDRTAVILEMEYLAEILVKAEPLATGLAKDVHGQLMVLNKETQHQHGITAIKSYNFHFKIKETGIECFYPGTKIQ >Vigun05g007000.1.v1.2 pep primary_assembly:ASM411807v1:5:621332:625451:-1 gene:Vigun05g007000.v1.2 transcript:Vigun05g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSKNLSSVFYADSYHPIQVGSIDGTDVVPHDNAVYRAQLCSSIGLYDPLGDPKATGDPYCTLFVARLSRLTTQDTLHKVMSKYGQVKNLRLVRDIVTGASRGYAFVEYETEREMRRAYMDAHHLIVDDCEIIVDYNRQQLMPGWIPRRLGGGLSGKKESGQLRFGGREKPFRAPLKPIPYEELKKLGIPHPPEGRYMSRFQVPSPPRRERSLSDREEQYYKHRRESDNRNRKEDTLRSSVDIKEEHHRKSSRHRDDDHSRGRSSSERSGRYHERSSSEKEHLHWRSTNKDNRSSSEKEPPHRSSNDKDKHLHRRSTDKDNRSSSEKEHRHHRSSNDKDKHSRKRKERDERSQTQGKYSRHSPHKD >Vigun05g007000.3.v1.2 pep primary_assembly:ASM411807v1:5:621304:625053:-1 gene:Vigun05g007000.v1.2 transcript:Vigun05g007000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGQVKNLRLVRDIVTGASRGYAFVEYETEREMRRAYMDAHHLIVDDCEIIVDYNRQQLMPGWIPRRLGGGLSGKKESGQLRFGGREKPFRAPLKPIPYEELKKLGIPHPPEGRYMSRFQVPSPPRRERSLSDREEQYYKHRRESDNRNRKEDTLRSSVDIKEEHHRKSSRHRDDDHSRGRSSSERSGRYHERSSSEKEHLHWRSTNKDNRSSSEKEPPHRSSNDKDKHLHRRSTDKDNRSSSEKEHRHHRSSNDKDKHSRKRKERDERSQTQGKYSRHSPHKD >Vigun05g007000.2.v1.2 pep primary_assembly:ASM411807v1:5:621256:625160:-1 gene:Vigun05g007000.v1.2 transcript:Vigun05g007000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSKNLSSVFYADSYHPIQVGSIDGTDVVPHDNAVYRAQLCSSIGLYDPLGDPKATGDPYCTLFVARLSRLTTQDTLHKVMSKYGQVKNLRLVRDIVTGASRGYAFVEYETEREMRRAYMDAHHLIVDDCEIIVDYNRQQLMPGWIPRRLGGGLSGKKESGQLRFGGREKPFRAPLKPIPYEELKKLGIPHPPEGRYMSRFQVPSPPRRERSLSDREEQYYKHRRESDNRNRKEDTLRSSVDIKEEHHRKSSRHRDDDHSRGRSSSERSGRYHERSSSEKEHLHWRSTNKDNRSSSEKEPPHRSSNDKDKHLHRRSTDKDNRSSSEKEHRHHRSSNDKDKHSRKRKERDERSQTQGKYSRHSPHKD >Vigun09g241600.1.v1.2 pep primary_assembly:ASM411807v1:9:41119962:41124066:-1 gene:Vigun09g241600.v1.2 transcript:Vigun09g241600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAAVVYLATALVKLICLATFLKVSESDSFDPYQEILKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYLSAVIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFAACQRPSV >Vigun10g055566.1.v1.2 pep primary_assembly:ASM411807v1:10:9824854:9828205:1 gene:Vigun10g055566.v1.2 transcript:Vigun10g055566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLGVHCCGPTILQVVPEHESICIRQHLVRLSVLDVCVCLGLNAVGVDVEFNSVVCGVIKSLFEHEPITIDDIVNRIYFYLQSGDDNDIDNVDNVCRLYLLLCFALLYFPRTSRTVTNMPFRLLDNLDNLNQYNWSRSVHSFLVEGFNRAYHTLREDQNTSAITVAGSVAIFQLLVCRLLNVGSYEGDVTFPRILSWPSLVIRTHGIKSAFESNKVVLEWELSEDEKNIDVVREALNVAGHGIPKKGVDDMSFTKFKQWCKRKLKRNYRVVQQLKDQLSNMEKEYACGGQEADPSSFEEPEPSPFHKADHFDVEEPQCRSFDHPSSSHQPQPSSFEQGHAFDVEEPQSRDFEDPSSFHQAEPSCHDIGDGCDVEEAHAGHCNKHDQGSPEYNPHGMEIIPYVEPGKCSLDVDLSELYRILVSQDGRQTVVDINQQILTTVESCGFRPRGKLSNMAILFACNNFMYRQRKFNGVIKRVVFGTLYTTVVLEDSRKVKAKRREWVLGDYNNFLRRGLVSVHDIHQVSCFGHNPWVPPYLNITVCNIVKVFKNDISPPTDHEVEASMPVVRNYPGEYLDVDDILKKLVPPPKVVITGYHEDTFVAGSSSLPCSNAAISLGADSPHGNYDAHPDGSTTFDVECPDA >Vigun10g055566.2.v1.2 pep primary_assembly:ASM411807v1:10:9824854:9828205:1 gene:Vigun10g055566.v1.2 transcript:Vigun10g055566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLGVHCCGPTILQVVPEHESICIRQHLVRLSVLDVCVCLGLNAVGVDVEFNSVVCGVIKSLFEHEPITIDDIVNRIYFYLQSGDDNDIDNVDNVCRLYLLLCFALLYFPRTSRTVTNMPFRLLDNLDNLNQYNWSRSVHSFLVEGFNRAYHTLREDQNTSAITVAGSVAIFQLLVCRLLNVGSYEGDVTFPRILSWPSLVIRTHGIKSAFESNKVVLEWELSEDEKNIDVVREALNVAGHGIPKKGVDDMSFTKFKQWCKRKLKRNYRVVQQLKDQLSNMEKEYACGGQEADPSSFEEPEPSPFHKADHFDVEEPQCRSFDHPSSSHQPQPSSFEQGHAFDVEEPQSRDFEDPSSFHQAEPSCHDIGDGCDVEEAHAGHCNKHDQGSPEYNPHGMEIIPYVEPGKCSLDVDLSELYRILVSQDGRQTVVDINQQILTTVESCGFRPRGKLSNMAILFACNNFMYRQRKFNGVIKRVVFGTLYTVL >Vigun07g109100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20193390:20195242:1 gene:Vigun07g109100.v1.2 transcript:Vigun07g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRNLRHLNLNMCCSNSATPDSETPPLSPITTSSHHNIHSASPSSIMIKNFNSIYDPTLTSNNSFTSTFFSTTTFFEPEPEPQPADFATACASQRFFFSSPGHSNSLLQYTNKATQHHSPSLREDGADVEKTKMNKKVPFKGSVAVATYSPDPYVDFRRSMQEMVEARPELMDVKSNWNVLHELLLCYLALNPKNTHKFILGAFADLLVSLMSF >Vigun07g109100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20193363:20195752:1 gene:Vigun07g109100.v1.2 transcript:Vigun07g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRNLRHLNLNMCCSNSATPDSETPPLSPITTSSHHNIHSASPSSIMIKNFNSIYDPTLTSNNSFTSTFFSTTTFFEPEPEPQPADFATACASQRFFFSSPGHSNSLLQYTNKATQHHSPSLREDGADVEKTKMNKKVPFKGSVAVATYSPDPYVDFRRSMQEMVEARPELMDVKSNWNVLHELLLCYLALNPKNTHKFILGAFADLLVSLMSF >Vigun07g229300.1.v1.2 pep primary_assembly:ASM411807v1:7:35101044:35103633:1 gene:Vigun07g229300.v1.2 transcript:Vigun07g229300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYDDMDMGYEDEPPEPEIEEGAEEDLENKNDELTGDPIDTGDKDEEQVDRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Vigun06g077500.1.v1.2 pep primary_assembly:ASM411807v1:6:20850416:20860690:1 gene:Vigun06g077500.v1.2 transcript:Vigun06g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGLDNVGSRKRKRQTSRKLEVDAKVEVRSMDNGFQGSWHPGTVIMCEDLQRRVRYNNILDNNGAYNLEEVVNVSKALDGDIECADCYARGFIRPLPPLVEFDRGDLVFGLCVDVNYKEAWWEGVIFDHCDGMDKRNVLFPDLGDEMPVEIHQLRVTQDWDEITEEWTRRGNWVFLDLVEEQKRNLFVTVSAKQIWYDVRIKKEFETIREWTCNLKYLWTKLIKEVVNHYFSLTLKEIISVLDLPGSLLSETPEHASAEAVPNVDLSMIGQNNQIVVQKGTVPPIKGTLSDVQNEIRPCGDSPFNESREDRSSSHLVSSFWNPVKLSGVELCPDAVREYLLASKRDVRAFWMDKLQKHLVCLGWKIEWSNRLNVKRYKYIAPYTQGQRCYLSLIEVCKAIKHDPNMNSLHLQNDHTIMHPTVGCHLSDVPSIPAENIRSITHLQNDHTIMHPTVDCHLSDVPSNPAEHIQNPDIPLAENIQNPDIPLAEHIQNPDIPLAENIQNPDIPLAENIQNPDIPLAENIQNLDIIPPAENIQNLDIFPPAENILNLDIFPPAKNIQNLDIIPPAENIQNLDIFPPAENIQNLDIFPPAGNIQNLDIIPTVDNIQNLDIFSPAKNIQNLDIFPPAEPSSPVEDEVEEVPEYCPRAVVQYYRMYIHNKSRADKKKWILKAKKHLLAEGWVFDHPPPFNKKRGIIYMSPQNRKFPTLHAACKFCINETIPNWNLIWQEEMDEGASSIKPTTNQKKKNKRDLKANTPKGQSNGPRQVLRSNKRVQKVSVSTHLHHKPLNIPSYLIDSNIILPRSKVYYKAKGRNRAVRTFAEGKITHDGIKCNCCLTIYSFAGFEKHATGSSTCRPSANIFLDDGKSLLDCQIQMMQGQKTSVASGKALTDLSLADNDYICSVCRYGGELILCDKCPSSFHKTCLGLEDVPEGDWFCPSCRCGICGQRKIDGDEVEHFLSCIQCEHKYHVRCLKNGAANTSRYNGNWFCGKDCEKIYEGLHKLLGEPIPVANNLTWTLMKFINPDSCDLGNIESDLVAESYCKLNLALLLMRECFEPLKESLTSRDLVEDALFSRRSELNRINFQGFYTVLLERNEELVSVATIRVHGKKVAEIPLVGTRLQYRRHGMCRILVNELEKKLMKLDVERLVLPAVPSVLETWTGSFGFAKMTNYERSQFLDYTFLDFEGTIMCQKLLMNIASSDSVPLIESERCCVNNSKSDPICEVQQVEAIDEDACAGNNDHHVIPAIDPFRMVEEPDQQCLKGTTSSVCSLDELADKNDGLYKFVYTRKKMRKSY >Vigun02g172300.1.v1.2 pep primary_assembly:ASM411807v1:2:31498786:31500857:1 gene:Vigun02g172300.v1.2 transcript:Vigun02g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEANGGEKQLKFLIYGRTGWIGGLLGKLCEERGIEYEYGSGRLENRLSLEADITAVKPSHVFNAAGVTGRPNVDWCESHKLETIRTNVVGTLTLADVCRQNNLILINYATGCIFEYDSSHTVRSGIAFKEHDTPNFTGSFYSKTKAMVEDLLRNYDNVCTLRVRMPISSDLSNPRNFITKITRYHKVVDIPNSMTVLDELIPISVEMAKRNLTGIWNFTNPGVVSHNEILEMYREYVDPSFSWKNFSLEEQAKVIVAPRSNNELDASKLKKEFPHLLSIKDSLLKYVFHPNSKNPKLLV >Vigun11g043300.2.v1.2 pep primary_assembly:ASM411807v1:11:6347564:6359655:-1 gene:Vigun11g043300.v1.2 transcript:Vigun11g043300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMSHGLAFALVAVLFCLFSCFVSFAQAQSSNATTDPSEARALNSIFSRWGISADTSVWNISGELCSGSAIDSTSTPESYNPFIRCDCSFDSGTICRITALRVYALDNVIGDIPEELWTLTYLTNLNLGQNYLTGSLPPAIGNLTRMQYLSLGINNLSGELPKELGNLTDLIVLSISSNNFSGSLPSELGKLTSLQQLYIDSAGISGPIPSSFADLRSLVTVWASDVEFTGNIPSFIGNWTGLQVLRFQGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQDMKSLTILELRNNNISGSIPSFIGELHNLTQLDLSFNNIEGQIPGSIFNLSSLTTLFLGNNKLNGTLPTQIRSSLQNIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGAGRYSDFAIKCGGTQIMSTEGITYERENETLGSATYFVTDTKLFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSTTSWQSLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYIDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNRTGLIVGIVVGVGVVSFLSVFLIFWIIRRRKQRQHEDEELSGIDTKPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLSDGRVIAVKQLSVASHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNKKLLVYEYLENKSLDQGLFGNSLTLDWSTRYDICVGIARGLAYLHEESRLRIVHRDVKASNILLDYELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNSDSSLEGEKVYLLEWAWQLHESNLIMDLVDPRLSEFNEEEVKRIVGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSTVTSKPGYLTDWKFDDVSSFMTDNAIKGLNTSYQSSSGSTSMVGGADYSPISVSKPILEDTLDEGR >Vigun11g043300.4.v1.2 pep primary_assembly:ASM411807v1:11:6347556:6357878:-1 gene:Vigun11g043300.v1.2 transcript:Vigun11g043300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSLGINNLSGELPKELGNLTDLIVLSISSNNFSGSLPSELGKLTSLQQLYIDSAGISGPIPSSFADLRSLVTVWASDVEFTGNIPSFIGNWTGLQVLRFQGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQDMKSLTILELRNNNISGSIPSFIGELHNLTQLDLSFNNIEGQIPGSIFNLSSLTTLFLGNNKLNGTLPTQIRSSLQNIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGAGRYSDFAIKCGGTQIMSTEGITYERENETLGSATYFVTDTSKWAVSNVGLFTGSNNPVYTRFVSNQFTNTLNPELFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSTTSWQSLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYIDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNRTGLIVGIVVGVGVVSFLSVFLIFWIIRRRKQRQHEDEELSGIDTKPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLSDGRVIAVKQLSVASHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNKKLLVYEYLENKSLDQGLFGNSLTLDWSTRYDICVGIARGLAYLHEESRLRIVHRDVKASNILLDYELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNSDSSLEGEKVYLLEWAWQLHESNLIMDLVDPRLSEFNEEEVKRIVGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSTVTSKPGYLTDWKFDDVSSFMTDNAIKGLNTSYQSSSGSTSMVGGADYSPISVSKPILEDTLDEGR >Vigun11g043300.1.v1.2 pep primary_assembly:ASM411807v1:11:6347564:6359655:-1 gene:Vigun11g043300.v1.2 transcript:Vigun11g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMSHGLAFALVAVLFCLFSCFVSFAQAQSSNATTDPSEARALNSIFSRWGISADTSVWNISGELCSGSAIDSTSTPESYNPFIRCDCSFDSGTICRITALRVYALDNVIGDIPEELWTLTYLTNLNLGQNYLTGSLPPAIGNLTRMQYLSLGINNLSGELPKELGNLTDLIVLSISSNNFSGSLPSELGKLTSLQQLYIDSAGISGPIPSSFADLRSLVTVWASDVEFTGNIPSFIGNWTGLQVLRFQGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQDMKSLTILELRNNNISGSIPSFIGELHNLTQLDLSFNNIEGQIPGSIFNLSSLTTLFLGNNKLNGTLPTQIRSSLQNIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGAGRYSDFAIKCGGTQIMSTEGITYERENETLGSATYFVTDTSKWAVSNVGLFTGSNNPVYTRFVSNQFTNTLNPELFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSTTSWQSLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYIDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNRTGLIVGIVVGVGVVSFLSVFLIFWIIRRRKQRQHEDEELSGIDTKPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLSDGRVIAVKQLSVASHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNKKLLVYEYLENKSLDQGLFGNSLTLDWSTRYDICVGIARGLAYLHEESRLRIVHRDVKASNILLDYELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNSDSSLEGEKVYLLEWAWQLHESNLIMDLVDPRLSEFNEEEVKRIVGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSTVTSKPGYLTDWKFDDVSSFMTDNAIKGLNTSYQSSSGSTSMVGGADYSPISVSKPILEDTLDEGR >Vigun11g043300.3.v1.2 pep primary_assembly:ASM411807v1:11:6347564:6357903:-1 gene:Vigun11g043300.v1.2 transcript:Vigun11g043300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSLGINNLSGELPKELGNLTDLIVLSISSNNFSGSLPSELGKLTSLQQLYIDSAGISGPIPSSFADLRSLVTVWASDVEFTGNIPSFIGNWTGLQVLRFQGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQDMKSLTILELRNNNISGSIPSFIGELHNLTQLDLSFNNIEGQIPGSIFNLSSLTTLFLGNNKLNGTLPTQIRSSLQNIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGAGRYSDFAIKCGGTQIMSTEGITYERENETLGSATYFVTDTKLFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSTTSWQSLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYIDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNRTGLIVGIVVGVGVVSFLSVFLIFWIIRRRKQRQHEDEELSGIDTKPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLSDGRVIAVKQLSVASHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNKKLLVYEYLENKSLDQGLFGNSLTLDWSTRYDICVGIARGLAYLHEESRLRIVHRDVKASNILLDYELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNSDSSLEGEKVYLLEWAWQLHESNLIMDLVDPRLSEFNEEEVKRIVGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSTVTSKPGYLTDWKFDDVSSFMTDNAIKGLNTSYQSSSGSTSMVGGADYSPISVSKPILEDTLDEGR >Vigun07g227300.2.v1.2 pep primary_assembly:ASM411807v1:7:34936275:34939235:1 gene:Vigun07g227300.v1.2 transcript:Vigun07g227300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKGRNIFTWSYNSYKTSEVAVEKPIEGSVNRDAVLARVATEKRLSLIKAWEESEKSKAENKAYKNISSISAWENSKKAATEAELRKIEEQLEKKKAEYAEKLKNKIATIHREAEEKRAFTEAKKGEDFLKAEETAAKYRATGSAPKKLFGCFY >Vigun07g227300.1.v1.2 pep primary_assembly:ASM411807v1:7:34936275:34939235:1 gene:Vigun07g227300.v1.2 transcript:Vigun07g227300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDQSHHATTHTPPEPVAEEKSVIPQPSPSAEDSKALVVLEKTSEVAVEKPIEGSVNRDAVLARVATEKRLSLIKAWEESEKSKAENKAYKNISSISAWENSKKAATEAELRKIEEQLEKKKAEYAEKLKNKIATIHREAEEKRAFTEAKKGEDFLKAEETAAKYRATGSAPKKLFGCFY >Vigun07g227300.3.v1.2 pep primary_assembly:ASM411807v1:7:34936667:34939235:1 gene:Vigun07g227300.v1.2 transcript:Vigun07g227300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHLFTTSETSEVAVEKPIEGSVNRDAVLARVATEKRLSLIKAWEESEKSKAENKAYKNISSISAWENSKKAATEAELRKIEEQLEKKKAEYAEKLKNKIATIHREAEEKRAFTEAKKGEDFLKAEETAAKYRATGSAPKKLFGCFY >Vigun06g019200.1.v1.2 pep primary_assembly:ASM411807v1:6:8776594:8777798:1 gene:Vigun06g019200.v1.2 transcript:Vigun06g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSLTLLLLLDTINATPAAGTRVCSPCHRAAARLARWRHSRRPCRHHASRHRREVTPSTLLFLVSPSRVQDRGRSFFLIQASRISLPARDGRFRVAPPRRHRRGNCRCRCHHLRFVLGPSPLGTSDPDRLRLLHRATAPPSRDRALVQSFESPAARPPEEERRRRNWFWVLGYHVKVNGVYYMMLMLVAMVGFCFFCFFVI >Vigun09g263100.1.v1.2 pep primary_assembly:ASM411807v1:9:42756810:42758313:1 gene:Vigun09g263100.v1.2 transcript:Vigun09g263100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKLLLLASFCLFASLSFGRDFSIVGYSSEDLKSMDKLIELFESWMARHSKIYETIEEKLLRFEIFKDNLKHIDERNKVVTNYWLGLTEFSDLSHQEFKNKYLGLKVDYSRRRESPEEFTYKDVELPKSVDWRKKGAVTQVKNQGSCGSCWAFSTVVAVEGINQIVTGNLTSLSEQELIDCDRTYNNGCNGGLMDYAFSFIVENGGLHKEEDYPYIMEEGTCEMTKEETEVVTISGYHDVPQNNEQSLLKALANQPLSVAIDASGRDFQFYSGGVFDGHCGSDLDHGVAAVGYGSAKGVDYIIVKNSWGSKWGEKGYIRMKRNIGKPEGICGIYKMASYPTKNK >Vigun10g091166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26297171:26301749:1 gene:Vigun10g091166.v1.2 transcript:Vigun10g091166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFIDVLFDRLASPELVNLIRGKKPDKLLQKVEKQLIVIRVVLADAENRQITDPNVKKWLDVLKDLVYEVDDLLDEVSTKAAAQKELRNSFSRLFKRNKIVSISKIEDIVERLDDILKQKESLGLKDIPVECYQPWKAHQTSLEDGYGMYGRDKDKEAILKMVLEDSTDGEPVSVIPIVGMGGVGKTTLARSVFNDDKLKQQIFDLKAWVCVSDLFDIVKVTRTMIEEITRKACKLSDLNALQLELTDKLKGKRFLIVLDDVWIEDCDNWSCLTKPFLSGIRGSKVLVTTRNENVAVAVSFHTVEVYRLNKLSTEDCWLVFANHAFPLSVDSRSRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHTVRDWNNVLESDIWKLPEGQCKIIPALSISYNYLPPHLKRCFVYCSLYPKDFNFKKDELIQLCMAEDLVIAPNKGKTLEEVGDEYFDDLVLRSFFQLSYSWARGSYFVMHDLMHDLAAFLGGKFYFRADELGKKTKIDRKTRHLSFTRFSDPVSDIEVFDIVKFPRTFLLFKFKDSLFNNEKAPRIVVSMLKYLRVLSFSYFQGLFALPDSIGELIHLRYLNLSFTSIKTLPESLCNLWNLQTLKLSFCRELTKLPSDLQNLVNLCYLEILETPIKQRPKRMGKLNKLQKLDFYVVGKHIENSIKELGGLPNLSGRFSIKALENVKQ >Vigun04g180000.1.v1.2 pep primary_assembly:ASM411807v1:4:40392926:40394886:-1 gene:Vigun04g180000.v1.2 transcript:Vigun04g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNVSANALVPLIILFCFFFYRLFKFSRGKETPTVAGAWPILGHLPLLSGSKTPHRTLGDLADKYGPIFTIQLGSKKALIINNWETAKECFTTNDIAVSSRPKLVAMEHMSYNQAMFAVAPCGPYWRKVRKITTLEILSPHRVKQLRHVFESEVRGSIKELFNFWCSNKNECGYASVELKQWFSHLIFNIVLQMVLGKRYFSAGTVDDEKARRCVKAVKEFMHLFGVFTVGDAVPWLRWFDFGGHEKAMKETAKELDSIIAEWLEEHRQSKPSGGKVDGVQDFMDVMISMLDGQTSDGVDADTMIKSTVLTIVAGATDTISTTLTWAMCLILRNPHVLEKLKQELHIVGKEEWLSENDISKLTYLHATVKETLRLYPPAPLSAPHEFTENCTVGGYNVTKGTRLITNLWKIQTDQNVWSYSLEFKPERFLTTDKNIDMKGHDFELLPFGAGRRICPGISFGLQVVHLTLASFLHYFEILNPSTEPPDMSETFGLTNTKTTPLDILVKPCVSIS >Vigun02g145000.1.v1.2 pep primary_assembly:ASM411807v1:2:29330178:29331780:1 gene:Vigun02g145000.v1.2 transcript:Vigun02g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINEDQQQNQIPGHKQLHHKSLLQSDALYQYILETSVYPREHESLKELRELTEKHPWNLLATPPDEGQLLSMLLKVVNAKNTMEIGVYTGYSLLSTALALPSDGKILAMDINREYYELGLPVIEKAGVAHKIDFREGPALPLLDQLIKDEKNKGSFDFIFVDADKDNYLNYHNRVIELVKVGGMIAYDNTLWNGSVVAPPDAPLLDYIKYYRDFVMELNQALALDSRVEICQLPVGDGITLCRRII >Vigun02g032600.1.v1.2 pep primary_assembly:ASM411807v1:2:13702560:13707129:-1 gene:Vigun02g032600.v1.2 transcript:Vigun02g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFCVTGGTGFIASHLIKTLLEKGHTVRTTVRNPGDKEKVGFLTELNGGKERLKILKADLLVEGSFEEAVTGVDGVFHTASPVLVPYDDNVKENLIDPCVKGTLNVLNSCLKANVKRFVLTSSCSSIRYRHDVQQLGPLNESHWTDLEYCERYNLWYAYAKTIAEREVWRIAKENDIDVVVVNPSFVVGPLLSPQPTSTLLLILSIVKGIKGEYPNTTVGFVHINDVVAAHLLAMENTNASGRLICSSTVAHWSQIIQMLRSKYPSYPYENKCSSQEGDNNPHSMDTTKITELGFPPFITLDKMFDDCITSFQDKGFL >Vigun04g099200.1.v1.2 pep primary_assembly:ASM411807v1:4:23084859:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFISAYFHWNEILSRLLLVQWTFCRVVSYIVMSRNMASSKHVGNAVQLSEFWGVFGKEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.2.v1.2 pep primary_assembly:ASM411807v1:4:23083075:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMASSKHVGNAVQLSEFWGVFGKEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.5.v1.2 pep primary_assembly:ASM411807v1:4:23084872:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRCNSQSFGECLERKKKGELPTGPLFHTAKATGFKFVSEMLVVNTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.3.v1.2 pep primary_assembly:ASM411807v1:4:23083075:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRCNSQSFGECLERKKKGELPTGPLFHTAKATGFKFVSEMLVVNTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.6.v1.2 pep primary_assembly:ASM411807v1:4:23084859:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRCNSQSFGECLERKKKGELPTGPLFHTAKATGFKFVSEMLVVNTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.4.v1.2 pep primary_assembly:ASM411807v1:4:23083075:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRCNSQSFGECLERKKKGELPTGPLFHTAKATGFKFVSEMLVVNTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun04g099200.7.v1.2 pep primary_assembly:ASM411807v1:4:23083075:23088038:1 gene:Vigun04g099200.v1.2 transcript:Vigun04g099200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPIYREEKRDTRLRRVLLLHKKVCEENCEGVEENCEGMIHFISAYFHWNEILSRLLLVQWTFCRVVSYIVMSRNMASSKHVGNAVQLSEFWGVFGKEHEHVSSSSFDEVLACKGASPMKPIASEKRNSTKISKKTAREELKEISNAKNGDSAGKKKSYRRRKICQEIPNEEKGNANDDTRACSKVAEKETKVSILDC >Vigun03g402400.1.v1.2 pep primary_assembly:ASM411807v1:3:60938489:60941149:-1 gene:Vigun03g402400.v1.2 transcript:Vigun03g402400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDLISERRRNEKKQRMRKRIMIGVVSTIVLVGMIGAVLFVVVKNDDTGNGNGNTNANAKSTNKARTSHSPPAKAEDDSPKGEVAHTEKLVKMVCSSADFKDKCEEPLNKAMEKDSKLSEPKDLLKAYVKLAEEEVSKAFNKTNTMKFDTDEEKGAFEDCKKLFEDAKDDIATTISELSKTELKNVTDKSPDLNSWLSAVISFQQTCIDGFPEGNIKTELQNLMNDSKEFISNSLAIISQLASALSTFETAANAASSSGRSLLSQFSNSPAASLDPADDFPYWLPNDDRRILKAVDNKPAPNVTVAKDGSGKFKTINEAVNAIPEKFEGRYVIYVKEGIYDEIVKITKKMVNITMYGDGSQKTIVTGNKNFRDGVRTFLTATFVVEGEGFIGMAMGVRNTAGPDGHQAVAARVQADRVVFANCRFEGYQDTLYTHAHRQFYRSCIVTGTIDFIFGDAAVVLQNCILIVRKPNDNQQNMVTAQGRVDKQQATGIVLQRCTIKADEALIPVKSKIRSYLGRPWKEYSRTIVMESEIGDVIHPDGWTAWNGDFALKTLYYAEFENTGPGASTSARVKWPGYKTITKDEATQFTPGVFLRGSWVQSTGVPSTQGLYN >Vigun10g037200.1.v1.2 pep primary_assembly:ASM411807v1:10:5036770:5038483:-1 gene:Vigun10g037200.v1.2 transcript:Vigun10g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTVAAFMSPTSSINHTLLCIKALRVFSSTTCSTICLTETKVEVEDDKSKWTDATELLSKWGCSDDDLVRIFSRCPSLRNADPSMVQSKLCLLSDLGLRASQLVKIVNCRPRFFQSRSNSSLEERIEHLTSLFESRDVLQKAIVRNPSLLLSEGRCNVKATVELYEKLGVKKGDLIQMLLLRPTVISRTSFDAEKLEYLSKTGIAKDSKMYKYMVTLIGISRVETIREKVANFTKFGFSEEEIFGLMGRSPNVLTLSTDKVQRNMTFILGTMKLDAKMVLKQPYLLYANVDTVLKPRVLLALKIQDMDSKWQIIGPTIVSLLRMPEKRFLDLFVKCHGEDVANELMDFYKRTKEVKRLGDSSKKCSKRGFPF >Vigun09g252300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41925323:41926751:1 gene:Vigun09g252300.v1.2 transcript:Vigun09g252300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMSEGGLAKCTRIRHIVRLRQMLRRWRSKARMSAHREVPSDVPAGHVAVCVGSNSRRFVVRATYLNHPVFQKLLVEAEEEYGFSHHGPLAIPCDETLFEHLLRFISRSDPPPSNRFDDCHVPLINNLDFCLQSRPLLH >Vigun08g150400.3.v1.2 pep primary_assembly:ASM411807v1:8:32307016:32316158:1 gene:Vigun08g150400.v1.2 transcript:Vigun08g150400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSYGKMMSDVAIRSMLKNGEYTGGEDLGVLRERELARLRSGSAPPTVEGSLTAVGGLFEGSPAAPGYGGRRGFGSEEELRADPNYANYYYSNVNLNPRLPPPLASKEDWRFVQRLRGGSKVGGVGDRRMTSDDGGIEGGDNNPLFSVHPGGFGVKEEGGLKHRKGGPEWSGDDGLIGLPALGLGSRQKSIAELFQQDEINNASSGSKHPHNLPSSNLFDDIAEKSETRVAYVHQELNALRSGGNKLGISAAQNFVGSGPQTYASALGASLSRSSTPDSQLLPRAASPCLPPIGDGRSSSADKKSSNSQNLLNAVSSNLNESADLASALAGMNLSTKDIIDDEKHSQSSRHNEDYTHSFKQQPDSLAFQRHSATQSHLKVNKVSSFGLDLNKSSGYADEQLEPHKAGGISVNTHLKGHSTPTYTSRGQLGSGNLPPFFENAAVAASALGLNAMDSRALGRGATLGPLLAATELQNSSRLGSHAASGTQQLPLMDPLYLQYLRSGDVASAAQIAALKESVINRECTDLLGLQKAYVESLIAPQNSHFNVPYLGKSATLSPNSFGNPSYGLATSYPGSPLAGSLFPNSLYGPGSPMNQSERNMRLSGMRNVAGGFMGAWHSDTVGSLEENFASSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETASMEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGTAAQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVDMDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDTKTQQIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPYERSAIIKELTGQIVQMSQQKFASNVIEKCLTFGTPAERQVLVNEMLGSTYENEPLQIMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTLNPAQMV >Vigun08g150400.2.v1.2 pep primary_assembly:ASM411807v1:8:32307016:32316158:1 gene:Vigun08g150400.v1.2 transcript:Vigun08g150400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSYGKMMSDVAIRSMLKNGEYTGGEDLGVLRERELARLRSGSAPPTVEGSLTAVGGLFEGSPAAPGYGGRRGFGSEEELRADPNYANYYYSNVNLNPRLPPPLASKEDWRFVQRLRGGSKVGGVGDRRMTSDDGGIEGGDNNPLFSVHPGGFGVKEEGGLKHRKGGPEWSGDDGLIGLPALGLGSRQKSIAELFQDEINNASSGSKHPHNLPSSNLFDDIAEKSETRVAYVHQELNALRSGGNKLGISAAQNFVGSGPQTYASALGASLSRSSTPDSQLLPRAASPCLPPIGDGRSSSADKKSSNSQNLLNAVSSNLNESADLASALAGMNLSTKDIIDDEKHSQSSRHNEDYTHSFKQQPDSLAFQRHSATQSHLKVNKVSSFGLDLNKSSGYADEQLEPHKAGGISVNTHLKGHSTPTYTSRGSSPAHYQNVEDISYPNYGMNGYNVNPPSPSMMTGQLGSGNLPPFFENAAVAASALGLNAMDSRALGRGATLGPLLAATELQNSSRLGSHAASGTQQLPLMDPLYLQYLRSGDVASAAQIAALKESVINRECTDLLGLQKAYVESLIAPQNSHFNVPYLGKSATLSPNSFGNPSYGLATSYPGSPLAGSLFPNSLYGPGSPMNQSERNMRLSGMRNVAGGFMGAWHSDTVGSLEENFASSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETASMEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGTAAQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVDMDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDTKTQQIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPYERSAIIKELTGQIVQMSQQKFASNVIEKCLTFGTPAERQVLVNEMLGSTYENEPLQIMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTLNPAQMV >Vigun08g150400.1.v1.2 pep primary_assembly:ASM411807v1:8:32307016:32316158:1 gene:Vigun08g150400.v1.2 transcript:Vigun08g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSYGKMMSDVAIRSMLKNGEYTGGEDLGVLRERELARLRSGSAPPTVEGSLTAVGGLFEGSPAAPGYGGRRGFGSEEELRADPNYANYYYSNVNLNPRLPPPLASKEDWRFVQRLRGGSKVGGVGDRRMTSDDGGIEGGDNNPLFSVHPGGFGVKEEGGLKHRKGGPEWSGDDGLIGLPALGLGSRQKSIAELFQQDEINNASSGSKHPHNLPSSNLFDDIAEKSETRVAYVHQELNALRSGGNKLGISAAQNFVGSGPQTYASALGASLSRSSTPDSQLLPRAASPCLPPIGDGRSSSADKKSSNSQNLLNAVSSNLNESADLASALAGMNLSTKDIIDDEKHSQSSRHNEDYTHSFKQQPDSLAFQRHSATQSHLKVNKVSSFGLDLNKSSGYADEQLEPHKAGGISVNTHLKGHSTPTYTSRGSSPAHYQNVEDISYPNYGMNGYNVNPPSPSMMTGQLGSGNLPPFFENAAVAASALGLNAMDSRALGRGATLGPLLAATELQNSSRLGSHAASGTQQLPLMDPLYLQYLRSGDVASAAQIAALKESVINRECTDLLGLQKAYVESLIAPQNSHFNVPYLGKSATLSPNSFGNPSYGLATSYPGSPLAGSLFPNSLYGPGSPMNQSERNMRLSGMRNVAGGFMGAWHSDTVGSLEENFASSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETASMEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGTAAQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVDMDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDTKTQQIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPYERSAIIKELTGQIVQMSQQKFASNVIEKCLTFGTPAERQVLVNEMLGSTYENEPLQIMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTLNPAQMV >Vigun07g238600.1.v1.2 pep primary_assembly:ASM411807v1:7:36001486:36005301:1 gene:Vigun07g238600.v1.2 transcript:Vigun07g238600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSSEKYKKSVSSSFFSSPRLFTNFTPKGFHETETMMSPTSILDSKPFSGFKNPFWSETNSPRTPGSEHKRHWDRLDSKGVGLGLVDALVDEEKHSEASSKHESRMVVFGSQLKIQIPPLSPTESSKLVAEKGNFSPGSVCMGKSASGGANSQRVFMGCLSASEMELSEDYTRVISHGPNPRTTHIFDNCVIESSCFELGCSVKENGCFPHHTNYHSRSFLSVCFHCKRNLGEGKDIYMYRGERAFCSNECRYQGMLLEEEMNKLEGSDIYGP >Vigun09g147800.1.v1.2 pep primary_assembly:ASM411807v1:9:31131927:31132553:-1 gene:Vigun09g147800.v1.2 transcript:Vigun09g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRITLTSIIGILCVALLLNSGSANVVCHKEGHCPDAKACFSYCVGLGYKQYGGLCTTQDLNLCCCVSAERPPATV >Vigun02g180300.3.v1.2 pep primary_assembly:ASM411807v1:2:32125489:32129276:1 gene:Vigun02g180300.v1.2 transcript:Vigun02g180300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVKSCTVFNLVPVLEPASASSLRRHIAASLMQQHQHRAIERNNHALQPLSPASYGSSMEMTPYNPGATPSSSLEFKGRIGEPHYSLKTSTELLKVLNRIWSLEEQHASNISLIKALKSELDHSRIRIKELLRDRQADRHEVDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARDLSEVKSSLTNALKEFDQERTRRKLLEELCDEFARGINEYEREVHSLNHKSDKDWIQRADHDRLILHISESWLDERMQMQLEAAQNGFMDKSIVDKLSLEIETFLRAKQNSRSTENIVVRNRRNSLESVPLNDAVSAPQVGGDDDDSVGSDSNCFELNKPSNKGSKVHEEEAVDKHFEDTLKTNHTKKKPIPREGLKHRSPSSLQVKFEEQMAWAMSSDSHKKSHSIDADKGKTTDTKAVEGTLSEKCEHFEINEDDDSERKMNPTELHSSSKNHIIDNLIRGQLMASEGGNMHAENNYGEASCSNAGWRNQASPVKQWMAKLGSQDLDVSEASKVPSGSKENNTLKAKLLEARSKGQRSRLKALKGSF >Vigun02g180300.4.v1.2 pep primary_assembly:ASM411807v1:2:32126742:32129276:1 gene:Vigun02g180300.v1.2 transcript:Vigun02g180300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQHRAIERNNHALQPLSPASYGSSMEMTPYNPGATPSSSLEFKGRIGEPHYSLKTSTELLKVLNRIWSLEEQHASNISLIKALKSELDHSRIRIKELLRDRQADRHEVDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARDLSEVKSSLTNALKEFDQERTRRKLLEELCDEFARGINEYEREVHSLNHKSDKDWIQRADHDRLILHISESWLDERMQMQLEAAQNGFMDKSIVDKLSLEIETFLRAKQNSRSTENIVVRNRRNSLESVPLNDAVSAPQVGGDDDDSVGSDSNCFELNKPSNKGSKVHEEEAVDKHFEDTLKTNHTKKKPIPREGLKHRSPSSLQVKFEEQMAWAMSSDSHKKSHSIDADKGKTTDTKAVEGTLSEKCEHFEINEDDDSERKMNPTELHSSSKNHIIDNLIRGQLMASEGGNMHAENNYGEASCSNAGWRNQASPVKQWMAKLGSQDLDVSEASKVPSGSKENNTLKAKLLEARSKGQRSRLKALKGSF >Vigun02g180300.2.v1.2 pep primary_assembly:ASM411807v1:2:32125491:32129310:1 gene:Vigun02g180300.v1.2 transcript:Vigun02g180300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVKSCTVFNLVPVLEPASASSLRRHIAASLMQQHQHRAIERNNHALQPLSPASYGSSMEMTPYNPGATPSSSLEFKGRIGEPHYSLKTSTELLKVLNRIWSLEEQHASNISLIKALKSELDHSRIRIKELLRDRQADRHEVDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARDLSEVKSSLTNALKEFDQERTRRKLLEELCDEFARGINEYEREVHSLNHKSDKDWIQRADHDRLILHISESWLDERMQMQLEAAQNGFMDKSIVDKLSLEIETFLRAKQNSRSTENIVVRNRRNSLESVPLNDAVSAPQVGGDDDDSVGSDSNCFELNKPSNKGSKVHEEEAVDKHFEDTLKTNHTKKKPIPREGLKHRSPSSLQVKFEEQMAWAMSSDSHKKSHSIDADKGKTTDTKAVEGTLSEKCEHFEINEDDDSERKMNPTELHSSSKNHIIDNLIRGQLMASEGGNMHAENNYGEASCSNAGWRNQASPVKQWMAKLGSQDLDVSEASKVPSGSKENNTLKAKLLEARSKGQRSRLKALKGSF >Vigun02g180300.1.v1.2 pep primary_assembly:ASM411807v1:2:32125491:32129276:1 gene:Vigun02g180300.v1.2 transcript:Vigun02g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEEEEAEKEEKLGEKLRRGVLVGKSRGPSTPFPSWLSLTHTNKHIRDHSLSSRKLAAALWEFNHSFPLFQMHRSAANHAPPPAAAAAAPDPRHRRHHYILHKDKALHISNFLADASPSSPDQPASASSLRRHIAASLMQQHQHRAIERNNHALQPLSPASYGSSMEMTPYNPGATPSSSLEFKGRIGEPHYSLKTSTELLKVLNRIWSLEEQHASNISLIKALKSELDHSRIRIKELLRDRQADRHEVDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARDLSEVKSSLTNALKEFDQERTRRKLLEELCDEFARGINEYEREVHSLNHKSDKDWIQRADHDRLILHISESWLDERMQMQLEAAQNGFMDKSIVDKLSLEIETFLRAKQNSRSTENIVVRNRRNSLESVPLNDAVSAPQVGGDDDDSVGSDSNCFELNKPSNKGSKVHEEEAVDKHFEDTLKTNHTKKKPIPREGLKHRSPSSLQVKFEEQMAWAMSSDSHKKSHSIDADKGKTTDTKAVEGTLSEKCEHFEINEDDDSERKMNPTELHSSSKNHIIDNLIRGQLMASEGGNMHAENNYGEASCSNAGWRNQASPVKQWMAKLGSQDLDVSEASKVPSGSKENNTLKAKLLEARSKGQRSRLKALKGSF >Vigun11g044300.2.v1.2 pep primary_assembly:ASM411807v1:11:6503808:6505536:-1 gene:Vigun11g044300.v1.2 transcript:Vigun11g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHNPKMHSSATSLHHLPPLKRLRLIQQQQQQNHFSDSSPLPAKKRRESRTPSPPSPPSTIHNFSLPAKKRVWAPYPQTPPSSDDTVFPPQPSDDAVPPFDLNIEYNPNLGESQNNADADADDDGVLCCVCQSTDGDPADPIVFCDGCDLTVHASCYGHPLSKGVPDGDWFCERCRVGEGKSGMRCALCPAREGAMKRTADGAWAHVVCALFVPEVFFQDPEGREGIDCSMVPRKRWSQRCYLCECCDGCGLVCSEPKCGLAFHVTCALKEELWIEYKEGKKGATIVAGFCKRHTQIWEKQSGKYKIVALEDEK >Vigun11g044300.1.v1.2 pep primary_assembly:ASM411807v1:11:6503808:6505536:-1 gene:Vigun11g044300.v1.2 transcript:Vigun11g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHNPKMHSSATSLHHLPPLKRLRLIQQQQQQNHFSDSSPLPAKKRRESRTPSPPSPPSTIHNFSLPAKKRVWAPYPQTPPSSDDTVFPPQPSDDAVPPFDLNIEYNPNLGESQNNADADADDDGVLCCVCQSTDGDPADPIVFCDGCDLTVHASCYGHPLSKGVPDGDWFCERCRVGEGKSGMRCALCPAREGAMKRTADGAWAHVVCALFVPEVFFQDPEGREGIDCSMVPRKRWSQRCYLCECCDGCGLVCSEPKCGLAFHVTCALKEELWIEYKEGKKGATIVAGFCKRHTQIWEKQQQSGKYKIVALEDEK >Vigun05g242400.1.v1.2 pep primary_assembly:ASM411807v1:5:43544274:43545720:1 gene:Vigun05g242400.v1.2 transcript:Vigun05g242400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAVPPWLEEFLSITTFFTKCEEHTEYIRRECNMYCFDCSNKPLCSHCVKYCHKNHRTIQIRRSSYQNVVRVKDIKDALDTSEIQPYVINKFNVLFMNKRGFDVHRNKGTGKSCSTSLCNICSRNISNSSRFCSLGCKFAWTKRSESGSIDQRKTTEERLKEIEEEKNSKILASKEKSGSNTSNSRKRKRKGIPFRAPFY >Vigun03g197400.1.v1.2 pep primary_assembly:ASM411807v1:3:28127140:28132458:1 gene:Vigun03g197400.v1.2 transcript:Vigun03g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRVLSELDTARTQYYHFKAIIIAGMGLFTDAYDLFSITLIIKMMGRIYYDHRKGDDRFQTPPVVTSALVAAALLGTAVGQLVFGRLGDLKGRLRVYGFALLLMVFSSLASAFSICIRKTCVFVTLGFFRFMLGLGIGGDYPLSSTIMSEFANKRTRGSFIAAVFSMQGFGILASSTVTVVVCSIFRAASKSSEADVAWRLILMLGSVPAAMTYYWRMMMPETARYTALVEHNVMQAAKDMEKVLDVRLSMIAEDSPLPPIPHPYPLFSREFFRRHGRDLFASSSTWFLVDIVFYSQVLFQSEIYKRYLDQNENENGNVDVYKEAIHVAWIQAVITVCSTIPGYFFSVYFIDRWGRVKIQMMGFFFMALIFFIIGIPYYTFWTTEENEENKWFMVLYGLAFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAAGKVGAMIGSVGFLWASHHKKEYGYSKGIGMQVTLIILGGVCLLGMLITYFFTTETMGRSLEENEVGDVTDEVEERDNL >Vigun03g332800.1.v1.2 pep primary_assembly:ASM411807v1:3:53071768:53074158:-1 gene:Vigun03g332800.v1.2 transcript:Vigun03g332800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFSDITAFLRNQTPPPTSQPLDSLFLSSSTAPFLGSRSMMSFEGEGGKGCNGSFFRAFDIDENGDECMDEYFHQPEKKRRLSANQVQFLEKSFEEENKLEPERKTKLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEILHESYESLKANYENLLKEKDKLKSEVAHLSEKVLAREKEEGQLKQAESGAKRLQEQDQEAPQRPVLESVSEGEGSKVSCVVGGCKQEDISSARSDILDSDSPHYTDGVHSAVLEHGDSSYVFEPDQSDMSQDEEDNLSKSLYPSYLFPKLEDADYSDPTESSCNFGFSEEDHALWNWEY >Vigun09g011900.1.v1.2 pep primary_assembly:ASM411807v1:9:886238:889076:1 gene:Vigun09g011900.v1.2 transcript:Vigun09g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDNNRNPNRRFAILGVSSILLVAVVAAVAVTINQGDEPESGGHIVSSQRTSVDLLCLSTEYAKTCRKSLKNVAAKGNIDTRALVKAAINATAVELLRHISNSSLYKDLGKDNMTRQAMDICKEVFQYAVNGIQKSADTIDKFEYGKLSEYMYDLKVWIAGSLTHQHTCLEGFVNTSTKAGETMIKVLNTSLELSSNALDMVNVISGVLKDLNMTSFGNRRLLSQDIPALNDYPPWLSEEKRRLLQMGTETPDAIVSQDGTGQFTSINDALRSVPANNEKPFVIYVKEGVYKENVEMTVLMTHVTIIGDGPTKTRFSGSLNYDDGVQTYKTATFAVNAPNFVAKDVGFENTAGSEKHQAVALRVTADQAAFLNCHMDGFQDTLYAQSQRQFYRDCSVTGTIDFVFGDAVGMFQNCKLIVKKPLSKQQCMVTAGGRAKADSPTALVFQGCLFTGDPDVFSMPEKVTYLGRPWRAFSKVVIMDSQIDDIFIPEGYMGWMGTEFMDTCTYLEYNNRGINADTSLRVKWPGVRTLTAEEAACYYPARFFELANPNDANWLVRSNVPFNNVGPATPQ >Vigun07g252100.1.v1.2 pep primary_assembly:ASM411807v1:7:37117761:37121447:-1 gene:Vigun07g252100.v1.2 transcript:Vigun07g252100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLWFLSIFLSFLILPCSCQKHACDAGSAKTSNFSFCDSSLSYEDRAKDLVSRLTLEEKAQQLVDPSAAISRLGVPAYEWWSEALHGVSNLGPGTRFDKKVPGATSFPAVILSAASFNESLWHKMGQVVSTEARAMYNVDLAGLTFWSPNVNVFRDPRWGRGQETPGEDPLVVSRYAVNYVRGLQQVEDEASAKADRLKVSSCCKHYTAYDIDNWKGVDRFHFDAKVTKQDLEDTYQPPFKKCVEEGHVSSVMCSYNRVNGVPTCADPDLLKGIIRGQWGLDGYIVSDCDSVEVYYDAIHYTATPEDAVALALKAGLNMNCGDFLKKYTENAVNLKKVDVATVDQALVYNYIVLMRLGFFDDPKSLPFANLGPSDVCTKENQQLAVDAAKQGIVLLENNGALPLSQTIIKKLAVIGPNANATEVMISNYAGIPCRYTSPLQGLRKYISSVNYAPGCSNVKCGNQSLIAAAVKAATSADAVVLVMGLDQSIEAEGLDRENLTLPGFQGKLVKDVAGATKGKLILVIMAAGPIDISFTKNVSNIAGILWVGYPGQAGGDAIAQVIFGDYNPGGRSPFTWYPQTYVDQVPMTDMNMRANISRNFPGRTYRFYNGSSLYEFGHGLSYSTFSMNVASAPSTIMVQNTSISEAHNIFSSSSATQVESLSEGQAVDISSINCQNLTFLLVIGVKNNGPLNGSHVVLVFWEPATSELVSGAPIKQLIGFERVYVMVGMTEFVTVKIDICELLSNVDNDGKRKLVIGQHTILIGSSSETQVRHHIDVKFSGGMKNEEFTSE >Vigun10g020900.2.v1.2 pep primary_assembly:ASM411807v1:10:2491689:2494995:1 gene:Vigun10g020900.v1.2 transcript:Vigun10g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRKDEDDESRERGIFPHIGYPSAPPYPPPTGYPAPGYPPPGGYPPTAYPSQAYPPPIGGYPHPGHYPSEYPPAYPPPPPPAYPPPGGYPPNAYPHSGYPPAYPAPHGYPQAMTPYSAGRGAGMGGMGGLLAGGAAAAAVAYGAHHLAHGHHHHYGHGGYFGHGKFKHGKFGKRWKHGRFGFGKFKHGWKRWK >Vigun09g007050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:531106:532174:-1 gene:Vigun09g007050.v1.2 transcript:Vigun09g007050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVRNNRYDVLCSVRTVSSGSYEMFRRNYSVPSMPDIETTGIFCHKSGCGSYFTLEKKKLDESEVVERVKTAHGFLIGGDETVGVKVKITNDRKVGLLVKLTLDYSCLVFEKIEDKMEREIRNSVLLMLRNVSDSDSEVKRNSDKQEKSSPQIGNNRTVIHDRMVHYKESMFHAYTDFSRAGTNY >Vigun03g326400.1.v1.2 pep primary_assembly:ASM411807v1:3:52248201:52250194:1 gene:Vigun03g326400.v1.2 transcript:Vigun03g326400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTMRCFTIFTLLLLLSQGHATTHKLLSSPFSTALETLQKQLGYTFKSIDLLRRAMTHASFSEENNKAFAILGATVIETSVSFHLLSKDVDISPKELNRRVSQITNVDSSCAVDGTRLGLQKIVRVSPKTNSSAPAVVCGAFRAIFAAISIDTGKSDDAGNVFWNLHGGDLGSAVAL >Vigun09g138600.1.v1.2 pep primary_assembly:ASM411807v1:9:30033646:30037431:1 gene:Vigun09g138600.v1.2 transcript:Vigun09g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVECYPSRGDDVAVVSLDSLPLGFRFRPTDEELIDYYLRQKINGNGAEVWVIREIDVCKWEPWDMPDLSVIRNKDPEWFFFCPQDRKYPNGHRLNRATSHGYWKATGKDRKIKSGMILIGMKKTLVFYTGRAPKGKRTNWVMHEYRPTLKELDGTNPGQNAYVLCRLFKKHDESLEVSHCDEAEQTPSTPIAANYSTEEIQSGLAVVAVSPSLVTGDDKHQKIVPTHSEEAISNVITPVDCHSDGYEASDAQNKYLAPAAEEFQPWNVDMCYDLKNELLDDKLFSPELAHVQPEFHYQPNRESDSRYGLQYGTNETNISDFLNSVVNWDELPYEEPNCQQWTYPSFNVQNGALGSDIDSELANIKYMQAGYAEEANDRKIPLVIAPEFCSTTGIPISRGGDEQKSNVELFQSISQRDFTDANMGQVYNIVNDYQQPRIYNSVPSGDTGIIRRTRVVQNEKLSANVTQGTAHRRIRLAQKARNELSNKIVKKELDLKPIIAVEEKGSENHASEESATLTNDGNELQKTAEANGTRKISRQVTKASSTLGLKDFLLLRRVPYISKATSNPTKCSSIFVVSAFVMVSLVVFTDICGYLKF >Vigun08g027300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2447741:2452070:-1 gene:Vigun08g027300.v1.2 transcript:Vigun08g027300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSAAAVACRRERDQGNRSVNISKTRSTASVSPMVSYSCGSGEGDSENRRVEAVTDGTTDYISDLPNECLASVFQFLSSADRNRCSLVCQRWLQIEGQSRHRLSLNAESELFSAIPSLFSRFDSVTKLALKCDRRSVSIRDDSLVLISQRCPNLTRLKLRACRELTDAGMEVFAKNCKGLKKLSCGSCTFGSKGMNAVLDNCALLEELSVKRLRGITDAAAAEPIGPGVAGSSLKTICLKELYNGQCFGTLILGAKNLKTLKLFRCSGDWDRLFQLMADRMTKMVEVHLERLQISDIGLQAIANYSNLEILHLVKTPECSDIGLVAIADRCKLLRKLHIDGWKANRIGDDGLIGVAKGCPNLLELVLIGVNPTKASLEMLASNCQNLERLALCGSDSVGDPEISCIAAKCVALKKLCIKSCPVSDQGMEALANGCPNLVKVKVKKCKGVTPEGGDWLRRTRGSVAVNLDTGEAELQEASASDGGAQENGLEFPQMPAQIAAAASSASGSTARSSSFKSRLGLLSGRSLVASTLRRWSGGSTSARHG >Vigun08g027300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2448286:2452070:-1 gene:Vigun08g027300.v1.2 transcript:Vigun08g027300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSAAAVACRRERDQGNRSVNISKTRSTASVSPMVSYSCGSGEGDSENRRVEAVTDGTTDYISDLPNECLASVFQFLSSADRNRCSLVCQRWLQIEGQSRHRLSLNAESELFSAIPSLFSRFDSVTKLALKCDRRSVSIRDDSLVLISQRCPNLTRLKLRACRELTDAGMEVFAKNCKGLKKLSCGSCTFGSKGMNAVLDNCALLEELSVKRLRGITDAAAAEPIGPGVAGSSLKTICLKELYNGQCFGTLILGAKNLKTLKLFRCSGDWDRLFQLMADRMTKMVEVHLERLQISDIGLQAIANYSNLEILHLVKTPECSDIGLVAIADRCKLLRKLHIDGWKANRIGDDGLIGVAKGCPNLLELVLIGVNPTKASLEMLASNCQNLERLALCGSDSVGDPEISCIAAKCVALKKLCIKSCPVSDQGMEALANGCPNLVKVKVKKCKGVTPEGGDWLRRTRGSVAVNLDTGEAELQEASASDGGAQENGLEFPQMPAQIAAAASSASGSTARSSSFKSRLGLLSGRSLVASTLRRWSGGSTSARHG >Vigun08g027300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2447741:2452070:-1 gene:Vigun08g027300.v1.2 transcript:Vigun08g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSAAAVACRRERDQGNRSVNISKTRSTASVSPMVSYSCGSGEGDSENRRVEAVTDGTTDYISDLPNECLASVFQFLSSADRNRCSLVCQRWLQIEGQSRHRLSLNAESELFSAIPSLFSRFDSVTKLALKCDRRSVSIRDDSLVLISQRCPNLTRLKLRACRELTDAGMEVFAKNCKGLKKLSCGSCTFGSKGMNAVLDNCALLEELSVKRLRGITDAAAAEPIGPGVAGSSLKTICLKELYNGQCFGTLILGAKNLKTLKLFRCSGDWDRLFQLMADRMTKMVEVHLERLQISDIGLQAIANYSNLEILHLVKTPECSDIGLVAIADRCKLLRKLHIDGWKANRIGDDGLIGVAKGCPNLLELVLIGVNPTKASLEMLASNCQNLERLALCGSDSVGDPEISCIAAKCVALKKLCIKSCPVSDQGMEALANGCPNLVKVKVKKCKGVTPEGGDWLRRTRGSVAVNLDTGEAELQEASASDGGAQENGLEFPQMPAQIAAAASSASGSTARSSSFKSRLGLLSGRSLVASTLRRWSGGSTSARHG >Vigun01g003900.2.v1.2 pep primary_assembly:ASM411807v1:1:485292:487912:1 gene:Vigun01g003900.v1.2 transcript:Vigun01g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTSSMSVVEENTKSVEPPSAHGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTGDMFSWFKMDATISTNEYFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHKLPIFL >Vigun05g059000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5053480:5054028:1 gene:Vigun05g059000.v1.2 transcript:Vigun05g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSPSVSFSYGPSMNMNTNNNGLDIFTKVFMLLIFFTLFVRVCYVYMNTRREQHGNDNINNSIASMIHHNDQNDNLTGIPYDVIKSYHTFPYSKTDSVVGTTCDHDTTCAICIEDYEESEMLRMLPQCRHYFHRDCVDAWLKLNATCPVCRNSLMETRTNNNIDDNNVINHGGNALERV >Vigun10g031000.1.v1.2 pep primary_assembly:ASM411807v1:10:4071299:4077592:1 gene:Vigun10g031000.v1.2 transcript:Vigun10g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNAAAAKQAEQLRINGNTYFKKDRFGAAIDAYTEAITLCPNVPIYWTNRALCHLKRNDWERVEEDSRKAIQLDSSSVKAHYMLGLALLQRQESAKGIKELEKALDLGRGANPKGYMVEEIWQELAKAKYHEWERSSSERTWELQSLKLACESALKEKHLLEFSQAEGFVDDPTTSHSEQLEALKVVFKIAAEADTPTEVPDYLCCRITLDIFHDPVITPSGLTYERAVILEHLQKVGKFDPITREPLDPSQLVPNLAIKEAVQAFLDKHGWAYKVD >Vigun02g056400.1.v1.2 pep primary_assembly:ASM411807v1:2:20012916:20014818:-1 gene:Vigun02g056400.v1.2 transcript:Vigun02g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLNPPPHWFFLLIFGVFSYSVQSLRFELQSGQTKCISEDIRKNSMAVGNYSVVNPHVDQPLPDSHSVSVRVGSLRGNNNIYHQSEYVHSGQFAFVARENGDHMACFRIGHHKPQITLTIDLDWRTGVAAKDWSNVAKKSNIDGMVRQLQILQEVVSSIHEEIIDLRKQEEEMELHNWETNTSMLWLCFLSLFVCMSVAGLQLRHLKTFFEKKKIL >Vigun11g053901.1.v1.2 pep primary_assembly:ASM411807v1:11:9687314:9688238:-1 gene:Vigun11g053901.v1.2 transcript:Vigun11g053901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDCAFAILYDHDLDRQWTLTDEEGNRHVVTYNKNLQKPMLIGGWNDLRELYELHDNHTIYFGYVGHSCFHITVFPSKCKPLSIGHFLKRLQANEPLFNGSKLHFCIFLNPNQCHASHLDLPADFGNYLRQERFKNILLHGLRKIVKCKLLLRNHPKKSNKIGSGWKEFCTAHGFDQSIDLVFEVDQMKNNQNVKVLTYCNL >Vigun01g157500.1.v1.2 pep primary_assembly:ASM411807v1:1:33976590:33980457:-1 gene:Vigun01g157500.v1.2 transcript:Vigun01g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPSFLFSGIRFNRKKFGADIARFQKKDIDTDSAKISSVAEGESAKTREAVEEKTASTKKRKRKGNTSENVEGFNVFRNSASVARSIGDVQADEESIELKKKKNKKEQNQQLERDAIFRKQHNIHVSGYNVPSPLQSFDELKSRYKCPSYLLRNLKELGFKEPTPIQRQAIPVLLDGRECFACAPTGSGKTLAFVCPMLMKLKDPEKGGIRAVILCHTRELSAQIFRECKKLAKRKKFGIKLMTKNLLRNADFSKFPCDILISTPLRLRLAIKKKKIDLSRVEYLVLDESDKLFEPELFKQIDSVLKACSNPSIIRSLFSATLPDFVEDRARELMHDAVRVIVGRKNMASETIKQKLVFTGSEEGKLLAIRQSFAESLNPPVLVFLQSKERAKELYGELAFDNIRVDVIHSDLSQQERENAVDNFRAGKTWVLIATDVVARGMDFKGVNCVINYDFPDSASAYVHRIGRSGRAGRSGEAITFYTEEDIPFLRNVANLMAASGCEVPSWLMDLQKKKWKKHRPKRDSISTKPDL >Vigun05g089000.3.v1.2 pep primary_assembly:ASM411807v1:5:8521654:8525108:-1 gene:Vigun05g089000.v1.2 transcript:Vigun05g089000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGSDVAETTTNQGERSSEKKSLRTEVDTSAPFESVKDAVTMFGGIGYWKPLHSKINTCVPSHSEHSAELSAEKLEEQASVLEKELILKERETLDVLKELESTKKLVEELKSKIQKEQSEVNLNLQLGECDGKSVVEENEEKENQMSQLNVFQPSNQGFASHLPSAPGVILQELKQAKLNLSRTTVDLADVRTSVESLNKKLEKERISLEKTRERLAQNTSKISSLEEELNHTRLRLQVAKGAEIKDASEDPSVITRELQRLSSEAENFKIMGESAKAEVLRTMSEIEQTKTMIRTAEIRLVAARKMKEAARAAEAFALAEINALSHHENESLPGNQITLSFEEYTALRCKARDAEEQSRKRVANAMLEVDERNLSNMEILKRVEEAAEEVKTSKKALEEALERVEAANRDKVAVEEALRNWRSEGHKRRSIQNSTKFKNSCSSHHWRDPRLLDVNGLHLVNDEAKPVLKSTLSIGQILSRKLLQPQEFEAGERISMKQNVSLGQMLGKQNIDPPIDNRQVEKVTGRKLFSTKRNKFGFARFSHILSKQQKNKKKPTLNLR >Vigun05g089000.1.v1.2 pep primary_assembly:ASM411807v1:5:8521654:8525108:-1 gene:Vigun05g089000.v1.2 transcript:Vigun05g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGSDVAETTTNQGERSSEKKSLRTEVDTSAPFESVKDAVTMFGGIGYWKPLHSKINTCVPSHSEQQHSAELSAEKLEEQASVLEKELILKERETLDVLKELESTKKLVEELKSKIQKEQSEVNLNLQLGECDGKSVVEENEEKENQMSQLNVFQPSNQGFASHLPSAPGVILQELKQAKLNLSRTTVDLADVRTSVESLNKKLEKERISLEKTRERLAQNTSKISSLEEELNHTRLRLQVAKGAEIKDASEDPSVITRELQRLSSEAENFKIMGESAKAEVLRTMSEIEQTKTMIRTAEIRLVAARKMKEAARAAEAFALAEINALSHHENESLPGNQITLSFEEYTALRCKARDAEEQSRKRVANAMLEVDERNLSNMEILKRVEEAAEEVKTSKKALEEALERVEAANRDKVAVEEALRNWRSEGHKRRSIQNSTKFKNSCSSHHWRDPRLLDVNGLHLVNDEAKPVLKSTLSIGQILSRKLLQPQEFEAGERISMKQNVSLGQMLGKQNIDPPIDNRQVEKVTGRKLFSTKRNKFGFARFSHILSKQQKNKKKPTLNLR >Vigun05g089000.2.v1.2 pep primary_assembly:ASM411807v1:5:8521654:8525108:-1 gene:Vigun05g089000.v1.2 transcript:Vigun05g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGSDVAETTTNQGERSSEKKSLRTEVDTSAPFESVKDAVTMFGGIGYWKPLHSKINTCVPSHSEQHSAELSAEKLEEQASVLEKELILKERETLDVLKELESTKKLVEELKSKIQKEQSEVNLNLQLGECDGKSVVEENEEKENQMSQLNVFQPSNQGFASHLPSAPGVILQELKQAKLNLSRTTVDLADVRTSVESLNKKLEKERISLEKTRERLAQNTSKISSLEEELNHTRLRLQVAKGAEIKDASEDPSVITRELQRLSSEAENFKIMGESAKAEVLRTMSEIEQTKTMIRTAEIRLVAARKMKEAARAAEAFALAEINALSHHENESLPGNQITLSFEEYTALRCKARDAEEQSRKRVANAMLEVDERNLSNMEILKRVEEAAEEVKTSKKALEEALERVEAANRDKVAVEEALRNWRSEGHKRRSIQNSTKFKNSCSSHHWRDPRLLDVNGLHLVNDEAKPVLKSTLSIGQILSRKLLQPQEFEAGERISMKQNVSLGQMLGKQNIDPPIDNRQVEKVTGRKLFSTKRNKFGFARFSHILSKQQKNKKKPTLNLR >Vigun01g208400.1.v1.2 pep primary_assembly:ASM411807v1:1:38394870:38397141:1 gene:Vigun01g208400.v1.2 transcript:Vigun01g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQPVEENYANPNTCFFHVLFKATALAFYILSTLFIDNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWRFECLDQESLARINKKDSWLFWWTLYLTAVAWTLMAIFSLIKLQADYLLVVGVCLTLSVANIIGFTKCQKDAKKRIQQFATQTIVSRVTSTLQSTFSVV >Vigun01g208400.2.v1.2 pep primary_assembly:ASM411807v1:1:38394870:38397141:1 gene:Vigun01g208400.v1.2 transcript:Vigun01g208400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQPVEENYANPNTCFFHVLFKATALAFYILSTLFIDNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWRFECLDQESLARINKKDSWLFWWTLYLTVRKHQLIRDCDGILVFLISFGYLL >Vigun08g171800.1.v1.2 pep primary_assembly:ASM411807v1:8:34271255:34273242:-1 gene:Vigun08g171800.v1.2 transcript:Vigun08g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFPVINFEKLNGEERKDTMEKIKDACENWGFFELVNHGIPHEVLDTVERLTKEHYRKCMEERFKESMASKGLEAVQTEVKDMDWESTFHLRHLPESNISEVPDLIDEYRKVMKDFALRLEKLAEQLLDLLCENLGLEKGYLKKAFYGSRGPTFGTKVANYPPCPNPELVKGLRPHTDAGGIILLFQDDKVSGLQLLKDDQWVDVPPMRHSIVVNIGDQLEVITNGKYKSVEHRVIAQTDGTRMSIASFYNPGSDAVIYPAPELLEKEAEDKIQLYPKFVFEDYMKLYAKLKFQAKEPRFEAFKASNFGPIATA >Vigun01g254650.2.v1.2 pep primary_assembly:ASM411807v1:1:41971585:41972927:1 gene:Vigun01g254650.v1.2 transcript:Vigun01g254650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYIYFIPCQANDHFSAECSRYHGFCFGHAFLETKDLLSLSSFVIVAAITMYTHHHLSPFSASINAPPSSSSKPKNPQHLFSLLLKHPSKSQLLQQLHSHNCLLSSVVLQNGLLQMYLSWGLLVEAAKSDACSRVVSWNRVIDGYPHTKSKVSKKLFH >Vigun01g254650.1.v1.2 pep primary_assembly:ASM411807v1:1:41971585:41972928:1 gene:Vigun01g254650.v1.2 transcript:Vigun01g254650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYIYFIPCQANDHFSAECSRYHGFCFGHAFLETKDLLSLSSFVIVAAITMYTHHHLSPFSASINAPPSSSSKPKNPQHLFSLLLKHPSKSQLLQQLHSHNCLLSSVVLQNGLLQMYLSWGLLVEAAKSDACSRVVSWNRVIDGYPHTKSKVSKKLFH >Vigun01g254650.3.v1.2 pep primary_assembly:ASM411807v1:1:41971585:41972731:1 gene:Vigun01g254650.v1.2 transcript:Vigun01g254650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYIYFIPCQANDHFSAECSRYHGFCFGHAFLETKDLLSLSSFVIVAAITMYTHHHLSPFSASINAPPSSSSKPKNPQHLFSLLLKHPSKSQLLQQLHSHNCLLSSVVLQNGLLQMYLSWGLLVEAAKSDACSRVVSWNRVIDGYPHTKSKVSKKLFH >Vigun11g003100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:293166:295220:-1 gene:Vigun11g003100.v1.2 transcript:Vigun11g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTMNMNVASELKKCLVSGCRSLQKVKQCHCRLLRLGLNHDTFLINTLLRTSLNLRATQYATVVFAQTPHPNIFLYNAVIRGLVSNDAFHDAVSLYASMRQRSTVVPDNFTFPFVLKACARLKNTHLGLTLHSLVIKTGFERDVFVNTGLVCFYSKNGFLSHARGVFDEIPDKNVVSWTAIICGYIEFGYCEEAVGLFRGLLEMGVRPDNFTLVRVLYACSRTGDLASGRWIDGYMRENGFCGNVFVATSLVDMYTKCGSMEEAQRVFDGMVERDVVCWSALIQGYAANGMPKKALEVFFEMQKENVRPDCYAMVGFLSACARLGALELGDWARGLVDGDEFLCNPVLGTALIDFYAKCGSVGRAVEVFKSMRRKDRVVFNAVISGLAMCGHVGAAFGVFSQMGKVGMQPDGNTFVGLLCGCTHAGLVDDGRRYFSHMSCVFDVTPTIEHYGCMVDLLARAGLLVEARDLIKSMPMKANAIVWGALLGGCRLHKDTQLAEQVLMQLIELEPWNSGHYVLLSNIYSASRRWDEAEKIRSSLSEKGMQKLPGCSWVEVDGVVHEFRVGDTSHPLTHKIYEKLESLFKDLREAGYSPTTEFVLFDVEEEEKEYFLGCHSEKLAVAFALISTSVKDVIRVVKNLRVCGDCHEAIKLVSKVTEREIVIRDNNRFHHFSEGSCSCRDYW >Vigun07g042900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4269233:4271835:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVLTLFPAGDD >Vigun07g042900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4269225:4271854:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVLTLFPAGDD >Vigun07g042900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4269233:4271835:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVLTLFPAGDD >Vigun07g042900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4269225:4271854:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVLTLFPAGDD >Vigun07g042900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4269233:4271835:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVLTLFPAGDD >Vigun07g042900.1.v1.2 pep primary_assembly:ASM411807v1:7:4269233:4271835:1 gene:Vigun07g042900.v1.2 transcript:Vigun07g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDESNWFSKWEEELPSPEELMPLSQTLITPHLAVAFDITNSSQNNHHQQQQFPLQPPSGAEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLAGGAAAADSATDHLFASSPVPPHFLHPAARSASDHYLPFVPALQQHRNHHHHMAVAAHFGSPRNAHFELPVLSRMGASVPGYVEDVESERRKVWLGPRILRTVYEHNFRLNLKAFELFATAIETASVCNDNDLEEKAF >Vigun02g139500.1.v1.2 pep primary_assembly:ASM411807v1:2:28869531:28873442:-1 gene:Vigun02g139500.v1.2 transcript:Vigun02g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRKRTLSAESDAMANDATPQQPKISDYELSREQRIRENRERMGKLGIFDLSLSLKLHNNNKTHRTTSRSSIKSKTPPTIKLSAPTRRSSRLQNLTPVSYAEVPVKKAEFAERGRVVIEEGAKPEVYTDEHLKLLGNTEKPWTLFVDGYGKDGKRIYDSVRGKTCHQCRQKTLGYRTCCSQCNMVQGQFCGDCLYMRYGEHVLEALQNPTWLCPVCRGICNCSLCRQAKGWAPTGPLYKKISSLGYTSVAHFLVQTRRAEVDVEKNAEVDVEKNAEASNPVSVQRSLPFSDVDTKSLEVNDNHLGSLMPLAETEADGAEVSTKRLLFSDEQEQVEKIECLDTPTPPQLEKQECSDTMKPLASSSKPSSDSIAGRLRSRSKKP >Vigun03g297600.2.v1.2 pep primary_assembly:ASM411807v1:3:48467179:48507668:1 gene:Vigun03g297600.v1.2 transcript:Vigun03g297600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAEAETGSMASVVASLSKSFYQVPPPAVPPMLDCILVSTGLSPLSLFASLLNDFPRTLKDVINEGDDDLDADKRPYLVSLVGAFCHLMKKTGTGCEAVGLFVQRCFLPLAKVLRPLQHDLLNQITESLIGVVIETCTWEILEENLVPIFLKSVGLSMGMLHNDDLDFYEWSTDSCHQHLNDVMIDPPMDKELLLSRSNSFELSTSCNVLSVILEAALQYMLTDTISKSVERKGCIADKFIKVLIWELCNLIERMLLHSPEHRSCAVGLLLPIIVKALPAIYSFEISIRGQRHEFSRDYFFIKIWSCCKTLFSIGPLERREAYNILSLYFSFSWSIEECEHDDHDTVVITEEFDIRAEKEFWKEIKIGLVDKESIVRKQSLHVLKMALNIQGGTNSVPSISKKNTNEKHHGVTKREKWAYKEATSLGVGNLLMIDDLIINNKQYWDAFVLLYEMLEEYGTHLVEAAWNHQVSLLLQFSGSYLNVGSNFSKIQHQFETYGEPFDWLSILWERGLHHDNPQVRCLIMQSFLDINWENYGKYIKSVPENFVLGPFMQGLNDPIHHKEFGVKGVYMSRVIEGAAKFLRHYVSFLAPRKRIEFLYNLASTAKHQSFGRAGLMGLADCIASVASGIGILNHVSTESFKGTFSVEFVSEIENQYGKKELLDIFRYVVESSKQHFNPSYRLQVCGKILEAAVCVVCAFDIPLEILLLFISALPKEFTDYGGQLRVAVQRWLSGCGYKDRCANCCNNEIKLWKSLYDFPQRFVSNNLPFDVSLTYDDEDLSAWESEANRWAKMLFLSTEQGHLLEPILVFIRHNGTNILKQNHDTRYIVVKFMILVLSLVMELRRAKERAVEYSAKARANVGNAFAGVVDDLGFIDDISEKLSDEFVYLLDDLVPFAKQSCSVFWSGVVTEDTALPGAVKGKLGGPSQRRLSVSATTVVLQAIMSVKAISLIFMWCNQIKRDASQNSAFAFMWQFFWRSTRCSTSCSEMGAEISLAAYEALVSILRVFASTFFPRSLYVVEESEQMFSEAEGRPRLDFMCLSFIQNINNLLGSGVLARTRRAVLLDIKWACLESLLSIPSYALKNGFNLEENHALFSDDTLKFIFGDLVESLENAGESCVLPMLRSVRMLFELVAKVTSKSIVSHGHLIDTQMMWNLVRSSWILHISCNKRRVASIAALLSSILHPLVFNDESMHETDNAPGPLKWFIENLLKEGTKSPRTIRLAALHLTGLWLLNPRTIKFYLKELKLLSLYGSVAFDEDFEAELADNSDARLEVSILASSPDPELTEAFINTELYARVSVAVLFYKLADLARMVGSPNEDADCIAAQASGKSFLLELLDSVNDKDLAKELYKKYSAIHRRKIRAWQIICVLSPFVEEDIVGKVVEYLSVSLNRNNLPAVRQYLETFAINIYLKFPYLVKERLVPILRDYDMRQQALSSYVFIAANVILNSSEDVQSSHLAELFPPLVPLLTSHHHSLRGFAQLLVYQILHKLFPSLNYGPSEMAPLEKRCFADLKTYLAGNSDCARLRTSMEGYLDAYDPKSSVTPAGIFINRVEEDDFECVPTSLMEQVLKFLNDAREDLRCSMAKDVVTIRNEALNFSGYTNSTENLSGFTEGTVPVDISSDFQKKVTFAKHDKGDNAAGVLYGNDETYKKMSEIERDDLLLDQLLQSRRSSLEQQKASRQNFILVASLLDRIPNLAGLARTCEVFRASGLAIADTKVMNDKQFQLISVTAEKWVPIIEVPVDSIKVYLQKKKREGFSILGLEQTANSVPLDQYIFPKKMVLVLGREKEGIPVDVIHILDACIEIPQFGVVRSLNVHVSGAIALWEYTRQQRSQ >Vigun03g297600.1.v1.2 pep primary_assembly:ASM411807v1:3:48467179:48507668:1 gene:Vigun03g297600.v1.2 transcript:Vigun03g297600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAEAETGSMASVVASLSKSFYQVPPPAVPPMLDCILVSTGLSPLSLFASLLNDFPRTLKDVINEGDDDLDADKRPYLVSLVGAFCHLMKKTGTGCEAVGLFVQRCFLPLAKVLRPLQHDLLNQITESLIGVVIETCTWEILEENLVPIFLKSVGLSMGMLHNDDLDFYEWSTDSCHQHLNDVMIDPPMDKELLLSRSNSFELSTSCNVLSVILEAALQYMLTDTISKSVERKGCIADKFIKVLIWELCNLIERMLLHSPEHRSCAVGLLLPIIVKALPAIYSFEISIRGQRHEFSRDYFFIKIWSCCKTLFSIGPLERREAYNILSLYFSFSWSIEECEHDDHDTVVITEEFDIRAEKEFWKEIKIGLVDKESIVRKQSLHVLKMALNIQGGTNSVPSISKKNTNEKHHGVTKREKWAYKEATSLGVGNLLMIDDLIINNKQYWDAFVLLYEMLEEYGTHLVEAAWNHQVSLLLQFSGSYLNVGSNFSKIQHQFETYGEPFDWLSILWERGLHHDNPQVRCLIMQSFLDINWENYGKYIKSVPENFVLGPFMQGLNDPIHHKEFGVKGVYMSRVIEGAAKFLRHYVSFLAPRKRIEFLYNLASTAKHQSFGRAGLMGLADCIASVASGIGILNHVSTESFKGTFSVEFVSEIENQYGKKELLDIFRYVVESSKQHFNPSYRLQVCGKILEAAVCVVCAFDIPLEILLLFISALPKEFTDYGGQLRVAVQRWLSGCGYKDRCANCCNNEIKLWKSLYDFPQRFVSNNLPFDVSLTYDDEDLSAWESEANRWAKMLFLSTEQGHLLEPILVFIRHNGTNILKQNHDTRYIVVKFMILVLSLVMELRRAKERAVEYSAKARANVGNAFAGVVDDLGFIDDISEKLSDEFVYLLDDLVPFAKQSCSVFWSGVVTEDTALPGAVKGKLGGPSQRRLSVSATTVVLQAIMSVKAISLIFMWCNQIKRDASQNSAFAFMWQFFWRSTRCSTSCSEMGAEISLAAYEALVSILRVFASTFFPRSLYVVEESEQMFSEAEGRPRLDFMCLSFIQNINNLLGSGVLARTRRAVLLDIKWACLESLLSIPSYALKNGFNLEENHALFSDDTLKFIFGDLVESLENAGESCVLPMLRSVRMLFELVAKVTSKSIVSHGHLIDTQMMWNLVRSSWILHISCNKRRVASIAALLSSILHPLVFNDESMHETDNAPGPLKWFIENLLKEGTKSPRTIRLAALHLTGLWLLNPRTIKFYLKELKLLSLYGSVAFDEDFEAELADNSDARLEVSILASSPDPELTEAFINTELYARVSVAVLFYKLADLARMVGSPNEDADCIAAQASGKSFLLELLDSVVNDKDLAKELYKKYSAIHRRKIRAWQIICVLSPFVEEDIVGKVVEYLSVSLNRNNLPAVRQYLETFAINIYLKFPYLVKERLVPILRDYDMRQQALSSYVFIAANVILNSSEDVQSSHLAELFPPLVPLLTSHHHSLRGFAQLLVYQILHKLFPSLNYGPSEMAPLEKRCFADLKTYLAGNSDCARLRTSMEGYLDAYDPKSSVTPAGIFINRVEEDDFECVPTSLMEQVLKFLNDAREDLRCSMAKDVVTIRNEALNFSGYTNSTENLSGFTEGTVPVDISSDFQKKVTFAKHDKGDNAAGVLYGNDETYKKMSEIERDDLLLDQLLQSRRSSLEQQKASRQNFILVASLLDRIPNLAGLARTCEVFRASGLAIADTKVMNDKQFQLISVTAEKWVPIIEVPVDSIKVYLQKKKREGFSILGLEQTANSVPLDQYIFPKKMVLVLGREKEGIPVDVIHILDACIEIPQFGVVRSLNVHVSGAIALWEYTRQQRSQ >Vigun07g113300.1.v1.2 pep primary_assembly:ASM411807v1:7:20948818:20953928:1 gene:Vigun07g113300.v1.2 transcript:Vigun07g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWGGLSCCLSAAALYLLGRSSGRDAEILKSVTRVNQLKELAQLLDAEILPLIVTISGRVASETPINCEFSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGSDRVHVVGARGATGFALPVGSEAFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPIGTSLTVVGEAAKDDVGSIRIQRPHKGPFYVSPKTIDQLIANLGKWARWYKYASMGLTVFGAYLIAKHAIGYILERRRRSELQRRVLAAAAAKKSGSNNDVEKADSLSDVAKRDRLMPDLCVICLEQEYNAVFVPCGHMCCCTACSSHLTNCPLCRRQIEKVVKTFRH >Vigun06g037950.1.v1.2 pep primary_assembly:ASM411807v1:6:15508538:15511178:-1 gene:Vigun06g037950.v1.2 transcript:Vigun06g037950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVLVLEGEMSLVLRQMNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRFVPHKANKTLSIIDTGIGMIQTDLAYNLGVGFYSTYLIADKVIVTSKHNDHDQYIWESQPGASFILEYLEEVTIKNLVIKYCQHISYRIYLWNENTKDDWQLINIWLHNRERDSKHVAQKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGERFQNMEKLYWPSQIQEDYLEGIGILGSSSINGILCLYVNNGRQLVYLWNPTINEFKVIPPSPFENAPYYIYIEINYHGFGYDCVRDDYKVVRQVSFFVNSDDDVEPHDVFPLACIWEMYSLRSNSFHKTKINI >Vigun08g045000.1.v1.2 pep primary_assembly:ASM411807v1:8:4722590:4724935:-1 gene:Vigun08g045000.v1.2 transcript:Vigun08g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPHFLLFPPQFFFLPIIANLLIPCIARPSSSSLSFVCYIKYKPQKGSLITFQFQFPQPHFISRTLIPRMAKAEENVYTLASLREHLIRQEDTIIYGLIERAKFPSNSNTYDDKYAQIPGFSGSLVEFVVKNAEDVQAKAGRYTNPKEHPFFPENLPPSNVPSYPFSQFLHPGPASININKSIWKMYFQDLLPLLATSGDDGNYAQTAAADLSLLQAISSRIHYGKFVAEVKFRDAPQDYEPLIRAKDREGVMKLLTFTDVEETVRKRVEKKATVFGQEVSLDSDNDGNGKRKFDPTVASRLYKNWMIPLTKEIEVEYLLRRLD >Vigun10g017600.1.v1.2 pep primary_assembly:ASM411807v1:10:1969786:1971700:-1 gene:Vigun10g017600.v1.2 transcript:Vigun10g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATHIAVIPSAGFSHFVPIINFSKLLVNLHPHIHLTCIIPILGSLPDAAKPILQTLPPRISTIFLPPVSLRHLPQGVPVVLQIQHAMTLSMPSIHHTLSSITSNTPHVAMVVDTFAHEALHFAQEFNMLSYVYFPSAATTLCTHFYLPTLDRETFCEYRDLPHPITVPGCVPFHGRDLYAQAQDRKSEFYKMSLKRYESYRFVDGIFINSFLELETGPIRAFKDEERGYPPLYPVGPIVQTGTATADSTTGLECLTWLDKQQDGSVLYVCFGSGGTLSQEQMNELAHGLELSKHKFLWVVRAPSHEANAGYLGGEKDADPLEFLPSGFLERTKEQGMVVPSWAPQIEILGHGSVGGFLSHCGWNSTLESVVHGVPLITWPLFAEQRMNAVVMSEGLKVGVRARVSEDGLVERVEIVEMIKCLMEEEEGREMRKRVKELKEAATNALKPDGAATTILSQVAHKWKVLAEQKISFD >Vigun10g177400.5.v1.2 pep primary_assembly:ASM411807v1:10:39588009:39592937:1 gene:Vigun10g177400.v1.2 transcript:Vigun10g177400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun10g177400.4.v1.2 pep primary_assembly:ASM411807v1:10:39588009:39592920:1 gene:Vigun10g177400.v1.2 transcript:Vigun10g177400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun10g177400.1.v1.2 pep primary_assembly:ASM411807v1:10:39587547:39592920:1 gene:Vigun10g177400.v1.2 transcript:Vigun10g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun10g177400.3.v1.2 pep primary_assembly:ASM411807v1:10:39587961:39592946:1 gene:Vigun10g177400.v1.2 transcript:Vigun10g177400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun10g177400.2.v1.2 pep primary_assembly:ASM411807v1:10:39587961:39592946:1 gene:Vigun10g177400.v1.2 transcript:Vigun10g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun07g195800.1.v1.2 pep primary_assembly:ASM411807v1:7:31532831:31535784:-1 gene:Vigun07g195800.v1.2 transcript:Vigun07g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEDQGQCSSQAINNYHQAYQDQLLLQQQMQQHQQNNDIFGGGGGVGGGRGGLNMYPGEVSQIMHQPWSMPHNPVHHPFNTVREHDPFLVPPQPSPYATLFNRRGHSLQFAYDGSSSDHLRIISDTLGPVVQPGSAPFGLQTELAKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAESSPVPTEADELTVVDEADEDGRSVIKASLCCEDRSDLFPELIRTLKALRLRTLKAEITTLGGRVKNVLFITGEEEDSSSGSEDHNHQQQYCISSIQEALKAVMEKSVGDESASANIKRQRTNIISMS >Vigun07g195800.2.v1.2 pep primary_assembly:ASM411807v1:7:31533039:31536216:-1 gene:Vigun07g195800.v1.2 transcript:Vigun07g195800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEDQGQCSSQAINNYHQAYQDQLLLQQQMQQHQQNNDIFGGGGGVGGGRGGLNMYPGEVSQIMHQPWSMPHNPVHHPFNTVREHDPFLVPPQPSPYATLFNRRGHSLQFAYDGSSSDHLRIISDTLGPVVQPGSAPFGLQTELAKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAESSPVPTEADELTVVDEADEDGRSVIKASLCCEDRSDLFPELIRTLKALRLRTLKAEITTLGGRVKNVLFITGEEEDSSSGSEDHNHQQQYCISSIQEALKAVMEKSVGDESASANIKRQRTNIISMS >Vigun01g228500.1.v1.2 pep primary_assembly:ASM411807v1:1:40111751:40115301:-1 gene:Vigun01g228500.v1.2 transcript:Vigun01g228500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPDWPEPIVRVQSLSENCFDSIPERYIKPSTDRPSSESSNFDDANNIPIIDLGGLSGADHHVSSSILKQISDACKEWGFFQITNHGVHPDLMDKARETWRQFFHLPMELKQQYANSPKTYEGYGSRLGIEKGAILDWSDYYYLHYLPLSLKDCNKWPHLPSQCREVFDEYGRELVKLCGRLMKVLSINLGLDEKTLQNAFGGEDIGACLRVNFYPKCPRPELTLGLSSHSDPGGMTMLLPDDQVPGLQVRKCDNWITVKPARHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSDKERVSLAFFYNPKSDIPIEPIKELVTVNTPSLYPPMTFDEYRLFIRMRGPRGKSQVESLKSPK >Vigun02g196300.1.v1.2 pep primary_assembly:ASM411807v1:2:33166182:33170656:1 gene:Vigun02g196300.v1.2 transcript:Vigun02g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTSGASGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPPFLKKFFPTVYRKTVEEKDLGSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGFFFICGVVLNAAAQDLAMLIVGRILLGCGVGFANQAVPVFLSEIAPSRIRGALNILFQLNVTIGILFANLVNYGTNKIKGGWGWRLSLGLAGIPALLLTVGALLVVDTPNSLIERGRLEEGKAVLKKIRGTDNVEPEFLELVEASRVAKEVKHPFRNLLKRKNRPQLVISIALQIFQQFTGINAIMFYAPVLFNTVGFGSDAALYSAVITGAVNVLSTVVSIYSVDKLGRRLLLLEAGVQMFLSQVVIAVILGIKVKDDSNDLSKGFAVLVVVLVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKFGIFLFFSGWVLIMSVFVLFLVPETKNVPIEEMTERVWKQHWFWKRFIDDDYTADEKVANVSNGFDPASVL >Vigun06g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23045971:23046285:-1 gene:Vigun06g100100.v1.2 transcript:Vigun06g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTWLFLAICATVLLLSSSPTAEAGPLSMEMAWMPSMEEEFQLDSEISRRILATTKYISYGALQRNTVPCSRRGASYYNCQPGAQANPYSRGCSAITRCRS >Vigun03g217100.3.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36155503:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKSGKASLGEELHKVLMAESISGEEMLKALNLKSEHAILETINKLEAATFSWKERIIQENSGKSPIRTSWSFLKDPVSGLDKMELLLERAETLLNLLKTRHPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRIGDILQEDAFSNPNSPISMSHSPGTNLSEAWVVGSSIRHSLIEKMNETEGQHGRSSCGSTSDIELPSTEASANIITATPSRGRLWCIGRNL >Vigun03g217100.4.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36157946:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLRKRLGCCTKETKISIDFDVPESVVTYNGLESCPSDNRSCGDESRTSRGDGCITDSFNDDDSSCCSSSKDAFGSFSSKCLTMKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKSGKASLGEELHKVLMAESISGEEMLKALNLKSEHAILETINKLEAATFSWKERIIQENSGKSPIRTSWSFLKDPVSGLDKMELLLERAETLLNLLKTRHPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRIGDILQEDAFSNPNSPISMSHSPGTNLSEAWVVGSSIRHSLIEKMNETEGQHGRSSCGSTSDIELPSTEASANIITATPSRGRLWCIGRNL >Vigun03g217100.7.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36157201:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLRKRLGCCTKETKISIDFDVPESVVTYNGLESCPSDNRSCGDESRTSRGDGCITDSFNDDDSSCCSSSKDAFGSFSSKCLTMKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKWKGKPGRGTAQGFDG >Vigun03g217100.5.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36157201:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLRKRLGCCTKETKISIDFDVPESVVTYNGLESCPSDNRSCGDESRTSRGDGCITDSFNDDDSSCCSSSKDAFGSFSSKCLTMKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKSGKASLGEELHKVLMAESISGEEMLKALNLKSEHAILETINKLEAATFSWKERIIQENSGKSPIRTSWSFLKDPVSGLDKMELLLERAETLLNLLKTRHPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRIGDILQEDAFSNPNSPISMSHSPGTNLSEAWVVGSSIRHSLIEKMNETEGQHGRSSCGSTSDIELPSTEASANIITATPSRGRLWCIGRNL >Vigun03g217100.6.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36155760:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKSGKASLGEELHKVLMAESISGEEMLKALNLKSEHAILETINKLEAATFSWKERIIQENSGKSPIRTSWSFLKDPVSGLDKMELLLERAETLLNLLKTRHPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRIGDILQEDAFSNPNSPISMSHSPGTNLSEAWVVGSSIRHSLIEKMNETEGQHGRSSCGSTSDIELPSTEASANIITATPSRGRLWCIGRNL >Vigun03g217100.2.v1.2 pep primary_assembly:ASM411807v1:3:36152161:36158008:-1 gene:Vigun03g217100.v1.2 transcript:Vigun03g217100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLRKRLGCCTKETKISIDFDVPESVVTYNGLESCPSDNRSCGDESRTSRGDGCITDSFNDDDSSCCSSSKDAFGSFSSKCLTMKRDEQGLEEWELPESPSNFYLKDKSAFDVQSSDVEAMKEKFAKLLLGGDVTGGSKGLSTALALSTAITSLAVTVFGELWKLEPLSEERKSKWEREMGWLLLPTNYMVELVPAKQSGANGGIFEIMTPKARADIQMNLPALQKLDSMLIETLDSMVNTEFWYAEEGSRSEGRNTTGRQSKRWWLPSPRVPRTGLSEVERKRLLHQGRIVHQIFKAAKSINDNMLLEIPVPSVIKDALLKSGKASLGEELHKVLMAESISGEEMLKALNLKSEHAILETINKLEAATFSWKERIIQENSGKSPIRTSWSFLKDPVSGLDKMELLLERAETLLNLLKTRHPNLPQTFLDAAKVQYGKDIGHSILEAYSRVLGSLAFSILSRIGDILQEDAFSNPNSPISMSHSPGTNLSEAWVVGSSIRHSLIEKMNETEGQHGRSSCGSTSDIELPSTEASANIITATPSRGRLWCIGRNL >Vigun08g016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1411625:1411834:-1 gene:Vigun08g016700.v1.2 transcript:Vigun08g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSCSSCTCNAWEMKNSSFSSHGGAVRRSDLTPVCYCGEKAITRTARTAKNRGRKFWGDKQHLITK >Vigun03g410100.1.v1.2 pep primary_assembly:ASM411807v1:3:61777616:61781162:1 gene:Vigun03g410100.v1.2 transcript:Vigun03g410100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALQFTALKCSPLSSSPLSKPSLFTQHRHNQKRFSTSIVNSVALANAQNKERVKLKKLFEEAYERCRTAPMEGVSFTLEDFTAALDKYDFDSEVGSKVKGTVFYTDNNGAVVDITAKSSAYLPLQEASIRKVKHVEEAGIVAGLREEFLIIGENQSDDSLILSLRSIQYDLAWERCRQLQAEDAAVKGKIVGVNKGGVVAEVEGLRGFVPLSQLSTNSNIEELLEKELPLKFVEVDEEQSRLVLSNRKAIAGNQAQLGIGSVVTGSVQSLKPYGAFIDIGGINGLLHVSQISHDRVTDISTVLQPGDILKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKVVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSGDGILGQFTSDLPEEGLDLTEVPPAEES >Vigun03g068700.3.v1.2 pep primary_assembly:ASM411807v1:3:5655904:5658838:1 gene:Vigun03g068700.v1.2 transcript:Vigun03g068700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQVIEQQHIGDPQLPSSPPSKDDMVSCVMALEAALLPCLPARELQAIDRSPHPSHQIDVDRYARDFMEAAKKLQLYFISLQREDKPTKVEMLRKIALMEEELNIKDELIKKQENLIQEWKKELKDQLDKHKTELDRV >Vigun03g068700.4.v1.2 pep primary_assembly:ASM411807v1:3:5655906:5658838:1 gene:Vigun03g068700.v1.2 transcript:Vigun03g068700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQMHLHSSSSLVLTHARHLLSRYHVSFLVSNPLHAVLPWLERNMGDRQVIEQQHIGDPQLPSSPPSKDDMVSCVMALEAALLPCLPARELQAIDRSPHPSHQIDVDRYARDFMEAAKKLQLYFISLQREDKPTKVEMLRKIALMEEELNIKDELIKKQENLIQEWKKELKDQLDKHKTELDRV >Vigun03g068700.2.v1.2 pep primary_assembly:ASM411807v1:3:5655802:5658880:1 gene:Vigun03g068700.v1.2 transcript:Vigun03g068700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQVIEQQHIGDPQLPSSPPSKDDMVSCVMALEAALLPCLPARELQAIDRSPHPSHQIDVDRYARDFMEAAKKLQLYFISLQREDKPTKVEMLRKEIALMEEELNIKDELIKKQENLIQEWKKELKDQLDKHKTELDRV >Vigun03g068700.1.v1.2 pep primary_assembly:ASM411807v1:3:5655906:5658838:1 gene:Vigun03g068700.v1.2 transcript:Vigun03g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQMHLHSSSSLVLTHARHLLSRYHVSFLVSNPLHAVLPWLERNMGDRQVIEQQHIGDPQLPSSPPSKDDMVSCVMALEAALLPCLPARELQAIDRSPHPSHQIDVDRYARDFMEAAKKLQLYFISLQREDKPTKVEMLRKEIALMEEELNIKDELIKKQENLIQEWKKELKDQLDKHKTELDRV >Vigun02g093700.1.v1.2 pep primary_assembly:ASM411807v1:2:24881113:24884832:1 gene:Vigun02g093700.v1.2 transcript:Vigun02g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKTVKVVNVSLGATEQDIEEFFSFSGKIEYVELRSHDEKSQTAYITFKEPQGAETAVLLSGATIVDMSVTITLDPDYQLPPDALISSEQDNETPGGAEVALRKAEDVVSGMLAKGFILGKDAVNQAKAFDEKHQLSSTASAKVASFDQKIGLSEKISAGATVVGDRVRDVDQKFQVSEKTKSAFAAAEQTVSNAGSAIMKNRYVLTGASWVTGAFSRVSKAAGEVGQKTREKVESEEQKRSVEDQQARVLSEAPKAPEASEQKPSKPAPAPAMGLIL >VigunL017400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000193.1:52942:54323:1 gene:VigunL017400.v1.2 transcript:VigunL017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFMVMPAMICGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSNTGGHHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPEMTMHRSPLFVWSVLVTTFPLLLSLPVLAGAITTLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFIVGLDVDTRAYFTAATMIITIPTGIKIFSWIATMWGSSIQYKTPMLFGLRFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFVGFHYWVGKIFGWTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSTSGNNITRANIPWPVEQNSTTLEWLVQSPPTLHTFGELPAIKETKSYVK >VigunL006900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:11258:12726:-1 gene:VigunL006900.v1.2 transcript:VigunL006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDINGIREPVSGSLLYGNNIISGAIIPTSTAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFDSSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun09g101900.1.v1.2 pep primary_assembly:ASM411807v1:9:17418275:17422214:1 gene:Vigun09g101900.v1.2 transcript:Vigun09g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTTGLESFPTLLAPQSDVVGELSAAPSFDLPNSNDFDGFLKEAIQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYRYDLSIEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYHYYPVTPSTVEQEMVEATGA >Vigun07g050500.1.v1.2 pep primary_assembly:ASM411807v1:7:5257427:5263202:-1 gene:Vigun07g050500.v1.2 transcript:Vigun07g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTQWGSTAWHLLSLVRAQTPLIQCITNFVSMDIVANTLLSAGASPAMLHAVEELRDFTPGVHALYVNVGTLSPSWLPAMTTAAQICNTHRTPWVLDPVAANASAFRFEACRELLRLKPTVVRGNASEIIALSLDSAEPNSSASKGVDSVHESTTAVEAAKLLARTSGAIVAVSGATDIVTDGNRVVGAHNGVAMMQKVTATGCSVTALIAAFVAVDKTHALDAAVSALAVFGVAAELGMKMAKGPASLRMHLIDALYGLDEAALHSHVNITSLC >Vigun05g136900.1.v1.2 pep primary_assembly:ASM411807v1:5:16510496:16512410:1 gene:Vigun05g136900.v1.2 transcript:Vigun05g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPSRFVFLLLVVISTSHSNAYPLSTHNRWIIDEATGQRAKLVCANWAGHLQPMIPEGLDKKSLKDIIGELVKHKFNCVRLTYAIYMWTRYGHENVNANFASLDVPEVVSKNNPFVLSMTHLQAFDAVVHELGVQNVKVLLDNHVSEPMWCCDDNDENGFFHDRHFNPQEWVHGLTLAAKHFSGNHVVVAMSLRNELHGPRQNLKDWYIYMSQGAITIHKTNPNVLVHISGLNYDTELQFLRRKPLNIDLGNKMVFETHLYSWSGIGTLKLKEIWTKQPLNRICANNIKAIDYRAGFLTIGKNATPFIFTKFGFNEEGSAVEDNRFLTCLQTYLLGKDLDWGFWAFQGTYYVKKDQVQVDESFGVMDETWHHLRYPNFTDKFQLLQRKNLEPISKAPIVNILYHPLSGQCAQVNEKNEVELGSCETKNRWVHGENATKILLQGIKKCLTAAGEGLPIIVSDCERKNSF >Vigun01g053233.1.v1.2 pep primary_assembly:ASM411807v1:1:9833559:9834420:-1 gene:Vigun01g053233.v1.2 transcript:Vigun01g053233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMIERMARMENEIISLRQKDTSNNIEQLDISVNSGQGSCTISLNSFPKGVSSCKLFVSSPLLCLVAHGKLHNVKSDALHGRSLPSGHVKVSVDINVEPNVSLPIPNANHDIMTTGQAIGTFVAWPNNLLQVVDVDSTLSKKKRINNTNEYVVTKRKCQGKMVIQHDPRKVIHPNLPQCCNYLPSYMKLKPTESLSPIEMEKAIFGLDEHKETVKA >Vigun11g017700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2206681:2207648:-1 gene:Vigun11g017700.v1.2 transcript:Vigun11g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKNMLVLLLPFLVVASSLAILPTSSPSKNDGTHNPKPPHRNKPPPHIENGHLKPPHHGKPPPHMEDALYHDKPPNHFGDKPQHIEDGSYDGKPPHHGGKPPSLKTTKDLPPKEQQGTGNQHPRPGHGGHKVESTNFRPIDPPGSD >Vigun01g071200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19275917:19276528:1 gene:Vigun01g071200.v1.2 transcript:Vigun01g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWAGVVIGLVLFVVLSPGLLFQVPGKGRAVDFCNFQTSAISIFVHSLLFFGFMAIFLIAINVHIGSG >Vigun05g302600.1.v1.2 pep primary_assembly:ASM411807v1:5:48525083:48529236:1 gene:Vigun05g302600.v1.2 transcript:Vigun05g302600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTIFTRCLLFFSFLFHAVSALTSDGLTLLSLTTHWNSVPPSINTTWLASDSTPCASWVGVQCHHTHHVIYLNLTGYGISGKLGPEIGNISRLNYLDLTNNNLSGPIPHSFKNLHSLQFLSLANNQLSGEIPHSLSQIPVLDTIDLSNNLLSGSIPTSIGNMSELLLLYLQSNQLSGTIPSSIGNCSKLQELCLNTNQLEGVLPQSLDNLDHLEYFDVSDNRLTGTISLGSTNCKNLVVLVLSDNDFSGGLPSSLGNCSSLSEFFAVNCNLVGNIPPSFGLLTKLSILCLPVNHLSGKIPPEIGNCKSLTDLELYSNRLEGNIPSELGKLRKLVDLQLFSNQLTGEIPLSIWKIKGLQSLHLYNNSLSGELPLEIAELKQLKNISLFSNQFSGVIPQSLGINSSLVLLDFTSNKFTGNIPPNLCFGKKLKILNLGINQLQGSVPPDVGSCTTLTRLILKQNNFTGPLPHFKSCQNLVYMEIGNNKIHGAIPSSLGNCTRITDLILSMNKFTGAIPSELGNLVNLRTLNLAHNNLEGPLPSQLSKCTRMDRFDVGFNFLNGSLPSSLQSWTELTTLILSENRFSGGLPSFLSEFKMISELQLGGNVFGGKIPVSVGAMQKLIYGLNLSSNRLTGEIPVEIRNLKMLRTLDLSQNNLTGGIEVLGDLISLVELNISYNSFRGIVPKTLMKFLNSSLSSFRGNPGLCIRCSASDCLAFSERSSLKSCDDKSTKHKGLSKIQIVKMFLGLSIFLGLGLLALVCVVVFERIAKQENNISSEQGSSYLLNKVMEATANLNDRYIIGRGAHGIVYKALIGPDKAFAVKKIGLAASQGKNSSMVREIQTLGKIRHRNLVKLEDFWLRKDCGIILYSYMEKGSLHDVLHERTPPPTLKWSVRYKIAVGIAHGLAYLHYDCDPPIVHRDIKPSNILLDSDMEPHIADFGIAKLLEQSSPASNTSIVVPGTIGYIAPENAYATTSSRECDVYSYGVVLLELITRKKAATDPSYMEGGVLVDWVKSVWRETAEIHQIADSILSGEFADTHIMENVTKVLMVGLRCTEKDPHKRPTMRDVINQLSDSNPRTRSTKG >Vigun05g239700.2.v1.2 pep primary_assembly:ASM411807v1:5:43267961:43269749:1 gene:Vigun05g239700.v1.2 transcript:Vigun05g239700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKLDSVSHAPISLREEATGKIKTKATTNAKSKLRFDHLKNLAVWATTNDPLIPSLGAFYGYQFATFGEANGVPPDSSLITCQRCETVLQPGFNSTVRIEKNKSKVRNKHKKSGNITQNNLVHKCHFCLHKNLKRGTPKGHIKGIYPSKDKSRLETIPPSKYITSETSELEKDSVSYGEINEINVFPSSTNTVSLTEGRKRRHDSSLSKNAITNMSSKEVAKSAGTSSKRRRKSWTSLKEIAQKNEHHSQIVNFTIPFMLK >Vigun05g239700.1.v1.2 pep primary_assembly:ASM411807v1:5:43267961:43269768:1 gene:Vigun05g239700.v1.2 transcript:Vigun05g239700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKLDSVSHAPISLREEATGKIKTKATTNAKSKLRFDHLKNLAVWATTNDPLIPSLGAFYGYQFATFGEANGVPPDSSLITCQRCETVLQPGFNSTVRIEKNKSKVRNKHKKSGNITQNNLVHKCHFCLHKNLKRGTPKGHIKGIYPSKDKSRLETIPPSKYITSETSELEKDSVSYGEINEINVFPSSTNTVSLTEGRKRRHDSSLSKNAITNMSSKEVAKSAGTSSKRRRKSWTSLKEIAQKNEHHSQIVNFTIPFMLK >Vigun09g004900.1.v1.2 pep primary_assembly:ASM411807v1:9:358658:362352:-1 gene:Vigun09g004900.v1.2 transcript:Vigun09g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEIEGEKQQKFDVDLGNLMALDSNHTFPSQPPLSREEIVKQCLLKGTHLVQAIADALFTLPSTEDVDGPLVNLPAPLTKLPREKHLPKPKPPTKWEVFAKKKGIQNRKKDKIVYDEQSGTWKRRYGYDRANDEDAVPIIEAKETDDPAEDPFAKRKENKKKRIEKQDKNRLQNLKEAAKFGALPSHVQLAATALPITGTQAAPKKVTKDELGNVAGIAATATASGGKFDKKLRGEKPAKHEGKYRKFLPVVEGTGIGSLEREQTEKILNKIISKNSHNILNVEKAVTVHNVKKEKKRRSEKGKASPADKLKPEKKSFKKGNFKKKGDFKKGKGKGK >Vigun02g129000.1.v1.2 pep primary_assembly:ASM411807v1:2:28065072:28066748:-1 gene:Vigun02g129000.v1.2 transcript:Vigun02g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDRILVLGPTGAIGRHIVWASVKAGNPTYVLVRDTPPTVNKPRLVTAANPETREELLQNFQNSGVFLIQGDMNDHQSLVNAIKQVDVVICSFGRLLIEDQVKIIAAIKEAGNVKRFFPSEFGLDVDRHDAVEPVREVFEEKAKIRRVIEAEGVPYTYLCCHAFTGYFLRNLAQIDITVPPRDKIYIQGDGNVKGAYVTEADVGTFTIEAANDPNALNKAVHLRLPANYLTLNQIVSMWEKKIGKTLEKIYVPEEEVLKQIKESSFPNNYLLALYHSQQIRGDAVYEIDPARDVEASEAYPYVKYSTVDEYLNQFV >Vigun05g182500.1.v1.2 pep primary_assembly:ASM411807v1:5:35047276:35051173:1 gene:Vigun05g182500.v1.2 transcript:Vigun05g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTVFSLFFFVTLFTCLVAAIVNNDHILLPSQLHQDNSLSSSSSCTAPDPKLNPRPVIGILSNPGDGAAGRLSNSSGISYIAASYVKFVESGGARVIPLLYNESPDSLLAKLSLTNGVIFTGGLASSGPYLKALQFVFQAALERNDAGEHFPVLGLNLGGNLLIKIVAEQADVLETFNASSLPSSLQLWINALEDGSLYQTFPLDLLQLVRSECLVLHNHRYAITPRRLQYNRKLSSFFDILATSTDRDNKVFVSTARGTKYPVTVNLWNPEKNAFEWATSLKAPHTANAVLVTQSAANFFVSEARKSSNRPDAQAVRDNLIYNYKATYSGVAGKGFDQVYIFE >VigunL059194.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000603.1:5617:6234:1 gene:VigunL059194.v1.2 transcript:VigunL059194.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLYYSRPSTLNCGVLMGSGALVLVVLLDLIAKNGATRGLPRRSPILVLLSPKHA >Vigun03g193650.1.v1.2 pep primary_assembly:ASM411807v1:3:27031850:27032993:-1 gene:Vigun03g193650.v1.2 transcript:Vigun03g193650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPYVGVPEWLSGMTRNHVGSARAGSNPAAHVFIFFF >Vigun10g129700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33787326:33789781:1 gene:Vigun10g129700.v1.2 transcript:Vigun10g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSKAALCLVEALKESESKHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEERREKGEASYEDSIFEDLVRRFEKPERRNRWDSPLFELWPHREETEKSSSAIIDAVSYLTKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun08g177400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34746583:34748697:1 gene:Vigun08g177400.v1.2 transcript:Vigun08g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAKKNGHIVMIPFMAHGHIIPFLQLARQIQRKTTLNITIANTPLNIQYLRSALSSTSPHQIRLAELEFNSTRHGLPPNAENTEKLPLSLIGKLFNSSRSLEAPLRSLIAQITEEEGYPPLCLISDVFLGWVNNVATSLGIRNLSFTTCGAYGTLAYISIWSNLPHRKTDSDEFWVPGFPQNYRFHRSQLHKFLRQADGTDEWSRFFISQIALSMNSDGWICNTVEEIEPLGLQLLRKYVQRPVWTVGPLLPSAKGSKHRAGKESGIALEACMEWLDLKDESSVVYVSFGSQNTISASQMMALAEGLEMSGRSFVWVIRPPVGFDIDGEFREEWLPKGFEERMRDAKKGLLVQKWGPQLEILSHKSTGVFLSHCGWNSVLESLSNGVPMIGWSLAAEQAYNVKMLVEEMGVAVELTRTVETTITGEEVQKVIDIVMDQEGKGKEMKEKANEIAAHMRDATTEKGEEKGSSVRAMDDLVTTIFSPKPL >Vigun07g006600.1.v1.2 pep primary_assembly:ASM411807v1:7:554496:558303:-1 gene:Vigun07g006600.v1.2 transcript:Vigun07g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGSSHFNVSINRCLSEEECKYPQIKSYLSTLRKMSIPPPNDPSRGCSSEFSQPLGQQDLAEARNLLENLNRVNVVQGNLTEVVYRTGDQDLDIRRPVYRWDRRPYQDIFANGFQAWPQGQTPNNTYYDLLHFIQHAGAPLDPNRPPTTTHAFVSTTLNNAWQPTPSTQVLPPGSQIQFYRYEVYAPGGIWVAVTLGNRYSYVSQAEVCFAGGIAPQYIRSCLIFTATREAGSRYVRLRRETRLIINRNFNPESAPYNQVVIYIPVYYYRDDNGENRYLPEETYPPMREKRQVLEADNDAALDWYTTKVVEVPSYIDSAFRSSKPNEVYFFLKNKYVQVYYTPGDTKDKILTDLRLICDGFPSLLDTPFGEYGIDCAFDTEASKAYIFSTNLCAYIDYAPGTMDDKILSGPMTIAAMFPVLKNTVFENGIDSAFRSTRGKEVYLFKNNKYVRIAYDSKQLVGSIRNIGDGFPILKGTIFESGIDACYASHVESEAYLFKGDKYVRIKFSPGTYDDALVGDVRPILDGWPCLKGILPLDNKGIDTHSHADHEQADPDPCEMEENKESTVAKLQAVKHKSGKSYNQLADETGLTNVYVAQLLRRQAQLKPDTAPLLLAALPNLPEDLVWEMMRPPLRSYDPELILDPTVYRLNEGVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKIKGVDGKDRVVVTFDGKYLPHSEQKSEDMVSRRRL >Vigun04g157600.1.v1.2 pep primary_assembly:ASM411807v1:4:37954821:37959008:1 gene:Vigun04g157600.v1.2 transcript:Vigun04g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MDSQGQTTSLQRLQNVEKRIVKVLELAGGVMDELASPVGPRKDVVQNHCLEFMQLIKDIQVALRDEIKSACEYRPFEKCDYGPRIANEICHKKVEFIMSQLDAMKQTIDEYHAAV >Vigun06g013400.1.v1.2 pep primary_assembly:ASM411807v1:6:6073506:6079795:-1 gene:Vigun06g013400.v1.2 transcript:Vigun06g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKNPFAQHSIINTVFSPLFLSYKCTHTGWFLSQGKLSFTKGHAKGFSVMEKGSGMSNMNPKLRTVKVTATVKRSDGGLLTNLVQGGVQGIEHIIGKTLVFELLSNDLDSKTKLEKKTIKGDAEKSNEENDEVQYEATFKLGEDFGKVGAVLVENEQSKEMFLKSIVLDGFPHGPLHVTCDSWLQPKDHVKRVFFIDKSYLPSQTPSGLRRLREEALKQLRGNGEGERKSSDRIYDYDVYNDLADPDSNLNLKRPVLGASKQYPYPRRCRTGRKHTHSDPSTEKRSSSFYVPRDDAFSDTKESQFKMNAITTGISAVLESLDAILTDQDLGFRSFEDIETIYKEGFHLPALKDSGLNFLQSVIPRLIKVANDSQNLLRFDTPETVKRDRFFWFSDEEFARETLAGANPQNIRLVKEWPLKSKLESQIYGPQESAFTAEVIEPQIIGYGTIKEAIREKKLYMLDYHDLFLPYVSKVREIEGTTLYGSRTLFFLTKQGTLKPIAIELTRPPMDGKPQWKQVFTPASHSISHSTNLWLWRLAKAHVLAHDAGTHELLNHWLRAHAVMEPFVIATNRQLSAMHPMYKLLHPHLRYTLAINAFAREILINANGIIEKSFSPNKYSMELSSVAYDQLWQFDLQALPNDLIDRGMAEVDPNAPHGLKLTIEDYPFANDGLLIWDAIKQWVSDYVNHYYSTPSIIQSDQELQAWWTEIRTVGHGDKSEEPWWPNLKTPEDLIDIITTIAWIASAHHAAVNFGQYTYAGYFPNRPNIIRNKMPTEDPSKEEWENFVNKPEQTLLESFPSQIQATTMMLVFNVLSYHSTDEEYIGQFMKPSWAQDPTIKVAFERFNRRLKEIEGIIDSRNKDSNLKNRHGVGVVPYELMKPFSEPGLTAKGIPYSVSI >Vigun07g152300.1.v1.2 pep primary_assembly:ASM411807v1:7:26278684:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDILLRLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEVNWNMTRSEVSKISITMLMTRCEYILGRFLTDENVLGDCPLPKARLEEIIYVLRELAHVVIHPDAASVLPIHPLLRTGLAEDKEKHNNRPHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELSLEKLSSPSEKNTSR >Vigun07g152300.10.v1.2 pep primary_assembly:ASM411807v1:7:26284751:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRK >Vigun07g152300.7.v1.2 pep primary_assembly:ASM411807v1:7:26284751:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRK >Vigun07g152300.9.v1.2 pep primary_assembly:ASM411807v1:7:26284751:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRK >Vigun07g152300.4.v1.2 pep primary_assembly:ASM411807v1:7:26278684:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDILLRLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEVNWNMTRSEVSKISITMLMTRCEYILGRFLTDENVLGDCPLPKARLEEIIYVLRELAHVVIHPDAASVLPIHPLLRTGLAEDKEKHNNRPHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELSLEKLSSPSEKNTSR >Vigun07g152300.6.v1.2 pep primary_assembly:ASM411807v1:7:26282088:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDFSPNC >Vigun07g152300.8.v1.2 pep primary_assembly:ASM411807v1:7:26284751:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRK >Vigun07g152300.5.v1.2 pep primary_assembly:ASM411807v1:7:26278684:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDILLRLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEVNWNMTRSEVSKISITMLMTRCEYILGRFLTDENVLGDCPLPKARLEEIIYVLRELAHVVIHPDAASVLPIHPLLRTGLAEDKEKHNNRPHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELSLEKLSSPSEKNTSR >Vigun07g152300.2.v1.2 pep primary_assembly:ASM411807v1:7:26281002:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDILLRLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSRCYPLKACLIRIRFFFCK >Vigun07g152300.3.v1.2 pep primary_assembly:ASM411807v1:7:26278684:26311554:-1 gene:Vigun07g152300.v1.2 transcript:Vigun07g152300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNEDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAVSPSALREILSTLKDHAEMADEGVQLKTLQTTSIIFQSRLHPENEDTMSQALGICLRLLENTRSSDSVRNTAAATFRQAVALIFDRVVLAESLPAGKFGFGGQLTRTTSVTGDVNRSINLSNSLDNEIVSGSPAVTRENLTETGKLGLRLLEDLTSLAAGGSANWLRANILQRTFALDILEFVLSNYVAIFRTLLPYEQALRRQICSLLMTSLRTNAELDGETGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKVTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDLHPKNTNVVEGMVKALARVVSNVQVQESSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNDPPVKWSGKTTVLCISMVDSLWLTILDALSLILSRSHGEAIVLEILKGYQAFTQACGILRAVEPLNSFLASLCKFTINFPAETERKSALPSPVSKRSELSLDQRDNVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQVSTPVKFSRELSTQYSDFNILSSLNSQLFESSALMHIPAVKSLLSALCQLSHQCMSGTSSSSGPTASQKIGSISFSVERMISILVNNVHRVEPFWDQVTCHFLELADNSNPHLKNMALDALDQSISAVLGSERFQDYKQSKSLETSQEMEVNIDKLRSLECSVISPLKVLYFSSQSVDVRVGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVAEKDLVTLGFQNLRVIMNDGLSTLPTDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNGPFEGKGTGVNSIVKQINNEKTEGGTHISNIVRDKASSIDGVDFEKLLFSVFSLLQNLGADERPEVRNSSVRTLFQTLGTHGQKLSKNLWEDCLWNYVFPTLDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVFGGIARILRMFFPFFTSLSNFWSGWESLLQYVENSILNGSKEVALAAINCLQTTVISHSSKGNMPMPYLISVIDVYELVLRKPSSYHGSAADKVTQEILHGLGELYVQAQGLFNDVVYTQLISIIDLAVKQAMLTNDNFEMEFGNVPPVLRTILEILPLLRPTEHICSMWSLLLREFLQYLPRQDSHLHNEDGKIDQARDYQIKHDAPNGATPISHNEVAAPNAIPSYIFAERLVPVLVDLFLQAPAVQKHIMYPEIIQSLGRCMTTRRDSPDSALWRLAVEAFNRLLIDYVTNLTNGGSDSSTSKSVRTRIWKEIADVYEIFLIGYCGRALPSNSLSAVVLEADESLEMSILNVLGDTVLKLPVDTPTDILLRLVSTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEVNWNMTRSEVSKISITMLMTRCEYILGRFLTDENVLGDCPLPKARLEEIIYVLRELAHVVIHPDAASVLPIHPLLRTGLAEDKEKHNNRPHLFVLLPSFCELVTSRELRIRELVQVLLQLVTKELSLEKLSSPSEKNTSR >Vigun07g240200.1.v1.2 pep primary_assembly:ASM411807v1:7:36138686:36140070:-1 gene:Vigun07g240200.v1.2 transcript:Vigun07g240200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLSPLKKFWLRFNSTQKKRRGIYILYEDVKSCPYEDVHVLWSILVEPHSPSMPSKKMKALDTRQN >Vigun07g284400.2.v1.2 pep primary_assembly:ASM411807v1:7:39792229:39794623:-1 gene:Vigun07g284400.v1.2 transcript:Vigun07g284400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHSITLPFSSIVSSRVTKQSSNSCFLPKHKPTKHLLNFTLPLSLDGNSRKIRISSRVQALKSDGGKWKKTSSDSDDDDDDDDDDENDAPTGSAKNDPYLMSLEERLEWRRAIRQVLDKEPNVEEELEPEEKKKKLQKLMDDYSLVVDEDDPNWPEDADGWGFSLGQFFDKITIKNQKKDDDNDDNDDDVDRPEIVWQDDNYIRPIKDIKTAEWEETVFKDISPLIVLVHNRYRRPKENEKIRDELEKAVHIIWNCRLPSPRCVAIDAVVETELVDALKVSVFPEIIFTKAGKILFRDKAIRTAEEWSKVMAYFYYGAAKPPCLNNVTFSQENIPSIVTNNPVS >Vigun07g284400.1.v1.2 pep primary_assembly:ASM411807v1:7:39792205:39794628:-1 gene:Vigun07g284400.v1.2 transcript:Vigun07g284400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHSITLPFSSIVSSRVTKQSSNSCFLPKHKPTKHLLNFTLPLSLDGNSRKIRISSRVQALKSDGGKWKKTSSDSDDDDDDDDDDENDAPTGSAKNDPYLMSLEERLEWRRAIRQVLDKEPNVEEELEPEEKKKKLQKLMDDYSLVVDEDDPNWPEDADGWGFSLGQFFDKITIKNQKKDDDNDDNDDDVDRPEIVWQDDNYIRPIKDIKTAEWEETVFKDISPLIVLVHNRYRRPKENEKIRDELEKAVHIIWNCRLPSPRCVAIDAVVETELVDALKVSVFPEIIFTKAGKILFRDKAIRTAEEWSKVMAYFYYGAAKPPCLNNVTFSQENIPSIVTNNPVS >Vigun07g001400.1.v1.2 pep primary_assembly:ASM411807v1:7:122519:129033:1 gene:Vigun07g001400.v1.2 transcript:Vigun07g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGLEMHKEAEDDYAHFSNLLQEFTSIPNIDKAWFFSSPGLHLQGMFAVSQPDLLTNTRRTSIMSCNILKEMDSSVKFLWAPFPIEISGVSMIVPSPSGSKLLVIRNSENEAPCCFQIWSASRMEKEFHIPQSLHGSVYNDGWFEGVSWNINETCIAYVAEGPSPAKPTFNGLGGYKIGGCADKDSGYWKCQGDWEEDWGETYAGKRQPALFVIDINSGEVQEVKGIDKSLSIGQVVWAPFSEGSEQYLVFVGWSSKPRKLGMKYCSNRPCALYAVRLRALHHESKSNETVLQSTEEFHALNLTQTISSAFFPRFSPDGKFLVFLSARSAVDSRVHNATNSLHRIDWPTNGKPFQSSKIYDIIPVVMCAEDGCFPGLYCTTIHSNPWLSDNCTVIISSIWHSSEVLLSVNVLSGEIVHISPADPNFSWNLLTLDGNNIVAISSSPVDVAQIKYGKAIEKETNNTTWSWSNISCPIFRCSDKVRSMLSSLQSSILRISVKDAHDGQTKGATKPFEAIFVSSKTNSKIEVDPLIVILHGGPQDVSLSYFSKYLAFLSTVGYSLLIVNYRGSLGFGEEALQSLPGNVGSQDVNDVLSAIDHVINLGLAGPSKITVMGISHGGFLTTQLIGQAPDKFVAAAAINPVCNLAMMIGTTDIPDWCYVEACGTTARNCFTEPPSADDLTLLYNKSPLSHVSKVKAPTLFLLGAHDVRVPIYDGLQYARALKEKGVDVKIIMFQNDVHALKRPQSDLESFLNIGVWFNKYCKHGASESQVMDRAMKD >Vigun04g048600.1.v1.2 pep primary_assembly:ASM411807v1:4:4203160:4206081:1 gene:Vigun04g048600.v1.2 transcript:Vigun04g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQKAKVVRLRSYHDKYMLADENQENVFQDRNGCYNNAKWEVEMIENSNFIRLKSCYGKYLTASNMPFLLRGTGKKVLQSLPSRLNSAMEWEPIREGVQVRLRTRYGQYLRGNGGLPPWRNTITHDVPHRTATANWVLWDVDIVELRPKNQPKPRPRPTPITPPISRSLNPTPLCLSPSSSSPSDMDSLVKIDLRSPTTPEPEDSQECLSPVKEGRVIFYNVGNENGDVDDAKKESYFIFKGSCVNELKDKLKEETGLDDVLVCCRNPVTAKLYPLRLQLPPNTCDMHVVLVPSSFQEQ >Vigun06g150800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27564319:27566963:1 gene:Vigun06g150800.v1.2 transcript:Vigun06g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKISCKVQIKQTTLVHVLKPQGKIGRKMASKGVVAPVALLLTLSLLFFPMVSSNNLSFPIPSLPVPPLTIPQFPFRRPPPPIRPPPPPIRLSPPPPPPIRPPPPSIRLSPPPPPPIRLSPPPPSLPTRPPPPPTRPPPLFPLPPVSPPLLSPSILPPLSPPISPPLSPSIPPSLLPPLKSPPLSPPDSSPLFPPSSLPPFSPLVLPPLIPPLISPPLSPPDLPPLIPPFSQPPLSPPITPPLLSPLESPPLSPPNSPPIFPPSTLPPLSPPISPPLSPPDSSPLFPPSSVPSLPHVVLPPIFPPLMSPPLSPPDLPPLIPPVSPPPSSPPMLPPLSPLITPPLLSPPESPPLSPPETPPLFPPSTLPPLSPPTSPPLSPPDSSPLFPPSSLPPLPPVVLPPLFPPLMSPPLSPPDLPPLIPPVSPPPSSPPMLPPLSPLITPPLLSPPESPPLSPPETPPLFPPSTLPPLSPPTSPPLSPPDSSPLFPPSSLPPLSPWVLPPLFPPLMSPPDLPPLIPPVSPPPLSPSMLPPLSPPITPPLLSPPESPPLSPPETPPLFPPSILPPLSPPISPPLSPPNLPPLLPPSTLPPLSPPNSPSLPPLQPPILPLSPPPPVTPLTPPPLLPPPPPPPVTPPPPPPSPPPPPPITPSPPPPPPPSPPPPRPPPPPPPISSPPPPPPRPSSCLTFQVCTDFLGLFSQIPGVDSLCCSAVLVSRSPSEAARCVCANGRARFPGMDAPTLTGRMRTVFVGCGRNANNFSC >Vigun07g291000.3.v1.2 pep primary_assembly:ASM411807v1:7:40276560:40278386:1 gene:Vigun07g291000.v1.2 transcript:Vigun07g291000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQHQNLPLVPKSEEAGVKPFAIRQYVLACRHRNISLNWPYEEKHLQLCLKSGLREKELLPPLESDKASHDEPVKGFSKMHSPNGNKNKETDSCNAEVPQECNYKVSNHPSPHEEGNYSNHHNDSAKFSQPEETRTLSGCTNFHDKSPLLPPLKTVKCKRRRRKGKCKKRLMVDILAMAQHSSLEEIDAMNKFFYAETVVEGFQQTVPCKSISRAEAVSEDSCRKGGSEDDGDVTPKGLFVLKFKLNGCNVIGN >Vigun07g291000.2.v1.2 pep primary_assembly:ASM411807v1:7:40276538:40278447:1 gene:Vigun07g291000.v1.2 transcript:Vigun07g291000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQHQNLPLVPKSEEAGVKPFAIRQYVLACRHRNISLNWPYEEKHLQLCLKSGLREKELLPPLESDKASHDEPVKGFSKMHSPNGNKNKETDSCNAEVPQECNYKVSNHPSPHEEGNYSNHHNDSAKFSQPEETRTLSGCTNFHDKSPLLPPLKTVKCKRRRRKGKCKKRLMVDILAMAQHSSLEEIDAMNKFFYAETVVEGFQQTVPCKSISRAEAVSEDSCRKGGSEDDGDVTPKGLFVLKFKLNGCNVIGN >Vigun03g030600.1.v1.2 pep primary_assembly:ASM411807v1:3:2303047:2318178:-1 gene:Vigun03g030600.v1.2 transcript:Vigun03g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQCWPSLTELNEIREKVSKMANVNTEEVRVVVSPYRICPLGAHIDHQGGTVLAMTINKGILLGFAPSANYQVVIHSGQFQGEIKFRVDEIQQPKDKCLAKDSSELHEQCDWGRYARGAVYALQSRGNNLSKGITGYICGSEGFDSSGLSSSAAVGVAYLMALEYANNLVISPTENIEYDRLIENEYLGLKNGIMDQSAILLSRHGCLMCMNCKTKDYKLVYQPKVLEYKESEQPKATSILVALSGLKQALTSSPGYNKRVGECREAAKILLEASGDYRTEPILSNVDPEVYEAHKHKLEPNLAKRAEHYFSENMRVMKGLEAWSLGKLKDFGMLISASGRSSIQNYECGCEPLIQLYEILLRAPGVLGARFSGAGFRGCCIAFVEAHLATQAASFVRTEYLKVQPELASRIHNDTAVIICDSAECARVI >Vigun08g054400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6586623:6587408:1 gene:Vigun08g054400.v1.2 transcript:Vigun08g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLIATIMLLSLLAYTTSTHACGTCHPQSPPPPSGKCPKDTLKLGVCADILGLVNVVVGSPVSSKCCALLQGLADLEAALCLCTAIKANVLGINLNVPITLSVLLSACQKTVPSGFQCA >Vigun10g174800.1.v1.2 pep primary_assembly:ASM411807v1:10:39337553:39343624:1 gene:Vigun10g174800.v1.2 transcript:Vigun10g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMAMVIFNGYADDFSWICLKHFKFTSFCSQTTTIDAINLFFVGVFYASTIVSLIRRNFINGSHSKSRFFLIVSICCAITSIVFYGVGLWNLIAKTGNSMATCVVSGFVWTSFAVSLLLQTHKWIRFLNSVWWACSCALFSALQIEILCRKHAIEIFDVLLWLLHMLLLFCAFQNLGYFVTQSEPKSLSEPLLAQEVDTEETGLGRASFLSKLTFSWVNSVLSLGYSKPLSLEDIPSLLSEDKADLSHQNFMHACQSLARERSSKENTKNLVFWSIVRTHLKDNILIAFYALFRTIAVTVSPLILYAFVNFSNSRDSGDTNLREGLTIVGFLILSKVVESVSQRHWFFSSRRSGLKMRSALMVAVYEKQLKLSSSARTRHSTGEIVNYIAVDAYRMGEFSWWFHVTWACVLQLLLSISILYGVVGVGALPGLVPLLVCGLLNVPFAKFLQKCMAQFMISQDERLRATSEILNSMKIIKLQSWEDKFKNLVENLRAKEFVWLSKAQMLKAYGSNLYWMSPTIVSAVVFLGCVVFNSAPLNAGTIFTVLASLKNLGEPVRMIPEALSILIQVKVSFDRLNSFLFDEELDTSDGNRSYINRSSTSAVEIQAGNFIWDHESVSPTLRDLNLEIKWGQKVAVCGPVGAGKSSLLYAILGEVPKISGTVNVFGNIAYVSQTSWIQSGTLRDNILFGKPMEKTRYENAIKVCALDKDINDFSHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFKDCVMTALREKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTAFEQLVSAHKEAIIELDQNNENRTHREESQGVYKNQSEGEISTEGQLGIQLTQEEEKEIGDVGLKTFWDYISFSRCSLMLFGILLAQLAFVAFQTGSTVWLALAIDIPKITSATLIGVYSLISFASAGFIYIRSLLTSYLGLKASKAFFTSFNTAIFNAPMLFFDSTPIGRILTRASSDLSILDFDIPYSTTFVICVPSEILATIGVMVLVTWPVLIAAIPSIVASKYVQEYYQATSRELMRINGTTKAPVMNFAAETSHGVVTVRAFNMVERFFQNYLKLVDTDAKLFFHSNVTTEWLLLRIEALQNMTVIASALLLVLFPQGYVSSGLVGLALSYALTLTSSIIFWTRWYCNLLNYLISVERIKQFIHLPSEPPAIVKDHQPPSSWPSKGRIDLQALEIRYRPNAPLVLKGITCTFREGSRVGVVGRTGSGKSTLISALFRLVEPASGDILIDGMNICSMGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDDIWKALEKCQLKETISHNPNLLDSKVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKMVEYDEPSRLMDTNSSFSKLVAEYWASCSKNSS >Vigun05g144400.1.v1.2 pep primary_assembly:ASM411807v1:5:19530665:19538493:-1 gene:Vigun05g144400.v1.2 transcript:Vigun05g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESVGVSSDFNPSPPLQYQKHPHHRHQFYPQIEIDISPPQQRTGPPQVTPPPSLSKQKHLHPHAQPPLFKSHNAAFFSVTVAAKSAAFRALRRVRRQRALLLLAVPLLYLYFLVSRSFLLDLLSAIAFSAALVFSLNLAFPLPLRSIRLKSPSAVVTRPAPQLPVFWTIGSRPKSEKRVASGCWVQVFGNGDVYEGEFHRGKCSGSGVYYYSRSGRYEGDWVDGKYDGYGVETWARGSRYRGCYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGIYHFANGHLYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGLLDIPSTQSTTNPVSPVGLSHSKVLNAVQEARRAAEKAYEVAKVDEGVNRAVAASNRAANAARVAAVKAAQKRMHQVNTESFAIPVM >Vigun06g223800.1.v1.2 pep primary_assembly:ASM411807v1:6:33245154:33250596:1 gene:Vigun06g223800.v1.2 transcript:Vigun06g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARETIEKVRGDGELEDELEMLLDEIPHATSHNRHHLRHLCHSNRHRHGVDVDHPDCVFATKHTHYGNRHGYANSVYYDDGFKSPMSGFSLQSDDSSSSLFSMSPPPLEDLKSSLASRSSYYPNSHHDNLYRNSVIPDCTVKLNETERLVHELGLCSNFSKMCIADNTHQNPNFIPFSDYVNNLPNTCGGGDCDNFGRRFSDSVGFQFPVTRARSSVAGVTSALSRLTRDDKMSNLFGSLQSCPRLHEHEMLSQLNGFSGGSMDSRRRGRPLMSNYFESESVVPKISGSLSQNPTIDAASLYAQKYGINLLEERGVSRLPNNSVCPNLKPCMSVHELLQYGLSQPNARVVPLLKSRIPRGNLDAIRSEGSFIIQGEGLNYVASRVSDHSRCQRAVLEGGFAKQLHPSELDIRHQIVGYENPRSPRNGCTFPMLPKYNSLAEARGSLYLIAKDQHGCRFLQRMFDEGTPADVQMIFSEIIDHVVELMMNPFGNYLMQKLLDVCDEEQRMQIILVVTQETGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSALQPGFLVLIKDLNGNHVVQHCLQCLSNEDNKFIFVAAAKYCVDIATHQHGCCVLQRCIGHSRGELREHLVAEISTNALLLAQNQYGNYVVQFILDLRIPSATTTLSLLFEGKYVHLSMQKFSSHVVEKCLAVFNDENRSRVICELLGAPHFEQLLQDPHANYVVQSALRHSEGHLRDSLVEAIESHKAISRNSPYSKKIFSQKLLKK >Vigun06g223800.2.v1.2 pep primary_assembly:ASM411807v1:6:33245154:33250596:1 gene:Vigun06g223800.v1.2 transcript:Vigun06g223800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARETIEKVRGDGELEDELEMLLDEIPHATSHNRHHLRHLCHSNRHRHGVDVDHPDCVFATKHTHYGNRHGYANSVYYDDGFKSPMSGFSLQSDDSSSSLFSMSPPPLEDLKSSLASRSSYYPNSHHDNLYRNSVIPDCTVKLNETERLVHELGLCSNFSKMCIADNTHQNPNFIPFSDYVNNLPNTCGGGDCDNFGRRFSDSVGFQFPVTRARSSVAGVTSALSRLTRDDKMSNLFGSLQSCPRLHEHEMLSQLNGFSGGSMDSRRRGRPLMSNYFESESVVPKISGSLSQNPTIDAASLYAQKYGINLLEERGVSRLPNNSVCPNLKPCMSVHELLQYGLSQPNARVVPLLKSRIPRGNLDAIRSEGSFIIQGEGLNYVASRVSDHSRCQRAVLEGGFAKQLHPSELDIRHQIVGYENPRSPRNGCTFPMLPKYNSLAEARGSLYLIAKDQHGCRFLQRMFDEGTPADVQMIFSEIIDHVVELMMNPFGNYLMQKLLDVCDEEQRMQIILVVTQETGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSALQPGFLVLIKDLNGNHVVQHCLQCLSNEDNKKLCCSIYLGLEDSFGDYNFKFAI >Vigun07g176600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29185335:29187533:1 gene:Vigun07g176600.v1.2 transcript:Vigun07g176600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKVQLSWNVIIAPENLQQQGLMLQRAIIIRLLGDFAMKKATKDLGYFLAVTTLEKIGEGKVRQHTGDVLFPVVFNAITFKIFRGEILEGVVHKVLKHGVFMRCGPIENVYLSHLKMPDYEYVPGENACFMNDKLSKIGKDVTVRFSVIGTKWMEADREFQALVSLEGDYLGPVSTSDI >Vigun07g176600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29185335:29187533:1 gene:Vigun07g176600.v1.2 transcript:Vigun07g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKVQLSWNVIIAPENLQQQGLMLQRAIIIRLLGDFAMKKATKDLGYFLAVTTLEKIGEGKVRQHTGDVLFPVVFNAITFKIFRGEILEGVVHKVLKHGVFMRCGPIENVYLSHLKMPDYEYVPGENACFMNDKLSKIGKDVTVRFSVIGTKWMEADREFQALVSLEGDYLGPVSTSDI >Vigun05g162550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25960257:25961242:-1 gene:Vigun05g162550.v1.2 transcript:Vigun05g162550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMAEEGRSRKQHLLSAYPTSRGGKGTKQMHNKYHQGSLHSADWNFFTHGGFPAFCSLKNKNNTFILTFSTPYNPTQYHRASSPPPLTIITVPTLLHYHLPSPSTCNQKNQANPLHRHSSSSSDHIHQIRNGEIGKNRNGGGDAADESEL >Vigun07g162100.2.v1.2 pep primary_assembly:ASM411807v1:7:27337974:27349829:-1 gene:Vigun07g162100.v1.2 transcript:Vigun07g162100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVYALTEVETVDAGSPKVTIYMVTEPVMPLSDKIKELGLEGSQRDEYYAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGNNETSSGQMLQYAWLVEAQYKSMELAKSDWAGIKKSPPWAIDSWGMGCLIYELFSGLKLGKTEELRNTVFIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLSEQLPQQIVLKKLLPLLASALEYGSASALALTALLKMSSALSAEEFCVKVLPTLVKLFSSNDRGIRVGLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKHLSRLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEIATRILPNVVVLTIDPDNDVRSKAFQAVDQFLQMAKQYYEKTNTAEDTEGASMGISSIPGNAGLLGWAMSSLTLKGKPSDNAPVSSVSSTALPPASANAGSVIDTPPTAPLRVRSAPDFAEQPVPTSPTSTDGWGEMENELDEECENDKDGWDDLEPLEEIKPTPALANIQAAQRRPVSQPVSQTKQAANLQSKSTSKLKKDEDDELWGSIAAPAPKTSKSFNLRSTVTDDDDDPWAAIAAPAPTTKAKPLSSARGRSAKPAAPKLGAQRLNRTSSGV >Vigun07g162100.4.v1.2 pep primary_assembly:ASM411807v1:7:27337974:27349829:-1 gene:Vigun07g162100.v1.2 transcript:Vigun07g162100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGTGLKDLPYNIGEPYASAWGSWLHFRGTSKDDGAPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETVDAGSPKVTIYMVTEPVMPLSDKIKELGLEGSQRDEYYAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGNNETSSGQMLQYAWLVEAQYKSMELAKSDWAGIKKSPPWAIDSWGMGCLIYELFSGLKLGKTEELRNTVFIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLSEQLPQQIVLKKLLPLLASALEYGSASALALTALLKMSSALSAEEFCVKVLPTLVKLFSSNDRGIRVGLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKHLSRLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEIATRILPNVVVLTIDPDNDVRSKAFQAVDQFLQMAKQYYEKTNTAEDTEGASMGISSIPGNAGLLGWAMSSLTLKGKPSDNAPVSSVSSTALPPASANAGSVIDTPPTAPLRVRSAPDFAEQPVPTSPTSTDGWGEMENELDEECENDKDGWDDLEPLEEIKPTPALANIQAAQRRPVSQPVSQTKQGINYSTISSSLVRIPYLPVC >Vigun07g162100.5.v1.2 pep primary_assembly:ASM411807v1:7:27337974:27349829:-1 gene:Vigun07g162100.v1.2 transcript:Vigun07g162100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVYALHPNILSFLHSTEVETVDAGSPKVTIYMVTEPVMPLSDKIKELGLEGSQRDEYYAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGNNETSSGQMLQYAWLVEAQYKSMELAKSDWAGIKKSPPWAIDSWGMGCLIYELFSGLKLGKTEELRNTVFIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLSEQLPQQIVLKKLLPLLASALEYGSASALALTALLKMSSALSAEEFCVKVLPTLVKLFSSNDRGIRVGLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKHLSRLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEIATRILPNVVVLTIDPDNDVRSKAFQAVDQFLQMAKQYYEKTNTAEDTEGASMGISSIPGNAGLLGWAMSSLTLKGKPSDNAPVSSVSSTALPPASANAGSVIDTPPTAPLRVRSAPDFAEQPVPTSPTSTDGWGEMENELDEECENDKDGWDDLEPLEEIKPTPALANIQAAQRRPVSQPVSQTKQAANLQSKSTSKLKKDEDDELWGSIAAPAPKTSKSFNLRSTVTDDDDDPWAAIAAPAPTTKAKPLSSARGRSAKPAAPKLGAQRLNRTSSGV >Vigun07g162100.1.v1.2 pep primary_assembly:ASM411807v1:7:27337974:27349829:-1 gene:Vigun07g162100.v1.2 transcript:Vigun07g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGTGLKDLPYNIGEPYASAWGSWLHFRGTSKDDGAPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETVDAGSPKVTIYMVTEPVMPLSDKIKELGLEGSQRDEYYAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGNNETSSGQMLQYAWLVEAQYKSMELAKSDWAGIKKSPPWAIDSWGMGCLIYELFSGLKLGKTEELRNTVFIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLSEQLPQQIVLKKLLPLLASALEYGSASALALTALLKMSSALSAEEFCVKVLPTLVKLFSSNDRGIRVGLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKHLSRLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEIATRILPNVVVLTIDPDNDVRSKAFQAVDQFLQMAKQYYEKTNTAEDTEGASMGISSIPGNAGLLGWAMSSLTLKGKPSDNAPVSSVSSTALPPASANAGSVIDTPPTAPLRVRSAPDFAEQPVPTSPTSTDGWGEMENELDEECENDKDGWDDLEPLEEIKPTPALANIQAAQRRPVSQPVSQTKQAANLQSKSTSKLKKDEDDELWGSIAAPAPKTSKSFNLRSTVTDDDDDPWAAIAAPAPTTKAKPLSSARGRSAKPAAPKLGAQRLNRTSSGV >Vigun07g162100.3.v1.2 pep primary_assembly:ASM411807v1:7:27337974:27349829:-1 gene:Vigun07g162100.v1.2 transcript:Vigun07g162100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVYALTEVETVDAGSPKVTIYMVTEPVMPLSDKIKELGLEGSQRDEYYAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGNNETSSGQMLQYAWLVEAQYKSMELAKSDWAGIKKSPPWAIDSWGMGCLIYELFSGLKLGKTEELRNTVFIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLSEQLPQQIVLKKLLPLLASALEYGSASALALTALLKMSSALSAEEFCVKVLPTLVKLFSSNDRGIRVGLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKHLSRLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFPPARGAGIMALCATSSYYDITEIATRILPNVVVLTIDPDNDVRSKAFQAVDQFLQMAKQYYEKTNTAEDTEGASMGISSIPGNAGLLGWAMSSLTLKGKPSDNAPVSSVSSTALPPASANAGSVIDTPPTAPLRVRSAPDFAEQPVPTSPTSTDGWGEMENELDEECENDKDGWDDLEPLEEIKPTPALANIQAAQRRPVSQPVSQTKQGINYSTISSSLVRIPYLPVC >Vigun03g186050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24665333:24665963:-1 gene:Vigun03g186050.v1.2 transcript:Vigun03g186050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDAEGLEKIENLQSYDNTEIYEKAVKILETYWTEEDDETVPPRDASQSGFNFGGHEFPSVPSGGFNFN >Vigun01g148500.3.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011287:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQLSSIHATLSNQRSIARKHPVHRCNEVQAEAATQFLNILWHYLESLCANLKSHTITNVQSNKDRVSLLLKDSFIDSFPARDQPFIKLFVDTQLFTVLSDSRLTSFESGES >Vigun01g148500.5.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011279:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGEAEPQEERPSSPMRVLQHFSGGAFRVPGESLHNMSPMGPGGHRRCQSELGSRGADRNNNLQKLKSHVNKAWRWGGKSREEEALANFNPEVMANQKRQWYRLHPKNANLEAVEHSFARRKKWEKDKGKPEFRDCRKSQQQRLTEPTLEPQLLFKYPPAKKLTLRMKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQLSSIHATLSNQRSIARKHPVHRCNEVQAEAATQFLNILWHYLESLCANLKSHTITNVQSNKDRVSLLLKDSFIDSFPARDQPFIKLFVDTQLFTVLSDSRLTSFESGES >Vigun01g148500.1.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011279:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGEAEPQEERPSSPMRVLQHFSGGAFRVPGESLHNMSPMGPGGHRRCQSELGSRGADRNNNLQKLKSHVNKAWRWGGKSREEEALANFNPEVMANQKRQWYRLHPKSLDCVNNKEPTSLFEHFVIVGLPPDANLEAVEHSFARRKKWEKDKGKPEFRDCRKSQQQRLTEPTLEPQLLFKYPPAKKLTLRMKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQLSSIHATLSNQRSIARKHPVHRCNEVQAEAATQFLNILWHYLESLCANLKSHTITNVQSNKDRVSLLLKDSFIDSFPARDQPFIKLFVDTQLFTVLSDSRLTSFESGES >Vigun01g148500.7.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011279:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGEAEPQEERPSSPMRVLQHFSGGAFRVPGESLHNMSPMGPGGHRRCQSELGSRGADRNNNLQKLKSHVNKAWRWGGKSREEEALANFNPEVMANQKRQWYRLHPKNANLEAVEHSFARRKKWEKDKGKPEFRDCRKSQQQRLTEPTLEPQLLFKYPPAKKLTLRMKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQLSSIHATLSNQRSIARKHPVHRPKRQLSF >Vigun01g148500.2.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011280:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGEAEPQEERPSSPMRVLQHFSGGAFRVPGESLHNMSPMGPGGHRRCQSELGSRGADRNNNLQKLKSHVNKAWRWGGKSREEEALANFNPEVMANQKRQWYRLHPKSLDCVNNKEPTSLFEHFVIVGLPPDANLEAVEHSFARRKKWEKDKGKPEFRDCRKSQQQRLTEPTLEPQLLFKYPPAKKLTLRMKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQLSSIHATLSNQRSIARKHPVHRPKRQLSF >Vigun01g148500.6.v1.2 pep primary_assembly:ASM411807v1:1:33000696:33011279:1 gene:Vigun01g148500.v1.2 transcript:Vigun01g148500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGEAEPQEERPSSPMRVLQHFSGGAFRVPGESLHNMSPMGPGGHRRCQSELGSRGADRNNNLQKLKSHVNKAWRWGGKSREEEALANFNPEVMANQKRQWYRLHPKSLDCVNNKEPTSLFEHFVIVGLPPDANLEAVEHSFARRKKWEKDKGKPEFRDCRKSQQQRLTEPTLEPQLLFKYPPAKKLTLRMKDLAPFCFPEGVKAWLLERTPSLSELNELVYGQEHLGKDDLSFVFAVKAADNTTLYGVCLHVPEIVQRPPGIMGISSSFSNPSMGCSRFLVSAPRCYCLLTRVPFFELHFEMLNSLIAQERLNRITEFINEMSLSASVPSSPKLDDQRRSKEEKSPENETFSEWMDSAIPLDGAAAIAAAAAGIISDDESQQHLSPKIQDNRCQSPVSGSASDDASDSSQVKDTDKEDKKNPQVLDNCEFKAPATLCSIKRMHENCKNDQASPKAGTPLSARNRVLERLGSFESLFSPVRSRQSEDEDNFFSNTERDYGDELLIEWATENKNDLLQIVCRYHAQPIPPRGSDFVFHPLEHLQAIQYIRHSVAALGFGDDSSNCSEAALDNTKLAAAEEALSLSVWTMATTCRVLSLDSVLTLITGVLLEKQVVIVCPNLGVLSATVLSLIPMIRPFQWQSLLLPVLPGTMMDFLDAPVPYIVGIQHKPDDLNARTTNRVLVDLQKDKVTMCSLPRLPQHRDLYSQPKRQLSF >Vigun11g060100.1.v1.2 pep primary_assembly:ASM411807v1:11:13122786:13123463:-1 gene:Vigun11g060100.v1.2 transcript:Vigun11g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIRRVYLIVATLVATATYNAALRPPGGLHQIQAAGTLVDHVASNSSKVSEGKSVMNVGWLLLSSSAYLLLWSYAISLVVVSPNDVTANFSGAIFIVLFVPIMALGGIVFSSP >Vigun05g036200.1.v1.2 pep primary_assembly:ASM411807v1:5:2955308:2958332:1 gene:Vigun05g036200.v1.2 transcript:Vigun05g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGNWAESLQTETPLFSFPQPSSSSYAPPPSQTTHYPSYSQNPNPSSSLPLKPPGVDSLPPSNPIVYSNHESVPIPSLIYPQTHAGADSNSGYYVNQNWAAREAVRQFGSDPTVYAPGISIPSNDSEQLAMWWANTAAQLHGNGILSKKQKKAKTKVVQPAYCEVCKIECTGKEVLDQHKLGKKHKRNLEKLRESLKPTQVQPSGSSNPVIGPQLPDNKSKSISGNKIKRKKAETIEDLEKKKKKVLDGGAAAEAVKICAICNVVCNSETVYNYHLTGQKHAAMLKKTYAS >Vigun11g189150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38890896:38892245:-1 gene:Vigun11g189150.v1.2 transcript:Vigun11g189150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWILELFFSSLIFSHQSTFISSLIIFNPAHSLLYKKKCAGFHSEFAFPRTWNMRVSFAFVTSILETFQSRKIQFYYQKKKMCLNFMSGKIYRLSVVMKRNVCFGAKRCSFIILSCVICMKCVCSCLIKSPISFLEGQLLFK >Vigun08g167200.1.v1.2 pep primary_assembly:ASM411807v1:8:33869420:33871606:-1 gene:Vigun08g167200.v1.2 transcript:Vigun08g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPMASFYLLLLLLVGATTATASGADLCTDFYSCTCPNLLTIVKKGVVQAIQREARMGASLLRLHFHDCFVNGCDASILLDDSSNIEGEKTAAANNQSARGFNVINDIKRSVEKECPKVVSCADILALAARDSVVYLGGPSWEVGLGRRDSTTASRRDANNSIPGPFLNLANLTNNFANQGLSVTDLVALSGAHTIGLAQCKNFRAHIYNDSNVDPSYRKFLQSKCPRNGSDNTLEALDHQTPIRFDNLYFQNLISKKALLHSDQELFNGSSTDNLVRKYAANTAAFFEDFAKGMVKMSNIKPLTGSQGQIRINCGKVN >Vigun03g108800.1.v1.2 pep primary_assembly:ASM411807v1:3:9725930:9731405:1 gene:Vigun03g108800.v1.2 transcript:Vigun03g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQQTEKEEDDEGPPPGWQPISTHPPLYQPQPPPQLQPQPRPPRPCGWGQMVCGSCHRLLSYPRGAKHVKCPCCETANIVLEGITAKLEGDSNQADLFRQIRLQIITGEKEMVVENPPTNDQKVEVMEAVRDLQPSPAEEKKHKRKEKPSQHNFPSQFPKRKRTSSSGNSSALMLANLRMRDGLSISLTTSELEVVDNSNREALLESFLEFQSRAIVVARHLGADLKNNLMVELESAKSDLANAQKRIDEMSHKESLLNKELDKLKREKLNLLTNCSSWETWCLQAENEEEEAKAKITQLKQDLSDMTSARADDRRKLASELFQLKKCLLAQHEEGFAKALRQAALLFKIPPDDDRFGVDQDVYHGKLVSINDIPYGCKHC >Vigun05g136700.2.v1.2 pep primary_assembly:ASM411807v1:5:16451256:16464316:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNTAVGGQSTRDIGRTDSSSLPANFPLSSRRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g136700.6.v1.2 pep primary_assembly:ASM411807v1:5:16451254:16464371:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g136700.5.v1.2 pep primary_assembly:ASM411807v1:5:16451244:16464371:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g136700.7.v1.2 pep primary_assembly:ASM411807v1:5:16451242:16464326:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g136700.4.v1.2 pep primary_assembly:ASM411807v1:5:16451256:16464316:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNTAVGGQSTRDIGRTDSSSLPANFPLSSRRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g136700.1.v1.2 pep primary_assembly:ASM411807v1:5:16451240:16464371:-1 gene:Vigun05g136700.v1.2 transcript:Vigun05g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNTAVGGQSTRDIGRTDSSSLPANFPLSSRRQPLLAPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETLTREYLQSGYRDTVEGLEESREISLTQVPNFNKGVVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLAKPGVFPEQRPCPEDFKKKWIEGLSQQHKRLRSLADLVPHVRRKSLLEVLIRNNVPLLRATWFIKVTYLNVVRPGSASIPSGIGDKTQQTCSELWTKDVIEYLQTLLDEFFSKNSSHFTHNRDRSPQLPYTASLQHRSDQLSVSDGEEPSLHFKWWYIVRLLQWHHAEGLLIPSLIIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTLAGVALRVIRDPAPGGSDLVDNSRRAYTTSALIEMLRFLILAAPETFVALDCFPLPSSVVSYTINEGNFILKATEAAGKIKNSSEDVCLFKSKGFDTQYQSLAFDHVISCIQEQVQDLTKAVKPGYPGQYLAKAALALDKSLVLGDLHGAYKYLFEDLCDETVSEGWVAKVSHCLRLSLKWFRTVNTSFIYSVFFLCEWATCDFRDFRTAPCDVKFTGRKDFSQVHIAIRLLKMKLRDMQISTRQKSGNTRGHGVSYLVKCSGHQSNRNFVKNASRTKSSSRSMDQNICSSAIFESPGPLHDIIVCWIDQHMVHKGEGLKRVHLLVVELIQAGIFYPLAYVRQLIVSGIMDMNVVDLERQKRHCRILKQLPKKFVRDALVESGISEGPQLTEALQIYMNERRLILRCSLWENHGNGSNVSTSSLKQKQCISSTKDKVSTVSIDQWKSAPSNKTSSKSGKDDSGVEDLKTFISALLQLPKSFSNLGSTGLDESQGSVRKPIGSQNKIDLVETTPGCEECRKAKRQKLSEERSLFIQVPSPVLSDDEDTWWAKKGLKSSEPLKVDQPLKPIKQVTKTRQKTVRKTQSLAQLAASRIEGSQGASTSHMCDNKVSCPHHRTTMNGDTARSVDGIQLSECEDIVSIGKAVKQLRFVERKEITLWLMTVIRQLIEESEKVVGKVSQFGRPFATVDDKSSIRWKLGEDDLSALLYLMDVSDDLVSAVKFLLWLLPKVYSSPNSTIHSGRNVLMLPRNVENQACDVSEAFLLSSLRRYENILAAADLIPETLSSIMQRAAAIMASNGRVSGSGALAFARHLLKKYGNVVSVSEWEKNFKSTCDKRLAFEIESGRSVDGELGLPFGVPAGVEDPDDFFRQKISGGRLPSRVGSGMRDVVQRNVEEAFQYLFGKDRKLFAAGTPKGPAFEKWDNGYQIAQQIVVGLIDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPTLAKLPDFSAGSNHSNMSLATSSLNYAKCILRMHITCLCLLKEALGERQSRVFEIALAMEASTALAGVFAPSKASRAQFQMSPETHDTGTIPSDVSNNSSKIVVARTTKISAAVSSLVVGAIISGVVSLERIVTILRLKEGLDVVQFVRSTRSNSNGSVRSVGAFKVDSSVEVHVHWFRLLVGNCRTICEGLVVDLLDEPSIVALSRMQRMLSLSLVFPPAYSIFSFVMWRPFVMNANVAFREDMNQLYQSLTMAISDAIKHLPFRDVCLRDCQGLYDLMAANMTDAEFATLLELNGSDIHSKSVAFIPLRARHFLNAMIDCKMPQSIYTKDEGSRNSGHGESKVDFTDSESTLQDKLVDVLDALQPAKFHWQWVELRLLLNEQALIEKMKMHDISLADAIQLSSPSLEKSGASENENNFIEIILTRLLVRPDAAPLFSEVVHLFGKSLEDSMLLQAKWFLAGQDVLFGRKTIRQRLINIAESKRFSIKTQFSEPWGWCTPRKDPVTLKGDKKKVDSIPLEEGEVVEEGMDVKRSTKGFSLVFDPERSTSKQQHGTERALLELILPCIDQSSDESRNSFASDLIKQLNYIEQQIAVVTRGPTKPVSTPATEGQTNKVNSRKNIRSGSPGLARRPTPTPDSSPLSPAALRASISLRVQLLMRFLPIICTDGESSVRSMRYTLASVLLRLLGSRVVHEDAMVNAMQYSPLRKEAESPAEAAFVDSSVECLFDRLLLILHGLLSSSLPCWLRSKPVAKTANEPAREFSGFDREPLEALQNHLDNMQLPDTIRWRIQAAMPVLPPTTRCTFSCQLPTVPTSALASLQPNTTNSGFNPSTSTVPQRNPVPSSRSTASGKSKQQDNDLDIDPWMLLEDGAGSCPSANNTNIGSGDRVNIRAASWLKGAVRVRRTDLTYVGAVDEDS >Vigun05g147500.1.v1.2 pep primary_assembly:ASM411807v1:5:21009821:21021720:-1 gene:Vigun05g147500.v1.2 transcript:Vigun05g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILIAFLLFASLFFLPTSTLARELKEETFQTGDPNNTAVKCSPGEGYRNCSPSSTPPAPPTLSAPPCSDYTRNC >Vigun02g032000.1.v1.2 pep primary_assembly:ASM411807v1:2:13497638:13506542:1 gene:Vigun02g032000.v1.2 transcript:Vigun02g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSILGLLKLRIIRGTDLAIRDAIKGRASDPYVVVSMGDQKLKTSVKKNNCNPEWNQERTLSIKDVTTPIHLSVYDKDTFSGDDKMGDADIDLKPYVQCVQIGFTKLTNGTVVKTIQPDETNCLAKESNCIWQNETIIQEMVLKLRNVESGELAVEIEWVDVIGCKGLSHLDL >Vigun11g101200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29668367:29669605:1 gene:Vigun11g101200.v1.2 transcript:Vigun11g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASCSFTIRVVFLIFVHLSCLAKNLNAKHSHNHSKPQHTENPPLNPRLHMAFLGLQAWKQVIYSDPNNFTANWVGPSVCNYTGVYCAPSVDDPKVRVVAGIDLNFGDIAGFLPDEIGLLSDLALLHISNNRFCGILPMSLTNLTLLYEFDISSNRFVGPFPLVVLSLPMLAYLDLRYNEFEGPLPPQLFNKTFDAVFLNNNRFSSSIPPNLGKNSASVLVFANNKFGGCLPESIVNFADTLEELVLINTSLSGCLPQQVGFLYKLRVLDVSFNSIVGPIPYSLAGLSHLEQLNLGHNMMSGTVPMGVCELPNLANFTFSYNFFCEEEGICQNLTSKRIVFDDRRNCLPEKPLQRSQKECSAILEHPVDCSELCCVVGSNVSAGSVAVPPAAVPSAMPVSAPLLAPSHP >Vigun10g136065.1.v1.2 pep primary_assembly:ASM411807v1:10:34886600:34905661:-1 gene:Vigun10g136065.v1.2 transcript:Vigun10g136065.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSKVVDPVINFFWGEGVKQVTYIFSYKKNFEELNKRVKRLEEEKERLDRQRVDAKRKGHIVEARVEEWFREVGEFETSVEKYRNDAGHKKTGGLYYIFPYYRHKLGRQAKKMEPEALRLKDECPKDDQVSHADKVTSFDLTSSNPSYIEFDSRKSIVEDIMTKLKDPNMKIIGLHGPQGTGKSTLIKTIAIKTRDEKLFDKVAEIDVTVNPNPLKIQEEIAHVLGLPLTGESENVRADYLRRWLKIENVSILIILDNLHERLDLNRLGIPVDDDYDLRKKNELSITSSKQGPTSNPYPMQGPQPNPDYTQENAGGTQDKADDTQATNEKVLKKANFLSNCKGCKVLLSSRDKKVFPDEVDVESNFCLKELDDSDALMLFEKEAGGGNEMSMPKEEIQYYCAGIPMTIITFATRFKTWIESESEPTLDKFKVEWEKSMEIPNKIKYDFPKNEELKFIYLLCAQMGPLPLIMDLVKYCFGLGIFEGVSLLNEARHKINNSINELQDLGLVLYKSPSIHFNMPHIIRGDALSNAHKDHNIFALRDGKLDDWPELEKCTSISICNSDIIDGLPRFINCPQLKFLQIDTSDPSLEIPESFFRRMKNLKVLILTGFHLSSLPYSIECLLNLRMLSLERCTLDCNLSLVGKLKKLRILSFSGSQLIHLPAELLNLDKLRLLDISDCFMLKTIPPNLLSSLTCLEELYISKSLINMLVETDTKKGQNSFLSELKNLHQLKVVDLSIPCVSSFPNHLFFDKLKYYKIEIGDFETNSVGEFRMPNKYEELKALALQLKDDIDIHSQEGIKLLFKTVQRLLLGEAGVQNVVNDLNVDGFPNLKHLSIINNNDIKYVNSSKVSNCVNVFPNLEFICLYNMTNLKMICYGQVTVASFAKLKAIKIEMCYRLENLFSFHTIKISTSAETSEISDCNSSVRKFLTSLEIIEICKCESLKEILQIPVDCGKVKFLKLHTLTLQSLPSFTCFSTKVESSCWSNLTKAQTTDRGHTEISTEDDHSDKAPALFGELVEIPNLENLNLSSLNIHKIWSNQHSSTVCFQNLITLIVKDCDKLTHLCSLPMASSMKKLKSLFISGCPIMEKIFETEGISADKVYVFPKLEEIHLSKMNKLTDIWQTKVSVDSYSSLISVSIEECNQLDKIFPIHMEGWFESLINLKVSECESVKEIFEINDSQEINAYGIDTNLQVILLEGLPKLKQLWSKDPDGILNFKKLRTIDVYNCDELTNLLPTSVAKDVSKLERMSALHCKKMVEIVASKDASEDNNDPLKFPELCYVRLYGLSNMKQFYKGRHPIKCPKLKELSIDKCVKLHTFFEETSETRNEGNFVFLDEAVFPNLEYMEIDFNEAQKLLPKYQMQCLKELIILGSVKSKDLLYPFLYRMPNLEKLKLTFRYSVQESVTSTNIEPQELGTVLQLKQLFVCFSDIKDLGFERHQVLQRLELLRLKGCHELNTLAPSSVMLTYLTCLKLKNCRGLRNLMAFSSAKSMVQLKIMKVINCSEVKEIVSNEGNEEGKMMKIVFSKLITIQLVELDNLASFCSYTECEFEFPSLEMFIVRECPKMEKFSEKRSIAPKLKNVFGVKENEKSKWQWEGDLNATIQKVFNDKVTFTYTESLSLGNYTEFIEQLWQASPLVQQNIFGSLKSLSAWGCNTLVHVIPSHLLSCFQNLEELDVYDCSKAQVIFNINDEKSVKNASGIFRLKRLSLMNLSNLKHVWDKDPKGIIGLKVLKEMEVSRCDCLTSLFPASVLKDLTRLEVLEVEECKELAEIFEKDENGAEGEGTTQEFVFGRVTSLTLKQVPALKYSIHCSKQQEGTSNLSEREIQELCLGSRYIPNSCFGLLDSLIVDGCNFLSDVLLPFNLLPFLINLETLEVRNCDCVKAIFDVKCTTQGRDVTFMGPSLPFSLKNLTLSKLSNLKNVWNKNPHGILNMRHLQEVHVKKCKGLESVFPTSVAKDLVVLENLVVKDCERLMTIVVEDNTNPSLEFTFPCPCVRSLELRDLPKFKYFYYCSLKSHTYTRLESNTENQIDTEKCLILAKYRMEKISGEEFQSNFLYNLKVLALYFGSESDVFPYEILKQVPNIEKLVVRDGSFKEMFRSQSPNNDVDYCGLLLQLKELRLESLGQLVSIGLENFWTESFVKNLETFEVIGCSNLKNVVASKVFLSNLTYLKVESCISLQYLFTSSTAKTLGHLKTMEIESCYSIEEIVSKEEVDESEEDEIIFPNLIHLNLEYLRKLRRFYGGSLSFPSLEELSVTDCTEMETLCIGTIKASKLSQVKLARYSEAIPLEIDLNNTMRKEFLKKTLRELWIDLKSKPGLHEIWKGSMQISGFGELIILTVNDCEFLSHAVLPFYLLSLLPKLETLEVRNCDYVKTIFDVNPTTKEYGLINFPLKKLVLSELPMLETIWNKDPHGILSMKPLEQVLIDSCKRLKSVFPASVAKDFEKLEDLTVEDCEGLMTIVAEDNTNVEVTFPCSYVRSLKLRGLPKFMYFYYCSLKSDISTHLQSHTEDQLQTEKCLSLGKNGMKMILRGELERNLLESLKALTLCFGSDVFEYEIVEEVPNIEKLVVCDGSFKEMFCCESGNNVLQQLKVLQLESLGELVSIGLDNSWTDYFVRNLETFEVISCSRLKNLVPCTVSFSNLTCLKVEDCDSLSYLLTSSTAKSLGQLKRMEIKNCYSIEEIVCKEESDEDEIIFPNLSCLNLEWLSKLKSFCKGSLSFPSLKELTVYYCREMITLCVGTLKADKLSQVQLDAEAIQLEIDLNSTMRKEFLKEIASSQWEESLEFRDRADLQEIWCLALQIPDFCFTYLETLIVNECKISSDALLPFTLLPLLPKLETLEVRNCDSVKTIFDSVKCTTQGAITFPLKTLVLWKLPNLETLWNEDTDGNPAHPEGTNPNLTLPTLTSMTLCDLPNFKHNTISCIHDATPTFELIIPNLEDLTVGKNELKMIVDGEFQTNLLHKLQVISLCFDIECDEFPEYGFLQQLPNVEKLVVCSSSFKLVFCHQRPNNSELLLQLKVLCLESLGELVSIGLENSWTESFVRYLETFEVISCSTLENLVTCTVSFSNLMCLKVKNCDNLTYLFTSSTAKSLGRLQRMKIKQCKSIEEIVVKEGEESDEDEIIFSQLSCLNLEYLSNLRRFYKGRLSFPSLEDLSVTHCEEMITLCPVTPKADRLSQVTIGYNKVIPLKTDLNSTTQKEFERKISELDELDLKSRARLEEIWCGSLYIPHLCFSKLAMLTVDNCQFLSDAVLPFPLLPLLPELETLQVRNCNHVKVIFDVASAQHSLITFPLKKLVLSKLPNLENVWNEDPRGILSMHNLQQVFVDTCKCLKNLLPASVAKDLVKLEDLVVEECEGLTAIVAEESKEDGIKFPRLIYLKVESCNSLPYLFTSSTAKSLGELKSMKIKECKSIEEIVSKEGEESDENVKIIFEQLQDLYLEKLDEIRYFYAGNFTLSFPSLEEVHVINCSSMKTFSVFNKIDNPWYYSEYARPRKETDLNSALQRTSEEEVPDASSAIISVIQ >Vigun01g206400.3.v1.2 pep primary_assembly:ASM411807v1:1:38230589:38234856:1 gene:Vigun01g206400.v1.2 transcript:Vigun01g206400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRWTNGRQDTGGRDRRQSKKEQAYHDSLVEDLSDDFRLPINHRPTENVDLDNVEQASLDTQLTSSNIGFKLLQKMGWKGKGLGKDEQGITEPIKSGIRDPRLGVGKQEEDDFFTAEENIQRKKLDVELEETEEHVKKREVLAEREQKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGGSSRDDRQKREQQRQEREMAKFAQIADAQKQQRLQLQQESGSGTVSSESRTATALTDQEQRNTLKFGFSSKGSASKITFGTMKQNVAKKQSAPISSIFSNDSDEE >Vigun01g206400.4.v1.2 pep primary_assembly:ASM411807v1:1:38230338:38234856:1 gene:Vigun01g206400.v1.2 transcript:Vigun01g206400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGKGLGKDEQGITEPIKSGIRDPRLGVGKQEEDDFFTAEENIQRKKLDVELEETEEHVKKREVLAEREQKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGGSSRDDRQKREQQRQEREMAKFAQIADAQKQQRLQLQQESGSGTVSSESRTATALTDQEQRNTLKFGFSSKGSASKITFGTMKQNVAKKQSAPISSIFSNDSDEE >Vigun01g206400.1.v1.2 pep primary_assembly:ASM411807v1:1:38230331:38234856:1 gene:Vigun01g206400.v1.2 transcript:Vigun01g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRWTNGRQDTGGRDRRQSKKEQAYHDSLVEDLSDDFRLPINHRPTENVDLDNVEQASLDTQLTSSNIGFKLLQKMGWKGKGLGKDEQGITEPIKSGIRDPRLGVGKQEEDDFFTAEENIQRKKLDVELEETEEHVKKREVLAEREQKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGGSSRDDRQKREQQRQEREMAKFAQIADAQKQQRLQLQQESGSGTVSSESRTATALTDQEQRNTLKFGFSSKGSASKITFGTMKQNVAKKQSAPISSIFSNDSDEE >Vigun01g206400.2.v1.2 pep primary_assembly:ASM411807v1:1:38230414:38234856:1 gene:Vigun01g206400.v1.2 transcript:Vigun01g206400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRWTNGRQDTGGRDRRQSKKEQAYHDSLVEDLSDDFRLPINHRPTENVDLDNVEQASLDTQLTSSNIGFKLLQKMGWKGKGLGKDEQGITEPIKSGIRDPRLGVGKQEEDDFFTAEENIQRKKLDVELEETEEHVKKREVLAEREQKIQTEVKEIRKVFYCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKQMKEMHGGSSRDDRQKREQQRQEREMAKFAQIADAQKQQRLQLQQESGSGTVSSESRTATALTDQEQRNTLKFGFSSKDNIWYHETKCCKEAKCPDLLYI >Vigun05g182200.1.v1.2 pep primary_assembly:ASM411807v1:5:34902569:34905249:-1 gene:Vigun05g182200.v1.2 transcript:Vigun05g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSLFGGHNRNSVMPRRKMENQIPNGKRAMEEELNRGRDMANQLLEVLAYDKSITHLQKEEEEGSNSVLPFVEDLVRKVLCSFTNTLLLLNSTNDVSHEVVASITLRDVSSSTTTSPKKLESVDEICKSRKILSTENRRRGYKRKSIAPSWEKDSSILVEDGYVWRKYGQKMTLNAKYLRSYYRCTHKNDQGCHAMKQVQRIQDVPPLYRTTYYGHHTCKSPMNPEIVLEPLSPSGSSTLLSFSNSFHCKQENPFPSSLLASTKHAHNQLSSLEDLLLHDCEVDCNYSRRVANSMLSSAESVEFENVFMSSLDFVG >Vigun02g036250.1.v1.2 pep primary_assembly:ASM411807v1:2:14986296:14986737:1 gene:Vigun02g036250.v1.2 transcript:Vigun02g036250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEASLLQHFKQNVEKLRCKEQVAQLQAKAAKKFRGATRIPAFMSELISPHYMIPKINPFTRSQDLRAHVKTFRFQMLISGELDKVSCKMFVSTLAGMALDWFSRLPNRVISSFDEFVKLFMA >Vigun02g068200.1.v1.2 pep primary_assembly:ASM411807v1:2:21801758:21807274:-1 gene:Vigun02g068200.v1.2 transcript:Vigun02g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSKVDLFVLPNRLTLLQIFMVVMLFYLLFMSFEIPLAFRAGLGSDNGAVFLTDALPVSMPLLLEEPNHGVQIRGPRGLKLEKVSSLRFNGSFSEGSELHKVARHAWVAGQKLWGDVVSGKVKSFAKVTVENGSDSCPNSVSVSGPEFRGKGVMVLPCGLTLWSHVTVVGTPRWAHAERDPKIAVVRDGDEAVMVSQFMMELQGLKAVDKEEPPRILHFNPRLRGDWSGRPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGHVKCEKWIRDDNNRSEEWKATWWLNRLIGRKKKVTVDWPYPFSEGKLFVLTISAGLEGYHVSVDGRHVTSFPYRTGFALEDATGLSINGDVDVHSIFAASLPTSHPSFAPQMHLELLPQWKAPPLHDVNVDLFIGILSAGNHFAERMAVRKSWMQHKLIKSSHVVARFFVALHARKDINVDIKKEAEYFGDIIIVPYMDHYDLVVLKTIAICEYGIHTVAAKYIMKCDDDTFVRVDSIVNDVRQAQTGRSLYMGNMNYHHRPLRYGKWAVTYEEWVEEEYPIYANGPGYIVSADIAQFIVSEFEKRKLKLFKMEDVSMGMWVEQFNSTRAVEYVHNLKFCQFGCVEEYYTAHYQSPRQMTCMWEKLQHQGKPLCCNMR >Vigun05g286800.2.v1.2 pep primary_assembly:ASM411807v1:5:47398588:47401685:1 gene:Vigun05g286800.v1.2 transcript:Vigun05g286800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKGKAHIWKIFNVMADSSSNFSLPCDALRQMSFSNFYTFGSRLGIGPKGLPDSESVWSPTSPLDCRLFSNLSNPFSAKSCRPSLQTGHKKQFDDSKVGLGIISSLVNETKHSNDIILGKFQRKSIIFGPQVKTGILKFSNNHEFFAPYLKSNSLPKNYVISLPSETKTPKSELENFDNVSGKKNDNWESKAFKGTMISLPGSFRPSSLINTNQNSNLGMNELCSGNTSTLTSLPPVTSRDSQVDKSSNIKSNSLPISIDFSKGCLGSLSAREIELSEDYTCIISHGPNPKRTHIFGDCVLECHNNDFNEFSMKEEPAFRASQVPIFSEGSSPYHSDNVFSFCYSCNKKLVREEDIYRYRGGKAFCSFECGSEEILVREELEKTGTYSEENSPSSSHHDLFLTGLLLSK >Vigun05g286800.1.v1.2 pep primary_assembly:ASM411807v1:5:47398588:47401685:1 gene:Vigun05g286800.v1.2 transcript:Vigun05g286800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKGKAHIWKIFNVMADSSSNFSLPCDALRQMSFSNFYTFGSRLGIGPKGLPDSESVWSPTSPLDCRLFSNLSNPFSAKSCRPSLQTGHKKQFDDSKVGLGIISSLVNETKHSNDIILGKFQRKSIIFGPQVKTGILKFSNNHEFFAPYLKSNSLPKNYVISLPSETKTPKSELENFDNVSGKKNDNWESKAFKGTMISLPGSFRPSSLINTNQNSNLGMNELCSGNTSTLTSLPPVTSRDSQVDKSSNIKSNSLPISIDFSKGCLGSLSAREIELSEDYTCIISHGPNPKRTHIFGDCVLECHNNDFNEFSMKEEPAFRASQVPIFSEGSSPYHSDNVFSFCYSCNKKLVREEDIYRYRGGKAFCSFECGSEEILVREELEKTGTYSEENSPSSSHHDLFLTGLLLSK >Vigun10g106600.3.v1.2 pep primary_assembly:ASM411807v1:10:30510580:30522448:-1 gene:Vigun10g106600.v1.2 transcript:Vigun10g106600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDITDFKTSGSMSPRMQLLRERAAIHGNISHIDDVISQAQATRAVLGSQRTLFTDVQGKVKGLGDKFPMIRSLLGSIRRRRSRDTLILSAVIAA >Vigun10g106600.2.v1.2 pep primary_assembly:ASM411807v1:10:30512280:30522451:-1 gene:Vigun10g106600.v1.2 transcript:Vigun10g106600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDITDFKTSGSMSPRMQLLRERAAIHGNISHIDDVISADILS >Vigun10g106600.4.v1.2 pep primary_assembly:ASM411807v1:10:30510580:30522451:-1 gene:Vigun10g106600.v1.2 transcript:Vigun10g106600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDITDFKTSGSMSPRMQLLRERAAIHGNISHIDDVISADILS >Vigun10g106600.1.v1.2 pep primary_assembly:ASM411807v1:10:30512280:30522448:-1 gene:Vigun10g106600.v1.2 transcript:Vigun10g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDITDFKTSGSMSPRMQLLRERAAIHGNISHIDDVISQAQATRAVLGSQRTLFTDVQGKVKGLGDKFPMIRSLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >VigunL059508.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:16507:16866:-1 gene:VigunL059508.v1.2 transcript:VigunL059508.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g207700.1.v1.2 pep primary_assembly:ASM411807v1:7:32901785:32907007:-1 gene:Vigun07g207700.v1.2 transcript:Vigun07g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREEETGKMSEDLNASSKCKNVLPYETPDLNEHYSVVDEVLGEGRLGTTYVCTHKETGKTYACKTIPKEKLSKEDYDDVWREIQILHHLSDYPNVARMQGSYEDKFSVHIVMELCAGGELFDRIIKKGHYTEREAAKLMKTIVGVVEGCHAHGVIHRDLKPENLLFDTVSRNVTLKVVGFGLSVFFKPGQTFSDIVGTCHYMPPEVLCKHYGPEVDVWSAGVILYILLSGVPPFWAETELGIFRRIINDELQFVSEPWPSISESAKELIKQMLVKDPMKRISAHEVLCHPWIVNDSAAPDKPLDPIVLTHLKHFSTMNKLKKMALRVIAERLSEEEIGGLKELFKVIDTDNSGTITFEELKEGLRSMGSNLVESEIKSLMEAADIDNSGSIDYGEFLAATLHLNKMEREENLVAAFSYFDKDGSGYITIDELLQATKDFGLGDVHLDEMIREIDQDNDGRIDYSEFAAMMKRVDPDVGRSRTMDGNLNSNIADAFGMKNSSSLYAT >Vigun05g028500.1.v1.2 pep primary_assembly:ASM411807v1:5:2279414:2282583:-1 gene:Vigun05g028500.v1.2 transcript:Vigun05g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKCFGESSSSGRPYPTVIEELCRHFSLADIRKSTNNFDDNRVIGEEGWTKVYKGCLQHIDGSDYAVAVKRFIPGEQSTEAFKREVELVCQLHHPNCVSIVGFCNHKKEKIIVYEYMSNRSLYRNLQEALPWKKRLEICIGAARGLHYLHAGLKRTVIHRDIIPRHILLDHNMQPKLSSFELSILGAHFKKRPKPIQTDLKGTIGHLPPEYLRDSTVTDKCDVYAFGGVLLEVVCGSLFFLKQNEVPEKCVEENIDPKIKGEIAAECWQVFIDIALRCIKNEADERPAMGEVEVELERALLLQQQADLTNIDRDYTLLSKTIIIQKSEGGLNYFIGQEQEQESNTVT >Vigun07g056200.1.v1.2 pep primary_assembly:ASM411807v1:7:6127395:6128410:1 gene:Vigun07g056200.v1.2 transcript:Vigun07g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQQSFSAGQAEGQTQVQAENLVQSTKESASAAVDKVNEAANTSGQTAEQNKDEAAGFLQQTGEQMKTMAQGAVESVKHTLGMDKN >Vigun05g202900.1.v1.2 pep primary_assembly:ASM411807v1:5:39164840:39165702:1 gene:Vigun05g202900.v1.2 transcript:Vigun05g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAKTQGPTMNKKGMSKSTKAGLQFPVGRVARYLKTGKYAERIGTGAPVYLASVLEYLAAEVLELAGNAAIDNKKTRIMPRHIQLAVRNDEELSILLGDVTIANGGVIPNIHNLLLPKKSGTSKGFDVDED >Vigun10g091000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26213028:26218619:-1 gene:Vigun10g091000.v1.2 transcript:Vigun10g091000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFVDVLFEKLASPEVVNLIRGKKPDKLLQKVKNQLIVVRVVLADAEKRQITDSTVKEWLDLLKDVIYEVDDLLDEVSTKAATQKELSNSFSRIFNRKKIVSISKLEEMVERLDDILKQKESLDLKDIPVESYQQWKAQPTSLEDGYGMYGRDKDKEAIMQLVLEDRTDGEQVSVIPIVGMGGVGKTTLARSVFNDGKLKEQIFDLKAWVCVSDIFDMVKVTKTMIEEITKKPCKLSDLNALQLDLMDKLKGKRFLIVLDDVWIEDFDNWSSLTKPFLSGIRGSKILVTTRNESVVVRVPFQTVNVHHLNQLSNEDCWLVFANHAFPLSEANEKRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKQAIRDWINVLESEIWELPEGQCKIIPALRISYHHLPPHLKRCFVYCSLYPKDYEFIKDELIQLWMAEDIVKAPQKGKTLEEVCHEYFDDLLSRSFFQCSSSRIWGNCFVMHDLMHDLATSIGGEFYFRTDEFGKETKISKKTRHLSFTRFSDPVSDIEVFDTVKSPRTFLLTNYVFSPFNNEMAPHIIVSMLKYLRVLSFCHFQSPFALPDSIGQLIHLRYVNLTGTSIKSLPESVCNLYNLQTLKLSFCYKLTKLPSAMQNLVNLRYLEILDIPIKEMPKRMGKLNQLQKLDFYIAGKRAENSIKELGGLPNLRGAFSIKGLENVTKGEEALEARIRDKKHITHLSLEWSIVNDNIIDFQIELDVLSKLQPHQDLKSLSIDGYKGTRFPKWMGNFCYCHMTRLSLYNCNNCCMLPSMGQLPSLKFLNISDMNSVKTIDAEFFKMENCSSVIPFPSLERLEISDMPCWEVWNSFDSKAFPVLKCFYITDCPKLKGALPNHLPALQNLSIVNCELLVSSVPRAPTLRSLEIRKSDKVMFHEFPLLVESIDVEGGPMVESMMEAITNIQPTCLKYLTLQNYSSALLFPGDRLPASLKTLRISGLTKMKFPMQHKHELLESLSINNSCESLTSLQLAIFPNLTSLRITNCENMESLLVSGSDSLKSLNCVEIGHCPNFVSFLGEGLSAPNLTCFSFYDCDKLKSLPDQMGTLFPKMEYLIISNCQQIESFPVGGMPPNLIKVSISNCEKLLSGKAWVCMDMVTSLDVWGPCDGINSFPEEGLLPPSLKSLRLCNLSSLETLDCMGFLHLTSLRELYIENCKTLENVAGERLPVSLTKLIIMGCPLLQKRCHKKDRHIWPKICHVRGIKIDGRWI >Vigun10g091000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26213028:26218619:-1 gene:Vigun10g091000.v1.2 transcript:Vigun10g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFVDVLFEKLASPEVVNLIRGKKPDKLLQKVKNQLIVVRVVLADAEKRQITDSTVKEWLDLLKDVIYEVDDLLDEVSTKAATQKELSNSFSRIFNRKKIVSISKLEEMVERLDDILKQKESLDLKDIPVESYQQWKAQPTSLEDGYGMYGRDKDKEAIMQLVLEDRTDGEQVSVIPIVGMGGVGKTTLARSVFNDGKLKEQIFDLKAWVCVSDIFDMVKVTKTMIEEITKKPCKLSDLNALQLDLMDKLKGKRFLIVLDDVWIEDFDNWSSLTKPFLSGIRGSKILVTTRNESVVVRVPFQTVNVHHLNQLSNEDCWLVFANHAFPLSEANEKRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKQAIRDWINVLESEIWELPEGQCKIIPALRISYHHLPPHLKRCFVYCSLYPKDYEFIKDELIQLWMAEDIVKAPQKGKTLEEVCHEYFDDLLSRSFFQCSSSRIWGNCFVMHDLMHDLATSIGGEFYFRTDEFGKETKISKKTRHLSFTRFSDPVSDIEVFDTVKSPRTFLLTNYVFSPFNNEMAPHIIVSMLKYLRVLSFCHFQSPFALPDSIGQLIHLRYVNLTGTSIKSLPESVCNLYNLQTLKLSFCYKLTKLPSAMQNLVNLRYLEILDIPIKEMPKRMGKLNQLQKLDFYIAGKRAENSIKELGGLPNLRGAFSIKGLENVTKGEEALEARIRDKKHITHLSLEWSIVNDNIIDFQIELDVLSKLQPHQDLKSLSIDGYKGTRFPKWMGNFCYCHMTRLSLYNCNNCCMLPSMGQLPSLKFLNISDMNSVKTIDAEFFKMENCSSVIPFPSLERLEISDMPCWEVWNSFDSKAFPVLKCFYITDCPKLKGALPNHLPALQNLSIVNCELLVSSVPRAPTLRSLEIRKSDKVMFHEFPLLVESIDVEGGPMVESMMEAITNIQPTCLKYLTLQNYSSALLFPGDRLPASLKTLRISGLTKMKFPMQHKHELLESLSINNSCESLTSLQLAIFPNLTSLRITNCENMESLLVSGSDSLKSLNCVEIGHCPNFVSFLGEGLSAPNLTCFSFYDCDKLKSLPDQMGTLFPKMEYLIISNCQQIESFPVGGMPPNLIKVSISNCEKLLSGKAWVCMDMVTSLDVWGPCDGINSFPEEGLLPPSLKSLRLCNLSSLETLDCMGFLHLTSLRELYIENCKTLENVAGERLPVSLTKLIIMGCPLLQKRCHKKDRHIWPKICHVRGIKIDGRWI >Vigun10g091000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26213027:26218619:-1 gene:Vigun10g091000.v1.2 transcript:Vigun10g091000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFVDVLFEKLASPEVVNLIRGKKPDKLLQKVKNQLIVVRVVLADAEKRQITDSTVKEWLDLLKDVIYEVDDLLDEVSTKAATQKELSNSFSRIFNRKKIVSISKLEEMVERLDDILKQKESLDLKDIPVESYQQWKAQPTSLEDGYGMYGRDKDKEAIMQLVLEDRTDGEQVSVIPIVGMGGVGKTTLARSVFNDGKLKEQIFDLKAWVCVSDIFDMVKVTKTMIEEITKKPCKLSDLNALQLDLMDKLKGKRFLIVLDDVWIEDFDNWSSLTKPFLSGIRGSKILVTTRNESVVVRVPFQTVNVHHLNQLSNEDCWLVFANHAFPLSEANEKRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKQAIRDWINVLESEIWELPEGQCKIIPALRISYHHLPPHLKRCFVYCSLYPKDYEFIKDELIQLWMAEDIVKAPQKGKTLEEVCHEYFDDLLSRSFFQCSSSRIWGNCFVMHDLMHDLATSIGGEFYFRTDEFGKETKISKKTRHLSFTRFSDPVSDIEVFDTVKSPRTFLLTNYVFSPFNNEMAPHIIVSMLKYLRVLSFCHFQSPFALPDSIGQLIHLRYVNLTGTSIKSLPESVCNLYNLQTLKLSFCYKLTKLPSAMQNLVNLRYLEILDIPIKEMPKRMGKLNQLQKLDFYIAGKRAENSIKELGGLPNLRGAFSIKGLENVTKGEEALEARIRDKKHITHLSLEWSIVNDNIIDFQIELDVLSKLQPHQDLKSLSIDGYKGTRFPKWMGNFCYCHMTRLSLYNCNNCCMLPSMGQLPSLKFLNISDMNSVKTIDAEFFKMENCSSVIPFPSLERLEISDMPCWEVWNSFDSKAFPVLKCFYITDCPKLKGALPNHLPALQNLSIVNCELLVSSVPRAPTLRSLEIRKSDKVMFHEFPLLVESIDVEGGPMVESMMEAITNIQPTCLKYLTLQNYSSALLFPGDRLPASLKTLRISGLTKMKFPMQHKHELLESLSINNSCESLTSLQLAIFPNLTSLRITNCENMESLLVSGSDSLKSLNCVEIGHCPNFVSFLGEGLSAPNLTCFSFYDCDKLKSLPDQMGTLFPKMEYLIISNCQQIESFPVGGMPPNLIKVSISNCEKLLSGKAWVCMDMVTSLDVWGPCDGINSFPEEGLLPPSLKSLRLCNLSSLETLDCMGFLHLTSLRELYIENCKTLENVAGERLPVSLTKLIIMGCPLLQKRCHKKDRHIWPKICHVRGIKIDGRWI >Vigun03g311000.1.v1.2 pep primary_assembly:ASM411807v1:3:50341354:50344976:-1 gene:Vigun03g311000.v1.2 transcript:Vigun03g311000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASKHPPKRAVLLKDYLRDDLSSCSSNGFKSLPRRQCCTTVGFFVEKDLQLQRKRRNTLPPRRRSSVSALQRASVAVINAIKSLPMSQKSGRAKRGGVLCRSLSRKLLSRSFWRKAAAVREEGSQGVPRRRTSFRELIMLDQEHRKATSFNEYTALAAPSFTTSSGCGSNSWGESEFTFASTAASSESSNENYLLLETTKQYTPRRHKVEEEVITKEYWANEKEQFSPVSILDCPFVDEEEIYKSRFRSTSSVVSITEGGKHKHMHKRCHLESVASLEPVVLEKRFARLELGDETLDHSTKQCSRVVVAAARTRNNLRPANNHNIEENARNLLNFVKGSNPRNILILKAENLLFDYFKKSIGECKDIDLSKKLQLCKVAEDWINGRPQELYLDWEEQGRRCVYVREMDKCEEWKNYDQEIQQLGEDLANEVFANLVNESVLDLMIRASH >Vigun06g016100.2.v1.2 pep primary_assembly:ASM411807v1:6:7586476:7595318:-1 gene:Vigun06g016100.v1.2 transcript:Vigun06g016100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKSGSGSNVHQGKTLLQNPFEFSDEQILDNVYRTHFHCVEKCDVKSLHTVASTVINHSIQITDTVIQQASQLSERFREETITSRQLTAKLKRIACQMVCTSRGEHYAHHTTMLILEQLKAYSWDAKALIVQAAFALEYGKFLYLPLTRQHEESEKSLADLNGLLVIQHNTQHLSFFNSVVKKVMQVIECITEWKRLTSAGYDIKDVPTLAETLHEIPVVVYWAIFTFVTCTGQIDDFTTDLKVHKYELSKNFENKLDLILRTFREHLEMCSTEIGRIEDYSRRKNIINITGKDIVKVLKALIISGENRDSRQNVISGLTLEQVKIEEFKKKHVLLFISELEHIDEEIQLLKTIYEKLKEKPREVEGYRKEDFRILWIPIVDEWNEDRRKTLETKLQRTKFGWYVVKHFNFETGTKLIKEVFNYRGKPVIPLISPEGKVENIDTKQIISIWGIDGFPFRTSDHTRLTQQWNWFWSEMTKLNPRIGDLVQLMMKQTLKI >Vigun06g016100.1.v1.2 pep primary_assembly:ASM411807v1:6:7589198:7595317:-1 gene:Vigun06g016100.v1.2 transcript:Vigun06g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKSGSGSNVHQGKTLLQNPFEFSDEQILDNVYRTHFHCVEKCDVKSLHTVASTVINHSIQITDTVIQQASQLSERFREETITSRQLTAKLKRIACQMVCTSRGEHYAHHTTMLILEQLKAYSWDAKALIVQAAFALEYGKFLYLPLTRQHEESEKSLADLNGLLVIQHNTQHLSFFNSVVKKVMQVIECITEWKRLTSAGYDIKDVPTLAETLHEIPVVVYWAIFTFVTCTGQIDDFTTDLKVHKYELSKNFENKLDLILRTFREHLEMCSTEIGRIEDYSRRKNIINITGKDIVKVLKALIISGENRDSRQNVISGLTLEQVKIEEFKKKHVLLFISELEHIDEEIQLLKTIYEKLKEKPREVEGYRKEDFRILWIPIVDEWNEDRRKTLETKLQRTKFGWYVVKHFNFETGTKLIKEVFNYRGKPVIPLISPEGKVENIDTKQIISIWGIDGFPFRTSDHTRLTQQWNWFWSEMTKLNPRIGDLIEEDRYLFIYGGTDTMWIQEFTNAIEKLKRDVETISLQIDITVDSYQLGREDPKTVPRFWIAIDSLLASRKQQIMKRGDEGVQDFATREIKRLLFLKQDPKGWVILSKGYNVKLLGHGEAMSRTVKDLSLWHVKLHEEVSFDVAFKEYYESIKDKTNPKKCEHSEISNYPSDILAHIPCPNMECGRSMEVTSVNYRCCHGLDP >Vigun03g332000.2.v1.2 pep primary_assembly:ASM411807v1:3:52990711:52997193:1 gene:Vigun03g332000.v1.2 transcript:Vigun03g332000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSQVLEAVLKETVDLENIPIEEVLENLRCGREGLSSEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDPDTVILMAARASRLENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYLDQDGKMHRVSKGAPEQILNLAHNKAEIERRVHSVIDKFAERGLRSLAVAYQEVPDGRKESAGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTNFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGILLVVAFIIAQLIATLIAVYAKWSFAAIEGIGWGWAGVIWLYNIIFYIPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDSKFTERTHVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Vigun03g332000.5.v1.2 pep primary_assembly:ASM411807v1:3:52990611:52997198:1 gene:Vigun03g332000.v1.2 transcript:Vigun03g332000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSQVLEAVLKETVDLENIPIEEVLENLRCGREGLSSEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDPDTVILMAARASRLENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYLDQDGKMHRVSKGAPEQILNLAHNKAEIERRVHSVIDKFAERGLRSLAVAYQEVPDGRKESAGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTNFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGILLVVAFIIAQLIATLIAVYAKWSFAAIEGIGWGWAGVIWLYNIIFYIPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDSKFTERTHVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Vigun03g332000.4.v1.2 pep primary_assembly:ASM411807v1:3:52990711:52997200:1 gene:Vigun03g332000.v1.2 transcript:Vigun03g332000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSQVLEAVLKETVDLENIPIEEVLENLRCGREGLSSEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDPDTVILMAARASRLENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYLDQDGKMHRVSKGAPEQILNLAHNKAEIERRVHSVIDKFAERGLRSLAVAYQEVPDGRKESAGGPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTNFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRGWSYVERPGILLVVAFIIAQLIATLIAVYAKWSFAAIEGIGWGWAGVIWLYNIIFYIPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDSKFTERTHVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Vigun04g198300.2.v1.2 pep primary_assembly:ASM411807v1:4:42302217:42305018:1 gene:Vigun04g198300.v1.2 transcript:Vigun04g198300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIFHDRDGLPRIILTEPKGSSAEVLLYGGQVVSWKNHRKEELLFMSSKANWKQHKAIRGGISACFARFGDLGSLEQHGFARNRMWSLDRDSSPLPPPLDNHSSVDLILKSTKVDLKTPHSFEFRLRISLSADKLILIPRVRNTDNKAFSFSFALRNYLSGSDISEVRIEGLETLDYFDNLMNRSRFTEQADALTFDGEIDRVYLHSPNKIAIIDHEKKRTFVLQKKGMPDAVVWNPWAKKAKAIPDLGDDDYKMMICVSSAAIETPVFLKPSEEWMGYQELSTVSSSYCSGQLDPRKVLYGFH >Vigun04g198300.1.v1.2 pep primary_assembly:ASM411807v1:4:42301844:42305194:1 gene:Vigun04g198300.v1.2 transcript:Vigun04g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIFHDRDGLPRIILTEPKGSSAEVLLYGGQVVSWKNHRKEELLFMSSKANWKQHKAIRGGISACFARFGDLGSLEQHGFARNRMWSLDRDSSPLPPPLDNHSSVDLILKSTKVDLKTPHSFEFRLRISLSADKLILIPRVRNTDNKAFSFSFALRNYLSGSDISEVRIEGLETLDYFDNLMNRSRFTEQADALTFDGEIDRVYLHSPNKIAIIDHEKKRTFVLQKKGMPDAVVWNPWAKKAKAIPDLGDDDYKMMICVSSAAIETPVFLKPSEEWMGYQELSTVSSSYCSGQLDPRKVLYGFH >Vigun11g169100.1.v1.2 pep primary_assembly:ASM411807v1:11:37559165:37562317:1 gene:Vigun11g169100.v1.2 transcript:Vigun11g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQKNVVEPLVSAIKSSAQKNAASFHFPGHNRGNAAPASMTGLIGRTAFVHDLTVLHELGNLFCPYGPILEAQVEAAKLFGSSHTWFLVGGTTCGIQAAIMSTCSPGQVLILPRNSHISAISALVLSGAVPKYIIPHFLDHWDVAAAITPSQVSKAIQELEMEGKKAAAVFITSPTYHGLCSDLTTISELCHSHKIPLIVDEAHGAHFGFHSKLPKSALHQGADLIVQSTHKVLCSLTQSSMLHMSGNIVDKDKVSRCLRTLQTTSPSFLLLASLDAARAQISENPDSVFNRAIALADEAKRVLKQIPGISVLESSSFPASPAVDPLRLTVGFWNLGLSGSEADEILYKDYEVICELIGNRSITYVLNLGTCREHVHRLVLGIKRLAATHGSISKPEKKMVNNVHAPFDDITMSMIPRDAFFASKRKVAIRESIGEVAGELICPYPPGVPVLIPGEVVTEKIVDYLLHVTSKGAEVNGASDSSLSSILVCGKL >Vigun03g353300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55494375:55496855:-1 gene:Vigun03g353300.v1.2 transcript:Vigun03g353300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCVSVSSSILHFLPTASDPPYSILQNHPHLTLLSNCPNIATLKQIHSLIIKTGLHNTLFAQSKLIEFCALSPSQDLSYALSLFHSIQHPNIFICNTLIRAHSLTPAPTSSLHLYNHMLHSGLHPNSHTFPFLFKSCAKARATHLGKQLHAHVLKLALHCHPHVHTSLIHMYSQLGELQHARLVFDKSAFRDAVSFTALITGYVSEGHVDDARRLFDEIPAKDVVSWNAMIAGYVQGGRFEEALACFSSMQEADVSPNKSTMVSVLSACGHLGSLELGKWIGSWVREQGLGSNIQLVNALVDMYCKCGEIDTARELFDGIREKDLILWNTMIGGYSNLSLYPEALALFDLMLREKVTPNDVTFLGVLPACACLGALDLGKWVHAYVDKILKGTNNVSLWTSIIDMYAKCGCVEVAEQVFRSKWSRSLASWNAMISGFAMNGHAERALALFEEMIKEGFQPDDITFVGVLSACTQAGLVDVGQQYFSSMNQDYGISPKLQHYGCVIDLLARSGKFEEAKVMMGNMEMEPDGAIWGSLLNACRIHGQVEFGEYVAEQLFQLEPENSGAYVLLSNIYAGAGRWDDVARIRTRLNDKGMKKVPGCTSIEIDGVVHEFLVGDKLHSQSEYIYRMLDEVDKLLEESGFVPDTSEVLYDMDEEWKEGALSQHSEKLAIAFGLISTKPGTTIRIVKNLRVCRNCHSATKLISKIFKREIIARDRNRFHHFKDGLCSCNDCW >Vigun01g028400.3.v1.2 pep primary_assembly:ASM411807v1:1:3396081:3402359:-1 gene:Vigun01g028400.v1.2 transcript:Vigun01g028400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFALSFAPLPITSIFSKSQFCPSSSCRVLCHVGSNSNAQRHLAADHNFVLHDALHASGIDTTHAREAREQFCLQIGRFSDIEKQTSICINRCVDLGRTALYIAAEDDSLVSHSSVPLPVDDFVTRLDDLSMDYCPHYSPKYESSPEKFLESIERFLYVHKGFRRANTNILEPRALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWSLLYFDAEIFFPHDALSLPKGYHKQKSKESDQAHIMTSGNLLVEILNDLKHAFWPFQHDHTKTLFLRAATAANCVDRSDFVGESGSEIASAKAAQHRLDRGVWTSVRFGDMRRSLSACERLILLKNDANELRDYSILLYHCGLYEQSLEYLKKYREQQNSSTQETLSSKSLSSLEEDAVNNLMLRLNLILMEQGWTRPSYARNFLGNNSEPW >Vigun01g028400.2.v1.2 pep primary_assembly:ASM411807v1:1:3395880:3402391:-1 gene:Vigun01g028400.v1.2 transcript:Vigun01g028400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFALSFAPLPITSIFSKSQFCPSSSCRVLCHVGSNSNAQRHLAADHNFVLHDALHASGIDTTHAREAREQFCLQIGRFSDIEKQTSICINRCVDLGRTALYIAAEDDSLVSHSSVPLPVDDFVTRLDDLSMDYCPHYSPKYESSPEKFLESIERFLYVHKGFRRANTNILEPRALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWSLLYFDAEIFFPHDALSLPKGYHKQKSKESDQAHIMTSGNLLVEILNDLKHAFWPFQHDHTKTLFLRAATAANCVDRSDFVGESGSEIASAKAAQHRLDRGVWTSVRFGDMRRSLSACERLILLKNDANELRDYSILLYHCGLYEQSLEYLKKYREQQNSSTQETLSSKSLSSLEEDAVNNLMLRLNLILMEQGWTRPSYARNFLGNNSEPW >Vigun05g196200.1.v1.2 pep primary_assembly:ASM411807v1:5:37945959:37949064:1 gene:Vigun05g196200.v1.2 transcript:Vigun05g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNEMPPFMAETADQIPLTDSLTALLSLPYQALSAKFQESAFHLKQSVVRETWGSRGKRVKDYTLYTGALGTAYLLFKAYQVTKDGNDLNLCSEIVEACHSASADSSRVTFLCGRAGVCALGAVVAKLTGDERLLDYYLRQFKEIVIPRDSPYELLYGRTGYLWACSFLNKHIGNNTIPTTHMRSVVDEVITTGRQLGHKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDMELKPDEVEEVKGTLHYMINNRFPSGNYPSSEGSKNDRLVHWCHGAPGVTLTLVKAAEVFGDKEFLQAAVDAGEVVWKRGLLKRVGICHGISGNTYVFLSLFSLTGNEEYLYRAKAFACFLLDRAQKLISEGKMHGGDRPYSLFEGLGGMAYTCLDMVDPQMAKFPGYEL >Vigun07g119401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22152659:22153345:-1 gene:Vigun07g119401.v1.2 transcript:Vigun07g119401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESGFSMLNDDIVLAVFAKLEDDPRHWARVACVCTRFSSLIRHFCWKTKCSQTLPSLLSDSPATCASLLKLAVCCPGLRHAGIAADRDAAKNPKTCRKPHLARGNWDLRREQGCKLLARQFRDDCLYLCDWPGCVHPHKKRKYMLFRGVFRDFKTTRVWRSLDGDKRRKLPVECAFCTCRHTWDLHSAFCLRRGFGYQIDGEPVVRAYVCENGHVSGAWTDVPLFS >Vigun05g180150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34281695:34282075:1 gene:Vigun05g180150.v1.2 transcript:Vigun05g180150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKRSSQVRVGVRGGKGKLWRCYGFETMGENLFAPSETSCSFPSIFLLTLSLTLFYSNLCGDDDVLCGDYLYAVSVKMDDKRKSEIEGEWGWLVGPNDVVISYLFGRPILSIHNWFEGDFVGRL >Vigun07g281600.2.v1.2 pep primary_assembly:ASM411807v1:7:39617243:39620964:1 gene:Vigun07g281600.v1.2 transcript:Vigun07g281600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFRKKKKKNALGWIQFVCLENLESLSKRNMDEDDGVRDNTVTHSPTNSQQTVSDDDEIDYTTKPEFYDPDLDDKNEQWIHKKRQGRVSDAVLSCPACFTTLCLECQRHEKYLTQYRAVFVANCKIGNDKVLKQNTSRSRKRNRGSERFDRNDTNSTNGETFKQVCCSVCSTEVGVMDQDEIYHFYNVLPSES >Vigun11g215100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40940988:40943324:1 gene:Vigun11g215100.v1.2 transcript:Vigun11g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MIAQYQLDSLLQKCSSLISMKQLQAHLITTGKFQFHPSRSKLLELCSISPAGDLSFAGQIFRRIQCPSTNDWNAVLRGLAQSPEPMQALSWYRAMSRSPQKVDALTCSFALKGCARALAFSEATQIHSQLLRFGFEADVLLLTTLLDVYAKTGDLDAAHKVFDNMRKRDIASWNAMISGLAQGSRPNEAIALFNRMKDEGWRPNEVTVLGALSACSQLGALKHGQIIHAYVVDEKLDTNVIVCNAVIDMYAKCGFVDKAYSVFVSMSCKKSLVTWNTMIMALAMNGDGNKALELLDKMAVDGVVPDAVSYLAALCACNHAGLVEEGVRLFDMMKGYGVKLNVKHYGSVVDLLGRAGRIKEACDIINSMPMVPDVVLWQSLLGACKIHGNVEVAEMASRKLVEMGSNNCGDFVLLSNVYAAQQRWYDVGRVREAMKIRDVRKVPGFSYTEIDGRIHKFVNADHSHSSSKEIYAKLDEIKFRIKAYGYAAETNLVLHDIGEEDKENALNYHSEKLAVAFGLISTGDGTPIQVIKNLRICVDCHAVIKIISTVYKREIIVRDRARFHRFKEGVCSCRDYW >Vigun06g198750.1.v1.2 pep primary_assembly:ASM411807v1:6:31390814:31391027:1 gene:Vigun06g198750.v1.2 transcript:Vigun06g198750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLVLCFTGILAFLHGARFFLHILTQHIALPSISLSFLGFAAW >Vigun07g107400.1.v1.2 pep primary_assembly:ASM411807v1:7:19734942:19741296:-1 gene:Vigun07g107400.v1.2 transcript:Vigun07g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGRGSFASALLVRHRHENKRYVLKKIRLARQTDRVRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFVCIVIGYCEGGDMTEAIKKANGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTCDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHKPAFKALDMQALINKINKSLVAPLPTVYSGSFRGLVKSMLRKNPELRPSAAELLNHPHLQPYILKIHLKLNNPRRSTYPFQWSDSNYVRRTRFVETDSVSTLSGRGKRLSFSNDRALNPSISGTELGSVCSTQRGQGFSTCSKEKHCELSVGCVREECNSNKSRDTKSSTVDRVPRLRTTKEYATPRKQTIPSKTSLTGFKRELLPASSTPGVKFTPPSRRASLPLPTKTMCMTTPYRANVGLLRGMDSPDVSVNAPRIDKIAEFPLASCQDSLFPVHGTSSTSAQCSSGSPKSAECLITKDKCTIQVVDKVSVPSSVQSPKSAAAPVSHGNECSEHAVSSHFSAECCQRRFDPSSYQQRAEALEGLLEFSARLLQQQRFEELGVLLKPFGPEKVSPRETAIWLAKSFKETVA >Vigun07g107400.2.v1.2 pep primary_assembly:ASM411807v1:7:19734829:19741113:-1 gene:Vigun07g107400.v1.2 transcript:Vigun07g107400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGRGSFASALLVRHRHENKRYVLKKIRLARQTDRVRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFVCIVIGYCEGGDMTEAIKKANGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTCDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHKPAFKALDMQALINKINKSLVAPLPTVYSGSFRGLVKSMLRKNPELRPSAAELLNHPHLQPYILKIHLKLNNPRRSTYPFQWSDSNYVRRTRFVETDSVSTLSGRGKRLSFSNDRALNPSISGTELGSVCSTQRGQGFSTCSKEKHCELSVGCVREECNSNKSRDTKSSTVDRVPRLRTTKEYATPRKQTIPSKTSLTGFKRELLPASSTPGVKFTPPSRRASLPLPTKTMCMTTPYRANVGLLRGMDSPDVSVNAPRIDKIAEFPLASCQDSLFPVHGTSSTSAQCSSGSPKSAECLITKDKCTIQVVDKVSVPSSVQSPKSAAAPVSHGNECSEHAVSSHFSAECCQRRFDPSSYQQRAEALEGLLEFSARLLQQQRFEELGVLLKPFGPEKVSPRETAIWLAKSFKETVA >Vigun07g227000.2.v1.2 pep primary_assembly:ASM411807v1:7:34901913:34907785:-1 gene:Vigun07g227000.v1.2 transcript:Vigun07g227000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLPLKFANSGIVLHVTIQNVEGYAAERNGEDNGAEELSSDRSLKHQLSYGSTDHESYNVDENEHMARTRSEYSEQDASNGISPVLASWEDPHSFRQNSIPSKGTVKAIATEAQVHKRSNTNWSTGSASDGSLGDWTNSLEDNPPRERLQEPSNNTNESLKNEISSLKRQAEVSEIELQSLRKQIEKESIRGQNLSRQIISLREERDLLKTKFEQLKSQQNFNNESKTSKTLKSEIEDTRLQLEAMKEELVYEKELSANLQLQLRKTQNSNSELLLAVTDLEALLEQKDKEILDLSTNLKSQTTAKEREEGIEFDLLRQKIADQDDEIDNYCKQREELSEQVKELTLEYDLLKKENVDISLRLKQDEAHHIMLQNEHSSSLVTIQQLESQVERLEEKLKVQEDEFSASLVCIKELENEVKLLENELKLQAEKFEEDLHVMQCAKTEQEERALQAEEALRKTRHNNAVASERFQEEYRLLSVEMAQKVEENENMTLKAVAEADELRNQNKLIEEMLHKCNQELRLITDQNEVKRKELLDQIDSKAKIIEQMSQELEDKSKQLEDVQRHYDEKDASFSKKIQMLGSQIKMLMEDGVLSEKVRGDAKIAQKGQPLMTSNDGEMILGTLLTEVETFKNQHIEIKHSLHKEQMEKESMKKQISQLEGELKKKEAELSTMEKKLKNNKGRAAVTHMNLTSRDNESVVPPAKTPAKKSKSEMHKGKDASTKSEGGTVNKSAESKVCQAPGSEGECHTNELLNEVSLLKEKNKIMESELKDMEERYSEISLKFAEVEGERQQLVMALRNLKNGKKN >Vigun07g227000.1.v1.2 pep primary_assembly:ASM411807v1:7:34901913:34907785:-1 gene:Vigun07g227000.v1.2 transcript:Vigun07g227000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWSKKNRIKAVFKLEFQATQVPKMKKNAIMVSLVPDDVGKTTVKLEKTAVQDGTCSWENPIFESVKLVRDSKSGKIHEKIYHFIVSTGSSKSGFLGEASIDLADFVAEIEPMTISLPLKFANSGIVLHVTIQNVEGYAAERNGEDNGAEELSSDRSLKHQLSYGSTDHESYNVDENEHMARTRSEYSEQDASNGISPVLASWEDPHSFRQNSIPSKGTVKAIATEAQVHKRSNTNWSTGSASDGSLGDWTNSLEDNPPRERLQEPSNNTNESLKNEISSLKRQAEVSEIELQSLRKQIEKESIRGQNLSRQIISLREERDLLKTKFEQLKSQQNFNNESKTSKTLKSEIEDTRLQLEAMKEELVYEKELSANLQLQLRKTQNSNSELLLAVTDLEALLEQKDKEILDLSTNLKSQTTAKEREEGIEFDLLRQKIADQDDEIDNYCKQREELSEQVKELTLEYDLLKKENVDISLRLKQDEAHHIMLQNEHSSSLVTIQQLESQVERLEEKLKVQEDEFSASLVCIKELENEVKLLENELKLQAEKFEEDLHVMQCAKTEQEERALQAEEALRKTRHNNAVASERFQEEYRLLSVEMAQKVEENENMTLKAVAEADELRNQNKLIEEMLHKCNQELRLITDQNEVKRKELLDQIDSKAKIIEQMSQELEDKSKQLEDVQRHYDEKDASFSKKIQMLGSQIKMLMEDGVLSEKVRGDAKIAQKGQPLMTSNDGEMILGTLLTEVETFKNQHIEIKHSLHKEQMEKESMKKQISQLEGELKKKEAELSTMEKKLKNNKGRAAVTHMNLTSRDNESVVPPAKTPAKKSKSEMHKGKDASTKSEGGTVNKSAESKVCQAPGSEGECHTNELLNEVSLLKEKNKIMESELKDMEERYSEISLKFAEVEGERQQLVMALRNLKNGKKN >Vigun04g184100.1.v1.2 pep primary_assembly:ASM411807v1:4:40887943:40892069:-1 gene:Vigun04g184100.v1.2 transcript:Vigun04g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLKLSNYPRFFCKFNFNPLTPQRFSRLNSTRGKNSIGLRQYPPELVRSFSAAHFHHGNSTQKKGHGQPHYLDESQAERVRGIMVKAKTTIMFYKRSISGGDCSVGKESPTFSENPIGSPESCSEIKVDVDTLTRIINDHPFPLQPLHPTLLHLLPPSSFSTSLVENVLGRLFASHSNGLKALEFFNYCLIHSHSLISPDSLNMTLHILARMRYFDKTWVLLRDIARSHPSLLTLKSMNIVLSKIAKFQSFEDTLDGFRRMEDEVFVGREFGTEEFNVLLKAFCTQRQMKEARSVFAKLVPRFTPNTKSMNILLLGFKESGDVTSVELFYHEMVRRGFSPDGVTFNVRIDSYCKKGCFADALRLLEEMEGRNIVPTIETITTLIHGAGLVRNLGKAWQFFNEIPSRNLVADTGAYNALVTALVRNRYIESALSLVDEMAEKHIELDGVTYHTMFLGLMRSRGIEGVSELYQKMTQRGFVPKTRTVVMLMKFFCQNYQLDLSVGLWKYLVEKGYCPHAHALDLLVTGLCARGLVHDAFECSKQMLERGRYMSSASFLMLERFLLQAGDMDKLKQLDQMIKKLQSVLPPSRGNTTGISTSRVIA >Vigun04g184100.3.v1.2 pep primary_assembly:ASM411807v1:4:40887945:40892069:-1 gene:Vigun04g184100.v1.2 transcript:Vigun04g184100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLKLSNYPRFFCKFNFNPLTPQRFSRLNSTRGKNSIGLRQYPPELVRSFSAAHFHHGNSTQKKGHGQPHYLDESQAERVRGIMVKAKTTIMFYKRSISGGDCSVGKESPTFSENPIGSPESCSEIKVDVDTLTRIINDHPFPLQPLHPTLLHLLPPSSFSTSLVENVLGRLFASHSNGLKALEFFNYCLIHSHSLISPDSLNMTLHILARMRYFDKTWVLLRDIARSHPSLLTLKSMNIVLSKIAKFQSFEDTLDGFRRMEDEVFVGREFGTEEFNVLLKAFCTQRQMKEARSVFAKLVPRFTPNTKSMNILLLGFKESGDVTSVELFYHEMVRRGFSPDGVTFNVRIDSYCKKGCFADALRLLEEMEGRNIVPTIETITTLIHGAGLVRNLGKAWQFFNEIPSRNLVADTGAYNALVTALVRNRYIESALSLVDEMAEKHIELDGVTYHTMFLGLMRSRGIEGVSELYQKMTQRGFVPKTRTVVMLMKFFCQNYQLDLSVGLWKYLVEKGYCPHAHALDLLVTGLCARGLVHDAFECSKQMLERGRYMSSASFLMLERFLLQAGDMDKLKQLDQMIKKLQSVLPPSRGNTTGISTSRVIA >Vigun07g249100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36848688:36849356:-1 gene:Vigun07g249100.v1.2 transcript:Vigun07g249100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKKSSLGRQKIPIEKIPKKSHLQVTFSKRRSGVFKKASELCTLCGVEIAIVVFSPADKAFSFGHPEVESLIDRYTTRNPPQDSSAHHLVEAHRNANVRDLNMQLTQAFNLLEVEKKRGDDLDHVRKARQRQFWWESPIDELGLHELLQLKASIEELKKNIEKHATKFIIDHSTAISSSSILGPSNGFGALEQRYEPDAGIAMASSLPNAHYLAFRNGYL >VigunL033500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:252476:253903:-1 gene:VigunL033500.v1.2 transcript:VigunL033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcL MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun07g289800.2.v1.2 pep primary_assembly:ASM411807v1:7:40190606:40197123:-1 gene:Vigun07g289800.v1.2 transcript:Vigun07g289800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGHEETGVKSYAGQFSGLIAGVPIKKRRFPSFQPSSSPVSEEPCSLSEETELQRKENSSTSQGSTLSNASIAGAPIKKRRFPFIQPSSSSLEEASRSEESDALRKEHSSTSPGSTLSTSSSSLSDVNGIPALEDRKASTDVSNATTGQSNSCFLIPKIEEPNLGTQSCTLDVMYSKETVIPSESNKKLESQIIKGNPELLLAAKEGLALSIGTEVSKQNVKDICRKESPLVSGSSSLSLSLEEHHFPAVENVENDKSRLKIEKTESVSLELSLSKEDCSSHSLNTDAKTDGNTTPVHSNRANWDLNTTMDAWEESGTEAGLGKTCVDGLKITENSVVEKQLMCSTGMTRPTNVLSVKPVCEESQKKDFTFPSAMCGQQFKFGDSSNLSLTPFLQKYTEEPSKLSVKLNSGSSIPNVSLSSVASAAGDANTSSFRLVKPEPFDENLKKELKEANTSTAGSLDSVTVKQELFQPIVVKCSKLSNVSNLMKADAVSVKQELVHTGNQEKSNAAVSKTDRLDKEVQQGLDDSSPSLAMSIVPDTTPISTDAAGPQMKPGCTAELSASENTVSQIENISPTDGDNVAKVCHGACLNAEQDTIEAVTLPVVDNGSELKISGLKISSVSTTEEKNAADRDACRLKLMNEPLSAARGSGEGCVSDEEKITLSGDMLEDDSYGSDYESDENHAVTIPVDTERYVEDDDYEDGEVREPLDPSIAEDNICEVREVEHPDCSNFVNKQMEKGMVSGECAAQYQVVVENDNKTAIQSEINSEEAMDIEMHERSGKVVDKNVCLQESLEDEKSNIAAHGNKPVNVLQKKPLDLLEGKNVSEALVTESLSNQATDGSNGVDVQCADEVVKTTDTVKQTDLELPNMEVSANANDASKDVNNGGNPGRIIDLSRATSSSSPGKTRSIPGRSQLASRAGRDVLPDTLDGDKLHRGRDDVYIDGPPKFSRERHQDISPRNSRLNFGRGRGRLNSRLDSVRSEWESDREFSGEFYNGPNQFRGPRPKYASAFANTDMEYNNVAPDGAYVGNGRLGRKPLNDGSYIAPRRRSPGGRDGIQIGHRNPRNISPNRCIGDGPDLVGVRHNDKFLRGLPEDNMDAMFTRPQTFEGMDGRFTRGNRNFSSMPRRGLPRMRSKSPIRSRSRSPGPWSSPRRRSPRRRSPEGFGGHPELNHRRSPFYRVDRMRSPDRPVFPAERVVRRHGSPSFMSRPSNDMRDIDSARDHGHPRSGRILIRNRRFDVVDPRDRTDNDDDYFGGPMHSGRLLELSGEGNGEDRRRFGERRGPVRSFRPPYNNNVGENFHLNAEEGPRHYRFCSDDSDFHERGGNNIRERDFDRRMKGRPGNVPPPRRTRNMDEQEENFRHGGGGGGGGQVWSDDSFDDISRVKRKRF >Vigun07g289800.1.v1.2 pep primary_assembly:ASM411807v1:7:40190606:40197121:-1 gene:Vigun07g289800.v1.2 transcript:Vigun07g289800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGHEETGVKSYAGQFSGLIAGVPIKKRRFPSFQPSSSPVSEEPCSLSEETELQRKENSSTSQGSTLSNASIAGAPIKKRRFPFIQPSSSSLEEASRSEESDALRKEHSSTSPGSTLSTSSSSLSDVNGIPALEDRKASTDVSNATTGQSNSCFLIPKIEEPNLGTQSCTLDVMYSKETVIPSESNKKLESQIIKGNPELLLAAKEGLALSIGTEVSKQNVKDICRKESPLVSGSSSLSLSLEEHHFPAVENVENDKSRLKIEKTESVSLELSLSKEDCSSHSLNTDAKTDGNTTPVHSNRANWDLNTTMDAWEESGTEAGLGKTCVDGLKITENSVVEKQLMCSTGMTRPTNVLSVKPVCEESQKKDFTFPSAMCGQQFKFGDSSNLSLTPFLQKYTEEPSKLSVKLNSGSSIPNVSLSSVASAAGDANTSSFRLVKPEPFDENLKKELKEANTSTAGSLDSVTVKQELFQPIVVKCSKLSNVSNLMKADAVSVKQELVHTGNQEKSNAAVSKTDRLDKEVQQGLDDSSPSLAMSIVPDTTPISTDAAGPQMKPGCTAELSASENTVSQIENISPTDGDNVAKVCHGACLNAEQDTIEAVTLPVVDNGSELKISGLKISSVSTTEEKNAADRDACRLKLMNEPLSAARGSGEGCVSDEEKITLSGDMLEDDSYGSDYESDENHAVTIPVDTERYVEDDDYEDGEVREPLDPSIAEDNICEVREVEHPDCSNFVNKQMEKGMVSGECAAQYQVVVENDNKTAIQSEINSEEAMDIEMHERSGKVVDKNVCLQESLEDEKSNIAAHGNKPVNVLQKKPLDLLEGKNVSEALVTESLSNQATDGSNGVDVQCADEVVKTTDTVKQTDLELPNMEVSANANDASKDVNNGGNPGRIIDLSRATSSSSPGKTRSIPGRSQLASRAGRDVLPDTLDGDKLHRGRDDVYIDGPPKFSRERHQDISPRNSRLNFGRGRGRLNSRLDSVRSEWESDREFSGEFYNGPNQFRGPRPKYASAFANTDMEYNNVAPDGAYVGNGRLGRKPLNDGSYIAPRRRSPGGRDGIQIGHRNPRNISPNRCIGDGPDLVGVRHNDKFLRGLPEDNMDAMFTRPQTFEGMDGRFTRGNRNFSSMPRRGLPRMRSKSPIRSRSRSPGPWSSPRRRSPRRRSPEGFGGHPELNHRRSPFYRVDRMRSPDRPVFPAERVVRRHGSPSFMSRPSNDMRDIDSARDHGHPRSGRILIRNRRFDVVDPRDRTDNDDDYFGGPMHSGRLLELSGEGNGEDRRRFGERRGPVRSFRPPYNNNVGENFHLNAEEGPRHYRFCSDDSDFHERGGNNIRERDFDRRMKGRPGNVPPPRRTRNMDEQEENFRHGGGGGGGGQVWSDDSFDDISRVKRKRF >Vigun01g039500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5421031:5422283:-1 gene:Vigun01g039500.v1.2 transcript:Vigun01g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIEKLAKHCFFKLENHHHPITHPQPEEFSSSSSLLAFKSHVSKSIDQLALDLKARSETLSLTWFERCLSLLPLINKAFATLVADMNYPMSEWEVGSTEGYLSYTLSLLELFNSISSCLSHLGQARISLAHGLTLVENSPSLARKHLKGIQFQQGGFNTNFGKDLDETRVFSEKERSVHEAVKEMKSVGFWVCGVLLTCLYGDGKSYMELRKVAGGFDSSLVATLDFQIGEQFVEKVPIFSEIKEVNDAVADLVAADEVRRHYAAKELQTKLQVLEKLSDSISKEVDNLFSNVMTQRSKLIDGFRLQQQPQKSSF >Vigun03g249900.2.v1.2 pep primary_assembly:ASM411807v1:3:41580384:41582145:-1 gene:Vigun03g249900.v1.2 transcript:Vigun03g249900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKADTKAADNRLKRKGAGAGRKQSKKAAKDPNKPKRPPSAFFVFMSEFREQFKKEHPNNKSVAVVGKAGGDKWKSLSDAEKAPFIARAEKKKEEYEKSISAYNKKLVNVFSYIWLYYCCF >Vigun03g249900.1.v1.2 pep primary_assembly:ASM411807v1:3:41580384:41582145:-1 gene:Vigun03g249900.v1.2 transcript:Vigun03g249900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKADTKAADNRLKRKGAGAGRKQSKKAAKDPNKPKRPPSAFFVFMSEFREQFKKEHPNNKSVAVVGKAGGDKWKSLSDAEKAPFIARAEKKKEEYEKSISAYNKKLEGKHSEEEESDKSKSEVNDEEEDEEDDEDDE >Vigun05g035000.1.v1.2 pep primary_assembly:ASM411807v1:5:2862958:2864221:1 gene:Vigun05g035000.v1.2 transcript:Vigun05g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEYCSDCKTYTPSVYDRRAGDTICSECGLVLESRSVDQTPPSTFFHRKLEDEDNDEYVDVDDNDKHYRTRFHAKDLLACGARLGTYIARHPSSNVENKNIVKASDNYWRMTKDKRECNIVAGLKTMEDMASSLGLVCTITDHAKELYIRAKGGKIFWSGCGRDPKASVVSCLYLACQEEGSARTLREFYPVAGGVSVKEIHRTIEMFKNHYEILSNDDSATRANNVAKRFCCYLNLDNHVMNAVKEVLQKLQQFDVRRKPASILAATIYMVTQLSDNKLNFRGLDVEEVAKACTVSVSTLKKAYADLHPLASYVIPIWYASAQDIKRLRTP >Vigun05g050800.5.v1.2 pep primary_assembly:ASM411807v1:5:4355319:4358147:1 gene:Vigun05g050800.v1.2 transcript:Vigun05g050800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDVFISFRGTDRRSEKCRYLIECLREEIIENGKRLLKLLEERKPWYTYEPLMERVHCPWKNNAKETRCFEDNLFFEAENLCSRMEGIIKAPLCTDNVEYHSTTQSHTKWSTTYELLSLSSFCYMDMKNQKVNQRNTSENELLEGINEPYDGCDLNNNSELFSHEKRKRIVHNVSSTVMASTVFLWVAMEICSQLSIIQLQPKSGTILFLSTFLKLFGFMAYISATAAMIVNGAPFSSMQSIMLMFWAIFMLVNSAKSLTEVYLPILGIIFTGSLCARAK >Vigun05g050800.2.v1.2 pep primary_assembly:ASM411807v1:5:4355319:4358147:1 gene:Vigun05g050800.v1.2 transcript:Vigun05g050800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDVFISFRGTDRRSEKCRYLIECLREEIIENGKRLLKLLEERKPWYTYEPLMERVHCPWKNNAKETRCFEDNLFFEAENLCSRMEGIIKAPLCTDNVEYHSTTQSHTKWSTTYELLSLSSFCYMDMKNQKVNQRNTSENELLEGINEPYDGNNTLHNTIALNTSQLHYNFTGCDLNNNSELFSHEKRKRIVHNVSSTVMASTVFLWVAMEICSQLSIIQLQPKSGTILFLSTFLKLFGFMAYISATAAMIVNGAPFSSMQSIMLMFWAIFMLVNSAKSLTEVYLPILGIIFTGSLCARAK >Vigun05g050800.3.v1.2 pep primary_assembly:ASM411807v1:5:4355319:4358147:1 gene:Vigun05g050800.v1.2 transcript:Vigun05g050800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDVFISFRGTDRRSEKCRYLIECLREEIIENGKRLLKLLEERKPWYTYEPLMERVHCPWKNNAKETRCFEDNLFFEAENLCSRMEGIIKAPLCTDNVEYHSTTQSHTKWSTTYELLSLSSFCYMDMKNQKVNQRNTSENELLEGINEPYDGNNTLHNTIALNTSQLHYNFTGCDLNNNSELFSHEKRKRIVHNVSSTVMASTVFLWVAMEICSQLSIIQLQPKSGTILFLSTFLKLFGFMAYISATAAMIVNGAPFSSMQSIMLMFWAIFMLVNSAKSLTEVYLPILGIIFTGSLCARAK >Vigun05g050800.1.v1.2 pep primary_assembly:ASM411807v1:5:4355319:4358147:1 gene:Vigun05g050800.v1.2 transcript:Vigun05g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDVFISFRGTDRRSEKCRYLIECLREEIIENGKRLLKLLEERKPWYTYEPLMERVHCPWKNNAKETRCFEDNLFFEAENLCSRMEGIIKAPLCTDNVEYHSTTQSHTKWSTTYELLSLSSFCYMDMKNQKVNQRNTSENELLEGINEPYDGNNTLHNTIALNTSQLHYNFTGCDLNNNSELFSHEKRKRIVHNVSSTVMASTVFLWVAMEICSQLSIIQLQPKSGTILFLSTFLKLFGFMAYISATAAMIVNGAPFSSMQSIMLMFWAIFMLVNSAKSLTEVYLPILGIIFTGSLCARAK >Vigun05g050800.4.v1.2 pep primary_assembly:ASM411807v1:5:4355319:4358147:1 gene:Vigun05g050800.v1.2 transcript:Vigun05g050800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDVFISFRGTDRRSEKCRYLIECLREEIIENGKRLLKLLEERKPWYTYEPLMERVHCPWKNNAKETRCFEDNLFFEAENLCSRMEGIIKAPLCTDNVEYHSTTQSHTKWSTTYELLSLSSFCYMDMKNQKVNQRNTSENELLEGINEPYDGNNTLHNTIALNTSQLHYNFTGCDLNNNSELFSHEKRKRIVHNVSSTVMASTVFLWVAMEICSQLSIIQLQPKSGTILFLSTFLKLFGFMAYISATAAMIVNGAPFSSMQSIMLMFWAIFMLVNSAKSLTEVYLPILGIIFTGSLCARAK >Vigun09g203000.1.v1.2 pep primary_assembly:ASM411807v1:9:37766306:37767042:-1 gene:Vigun09g203000.v1.2 transcript:Vigun09g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELITTEVESENPLSSLIYEVSNEAQEIMENIFKTITEINHSSAVIEEEIEKCKGSALERKRALNEDRDNFQKAAYAVLDMLNRE >Vigun01g039400.2.v1.2 pep primary_assembly:ASM411807v1:1:5413096:5420768:1 gene:Vigun01g039400.v1.2 transcript:Vigun01g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNASSRQVTFSKRRTGLFKKAQELSILCDSEVAVIVFSNTGKLFEFSSSGMMRTISRYNKCLGSTDAAAVAEIKAQKEDSKMVDILREEISKLEIKQLQLLGKDLTGLGIKELQNIEQQLSEGLLSVKEELLMEQLEQSRVQEQRVMLENETLRRQVEELRCLFPQSEAMVPFQYQRPERKNTFVNTGARCLSLANNCGNEKGSSDTLFHLGLPAGVQEEGPQERNLFK >Vigun01g039400.1.v1.2 pep primary_assembly:ASM411807v1:1:5413096:5420768:1 gene:Vigun01g039400.v1.2 transcript:Vigun01g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNASSRQVTFSKRRTGLFKKAQELSILCDSEVAVIVFSNTGKLFEFSSSGMMRTISRYNKCLGSTDAAAVAEIKAQKEDSKMVDILREEISKLEIKQLQLLGKDLTGLGIKELQNIEQQLSEGLLSVKARKEELLMEQLEQSRVQEQRVMLENETLRRQVEELRCLFPQSEAMVPFQYQRPERKNTFVNTGARCLSLANNCGNEKGSSDTLFHLGLPAGVQEEGPQERNLFK >Vigun10g038600.1.v1.2 pep primary_assembly:ASM411807v1:10:5291916:5295797:1 gene:Vigun10g038600.v1.2 transcript:Vigun10g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSFQHQRMYDVLINFAGEDIQRKFVSHLDSVLTSVGISTFLHHQNAVKEMYTEEPILNMCQVVIVVFTKTYSQSAWCLHQLQQIIQWHETYRRHVLPVYYEIQPSDVRLQKGDFGKAFTETAHQTFSGKQLEHGMSRWRYALTKAANFFGWDESNHRSDAELVDAIVKSVLNLSVLSATNFPVGLQSHVEDVIRTIKNKSTEVCTIGICGMEGSGKTTIAKAIYNHIHGTFKEKSFIEDIARVSRTKGYAHLQGQLLSDILKSKVEIHSVEMGRRMLQQRLLGKRVLIVLDNIDEKAPLLDLWRNHAWFNKGTVIIITTAHEHLLRTRIAQDDSIFRINVMNENESLELLSWHAFREAKPKAEYDDLAKEVVAYCGGLPLALEVIGSSLFERTKEEWRWKVSLLEKIPMYDVQKKLKTSFGGLHNLMEIDLFLDVCCSFVGKDRAYVTKILKSCGVDADNGIRFLIDRNLIKAKRNNKLTMHPLLQDMGREIVHKFIQEEVWMKSPRFDHSYVLTNNTGKKSSRELPVKLLSSIREPSGLLKLAQNSECRSKKLRWMSLQGFSSKNLSIDFDLNDAIAIDLKRSLLRLVWKQPQFLMWLKVLNLSHSKNLTQTPDFIGLPRLERLILKDCPRLHELHHSIGFLRNLILLNLKDCTGLRYLPKEIRKLKSLKSLILSGCLKILLLEKDMMQMKSLITLVAENKPMKQVPFSIVNSESIGYISLRRFEGLLRNLFPSIIRSWMSPTMNPISYIHSMCMDIDNSWDDIEPLHGSLKNLRSVLVQCDTEFQLSKQVQNILVEYFASIIEPEISKQQLRCSLIGVGAYDEFFNVFSDNIPKDLAYSEWCDVSLPVVNETYWLAHMGEGHSVSFTVPENRVMKGMALCVFYLSTSEIVAAECLRSVLIVNYTKCTLHIHNNDTIISFNDIDWQGIKSNLGSGDKVEIFLTFAHGLLVKNTIFYSICAE >Vigun10g038600.4.v1.2 pep primary_assembly:ASM411807v1:10:5291916:5295797:1 gene:Vigun10g038600.v1.2 transcript:Vigun10g038600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGKTTIAKAIYNHIHGTFKEKSFIEDIARVSRTKGYAHLQGQLLSDILKSKVEIHSVEMGRRMLQQRLLGKRVLIVLDNIDEKAPLLDLWRNHAWFNKGTVIIITTAHEHLLRTRIAQDDSIFRINVMNENESLELLSWHAFREAKPKAEYDDLAKEVVAYCGGLPLALEVIGSSLFERTKEEWRWKVSLLEKIPMYDVQKKLKTSFGGLHNLMEIDLFLDVCCSFVGKDRAYVTKILKSCGVDADNGIRFLIDRNLIKAKRNNKLTMHPLLQDMGREIVHKFIQEEVWMKSPRFDHSYVLTNNTGKKSSRELPVKLLSSIREPSGLLKLAQNSECRSKKLRWMSLQGFSSKNLSIDFDLNDAIAIDLKRSLLRLVWKQPQFLMWLKVLNLSHSKNLTQTPDFIGLPRLERLILKDCPRLHELHHSIGFLRNLILLNLKDCTGLRYLPKEIRKLKSLKSLILSGCLKILLLEKDMMQMKSLITLVAENKPMKQVPFSIVNSESIGYISLRRFEGLLRNLFPSIIRSWMSPTMNPISYIHSMCMDIDNSWDDIEPLHGSLKNLRSVLVQCDTEFQLSKQVQNILVEYFASIIEPEISKQQLRCSLIGVGAYDEFFNVFSDNIPKDLAYSEWCDVSLPVVNETYWLAHMGEGHSVSFTVPENRVMKGMALCVFYLSTSEIVAAECLRSVLIVNYTKCTLHIHNNDTIISFNDIDWQGIKSNLGSGDKVEIFLTFAHGLLVKNTIFYSICAE >Vigun10g038600.3.v1.2 pep primary_assembly:ASM411807v1:10:5291916:5295798:1 gene:Vigun10g038600.v1.2 transcript:Vigun10g038600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGKTTIAKAIYNHIHGTFKEKSFIEDIARVSRTKGYAHLQGQLLSDILKSKVEIHSVEMGRRMLQQRLLGKRVLIVLDNIDEKAPLLDLWRNHAWFNKGTVIIITTAHEHLLRTRIAQDDSIFRINVMNENESLELLSWHAFREAKPKAEYDDLAKEVVAYCGGLPLALEVIGSSLFERTKEEWRWKVSLLEKIPIAYVTKILKSCGVDADNGIRFLIDRNLIKAKRNNKLTMHPLLQDMGREIVHKFIQEEVWMKSPRFDHSYVLTNNTGKKSSRELPVKLLSSIREPSGLLKLAQNSECRSKKLRWMSLQGFSSKNLSIDFDLNDAIAIDLKRSLLRLVWKQPQFLMWLKVLNLSHSKNLTQTPDFIGLPRLERLILKDCPRLHELHHSIGFLRNLILLNLKDCTGLRYLPKEIRKLKSLKSLILSGCLKILLLEKDMMQMKSLITLVAENKPMKQVPFSIVNSESIGYISLRRFEGLLRNLFPSIIRSWMSPTMNPISYIHSMCMDIDNSWDDIEPLHGSLKNLRSVLVQCDTEFQLSKQVQNILVEYFASIIEPEISKQQLRCSLIGVGAYDEFFNVFSDNIPKDLAYSEWCDVSLPVVNETYWLAHMGEGHSVSFTVPENRVMKGMALCVFYLSTSEIVAAECLRSVLIVNYTKCTLHIHNNDTIISFNDIDWQGIKSNLGSGDKVEIFLTFAHGLLVKNTIFYSICAE >Vigun10g038600.2.v1.2 pep primary_assembly:ASM411807v1:10:5291916:5295798:1 gene:Vigun10g038600.v1.2 transcript:Vigun10g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSFQHQRMYDVLINFAGEDIQRKFVSHLDSVLTSVGISTFLHHQNAVKEMYTEEPILNMCQVVIVVFTKTYSQSAWCLHQLQQIIQWHETYRRHVLPVYYEIQPSDVRLQKGDFGKAFTETAHQTFSGKQLEHGMSRWRYALTKAANFFGWDESNHRSDAELVDAIVKSVLNLSVLSATNFPVGLQSHVEDVIRTIKNKSTEVCTIGICGMEGSGKTTIAKAIYNHIHGTFKEKSFIEDIARVSRTKGYAHLQGQLLSDILKSKVEIHSVEMGRRMLQQRLLGKRVLIVLDNIDEKAPLLDLWRNHAWFNKGTVIIITTAHEHLLRTRIAQDDSIFRINVMNENESLELLSWHAFREAKPKAEYDDLAKEVVAYCGGLPLALEVIGSSLFERTKEEWRWKVSLLEKIPIAYVTKILKSCGVDADNGIRFLIDRNLIKAKRNNKLTMHPLLQDMGREIVHKFIQEEVWMKSPRFDHSYVLTNNTGKKSSRELPVKLLSSIREPSGLLKLAQNSECRSKKLRWMSLQGFSSKNLSIDFDLNDAIAIDLKRSLLRLVWKQPQFLMWLKVLNLSHSKNLTQTPDFIGLPRLERLILKDCPRLHELHHSIGFLRNLILLNLKDCTGLRYLPKEIRKLKSLKSLILSGCLKILLLEKDMMQMKSLITLVAENKPMKQVPFSIVNSESIGYISLRRFEGLLRNLFPSIIRSWMSPTMNPISYIHSMCMDIDNSWDDIEPLHGSLKNLRSVLVQCDTEFQLSKQVQNILVEYFASIIEPEISKQQLRCSLIGVGAYDEFFNVFSDNIPKDLAYSEWCDVSLPVVNETYWLAHMGEGHSVSFTVPENRVMKGMALCVFYLSTSEIVAAECLRSVLIVNYTKCTLHIHNNDTIISFNDIDWQGIKSNLGSGDKVEIFLTFAHGLLVKNTIFYSICAE >Vigun01g037300.1.v1.2 pep primary_assembly:ASM411807v1:1:4926597:4936183:-1 gene:Vigun01g037300.v1.2 transcript:Vigun01g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGQVACVTGASGYIASWIVKFLLHRGYTVKATVRDPNDPKKVNHLLKLDGAKERLHLCKANLLEEGSFDSVVQGCHAVFHTASPFYHDVKDPQAELLDPAVKGTLNVLRSCVNSPVLKRVVLTSSIAAVAYNGKPRTPDVVVDETWFSDPDFCRESQMWYTLSKTLAEDAAWKFVKENNIDMVTINPAMVVGPLLQPVLNTSAASILNVVNGVQTFQNTSFGWINVKDVANAHILAYESSSANGRYCLVERVAHYSDVVKILRNLYPALQLPEKCADNKPYAPIYQVSKEKAKSLGIEFIPLEVSLKETVESLKEKKFIKFNLSP >Vigun01g037300.2.v1.2 pep primary_assembly:ASM411807v1:1:4926597:4936183:-1 gene:Vigun01g037300.v1.2 transcript:Vigun01g037300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGQVACVTGASGYIASWIVKFLLHRGYTVKATVRDPNDPKKVNHLLKLDGAKERLHLCKANLLEEGSFDSVVQGCHAVFHTASPFYHDVKDPQAELLDPAVKGTLNVLRSCVNSPVLKRVVLTSSIAAVAYNGKPRTPDVVVDETWFSDPDFCRESQNTSFGWINVKDVANAHILAYESSSANGRYCLVERVAHYSDVVKILRNLYPALQLPEKCADNKPYAPIYQVSKEKAKSLGIEFIPLEVSLKETVESLKEKKFIKFNLSP >Vigun01g003500.1.v1.2 pep primary_assembly:ASM411807v1:1:385948:389000:-1 gene:Vigun01g003500.v1.2 transcript:Vigun01g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGSTCEIVESREEIISEIKMVDKPESSCAHKSGKGHSSSRLQKNALKRPIKVTSSQASGIGISEPVSLKQALRGEGNLVEISLVPEISTAPSNKLPGVTISSSIDHQMVIGFSSQDQIVPLSLEVEGDKPVVDTGTPILANLSPVASASEVVPEARRDPVSLNKASLSSSMPVKGQEANLPLASWDKSTSSNTCLSKPIFNNLNFLKKSLNWKHGVKENEKLSPSCSNHSIEVNSISVGTDSCKPVDEKSRSKEKGEFSQSSKSSIGEYSSSTSISEESSLSGSSRGGHRPHMSKHMRWEAIRVHFKLLKRLGSGDIGTVYLAELIGTSCLFALKVMDNEFLSSRKKMFRAQTEREILHMLDHPFLPTLFLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPMLVKSSSPDTDATKKSSSPCSEASCIHPFCLQPDWQMKSDLASHVGPLPQLVVEPTSARSNSFGEGHGSAVDWWTFGVLLFELLYGKTPFKGQSNEDTLANVVFHARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAAPPELPKFRDFGTSATSMAANKENANDLEDIEDCEEFELF >Vigun03g205000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33160243:33160500:-1 gene:Vigun03g205000.v1.2 transcript:Vigun03g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLSSLTTTITIITIIIIFLFLFFLSPPNPTPSSNTHYCDSFPHTRPRSLCIGLQRMHHQPLLDPRFGSEKRRVPTGPNPLHN >Vigun07g002800.1.v1.2 pep primary_assembly:ASM411807v1:7:229446:231167:1 gene:Vigun07g002800.v1.2 transcript:Vigun07g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLSGAQNPKLITGLASTAVNLNDKCLFNKGVLSRNKLRVRKNMSLRCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPAQLFSRKLRVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKKKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLRIGGKACVIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFKKAGFKDVELKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLKLGPKVEDVKKPVNPLVFLYRFILGVIASTYFVLVPIYMWIKDKIVPKGIPI >VigunL031600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:200004:200495:1 gene:VigunL031600.v1.2 transcript:VigunL031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun07g152000.2.v1.2 pep primary_assembly:ASM411807v1:7:26253811:26269954:-1 gene:Vigun07g152000.v1.2 transcript:Vigun07g152000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIVNIVSFIFFHLPLSRRCSDPFSNISFPRSSSSVLTQHLFSVLMAPKRRSSKKGESRMDAALDAMHPYGFDKQRVRRTVRSLLEVYGGNDGWVFIEESSYTLLVETLLKASPQVGLIEANPGDGSSEVTPASEVTAVGCSNNALQACCKAQTSDDTPLTNNVMGTGTVTSETGSQLPIKSVDTVSSASGIGSVHSFKSVDTSSVNRRSSNELLIKAASETPIKAVTISAEKKSECQPAGNLALRENHGPRIPQLNHKRRRPCYGWISSDEENEDLIEATTSRHQGGMSRRKFQVADNMI >Vigun03g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3821647:3822400:1 gene:Vigun03g048000.v1.2 transcript:Vigun03g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLFQIPSRGRIAEFGNMQTSGASILVHAIIYFGLITIFLIAIGVHIQTG >Vigun08g135600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30725308:30726153:-1 gene:Vigun08g135600.v1.2 transcript:Vigun08g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSTLNPHPINPATPNSNFDDNPPCKTKNKTQRRLLRIIVTDHDATDSDSDDEQRQNNPTTRKLKREITQISMHLPLPDNSLSSSSYSSGLASASTCSSEQVSKCRRPKKPPPPSEAARRRSKFRGVRQRPWGRWAAEIRDPTRRKRLWLGTFDTAEEAATEYDKAAVKLKGPNAVTNFPLTTPPPAAESPFATIDSLSTDGGASYSDLVASPTSVLTYDCDSTPFDSFHYGDVDALGFHIDAPLSLADVDVALTCHPGKEEEEAFDEFDLDEFMKWPY >Vigun03g421500.1.v1.2 pep primary_assembly:ASM411807v1:3:62716200:62718343:-1 gene:Vigun03g421500.v1.2 transcript:Vigun03g421500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELIHRTQTPDFAHRNSDSAPESNCPPKSTSRIGYMLRERVPFLLVGVAIAAAFFHVLPSPSTVAAPHDSLLETELALPTRRVLMEEHTEKKGRLPIGVKGRKQKRIVVTGGAGFVGSHLVDRLMERGHSVIVVDNFFTGRKENVLHHMGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGAGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDISKAKELLGWQPSVSLREGLPLMVSDFRQRLFADSKLTGGKSVV >Vigun01g055600.1.v1.2 pep primary_assembly:ASM411807v1:1:10841135:10845473:1 gene:Vigun01g055600.v1.2 transcript:Vigun01g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRRVRKSVMILLLYLTFSAISLTQCDADRSMRVLRGPRDKRNSSNNKISKKLKTVKNLVENPTVFSSNVEPYSLSSPFSLPPYESLAPIPLPENSPPFCVFPPPGIPSTTMPTPTGFQPTTPSPPDFYTSPVIPTQNPPPNPLIITPGPPTITPGSPEPVLNPPIIIPSPPDTSMGPPYFEPSPPYFEPTPPFIIPSPTGGITPGPPIIIPSPTEGTLPSPPSTVPSPTEGTLPSPGVFQPPVVYPPPSVPPPPNYAPRTTLWCVAKPSVPDPIIQEAMNYACWSGADCTSIQPNGPCYEPNTVYAHASYAFNSYWQRTKGAGGNCEFGGTAMLVAVDPSFDGCHFIYN >Vigun01g055600.2.v1.2 pep primary_assembly:ASM411807v1:1:10841135:10845473:1 gene:Vigun01g055600.v1.2 transcript:Vigun01g055600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINGSKSTLFPCTDADRSMRVLRGPRDKRNSSNNKISKKLKTVKNLVENPTVFSSNVEPYSLSSPFSLPPYESLAPIPLPENSPPFCVFPPPGIPSTTMPTPTGFQPTTPSPPDFYTSPVIPTQNPPPNPLIITPGPPTITPGSPEPVLNPPIIIPSPPDTSMGPPYFEPSPPYFEPTPPFIIPSPTGGITPGPPIIIPSPTEGTLPSPPSTVPSPTEGTLPSPGVFQPPVVYPPPSVPPPPNYAPRTTLWCVAKPSVPDPIIQEAMNYACWSGADCTSIQPNGPCYEPNTVYAHASYAFNSYWQRTKGAGGNCEFGGTAMLVAVDPSFDGCHFIYN >Vigun03g362600.1.v1.2 pep primary_assembly:ASM411807v1:3:56622952:56625500:-1 gene:Vigun03g362600.v1.2 transcript:Vigun03g362600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNELSHSDDHGLLHLPPALDIADRSVKLLNTHPTMTNHHHGLGRSIFLKQTRHYYGHQYFRRNSTNHANASSSRAKEYREKVFSRPERIPSSSLGMEEMSSNNKAEMVCGICEKLLSQKINFLGNSISSSELSVVAVLVCGHVYHANCLEQRTRFEDVRDPPCPVCAGLLLQDHHNDSSKIM >Vigun03g362600.2.v1.2 pep primary_assembly:ASM411807v1:3:56622953:56625499:-1 gene:Vigun03g362600.v1.2 transcript:Vigun03g362600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNELSHSDDHGLLHLPPALDIADRSVKLLNTHPTMTNHHHGLGRSIFLKQTRHYYGHQYFRRNSTNHANASSSRAKEYREKVFSRPERIPSSSLGMEEMSSNNKAEMVCGICEKLLSQKINFLGNSISSSELSVVAVLVCGHVYHANCLEQRTRFEDVRDPPCPVCAGLLLQDHHNDSSKIM >Vigun01g155500.1.v1.2 pep primary_assembly:ASM411807v1:1:33812636:33814870:1 gene:Vigun01g155500.v1.2 transcript:Vigun01g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVVNVRPPALTSNSEPLPFFDGTTRLYICYLCPYAQRAWITRNCKGLQDKIELVPIDLKNRPSWYKEKVYTLNKVPSLEHNGKVLGESLDLIRYIDANFEGPSLYPSDPAKREFGEQMISHVDTFTSGIYSSYKGDPVQQTSAAFDYLENALGKFDDGPFFLGQFSLVDIAYVSFIERIQLVFSEIFEHDITAGRPKLATWIQEANKIEGYKQTKVDKEKYLEAFKIKFLA >Vigun01g101666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26916000:26916347:-1 gene:Vigun01g101666.v1.2 transcript:Vigun01g101666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKEIEARGNELQNPETIFTISSNILHLLPLHTTSRGSIFSSQFSKKGITQSHCLSPSLFTSHTATLLSSFASSPANNFLVFLYPNSTRSKIFLRASYTGFGSIKPPYDMLP >Vigun03g221000.1.v1.2 pep primary_assembly:ASM411807v1:3:36751995:36756587:1 gene:Vigun03g221000.v1.2 transcript:Vigun03g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCLHCNCNIHTTLQLIIHVKYSLCKFFLTFTMNLSTLPLLLFLFHLTTHFVSHEAASVLDGLKSYSQFNLPHSVFGSESVAFDCHGIGPYVGVSDGRILKWHATNKQWIDFAVTSPHRDKKVCDGLTDESMESMCGRPLGLKFNSVTCDLYIADAYFGLLMVGPGGGVAKQLATSAEGVPFRFTNALDIHPKTGEVYFTDSSILFQRRVYMSIILSGDRTGRLLKYVPSSGRVYVLVKGLAFPNGVALSRDNSFILVAESTTFKILKMALSDGGGGNNIETFAQVPRSPDNIKRNAKGEFWVALNSGRGRINGLEEGTEVETTVPWTTDPVAIKFDGEGNVVEVLDGRYGQQLNSVSEVEEHDGTLWIGSAVQPYVAVIKP >Vigun10g077140.1.v1.2 pep primary_assembly:ASM411807v1:10:20911533:20912769:1 gene:Vigun10g077140.v1.2 transcript:Vigun10g077140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSVTARKTLGRFTTYHFVHNQEFGHVDPILFAIFGEELGPVWQLEDIEGNQHQLTFNMDVNHPVLTNGWYSLRVFYKLQHIHQIVFRYVGNSTFHIIVFSNMSTISTGAKFLSNLIRLRKKHLFRVKLSKSQCKASHLDLQSDFADYTRKRRLRRLEL >VigunL082666.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:44790:46458:-1 gene:VigunL082666.v1.2 transcript:VigunL082666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQETVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMSLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGISMNPIIEIAQDTLF >Vigun05g206166.1.v1.2 pep primary_assembly:ASM411807v1:5:39513164:39515821:1 gene:Vigun05g206166.v1.2 transcript:Vigun05g206166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKSGLQWFWPCFGGRSSSSIVHSQGPTSSSSYQNQDYRYDVFISFRGPDTRNSFVDHLCSHLLQKGLFVLKDDHKLQKGESISRQLLQAIQQSRLSIIVFSKNYASSTWCLDEMAAIASCKQRSNHIVFPIFYDVDPSHVRHQNGVYKNDFLSHRWKFGKDRDKVPGWKRAMTDFANSAGCDIRDKPEFEQIQIIVQTVIKKLGHKFSWSVNDLIGIQPRVQALEDKLRLGSNSDDVQVLGIWGMNGIGKTTHAAVLYDKISHRFDASCFIEDVSKLYREGGHSAVQKEIIYQTLRENIDMSNPIEISRIVNNRLHSIKVLIVLDNVDELEQLENLAIKPKLLLKGSRLVITTTDEHILKVCEGECLYTKFRY >Vigun06g059033.1.v1.2 pep primary_assembly:ASM411807v1:6:18572826:18573761:-1 gene:Vigun06g059033.v1.2 transcript:Vigun06g059033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEAVGFVDRAFTILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTKLGTYMNFTISTLFILDMSVILASTSLFFPVNANLCLLHDLPADFGNYLRQGRFKYIFPYGPRKTVKWKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun07g232033.1.v1.2 pep primary_assembly:ASM411807v1:7:35394759:35398784:1 gene:Vigun07g232033.v1.2 transcript:Vigun07g232033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRFLEELWSARPGRNMRAKVLFDWLMVASWVRTGMGTVASEVGWVSGSARRRVMVPSELGRILRWWKGSTWPDTNILLLPYLIVDFNV >Vigun04g179700.1.v1.2 pep primary_assembly:ASM411807v1:4:40345706:40349226:-1 gene:Vigun04g179700.v1.2 transcript:Vigun04g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPNYMNSTTIGLLSLILFCLFLYNPFKYFQGKEAPTVAGAWPILGHLPLLRGPNTPHRTLAALAEQYGPIFTIKLGSKKALVINNWEIAKECFTTNDMVVSSRPRLVAIELMGYNHAMFGFAPYGPYWRELRKITTLEILTPRRVEQLQHVRVSELQSSIKELYNLWCSKKGESGYASVELKQWLSHLTYNMVLRMVVGKRFFGCENVKDEKAQRCVRAVEEFMRLVGVFAVGDAIPWLRWFDFGGHEKAMKETAKDLDSVLGEELEEHRQKKKKDLGEKVDEFQDFMDVMISILDGRTIDGVGADTMIKSTVLGVIAGGSDTSSTVLTWAMYSILRNPLVLEKVQAELDIHVGKERCVCESDISKLTYIHAVVKETLRLYPPAPLLPLREFTDNCTISGYTITKGTRLITNLWKIQTDSKVWEDPLEFKPERFLTTHKDIDIKGHHFELLPFGGGRRICPGISFGLQMVHFILATFLHSFEILSSWPNPTDMTETSESSTTRAIPIKILIKPRLSFSYYENDTSLY >Vigun02g105000.3.v1.2 pep primary_assembly:ASM411807v1:2:25955253:25957855:-1 gene:Vigun02g105000.v1.2 transcript:Vigun02g105000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKRNAEKNQKAKGSQLEARAVGLKVICPICKVQLANQNQLVDHYASKHPKEKPPAESS >Vigun02g105000.2.v1.2 pep primary_assembly:ASM411807v1:2:25955253:25957855:-1 gene:Vigun02g105000.v1.2 transcript:Vigun02g105000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKRNAEKNQKAKGSQLEARAVGLKVICPICKVQLANQNQLVDHYASKHPKEKPPAESS >Vigun02g105000.1.v1.2 pep primary_assembly:ASM411807v1:2:25955253:25957855:-1 gene:Vigun02g105000.v1.2 transcript:Vigun02g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQKRNAEKNQKAKGSQLEARAVGLKVICPICKVQLANQNQLVDHYASKHPKEKPPAESS >Vigun11g118400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32464200:32464916:1 gene:Vigun11g118400.v1.2 transcript:Vigun11g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNFHPKWVSVSALLLCILLHFSVATLVLGDQKLDKQIFGDGLGRRGAGGGGGFGGGGGGGLGGGSGRGGGFGAGGGVGGGAGGGIGGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGSIGGGAGGGGGGGGGGGGGGLGGGSGHGGGFGGGVGVGGGVGGGIGGGGGGGSGGGGGIGGGSGQGGGFGAGGGVGGGGIGGGAGGGGGGGRGGGGSGHGGGFGVGVGGGGGKH >Vigun07g177500.1.v1.2 pep primary_assembly:ASM411807v1:7:29291223:29293776:-1 gene:Vigun07g177500.v1.2 transcript:Vigun07g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGKRETLKLGVRVVDHWYVQSRDSTLQLEMILMDENADKIHCIVRREEFDLWDSKLIEGETYIMHNFKILKNEGRYRVCEHPYKLLFIGATSVKLQAIAKLPMKAYNFKSIKDIVSGNFIPDLLIGMTNIITVLFLFRKISYDFHTNRILYIIGAAICCTLWDEYCKKFIQRYNDVGNSQKLVVVITQAKIKPAAGEWPVSVSNTWNGTKLIMESDFPQIVDFKKRMKEIDPDELILSQCASQLTQSSQYTDAERFVYKCLVKSLSEIPLMKKEVVCVTVATTVKFDLDNEGWYYLVCNNCNKRTYEAVSFKCTYCDRDNALPVFKYRLQVQVYDDSNNYANFVVWDQECSNIIGLSAADLQKQMIEAGEDDPLCFPDALDVMLGCTFAFKVRTQPRTKCASVIKVSNVSEIVAHVKSLIPPLQIGDGTDKCSMDLVSESSSVMMSLSATGDNESDYVVIGTPGKRLLPCNENWNESSQDIDSAQLSSTKMKKLIKKEKT >Vigun06g109000.1.v1.2 pep primary_assembly:ASM411807v1:6:23820129:23822442:1 gene:Vigun06g109000.v1.2 transcript:Vigun06g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSLNFTVRRCEPELVPPAIPTPHEVKLLSDIDDQDGLRFHIPLIQIYRKHASTAEKDPVQVIRQALSQTLVFYYPFAGRLREGPHRKLMVDCNGEGVMFIEADADVTLDEFGDSLHPPFPCFHELLYHVPVSQQVTNIPLLLVQVTRLRCGGFILAFSFNHTMCDGTGFSQFVNTWAEIARGATKPSNPPVWRRELLMARDPPRITCNHREYEHVPDTVKGTVASNDHDMVLRSFFFGPSQIAALRGLIPPHLHHCSTFDLITACFWRCRTKALQIEAEEDVRMMVIVNARAKFNPPLPVGYYGNAFAYPAAVTTAGKLRENPFGYAVNLINKVKGEVTEEYMHSVADLMVSKGRCLFTTTRSFIVSDLRRFSLREIDFGWGNALYGGVAKAGAGAFPAVIFLMSHENANGEEGALIPIWLPAKAMEKFEHELYDMLRK >Vigun05g184100.2.v1.2 pep primary_assembly:ASM411807v1:5:35533609:35534963:1 gene:Vigun05g184100.v1.2 transcript:Vigun05g184100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLKPYLHSDTNNHNIHKLLPKPNSQTQTQKSFAMTSFTSFYKFWFLQLKIMVKDLKEEHSHEGMEKVLRHHGEYYKEKWIAMNKDPLSMFTSPWNTNLERSLHWITGWRPTTAFHLIYTESSLLFETHIIDILHGLRTGDLGDLNPSQFRYMSDLQCDTVREENEITEGLSEWQNSASEMMGCEMEMKEKIRELILIIKRADDLRLKTLQGVVRLLSRQQAMEFLIASGELLIGIHNWGLIHDSRRN >Vigun02g136600.1.v1.2 pep primary_assembly:ASM411807v1:2:28618848:28622363:1 gene:Vigun02g136600.v1.2 transcript:Vigun02g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFCFLVIAFFFLLLSWLKRYYKLIKPNFAHKLPPGPKKLPLIGNLHQLAMEDSFPHRALRKLAHKHGPLMHLQLGEISAVVASSPEMAKEIMKNHDAAFLHRPQSIATDIFTYGGMDIAFAPYGDYWRQMRKICASELLSAKRVQSLSHVREDEAAKFIDSIRTWEGSAINISSRILSLISGSVSRAAFGEYEERDEFVALMRKLIAASGGFDLVDLFPSITFTHFITGKRAKLEKLQKQVDMGLENIIKEHQEKHRRAKESGAQVEHEDLVDVLLRIQQSHSLDVKITMISIKALILDVFSAGTDTSASTLEWAMTEMMRNPRVRKKAQTELREAFRERKVIHESDVEQLTYLKLVMKETLRLHPPTPLLLPRECSELTVIDGYEIPVKTKIMVNVWAICRDPEHWMDAERFVPERFEGSCVDYKGNNFEYLPFGAGRRMCPGITFGLASIMLPLALLLFHFNWELPNQMKPEDMDMAERFGLAIGKKKELYLIPSVYDL >Vigun05g017800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1449948:1450911:1 gene:Vigun05g017800.v1.2 transcript:Vigun05g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKNTAFKRTPWGMVEPSFYRAPSKVVSIPVHFVGSERSREASATEIQRALRGFLVRKTLKKIEAMRVELARIESEIRVEEVKREAKERVRVIETIMNLLLKLDSVRVLHYSGLRECRKSVIRKAIALQEMLDQMEEKEDECAMEEVEEVEEGNCLVKEEEEEDLRNEEEDNEGLRNEEVQEKESVEKSLLMEKMVEDNQKMIEMMAQLFQRNEVHTALITSLTQRVEQLERALACERLRRKKKRKTDRKIKQTHLRNCFI >Vigun01g134100.1.v1.2 pep primary_assembly:ASM411807v1:1:31239185:31244748:1 gene:Vigun01g134100.v1.2 transcript:Vigun01g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRGRSSSMSANAFPRRRHPNIPLRPTSQEVQEMRLKDNNDDDDNNSKREWTKKRRGSNSHSTEEESVGNEQDARLHSNNTASSASDHNHRGRNVIDEVMIGATVPRRTRSASVKRPHHSWVEEQSAEAASPSSSNVSLPKKMKAIGPSSSNQEDIEIEIAELLYSLRASQNHDYSSSQKDKASDSPALSSYPAIDVETKKVEDNSSSFCILPPNNSIPESVVIESQQPAKTEKPSSVSPRTSGGDGIESSQGPKQDAEEDSLSPGCGCGDAVDGKSESKLVFDKHDCVSTISRTCDDFPEGNDKPKFEIDLMAPPPVTLSSEWDNLSRDEDSNKVEDRVESLSKKEKTLGEIEEVKMKVDLEKPNGHHDLATNHELGELGRNKEQPTTTATNAKLEKTAQCSSMSLSVAVSERPSGFSPLGYVPPLGTAVNTDKTTGLLTAPQHGNFVLSQPRPKRCASHGYIARNIFMHQQCTKMNTLLPSAIGSGSICDTKLSKVHSAESVVVGKQFHKQSPGVSHSATPEKGWATTSDFSVAAIKKSSGPANPVDMTQTKQLMLQQGQHPGSSANIVHGPAFLIPPGQHQASVIPGSSPAGGVNNASNASLSNKFQSSPGVSLGGPTLPAVAASMSFSYPNLAANDTPYMTIVPNSGYPFPFSTPLGPSAAIRGASPAQSTPILNGPLYSSQIFHPLQYPQQHPHSQPLVQPSYLNGSTSSVSSSSHKQSQGMQGNNNHILSSTTMQLQQSQKQHISQSHLRKHETGIAGENSPFAASGTAYSQKNGFGQNFPIPVQPLGLSLTPSTTSDSVGGNSGNFGDKQQQTLMGGLEHIPSQPHAISFAAYNGTSVPSNLNFSTMAQNPVIFQSLPDIAWQGYHAASASHATQQKMYPIIEGNSGDSSSHRDDEKATSGKPSTNGPTTLVFDNSAKNLNLVSSPMNGNWPSRSISSTATTTSLPPSSNAAKSQQPSQLLHLQQQHGMLQQQPAVTTRYKASSTNAAIGANFFTSPVYSQTQTQSKSSIKGSQSRICVAAPDSLVHNPCIVTSTAPTLQSFSQDQGRVLQGQTQISFGGNYISSMPLQGQQLFNNNQSLGNTVAGVPPSGANLKTNSQGSKVSSSVNSSQMQQTENSSTGTGQKSSPVCGRNVPSILSSCPSHLSELKY >VigunL035900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:300656:300766:-1 gene:VigunL035900.v1.2 transcript:VigunL035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Vigun03g028100.3.v1.2 pep primary_assembly:ASM411807v1:3:2116185:2127458:-1 gene:Vigun03g028100.v1.2 transcript:Vigun03g028100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIFGKPKQEANTLTTLDKLNETLEMLEKKEKVLLKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRQPTRPASSKPTAEEDELAALQAEMAL >Vigun03g028100.2.v1.2 pep primary_assembly:ASM411807v1:3:2116185:2127458:-1 gene:Vigun03g028100.v1.2 transcript:Vigun03g028100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIFGKPKQEANTLTTLDKLNETLEMLEKKEKVLLKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRQPTRPASSKPTAEEDELAALQAEMAL >Vigun03g235200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39158549:39161117:1 gene:Vigun03g235200.v1.2 transcript:Vigun03g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAWCQCWMKKPQFLSFLRPITTIPHTFLPTDYVYLKNRELHALIKGRDTNAAFSLFHNMPLRDTVTYNLIISGFREQPEHALRFYAQMGSLGIGESSTTFSSVVAVCTKAAFFREGVQVHCRVVKLGFSCNVFVGGALVGFYTRMGLSGVALELFDELPERNLAVWNVMLRGLCELVSVKLEDLLGFYSRMRFEGEEPNGVTFCYLLWECGNQRRLHEGKTIHGCVWKVGVVESNVFVANALVDFYSACGCLVGARKCFEAIENENVISWNSLVYVYAENSLLCEALEVFSVMQLWGRRPSIRSLVGFLNLCSRSGELCFGKQVHCLVMKMGFDEGSVHVQSALIDMYGKCRDIEGSVAVFECLPKRTLECFNSLMTSLSHCEAVEDMVELLRLMVDEGLVPDEVTISTTLKALSVSALSSFTSFQSLHCYALKSGLGGDAAVACSLMDAYSRCGHVDLSHRIFESLPSPSAICFTSMINAYARNGMGKEGIAVLQAMIKRGLKPDKVTFLCALSGCNHTGLVEEGRVVFESMKSLHGLDPDRRHFSCMVDLLCRAGLLYEAEELLLQAPGKRDCFMWSSLLRSCRVHKNEEVGTRAAEVLLELDPDDPAVWLQASNFYAEIGKFDEARKIREVALARKMTREIGHSLIEIRQ >Vigun09g229000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40101078:40101659:1 gene:Vigun09g229000.v1.2 transcript:Vigun09g229000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRNKYTLHSDCYIEKRVSIPFSWESKPGLCKVTYQKNELRCSNIVLQPPPCSSSRTAHNKQNPVDGPNFIFCAVQSSSVRNRLFGLESQTEDPFVEAYKKCTKTPEVSFMRKQPCKHTKSSGSRPNVMKYMDFFSCKFSSSHVMSSTVQFHCKGFESN >Vigun10g040000.2.v1.2 pep primary_assembly:ASM411807v1:10:5448087:5448828:-1 gene:Vigun10g040000.v1.2 transcript:Vigun10g040000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKREDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGKIQVPKRASYIRVIMLELSRITSHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEACFKWRAPLLPFPFHISIFLLVGVAKWARPARL >Vigun01g239550.1.v1.2 pep primary_assembly:ASM411807v1:1:41014436:41016611:1 gene:Vigun01g239550.v1.2 transcript:Vigun01g239550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRRIWAFPSILFLFFQYVWSWTINNDISLEFGDNYMSHDQGYLRFFAYLTLFNISMLGLVTSFWFTHPIAANACQKAFVTNRVGDFGLLLGILGLYWITVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLFPLFIVLPKIMNAIAFIGIITVILGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRGALFHLITHAYSKALLFLGSGSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPITKTFFLVGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSAAGLTAFYMFRIYLLVFEGYLNVHFLNFNGKKNSSFYSISLWGKKQRYLHRVNQNIKNIKHLVFALFTLFVGAVGISFSQDGIHLDILSKLLIPIDGITNGIGITSFFLGEAIKYVGGGGE >Vigun07g043700.3.v1.2 pep primary_assembly:ASM411807v1:7:4378814:4390377:1 gene:Vigun07g043700.v1.2 transcript:Vigun07g043700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTLNCEACCEGFFCPHGITCMIPCPLGSYCPSAVLNNSTGVCEPYLYQLPPMQPNHTCGGANIWADISSSSEIFCSAGSYCSTITKRIPCSSGHYCRMGATSEQRCFKLSSCDSNTVTQNIQAYGIMLIAALSTLLLIIYSCSDQVLTTRERRMAKSREAAARSVRNTANARQRWKDAKDAAKKSASGLQAQLSRTFTRKKDIDPEEIRILNQPTTETKIELFSHSHPITSPMIGSSYAGPKRKGKEAGDLMQIMNQVENDANTSSNILAETETRAKNVTARVPKEKQLNTHSQIFKYAYAQLEKEKAQQEENKNLTFSGVISMVTKSEQRKRPLIEISFKDLTLTLKAHNKLILRCVTGKIKPGRITAVMGPSGAGKTTFLSAIAGKAFGCKVTGSIFVNEKNESIHSYKKIIGFVPQDDIVHGNLTVEENFRFSALCRLPADLPKPEKVLIVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLMILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFNMFDDLILLAKGGLTVYHGSVKKVEEYFADLGINIPKRINPPDYFIDILEGIAVPSGSSEVSYKELPLRWMLHNGYPVPPDMQQNAAQLGMFETANPAREKDPDGSSHEERSFVGELWHDVRNVMELKGEKIRLNFFKSKDLSERKTPGIFKQYKYFLIRVGKQRLRESKIQAIDYLILLLAGACLGSLTKASDQTFGAAGYTYTVIALFYAKYLP >Vigun07g043700.1.v1.2 pep primary_assembly:ASM411807v1:7:4378814:4390377:1 gene:Vigun07g043700.v1.2 transcript:Vigun07g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNALPVLRCVHLVVAAAVASVLLRRMQCQQLSDFDNPVVMPRITRHVYDQMSNMTSLLNHEIKASSGFCVKDPDADWNKAFNFSSDLDFVSTCIRKTKGDILQRLCTAAEIKFYLNSLLERSSTRSANYLKPNRNCNLNSWVSGCEPGWACSVPTSQQVDLINSQEMPARTLNCEACCEGFFCPHGITCMIPCPLGSYCPSAVLNNSTGVCEPYLYQLPPMQPNHTCGGANIWADISSSSEIFCSAGSYCSTITKRIPCSSGHYCRMGATSEQRCFKLSSCDSNTVTQNIQAYGIMLIAALSTLLLIIYSCSDQVLTTRERRMAKSREAAARSVRNTANARQRWKDAKDAAKKSASGLQAQLSRTFTRKKDIDPEEIRILNQPTTETKIELFSHSHPITSPMIGSSYAGPKRKGKEAGDLMQIMNQVENDANTSSNILAETETRAKNVTARVPKEKQLNTHSQIFKYAYAQLEKEKAQQEENKNLTFSGVISMVTKSEQRKRPLIEISFKDLTLTLKAHNKLILRCVTGKIKPGRITAVMGPSGAGKTTFLSAIAGKAFGCKVTGSIFVNEKNESIHSYKKIIGFVPQDDIVHGNLTVEENFRFSALCRLPADLPKPEKVLIVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLMILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFNMFDDLILLAKGGLTVYHGSVKKVEEYFADLGINIPKRINPPDYFIDILEGIAVPSGSSEVSYKELPLRWMLHNGYPVPPDMQQNAAQLGMFETANPAREKDPDGSSHEERSFVGELWHDVRNVMELKGEKIRLNFFKSKDLSERKTPGIFKQYKYFLIRVGKQRLRESKIQAIDYLILLLAGACLGSLTKASDQTFGAAGYTYTVIAVSLLCKISALRSFSLDKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTYPRSTFADNYIVLLCLVYCVTGIAYAFAILFEPGAAQLWSVLLPVVFTLIATQPKDSKFLKDIAYFCYPRWALEAFIIANAERYHGVWLLTRCGSLLKSGYNLHDWGLCISILILMGVIARTVAFFCMLTFLKK >Vigun07g043700.2.v1.2 pep primary_assembly:ASM411807v1:7:4378814:4390377:1 gene:Vigun07g043700.v1.2 transcript:Vigun07g043700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNALPVLRCVHLVVAAAVASVLLRRMQCQQLSDFDNPVVMPRITRHVYDQMSNMTSLLNHEIKASSGFCVKDPDADWNKAFNFSSDLDFVSTCIRKTKGDILQRLCTAAEIKFYLNSLLERSSTRSANYLKPNRNCNLNSWVSGCEPGWACSVPTSQQVDLINSQEMPARTLNCEACCEGFFCPHGITCMIPCPLGSYCPSAVLNNSTGVCEPYLYQLPPMQPNHTCGGANIWADISSSSEIFCSAGSYCSTITKRIPCSSGHYCRMGATSEQRCFKLSSCDSNTVTQNIQAYGIMLIAALSTLLLIIYSCSDQVLTTRERRMAKSREAAARSVRNTANARQRWKDAKDAAKKSASGLQAQLSRTFTRKKDIDPEEIRILNQPTTETKIELFSHSHPITSPMIGSSYAGPKRKGKEAGDLMQIMNQVENDANTSSNILAETETRAKNVTARVPKEKQLNTHSQIFKYAYAQLEKEKAQQEENKNLTFSGVISMVTKSEQRKRPLIEISFKDLTLTLKAHNKLILRCVTGKIKPGRITAVMGPSGAGKTTFLSAIAGKAFGCKVTGSIFVNEKNESIHSYKKIIGFVPQDDIVHGNLTVEENFRFSALCRLPADLPKPEKVLIVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLMILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFNMFDDLILLAKGGLTVYHGSVKKVEEYFADLGINIPKRINPPDYFIDILEGIAVPSGSSEVSYKELPLRWMLHNGYPVPPDMQQNAAQLGMFETANPAREKDPDGSSHEERSFVGELWHDVRNVMELKGEKIRLNFFKSKDLSERKTPGIFKQYKYFLIRVGKQRLRESKIQAIDYLILLLAGACLGSLTKASDQTFGAAGYTYTVIALFYAKYLP >Vigun07g043700.4.v1.2 pep primary_assembly:ASM411807v1:7:4378814:4390377:1 gene:Vigun07g043700.v1.2 transcript:Vigun07g043700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTLNCEACCEGFFCPHGITCMIPCPLGSYCPSAVLNNSTGVCEPYLYQLPPMQPNHTCGGANIWADISSSSEIFCSAGSYCSTITKRIPCSSGHYCRMGATSEQRCFKLSSCDSNTVTQNIQAYGIMLIAALSTLLLIIYSCSDQVLTTRERRMAKSREAAARSVRNTANARQRWKDAKDAAKKSASGLQAQLSRTFTRKKDIDPEEIRILNQPTTETKIELFSHSHPITSPMIGSSYAGPKRKGKEAGDLMQIMNQVENDANTSSNILAETETRAKNVTARVPKEKQLNTHSQIFKYAYAQLEKEKAQQEENKNLTFSGVISMVTKSEQRKRPLIEISFKDLTLTLKAHNKLILRCVTGKIKPGRITAVMGPSGAGKTTFLSAIAGKAFGCKVTGSIFVNEKNESIHSYKKIIGFVPQDDIVHGNLTVEENFRFSALCRLPADLPKPEKVLIVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLMILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFNMFDDLILLAKGGLTVYHGSVKKVEEYFADLGINIPKRINPPDYFIDILEGIAVPSGSSEVSYKELPLRWMLHNGYPVPPDMQQNAAQLGMFETANPAREKDPDGSSHEERSFVGELWHDVRNVMELKGEKIRLNFFKSKDLSERKTPGIFKQYKYFLIRVGKQRLRESKIQAIDYLILLLAGACLGSLTKASDQTFGAAGYTYTVIAVSLLCKISALRSFSLDKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTYPRSTFADNYIVLLCLVYCVTGIAYAFAILFEPGAAQLWSVLLPVVFTLIATQPKDSKFLKDIAYFCYPRWALEAFIIANAERYHGVWLLTRCGSLLKSGYNLHDWGLCISILILMGVIARTVAFFCMLTFLKK >Vigun11g171300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37696213:37697324:1 gene:Vigun11g171300.v1.2 transcript:Vigun11g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTSTEYSVKGGKLSWQSTVNIVSIDGRLEQLKEPVKAWHVLSENPNCYICCSETMYVGSPMLAVPPTQDLQLGLIYFIVPLSKSRVPLSLQDLGALAIKANAAIAAAGTGTGTATTATTPNYPILKRNPQKKFRTHPILSNVSLGYSH >Vigun11g005000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:523465:527380:-1 gene:Vigun11g005000.v1.2 transcript:Vigun11g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTVNTEDSFSSLLELASNNDIEGFKVLLEKDPSAINEVGFWYGRQNGSKQIVLEHRTPLMVAATYGSNDVLKLILMCPEADVNFACGANKTTALHCAASGGSANAVETVKMLLSAGADANCMDAYGNRPVDVIVVPPKLQEMKPVLEELLSDSASDGSIGGSIGEFSVPVSVNSSSPGSPNNGLPYSPSESPPSPVVAKFAEAAIGSLSEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASAPNVMDMAAAMSLLPGSPSSVSSMSPSHFGQPMSPSANGMSLSSGAWAQPNVPALHLPGSNLQSSRLRSSLSARDIPPEDLNGMLDLDGHQHPLNDLSCYLQPRPGAGSVSRSGRSKTLTPANLEELFSAEISSSPRYSDPAAASVFSPTHKSAVLNQFQQIQSMLSPINTNLLSPKSVDHPLLQASFGVSPSGRMSPRSVEPISPMSARMSAFAQREKQQQQQQLQLRNVSSRDLGANSPASIVGSPVNAWSKWGSPNGKADWSVNGDELGNQMRRSSSFELKHNGEEPDLSWVQSLVRESPPEMMKEKFASPLPTAPSADGPNSNSQIESIDHSVLGAWLEQMQLDQLVV >Vigun07g247500.1.v1.2 pep primary_assembly:ASM411807v1:7:36742813:36746142:1 gene:Vigun07g247500.v1.2 transcript:Vigun07g247500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEGNSGYKVTAPIMIIRKASVKVVRCSDYGMGAVSCMLLFFLCCLISEASAQLFTVCDDNDGNYTANSTYNNNLNTLLSTLSSNTEINYGFYNFSHGQNADRVNAIGLCRGDVEPNKCRSCLKDAGGNITQLCPNQKQAIIYYDNCLLRYSNRSIFGVMETSSGFYMSNVNNATGAVEFNQVLQNLMRDLKGKAASGDSRRKYATGNDTTTNFQAVYGLVQCTPDLTQTQCNDCLDQAISQIPTCCNDKIGGRVVEPSCNIRYEDYRFYEQTTIIDPETPPPTVNTSTGESSNTTTIVIAVVVPTVVVFLICCLFMCLRRRKARKNLEVKKEEREEEHEEEDEIKIAESLQFNFDTIRVATQDFSDSNKLGQGGFGAVYLGELSNGQIIAVKRLSRDSGQGDTEFKNEVVLVVKLQHRNLVRLLGFCLEGRERLLVYEFVPNKSLDYFIFDPAKKAQLDWEKRYRIIRGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKIADFGMARLVLLDQTQANTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEIVSGQKNSGINNGENMEDLLSFAWRSWKEGKAINIVDPSLNSNSRNEMLRCIHIGLLCVQENLVDRPTMANIILMLNSHSLSLPIPAEPAFFMNSRTRSLPEMQSWEYNSRETGSSEAILKSAQESENEASITEPYPR >Vigun06g135100.1.v1.2 pep primary_assembly:ASM411807v1:6:26131115:26134814:-1 gene:Vigun06g135100.v1.2 transcript:Vigun06g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEGGSVWLLYAGGALLALRFILKNINWLLYEYKLGGKQYFLPPGDLGWPIIGNMWSFLSAFKTTNPDTFMDKFYSKYGKTGVYKVFMFGHPSIIVTTPEACKKVLTDDENFTLGWPSSTVELMGEKSFIAIPYDEHKRLRRLTSASVNGYEALSVYITFIEDVVKSSLEKWTTMGNIEFLTEMRKLTFKVIIHIFLGSESELIMENLEKEYTKLNYGVRAMRINLPGFAFNTSLKARKNLCAIFQSVVDKRRSERRQKIPGKKAKDMMDALIDVEDDNGRKLGDDDVIDIMLMYLNAGHESSGHITTWATYFLQRHPEYFQKAKEEQEEIIRNRPPNQKGLSLAEVRKMDYLSKVVDETLRLITFSLMVFRETKNDVNINGYLIPKGWKVMTWFRSIHLDPTIYPNPKEFNPERWNEVRKAGEFLPFGAGTRLCPGNDLAKLEISVFLHHFLLGYKLEQLNPLAPMKFLPHTRPIDNCVARIKKVK >Vigun03g292550.1.v1.2 pep primary_assembly:ASM411807v1:3:47761696:47763194:-1 gene:Vigun03g292550.v1.2 transcript:Vigun03g292550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKRQKIRDKRQETRDKRQETRDKRQETRDKRQETRDKRQETRDKRQETRDKRQETRDKRQETRDKRQEIRDKRYEKRKKR >Vigun03g237700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39578341:39580182:-1 gene:Vigun03g237700.v1.2 transcript:Vigun03g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENSCSTQKQKLEVSDILSKSVMVYLRNLNFIIFTFLTSLPLFCIMVYFEIYLQEILVETFNIFNLSYGHLPLYDIDLISRFNKDYFLKLIFVGFIYMVPLYVSEFVSAAVTVDLASKLHSREKKMTLKEMFETPFDLSRLRASFVTSIYVLFLTTTHQLGLLWIVLNYHVFLKGTSFYVLLAVICSMAFAKVLRMYLEGSAMWNMSLVISVLEGIYGVDALAVSAYFSRGNHRRGLFLMLIFFAWGHLVRLSCYHIGGYEQGNAIFVQVGLSCMVNQLKWVACMIYFHDCKERKLEKKTDEESGKDVKNGP >Vigun03g024200.1.v1.2 pep primary_assembly:ASM411807v1:3:1813502:1818017:1 gene:Vigun03g024200.v1.2 transcript:Vigun03g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHVVVEFHDLPPSAFADAAPNLSEPELRETAYEILVGACRSSGPKPLTFVSHSDSNRGDKRNPSPSLYRSLTVKASSEVKKKLGLKTTSSWRNRRAATTGELMRVQMRVTEVTDTRVRRALLRVAAGQLGRRIESMVLPLELMQQLKCPDFASEQEYEAWLKRNLKVLEAGLLLHPRLSLDKEDISALSLQQIIHGGLEKPMDIGKDNESMHALRKVVMSLACRSSQGSVTDICHWADGFPLNLRIYQTLLEACFDNHEESNVIEEVDEVLELIKTTWVILGLNEMLHNVCFSWTLFQRYVATGQVENDLLLASSNLLAEAEKDAKAIMDPFYSKSLSYALNLMLSWAEEKLLAYHDTFHDGNIESMQSVVSLAVSSAKILVGDISLVCSRMKKEADISCTRVENYITSSLHAVFIKKLDLRNSKHLAREEDKVFPRLSVLARDVSEVAFGEKAMFSPILKRWHPLAAGVAVATLHVCYGHELKQYVKSVTELTPDTVQTLMAADKLEKDLVHIAVEDSVDSDDGGKSVIREMCPYEAEAVIINLVKSWIKNRVDTLEECVDRNLQEEQVWIPHANKELFAPSALEILGFIDDSLEAFFLLPIPMHAVLLPEFMFALDKSLQQYILKAKASCGNRNTFIPIMPALTRCSPGSKFHAVFRKKEKSQVTQRRVFHVGTTNVENSFGLPQFCVRINTMQRIGMGLKILEKRTVARLGNSKSTTEGGMEKRLKFKLSKAASVEGIRQLSEAMAYKVIFQDLCHVLWDGLYVGEVSSTRIEPFLEELNQCLKIILSTVHERVITHVITDVMKASFDGFLLVLLAGGPARAFSLEDHVIIDEDFKLLTDLFWSNGKGLPADLIEKHSTFVKEVLPLFRKDTEDLTKIFSQLIMEMYNSSTKSHLPLPTTSGQWSPREPNTLLRVLCHRNDETAAKFLKRNYNLPKKAKRQ >Vigun03g024200.2.v1.2 pep primary_assembly:ASM411807v1:3:1813502:1818017:1 gene:Vigun03g024200.v1.2 transcript:Vigun03g024200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHVVVEFHDLPPSAFADAAPNLSEPELRETAYEILVGACRSSGPKPLTFVSHSDSNRGDKRNPSPSLYRSLTVKASSEVKKKLGLKTTSSWRNRRAATTGELMRVQMRVTEVTDTRVRRALLRVAAGQLGRRIESMVLPLELMQQLKCPDFASEQEYEAWLKRNLKVLEAGLLLHPRLSLDKEDISALSLQQIIHGGLEKPMDIGKDNESMHALRKVVMSLACRSSQGSVTDICHWADGFPLNLRIYQTLLEACFDNHEESNVIEEVDEVLELIKTTWVILGLNEMLHNVCFSWTLFQRYVATGQVENDLLLASSNLLAEAEKDAKAIMDPFYSKSLSYALNLMLSWAEEKLLAYHDTFHDGNIESMQSVVSLAVSSAKILVGDISLVCSRMKKEADISCTRVENYITSSLHAVFIKKLDLRNSKHLAREEDKVFPRLSVLARDVSEVAFGEKAMFSPILKRWHPLAAGVAVATLHVCYGHELKQYVKSVTELTPDTVQTLMAADKLEKDLVHIAVEDSVDSDDGGKSVIREMCPYEAEAVIINLVKSWIKNRVDTLEECVDRNLQEEVWIPHANKELFAPSALEILGFIDDSLEAFFLLPIPMHAVLLPEFMFALDKSLQQYILKAKASCGNRNTFIPIMPALTRCSPGSKFHAVFRKKEKSQVTQRRVFHVGTTNVENSFGLPQFCVRINTMQRIGMGLKILEKRTVARLGNSKSTTEGGMEKRLKFKLSKAASVEGIRQLSEAMAYKVIFQDLCHVLWDGLYVGEVSSTRIEPFLEELNQCLKIILSTVHERVITHVITDVMKASFDGFLLVLLAGGPARAFSLEDHVIIDEDFKLLTDLFWSNGKGLPADLIEKHSTFVKEVLPLFRKDTEDLTKIFSQLIMEMYNSSTKSHLPLPTTSGQWSPREPNTLLRVLCHRNDETAAKFLKRNYNLPKKAKRQ >Vigun06g199100.4.v1.2 pep primary_assembly:ASM411807v1:6:31417003:31423585:-1 gene:Vigun06g199100.v1.2 transcript:Vigun06g199100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIATFRFLLLWTLFLCFAFTTRAAQRGPYGMHISCGARHDVQTKPTTTLWYKDFGYTGGIPTNASTTSYIAPPLKTLRYFPLSEGLSNCYNINRVPKGRYSIRIFFGLVAHTTVTDEPLFDISIQGTQIYSLKSGWTTQDDQAFTEAQVSLMDGSVSICFHGTGHGDPAILSIEILQIDDKAYYFGPDWSEGVMLRTVKRLSCGFGQSKFGVDYGADPRGGDRYWQHIKTFGGDSDQPRSVETRIKQASHPPNFYPETLYRSALVSTSSQPDLTYTLDVDPNKNYSVWLHFAEIDNSVTATGQRVFDIMINGDVAFRNVDIVKMSGDRYSALVLNRTVTVNGRTLTVTLSPIKGSFAIISAIEILEVITAESKTLSDEVMALQTLKKALGLPPRFGWNGDPCVPQQHPWTGADCRLDKSSSKWVIDGLGLGNQGLKGYLPNDISRLHNLQILNLSGNGIRGAIPSPLGTITSLQVLDLSYNFFNGSIPESLGQLTSLQRLNLNGNLLSGRVPATLGGRLLHGASFKYVFKC >Vigun06g199100.1.v1.2 pep primary_assembly:ASM411807v1:6:31416534:31423584:-1 gene:Vigun06g199100.v1.2 transcript:Vigun06g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIATFRFLLLWTLFLCFAFTTRAAQRGPYGMHISCGARHDVQTKPTTTLWYKDFGYTGGIPTNASTTSYIAPPLKTLRYFPLSEGLSNCYNINRVPKGRYSIRIFFGLVAHTTVTDEPLFDISIQGTQIYSLKSGWTTQDDQAFTEAQVSLMDGSVSICFHGTGHGDPAILSIEILQIDDKAYYFGPDWSEGVMLRTVKRLSCGFGQSKFGVDYGADPRGGDRYWQHIKTFGGDSDQPRSVETRIKQASHPPNFYPETLYRSALVSTSSQPDLTYTLDVDPNKNYSVWLHFAEIDNSVTATGQRVFDIMINGDVAFRNVDIVKMSGDRYSALVLNRTVTVNGRTLTVTLSPIKGSFAIISAIEILEVITAESKTLSDEVMALQTLKKALGLPPRFGWNGDPCVPQQHPWTGADCRLDKSSSKWVIDGLGLGNQGLKGYLPNDISRLHNLQILNLSGNGIRGAIPSPLGTITSLQVLDLSYNFFNGSIPESLGQLTSLQRLNLNGNLLSGRVPATLGGRLLHGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIGLGASFTFLLLIIGSVCWWKRRQNILRVQQIAARAAPYAKARTQFSRDIQMARHNNNNNYGNAHTAAENGPILLSR >Vigun06g199100.3.v1.2 pep primary_assembly:ASM411807v1:6:31416548:31423469:-1 gene:Vigun06g199100.v1.2 transcript:Vigun06g199100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIATFRFLLLWTLFLCFAFTTRAAQRGPYGMHISCGARHDVQTKPTTTLWYKDFGYTGGIPTNASTTSYIAPPLKTLRYFPLSEGLSNCYNINRVPKGRYSIRIFFGLVAHTTVTDEPLFDISIQGTQIYSLKSGWTTQDDQAFTEAQVSLMDGSVSICFHGTGHGDPAILSIEILQIDDKAYYFGPDWSEGVMLRTVKRLSCGFGQSKFGVDYGADPRGGDRYWQHIKTFGGDSDQPRSVETRIKQASHPPNFYPETLYRSALVSTSSQPDLTYTLDVDPNKNYSVWLHFAEIDNSVTATGQRVFDIMINGDVAFRNVDIVKMSGDRYSALVLNRTVTVNGRTLTVTLSPIKGSFAIISAIEILEVITAESKTLSDEVMALQTLKKALGLPPRFGWNGDPCVPQQHPWTGADCRLDKSSSKWVIDGLGLGNQGLKGYLPNDISRLHNLQILNLSGNGIRGAIPSPLGTITSLQVLDLSYNFFNGSIPESLGQLTSLQRLNLNGNLLSGRVPATLGGRLLHGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIGLGASFTFLLLIIGSVCWWKRRQNILRVQQIAARAAPYAKARTQFSRDIQMARHNNNNNYGNAHTAAENGPILLSR >Vigun06g199100.2.v1.2 pep primary_assembly:ASM411807v1:6:31416548:31423584:-1 gene:Vigun06g199100.v1.2 transcript:Vigun06g199100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIATFRFLLLWTLFLCFAFTTRAAQRGPYGMHISCGARHDVQTKPTTTLWYKDFGYTGGIPTNASTTSYIAPPLKTLRYFPLSEGLSNCYNINRVPKGRYSIRIFFGLVAHTTVTDEPLFDISIQGTQIYSLKSGWTTQDDQAFTEAQVSLMDGSVSICFHGTGHGDPAILSIEILQIDDKAYYFGPDWSEGVMLRTVKRLSCGFGQSKFGVDYGADPRGGDRYWQHIKTFGGDSDQPRSVETRIKQASHPPNFYPETLYRSALVSTSSQPDLTYTLDVDPNKNYSVWLHFAEIDNSVTATGQRVFDIMINGDVAFRNVDIVKMSGDRYSALVLNRTVTVNGRTLTVTLSPIKGSFAIISAIEILEVITAESKTLSDEVMALQTLKKALGLPPRFGWNGDPCVPQQHPWTGADCRLDKSSSKWVIDGLGLGNQGLKGYLPNDISRLHNLQILNLSGNGIRGAIPSPLGTITSLQVLDLSYNFFNGSIPESLGQLTSLQRLNLNGNLLSGRVPATLGGRLLHGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIGLGASFTFLLLIIGSVCWWKRRQNILRVQQIAARAAPYAKARTQFSRDIQMARHNNNNNYGNAHTAAENGPILLSR >Vigun03g107800.1.v1.2 pep primary_assembly:ASM411807v1:3:9635190:9637316:-1 gene:Vigun03g107800.v1.2 transcript:Vigun03g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMALVFFMLLVTVFAEELHTLPTPHSPTSAPLHPPAYPPANAPHHHHHHHHSPASAPAPLHPPSPPTHPHYPPAPAHPPTHHHHHHPSAPVHPPLKPPVAPLHPPVPVHPPVKPPVPVRPPVKPPVPVHPPVKPPVPVHPPVKPPVPVHPPVPVHPFPRSFVAVQGVVYVKSCKYAGVDTLLGATPLLGAVVKLQCNNTKYKLVETTKSDKNGYFYVEAPKGITTYGAHKCNVVLVGAPGGLKASNLHGGVTGAVLRPEKPFLSKKLPFVLYSVGPLAFEPKCHY >Vigun01g166100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34788091:34789563:1 gene:Vigun01g166100.v1.2 transcript:Vigun01g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLKQPHFVLVPLLAQGHMIPMVDIARILAERGVIITLISTPHNASRMGQTIVRAAKSGLPIQLLQVPFPCQKLGLPLGCENLDALPSKNLLRNFYIGLDMMQEPVEHYLQNHPSPPTCIISDKCLSWTSVTAKKFNIPRLVFHGMSCFSLLSSYNIKLYNSHLSCSSDSEPFLIPGLPQRVEITKAQLPGAFFSLPDLDDFRHKMLEAEMSASGVVVNSFEELEHGCVKEYEKALNKRVWCIGPVSLSNKDSLDKFERGNKPSIEEKQCLEWLNSKEPRSVVYACLGSLCRLVTSQLVELALGLEASNRPFIWVVKTAGENVAEVNNWLENENFDERVRGRGLVIKGWAPQTLILSHPSVGAFLTHCGWNSTIEGVSSGLPLITWPLFAEQFLNEKFVVQVLKIGVRIGVEVPVRWGDEEKVGAMVKKSRISEAIEMCMAGGEEGEKRRNRAMEFGKMARNAMVEEGSSHFNVSCLIEDIVNNISVN >Vigun06g209000.5.v1.2 pep primary_assembly:ASM411807v1:6:32234035:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEEVEESFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Vigun06g209000.1.v1.2 pep primary_assembly:ASM411807v1:6:32233757:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEEVEESFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Vigun06g209000.2.v1.2 pep primary_assembly:ASM411807v1:6:32233756:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEEVEESFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Vigun06g209000.3.v1.2 pep primary_assembly:ASM411807v1:6:32233757:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEEVEESFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Vigun06g209000.4.v1.2 pep primary_assembly:ASM411807v1:6:32234035:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEEVEESFTFWGTPEGDLVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Vigun06g209000.6.v1.2 pep primary_assembly:ASM411807v1:6:32234082:32238348:1 gene:Vigun06g209000.v1.2 transcript:Vigun06g209000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIRRAFRSVRTHNSRYLEASKNGPIVAVGPNIHHCRLYVQYKFPGEARSAFLWHGTREAFHKCCSFRKFSVASASNTVTHDSQIALKRLYRKYCSSGDATPTVNMIAQAVSLALARSYLLVPGILAFTSGELAFAQRNWADAERYPSQNALYMRAQDGYNYMFTFTFIIVEGLILLVRALYLAILFSPSIVMAPFADSFGPKFRKLWLHVVHRTLEKSGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFSYTKKTIEKAFGRKISEIFDNFEELPVASGSIAQVHRASLKYRYPGQQAKPLVVAVKVRHPGVGESIRRDFAIINLVAKVSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEKGESVAYYVDDLQGHERLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVGQSKSRKRLFKSKPHVVFLDVGMTAELSGSDRINLLEFFKAVARRDGRTAAECALNLSKQQNCPNPDAFIEWKNRLLFGAPQKVTWFILRSAWSNYLRKLGVTELILMAMFVLSW >Vigun03g325000.1.v1.2 pep primary_assembly:ASM411807v1:3:52106043:52108408:-1 gene:Vigun03g325000.v1.2 transcript:Vigun03g325000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSDPSAHFESPHSPLRFRSSPLSDNGDPFHSPENSPINDHRDNSRAIVIIETSTQFAQTAPPATESEHRNPPPNELPEVVVTRPARPEPRSPAAPRGRARAAPPPSLAVPKREVMLKKVALGFRLSEVVLCLISFSVMAADKTRGWSGDSFDRYKEYRYCLSMNVIAFVYAAFQTCDLAYQVVAGRSIISHHLRYHFDFFMDQVLAYLLISSASSAATRVDDWQSNWGKDDFTEMASASIALAFLAFFAFAISSLISGYNLCTLFS >Vigun10g075200.1.v1.2 pep primary_assembly:ASM411807v1:10:20356351:20358395:-1 gene:Vigun10g075200.v1.2 transcript:Vigun10g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKTLWFKLVGRCFLLRVVVRNCVRKHLYSSAIFADKVAAFTTDPLTSSTEGGIEGVGSVPDDAGNTLH >Vigun01g095300.1.v1.2 pep primary_assembly:ASM411807v1:1:25947851:25949751:-1 gene:Vigun01g095300.v1.2 transcript:Vigun01g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQAAMDPHSASDSATSGQYLPKSFNDRRSLDMSSNNNNHVSSVKLSQSQSSTYASSTENISRLLEGWMRSSPKPLKRSHDEELQSIDDDNNNNNNNFESTNKLVKCASLPALNLHHQHKRNKDEQEGGVDMASAHEEFDSILSFENLNNAAWDKSTCDSMPDKSPEAAAESSHEVCHEERIRQKSENNNSAPPLSFLEKWLLDESVGQVEEMMELSPMF >Vigun10g063700.2.v1.2 pep primary_assembly:ASM411807v1:10:13697182:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMYDSDCGNDEMEMDFLRDRYEEMDNRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISPSLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGDFGKAFQRLLGKIWNEDEEKELYWKQLWRKTLGEIGGFSAVETLSSRFERDISMVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFREMEIDDKIDFLVKQWAVVFPKPICRFYPRFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCVSLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADKTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLHNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun10g063700.7.v1.2 pep primary_assembly:ASM411807v1:10:13697182:13704688:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRKSVEEIPTTNPVPEIAETLCALMLLLNIVSGANIYQIHNMYESIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCVSLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADKTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLHNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun10g063700.5.v1.2 pep primary_assembly:ASM411807v1:10:13697182:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFREMEIDDKIDFLVKQWAVVFPKPICRFYPRFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCVSLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADKTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLHNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun10g063700.8.v1.2 pep primary_assembly:ASM411807v1:10:13699736:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMYDSDCGNDEMEMDFLRDRYEEMDNRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISPSLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGDFGKAFQRLLGKIWNEDEEKELYWKQLWRKTLGEIGGFSAVETLSSRFERDISMVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFREMEIDDKIDFLVKQWAVVFPKPICRFYPRFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQATYEEAIDNQSLDDM >Vigun10g063700.3.v1.2 pep primary_assembly:ASM411807v1:10:13697182:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMYDSDCGNDEMEMDFLRDRYEEMDNRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISPSLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGDFGKAFQRLLGKIWNEDEEKELYWKQLWRKTLGEIGGFSAVETLSSRFERDISMVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFSFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCVSLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADKTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLHNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun10g063700.1.v1.2 pep primary_assembly:ASM411807v1:10:13697181:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMYDSDCGNDEMEMDFLRDRYEEMDNRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISPSLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGDFGKAFQRLLGKIWNEDEEKELYWKQLWRKTLGEIGGFSAVETLSSRFERDISMVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFREMEIDDKIDFLVKQWAVVFPKPICRFYPRFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQKTRSHNRRPIQITLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCVSLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADKTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLHNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun10g063700.6.v1.2 pep primary_assembly:ASM411807v1:10:13697181:13708984:-1 gene:Vigun10g063700.v1.2 transcript:Vigun10g063700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMYDSDCGNDEMEMDFLRDRYEEMDNRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISPSLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGDFGKAFQRLLGKIWNEDEEKELYWKQLWRKTLGEIGGFSAVETLSSRFERDISMVYWKFALAQTPSSYVESNPRDETEIADSINFLVKQWMERICMGAEIPFREMEIDDKIDFLVKQWAVVFPKPICRFYPRFEMETADAIEFQVKHCREALFKAAGISRGAILNSRREMEITEAIELQLKYWRDAFSKEAGGVLDSKGYGKRVVDSEIRNLQNHWREKLLEAYSILEDKRVYSSREIQIEADLERWMKVLVEAANVATDAVYVSCIIMLKAYNRIEKLVNYWRMALCHAIGISSFTVQQHRVMKDYEINDIKKHRDALREAAGISGVVILNSRNENEAVKTIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNDVLLLGIWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHICLQQQLLFDIHKENNTKIHNTESGKMILRERLRHKRILLILDDVNKLQQLNALCGNREWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPEEDFIELSRNVIAYAGGLPLALEVLGSYLFDMEVTEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVIVNDKNQLGMHDLVRDMGREIIRSKSPMEVEERSRLWFDEDVLDVLSKETGTKYIEGLRLKLPRSNTKSLSTKAFMNMKKLRLLQLSDVELVGDFEYISKDLRWLCWHGFPFSFIPTSFYQGRLVSIELENSKITMVWKETQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIAQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLFKRNALASFDDEEWQRVLSNIEPGNKVQIVVVFWSRLIVIKTSIYLIYEAIEEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRILNKFFSCKGKVEKKKNQG >Vigun01g249650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41641860:41644978:-1 gene:Vigun01g249650.v1.2 transcript:Vigun01g249650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDGFGTLQYLSLLSKVCAELESHTGAADKVLAEFIIHLARSSDNLHHFNAVLNDNGAHFPDYLVRTFFTLVRAVLEPAKTESKAKEKDSGSSSLKPLKRISSPEKGEAKQFTAAGKLSSPDKDEDGDGLPRQEEDDDDEDFEIELNDDAPAFLQGQTKHSMDMSPHKIFKNPEGSLLRSAALQSALAKERREVREQHHSSMFDSIPKDLNRAWEDPMPDKGERHLAHELRGVGLSALDMPEWKGSSGKTVSFGPKSKLSIPEQKQSLPIYRLKNELVQAVHDNQVLVVIGETGSGKTTQITQYLAEAGYTTQGKIGCTQPRRAAAVSVAKRVAEEFGCRLGEEVGYAIRFEDCTGSDTLIKYMTDGMLLREMLMDETLSRYSVIMLDEAHERTVYTDVLFGLLKLLLKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYAKQPESDYLDASLLTVLQIHLTEPEGDILLFLTGQEEIDFACQSLVERMKGLGKNVPELIILPVYSALPSEMQYRIFEPAPPGKRKVVVATNIAEASLTIDGICYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGRCYRLYTESAYRNEMPPTTVPEIQRINLTTTTLNMKAMGITDLLSFDFMDSPSPQALVSAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQGQADQKRAKFFQPEGDHLTLLAVYESWKAKNFSGPWCSENFVQSRSLRRVQDVRKQLLTIMERYKLDVASAGNNFTKVTKAITAGFFFRAARKDPQEGYRTLVENQSVYIHPSSALFHRQPDWVIYHELVMTTKEYMREVTAIDPKWLVELAPTFFKAADPTKMSNRKRQERIQPLYGVSEQWRLSKRRA >Vigun07g119200.2.v1.2 pep primary_assembly:ASM411807v1:7:22106289:22114900:-1 gene:Vigun07g119200.v1.2 transcript:Vigun07g119200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCMMRRTRKRTLCESMETPHTCFSNAFMLTFLLLNSALGTVAGADTYSRDDFPADFVFGSGTSAYQIEGAANEEGRSRSIWDTFAHAGYVHGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLIDQLISNGIQPHVTLHNFDLPQVLEDEYGGWVSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNVFSYGGYDQGSSPPHRCSPPFCVMKSNRGNSTYEPYLAVHHILLAHSSAARLYWRKYRHKQHGYVGISVYSFGFFPQTNTEKDRVATQRARDFFVGWVMEPLQYGDYPISMKTNAGVRIPAFTPRESEQVKGSFDFIGVIHYTNINITDNSDALKNQLRDYDADMAAKICQRTPSNSSLQDVSRVKYLHGYIGAVLDALRDGLNIKGYFVWSFLDLFELLDGYKSSFGLYYVDRDDPELKRHPKLSAKWYSQFLKNRSSSIVGSVELDKDPSLVSIGHLFE >Vigun07g119200.4.v1.2 pep primary_assembly:ASM411807v1:7:22104947:22114900:-1 gene:Vigun07g119200.v1.2 transcript:Vigun07g119200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCMMRRTRKRTLCESMETPHTCFSNAFMLTFLLLNSALGTVAGADTYSRDDFPADFVFGSGTSAYQIEGAANEEGRSRSIWDTFAHAGYVHGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLIDQLISNGIQPHVTLHNFDLPQVLEDEYGGWVSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNVFSYGGYDQGSSPPHRCSPPFCVMKSNRGNSTYEPYLAVHHILLAHSSAARLYWRKYRHKQHGYVGISVYSFGFFPQTNTEKDRVATQRARDFFVGWVMEPLQYGDYPISMKTNAGVRIPAFTPRESEQVKGSFDFIGVIHYTNINITDNSDALKNQLRDYDADMAAKISGLELFSNEEYPVTPWGLREELNNFKLLYGNPPIFIYENGQRTPSNSSLQDVSRVKYLHGYIGAVLDALRKGVGEIGASGFF >Vigun07g119200.3.v1.2 pep primary_assembly:ASM411807v1:7:22106289:22114900:-1 gene:Vigun07g119200.v1.2 transcript:Vigun07g119200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCMMRRTRKRTLCESMETPHTCFSNAFMLTFLLLNSALGTVAGADTYSRDDFPADFVFGSGTSAYQIEGAANEEGRSRSIWDTFAHAGYVHGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLIDQLISIQPHVTLHNFDLPQVLEDEYGGWVSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNVFSYGGYDQGSSPPHRCSPPFCVMKSNRGNSTYEPYLAVHHILLAHSSAARLYWRKYRHKQHGYVGISVYSFGFFPQTNTEKDRVATQRARDFFVGWVMEPLQYGDYPISMKTNAGVRIPAFTPRESEQVKGSFDFIGVIHYTNINITDNSDALKNQLRDYDADMAAKISGLELFSNEEYPVTPWGLREELNNFKLLYGNPPIFIYENGQRTPSNSSLQDVSRVKYLHGYIGAVLDALRDGLNIKGYFVWSFLDLFELLDGYKSSFGLYYVDRDDPELKRHPKLSAKWYSQFLKNRSSSIVGSVELDKDPSLVSIGHLFE >Vigun07g119200.1.v1.2 pep primary_assembly:ASM411807v1:7:22106289:22114900:-1 gene:Vigun07g119200.v1.2 transcript:Vigun07g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCMMRRTRKRTLCESMETPHTCFSNAFMLTFLLLNSALGTVAGADTYSRDDFPADFVFGSGTSAYQIEGAANEEGRSRSIWDTFAHAGYVHGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLIDQLISNGIQPHVTLHNFDLPQVLEDEYGGWVSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNVFSYGGYDQGSSPPHRCSPPFCVMKSNRGNSTYEPYLAVHHILLAHSSAARLYWRKYRHKQHGYVGISVYSFGFFPQTNTEKDRVATQRARDFFVGWVMEPLQYGDYPISMKTNAGVRIPAFTPRESEQVKGSFDFIGVIHYTNINITDNSDALKNQLRDYDADMAAKISGLELFSNEEYPVTPWGLREELNNFKLLYGNPPIFIYENGQRTPSNSSLQDVSRVKYLHGYIGAVLDALRDGLNIKGYFVWSFLDLFELLDGYKSSFGLYYVDRDDPELKRHPKLSAKWYSQFLKNRSSSIVGSVELDKDPSLVSIGHLFE >VigunL060316.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:70443:70565:1 gene:VigunL060316.v1.2 transcript:VigunL060316.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun11g130800.1.v1.2 pep primary_assembly:ASM411807v1:11:33858230:33862699:-1 gene:Vigun11g130800.v1.2 transcript:Vigun11g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVVRPCGSSSAGIHRLHPTPTSHRSRNVVIRCSLSSVQEKKEKAELDSELQPSLAFPPIRAAKRVVLVRHGQSTWNAEGRIQGSSNFSVLTKKGESQAETSRQMLIDDNFDACFASPLARSKKTAEIIWGSRPEPIITDSDLREIDLYSFQGLLKHEGKAKFGSAFHQWQVDAENFIIDGHYPVRELWDRARSCWTKILAHDSRSVLVVAHNAVNQALVATAIGLGSEYFRKLLQSNCGVSVLDFIPRSEGGSPHICLNRLNQTPGSPIAGGKSGGRETSKRIILVCNGSTQGNTENGFPFGGDQPLNMLGVIQSQKSAELLLDLKVSSIISSSNKASVGTASVISQVQEAADCLGADCVPRYVEMKQIGNLDVETIFKQSEMDISNFPPFQPGWLNRVDDGLRTRLWNQSGKVWQSLLDEISDESKPEEVIVAVGHPAIHIALMGHCLNLSKEWLGSFHLDAGSVSVLDFPDGAKGKGVIRCINYTAHLGRWSIPITRSTEDGEEF >Vigun11g130800.2.v1.2 pep primary_assembly:ASM411807v1:11:33858230:33862699:-1 gene:Vigun11g130800.v1.2 transcript:Vigun11g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVVRPCGSSSAGIHRLHPTPTSHRSRNVVIRCSLSSVQEKKEKAELDSELQPSLAFPPIRAAKRVVLVRHGQSTWNAEGRIQGSSNFSVLTKKGESQAETSRQMLIDDNFDACFASPLARSKKTAEIIWGSRPEPIITDSDLREIDLYSFQGLLKHEGKAKFGSAFHQWQVDAENFIIDGHYPVRELWDRARSCWTKILAHDSRSVLVVAHNAVNQALVATAIGLGSEYFRKLLQSNCGVSVLDFIPRSEGGSPHICLNRLNQTPGSPIAGGKSGGRETSKRIILVCNGSTQGNTENGFPFGGDQPLNMLGVIQKSAELLLDLKVSSIISSSNKASVGTASVISQVQEAADCLGADCVPRYVEMKQIGNLDVETIFKQSEMDISNFPPFQPGWLNRVDDGLRTRLWNQSGKVWQSLLDEISDESKPEEVIVAVGHPAIHIALMGHCLNLSKEWLGSFHLDAGSVSVLDFPDGAKGKGVIRCINYTAHLGRWSIPITRSTEDGEEF >Vigun08g178800.1.v1.2 pep primary_assembly:ASM411807v1:8:34859729:34864614:-1 gene:Vigun08g178800.v1.2 transcript:Vigun08g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCTSVRFLLSVSLTLTLLRFGASNSEGDALYTLKRSLSDPDNVLQSWDPTLVSPCTWFHVTCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPPELGNLKSLVSLDLYNNNISGTIPSSLGKLKNLVFLRLNDNQLTGPIPKDLAAVSSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLVSYDTNCS >Vigun05g091300.1.v1.2 pep primary_assembly:ASM411807v1:5:8826497:8827708:-1 gene:Vigun05g091300.v1.2 transcript:Vigun05g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLSPPFGTPIIAPQYCAPGPHPVDLIITKERSIADNFTVTDTNGNVVFTVKSNIVSIVKPRKHSFMFDAHGNPILHLRRSIQSDGWKAFRGQSEESRDLIFTRHRSSLFQLRLKFDVFLANNVTDVCDFRIKSSWSGRSWDVYIGNSNILVAQINTKLGTMFSRQKYMVSVFPNIDHAFIVALILTLQL >Vigun09g243100.1.v1.2 pep primary_assembly:ASM411807v1:9:41220366:41223409:1 gene:Vigun09g243100.v1.2 transcript:Vigun09g243100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLCCALPSQPIHSNHRTLSSPPFATHASFSNQRQTFRFGNSSKCLKWVIREPAALGLNKFQRLLVHASDSSLNGGLEVHPNKSSNVPVSTFNGVEPFHGKSGSVSFCGLTHQSVEEGKLESAPFEEKGGSYLWVLAPTAFIASLILPQFFVDNVVEAFLNDTILIDAVSLFTHDALFYVGLATFLYVTDRVQRPYLQYSSKRWGLITGLRGYLFSAFLTMGLKIVVPVILLFATWSSSLGMAGIVAVTPFLLGCAVQFAFEKVLDNRGSACWPLVPAIFEVYRLHQLTKAANFAEKLLLALKDLPPSPELVERTGGLFALMISFQLLGLVCLWSLMTFLLRLFPSRPVADHY >Vigun09g088900.1.v1.2 pep primary_assembly:ASM411807v1:9:12184078:12187620:-1 gene:Vigun09g088900.v1.2 transcript:Vigun09g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAHQPQGLYVTSSSRHLPWSKRLKLKECLTKHHMNGRTDWHCLVKRNICLSVGPPCFCLSKLKPLKISAFRGSTRNDDSGTRASRLKAPKTSVRLGESEEAHNVPLSYASETNNSLPTSSSIHKLFNKWLKMLRTQPASQEVEKIFEQPTSAGDLPKTLQGTQIKERGEVLKVAWSHFLALDVTIKIPLLIFVPLYLAVNVKYGAEVSKELTPLWILGPLIVAMQVMIIRWLCALYAFSFKQTVKLFKNSPTYCISAYSYIFRGKLKEDISTNVLQPILSAKNRDYRQLTRKKLKELQEWVLEMYLDYVESIWPYYCRTIRFLKRANLI >Vigun09g110200.1.v1.2 pep primary_assembly:ASM411807v1:9:23608562:23610571:1 gene:Vigun09g110200.v1.2 transcript:Vigun09g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSHSTEREEEYDLFPVYSERSQQDMSAMVSALTQVIGGSNNDSLHHHEDPFTSSDNTSSQNNNEQSQVPQQDQGSGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQGTAEFGYLTNQHLSSTTTATAQSSNPVTQHFAQQTYSPNYHYPQQIMPATGSNTFNNQDMLGFYGGDMFLSSSSSSSSSSSSALSQQQEQFLRFSTQFGGSSSEPPRNWRGDGY >Vigun03g183950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23893917:23894318:-1 gene:Vigun03g183950.v1.2 transcript:Vigun03g183950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLSKTVETLTPATSGINPLPLCIVTSSTEHTKGRSDVWEHFTKQKSVSKKKAKCNYCGDLIKYLDGTCALRNHLMRCKANPNREAFKRQKLSSSTIERVNVGHSSTISKFDKIASRIKLVKMFMKSELPF >Vigun03g388800.1.v1.2 pep primary_assembly:ASM411807v1:3:59532857:59553129:1 gene:Vigun03g388800.v1.2 transcript:Vigun03g388800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKGSPGSQTPGDVEAGPPTDDFDDGDFSADPFDVTRTKNAPVQRLRRWRQAALVLNASRRFRYTLDLKKEEEKKRVLRIVIVHTRAIQAAYRFKEAGQLNGLGTIKPHLSETGEFSIGQEQLSSISRDRDTTTLRECEGVVGLSNLLKTNLEKGIRGDDADLVIRRNAFGSNNYPRKSGRSFLTFMWDACKDLTLIILMVAAMASLALGIKSEGIQEGWYDGGSIAFAVVLVILVTAISDYKQSLQFQDLNEHKRNIHLEVIRDGRRVEISIYDVVVGDIIPLNIGDQVPADGVLISGYSLAIDESSMTGESKIVQKNSNDPFLISGCKVADGNGTMLVTAVGINTEWGLLMASISEDNGEETPLQVRLNGLATLIGIVGLSVAVIVLIVLLARYFSGHTRNPDGSVQFIAGKTKVGGAIDGVIKIFTIAVTIVVVAVPEGLPLAVTLTSFNDCTNYWSNVDFLFNLIFFYLKTCLLDEKMMADKALVRRLSACETMGSATTICSDKTGTLTMNKMTVVEACIGGGKITPHDKLDQLSPMLRTLLFEGVVLNTNGSVYVPQGGNDVEISGSPTERAILEWGIKLGMPFDSVRSSIIHVFPFNSDRKRGGVAIQRPNSEIHIHWKGAAEIVLACCTGYIDVNDQLMEMDEEKMSYFKKAIEDMAADSLRCIAIAFRSFEMENVPTGEELAHWSLPEDDLSLLAIIGLKDPCRPGVRDAVQLCQKAGVKVKMVTGDNVKTAKAIAVECGILGSISEATEPIIIEGKTFRALTDQGREQIVDSILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVKWGRSVYANIQKFIQFQLTVNIAALAINVVAAFSTGDIPLNTVQLLWVNLIMDTLGALALATEPPTDSLMDQRPMGRREPLVSNIMWRNLLIQAIYQVSVLLILNFQGVKLLGLRNEPNRPAIKAKNSLIFNAFVFCQVFNEFNARKPDSFNIFKGVTRNYLFMGIVATTVVLQIVIIEFLGKFTKTVKLDWKLWLISVIIAFISWPLAVVGKLIPVPEAQFSNAVRTCFEKFKKTESEASQRR >Vigun04g142028.1.v1.2 pep primary_assembly:ASM411807v1:4:35268088:35268358:1 gene:Vigun04g142028.v1.2 transcript:Vigun04g142028.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVNDEDDDNTYSYIFLRIMTVVSKSNQGNTKGTHGRIGK >Vigun08g186200.2.v1.2 pep primary_assembly:ASM411807v1:8:35535973:35555559:-1 gene:Vigun08g186200.v1.2 transcript:Vigun08g186200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNFTNDTVSHGVLEDKTQGQNANRTHRSVGNECTDATSSEKEFDMNMEAQYESEGEPNGSGRLQTEATTDDGDAVKDSNLQTAGSKTATMGRWGSTFWKDCGQMGPQNGSESGQESKSGSDYRNADGSEDNSLDGRAERLDSDDDDGQKEAGKGPRGLSDVPAEEMLSDEYYEQDGEEQSDSLHYGGIKKPSESNSWPQRMSTTANRTLHRNSRFSDDAEDDDDDDGDNDNDGDDADYEEEDEADEDDPDDADFEPATTGHAGNKDKDWEGEGSDEDDDSDENIAVSDDDESFYAKRPKGRQRGKIGQNIKSTRDRKAYAASGRQRRLKSSFEDNESTTEDSDNNSDEDFKSSKKRGVHARKNNGRSSSATGLSMRNSEVRTSSRTVRKVSYVESEESEEVDEGKKKKTQKEEIDEEDTDSIEKVLWHQPKGTAEDAERNNRSTEPVLMSHLFDSEIDWNEMEFLIKWKGQSHLHCQWKSFAELQNLSGFKKVLNYTKKIMEDMRYRRSISREEIEVNDVSKEMDLDIIKQNSQVERIIADRISKDNSGDVIPEYLVKWHGLSYAEATWEKDTDIAFAQNAIDEYKAREAAMAVQGKMVDSQRKKSKASLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIYGPFLVVVPLSTLSNWAKEFRKWLPDMNIIIYVGTRASREVCQQYEFYNEKRPGKPIKFNALLTTYEVVLKDKAVLSKIKWSYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLFITGTPLQNSVEELWALLHFLDPDKFRSKDEFVQNYKNLSSFNENELANLHTELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGSSDNSKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILGEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLSMDIDEILERAEKVEEKEADGEQGNELLSAFKVANFCNDEDDGSFWSRWIKPDSVFQAEEALAPRSARNIKSYAEVDPSERTNKRKKKEPEPPERVQKRRKPEYSAPAVPMIEGACVQVRSWSYGNLSKRDALRFSRSVMKYGNESQVDLIAAEVGGAVGAAPTGAQVELFNALIDGCTEAVELGNLDVKGPLLDFFGVPVKANDLLTRVQQLQLLAKRIDRYEDPIAQFRVLSYLKPSNWSKVDDARLLLGIYFHGFGNWEKIRLDERLGLTKKIAPVELQHHETFLPRAPNLKDRANALLEQELAVLGVKNTNSKVGRKPSKKERDNVISLVRGQEKKKKSGSVNVQMRKDRFQKPQKVESIVKEEGEMSDNEEVYEQFKEVKWMEWCQDVMVEEMKTLKRLHRLQQTSANLPKEKVLSKIRNYLQLLGRRIDQIVLEHEEEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLRQEQDEAGVGPSHGNGSVSASFTRNGNLFRRHMERQRGLKNMSTYQTPEPVDNSGKSEAWKRRRRAESDNQFQGQPPAQRSGSNGVRITDPNSLGILGAGPSDKRFGNEKPYRTQPGGFPSRQGFSSGIK >Vigun08g186200.1.v1.2 pep primary_assembly:ASM411807v1:8:35535973:35555559:-1 gene:Vigun08g186200.v1.2 transcript:Vigun08g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNFTNDTVSHGVLEDKTQGQNANRTHRSVGNECTDATSSEKEFDMNMEAQYESEGEPNGSGRLQTEATTDDGDAVKDSNLQTAGSKTATMGRWGSTFWKDCGQMGPQNGSESGQESKSGSDYRNADGSEDNSLDGRAERLDSDDDDGQKEAGKGPRGLSDVPAEEMLSDEYYEQDGEEQSDSLHYGGIKKPSESNSWPQRMSTTANRTLHRNSRFSDDAEDDDDDDGDNDNDGDDADYEEEDEADEDDPDDADFEPATTGHAGNKDKDWEGEGSDEDDDSDENIAVSDDDESFYAKRPKGRQRGKIGQNIKSTRDRKAYAASGRQRRLKSSFEDNESTTEDSDNNSDEDFKSSKKRGVHARKNNGRSSSATGLSMRNSEVRTSSRTVRKVSYVESEESEEVDEGKKKKTQKEEIDEEDTDSIEKVLWHQPKGTAEDAERNNRSTEPVLMSHLFDSEIDWNEMEFLIKWKGQSHLHCQWKSFAELQNLSGFKKVLNYTKKIMEDMRYRRSISREEIEVNDVSKEMDLDIIKQNSQVERIIADRISKDNSGDVIPEYLVKWHGLSYAEATWEKDTDIAFAQNAIDEYKAREAAMAVQGKMVDSQRKKSKASLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIYGPFLVVVPLSTLSNWAKEFRKWLPDMNIIIYVGTRASREVCQQYEFYNEKRPGKPIKFNALLTTYEVVLKDKAVLSKIKWSYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLFITGTPLQNSVEELWALLHFLDPDKFRSKDEFVQNYKNLSSFNENELANLHTELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGSSDNSKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILGEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLSMDIDEILERAEKVEEKEADGEQGNELLSAFKVANFCNDEDDGSFWSRWIKPDSVFQAEEALAPRSARNIKSYAEVDPSERTNKRKKKEPEPPERVQKRRKPEYSAPAVPMIEGACVQVRSWSYGNLSKRDALRFSRSVMKYGNESQVDLIAAEVGGAVGAAPTGAQVELFNALIDGCTEAVELGNLDVKGPLLDFFGVPVKANDLLTRVQQLQLLAKRIDRYEDPIAQFRVLSYLKPSNWSKGCGWNQIDDARLLLGIYFHGFGNWEKIRLDERLGLTKKIAPVELQHHETFLPRAPNLKDRANALLEQELAVLGVKNTNSKVGRKPSKKERDNVISLVRGQEKKKKSGSVNVQMRKDRFQKPQKVESIVKEEGEMSDNEEVYEQFKEVKWMEWCQDVMVEEMKTLKRLHRLQQTSANLPKEKVLSKIRNYLQLLGRRIDQIVLEHEEEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLRQEQDEAGVGPSHGNGSVSASFTRNGNLFRRHMERQRGLKNMSTYQTPEPVDNSGKSEAWKRRRRAESDNQFQGQPPAQRSGSNGVRITDPNSLGILGAGPSDKRFGNEKPYRTQPGGFPSRQGFSSGIK >Vigun03g253900.4.v1.2 pep primary_assembly:ASM411807v1:3:42029606:42032464:1 gene:Vigun03g253900.v1.2 transcript:Vigun03g253900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARHSRLQKSWITRWSWVLVLAVLTMCMSLLILLLLALHLLSMPNTNTSFAPFKHNHLNSIARNASYISEGEDEQTERWVEILSWEPRAFLYHNFLTKEECEYLINIAKPNMKRSTVIETETGIIKTSSERTSFGTLLARGLDKTVRNIEKRIADFTHVPVENGEALQIVHYEVGEEYVPHHDYFMDDLHTANGGDRMATMLISDVEEGGETVFPDAKVNLRSVGGWNQLSDCGRKGLSIKPKMGDALLFWSMKPDLTYDPSSFHE >Vigun03g253900.2.v1.2 pep primary_assembly:ASM411807v1:3:42029604:42032496:1 gene:Vigun03g253900.v1.2 transcript:Vigun03g253900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARHSRLQKSWITRWSWVLVLAVLTMCMSLLILLLLALHLLSMPNTNTSFAPFKHNHLNSIARNASYISEGEDEQTERWVEILSWEPRAFLYHNFLTKEECEYLINIAKPNMKRSTVIETETGIIKTSSERTSFGTLLARGLDKTVRNIEKRIADFTHVPVENGEALQIVHYEVGEEYVPHHDYFMDDLHTANGGDRMATMLMYLSDVEEGGETVFPDAKVNLRSVGGWNQLSDCGRKGLSIKPKMGDALLFWSMKPDLTYDPSSFHE >Vigun03g253900.5.v1.2 pep primary_assembly:ASM411807v1:3:42029619:42031139:1 gene:Vigun03g253900.v1.2 transcript:Vigun03g253900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARHSRLQKSWITRWSWVLVLAVLTMCMSLLILLLLALHLLSMPNTNTSFAPFKHNHLNSIARNASYISEGEDEQTERWVEILSWEPRAFLYHNFLTKEECEYLINIAKPNMKRSTVIETETGIIKTSSERTSFGTLLARGLDKTVRNIEKRIADFTHVPVENGEALQIVHYEVGEEYVPHHDYFMDDLHTANGGDRMATMLISDVEEGGETVFPDAKVNLRSVGGWNQLSDCGRKGLSIKPKMGDALLFWSMKPDLTYDPSSFHG >Vigun03g253900.3.v1.2 pep primary_assembly:ASM411807v1:3:42029603:42031396:1 gene:Vigun03g253900.v1.2 transcript:Vigun03g253900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARHSRLQKSWITRWSWVLVLAVLTMCMSLLILLLLALHLLSMPNTNTSFAPFKHNHLNSIARNASYISEGEDEQTERWVEILSWEPRAFLYHNFLTKEECEYLINIAKPNMKRSTVIETETGIIKTSSERTSFGTLLARGLDKTVRNIEKRIADFTHVPVENGEALQIVHYEVGEEYVPHHDYFMDDLHTANGGDRMATMLMYLSDVEEGGETVFPDAKVNLRSVGGWNQLSDCGRKGLSIKPKMGDALLFWSMKPDLTYDPSSFHG >Vigun03g253900.1.v1.2 pep primary_assembly:ASM411807v1:3:42029619:42031808:1 gene:Vigun03g253900.v1.2 transcript:Vigun03g253900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARHSRLQKSWITRWSWVLVLAVLTMCMSLLILLLLALHLLSMPNTNTSFAPFKHNHLNSIARNASYISEGEDEQTERWVEILSWEPRAFLYHNFLTKEECEYLINIAKPNMKRSTVIETETGIIKTSSERTSFGTLLARGLDKTVRNIEKRIADFTHVPVENGEALQIVHYEVGEEYVPHHDYFMDDLHTANGGDRMATMLMYLSDVEEGGETVFPDAKVNLRSVGGWNQLSDCGRKGLSIKPKMGDALLFWSMKPDLTYDPSSFHGSCPVIKGNKWSCTKWMRIYEFQI >Vigun10g068732.1.v1.2 pep primary_assembly:ASM411807v1:10:16268147:16271815:1 gene:Vigun10g068732.v1.2 transcript:Vigun10g068732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSSSKRQRKYDVLINFSEIEDIGKKFVSHLDSALSANGLTTFLHHQNAVQPKDIQQPILHLCRVAIVVFTKTYSESAWCLHQLQQIIDWHETYSRHVLPVYYEIQPSDVRLQKGDFGKAFKATAHQTFSEQQLEHGMSRWSHALTKVANFFGWDESNHRSDAELVDTIVKSVLNLPVLSVTNFPVRLQSHVQDVIGTIKNKSMEVCRIGICGMEGSGKTTLAKAIYNQIHGTFTEKSFIEDISEVNRTRGCIYLQEQLLSDVLKIKVKIDHSVEMGTGMIQQRLHGKRMLIVLDDMINEYYPLFDLRKCCAWFGKGTVIIITTKDEELLRKHQIDSIFRINLMNANESLELFSWHAFREAKPNEEYSDLAKTVVTYCGGLPLALELIGACLFERTKEEWNTVLLRLDNKIYSLMYIVPLILKISFDSLPNKIEKDLFLDACCFFVGKGRAYVKKMLNGCGVDPNSGIRVLIERNLIKVRKNNKFGIHPLLRDMGSGIIREISGKEHGKNSRMWYDSDAEYALSENTLFSSQRAKNMLKLTGDSGYVSKKLRWASLRGFPSEFLPNNFYHHDAIAIDLKHSLLRFVWKQPQVLLWLKVLNLSHSKYLTKTPDFIGLPRLEQLILKDCPGLHEVHHSIGCLCYLTLLNLKDCTSLSNLPREIFELTTLQTLILSGCSKIDLLEQDVMQMESLITLIAENTVVKQLPFSMVSSKSSGYISLCGLEGLSHNLFPSIIRSWMSPTMNNLSYKHSFCMDMEDNSWDDFVPLLSSLANLRSVLVQCDTELQLSKQVETILIEYGANITNSGTSKHHLKSSLIGVGRCKDFFNAVSDRISEGFGSSESCDISLPSDNDPYWLAHRGKGNSVSFTVPQNRVLKGMALCVVYLSTPEILTTECLRSVLIVNYTKHTLQIHNHGTVIIFNDKDWEGIISNLGSGDKVEIFVIFGHGLLVKRTVVYLICRESNDLEKEPAPKKNSLIRFRKKNVM >Vigun05g111500.1.v1.2 pep primary_assembly:ASM411807v1:5:11643849:11646578:-1 gene:Vigun05g111500.v1.2 transcript:Vigun05g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLDDGEFWLPPQFLADDDVPATPFQEKRQPLQHDALLFPSEFPYGFPSSELASPVDSTAGGSSETESDEEEQLVAELSLRLARSSLQTDNKSVGRFLSGSPQSTLCDFGSGCGCGKGSSQGSPDGVCKMSSAKTTWDLLHAAAGEVERMRLSEEGFSLNQHNGHMVPQRKPSPITTTLPSKTATTINPDVGFYAHQPLSHHQQLQIAHFQLLRQQQLAKQQNTVWNVQKQCGGVYSQRQQQVVGNRGRNSDVTGRNVRPLGLSASAWPPLQHAKQQNQQYGSGMRAVFLGNPSGRRECAGTGVFLPRRVDSPAEPRKKPACSTVLVPARVAQALNLNLDDMVGGQPPQPMQRFNAGSNLENGAAVSRLRSNYVLSQQKRNLKSQPAVYHEFRLPQEWTY >Vigun02g155700.1.v1.2 pep primary_assembly:ASM411807v1:2:30220052:30224542:-1 gene:Vigun02g155700.v1.2 transcript:Vigun02g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREPHHQPQLPNMMMGPTSYPSMLAPATARFPFISNNHIPHHNPPPPPPPPPEPLNDNHHEAALKQCALGMGSSESSKKKRGRPRKYSSDGGNIALGLVPNHPAASSAESPAKKHRGRPPGSGKKQMDALGITGTGFTPHVISAEAGEDIAAKIMAFCEQGPRTVCILSAVGPIRNVTIRQPPSASSLACPDVSYEGEFEIISLSGFTEQSENNNGHNGMRSLNVSLAGPDGRVLGGEVSGALTAASAVQVVVGSFIADGKKSSSNNVKSGRSTTPSSQLLTFGAPTTPTTPTSQGPSTESSEDNENNNFIKGPGGLGGPGLSNNASQPIHHNLQMYHHLWAGHTQQ >Vigun06g067300.2.v1.2 pep primary_assembly:ASM411807v1:6:19595397:19597286:1 gene:Vigun06g067300.v1.2 transcript:Vigun06g067300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEDLNLSRSESGIEVKDKVVDINSGFAWTVEVPKKFIIEVEFLDLKCASPSGGEPCSIWAEGFSQERSHANVIESICRMLTEGINTDITINVSDGSIGAHRAVLAARSPVFRSMFSHNLQEKELSTINISDMSLESCQAFLNYLYGIIRHEEFLIHRLALLRAADKYDISDLRDACHESLLEDIDTKNVLERLQNASLYQLMKLKMSCIRYLVKFGKIYEIRDDFNTFLHNADRDLIAEVFHEVLSHSVTLPVGMKRKFDCL >Vigun06g067300.1.v1.2 pep primary_assembly:ASM411807v1:6:19595397:19597286:1 gene:Vigun06g067300.v1.2 transcript:Vigun06g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSACEVETTPRLAQWRIENFVYVSSRRSKPFKIGKWNWILSVEKNTIQLFPQWSSYPIPSPPIASFIFRVLSSVGDRRTILHSSEVKDKVVDINSGFAWTVEVPKKFIIEVEFLDLKCASPSGGEPCSIWAEGFSQERSHANVIESICRMLTEGINTDITINVSDGSIGAHRAVLAARSPVFRSMFSHNLQEKELSTINISDMSLESCQAFLNYLYGIIRHEEFLIHRLALLRAADKYDISDLRDACHESLLEDIDTKNVLERLQNASLYQLMKLKMSCIRYLVKFGKIYEIRDDFNTFLHNADRDLIAEVFHEVLSHSVTLPVGMKRKFDCL >Vigun05g220200.2.v1.2 pep primary_assembly:ASM411807v1:5:41155404:41157107:1 gene:Vigun05g220200.v1.2 transcript:Vigun05g220200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMDNQINHHKSVKVIEECQVAPPPSLPSTTLPLTFFDLPWFCCRVPIQRIFFYEFPHPTHHFLQTTLPILKHSLSLSLQHFFPFASNLIVPPQPHLSHIRYLHGDYLSFIVAESTADFTLLASHSPQDVRNWHPLIPTLPPPHVNKDGTRVFPLMTIQVTVFPNSGFTICLTFNHVVGDGKSLHHFIKFWASLCKARGDLDPFETTLSLPSHERTRLKDPKGLKLLYSQAIEITEPKITEFVGLRFRFSVVLSRELIDKLKNWVSFKCGTHDSGALHISTFVITCSLIWVCMVGSEESEQYSDQLCYLVFPADSRDRPEFSLTSTYFGNCLTICMAAMKRSEIVGENGIVAAANAIEREIRDFKSDALKKVENWVSDYRERVKPETKKKEFKLDWHLKGFR >Vigun05g220200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41155402:41157105:1 gene:Vigun05g220200.v1.2 transcript:Vigun05g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMDNQINHHKSVKVIEECQVAPPPSLPSTTLPLTFFDLPWFCCRVPIQRIFFYEFPHPTHHFLQTTLPILKHSLSLSLQHFFPFASNLIVPPQPHLSHIRYLHGDYLSFIVAESTADFTLLASHSPQDVRNWHPLIPTLPPPHVNKDGTRVFPLMTIQVTVFPNSGFTICLTFNHVVGDGKSLHHFIKFWASLCKARGDLDPFETTLSLPSHERTRLKDPKGLKLLYSQAIEITEPKITEFVGLRFRFSVVLSRELIDKLKNWVSFKCGTHDSGALHISTFVITCSLIWVCMVGSEESEQYSDQLCYLVFPADSRDRPEFSLTSTYFGNCLTICMAAMKRSEIVGENGIVAAANAIEREIRDFKSDALKKVENWVSDYRERVKPGKSRLFIAGSPKLGVYQTDFGWGKPKKCEAAHIESSASISLCDCRDEKEGIQVGLALERVQMNKFVNILEQKLHNIDKF >Vigun09g041100.1.v1.2 pep primary_assembly:ASM411807v1:9:3772228:3777240:-1 gene:Vigun09g041100.v1.2 transcript:Vigun09g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSMELQFLLLLLFLLAVAAHGLASNATLNETCGYFDGSLSRDAKVPCPIGWVMDPNKTKCFLHVGRPQSWNDSEICCSKYGGHLASLTSLQELQFARSLCGESVNSCWIGGKRHNTTTGYQWMWSDNSPWNNSISPLENVPPHCNGTVLSCHRNNSDNLCTVMTNNSNSLVSERCDNPHSSLCILDIDAACNRMHCHRDYYLIILIVVSALILSTTLAVVVWLLVYKRGKKRRRSRKISVPGASLPSWKVFTKEELRSITKNFSEGNRLVGDTKTGGTYSGVLSDGSRVAVKRLKRTSFQRKKEFYSEISRVARLRYPNLVAVMGCCYDHGDRYIVYEFVANGPLDKWLHHIPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGARLMGVGLSKIVPYEVMHERTVMAGGTYGYLAPEFVYRNEFTTKSDVYSFGVLLLEIVSGRRPTQAVDSVGWQSIFEWATPLVQVHRYHELLDPHITSSSSSIIPEASTIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQIAQPPAK >Vigun08g042300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4371192:4372165:1 gene:Vigun08g042300.v1.2 transcript:Vigun08g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVMGLESPLFHTLHHMMDMSEERVGEKTQNAPTQTYVRDAKAIAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDDNVLVISGERKREEEKEEKAGVKFLRMERRVGKLMRKFVLPENANTDAISAVCQDGVLSVTVQKLPPPEPKKPKTIEVKIA >Vigun09g207850.1.v1.2 pep primary_assembly:ASM411807v1:9:38227992:38230610:-1 gene:Vigun09g207850.v1.2 transcript:Vigun09g207850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRCGDLEIRSKDKGTGAMLEEAEAPVTEKCCIYKVPFDIRKLNEEAYTPKVVSIGPFHHNSPHLQNMERHKLIYCKAFLERYQISLESWIHYIERVEHEFRSCYSETLHFTKEELVRIIFVDCGFIFELFWCIFYDEWLGKNNAFLMKPWLATNIRLDLLLLENQLPFFVLENLFNLSFASAPAAATNRKIPSFLELTFDYFIYYNRSKLTSQNIRIMHFTDLIRTFHLQYPLQHRIETDESIMHLPSATELSEAGVKFKVDTQSDCLLDLRFSGRVLQIPQLKVEDWTERLFRNMVALEQCHYPEQSYITDYVAILDFLVNTSRDVDLLVRKRVLVNWLGESDSVANMFNGLWKNITHINLNAQYFLLCNDLNAFSRNPLNQLKSTLRRDYCNTPWQTAVSVAGIVLLVLSLVEAVCSILQTV >Vigun07g159400.1.v1.2 pep primary_assembly:ASM411807v1:7:27115314:27118858:-1 gene:Vigun07g159400.v1.2 transcript:Vigun07g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLFEGLPPPSSNIIVPQHHQPQPEPIAVATDNDGNTESSAVPAPKSILKSALKQSSAIPPPKPILKSALKRPNPTEPDTQAAAPKKSLKFKTMTDASEAQVIDAMQKISSHIKNPSKFSKAAKLAVQLIQAGSVKAEVSDYFFAILEAAMSSSITCTDPSVRADYHCLFSAAQNAKEHLNKKQKNQLAAWTINAVVANDLYTDDSFVFSKAAGKIKEIISSLPVATEEEDAEEAKSLKDSTVIADEGGKTAATDNDNEGKEADPFGLDALIPNSTKKGEKLKAKNEAAVEIREDEEETKRFLKSQREALITCLEIAARRYKIPWCQTVIDILVKHASDNVSRFTASQRDAVGKLWASIREQQTRRKQGKSVNGKLDVNAFEWLQQKYANEKISIRHSVGASGDRRAQQWLG >Vigun07g215700.2.v1.2 pep primary_assembly:ASM411807v1:7:33757483:33759293:-1 gene:Vigun07g215700.v1.2 transcript:Vigun07g215700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQLGQSLLLYILLAVIFILGASMWLTRSGVVDSLLNRGGGEQSAKGLKVRARQVTKSFNNEGNGKQNLDNASIICSRWKV >Vigun07g215700.1.v1.2 pep primary_assembly:ASM411807v1:7:33757483:33759293:-1 gene:Vigun07g215700.v1.2 transcript:Vigun07g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQLGQSLLLYILLAVIFILGASMWLTRSGVVDSLLNRGGGEQSAKGLKVRARQVTKSFNNEGNGKQNLDNASIICDGPSEATNLLTALFQFIIYLVRGGKYKADGGHKSK >Vigun07g051800.1.v1.2 pep primary_assembly:ASM411807v1:7:5424402:5427870:-1 gene:Vigun07g051800.v1.2 transcript:Vigun07g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDVSAYEHSPVHKAIVLRDHIALDELLGRLPKLSNPFEIKTEAASKAEDEKASVISAIVDRRDVPNGDTPIHLAVKHGDFIGTEMLMDAGANGRLKNKEGWTALREAIIHKQDKIAMVMIRYYWNDYERKYFRRLPRYIGTMRRMKDFYMEITFHFESSVIPFISRIAPSDTYKIWKKGGNLRADMTLAGFDGLKIKRSNQSILFLGDGAKDDDRKFPGSLFKVSHKEKEVLVISPTIASPTEREVKKTLNQKYRTDSVRVGIDVSEAVLVPQVTWRKKERKEMVGPWKAKVYDMQNVVLSVKSKRIPGAPPPEARQRKKKEGEKIDDILTDDEKKQLEAAINSTDENGPCEKSNRVKKEKKEKKEKKEKKGKSGGQKDHEDKTTKANSENFTSKNGENQYTRGMIPALWLSQNFPLTIDELLPMLDILAERIKAVRRLRELLTTKLPKETFPVRVAIPVVSTVRVLVTFTKFEELQQDNGDEFESAPSSPTGDQMNLEEEENSSAAAPSSSSSSSSWFQWIKTPSRSSSSNLESSSRVFDEQDLFAIPSDYTWLTQKEKAKQKKVG >Vigun01g026700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3144695:3145105:-1 gene:Vigun01g026700.v1.2 transcript:Vigun01g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVKNNHVVMMKRKGKSKRGEKKDVKVTYISSPMKVKTSASNFRALVQELTGQFSNVAEMFVEADYCYNYDDDDGVLDDANQKGTNIQQWSTGTSETYSHESNTWFKSLDHHHSSSFMEPLNGQLQYEFLSFDMI >Vigun05g226100.1.v1.2 pep primary_assembly:ASM411807v1:5:41848220:41849422:-1 gene:Vigun05g226100.v1.2 transcript:Vigun05g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLNPSTGKAVSGSGNEESGWTSYFEDFSKGMDEQSYCSSLGGSSLVSDAASSAAWKLSHHNHLLSYPSVRVRDSSNNNVSKKLRFKKTRTQQISDDDPLEDTASSPVNSPKVGDLKISCKIDDDQLDGSTSRGKGFHSEHYTNLLQTDECDMNFNENNVECTADLKKRGLCLVPWSMLVNYYG >Vigun05g181200.1.v1.2 pep primary_assembly:ASM411807v1:5:34626578:34629113:-1 gene:Vigun05g181200.v1.2 transcript:Vigun05g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTVLFSRRNPVLFLKTPYRLITSQAQAQAQAQPSIKPLHVLFTEAVGHSQKTTTSDTDEEQTESSETATELKKNLKQLEQDIKTLKEKTPGIPKRTSLFAAFTNKKPPSKPKDSVVVKELSPDMKMFAQHLFEKGYFKDANFSQSKKKFDLDWFTSFFAVGYIKFAAQRFARDNQEIAKWLSGSALKQVATFGCSDTNKSAVFPAKRLRKFFEVPENTVCCKCTLQQTCKFMNQSVWNVNTNSLELVTVMKVITSYGLESVHPQLVVPEVVKKSVSQLLKEIVKLSPTT >Vigun09g187400.2.v1.2 pep primary_assembly:ASM411807v1:9:36114697:36118972:-1 gene:Vigun09g187400.v1.2 transcript:Vigun09g187400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRTLSPAYPDRQYINGSFPVSSPTHKLASGNAKYSSPLPALAAEFRRLVGGVFTRRHGRKGQWRRAAFRCVLCFFVGFLLGMFPFGHMAEDIRSHEISFEMKPPLPHANNAQQLRQEDRVLRNRVDEGFVIDPVSLSAERERQSDRFDFVPRKPLIVVTPTYGRAFQAYFLNRLGQVLRLVPPPVVWIVVEMKAASMETAEVLRKTGVMYRHLVCNKNLTDVKDRGVHQRNTALEHIERHRLDGIVYFADDDNVYSLELFDSLRDISRFGTWPVAMLAPSKNKAILEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPSLNPIRQFDTVKEGFQETTFIEQLVEDESQMEGSPHGCSKIMNWHLHLSAHNTVYPKGWVLQKNLDAIIPVK >Vigun09g187400.1.v1.2 pep primary_assembly:ASM411807v1:9:36114695:36118972:-1 gene:Vigun09g187400.v1.2 transcript:Vigun09g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRTLSPAYPDRQYINGSFPVSSPTHKLASGNAKYSSPLPALAAEFRRLVGGVFTRRHGRKGQWRRAAFRCVLCFFVGFLLGMFPFGHMAEDIRSHEISFEMKPPLPHANNAQQLRQEDRVLRNRVDEGFVIDPVSLSAERERQSDRFDFVPRKPLIVVTPTYGRAFQAYFLNRLGQVLRLVPPPVVWIVVEMKAASMETAEVLRKTGVMYRHLVCNKNLTDVKDRGVHQRNTALEHIERHRLDGIVYFADDDNVYSLELFDSLRDISRFGTWPVAMLAPSKNKAILEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPSLNPIRQFDTVKEGFQETTFIEQLVEDESQMEGSPHGCSKIMNWHLHLSAHNTVYPKGWVLQKNLDAIIPVK >Vigun09g187400.3.v1.2 pep primary_assembly:ASM411807v1:9:36114215:36118972:-1 gene:Vigun09g187400.v1.2 transcript:Vigun09g187400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRTLSPAYPDRQYINGSFPVSSPTHKLASGNAKYSSPLPALAAEFRRLVGGVFTRRHGRKGQWRRAAFRCVLCFFVGFLLGMFPFGHMAEDIRSHEISFEMKPPLPHANNAQQLRQEDRVLRNRVDEGFVIDPVSLSAERERQSDRFDFVPRKPLIVVTPTYGRAFQAYFLNRLGQVLRLVPPPVVWIVVEMKAASMETAEVLRKTGVMYRHLVCNKNLTDVKDRGVHQRNTALEHIERHRLDGIVYFADDDNVYSLELFDSLRDISRFGTWPVAMLAPSKNKAILEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPSLNPIRQFDTVKEGFQETTFIEQLVEDESQMEGSPHGCSKIMNWHLHLSAHNTVYPKGWVLQKNLDAIIPVK >Vigun05g072700.1.v1.2 pep primary_assembly:ASM411807v1:5:6407507:6411046:-1 gene:Vigun05g072700.v1.2 transcript:Vigun05g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGHADHISESRSVKDQIAESGSDLVDDEEEDYLEQILYSASFEELASNSVKYDTVIWLAISLLLVLAWGIGLFMLLYLPIRRYVLQKDFSSRRLYVTHTEVVYKVSRPSFIPFWGTVTIERRVPLSLVIDIIIEQGCLQSIYGIHTFRLESIAHGKAAPVDELQVQGVYDPSLLRKMIVTEASKITQDVSTSAMHAAPITDVENISLMPAATEGSVDLRSPSKSLKMAGSSHASLERRLAGGLLLTKLEEVNKSVKRLELLIEKSHASPSIR >Vigun05g072700.2.v1.2 pep primary_assembly:ASM411807v1:5:6407530:6411040:-1 gene:Vigun05g072700.v1.2 transcript:Vigun05g072700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLPIRRYVLQKDFSSRRLYVTHTEVVYKVSRPSFIPFWGTVTIERRVPLSLVIDIIIEQGCLQSIYGIHTFRLESIAHGKAAPVDELQVQGVYDPSLLRKMIVTEASKITQDVSTSAMHAAPITDVENISLMPAATEGSVDLRSPSKSLKMAGSSHASLERRLAGGLLLTKLEEVNKSVKRLELLIEKSHASPSIR >Vigun04g166300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39075608:39077038:-1 gene:Vigun04g166300.v1.2 transcript:Vigun04g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLIKVKTALSHSLTKKPSFSSSKPKHVAVLSFEIANVMSKLLHLWQSLSDANVVRLRNDAVALEGVRKLISNNESFLLSLAVAEFADSLRLVANSVSRLSNYCHDPSLRSFHRIFTEFANSGLDPRAWTLTTPKDIEAKHRKLQHYVTLTATLHKEIDALTLLESAFRKALLSADNAATEHSSHKKLSDLQQKIFWQKQEVKNLKERSLWNKNFDGVVLLLARFVFTVLARIKVVFGIGHSSSVPFLSRSLSSVYPSDHQNPISSSCSFVSGPLKSSKLDDENENLGNGFFESNCKVLKLKGDGESDTLGASALALHYANLVMVLEKMIKSPQLVGVDARDDLYGMLPSSIRSSLRARLRRVGFSACDDHVLAGEWRDALGRILGWLAPLAHNMIKWHSERSYEQQNLVPKTNVLLLQTLFFANKEKTEAAITELLVGLNYVWRFEREMTAKALFECTKFNNGFLSLHKPS >VigunL011500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:26519:26878:-1 gene:VigunL011500.v1.2 transcript:VigunL011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun04g030700.4.v1.2 pep primary_assembly:ASM411807v1:4:2428689:2438425:-1 gene:Vigun04g030700.v1.2 transcript:Vigun04g030700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDLQLQGTSISGSGTWEAVLVNRAADSNLLKLVQKAQELTGKSSSDFEVIDSNFVRKLAMFVADYMGGQVGDHESMTRAWRSLSYSLKATLGSMFLPLGSLTIGLSRHRALLFKVLADSLGIPCRLVKGLQYTNSDDVAINFVKIDDGREYIVDLMADPGTLIPADATGSQIECDESSYVASPSSRDLDSSHVASSSSGVGSSYEETLDLGMLDKANRSKHFPHTGKESDVSMPSTVKEESIQTLNEFKSPRNVDEKITEQEAHGRPNYPHVHGRSPSWTEGISSPAVRKMKVKDVSLYMIDAAKENPHLAQRLHDVLLESGVVAPPNLFSEIYDDDSSSSAEANFPTEEKDEHKQRSGHKEAELDGNLSQARILPPLAQNRVQNKHVEGLGINLPFVQHIQPQVKYGQNVPVAAAAAAAAAVVASSMVAAVAKSSIDSNIDLPVAAAATATAAAVVATTAAVSKQYEQGSRSDGDTDSAGDLKGSGDGEHIALGANSEGERRSDRSVVSNDSTKSDSALDDHDVAEVDIPWEEISLGERIGLGSYGEVYRGEWRGTEVAVKRFLDQDISGESLEEFKTEVKIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNSQLDERRRLKMAIDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDNVDPTIADIIRKCWQTDPKLRPTFVEILAALKPLQKTVTGSQVPRPSASGKHERGQSSRVIEDPA >Vigun04g030700.3.v1.2 pep primary_assembly:ASM411807v1:4:2428689:2438316:-1 gene:Vigun04g030700.v1.2 transcript:Vigun04g030700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLKKLHIMSNRSENEQGSSSSKGSKSNLGSSSSSKKILRSKSTQSSEQKPLSGLSSWLHSVANRQSSSPPPSLSQARGERMEPSDAVTSGGLDVVSDSARLDSASSASRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPGYTPAEVVAYRYWNYNALGYDDKILDGFYDLYGNLSGSNPARMPSFVDLQLQGTSISGSGTWEAVLVNRAADSNLLKLVQKAQELTGKSSSDFEVIDSNFVRKLAMFVADYMGGQVGDHESMTRAWRSLSYSLKATLGSMFLPLGSLTIGLSRHRALLFKVLADSLGIPCRLVKGLQYTNSDDVAINFVKIDDGREYIVDLMADPGTLIPADATGSQIECDESSYVASPSSRDLDSSHVASSSSGVGSSYEETLDLGMLDKANRSKHFPHTGKESDVSMPSTVKEESIQTLNEFKSPRNVDEKITEQEAHGRPNYPHVHGRSPSWTEGISSPAVRKMKVKDVSLYMIDAAKENPHLAQRLHDVLLESGVVAPPNLFSEIYDDDSSSSAEANFPTEEKDEHKQRSGHKEAELDGNLSQARILPPLAQNRVQNKHVEGLGINLPFVQHIQPQVKYGQNVPVAAAAAAAAAVVASSMVAAVAKSSIDSNIDLPVAAAATATAAAVVATTAAVSKQYEQGSRSDGDTDSAGDLKGSGDGEHIALGANSEGERRSDRSVVSNDSTKSDSALDDHDVAEVDIPWEEISLGERIGLGSYGEVYRGEWRGTEVAVKRFLDQDISGESLEEFKTEVKIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNSQLDERRRLKMAIDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDNVDPTIADIIRKCWQTDPKLRPTFVEILAALKPLQKTVTGSQVPRPSASGKHERGQSSRVIEDPA >Vigun04g030700.2.v1.2 pep primary_assembly:ASM411807v1:4:2428689:2438316:-1 gene:Vigun04g030700.v1.2 transcript:Vigun04g030700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDLQLQGTSISGSGTWEAVLVNRAADSNLLKLVQKAQELTGKSSSDFEVIDSNFVRKLAMFVADYMGGQVGDHESMTRAWRSLSYSLKATLGSMFLPLGSLTIGLSRHRALLFKVLADSLGIPCRLVKGLQYTNSDDVAINFVKIDDGREYIVDLMADPGTLIPADATGSQIECDESSYVASPSSRDLDSSHVASSSSGVGSSYEETLDLGMLDKANRSKHFPHTGKESDVSMPSTVKEESIQTLNEFKSPRNVDEKITEQEAHGRPNYPHVHGRSPSWTEGISSPAVRKMKVKDVSLYMIDAAKENPHLAQRLHDVLLESGVVAPPNLFSEIYDDDSSSSAEANFPTEEKDEHKQRSGHKEAELDGNLSQARILPPLAQNRVQNKHVEGLGINLPFVQHIQPQVKYGQNVPVAAAAAAAAAVVASSMVAAVAKSSIDSNIDLPVAAAATATAAAVVATTAAVSKQYEQGSRSDGDTDSAGDLKGSGDGEHIALGANSEGERRSDRSVVSNDSTKSDSALDDHDVAEVDIPWEEISLGERIGLGSYGEVYRGEWRGTEVAVKRFLDQDISGESLEEFKTEVKIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNSQLDERRRLKMAIDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDNVDPTIADIIRKCWQTDPKLRPTFVEILAALKPLQKTVTGSQVPRPSASGKHERGQSSRVIEDPA >Vigun04g030700.1.v1.2 pep primary_assembly:ASM411807v1:4:2428689:2438425:-1 gene:Vigun04g030700.v1.2 transcript:Vigun04g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLKKLHIMSNRSENEQGSSSSKGSKSNLGSSSSSKKILRSKSTQSSEQKPLSGLSSWLHSVANRQSSSPPPSLSQARGERMEPSDAVTSGGLDVVSDSARLDSASSASRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPGYTPAEVVAYRYWNYNALGYDDKILDGFYDLYGNLSGSNPARMPSFVDLQLQGTSISGSGTWEAVLVNRAADSNLLKLVQKAQELTGKSSSDFEVIDSNFVRKLAMFVADYMGGQVGDHESMTRAWRSLSYSLKATLGSMFLPLGSLTIGLSRHRALLFKVLADSLGIPCRLVKGLQYTNSDDVAINFVKIDDGREYIVDLMADPGTLIPADATGSQIECDESSYVASPSSRDLDSSHVASSSSGVGSSYEETLDLGMLDKANRSKHFPHTGKESDVSMPSTVKEESIQTLNEFKSPRNVDEKITEQEAHGRPNYPHVHGRSPSWTEGISSPAVRKMKVKDVSLYMIDAAKENPHLAQRLHDVLLESGVVAPPNLFSEIYDDDSSSSAEANFPTEEKDEHKQRSGHKEAELDGNLSQARILPPLAQNRVQNKHVEGLGINLPFVQHIQPQVKYGQNVPVAAAAAAAAAVVASSMVAAVAKSSIDSNIDLPVAAAATATAAAVVATTAAVSKQYEQGSRSDGDTDSAGDLKGSGDGEHIALGANSEGERRSDRSVVSNDSTKSDSALDDHDVAEVDIPWEEISLGERIGLGSYGEVYRGEWRGTEVAVKRFLDQDISGESLEEFKTEVKIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLPRGSLYRLLHRPNSQLDERRRLKMAIDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDNVDPTIADIIRKCWQTDPKLRPTFVEILAALKPLQKTVTGSQVPRPSASGKHERGQSSRVIEDPA >Vigun05g283700.2.v1.2 pep primary_assembly:ASM411807v1:5:47199740:47203524:1 gene:Vigun05g283700.v1.2 transcript:Vigun05g283700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIEVAAHQHMNLHVERRKGGFITMPFIIANEALAKLASVGLIPNMILYLMGDYRMGAVKATKILFLWFAATNFGPVLAAFVADAYLGRFLSIGLGSILSFLGMAVMWLTAMIPEARPCSHSTENCKSATTPPQMAILLSCFALISIGGGGISCSLAFGADQLKQKTKPNNQRILESFITWYIASQTIAVVFALTGIVYIQDHFGWKLGFGVPAALMFFSTLSFFLISPRYVKQKPNTSLITGFAQVIFVAYKNRKLSFPPKNSTGNGVYHRDKDSTLIAPTDKLRFLNKACIIRDRERDIASDGSASEKWSLCTIEQVEELKAIIKVVPIWSTGIMVAVSTSQTSLWLLQAKTMNRHVTSSFQIPAGSFGVFMMLAVCITAGVYDRVILPVASKVRGKPVTISAKRRIGIGLFFSFLDFVTSAIVESVRRRKAIREGFVDNPDAVLEMSAMWLIPHNILCGIAEAFNTIGQSEFYYSEFPSSMSSIAASLFSLGSAVGNLVASLILSIVDNATSKGGKQSWVSDNINKGHYDKYYWLLAIMSAVNFLYYLVCSWAYGPSAEAVSKKEERGKRVHDQQHEAM >Vigun05g283700.1.v1.2 pep primary_assembly:ASM411807v1:5:47199740:47203524:1 gene:Vigun05g283700.v1.2 transcript:Vigun05g283700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIEVAAHQHMNLHVERRKGGFITMPFIIANEALAKLASVGLIPNMILYLMGDYRMGAVKATKILFLWFAATNFGPVLAAFVADAYLGRFLSIGLGSILSFLGMAVMWLTAMIPEARPCSHSTENCKSATTPPQMAILLSCFALISIGGGGISCSLAFGADQLKQKTKPNNQRILESFITWYIASQTIAVVFALTGIVYIQDHFGWKLGFGVPAALMFFSTLSFFLISPRYVKQKPNTSLITGFAQVIFVAYKNRKLSFPPKNSTGNGVYHRDKDSTLIAPTDKLRFLNKACIIRDRERDIASDGSASEKWSLCTIEQVEELKAIIKVVPIWSTGIMVAVSTSQTSLWLLQAKTMNRHVTSSFQIPAGSFGVFMMLAVCITAGVYDRVILPVASKVRGKPVTISAKRRIGIGLFFSFLDFVTSAIVESVRRRKAIREGFVDNPDAVLEMSAMWLIPHNILCGIAEAFNTIGQSEFYYSEFPSSMSSIAASLFSLGSAVGNLVASLILSIVDNATSKGGKQSWVSDNINKGHYDKYYWLLAIMSAVNFLYYLVCSWAYGPSAEAVSKKEERGKRVHDQQHEAM >Vigun10g009400.1.v1.2 pep primary_assembly:ASM411807v1:10:904944:911959:-1 gene:Vigun10g009400.v1.2 transcript:Vigun10g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEEEELVAEVEAVEAVYGTDCTVLSSFPPHFHLFLKPRTADVSSQQFVEAVLEIRATLQYPKEPPSIELVDCKGLDEQRQKHLLNYIQSKACEISPCLMLVALCEEAVEKLSAMNHPDGDCPLCLFPLVPEDQQSETLPFMKLMSCFHCFHSECIIRWWKWLQSSKEPDSANTDSVAAHRNHDNHKKMEESVGNCPVCRKPFLAKDLDHVLALVGSYSSQLTSDNEELNDEDTLLQSENEIIRRHKFKAILNLQKENSGLIEPKKDIVILTGMYLPQPVAMPSSTSTKESDEQTQNEPPAVVSAKHVGGTSNGPSSSRQRNFGPRRQKARSDMKSKKTSSTVGKKRYSQQ >Vigun02g122900.2.v1.2 pep primary_assembly:ASM411807v1:2:27501163:27502973:1 gene:Vigun02g122900.v1.2 transcript:Vigun02g122900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPGSGEDLLRGQVKTLLLKVEEEVEITKFSLESSELLAPIPVNASETQNISGLASVTPSDVFQQPLVPQVVVNQENFESEKSVISRSLLLDFSDKSPDSNASEECFSELVSSSFTDDDDDDSMWSMQTNASIQDEDEGKIAEDKEDYIENIKGGIVLDELCEGLNRINMNEGMAPKFEGKHTRFIYDSDDNEIVKEEVEEKCAQNDSSPNILCLKGLPTPKGKHLRFYDDEEEEGKSSL >Vigun02g122900.1.v1.2 pep primary_assembly:ASM411807v1:2:27501163:27502968:1 gene:Vigun02g122900.v1.2 transcript:Vigun02g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRTVPTHNPSTTVSRKIEDSGKNVSASKSRGQQWNSSALIDITNDSPIVGLANGVILDTPLAKQSGSRMKNTPGSGEDLLRGQVKTLLLKVEEEVEITKFSLESSELLAPIPVNASETQNISGLASVTPSDVFQQPLVPQVVVNQENFESEKSVISRSLLLDFSDKSPDSNASEECFSELVSSSFTDDDDDDSMWSMQTNASIQDEDEGKIAEDKEDYIENIKGGIVLDELCEGLNRINMNEGMAPKFEGKHTRFIYDSDDNEIVKEEVEEKCAQNDSSPNILCLKGLPTPKGKHLRFYDDEEEEGKSSL >Vigun02g160900.2.v1.2 pep primary_assembly:ASM411807v1:2:30660014:30662807:-1 gene:Vigun02g160900.v1.2 transcript:Vigun02g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTTNKTSLSSYFHSLSQTPQRLKKRMLATWTPDQELNQVRQRSGADMKRSLKWYDLVALGLGGMLGVGVFVTTGSVAHHQSGPSVFISYIIAGLSALLSSLCYTEFAVQVPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVSRSFTEYLSFAFGENDPDVWRVKLHGLPKDYNMLDLPAVSLILLLTLCLCYSTKESSMLNLIMTVFHVIFFGFIIVSGYCTGSVKNLVSPKGLAPFGVRGVVNGAAKVYFSYIGYDSASTLAEEIKDPSKNLPIGIIGSVLITTVLYCLMSLSLCMMAPYNKVSA >Vigun02g160900.1.v1.2 pep primary_assembly:ASM411807v1:2:30660014:30662807:-1 gene:Vigun02g160900.v1.2 transcript:Vigun02g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTTNKTSLSSYFHSLSQTPQRLKKRMLATWTPDQELNQVRQRSGADMKRSLKWYDLVALGLGGMLGVGVFVTTGSVAHHQSGPSVFISYIIAGLSALLSSLCYTEFAVQVPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVSRSFTEYLSFAFGENDPDVWRVKLHGLPKDYNMLDLPAVSLILLLTLCLCYSTKESSMLNLIMTVFHVIFFGFIIVSGYCTGSVKNLVSPKGLAPFGVRGVVNGAAKVYFSYIGYDSASTLAEEIKDPSKNLPIGIIGSVLITTVLYCLMSLSLCMMAPYNKISDKSSFAFAFAKIGGMWESNLVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTATPLNATIFLGVCTACIAVFTDLDIIMELINIGTLVVFYLVANAVIYRRYVISSHTPPFYTLLFLFLFSVSAVGFSLSWKFNKLWWGLPLFGGVMITMTAFYHHQVPQNHAQHPRTTDWCVPFMPWPPALSIFLNVFLITTLKLLSFQRFAMWACFITLFYLLYGVHSTYQAEEIQIAANQVNSTSTNAQTNKVEVQVL >Vigun10g095850.1.v1.2 pep primary_assembly:ASM411807v1:10:28199260:28202675:1 gene:Vigun10g095850.v1.2 transcript:Vigun10g095850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADIFNRQYTGWNTSALQVLEKLFPKDCRRLPGFSPSKVLDSGVGTGSTFWYYILELCYGECCIKKFSYLYQEVSLFFFGYMYVFEFHFCRSSSGIHCFTGWRQNLALSLLSIIYELCA >Vigun09g076750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8790405:8791151:1 gene:Vigun09g076750.v1.2 transcript:Vigun09g076750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLPHPLQPTRVQRWQRFSLHRRRRFSLHRRRRGIYSPNRWRLPFLCTGVDELVALASGRLPSHDDELPETMAAHKAQNDYFCNSPETFSGDENLNLKCFITIILCYYSFSLLLLYLHAI >Vigun03g215400.1.v1.2 pep primary_assembly:ASM411807v1:3:35742610:35747660:-1 gene:Vigun03g215400.v1.2 transcript:Vigun03g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCMSKTGACAGGRLNFCKKTMKKQDEVRKRVSSQMSNGSLNKVDNMPEPEPEPETQPRPFANPISQDGIVEEAWFDSVGLFDSECDDDYQSVLDDFISLNGTESNRGVLTDQTHEPGELSKGHSAHDSEGVKNSQTESCDVDITDSKFKREGSVNEAHEPVFLDEFSMMDENSKRDDEDMDDCGILPNNCLSCLSSIDQRSLCSSPNTRKRDPTKHSSKWNGNATLTSSKTILLRPLAGYQVSFCPIEKRMLDSWSQIDPCTFKVRGPNYLKDKKKEFASNHSAYYPFGVDVFLSPRKIDHIARFVELPLASPFGKLPPLLVVNVQIPVYPPSLFQGETDGEGMSFVMYFKLSESYSKDLPLNFQENIRRLMDDEVETVKTFLGDAIVPFRERLKILGRIVNPEDLHLSAAERKLIQSYNEKPFLSRPQHEFYAGENYFEIDLDMHRFSYISRKGFDTFLDRLKNCILDVGLTIQGNKPEELPEQVLCCIRLNSVDYMNYPQLGQIEDSL >Vigun11g038500.1.v1.2 pep primary_assembly:ASM411807v1:11:5344397:5348557:1 gene:Vigun11g038500.v1.2 transcript:Vigun11g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKDILKLAQVKVRQRAKGVKTANGYIYLTTNQITSTKPTKLEKEGLVLCTREIYQMGKFIRWENNSSEAAFFQIKAGECFVCMI >Vigun04g084301.1.v1.2 pep primary_assembly:ASM411807v1:4:13461963:13462522:-1 gene:Vigun04g084301.v1.2 transcript:Vigun04g084301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFPYFISILILSFVIKGSSYCNLNNINIAATRSGRTILGKPEWNVVVTNNCNCAQSQIKLQCQGFQTTEIVSPSILSIQRDTCLLINSNPLKGSTSVS >Vigun01g156000.1.v1.2 pep primary_assembly:ASM411807v1:1:33832277:33838935:-1 gene:Vigun01g156000.v1.2 transcript:Vigun01g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKEAERVVIAKPVASRPTCSTFKSFSELLAGAINVSPDIASSQATVSAIRPKTVRFKPAALNHPHAGFDSSQADTFGADKIPKLDNNQSLIYKPTAKLVSKTTVSLLANMKGNCSTGQQQTRQLMEANFQHSINEKFRSNMSSHLDQSIAPHAEINEISEATKMVQQNTEEDQKTLTSSANCDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQLPKRNTATGTQGSGVLSDGMVQDMWSNSLSERNEGNESRVENTGGGLSVLSDYYVKVPQLNDSGLNIGATNAGGGSTENSCGLSGECEEGSKGFEAQEDEPRSKRRKNENLSNEVALSEEGLVEPRIVMQSFTDSEILGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCNVRKHVERAIDDPRSFVTTYEGKHNHEMPLKNTGNVASERDSQASLCKDKA >Vigun01g156000.2.v1.2 pep primary_assembly:ASM411807v1:1:33832277:33838935:-1 gene:Vigun01g156000.v1.2 transcript:Vigun01g156000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKEAERVVIAKPVASRPTCSTFKSFSELLAGAINVSPDIASSQATVSAIRPKTVRFKPAALNHPHAGFDSSQADTFGADKIPKLDNNQSLIYKPTAKLVSKTTVSLLANMGNCSTGQQQTRQLMEANFQHSINEKFRSNMSSHLDQSIAPHAEINEISEATKMVQQNTEEDQKTLTSSANCDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQLPKRNTATGTQGSGVLSDGMVQDMWSNSLSERNEGNESRVENTGGGLSVLSDYYVKVPQLNDSGLNIGATNAGGGSTENSCGLSGECEEGSKGFEAQEDEPRSKRRKNENLSNEVALSEEGLVEPRIVMQSFTDSEILGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCNVRKHVERAIDDPRSFVTTYEGKHNHEMPLKNTGNVASERDSQASLCKDKA >Vigun02g044400.1.v1.2 pep primary_assembly:ASM411807v1:2:17860803:17866094:1 gene:Vigun02g044400.v1.2 transcript:Vigun02g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGVSREHFQPKTCSGKREDFRKIVFIDVDSDQADEVVIIDYPEFISKSHVSGAPSRERVCTPQSVISIDDDDDEESDDAEIPGVVAGGVADLDSDASSSKRSSPDSSGVRDSIDIDVDENGVREKESEFDGLKNRKAGPGEGTSRNRYGLDGSESESSDSDCSDCELMDREQWEKMSIKRKRTGFNDQPCYDEHASSSGLHFNNNVYTDMDEENRNRENAGSTTHFCPTNDENVEKNQSSFPSEWEAFKSSLFEFTEKERQSLHQSCEVEHGRSTRSKDFSPGTQNESTDFYTDVTGVSRFDKELSGEECGLSNLSQEAHKNRVTNPEPKSKDDSLFDTNSKCASADERHVNCDGPALNDHDCELTNTTSNERDIINEREKLKETDEYKQAMEEEWASRQLQLQIQAEEAQMLRKRRKAEKRELDMQRRQKERIEEVRESQKKDEEFMNLKEQLRVEIRKGLNHLEMQCHDMTSLLRGLGIHVGGSFIPSPNEVQAAYKRALFKFHPDRASKTDVRAQVEAEEKFKLISRLKDKFLLTSCH >VigunL016000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:865462:876422:-1 gene:VigunL016000.v1.2 transcript:VigunL016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLFSIHIMHTTLVAGWAGSMALYELAVFDPSDPVLDPIWRQGMFVIPLMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAPIWHWVYWDLEIFCDERTGKPSLDLPKIFGINLFLAGVACFGFGAFHVTRLYGPEIWVSDPYGLIGRIQSVNPAWGVEGFDPFVPGGIASHHIVAGTLGILAGLFHQSVRPPQRLYKGLPFVVAGTMWYGSATTPIELFGPTRYKWDQGYFQQEIYRRVAFYDYIGNNPAKWGLFRADSMDNGDGIAVGWLGHPIFRDKEGHKLFIRRVTVKFYGGELNGVSFSDPAIVKNMLDSPIRVYKYFQNKRAQLGEIFELDHATLKSDGVFRSSPRGWLTFGHDSFGLLLFFGHIWHGSRTLFRDVFAGINPDLDVQVEFGAFQKLGDPTTRFRFSTFL >Vigun03g283200.1.v1.2 pep primary_assembly:ASM411807v1:3:46370097:46373213:1 gene:Vigun03g283200.v1.2 transcript:Vigun03g283200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAELSPSPLALRPTCDDKNSKALRFIEEMTQNTDRVQERVLAEILSQNAQTEYLKRFQLNKATDRHTFKSKVPVVSYEDLKHDIQRIANGDRSPILCAHPISEFLTSSGTSAGERKLMPTIREEMDRRQSLYSLLMPVMNQYMPGLEKGKALLFLFIKAETKTPSGLVARPVLTSYYKSEQFKNRPHDPYNVYTSPDEAILCPDSFQSMYTQMLCGLIMRHEVLRVGAVFASGLLRAIRFLQLNWEQLAHDISTGTLNPKITDPAITQRMAQILKPNPELANFITKECSGEDWEHIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPLACTMYASSECYFGLNLNPICNPSDVSYTIMPNMGYFEFLPHDDSSSNSSSTLSRDSPPPLVDLADVEVGKSYELVLTGYSGLCRYRVGDVLQVTGFHNNAPQFRFVRRKNVLLSIDSDKTDESELQNAVENASVLLKEFNTSVVEYTSFADTKSIPGHYVIYWELLMKDSRHAPLGAVDEGLAPCSLWRRAGEVLFDDGGVAERRVSARESLRPFYWAAGDSCGEEGNLRRAYGLRHLPRCLD >Vigun01g152600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33509316:33509435:-1 gene:Vigun01g152600.v1.2 transcript:Vigun01g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPGLLHLLPSLIIFHGGSSFHRLKNLEKSDRRGNLS >VigunL012140.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000192.1:13658:19759:-1 gene:VigunL012140.v1.2 transcript:VigunL012140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KDINSNPWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERK >Vigun07g249300.1.v1.2 pep primary_assembly:ASM411807v1:7:36876288:36878344:-1 gene:Vigun07g249300.v1.2 transcript:Vigun07g249300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLISFLPFFLYSLSFILFVSLFLKVAKRSKTSMKTVKLPPGPWKLPVIGSLHHLIGFLPHHRLRELAKNYGPLMHLKLGEASTIVVSSPEVAKDVMKTFDTIFAQRPHQVGADIMCYGSTDIVTSPYGGYWKQLRRICSQELLSIARVRSFRSIREKEVLNLVRNIETNVGSFVNLSEKVASMTSAIVGRTTFGEKCKDQKEFISIVKKLVEMVESMVIFDMFPSHKWLHVITGQKPKLEELHRKYDVIIGNIITETEKKIGEVEVNSLLSVLLNVRNQDSLEYPLTIDNIKAVMLNIFGGGTYTSSAIIEWAMSEMLENPEVMAKSQEEVTRVFSSKGYCSEESLEELKYLKAVIKETMRLHPPFPLLHPRECRETCEVKGYMIPAGTQVIVNAWAVARDPEYWSDPEKFYPERFMDSPIDYKGNHHEFIPFGAGRRICPGISFGVITIELCLAQLLYYFNWKLPNGNKENLEMAEALGAFSKRKTDLVLVPIPRNPLPISSNLN >Vigun11g200800.1.v1.2 pep primary_assembly:ASM411807v1:11:39892420:39899225:1 gene:Vigun11g200800.v1.2 transcript:Vigun11g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSLNLKWTPLNLRATATATNKVTEVPLPEKIRNSRILVLGGTGRVGGSTAIALSNFCPDLQILVAGRNREKGEALTAKLGGNAEFARVDIDDVNSLETALKSVDLVIHAAGPFQRAERCTVLEAAINTKTAYIDVCDDTSYAWRAKSLMKRALDANIPAITTAGIYPGVSNVMAAELVRAANESKDKPERLRFYYYTAGTGGAGPTILATSFLLLGEEVVAYNKGEKIRMKPYSAMLNIDFGKGIGKRDVYLLNLPEVSSAHEILGVPTVSARFGTAPFFWNWGMEAMTTLLPLEFLRDRNKVQSLVQLFDPFVRALDGIAGERVSMRVDLECASGRHTVGLFSHKRLSVSVGISTAAFALAILEGSTQPGVWFPEEPQGIPIEAREVLLERASQGTFNFVMNRSPWRVETNPKEFGLGIYL >Vigun03g371100.1.v1.2 pep primary_assembly:ASM411807v1:3:57367841:57374791:1 gene:Vigun03g371100.v1.2 transcript:Vigun03g371100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSLMKMEPPKPLDPSTTAITPLPRKIVFIPVKKPFKGFSHDFHIETLNPSSSEPGISGSTTKKHDASEFSEFGLDPELSIGITFRRIGAGLRNLGNTCFLNSVLQCLTYTEPLAAYLQSGKHKTSCHVAGFCALCAIQNHVSRALQSTGRILSPEDLVGNLRCISRNFRNARQEDAHEYMVNLLECMHKCCLPSGVPSESPGAYEKSFVHKIFGGRLRSQVKCHQCSYCSNKFDPFLDLSLEIFKADSLQKALANFTAAEWLDGGEREYHCQRCKQKVRALKQLTIHKAPYVLTIHLKRFHAHDPGQKIKKKVNFGCALDLKPFVSGSYDGDVKYSLYGVLVHSGSSTHSGHYYCYVRTSNNMWYTLDDNRVSHVSEREVLNQQAYMLFYVRDRKSIVPRKPVDVVKKENVKSNGNGNKEFSTSSHVSMEYPNVPAQNKFCTEVEKKMSTVNSLGACSMNDSHDLQNRSVILVENLMQSKKHESEPPSKAQTQDSPDGLAVAKAEHGCLSSLGQSEKDNNLHSNLKGLSAPVGEKNNLCNENVISKEGIIDSPSLVPSSTNPQTCELATDGKSQSMKLGTSATGLVSEQAISLVHGSLVGSQGLVLNESVNRSLNSEGLDKKPVKKLKRKYLKYQVSRMHIRPIFVYMGYMGPRKKSHKRSKHLTLSKKNPNKDKLDKFAFSSEDSKPSTHGKTDEFPCVSSCSESKATKTGYRPSVNVKSNDESLTENSAEGEFRKRIDQNCAVLASMSQLESISGSGSVVSQLKARQAANVQDSRRDQMHNGLMSMLTRGLEETVVARWDDIELPSSQPLESNNGKFVSIGYVGDEWDEEYDRGKRKKIRGFKHSFGGPNLFEETAIEKSKFKRAKLEQSCSGHPPFRI >Vigun06g065801.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19409276:19409398:1 gene:Vigun06g065801.v1.2 transcript:Vigun06g065801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNLTFLVIFSRHNRDLTWFLAQSLLSYSSSLHRHQAR >Vigun06g065801.1.v1.2 pep primary_assembly:ASM411807v1:6:19409276:19410162:1 gene:Vigun06g065801.v1.2 transcript:Vigun06g065801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNLTFLVIFSRHNRDLTWFLAQSLLSYSSSLHRHQARR >Vigun07g023900.1.v1.2 pep primary_assembly:ASM411807v1:7:2112860:2116829:1 gene:Vigun07g023900.v1.2 transcript:Vigun07g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGEGDKRWIVADRVDGTNVHNWHWAETNCLEWSRTFFRKLFTNVNVADGDVSVTVKKVDKLDGEAYVNVRKGKVIPGYEIGVTLAWEGEVRGADGKLLQKVDGGVEIPYISDENADEDPEVRVTVKDSGEVGKRLKQVVVEKVKPVILEKVRVWVESMAKGGPVKDDLEAKKAVLQKSVSSVNSNGNVNINGNNENDSKKNEKSEGKKGFKTISLTERFNCRAKDLFEILMDENRWRGFTHSNAKISKEVGGEFSIFDGSVTGRNLELQEGKLIVQRWRFGSWLDGIQSTVRIVFEEPEGGVTVVKLTHSDVPEEDRYGNATVVENTERGWRDLIFQRIRAMFGFGI >Vigun11g033400.1.v1.2 pep primary_assembly:ASM411807v1:11:4397504:4402095:1 gene:Vigun11g033400.v1.2 transcript:Vigun11g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLLHVFFPLHFPSLFFSPFRFLILFLSSSIQNFSAMAIPIKFSVLLRFLFLFSLFLFSVSDSDSPSAHQHRVFPRPLIVEHAGVAEVEEEVRLRCGAWRVAGEANNLGEWKTVPKECAEFVKEYMTGKGYLVDLEMVSKEAEEYAKSVKLSDDGKDAWIFDIDETLLSNLPYYSAHGYGLEVFDHDKFDDWVETGGAPAIEPSLKLYEGVLSLGFKVILLTGRSERHRSVTIDNLVNAGFKEWDQLILRRSEDQGKRAVVYKSEKRSEMEKDGYRILGNSGDQWSDLLGSSLSVRSFKLPNPMYYIP >Vigun10g017800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1991722:1993365:1 gene:Vigun10g017800.v1.2 transcript:Vigun10g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSHQHKLRTKPKISMEKTTHIAVIPGIGFTHLAPILNFSKRIVQLHPHFHVTCIIPSLGSLPNASKAILQTLPPNINPILLPPVNLNDQPQETRTVIKMHLAITRSMQSIHHTLKSITSNTPIVAMLIDYFAVEALHFAREFNMLCYMYFPCSVTTLSTYLYMPKLDEETTCEYKDLPHPVQVPGCVPFHGRDLCSVAQDRSALPYKLFLQRVKCIPLVDGVFVNSFLEMEKGTIRALEEEGKGYPPVYPVGPIVETGTTCTTGSECLTWLDKQQDGSVLYVCFGSGGTLSQGQMNELAHGLELSKHKFLWVVRAPSDEASAGYLGGEKDADPLEFLPSGFLERTKEQGMVVPSWVPQIEILGHGSVGGFLSHCGWNSTLESVVHGVPLITWPLFAEQRMNAVVMSEGVKVGVRARVSENGLVERVEIVEMIKCLMEEEEGIEMRKRMKELKEDAANAIKEDGSSTKTLSQLAFKWKSLACENRFC >Vigun03g070400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5816232:5818778:-1 gene:Vigun03g070400.v1.2 transcript:Vigun03g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHQRQLLGFYLNFLNKIKVRKTLNLSTLSPHFLQPQDSPNLKQRKIGRELANLLQFPCPNVPTSHYFKKIHAHILVLGFHQHDVFLVNTLLHAYSKLNLLSDAQKLFDTMPHRNLVTWSSMVSMYTQHGCSVEALVLFCRFRRSCTEDPNEYILASVIKACTLLGSLSQALLVHGLIVKGGFVQDVYVGTSLIDFYAKHGYVDEARLIFDGLRVKTTVTWTAIIAGYAKFGRSEVSLKLFYQMREGDVYPDRYVISSVLSACSMLVFLEGGRQIHSYVLRRGFDSDVSVVNGIIDFYLKCHKVNTGRKLFDQLVDKDVVSWTTMISGCMQNSFHGYAIDLFVEMVKKGWKPDAFGCTSVLNSCGTLQAEEKGRQVHAYAIKVNIDNDDFVKNGLIDMYAKCDSLTSARKVFDLLAAINVVSYNAMIEGYSRHSMLVEALDLFHEMRLSLSPPTLLTFVSLLGLSASLFLVELSCQIHGLIIKYGASLDNFAGSALIDVYSKCSCVGDARLVFEEVYDKDIVVWNAMFSGYSQQSENEESIKLYKDLQISRLKPNEFTFAAVITAASNLASLRHGQQFHNQVIKMGLDDDSFVTNSLVDMYAKCGSIEEAHKTFSSTNQRDTACWNSMISTYAQHGEAAKALEVFEHMIVDRAKPNYVTYVGVLSACSHAGLLDLGFYHFESMSQFGVEPGIDHYACMVSLLGRAGKVYEAKEFIEKMPIKPAAVVWRSLLSACRVSGHVELGTYAAEMAISCDPLDSGSYILLSNIFASKGMWTKVRRVREKMDMSRVVKEPGWSWIEVNNEVHRFIARDTAHRDSTLISLVLDNLILQIKGFGYVPNTTTFFLDD >Vigun05g117948.1.v1.2 pep primary_assembly:ASM411807v1:5:12641151:12641610:1 gene:Vigun05g117948.v1.2 transcript:Vigun05g117948.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRMKMNSPMKSAVRFVFLMLLVLFASDMCMKSEARNVVSLGCSTPDNCQFLCPICNNCQCINKLCYCSENVFPFTNNKFIQSP >Vigun11g073801.1.v1.2 pep primary_assembly:ASM411807v1:11:21244823:21245951:-1 gene:Vigun11g073801.v1.2 transcript:Vigun11g073801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDFFLCLGSWPFAGSFVFNTDILATNPINLSVVLGLMNYKKKTIEQLEKTEAPLRKFEIEEDLFRVNSYFKINQENLNLINSIYTTLEQLENYKNEAIDFEQPRVINQVR >Vigun05g278800.1.v1.2 pep primary_assembly:ASM411807v1:5:46815171:46820440:-1 gene:Vigun05g278800.v1.2 transcript:Vigun05g278800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFYIAFLTLLLIQAHAQPGFISIDCGAEAGVKYTEQNLAIDYVSDADFINTGVIGIIASEELNRYDQRQLWRLRSFPEGKRNCYKISVTRGSKYLIRTIFVYGNYDGRNMAPKFDLLLGPNHWDTITTLNASNLVFMEIIHVPSLDYVQICLVNTDNGTPFITAIELRTLKNNTYVTQFGSLKSYRRCDLGSNRGYRYWADVHDRYWYPCNFGENKKQLNGWTRYDSLNGSDLYQPGALIMDTALESENDNAPLVIRWEPKDETDRFYVYMHFKEIQLLTRNQTRQFNITVNGELRVQNFSPQYQSVDTIYTTSAISGKEIKYTLERTENSTLPPIINAIEIYEVIDLQKPQTFQGDVDAITSIKSVYGVKRDWEGDPCAPAAYLWDGLNCTHLGNEIPRITTLNLSSSGLSGKIDPSISNLTMLENLDLSKNNLNGEVPDFLSELQNLKILNLEKNNLFGSIPSALVQKSHQGFLSLRVGQNPYLCESGQCNEKEKKITVTPIVASVSGVLVLLIAVAMLWIFKRKKSKVEKFTDSEAVNHPNEISQQSTEKDELFLQRKSQMYSYYDVLQITNNFNKIIGKGGFGTVYLGFIADTPVAVKMLSPSAAHGYQQFQTEVKLLIRVHHKNLTSLVGYCNEGTNKCLIYEYMAKGNLREHLSGKHNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDVKSTNILLDEHFQAKLSDFGLSKVVPDDGRSHVSTVVAGTFGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAENEEPGHISERVNSMISKGDIRAIVDSSLEGNFDINSAWKAVEIAMACVSPNPYERPMISVVVIELQEALATELARTKHDSGADLRYSVAPVSVNVDTEFMPSAR >Vigun05g278800.2.v1.2 pep primary_assembly:ASM411807v1:5:46815171:46820637:-1 gene:Vigun05g278800.v1.2 transcript:Vigun05g278800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLICRSSCTMWMSFYIAFLTLLLIQAHAQPGFISIDCGAEAGVKYTEQNLAIDYVSDADFINTGVIGIIASEELNRYDQRQLWRLRSFPEGKRNCYKISVTRGSKYLIRTIFVYGNYDGRNMAPKFDLLLGPNHWDTITTLNASNLVFMEIIHVPSLDYVQICLVNTDNGTPFITAIELRTLKNNTYVTQFGSLKSYRRCDLGSNRGYRYWADVHDRYWYPCNFGENKKQLNGWTRYDSLNGSDLYQPGALIMDTALESENDNAPLVIRWEPKDETDRFYVYMHFKEIQLLTRNQTRQFNITVNGELRVQNFSPQYQSVDTIYTTSAISGKEIKYTLERTENSTLPPIINAIEIYEVIDLQKPQTFQGDVDAITSIKSVYGVKRDWEGDPCAPAAYLWDGLNCTHLGNEIPRITTLNLSSSGLSGKIDPSISNLTMLENLDLSKNNLNGEVPDFLSELQNLKILNLEKNNLFGSIPSALVQKSHQGFLSLRVGQNPYLCESGQCNEKEKKITVTPIVASVSGVLVLLIAVAMLWIFKRKKSKDSEAVNHPNEISQQSTEKDELFLQRKSQMYSYYDVLQITNNFNKIIGKGGFGTVYLGFIADTPVAVKMLSPSAAHGYQQFQTEVKLLIRVHHKNLTSLVGYCNEGTNKCLIYEYMAKGNLREHLSGKHNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDVKSTNILLDEHFQAKLSDFGLSKVVPDDGRSHVSTVVAGTFGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAENEEPGHISERVNSMISKGDIRAIVDSSLEGNFDINSAWKAVEIAMACVSPNPYERPMISVVVIELQEALATELARTKHDSGADLRYSVAPVSVNVDTEFMPSAR >Vigun04g031500.1.v1.2 pep primary_assembly:ASM411807v1:4:2526834:2530826:1 gene:Vigun04g031500.v1.2 transcript:Vigun04g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFLAADTLQKIIILVLLAVWSNFTKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEFRGARMLISEQFPDTAGSIVSIHVDSDVMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGGRNSNFGASDVYGLSASRGPTPRPSNYDEDGGKPKFHYHAGGTGHYPAPNPGMFSPSNASKSVAAAAANANANANAKKPNGQAQLKPEDGNRDLHMFVWSSSASPVSDVFGGHEYSGHDQKEVKLNVSPGKVENHRDTQEDYLEKDEFSFGNRGMEREMNQHEGEKVGDGKPKTMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFAMAVRFLTGPAVMAAASIAVGLKGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILMGL >Vigun09g256100.1.v1.2 pep primary_assembly:ASM411807v1:9:42216498:42218639:-1 gene:Vigun09g256100.v1.2 transcript:Vigun09g256100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMFVLVSFLILCCFSVNSFAFSPSGWTNAHATFYGGSDASGTMGGACGYGNLYSTGYGTDTAALSTALFNDGASCGECYKIMCDYEADPKWCLKGTSVTITATNFCPPNFALPSNNGGWCNPPLKHFDMAQPAWQKIGIYRGGIVPVLFQRVACMKKGGVRFSVNGRDYFELVLINNVGGAGSVRSVSIKGSKTGWMTMSRNWGANWQSNAYLNGQSLSFRVTTTDGVTKLFENVVPSNWAFGQSFSSSIQF >Vigun10g141066.1.v1.2 pep primary_assembly:ASM411807v1:10:35799017:35801521:-1 gene:Vigun10g141066.v1.2 transcript:Vigun10g141066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGHRGAAQELLLPSLSRFTAQRKSSSIPFTIHEHLHPFVDSRLPRRSSGSPRPLSRFTVVTAPQAIHDHHRTNNHTEKSSSGMRPPRTLNIFPSESMHVVPLPNSKVPNI >Vigun03g090600.1.v1.2 pep primary_assembly:ASM411807v1:3:7650376:7659470:1 gene:Vigun03g090600.v1.2 transcript:Vigun03g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAQTPDIAGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLSVKVEKLGKDSLINCAKTSMSSKLIAGDSDFFANLVVDAVQSVKMTNARGEVKYPIKGINILKAHGKSARDSFLMNGYALNTGRAAQGMPLRVAPAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFEPSFLGSADEVVEERISDDAVVMIKGTKTTSAVSLILRGANDHMLDEMDRALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHSAQTKADKKHLSSMGLDLSEGKIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLIKEESQNED >Vigun02g013650.1.v1.2 pep primary_assembly:ASM411807v1:2:5087739:5088635:1 gene:Vigun02g013650.v1.2 transcript:Vigun02g013650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWGNKKEIRTEKETNYEKEVQPTEPTKSTQDENDVRLGKNLVYRRKTKVILESAHVHESYTALHKVIVFSNPVISRT >Vigun08g213300.1.v1.2 pep primary_assembly:ASM411807v1:8:37433003:37434090:1 gene:Vigun08g213300.v1.2 transcript:Vigun08g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRVSMDCDKCRRKAFRIAVDANGVSSVALEGDNKMTVTGERVDSVSLGRALRKKLGHAVIESVEEVKDKKEKKKKEKEEEEDEENDEILLPWYSYAPYPPAVACVHHEYDSGCTVM >Vigun03g364100.1.v1.2 pep primary_assembly:ASM411807v1:3:56766946:56770701:-1 gene:Vigun03g364100.v1.2 transcript:Vigun03g364100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLGRKIQLSLRHNVRPFCSQSQGSTSSSSSSSFFSSFVGPTHGERIGDFEAFLRAITSGVVVVASTLGFWYWSSLSSSASNSLQSFSDFANQDQLQQKRQSKPSRFLFNDDYRRRVFFNYEKRIRLQSPPEKVFEYFASVRSPSGEVFMTPADLMRAIVPVFPPSESTRVREGFLRGEQIPGELQCEPSQFFMLFDTNNDGLISFAEYIFFVTLLSIPESSFSVAFKMFDIDNNGEIDRQEFKKVMALMRSQNRQGANHRDGRRLRIKASVENGGLLEYFFGKHGNSCLQHERFVQFLRELHDEILRLEFSHYDYNKRGSISAKDFALSLVASADVNHISKLLDRVEELNRNRQLRDLRITFKEFQDFAELRKKLQSFSLAIFSYGKVNGALTKNDFQRAASQVCGVCITDKVVDIIFHVFDANRDGTLSVSEFVRVVQRREDITSGSGFMGLVSCWSNCVKNCSSTKLQL >Vigun07g115500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21351175:21351633:-1 gene:Vigun07g115500.v1.2 transcript:Vigun07g115500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLGSHLIDIGTIKHRNNPPFLTGAAMERKEPLDFSSYFCFEASGDSEEADPHEITRAYGGGDDDNDDALSCNYEGSGAALDGEEDECCDDEIAKVGEDEKSGVYGMSYCEDDDEMEEEHVKSHVSFDSAQEFVDEMEKNRLFWEACLAS >Vigun09g153000.1.v1.2 pep primary_assembly:ASM411807v1:9:31786502:31788686:-1 gene:Vigun09g153000.v1.2 transcript:Vigun09g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRLVVAVLGNAASMSVYAAPLVTFKRVITKKSTEEFSCIPYIIGLLNCLLYTWYGLPVVSCKWENFPLVTVNGIGIVLELSYVLIYFWFASNKGKVKVAMTAIPVVLIFCIIAAVSAFVFHDHRHRKLLVGSIGLVISVTLYGSPLVVMKKVIQTKSVEFMPLTLSVCSSISSSLWLIYGLLIRDIFVAGPNVLGLPLSILQLVLHCIYPKRSVVEEPSKEDQEKGNLEKVDMEMGKAETNVTSHMTQNS >Vigun11g172800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37824481:37825899:1 gene:Vigun11g172800.v1.2 transcript:Vigun11g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKKTKVLMVVMMVLGTVMMEANAQYYSFCGMPKDGLKACLGSVSGENPGDPTSDCCSAIGKADLKCFCRYKDSGLLSIYGVDPNKCMQLPVKCKLVDSFHC >Vigun11g169700.1.v1.2 pep primary_assembly:ASM411807v1:11:37584134:37588278:1 gene:Vigun11g169700.v1.2 transcript:Vigun11g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEKHPDFFKVFLQEQHSERMLIPNAFVKLEGLQGRIPEDVLLRNRSERVWHVKTRFFGDRLYFDEGWKIFHAENCLGNADFLVFRYDGVNEFRVTILEKSTQCEKTLVKMEEEEEEGNEEERAARQEVEETVITPEEQAEDRDTEDEEEDSQDQEYDDDDNDDSDFDENTEMEEQSEEEIIVGSVGYTSQSYRKACRKDTATSSYPQTEDPFKEDEFDPETCIQPENNFFEAKLYRSRPNELHIPGIAIQDFSLSFPEKITLKCCQHCRRKDIQRSKLQDYHLNLAQQAPIRKRYLEVTGKVCRWQDDRICIKGWANFSRRNKIKNNDVCICEVISGDDQVVRTFLVHVIGTRRE >Vigun11g169700.3.v1.2 pep primary_assembly:ASM411807v1:11:37584257:37588278:1 gene:Vigun11g169700.v1.2 transcript:Vigun11g169700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEKHPDFFKVFLQEQHSERMLIPNAFVKLEGLQGRIPEDVLLRNRSERVWHVKTRFFGDRLYFDEGWKIFHAENCLGNADFLVFRYDGVNEFRVTILEKSTQCEKTLVKMEEEEEEGNEEERAARQEVEETVITPEEQAEDRDTEDEEEDSQDQEYDDDDNDDSDFDENTEMEEQSEEEIIVGSVGYTSQSYRKACRKDTATSSYPQTEDPFKEDEFDPETCIQPENNFFEAKLYRSRPNELHIPGIAIQDFSLSFPEKITLKCCQHCRRKDIQRSKLQDYHLNLAQQAPIRKRYLEVTGKVCRWQDDRICIKGWANFSRRNKIKNNDVCICEVISGDDQVVRTFLVHVIGTRRE >Vigun11g169700.2.v1.2 pep primary_assembly:ASM411807v1:11:37584136:37588278:1 gene:Vigun11g169700.v1.2 transcript:Vigun11g169700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEKHPDFFKVFLQEQHSERMLIPNAFVKLEGLQGRIPEDVLLRNRSERVWHVKTRFFGDRLYFDEGWKIFHAENCLGNADFLVFRYDGVNEFRVTILEKSTQCEKTLVKMEEEEEEGNEEERAARQEVEETVITPEEQAEDRDTEDEEEDSQDQEYDDDDNDDSDFDENTEMEEQSEEEIIVGSVGYTSQSYRKACRKDTATSSYPQTEDPFKEDEFDPETCIQPENNFFEAKLYRSRPNELHIPGIAIQDFSLSFPEKITLKCCQHCRRKDIQRSKLQDYHLNLAQQAPIRKRYLEVTGKVCRWQDDRICIKGWANFSRRNKIKNNDVCICEVISGDDQVVRTFLVHVIGTRRE >Vigun01g027800.1.v1.2 pep primary_assembly:ASM411807v1:1:3318091:3322257:-1 gene:Vigun01g027800.v1.2 transcript:Vigun01g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSFSLSPLFLLLLFSLVQGQNPKCDTQDHGSTLEVFHVFSPCSPFRPSKALSWEESVLQLQAKDQARLQFLGSLVAGRSVVPIASGRQIIQSPTYIVRAKIGTPPQTLLLAMDTSNDAAWIPCTACDGCTSTLFAPEKSTTFKNVNCGAPQCNQVPNPSCGSSSCSFNLTYGSSSIGANLVQDTVTLATDPIPGYTFGCVAKTSGPSTPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNFSGSLRLGPVAQPIRIKYTPLLKNPRRSSLYYVNLVAIRVGRKVVDIPQEALAFNPATGAGTIFDSGTVFSRLVAPAYVAVRDEFRRRVGGKANLTVTSLGGFDTCYTVPIVAPTITFIFSGMNVTLPQDNILIHSSAGSTTCLAMASAPDNVNSVLNVIANMQQQNHRVLFDVPNSRLGVARELCTK >Vigun10g107100.1.v1.2 pep primary_assembly:ASM411807v1:10:30590363:30591125:-1 gene:Vigun10g107100.v1.2 transcript:Vigun10g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLIFLVAFVTQLVYGGGEGSLTIQECPEACDYRCSKAHARKACLFYCNLCCDKCLCVPSGTFGNKEECPCYNNQKTKEGKPKCP >Vigun09g033200.11.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.7.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.3.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880268:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.6.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.8.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.2.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880268:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.4.v1.2 pep primary_assembly:ASM411807v1:9:2870395:2880268:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.10.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.12.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.1.v1.2 pep primary_assembly:ASM411807v1:9:2870395:2880268:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.5.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGSIISPSPAFLPVIHPTGEAPAPIHLGLSWGSSPPRSPSDGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun09g033200.9.v1.2 pep primary_assembly:ASM411807v1:9:2870396:2880147:-1 gene:Vigun09g033200.v1.2 transcript:Vigun09g033200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILALILQLLKLCVIGFVVAFQGSQGFVISPSPKTIHVNPSPSEAPGFIHPKEPWRIIAPSPQEVPNGPFFSHPVTFPPPKSAPTPEKTRESEPSMSPSPAASTITSSYNGAPGPSTAEGNVSPSIQLSPPQSKTPAFSPPVFTPISPAPIAVPSGNLTKNSPVSQPTEHGSLPPKIDERNKSHKPEPAFPAIVPIPSTQLPKYSPVSQPNENGSLPHRDGANNSHIPEPISPAPLVFSFPEHPPVSQPTEHGSLPPTVPRGDINTGHTLEPVSHAPVAQPPTNLPKNSSVSQPTHGNFPPDLHNGTANNDHTHTPAQTMSPSVTPSSPFPVDPPLVHPVIPAASPSKSPAPTVSPALTPSRSFSWKKGGEPVSAPVYKTPKPLPAIVHSQTQAPVVSPALTPSRSFNWKRDGEPVSAPPYKTPKPLPAIVHSTTQAPSSAHVARQFHYAPEPSPNKDYPPASSPSATFYKHHHTRSTINSPAPASSYSVSPSTLKHQDEPIPPSRLPASRHRQHAPSPLNTVGSTVSPFQSPVSQISPAPSPSFKILPHSTKIPIHPPEVSPLRPSSKRPKKPVPPHIQALPPPPPNEDCISLVCSDPYTSTPPGAPCKCVWPMKVSLRLSVSLYTFFPLVSEFASEIAIGVFMKQSQVRIMGADAANLQPDKTIVFIDLVPLGENFDNTTAFLTSERFWHKQVAIKTSYFGDYDVLYVSYPGLPPSPPLPPSSITIVDGGPYSGGGNNSRTIKPLGVDISKRQHKGGISKGMIAIISLSGFLVVVLCFAAAWALFKFRNHSSKLASTPQVVSPSLTKAPGAVSAVGGRLASASTSFRSSIAAYTGSAKTFSMNEIEKATDNFHDSRVLGEGGFGRVYSGILEDGTKVAVKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICAEVSFRCLVYELIPNGSVESHLHGMDKENSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLEDDFTPKVSDFGLARTAADEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWARPLLSSEEGVEAITDPSLGPDVASDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEARETGSSSTSVDFSPSRQVSDNFQGQSSATNYDSGVDIENGLLASELFSSASARYGKRVSGSFRRHSYSGPLSTGRSKRLWQIIRKLSGGSVSEHGTMFKL >Vigun05g276400.1.v1.2 pep primary_assembly:ASM411807v1:5:46635228:46636134:1 gene:Vigun05g276400.v1.2 transcript:Vigun05g276400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSPPPKVKCLTWFIKFSTPSFAFQLLLDGSLCNCHPSVLRVKALPSNWEFMEFLCHELLNREENPSCCKDEPKFRCWRHYLKSVDVQIQSQSETEKPETIRGKDLKKTLNRITTSEEVQFNMNWSRDLV >Vigun06g000600.1.v1.2 pep primary_assembly:ASM411807v1:6:275569:289086:-1 gene:Vigun06g000600.v1.2 transcript:Vigun06g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRSQSQVGGLQTSLSLVSSDPVLSPDEPRSNSDNLRQSPAESASSQETWPTADAATTKKTENGKAEINCLEQNVIHRFSSADKVTLQDIARESIGTICEKMHRLPEEYLEELKNGLRVILDGGNGSQHREEFFILQKFVQGRTDLTAKTLVRAHRVQLEILVAINTGIQGFLHPSISLSQNSLIEIFGYKRCRNIACQNQLPADDCTCEICNGTNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRQQLIFMGPSSKSGAGPSEMVFRCQACNRTSELLGWVKDVFQHCAPSWDGEALMRELDYVSRIFHGSKDPRGRKLFWKCDDLKEKLKTKKIDSKAACRAILMFFQELEVDSKKGLENGENGRLIAPQDACNRIAEVVQEAIRKMEIVADEKMRMFKKARMTLEACDRELADKSREVAELKMERQKRKLQIEELEKIVRLKNAEADMFQLKANEAKREAERLQRIALAKQDKSEEEFTSNYLKQRLNEAEAEKQYLYEKIKLQESSRASQSSSGGDPSQMLMYSKIHDLLYSAPPKPDTQGHPFRTNP >Vigun06g000600.2.v1.2 pep primary_assembly:ASM411807v1:6:275569:289086:-1 gene:Vigun06g000600.v1.2 transcript:Vigun06g000600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRSQSQVGGLQTSLSLVSSDPVLSPDEPRSNSDNLRQSPAESASSQETWPTADAATTKKTENGKAEINCLEQNVIHRFSSADKVTLQDIARESIGTICEKMHRLPEEYLEELKNGLRVILDGGNGSQHREEFFILQKFVQGRTDLTAKTLVRAHRVQLEILVAINTGIQGFLHPSISLSQNSLIEIFGYKRCRNIACQNQLPADDCTCEICNGTNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRQQLIFMGPSSKSGAGPSEMVFRCQACNRTSELLGWVKDVFQHCAPSWDGEALMRELDYVSRIFHGSKDPRGRKLFWKCDDLKEKLKTKKIDSKAACRAILMFFQELEVDSKKGLENGENGRLIAPQDACNRIAEVVQEAIRKMEIVADEKMRMFKKARMTLEACDRELADKSREVAELKMERQKRKLQIEELEKIVRLKNAEADMFQLKANEAKREAERLQRIALAKQDKSEEEFTSNYLKQRLNEAEAEKQYLYEKIKLQESSRASQSSSGGDPSQMLMYSKIHDLLYSAPPKPDTQGHPFRTNP >Vigun05g110732.1.v1.2 pep primary_assembly:ASM411807v1:5:11555133:11555473:1 gene:Vigun05g110732.v1.2 transcript:Vigun05g110732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINIQTSSHINEWLLDVNAKDRSILYLEWHVSLGLWFGRLTAIASNFWVMTLKNLVATCPIHSFKGINHSIILVSDR >Vigun03g215000.1.v1.2 pep primary_assembly:ASM411807v1:3:35699059:35701930:-1 gene:Vigun03g215000.v1.2 transcript:Vigun03g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVKLLGAWPSPYVLRVRIALNMKSVEYEFHDEKLSSKSQLLLQSNPIYKKIPVLIHKDKPLCESLIIVQYVDDVWSSASPILPSDPYDCAIARFWTVYIDQTLYPTMRSIRGASEENEKKRLIEEVRKGLALLEDVFKDCSKGKGFYGGDQIGLLDIALGSFLGWLRVVEIINGVKLLDQNNTPELLKWAERFCAHSAVKDVMPETERLLEFSKTLAKG >Vigun11g216610.1.v1.2 pep primary_assembly:ASM411807v1:11:41027879:41037146:1 gene:Vigun11g216610.v1.2 transcript:Vigun11g216610.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNEALHCRDNMSPYLGSNATGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCPPCLILILVLLRTFFHALIL >VigunL086601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:77285:85627:-1 gene:VigunL086601.v1.2 transcript:VigunL086601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRFFIIATAFSHPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFVNMLLAQPIFFSPNFPTLIKKTNLRASLQPHNNFPPLRVSQMPKPLGVRARPKTLLAPLQKKSGVQICHSFKKESEVGGNDERDWTTSFLLFLLWAALIYYVFFLTPNQTPSRDLYFLKKLLNLKGDDGFRMNEVLVSLWYIMGLWPLVYNMLLLPTGRSSKNSIPVWPFLILSCFGGAYVLLPYFVLWKPPAPPVEETQLKTWPLNFLESKVTALISLVAGVAIIIYAGLAGQDVWKEFYQYFRESKFIHITSIDFIVLSTFAPFWVYNDMTARKWFDKGSWLLPISLIPYLGPGLYLLLRPSLSAVSISQTPVEPE >VigunL015951.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:864695:864916:-1 gene:VigunL015951.v1.2 transcript:VigunL015951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDNSRSEYGKVAPGWGTTPLMGVAMALFAIFLFIILEIYNSSILLDEIYIN >Vigun11g118600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32481470:32482236:1 gene:Vigun11g118600.v1.2 transcript:Vigun11g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFFSFSILLLSTTVLYVTEAEEALPKTMVLYLQETTKGPNATVSPIIGLTGKDWSFNQFGTVFAVDDPVMVSPNPFSSVVGRAQGLLVVSAHDGANVFVSLSIVFTNFQYSGSSIEIQGVSRQREKNRELSVVSGTGKFRFVKGYAAFQTAFYDPQNAHSTISLTLNFQ >Vigun11g037300.1.v1.2 pep primary_assembly:ASM411807v1:11:5074770:5100779:1 gene:Vigun11g037300.v1.2 transcript:Vigun11g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSITHTPNPLHFFSSPQLSPNPNVFTLTAQRARRKLRFRVSATAEPDGASWSQSLRRGSRRFWTNFGEMVKKETGLDFQNSSVEKVGEVMSGDELRRFGSQWVSQFVDWNRWERWKNIKDWEPMRMGTFVLYIFVVTFACRGVYVAVQTPFLNRQKKELAEAYMEVLIPEPSPTNIRRFKKGMWKRTMPKGLKMKKLIERPDGTLVHDTSYVGENAWEDDQEERVKQIIEDDERLNKEEKKELTKGLGISGEVQSEGTWRERLHKWRDILRKERFSEQLDSLSAKYVVEFDMKEVEQSLRKDVAEKVTPTQDTRALWIAKRWWRYRPKLPYTYFLNKLDNSEVAAVVFTEDLKKLYVTMKEGFPLEFVVDIPLDPHLFEIITSSGAEVDLLQKRQIHYFMKVVIALVPGILILWLIRESVMLLLITSKKFLYKKYNQLIDMARAENFIMPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQFYERGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSERSGASRINEMFSIARRNAPCFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRVSLRQAIIFICATNRPDELDPEFVRPGRIDRRLYIGLPDAKQRIQIFGVHSSGKQLAEDVNFEELVFRTVGFSGADIRNLVNESAIMSVRKGHSKIFQQDIIDVLDKQLLEGMGVLLTEEEQQKCEQRVSLEKKRLLAVHEAGHVVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMMMQMVVAHGGRCAERIVFGDDISDGGSDDLEKITKIAREMVISPQNKKLGLIGLTKRVGLIDRPDNPDGELIRYRWDDPHVIPADMTLEVSELFTRELTRYIEETEELAMNALRNNRHILDLIAKELLERSRVTGLEVQEKLKQHSPVMFEDFAKPFQINPDEEGPLPHNDRIRYHLPDLYPAPLHRC >Vigun10g128000.2.v1.2 pep primary_assembly:ASM411807v1:10:33598776:33606445:1 gene:Vigun10g128000.v1.2 transcript:Vigun10g128000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQCSRLMPCCVDSQVKASVIETPDAEIEDSSEVSNWPTFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRNAWPDARQFLEEARSVGQLRNQRLANLLGCFCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRVLFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDVIRGRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNPKSLVAALAPLQKETEVPSHVLMGIQHSTTFASLSPLGEACSRKDLTAIHEVLENIGYKDDEGVANELSFQMWTDQMQETLNCKKKGDVAFRQKDFRLAIECYTQFIDAGTMVSPTVYARRSMCYLISDMPQEALNDAMQAQVVSPVWHIASYLQSVALTALGMENEAQAALKDGTTLESKRSATTKQK >Vigun10g128000.1.v1.2 pep primary_assembly:ASM411807v1:10:33598776:33606445:1 gene:Vigun10g128000.v1.2 transcript:Vigun10g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQCSRLMPCCVDSQVKASVIETPDAEIEDSSEVSNWPTFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRNAWPDARQFLEEARSVGQLRNQRLANLLGCFCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRVLFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDVIRGRNLQMLTDSCLEGQFSDDDGTELVRLASRCLQYEPRERPNPKSLVAALAPLQKETEVPSHVLMGIQHSTTFASLSPLGEACSRKDLTAIHEVLENIGYKDDEGVANELSFQMWTDQMQETLNCKKKGDVAFRQKDFRLAIECYTQFIDAGTMVSPTVYARRSMCYLISDMPQEALNDAMQAQVVSPVWHIASYLQSVALTALGMENEAQAALKDGTTLESKRSATTKQK >Vigun11g206100.1.v1.2 pep primary_assembly:ASM411807v1:11:40278569:40280245:1 gene:Vigun11g206100.v1.2 transcript:Vigun11g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAPCSDESGLKKGPWTPEEDNILVDFIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEQQLIINLHAVLGNKWSAIAGHLPGRTDNEIKNFWNTHLKKKLLQMGLDPVTHQPRSDHLNLLSSLQQLLTATNIFTNTLETNALRLQSDATELAKLQLLHNMLQVLAPASNLDLLNPFGSSLPDEVLGLDQCSKLQNIYNSCSTGFLSQNQFQNFEGLPQQQSLPTEYNNPMNNGGSNRRSCMKSEKVDEQLCSFSTPLDSLPNLVSASPECSTVKQMENMVTPNEFSDPSSTSTTFEMWGDFINEEVTDAFWKDLIDQDCNQ >Vigun11g110400.1.v1.2 pep primary_assembly:ASM411807v1:11:31166956:31167539:1 gene:Vigun11g110400.v1.2 transcript:Vigun11g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNALTRFLTSQKELGTWKKRGKTKEHMKFGKFQPSKKYDYIYHHNLHTDCHIR >Vigun02g011800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4531032:4533233:1 gene:Vigun02g011800.v1.2 transcript:Vigun02g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHCSNKALLFVFLSLFSTFSSAHLPELIHSEPIDPTNVEDDALLVPATAPAPAPEIEFENDEPLIPPPTRKTNERLKKAHVAFQAWKKAIYSDPLNITGNWVGEDVCSYNGVYCAPSLHDPTQLVVAGIDLNNADIAGSLPEELGHLEDIALFHINSNRFCGVIPENLLNLTLVHEYDISNNRFVGRFPSVVLTWPNLKYLDLRFNDFEGPIPPELFEKDLDAIFLNNNRFSSVIPDTIGKSNASVITFAYNDFKGCIPSSFGNMKNLNEIVFIGNNLGGCFPQDVGKMENLTVLDLSGNGFEGTLPNLSQLKKVEVIDISHNKLSGYVSDSVCQLPSLKNFTFSHNYFNGEAPSCVPSPNSVVALDDSHNCFTGKKDQKSSKMCLPELTRPVDCSKHCGEAPKPTPSPKPETPKLVHSSPPPPPPVHSPPPPVFSPPPPVHSPPPPPVFSPPPPPPVFSPPPPVHSPPPPVFSPPPPVHSPPPPPVNSPPPPVYSPPPPPPPVNSPPPPVFSPPPPVFSPPPPVHSPPPPVSSPPPPIFSPPPPVYSPPPPPVSSPPPPPPVYSPPPPVSSPPPPVYSPPPPVFSPPPPVFSPPPPPPVYSPPPPVYSPPPPPVYSPPPPVSSPPPPVYSPPPPPVWDDVFLPPIYGSSYKSPPPPAIVGYN >Vigun01g178200.9.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFREFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.3.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924727:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFRERHHQIGHL >Vigun01g178200.8.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.4.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.7.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQRHHQIGHL >Vigun01g178200.15.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.17.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFRERHHQIGHL >Vigun01g178200.6.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFRERHHQIGHL >Vigun01g178200.5.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFREFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.11.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.10.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQRHHQIGHL >Vigun01g178200.18.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924726:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQRHHQIGHL >Vigun01g178200.2.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924727:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQRHHQIGHL >Vigun01g178200.1.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFREFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.13.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFREFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.14.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFREFSEAPPDWTFMRERKAQLTFLFGVDDHWGPLDLLEEISKQVPGIGVSIERENHTHGFCCTEAGSLWVAQHVANCIKKQTACTNQ >Vigun01g178200.12.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFRERHHQIGHL >Vigun01g178200.16.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKVKYCIGLYPFLTLNLQSTKQLFIGKIAKSQVLAAALSYLIASLGWLPVQALRFVVRKSLGKSWSVNAVEAACSHLSQYHTMRNVLYMAMTEFRERHHQIGHL >Vigun01g178200.19.v1.2 pep primary_assembly:ASM411807v1:1:35919984:35924723:-1 gene:Vigun01g178200.v1.2 transcript:Vigun01g178200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGLGGWTARSFAHSVLLPSLASSRTRFRAKCLVNYSMTVNDNLLSKPIRRANFRLCNVSGHISEVFEIRAEAPKLHVLLVPGNPGVVLFYKDFVEFLYELLEGTASVTAIGHVSHSRKDLEHGRMFSLQEQIDHKIDFIRQELQNIEIPILLVGHSIGSYISIEMFKKSPEKIPSSSCCS >Vigun05g140050.1.v1.2 pep primary_assembly:ASM411807v1:5:17126487:17128007:1 gene:Vigun05g140050.v1.2 transcript:Vigun05g140050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIRTSISLYIKRFTTCHLNVIMVMWVCHGCGFVSWNAGMKWR >Vigun02g079600.2.v1.2 pep primary_assembly:ASM411807v1:2:23230117:23234379:1 gene:Vigun02g079600.v1.2 transcript:Vigun02g079600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MATLVPFSSIFRPTLIRCTNERRALFNRIAPVYDNLNDLLSLGQHRVWKRMAVSWTGAKLGDRVLDVCCGSGDLSFLLSDKVIGLDFSKDQLSIASSRQQLLSKKCFTNIEWVEGDALDLPFMDGWFDAITMGYGLRNVVDKHKAMQEIFRVLRAGSTVSILDFNKSNESLTSAITEWMIDNVVVPVASGYGLSEEYRYLKSSIREFLTGKELEKLAFEVGFSDARHYEIGGGLMGCLVAKR >Vigun02g079600.1.v1.2 pep primary_assembly:ASM411807v1:2:23230117:23234379:1 gene:Vigun02g079600.v1.2 transcript:Vigun02g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MATLVPFSSIFRPTLIRCTNERRALFNRIAPVYDNLNDLLSLGQHRVWKRMAVSWTGAKLGDRVLDVCCGSGDLSFLLSDKVGCDGKVIGLDFSKDQLSIASSRQQLLSKKCFTNIEWVEGDALDLPFMDGWFDAITMGYGLRNVVDKHKAMQEIFRVLRAGSTVSILDFNKSNESLTSAITEWMIDNVVVPVASGYGLSEEYRYLKSSIREFLTGKELEKLAFEVGFSDARHYEIGGGLMGCLVAKR >Vigun02g079600.3.v1.2 pep primary_assembly:ASM411807v1:2:23230117:23234379:1 gene:Vigun02g079600.v1.2 transcript:Vigun02g079600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MATLVPFSSIFRPTLIRCTNERRALFNRIAPVYDNLNDLLSLGQHRVWKRMAVSWTGAKLGDRVLDVCCGSGDLSFLLSDKVGCDGKVIGLDFSKDQLSIASSRQQLLSKKCFTNIEWVEGDALDLPFMDGWFDAITMGYGLRNVVDKHKAMQEIFRVLRAGSTVSILDFNKSNESLTSAITGRNWRNLPSK >Vigun01g080400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22607424:22607963:1 gene:Vigun01g080400.v1.2 transcript:Vigun01g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWISKIMRILCWWLILALLSKGSKSDDGLVPKTIPPELHKLTIQITNQLHVKDPQLFVHCKDKKHDVGPVTLKFGESFHFRIRENFWFPTALYFCHFVWPGADYHFDIYVQSRDLGCHHYKCYWEIYDSGPCGTILQESGSTVCFPWNKK >VigunL059816.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:44384:44542:1 gene:VigunL059816.v1.2 transcript:VigunL059816.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun04g162200.2.v1.2 pep primary_assembly:ASM411807v1:4:38568092:38573685:1 gene:Vigun04g162200.v1.2 transcript:Vigun04g162200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVWEKIMSTLRAQIFGRILIQKIEFFDKYKVGELTGLLTSDLDSLKNIVSENVSRDRGFRALSEVIGTIFILFSLSPQLAPILGVLMLSVSISIAIYKRSTLPVFKAHGMVQASISDCVTETFSAIRTVRSFGGEKRQMFSFANQVLSFQSSGIKLGTFKSVNESLTRVAVYISLIALYCLGGSKVKAGELSVGTMASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVFSGVQVDDALAYGLERELRQKTVDDENYKWVLSNISTENNQKNYFHFMSALKTSSNLFSLAWSGDICLEDVYFSYPLRPDVEILRGLNLRLKCGTVTALVGPSGAGKSTVVQLLSRFYEPTSGCITVAGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDEDVSKEDVIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQEALNHLMKGRTTLVIAHRLSTVQNAYQIAVCSEGRVAELGTHFELLAKNGQYASLVGTQRLAFFE >Vigun04g162200.1.v1.2 pep primary_assembly:ASM411807v1:4:38566306:38573685:1 gene:Vigun04g162200.v1.2 transcript:Vigun04g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATLFLHYPLRLLLHSPLSTKITKPPLLPRPLLFPRPLHSRFLLPPPRAYVAALASDPNFGDPDPKLFGSGSEKAKPQNVITWSLLCSLLMNHKLRLLLVAATLFASSTCTLSMPLFSGRFFEVLIGARPEPLWKLLTQIGLLYALEPLATIIFVINMNIVWEKIMSTLRAQIFGRILIQKIEFFDKYKVGELTGLLTSDLDSLKNIVSENVSRDRGFRALSEVIGTIFILFSLSPQLAPILGVLMLSVSISIAIYKRSTLPVFKAHGMVQASISDCVTETFSAIRTVRSFGGEKRQMFSFANQVLSFQSSGIKLGTFKSVNESLTRVAVYISLIALYCLGGSKVKAGELSVGTMASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVFSGVQVDDALAYGLERELRQKTVDDENYKWVLSNISTENNQKNYFHFMSALKTSSNLFSLAWSGDICLEDVYFSYPLRPDVEILRGLNLRLKCGTVTALVGPSGAGKSTVVQLLSRFYEPTSGCITVAGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDEDVSKEDVIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQEALNHLMKGRTTLVIAHRLSTVQNAYQIAVCSEGRVAELGTHFELLAKNGQYASLVGTQRLAFFE >Vigun05g040900.1.v1.2 pep primary_assembly:ASM411807v1:5:3312289:3313630:-1 gene:Vigun05g040900.v1.2 transcript:Vigun05g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRFLMLLLLSSLLFLSFHHGFGRVAMMETHQDFPIRRIVKYEIEDYADPQPNINPKNGYIFTPPSPTPTHPPKP >Vigun07g182800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29901375:29904093:1 gene:Vigun07g182800.v1.2 transcript:Vigun07g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCCCVVHMETHLKYLFQLKPPNSNSTQLLVLMVMRRAFTFALITCRYYTSAIVSLPRTEHSLLHLLQLCIDLRAQKLAQQSHAQILANGYAQNVFLATRLVSAYATCGGLRSSRFVFESVEAKNVYLWNSLINGYVKNHNFHQAFALFGEMGRNGVLPDDYTLATVFKVSGELEDLVSGRLIHGKSVRVGFVSDVVVANSLMAMYCRCGEFAQAVKVFEEMPQRNVGSFNVVISGCAALGICNSPSHDDLWKFFVRMQCEGFMADAFTVASLLPLCCSDSGKWDYGRELHCFVVKNGFDLKMGLDVHMGSSLIDMYSRSKRVVIGRKVFDQMRNRNVYVWTAMINGYVQNGAPEDALVLLREMQMKDGIRPNKVSLVSVLPACASLAGLTGGKQIHGFSIKMELNDDVSLCNSLIDMYSKSGSLDYAKRAFESDSYFKDGISWSSMISAYGLHGRGEEAVVTYHKMLQEGFKPDMITVVGVLSACSKSGLVDEGISIYKSLMTKYDIKPTVEICACVVDMLGRSGQLDQALEFIVEMPIDPGPSVWGSLLTASVMHGNSRTRDLAYRHLLELEPENPSNYISLSNTYASSRRWDVVSEVRTRMKERGLKKIPGCSWIIVGGKTHSFCVADKAHPSSSLIYGMLDDLVSIMSDGCAESDILI >Vigun04g189432.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41349110:41352080:-1 gene:Vigun04g189432.v1.2 transcript:Vigun04g189432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCKVEAESEPQTFTYKVSNFFNSTFSSFMKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSQTDNHNHPSIFSIVGMGGLGKTTLAHHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHSLRDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLCKESSISYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFSFRLKFDKGNCIPKTTRHFSFALFDVKYFDGFGSLTDAKRLRSFFPYKKFGRRYIYHYPLQFKILVHELLSNFKFLRILSLDLYSELRELPDSIGALKHLHSLDLSGTRIQKLPDSTCLLYNLLILKLNYCLMLEELPSNLHKLTKLCFLEFENTKVTEMPMRFGELKNLQSTQCGFCR >Vigun11g130600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33834525:33840735:1 gene:Vigun11g130600.v1.2 transcript:Vigun11g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEEWKSFFPVGSSTVAPLLLSNSPSLPLGPLLFNPNPNSLSLLFSSTSLLPSLHYAPYLLPSRFLLSSHPSSILPSTASSIASLFSSTHQNDAASPFLRNRLHLLTYPRRPYALILFPAGDNDHKLAFFTLRFEDSRFHTQLDTNGDVFYASTGSSHRILNISVNPVSDDDEVDGAIGYLLATTLYSVHWFAARHNQILDRPGVVCLGDKMFKTCPVAHACWSPHILEESLVLLESGHLFLFDLECCGSGAGFKGTRLKVPWDDSSDSKVWLSCEFSWHPRILVVARSDAVFLVDLRLKECSVSCLMKIETLRMYAPDENERFLAMSRAAPDNFYFAVASTSVLLLCDVRKPLVPVLQWVHGIEEPSYMSVLRLSDLRSHSKEDAFEVASESGFCIMLGSIWNCEFNIFCYGSILPFRKGSVTSKINPAICAWELPLEINLSGHECHCGSCLLRKEFSKDALPEWIDWQQKKEIVLGFGILSNKLAALLAEPDENGGFTLIRLTSSGKFELQRYHASWAPARNLEDCPDQVLCLNRHLLYHMSDEDYKFPKNYNYLKLDYLYSYASGGLTRFLVRKLKKHCMDAHDKEPFSAEVHELLCEKLNACGFGQLRSCPEVTSVFNDVKLPESLHEVAWRRLWADLPMELLQLAFLSRAECHKVVGNLDHIRVALESLAVPELPQLPPFFLRKSSPHGNNDIVGPVIPFPVLLVLNKSRNGGLNLEEGEFSVETELSLKYKEVMQVAGEIAVSAYGPTHLDDHAVSLAEDGDETWAGHSKPKSFLLYRPVSFNSSAADHVNEKSVYSDTNYDTFISHIPEKKSTEQTESVGQEIFDDLSPVELRFDAPAKKLEPLGLKAYDLLKRQMSKWQQSFDSYKEFCIQSRFEKNS >Vigun06g079100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21070275:21070577:1 gene:Vigun06g079100.v1.2 transcript:Vigun06g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPNTSLSPQQEDEIQFSDDKNFALHGKILLLVFLSVFFLLFIFVLMIPWLRKRRGSHDSGTEEDSNIESQNNNPSTPSHNCFRRRRKEDVTLFTQET >Vigun05g128400.1.v1.2 pep primary_assembly:ASM411807v1:5:14752311:14755161:-1 gene:Vigun05g128400.v1.2 transcript:Vigun05g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHRVTLNIYDLSQGLARQLSMSFLGKAIEGIWHTGIVVYGNEYYFGGGIQHCPAGSTPYGTPLKVVELGVTHVPKDVFEVYLQEISPRYLPETYSLLTHNCNNFSNEISQFLVGVSIPEYILQLPNEVMSSPMGALIMPMIQNLETTLKSGGVPQVPQFKPPTAVNALAENGLNRGVNNEVKGKDENSKTGSPSSVKPEGEPQKSSPNGAIADPLGDARNKVQDEIVKEFAAIMATGTMRASEAAALATKRVMQRYGHTAVSQN >Vigun09g238100.2.v1.2 pep primary_assembly:ASM411807v1:9:40888071:40892783:1 gene:Vigun09g238100.v1.2 transcript:Vigun09g238100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSTSKALCSLASRRSGASQLIRSSKGRSITAITGHHIQPCVSRIGFSSITGGSSNSNNNNNYNAVHTRQFLGCGDGEEGILSKTYEERRVLGYSPEQLFDVVAAVEFYHGFVPWCQRSDILRHHPDGSFDAELEIGFKFLVESYVSHVQVDRPKRIKTTVSESTLFDHLINIWEFNPGPVPGSCNLHFLVDFKFQSPLYRQH >Vigun09g238100.4.v1.2 pep primary_assembly:ASM411807v1:9:40888073:40892753:1 gene:Vigun09g238100.v1.2 transcript:Vigun09g238100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSTSKALCSLASRRSGASQLIRSSKGRSITAITGHHIQPCVSRIGFSSITGGSSNSNNNNNYNAVHTRQFLGCGDGEEGILSKTYEERRVLGYSPEQLFDVVAAVEFYHGFVPWCQRSDILRHHPDGSFDAELEIGFKFLVESYVSHVQVDRPKRIKTTVSESTLFDHLINIWEFNPGPVPGSCNLHFLVDFKFQSPLYRQH >Vigun09g238100.3.v1.2 pep primary_assembly:ASM411807v1:9:40888073:40892784:1 gene:Vigun09g238100.v1.2 transcript:Vigun09g238100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSTSKALCSLASRRSGASQLIRSSKGRSITAITGHHIQPCVSRIGFSSITGGSSNSNNNNNYNAVHTRQFLGCGDGEEGILSKTYEERRVLGYSPEQLFDVVAAVEFYHGFVPWCQRSDILRHHPDGSFDAELEIGFKFLVESYVSHVQVDRPKRIKTTVSESTLFDHLINIWEFNPGPVPGSCNLHFLVDFKFQSPLYRQH >Vigun09g238100.1.v1.2 pep primary_assembly:ASM411807v1:9:40888073:40892753:1 gene:Vigun09g238100.v1.2 transcript:Vigun09g238100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLSTSKALCSLASRRSGASQLIRSSKGRSITAITGHHIQPCVSRIGFSSITGGSSNSNNNNNYNAVHTRQFLGCGDGEEGILSKTYEERRVLGYSPEQLFDVVAAVEFYHGFVPWCQRSDILRHHPDGSFDAELEIGFKFLVESYVSHVQVDRPKRIKTTVSESTLFDHLINIWEFNPGPVPGSCNLHFLVDFKFQSPLYRQIASMFFKEVASKMVGSFTERCRLIYGPEVQVHENAYGKRA >Vigun02g172600.1.v1.2 pep primary_assembly:ASM411807v1:2:31522417:31524893:-1 gene:Vigun02g172600.v1.2 transcript:Vigun02g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFFYGNQAPSGVIKAINFDVLTENDIEKVSVLEINAAGQVTGSTLGLPNTSDECATCGSKDKKFCEGHFGVIRFPFPILHPYFMSEIAQILNKICPVCKSIRHKSKGAQLIFGIKQSINCKYCSGNGVGRYPAMKFKFSSNDLYRRTAIIVEVNYKSSKKTLGRSLPPDYWDFIPYDAQQEENYVNRRVLSPGQVISLLNDVDPNFIEKYIPRKNLISLNCFPVTPNCHRVTEVPYAISNGNRLSFDDRTRSCKKLVDFRGTANELSSRVLDCLRISKLNPDKTPNTIFADIQKKKLQKMLAILLV >Vigun08g061500.1.v1.2 pep primary_assembly:ASM411807v1:8:8253099:8253977:-1 gene:Vigun08g061500.v1.2 transcript:Vigun08g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVRPRLLLLQSEDFQKFCGCGEKLLLLKANTAKNNGRFFWRCRNWTTEGNCSFFQWADEGEPVWDELTEKKDEETLCDKEKMVVDLLQKNVKLKKKLMEERKLGQIKMWLFLVSWAFTIVFCVLFVMKINCNM >Vigun09g124400.1.v1.2 pep primary_assembly:ASM411807v1:9:27708477:27709434:-1 gene:Vigun09g124400.v1.2 transcript:Vigun09g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMCIACQIGKVKACMLRNTKIRCSDINRKPLIPCFSKGSEQDNVFKVVPSEDVNTQQFRTE >Vigun11g175600.1.v1.2 pep primary_assembly:ASM411807v1:11:38049034:38054275:1 gene:Vigun11g175600.v1.2 transcript:Vigun11g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVLGYLEKNEEITDSGEFAAERGIDHNEIVNVIKSLHGFRYVDAQDIKREAWVLTDEGKTYATVGSPEVQLFLAIPPEGISKEDLQKKLDPSLFKIACAQAAKNKWVDMGKQLISRKVQHVDDKVKDLLIQIQQGRNIGSDEIKALKARKLIVPQTWKGYSLKKGPNYAPKRKKVVTDLTRDNFQSGEWKELEFKEYNYSAKGQPLEGGNLHPLLKVRSQLKQIFLCMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLETPSTTKELPDDYVLRVKQVHEAGGYGSRGYAYDWKREEANKNLLRTHTTAVSSRMLYQLAQKPFAPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLSDLIGVLHDFFSRLGMTKLKFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLQPMGLPEDVQVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKKNPICRLGID >Vigun11g114800.1.v1.2 pep primary_assembly:ASM411807v1:11:31890148:31894875:1 gene:Vigun11g114800.v1.2 transcript:Vigun11g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFVTPQLVRVTPFIHHSKHLLATSISCFQTLSFPQFSTKTSALAHCRTHSPLRPAMDAQPPESAASGEDFVHVPDLKMESLSESMVRIDEPSDADAAPSASDDAPDSDRRQVTLPEELSRNVLVLSCESAAEGGVCDVYLVGTAHVSEESSREVQAIVNFLKPQAVFLELCSSRVAVLTLQNLKVPTVGEMVTMLKKKHNMFEVLYGWFLAKIASKLEVFPGSEFRVAYEEAIKYGGRVILGDRPVQITLRRTWSKMPLWHKTKLLYSLLFQAVFLPSSDDLNKMLKEMDDSDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLLKVASENSSVVAVVGKGHLQGIKKHWKQPVVMKDLMTVPSVEPAVSAIRIVASVGVAVAGVAIISGIYLSCKK >Vigun07g229600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35136539:35138149:-1 gene:Vigun07g229600.v1.2 transcript:Vigun07g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLTPHLPCKANSNQEYLVSMKLQETEQPGMTITSPLIHKDSAPMQTHVFKEVISIAKIALPMILTGLLLYCRSMISMLFLGHLGELALAGGSLAVGFANITGYSILSGLAVGMEPICGQAYGAKKFTLLGLCLQRTILLLLFTSLPISLLWLYMKRILLLCGQDEDIATQAQFYLLYSIPDLITQSFLHPLRIYLRTQSITLPLTLCATLSILLHVPINYLLVFHLNLGIKGVALSGVWTNLNLVVSLILYVVFSGTHKKTWGGFSVECFTQWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTSLLYIFPSSISFSVSTRVGNKLGAQKPSKARLSAIVGLSCSFVLGVLALVFTIVVRNIWASMFTQDKEIITLTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLGFFAGFDFQGLWLGLLAAQGSCAVTMLVVLSRTDWDAEAQRANKLTSVVVVDDDDSKKFGAEKPPKAEIKEDSLSSLAESDEDKQSWV >Vigun08g076300.1.v1.2 pep primary_assembly:ASM411807v1:8:13608330:13619374:1 gene:Vigun08g076300.v1.2 transcript:Vigun08g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPSNEQRQSIYSASLPGEFNEAMGMDDPESTMATVANFVEQLHANLSSPVEKETITARLLGIARRRKDARTLIGSHAQAMPLFINILRNGTSLAKVNVASTLSVLCKDEELRLKVLLGGCIPPLLSLLNYESTETRKAAAEAIYEVSSGGLSDDHVGMKIFVTEGVVPTLWSQLNPKNKEDKIVEGFITGALRNLCGDKDGYWKATLEAGGVDIIVGLLSSDNSVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGPKNDISVRASAADALEALSSKSTMAKQVIVNADGIPILIGAIVAPSNECMQGDGGQALQEHATRALANICGGMSALILYLGELSRSPHLDAPVSDIIGALAYTLMVFEEKVGVDEKHFDATQIEDILVTLLKPRDSKLIQERVLEAMASLYGNICLSKWLIQADSKKVLIGLITMAATDVQEYLILSLTTLCSDKIGVWEAIKKREGIQLLISLLGLSSEQHQEYSVQLLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAREEAANVLWSLCCHSEDIRACVESAGAIPAFLWLLKSGGPKGQEASAMALTKLVRVADSATINQLLALLLGDSPSSKAHIIRVLGHVLTMASQNDLLEKGSAANKGLRSLVQVLNSPNEETQEYAASVLADLFITRQDICDSLATDEIVLPCMKLLTSKTQVVATQSARALSVLSRPTKNKAANKMSYIVEGDVEPLIKLAKTSSVDAAETAVAALANLLFDPFIAAEALAEDVVSALTRVLAEGSLEGKQNASRALHQLLKHFPVGDVLKGSAQCRFTVLALVDSLKAMDMDGTDAADALEVIALLARTKKGVSNNYSAWSALAEIPSSLELLVCCLAEGPSLVQDKAIKILSRLCGDQPAVLGDLLSTSSRSIGSLANRIMNSSSLEVKIGGSALLICAAKEKKELSMDSLDVSGHLKPLIYSLVEMIKQSFRYSSLEIEVLASKGFMERNGFQEVDEFDIPDPATALGSTIAMWLLSVIASFHIKSKLTIMEAGGLEVLSDKLGRYTSNPQAEYEDTEGIWINALLLSILFQDENVVQSPVTMRIIPSITLLLRSDEVIDKYFAAQAMASLVCNGNMGIDLAIANSGAVAGLITIIGHVESDMPNLMDLSEEFSLVQNPDQVVLDHLFEIEDVKVGSTARKSIPLLVDLLRPIPERPTAPPVAVRLLISIADGSDSNKLILAEAGALEALNKYLSLSPQDSTEAAISELLRILFCNSDLIKHEAAISSLNQLIAVLRLGSRTARYSAARALHELFDADNIRDSELAKQAIQPLVDMLNTTSGHEQEAALMSLIKLTSGNSSKVSLLTDMEGNPLKCLYKILSSASSLELKSHAAQLCFALFANSKIRADPVASECIEPLILLLQSGSETAIESGVCAFERLLEDEQQVELAAAYNIVDLLVSLVSGTNYQLIEATVSALIKLGKDRTPSKLDMVKAGIVDNCLKLLQLAPSSLCSTISELFRILTNSSAIARSSDAAEIVEPLFHVLLRRDFNLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISTSWPKAVADAGGIFELAKVIIQEDPQPPHALWESAALVLSNVLHSNADYYFKVPVVVLVKLLHSTLESTISIALNALIVHDRSDASSAEQMMEAGVIEALLDLLRSHHCEEASGNLLEALFNNVRVREMKVSKYAIAPLSQYLLDPQTRSQSGKLLAALALGDLSQHEGHARSSASVSACRALISLLEDQPTEEMKVVAICALQNFVMNSRTNRRAVAEAGGILVIQELLLSPNTEVAAQAALLIKFLFSTHTLQEYVSNELIRSLTAALERELWSTATINEAVLKTLHVIFMNFPKLHTSEAATLCIPHLVGALKSGGEAAQDSVLDTFCLLRQSWSTMPIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADTLLHCLPGCLTVTIKRGNNLRQTMGSTNAFCRLTLGNGPPKQTKVVNHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVSEGVYSGLFSLNHDGNKDGSSRTLEIEIIWSNRISNDDI >VigunL029001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000440.1:54516:54842:1 gene:VigunL029001.v1.2 transcript:VigunL029001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun04g062900.1.v1.2 pep primary_assembly:ASM411807v1:4:6726098:6729207:1 gene:Vigun04g062900.v1.2 transcript:Vigun04g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNRSGPPKHQNKYAWKPNAGRKINETEVGGRFRPLSEITGVCPRCKEQIEWKRRYGKYKPLLQPAKCQRCSKRNVRQAYHNLCSGCAKEHGVCAKCCCSRKQIVGRDLSEVEAEQKMLDEAIKNSRERERRTLLRAMNKGKSKNSNSTPSDTTGNKVGQLFPNASLEDYAKLNSVKVEHDDGEICEDDSEGEVCEDEDDNSDNEDCDDAGNTDSEDCDEDDDKNDESIIDHPNSKNE >Vigun07g017600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1463417:1463695:-1 gene:Vigun07g017600.v1.2 transcript:Vigun07g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTLSARNQASSKGLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFEHIISCLSAQ >Vigun07g183700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30073752:30078596:-1 gene:Vigun07g183700.v1.2 transcript:Vigun07g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELETLEELVVKEGSLVMNGKDLKVGSDLCIEDGVCTGEGVVELDDDVGSDGLNKEGNGVNPTAGEGVGVLGEGSQVVGSLEEKSENETAELGGNHTNLKVLDEQKDIDDREVNESVEKEAINDVKDESEDRQSIKENAGISEQVDSHEEQEIEDENFDDAERHKRTGRKVRKVFSVSNKKSSGVIFQASYQLPTENVGEFSVYDLVWGKVRSHPWWPGQIFDPSDSSVEALKHLKKDRYLVAYFGDRTFAWNDSSQLKPFRTHFSNMVKQCSSDAFQNAVDSALEEATRRAEYGLACSCIPEDTLDKIKLQTVENTGIQQELSFIRRVDESLNVDSFSPQNLLEYLKTLSEFPTGGFDRLELLIAKAQLLAFYRLKGYSCLPELQYCGVLDNDTNALVKDAEKSLSEIKKDVHTGAGKMKTSNISSHKRKHNLKDNTYQEKKKRSLSESAGGTSDSTHGDYQSGDATDNLIYPASSKKKKTIDHSGGISGMKDRRKTVSLAKVSNSTKQSFKIGERILRVANQLTGPPSMLKCSQDRSQREDGCTEGFSRNGTDIFSSSEKTQKSSLIVPVEYSSLDDLLHLLQWVAHEPLGDYSSLNVIVSFFTDFRNSIIVANDSGKEISPADKAGSKRKKQPLAEKSETGIQIRSEKQQSQKSSRRDYQHAPAEPEKPIIVYTRRSYPRKQLSENNHLEVPEKPSGCVDENENSEAELILNFAEMDSVPSEMKLNKIFGQFGPLNESETEVDRGNSRARVVFKKCADAESAFSSAENFNIFGSVLVNYKLNYTPSTLFKPASFTTTVDQDQDMHIDLSNSEHNTV >Vigun07g183700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30073752:30078596:-1 gene:Vigun07g183700.v1.2 transcript:Vigun07g183700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELETLEELVVKEGSLVMNGKDLKVGSDLCIEDGVCTGEGVVELDDDVGSDGLNKEGNGVNPTAGEGVGVLGEGSQVVGSLEEKSENETAELGGNHTNLKVLDEQKDIDDREVNESVEKEAINDVKDESEDRQSIKENAGISEQVDSHEEQEIEDENFDDAERHKRTGRKVRKVFSVSNKKSSGVIFQASYQLPTENVGEFSVYDLVWGKVRSHPWWPGQIFDPSDSSVEALKHLKKDRYLVAYFGDRTFAWNDSSQLKPFRTHFSNMVKQCSSDAFQNAVDSALEEATRRAEYGLACSCIPEDTLDKIKLQTVENTGIQQELSFIRRVDESLNVDSFSPQNLLEYLKTLSEFPTGGFDRLELLIAKAQLLAFYRLKGYSCLPELQYCGVLDNDTNALVKDAEKSLSEIKKDVHTGAGKMKTSNISSHKRKHNLKDNTYQEKKKRSLSESAGGTSDSTHGDYQSGDATDNLIYPASSKKKKTIDHSGGISGMKDRRKTVSLAKVSNSTKQSFKIGERILRVANQLTGPPSMLKCSQDRSQREDGCTEGFSRNGTDIFSSSEKTQKSSLIVPVEYSSLDDLLHLLQWVAHEPLGDYSSLNVIVSFFTDFRNSIIVANDSGKEISPADKAGSKRKKQPLAEKSETGIQIRSEKQQSQKSSRRDYQHAPAEPEKPIIVYTRRSYPRKQLSENNHLEVPEKPSGCVDENENSEAELILNFAEMDSVPSEMKLNKIFGQFGPLNESETEVDRGNSRARVVFKKCADAESAFSSAENFNIFGSVLVNYKLNYTPSTLFKPASFTTTVDQDQDMHIDLSNSEHNTV >Vigun07g183700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30074265:30078570:-1 gene:Vigun07g183700.v1.2 transcript:Vigun07g183700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELETLEELVVKEGSLVMNGKDLKVGSDLCIEDGVCTGEGVVELDDDVGSDGLNKEGNGVNPTAGEGVGVLGEGSQVVGSLEEKSENETAELGGNHTNLKVLDEQKDIDDREVNESVEKEAINDVKDESEDRQSIKENAGISEQVDSHEEQEIEDENFDDAERHKRTGRKVRKVFSVSNKKSSGVIFQASYQLPTENVGEFSVYDLVWGKVRSHPWWPGQIFDPSDSSVEALKHLKKDRYLVAYFGDRTFAWNDSSQLKPFRTHFSNMVKQCSSDAFQNAVDSALEEATRRAEYGLACSCIPEDTLDKIKLQTVENTGIQQELSFIRRVDESLNVDSFSPQNLLEYLKTLSEFPTGGFDRLELLIAKAQLLAFYRLKGYSCLPELQYCGVLDNDTNALVKDAEKSLSEIKKDVHTGAGKMKTSNISSHKRKHNLKDNTYQEKKKRSLSESAGGTSDSTHGDYQSGDATDNLIYPASSKKKKTIDHSGGISGMKDRRKTVSLAKVSNSTKQSFKIGERILRVANQLTGPPSMLKCSQDRSQREDGCTEGFSRNGTDIFSSSEKTQKSSLIVPVEYSSLDDLLHLLQWVAHEPLGDYSSLNVIVSFFTDFRNSIIVANDSGKEISPADKAGSKRKKQPLAEKSETGIQIRSEKQQSQKSSRRDYQHAPAEPEKPIIVYTRRSYPRKQLSENNHLEVPEKPSGCVDENENSEAELILNFAEMDSVPSEMKLNKIFGQFGPLNESETEVDRGNSRARVVFKKCADAESAFSSAENFNIFGSVLVNYKLNYTPSTLFKPASFTTTVDQDQDMHIDLSNSEHNTV >Vigun07g068500.1.v1.2 pep primary_assembly:ASM411807v1:7:8262027:8264754:-1 gene:Vigun07g068500.v1.2 transcript:Vigun07g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPNPKPRLVICVGDIHGFITKLNNLWSNLERSIERSEFETATVIFLGDYCDRGPDTRLVIDFLVALPSRYPRQKHVFLSGNHDLAFAAFLHLLPPPPDGSPFSDGWKEYSASEEREGWFSGEGFENMHLQGRRWSGKIKERFNTVKGTEYQGSIYDAGPTFESYGVPHGSADLVKAVPDEHKKFLTDLVWVHEEDDVFINTEDGSKCCKLIAVHAGLQKKVDVKEQLKLLKARDTRVPKVEALSGRKTVWDIPEELTTSPTIIVSGHHAKLHIEGLRLIIDEGGGFKDKPVAAIILPSQKIIRDTDVLAE >Vigun07g055700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6029548:6032550:-1 gene:Vigun07g055700.v1.2 transcript:Vigun07g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAFAIIFLLTAAFAVLCSCGHAQLGFNEEERQALLSIKGSFKDPSRLSSWEGSSCCQWKGVACNNLTGHVVKLDLRNPCYPLQGAFQPDCKFYDHVLEAKHLHPSILHLKYLTYLDLSGNNFHNTSIPESIQTLQHLQVLYLSDSHFSGRIPYNLGNLTKLLILDLSFNSHLYADDFYWISQLSSLQYLYMSDVYLGKAQNLLQSLNMLPSLIEIEFRNCGLDKLHTHQHVTTNLSKLEYLNLAENGLQTPFLDAFQNMTSIAVIDLSHNNLNSTPFWLGTSANLVRLFLDSNALYGSLPSALRNLTSLVSLDLSQNKFHSVSGWLGELKGLQYLSLSGNDVSHIEGSLAHLLGNCCHLKQLDMSRNKLQSDALGNHTQFECISHDLMYLDLSHNECNGHLPPWLGQLENLISLIMTDSNLVGSLPCAIITKLVNLQNLVLSNNNFTGSLPDCIGELVSLKILILSSNHFDGVIPRSLVQLVSLTDLDLSRNSLNGTIPPNIGQLQNLSTFYLSENKLYGNIPYGLSQLLNLQNLDISLNHLENLVSDIRWPRQLVYLNLTDNRISGSLPQDISDSLPNATHLLLGNNLISGLIPDSLCKIDSLYSLDLSGNMLVDEIPNCWSLTQRLNVINLASNKLSGVIPSSLGNLPTLAWLHLNNNSLHGEFPSSLRNLSQLLILDVGENHLSGIIPSWMGNIFSSMQILRLRQNRLNGTIPLQLCQLSALQILDLSNNNLIGPIPHCIGNLTGMVSRKNISVNQSSRTVEWYEQDVREVVKGMELEYTRNLKLVVNLDLSNNNLTGSIPDGITSLGALHGLNLSYNRLSGQLPKRIGDMKSLESLDLSHDQLSGAISESITSLTWLSHLNLSYNNLSGPIPKGTQLSTLDDPFIYAGNPFLCGHPLPNKCIDDHFKGGNEDEEKDDKEDKVEKLWFYSVIALGYLIGFWAVIGSLLLHKSWRLAYFQYVDESTQRINVSLTIHLANFKESLTGILMLRETLR >Vigun07g281100.1.v1.2 pep primary_assembly:ASM411807v1:7:39586947:39593270:1 gene:Vigun07g281100.v1.2 transcript:Vigun07g281100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTAAAAAAANNNTATAKVGSGGVKSNNHNLEEEHSNLNGEEHMAEQVEAEEEEDREADVGEGEPTGSALNPRQPTRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNEGSDYGSWEGESYLHDDGDDFDDLHDGTDVDVDVDVDEDDEDDDDDDDDDEDDDNEEYEDEDAFDVHASAGEHDNPSIEFDPDVFSSDEAYARALQEAEEREMAARLLALAGINDREAEDVEEHGANSQDAWEDVDPDELSYEELLALGEVVGTESRGLSTDTIAGLPSLNYKVGSDQHGSNDSCVICRVDFEDGDSLTHLSCKHLYHPECINNWLKINKVCPVCSTEVSASGSNL >Vigun04g061900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6442662:6443663:-1 gene:Vigun04g061900.v1.2 transcript:Vigun04g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPCLPFFTTTKSKTTSNSNPIEQLCHRFSFSELQAATNGFMPSLIVSGEGQCKVYKAHLKGHGDVAIKHFEIKSPDRDIEFRVEVEILCQLHHPNVVPLVGFCEHKNDKFVVYDYVSNGSLHDCLHGTNKNSAVPLSWIQRLNICIGVARGLHYIYFETKIPIMHRAVKSSNVLLDRNLVPKVADFGLCKKHPQEESRPKPPRVELRENLEVSLEYMEPEYFMTGRLSKKFDVYSFGVVMLEIVCRKEACFLTPGGDCEYLVKWAFDDERKGVLEKIVDPSLIGKIAPSCWEVFIEIVQRCLASVEERPTMGEVVMILENAFLLQERAHV >Vigun07g066350.2.v1.2 pep primary_assembly:ASM411807v1:7:7786027:7787101:1 gene:Vigun07g066350.v1.2 transcript:Vigun07g066350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWYPRVGCLLMVHGPTQARSRKRCFIPGCCRKMSTNTLKVDQEVVRS >Vigun07g066350.1.v1.2 pep primary_assembly:ASM411807v1:7:7786027:7787101:1 gene:Vigun07g066350.v1.2 transcript:Vigun07g066350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWYPRVGCLLMEVHGPTQARSRKRCFIPGCCRKMSTNTLKVDQEVVRS >Vigun09g145800.1.v1.2 pep primary_assembly:ASM411807v1:9:30876705:30878740:1 gene:Vigun09g145800.v1.2 transcript:Vigun09g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGFQASNLGDAIQVVNQMLDWRLVDEAVTEDCSDHERDLEYRKCVTCKVFLGFTSNLISSGVRDIVRFLLQHRMVDVVVTTTGGIEEDLIKCLAPTFIGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQNTENVLWTPSKLIARLGKEINNESSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRNPGLILDVVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRVKPHH >Vigun05g145800.2.v1.2 pep primary_assembly:ASM411807v1:5:19935727:19939954:-1 gene:Vigun05g145800.v1.2 transcript:Vigun05g145800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPNLHHHCAKSLRSCRHRAKSSSPCEVTAVTVRSRLVTVACCFCALRLLASPCEVAYEVTPSLCRPHCPSLIRFLHLKELSVIDVGVKTAEVLAFFAGALLEKQIVFVCSNLGCCL >Vigun05g145800.1.v1.2 pep primary_assembly:ASM411807v1:5:19935727:19939954:-1 gene:Vigun05g145800.v1.2 transcript:Vigun05g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPNLHHHCAKSLRSCRHRAKSSSPCEVTAVTVRSRLVTVACCFCALRLLASPCEVAYEVTPSLCRPHCPSLIRFLHLKELSVIDVGVKTAEVLAFFAGALLEKQIVFVCSNLWQRNG >Vigun05g145800.3.v1.2 pep primary_assembly:ASM411807v1:5:19935727:19939954:-1 gene:Vigun05g145800.v1.2 transcript:Vigun05g145800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPNLHHHCAKSLRSCRHRAKSSSPCEVTAVTVRSRLVTVACCFCALRLLASPCEVAYEVTPSLCRPHCPSLIRFLHLKELSVIDVGVKTAEVLAFFAGALLEKQIVFVCSNLWQRNG >Vigun08g098950.1.v1.2 pep primary_assembly:ASM411807v1:8:24076395:24084514:1 gene:Vigun08g098950.v1.2 transcript:Vigun08g098950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLMAHSKHSSSHSQTLSIFLFQLLHTTTTQYFPHFLATILRILLSPLLLATSFFSVFRSWHIGSSVLSFQRFYNFHSLSIGSVVLGDIHWHCSELGLRTCSVCW >Vigun07g090150.1.v1.2 pep primary_assembly:ASM411807v1:7:14187820:14188269:-1 gene:Vigun07g090150.v1.2 transcript:Vigun07g090150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVMKPLSVGRMIGEVVDIFSPSVRMNVTHSTKKVANGHDLMPSTVMAKPHVEIGGDDMRTVIPCKIITHSPYLC >Vigun01g081300.1.v1.2 pep primary_assembly:ASM411807v1:1:22878663:22880019:1 gene:Vigun01g081300.v1.2 transcript:Vigun01g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILNLFLLQPQLTPSLGFLALPISLANMVLQNDIDLLNPPAEIEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >Vigun11g166152.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37351240:37351392:-1 gene:Vigun11g166152.v1.2 transcript:Vigun11g166152.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKIYPFRTCTMY >Vigun05g096700.1.v1.2 pep primary_assembly:ASM411807v1:5:9385115:9387800:-1 gene:Vigun05g096700.v1.2 transcript:Vigun05g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNMEVERLISYTDDLVKVLVEPRDLNNLSYCHQQNLSLSSSSHSHHHDVRSSLQDCEKKVDACKQKIEEARSETVTDAELDLLQRELEEDLEKERLLKEEFRAIGEEFNDLEQQWISVQEQKKTLKKIEKTKLRAQMILSMYASVTNIVPDLGEQSKISGYIVDKDKDAVEKFDYDTSKMTDFDICNGVWKIISE >Vigun03g262900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43195508:43196531:1 gene:Vigun03g262900.v1.2 transcript:Vigun03g262900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVTLPDSNTHDQGEDIYNFIVYHSHISASQEEYLHELCVEARSHHSPSTSCASVDSPVVPPDVFHTPPDNTSSPSLCDQPTTVTECDVHSPPDNTSPLSFCDQPTTVTECDQPTAVTECIECDHPCTVNHAVDVDAAIQGFVYLSEGLKLEFS >Vigun05g134700.3.v1.2 pep primary_assembly:ASM411807v1:5:15889235:15891233:-1 gene:Vigun05g134700.v1.2 transcript:Vigun05g134700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFSEADSSSLKLYLLPFPSPGHMMPQINLGQVLAFRGHHVTVLTTPSNAQFIPKHLKVHTFNLPSNEHGLSSENLSSAEDNRTAYKIWKATQDLKPQIETFLQQNPPHAVILDIMFPWNFSSTLNIATAVYNPMPIFALCVAEAINNSQHQAFPSDSSLSFVVPGSLPHNVTLNFNPWTTSFHTMARTMLQAKENNSVGVIVDTFAELEDGYTEYYQKLTGVKVWHVGKLSLMVDYYHRRGTSSQENHVGGEHECLNWLSTKEARSVVYVCFGSLSRLSKEQYLEIARGLEASGHNFILVLPKKVNEEGLLHGIEERMREDDRGMVVRGWVPQGLILKHVGIGGFLTHCGASSVLEGICEGVPLITMPRFGDQFLCEKLVTEVLGIGESVGVWEWSMSPYDVRKELVGWERIESAVRKVMKDEGGLFRKRVKELKEKAHKAVQEGGSSYHNVTALLQSIKVTNV >Vigun05g134700.1.v1.2 pep primary_assembly:ASM411807v1:5:15888956:15891232:-1 gene:Vigun05g134700.v1.2 transcript:Vigun05g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFSEADSSSLKLYLLPFPSPGHMMPQINLGQVLAFRGHHVTVLTTPSNAQFIPKHLKVHTFNLPSNEHGLSSENLSSAEDNRTAYKIWKATQDLKPQIETFLQQNPPHAVILDIMFPWNFSSTLNIATAVYNPMPIFALCVAEAINNSQHQAFPSDSSLSFVVPGSLPHNVTLNFNPWTTSFHTMARTMLQAKENNSVGVIVDTFAELEDGYTEYYQKLTGVKVWHVGKLSLMVDYYHRRGTSSQENHVGGEHECLNWLSTKEARSVVYVCFGSLSRLSKEQYLEIARGLEASGHNFILVLPKKVNEEGLLHGIEERMREDDRGMVVRGWVPQGLILKHVGIGGFLTHCGASSVLEGICEGVPLITMPRFGDQFLCEKLVTEVLGIGESVGVWEWSMSPYDVRKELVGWERIESAVRKVMKDEGGLFRKRVKELKEKAHKAVQEGGSSYHNVTALLQSIKVTNV >Vigun05g134700.2.v1.2 pep primary_assembly:ASM411807v1:5:15888956:15891232:-1 gene:Vigun05g134700.v1.2 transcript:Vigun05g134700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFSEADSSSLKLYLLPFPSPGHMMPQINLGQVLAFRGHHVTVLTTPSNAQFIPKHLKVHTFNLPSNEHGLSSENLSSAEDNRTAYKIWKATQDLKPQIETFLQQNPPHAVILDIMFPWNFSSTLNIATAVYNPMPIFALCVAEAINNSQHQAFPSDSSLSFVVPGSLPHNVTLNFNPWTTSFHTMARTMLQAKENNSVGVIVDTFAELEDGYTEYYQKLTGVKVWHVGKLSLMVDYYHRRGTSSQENHVGGEHECLNWLSTKEARSVVYVCFGSLSRLSKEQYLEIARGLEASGHNFILVLPKKVNEEGLLHGIEERMREDDRGMVVRGWVPQGLILKHVGIGGFLTHCGASSVLEGICEGVPLITMPRFGDQFLCEKLVTEVLGIGESVGVWEWSMSPYDVRKELVGWERIESAVRKVMKDEGGLFRKRVKELKEKAHKAVQEGGSSYHNVTALLQSIKVTNV >Vigun03g419700.1.v1.2 pep primary_assembly:ASM411807v1:3:62582442:62587912:-1 gene:Vigun03g419700.v1.2 transcript:Vigun03g419700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGQSNTNKSPSVSLNNFNFDFDLGIGSNRPKSLNDQKNPKPSYSTTTTTTSSYSYQYSSAQPNKQPSWTHQPAPTQTTALPGGPPSMVGDIFGKSWGTTQPSAASKNIGVVDKNPNLFGDLVSSALGQGSKSTGTNVPLKNATPTPNKTSFSMGNMANSLPKTGATPQSSASWASSSGGFSVNANKTPNLGGTSMRNTGSGIGTSSNNKDPFSSLSGFGSKQSATLNSAAKGPKVASRDDGFGDFQNASKSSSDGFGDFQNASKPSSAAFSSAASPGIDFNFAGSAAPTQNPVQRSGGGDDPLNMFFTAASSPAVGGAASASDGFGGQDDWGLDSEFGGGGHDVGGTTTELEGLPPPPAGVSGSTAKGKGMDNYKQGQFADAIKWLSWAIVLLEKAGDATAVAEVLSCRSSCYKEVGEYKKAVADCTKILENDETNVAVLVQRALLYESMEKYKLGSEDLRTVLKIDPSNRIARSTVHRLAQMAG >Vigun03g170500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20349325:20352341:-1 gene:Vigun03g170500.v1.2 transcript:Vigun03g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDHDHDHDHGHSHPHHHHHRPHRLSVPPRTATFGGTPGPYPALRYSSFPTPTPTPSKGRRPPASFSFPKSKSKSRSSLFLLLFFSLRSLYSLLPFLRSSPSFSLFPFSFLVSLLSFTLTLTFSLFSSSSSKDNPFLHHKPKHSLFSLPHSHHRILLTKSLLLALVFLLRFQALRYCSTASMILAELMGSVAAQPRNPRRPNLRGFLFLSLGLLMLSFGWDRVECFPFSPTCVSGRIWPLFLPFFSGFLTRYQLVDSGTTLKQLGNKRVRLITLFFTTLILFVPAVFSFFVFESEEDSVAFGNLLWPLANTVIFGVLLSENYSDDDNDDDGLVSLRDSQREFLVTFVCTLVLELFYFPELSLWGLLLCGLLLYIGVRDLDPFHSNEEESFSDVIMKPIRHVLSERKSRKIALFLLINAAYMVVEFSVGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNHYNYGRGRFEVLSGYANAVFLVLVGALIVVESFERILDPQEISTNSLLVVSIGGLIVNVIGLIFFHEEHHHAHGHGWSGSSCSHSHSHSELHNHHHTHHDHDHDHLHCHPHETTHVSHNKFISISDHDDHHSHNHHSHGSRHHGECHDHEDNHSIRSPKHLEASHCHDHGEHHIDHGHDHEEAPLVDKEHGHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLIADPACSIFISILIVSSVIPLLKNSAEVLLQRVPRVQERELKDALSNVLKIKGVYGIQKFNAWSFTNTDVVGTLHLHLSTDTDKTSAKSQVLHLLHNAGIKDVTVQVECVG >Vigun03g429600.1.v1.2 pep primary_assembly:ASM411807v1:3:63397086:63405614:-1 gene:Vigun03g429600.v1.2 transcript:Vigun03g429600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCGIRAIWILNNLDAVVFSRRFPVVEKRWRAACNANNDSDQFFSSLPTNSDLTDAFLDRKHREGSARGFGIRKSNSTLGSDSWVDDPITRHVVGLYINKEEEDIKNLLWPLILHTKGLYSILILPMVEPRHLKAYARLCKRSDCGTALGMDDGLSSLLLDLPSVTGAFMVAHAIGDIITGDTVEPEVIVSAAPSVGGLFDSLTGSIGISSRAKPVTPPVTSASPSITSVPGSVTGDAPKIGSRPLDKDALRTFINSSMPFGTPLDLNYSNIFTIKANGFSATDLPPSEQKQPAWKPYLYKGKQRILFTIHETIHAALYDRDEIPDTISVSGQINCRADLEGLPDVSFPLAGLHRASLEVLSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPLKGFYQLSMVSEDKGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRIVSLDGTPSVGTVSTSEHSVEWKIVTSGRGLTGRSIEVTFPGTVKFAPWKNQRLSSSRSSFGNDADEDSDNEAENASNMVNEEHLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKVVGASVSGISVDPKSVGIYPAVKAPMEFSTQVTSGDYILWNTLGKCPNVATIKRWM >Vigun03g429600.2.v1.2 pep primary_assembly:ASM411807v1:3:63396583:63405488:-1 gene:Vigun03g429600.v1.2 transcript:Vigun03g429600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCGIRAIWILNNLDAVVFSRRFPVVEKRWRAACNANNDSDQFFSSLPTNSDLTDAFLDRKHREGSARGFGIRKSNSTLGSDSWVDDPITRHVVGLYINKEEEDIKNLLWPLILHTKGLYSILILPMVEPRHLKAYARLCKRSDCGTALGMDDGLSSLLLDLPSVTGAFMVAHAIGDIITGDTVEPEVIVSAAPSVGGLFDSLTGSIGISSRAKPVTPPVTSASPSITSVPGSVTGDAPKIGSRPLDKDALRTFINSSMPFGTPLDLNYSNIFTIKANGFSATDLPPSEQKQPAWKPYLYKGKQRILFTIHETIHAALYDRDEIPDTISVSGQINCRADLEGLPDVSFPLAGLHRASLEVLSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPLKGFYQLSMVSEDKGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRIVSLDGTPSVGTVSTSEHSVEWKIVTSGRGLTGRSIEVTFPGTVKFAPWKNQRLSSSRSSFGNDADEDSDNEAENASNMVNEEHLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKVVGASVSGISVDPKSVGIYPAVKAPMEFSTQVTSGDYILWNTLGKCPNVATIKRWM >Vigun03g429600.3.v1.2 pep primary_assembly:ASM411807v1:3:63400681:63405603:-1 gene:Vigun03g429600.v1.2 transcript:Vigun03g429600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCGIRAIWILNNLDAVVFSRRFPVVEKRWRAACNANNDSDQFFSSLPTNSDLTDAFLDRKHREGSARGFGIRKSNSTLGSDSWVDDPITRHVVGLYINKEEEDIKNLLWPLILHTKGLYSILILPMVEPRHLKAYARLCKRSDCGTALGMDDGLSSLLLDLPSVTGAFMVAHAIGDIITGDTVEPEVIVSAAPSVGGLFDSLTGSIGISSRAKPVTPPVTSASPSITSVPGSVTGDAPKIGSRPLDKDALRTFINSSMPFGTPLDLNYSNIFTIKANGFSATDLPPSEQKQPAWKPYLYKGKQRILFTIHETIHAALYDRDEIPDTISVSGQINCRADLEGLPDVSFPLAGLHRASLEVLSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPLKGFYQLSMVSEDKGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRIVSLDGTPSVGTVSTSEHSVEWKIVTSGRGLTGRSIEVTFPGTVKFAPWKNQRLSSSRSSFGNDADEDSDNEAENASNMVNEEHLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKVVGASVSGISVDPKSVGIYPAVKAPMEFSTQVTSGDYILWNTLGKCPNVATIKR >Vigun03g429600.5.v1.2 pep primary_assembly:ASM411807v1:3:63397172:63405441:-1 gene:Vigun03g429600.v1.2 transcript:Vigun03g429600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCGIRAIWILNNLDAVVFSRRFPVVEKRWRAACNANNDSDQFFSSLPTNSDLTDAFLDRKHREGSARGFGIRKSNSTLGSDSWVDDPITRHVVGLYINKEEEDIKNLLWPLILHTKGLYSILILPMVEPRHLKAYARLCKRSDCGTALGMDDGLSSLLLDLPSVTGAFMVAHAIGDIITGDTVEPEVIVSAAPSVGGLFDSLTGSIGISSRAKPVTPPVTSASPSITSVPGSVTGDAPKIGSRPLDKDALRTFINSSMPFGTPLDLNYSNIFTIKANGFSATDLPPSEQKQPAWKPYLYKGKQRILFTIHETIHAALYDRDEIPDTISVSGQINCRADLEGLPDVSFPLAGLHRASLEVLSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPLKGFYQLSMVSEDKGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRIVSLDGTPSVGTVSTSEHSVEWKIVTSGRGLTGRSIEVTFPGTVKFAPWKNQRLSSSRSSFGNDADEDSDNEAENASNMVNEEHLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKVVGASVSGISVDPKSVGIYPAVKAPMEFSTQVTSGDYILWNTLGKCPNVATIKRWM >Vigun03g429600.4.v1.2 pep primary_assembly:ASM411807v1:3:63396603:63405459:-1 gene:Vigun03g429600.v1.2 transcript:Vigun03g429600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCGIRAIWILNNLDAVVFSRRFPVVEKRWRAACNANNDSDQFFSSLPTNSDLTDAFLDRKHREGSARGFGIRKSNSTLGSDSWVDDPITRHVVGLYINKEEEDIKNLLWPLILHTKGLYSILILPMVEPRHLKAYARLCKRSDCGTALGMDDGLSSLLLDLPSVTGAFMVAHAIGDIITGDTVEPEVIVSAAPSVGGLFDSLTGSIGISSRAKPVTPPVTSASPSITSVPGSVTGDAPKIGSRPLDKDALRTFINSSMPFGTPLDLNYSNIFTIKANGFSATDLPPSEQKQPAWKPYLYKGKQRILFTIHETIHAALYDRDEIPDTISVSGQINCRADLEGLPDVSFPLAGLHRASLEVLSYHPCAQVSDQGLDKQGVMFSPPLGNFVLMRYQATCALGPPLKGFYQLSMVSEDKGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRIVSLDGTPSVGTVSTSEHSVEWKIVTSGRGLTGRSIEVTFPGTVKFAPWKNQRLSSSRSSFGNDADEDSDNEAENASNMVNEEHLMEKMNKDLPPVELEEPFCWQAYNYAKVSFKVVGASVSGISVDPKSVGIYPAVKAPMEFSTQVTSGDYILWNTLGKCPNVATIKRWM >Vigun07g002300.1.v1.2 pep primary_assembly:ASM411807v1:7:199732:203240:-1 gene:Vigun07g002300.v1.2 transcript:Vigun07g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSPTMIPSKAVRNRTANPQIPRVTEMQKREQGKSGGSAGGGAAPPAKRGRPFGSGSSSASASASAADSAAPSTLLGPSLHVHNSFADQNNKRIVLAIQSGLKSELTWALNTLTLLSFKEKDDMRKDATPLAKIPGLLDALLQVIDDWRDIALPKELAKSTRVRTLGANSVVTGFGNEYEALGSTGAHHRPGVGSGSAGTESTQHSGVTRSRFTELWLDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPDNEVIMAQHRHCLETAFQCIEDHLVEDDELVTNALETIVNLAPLLDLRIFSSSKPSFIKITEKRAVQAIMGMLESAVKAWHCAAAELLGRLIINPDNEPFLLPFFPQIHKRLIDLISMPALDAQAAAIGALYNLAEVNMDCRLKIANERWAIDRLLKVIKTPHPVPEVCRKAAMILESLVSEPQNRSLLLAYENAFAEILFTDGRYSDTFARILYELTSRPNNKVATARGIWGM >Vigun03g087700.1.v1.2 pep primary_assembly:ASM411807v1:3:7299911:7303633:-1 gene:Vigun03g087700.v1.2 transcript:Vigun03g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVSGCKHVLMVPYPSQGHINPMLQFSKRLCSKGVRVTMLTTIFISNSMHLQSSSLLGSVNLDFISDGYDEGGFAEAASVETYLSRMEEVGSKSLREFIKKQSSSDEGIDCVVYDPLVIWVLDVAKELGLMGAAFFTQMCAVNYIYYHVYHGLLKVPISSSLPISIEGLPLLHFKDAPPFVSDPAFYPAYFELVVKQFSNIHKADLLLVNSFYKLEDQVVDAMSKVCPVLTIGPTVPSFHLDKTIPNDRDNVLNLFELDSSPISWLKQKPEQSVIYVSFGSMVCFSSHQMEEIAFALIQTGFNFLWVIPDFHTKNLSEEMGKKIEACGRGLIVNWTPQLEVLSNDAVGCFFTHCGWNSTLEALCLGVPMVALPQWTDQPTNAKFVEDVWKVGIRVKEDENGIVRREEIQSCIRVVMEKELGREMRINAKKWKDLAVEAVTQGGTSDHNINEFIKNLKKS >Vigun03g087700.2.v1.2 pep primary_assembly:ASM411807v1:3:7299374:7303579:-1 gene:Vigun03g087700.v1.2 transcript:Vigun03g087700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVSGCKHVLMVPYPSQGHINPMLQFSKRLCSKGVRVTMLTTIFISNSMHLQSSSLLGSVNLDFISDGYDEGGFAEAASVETYLSRMEEVGSKSLREFIKKQSSSDEGIDCVVYDPLVIWVLDVAKELGLMGAAFFTQMCAVNYIYYHVYHGLLKVPISSSLPISIEGLPLLHFKDAPPFVSDPAFYPAYFELVVKQFSNIHKADLLLVNSFYKLEDQVVDAMSKVCPVLTIGPTVPSFHLDKTIPNDRDNVLNLFELDSSPISWLKQKPEQSVIYVSFGSMVCFSSHQMEEIAFALIQTGFNFLWVIPDFHTKNLSEEMGKKIEACGRGLIVNWTPQLEVLSNDAVGCFFTHCGWNSTLEALCLGVPMVALPQWTDQPTNAKFVEDVWKVGIRVKEDENGIVRREEIQSCIRVVMEKELGREMRINAKKWKDLAVEAVTQGGTSDHNINEFIKNLKKS >Vigun02g058300.1.v1.2 pep primary_assembly:ASM411807v1:2:20296282:20301610:1 gene:Vigun02g058300.v1.2 transcript:Vigun02g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHCAAISLLSLSLKASICSINETTNKPKLQKRVNSGAPSKLNTEFLSHDSPEPTNKPCFCGRRHLIEAATLGTTLFPIQPSVATGPFSDYTAVLKKFHPPRPDWYEEFYAGVMNSATESYEAEVATYKSEIFSNLKGKGLRILEIGIGTGPNLSYYASGSDVEVVGIDPNPKMEKYARSSASSAGLPPSNFEFIQAVGEAIPLSDASVDAVVGTLVLCSVKDVDMTLKEVVRVLRPGGLYVFVEHVAAKDGTFLKFIQRVLDPLQQTLADGCHLSRNTGNNISRAGFSDVELNMTFLSSATFINPHAYGVAYK >Vigun08g108300.1.v1.2 pep primary_assembly:ASM411807v1:8:26911455:26913149:-1 gene:Vigun08g108300.v1.2 transcript:Vigun08g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRRFYGFQSWLDHDSTHVPNSMIKRAVEFDGARSKAERKSTEACKSHREAERRRRQRINSHLSTLRSLLPNAAKSDKASLLAEVVEHVKRLRKQADEVACGDGGEPGLVPSEAWPLPGDCDEATVSWCEGEANRVKATVCCEDRAGLNRDVTRAIRSVRAKPVRAEMMTVGGRTKSVVVVEWGEEEVEVGALERALKAVVENRALVGLGMGPVVLGQKRGRDCCGSPSSC >Vigun09g100900.1.v1.2 pep primary_assembly:ASM411807v1:9:16650793:16659750:-1 gene:Vigun09g100900.v1.2 transcript:Vigun09g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQSYDVVSDLIDFLNASPTAFHAVDEAKKRLKSAGFQQLSERELWELQPGNKYFFTRNHSTIVAFAVGKKYVAGNGFYIIGAHTDSPCLKLKPVSKVVKGGILEVGVQIYGGGLWHTWFDRDLTVAGRVIVREENAGSVSYSHHLVRIEEPIMRIPTLAIHLDRTVSSDGFKFNNETHLIPILATSLKGELNKLSSENGSVENGNQTEVNKAIDKTGTTNTKHHLLLLQLLASKLGCEPDDIYDFELQACDTQPSTVGGAAREFIFSGRLDNLCMTFCSLKALIDATSSESSLEEETGVRMVALFDHEEVGSDSAQGAGSPVMLNAVTRVTNTFGTKPNLLEKAAQRSYLVSADMAHALHPNYMEKHEANHQPKLHGGLVIKNNANQRYATNAVTSFYFREIASKHNLPVQDFVVRNDMSCGSTIGPILASGVGIRTVDVGAPQLSMHSIREICAVDDVKYSYEHFKAFYQEFSHLDGKIVVDI >Vigun05g252500.2.v1.2 pep primary_assembly:ASM411807v1:5:44713769:44719945:1 gene:Vigun05g252500.v1.2 transcript:Vigun05g252500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLLTKARNRTVFSYLISRAFSHGPQRPSFGIAFDIDGVILLGNSPVGGSPGALRKLYGADGGLRIPYVFLTNGGGFPEAKRAFELSQLLGVNVSPSQVLQGHSPFKQLVKRFENDLVVAVGKGEPAAVMTEYGFKHVLSIDEYASCFENIDPLAPYKKWTTNLAATENSKFNESFPRNDVLSKRVQAAFVVSDPVDWSRDIQVLCDILKTGGLPGRNVGPQPHIYFANDDLEYQTKFPSERLGMGAFRIALESIFNRIHPHCLEYTCFGKPHPSVFKNAEIVLQKLVASLYEDFYDKNHKTSSFKTLYMIGDNPAVDIRGARQTGHPWFSILTRTGVFKGKENHDKFSADLVVDTVEEAVDYILAKECGS >Vigun05g252500.1.v1.2 pep primary_assembly:ASM411807v1:5:44713769:44719945:1 gene:Vigun05g252500.v1.2 transcript:Vigun05g252500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLLTKARNRTVFSYLISRAFSHGPQRPSRPSFGIAFDIDGVILLGNSPVGGSPGALRKLYGADGGLRIPYVFLTNGGGFPEAKRAFELSQLLGVNVSPSQVLQGHSPFKQLVKRFENDLVVAVGKGEPAAVMTEYGFKHVLSIDEYASCFENIDPLAPYKKWTTNLAATENSKFNESFPRNDVLSKRVQAAFVVSDPVDWSRDIQVLCDILKTGGLPGRNVGPQPHIYFANDDLEYQTKFPSERLGMGAFRIALESIFNRIHPHCLEYTCFGKPHPSVFKNAEIVLQKLVASLYEDFYDKNHKTSSFKTLYMIGDNPAVDIRGARQTGHPWFSILTRTGVFKGKENHDKFSADLVVDTVEEAVDYILAKECGS >Vigun05g202700.1.v1.2 pep primary_assembly:ASM411807v1:5:39141721:39144691:1 gene:Vigun05g202700.v1.2 transcript:Vigun05g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAALQSSMTGLSLSSNSFLGQRFSPITFPSLLPGKSTEKQCPIVMKLKRWERKKCKPNSLPILHKMHVKLGDTVQIITGSERGKVGEITRIFKHNSTIIVKDLNLKTKHVKSREEGEPGQIIKIEGPIHSSNVMLYSKDQKVASRVGHKVLDNGKKVRYLIKTGEIIDSAENWKKLKEDTTKKPEEAAAAAA >Vigun07g249800.1.v1.2 pep primary_assembly:ASM411807v1:7:36914117:36918342:-1 gene:Vigun07g249800.v1.2 transcript:Vigun07g249800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQENYVHNFKHDDDGRIKRTGTWMTASAHIVTAVIGSGVLSLAWAVAQLGWIAGPVILMLFSFITLYTSFLLCNCYRYPDSVHGTRNVTYMDMVKTILGGRQYRLCGLAQLANLIGSCIGYTITASISMVAIGRSNCFHKYGHKAECHISNYAYMSIFGVAEIFISQIPDFQNLSGLSFIAAVMSFGYSSIGIALSIAKIAGGSHVKTSLTGVEVGKDVTDMKKIWSTFQAIGNIAFAYCFSQVMVEIQDTLKSSPPENGAMKKATVTGISITTFFYMLCGLLGYQAFGNKAPGNFLTGFGFYEPYWLVDLGNVFIVVHLVGAYQVFAQPVFLLVESWCAGRWPESRIMAKEYGVKIPSVGTYKVNAFRLIWRTVYVILTAVIAMILPFFNSIVGLLGSISFWPLAVYFPTHMYLVQAKVPKFSTVWIGVKMLNGFCLIVSLVAAVGSIEGIVSDLKTYKPFE >Vigun08g170000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34075644:34075994:-1 gene:Vigun08g170000.v1.2 transcript:Vigun08g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSKAMLVFGLGVLVVSMWCMKSTEGSLEDDAASIIHAVKRDDPTLFPHQGCGTSHTEGGVEECSDEDDRLGLYADVDDTFKAAAQRITSHFHSQDDNDDSPNLANNNVNVLGH >Vigun03g273400.3.v1.2 pep primary_assembly:ASM411807v1:3:44903652:44909474:1 gene:Vigun03g273400.v1.2 transcript:Vigun03g273400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFAIKVIGRSLSHGPNELWKKMVLELSHGNSILHCNTELLTYLHKILDVLEDNTVTKECFLDLGLFPEHQRIPVTALIDMWVELYGLDNDGIEAMAIIDKLESMNLANVLITRKNTSDTDNYYYNNHFVVLHDLLRELAVYESTLEPIEERKRLIIDTNENQCELGLDEKQQCMVTRILSNCFKYCVKHKPQMITARTLSTSIDENGPSYWSHMHPTHAKVLILNLRVNQYSFPESMKKMRKLKVLIVTSYNFHPSELTNFELLGSLSNLKRIRLERIAVLSSFVTLKSLTKLSIYMSQAFQNGLLLISDAFPNLMDLSIDYCKDMVVLPSGLCDIISLKKLSVTNCHKLLALPQEIGKLVHLELLRLSSCTDLEGLPDSVGRLQNLRHLDISNCISLSNLPEDVGKLSNLRNVSMINCERCELPYSVVNLVNLKTVSCDEETATSWEGFKAMLPNLLIEVPQVDINLNWLHSVSS >Vigun03g273400.2.v1.2 pep primary_assembly:ASM411807v1:3:44903652:44909474:1 gene:Vigun03g273400.v1.2 transcript:Vigun03g273400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVLDDVWPHSEDLVEKFKFHLSDYKLLVTSRVAFPRFGTPCVLKPLLHQHAITLFRHYAHLDTNSLNIPDQDTIQKVVKSCMGLPFAIKVIGRSLSHGPNELWKKMVLELSHGNSILHCNTELLTYLHKILDVLEDNTVTKECFLDLGLFPEHQRIPVTALIDMWVELYGLDNDGIEAMAIIDKLESMNLANVLITRKNTSDTDNYYYNNHFVVLHDLLRELAVYESTLEPIEERKRLIIDTNENQCELGLDEKQQCMVTRILSNCFKYCVKHKPQMITARTLSTSIDENGPSYWSHMHPTHAKVLILNLRVNQYSFPESMKKMRKLKVLIVTSYNFHPSELTNFELLGSLSNLKRIRLERIAVLSSFVTLKSLTKLSIYMSQAFQNGLLLISDAFPNLMDLSIDYCKDMVVLPSGLCDIISLKKLSVTNCHKLLALPQEIGKLVHLELLRLSSCTDLEGLPDSVGRLQNLRHLDISNCISLSNLPEDVGKLSNLRNVSMINCERCELPYSVVNLVNLKTVSCDEETATSWEGFKAMLPNLLIEVPQVDINLNWLHSVSS >Vigun03g273400.1.v1.2 pep primary_assembly:ASM411807v1:3:44903652:44909473:1 gene:Vigun03g273400.v1.2 transcript:Vigun03g273400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKKGGVGFPRMMKGPFGVPEKPEFNVGLDAPLTKLKMELLNRPKSIIVLTGFGGSGKTTLATLLCWDQQIRGKFGENIYFVTISKTPKLKMMVERLFEHLAYEAPKFQNDEETINQLEILLRKLKGSPMLMVLDDVWPHSEDLVEKFKFHLSDYKLLVTSRVAFPRFGTPCVLKPLLHQHAITLFRHYAHLDTNSLNIPDQDTIQKVVKSCMGLPFAIKVIGRSLSHGPNELWKKMVLELSHGNSILHCNTELLTYLHKILDVLEDNTVTKECFLDLGLFPEHQRIPVTALIDMWVELYGLDNDGIEAMAIIDKLESMNLANVLITRKNTSDTDNYYYNNHFVVLHDLLRELAVYESTLEPIEERKRLIIDTNENQCELGLDEKQQCMVTRILSNCFKYCVKHKPQMITARTLSTSIDENGPSYWSHMHPTHAKVLILNLRVNQYSFPESMKKMRKLKVLIVTSYNFHPSELTNFELLGSLSNLKRIRLERIAVLSSFVTLKSLTKLSIYMSQAFQNGLLLISDAFPNLMDLSIDYCKDMVVLPSGLCDIISLKKLSVTNCHKLLALPQEIGKLVHLELLRLSSCTDLEGLPDSVGRLQNLRHLDISNCISLSNLPEDVGKLSNLRNVSMINCERCELPYSVVNLVNLKTVSCDEETATSWEGFKAMLPNLLIEVPQVDINLNWLHSVSS >Vigun03g247300.7.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.1.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGAVYIWSYVYNIMRISSSRIQREDPSSNDNVILKASGEASESHPHHFSQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.5.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGAVYIWSYVYNIMRISSSRIQREDPSSNDNVILKASGEASESHPHHFSQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.9.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104589:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.2.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.6.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104589:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGAVYIWSYVYNIMRISSSRIQREDPSSNDNVILKASGEASESHPHHFSQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.8.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun03g247300.3.v1.2 pep primary_assembly:ASM411807v1:3:41100002:41104829:-1 gene:Vigun03g247300.v1.2 transcript:Vigun03g247300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDARKKVNQLVFYVFNPSLVATNLAKTITLESVVQLWFMPFNILITFMLGSTLGWILIKITRPPQQLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGAVYIWSYVYNIMRISSSRIQREDPSSNDNVILKASGEASESHPHHFSQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGVISSNLNFKAVFAPSTTGAIIGFVIGIISPVRHLIIGRGAPLHVVEESTSMLGEAAIPTLTLIMGANLLKGLKGSSTPLWTLVGIVTVRYIFLPLLGVAVVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTIAQLFGAGESECSVIMLWTYALASIAVTLWSTFFMWLVS >Vigun08g158400.1.v1.2 pep primary_assembly:ASM411807v1:8:33083466:33086621:1 gene:Vigun08g158400.v1.2 transcript:Vigun08g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVVLFLLSVVSQTLVYTTPATVALVLSSESDKVALLALKKKLINGVPNSLPSWNHSLHLCEWQGVTCSRGHIRVSVLHLKNQNWNGTLGPSLGNLTFLRALILSNINMHGEIPTQIGRLKRLQLLDLSNNNINGEIPMYLTNCSKLEVINLLYNKLNGKIPSWFGLGSMTRLNKLLLGANDLVGTIPPSLGNLSSLQNITVARNHLVGSIPHVLGRLSNLKELNFGLNDFSGVVPDSLYNLSNIQIFVFGVNRLSGTFPPNMQLAFPNLRAFLVGGNQFSGTFPSSISNITGLQAFEISSNGLSGPIPPTLGTLKRLQTFHIAYNSFGTGTASDLDFLSSLTNCTQLRLLLLDGNGFGGVVPDLIGNFSTHLTTLSMGLNRISGMIPDEIGQLIGLIDFVVADNYLEGTVPDSIGRLKNLVRLALQENKLSGNIPTAIGNLTMLSELYLHTNRFVGDIPFSLKYCIRMQSFGVSTNNLNGDIPNQTFGNLEGLVNLDLYNSFTGSIPSEFGNLKHLSVLYLQGNKFSGEMPKELGACSGLTELVLQSNFFCGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNTLNLSFNHLYGEVPTEGVFNNVTAISLIGNKDLCGGIPQLKLPECSQLPSKKHKRSFRKKLILITAIVVGVGLVSSTLFISIYLFRKRPKTPSTSCSPKNKYVKVSYGELHKATNGFSSSNLVGSGGFGSVYSGSLLPFKTPIAVKVLNLETVGASKSFAAECKALGRIVHRNLLNILTCCSSIDYNGKDFKAIVFEFMPNGSLESLLHDNVEPESRNFSLNLDLVVNIALDVANALDYLHHGSEEAVVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGNSSRDQVSSSAIRGTIGYVPPEYGTGCRVSIKGDMYSYGILVLEMVTGRRPTDAMFGEGASLHKFCEMAIPEGVTEIADSRLLVPVVEEGRRMMEIKIRECLVGLARIGVECSAELAVDRMDIKDVVLELHSIKQRLCH >Vigun04g076325.1.v1.2 pep primary_assembly:ASM411807v1:4:9945855:9947832:1 gene:Vigun04g076325.v1.2 transcript:Vigun04g076325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKTNILNNDELIVRLIIHKANKTLSIIDNGIGMTKQVGRLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPKMDNKFVAQNLGKHLPDHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFTITSYLTKTLILMIALSYYASFQNSTILNWPNPRIEGHSPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTAPSPVSDVRYDSIDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIKFLNCQGINNRFYLEGMCHWLCNNGDEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLPTNLIEKLGVNVQNRDCQIILYKKVFPIEG >Vigun06g119100.1.v1.2 pep primary_assembly:ASM411807v1:6:24720227:24724052:-1 gene:Vigun06g119100.v1.2 transcript:Vigun06g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWGAHSMLLSHGEGTSTPGVSVKPGLSVRGDLRFHRTREFKYAPRVVVLKVFSPARKPTRLCLLRPYCSLGGKHNQGLDYSSPATTTQIPRIFKFVADRMLVVLNDWNWNNLRMMGVAGILVSILMITPSADAVDALKTCACLLKDCRLELAKCLSNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPAPNPDVLVNSFNIADFSGKWFITSGLNPTFDTFDCQLHEFHTESNKLVGNLTWRIQTPDAGFITRSAEQKFVQDPSYPGILYNHDNEYLHYQDDWYILSSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPESIVPELERAAKNVGRDFSKFIKTDNTCGPEPSLVERLEKKVEEGEETIVREVEQLEEEVEKVGKTEVTLFQKLAEGFKVFQEDEENFLKGLSKEEMEVLNGLKMEAREVGKLFGNALPIRKLR >Vigun06g119100.2.v1.2 pep primary_assembly:ASM411807v1:6:24720227:24724052:-1 gene:Vigun06g119100.v1.2 transcript:Vigun06g119100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLNDWNWNNLRMMGVAGILVSILMITPSADAVDALKTCACLLKDCRLELAKCLSNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPAPNPDVLVNSFNIADFSGKWFITSGLNPTFDTFDCQLHEFHTESNKLVGNLTWRIQTPDAGFITRSAEQKFVQDPSYPGILYNHDNEYLHYQDDWYILSSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPESIVPELERAAKNVGRDFSKFIKTDNTCGPEPSLVERLEKKVEEGEETIVREVEQLEEEVEKVGKTEVTLFQKLAEGFKVFQEDEENFLKGLSKEEMEVLNGLKMEAREVGKLFGNALPIRKLR >Vigun06g193600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31024072:31026279:-1 gene:Vigun06g193600.v1.2 transcript:Vigun06g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGIFKSFFSSRAFCTSLISPHRPFPQNHDFASSSTLLSSALQHYINSETPTHGQKIHSRILKSGFVLNTNISIKLLILYLKCNYLRYARQVFDDLRDRTLSAYNYMISGYIKQCQVEESLGLVRRLLVSGEKPDGFTFSMILKASTSGCNVALLGDLGRIVHTQILKSDVERDEILCTALIDSYVKKGRIAYARTVFDVMSEKNVVCSTSLISGYMNQGSFEDAERIFNKTLDRDVVVFNAMIEGYSKTSEHAGRSVEVYIDMQRLKFRPNVSTFASVIGACSMLVAFEIGQQVQSQLMKTPLYADIKLGSALIDMYSKCGRVSDALRVFYHMRVKNVFSWTSMIDGYGKNGFPDEALELFGKMQEEYGIVPNNVTCLSALSACAHAGLVDKGWEIFHRMENEYLVKPGMEHHACMVDLLGRAGRLNQAWEFVMRMPERPNSDVWSALLSSCRLHGNIEMAKLAANELFKLNATGRPGAYVALSNTLADAGKWESVTELREIMKERGISKDTGRSWVGADNVF >Vigun04g069850.1.v1.2 pep primary_assembly:ASM411807v1:4:8101438:8109718:1 gene:Vigun04g069850.v1.2 transcript:Vigun04g069850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIFQSISSFIFFSFIIIFFSIFNVSYSMFVFLWILLSSLYLCFANPEIEVDLIHIQFKRSMREISEQERICRYVFINWKKIHMTRFNKFCLLCIKIYNVWTISGEMSWLVTSITP >Vigun01g037200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4904870:4906978:-1 gene:Vigun01g037200.v1.2 transcript:Vigun01g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNAELSDKLSNNVLFLNPESSSSFQASTSVNTVPELCRSSSRTCTHTHTCNPPGPDAAHTHTCYHTHTQIFAPEDDHHKEHHHTNSKPKRPSGNREAVRKYREKKKAHTAYLEEEVKKLRLVNQQLARKLQGQALLEAELARLRSILAHLKGKIDSELGAFPFQKQCNSYISKEGNAGLLSTARTIGLECQNNMTCFHPHAGSSSQANICAYGKSVSPWEGNCQPEIVDCDVDANNLTSNEGQTVETVDNFLSSASQE >Vigun01g037200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4904870:4906981:-1 gene:Vigun01g037200.v1.2 transcript:Vigun01g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNAELSDKLSNNVLFLNPESSSSFQASTSVNTVPELCRSSSRTCTHTHTCNPPGPDAAHTHTCYHTHTQIFAPEDDHHKEHHHTNSKPKRPSGNREAVRKYREKKKAHTAYLEEEVKKLRLVNQQLARKLQGQALLEAELARLRSILAHLKGKIDSELGAFPFQKQCNSYISKEGNAGLLSTARTIGLECQNNMTCFHPHAGSSSQANICAYGKSVSPWEGNCQPEIVDCDVDANNLTSNEGQTVETVDNFLSSASQE >Vigun08g211200.2.v1.2 pep primary_assembly:ASM411807v1:8:37294030:37298145:-1 gene:Vigun08g211200.v1.2 transcript:Vigun08g211200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITNQEVIKQLESLLENLDEQQKNTFQNMHQGYPTETLVRFLKARDGNVVKAHKMLIDCLQWRVENEIDNVLAKPIPPGLFRRLRDSQLVGMSGFTKEGLPVIVIGVGLSTFDEGLDKYYVQLHIQMNEYRDRVIMPRATKNHGRHIDTCVKVLDMTGLKLSALNQLKLLTAITTIDDLNYPEKTDAYYIVNVPYVFSACWKVVKPLLQERTRKKVHVLKGCGMEELLKVMDYASLPHFCRKEDSRVPQHHAAGNTENCFSFDHVFHKQLYNYIEQQAIFIESISQDSFHVDLPEPDPIDAKIAKTIETEFHKLEIQNGLTN >Vigun08g211200.1.v1.2 pep primary_assembly:ASM411807v1:8:37294052:37297745:-1 gene:Vigun08g211200.v1.2 transcript:Vigun08g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITNQEVIKQLESLLENLDEQQKNTFQNMHQGYPTETLVRFLKARDGNVVKAHKMLIDCLQWRVENEIDNVLAKPIPPGLFRRLRDSQLVGMSGFTKEGLPVIVIGVGLSTFDEGLDKYYVQLHIQMNEYRDRVIMPRATKNHGRHIDTCVKVLDMTGLKLSALNQLKLLTAITTIDDLNYPEKTDAYYIVNVPYVFSACWKVVKPLLQERTRKKVHVLKGCGMEELLKVMDYASLPHFCRKEDSRVPQHHAAGNTENCFSFDHVFHKQLYNYIEQQAIFIESISQDSFHVDLPEPDPIDAKIAKTIETEFHKLEIQNGLTN >Vigun08g211200.4.v1.2 pep primary_assembly:ASM411807v1:8:37294054:37298145:-1 gene:Vigun08g211200.v1.2 transcript:Vigun08g211200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITNQEVIKQLESLLENLDEQQKNTFQNMHQGYPTETLVRFLKARDGNVVKAHKMLIDCLQWRVENEIDNVLAKPIPPGLFRRLRDSQLVGMSGFTKEGLPVIVIGVGLSTFDEGLDKYYVQLHIQMNEYRDRVIMPRATKNHGRHIDTCVKVLDMTGLKLSALNQLKLLTAITTIDDLNYPEKTDAYYIVNVPYVFSACWKVVKPLLQERTRKKVHVLKGCGMEELLKVMDYASLPHFCRKEDSRVPQHHAAGNTENCFSFDHVFHKQLYNYIEQQAIFIESISQDSFHVDLPEPDPIDAKIAKTIETEFHKLEIQNGLTN >Vigun08g211200.3.v1.2 pep primary_assembly:ASM411807v1:8:37293990:37298145:-1 gene:Vigun08g211200.v1.2 transcript:Vigun08g211200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITNQEVIKQLESLLENLDEQQKNTFQNMHQGYPTETLVRFLKARDGNVVKAHKMLIDCLQWRVENEIDNVLAKPIPPGLFRRLRDSQLVGMSGFTKEGLPVIVIGVGLSTFDEGLDKYYVQLHIQMNEYRDRVIMPRATKNHGRHIDTCVKVLDMTGLKLSALNQLKLLTAITTIDDLNYPEKTDAYYIVNVPYVFSACWKVVKPLLQERTRKKVHVLKGCGMEELLKVMDYASLPHFCRKEDSRVPQHHAAGNTENCFSFDHVFHKQLYNYIEQQAIFIESISQDSFHVDLPEPDPIDAKIAKTIETEFHKLEIQNGLTN >Vigun10g092850.1.v1.2 pep primary_assembly:ASM411807v1:10:26660220:26662324:-1 gene:Vigun10g092850.v1.2 transcript:Vigun10g092850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSGGNEVFKGCNYFKWLNEDNGDEKDATIGRQRRKIYTLEKAVVISDRWVKILSVIIMFLLFVNVILVCNLQDKKNI >Vigun10g056900.1.v1.2 pep primary_assembly:ASM411807v1:10:10570948:10574330:1 gene:Vigun10g056900.v1.2 transcript:Vigun10g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITFIQLIFFAYLCIHIFFSLSNKNTKHPNLPPGPPSYPIIGNILQLWPSKLHQALHKLSKTYGPIMSVKIGTITTIVISSPNIAKEALHKKDRALASRFVPESVQALSHHQSSVVFLPVSSKWNTLRKVCATQIFSTLQLDSTQSLRHRKMKELLDYLHENCEKGRAVDIGETAFTTVLNAISNTLFSLDLASHSSGVSQKFRSVISSVLDEASKPNVADYYPVFRRFDPQGARRRMKRYYRTLLDVFDCIVEERIQRVGSVHGNDVLESFLDIIRRENSELTRHDVLHLFLDLFVAGLDTTSATIEWVMAELLHNPEKLSKTKRELEQVFGETGFEPEDSTISKLTYLQAVVKETLRLHPTAPILIHKSVSEVDICGFHVPKDAQVLVNVWSMGRDSDTWADPDSFVPERFLDNEKDFRGEDHGFIPFGSGRRMCPGVALANRIVHTVLASLLYHFDWKLADGEKSEDMDMTEKFSITLHKVKPLKTIPIRK >Vigun03g259250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42527256:42527784:1 gene:Vigun03g259250.v1.2 transcript:Vigun03g259250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFSTTTPLGIVLGIALSNVYSDTSSKSLIVEGVLNAISAGLLNYMALVELLAPDFMGSKIQGSTKIMALAFVAVLLGAGAMSVMAIWA >Vigun04g171800.2.v1.2 pep primary_assembly:ASM411807v1:4:39589478:39596597:-1 gene:Vigun04g171800.v1.2 transcript:Vigun04g171800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMDDESHGGSFWMEEINGKVQTPMRRKRKYRTKKKEFLGWGSASLILFLQSIGRDTDKEIAQSEVANIVMEYVKQKNLLHKTKKKRIECDEKLHSLFKRKTISRLKINDLLESHFAENREESSDDLLFDSEEDDAFSMCETPRTAPSEKRSQLKKPVFEKPRSCFAAVVPANIKLVYLKRSLVEKLLTDPETFETKVVGSFIRIKNDPNDYLQKNSHQLLQVTGMKKSSEVNGEIHLQASGFFKDIRIQMLSDDNFSEEECEDLQRRVKDGLVKKPMTADMEHRARILHEDMTKHWLARELTLLQNLIDRANEKGWRRELEEYLQRREKLQRPDEQERLLCEFPEVIADDQETASTTPDDRDKKVENNLQEFWQAACTKSSLVTEDAKAVTNAKLDIADLVKPQSNSPKSIPILRRPPEVPLLDFTKNSSISNRASHDTTEHRSCGLPVQQQPEQQTDFAYKNDVSKPTKSHETKISQSLPDKQIWPSQIHTSSGLYVQQLQEQPRDAAYRNGTPKPSQLDEGKTSEAFPNKQTGPSLVEVEVLELSDGFSIQQSTEQQTNLSHKNGESKPAESPEVKISQVLRKRQIQASQLDVIEIYDDLADQQPEEQQIDFAYNSGMSKPAKSHEAEISGSLPSKQIQPSQVQVTLSSKQIQPSEIQVTLPSIKMQPSEVIELSDDDDDDKEENEKASITKLVQAVQSGDLCVWHYRDPAGNVQGPFSLNSLRRWSDAGYFAEDFRVWKSGDRQDQSVLLVKILAQFFTI >Vigun04g171800.1.v1.2 pep primary_assembly:ASM411807v1:4:39589478:39596597:-1 gene:Vigun04g171800.v1.2 transcript:Vigun04g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMDDESHGGSFWMEEINGKVQTPMRRKRKYRTKKKEFLGWGSASLILFLQSIGRDTDKEIAQSEVANIVMEYVKQKNLLHKTKKKRIECDEKLHSLFKRKTISRLKINDLLESHFAENREESSDDLLFDSEEDDAFSMCETPRTAPSEKRSQLKKPVFEKPRSCFAAVVPANIKLVYLKRSLVEKLLTDPETFETKVVGSFIRIKNDPNDYLQKNSHQLLQVTGMKKSSEVNGEIHLQASGFFKDIRIQMLSDDNFSEEECEDLQRRVKDGLVKKPMTADMEHRARILHEDMTKHWLARELTLLQNLIDRANEKGWRRELEEYLQRREKLQRPDEQERLLCEFPEVIADDQETASTTPDDRDKKVENNLQEFWQAACTKSSLVTEDAKAVTNAKLDIADLVKPQSNSPKSIPILRRPPEVPLLDFTKNSSISNRASHDTTEHRSCGLPVQQQPEQQTDFAYKNDVSKPTKSHETKISQSLPDKQIWPSQIHTSSGLYVQQLQEQPRDAAYRNGTPKPSQLDEGKTSEAFPNKQTGPSLVEVEVLELSDGFSIQQSTEQQTNLSHKNGESKPAESPEVKISQVLRKRQIQASQLDVIEIYDDLADQQPEEQQIDFAYNSGMSKPAKSHEAEISGSLPSKQIQPSQVQVTLSSKQIQPSEIQVTLPSIKMQPSEVIELSDDDDDDKEENEKASITKLVQAVQSGDLCVWHYRDPAGNVQGPFSLNSLRRWSDAGYFAEDFRVWKSGDRQDQSVLLVKILAQFFTI >Vigun06g101200.1.v1.2 pep primary_assembly:ASM411807v1:6:23128108:23131857:-1 gene:Vigun06g101200.v1.2 transcript:Vigun06g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNITSCDSWSFSTENTAEDAAPLKQQQLEMLPQNHSPNSNTSTTTNNSNDSNTISQPPLKKKRNLPGNPDPSAEVVALSPNTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKLRTTTEVKKRVYVCPEPSCVHHNPARALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWKAHSKICGTKEYKCDCGTIFSRRDSFITHRAFCDALSDENSKFNEAGQLQSMLHGSNLQPPMIPNLAASLPMKQPLPLPHELIAAIPAKPFNNNMAALPRSFSSTSSPSQLCSNSPNINMFEENAQTNMSATALLQKAAQMGATVNGNSNSMMTETGFSTSMPPPSYGVMNQHIHSAQQDLSQYNFHANGTVMDGGGNGMGGIMSGLDMFNAILDQSKALSKIIEQNNKNSYGGVLHAMSNGRSSSIDVGGTKGSEDVMTLDLLGIGGGGVGGVGGGGGDGSFFGGEQSELKIEYGEMSQIRIQGLNHFQQQTAAYEN >Vigun10g047100.1.v1.2 pep primary_assembly:ASM411807v1:10:7042850:7053303:1 gene:Vigun10g047100.v1.2 transcript:Vigun10g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVENGGRRSVKERLNGNGISGPTRHQQQRQITGKRSRQDDKWEHDLFDDDEPRITNRKVSAQDLRLKLQRKGLQPASQSGKSYAPKMRDLRERLSGTMTVQPTSVDPPKSKAVKPSSKSVGVEAPAAQIKRPADPAPKKSRKADSSIDDFLQSVGLEKYLITFQAEEVDMTALNHMNDEDLKAMGIPMGPRKKILLALESKV >Vigun10g047100.2.v1.2 pep primary_assembly:ASM411807v1:10:7042908:7053237:1 gene:Vigun10g047100.v1.2 transcript:Vigun10g047100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVENGGRRSVKERLNGNGISGPTRHQQQRQITGKRSRQDDKWEHDLFDDDEPRITNRKVSAQDLRLKLQRKGLQPASQSGKSYAPKMRDLRERLSGTMTVQPTSVDPPKSKAVKPSSKSVGVEAPAAQIKRPADPAPKKSRKADSSIDDFLQSVGLEKYLITFQAEEVDMTALNHMNDEDLKAMGIPMGPRKKILLALESKV >Vigun02g100350.1.v1.2 pep primary_assembly:ASM411807v1:2:25535142:25537400:1 gene:Vigun02g100350.v1.2 transcript:Vigun02g100350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGFGIGFVLLPYADSLTVIVVLSSKKKKSKIETDLMPDDFITVYAKAGRRQDDFISFKRKKETGLWEDDFTFNVVLPLTTQPLLQ >Vigun02g100350.2.v1.2 pep primary_assembly:ASM411807v1:2:25535142:25537400:1 gene:Vigun02g100350.v1.2 transcript:Vigun02g100350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGFGIGFVLLPYADSLTVIVVLSSKKKKSKIETDLMPDDFITVYAKAGRRQDDFISFKRKKETGLWEDDFTFNVVLPLTTQPLLQ >Vigun04g138200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34431237:34434422:1 gene:Vigun04g138200.v1.2 transcript:Vigun04g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFPRLCYALLLLLLHAAESILGLNNSAEIKCIERERQALLNFKHGLIDDYGVLSTWSDEDNSRDCCKWRGIKCDHQTTHVTILRLPGSDTQYLRGTANITSLFPLQNIQHLDLSCNNFRGSHIPQLIGSLTNLRYLNLSNSYFSGRIPTQLGSLTHLRYLDLSYNHLDGELPRQLGNLSQLRYLGLSGRNLDGKIPSELGNLGTLSFQVGDFPFLQTLRLDGDFHVKPEDAKWLSNLSSLTNLDFNGLHNPHWLQMIIFPNLKELRLVNCALSDTHIHSLFYSPSNFSNSLMILDLSYNMLTSSTFQLLSNFSLNLQELYLSHNDLVLPSPVHSTFPSLVTLDLSYNNITSSSVFQGSFNFSSKLQNLYLSNCGLRDDNFRISAISITNSSSSLTSLDLSLNLLKSSSIFYWLFNSTTNLRTLQLHDNMLEGPIPYEFGKVMNSLQVLDLSNNKLQGAIPSSFGNICTLQSLELSNNELSGEISSLFQNSSWCNSHVFQSLGLRYNQITGTLPASLGLLSELEILSLDGNHLEGDITESHLSNFSKLYWLSLSDNSLSLKIVPNWVPPFQILNLGLKSCMLGATFPSWLQTQRSLVSLDISDNGLYGSVPKLFWNNLQNVDFLNMSQNNLTGAIPNISLKFVKRPSIILNSNKFEGQIPSFLLQASELRLSNNKFSDLSSLICGQGTSTMAILDLSNNQLKGELPDCWKSVDRLMCLNLGNNKLSGKIPVSMGSLLELKVLVLRNNNLMGRLASTLKNCRNLIMLDVAENMLSGPIPSWIGESMNQLIILNMRDNHFSGNLPIQLCYLKHIKFLDLSRNMLTKGIPSCLNNLTALSENTSEIIYSIYWMNNNYFFIYYGSSNVADYTLNITWKGVEQGFKNPELKLKSIDLSSNKLTGEIPKEIAYLVGLVSLNLSRNYLSGEIPSVIGNLSWLESLDLSRNNISGGIPFSLSEIDFLGKLDLSHNSLSGRIPSGRHFGTFDVSSFEGNVGLCGEQLNRTCPEDGNHTTIKTEEHGNDEESVFYEALYMSMGIGYFTGFWGLVGPILLSRSWRNAYLG >Vigun05g240200.1.v1.2 pep primary_assembly:ASM411807v1:5:43321518:43327964:1 gene:Vigun05g240200.v1.2 transcript:Vigun05g240200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEDQRQDSPSTNEPQNAASSDSESESEYDSDYSYDEAEDDAFRRAFQFREDEDDEVPVEDKRNNPETNFQDFSRALESPAVKELHEELDPLIAEEENPFDFPEDPENWTEQDLRELWADGDPEIGGTGWDPALATEEEYNYVNEQLAEGEDPPIAPFYLPFRKHYPPIPDNHYDIATPKDTIEELDRIEEFLRWVSYVFQDGSTYEGTVWDDYAHGKGVYVSDDRLIRYEGEWFQNDVEGHGVLELDIPVIEPTPGSKLEAKMRAQGRIIARDFMSPEDREWLEKDVEDMYDLADGEYEIPYYENEEWIKEFGSKPEKGRYRYAGQWKHGRMHGCGVFEVNERVLHGRFYFGEYVNKYEGCDEEISGMHAAIAEVAAAKARMFINKPDGMVREERGPYTDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKVYVKEVDEEREMWLNSFYRAPLRLPMPAELEHWWSKEENSELPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGHIINYVEDEHYGIRLFWQPPLKEGEDVDPEKAVFLPLGYDEFFGVDTDKKKESILLRIVLAIENVCKPWLDKLDKWTEEQKKIKEEEKKELEEDLELLEAEIGLEEAVEEMERLLRIREKEEEKKAEMGLLDDDEEDGDDDMASVPKQEKEAPAKVEEEAPAKVEEEAPAEVEEEDDDDDDDDGDDEDEDNSAQSSFGSVEQGQTTEQPKAKPGKSPFSTLSLAFARSSLISTVPSKLQQSFSFWDMGRSKLESGPLPSIDRSSNMKTVCSVSFRPVTSQKGSLKTVGKTHGKVKEISSLKGKFLEVHSQTRSHSWVSSNSISNLQRPRSSSDRWLHAAPERDLDSILSLHSSLYNFEQRRETV >Vigun09g007500.1.v1.2 pep primary_assembly:ASM411807v1:9:567134:568366:-1 gene:Vigun09g007500.v1.2 transcript:Vigun09g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTVKGFISVYKSTKPSLKNPSRLICASTFSSVEMAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGETASEEE >Vigun02g178700.1.v1.2 pep primary_assembly:ASM411807v1:2:31986062:31988835:-1 gene:Vigun02g178700.v1.2 transcript:Vigun02g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNLLLIGVFLWSSMVSHNGLATMDDDGKLMSSSGSPNYDYADALGKAILFFEGQRSGKLPSNQRVKWREDSALSDGKLQNVNLIGGYYDAGDNVKFGWPMAFATSLLSWAAVEYESEISSVNQLGYLQSAIRWGADFILRAHTSPTTLYTQVGDGNADHNCWERPEDMDTPRTVYKIDANSPGTEAAAESAAALSAASIVFRKIDAKYSSTLLSHAKTLFDFADKYRGSYSGSCPFYCSYSGYQDELLWAAAWLYKASGESRYLTYIIGNQGWSQAVSEFSWDNKFVGAQTLLTEEFYGGKKDLGKIKTDAESFICRVMPGSNSQQIKTTPGGLLFTRDSSNLQYTTSSTMVLFIFSRILNRNHINGINCGSAHFTPSQITAFAKTQVDYILGNNPMKMSYMVGFGSKYPKQLHHRGSSIPSIKVHPAKVGCNGGLSDYYNSPNPNPNTHVGAIVGGPDSNDRFNDARSDYSHTEPTTYMNAAFVASVSALLAKT >Vigun07g289900.1.v1.2 pep primary_assembly:ASM411807v1:7:40205355:40211787:1 gene:Vigun07g289900.v1.2 transcript:Vigun07g289900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRARTVTASLRRSLHCLHHSFCSLSLSKPQFSANCHEFLPWLERKADSAVSSSLFIGKSSYGRSLFASKTIQTGDCILKVPYRVQITADNLPPEIKSLIGEEVGNIAKLAIVILIEKKLGQGSEWYPYISCLPQQREQHNTVFWNESELEMIRPSSVYQETIDHKSQIEKDFLTIKRVFESFHLSFEDFTFKDFMHACTLVGSRAWGSTKGLALIPFADFLNHDGLSEAIVMSDDDKQCSEIIADRDYAPGEQVLIRYGKFSNATLVLDFGFSIPYNIYDQVKIQIDVPKHDPLHDMKLELLHRNIVPHPEDMEDLTCPVNTFSIKEVKSDRGKGKGLPQSLRALARVLSCTTSQELNDLVEEAAQNDGRLARRPLKDISKEIQAHLMLLSVFIQLIEERNAIIMSLGSCRSPPLCERLSVRRTMAQDLLNGELRILKSVSAWLENYCVSLTS >Vigun04g103700.1.v1.2 pep primary_assembly:ASM411807v1:4:24248051:24253404:1 gene:Vigun04g103700.v1.2 transcript:Vigun04g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQLPNIKKWIVMYPVYINSKKTMAEGRRIAIAKACENPTCAEIGDCCSYLKLPFAIEIDKAYPRDFMQRGRVRVLLKKEDGTLINPSISSRKQLMLRVAEMVPRHHGRTKKQETASTSTTGPSHKSGKGGKKRR >VigunL039750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000591.1:63822:64133:1 gene:VigunL039750.v1.2 transcript:VigunL039750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKTVHVQSKILYEVEPYFNVRKDHVLMCIKSSIYRQISRFTTGIHFLQGTSIFVNKYLKLCFSIMSWQIVSLFPIIEYVPYQEFRTDSRHHSFKVLIQK >Vigun10g163600.1.v1.2 pep primary_assembly:ASM411807v1:10:38262739:38265308:-1 gene:Vigun10g163600.v1.2 transcript:Vigun10g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRLQWRSLILGCFKAKNQPPLDAPNIVSKKSSSSRIFLSDLSLSDYSSLSIMSDLSNSLVGSNLRIFTYQELKEITNSFNKSNILGEGGFGKVYKGFIDDSFKRGLKAQVVAVKALNLDGKQGHREWLAEVIFLGQLKHRHLVNLIGYCCEDEHRLLVYEYMERGNLEEKLFKGYVAALPWLTRIKIALGAAKGLMFLHEEEKPVIYRDFKSSNILLDADYNAKLSDFGLAIDGPEKDQTHITTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKKSVDKKRPSREQDLVEWARPLLKDSYKLERIMDPRLEDQYSIEGAKKFAALAYQCLSHHAKGRPTMRTVVRTLEPLLKLKDIPVGPFVYVVPNEEDKDIPKVNEKVTENDHVVEINQIEELKPKEEEKAEKKEKGHHRQRRSRRHKRRVKPLRSQPVYSDTALYKTLGTNLYSPKEAQ >Vigun01g225100.1.v1.2 pep primary_assembly:ASM411807v1:1:39815766:39817857:1 gene:Vigun01g225100.v1.2 transcript:Vigun01g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSTETSGSLELGTEMCSSDSALCEEVTVLSKCSIVPHQNSTLGDLKLSISDLNMFLAHYIQKGCLFTTPSLPSHTLIPHLTNALSRTLSIFPPLAGRFKTHADGHVYIACNDAGVDFIHATANNLSVTDLLSPSDVHPAFKKFFPFHHKISYTAHSSPIMAIQVTELTDGIFIGCAVCHAVTDGSSFWNFFNIFSAVSREGLISPSRLPEFHRESILMSKVVLRMPQELKVTFDVDAPFRERIFSFSRESIQKLKGSVNKSRKMFGAGKEVVDVELMAKLSNDTELKKVTEGETTEISSFQALCALMWRCVTRARNLEKGKTTTLRMAVNVRQRSEPKLGDCYFGNAIQSIVTVAAVGDVVSMDLGWCAEQVHKSVKAFSSDTVRRNVENWEREPKCFELGNHDGATVQMGSSPRFPMYDNDFGWGRPLAMRSGGANKFDGKLSAFPGRYGGGSTDLEVVLAPDTMARLESDPEFMIYLCGE >Vigun02g140300.1.v1.2 pep primary_assembly:ASM411807v1:2:28913856:28915385:1 gene:Vigun02g140300.v1.2 transcript:Vigun02g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKKRRLVLVHGAQHGGWCWYKLSALLNSAGIQVTSLDMAASGIDPKQVLDITSVSEYVEPLLQFLRSLPAEERVILVGHSFGGLCISLAMEFFPHKIAAAVFVTAWMPGPHLSYLSLKQEFNHRLSLKSNFDSEAVSDENSNSHQKRYIPPDPQNLASNVYHLSPPEDLTLALSLVRPFPIFSYEDLRENTQLTEEKHGTVGRVYIVCEEDKLIEQDFQLSMVERNPPDEVKVIAGADHMPMLSKPQELFSYLQQIADNYY >Vigun01g109200.1.v1.2 pep primary_assembly:ASM411807v1:1:28179260:28183119:1 gene:Vigun01g109200.v1.2 transcript:Vigun01g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAARILGSNSRTALRRWCRSSYSSTSAAVAQVESTEVKDYADYRRSLYGQITHKAVLVDAVGTLVLPSQPMAQIYRTIGEKYGVEYSEDEILFRYRRAYGQPWGKSRLRYVNDGKPFWQYIVSYSTGCSDPQYFEELYNYYMTDKAWHLNDPGAEEVFRALRKSGVKLAVVSNFDTRLRPLLRALNCDTWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEDAVHIGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >Vigun09g131100.2.v1.2 pep primary_assembly:ASM411807v1:9:28942674:28947941:1 gene:Vigun09g131100.v1.2 transcript:Vigun09g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLTRKTLASVLRKDARPLGVGAAVATHSRGLHVYTLPDLEYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLQDAVAKADSSAVVKLQAAIKFNGGAPVREGGGEPPKGSLGWAIDTHFGSFEALIQKVNAEGAALQGSGWVWLGLDKELKRLVVETTANQDPLVTKAPNLIPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYANDVYEKESS >Vigun09g131100.1.v1.2 pep primary_assembly:ASM411807v1:9:28942674:28947183:1 gene:Vigun09g131100.v1.2 transcript:Vigun09g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLTRKTLASVLRKDARPLGVGAAVATHSRGLHVYTLPDLEYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLQDAVAKADSSAVVKLQAAIKFNGGGHINHSIFWKNLAPVREGGGEPPKGSLGWAIDTHFGSFEALIQKVNAEGAALQGSGWVWLGLDKELKRLVVETTANQDPLVTKAPNLIPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYANDVYEKESS >Vigun08g128100.2.v1.2 pep primary_assembly:ASM411807v1:8:29827988:29831310:-1 gene:Vigun08g128100.v1.2 transcript:Vigun08g128100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFPISPTTITTPIFKPSAMSAAATTATAPPAKVVPAVIVGGGRVGRALQDMGTGEDLLVRRGEPVPLDFEGPIFVCTRNDDLESVLQSTPPSRWKDLVFFQNGMVEAWLERKGLKDANQVLAYFAVSKIGEAPVDGRTDTNPEGLTAAYGNWASVVASRLKAGGLSCKVLEKEAFQKQMLEKLIWICSVMLVGARHGGVSVGVVEKEFRTELSSLITELASAAASEKGLTFEEAMEERLCAYSRAVAHFPTAVKEFNWRNGWFYALSEKAKAQGKPDPCPLHSLWLKELRIVSCIYG >Vigun08g128100.1.v1.2 pep primary_assembly:ASM411807v1:8:29828471:29831386:-1 gene:Vigun08g128100.v1.2 transcript:Vigun08g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFPISPTTITTPIFKPSAMSAAATTATAPPAKVVPAVIVGGGRVGRALQDMGTGEDLLVRRGEPVPLDFEGPIFVCTRNDDLESVLQSTPPSRWKDLVFFQNGMVEAWLERKGLKDANQVLAYFAVSKIGEAPVDGRTDTNPEGLTAAYGNWASVVASRLKAGGLSCKVLEKEAFQKQMLEKLIWICSVMLVGARHGGVSVGVVEKEFRTELSSLITELASAAASEKGLTFEEAMEERLCAYSRAVAHFPTAVKEFNWRNGWFYALSEKAKAQGKPDPCPLHSLWLKELRIV >Vigun02g096800.2.v1.2 pep primary_assembly:ASM411807v1:2:25244818:25249183:-1 gene:Vigun02g096800.v1.2 transcript:Vigun02g096800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGEFKLPHFFNYPPYFTLQPVRDTREKQIQLWKELILDYCKTQKTFVIALEEEFPLFTNHVIERSLTHEAREAFLSALVSEGRAEWMDKGHRKCLILWHRIQDWADILIQFAKDNGLEDGVVTIEEIRSGTESQGTELHGIDRTILNRALKLLEQKGKLVVFKGTSTDDEGVKFSI >Vigun02g096800.1.v1.2 pep primary_assembly:ASM411807v1:2:25244818:25249183:-1 gene:Vigun02g096800.v1.2 transcript:Vigun02g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGEFKLPHFFNYPPYFTLQPVRDTREKQIQLWKELILDYCKTQKTFVIALEEEFPLFTNHVIERSLTHEAREAFLSALVSEGRAEWMDKGHRKCLILWHRIQDWADILIQFAKDNGLEDGVVTIEEIRSGTESQGTELHGIDRTILNRALKLLEQKGKLVVFKGTSTDDEGVKFSI >Vigun06g003900.1.v1.2 pep primary_assembly:ASM411807v1:6:1894453:1895221:-1 gene:Vigun06g003900.v1.2 transcript:Vigun06g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNCYLDLRFRSSSASSSPHHSMNELLNMNKKVVAIPHAAAARHMLDVTELQARVIIWLASQEMDGSSRGAASATSLSLQSQPLLMHAPQGFSIKTSLRNFLHKRKKRSQKSHAH >Vigun06g003900.2.v1.2 pep primary_assembly:ASM411807v1:6:1894453:1894942:-1 gene:Vigun06g003900.v1.2 transcript:Vigun06g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKVVAIPHAAAARHMLDVTELQARVIIWLASQEMDGSSRGAASATSLSLQSQPLLMHAPQGFSIKTSLRNFLHKRKKRSQKSHAH >Vigun01g076400.2.v1.2 pep primary_assembly:ASM411807v1:1:21438134:21441787:1 gene:Vigun01g076400.v1.2 transcript:Vigun01g076400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYQRDPYYPPPGYALPFTPPSYPSAPAPAGYEPFAPPPPPPQYQGYQSYLNQDYPPPPPWHYHCHHVQYHDDDTPGFTSFLEA >Vigun01g076400.1.v1.2 pep primary_assembly:ASM411807v1:1:21438134:21441787:1 gene:Vigun01g076400.v1.2 transcript:Vigun01g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYQRDPYYPPPGYALPFTPPSYPSAPAPAGYEPFAPPPPPPQYQGYQSYLNQDYPPPPPWHYHCHHVQYHDDDTPGFTSFLEGCLTALCCCCLLEDCFF >Vigun05g213600.1.v1.2 pep primary_assembly:ASM411807v1:5:40452888:40465244:-1 gene:Vigun05g213600.v1.2 transcript:Vigun05g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLPDSLDEGDTSHHNLFHSRHAKQQQQQHQDQSQEHDEDHHHEEEEQEEEEEDGEGEEEEDDDEEEEDEEVEEAAHDNDGKETPQDSDETPSSGSEEKPEFVYVELLEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEEKNRNKQIQASIAKVVQRQSEALVKRRKDTPGVFLTRSQRNQRNIHSRRQTQTIEGSEDNEEDNDNNEKDSSSADERSTEHRQKRRKRWARVRPSQPSSSMASPDGGNDSDMDISRENRGISRQVSKHRKLTWGRGGFRSHTRHGSGGGSNSKSSRSSRLSKFVDHLRSLDENTNEFDVHLMLVSLDHQNTPSLPQPYLCCRPTLSVEHLYEYVARQTPFPVEGIEILAVKGCHSTNRDKSADENSLIFDDLSTLVIEPHKDELEVLQEHETLAGLRSKCISKMGHLILAYRRKEVS >Vigun10g065975.1.v1.2 pep primary_assembly:ASM411807v1:10:14862169:14863619:1 gene:Vigun10g065975.v1.2 transcript:Vigun10g065975.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIQIYLGTEHMVLFSMLSIVTRKLLLKELQLQKLKIKVLCKVHHANLNWLAMRLVMMIFSIFMNMLRKVHSVAICMILKIKKLEYGWLVNLLLETKKTLAKLLFEGFSVEEVIDHTKQDFHVVLDLTGLEAQVQISDGCSINLLKHLVWFLM >Vigun04g134400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33747762:33748890:-1 gene:Vigun04g134400.v1.2 transcript:Vigun04g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQTSLNQAKLVLPTNDLEQQHATTLEIEPEETFDYSKRSQWLRAAVLGANDGLVSTASLMMGIGAVKQDLKVMILSGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKREKERMSGSDREDSNEEEKEGLPNPLQAAAASALAFSVGAMVPLLAASFIREYKVRIAVVVAAVTVALVVFGWLGAALGKAPVFRSSMRVLFGGWMAMFITFGLTKLIGSSGL >Vigun11g050655.1.v1.2 pep primary_assembly:ASM411807v1:11:8515901:8516877:1 gene:Vigun11g050655.v1.2 transcript:Vigun11g050655.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPVVRGGSRRSIVHHSNNFTRERRRHHNRIIQQAILPSYRIPTTTYHSRRSSMPIQNNLHPPAFRAFSRPMHEPSSLVDPQSDMSMDIDNLSYEELLELGERNGNDNLEKGLLKDITGRQLRTKMFLLPNDLEESTSEKWETDICIICQDEYKNREEIGILQCGHEYHANCIRRWLHEKNICPICKSKALNIE >Vigun11g205400.1.v1.2 pep primary_assembly:ASM411807v1:11:40238093:40238568:-1 gene:Vigun11g205400.v1.2 transcript:Vigun11g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFNQHFLLGVLIVVLVLSSGFIGTKSLSTEAARVERDPPTDCNGIRCTVGNDLCKLCCLGQGSKNGACVPREDFFVCKC >VigunL059064.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000039.1:19960:22480:1 gene:VigunL059064.v1.2 transcript:VigunL059064.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDLTLLHIEGHFDEVLCPYVGMIFESANEVKNYYREYAIKSGFGIRIRTSKKDVDNQMCYLKLVCSREGKCVSSIPPEMKTLPTQRKQCPARMTAVRKQDMWMISSVVDEHNHDVSPTKSRLIRGNRKLNMQVKRTLDLNDQADARNYIGKQRRALGKEAMRELNKDFFFEIDMDPDNRISNVFWADASSRPAFMEFGDVYDMPFAPFVGVNHHGHSILLGCGLLSAEDSSTFVWLFRCIITDQCKAMQNAIQMVFPNTRHRWCLWHIMKKLLEKLIGYTNYKEIKHTMNGWNNFIELYDLQLNEWLHTLFEERHRWVPCYLKCDFWAGMSITQRSEGMNVFFDGFINSTTTLQQFVAEKEYEADFSSLNTTIPCGSQSFIERQFQEEYTHAKFREVQNKFRCKMNYNVKNVAFDGIRTKYFVKEALIWKGESADKMHEVLFDPSTKDIECSCRLFEFREDVRCVSQKRHTLIRASYNTKKDEPNVKRYDFLCKKFYDIAEVTCESQSGTDFLVDQLESLSKNASIRDAGATSLGAQKDMSSTPNTAVEHNNILSPAHVKRKGTSLQRKSTFGLVDLINMA >VigunL059064.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000039.1:19933:21992:1 gene:VigunL059064.v1.2 transcript:VigunL059064.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEQNNVMGLEDLTLLHIEGVQEHFDEVLCPYVGMIFESANEVKNYYREYAIKSGFGIRIRTSKKDVDNQMCYLKLVCSREGKCVSSIPPEMKTLPTQRKQCPARMTAVRKQDMWMISSVVDEHNHDVSPTKSRLIRGNRKLNMQVKRTLDLNDQADARNYIGKQRRALGKEAMRELNKDFFFEIDMDPDNRISNVFWADASSRPAFMEFGDVYDMPFAPFVGVNHHGHSILLGCGLLSAEDSSTFVWLFRCIITDQCKAMQNAIQMVFPNTRHRWCLWHIMKKLLEKLIGYTNYKEIKHTMNGWNNFIELYDLQLNEWLHTLFEERHRWVPCYLKCDFWAGMSITQRSEGMNVFFDGFINSTTTLQQFVAEKEYEADFSSLNTTIPCGSQSFIERQFQEEYTHAKFREVQNKFRCKMNYNVKNVAFDGIRTKYFVKEALIWKGESADKMHEVLFDPSTKDIECSCRLFEFREDVRCVSQKRHTLIRASYNTKKDEPNVKRYDFLCKKFYDIAEVTCESQSGTDFLVDQLESLSKNASIRDAGATSLGAQKDMSSTPNTAVEHNNILSPAHVKRK >Vigun05g182900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35177193:35178072:-1 gene:Vigun05g182900.v1.2 transcript:Vigun05g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLLSARTSLCGTNRGGRFSMLMETFNYFGIELMDTNLIATKRAFMITGDSENDNNQDYFLLQLFIKFIRDLKMRCGSSFHYYKYIGVHAYLTNLTM >Vigun05g142900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18780907:18781736:-1 gene:Vigun05g142900.v1.2 transcript:Vigun05g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFALFLLSVLTFYSPSTTAQPVTDGYGVIVPNGARFHILPPTTIAGGIRRVKTNNETVALSVVRSPIETDKGEPITITSPYGTEYLSEGPVTISFEYSPYLGVASLEWTAVEGQRLGTVVKVRGYPNTLRGGYSIKSAGKNRYKLLFCRGVLCGNVVIINDYEGHWLLAVTQNEAYAFVLEQIRSTSSDSE >Vigun09g120400.5.v1.2 pep primary_assembly:ASM411807v1:9:26513308:26517197:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun09g120400.6.v1.2 pep primary_assembly:ASM411807v1:9:26513308:26517197:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun09g120400.2.v1.2 pep primary_assembly:ASM411807v1:9:26513305:26517203:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun09g120400.1.v1.2 pep primary_assembly:ASM411807v1:9:26513298:26517203:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun09g120400.3.v1.2 pep primary_assembly:ASM411807v1:9:26513308:26517197:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQHLYMQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun09g120400.4.v1.2 pep primary_assembly:ASM411807v1:9:26513308:26517197:-1 gene:Vigun09g120400.v1.2 transcript:Vigun09g120400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSMSSHSERFYDDQVYTTHTTPPPEEVTDVKTLTEKLSAALLSSSAQEDLVKQHAKVAEEAVSGWEKAENEVLILKQQLDAAKHKNSVLEDQISYLNGALKECMRELRQAKDEQEQKIHESVSNNSCGLESERPDHEWEAVDPAAALVHSDLHHRLEDMEKENSSLKIELQSRLEELEFRTIERDLSTQAAETASKQHLESIKKVAKLEAEFRRLKTVTRKPFSANDHRSLAASSVYVESFTDSMSDIEERQLVLESDMPKLGGWDMNELDQFKNENSAGKNRKVFSTDINLMDDFLEMERLAALPDTESVSSFPVVNAASDQVSVVNKLENADMEGMVQKNAALEKKLEKMEAEKLELEMDLNEYQKQLEASLSRIKEVELEVVELQTKLALANKSNEEAYEKLKATQEKKEIAESKLTAAHTEAEELVSKICSLEEEIEKERALSAKNLAKCEKLEDELLRMKHEAELQKNTEILSGEGDNSEQKQHLYMQEKELALAATKFAECRKTIESLGLQLKSLATLEDFLLDSESSTDCEVTPCPQNGDEQLKNFHSNLSLTKRDSEPSVSLNQSSITHEKTRNGFGRFNPRSKSVSKTRGH >Vigun03g173000.1.v1.2 pep primary_assembly:ASM411807v1:3:21170471:21174559:-1 gene:Vigun03g173000.v1.2 transcript:Vigun03g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAPTDPEGVDGVRMTWNLWPRTKVEASKCVIPLAATIALLRPHPDIPPTLPYAPLCCKTCSSVLNPFSRVDFTAKIWICPFCFNRNHFPPHYAQISETNLPAELYPQYTTLQYQLNNNNINPNFTHSPVFLFLLDACVIAEELHFLKSALRRALGLLPDNALVGFVSFGTQVQVHELGFSDISKVYVFRGSKDISNDQILEQLGLTAARRAYTKGGTIAQAQPPSAAVTRFLLPASDCEYTLNSVLDELQTDQWPVSIGKRPARCTGVALSVVTGLLGACNPGTGARIIALVGGPCTEGPGSIVSKDLSDPVRSHKDLDKDAAPYFKKAVKFYEGLAKQLVIQGHVLDLFASALDQVGVAESRLQLKKLVALLSCRKVLVIRSSRTLLSVFLRMGSNLLVFVSNNGCTVLPSKKYSNL >Vigun04g077200.1.v1.2 pep primary_assembly:ASM411807v1:4:10367754:10370106:1 gene:Vigun04g077200.v1.2 transcript:Vigun04g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKIFVLAGEKSEMLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKKNILNNDELIVRLILHKVNKTLSIIDNGIGITKVDLVDNLGVGFYSTYLVAHKVILTSKHNDDDQYIWDSQPGSSFFLTKDIDDQRLPRGTKITLFLKDDQLEYLEETTIKNLICKDCQQITHPIYLWSENTKDHWKLINNWLHDQEMRNKFVAQNLGKHLPDHLEFNVLFKLSLKSLKRFGCIRKSWALLLENPNFTNLLRFNLIWNQNSCFDDTSLLLCLGPVNNQIIRKSSLYSLCGNKFQNLEILNWPNPHIEGHAYGCYILGSSSINGIICLYLESTGIVYLWNPSTNECKVTPPSPTEDIPYYVDIMIKYEGFGYDWARDDYKVIRNVCYFEDGHLDGINYGEWDLRDLWEIYSLRSNSWRKLNIELLNCQGINDTFYLEGMCHWLCNNDKEGYLVSFDISNEVCYTTLTPLDIPTEIYNDFNLYLVRRQLFLLNGFIALMSNYNDTTIFYISILVEVGKKETWTKIFTIGPLPSLSFPIGTSNMGNILFQTNDGDLAWFDLRTNLIEKLGVNVHERNCQIILYKKSLSDRRINT >Vigun03g067800.1.v1.2 pep primary_assembly:ASM411807v1:3:5594649:5599524:1 gene:Vigun03g067800.v1.2 transcript:Vigun03g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNECPMNWIGVTVGGVVGVVGAILTLKDGKVGIPLTFATHSSGSDKSLFVPGLQNLQNNCFLNVVLQALASCFYFQGFLHVVMGECGNKDLDENMPLSLSLANLLEELSSVSGEKVTLSPRKTMLAMSNYIPNFSLTSQQDAAEAFLHLLCSLREEFGDCYAPKMSSLADIFSSNYRILTPIQRDCQSEQERWQQHFLGPFDGILASSLTCQSCSSQISINLEHFDCLPLSPVLSGSSTIRFGCTLVDCLKQFIVAEHVENYRCSYCWHNAAIKYLSVMEGNEVELEKLRRCSDPEICDCRIMYNLDKLPWSNRFSHTLKQLSIARCPRILCIQLKRVSMNAFGELVKLQGHISFPLILDVLSFMTTWLGAKTQDIDVQSLSLNLKYNRRNILPNHSDMQSKIRTLKFSGLYEARRINSDALDDRFVSSTNGLELHSDTVFPCSGTSESTHSDAHKQSNDKAHASCDLVSQETCLYQLVSVVEHFGKAGSGHYTVYRSVRVKSSEDVSNDELNQTPMHWFCISDSQVHAVSVEDVLSSEASLLFYERIPRN >Vigun03g067800.2.v1.2 pep primary_assembly:ASM411807v1:3:5594649:5599524:1 gene:Vigun03g067800.v1.2 transcript:Vigun03g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNECPMNWIGVTVGGVVGVVGAILTLKDGKVGIPLTFATHSSGSDKSLFVPGLQNLQNNCFLNVVLQALASCFYFQGFLHVVMGECGNKDLDENMPLSLSLANLLEELSSVSGEKVTLSPRKTMLAMSNYIPNFSLTSQQDAAEAFLHLLCSLREEFGDCYAPKMSSLADIFSSNYRILTPIQRDCQSEQERWQQHFLGPFDGILASSLTCQSCSSQISINLEHFDCLPLSPVLSGSSTIRFGCTLVDCLKQFIVAEHVENYRCSYCWHNAAIKYLSVMEGNEVELEKLRRCSDPEICDCRIMYNLDKLPWSNRFSHTLKQLSIARCPRILCIQLKRVSMNAFGELVKLQNFLSRYGNAGPYFFSIDFGCVVIHDNLAGSKDTGHRCTKFVIKFEVQQKKYFAKSL >Vigun07g094800.1.v1.2 pep primary_assembly:ASM411807v1:7:15530911:15533228:1 gene:Vigun07g094800.v1.2 transcript:Vigun07g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSIHNGTVDSQVKSNPRNHLIYGQHRCGKGCNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIRDTIVSGTEVPIKMGNALPLTDMPLGTTIHNIEITLRKGGQLARAAGAVAKLITKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVINPVNHLHGGGEGRAPIGRKKPATPWGFPALGRSSRKRKKYSDNLILRRRTK >Vigun03g404200.1.v1.2 pep primary_assembly:ASM411807v1:3:61127943:61131618:-1 gene:Vigun03g404200.v1.2 transcript:Vigun03g404200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKYLLVLTCVCAWFGSLAVSDKELLRVGLKKRSLDINSFSSARIRESVHHIKPDTVYLKNYLDAQYFGEIGIGSPPQTFRVVFDTGSSNLWVPSSKCILSIACYFHSKYRSKLSNTYTKIGTSCRIPYGHGHIPGFFSQDNLRVGELIVKDQQFAEITKEGPLAFLAHHFDGILGLGFLNKSVGQVTPVWYNLIEQGHVTQKIFSLWLNPDPMSKIGGEIVFGGIDWRHFKGDHTYVPLTHEDYWQIEVGDILLENNPTGLCAGGCAAIIDSGTSLIAGPTKIVTQINHAIGAEGYVSYECKSIIHNYGDSIWEYIISGLKPEIICVDIGLCSHNRTYIKNDVIESAAHNESWGESRTKESPLCTFCDMVVFWIQVQLKQKNTKDKILKYVDELCEKLPNPVGQTFIDCNSIDTMPQITFTIGNKSFPLSPKQYMLRIEEGCSTVCYGGFVPLDVPPPQGPLWVLGDLFLGAYHTVFDYGNLRIGFAEVA >Vigun03g404200.6.v1.2 pep primary_assembly:ASM411807v1:3:61127943:61131655:-1 gene:Vigun03g404200.v1.2 transcript:Vigun03g404200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKYLLVLTCVCAWFGSLAVSDKELLRVGLKKRSLDINSFSSARIRESVHHIKPDTVYLKNYLDAQYFGEIGIGSPPQTFRVVFDTGSSNLWVPSSKCILSIACYFHSKYRSKLSNTYTKIGTSCRIPYGHGHIPGFFSQDNLRVGELIVKDQQFAEITKEGPLAFLAHHFDGILGLGFLNKSVGQVTPVWYNLIEQGHVTQKIFSLWLNPDPMSKIGGEIVFGGIDWRHFKGDHTYVPLTHEDYWQIEVGDILLENNPTGLCAGGCAAIIDSGTSLIAGPTKIVTQINHAIGAEGYVSYECKSIIHNYGDSIWEYIISGLKPEIICVDIGLCSHNRTYIKNDVIESAAHNESWGESRTKESPLCTFCDMLCEKLPNPVGQTFIDCNSIDTMPQITFTIGNKSFPLSPKQYMLRIEEGCSTVCYGGFVPLDVPPPQGPLWVLGDLFLGAYHTVFDYGNLRIGFAEVA >Vigun03g404200.5.v1.2 pep primary_assembly:ASM411807v1:3:61127941:61131815:-1 gene:Vigun03g404200.v1.2 transcript:Vigun03g404200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKYLLVLTCVCAWFGSLAVSDKELLRVGLKKRSLDINSFSSARIRESVHHIKPDTVYLKNYLDAQYFGEIGIGSPPQTFRVVFDTGSSNLWVPSSKCILSIACYFHSKYRSKLSNTYTKIGTSCRIPYGHGHIPGFFSQDNLRVGELIVKDQQFAEITKEGPLAFLAHHFDGILGLGFLNKSVGQVTPVWYNLIEQGHVTQKIFSLWLNPDPMSKIGGEIVFGGIDWRHFKGDHTYVPLTHEDYWQIEVGDILLENNPTGLCAGGCAAIIDSGTSLIAGPTKIVTQINHAIGAEGYVSYECKSIIHNYGDSIWEYIISGLKPEIICVDIGLCSHNRTYIKNDVIESAAHNESWGESRTKESPLCTFCDMVVFWIQVQLKQKNTKDKILKYVDELCEKLPNPVGQTFIDCNSIDTMPQITFTIGNKSFPLSPKQYMLRIEEGCSTVCYGGFVPLDVPPPQGPLWVLGDLFLGAYHTVFDYGNLRIGFAEVA >Vigun03g404200.7.v1.2 pep primary_assembly:ASM411807v1:3:61127943:61131619:-1 gene:Vigun03g404200.v1.2 transcript:Vigun03g404200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKYLLVLTCVCAWFGSLAVSDKELLRVGLKKRSLDINSFSSARIRESVHHIKPDTVYLKNYLDAQYFGEIGIGSPPQTFRVVFDTGSSNLWVPSSKCILSIACYFHSKYRSKLSNTYTKIGTSCRIPYGHGHIPGFFSQDNLRVGELIVKDQQFAEITKEGPLAFLAHHFDGILGLGFLNKSVGQVTPVWYNLIEQGHVTQKIFSLWLNPDPMSKIGGEIVFGGIDWRHFKGDHTYVPLTHEDYWQIEVGDILLENNPTGLCAGGCAAIIDSGTSLIAGPTKIVTQINHAIGAEGYVSYECKSIIHNYGDSIWEYIISGLKPEIICVDIGLCSHNRTYIKNDVIESAAHNESWGESRTKESPLCTFCDMLCEKLPNPVGQTFIDCNSIDTMPQITFTIGNKSFPLSPKQYMLRIEEGCSTVCYGGFVPLDVPPPQGPLWVLGDLFLGAYHTVFDYGNLRIGFAEVA >Vigun02g179500.1.v1.2 pep primary_assembly:ASM411807v1:2:32056688:32059214:-1 gene:Vigun02g179500.v1.2 transcript:Vigun02g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLLKYWRGGGALGLPPSSDTPSSRAASTTTILTAVENENATDSDEDNDNNDDGPFFDLEFTVPDEDANENPNGNDTREDEEDEEEDINIEESDGEREFKFTLSPSSNDRSDPNLSLSPSEDLFFKGKLLHVDPSSFSSEPNSKPQFTASLLKSATKFRVFMLGLKKPKPTDKDKDSNTNTNTTTTTNNNNVSDSANPEAHKDKKHFTVKFKVEEVPIVSLFTSKGNNKTQKHTSNSNEQPPPSEEKRFSKEVVQKYFKMVKPLYVRVSRRYADKLTLNSAAKSPLPTPPAEPEPVVTAAAESNLKTTQTQKLSAGLSVVCKHLGKSRSASSAVAAAPPPFVSSKRRDDSLLQQQDGIQGAILHCKRSFNASRAECESSQLPRSVSHPSHEISMNEGSEKRLST >Vigun05g244700.1.v1.2 pep primary_assembly:ASM411807v1:5:43787812:43791247:1 gene:Vigun05g244700.v1.2 transcript:Vigun05g244700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSRFLYTCLSFMFFFLISNNIPPSFSSPTHHTNNDLSFSSTAQKLIRGLNLFPKDPINTLQSDSLLVAGNIVEKKLTFHSLVSPGSSVEELGHHAGYYSLPRSKAARMFYFFFESRNRKDDPVVIWLTGGPGCSSELALFYENGPFQLTKNLSLVWNDYGWDKASNIIFVDQPTGTGFSYTSDESDIRHDEEGVSNDLYDFLQAFFKEHPQFTKNDFYITGESYAGHYIPALASRVHQGNKAKEGIHINLKGFAIGNGLTNPEIQYQAYTDYALDRGLIQKADYNSINKLLPSCKQAIEDCGTEGGETCVTSLYVCNKIFNRIMNIAGDVNYYDIRKKCVGDLCYDFSEMEEFLNKKTVRDALGVGDLDFVSCSSTVYSAMMEDWMRNLEAGIPALLEDGIKVLVYAGEEDLICNWLGNSRWVQAMEWSGQKEFGASGAVPFLVDGAEAGTLKSHGPLAFLKVYEAGHMVPMDQPKAALTMLRSWMQGKLTMTKNGDNVSPK >Vigun05g264200.1.v1.2 pep primary_assembly:ASM411807v1:5:45639484:45642384:1 gene:Vigun05g264200.v1.2 transcript:Vigun05g264200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATAKSLQSHNNQSVNGNNPDITREEIQTAIAKAVELRALHAALTQGSSPGTTNVRFPSPSPASHFSAQDYPVFTPSYEDEAHQNPTRSRTVSESWDENGVDGGNSMAPSDYKEKSSSRKGLPFGFGNHDSHMCPADDAKSVSGSCTNHITVLQTSPANDYFKSRRRNSSGDLRPLSSCNRCNPAVITTEYENTRNNRSSNIVVPLTDSHASFQTQPKSKGVISWLFPKFKKKHKNVSSPARTESEEVSQVLKDMRVMSVEALKRELMEANESRDAALMEVSEMRSSLGDLKQKLEYLESYCEELKKALRQAILSKETTHSEKFNNSPHRGTPFDGNGENLMPVSEDVMVEGFLQIVSESRLSVKQFCKTLICQIEENDQSLMDNLNLLLQPYRLSLNSKYSKAVLYHFEAFINQAFYQDFENSVFQKNGCTKFLDPRQHRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTLNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPSLGILRVEENRSFDPHFMEDLVADRQRSQGSNRVKIMVVPGFYVQDRILRCRVICRHKSAP >Vigun05g167200.1.v1.2 pep primary_assembly:ASM411807v1:5:28019907:28026711:-1 gene:Vigun05g167200.v1.2 transcript:Vigun05g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSCTLTSNTKRRATYKFSTDMDTEAATKIKLDALKERAGREIRVFETYVSSSSNAALSNEEVTDDFYEFTAEDYHRLLAAKKEDKFLKTRKIREAEEAARRSEMTKAIIRVRFPDNHTLETTFHPSETVQSLIDVLTKVIALPEQPFYIYTTPPKKIMKDMSQNFYDAGFCPGAIVYFSYDVPKGSTGPYLEEDILSLKELHAANDEGQQFEPLVQSKPEPAVPTHPPPVEQRKPAEKKLVKPKWLKM >Vigun05g167200.2.v1.2 pep primary_assembly:ASM411807v1:5:28019907:28026711:-1 gene:Vigun05g167200.v1.2 transcript:Vigun05g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSCTLTSNTKRRATYKFSTDMDTEAATKIKLDALKERAGREIRVFETYVSSSSNAALSNEVTDDFYEFTAEDYHRLLAAKKEDKFLKTRKIREAEEAARRSEMTKAIIRVRFPDNHTLETTFHPSETVQSLIDVLTKVIALPEQPFYIYTTPPKKIMKDMSQNFYDAGFCPGAIVYFSYDVPKGSTGPYLEEDILSLKELHAANDEGQQFEPLVQSKPEPAVPTHPPPVEQRKPAEKKLVKPKWLKM >Vigun08g133150.1.v1.2 pep primary_assembly:ASM411807v1:8:30419508:30435310:1 gene:Vigun08g133150.v1.2 transcript:Vigun08g133150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHYEVQSNSSICFIFETMLIAKKRSFYKVEFHTSKESNASITHQILLVERLT >Vigun08g099350.1.v1.2 pep primary_assembly:ASM411807v1:8:24186199:24186578:1 gene:Vigun08g099350.v1.2 transcript:Vigun08g099350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTQNPKDRRPPRRLADRLDELLCDCVKFQKLHLPCSHVLVECKYTYNDFEKYIAIMYRFDQVSQVYEGLLFELRNEDY >Vigun07g086600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13250282:13250889:1 gene:Vigun07g086600.v1.2 transcript:Vigun07g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWCILVLLALAVVASARTIPSDAAFGDQKNFLGYGFSGVGNNGLPFAGIGSGFDGSMGGPSGIGGFGGFGGPGGSGGLGGLGGTAEGEGPGIPLP >Vigun08g079800.1.v1.2 pep primary_assembly:ASM411807v1:8:16516198:16528394:1 gene:Vigun08g079800.v1.2 transcript:Vigun08g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPVKLKVHLVTGTDTAEFRLLSEALGRSSVVGLDAEWKPARRSSPTVSVLQIACGDWGVFVLDLLSIPLSSLWEPLRELLLCPDILKLGFGFKQDLTYLSSTFCSHGGFDKVEPYLDIRSVYNHLQHSKKHEPKQSKSLSTICAEILGFSLSKELQCSDWSHRPLTEEQIRYASMDAHCLLDIFKVFQEKVVKEGDLILETTVFSNRDASLGLKELFRNHDTSDKVLRAHFCEALAIVEATTCSDVARMIPLAGGMIQKSSYWVTMPMDEVLLKVVKKYGDRILLKESDKKPKSSKKKGKKHSLLNGTRQENHFEKIDEWQGTPPWDPLEGGNGYPKFLCDVMVEGLAKHLRCVGIDAAIPYSKKPEPRMLIEQAQKEKRVILTRDAKLQRHDYLMKNQIYRVKSLLKNEQLLEVIETFQLKINEDKLMSRCTKCNGTFIQKPLTTEEAIEAAKGFQRIPNCLFNKNLEFWQCMDCHQLYWEGTQYHNAVQKFIDICKLSD >Vigun05g109650.2.v1.2 pep primary_assembly:ASM411807v1:5:11290573:11291729:-1 gene:Vigun05g109650.v1.2 transcript:Vigun05g109650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SHNSAHIRLHRRCFSTKKPRTNYRDFGLSGHILRRMVNACFLLGATSSGPDHRRVPFLVCVNGLFYLYRYGK >Vigun05g109650.1.v1.2 pep primary_assembly:ASM411807v1:5:11290429:11291735:-1 gene:Vigun05g109650.v1.2 transcript:Vigun05g109650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHNSAHIRLHRRCFSTKKPRTNYRDFGLSGHILRRMVNACFLLGATSSGPSHDHRRVPFLVCVNGLFYLYRYGKCKAHQSLAGHFCPKVKGCPLVFRPRADPIDKTLSGYPNSHFALLQKT >Vigun03g327800.2.v1.2 pep primary_assembly:ASM411807v1:3:52408989:52413568:-1 gene:Vigun03g327800.v1.2 transcript:Vigun03g327800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVWPDFCLEYVILPPMHTSKTLDYKYGVMENETTETSTSGCGSLILEMGALSKVTGDPIYESVALRALRKLWSMQSSLKLFGTTLDVATGQWIEYSSGIGAGVDSFYEYLLKAHILFGKEDFWKMFHSAYVAVQKYFRHGSWYHEADMRTGRATYWQLTSLQAFWPGLQVLIGDVMAANSSHREFFHVWKRYGVLPERYLLDHQMIHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVKGGFASIKDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFVHENNYVFTTEGHPLPVLSNWHEELPEAYVPTNWTFVKRQPRVSRISAMSLQVCPALNLKSGQHIESACHIPDARSDFRCLTDEDCGVDATTCRRRSCSMAGFCGLWLFI >Vigun03g327800.1.v1.2 pep primary_assembly:ASM411807v1:3:52408989:52416641:-1 gene:Vigun03g327800.v1.2 transcript:Vigun03g327800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPRHCTTCLLLLFLLVLSDFSMSQSQSPWAAKKKRMKEKVRNMFYHAYDNYMTHAFPHDELKPISKTFTNSLSELGNLKLEHLPQDYNGSALTLIESLSSLVIMGNSTEFERAVLWLSENLTFDVDARINLFECNIRVLGGLVSAHLLASDSSKKLLQGAYNNQLLVLAEDLGKRFLPAFNTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSKVTGDPIYESVALRALRKLWSMQSSLKLFGTTLDVATGQWIEYSSGIGAGVDSFYEYLLKAHILFGKEDFWKMFHSAYVAVQKYFRHGSWYHEADMRTGRATYWQLTSLQAFWPGLQVLIGDVMAANSSHREFFHVWKRYGVLPERYLLDHQMIHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVKGGFASIKDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFVHENNYVFTTEGHPLPVLSNWHEELPEAYVPTNWTFVKRQPRVSRISAMSLQVCPALNLKSGQHIESACHIPDARSDFRCLTDEDCGVDATTCRRRSCSMAGFCGLWLFI >Vigun09g118600.1.v1.2 pep primary_assembly:ASM411807v1:9:26142885:26150026:1 gene:Vigun09g118600.v1.2 transcript:Vigun09g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFNALPSSLRLELPFLLPSKLNKARFPSFSLPNPTLRPVICRASESSTVPPKKRSSNNRNSKNNNKKKKNSKSKPITTDSNSVYNLAPLPPLPTSLPKPPAGFVVDERGKVLSASMDRLATLVDPANNLSLECVVRREFTSSQGDDCLLLCPADMPVQIVKHTPNGWSDVSDEELESILPAAAYALAKIHLYLVNSGYCYTARGGFCYSEEDIFDFHTDDKGDSLPTEGVEIVNFSVEEAYYMIYTPSDPLLFVAVKDQNGILQIADDELLEDPAVTSAIDEETEFNALVEEEAALLDGMLGER >Vigun10g088400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25418514:25420884:-1 gene:Vigun10g088400.v1.2 transcript:Vigun10g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPPVLPISNSQPAAVGGPGAVLTDAPANNPAFRAFINHLSTSLRHGLDQRRPWAELADRSAFSKPESFSEATLRVRKNFSYFRVNYYAVVSLILAISLLTNPFSLVLLAGLLASWTFLYLFRPSDQPLVILGRTFSDFETLAILSGLTVFVVFLTSVGSVLVSALMLGVAAVCLHGAFRVPEDLFLDEQDASQTTGFLSFLRGPAAVAAAVPAVSARV >Vigun08g082300.1.v1.2 pep primary_assembly:ASM411807v1:8:17245849:17257884:-1 gene:Vigun08g082300.v1.2 transcript:Vigun08g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALSFTIPALNNPQINVFNSPNKAARLRLRSRVARCSASTVEKKRLFGTQVRSSGLDRIQLLQSRRLPKWRVAVRSAFSAVPEKPLGLYDPAMDKDSCGVGFVAELSGECNRRTVTDALEMLVRMTHRGACGCEANTGDGAGILVALPHVFYQEVVDFELPPQGKYAVGMFFLPRSENRREESKRIFTKVAESLGHTVLGWRSVPTDNTELGKSALQTEPVIEQVFLTPSAQSNVDLERQMYILRKLSMAAITSALNLQNDGITDFYICSLSSRTVVYKGQLTPAQLRDYYFADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSENELKKLLPIVDANSSDSGAFDGVLEFLLHSGKSLPEAVMMMIPEAWQNDKNMDSQRKAFYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDICRKGRLNPGMMLLVDFEKHAVVNDDALKEQYSLARPYEDWLKNQKIELKDIVDSVPESGRVPPPIAGVAPPSNDDVDMVNMGIHGLLAPLKAFGYSVESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLSFEYFKQMFAQVTNPPIDPIREKIVTSMRCMVGPEGDLTEITEQQCHRLSLKGPLLSTEEMEAIKKMNYRGWNSKVIDITYSKERGKRGLEEALDRICAEAHDAIKEGYTTLVLSDRAFSRKRVAVSSLLAVGAVHQHLVKTLERTRVALIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKANGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEALARDALQLHELAFPSRVFSPGSAEAVALPNPGDYHWRKGGEVHLNDPVAIAKLQEAARTNSADAYKQYAKFIHELNKACNLRGLLKFKETAVKIPIDEVEPASEIVKRFCTGAMSYGSISLEAHTALAMAMNKIGGKSNTGEGGEQPSRMEPLPDGTRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPTARISVKLVSEAGVGIIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIATLLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEEMREIMSQLGFRTVNEMVGRSDMLEVDKEVIKSNEKLENIDLSLLLRPAAELRPEAAQYCVQKQDHGLDNALDNKLISLSNAALEKGLPVYIETPIYNVNRAVGTMLSHEVTKRYHLAGLPNDTIHIRFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKGSNFDPKENIVIGNVALYGATRGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGETGRNFAAGMSGGIAYVLDLNGKFQSRCNLELVDLDKVEEEEDIYTLRMLIQQHQRHTNSLLAKEVLDDFENLLPKFIKVFPREYKRALASVKSEEASKDAVVHAAKDAEEQDDEAQAVEKDAFEELKKLAAASLNEKQSQAEAPKRPSRVSDAIKHRGFVAYEREGVQYRDPNVRMTDWKEVMEETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWKEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPVKRTGKRVAIVGSGPAGLAAADQLNKMGHTVTVYERADRIGGLMMYGVPNMKSDKVDVVQRRVNLMTEEGVDFVVNANVGNDPLYSLDRLREENDAIVLAVGSTKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKDKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPPTRAPGNPWPQWPRIFRVDYGHQEAAAKFGKDPRSYEVLTKRFVGDENGVLKGLEVIRVCWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPEPTIAEKLGVDRDNRSNFKADYGRFSTNVKGVFAAGDCRRGQSLVVWAISEGRQAAAQVDNYLVKEDEEHKNQDGHVKRQQGFNKKQQGSSKHTVMT >Vigun03g011500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:777175:779126:1 gene:Vigun03g011500.v1.2 transcript:Vigun03g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRKLLNLLSALNVSDLLPHHANAIIRFSKPPKTLRVYYGTQSSKLPEYEMPSVTWGVIQGRKEKLVSRVIIFDYLKGLGIIPDELHDLELPSTVDVMRERVEFLQKLGLTVDDINNYPLILGCSVRKNMIPVLGYLEKIGIARPKLGEFVKNYPQVLHASVIVELAPVVKFLRGLDVEKDDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYLLGMRVGTVIKPMIDYLVDLGLPKKVLARMLEKRAYILGYDLEETVKPNVECLISFGVRRECLASVIAQYPQILGLPLKAKLSTQQYFFSLKLKVDPEGFARVVENMPQVVSLNQHVIMKPLEFLLGRTVTEEDVARMVIKCPQLVALRVELMKNSYYFFKSEMGRPLKELVEFPEYFTYSLESRIKPRYQRLKSKGIRCSLNWMLNCSDQRFEERLQGHYIETESVGPGFCMGGKLELPGNDIMSDDEAEIDDEILYRRTVSL >Vigun09g129100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:28611448:28612916:-1 gene:Vigun09g129100.v1.2 transcript:Vigun09g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPSSSEGEAETPPNNLIPSLPDDVALNCIARIPRCHHPTLSLVSKPFRTLLSTPLFFTTRCLLNCTQPFLYLTLRTRASSLQWFTLHRTLPSPLLAPLPPIPSPAVGSAYAVLGSTIYVLGGSINDVPSPHVWLLDCRFHRWLPGPSMRVAREFAAAGVLDGKIYVLGGCVADTWSRSAHWAEVLDPDVGRWERVASPSEVREKWMHASAVVGDKVYAMADRGGIAYEPRSGSWESVGGELDLGWRGRACVVEGILYCYDYLGKIKGFDVGRGVWEELKGLEKGLPRFLCGATMADLGGKLCVVWECQGNGKEMEIWCAEIGVQKNSDGELWGQLGWFGKVLSVPKGSSVVHCSSVSL >Vigun04g090400.1.v1.2 pep primary_assembly:ASM411807v1:4:16361313:16363286:-1 gene:Vigun04g090400.v1.2 transcript:Vigun04g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSSFILSFVLVIGIIVFGAEARPRAFFVFGDSLVDNGNNNFLATTARADAPPYGIDYAPTHRPTGRFSNGFNIPDLISQELGAESTLPYLSPELRGNKLLVGANFASAGIGILNDTGIQFVNVIRMYRQLELFQEYQNRVSSLIGSSQTKSLVNQALVLITVGGNDFVNNYYLVPNSARSRQYPLPQYVKYLISEYQKLLQKLYDLGARRVLVTGTGPLGCVPSELAQRGRNGECAAELQRAAELFNPQLEQMLLQLNRKIGKDVFVAANTGKMHNNFVSNPRQFGFVTAKVACCGQGPYNGLGLCTPLSNLCSNRGQYVFWDAFHPSEKANRLIVEEIMSGSKGYMNPMNLSTILALDAITT >Vigun03g191400.1.v1.2 pep primary_assembly:ASM411807v1:3:26408958:26416963:-1 gene:Vigun03g191400.v1.2 transcript:Vigun03g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDSSLLDLEKVRSWVSWGRSDLYYLSEKFDMHHSGSKMCCECNRNFSEMTYNCKSCGRWFCGKCIGVCDLPNLESENTGFRETIRSCKFCLDANMRMCSEGQRKCSEKVHPSVSPQESPRQSPEPPSPCFSVESDRISSPLNAELNQGSHFERCFHDHDYGYYPCSEVNKSLTSSATHPSSLSTHLSTFRSNEEGMEDSGKDFLSQSRTYCDNYSDIDSSSVSARHDTYNYNSVGSSPSDSPSRIGFTSSWAGLPVQKEQEKGPIPQNDGPISQQSMAVLRKPEQGTEDAYTTAYFSDDLSIFRNQDENMQRPLDFENNGHIWFPPPPDDENDDAEGNFFAYDDEDDDIGDSGAMFSSSSSLSNMFAGKEKHNDGNKEPLRAVIEGHFRALVSQLLQGEGIKVGNENDSDDWLDIVATVAWQAANFVRPDTSKGGSMDPGDYVKVKCIASGSPSESTLIKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEYQKVPNQLASFDTLLQQENDHLKMIISKIEALRPNVLLVEKTVASCAQEYLLAKEISLVLNVKKPLLERIARCTGALITPSVDNLSKARLGHCELFRLDRMVEDHETANQLNRKPSKTLMFFEGCPRRLGCTVLLKGTCREELKKIKHVVQYAVFAAYHLSLETSFLADEGASLPKMIVKHSTDTPESATADTDISMISNTFSTSMPQLEVDDASRVKDIVGIDLKLETLGSGLEHPDDLSCHSYADTMADYRSESVLSDSYYNNFTSNLTVDSDYIHPCNESDGDTIFSTRDFSQSGLLETMVQEERECGEVADSTKDKTNEDELSGEYFSATDGHQSILVYFSSHCVSKGTVCERTRLLRIKFYGSFDKPLGRYLRDDLFDQACCCQSCKEPAEAHVLCFTHLQGNLTINVKRLPSVKLPGERDGKIWMWHRCLRCPFEDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRYYGFGSMVAFFRYSPIDILSVHLPPSVLEFGHIQEGWIRKEAEELSIKVETLYAEISNVLGRLETKIVSASIGNESSDTCDIQNHILDLKDMLRRERSDYHSLLRSGTVAPQPGKMALDILELNRLRRSLLIGSHVWDHRLYSLDSLIKRSFSSKVKQENELCADVKELRVDSFHKDQNIDCGPEQTNAQLSKLHESHKGHMLAEPDDTLEPCTSGSLTCYTEGEKVHSDGELNKTFSECISRNESNLSEKIDSAWTGTDQPQANAVPAGSIQQVNQHDSPPFRRLTQPMRVHSFDSAVRVHERIRKILPSSLHLSTLRSFHASGDYGNMVRDPVSNILQSYVQMLPWETQKLNLILSSTPTFISSVSSIAEGARLLLSQTYHGDRVIAVYDNDYSSIISYALSSKEYEDWVSGKSDLPEGSWIARERSKEDLATSSFSAWGSLDLDYINYGSSYGPEDVPSSVVGSLLRDPKKSVHLQISFGDDSVGAGGKVNFSVTCYFAKQFESLRKKCCPSEVDFVRSLSRCRRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFVEFAPQYFKYLMDALNSGGPTCLAKILGIYQVSVKYPKGGKETKIDLMVMENLFYKRNISRVYDLKGSERSRYNPDTTGTNKVMLDMNLLEALRTKPIFLGSRAKRRLERAVWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPRNAAPTIVSPKQYKKRFRKAMTTYFLTLPLENV >Vigun11g109000.1.v1.2 pep primary_assembly:ASM411807v1:11:30992790:30995576:1 gene:Vigun11g109000.v1.2 transcript:Vigun11g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHENGLNVDPIPIEVDTTMALQQENRLDIDPNPVGVETQEAQTEKFATLGCVAPIEEGVEKINLLGSSLCFPIEENGDKDDSGSDSDSESGSESESRSENSGSESSDSGSEISSSNGSSSSDSEEESDDDDEDDNEVEVEEGEISSDEEKVASWSMVDDDAHDDEDGDAGVGPIRSKNELQNLPLVPPVNAALEPHHQMLPVGIITSILGAQVIVEGVEKHDPLNEGSILWLTESRKPLGLIDEIFGPVKNPFYIVRYNSASEVPEGINGGTFISFVPEFAEHVINNKDLYRKGYDASGANDEELSDEMEFSDDEKEAEYRRMQRATKRGVNDQNHGKKKNRKKFSPKEPAAQSMPAAPAAPMVTQGNCPPFQGIGQTLLGGTATVPSFPHLNAAPPNFGTHGIWTNGTFPQQPQNAMLHNGFPANGVSWFPQNTQIPPQMPMPGIPFQQQVHGNQGSLTTTMLPGMQQNMFAQSMYAAGLLGQNQMAFGMGSPFPQLQPPAQQGFPSSSAAPPQFHPRPSGNRGGRKTFHGAGRKGWRPAK >Vigun06g020900.1.v1.2 pep primary_assembly:ASM411807v1:6:9864320:9872920:-1 gene:Vigun06g020900.v1.2 transcript:Vigun06g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRCLLIRGNLMNRKCYPSFGYVLHSDEGERECTSEKSSSAGISNSIQKRMFGNSVDGPVRCFAYTEHRMYSNRFLFPSSGYNLCRHMSTANPGSDNIEIVTDIADVLKDKAIDAVNSQAPILKEVAIASADSVLPVKALQYIIDAMHSCTGLNWWAAIVLTTLLIRSATVPLLINQLKATSKLTLMRPHMEKIKEEMEDKAMDPIAVAEGQKKMKMLFKEYGASPFTPLKGLFIQGPVFISFFLAIRNMAEKMPSFKHGGAYWFVDLTTPDALYILPVLTALTFLITVECNMQEGLEGNPAAATMKKFSRVIAILTVPFTMGFPKAIFCYWITSNLFSLGYGLVLKAPGVKKALGIPIIQEAAATSGAQSPSSIFPALKQATAARIAPKSLPVEPSKHQQRKTSSDVNQRLKRLEKQVKGRKKNKN >Vigun06g020900.2.v1.2 pep primary_assembly:ASM411807v1:6:9864282:9872991:-1 gene:Vigun06g020900.v1.2 transcript:Vigun06g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRCLLIRGNLMNRKCYPSFGYVLHSDEGERECTSEKSSSAGISNSIQKRMFGNSVDGPVRCFAYTEHRMYSNRFLFPSSGYNLCRHMSTANPGSDNIEIVTDIADVLKDKAIDAVNSQAPILKEVAIASADSVLPVKALQYIIDAMHSCTGLNWWAAIVLTTLLIRSATVPLLINQLKATSKLTLMRPHMEKIKEEMEDKAMDPIAVAEGQKKMKMLFKEYGASPFTPLKGLFIQGPVFISFFLAIRNMAEKMPSFKHGGAYWFVDLTTPDALYILPVLTALTFLITVECNMQEGLEGNPAAATMKKFSRVIAILTVPFTMGFPKAIFCYWITSNLFSLGYGLVLKAPGVKKALGIPIIQEAAATSGAQSPSSIFPALKQATAARIAPKSLPVEPSKHQQRKTSSDVNQRLKRLEKQVKGRKKNKN >Vigun07g084600.1.v1.2 pep primary_assembly:ASM411807v1:7:12564158:12567670:-1 gene:Vigun07g084600.v1.2 transcript:Vigun07g084600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQKQNWFEKAKPFAAVVLIQFGYAVMDVISKDALNKGMSNYVFVVYRHAVAFLVMAPLAWFFENRKARQKMTLSIFIKILVLSLIEPVIDQNLYFLGMKYTTATFAVTMTNMLPAITFIFAWILRLEQVNIRSIRSQAKVAGTVATVSGAMIMTLIKGPLLFGTHGGNDQTQNNGTSTQRTIMGFIMITIGCFCWASFMILQAITLKTYPAALSLSAWICLMGTIEGAAVALFMERGHPSVWSIKFDIRLLCAVYSGIICSGVSYYLQGVVMKSRGPVFVTAFSPLCMVIVAIMSYFILAEQVFLGRMSGAIVICLGLYFVVWGKSKDYGPEDPNTQEPIVEMDNTRKENLTCCTHGVTTATNLGNGNTTPSEEQV >Vigun07g084600.2.v1.2 pep primary_assembly:ASM411807v1:7:12564158:12567670:-1 gene:Vigun07g084600.v1.2 transcript:Vigun07g084600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQKQNWFEKAKPFAAVVLIQFGYAVMDVISKDALNKGMSNYVFVVYRHAVAFLVMAPLAWFFEKKARQKMTLSIFIKILVLSLIEPVIDQNLYFLGMKYTTATFAVTMTNMLPAITFIFAWILRLEQVNIRSIRSQAKVAGTVATVSGAMIMTLIKGPLLFGTHGGNDQTQNNGTSTQRTIMGFIMITIGCFCWASFMILQAITLKTYPAALSLSAWICLMGTIEGAAVALFMERGHPSVWSIKFDIRLLCAVYSGIICSGVSYYLQGVVMKSRGPVFVTAFSPLCMVIVAIMSYFILAEQVFLGRMSGAIVICLGLYFVVWGKSKDYGPEDPNTQEPIVEMDNTRKENLTCCTHGVTTATNLGNGNTTPSEEQV >Vigun11g104800.1.v1.2 pep primary_assembly:ASM411807v1:11:30322502:30325540:-1 gene:Vigun11g104800.v1.2 transcript:Vigun11g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLGCPSAYAKFVSDDLGTPFHCFHPPNTVYSQQCSPKLLRCTPFQRPSFKSFCRREEEEEVTPFSQGFSALQEDDSPWESGNVWSNLALYLFSLHIPFSFGGLSVVALFNGQPVLDPQTEALSLLTIQILEFSGTLVLLKYTAKPQYKFSNFFKKNNTWSYRNWFLSSALGFGFLALLVFLTSLLSDFLYGSKPVSNPIQKEMLLNSGISRVSCALAYCIVIPLLEEVVYRGFLLTSLSSTMEWQQAVAISSVIFSAIHLSGENFLQLFIIGYLLGCSYSWTGNLSSSIVIHSLYNALTLVVSYFY >Vigun02g192300.1.v1.2 pep primary_assembly:ASM411807v1:2:32929118:32931286:-1 gene:Vigun02g192300.v1.2 transcript:Vigun02g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVILNAPSSFLLFPSKSFIRFSFIYNCISTSTNFKSNFNPNTTTMVTATATAAATAPSHQAIPLLTPYKMGKFNLSHRVVLAPLSRERSYNNVPQPHAVVYYSQRTSNGGLLIAEATGVSDTAQGYPNTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSDSSYQPNGQAPISSTDKPLPPTPRANGLGYIEHTPPRRLTTEELPGIVNDFRIAARNAIEAGFDGVEVHGAHGYLLDQFLKDQANDRTDQYGGSLENRCRLPLEVVEAVVNEVGADRVGIRLSPFADFNDCGDSNPLQLGLYMVNALNKYNILYCHMVEPRMGSVGGPDENLTPMRKVFNGTFIVAGGYDREDGIKAIAEDRADLVAYGRLFLANPDLPKRFALNAPLNQYNRKTFYHEDPDPLVGYIDYPFLDEESNGVAS >Vigun02g033400.1.v1.2 pep primary_assembly:ASM411807v1:2:14106595:14107326:-1 gene:Vigun02g033400.v1.2 transcript:Vigun02g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSMLHVLVIDDNLVESKVIEQLLKISSANVVVDGNLVYSILAWMKRPVLLGGMYNMIEQGHVWTKFSFFD >Vigun03g240900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40180140:40181255:-1 gene:Vigun03g240900.v1.2 transcript:Vigun03g240900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPQSHQLIILAFFVSFSLTNLVVSARVFPNVSSWLPDHAPPRGAWDAFRNLTGCHLGDNYDGLANLKSYFERFGYISHAPPSNFSDQFDDALESAIKTYQKNFNLNVTGFLDDSTLQQIVLPRCGVADIINGTSTMNAGKQNETASSSKPRFHTVAHYTLFPGMPRWPEGTEELTYAFNPGNSLSETVKGVFAAAFARWAEVTSLKFRETASYFSADIRIGFFSGDHGDGEPFDGSLGTLAHAFSPTNGRFHLDADEDWVVSGDVTQSALSTAVDLESVAVHEIGHLLGLGHSSVEEAVMFPTISSRRKKVVLAQDDIQGIQYLYGTNPNYNGSSATSAPERDSSDGSNSSPWSVFTLLTFSYLYFTLL >Vigun09g144900.2.v1.2 pep primary_assembly:ASM411807v1:9:30787494:30795610:1 gene:Vigun09g144900.v1.2 transcript:Vigun09g144900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKVLEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDQRERTEILKVILKGERVEDNIEFGHIADLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSPAPRPLSQLDLEKALATSQKTKVAASEYAGFSLQSPSRWSVPD >Vigun09g144900.7.v1.2 pep primary_assembly:ASM411807v1:9:30787494:30795610:1 gene:Vigun09g144900.v1.2 transcript:Vigun09g144900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKVLEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDQRERTEILKVILKGERVEDNIEFGHIADLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSPAPRPLSQLDLEKALATSQKTKVAASEYAGFSLQSPSRWSVPGESGVRSVNIV >Vigun09g144900.5.v1.2 pep primary_assembly:ASM411807v1:9:30787494:30795610:1 gene:Vigun09g144900.v1.2 transcript:Vigun09g144900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKVLEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDQRERTEILKVILKGERVEDNIEFGHIADLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSPAPRPLSQLDLEKALATSQKTKVAASEYAGFSLQSPSRWSVPGESGDSQIQAAINEFSKLVVSHMINLQPESQDP >Vigun09g144900.6.v1.2 pep primary_assembly:ASM411807v1:9:30787494:30795610:1 gene:Vigun09g144900.v1.2 transcript:Vigun09g144900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKVLEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDQRERTEILKVILKGERVEDNIEFGHIADLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSPAPRPLSQLDLEKALATSQKTKVAASEYAGFSLQSPSRWSVPGESGVRSVNIV >Vigun09g144900.3.v1.2 pep primary_assembly:ASM411807v1:9:30787494:30795610:1 gene:Vigun09g144900.v1.2 transcript:Vigun09g144900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQDLLLYAASAALSCLVLFAGLRHLDPNREASKKVLEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLETIKQALFELVILPLKRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALLNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDQRERTEILKVILKGERVEDNIEFGHIADLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGKRSPAPRPLSQLDLEKALATSQKTKVAASEYAGFSLQSPSRWSVPGESD >Vigun07g116100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21428757:21429665:1 gene:Vigun07g116100.v1.2 transcript:Vigun07g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWSFLVMLMALMACNSSLALASRQNMHVSTISAAPTTLPGAPLQFSPAMSPDIEPLFPTPGNAAFSPSDSSIPTIPSSPSPPNPDVTNNPGSVLAFPPSESMPALAPSSHGVSLPFSSAFHLAILVFCIMQLHGI >Vigun03g187850.1.v1.2 pep primary_assembly:ASM411807v1:3:25198460:25198794:-1 gene:Vigun03g187850.v1.2 transcript:Vigun03g187850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFFLNLHPSIATLDWFGYSCCFAVVHSEVSYNGSGIRNSKRIE >Vigun10g095901.1.v1.2 pep primary_assembly:ASM411807v1:10:28203263:28203745:1 gene:Vigun10g095901.v1.2 transcript:Vigun10g095901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFLGQLESFPKESWARAVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKTALG >Vigun06g167800.2.v1.2 pep primary_assembly:ASM411807v1:6:28958265:28960545:1 gene:Vigun06g167800.v1.2 transcript:Vigun06g167800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLYSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEEVFQVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQL >Vigun06g167800.1.v1.2 pep primary_assembly:ASM411807v1:6:28958265:28960545:1 gene:Vigun06g167800.v1.2 transcript:Vigun06g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNDIQMAKLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLYSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEEVFQVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQL >Vigun03g362500.1.v1.2 pep primary_assembly:ASM411807v1:3:56595574:56597430:1 gene:Vigun03g362500.v1.2 transcript:Vigun03g362500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEKSVAKSVQEMSMEGDEPPPQYIVKGNSFGSKDSSALIPIPIIDVSLLSSEGELHSLRSSLTSAGCFQAIGHGMSSSYLDKIRELAKHFFALPEEEKQKYARAVNESEGYGNDRVVSDKQVLDWSYRLSLRVFPEEKRRLSLWPKIPSDFSEKLEEFSAKVKSMMDYLLRSMARSLNLEEGSFLDQFGEESLMLARFNFYPRCSRPDLVLGVKPHTDRSGITVLLQDKEVEGLQVLIDEKWVSVPTIPDAFVVNLGDQMQIMSNGIFKSIMHRVVTNTEKMRMSLAMFNEPDPENEIGPVEGLINETRPRLYRNVKCYGDINYKCYQEGKIALETVKIADNSD >Vigun09g203900.3.v1.2 pep primary_assembly:ASM411807v1:9:37842870:37863852:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFFGIKLRVSWDGNNTVKRIFPRNKRCTVSVIHGCYPLRQTFKFCGQNVDLLRKRHGSASGWRRFRCFKEKEPPFSFSVSYFTPLWKEGLFLVRASVYTAVISGLLLLLWFGRNKAKGFVEANILPSVCSIISECIQHDVCFGKVTKISPLSVTLESCSFGPREDDFSCGEAPTVKVRFHPLSSLWRGKFVFDAVLSHACVMVVQKKDYSWLGIPLYEEGTQMRLSTEEGLDHRTRVRRVAREEAAAKHVKERDDAAMEAAEKGYFMSEENCGPSKGDDDLKEIGTHSSEGTNSKGFFCMKEGEQHGHRCVDTGVDYDMKHADLEKSFKVKFPGKGLKFWSRIIKGHEKQKCKRKAKRSDISASGIALRKRILEQGAFAANAYFRSSYGKFEQPSSSSRFFHSRDRDTQLVKSDVDKKAVSFASADDNRNNDNQNGTQFRDLEIWSPSSNENINGHSNDLKFSSDLPSKTSESKHEHLQSSKDVAEHANADIRTEKKEELGHHVAQSPIDVSATKGQRDLISVKQTSQLATYFEVPFKTLIKKIGFTSCFRNIEKRKSEMGLKVEDTVSEHVDRVDVQQSEGLNKTLPVTFDSVHVRGATVMLLAYGDREVRVIENVNGHTKFHNHYNCINLKLSGNCKTWRSDDKSEGDGWLSVDVFVDSVEEKWHANLKTDHLFVPLFERILDIPITWSKGRASGEVHLCMSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEVCHFPLDDLEIASLRGTIRRAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun09g203900.6.v1.2 pep primary_assembly:ASM411807v1:9:37846521:37863853:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSNTSGSLCFRGQSIFLHNTSGWFGSIPLEASGDFGIHPEEGEFHLLCQVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEVCHFPLDDLEIASLRGTIRRAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun09g203900.5.v1.2 pep primary_assembly:ASM411807v1:9:37842870:37863852:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKKDYSWLGIPLYEEGTQMRLSTEEGLDHRTRVRRVAREEAAAKHVKERDDAAMEAAEKGYFMSEENCGPSKGDDDLKEIGTHSSEGTNSKGFFCMKEGEQHGHRCVDTGVDYDMKHADLEKSFKVKFPGKGLKFWSRIIKGHEKQKCKRKAKRSDISASGIALRKRILEQGAFAANAYFRSSYGKFEQPSSSSRFFHSRDRDTQLVKSDVDKKAVSFASADDNRNNDNQNGTQFRDLEIWSPSSNENINGHSNDLKFSSDLPSKTSESKHEHLQSSKDVAEHANADIRTEKKEELGHHVAQSPIDVSATKGQRDLISVKQTSQLATYFEVPFKTLIKKIGFTSCFRNIEKRKSEMGLKVEDTVSEHVDRVDVQQSEGLNKTLPVTFDSVHVRGATVMLLAYGDREVRVIENVNGHTKFHNHYNCINLKLSGNCKTWRSDDKSEGDGWLSVDVFVDSVEEKWHANLKTDHLFVPLFERILDIPITWSKGRASGEVHLCMSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSNTSGSLCFRGQSIFLHNTSGWFGSIPLEASGDFGIHPEEGEFHLLCQVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEVCHFPLDDLEIASLRGTIRRAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun09g203900.4.v1.2 pep primary_assembly:ASM411807v1:9:37842870:37863852:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFFGIKLRVSWDGNNTVKRIFPRNKRCTVSVIHGCYPLRQTFKFCGQNVDLLRKRHGSASGWRRFRCFKEKEPPFSFSVSYFTPLWKEGLFLVRASVYTAVISGLLLLLWFGRNKAKGFVEANILPSVCSIISECIQHDVCFGKVTKISPLSVTLESCSFGPREDDFSCGEAPTVKVRFHPLSSLWRGKFVFDAVLSHACVMVVQKKDYSWLGIPLYEEGTQMRLSTEEGLDHRTRVRRVAREEAAAKHVKERDDAAMEAAEKGYFMSEENCGPSKGDDDLKEIGTHSSEGTNSKGFFCMKEGEQHGHRCVDTGVDYDMKHADLEKSFKVKFPGKGLKFWSRIIKGHEKQKCKRKAKRSDISASGIALRKRILEQGAFAANAYFRSSYGKFEQPSSSSRFFHSRDRDTQLVKSDVDKKAVSFASADDNRNNDNQNGTQFRDLEIWSPSSNENINGHSNDLKFSSDLPSKTSESKHEHLQSSKDVAEHANADIRTEKKEELGHHVAQSPIDVSATKGQRDLISVKQTSQLATYFEVPFKTLIKKIGFTSCFRNIEKRKSEMGLKVEDTVSEHVDRVDVQQSEGLNKTLPVTFDSVHVRGATVMLLAYGDREVRVIENVNGHTKFHNHYNCINLKLSGNCKTWRSDDKSEGDGWLSVDVFVDSVEEKWHANLKTDHLFVPLFERILDIPITWSKGRASGEVHLCMSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun09g203900.2.v1.2 pep primary_assembly:ASM411807v1:9:37842870:37863852:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFFGIKLRVSWDGNNTVKRIFPRNKRCTVSVIHGCYPLRQTFKFCGQNVDLLRKRHGSASGWRRFRCFKEKEPPFSFSVSYFTPLWKEGLFLVRASVYTAVISGLLLLLWFGRNKAKGFVEANILPSVCSIISECIQHDVCFGKVTKISPLSVTLESCSFGPREDDFSCGEAPTVKVRFHPLSSLWRGKFVFDAVLSHACVMVVQKKDYSWLGIPLYEEGTQMRLSTEEGLDHRTRVRRVAREEAAAKHVKERDDAAMEAAEKGYFMSEENCGPSKGDDDLKEIGTHSSEGTNSKGFFCMKEGEQHGHRCVDTGVDYDMKHADLEKSFKVKFPGKGLKFWSRIIKGHEKQKCKRKAKRSDISASGIALRKRILEQGAFAANAYFRSSYGKFEQPSSSSRFFHSRDRDTQLVKSDVDKKAVSFASADDNRNNDNQNGTQFRDLEIWSPSSNENINGHSNDLKFSSDLPSKTSESKHEHLQSSKDVAEHANADIRTEKKEELGHHVAQSPIDVSATKGQRDLISVKQTSQLATYFEVPFKTLIKKIGFTSCFRNIEKRKSEMGLKVEDTVSEHVDRVDVQQSEGLNKTLPVTFDSVHVRGATVMLLAYGDREVRVIENVNGHTKFHNHYNCINLKLSGNCKTWRSDDKSEGDGWLSVDVFVDSVEEKWHANLKTDHLFVPLFERILDIPITWSKGRASGEVHLCMSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSNTSGSLCFRGQSIFLHNTSGWFGSIPLEASGDFGIHPEEGEFHLLCQVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun09g203900.1.v1.2 pep primary_assembly:ASM411807v1:9:37842870:37863852:1 gene:Vigun09g203900.v1.2 transcript:Vigun09g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFFGIKLRVSWDGNNTVKRIFPRNKRCTVSVIHGCYPLRQTFKFCGQNVDLLRKRHGSASGWRRFRCFKEKEPPFSFSVSYFTPLWKEGLFLVRASVYTAVISGLLLLLWFGRNKAKGFVEANILPSVCSIISECIQHDVCFGKVTKISPLSVTLESCSFGPREDDFSCGEAPTVKVRFHPLSSLWRGKFVFDAVLSHACVMVVQKKDYSWLGIPLYEEGTQMRLSTEEGLDHRTRVRRVAREEAAAKHVKERDDAAMEAAEKGYFMSEENCGPSKGDDDLKEIGTHSSEGTNSKGFFCMKEGEQHGHRCVDTGVDYDMKHADLEKSFKVKFPGKGLKFWSRIIKGHEKQKCKRKAKRSDISASGIALRKRILEQGAFAANAYFRSSYGKFEQPSSSSRFFHSRDRDTQLVKSDVDKKAVSFASADDNRNNDNQNGTQFRDLEIWSPSSNENINGHSNDLKFSSDLPSKTSESKHEHLQSSKDVAEHANADIRTEKKEELGHHVAQSPIDVSATKGQRDLISVKQTSQLATYFEVPFKTLIKKIGFTSCFRNIEKRKSEMGLKVEDTVSEHVDRVDVQQSEGLNKTLPVTFDSVHVRGATVMLLAYGDREVRVIENVNGHTKFHNHYNCINLKLSGNCKTWRSDDKSEGDGWLSVDVFVDSVEEKWHANLKTDHLFVPLFERILDIPITWSKGRASGEVHLCMSKGEAFPNLYGQLDVTGLNFQVSDAPSCFSNTSGSLCFRGQSIFLHNTSGWFGSIPLEASGDFGIHPEEGEFHLLCQVPSVEVNALMRTFNMRSLLFPLAGSVTALFNCQGPLDTPIFVGTGMLSRTSSSLHVDTPTTEASEVLAKSMEAGALAVFDRVPFSHVSANFTFNTDNCIADLYGIRASLVDGGEIQGAGTVWICSEALDDDKAIDANFSGSFNLEKIMLRYVPSYHHLMPLKFGVLNENTKLSGSLLRPRFDIKWTAPTGEGSLNDARGDIIISHNFITVNFASAAFDLYVKVQTSYSDHFSLREEFNASRAIPFIVGGVDFDLHMHEFEFFSLVTPYVMDFPRPLILKAAGRVKFQGNLLKSSTTIIEQNFDKNKKHVSMLEKGNADSLVGEVSISGLKVNQLMLAPQLSGSLSVSPEYIKLNASGRSDESLVVDFVGPLQLSGESGLKSGTVLSVSLHKGQLRANVDFRPCYSASLEVCHFPLDDLEIASLRGTIRRAEIQLNLPKRRGHGIISVLWPKFSGVIGEALDVAARWSGDVITIEKTVLEQSHSYYEIQGEYVLPGTRDCIPVDIKGDGILERFLSERVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVLSRSKDLFIQSLQSTGLYSMSTQELLELIRELHVPSNDVLDHLSLPGLLELKGCWHGSLNASGGGNGDTLAEFDFHGEDWEWGEYKTQSVSAVGTYSNDDGIHLKKIFVQKDNATIHADGTFLGPKTNLHFAVLNFPVSLIPTLVQIIDSTANNVVHSLRQLLAPIKGILHMEGDLRGSLEKPECDVQIRLLDGVIGGAVLDRAELVASLTPTSRFLFNAKFEPLIQNGHVLIQGSIPVNFFQSKMLQQDVELDKNRDTWVSEWVKKNKGTTTTNHARDKKVSRQRNKKGWNTQLVEKLKGLNWQTLDVREVRVDVDIKDGGMMLVTALTPYANWLHGNADIMLEARGTVDQPVLNGYASFRRATISSPMFRNSLTNFGGTVHMKSNRLSITSLESRLGRKGKLLVKGNLPLRTKEAALDDKIEFKCEVLEVQAKNILSGQVNSQVQITGSILQPNISGNIKLSHGKVYLPHDRGGASTNKFRSFQSELPSGGIDKSFASRYISRYFGLESAPPMVKISQTSGSVNESIQVEKDTEEVQIKPNIGIGLSDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKCIKPRGKLVFENGEVDLVATQVRLKREHLNIARFEPDYGLDPMLDLTLVGAERQYRIHRRASNWQDFIEQDTLSPIEVARRLDSQLAESILESNGQLAFDKLVTATLEKLMPRIEGKGEFGQAKLKWVYAPQIPTLLSGATVDPFTLLADNLTFGTDVEVQLGKRLQARIVRQMKESQMAMEWTLTYQLTSRLHLCLKHGSSKCILFEYTATSQD >Vigun11g111200.2.v1.2 pep primary_assembly:ASM411807v1:11:31279641:31282536:1 gene:Vigun11g111200.v1.2 transcript:Vigun11g111200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLENLHSDAVSFLFLVESDHTPSQNHSHTLKPGDLDISVRRELVSLIAKLSCTLDPALSYLATNYLDRFLASQGISEPKSWVLRLIAVSCISLAVKMMKTECFDVQDLLNQSDGGMIFETQTVRRMEALILGALQWRMRSITPFSFIPFFIALMGIKGSPTGTVLKNRASEIIFMSQREIRLWEFKPSMIAASALLCASHELFPFQYPSFLKAITESTYESVEQCYKVIQDIAIEEEYESALNGVSRSDTPINVLDHHFLSSESEKTNGITVADSPLRQERDLKRRKITPCGNNPTIHNS >Vigun11g111200.1.v1.2 pep primary_assembly:ASM411807v1:11:31279641:31282536:1 gene:Vigun11g111200.v1.2 transcript:Vigun11g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLENLHSDAVSFLFLVESDHTPSQNHSHTLKPGDLDISVRRELVSLIAKLSCTLDPALSYLATNYLDRFLASQGISEPKSWVLRLIAVSCISLAVKMMKTECFDVQDLLNQSDGGMIFETQTVRRMEALILGALQWRMRSITPFSFIPFFIALMGIKGSPTGTVLKNRASEIIFMSQREIRLWEFKPSMIAASALLCASHELFPFQYPSFLKAITESTYVNKESVEQCYKVIQDIAIEEEYESALNGVSRSDTPINVLDHHFLSSESEKTNGITVADSPLRQERDLKRRKITPCGNNPTIHNS >Vigun09g142200.1.v1.2 pep primary_assembly:ASM411807v1:9:30449103:30449771:1 gene:Vigun09g142200.v1.2 transcript:Vigun09g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGASKFISSFVTVLAILLLLLTSALGTAAEPTIRYGRKLLQPGGYANDLPKPKSTPVPYYGYVGPGYPGRGGYYPPRP >Vigun04g127600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32169538:32177071:-1 gene:Vigun04g127600.v1.2 transcript:Vigun04g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIKARRFCTLIIEATPRTPTTKPFLPITHRTLPEPHGQDLDFITVAHSHVINSNWEKLRPLCPVLTPFRLKHLFLKLQNDHVLSLKLSQWLLQHHPSTHTLETLSFLLHTLATHRQFKTIQTILTKILSSHSPHTLFHSLLHSYPICNASPLVFDALFKTFAHASKFRNATLTYTLMKQYGFSLTVESCNAFLSSLLRLGRADIALSFYREMCRTYVLPNVYTLNMVIRAHCMLGEVQKGFEIFQKMGDMGLSPNVVSFNTLISAYCRKGLFGLAFKVKSLMGDHGVQANVVTFNTLINGFCKERKLHEANRVFNEMKVANVAPNVVTYNILLHGYGQVGDSEMSGRVYEEMVRSGVKADILTYNALILGLCKDGKTKKAAGFVKELDKENMVPNASTFSALITGQCVRNNSERAFLIYRSMVRSGCNPNEHTFQILISAFCKNEDFDGAVQVLRDMLGRLMKPDSNTLSELYHGLNKCGKNQLALALCSEMEARRLLPDGFDKEKLFIVHPENENETSS >Vigun05g071601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6131645:6132145:-1 gene:Vigun05g071601.v1.2 transcript:Vigun05g071601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEICVSDFGSRVLGFGCRVWGFIFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFMGFQIRVSSYMFLVSGFGIRVSGFEFRVSSFGFWVSGLRFEILGFGFEVLSFGLGISSFGLRLFRFEF >Vigun11g070100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20426076:20426435:-1 gene:Vigun11g070100.v1.2 transcript:Vigun11g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKLVTVRPTETRMGSGKGFPEYWVAIVKPGKILYEMGGVPENIARKAISIASSKMPIQTQFIISG >Vigun01g052550.1.v1.2 pep primary_assembly:ASM411807v1:1:8942552:8944084:1 gene:Vigun01g052550.v1.2 transcript:Vigun01g052550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKHDGYVHCSEERKILFHHCCKTKFIGALNNRLSVQQKKYIEVTPFWWLTMLTNSVKISRKLLSLLCYKWVERRGGVYIDVCLGIGLRVLGKRIDLNERVLDSDSLNIFGGETITIKLIYDYLLKYDDDVGGILEFLLPNKKGSVFPIILKIVDDMNNIGKYNWGTLVYEYLSFDHLVVCNSKLTCRMNKFPRLLHWMNVRVGDKVIRSAFYKNMCVVDVVVSDEELCHDVVKEAFKEFGIGYRKQDLKDKEEVLRLLEYEEGEIVDIEHSIFELEEMVAKCTSEVGNEEYPNDAFAKDVLDDDDDGDDEINMYDRMKAQPRRRFKSDVTRTPFSVYGNKKNLKLK >Vigun03g391200.1.v1.2 pep primary_assembly:ASM411807v1:3:59735205:59736763:1 gene:Vigun03g391200.v1.2 transcript:Vigun03g391200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWHKITKTWIALSARIKLRKSRISGGRVGGTGEYTGDCGGISGGLLKLRDDVQMCGYRDVEVMWNMLNVSLQHDQMEAARSSNNAEFRKRGCKQRSISRVLFWTSHSVN >Vigun08g008800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:792475:794159:-1 gene:Vigun08g008800.v1.2 transcript:Vigun08g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFQRATLCSSAVNILMIFSVITTNLFALYAFTASPKHPQHLLHNHAHKNISLISEQVSLILREIDLSQKKLAQMEKELLGYESIDLSRPNIASELKLFLQRHQLPLGKDSRTGITEMVPSVGHTCEKNSDLLSQFMSYKVFGACPDDWSVAQKLILRGCEPLPRRRCFAKTVSKLGLYPFPDSLWKPVANKTVNWSGLGCKNFECLNSKKLSRECIGCFDLVHGNENAKFVKAKSKNDFVVDDVLALGGGGVRIGFDIGGGSGSFAARMADRNVTVVTNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGGKSEKLEFLMFDIDRVLRAGGLFWLDNLFCANEEKKQSLTRLIERFGYKKLKWVVGEKIDSVGSGKPEVVLSAVLQKPVRV >Vigun02g115000.1.v1.2 pep primary_assembly:ASM411807v1:2:26863775:26867369:1 gene:Vigun02g115000.v1.2 transcript:Vigun02g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISDENRSKPVNPTSFQGGMNHGGNRKVGQNRRALSVINQDLVAEGRPYPCVVNKRTLAEKQDMCEKKQADPGHRPITRRFAAQIASTQQNRVEGTKKSNLGNSNSNGFGEVIFVDDELKPTDDQPVPMSLEQTEPVHNEVDQMEEVEMEDIIDETVLDIDICDANNPLAVVDYIEDLYTYYRKMEGSSCVSPNYMTQQFDINERMRAILIDWLIEVHDKFDLMHETLFLTVNLIDRFLAKQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYTRKEVLDMEKLMVNTLQFNMSVPTAYVFMKRFLKAAQADRKLELLAFFLVELSLVEYEMLKFPPSLLAAAAVYTAQCSIYGFKQWSKTCEWHSNYSEDQLLECSTSMVDFHQKAGNGKLTGVHRKYCSSKFNYTAKCEPARFLVENSL >Vigun03g055600.1.v1.2 pep primary_assembly:ASM411807v1:3:4545743:4547536:-1 gene:Vigun03g055600.v1.2 transcript:Vigun03g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCTEKHHLSKGPWSKEEDQLLIHYINRHGEGNWRWLPEAAGLLRCGKSCRLRWMNYLRPNLKKGNFTQEETDLIIHHHSLLGNKWAQIAAILPGRTDNEIKNYWNTHIKRQLYANGIDPVTHKPLNKDMTDPPANSQVSEVVTTSENNNNNDNSYFNVFLNSKVQIRSYGYSTGECSNNSSGVTIEEAQPRINLNLSLSPPPKPQGFCMNPEWVQPQGQQKERALSARNMNVDGGNRGVCLWCSLGLQSNHACSRKDKGSSDD >Vigun11g129400.1.v1.2 pep primary_assembly:ASM411807v1:11:33705542:33728959:-1 gene:Vigun11g129400.v1.2 transcript:Vigun11g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGRRRDRRDSRAPKKRKLNRNPEDELEAKLGFDLFSEGEKRLGWLLTLASSSVQDENSYKEYSCVDLYFVTQDGSSFKSKFRFRPYFYVATKDKMEMDVEAYLRRRYEARIADIEIIEKEDLDLKNHLSGLRKSYLKLSFDTVQQLMDVKRDLMPSVERNKAKSDTAEAFVSMSTERREHRPQDFLDCLTDLREYDVPYHVRFAIDNDIRCGQWYDVGVSNNGVTLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDLVMMISYMVDGQGYLIINRECVGDDIEDIEYTPKPEFEGCFKVTNVQNEIELLRLWFSHMQEVKPGIYVTYNGDFFDWPFLERRATHLGFKMSDELGFKCDTNQGECRAKYACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVHPEDMVRFAKEKPQMMASYSVSDAVATYYLYTTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFHNNHLLESETYIGGHVECLESGVFRSDIPSSFTLEPSGFQQLINNLDRDLQYAITVEGKMDLESVSNYDEVRNAILEKLVKLRDLPTRDECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPGKTCLRKLEWVWRGETFMAKKSDYYALKTQIESEFVDDSENNKSLHRYSEKRSSKSFLDLPKSEQQSRLKDRLKKYCQKAYKRVLDKPVTEIREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTTDPKKKFTISYPCVMLNVDVAINNTNDQYQTLTDPIRKTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVASEPKGTPVSERAIPVAIFETDAEVMKFYVRKWCKVSSEVAIRSIIDWSYYKQRLSSAIQKIVTIPAAMQKVSNPVPRVAHPDWLHKKVREKEDKFRQRKIVDAFESMNKNRQSKKHNDSNRVSPVMDDEILNELEDFGNKGRSSTYGPRPIIRHYEANNEQNSRKLSDQQDLEQEHSKDNSSKKNRLSPLQQDETYENVDRNVDYQGWLQVKKQMWKNILERRKKQRLEKSKTSNRINGVSEQMNGKRNLGRSHVSSYLKRLEVDLTKCHWQIIQLVPSSLIGQFFAWVVVDGSMHKIPVSVPRVFYLNSRSPITEEFMGKRVNKTLPRGRLNYNLYEVSINEIEYGEVSKKLATLLADPDIEGIYETKVPLEFNAIIQLGCVCKVDKTANKRSLHEPWNLSELHMKTTTECVYLEQSLSFFYLYHSLSEGRAIYVGYFPASKAITVVVVNPYQNKDLSPTFLERQFHDACQALSIEPPPRNDINFKVDYVAHVKDAETVMQRAINGHSHGRENHVPMVAVIECPNVQLIKLGIRALDDFPCLSVPYNARDSQYQILGWQQTAGKVGMQRCAASVQWLNQRIALSRYAHVPLGNFELDWLIFMADIFFSRALRDCQQVLWISDDGLPDLGGINGEQNFFVDEVQQPVLIYPGAYRNVTVELKIHHLAVNALLKYSLVNEMEGGTLLGFGHEHDSGAFSMNDQNGFDESTSCAHAFRVLKQLIQRCLADAVTSGNVYADSILQHLYRWLCSPKSKLHDPALHQLLHKVMQKVFALLLAEFRKLGATIVFANFTKIIIDTGKYDLSRAKAYCDSLLRTIQSRDLFEWIELEPLQFWCSLLFMDQYNYGGIPVKSDEAMNDKSQLDIISSWNIAEYLPKKVQDHFVFIVSQFMYIPWNYAQNQAQIRASFQDGDTCTPSISIGAAAAFESEITEYIKGQISSYFADKLLGIVHDISLHMKEVSRSENNQSISPGPELHRGDAALEFIKHVCAVLALDRSVEHEVLVLRKNLLKYVDVKEFAPEAEFHDPCHSFVLSNVICSYCNDCRDLDLCRDSALLTEEWRCAVPQCGQPYDREVMENALLQTVRQRERLYHLQDVVCIRCHQVKAAHLAEQCACAGSFSCKEDANEFRRKMQIFFNIASRQKFMLLQECTSWILELR >Vigun09g043500.2.v1.2 pep primary_assembly:ASM411807v1:9:4067069:4070606:-1 gene:Vigun09g043500.v1.2 transcript:Vigun09g043500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLGYEPCSHSSRAFFNYSSISSPEANRRLGFTFRACSDANAGDNSKRVFVKEKKKLYGFACLPHPPLLGDFEKGVSVEDRSLGGSPSKPFCFPDQPLLQKLVVAVDVDEVLGNFVSALNEFIADRYSSSHSVSEYHVYEFFKIWNCSRDEANTRVHEFFETSYFKSGIQPIPGAKVALRNLSRFCNLSVVTSRQNVIKDHTIEWIEKHFSGLFQDIHFGNHFALDGVSRPKSDICL >Vigun09g043500.1.v1.2 pep primary_assembly:ASM411807v1:9:4067069:4070606:-1 gene:Vigun09g043500.v1.2 transcript:Vigun09g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLGYEPCSHSSRAFFNYSSISSPEANRRLGFTFRACSDANAGDNSKRVFVKEKKKLYGFACLPHPPLLGDFEKGVSVEDRSLGGSPSKPFCFPDQPLLQKLVVAVDVDEVLGNFVSALNEFIADRYSSSHSVSEYHVYEFFKIWNCSRDEANTRVHEFFETSYFKSGIQPIPGAKVALRNLSRFCNLSVVTSRQNVIKDHTIEWIEKHFSGLFQDIHFGNHFALDGVSRPKSDICRSLNANILIDDNPRYAIECAEAGIRVLLFDYENSYPWAKTDSADQHPLVTKVKNWEEVEQQLMSLIAL >Vigun09g043500.3.v1.2 pep primary_assembly:ASM411807v1:9:4067069:4070606:-1 gene:Vigun09g043500.v1.2 transcript:Vigun09g043500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLGYEPCSHSSRAFFNYSSISSPEANRRLGFTFRACSDANAGDNSKRVFVKEKKKLYGFACLPHPPLLGDFEKGVSVEDRSLGGSPSKPFCFPDQPLLQKLVVAVDVDEVLGNFVSALNEFIADRYSSSHSVSEYHVYEFFKIWNCSRDEANTRVHEFFETSYFKSGIQPIPGAKVALRNLSRFCNLSVVTYDISAKCDQGSHN >Vigun08g204600.1.v1.2 pep primary_assembly:ASM411807v1:8:36822263:36826548:-1 gene:Vigun08g204600.v1.2 transcript:Vigun08g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQTSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILARYQLAEFELCVLGNLCPETVEEAIAMVPSIKTRGRAQDDEAIEKMLNDLSLIKKFE >Vigun05g100200.1.v1.2 pep primary_assembly:ASM411807v1:5:9834866:9838178:1 gene:Vigun05g100200.v1.2 transcript:Vigun05g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVLSECGMKPLAHVFPRPRTGAVLSSSSKVGFSDTNKSVADLKFQPLRCNLRDRNWGLKVSTPLRVPSTEEEDQTNVVDLMNGTNGVDHEKRPEFDPGAAPPFNLADIRAAIPKHCWVKDPWKSMSYVVRDVIVVLGLAAGAAYLNNWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSERVFRSLDTATRMLRFTAPFPLLAYPVYLWQRSPGKTGSHFDPSSDLFLPNERKDVLTSTASWAAMLGLLVGLGFVMGPIQLLKLYGVPYVLFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWLNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVFGEYYKEPKKSAPLPFHLLGDLIRSLKTDHFVSDTGDVVYYQTDSQINGSAKSE >Vigun07g121050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22377562:22377870:-1 gene:Vigun07g121050.v1.2 transcript:Vigun07g121050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTSSLAFESTKAFTTRIMGYVISIILVYDSDTPPVSTLRENWYQFSTNTHLLRTNSRQSILFYLLLNPISSAKNTNTLASAYDVCPSTVRLPSWASFAKA >Vigun03g050000.2.v1.2 pep primary_assembly:ASM411807v1:3:4004136:4014134:-1 gene:Vigun03g050000.v1.2 transcript:Vigun03g050000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPRGKTVVPKSANEVKLINSGKILENNKTVGQCKVPFGEIAGSVIIMHVVVQPSLAKTKAEKKVDDSPKKVVCSCSIL >Vigun03g050000.1.v1.2 pep primary_assembly:ASM411807v1:3:4004136:4014427:-1 gene:Vigun03g050000.v1.2 transcript:Vigun03g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPRGKTVVPKSANEVKLINSGKILENNKTVGQCKVPFGEIAGSVIIMHVVVQPSLAKTKAEKKVDDSPKKVVCSCSIL >Vigun11g153100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36268771:36269568:1 gene:Vigun11g153100.v1.2 transcript:Vigun11g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKSTVGDKAPAEKKPKAGKKLPKEGGAGGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun01g021500.1.v1.2 pep primary_assembly:ASM411807v1:1:2264500:2266061:1 gene:Vigun01g021500.v1.2 transcript:Vigun01g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTIFLSPSAILSTTTTTTTPPSPCKSLLPFKQPLTNLTAAATIAAILTTAPLPSLAQEFPSYQVYYGTAASAANYGGYGGNSNKKDSAEYVYDVPAGWKERLVSKVEKGTNGTDSEFYNPKKKAEKEYLTFLAGFRQLAPKDVILNNLALSDVDLQDLIANADSLSSEEVKDDKGQLYYVYEIDGVGTHSLISVTCAKNKLYAHFVNAPTPEWNRDKDMLRHVHESFKTVGSF >Vigun05g042600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3489627:3491360:1 gene:Vigun05g042600.v1.2 transcript:Vigun05g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPTTTINNTTPSATTKSPEHDTETPTRINTPTATKALSFSNGVLKRHHHHHHHPVPAAVTYKECLKNHAANLGGHALDGCGEFMPSPTATAADPSSIKCAACGCHRNFHRREPDEPPIASTTHVIEYQPHHRHHPPPPFQSAAAANRSPNSASPPPISSSYYPSAPHMLLALSAGLAAPPESTAAPSTALTRKRFRTKFSQEQKEKMHKFAEKVGWKMQKRDEDLIHEFCNEVGVDRSVLKVWMHNNKNTLAKKDNVNITSNDDLTNNINDNDANGGAKGFEDHNTNIGGGGAEDRAEDPSRNHFGGVNVGANGSSSTS >Vigun05g042600.2.v1.2 pep primary_assembly:ASM411807v1:5:3489573:3491360:1 gene:Vigun05g042600.v1.2 transcript:Vigun05g042600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPTTTINNTTPSATTKSPEHDTETPTRINTPTATKALSFSNGVLKRHHHHHHHPVPAAVTYKECLKNHAANLGGHALDGCGEFMPSPTATAADPSSIKCAACGCHRNFHRREPDEPPIASTTHVIEYQPHHRHHPPPPFQSAAAANRSPNSASPPPISSSYYPSAPHMLLALSAGLAAPPESTAAPSTALTRKRFRTKFSQEQKEKMHKFAEKVGWKMQKRDEDLIHEFCNEVGVDRSVLKGFEDHNTNIGGGGAEDRAEDPSRNHFGGVNVGANGSSSTS >Vigun09g221800.1.v1.2 pep primary_assembly:ASM411807v1:9:39507827:39513503:-1 gene:Vigun09g221800.v1.2 transcript:Vigun09g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGISPSLLSFKSFTFHHSSSSNLFFPLSFIIPSLSPSHLRHRSIHRHSPIMAAASSNSERARAPPAIPMPSPPPSKFKIGLCQLSVTPDKDRNIAHARTAIQDAASKGAQLVLLPEIWNSPYSNDSFPVYAEDIDAGADASPSTAMLSELSRLLKITIVGGSIPERSGDRLYNTCCVFDTDGKLLAKHRKIHLFDIDIPGEITFIESKTLTAGETPTIVDTEVGRIGIGICYDIRFPELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARETGSGYVAWGHSTLVGPFGEVLATTEHEEAIIIAEIDYSITEQRRKNLPITTQRRGDLYQLVDFQRLNSQ >Vigun11g133400.2.v1.2 pep primary_assembly:ASM411807v1:11:34282549:34284504:1 gene:Vigun11g133400.v1.2 transcript:Vigun11g133400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVIFLNERPQNQSKTNNMVGGGKNNSHLCEICRRNLLDPFRFCSLGCKVVGTKKNGNASFVLSAKKDEEIGRMHEGMRRRLPSKEELREGIHKQVYQNTSSNSHIRPTLQHGCSNSRRRKGVPHRAPLGP >Vigun11g133400.1.v1.2 pep primary_assembly:ASM411807v1:11:34282549:34284504:1 gene:Vigun11g133400.v1.2 transcript:Vigun11g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLVPPPWLEQLLSTTFFTMCETHINTPKNECNMYCLDCKDQSFCFYCKQSWHKDHQVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVIFLNERPQNQSKTNNMVGGGKNNSHLCEICRRNLLDPFRFCSLGCKVVGTKKNGNASFVLSAKKDEEIGRMHEGMRRRLPSKEELREGIHKQVYQNTSSNSHIRPTLQHGCSNSRRRKGVPHRAPLGP >Vigun11g133400.3.v1.2 pep primary_assembly:ASM411807v1:11:34283100:34284504:1 gene:Vigun11g133400.v1.2 transcript:Vigun11g133400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVIFLNERPQNQSKTNNMVGGGKNNSHLCEICRRNLLDPFRFCSLGCKVVGTKKNGNASFVLSAKKDEEIGRMHEGMRRRLPSKEELREGIHKQVYQNTSSNSHIRPTLQHGCSNSRRRKGVPHRAPLGP >Vigun07g117900.1.v1.2 pep primary_assembly:ASM411807v1:7:21848572:21851132:-1 gene:Vigun07g117900.v1.2 transcript:Vigun07g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDSQDPKQNPADMTAFVQNLLQQMQNRFQTMSDSIVTKIDDMGNRINELEQSINDLRAEMGVESSPSPVPTAKPTEEETKEGGSA >Vigun03g226500.2.v1.2 pep primary_assembly:ASM411807v1:3:37694898:37697533:-1 gene:Vigun03g226500.v1.2 transcript:Vigun03g226500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSEKKWNFDGKQKQKGSSVSVRGAYNMLMEKVKNSGDKKPLIRLCRVDPTDNPLYRTSLDASQAVATAVHSYNYNSYSPTVGLPDAKRAIANYLSADLPYQLSPENVFITVGGTQAVDIILPVLARPGANILLPRPGYPQYDSRASCCNLEVRHFDLLPERGWEVDLDSLEAVADHKTVAMVLINPSNPCGNVFTYQHLKRVAEVARKLGIFVVSDEVYAHITYGSNPFVPMGVFSSIVPVITIGSLSKRWLVPGWRIGWIATCDPHGIFHKTGLVKNMIDNLEISTDLPTILQAAIPDIIGKTKDDFFVKNLSILRETANKFYDLCKEIPCLTCPNKPEGAMSVLVKINFSQIKDIIDDMDFCAKLAEEESVILLPELGSN >Vigun03g226500.1.v1.2 pep primary_assembly:ASM411807v1:3:37694898:37697533:-1 gene:Vigun03g226500.v1.2 transcript:Vigun03g226500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSEKKWNFDGKQKQKGSSVSVRGAYNMLMEKVKNSGDKKPLIRLCRVDPTDNPLYRTSLDASQAVATAVHSYNYNSYSPTVGLPDAKRAIANYLSADLPYQLSPENVFITVGGTQAVDIILPVLARPGANILLPRPGYPQYDSRASCCNLEVRHFDLLPERGWEVDLDSLEAVADHKTVAMVLINPSNPCGNVFTYQHLKRVAEVARKLGIFVVSDEVYAHITYGSNPFVPMGVFSSIVPVITIGSLSKRWLVPGWRIGWIATCDPHGIFHKTGLVKNMIDNLEISTDLPTILQAAIPDIIGKTKDDFFVKNLSILRETANKFYDLCKEIPCLTCPNKPEGAMSVLVKINFSQIKDIIDDMDFCAKLAEEESVILLPGVMVGLKNWVRISFAVDPSDIVDGFSRIKAFCLRYAKMS >Vigun05g164200.1.v1.2 pep primary_assembly:ASM411807v1:5:26756207:26759042:-1 gene:Vigun05g164200.v1.2 transcript:Vigun05g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQFVPSSSHAPRWLQVLLTEKFYNACIIHEEAKKNEKNVYCLDCCISLCPHCLSPHRSHRLLQIRRYVYHDVVRLDDAAKLIDCTSVQSYTTNSAKVVFLNQRPQTRNFRGSGNFCSTCDRSLQDPYHFCSLSCKINYLVRTTGSLSGYLFECNYLPLPESGLDDGLMTPDSVLEPAGSARTSSGSGGYGGVDCRTTLACTATTEIVRKKRTGGSGFRPPCRPACSPVSEISSGLMNRRKGNPQRAPLY >Vigun05g164200.2.v1.2 pep primary_assembly:ASM411807v1:5:26756207:26759042:-1 gene:Vigun05g164200.v1.2 transcript:Vigun05g164200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQFVPSSSHAPRWLQVLLTEKFYNACIIHEEAKKNEKNVYCLDCCISLCPHCLSPHRSHRLLQIRRYVYHDVVRLDDAAKLIDCTSVQSYTTNSAKVVFLNQRPQTRNFRGSGNFCSTCDRSLQDPYHFCSLSCKINYLVRTTGSLSGYLFECNYLPLPESGLDDGLMTPDSVLEPAGSARTSSGSGGYGGVDCRTTLACTATTEIVRKKRTGGSGFRPPCRPACSPVSEISSGLMNRRKGNPQRAPLY >Vigun03g445500.1.v1.2 pep primary_assembly:ASM411807v1:3:64727723:64731199:-1 gene:Vigun03g445500.v1.2 transcript:Vigun03g445500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKLLLLIHILFLSFYALAEAEPLHSLLKDQDEEEEKLPFVGVNIGTDVSNLPPASDLVAFLQLQKITHVRIYDANPDILKALSGTKIRAVISVPNNQLLAIGSSNATAASWIDRNVVAYYPQTLIAGISVGDEVLTTVPSSAPLILPAVESLYNALVASNLHQQIKVSTPHAASIILDPFPPSQAYFNETLVSVILPLLQFLSRTGSPLMMNLYPYYVFMQNKGVVPLDNALFKPLTPNKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTETGWPAKGDSKEPYATKDNADTYNSNLIRHVFDRSGSPLHPETTSSVFIYELFNEDLRAPPVSEANWGLFYGNSTPAYLLHVSGIGTFLANDTTNQTYCIAMDGFDSKTLQAALDWACGPGRANCSEIQPGESCYQPNTVKNHASYAFDSYYQKQGKTQGTCDFNGVAMITTTDPSHGSCIFPGSKKVSNKTKEVVNSTVSSSAGEKFRLKTFNSIKISAIHNVLHIFLAASLPTLLLVLL >Vigun02g070300.1.v1.2 pep primary_assembly:ASM411807v1:2:22091295:22094381:-1 gene:Vigun02g070300.v1.2 transcript:Vigun02g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVLVVSLVVLQGFVIPTCYCENNNPQNIETFYPIQTSEPPLPIQPSQPQASPPSSATKASSSNSKVGTAVAATAASTLVVSGLVFFLVRRCFRTRKRKEATKNTASAVERHHVAPQVEVFQRMEGNVKGLIVDEDGLDVVYWRKLEGKKIPQKDFQREVLDGHKGKEDDDHEGNPGKRNESIQETPLLRDKSSTSHMNIFLPEESYTIMRIPPPAPPPTVPSSLGGSPTQPSSPSFTPSSPKPISTFFSSIPNITNSATPLAPPKVPDKNNEAPIPAPAPPPPPPIPKNMNSAVAAPPPPPPPPIPPRKSPAPPPPPPKANGLKSSSKPPPNPIERTTGTTSKQGETSPEVKLKPLHWDKVNTNLDHSMVWDKMDRGSFRVDDDLMEALFGVVATNRNDNAPKENNSTSSSKDALAPSSNAFLLDPRKSQNIAIVLKSLTVSRKEIIEALIDGQGLNIETIEKLGRVAPTEEEQSLVLAYEGDPSKLAEAETFLRHILKAVPSAFKRLNALLFRLNYDSEILEIKEFLQNLEMGCKELRNHGIFVKLLEAVLKAGNRMNAGTQRGNAQAFNMASLRKLSDVKSTDGKTTLLHFVVEEVVRSEGKRAVLNRSHSLSRSSSRNSNYSDDSQNSSASNEQRQKEYITLGLPVVGGISSEFSNVKKAAVTDYNAFVGSISALSARIVQIRELVSQCGNDKGGNFVREMNRFLENAEEELRLVREEQTRVMQIVKKTTDYYQGGASKDSAEHPLYLFVIVKDFLGMVDQACIEIARNMQKRKTPKVN >Vigun08g063100.1.v1.2 pep primary_assembly:ASM411807v1:8:8674667:8677026:1 gene:Vigun08g063100.v1.2 transcript:Vigun08g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKRKPAQPAPAAAAPVRVTRAAAKRSALATSDPPPEEKKAKKAKKAKASSGGRKKKNEKEESAPVKADKEEEIEEDEEEGASSKTIVVEHCKQCNQFKMRANLVKERLEKADCGVNVILNPEKPRRGCFEIREEGGKKFISLLDMKRPFKPMRDLDMDQVISDIIDEISNT >Vigun06g193800.1.v1.2 pep primary_assembly:ASM411807v1:6:31033748:31036949:1 gene:Vigun06g193800.v1.2 transcript:Vigun06g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPKIYLFGDSITEDSFSPGGWGASLTHHFSRTADVVLRGYSGYNTRWALKVLERVFPASQGGDGGTEAAPIAVTVFFGANDACLPDRCSAFQHVPLHEYKRNLHSIVSFFKKRWPTTLVLLITPPPIDEELRCRHPYVENPQGLSERTNEAAGEYARACIAVAGECGIPVVDLWNKMQHRKKDYLSDGLHLTESGNEVVFEEVIKKLRDEGLSLESIAVDLPLIADIDPNEPLKAFLE >Vigun10g181400.12.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVMDF >Vigun10g181400.1.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSIEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.3.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.11.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVVLFLGFSL >Vigun10g181400.7.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSINTILFSEHSKHLGSGNGCGNI >Vigun10g181400.5.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.8.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVDGYDLGVDDSYRSLPWLYLMFLSIWFVAACSWTVYTYKTRHTQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGKWMR >Vigun10g181400.14.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903197:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLEQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGKWMR >Vigun10g181400.10.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSINTILFSEHSKHLGSGNGCGNI >Vigun10g181400.4.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSIEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.6.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903197:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLEQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSIEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.13.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSINTILFSEHSKHLGSGNGCGNI >Vigun10g181400.9.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903197:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLEQWNNLQWTLASVPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun10g181400.15.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903749:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGKWMR >Vigun10g181400.2.v1.2 pep primary_assembly:ASM411807v1:10:39898651:39903854:-1 gene:Vigun10g181400.v1.2 transcript:Vigun10g181400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVYVTGVLFQTAAFVCFLLISHGYCILCERLSLHERRSTATLACIFYLTLIGYKACVPYFSVLLLLNYFFTFYIIFHHISQNLVVLREQLSLIENEDIQQMYDAVYKKYTIFKKFQGAMQIVATAETVIYMNMNDSSENYWLRLLLREWAQFCIFMYIGWIFRSHDLAPNFSVMPITKCKGDTLVPPIYSIEMDAVTFKEFRSHEWHIGVPTSASHVESSKSDVLVIIQHPRAHRLRNLNTFSHSALNTNSSPCLNVTNAAT >Vigun06g040400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16080846:16081723:1 gene:Vigun06g040400.v1.2 transcript:Vigun06g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLINQCNYTVWPALSSNVSLSTTGFVLPSGENSSVNVPVNWVGRIWGRTLCTTDSVTRKFSCATGDCSSGKIACNGRQGSPPNTLVEFSLDINDTDYYDVSLVDGFNVPVIVVPISSYGATVSRAGHK >Vigun05g153500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24441684:24442844:-1 gene:Vigun05g153500.v1.2 transcript:Vigun05g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQCSPVVPQELMVEILSRVPVKDLMRLRCISKWLNTLVFDPTFVKLHLQKSSKNTHILLTFRDYENDESRYCAAPCSLQNLLHNPSSTVDVCHRFNHDYTILGVCNGLVCLQDSYRGDEFEEYWVRFWNPSTRLMGEDSPHIRIRFGDHNYPYLFMFGFGYDDWSDRYQVVFLDNKLQKMEVRVYYLGDSCWRNTLTCDAFPALSVHGAYVCGHLNWLALPKCGPGYRWGTVTINELEIFSYDLKNEKCSYLSMPDGLSEVPPPDVPVLEVLKGCLCLSHHQGTCFVVWIMRKFGVAKSWTLLLNVSYEDLHIRVLHGLPALPVILCLSQNDDVMLLASYDSAEFILYNKKDNSIDGRELFNDDKFFFCSYDFVQSLVLPYRN >Vigun07g018400.1.v1.2 pep primary_assembly:ASM411807v1:7:1510894:1514580:-1 gene:Vigun07g018400.v1.2 transcript:Vigun07g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMRWRPWPPLVSKKYEVRLVVKTLTLQGCDLVRPGADKGLVLQIKWKGPKLTLSSLRRNAIARNFTREAQPQPNDVVFWDEEFHTLCTLSAYKDNAFHPWEIAFSLFNGLNQRSKTKVPVVGTATLNLAEFASVVDQKDFDLNIPITVSGGAAESSPLLSISISLVELRAAQESTEIVHKSIVPVPSSPLVQPGETTLAEKDELSTFKAGLRKVKIFTEFVSVRKAKKAGHEEEGSEGNFSGRSDDGEYNYPFDSDSLDDFEEGESDEVKEDSSVRKSFSYGKLAYANAGGAFYSSMRVNGEDEDWVYYSNHRSDVGISHKDDSTVSATEPSVLQSSRRSILPWRKRKLSFRSPKSKGEPLLKKAYGEEGGDDIDYDRRQLSSDESLSLGKTEDDSGANRSSVSEFGDDNFAVGSWEQKEVLSRDGHMKLQTQVFFASIDQRSERAAGESACTALVAVIADWFQNNHDLMPIKSQFDSLIRDGSLEWRNLCENQTYRERFPDKHFDLETVIQAKIRPLSVVPGKSFIGFFHPEGMDEGRFDFLHGAMSFDNIWDEISRAGQECTSNDEPQIYIISWNDHFFILKVEADAYCIIDTLGERLYEGCNHAYILKFDSNTVIYKMQDVAQGSGEKPANDLQTVAEVLEQNDRQIQPISGKEVDSVVDTEEQVKNDQEEEVVCRGKEACKEYIKSFLAAIPIRELQTDVKKGLISSTQTPFHHRLQIEFHYTQLLPSYVAPPVAEASMTMPDTLALAVTEAEASMTMPDTLALAVTEVSA >Vigun02g037700.1.v1.2 pep primary_assembly:ASM411807v1:2:15734797:15750080:-1 gene:Vigun02g037700.v1.2 transcript:Vigun02g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTLTLTLPLLSIIFSTTTSTNLPRSFSFPYGLSYRIDCGSPTNTTDPFNTTWLSDRYFSGGATGIVSEPLHFHHAHEKTLRFFPISSGKKNCYAIPSLPPSRYLLRTFVVYDNYDGRSHPPSFDVAVASTVVFSWRSPWPLSLAREGAYADLFATILSSEALICFYSLATDPPVISSIELFAADLASYDAAAIADNGTVLVNYGRLSCGSDQWGPGFSNDSDLFGRSWQSDKEFRTGRSKVVAISTRNSISGAEQKPNYFPEKLYQTAVMTATTAEERGGVLEYELSVDAKLDYLVWLHFAEIEGRVRRVGGRVFDVYINGDNLTRVDIYKQVGGFAAFTWHHTVKNLSSSLLSVKLVGVVGAPLICGIENYALVPSDPSTVPQQVDAMKALKDSLRVPERMGWNGDPCAPTNWDAWEGVTCRMSKDNTALVISQIDLGSQGLKGFISDQISLLSDLVSLNLSSNLLTGEIPSGLGQKSLIHLDLSNNQLTGSIPDSIGASSSLQLVLLNGNLLEGQVPEQLYSIGVHGGAIDLSGNKGLCGVPSLPSCPMFWKNGKLSTQGKIAIGVSCSFVFCAILLLVYICIRRRRNDYDFALPHELTSLAAKRNRYQRQKSLMVLEMESQHAKGPSHFTTQ >Vigun03g147100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15089212:15090110:1 gene:Vigun03g147100.v1.2 transcript:Vigun03g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFFSNDSGNVQGNEGYANNYGDGQQNIMFNPKLSPSSRSKRKTNRNNPIISPSSRSKPQTNRNNPIISPSSRPKRCRNNPITAPSSLLEGQTSGNNPINSLSSLPNRKTIKNNPIIAHIFFDRKPGPTRTRES >VigunL015850.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:860067:861555:1 gene:VigunL015850.v1.2 transcript:VigunL015850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRMISWSSAGTCGFKAGYAIRTVSNQGMQRAEIMIKGLGLGRDASLRAIRRSEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGIEESVHEIFMNLKKIVLKSNLYGTQGAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDESYEIDARFTPVRNINYSIHSYVNGNENKEILFLEIWTNESLTPKEALHEASQNLIDLFLPFLHAEKDNFHLEKNQDKIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun05g289100.1.v1.2 pep primary_assembly:ASM411807v1:5:47576443:47584225:-1 gene:Vigun05g289100.v1.2 transcript:Vigun05g289100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNPTKGTSSFQVSRSSIWRDGSGVFSRNSFEEDDEEALKWAALEKLPTYNRLKKGLLITSNGEVKEIDVTDIGTQERKHVLERLVRDAEEDNEKFLLKLRERIDRVGISFPTIEARFEHLNVEAEAYVGSRALPTFFNFIVNTVESYLNYMHILSSKKKHVNILKDVSGIVKPCRMTLLLGPPSSGKTTLLLALAGKLDPDLKVSGRVTYNGHEMNEFVPQRTSAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDLLSELSRREIAANIKPDPIVDIYMKATASKSQEANQMMTEYILKILGLEMCADIVVGDEMLRGISGGQRKRVTTGEMLVGPTNALFMDEISSGLDSSTTVQIIKCLRQIVHILDGTAVISLLQPEPETYELFDDIILLSNGQIVYQGPREFVLEFFESMGFRCPERKAVADFLQEVTSRKDQQQYWIHEDEPYSFVTVNEFAKAFQCFHVGRELRDELAIQFDKTKNHPAALTTKKYGVDMKELLKANFSREYLLMKRNAFVHIFKLSQLAVMAVIAMTVFLRTEMHKGSVDNGGVYTGALFFSIVMVLFNGMADISMNVAKLPIFYKQRDLLFYPAWAYAIPNWVLKIPITLAEVVVWVSITYYVIGFDPSVGRFFKQYLLLLLLGQMASALFRTIAATGRNMIIANTFGSFAIVTLLTLGGFILSREDVKKWWTWGYWISPIMYEQNAMMVNEFLGQSWSHVLPNSTESLGIEVLKSRGFFTHASWYWIGVGALLGFVALLNITFTLALTFLNPLEKPQAIILKESHGNKHEDKTLQDIGLPLKLPGNGPSSSIETVRPKEVAEPSHGRKRGMILPFEPHSLTFDGITYSVDMPQEMKNHGVIEDRLVLLKGVSGAFRPGILTALMGVSGAGKTTLLDVLAGRKSGGYIEGTITVSGYPKRQETYARISGYCEQNDIHSPNVTVYESLLYSAWLRLSPEVNSETRKMFIEEVMELVELNLLREALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFEAFDELFLLKRGGQEIYVGPLGRHSNELIEYFERIEGVGKIKDGHNPAAWMLEITTSAREKDLNIDFADIYKNSELYRRNKAHVEELSKPASGFKELHFSSQYAQPFFIQCKACLWKQHWSYWRNPPYTAVRFLFTAFVALMFGTMFWDLGSKTRRKQDLFNAIGSMYNAILFLGVQNAFSVQPVVAIERTVFYRERAAGMYSAIPYAFAQVVIEIPYTFVQAVTYGIIVYAMIGFEWTASKFFWYLFFMYFTFLYFTFYGMMTVAVTPNQHIASIVATSFYGLWNLFSGFVVPQPNIPVWWRWYYWACPVAWTLYGLAASQFGDVTSTVEANETVKEFLRRYFGYREDFVGVAAGVVVGFAVLFATIFALSVKVFNFERR >Vigun03g202600.1.v1.2 pep primary_assembly:ASM411807v1:3:32234456:32258945:-1 gene:Vigun03g202600.v1.2 transcript:Vigun03g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALIVSGIRIDTSWLPFDSTSSTRKTEKLLFSLDDLKAALRRRGPDSVCAKKLLLQSEENLISSFTDDGVSSSERDNADGIHTAKLHFFGATLQLRGINPLVQPLVDASGNFLVYNGEIFGGFDLESNCNDTEFLMRTLGECCSCGSCSAGHCVECGKSSVVGVLCTIKGPWAIIYWQDSSRTLWFGRDGFGRRSLLVHWPTEDDSTFLLSSVSPVSPVQLATEDETLNRIGCFGYWEELPCGIYSLHVDVSKSSGYLVAQVKMHEYANSMLTELIKWDRISVEPSSEDLKTCSHKLSKGQLSMHLASSESVPNETGSVQSSIPGPAHILLDALKESVLRRTSLYTVYQAVTSGIRQEEFVPVAILFSGGLDSMILAALLDKCLDPNYEIDLLNVSFDGHLAPDRQSAKAGLSELRRVAPSRKWRLVEIDADLSDLVFETSHVVSLINPANTYMDLNIGMALWLASGGNGWVSDANISDNDHARIKYKSNAKILLVGSGADEQCAGYGRHRTSYRRGSWLGLHEEMRLDMQRIWRRNLGRDDRCIADNGKEARFPFLDEDVIRLLLNMPLWEVANLDQPIGVGDKKILREVAKLLGLYEAAVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVRINNRKSSFC >Vigun05g093700.1.v1.2 pep primary_assembly:ASM411807v1:5:9064308:9065312:-1 gene:Vigun05g093700.v1.2 transcript:Vigun05g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFFFFFLLFTPFIFTTQQDTHDFVRTLDRKMFGLDQNQEKFSHFRFYWHDVVSGRNPSSIEVVSSGLKNSTTSFGAVNMIENPLTLEPQLNSQLVGMAQGFYASTSQSEVTLLMAMNFAITEGKYNGSSFTILGRNPVFNKKREMPVIGGSGLFRFARGYAQLRTHWFSPATRDAIVEYTVYLLHY >Vigun03g133550.1.v1.2 pep primary_assembly:ASM411807v1:3:13091382:13092828:-1 gene:Vigun03g133550.v1.2 transcript:Vigun03g133550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVISSFSCFFLLSAFLVLHFMVKVSGNQEGDALSAWKGNMIDPNNVLQTWNSTHVNPCKWDHVTCNNDSSVTRVDLGNANLSGELAAQLGQLSNLQYLELFSNNITGKIPTELGSLTNLASPQ >Vigun05g022300.1.v1.2 pep primary_assembly:ASM411807v1:5:1863666:1868985:-1 gene:Vigun05g022300.v1.2 transcript:Vigun05g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKHDHYWNEVDEEKDGKLKCKKCGHKFKGGVSRIEAHIKGTGGIRKCSPPLNDTTSSNHSEQHMNAVIDTSPAGERTEEMTDGRSINHGGVQLSSLGGVVEGDQGMVEMVGGAANPENSPSLTLLSEGLDAENGHGAVTTLESDCDELISDLTSKEEDIQGQLQLMESRGKKRKGKVDGWLKELQDMKQRAIEVKTTLNNEMYLVEELQEQMYLVEELKKKIPHLNNEMPLVLSNEFVGREFEENVEKMWDLLREDKVFIIGIHGMGGVGKTFLATYMESEIKRTKTFKDVVWVTVSHDFTIFKLQQHIAEILKIKLYGDDERERAVILASELEKRENIVLILDDVWKYIDMEKVGIPLRIKGNKLIITSRLRHVCRQMDCLPSNMIGVMPFNYGNIFDDDHFELFLLKLGDHGTPSTLPSQVLGIARSMVGKCEGLPLGISVMARTMKGETRIHWWRHALNKLDKLEMGVEMQEEVLTVLRRSYDNLTEKDVQKCFLYSALLPNPLRRDLLIMNRVNMVLLNGKRRLEEIFDEANVIVDKLINHSLLLEDLEDNSELTMHALVRKMALNIIKENGSNLMVKCDESMEKIPDIEE >Vigun02g133800.1.v1.2 pep primary_assembly:ASM411807v1:2:28420641:28425110:1 gene:Vigun02g133800.v1.2 transcript:Vigun02g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDLDNVSTASGEASVSSSGNQTVPPKPTTKKKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARSQPQTAAKASPESDSKAVTGDSSPPAAAAAATPPPPSAPPASSKSNSVVVSSSALQTPNPELPENSPQVIEEPQANPAVSGSCSSSSTSTSTTSSTSNSNGGASSSVFASLFASSTATASATASLQSQTPAFTDLIRAMGHPDHPADLSRPSSSEPISLCLATNHGSSIFGTGLQECRQYAPPPQPAMSATALLQKAAQMGAAATNTSFLRGLGIVSSASTSSGQQDSLQWGQQPGEPEGASVPAGLGLGLPCDGSSGLKELMMGTPSVFGPKQTTLDFLGLGMAAGGNPGGGLSALITSIGGSLDVTAAAAAAASFGNGEFPGKDIGRST >Vigun11g043100.2.v1.2 pep primary_assembly:ASM411807v1:11:6231715:6269198:1 gene:Vigun11g043100.v1.2 transcript:Vigun11g043100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMLHGLACALVVLLFCHFSCFVSFAQVQSANATTDPSEARVINSIFSRWRIYANTTVWNISGEVCSGSAIDNTSTAESYNPFIRCDRSFNAGTTCHITSLRVYALDVSGEIPEELWTLTYLTNLNLGQNFLSGSLPPAIGNLTRMQYLSLGINNLTGELPKELGNLSQLIVLSFSTNRFSGSLPLELGNLTKLEQLYFDSSGISGPIPSTFENLKNLVAVWASDMEFGGQIPDFIGNWTKLQVLRLQGNSFNGSIPSSFSKLTSLIELRISDISNGSSSLQFIRNMKSLDTLVLRNNNIFGSIPSFIGEFHNLTQLDLSFNNISGQIPESTFNLSSLSYVFLGNNMLSGSLPRQKSSSLQNIDLSYNNLSNNLPSWVNEPNLQLNLVANNFTIEGSNISGLPSGLNCLQKSFPCNSGVGRYYEFAIKCGGPQTISTDGTVYEADKATLGAATYFVTDTNRWAVSNVGIYTGSNNPTNEIPVSSDIFQAAQSARHSPSSLRYYGLGLQNGFYNITLSFAETTILSNTRTWRSLGRRVFDIYIQGTLVLEDFDIVKKTEETGGSSYTDQFRFEVFQNYLEIHLFWAGKGTCCIPAQGTYGPLIQAILVKPDFPPIVNNGPTSSKKDSTGLIVGIVVAAVISFLSIFVFFYIIRRRNRQNDDEDLLGIDTKPYTFTYSELKNATNDFSLENKLGEGGFGPVHKGTLNDGRVIAVKRLSIGSNQGKSQFVNEIATISAVQHRNLVKLYGCCIQGREKLIVYEYLANKSLDKALFGNRLTLDWSTRYDICLGVARGLTYLHEESRLRIIHRDVKASNILLDNELVPKISDFGLAKLYDDKMTHMSTRVAGTIGYLAPEYAMRGHLTEKADVFSFGIVALEIVSGRPNSYSSTEEEKVYLLEWAWQLHESNRISDLVDPRLVEFDEEEVKRIVGIVLLCTQASPTLRPSMSRAVAMLSGDIEVNIVTTKPGYLTDWDFEDVTSFMTTNITTKGSYTSCENSPSSTTTLGRVDRSPISASKPILYN >Vigun11g043100.1.v1.2 pep primary_assembly:ASM411807v1:11:6252174:6269196:1 gene:Vigun11g043100.v1.2 transcript:Vigun11g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGQIPDFIGNWTKLQVFEFCINRRLQGNSFNGSIPSSFSKLTSLIELRISDISNGSSSLQFIRNMKSLDTLVLRNNNIFGSIPSFIGEFHNLTQLDLSFNNISGQIPESTFNLSSLSYVFLGNNMLSGSLPRQKSSSLQNIDLSYNNLSNNLPSWVNEPNLQLNLVANNFTIEGSNISGLPSGLNCLQKSFPCNSGVGRYYEFAIKCGGPQTISTDGTVYEADKATLGAATYFVTDTNRWAVSNVGIYTGSNNPTNEIPVSSDIFQAAQSARHSPSSLRYYGLGLQNGFYNITLSFAETTILSNTRTWRSLGRRVFDIYIQGTLVLEDFDIVKKTEETGGSSYTDQFRFEVFQNYLEIHLFWAGKGTCCIPAQGTYGPLIQAILVKPDFPPIVNNGPTSSKKDSTGLIVGIVVAAVISFLSIFVFFYIIRRRNRQNDDEDLLGIDTKPYTFTYSELKNATNDFSLENKLGEGGFGPVHKGTLNDGRVIAVKRLSIGSNQGKSQFVNEIATISAVQHRNLVKLYGCCIQGREKLIVYEYLANKSLDKALFGNRLTLDWSTRYDICLGVARGLTYLHEESRLRIIHRDVKASNILLDNELVPKISDFGLAKLYDDKMTHMSTRVAGTIGYLAPEYAMRGHLTEKADVFSFGIVALEIVSGRPNSYSSTEEEKVYLLEWAWQLHESNRISDLVDPRLVEFDEEEVKRIVGIVLLCTQASPTLRPSMSRAVAMLSGDIEVNIVTTKPGYLTDWDFEDVTSFMTTNITTKGSYTSCENSPSSTTTLGRVDRSPISASKPILYN >Vigun02g140700.1.v1.2 pep primary_assembly:ASM411807v1:2:28947075:28951590:1 gene:Vigun02g140700.v1.2 transcript:Vigun02g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFEGGAVGALMGEVLKGAISTIKKGLAFEPTLESNIETLNSLAPLVEEIKKYNMLLDRPREEIERLESHMRAGEELVRKCSKLGRWRMWSFPYYQSKLQSKDGALQKHLSVNVSVQNRRDLMEVVDGMRKVLEILLNGEFGRYSGYQLMGLCGAPQEPACMGMEVPLSKLRIELLKDSVSVLVLTGLGGSGKSTLAKKICWDPQVIGKFGKNIFFVTVSKTPNLKTIVETLFEHCGCRVPKFQTDEDVVNRLEVLLRVLGKHPILLVLDDVWPGSEDLVEKFKIKIPDYKILVTSRVSFPRFGTSYQLDKLDHVHAESLFRHIALKDKSSYIPEKNLVDEIVKGCKGSPLALKVIAGSLCNQPFEVWQNMKERLQRESILESDTDLLFRLQQSLDILETKFSINEKECFMDLGLFPEDQRIPVAALIDMWAELYNLNEDGSNAMSIIHDLITRNLINVIVTRKVAKDTDMYYNNHFVLVHDLLRELAIHLSKEKTFEQRERVMIELNGDNRPEWWVRLNPLGIIGRSFSYILGMLYTQKQPKVAARILSISTDETFTSDWCDMQPDEAEVLVLNLLSSQYSLPEFTEKMHKLKVLIVTNYGFHRSELNMFERLGSLTNLKRIRLEKVSIPPLCILKNLRKLSIHMCNTCHAFDTYSISDAMPNLVEMSIDYCKDLVKFPDGLCNITPLKKLSITNCHSLSALPQDLAKLENLEVLRLCSCSDLVGMPDSVKGLDKLSCLDISDCLNLTKLPDDIGELKLEKLYLKGCSQLRELPHSVVKFENLKHKIHVICDEEMVASLWENLTIRNLKIEISTVEVNLNWLPGVHS >Vigun02g140700.2.v1.2 pep primary_assembly:ASM411807v1:2:28947075:28951590:1 gene:Vigun02g140700.v1.2 transcript:Vigun02g140700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFEGGAVGALMGEVLKGAISTIKKGLAFEPTLESNIETLNSLAPLVEEIKKYNMLLDRPREEIERLESHMRAGEELVRKCSKLGRWRMWSFPYYQSKLQSKDGALQKHLSVNVSVQNRRDLMEVVDGMRKVLEILLNGEFGRYSGYQLMGLCGAPQEPACMGMEVPLSKLRIELLKDSVSVLVLTGLGGSGKSTLAKKICWDPQVIGKFGKNIFFVTVSKTPNLKTIVETLFEHCGCRVPKFQTDEDVVNRLEVLLRVLGKHPILLVLDDVWPGSEDLVEKFKIKIPDYKILVTSRVSFPRFGTSYQLDKLDHVHAESLFRHIALKDKSSYIPEKNLVDEIVKGCKGSPLALKVIAGSLCNQPFEVWQNMKERLQRESILESDTDLLFRLQQSLDILETKFSINEKECFMDLGLFPEDQRIPVAALIDMWAELYNLNEDGSNAMSIIHDLITRNLINVIVTRKVAKDTDMYYNNHFVLVHDLLRELAIHLSKEKTFEQRERVMIELNGDNRPEWWVRLNPLGIIGRSFSYILGMLYTQKQPKVAARILSISTDETFTSDWCDMQPDEAEVLVLNLLSSQYSLPEFTEKMHKLKVLIVTNYGFHRSELNMFERLGSLTNLKRIRLEKMQCQILWR >Vigun02g013900.1.v1.2 pep primary_assembly:ASM411807v1:2:5142874:5147355:-1 gene:Vigun02g013900.v1.2 transcript:Vigun02g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEEPKLGSSLLTESVKEIAKEVVTTVPERYFQSQIHPSISFNTHSLPHLPVIDLNKLLSEEVKGSELQNLHFACKEWGFFQVVNHGVGMKLMEDVKRGAEELFKLSMEEKKKLWQKPGEMEGFGGIVGSKEGSSDWVDLFYILTLPTHLRNQHLFPNIPLPFRENLEDYCIKMREIGMKIFVLIGKALGIEVRDIKELLGEGGQSIRMNYYPPCPQPETVLGLKPHTDGSALTILLQANEVEGLQINKDGTWVPIQPLPNAFIISLGDVMEVMTNGIYRSSVHRAVVNSEKERLSIATFYGPGWSDSIGPAPTLVTPQTPPLFKTIAVADFYKGYLSPQHFGKPKSYINNVLKIQNEPTPT >Vigun02g013900.2.v1.2 pep primary_assembly:ASM411807v1:2:5142874:5146419:-1 gene:Vigun02g013900.v1.2 transcript:Vigun02g013900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMEDVKRGAEELFKLSMEEKKKLWQKPGEMEGFGGIVGSKEGSSDWVDLFYILTLPTHLRNQHLFPNIPLPFRENLEDYCIKMREIGMKIFVLIGKALGIEVRDIKELLGEGGQSIRMNYYPPCPQPETVLGLKPHTDGSALTILLQANEVEGLQINKDGTWVPIQPLPNAFIISLGDVMEVMTNGIYRSSVHRAVVNSEKERLSIATFYGPGWSDSIGPAPTLVTPQTPPLFKTIAVADFYKGYLSPQHFGKPKSYINNVLKIQNEPTPT >Vigun01g131600.1.v1.2 pep primary_assembly:ASM411807v1:1:30971641:30977580:-1 gene:Vigun01g131600.v1.2 transcript:Vigun01g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELRVGMREFRPGNFVYDAEDIPFGTLWWFLYAGISCLLVLFAGIMSGLTLGLMSLGLVDLEILQQSGSSTEKKQAAAILPVVQKQHQLLVTLLLCNACAMEALPIYLDKIFHPFVAVLLSVTFVLAFGEVIPQAICTRYGLYVGANFVGLVRVLMIICYPIAFPIGKVLDVLLGHSHALFRRAQLKALVSIHSQEAGKGGELTHDEATIISGALDLTEKTAEEAMTPIESTFSLDVASKLDWEAIGKILSRGHSRVPVYSGNPKNIIGLLLVKNLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKVTRETKYPLATANIEKSKDKEVIKQSSQLTMPLLARFYEKSEKVVNIDKLSKHAAEQQFQKDVTATNGSHHSLDNFDDGDEVIGIITLEDVFEELLQEEIVDETDVYIDVHRRIRVAAVAAATSVARVPSGRKLAGQKPVVTQNQVYGFYLSKF >Vigun10g086300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24745142:24746534:1 gene:Vigun10g086300.v1.2 transcript:Vigun10g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPPMSSLLLHLFNHTDSAATAAAADCHDTLPVKPFATVPDAVADYHTHAVSENQCCSAVVQEISASVSTVWSVVRRFDNPQAYKHFVKSCHVIGGDGDVGTLREVHVISGLPAARSTERLEILDDERHVISFSVVGGDHRLSNYRSVTTLHPAAAGSGTVVVESFVVDVPPGNTPEDTRVFVDTIVRCNLQSLAQTSENLTPQNNDNINTDTNDYKFSS >Vigun02g113100.3.v1.2 pep primary_assembly:ASM411807v1:2:26704617:26715032:1 gene:Vigun02g113100.v1.2 transcript:Vigun02g113100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIKPLQEAVRSSLRSGIFLFDFTRVVEELVFNSLDARATKVSVFVGVGRCYLKVVDDGSGITRDGLELVGERYATSKFLNLVDLNVTSENFGFRGEALASISDVSVLEIVTRTHGRPNGYQKVLKGCKCLYLGIDDDRKQVGTTVVVRDLFYNQPVRRKCIQSSPNKVLQSIKKCVMRLALVRPNISFKVVDIEREDELFCTHSASSPLSLVTSGFGVEVTSSLHYLEVENDIIKLSGYVSSPCNILNMEVLQYVYVNSQFVCKGPIHKLLSQLAIRFEHLNSWTTANEFRNKKRSRCHLGPAYLLNLSCPRSMYDLAFEPSKTYVKFKDWTPILNFIEKVIKQFWEENIASVEPSNQSAYMVQEQEKAYVNVISDVPDISRFRYQNRKDCPDLFFSTSDKLIEDDYHQSNREDVRTSIDYLEAVMFKEKQSTGDSLFQTGYSGNLLDDSHAKCISTVTRRQNSLLIHDSSSSLEGDNFFYGEIPAIESLNDCVPFDAPSCSHGRKFHKEADATSEAFQDDLHSNCNGYSYDVNINGDLQKPFLKGCSTPGSILLKKDFLVNDGYEIQSDSFCSQQNTGDAKDLNDDVPFDEPSSSNGRKFHKQADVISESFQDDLCYNYNGYSYDVNINVELQKPFLKGCSTLGSILSKKDFSGNDKYELQTDSFCSKQNTGEDYRSDLCYSASQIGSSDLDGHPLYPKPSSQAHALGFYRSTGIKDDIGGVSRCLKWVHHKNRFDERENECNFSYNSSWNTNQHHCASSYANIGFNFDVAGDSGEIFNKLGDCDDFSDIYSTKRSDMLNKELDWLLPESCVKRCKMPDKNKGKTDKFRNSTLEENHERSRRSISAPPFYRGKRRFFSLNHPSEIKAKSQIDRVYNPGFIHEEASNSEDPQQPPVARHESTKDLLLQGSKINVKQTSEVLGAMQVNDITEIEELDSFNIQNCAPFGELLSKDVQDPIDYGTKWRNCSPDFTNDIQANAQCRNNILDISSGLHLAGDSLIPETISKKCLEDAKVLPQVDKKFIPVVAGRTLAVIDQHAADERIRLEDLRQKVLSGEAKTVTYLHTELELVLPEIGYQLLHSYSEQIKDWGWICNIHANNSETFRRNLDIIKRQETTITLIAVPCILGVKLNDVDLLEFLQQLADTDGSSTMPPSVIRLLNSKACRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALHSQIAKLRLMNESSSDKWHGLRKHKVCVERVAQRLNSATGI >Vigun02g113100.4.v1.2 pep primary_assembly:ASM411807v1:2:26704618:26715032:1 gene:Vigun02g113100.v1.2 transcript:Vigun02g113100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIKPLQEAVRSSLRSGIFLFDFTRVVEELVFNSLDARATKVSVFVGVGRCYLKVVDDGSGITRDGLELVGERYATSKFLNLVDLNVTSENFGFRGEALASISDVSVLEIVTRTHGRPNGYQKVLKGCKCLYLGIDDDRKQVGTTVVVRDLFYNQPVRRKCIQSSPNKVLQSIKKCVMRLALVRPNISFKVVDIEREDELFCTHSASSPLSLVTSGFGVEVTSSLHYLEVENDIIKLSGYVSSPCNILNMEVLQYVYVNSQFVCKGPIHKLLSQLAIRFEHLNSWTTANEFRNKKRSRCHLGPAYLLNLSCPRSMYDLAFEPSKTYVKFKDWTPILNFIEKVIKQFWEENIASVEPSNQSAYMVQEQEKAYVNVISDVPDISRFRYQNRKDCPDLFFSTSDKLIEDDYHQSNREDVRTSIDYLEAVMFKEKQSTGDSLFQTGYSGNLLDDSHAKCISTVTRRQNSLLIHDSSSSLEGDNFFYGEIPAIESLNDCVPFDAPSCSHGRKFHKEADATSEAFQDDLHSNCNGYSYDVNINGDLQKPFLKGCSTPGSILLKKDFLVNDGYEIQSDSFCSQQNTGDAKDLNDDVPFDEPSSSNGRKFHKQADVISESFQDDLCYNYNGYSYDVNINVELQKPFLKGCSTLGSILSKKDFSGNDKYELQTDSFCSKQNTGEDYRSGKDLFVHPYPEVKKKLKMTKNSDFLERALAEESFLPSDLCYSASQIGSSDLDGHPLYPKPSSQAHALGFYRSTGIKDDIGGVSRCLKWVHHKNRFDERENECNFSYNSSWNTNQHHCASSYANIGFNFDVAGDSGEIFNKLGDCDDFSDIYSTKRSDMLNKELDWLLPESCVKRCKMPDKNKGKTDKFRNSTLEENHERSRRSISAPPFYRGKRRFFSLNHPSEIKAKSQIDRVYNPGFIHEEASNSEDPQQPPVARHESTKDLLLQGSKINVKQTSEVLGAMQVNDITEIEELDSFNIQNCAPFGELLSKDVQDPIDYGTKWRNCSPDFTNDIQANAQCRNNILDISSGLHLAGDSLIPETISKKCLEDAKVLPQVDKKFIPVVAGRTLAVIDQHAADERIRLEDLRQKVLSGEAKTVTYLHTELELVLPEIGYQLLHSYSEQIKDWGWICNIHANNSETFRRNLDIIKRQETTITLIAVPCILGVKLNDVDLLEFLQQLADTDGSSTMPPSVIRLLNSKACRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALHSQIAKLRLMNESSSDKWHGLRKHKVCVERVAQRLNSATGI >Vigun02g113100.2.v1.2 pep primary_assembly:ASM411807v1:2:26704617:26715032:1 gene:Vigun02g113100.v1.2 transcript:Vigun02g113100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIKPLQEAVRSSLRSGIFLFDFTRVVEELVFNSLDARATKVSVFVGVGRCYLKVVDDGSGITRDGLELVGERYATSKFLNLVDLNVTSENFGFRGEALASISDVSVLEIVTRTHGRPNGYQKVLKGCKCLYLGIDDDRKQVGTTVVVRDLFYNQPVRRKCIQSSPNKVLQSIKKCVMRLALVRPNISFKVVDIEREDELFCTHSASSPLSLVTSGFGVEVTSSLHYLEVENDIIKLSGYVSSPCNILNMEVLQYVYVNSQFVCKGPIHKLLSQLAIRFEHLNSWTTANEFRNKKRSRCHLGPAYLLNLSCPRSMYDLAFEPSKTYVKFKDWTPILNFIEKVIKQFWEENIASVEPSNQSAYMVQEQEKAYVNVISDVPDISRFRYQNRKDCPDLFFSTSDKLIEDDYHQSNREDVRTSIDYLEAVMFKEKQSTGDSLFQTGYSGNLLDDSHAKCISTVTRRQNSLLIHDSSSSLEGDNFFYGEIPAIESLNDCVPFDAPSCSHGRKFHKEADATSEAFQDDLHSNCNGYSYDVNINGDLQKPFLKGCSTPGSILLKKDFLVNDGYEIQSDSFCSQQNTGDAKDLNDDVPFDEPSSSNGRKFHKQADVISESFQDDLCYNYNGYSYDVNINVELQKPFLKGCSTLGSILSKKDFSGNDKYELQTDSFCSKQNTGEDYRSDLCYSASQIGSSDLDGHPLYPKPSSQAHALGFYRSTGIKDDIGGVSRCLKWVHHKNRFDERENECNFSYNSSWNTNQHHCASSYANIGFNFDVAGDSGEIFNKLGDCDDFSDIYSTKRSDMLNKELDWLLPESCVKRCKMPDKNKGKTDKFRNSTLEENHERSRRSISAPPFYRGKRRFFSLNHPSEIKAKSQIDRVYNPGFIHEEASNSEDPQQPPVARHESTKDLLLQGSKINVKQTSEVLGAMQVNDITEIEELDSFNIQNCAPFGELLSKDVQDPIDYGTKWRNCSPDFTKNDIQANAQCRNNILDISSGLHLAGDSLIPETISKKCLEDAKVLPQVDKKFIPVVAGRTLAVIDQHAADERIRLEDLRQKVLSGEAKTVTYLHTELELVLPEIGYQLLHSYSEQIKDWGWICNIHANNSETFRRNLDIIKRQETTITLIAVPCILGVKLNDVDLLEFLQQLADTDGSSTMPPSVIRLLNSKACRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALHSQIAKLRLMNESSSDKWHGLRKHKVCVERVAQRLNSATGI >Vigun02g113100.1.v1.2 pep primary_assembly:ASM411807v1:2:26704618:26715032:1 gene:Vigun02g113100.v1.2 transcript:Vigun02g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIKPLQEAVRSSLRSGIFLFDFTRVVEELVFNSLDARATKVSVFVGVGRCYLKVVDDGSGITRDGLELVGERYATSKFLNLVDLNVTSENFGFRGEALASISDVSVLEIVTRTHGRPNGYQKVLKGCKCLYLGIDDDRKQVGTTVVVRDLFYNQPVRRKCIQSSPNKVLQSIKKCVMRLALVRPNISFKVVDIEREDELFCTHSASSPLSLVTSGFGVEVTSSLHYLEVENDIIKLSGYVSSPCNILNMEVLQYVYVNSQFVCKGPIHKLLSQLAIRFEHLNSWTTANEFRNKKRSRCHLGPAYLLNLSCPRSMYDLAFEPSKTYVKFKDWTPILNFIEKVIKQFWEENIASVEPSNQSAYMVQEQEKAYVNVISDVPDISRFRYQNRKDCPDLFFSTSDKLIEDDYHQSNREDVRTSIDYLEAVMFKEKQSTGDSLFQTGYSGNLLDDSHAKCISTVTRRQNSLLIHDSSSSLEGDNFFYGEIPAIESLNDCVPFDAPSCSHGRKFHKEADATSEAFQDDLHSNCNGYSYDVNINGDLQKPFLKGCSTPGSILLKKDFLVNDGYEIQSDSFCSQQNTGDAKDLNDDVPFDEPSSSNGRKFHKQADVISESFQDDLCYNYNGYSYDVNINVELQKPFLKGCSTLGSILSKKDFSGNDKYELQTDSFCSKQNTGEDYRSGKDLFVHPYPEVKKKLKMTKNSDFLERALAEESFLPSDLCYSASQIGSSDLDGHPLYPKPSSQAHALGFYRSTGIKDDIGGVSRCLKWVHHKNRFDERENECNFSYNSSWNTNQHHCASSYANIGFNFDVAGDSGEIFNKLGDCDDFSDIYSTKRSDMLNKELDWLLPESCVKRCKMPDKNKGKTDKFRNSTLEENHERSRRSISAPPFYRGKRRFFSLNHPSEIKAKSQIDRVYNPGFIHEEASNSEDPQQPPVARHESTKDLLLQGSKINVKQTSEVLGAMQVNDITEIEELDSFNIQNCAPFGELLSKDVQDPIDYGTKWRNCSPDFTKNDIQANAQCRNNILDISSGLHLAGDSLIPETISKKCLEDAKVLPQVDKKFIPVVAGRTLAVIDQHAADERIRLEDLRQKVLSGEAKTVTYLHTELELVLPEIGYQLLHSYSEQIKDWGWICNIHANNSETFRRNLDIIKRQETTITLIAVPCILGVKLNDVDLLEFLQQLADTDGSSTMPPSVIRLLNSKACRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALHSQIAKLRLMNESSSDKWHGLRKHKVCVERVAQRLNSATGI >Vigun08g217000.3.v1.2 pep primary_assembly:ASM411807v1:8:37723802:37734037:1 gene:Vigun08g217000.v1.2 transcript:Vigun08g217000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSGMVSTPQLMCPENQELRTEHLSVADTVEPIEQCPILNDYVQARIELSFPFKNYVSLSSGTSLSTDLVSYFFRGKNIVQPWEMRVSAIWGHVSSSNLTKGFWWVLTGIIISYFCLLRRSQKQKMVQGHPAPQQKRLKHFPRGPSRGAGRWRKKLLVVFVSLGIIGSFWLFWHLNNDIMQRREEMLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKIFGEYTESTAFERPLTSGVAYALKVLHSDRMHFERYHGWTIKKMETENEALVQDCIPGNLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIVVNVYDTTDASAPITMYGTDVTDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASGGVFVITLLVGHIFYAAINRIIKVEDGYRVMSELKSRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDKKQMDYAQTAHDSGKDLISVINEVLDQAKIEAGKLELEAVALDPRAILDEVLSPFSEKSKGVELAVYASNQVPQVVIGDPKRFRQVITNLVSNSVKFNHDKGHVFVSVHLANEVKNPLHVMDPVLSEGININQDVSNRTYDTLSGFPVCNKWKSWANFEKLSRVDDPDIIQLLVIVEDTGTGIPTDAQSRIFTPFMQADSSPSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGESISLDAMWHNNSFGSEFQGLRALVVDRRKIRAEVTRYHLQRLGMSVDITNSLNSQCSCLSSTCDMSMSGQLAMILIDKDAWDKECLILCKIKKLRQNGIKRDPIDLPKIFLLDTHPQTDEHDELKSVGIIDDVLMKPLWLSSLVHSYRESLGAEKNQVHRKKVSKLGSLLMNKQILVVDDNAVNRRVAKGFLQKYGAKVTSVESGMAALKVLKVPHNFDACFMDLQMPEMDGFEATREIRRMEREVNEELACEQSSAEMYGNISYWHIPVLAMTADATQSSNEECRNCGMDDYVTKPFEEEQLYMAMTRFFQPDS >Vigun08g217000.4.v1.2 pep primary_assembly:ASM411807v1:8:37723802:37734037:1 gene:Vigun08g217000.v1.2 transcript:Vigun08g217000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRKPPASNGRLQSNMKSWKVNEPLHGSSSPRTCRRKPFLIWFFGFVAMGSVWFILSFNTNYLVNKENEAICEKRAGILLQRYNVSREQIYALASLFPGSDQILFNCIDERRLRMLLSSGMVSTPQLMCPENQELRTEHLSVADTVEPIEQCPILNDYVQARIELSFPFKNYVSLSSGTSLSTDLVSYFFRGKNIVQPWEMRVSAIWGHVSSSNLTKGFWWVLTGIIISYFCLLRRSQKQKMVQGHPAPQQKRLKHFPRGPSRGAGRWRKKLLVVFVSLGIIGSFWLFWHLNNDIMQRREEMLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKIFGEYTESTAFERPLTSGVAYALKVLHSDRMHFERYHGWTIKKMETENEALVQDCIPGNLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIVVNVYDTTDASAPITMYGTDVTDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASGGVFVITLLVGHIFYAAINRIIKVEDGYRVMSELKSRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDKKQMDYAQTAHDSGKDLISVINEVLDQAKIEAGKLELEAVALDPRAILDEVLSPFSEKSKGVELAVYASNQVPQVVIGDPKRFRQVITNLVSNSVKFNHDKGHVFVSVHLANEVKNPLHVMDPVLSEGININQDVSNRTYDTLSGFPVCNKWKSWANFEKLSRVDDPDIIQLLVIVEDTGTGIPTDAQSRIFTPFMQADSSPSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGESISLDAMWHNNSFGSEFQGLRALVVDRRKIRAEVTRYHLQRLGMSVDITNSLNSQCSCLSSTCDMSMSGQLAMILIDKDAWDKECLILCKIKKLRQNGIKRDPIDLPKIFLLDTHPQTDEHDELKSVGIIDDVLMKPLWLSSLVHSYRESLGAEKNQVHRKKVSKLGSLLMNKQILVVDDNAVNRRVAKGFLQKYGAKVTSVESGMAALKVLKVPHNFDACFMDLQMPEMDGFEATREIRRMEREVNEELACEQSSAEMYGNISYWHIPVLAMTADATQSSNEECRNCGMDDYVTKPFEEEQLYMAMTRFFQPDS >Vigun08g217000.5.v1.2 pep primary_assembly:ASM411807v1:8:37723802:37734037:1 gene:Vigun08g217000.v1.2 transcript:Vigun08g217000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRKPPASNGRLQSNMKSWKVNEPLHGSSSPRTCRRKPFLIWFFGFVAMGSVWFILSFNTNYLVNKENEAICEKRAGILLQRYNVSREQIYALASLFPGSDQILFNCIDERRLRMLLSSGMVSTPQLMCPENQELRTEHLSVADTVEPIEQCPILNDYVQNIVQPWEMRVSAIWGHVSSSNLTKGFWWVLTGIIISYFCLLRRSQKQKMVQGHPAPQQKRLKHFPRGPSRGAGRWRKKLLVVFVSLGIIGSFWLFWHLNNDIMQRREEMLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKIFGEYTESTAFERPLTSGVAYALKVLHSDRMHFERYHGWTIKKMETENEALVQDCIPGNLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIVVNVYDTTDASAPITMYGTDVTDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASGGVFVITLLVGHIFYAAINRIIKVEDGYRVMSELKSRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDKKQMDYAQTAHDSGKDLISVINEVLDQAKIEAGKLELEAVALDPRAILDEVLSPFSEKSKGVELAVYASNQVPQVVIGDPKRFRQVITNLVSNSVKFNHDKGHVFVSVHLANEVKNPLHVMDPVLSEGININQDVSNRTYDTLSGFPVCNKWKSWANFEKLSRVDDPDIIQLLVIVEDTGTGIPTDAQSRIFTPFMQADSSPSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGESISLDAMWHNNSFGSEFQGLRALVVDRRKIRAEVTRYHLQRLGMSVDITNSLNSQCSCLSSTCDMSMSGQLAMILIDKDAWDKECLILCKIKKLRQNGIKRDPIDLPKIFLLDTHPQTDEHDELKSVGIIDDVLMKPLWLSSLVHSYRESLGAEKNQVHRKKVSKLGSLLMNKQILVVDDNAVNRRVAKGFLQKYGAKVTSVESGMAALKVLKVPHNFDACFMDLQMPEMDGFEATREIRRMEREVNEELACEQSSAEMYGNISYWHIPVLAMTADATQSSNEECRNCGMDDYVTKPFEEEQLYMAMTRFFQPDS >Vigun08g217000.2.v1.2 pep primary_assembly:ASM411807v1:8:37723802:37734037:1 gene:Vigun08g217000.v1.2 transcript:Vigun08g217000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSGMVSTPQLMCPENQELRTEHLSVADTVEPIEQCPILNDYVQNIVQPWEMRVSAIWGHVSSSNLTKGFWWVLTGIIISYFCLLRRSQKQKMVQGHPAPQQKRLKHFPRGPSRGAGRWRKKLLVVFVSLGIIGSFWLFWHLNNDIMQRREEMLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKIFGEYTESTAFERPLTSGVAYALKVLHSDRMHFERYHGWTIKKMETENEALVQDCIPGNLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIVVNVYDTTDASAPITMYGTDVTDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASGGVFVITLLVGHIFYAAINRIIKVEDGYRVMSELKSRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDKKQMDYAQTAHDSGKDLISVINEVLDQAKIEAGKLELEAVALDPRAILDEVLSPFSEKSKGVELAVYASNQVPQVVIGDPKRFRQVITNLVSNSVKFNHDKGHVFVSVHLANEVKNPLHVMDPVLSEGININQDVSNRTYDTLSGFPVCNKWKSWANFEKLSRVDDPDIIQLLVIVEDTGTGIPTDAQSRIFTPFMQADSSPSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGESISLDAMWHNNSFGSEFQGLRALVVDRRKIRAEVTRYHLQRLGMSVDITNSLNSQCSCLSSTCDMSMSGQLAMILIDKDAWDKECLILCKIKKLRQNGIKRDPIDLPKIFLLDTHPQTDEHDELKSVGIIDDVLMKPLWLSSLVHSYRESLGAEKNQVHRKKVSKLGSLLMNKQILVVDDNAVNRRVAKGFLQKYGAKVTSVESGMAALKVLKVPHNFDACFMDLQMPEMDGFEATREIRRMEREVNEELACEQSSAEMYGNISYWHIPVLAMTADATQSSNEECRNCGMDDYVTKPFEEEQLYMAMTRFFQPDS >Vigun08g217000.1.v1.2 pep primary_assembly:ASM411807v1:8:37723802:37734037:1 gene:Vigun08g217000.v1.2 transcript:Vigun08g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRKPPASNGRLQSNMKSWKVNEPLHGSSSPRTCRRKPFLIWFFGFVAMGSVWFILSFNTNYLVNKENEAICEKRAGILLQRYNVSREQIYALASLFPGSDQILFNCIDERRLRMLLSSGMVSTPQLMCPENQELRTEHLSVADTVEPIEQCPILNDYVQARIELSFPFKNYVSLSSGTSLSTDLVSYFFRGKNIVQPWEMRVSAIWGHVSSSNLTKGFWWVLTGIIISYFCLLRRSQKQKMVQGHPAPQQKRLKHFPRGPSRGAGRWRKKLLVVFVSLGIIGSFWLFWHLNNDIMQRREEMLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKIFGEYTESTAFERPLTSGVAYALKVLHSDRMHFERYHGWTIKKMETENEALVQDCIPGNLDPAPIQDEYAPVIFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTNLPLDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASKQTIVVNVYDTTDASAPITMYGTDVTDTGLLHISSLDFGDPLRKHEMHCRFKQRPPLPWTAINASGGVFVITLLVGHIFYAAINRIIKVEDGYRVMSELKSRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDKKQMDYAQTAHDSGKDLISVINEVLDQAKIEAGKLELEAVALDPRAILDEVLSPFSEKSKGVELAVYASNQVPQVVIGDPKRFRQVITNLVSNSVKFNHDKGHVFVSVHLANEVKNPLHVMDPVLSEGININQDVSNRTYDTLSGFPVCNKWKSWANFEKLSRVDDPDIIQLLVIVEDTGTGIPTDAQSRIFTPFMQADSSPSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGIGSTFSFTGTFRKGESISLDAMWHNNSFGSEFQGLRALVVDRRKIRAEVTRYHLQRLGMSVDITNSLNSQCSCLSSTCDMSMSGQLAMILIDKDAWDKECLILCKIKKLRQNGIKRDPIDLPKIFLLDTHPQTDEHDELKSVGIIDDVLMKPLWLSSLVHSYRESLGAEKNQVHRKKVSKLGSLLMNKQILVVDDNAVNRRVAKGFLQKYGAKVTSVESGMAALKVLKVPHNFDACFMDLQMPEMDGFEATREIRRMEREVNEELACEQSSAEMYGNISYWHIPVLAMTADATQSSNEECRNCGMDDYVTKPFEEEQLYMAMTRFFQPDS >Vigun09g254800.1.v1.2 pep primary_assembly:ASM411807v1:9:42129404:42132380:1 gene:Vigun09g254800.v1.2 transcript:Vigun09g254800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNRAMFLSRAMRRNFTTCSGATATLHRVVPHDTVTVRGNNPSVTGFRYFSSSATSLAHDAQTKAFNPKELVLFQYQACPFCNKVAAFLDYYDIPFKVVEVNPINKKEIKWSDYKKVPILTVDGEQMVDSSDIIDKLIKRIHPDYDLHAEEEKKWREWVDNHLVHVLSPNIYRSVPEALESFNYITTQGNFSFSERLVAKYGGAAAMYFVSKKLKKRHNITDERAALYGAAEQWVDALNGRKFLGGLDPNLADLAVFGVLRPIGHLQSGRDMVEHTRIGKWFSEMDGAVGQSCRVSEHS >Vigun05g219200.1.v1.2 pep primary_assembly:ASM411807v1:5:41095229:41100478:-1 gene:Vigun05g219200.v1.2 transcript:Vigun05g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEKLGSVGKVWSFCRKPFWQTTHTPSSSSSSTSYNVHNVHQQNQNNLQSVDRSGQHSSTTVSSVAKSLLPTKRRLRLDPANKLYFPYEPGKQVRSAIAIENTCKSHVAFKFQTTAPKSCYMRPPGGVLAPGESIIATVFKFVEPPESNEKPIEQKSKVKFKIMSLKVQGEIDYVPELFDEQRDQVAIEQILRVIFVDLERPSPVLDKLKRMLAEADAALEVRKKPPEEKGPQVAGEGLVIDEWKERRERYLLAQQQVQGVDSV >Vigun05g219200.4.v1.2 pep primary_assembly:ASM411807v1:5:41095861:41100478:-1 gene:Vigun05g219200.v1.2 transcript:Vigun05g219200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEKLGSVGKVWSFCRKPFWQTTHTPSSSSSSTSYNVHNVHQQNQNNLQSVDRSGQHSSTTVSSVAKSLLPTKRRLRLDPANKLYFPYEPGKQVRSAIAIENTCKSHVAFKFQTTAPKSCYMRPPGGVLAPGESIIATVFKFVEPPESNEKPIEQKSKVKFKIMSLKVQGEIDYVPELFDEQRDQVAIEQILRVIFVDLERPSPVLDKLKRMLAEADAALEVRKKPPEEKGPQVAGEGLVIDEWKERRERYLLAQQQVQGVDSV >Vigun05g219200.3.v1.2 pep primary_assembly:ASM411807v1:5:41095861:41100478:-1 gene:Vigun05g219200.v1.2 transcript:Vigun05g219200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEKLGSVGKVWSFCRKPFWQTTHTPSSSSSSTSYNVHNVHQQNQNNLQSVDRSGQHSSTTVSSVAKSLLPTKRRLRLDPANKLYFPYEPGKQVRSAIAIENTCKSHVAFKFQTTAPKSCYMRPPGGVLAPGESIIATVFKFVEPPESNEKPIEQKSKVKFKIMSLKVQGEIDYVPELFDEQRDQVAIEQILRVIFVDLERPSPVLDKLKRMLAEADAALEVRKKPPEEKGPQVAGEGLVIDEWKERRERYLLAQQQVQGVDSV >Vigun05g219200.2.v1.2 pep primary_assembly:ASM411807v1:5:41095395:41100478:-1 gene:Vigun05g219200.v1.2 transcript:Vigun05g219200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEKLGSVGKVWSFCRKPFWQTTHTPSSSSSSTSYNVHNVHQQNQNNLQSVDRSGQHSSTTVSSVAKSLLPTKRRLRLDPANKLYFPYEPGKQVRSAIAIENTCKSHVAFKFQTTAPKSCYMRPPGGVLAPGESIIATVFKFVEPPESNEKPIEQKSKVKFKIMSLKVQGEIDYVPELFDEQRDQVAIEQILRVIFVDLERPSPVLDKLKRMLAEADAALEVRKKPPEEKGPQVAGEGLVIDEWKERRERYLLAQQQVQGVDSV >Vigun08g018300.1.v1.2 pep primary_assembly:ASM411807v1:8:1551968:1553343:-1 gene:Vigun08g018300.v1.2 transcript:Vigun08g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLHLLLFLFLGNVASATVFSLENHCSYTVWPGTLSGNGAGILGSGGFALPPGSSVQLTAPSGWSGRFWARTGCSFDDSGAGKCATGDCAGGLKCTGGGVPPVTLVEFTTGSSGNGNKDFYDVSLVDGYNVGMGVRATGGTGDCKYAGCVADLNGACPAELQVRDGGGAVVACKSACAAFNTAEFCCTGEHGSPQTCSPTHYSEIFKSACPTAYSYAYDDASSTFTCSQSDYVITFCSMGSSS >Vigun02g019500.1.v1.2 pep primary_assembly:ASM411807v1:2:6921319:6932680:-1 gene:Vigun02g019500.v1.2 transcript:Vigun02g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTAAAEAPLLRNRHDAARAPALALLLGRAGRRGPSMLVRETAARELEERRADWGYSKPVVALDMSWNMAFVVVSAAMLACTISERPNSPIRVWIVGYALQCLVHVVLVWLEYRRRSRRDSRRGQGTQDVESQAGSDNEEGGNDSDSDVDGSSGNSSRSRFTKRCESINTGVSFLWWIVGFYWVVSGGDVLLQDAPRLYWLSVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFRILSNEDKPSGGAGSMVPIETSSAYLANERLLLPEDAECCICLCSYEDGAELHALPCNHHFHSSCIVKWLKMNATCPLCKYNILKGNEQV >Vigun08g218100.1.v1.2 pep primary_assembly:ASM411807v1:8:37792823:37794942:-1 gene:Vigun08g218100.v1.2 transcript:Vigun08g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFLSLLCSLPHIAFSSHCTVETATKTFQKCMNLPTQQASIAWTFHPHNSTLELVFFGSFISPSGWVGWGINPTSPEMTGTRALIAFPDPNSGQIVLLPYILDPTVKLQKAPLLSRPLDIHLLSSTAAMYGGKMATVHNGATIQIYGTMKLQTNKTKIHLVWNRGLYVQGYSPTIHPTTSTDLSSIATFDVLSGSSAPQHTDLTMLRVIHGTLNAISWGLLLPIGAITARYLRHIQALGPAWFYAHAGVQLFGFVLGTVGFGIGIRLGQLSPGVEYRLHRKLGIAVFCLGALQTLALLFRPNTRNKFRKYWKSYHHFVGYSCVVLGFVNVFQGFEVMGASRSYAKLTYCLGLSTLIGLCIALEVNSWVVFCRKSKEDKMRREGFIATSHKGTSSAIHN >Vigun11g130950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33893352:33893618:-1 gene:Vigun11g130950.v1.2 transcript:Vigun11g130950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIVCLLCYLLPRAMKLDQSSTWANHLWLSYPRKIVLLLRIFHSGFSSSTRWVLGSIHFRRVLIETHPCLTSYYSLSFSETHFLSLT >Vigun04g169700.1.v1.2 pep primary_assembly:ASM411807v1:4:39400432:39406016:-1 gene:Vigun04g169700.v1.2 transcript:Vigun04g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTLFRPTLILTLTLHKAPISVSYRPFRPSQQQPFSFLNTHRIPLPATARTTSKTHIHTHLWCSNFSTNTTIEGSEKCYLYLTDEDLLRQCEMDTFKASGPGGQHRNKRESAVRLKHLPTGIIAQASEDRSQHKNRASALNRLRSLIALKVRKTVDLDPYSPPRELLQILPPKSSIRGSDCGPQIGPNNPKFALGMQALLDLIFAVEGSVSEAAKYLGLSTGALSRLILSDDSLRKEVNDLRASKGMKPLK >Vigun11g091800.1.v1.2 pep primary_assembly:ASM411807v1:11:27317630:27318819:-1 gene:Vigun11g091800.v1.2 transcript:Vigun11g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding CTIVRGSNIDSHYIVNAYDYGMTEYERVGHQRLPLSYTSSVAPRDARHTATPIPLVPRFGFQDPTPPLENVRTGPPRYDEFSHPIYPTSFYYYSIHFDYPLRLVPSYTLPTNPSTSSSMPIQHAPFTHHSQPLRHNMDIQDMSYEDIQNMSYEELNELAERIGKVNTGLPDEIIARQMKTEIYQLPNHSEEQEVDLCIICQDEYKNKDQIGILQCGHRYHSDCITTWLHEKNVCPICKSQALTIQ >Vigun08g122900.1.v1.2 pep primary_assembly:ASM411807v1:8:29251427:29256541:-1 gene:Vigun08g122900.v1.2 transcript:Vigun08g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRATAAADDDTVEQVLMRTHHRSEGPASTPKDPSSIPNVQKKFQKLTRNVSEAIASFRNSLNLDSPPSSKADASSRKLAWGSVVRNLTQLYPGSQLPEKLMSNIRKHYDSLPLSYAQAEFDMKDVFLHIKLMEQASESDQPAILIQEDCDDEVQGSALKLTFACNSPISWPAMSGALDSSSICCKRIQIFEKKGFTLGVVLLVVQSGHDKLVRTRVENALKFAMKKPKTGAVKLPFGLCGCQEENSKGRDLVEIEEEYGDGYRGKEFENSSQRIQLQVPLPSSSFVVSVDEWQTIQSGGEEIEKWLLNSDSVEFVEQIGPNSYKGVYMGKRVGIEKLKGCDKGNSYEFELHKDLLELMTCGHRNILQFCGICVDDNHGLCVVTKFMEGGSVHDLMLKNKKLQSKDIVRIAVDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSVGEAMEYETDGYRWLAPEIIAGDPENVTETWMSNVYSFGMVIWEMVTGEAAYSSFSPVQAAVGIAACGLRPEIPKDCQQTLKYIMTKCWNNTPSKRPQFSEILAILLRPNCNNR >Vigun06g077200.1.v1.2 pep primary_assembly:ASM411807v1:6:20806070:20808149:-1 gene:Vigun06g077200.v1.2 transcript:Vigun06g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIRSAAVFFFFFFSTFFILCSATSRNPTFFSNDDEGWRMVGRSLKTVNLEDYSEVTANPRHDPWKACNWGNHVASKRTGCN >Vigun11g179600.1.v1.2 pep primary_assembly:ASM411807v1:11:38363509:38366125:-1 gene:Vigun11g179600.v1.2 transcript:Vigun11g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGRRETLKLGVRVLDLWYIHNRESNVHLEMILIDQMGDKIHCIVKKDEFDIWDGKLKEGDTYIMHNFKIVKNDGQYRVCDHPFKLLFIGATFVRPQPIANIPNSVYQFRSIKEVVAGNFSPDLLIDLIGWVDNVKAKAQSKNVVFSLMDLSGGVVSCTLWDEYCKKILERYNDNPNSNKLVLILTQAKVKAATGEWPVSVSNTWNGTKLLMDDAIPEITQFKQRLNEMSADDLTIMSQSGSQLTQSSQYSDAERFVYKCLVKSVSEIPLIKKEMICVTVATTTKFSLDNDGWYYLVCNHCNKRTNETGPFKCTYCDQDNNMPTLKFVFNFIFSQLYMLMYKLQLQVCDDAFNYANFVVWDQECRNIIGIFAEELQKKMIKVGEDDPKCFPDELDVMLGCTLAFKLRTQPRNKFASVIKVSDLPEIINYIKKLIQPMSLSGTADNDPDNSSLGTPSKRIVPNSGVSVQSSEDIESGELSATKPMKTIKQEID >Vigun03g445600.1.v1.2 pep primary_assembly:ASM411807v1:3:64734378:64736655:-1 gene:Vigun03g445600.v1.2 transcript:Vigun03g445600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFTSSISTPSSFKFPSSQPNNIPQIGSITISERPNGAAVNIRQSFAKPVNAQPPRKDSLLPLAAASTAVASETKEQDLQQIATDLDDASPLQIMDRALATFGHDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRLFDAVEKHYGIRIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGMDGGIGSLVKWNPVANVKGNDIWNFLRTMDVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQQEENGAHIEGNGNGVTQAHANGVATVPDIFNSQSVVNLSRTGIENLAKLEDRKEPWLVVLYAPWCPYCQAMEESYVELAHRLEGSGMKVGKFRADGEQKEFARRELELGSFPTIIFFPKHSSRPIKYPSEKRDVDSLMAFVNALR >Vigun03g364900.1.v1.2 pep primary_assembly:ASM411807v1:3:56828894:56831311:1 gene:Vigun03g364900.v1.2 transcript:Vigun03g364900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAITSVKCKPLLPLSPLPKPSISCFSCKPISLITSPIPSPLNQRGPVAVSVAYNPQGNFDLSLFDDDDEPKVAPPLPPTEGRFEVVIDNDIISRLDLSPFQAATGMKSPLSVEPKEFLERSIGFTINYKREDPHDPRELSEYPDIRVWFVRLDATYPWLPVLLDWRAGELARYAAMMVPHQMNLKMGIVFNPEALELFVMKKVFIVYSWLKHHNIPKPKLKANDMARMLGFRIGDELYDFVDEHPLDLS >Vigun07g057900.1.v1.2 pep primary_assembly:ASM411807v1:7:6377816:6379991:-1 gene:Vigun07g057900.v1.2 transcript:Vigun07g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTKLKLFPFLLVLFTCFEAPHVAESASDYSTLVYKGCSKDSFTDPNGVYSQALSALFGSLVSQSTKTKFYKATSGSAQNTITGLFQCRGDLTNSDCYNCVSRLPVLCDKLCGKTTAARVQLLGCYVLYEVAGFSQISGMQMLYKTCGATNAAGRGFEERRDTAFSVMENGVVSGHGFYTTSYQSLYVMGQCEGDVGDSDCGECVKNAVQRAQVECGSSISGQVFLHKCFISYSYYPNGVPSRSSSSSASFSSSSSGQNPGKTAAIILGGVAAVAFVVIFLLFARSLKKKHDDY >Vigun11g006700.1.v1.2 pep primary_assembly:ASM411807v1:11:706516:714414:-1 gene:Vigun11g006700.v1.2 transcript:Vigun11g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDILGVNVDASAADIKKAYYVKARIVHPDKNPGDPKAAENFQKLGEAYQVLSDPVKREAYDKNGKEGIVQDNMMDPTAVFGMIFGSEVFEEYIGKLALASLASIEIDEDIQDPEVQRQKIQEKMKAWQKEREQKLSAILIDRLQLSVVGQEEEFTAWAKSEARTLSKAAFGEAMLHTIGYIYTRKSARELGKDLRYMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEETIIKAIEDKKDAMVNSLWQINVIDIESTLSHVCQAVLKDPSASKDVLKARAKALKKLGSIFQGAKAPYNRENSLRKENGKAVDAPSSSS >Vigun11g006700.2.v1.2 pep primary_assembly:ASM411807v1:11:706516:714414:-1 gene:Vigun11g006700.v1.2 transcript:Vigun11g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLESLPLSSLLYFSQYTFLSLVSLYIYQKLGEAYQVLSDPVKREAYDKNGKEGIVQDNMMDPTAVFGMIFGSEVFEEYIGKLALASLASIEIDEDIQDPEVQRQKIQEKMKAWQKEREQKLSAILIDRLQLSVVGQEEEFTAWAKSEARTLSKAAFGEAMLHTIGYIYTRKSARELGKDLRYMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEETIIKAIEDKKDAMVNSLWQINVIDIESTLSHVCQAVLKDPSASKDVLKARAKALKKLGSIFQGAKAPYNRENSLRKENGKAVDAPSSSS >Vigun11g006700.3.v1.2 pep primary_assembly:ASM411807v1:11:706516:714414:-1 gene:Vigun11g006700.v1.2 transcript:Vigun11g006700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDILGVNVDASAADIKKAYYVKARIVHPDKNPGDPKAAENFQKLGEAYQVLSDPVKREAYDKNGKEGIVQDNMMDPTAVFGMIFGSEVFEEYIGKLALASLASIEIDEDIQDPEVQRQKIQEKMKAWQKEREQKLSAILIDRLQLSVVGQEEEFTAWAKSEARTLSKAAFGEAMLHTIGYIYTRKSARELGKDLRYMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEETIIKAIEDKKDAMVNSLWQINVIDIESTLSHVCQAVLKDPSASKDVLKARAKALKKLGSIFQETSGMLKG >Vigun09g154300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31969170:31969967:-1 gene:Vigun09g154300.v1.2 transcript:Vigun09g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSSTTFSPLTPFPSSHNNMDQFSHIPTERSPRRELQLQGPRPTPLRINKDSHKIKKPPLPPQPSHPQPPPRQPIIIYTVSPKVIHTTPSDFMNLVQRLTGSTSSSSNSNSNSDPLPGIINNDPFNSGGGMVSPAARYATVEKALSPMGKKSVMGHGDMNIINAVEGIEIMGDGVVERSQQNMFQGILSPGPASLSPIPSNFFSPPSSDPSMVSFLHDLSPVFQSGRNFTEGAAAFVLPSPSSNFSFVSPHTPSIDLFNYFLD >Vigun11g004600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:472165:472964:-1 gene:Vigun11g004600.v1.2 transcript:Vigun11g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTVAFSTVPLTLYVPPIRSLNLFVETMEFVVRESRSYRNRVYPRLRVAWSRMLDFMLCNNNIR >Vigun08g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34165286:34167787:-1 gene:Vigun08g171100.v1.2 transcript:Vigun08g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRATAAVAAVHPPPDQEPPTKETRFRGVRKRPWGRFAAEIRDPWKKQRVWLGTFDSAEDAARAYDAAARSFRGPKAKTNFPFPNPETDHSHSQIPLYQAHGFSKFDPVQVNRPTTSGMSSTVESFSGPRVPSSSTLHPRKPIVNPVVPPLDDCHSDCDSSSSVVEDEDCVLTSSFRQPLPFDLNLPPPGADDDIPATALCL >Vigun02g057900.1.v1.2 pep primary_assembly:ASM411807v1:2:20266474:20270311:-1 gene:Vigun02g057900.v1.2 transcript:Vigun02g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDLENHFAFYGAYHRNPVNTAIHVFFVWPIFFSALLILHFSPPFFFYLPNLEFSLWWNNVAFVVALLYSIFYLALDLRAGSLAAFLCALCWIGSSFLASQLRWSLAWKVNQVVLVVQLVCWTQLFIGHGIFEKRAPALLDNLVQAFVMGPFFVLLEVLHNLFGYEPYPGFHSKVQAKVEANINEWQESKQKLS >Vigun02g057900.3.v1.2 pep primary_assembly:ASM411807v1:2:20266474:20270311:-1 gene:Vigun02g057900.v1.2 transcript:Vigun02g057900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDLENHFAFYGAYHRNPVNTAIHVFFVWPIFFSALLILHFSPPFFFYLPNLEFSLWWNNVAFVVALLYSIFYLALDLRAGSLAAFLCALCWIGSSFLASQLRWSLAWKVVLVVQLVCWTQLFIGHGIFEKRAPALLDNLVQAFVMGPFFVLLEVTCFMQVLHNLFGYEPYPGFHSKVQAKVEANINEWQESKQKLS >Vigun02g057900.2.v1.2 pep primary_assembly:ASM411807v1:2:20266474:20270311:-1 gene:Vigun02g057900.v1.2 transcript:Vigun02g057900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDLENHFAFYGAYHRNPVNTAIHVFFVWPIFFSALLILHFSPPFFFYLPNLEFSLWWNNVAFVVALLYSIFYLALDLRAGSLAAFLCALCWIGSSFLASQLRWSLAWKVVLVVQLVCWTQLFIGHGIFEKRAPALLDNLVQAFVMGPFFVLLEVLHNLFGYEPYPGFHSKVQAKVEANINEWQESKQKLS >VigunL052575.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:27700:28869:1 gene:VigunL052575.v1.2 transcript:VigunL052575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDFTPDERDFRLQVREWLRANVPTDRRPDDGAEMLAFDKAWQRKQFDDGWAGISWPKEYGGAGLSLIQQLIWFEEYALAGGPYLGTFFVALSHAGPTLIARGSEAQKAYYLPRILKGETPWCQGFSEPGAGSDLASLRTRAVVDGDDLVVNGSKIWTSLANWSSYQELLVRTDPDSRYGGITWVIGQMDLPGVSIRPISCMHGSADFCEVFYDDVRIPLKNVVGGINDGWNVAMATLGFERGTAALAEQIEMSRVVEELIALAHERVGPDGTRPAIQDDEIAARLATLRAEVAALRAMSYASISRAQRDLVPGSEGTLIALYNTETLQRIYRMSTDLMGPDMLDLDASPSRWTTQYLRSIMQTIAGGTSEIRRNIIGERLLGLPRGR >VigunL052575.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:27700:28845:1 gene:VigunL052575.v1.2 transcript:VigunL052575.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDFTPDERDFRLQVREWLRANVPTDRRPDDGAEMLAFDKAWQRKQFDDGWAGISWPKEYGGAGLSLIQQLIWFEEYALAGGPYLGTFFVALSHAGPTLIARGSEAQKAYYLPRILKGETPWCQGFSEPGAGSDLASLRTRAVVDGDDLVVNGSKIWTSLANWSSYQELLVRTDPDSRYGGITWVIGQMDLPGVSIRPISCMHGSADFCEVFYDDVRIPLKNVVGGINDGWNVAMATLGFERGTAALAEQIEMSRVVEELIALAHERVGPDGTRPAIQDDEIAARLATLRAEVAALRAMSYASISRAQRDLVPGSEGTLIALYNTETLQRIYRMSTDLMGPDMLDLDASPSRWTTQYLRSIMQTIAGGTSEIRRNIIGERL >Vigun11g022760.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2779756:2781162:1 gene:Vigun11g022760.v1.2 transcript:Vigun11g022760.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTINNVCNALRLRIPHSIENYQITNVEIVCHHSHQLTNASNVWKVADSQVLLFPLLNHHCSSSNSRCVLFFKSAANVSQPCSFFLVQWVECLKPSGLCWGCNMVS >Vigun03g051300.1.v1.2 pep primary_assembly:ASM411807v1:3:4147938:4150335:1 gene:Vigun03g051300.v1.2 transcript:Vigun03g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIFIERATSTTPSFPSLISSTIHQNTCFTFQLSTNYTQVASNIQHSHFINPNPISYHFPLSAPKTLPSITKAMASLSATSLTFQPSLNFSTAISQGCYKTSPFGAVCVGWNRRSFPSLRSSRFRICAVQAQPETLQKVCDIVRKQLALPKESELTPDTKFSDLGADSLDTVEIVMTLEEEFNINVEEDGSQNISTVQEAADLIEKLVQEKKVDQNKATEAA >Vigun06g044900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16945787:16952476:1 gene:Vigun06g044900.v1.2 transcript:Vigun06g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGTAVVSTLIERVVDAIIGRARCLFYFKKSVVDLRKSKRELEKSLQHMRERVKEATTNAEKIVQPVEEWLKDVERVLEDVQGLEERVEESECCLNMTFKYSLAKEVICMTLQMNVVNNNNKFEPFSHPIKLSSMNYFFPKDFVAFDSRKPVYEELLQAIQDERSNVIGVVGVEGSGKSTLARVVGKQVEESKVFDKVVMTIVSQDVKLRDIQGQIADHLSFSLVEETELGRALRLSHRLKTEKILIIFDGVWQKLDLEAIGIPLNENDKRCCVLLTTRDQEVCTSMNCHTMIELSVLDEDEGWDLFKQRAQIDDDSPEEMREVAKRVFDKCEGLLVDILAVARTLKGKTFTSWELALFRVETSESIDVQKGLNSTYECNELEEIICLDWKDAGQLRYLYAPSQPVFPKLKKILIKGCIKLTKIFFPSMVSSLPELRELSVQDCNELVEIVSSEEARQLANLSFQSQQVSFPKLWVIEIERCNKLKSIFFATIVSSLSELTQLSIRNCNNLEVIISSDSEETKKHRNVNAPFSQFFPKLGRISIENCNKLKTFFSAITVTSLPMLQQLIVKDCNTWEEIISLDSDQAGQFRNLYTLYNQEDCFPKLGSVQIERCNSLKTIFSMEFVSTLPELLELTVKDCDEWVKIMSLGLKQAKQAGNLSAHSKQICFTKLQKIEIESCKRLRTVFFTNVVRSLPELEQIVVKNCDEWEGIVSSDSEEERQYRFLSASSQEVCFPKLRKIEIEKCNKLKAIFSTTIVTSLPELEQLIVKDCDEFEEIISLDSDEAGGLKNSYAPSQQVFFPKLGSISIERCSKIKRMFYMVIVSILPMLEQLVVKDCNEWEEIISLDSVEASELTNVSAPSHHICFPRLRKIEIEGCNRLKAIFSSTTVTRLPMLEQLFVKNCSGCEDIISLDSKEASQCTNQLAPFQQVCFPKLMKIVIECCNKLKAIFFTTLVTRLPRLEHLSIDNCNELEEIVSLDSVEISQLRNLCDPSQQSFFPVLQRILINRCNKLKKIFSAKIVTTLPELVHVWINNCNEWEEIISSDSEESSKQGNLSAASQQVFFPKLESLYIEKCNKMKTIFCATVVTSLPKLRRLNVKGCNEWETIISFGSKKVGQNKNFLNPCQSKCFPKLQSIWVEECNKLKTIFFLSIVSRLPNLESLFVDNCNNLEAIISPYSMEATQSRNLSGRPNQVRFPKLGSIVIRRCSKMKNLFSTITLSRFPKLKQLFVLDCNELEDIISSEAKPLRNLSTSSQQIYFPTLQNIQIERCNKLKTIFSFIIVRSLPELECVSVEDCNELKEIFFFGSEEEGQVENISDPSQHVCLPKVRSIKIKTCNKLKFIFPYSVACQCSSLNLLDVESCPQLAQIVKFEHEGTSEEEGSGVAIDDNHAKHLLFPNLSSLELKKLPTITGTFPWYEPQNCHLIIQECPKYLWFT >Vigun07g018900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1562513:1565961:-1 gene:Vigun07g018900.v1.2 transcript:Vigun07g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTKLRFLDPLLLSPSHVLNLSNAAKLSRPRRETQQQLPNTNIPSDIDASRALFNEITQILGAQTVIPDQSPSGTLFPLETPQSEVRSEDRSACSTGVCENSAHNVGSLEDTQVGNMGKEDLSRIVDEITEIVRGENSSTSMEERLENLSYGLNSEVFDMVLKRCFTVPLLALRVFNWLKLKDGYSHTTQTYNTMLYIAGETKDFGLVKRLVEEMDECGIQKDVKTWTILVTHYGKARKISEALLALENMKRCGFEPDAVSYSAIIRSLCSAEKGDIAMEFYTDMVRKDMVCDVRLYETIMNCMARSGNTAAVSLLGNDMIRLSVMPENRVRSCMLKNLCISGRIEEALELIRDLKSKDLDLEPENYEILVRGLCKADRITDALHVVEIMKRRDMVDERIHGIIINGYLGRNDVDRALKVFQSMKESGCVPTISTYTELIQHLFRLSRYEEACMMYDEMLGKGIKPDIVAITAMVAGHVSQNRISEAWKMFNCMECQDIRPTWKSYEVFIKELCKASRTEDIVKVLHEMQASKIKIQDTIFRRVITYMEEKGEFAVIEKIQQMRKASTLDTEKFKESNKQVSSEIKVEEDVKVDLSKSEIDYSSTHRNLTTCTEQDFHEICRILSSSTDWSLIQEKLEGSTFRFTPELVIEILQNCNKHGSSVLKFFSWVGKQSGYRHTLESYNMAMKIAGCGKDFKHMRSLFFEMRRNNYPVTPETWTIMIMLYGRTGLTEMAMNCFKEMKADGYSPSRSTYKYLIIAFCGRKGRKVDDALQTYREMIGAGYAPDKELIEAYLGCLCEVGRVLEARRCADSLQNLGYTALLSYSLFIRALCRAGRVEEALALIEEVGEEKSILDQLTCGSIVHGLLRKGRLEEALAKVDAMKQKGIAPTIHVYTSLMVHFFKEKQVEKAMQTFEELQQSGHEPNIVTYSALIRGYMNVGRPIDAWNIFYRMKLKGPFPDFKTYSMFLTCLCKVGRSEEAMQLISEMLDSGIVPSTINFRTVFYGLNREGKTDLARVVLQQKSELRRKRKLVT >Vigun06g073500.1.v1.2 pep primary_assembly:ASM411807v1:6:20428613:20447385:-1 gene:Vigun06g073500.v1.2 transcript:Vigun06g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEYHYHYFSALTHTKLKPYQPFSRANSRVSLPSLDDTLRCFRCPILPNVPLRYGLWGHHRSSWACRSAGEPDSAADSGEEKTEEDDAGSNRRKDGWWSRWRRWRWQPLIQVQEIGILLLQIGIGFFVMRLLRPGIPLPGSDPKAPTVFVSVPYSEFLSRINGDQVQKVEVDGVHIMFKLKAGVGTSHDGGGDVVAVNGGGSSSSNITRMQESESLVKSVAPTKRIVYTTTRPSDIRTPYEKMLDNKVEFGSPDKRSGGFFNSALIALFYAAVLAGLLHRVPGSFSQHTAGQIRNRKSGTSAGRKSSEQGETVTFADIAGVDEAKEELEEIVEFLRNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPAIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKTVVEKLDFIQAVERSIAGIEKKTAKLRGSEKAVVARHEAGHAVVGTAVAKLLPGQPRVEKLSILPRSGGALGFTYIPPTTEDRYLLFVDELHGRLVTLLGGRAAEEIAFSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSSGGVDEFGGAVPWGRDQGHLVDLVQKEVQTLLQSALAVALSIIRANPTVLEGLGADLEEKEKVEGEELQKWLRMVVAPTELATFVKGAQQPLLPS >Vigun06g073500.2.v1.2 pep primary_assembly:ASM411807v1:6:20426743:20447345:-1 gene:Vigun06g073500.v1.2 transcript:Vigun06g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEYHYHYFSALTHTKLKPYQPFSRANSRVSLPSLDDTLRCFRCPILPNVPLRYGLWGHHRSSWACRSAGEPDSAADSGEEKTEEDDAGSNRRKDGWWSRWRRWRWQPLIQVQEIGILLLQIGIGFFVMRLLRPGIPLPGSDPKAPTVFVSVPYSEFLSRINGDQVQKVEVDGVHIMFKLKAGVGTSHDGGGDVVAVNGGGSSSSNITRMQESESLVKSVAPTKRIVYTTTRPSDIRTPYEKMLDNKVEFGSPDKRSGGFFNSALIALFYAAVLAGLLHRVPGSFSQHTAGQIRNRKSGTSAGRKSSEQGETVTFADIAGVDEAKEELEEIVEFLRNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPAIIFIDEIDAVAKSRDGKFRMVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKTVVEKLDFIQAVERSIAGIEKKTAKLRGSEKAVVARHEAGHAVVGTAVAKLLPGQPRVEKLSILPRSGGALGFTYIPPTTEDRYLLFVDELHGRLVTLLGGRAAEEIAFSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSSGGVDEFGGAVPWGRDQGHLVDLVQKEVQTLLQSALAVALSIIRANPTVLEGLGADLEEKEKVEGEELQKWLRMVVAPTELATFVKGAQQPLLPS >Vigun07g136000.1.v1.2 pep primary_assembly:ASM411807v1:7:24618043:24622731:-1 gene:Vigun07g136000.v1.2 transcript:Vigun07g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRNTMSHIEGGAGMALAEAQYSLAKTSVWWDIENCQVPKGCDPYAIAQNISSALIRMNYCGPVSISAYGDTTGITASVQHALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPQKASAPLVAAAKSVWLWTSLLAGGPPLTKGESQVGNNDIQSSPDSVQSPVHNAFQIPQYVESHSEVHTGNQNKPGRRHGKTNGRKSNKANGPKVIEAPVGFQENYENINISPPGNYTHNVPPGGSTPNFICGNPDQIWLNNGNLQGNHENPLSQPLRSNNFPLEPPFSLSKTFSLNSPSFATSVVPPWTGGPSFSAGPDIGNISGYLSNAHDPYPVNQLNGDLKWSSYSNSPNPVKSIDEPNGKMTQNTQNLYNGHAHGPEHQPSSSATVGDNNLPDNGTWGSSETPESSEYVQDLIGVVLLALDTLKTEKIKPTESNITDCIRFGDPKHRNTDVKKALEMAVEQQMVVAQNVGALQLFVGKNDQVWKCVCTLGGNPKKHSKETWKKIKKFLATSPGRLAIMNTQCKYEAGIVIKNMCLKDHALGDVLQILSLAISYKKWIVHQQLGWQPVNITLAEKSDSEVIACE >Vigun07g136000.2.v1.2 pep primary_assembly:ASM411807v1:7:24618043:24622706:-1 gene:Vigun07g136000.v1.2 transcript:Vigun07g136000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRNTMSHIEGGAGMALAEAQYSLAKTSVWWDIENCQVPKGCDPYAIAQNISSALIRMNYCGPVSISAYGDTTGITASVQHALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPQKASAPLVAAAKSVWLWTSLLAGGPPLTKGESQVGNNDIQSSPDSVQSPVHNAFQIPQYVESHSEVHTGNQNKPGRRHGKTNGRKSNKANGPKVIEAPVGFQENYENINISPPGNYTHNVPPGGSTPNFICGNPDQIWLNNGNLQGNHENPLSQPLRSNNFPLEPPFSLSKTFSLNSPSFATSVVPPWTGGPSFSAGPDIGNISGYLSNAHDPYPVNQLNGDLKWSSYSNSPNPVKSIDEPNGKMTQNTQNLYNGHAHGPEHQPSSSATVGDNNLPDNGTWGSSETPESSEYVQDLIGVVLLALDTLKTEKIKPTESNITDCIRFGDPKHRNTDVKKALEMAVEQQMVVAQNVGALQLFVGKNDQVWKCVCTLGGNPKKHSKETWKKIKKFLATSPGRLAIMNTQCKYEAGIVIKNMCLKDHALGDVLQILSLAISYKKWIVHQQLGWQPVNITLAEKSDSEVIACE >Vigun10g134100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34400119:34400682:1 gene:Vigun10g134100.v1.2 transcript:Vigun10g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSMPDLVRPRPISLGRGFRGREAITLNILYVQVRSIAIETNPLHVLVAFTFFALLGFIQIRYPENPTPFQLHPKTTMLSIATFLLYCLGFLGTLMFGIRVHHFGILMHVFGSLSLISLLLLLLPETWDSLGFVVYTLWFITLLLFIVRTRLQRQQIRRVRPLLPTTSWDFN >Vigun05g074500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616990:6620520:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616770:6620550:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616769:6620611:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616990:6620520:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616770:6620520:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616752:6620550:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun05g074500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6616755:6620611:1 gene:Vigun05g074500.v1.2 transcript:Vigun05g074500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGDEVAVDARSTEDWLLHAQELVPVVLDKAREAKGFAGRWKMIIAKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSRTLGEAIELAELCMKEKYEGKLRMQSDLDALTGKLDLNLRDCGLLIKTGVLGEATLPLAVSSSVAESDVATHIRELLARLQIGHLEAKHQALDSVVEAMKEDEKNVLAALGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSAETARAIVGHGGVPPLVELCQIGDSVSQAAAACTLKNISAVPEVRQTLSEEGIVRTMINLLNCGILLGSKEHAAECLQNLTASNENLRRNVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVPETSLVSLGLVPRLVHVLKSGSLGAQQAAVAAICRVCSTTDMKKMVGEAGCIPLLVKMLEAKSNSAREVAAQAIASLMVVSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLHERLERGKLRTFFSKK >Vigun08g004400.2.v1.2 pep primary_assembly:ASM411807v1:8:378389:381685:-1 gene:Vigun08g004400.v1.2 transcript:Vigun08g004400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFGSKYKRGLLSTYILFLVILKNQNQAIAKSTLPNSILFLPMKIPSSSSSSLHYFLASTFNSLLIFFLVVFCKTYESAKLVANGSVPAVLVFGDSIMDTGNNNKILATSARCNFPPYGKDFKGGIPTGRFCNGKVPSDFIAEELGVKEFLPAYLDPNLQPDELATGVCFASGGAGYDPLTSKTAGAISLSGQLQMFKEYIVKLKQHVGENRTNFILSNALFFIVLGTNDISNTYFLSHLRQLQYDVSAYSDFLVNSASTFFKEIYELGARKIGVFAGPPVGCVPYHRTLSGGIERKCIQKYNNAVMLFNDKLLREIGFLNQKLPNSRIVYIDVYNPLLDIIVNHQKYGYEVGDRGCCGTGTLEVALTCNHLDATCPNVLEYVFWDGFHPTEDVYKKLVPNILQKYIHQFQ >Vigun06g035100.1.v1.2 pep primary_assembly:ASM411807v1:6:14785556:14788614:-1 gene:Vigun06g035100.v1.2 transcript:Vigun06g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLLWVSCGPKENPISIVGVAGRGGRRQRRFGLCNGITFASFSPAVADPSRSSEERVYEVVLKQAALVKEQNKGTKRPLNLNKPIQGDFTQGDLLSVAYDRCGEVCAEYAKTFYLGTQLMTQERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWEKRLYDVFEGYPYDMYDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPESKASTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDILVGKVTDKWRSFMKGQIQRARMFFDEAEKGVSELSSASRWPVWASLLLYRQILDSIEANDYNNFTKRAYVGKLKKLLSLPAAYGRALIGPSKNLTKMVTTK >Vigun05g260500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45372554:45374243:-1 gene:Vigun05g260500.v1.2 transcript:Vigun05g260500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTTSYWCYSCTRFVHIQEHNDVVCPRCQGGFVEKVQAGHSPAVSLIADGATRRQGFRRRRRNAGTHSPFNPVIVLRGPGEDEESSFELYYDGDDGAGLRPLPSTMSEFLLGSGFDRLLEQVSQIEINGFGRSENPPASKAAIESMPTVQIAESQVVTETHCAVCKEAFELGALAREMPCKHLYHSDCILPWLSMRNSCPVCRHELPSEQSSSESRVAGQIEEEAVGLTIWRLPGGGFAVGRFAGESHLPVVYTEMESGGNSSEGSRRISLSVGSGRVRESRGGFGRIFRNWFGRIGALTRSRSLSTSLFSRRRSSSSTRTSWVLED >VigunL089100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:37922:43839:1 gene:VigunL089100.v1.2 transcript:VigunL089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSPPFSVSNPSPFSPTLLNPTTTLRQLFFATSPKRFFQFQSRATKFKELIPINPSLTVEKGKYSYDVETLINRLTALPPRGSIARCLDPFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQLWCKPNEHIYTIMITLLGRESLLDKCREVFDEMPSNGVARTVYAYTAIINAYGRNGQFQASLELLDTMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLCACANRGLGDEAEMVFRTMNESGIVPDINTYSYLVQTFGKLNRLEKVSDLLREMESGGNLPDITSYNVLLEAYAELGSIKEAMGVFRQMQAAGCVANAATYSILLNLYGKHGRYDDVRELFLEMKVSNTDPDVGTYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGIVPTSKAYTGVIEAFGQAALYEESLVAFNTMKEVGSNPTLETYNSFVHAYARGDCLKRDVHSFNGLIEAFRQAGQYEEAVKAHVEMEKANCEPNELTLEAVLSVYCTAGLVDESEEQFQEIKASGLLPSVMSYCMMLALYAKNDRLNDAYNLIDEMIKIRVSDIHQVIGQMIKGDFDDESNWQIVEYVFDKLSSEGSLWWMFQRERAARVLNEASKRGLFPELFRRSKLVWSVDVHRMSEGAALTALSVWLNNMQEMFLNSEDLPVIATVVVSGEMEKTTDAQDFPVAKAAMSFLQDNVPSSSFTFPEWNKGRIVCQQSQLRQILTGTESSSSKKKMDKLISLSNTPLTTAGAVASKPDGKANDVDSRTDSTRTELLTSAV >Vigun11g166900.1.v1.2 pep primary_assembly:ASM411807v1:11:37396666:37401680:-1 gene:Vigun11g166900.v1.2 transcript:Vigun11g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKDNKSPPLPPSSSSSQPFSHDIVGMPDNPPKNRGHRRAHSEIITLPDDLTFDTDLPLDDDFLALVDHIHSPPLPEDDNKIIVNNNDYIGNNNDNNVRNERPRVRHQHSHSMDLSIQPEMLVSGADDVSGVDTKRAMSADKLAELALIDPKRAKRIWANRQSAARSKERKMRYISELERKVQTLQTEATSLSAQLTLLQRDTNGLNAENGELKLRLQTMEQQVHLQDALNDALKEEIQHLKLMTGQAMPNGGHTNFASFGGGQQFYPNNHAMYTLLAAQKFQQLQIQSQKQQQHQLQQQQQQFQQQQLQHQLQQQHQQHQQHQQHQQQQQQQTTATGTVTSTNGVLE >Vigun11g166900.2.v1.2 pep primary_assembly:ASM411807v1:11:37396666:37401680:-1 gene:Vigun11g166900.v1.2 transcript:Vigun11g166900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKDNKSPPLPPSSSSSQPFSHDIVGMPDNPPKNRGHRRAHSEIITLPDDLTFDTDLPLDDDFLALVDHIHSPPLPEDDNKIIVNNNDYIGNNNDNNVRNERPRVRHQHSHSMDLSIQPEMLVSGADDVSGVDTKRAMSADKLAELALIDPKRAKRIWANRQSAARSKERKMRYISELERKVQTLQTEATSLSAQLTLLQRDTNGLNAENGELKLRLQTMEQQVHLQDALNDALKEEIQHLKLMTGQAMPNGGHTNFASFGGGQQFYPNNHAMYTLLAAQKFQQLQIQSQKQQQHQLQQQQQQFQQQQLQHQLQQQHQQHQQHQQHQQQQQQQTTATGTVTSTNGVLE >Vigun01g106200.1.v1.2 pep primary_assembly:ASM411807v1:1:27737496:27740594:-1 gene:Vigun01g106200.v1.2 transcript:Vigun01g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEPSGNFSLLNLPEPILDCILKLLSPVELVVMSEVCTCLRDRCRSDSLWEVHLKQKWGGVIGDVAYKEWQWHITIAMEKRNNQPNQQNNHNGSLGSFSGTWPMLHLRSYLEDCSSFGNSFTMALYFSLENGKFWFPAQIYRGVLVRDALVRYDSKTDSFQARQQSGGWNAMGNNIQWEILRAPPTDTPPCVRYVSDCLDDLKPGDHIEIQRKSRTEIPYDWWYAVVGHMESCNENHNFCSCRYSETLVLEFKQYSEGSSMRRVKVLRNGEEHEEAKGWYGGIRKLQSEEEIQRWEKHFPLYRQTPRPARFVCRRRIPPGVLRFP >Vigun01g144000.1.v1.2 pep primary_assembly:ASM411807v1:1:32543086:32548571:1 gene:Vigun01g144000.v1.2 transcript:Vigun01g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKFQPRDKLRATTRKKDDTQGGNEGPNVPLDEAALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCKEKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDCSTLEETDFSSGQNANGCAQSDESGRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLTVEAKDLISKLLCNVNQRLGSNGAEEIKAHPFFNGVEWERLYQMEAAFIPEVNDELDTQNFEKFDESDHQAQSSSRTGGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMTELRKKTTKVKKPSVKSLFDNDSDTSEASASEAPELASGTIKRIDDQFTHSE >Vigun02g095100.2.v1.2 pep primary_assembly:ASM411807v1:2:25063172:25067652:1 gene:Vigun02g095100.v1.2 transcript:Vigun02g095100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSTFSSSTPNMETRLSPFISETPTLVSPFSILMAMPLTWVNSTISSCNSRYDYSGYGASTGKPSESSTYADIEAVYECLETEYGVSQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSGILSGLRVLCHVKFTFCFDIYKNINKVKKVKCPVLVIHGTEDEVVNWLHGNGLWKMARESYEPLWIKGGGHCNLELYPDYIRHLCKFIQEMENITTEKRLKKIRQSAKESKSNICSSCRTCCTMKCCRFKCPDCSNCSNCCSNCMNVSWSINCPEWCWRPSCMKGCCFLKLFPSCLGSCCCKKCSWPSCCGVTKCSLPKCGRPSCCCKGCCSCFCWQCCVGKKHSDGSRNGKQNG >Vigun02g095100.1.v1.2 pep primary_assembly:ASM411807v1:2:25063172:25067652:1 gene:Vigun02g095100.v1.2 transcript:Vigun02g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMVSQLAAKFAFFPPSPPTYHLKKRDDGKLTVVSTAAPIPHADDTSLDVLLVDTKHGNKIVAFYLRNPYARLTLLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAVYECLETEYGVSQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSGILSGLRVLCHVKFTFCFDIYKNINKVKKVKCPVLVIHGTEDEVVNWLHGNGLWKMARESYEPLWIKGGGHCNLELYPDYIRHLCKFIQEMENITTEKRLKKIRQSAKESKSNICSSCRTCCTMKCCRFKCPDCSNCSNCCSNCMNVSWSINCPEWCWRPSCMKGCCFLKLFPSCLGSCCCKKCSWPSCCGVTKCSLPKCGRPSCCCKGCCSCFCWQCCVGKKHSDGSRNGKQNG >Vigun06g086400.1.v1.2 pep primary_assembly:ASM411807v1:6:21836830:21838060:-1 gene:Vigun06g086400.v1.2 transcript:Vigun06g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVDLTFITKTSKRKATFKKRKNGLIKKIGEISILCGIQACAIIYSPDEPDQPEVWPSEEGVESAITRFRSVSELEQSKKMFCQESFLRQRIVKVQEQLKKVRNENRKKEINHLISQYLTVGNKLESANIIDLNDISFLADQCLEDITKKIAARKAQMGTPVTENNGGQTVIRHGEPARVNHHVQVQGPYTTDVEAMQNLNWSAEIINGGAANEMLALDDVNVPSGWLNQYIP >Vigun10g081933.1.v1.2 pep primary_assembly:ASM411807v1:10:23135502:23136065:-1 gene:Vigun10g081933.v1.2 transcript:Vigun10g081933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLELHSRTLLFNHKLPSLLKKEIEDEEKLKMVNDLAVLKKLYASDKEITEMKCALVEKEKAREDVETESFEYQKLVHVEHKNGFLKELWKAEFILQILMQDERFDVNKDVFKGTLIDINKIAAVRNGLTTKDADINIDADMTSLIDT >Vigun04g082000.8.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385824:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSENDMEDGKEVVNNFPCIAGPIVYSPPTPSSIASFVGEVGSKYHLRRNRSSIVRKSHTSDDELDELKSPLSSIFLSASSSPKLSTKSSFKMKQVSNQSPLRYELLKDVWIKSE >Vigun04g082000.4.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385824:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSENDMEDGKEVVNNFPCIAGPIVYSPPTPSSIASFVGEVGSKYHLRRNRSSIVRKSHTSDDELDELKSPLSSIFLSASSSPKLSTKSSFKMKQVSNQSPLRYELLKDVWIKSE >Vigun04g082000.1.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12384870:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSENDMEDGKEVVNNFPCIAGPIVYSPPTPSSIASFVGEVGSKYHLRRNRSSIVRKSHTSDDELDELKSPLSSIFLSASSSPKLSTKSSFKMKQVSNQSPLRYELLKDVWIKSE >Vigun04g082000.6.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12384870:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSEVPLCIHLQHHLPLQALLERWEANII >Vigun04g082000.3.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385868:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSEVPLCIHLQHHLPLQALLERWEANII >Vigun04g082000.2.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385868:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSENDMEDGKEVVNNFPCIAGPIVYSPPTPSSIASFVGEVGSKYHLRRNRSSIVRKSHTSDDELDELKSPLSSIFLSASSSPKLSTKSSFKMKQVSNQSPLRYELLKDVWIKSE >Vigun04g082000.5.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385824:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRGKNRKGVTVQIDFLIHIQEIKPWPPSQSLRSLRSVLIEWKNGECASGSTTLVAPSLGSVIGEGRIEFNKSFRLHVTLLRDMSVRSGDSDVFQKNCLEFNLYEPRRDRTIKGQLLGTAVIDLAEYGTLKESLSTSVPMNCKRSYRNTEQPLMFLKIQPFDRKLKVLNNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSAAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSEVPLCIHLQHHLPLQALLERWEANII >Vigun04g082000.7.v1.2 pep primary_assembly:ASM411807v1:4:12378018:12385824:-1 gene:Vigun04g082000.v1.2 transcript:Vigun04g082000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPFQFSCRFLILSLSLPTTVFPAAASPSLDSRGFTPLKLGKNEPMSNTGVNAMEHPLASETRLENMDMMQQDTHKKLERSYYMSPLDASSIRSVVNDHASSSPIRNSLSVKKFAASPSANTSSSSIFEDLDINSRSNTRSSGYESLDQSFQEKLANYRNIVADVQRNTNGSTFGIYSKQTSSQDRAQFSGKSPGYENCDETEYGDKYIMKDSGGDKIRHGSVEDISGNEKYELDRQNCIEDENFEAQDAKDQASMDSNAYSFGGSNIAMQENNLRSERLKNIKSVRIPADSTKNTVSPGSHHHAEVNENGILGYSQNSGGNRSNERRDSKIHTKEPRNGTLDGKVEQLEKKIKMLEGELREAAAVESALYSVVSEHGNSTSKLHAPARRLSRLYLHACKENVQGRRAGAAKSSVSGLVLVTKACGNDVPRLTFWLSNSIALRTIISRTVKDPSNPVKSGRRKKTEEEGYGNLTASLRVKGLYPRKNENAALGYGGFGNWDDPQVLLLALEKVEAWIFSRIVESIWWQILIPHMQHTKFNSKDVVSDSRKSYRRTSSSCDQEQGNLSLCIWKNAFREACERICPIRAGGHECGCLPMLSRLIMEQCVARLDVAMFNAILRESSDEIPTDPVSDAVSDPKVLPIPPGKISFGAGAQLKTVIGTWSRWLTDLFGMDDDDDDSIGDKAETDNSEEKQNASLKSFSLLNALSDLLMLPKDMLLSASIRNEVCPMLNATLMKKILHNFVPDELCPDPVPSDVFEALDSENDMEDGKEVVNNFPCIAGPIVYSPPTPSSIASFVGEVGSKYHLRRNRSSIVRKSHTSDDELDELKSPLSSIFLSASSSPKLSTKSSFKMKQVSNQSPLRYELLKDVWIKSE >VigunL080701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:22738:23019:1 gene:VigunL080701.v1.2 transcript:VigunL080701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Vigun05g271900.2.v1.2 pep primary_assembly:ASM411807v1:5:46297000:46300357:1 gene:Vigun05g271900.v1.2 transcript:Vigun05g271900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAAEEESTLIAIDTDQNSQHAVKWAVEHLFKNNSPCTLLHVTTKNLHHNDLEVSPKQGRPPTEEELQHIFLPFRGFCARKGIAVKELVLQDTDVVKALTNYITQNSINNVVVGASRSNALLRKFKDVDVPTSLVRCLPQSCMVHIISKRKVQNIRPHSHHNSSIAPSKSLKAIKESFRSKDLNRRSLHIKFWHRSNNDWVSPITPHKNNAETASPKPLDEEREAMESKKGKQIETKVMHEKEEEMNKPSNEIAPNKVPFKRYNIKEIEVATNYFDNALKIGEGSYGPVFKGVLDDIDVAVKALRPDITQGEKQFQQEVVVLSKIRHSNMVTLLGACPEYGCLVYEYMENGSLEDRLFQKDNTPAIPWKVRFRIASEIATGLLFLHQTKPEPIVHRDLKPANILLDCDYGSKITDVGLARLVPPAIPNKTTQYHKTTAAGTFCYIDPEYQQTGLLGVKSDIYSLGVMLLQIITGKSPMGVGHLVQEAIEKGRFSEVLDPNVMDWPVEETLSYARLALKCCEMRRRDRPDLASVILPELIRLRNLGSHK >Vigun09g016800.1.v1.2 pep primary_assembly:ASM411807v1:9:1248643:1258363:1 gene:Vigun09g016800.v1.2 transcript:Vigun09g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRDAGGVAGPVLIPMRFVWPYGGRSVFLCGSFTRWSELLPMSPVEGCPTVFQVIYNLPPGYHQYKFFVDGEWRHDEHQPYVPGEYGMVNTVFLATDPNYIPVLNPDVAPGNSMDVDNEAFRRVVRLTDGSEVLPRISDADVQISRQRISAFLSSHTAYELLPESGKVVALDVDLPVKQAFHILHEQGIFMAPLWDFCKGQFVGVLSALDFILILRELGNHGSNLTEEELETHSISAWKEGKSYLNRQNNGHGAVFPRRFIHSGPYDNLKDIAVKILQKDVSTVPVIHSSSEHASFPQLLHLASLSGILKCICRYFRHCSSSLPALQFPICAIPVGTWVPKIGESNRRPLAMLRPTASLASALNLLVQAQVSSIPIVDDNDSLLDIYCRSDITALAKNRAYTHINLDEMTVHQALQLGQDAYSPYELRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFFLGG >Vigun09g016800.2.v1.2 pep primary_assembly:ASM411807v1:9:1248762:1258251:1 gene:Vigun09g016800.v1.2 transcript:Vigun09g016800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRDAGGVAGPVLIPMRFVWPYGGRSVFLCGSFTRWSELLPMSPVEGCPTVFQVIYNLPPGYHQYKFFVDGEWRHDEHQPYVPGEYGMVNTVFLATDPNYIPVLNPDVAPGNSMDVDNEAFRRVVRLTDGSEVLPRISDADVQISRQRISAFLSSHTAYELLPESGKVVALDVDLPVKQAFHILHEQGIFMAPLWDFCKGQFVGVLSALDFILILRELGNHGSNLTEEELETHSISAWKEGKSYLNRQNNGHGAVFPRRFIHSGPYDNLKDIAVKILQKDVSTVPVIHSSSEHASFPQLLHLASLSGILKCICRYFRHCSSSLPALQFPICAIPVGTWVPKIGESNRRPLAMLRPTASLASALNLLVQAQVSSIPIVDDNDSLLDIYCRSDITALAKNRAYTHINLDEMTVHQALQLGQDAYSPYELRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFFLGG >Vigun05g226700.1.v1.2 pep primary_assembly:ASM411807v1:5:41915347:41922521:-1 gene:Vigun05g226700.v1.2 transcript:Vigun05g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGTEPLLSGFSAGEETPRSNSKHVSDGKLERILTDDTLPFLRRVGAALWVEVKLLYVLAAPAIFVYVINYVMSMSTQIFSGHLGNLELAAASLGNTGIQLLAYGLMLGMGSAVETLCGQAYGAQKFGMLGVYMQRSTVLLSLAGIVMAVIYVFSEPILLFLGESAEIAAAAALFVYGLIPQIFAYAVNFPIQKFMQAQSIVAPSAIISGVALLVHLLLSWLAVYKIGMGLLGASLTLSLSWWIIVIAQFVYIVKSPRCKQTWQGFTWQAFSGLPSFFKLSAASAVMLCLETWYYQILVLLAGLLPHPQLALDSLSICTTISGWFFMISAGFQAAASVRVGNELGAGNPKSASFSVVVVTAISFIISAIIAVVILALRDVISYAFTGGEEVAAAVSDLCPLLALAILLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYGVGLPVGAVLGFVFHYGAKGIWLGMLGGTAMQTVILLWVTFRTDWNKEVEEAAKRLSVWEDKKEAAVLD >VigunL059122.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000014.1:315076:316013:-1 gene:VigunL059122.v1.2 transcript:VigunL059122.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQVEVVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADKPLFNGPKLHFLIFLNPNQCNASHLDFPADFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSRKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >VigunL059122.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000014.1:315076:315816:-1 gene:VigunL059122.v1.2 transcript:VigunL059122.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADKPLFNGPKLHFLIFLNPNQCNASHLDFPADFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSRKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun11g011300.1.v1.2 pep primary_assembly:ASM411807v1:11:1413507:1416838:1 gene:Vigun11g011300.v1.2 transcript:Vigun11g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMEEEEKEETTNHNLDKNKNQNQNQNQNLNLGHNNSLPIKEESGFRSKGFGGGGGDRVKRDEWSEGAVSTLLEAYEAKWVLRNRAKLKGHDWEDVARHVSARANCTKSPKTSTQCKNKVESMKKRYRSESATAEHPSSWPLYSRLDLLLRGTGPLLPSPPPPPPPPPLPAVLPAPPSPPSEPLAVVQNSHGSNGVDDKLVKEDVLAAKSCEHVSSKNPLDTDSSTPALYSNKKEEMRCNKRKKKVIEKTTAGRRRKEYMEIAESLRWLAEAMIRSEEARMETMKEIERMRVEAEAKRGEMDLKRTQIIANTQLEIARIFATVNNKPLDSSLRIGRS >Vigun05g232000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42588266:42588370:1 gene:Vigun05g232000.v1.2 transcript:Vigun05g232000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRKLVVGNLDPQRKRSGGLRTKQAGRGSCRGS >Vigun01g190500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36916436:36917120:1 gene:Vigun01g190500.v1.2 transcript:Vigun01g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDLKHRLTPHPDTAANDNGMIGEKRQKRKVMAKRGLKSLAIAVTLPLSLTVLSACVGSFIARDDSLSSRRPFWFPPSWALHFTCPATSFLMGLAAWMVWADGGFHRNPTALLLYFIQLLFSVLWDPLVFAAGATRLSLMLCLGLFVSQYGCMHAFRSVNPIAADLIKPCLAWVAFLSIANLKLLFV >Vigun11g201000.1.v1.2 pep primary_assembly:ASM411807v1:11:39908005:39916467:-1 gene:Vigun11g201000.v1.2 transcript:Vigun11g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNVSDDNRFPNPKANATATTSTSGFTFSSTSSVSGISRPRFVKVRKPNNAPAFNPFRNGAAANAAFANPDFAAGIGDRFQNLKIGEDFDAARHGEFVFATNTSSRVDGNSVSEQMSKLKIVNEGGTGFNESDLRNDPRKKLNIKKGRGNNAATENSTHEVLCQLKNLNVNDSVGSNVRKSKLDAKPSLENVTTFGKYEMGAGLSEKFEKLNLVKEKKEDCAEPNLRDPFVEAIDRRGASGGGAQVISEDSGVSQSAASASSSMFFQPVGVSKNGGFVFTGKQDSSGLSSVEFKTPASKVGKEGKLKQKSSKMRMNRSRENLKHFSTTQRWHGHGEGFASKESVPQDQLQGSPMDVSPYQEKLAENERSRESSLTSDELCSVDKNPVVDDSATSSVDPIDEDLIAATESLNINKGDVAFRDTNPDQIRANSYVEIPKNESISGVETKSFKSANDQVDITSDAAGVSGETEAHIDRMVNVGSAMSSSRASESGFTFAAASSAEAQSCSPKRHHKKKNVGLDSHNYSPSIKVPYSSSTVAFTPFSGTSSLFALGQGLKPKVSPSQPKTSDSDENEKGLKETSASISAASVAAQEACEKWRLRGNQAYKKGDLSAAENCYKQGLSCVSQAEASRNCLRALLLCYSNLAATHMSLGRMRDALEDCKKADEIDPNFLKVQLRAANCYLALGEVEGASQNFKRCLQSGTDICVDRKIAVEASDGLQKAQKVSDVINHSAQLLQRRTSTDAERALEHIDEALMISSYSEKLLEMKAEALLMLCRYDEVIQLCDKTLDSAEKNTCPLDAGCQVTDLDNSQLSKGFYFRIWRCSMMLKAYFHLGKFEEGLSLLEQQQEKMSAVNKSGSKVLDSLIPLAALIREALHHKTAGNAAFQAGRHAEAVEHYTSALSCNVESRPFAAVCYCNRAAAYKALGQITDAIADCSLSIALDGNYLKALSRRATLYEMIRDYAQAASDLRRLVCLLSKGLEDNANQLGISNDLKQNRIRLSEVEEEARKEIPLDMYLILGVEPSVSISEIKKAYRKAALRHHPDKAGQSLTKSDNGDDQIWKVIAEEVQRDADRLFKIIGEAYAVLSDPAKRARYDAEEEMRNSQRKRHGPIGRNNVDAQYYPFEQSSRRQWREAYKSYGYSSTRASEPARSSRK >Vigun04g146400.2.v1.2 pep primary_assembly:ASM411807v1:4:36175610:36179810:-1 gene:Vigun04g146400.v1.2 transcript:Vigun04g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMISEMPDTVFLHIMSFMNTKYAVQSCVLSKRWNHLCKRLINLTYSPVPNLPKKIGVERFKKFATWVLSTRDNSCSLHNLGLHLCWTEPELLDKIIKHALFCNVQQLILDVYSGFRPDFESLPLMFCSQSLTSLELCISWNYALIVLPKSLHMPVLKNLHLQGVTFTASDNDSCDPFSNCHLLNTLVLRYCSLHDDAQVLCISNSTLSSFTLYEGQTYQIQLSTPNLSSFTIRGSATHQLFSTCNLSFLEEVNIDMSWDARWAEKSSIISSNIMRWLQVLANVKKLTFTSWYPFQIMLHDFSNPTSMRPEPPSLVRLESLKVFKHFFVEVSDEKVNTVVEYLLRGSPMAKVHIIRRA >Vigun10g100600.3.v1.2 pep primary_assembly:ASM411807v1:10:29358541:29364382:1 gene:Vigun10g100600.v1.2 transcript:Vigun10g100600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGYNYQQQQQQHSYGYDPSQIQIQPYDQSYAYQQYYAYNSQYAYFPNTHQGQFQFQSEPAPLHPPGVNPTVPESAPDHKLTTYQGLLDQQYLEAHRDRHELSQVPGERTGPSQYRGRGGRGGRPFRGAGRGRGHGRGHGVGGGRHAPSHSSGAAISHAAGAPAAAGTGSAIDPSSSVSVPTQLPKQARVQPPAPRKVCCEICKVECNTPEILEQHKNGKKHQKNMRVHEELQRRNALNGQQNAQIPTSQLNLTDQPKQVQESENNGSPAENLGSRVIVNNNHEDETQQQNNVGNISEASEAPEAKNTDNSAATRGRGIKRKKKGGGKGGKYMRTNDGLKPVEPAQTMSFRCELCDVKCESQVVYQSHVTGKKHLSKLRRAHDPQASSGVGQQPALSGALLGLQALYPPDINALSNAINAQVQQGDNDPQVLLAQLLMTVLSQAQVTAASQVTGVTAAQMPGPISMAGPSYEPQLLQTQVSEITPHVNLENPSGETKTEVLPDPSLESKAQQGSSVSTQIEGGGSETKQSV >Vigun10g100600.4.v1.2 pep primary_assembly:ASM411807v1:10:29358541:29364384:1 gene:Vigun10g100600.v1.2 transcript:Vigun10g100600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGYNYQQQQQQHSYGYDPSQIQIQPYDQSYAYQQYYAYNSQYAYFPNTHQGQFQFQSEPAPLHPPGVNPTVPESAPTGPSQYRGRGGRGGRPFRGAGRGRGHGRGHGVGGGRHAPSHSSGAAISHAAGAPAAAGTGSAIDPSSSVSVPTQLPKQARVQPPAPRKVCCEICKVECNTPEILEQHKNGKKHQKNMRVHEELQRRNALNGQQNAQIPTSQLNLTDQPKQVQESENNGSPAENLGSRVIVNNNHEDETQQQNNVGNISEASEAPEAKNTDNSAATRGRGIKRKKKGGGKGGKYMRTNDGLKPVEPAQTMSFRCELCDVKCESQVVYQSHVTGKKHLSKLRRAHDPQASSGVGQQPALSGALLGLQALYPPDINALSNAINAQVQQGDNDPQVLLAQLLMTVLSQAQVTAASQVTGVTAAQMPGPISMAGPSYEPQLLQTQVSEITPHVNLENPSGETKTEVLPDPSLESKAQQGSSVSTQIEGGGSETKQSV >Vigun10g100600.2.v1.2 pep primary_assembly:ASM411807v1:10:29358541:29364382:1 gene:Vigun10g100600.v1.2 transcript:Vigun10g100600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGYNYQQQQQQHSYGYDPSQIQIQPYDQSYAYQQYYAYNSQYAYFPNTHQGQFQFQSEPAPLHPPGVNPTVPESAPTGPSQYRGRGGRGGRPFRGAGRGRGHGRGHGVGGGRHAPSHSSGAAISHAAGAPAAAGTGSAIDPSSSVSVPTQLPKQARVQPPAPRKVCCEICKVECNTPEILEQHKNGKKHQKNMRVHEELQRRNALNGQQNAQIPTSQLNLTDQPKQVQESENNGSPAENLGSRVIVNNNHEDETQQQNNVGNISEASEAPEAKNTDNSAATRGRGIKRKKKGGGKGGKYMRTNDGLKPVEPAQTMSFRCELCDVKCESQVVYQSHVTGKKHLSKLRRAHDPQASSGVGQQPALSGALLGLQALYPPDINALSNAINAQVQQGDNDPQVLLAQLLMTVLSQAQVTAASQVTGVTAAQMPGPISMAGPSYEPQLLQTQVSEITPHVNLENPSGETKTEVLPDPSLESKAQQGSSVSTQIEGGGSETKQSV >Vigun10g100600.1.v1.2 pep primary_assembly:ASM411807v1:10:29358541:29364384:1 gene:Vigun10g100600.v1.2 transcript:Vigun10g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGYNYQQQQQQHSYGYDPSQIQIQPYDQSYAYQQYYAYNSQYAYFPNTHQGQFQFQSEPAPLHPPGVNPTVPESAPDHKLTTYQGLLDQQYLEAHRDRHELSQVPGERTGPSQYRGRGGRGGRPFRGAGRGRGHGRGHGVGGGRHAPSHSSGAAISHAAGAPAAAGTGSAIDPSSSVSVPTQLPKQARVQPPAPRKVCCEICKVECNTPEILEQHKNGKKHQKNMRVHEELQRRNALNGQQNAQIPTSQLNLTDQPKQVQESENNGSPAENLGSRVIVNNNHEDETQQQNNVGNISEASEAPEAKNTDNSAATRGRGIKRKKKGGGKGGKYMRTNDGLKPVEPAQTMSFRCELCDVKCESQVVYQSHVTGKKHLSKLRRAHDPQASSGVGQQPALSGALLGLQALYPPDINALSNAINAQVQQGDNDPQVLLAQLLMTVLSQAQVTAASQVTGVTAAQMPGPISMAGPSYEPQLLQTQVSEITPHVNLENPSGETKTEVLPDPSLESKAQQGSSVSTQIEGGGSETKQSV >Vigun07g191300.1.v1.2 pep primary_assembly:ASM411807v1:7:30963291:30965986:-1 gene:Vigun07g191300.v1.2 transcript:Vigun07g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEKKPAEKKPAEKAPAEKTKAEKKIPKDATSGDKKKKKKAKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun02g101032.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25590475:25591458:1 gene:Vigun02g101032.v1.2 transcript:Vigun02g101032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGIVIQNLQSFGQDQLATFWGVDKQTLKLSSNLSAIRAVLRDAERKQITSHAVKDWLQKLTDAAYVLDDILDECSIHFTKMHSDDGHISCLSRLHPSDILFRFNIGKRMEDITQRFHDIHEEKSKFNLEPGVTEVVQTVDDDWRQTSSDITEPVVYGRDHDREQIVKFLLEDASNSEDLSIYPIVGMGGLGKTTLAKQVFNDHRVCKHFDLTIWVCVSDDFNTKTILQSIMECITGKNPNLNSLEAMRKKVEEVLHGMRYLLVLDDVWNEDQEKWEQLKGKLQCARAAKGATVLVTTRLEEVASTMQTQPAYHLKKLSEDDS >Vigun06g112400.1.v1.2 pep primary_assembly:ASM411807v1:6:24093634:24095227:1 gene:Vigun06g112400.v1.2 transcript:Vigun06g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPNLLPDEASPEWLNKGDNAWQLMAATVVGLQSIPGLVILYGSLVKKTWAINSAFMAFYAFAAVLLCWVAWGFRMSFGEKMVFFLGKPGAALDQEFLLGKAFLGLFPTATMVFFQGMFAAITLILIAGALLGRMNILAWMLFVPLWVTFSYTVTAFSIWCPEGWLAKLGVIDFSGGYVIHLSAGVAGYTAAYWVGPRCEKERERFPSNNMIVGLAGAGLVWMGWSGFNGGGPFVVGTVASLAVLNTHVCAAASIMVWVLLDTFYFGKPTVFGAIQGMITGLVCITPAAGVVQGWAAILMGLISGSVPWYTMMILHNKVPFLNQIDDPMAVFHTHAVAGALGGILTGVFAVPKLCRLFYMVPDWEKYIGLAYGLQNGATGAGLRQMGIQVGAIVFVVIFNFVTTSLICLVVGALVPLRLHTDALQMGDKAVHGEEAFALNNADPAKFENLKHNKVYDTQDFSFVTNPRPLSQLQMV >Vigun08g100800.1.v1.2 pep primary_assembly:ASM411807v1:8:24813049:24818907:-1 gene:Vigun08g100800.v1.2 transcript:Vigun08g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLQRGVSGGVRFPESGNDLWDSQSKDKPEKEDLDKRGSSDHSPFALRFPLRLLLGNNSDSKYGNGIGENGFASDSFIVSSPRNRLKLMLLSLKFSLVVIVLLALTGSFWWTLSISSSSRGHIYHGYRRLQEKLVSDLMDIGEFSRGPLRLKESEFCPQELENFVPCYNVSENVELEVSDSNEIDRQCGHEPRQNCLVLPPVNYKIPLRWPTGKDVIWSANVKITAQEVRSSGSLTKRMMMLDEEQISFRSASHMFDGVEDYSHQIAEMIGLRNESYLIQAGVRTILDIGCGYGSFGAHLFDSHLLTMCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPFPSLSFDMLHCARCGIDWDQKDGMLLIEADRLLKPGGYFVWTSPLTNARDKGNQKRWKFIQDFTLTLCWELLSQQDETVVWKKTSKKSCYASRKSGSGPSLCGRGIDVETPHYRELQNCIGGMQSARWIPIEKRERWPSRANLNKNELAIYGLQPDELTEDSDSWKIAVQNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVVDMNAHFGGFNSALLQARKSVWVMNVVPINGPNYLPLIQDRGFVGVLHDWCEAFPTYPRTYDLVHAAGFLSLETEQHRCTMLDMFLEIDRILRPEGWVIIRDTVPLIESARALTAQLKWDARVVEIESDSDQRLLICQKPFFKRQAS >Vigun08g100800.3.v1.2 pep primary_assembly:ASM411807v1:8:24813156:24818776:-1 gene:Vigun08g100800.v1.2 transcript:Vigun08g100800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLQRGVSGGVRFPESGNDLWDSQSKDKPEKEDLDKRGSSDHSPFALRFPLRLLLGNNSDSKYGNGIGENGFASDSFIVSSPRNRLKLMLLSLKFSLVVIVLLALTGSFWWTLSISSSSRGHIYHGYRRLQEKLVSDLMDIGEFSRGPLRLKESEFCPQELENFVPCYNVSENVELEVSDSNEIDRQCGHEPRQNCLVLPPVNYKIPLRWPTGKDVIWSANVKITAQEVRSSGSLTKRMMMLDEEQISFRSASHMFDGVEDYSHQIAEMIGLRNESYLIQAGVRTILDIGCGYGSFGAHLFDSHLLTMCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPFPSLSFDMLHCARCGIDWDQKDGMLLIEADRLLKPGGYFVWTSPLTNARDKGNQKRWKFIQDFTLTLCWELLSQQDETVVWKKTSKKSCYASRKSGSGPSLCGRGIDVETPHYRELQNCIGGMQSARWIPIEKRERWPSRANLNKNELAIYGLQPDELTEDSDSWKIAVQNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVVDMNAHFGGFNSALLQARKSVWVMNVVPINGPNYLPLIQDRGFVGVLHDWCEAFPTYPRTYDLVHAAGFLSLETEQHRCTMLDMFLEIDRILRPEGWVIIRDTVPLIESARALTAQLKWDARVVEIESDSDQRLLICQKPFFKRQAS >Vigun08g100800.2.v1.2 pep primary_assembly:ASM411807v1:8:24813049:24818794:-1 gene:Vigun08g100800.v1.2 transcript:Vigun08g100800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLQRGVSGGVRFPESGNDLWDSQSKDKPEKEDLDKRGSSDHSPFALRFPLRLLLGNNSDSKYGNGIGENGFASDSFIVSSPRNRLKLMLLSLKFSLVVIVLLALTGSFWWTLSISSSSRGHIYHGYRRLQEKLVSDLMDIGEFSRGPLRLKESEFCPQELENFVPCYNVSENVELEVSDSNEIDRQCGHEPRQNCLVLPPVNYKIPLRWPTGKDVIWSANVKITAQEVRSSGSLTKRMMMLDEEQISFRSASHMFDGVEDYSHQIAEMIGLRNESYLIQAGVRTILDIGCGYGSFGAHLFDSHLLTMCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPFPSLSFDMLHCARCGIDWDQKDGMLLIEADRLLKPGGYFVWTSPLTNARDKGNQKRWKFIQDFTLTLCWELLSQQDETVVWKKTSKKSCYASRKSGSGPSLCGRGIDVETPHYRELQNCIGGMQSARWIPIEKRERWPSRANLNKNELAIYGLQPDELTEDSDSWKIAVQNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVVDMNAHFGGFNSALLQARKSVWVMNVVPINGPNYLPLIQDRGFVGVLHDWCEAFPTYPRTYDLVHAAGFLSLETEQHRCTMLDMFLEIDRILRPEGWVIIRDTVPLIESARALTAQLKWDARVVEIESDSDQRLLICQKPFFKRQAS >Vigun07g231900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35388519:35389535:-1 gene:Vigun07g231900.v1.2 transcript:Vigun07g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGQVDPFHHLKILPNSDGTITRLRADPLTHPTSDATVPIPVLTQDATINQSSKTFARIFLPRRALHNSSKNLPLIVYFHGGGFVLFSAATHFFHDACLNLAKDTNSIVVSVDYRLAPEHRLPAAYDDAVEALHWLKSNPHDWLRNHADYSNCYLVGSSAGANIAYHAALRVAAQTNSHGINYLEPLKIRGLILSQPFFGATQRVPSEVRLAEDPVLAPHVCDVLWELSLPVGVDREHEYCNPRGGDGGVILERVRELGWRVLVSGCHEDPLVDHQIGLATLVAEKGVPVLTSFSPTGSHGAEVRDPLHQNRLHHLVQNFIAPPPSIHNLSPQNSA >Vigun10g094225.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:27234441:27234755:-1 gene:Vigun10g094225.v1.2 transcript:Vigun10g094225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSCVRGELLSIVGLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKVYDSTSIFPIRQFDLCYDFSFMDIDKILPSSSTLGWHSLQVKGEVQTKKGLW >Vigun03g239400.1.v1.2 pep primary_assembly:ASM411807v1:3:39914750:39917106:-1 gene:Vigun03g239400.v1.2 transcript:Vigun03g239400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSNQAKEQSSFLTKKLLPWTLYALILVTLLHFYLNTLSFPPSPQPQLLHSLNSHSSPHSPPPSDDEKGEDTSCDYFNGKWVRDKRGPLYNGTTCGTIKENQNCITHGRPDMGYLYWRWKPNECHLPRFDPLAFLNLVRNKHLAFVGNSMARNQLESLLCMLTTASSSTLLYNNDSNKFRRWHFASHNVSVSLYWSPFLVKGVEKSNGGPDHNELYLDHVDERWGADIGEMSLIVLSIGHWFLHPAVYYEGGLVLGCHYCPGLNHTEIGFYDVLRKALRSTLNGIVDRRGGKGGSDGVGVIVTTFSPAHFEGEWDKAGACPKTRPYGKEEKKVEGMDAEMREIEMKEVEAAKVKAKGIGGFRLEALDVTKLALLRPDGHPGPYMYPFPFSNGAQERVQNDCVHWCLPGPIDTWNEIFLQILKKWEEQHRTEE >Vigun03g239400.3.v1.2 pep primary_assembly:ASM411807v1:3:39913953:39917007:-1 gene:Vigun03g239400.v1.2 transcript:Vigun03g239400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSNQAKEQSSFLTKKLLPWTLYALILVTLLHFYLNTLSFPPSPQPQLLHSLNSHSSPHSPPPSDDEKGEDTSCDYFNGKWVRDKRGPLYNGTTCGTIKENQNCITHGRPDMGYLYWRWKPNECHLPRFDPLAFLNLVRNKHLAFVGNSMARNQLESLLCMLTTASSSTLLYNNDSNKFRRWHFASHNVSVSLYWSPFLVKGVEKSNGGPDHNELYLDHVDERWGADIGEMSLIVLSIGHWFLHPAVYYEGGLVLGCHYCPGLNHTEIGFYDVLRKALRSTLNGIVDRRGGKGGSDGVGVIVTTFSPAHFEGEWDKAGACPKTRPYGKEEKKVEGMDAEMREIEMKEVEAAKVKAKGIGGFRLEALDVTKLALLRPDGHPGPYMYPFPFSNGAQERVQNDCVHWCLPGPIDTWNEIFLQILKKWEEQHRTEE >Vigun03g239400.2.v1.2 pep primary_assembly:ASM411807v1:3:39914748:39917144:-1 gene:Vigun03g239400.v1.2 transcript:Vigun03g239400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSNQAKEQSSFLTKKLLPWTLYALILVTLLHFYLNTLSFPPSPQPQLLHSLNSHSSPHSPPPSDDEKGEDTSCDYFNGKWVRDKRGPLYNGTTCGTIKENQNCITHGRPDMGYLYWRWKPNECHLPRFDPLAFLNLVRNKHLAFVGNSMARNQLESLLCMLTTASSSTLLYNNDSNKFRRWHFASHNVSVSLYWSPFLVKGVEKSNGGPDHNELYLDHVDERWGADIGEMSLIVLSIGHWFLHPAVYYEGGLVLGCHYCPGLNHTEIGFYDVLRKALRSTLNGIVDRRGGKGGSDGVGVIVTTFSPAHFEGEWDKAGACPKTRPYGKEEKKVEGMDAEMREIEMKEVEAAKVKAKGIGGFRLEALDVTKLALLRPDGHPGPYMYPFPFSNGAQERVQNDCVHWCLPGPIDTWNEIFLQILKKWEEQHRTEE >Vigun01g147500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32901528:32901902:-1 gene:Vigun01g147500.v1.2 transcript:Vigun01g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFKNDSFEYINLDGKNVGATMHIHHRTTKVDPKDCCCINIYVNNNVQGVSNSVLHGSEVRMRDPGISLYFENFKVERGTPTSSKNFFWRNRFGFWLCILTLLALMFLLVLLFSTRFIIIVF >Vigun01g032600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4145965:4146204:-1 gene:Vigun01g032600.v1.2 transcript:Vigun01g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun05g189400.1.v1.2 pep primary_assembly:ASM411807v1:5:36802187:36804139:-1 gene:Vigun05g189400.v1.2 transcript:Vigun05g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNRRSSSRVYKGFFQTLFNVGNSSTRFVHSCPASLIQNILKFRRDKPTDQLHRALDHCGVDLNHHLVLDVLRRHRSDWRPAHVFFNWSKTAGYEPSSDVCNEMLDILGKMQRFQELHQVLDEMSNREGLVDEKMFATLLRRFVGAHKVDDAIQLFRRRKEFGLEFGSEAFRTLLMWLCRFKHVEEAETLFHNVMKKGFSADIKTWNVILNGWCVLGNVHEAKRVWKDIVASPCKPDVFTYATFIKALTKKGKLGMALRLFRGMLDKGCKPDVVICNCIIDALCFKKRIPEALEIFRDMSERGCEPSVSTYNSLIKYMCKIRRMEKVYELVDEMERRNGSCLPNVVTYCCLLKSLKEPGEVHEVLGRMKRKGCSMNDDVYNLVLRLYMKWGDEDGVRKTWEEMERNGWGPDRRSYTIMIHEHFGKGRVKDVVRYFEEMVAKGMVPEPRTEKLVSSLNIRMKGRTEKQEDVEEQRTGL >Vigun04g136900.2.v1.2 pep primary_assembly:ASM411807v1:4:34235228:34245391:1 gene:Vigun04g136900.v1.2 transcript:Vigun04g136900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWRDDENSRDCCKWKGIRCDHITGHVTILRLPGSYAQYLRGAINISSLFPLQNIQHLDLSYNLFEGSEIPQLMGSLTNLRYLNLSYSYINGSIPTQLGSLTHLRCLDLSHNYFLHGDIPYQLANLSQLRYLDISRNSFSGTLPFHAGNLPFLHTFRVDGNLEVKPKDAQWLSNLHSLKNPALYYLQNLDWLQTITFPNLKELRLVHCSLSDTHIHSLFYSPSNFSSSLMILDLSYNMLTSSTFQLLSNFSLNLQELYLSHNDLVLSSPLHSTFPSLVTLDLSYNNMTSFEATSILASKLQNLYLRNCSLRDDNFLISATTIANSSSSLASLDLSSNLLKSSSIFSWLFNSTTNLRTLQLHDNMLEGPIRNEFGKVMNSLQVLDLSDNKLQGAIPSSFGNICTLESLILFKNKLSGKFSSFFQNSSWCNKHVFQILDLSFNNITGTLPKSIGLLSELEYLLLDGNCLEGDVTESHLSSFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLGPSFPSWLHTQSSLNYLDISDNGLNYVPDWVWNNLQNIGMLDMSHNNLSGPIPNISLKLHYTPSVMLNSNQFEGKIPSFLLQAFDLGLSNNKFSDLFSFICNQGNSEMWILDLSNNQLKGQLPDCWTSVDWLGYLDLSNNQLSGRIPLSMGSLVELKVLVLRNNNLTGELASTLKNCSNLIMLDVAKNMLSGPIPSWIGKIMQQLIILNMRENHFYGNLPIELCYLKYIQLLDLSNNMLSKGIPSCLKELTAMSKKGIHTRGTLNRMYFIYIPYIKIYDYFFKVEYPFDISLIWKGVEQRFKNPELIKGIDLSSNKLTGEIPKEIGYLAGLVSLNLSRNNLSGQIPSEIGNLSSLESLTYQEIKSVEKFRFLCPKLTI >Vigun03g258800.1.v1.2 pep primary_assembly:ASM411807v1:3:42499720:42502997:-1 gene:Vigun03g258800.v1.2 transcript:Vigun03g258800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVKTLTYLAQEKTLESSFVRDEDERPKVAYNQFSDEIPVISLDGIDDVHGRRQNICDKIVQACENWGIFQVVDHGVDHKLISEMTRLATQFFALPPEEKLRFDMSGGKKGGFIVSSHLQGESVQDWREIVTYFSYPIKARDYSRWPDTPEGWRAVTEEYSEKLMGLACKLLEVLSEAMGLEKDALTNACVDMDQKVVVNYYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNHSRLSIATFQNPAPNATVYPLKVREGEKAVMEEPITFGEMYRRKMSKDLELARMKKLAKEKQLQELEKAKLESKPLKEILA >Vigun03g159500.2.v1.2 pep primary_assembly:ASM411807v1:3:17550728:17564583:1 gene:Vigun03g159500.v1.2 transcript:Vigun03g159500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSWRRAYGAIKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAVRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPKPAQGQEKGHSKTRDLDSEELLEQLPALQQLLHRLVGCRPEGAAVSNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALEAYKRAGQQAASLSDFYDVCKGLELARNFQFPALREPPQSFLTTMEEYIKEAPRVVTVPSEPLLQLTYRPEVLAIEDTKPSVEEQEPPPVPVDNNVVSDSEPAPPPPPPSHNNFETGDLLGLNDTAPDASLIEERNALALAIVPTETGTPSAFNSTAAQTKDFDPTGWELALVSTPSTDISAANERQLAGGLDSLTLNSLYDEAAYRSSQQPIYGAPAPNPFEVQDPFALSSSVPPPPAVQMAAMQQHQANPFGPYQPFQPQTQPQQQQQHMLMNPANPFGDAGFGAFPPNAVSHPQNNPFGSTGLL >Vigun03g159500.3.v1.2 pep primary_assembly:ASM411807v1:3:17553045:17564645:1 gene:Vigun03g159500.v1.2 transcript:Vigun03g159500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSWRRAYGAIKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAVRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPKPAQGQEKGHSKTRDLDSEELLEQLPALQQLLHRLVGCRPEGAAVSNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALEAYKRAGQQAASLSDFYDVCKGLELARNFQFPALREPPQSFLTTMEEYIKEAPRVVTVPSEPLLQLTYRPEVLAIEDTKPSVEEQEPPPVPVDNNVVSDSEPAPPPPPPSHNNFETGDLLGLNDTAPDASLIEERNALALAIVPTETGTPSAFNSTAAQTKDFDPTGWELALVSTPSTDISAANERQLAGGLDSLTLNSLYDEAAYRSSQQPIYGAPAPNPFEVQDPFALSSSVPPPPAVQMAAMQQHQANPFGPYQPFQPQTQPQQQQQHMLMNPANPFGDAGFGAFPPNAVSHPQNNPFGSTGLL >Vigun08g074600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12801489:12803339:-1 gene:Vigun08g074600.v1.2 transcript:Vigun08g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRKECLFSTTNSKMDKQWILFLLHLMPLFAVFTHGAASSTCPMDLSYVGSIPWDTSICREDPIDKKHCCDTLRSVFAIGLAERLKDHHIFYLPDPNTSSACLHDFTRRLSALSIPQNMVPFCFPNPSRFVFNSSACLGIRTVEDWNFTVGDDTPLNSTCNGDLKDKTRCRVCSDAADTLKNKLKAIDPRADATKCFYYIVLYAAALVNPFGTTDISTTSCILCLENSAVAQGSSHGVELWKLGFALMGVCIGVVLAIVMIVVYRKWDERKRQSVYHREIEKKVRACVLPNAGAKWFHVEELERATERFSRKNVVGQGGDGVVYKGTLSDGAVVAVKEIYYLEGKGDEEFCYEVEIISRIKHRNLLALRGCCISSDDLEGKRRFLVYDFMPNGSLSDQMCCYGANRLTWPQRKSIILDVAKGLAYLHYEIKPPIYHRDIKPTNILLDSKMNAKLADFGLAKQGSEDESHLTTRVAGTYGYVAPEYALYGQLTEKTDVYSFGIVILEIMSGRKVLDVMDSSAALITDWVWTMTESGKMEEVFDQSIREGQEKIMERFVLVGMLCSHSAVALRPTIVEALKMLEGVIDIPQLPERPMPLGHESFQSSLLHGLQRSG >Vigun08g075666.1.v1.2 pep primary_assembly:ASM411807v1:8:13266692:13270842:-1 gene:Vigun08g075666.v1.2 transcript:Vigun08g075666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTMLKHASLNVAFRHVVHVVHMMETTLDDISLEELNDGAQCVVDDQIVDNDNDVDLVPRVHMCFETLDAIKKFYRDFAVRTGFGIRIRSSKKGKDNELKYVKLVCCREGNYVSTIRPEVKTLPSQTKQCQARISVGKKDGKWHIRSVVMEHSHNISPIESRLISGNRKVNIHVRQTVDINDEAGVRINKSYRSLVCEAGGYENVTFIERDVRNYIAHKRRQLCKDGDGQALLRHFSHMRQVNNDFFFEIDMDEDNRISNVFWADSRSRAACEYFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGQSILLGCGLVSVEDTSTFVWLFRCWLRCMSNRAPEGIITDQCKAMRNAIQIVFPNTNHRWCLWHIMKKVPEKLQGYTQYNVIKSQMKALVYDSSGVDQFEVGWNEFITNNGLVNNEWLCSLYEDRHLWVPCYLRNKFWAGMSTTQRSEGMNAFFDGFINSSTSLQQFVVQYDNALRQKAEKEFEADFASVNTTIPCGSQSLIERQFQLHYTHAKFGEIQNEFRAKMNCFVMNVVKDGSIWNYNVKENFLWNGKRANKFHDVLFDSITTTIKCSCLLFEFRGILCRHCFLVLGQEDIDCVPEQYVLRRWSKNVRRRHTLMKAAYNRSLDDPQMQRFQSLRKRFNDINEVACETESAAQSVYDQLNTIATTLGLPNEPNPFRCGDDHPSACHEDHSLPDHVMSSAHHSNIVRSPIHVKRKAAFEADLTPNWVLSDSFGSKFNVTYNMDTANPRIVHGWTDLEKSYVSQIWDAHVQFRYISNSEFEITVFVGECSPENMLAFLRRANRDLSVPFGNLIRTKKLSEVVLLTPKTGVLCKVLLSKTKNSTKFGMGWRQFCTEHGLKEGDTLLFEVDHSETEPIIDVFINECFCDVAESVNLV >Vigun07g177950.1.v1.2 pep primary_assembly:ASM411807v1:7:29406856:29409617:1 gene:Vigun07g177950.v1.2 transcript:Vigun07g177950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNDRWGTCIKNYKCLKVLADLNAKADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun06g078750.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21029746:21031370:-1 gene:Vigun06g078750.v1.2 transcript:Vigun06g078750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHHSEDDYESHYGGSDGNSGEDSYEHDFEDDFEDDYEDDFDDTYGYGEDDYKDDFGSDFDGSDSSEYSGFTLNNSDHISESEYESHYGGSSGIIGEDSFEDESGSDLGGTSDYSEDDFESDLGGYCSSECRRGGSIGDDYGYSYGFHGFLSDDEYGYDDCWNDYESDFSYSEDTCCDYF >Vigun06g078750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21029746:21031370:-1 gene:Vigun06g078750.v1.2 transcript:Vigun06g078750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHHSEDDYESHYGGSDGNSGEDSYEHDFEDDFEDDYEDDFDDTYGYGEDDYKDDFGSDFDGSDSSEYSGFTLNNSDHISESEYESHYGGSSGIIGEDSFEDESGSDLGGTSDYSEDDFESDLGGYCSSECRRGGSIGDDYGYSYGFHGFLSDDEYGYDDCWNDYESDFSYSEDTCCDYF >Vigun06g098300.1.v1.2 pep primary_assembly:ASM411807v1:6:22914078:22915688:1 gene:Vigun06g098300.v1.2 transcript:Vigun06g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVHRRVEVNGIKMHVAEKGEGPVVLFVHGFPELWYSWRHQILALSAQGYHAVAPDLRGYGDTEAPASISSYTCFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPDRVKAYVCLSVPLLHRDPNVRTVDAMRAMYGDDYYICRFQKPGEMEAQMAEVGTEYVLKNNLTNRTPGPPIFPKGEFGTGFNPDMPDTLPSWLTQDDLDYFVSKFNKTGFTGSLNYYRNFDTNWELTSPWSGAQIKVPVKFITGDLDSVYTSLNLKEYIHGGGFKQDVPNLEEVIVLKGVSHFNNQEAAEEVNDHIYDFIKKHADAKTT >Vigun03g051400.2.v1.2 pep primary_assembly:ASM411807v1:3:4152290:4157088:1 gene:Vigun03g051400.v1.2 transcript:Vigun03g051400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPTWKTMAVTVVLLQLSFSLEIFCLSNHADRIVRLPGQPNTRFQQFSGYVTVDDLKHKALFYYFVESETHPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGKVLIKNEYSWNRETNILYLETPVGVGFSYAKGGSSYETVNDETTARDNLVFLQRWFNKFPQFRHRDLFLAGESYAGHYVPQLAKLMIEINKKEKLFNLKGIALGNPVLEYATDLNSRAEFFWSHGLISDSTYKLFTTGCNYSRYVSEYYRDSISPLCSKVLRQVARETSKFVDKYDVTLDVCISSVLSQSKAICPQGQKTNESIDVCVDDKVTNYLNRKDVQEALHAKLVGVQKWDVCNNILDYDMLNLEKPTLPIVGSLIKSGVRVLIYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVYGSILSFATVRGASHEAPFSQPERSFVLFKSFLEDRPLPEIF >Vigun03g051400.1.v1.2 pep primary_assembly:ASM411807v1:3:4152285:4157131:1 gene:Vigun03g051400.v1.2 transcript:Vigun03g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPTWKTMAVTVVLLQLSFSLEIFCLSNHADRIVRLPGQPNTRFQQFSGYVTVDDLKHKALFYYFVESETHPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGKVLIKNEYSWNRETNILYLETPVGVGFSYAKGGSSYETVNDETTARDNLVFLQRWFNKFPQFRHRDLFLAGESYAGHYVPQLAKLMIEINKKEKLFNLKGIALGNPVLEYATDLNSRAEFFWSHGLISDSTYKLFTTGCNYSRYVSEYYRDSISPLCSKVLRQVARETSKFVDKYDVTLDVCISSVLSQSKAICPQGQKTNESIDVCVDDKVTNYLNRKDVQEALHAKLVGVQKWDVCNNILDYDMLNLEKPTLPIVGSLIKSGVRVLIYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVYGSILSFATVRGASHEAPFSQPERSFVLFKSFLEDRPLPEIF >Vigun03g051400.3.v1.2 pep primary_assembly:ASM411807v1:3:4152290:4157088:1 gene:Vigun03g051400.v1.2 transcript:Vigun03g051400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIELFGFLDNPTHASNSSQDMLQWMISNTKLSFTILLNQKLIHLQSLLFSGSMEVCNLYLGPGCSSLGVGAFSENGPFRPNGKVLIKNEYSWNRETNILYLETPVGVGFSYAKGGSSYETVNDETTARDNLVFLQRWFNKFPQFRHRDLFLAGESYAGHYVPQLAKLMIEINKKEKLFNLKGIALGNPVLEYATDLNSRAEFFWSHGLISDSTYKLFTTGCNYSRYVSEYYRDSISPLCSKVLRQVARETSKFVDKYDVTLDVCISSVLSQSKAICPQGQKTNESIDVCVDDKVTNYLNRKDVQEALHAKLVGVQKWDVCNNILDYDMLNLEKPTLPIVGSLIKSGVRVLIYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVYGSILSFATVRGASHEAPFSQPERSFVLFKSFLEDRPLPEIF >Vigun05g245201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43825300:43825632:-1 gene:Vigun05g245201.v1.2 transcript:Vigun05g245201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHNHISCADLDLLITKLELNLQPKNLDNSFLTFNTTYFFTLCSRFWKNISEKSLVLHKFNGIPLFFFLSPSFLSLLIVTYDNDNLGNFEERGMMISDNSFCFKTHIVN >Vigun08g128300.1.v1.2 pep primary_assembly:ASM411807v1:8:29853088:29856734:1 gene:Vigun08g128300.v1.2 transcript:Vigun08g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAREKASGNVVALKKTRLEMDEEGVPPTALREVSLLQLLSQSIYIVRLLSVEHVDKIPKAQKASANPLTKPILYLVFEYLDTDLKKFIDSHRKGPNPRPLPPQLVQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQQKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVKRQALFPGDSEFQQLIHIFKMLGTPTEEQWPGVTSLRDWHVYPRWEPQSLARNVPTLGPDGLDLLSKMLKYNPSERISAKAALDHPYFDSLDKSQF >Vigun03g118600.8.v1.2 pep primary_assembly:ASM411807v1:3:11055591:11060811:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.3.v1.2 pep primary_assembly:ASM411807v1:3:11055591:11060811:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.4.v1.2 pep primary_assembly:ASM411807v1:3:11055272:11060887:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.5.v1.2 pep primary_assembly:ASM411807v1:3:11055270:11060938:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.12.v1.2 pep primary_assembly:ASM411807v1:3:11055781:11060837:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.11.v1.2 pep primary_assembly:ASM411807v1:3:11055272:11060837:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.6.v1.2 pep primary_assembly:ASM411807v1:3:11055779:11060938:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.10.v1.2 pep primary_assembly:ASM411807v1:3:11055780:11060838:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.7.v1.2 pep primary_assembly:ASM411807v1:3:11055861:11060871:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun03g118600.9.v1.2 pep primary_assembly:ASM411807v1:3:11055693:11060811:1 gene:Vigun03g118600.v1.2 transcript:Vigun03g118600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTLIFIIGICIGFVVGIGLTLSIVHCLVRRRKPTEVDKSISHKAVTIPVNVKGYGSNSTLSEGEESPRTSEWSNMPLWLENLRRSSAVSACGIPKYSYKDIQRATSNFTTIIGNGAFGPVYKAQMATGETVAVKVLGTNSRQGEQEFLTEVLLLGRLHHRNLVDLVGYVADKGKHMLLYTYMSNGSLASHLYDFGKNREPLNWDLRLGIALDVARGLEYLHCGASPPVVHRDIKSCNILLDQSMKAKVTDFGLSRPEMIIPRTSNIRGTFGYLDPEYLSTKTFTKKSDVYSFGVLLFELITGRNPQLGLMEYVKLAAMEGEGKVGWEEIVDGQLNGKYDLHNLNDMASLAFICVNEVSQSRPPMCEIVQALSELCKRNSKNLGRTSSAAALKDVCIEVDQIETKDFTSTASTNILGRLHSR >Vigun01g178100.2.v1.2 pep primary_assembly:ASM411807v1:1:35915928:35917348:-1 gene:Vigun01g178100.v1.2 transcript:Vigun01g178100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKKLLLNTVSVKLGCGTCRGPRLYRIFHPKPKPKNFTTHQNHKNRYNHFSSSSSSAQWGGGDSTSTTPTPTNTTFSPVMRQGRKNGGGLGRAGKEGVAVEKDSDDPYLDFRHSMLQMILENEIYSKQDLRDLLSCFLQLNSPHHHGVIVRAFTEIWNGVFSGRSTSHITRKPRLINVEHALRLA >Vigun03g099200.1.v1.2 pep primary_assembly:ASM411807v1:3:8488496:8499230:-1 gene:Vigun03g099200.v1.2 transcript:Vigun03g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSMIHARCAYSPRICNRNNKPPSQQAPVASSCSSSSSASNSPFSFSSGTNIGQRHQGLSAHAMSTVTHGNSISSIGNLKNDPDHLLVLVHGILASTGDWTYAEAELKKRLGKNYLIYVSSSNTYTKTFTGIDGAGKRLADEVLQVVKKTRSLKRISFLSHSLGGLFARYAIAVLYSPDTYSRDQPGDLENMTEYLQETNFSKGGSIAGLEPINFITLATPHLGVRGKKQLPFLLGVPILEKLAAPIAPFFVGKTGSQLFLTDGKPDKPPLLLRMASDCDDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRRETELSKPPRQSLDGYKHVVDVEYCSPVPSDGPKFSSKAVQAKEAAQNSPNTQSTVEYHEIVEEEMIRGLQLLGWKKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGVGVIAHVADSLKQQEASSLLSASL >Vigun03g099200.2.v1.2 pep primary_assembly:ASM411807v1:3:8488496:8499230:-1 gene:Vigun03g099200.v1.2 transcript:Vigun03g099200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLGDWTYAEAELKKRLGKNYLIYVSSSNTYTKTFTGIDGAGKRLADEVLQVVKKTRSLKRISFLSHSLGGLFARYAIAVLYSPDTYSRDQPGDLENMTEYLQETNFSKGGSIAGLEPINFITLATPHLGVRGKKQLPFLLGVPILEKLAAPIAPFFVGKTGSQLFLTDGKPDKPPLLLRMASDCDDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRRETELSKPPRQSLDGYKHVVDVEYCSPVPSDGPKFSSKAVQAKEAAQNSPNTQSTVEYHEIVEEEMIRGLQLLGWKKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGVGVIAHVADSLKQQEASSLLSASL >Vigun08g192700.1.v1.2 pep primary_assembly:ASM411807v1:8:35988581:35992766:1 gene:Vigun08g192700.v1.2 transcript:Vigun08g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNRQPSKKSLRNSGGVSGGPQVGSTSGGPSVKFARRTSSGRYVSLSKDDIDMSSDLSGDYMNYTVHIPPTPDNQPMDSSIAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGSKGSACSICDGRVMRDERGNDITPCECRFKICRDCFIDAQKESGMCPGCKEPYKVGEYEEDLHDYPTNGALQLPAPNGSKNSNMSVMKRNQNGEFDHNKWLFETHGTYGVGNAYWPQDEFDEDGDEAVKAGMLDHEKPWKPLSRITPIPSSIISPYRCLIAVRLVVLVFFLHWRVTHPNNDAIWLWLMSITCEIWFGFSWILDQVPKLCPVNRSTDLAVLFEKFDSPSPSNPTGRSDLPGLDLFVSTADPEKEPPLTTANTILSILAVDYPVEKLACYISDDGGALLTFEAMAEAASFADLWVPFCRKHKIEPRNPDSYFSLKVDPTKNKSRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMMKHMKESGADPTDTVKVLKATWMADGTHWPGTWANPSSEHSKGDHAGILQVMLKPPSPDPLLGSADDKIVDFTQVDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAVREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYAFDPPMVDKAEEKKDPKHSEDSETPALDASEFDSNLDVNLLPKRFGNSTMLSESIPVAEFQGRPLADHPAIKFGRPPGVLRTPRQPLDATTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSQNNAFLASKRLKILQRLAYLNVGIYPFTSLFLVVYCFLPALSLFSGFFIVQTLSIAFLVYLLLITVCLVALAVLEVRWSGVELEQWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTTKSSGDDDEDIYADLYIVKWSSLMVPPIVIAMMNIIGIVVSFSRTIYSANPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAITLSLLWVAINPPQGGDGQGVGGDFQFP >Vigun10g069900.1.v1.2 pep primary_assembly:ASM411807v1:10:16821657:16824993:1 gene:Vigun10g069900.v1.2 transcript:Vigun10g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLSPNSYSPTLIQNRNLSSIKIKINRRHYPFRTLQHHYIRLVCALKEDSNPETVQDGEVKNLGVKVALSMLRFYKREISPILPKSCRYVPTCSEYSMEAYKRYGVVKGTVLTAWRLCRCNPLGGHGYDPPRWFGEPRLPEDVDD >Vigun09g271400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43354487:43354768:-1 gene:Vigun09g271400.v1.2 transcript:Vigun09g271400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSPAIRRASFTGSSQSSSKAINIAKGYVAVYVGERMKRFVIPMTYLNQPSFQDLLSQAEEEFGYNHPMGGLTIPCTEDVFLHVTSRLNGL >Vigun03g085500.2.v1.2 pep primary_assembly:ASM411807v1:3:7087535:7094261:-1 gene:Vigun03g085500.v1.2 transcript:Vigun03g085500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSERFEEKSFPNGDVYIGKMKGILPHGRGKYTWSDGAVYEGDWVDGKMTGKGVITWPRGSKYEGEFSGGYLHGHGTFTHSTGCVYTGGWRMDAHHGIGRKTYSNSDIYDGLWKEGIREGCGRYSWENGNVYIGNWKSGQINGRGVMKWSNGDIFDGCWLNGLKQGSGVYKFADGGLYIGTWSKGLKDGKGTFYPAGSKQPSLKKLCSLNSDDSSLLSNTENHKPPKQKFTRSFSEKISVSGRSKSSRQISHRTSSLDSNFSLHNPSVDCICRDSSSSLSQTFSESQSETSLSPSLVYEREYMQGVLNMERIRHYSEMPHKNKRQNKFSVKQVKKSSWIDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPKEGSQLTPPHCSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLRDISSPGKSGSIFFLSQDDRFVIKTLKKYELKVMLNMLPKYYHHVGSYENTLITKFFGLHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYTKEEKINSNTTLKDLDLKYEFHMDKKLRESLFKQISVDCMFLESQHIIDYSLLLGLHFRAPENLKALVEPSRLMQPQLSLASEDDAHKQGEQLIIPKGLLLVAHEPSFVNTAPGPHIRGNTLRAYSIGDKEVDLLLPGTARLRVQLGVNMPAQATRKVQEDKVEESEVELFEVYDVVLYMGIIDILQEYTVKKKLEHACKSLQYDPMTISVVKPKTYAERFINFMEKKVFPEPETP >Vigun03g085500.4.v1.2 pep primary_assembly:ASM411807v1:3:7089004:7094261:-1 gene:Vigun03g085500.v1.2 transcript:Vigun03g085500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSERFEEKSFPNGDVYIGKMKGILPHGRGKYTWSDGAVYEGDWVDGKMTGKGVITWPRGSKYEGEFSGGYLHGHGTFTHSTGCVYTGGWRMDAHHGIGRKTYSNSDIYDGLWKEGIREGCGRYSWENGNVYIGNWKSGQINGRGVMKWSNGDIFDGCWLNGLKQGSGVYKFADGGLYIGTWSKGLKDGKGTFYPAGSKQPSLKKLCSLNSDDSSLLSNTENHKPPKQKFTRSFSEKISVSGRSKSSRQISHRTSSLDSNFSLHNPSVDCICRDSSSSLSQTFSESQSETSLSPSLVYEREYMQGVLNMERIRHYSEMPHKNKRQNKFSVKQVKKSSWIDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPKEGSQLTPPHCSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLRDISSPGKSGSIFFLSQDDRFVIKTLKKYELKVMLNMLPKYYHHVGSYENTLITKFFGLHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYTKEEKINSNTTLKDLDLKYEFHMDKKLRESLFKIGKPNCDQHGGVALDIISVG >Vigun03g085500.1.v1.2 pep primary_assembly:ASM411807v1:3:7087535:7094288:-1 gene:Vigun03g085500.v1.2 transcript:Vigun03g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSERFEEKSFPNGDVYIGKMKGILPHGRGKYTWSDGAVYEGDWVDGKMTGKGVITWPRGSKYEGEFSGGYLHGHGTFTHSTGCVYTGGWRMDAHHGIGRKTYSNSDIYDGLWKEGIREGCGRYSWENGNVYIGNWKSGQINGRGVMKWSNGDIFDGCWLNGLKQGSGVYKFADGGLYIGTWSKGLKDGKGTFYPAGSKQPSLKKLCSLNSDDSSLLSNTENHKPPKQKFTRSFSEKISVSGRSKSSRQISHRTSSLDSNFSLHNPSVDCICRDSSSSLSQTFSESQSETSLSPSLVYEREYMQGVLNMERIRHYSEMPHKNKRQNKFSVKQVKKSSWIDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPKEGSQLTPPHCSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLRDISSPGKSGSIFFLSQDDRFVIKTLKKYELKVMLNMLPKYYHHVGSYENTLITKFFGLHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYTKEEKINSNTTLKDLDLKYEFHMDKKLRESLFKQISVDCMFLESQHIIDYSLLLGLHFRAPENLKALVEPSRLMQPQLSLASEDDAHKQGEQLIIPKGLLLVAHEPSFVNTAPGPHIRGNTLRAYSIGDKEVDLLLPGTARLRVQLGVNMPAQATRKVQEDKVEESEVELFEVYDVVLYMGIIDILQEYTVKKKLEHACKSLQYDPMTISVVKPKTYAERFINFMEKKVFPEPETP >Vigun03g085500.3.v1.2 pep primary_assembly:ASM411807v1:3:7087535:7094261:-1 gene:Vigun03g085500.v1.2 transcript:Vigun03g085500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILPHGRGKYTWSDGAVYEGDWVDGKMTGKGVITWPRGSKYEGEFSGGYLHGHGTFTHSTGCVYTGGWRMDAHHGIGRKTYSNSDIYDGLWKEGIREGCGRYSWENGNVYIGNWKSGQINGRGVMKWSNGDIFDGCWLNGLKQGSGVYKFADGGLYIGTWSKGLKDGKGTFYPAGSKQPSLKKLCSLNSDDSSLLSNTENHKPPKQKFTRSFSEKISVSGRSKSSRQISHRTSSLDSNFSLHNPSVDCICRDSSSSLSQTFSESQSETSLSPSLVYEREYMQGVLNMERIRHYSEMPHKNKRQNKFSVKQVKKSSWIDIFGGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPKEGSQLTPPHCSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDSGLRDISSPGKSGSIFFLSQDDRFVIKTLKKYELKVMLNMLPKYYHHVGSYENTLITKFFGLHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYTKEEKINSNTTLKDLDLKYEFHMDKKLRESLFKQISVDCMFLESQHIIDYSLLLGLHFRAPENLKALVEPSRLMQPQLSLASEDDAHKQGEQLIIPKGLLLVAHEPSFVNTAPGPHIRGNTLRAYSIGDKEVDLLLPGTARLRVQLGVNMPAQATRKVQEDKVEESEVELFEVYDVVLYMGIIDILQEYTVKKKLEHACKSLQYDPMTISVVKPKTYAERFINFMEKKVFPEPETP >Vigun03g289400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47157088:47157441:1 gene:Vigun03g289400.v1.2 transcript:Vigun03g289400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPSFLNRPFFLFLLPVREKGVLASATRHHRASHTATRSANVTRPHSRSELRIAGGLAAGEGFSSLGFMLLICIGLLMIGRTTQVFGTVWIFFLFFMQVNEKKCEKGLTNDVRGA >Vigun03g163200.1.v1.2 pep primary_assembly:ASM411807v1:3:18421399:18424764:1 gene:Vigun03g163200.v1.2 transcript:Vigun03g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEGKRVIRKRMKTCSMDFHNKDLDISFYVFKPTVVIVDHLVHGLQQFSLLTQNLGCVQSSIFRSIHGNMIIWYGAWKKGSSDEKDKLTENLQSMLANTVTRMAVLVEHNFLDAYAGESRDGSLTVKFSTGDIISMDSVVTTTRDLNDLSYAALAIFRSRFPKIDGIISGLCMKGQCLPCVVCIHVWQSLQFCYSWILHPDHRKWMMPYLERFSTEMKYDIFRVVYVSGDNVMNLPSDFPHRMLEDEEENTRHLMQQ >Vigun06g156400.1.v1.2 pep primary_assembly:ASM411807v1:6:27985339:27986819:-1 gene:Vigun06g156400.v1.2 transcript:Vigun06g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHSHHVPKFGNWDSDNVPYTAYFEHARREKGRMTMMNPNDPIENPEAFNNKCMRVGVNMDDATAFGGYSHNGNSLENGRFVALGNHTQRHMRGRSRGSDGGSTTDFDESESQRSPRSNHKRNISKGGSSIKSFSSSSHTTNKSRNSSFNDPPNNRAPAIPKFGTWDVTNPNSGEGYTAIFNKIKEERQIKSTNITNTPPLHKSNIQNQYAGSFSWFSKYCCCGFQAKTNEGRI >Vigun08g075400.1.v1.2 pep primary_assembly:ASM411807v1:8:13070755:13073397:-1 gene:Vigun08g075400.v1.2 transcript:Vigun08g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVPACYNVSIVNPNQFWKIDKVLKTELPILAIQVAFVAVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPILVAPCLYALFRNVYGHVMMFPLEESKNNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKAALTAAMIGDTYGWILFTLFVPFSINGKRAIYTVLSTITFVVVCIFVVRPLIQWFIDRKEDKDEWNDNQLLFIIMGVLACSCISDFLGAHAIVGAFVFGLILPHGKFAELVMSISDDFVSGFLVPLFFTGTGMRLMLIAIFSQESWPFIVVIILLLCALKILSTLFVTFFFGMRIRDGLTLGLILNNKGTMALIMLNIAWDRTIFSIPTYSVITSAVLLMTIVVSPVINAIYKPRQIFEQNKLKTIQKLRVDAELRFIACVHNTRQAASMISIIECFNATRVSPLHIFALYLVELTGRAAALVAAHIGKPRSQLGEQNLTRSQEELESIHNTFDALGEAYDAVRVETLNVVSAYTTIHEDIYHSADENRTSLILLPFHKQLGLEGTLEVTSVAYKDINQNVMQGAPCSVGIFVDRDFGLVPKMNLHIRVVFVGGPDDREALAIAWRMAGRSGTKLSVVRILLLGEAAKVDASVHDEAQGILSAVIDTDRQKELDEEYISTFRLTAVNNSDSISYSEIDVHSGEDIPVVLNEIEKLGCDIYIVGQGNCRNSKVFSNLMEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMAFGNNLNQKATNKGTFESIV >Vigun01g155800.1.v1.2 pep primary_assembly:ASM411807v1:1:33822795:33825727:1 gene:Vigun01g155800.v1.2 transcript:Vigun01g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVQEPRIPPLTSTSEPPPLFDGTTRLYISYICPYAQRAWIARNYKGLQDKIELVPIDLQNRPAWYKEKVYPENKVPSLEHNGKVLGESLDLVKYIDDNFEGPSLVPSDPAKKEFGEQLISYVDTFTKELYSALKGDPIQQASPAFDYLENSLGKFDDGPFFLGQFSWVDIAYVPFVERFQLVFLEVFKHDITEGRPKLAAWIEEVNKINGYTQTRGDPKDIVDLFKKRFLAQQ >Vigun09g181100.1.v1.2 pep primary_assembly:ASM411807v1:9:35475338:35482934:1 gene:Vigun09g181100.v1.2 transcript:Vigun09g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYIFPRQFPERGLDESSKQLLDHEKKKIVNSIKHDTFSVESGTPNKPLPTKENDVVLSSAKHSAVSDLFAAGEKFRTKQKQIAAFCDWLIDKKVSHSGHHQDHHCEGDLLLPRETTIKDASIDQSFDRQVSLPRLSSGSSYAGSLFSGTTFDCNFSSDIKEETSSSRTLTTIAAPRHKDKEVEEESTEKLAKKSKESYILQLTLAKRLNFLASLVTEPFLTPGTETWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDVEEGRRIPTLMALKAVEPSETCMEVVLVDRREDSRLKLLQDKAQELYCASENTLVLVEQLGKLVAIYMGGMFPVEQGNLHKRWKLVSKKLRTLHKCVVLPIGSLSTGLCRHRAILFKRLADYIGLPCRIARGCKYCVADHRSSCLVKIKDDKQLSREYVVDLVGEPGNVHGPDSSINGAYVSSMPSPFQICHLKESQSPYMDDMASSESVGSNDRPVNPENLPYSGCGNNDQQAKETDLLRSSIYSSVDQICEVTEPSLIPFGLDGNDEECSVISSVLPTIHEDDSKILHPDIEATLNEYPSLREDVVQLQEISNNEIIVNGSSAVKSSFKRSILGSSSQSELKQVDNRSENKGCLPAGNIPRYVNLEPSLAMDWLEISWEDLRIKERVGAGSFGTVYRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGSVTKRPHLSIVTEYLPRGSLYRLIHRPASGEILDKRKRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTLKQPWSGLSPAQVVGAVAFQNRRLAIPPNISPALASLMESCWADDPGERPSFGSIVESLKKLIKSPADLIKMGDT >Vigun09g181100.2.v1.2 pep primary_assembly:ASM411807v1:9:35475338:35482934:1 gene:Vigun09g181100.v1.2 transcript:Vigun09g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYIFPRQFPERGLDESSKQLLDHEKKKIVNSIKHDTFSVESGTPNKPLPTKENDVVLSSAKHSAVSDLFAAGEKFRTKQKQIAAFCDWLIDKKVSHSGHHQDHHCEGDLLLPRETTIKDASIDQSFDRQVSLPRLSSGSSYAGSLFSGTTFDCNFSSDIKEETSSSRTLTTIAAPRHKDKEVEEESTEKLAKKSKESYILQLTLAKRLNFLASLVTEPFLTPGTETWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDVEEGRRIPTLMALKAVEPSETCMEVVLVDRREDSRLKLLQDKAQELYCASENTLVLVEQLGKLVAIYMGGMFPVEQGNLHKRWKLVSKKLRTLHKCVVLPIGSLSTGLCRHRAILFKRLADYIGLPCRIARGCKYCVADHRSSCLVKIKDDKQLSREYVVDLVGEPGNVHGPDSSINGAYVSSMPSPFQICHLKESQSPYMDDMASSESVGSNDRPVNPENLPYSGCGNNDQQAKETDLLRSSIYSSVDQICEVTEPSLIPFGLDGNDEECSVISSVLPTIHEDDSKILHPDIEATLNEYPSLREDVVQLQEISNNEIIVNGSSAVKSSFKRSILGSSSQSELKQVDNRSENKGCLPAGNIPRYVNLEPSLAMDWLEISWEDLRIKERVGAGSFGTVYRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGSVTKRPHLSIVTEYLPRGSLYRLIHRPASGEILDKRKRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTLKQPWSGLSPAQ >Vigun01g005600.2.v1.2 pep primary_assembly:ASM411807v1:1:682498:697743:1 gene:Vigun01g005600.v1.2 transcript:Vigun01g005600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESPKTMTLCQIICREIWVHIIHEWVWAGTPIEGAAWLRIQRLADTWHRILLIPVTGGVIVGMMCGLLEILDQIKQSTASQTQGFDFLAGIFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLIMEHNRERKIALVAAGAAAGISSGFNAPVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLQGIKSAFTIPEYDLKSAAELPLYLILGMLCGVISVAMTRLVAWFTKFFKFIQDKFGIPTVVCPALGGFGAGIIALKYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLVVSKVIATALCKGSGLVGGLYAPSLMIGAAAGAVFGGFSAEVINSAIPGNAAVAQPPAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMAAVGLAIWVPSVTNQAKESDTPDASSSLRGYSPVSHAGDDNEGNWRQVNDGNDLELHVVGDGADLETIDKELLLDNLQVSQAMSKQYCKVLSSAILKDAIKLMHDSQQNCVLVVDKEDFLEGILTYGDIRRCLSQESHDPLKSGLVVVDSNTCLVSSVCTRGMSYRGRVRGILTCYPNTTLAMAKELMEAKGIKQLPVVKRGGDQNRERKRRIVGLLHYDALWQCLRKEINHRQTAYQNMTDNSLAVTTTNGH >Vigun01g005600.1.v1.2 pep primary_assembly:ASM411807v1:1:681678:697742:1 gene:Vigun01g005600.v1.2 transcript:Vigun01g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENDQRRLLGLSEDDVEARGSELAVVNGGGGGINSNNKGLRDLLRLPGHRQSLKRVEKEEDRDRDRDRDRREQNRHHHDVDLDSSVEVLGDSAPPEWALLLIGGLIGLTTGLFVAFFNKGVHIIHEWVWAGTPIEGAAWLRIQRLADTWHRILLIPVTGGVIVGMMCGLLEILDQIKQSTASQTQGFDFLAGIFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLIMEHNRERKIALVAAGAAAGISSGFNAPVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLQGIKSAFTIPEYDLKSAAELPLYLILGMLCGVISVAMTRLVAWFTKFFKFIQDKFGIPTVVCPALGGFGAGIIALKYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLVVSKVIATALCKGSGLVGGLYAPSLMIGAAAGAVFGGFSAEVINSAIPGNAAVAQPPAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMAAVGLAIWVPSVTNQAKESDTPDASSSLRGYSPVSHAGDDNEGNWRQVNDGNDLELHVVGDGADLETIDKELLLDNLQVSQAMSKQYCKVLSSAILKDAIKLMHDSQQNCVLVVDKEDFLEGILTYGDIRRCLSQESHDPLKSGLVVVDSNTCLVSSVCTRGMSYRGRVRGILTCYPNTTLAMAKELMEAKGIKQLPVVKRGGDQNRERKRRIVGLLHYDALWQCLRKEINHRQTAYQNMTDNSLAVTTTNGH >Vigun07g079600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11067463:11071273:1 gene:Vigun07g079600.v1.2 transcript:Vigun07g079600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTGQIDLNSEAGLFDRENDIVGVKSSESNGVSTDVSCSRTLSDDPVVRDDIVDRDQTVFHIVDDRGGVDGYVTVFCSMNHECDVMINDSGKEGFGEELELMGVGVGLEEGVEVADGGCKEKGDYCGMEKNADDKISIKCSDRVLFANGGSECRGGLVIESDDGFQGELQRENAIDVTENGSLTSELSGNIMAQGVDNEAAEECEDVMDITESGLLVAQGGDDDAADECEHAMEITENGLHVSQGGDNGLAEECVTGRRVNDAGKECENAMDNVVEECDNGVAEEVKNAALVNLSAVVEDDSLRIADDSLVAKDGLKDLPTAEVAIQDSIPCTEVVNVNAKESLHVKGLLRNCLELEPSCELKQPAIHVNARVDVMQNQTTVGDFVEGHVSENKQKECLGVNLVVDLNTYRNMQDVDTYQGSMFSDLNLCLSDLVWGKVTGHPWWPGQIFDASAASEKAKRHLKKDCYLVAYFGDQTFAWNDVSMIKPFQAHFSQMEKQTNLENFNHAVNCALDEVSRRVEFGFSCPCIPDDVFFKLKTQVVSNAGINNQFSRRKGGDRIINSMSLEPTKLVNYVKLLAQSPLVGSDRLDFVVAHAQLLAFYRSKGYSQLPEFTVLGGLYDNDMETLLMSGKEQWDYQTHVGLSLEECKHISGHSKRRGKKHKLMSDLMSERRLYISNVEHTSEQKTKSVPRRRGRKRKAAYNASEDYLHNSQNRKFNQLQHASVSEITSQLFLAAKNPTGQSFSSHLVQFFAEFRNSISLEYSASLEQKMLCEEMHGDETGTTSMGALATVTSEPCIDSYWTDRIIESIPEKQSLTKYQNERAIFLPETLSEANPICLKLPPSAEITRDLSFNQQDTDRKFGSESSKPAEHLDESSTPGFSPTALTLKFSNLDSVPSTTDLNKIFGRFGPLIRSKTELLERTNRARVVFLKRSDAEAAFSSAGKYSIFGPSLVSYRLKILPRKPQKGIIGKRGRKKKVTSSVDGAAV >Vigun07g079600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11067463:11071273:1 gene:Vigun07g079600.v1.2 transcript:Vigun07g079600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTGQIDLNSEAGLFDRENDIVGVKSSESNGVSTDVSCSRTLSDDPVVRDDIVDRDQTVFHIVDDRGGVDGYVTVFCSMNHECDVMINDSGKEGFGEELELMGVGVGLEEGVEVADGGCKEKGDYCGMEKNADDKISIKCSDRVLFANGGSECRGGLVIESDDGFQGELQRENAIDVTENGSLTSELSGNIMAQGVDNEAAEECEDVMDITESGLLVAQGGDDDAADECEHAMEITENGLHVSQGGDNGLAEECVTGRRVNDAGKECENAMDNVVEECDNGVAEEVKNAALVNLSAVVEDDSLRIADDSLVAKDGLKDLPTAEVAIQDSIPCTEVVNVNAKESLHVKGLLRNCLELEPSCELKQPAIHVNARVDVMQNQTTVGDFVEGHVSENKQKECLGVNLVVDLNTYRNMQDVDTYQGSMFSDLNLCLSDLVWGKVTGHPWWPGQIFDASAASEKAKRHLKKDCYLVAYFGDQTFAWNDVSMIKPFQAHFSQMEKQTNLENFNHAVNCALDEVSRRVEFGFSCPCIPDDVFFKLKTQVVSNAGINNQFSRRKGGDRIINSMSLEPTKLVNYVKLLAQSPLVGSDRLDFVVAHAQLLAFYRSKGYSQLPEFTVLGGLYDNDMETLLMSGKEQWDYQTHVGLSLEECKHISGHSKRRGKKHKLMSDLMSERRLYISNVEHTSEQKTKSVPRRRGRKRKAAYNASEDYLHNSQNRKFNQLQHASVSEITSQLFLAAKNPTGQSFSSHLVQFFAEFRNSISLEYSASLEQKMLCEEMHGDETGTTSMGALATVTSEPCIDSYWTDRIIESIPEKQSLTKYQNERAIFLPETLSEANPICLKLPPSAEITRDLSFNQQDTDRKFGSESSKPAEHLDESSTPGFSPTALTLKFSNLDSVPSTTDLNKIFGRFGPLIRSKTELLERTNRARVVFLKRSDAEAAFSSAGKYSIFGPSLVSYRLKILPRKPQKGIIGKRGRKKKVTSSVDGAAV >Vigun07g079600.1.v1.2 pep primary_assembly:ASM411807v1:7:11067463:11071268:1 gene:Vigun07g079600.v1.2 transcript:Vigun07g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSQELHFSVVLHTKSFLNAVTFFSLSSNPSNRSHFFTLNLRTLLLHFAGSPLSQQTTILLKRIKNQEQKKVIIMSVNTGQIDLNSEAGLFDRENDIVGVKSSESNGVSTDVSCSRTLSDDPVVRDDIVDRDQTVFHIVDDRGGVDGYVTVFCSMNHECDVMINDSGKEGFGEELELMGVGVGLEEGVEVADGGCKEKGDYCGMEKNADDKISIKCSDRVLFANGGSECRGGLVIESDDGFQGELQRENAIDVTENGSLTSELSGNIMAQGVDNEAAEECEDVMDITESGLLVAQGGDDDAADECEHAMEITENGLHVSQGGDNGLAEECVTGRRVNDAGKECENAMDNVVEECDNGVAEEVKNAALVNLSAVVEDDSLRIADDSLVAKDGLKDLPTAEVAIQDSIPCTEVVNVNAKESLHVKGLLRNCLELEPSCELKQPAIHVNARVDVMQNQTTVGDFVEGHVSENKQKECLGVNLVVDLNTYRNMQDVDTYQGSMFSDLNLCLSDLVWGKVTGHPWWPGQIFDASAASEKAKRHLKKDCYLVAYFGDQTFAWNDVSMIKPFQAHFSQMEKQTNLENFNHAVNCALDEVSRRVEFGFSCPCIPDDVFFKLKTQVVSNAGINNQFSRRKGGDRIINSMSLEPTKLVNYVKLLAQSPLVGSDRLDFVVAHAQLLAFYRSKGYSQLPEFTVLGGLYDNDMETLLMSGKEQWDYQTHVGLSLEECKHISGHSKRRGKKHKLMSDLMSERRLYISNVEHTSEQKTKSVPRRRGRKRKAAYNASEDYLHNSQNRKFNQLQHASVSEITSQLFLAAKNPTGQSFSSHLVQFFAEFRNSISLEYSASLEQKMLCEEMHGDETGTTSMGALATVTSEPCIDSYWTDRIIESIPEKQSLTKYQNERAIFLPETLSEANPICLKLPPSAEITRDLSFNQQDTDRKFGSESSKPAEHLDESSTPGFSPTALTLKFSNLDSVPSTTDLNKIFGRFGPLIRSKTELLERTNRARVVFLKRSDAEAAFSSAGKYSIFGPSLVSYRLKILPRKPQKGIIGKRGRKKKVTSSVDGAAV >Vigun03g444900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64700886:64702371:1 gene:Vigun03g444900.v1.2 transcript:Vigun03g444900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQTTPLLSSSLTKINASIRLPNPSPPSYSVPKLPSTTTLRVHQLNGSKHTIPLENNVVTTHIYQHLFTPTTNKSNNPTVQLYAILEEVADRIQMHANIGEQRNNWNTLLLNSVNIITLTATAMAGVAAVTTADAGAPLLALKLSSTLLFSAATGMSLIMNKIQPSQLAEEQRNATRLFKQLHTQIQTLIAIGNPTEKDVKSSMEKVLALDRAYPLPLLGAMLDKFPAKYEPAVWWPSSQSQRRSRTEESKRSKNGWSEELEKELREVMKVIKKKDSEEYERLGNIALKVSKSLAIAGPLLSGIAAVGSSFLGNGSLAALVPLLAGSLASAVNAFEHGGQVGMVFEMYRNCGGFFTLLEETIRETLEEKDSEKRENGEVFEMKVAIKLGRSVSELRRLASKSASCGMEGIAVDEFASKLF >Vigun05g063500.4.v1.2 pep primary_assembly:ASM411807v1:5:5503091:5506724:-1 gene:Vigun05g063500.v1.2 transcript:Vigun05g063500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILKQRSFSHAGVAACYHPIMRRFFSTTATVADSPSLAQRIRELPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGGYVAVKQEMMQPTASIKDRPAFAMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYELLENTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSENFTSFYGDLAEKFYTFIFLSSG >Vigun05g063500.1.v1.2 pep primary_assembly:ASM411807v1:5:5503091:5507137:-1 gene:Vigun05g063500.v1.2 transcript:Vigun05g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILKQRSFSHAGVAACYHPIMRRFFSTTATVADSPSLAQRIRELPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGGYVAVKQEMMQPTASIKDRPAFAMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYELLENTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARVLALKEGLMVGISSGANTVAALRLAQLPENKGKLIVTVHPSFGERYLSSVLFQELRQEAENMQPVAVD >Vigun05g063500.2.v1.2 pep primary_assembly:ASM411807v1:5:5503064:5506724:-1 gene:Vigun05g063500.v1.2 transcript:Vigun05g063500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILKQRSFSHAGVAACYHPIMRRFFSTTATVADSPSLAQRIRELPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGGYVAVKQEMMQPTASIKDRPAFAMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYELLENTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARVLALKEGLMVGISSGANTVAALRLAQLPENKGKLIVTVHPSFGERYLSSVLFQELRQEAENMQPVAVD >Vigun05g063500.3.v1.2 pep primary_assembly:ASM411807v1:5:5503091:5506083:-1 gene:Vigun05g063500.v1.2 transcript:Vigun05g063500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPTASIKDRPAFAMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAALKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYELLENTPNAFMLQQFSNPANTQVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPSESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARVLALKEGLMVGISSGANTVAALRLAQLPENKGKLIVTVHPSFGERYLSSVLFQELRQEAENMQPVAVD >Vigun03g195800.1.v1.2 pep primary_assembly:ASM411807v1:3:27608724:27617615:1 gene:Vigun03g195800.v1.2 transcript:Vigun03g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRTYSRLKKLMRMPYTKNMNEEAKAKTRKPKPSFVSSITTTSQTLFAMARKPLLKQTLATLFLLFVLYAIFNAFFYPTDSSAFDSTFSFNSASSVLLAGTGAKFPTVKVFLYDLPSRFTHGVIRHHALARGAHFSEQHDDVSLLKYPGHQHMAEWYLFADLSRNDSGRSGSPVVRVMDPEEADFFFVPFFSSLSLIVNPPRPPGSAASLEKPIYSDEENQEALVEWLEEQEYWKRSNGRDHVIVASDPNAMYRVIDRIKNCVLLVSDFGRLRPDQGSLVKDVVVPYSHRIRTYPGDVGVADRKTLLFFMGNRYRKEGGKIRDILFQILENEEDVIIKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDSIELPFEDTLDYRKFAVFVETTSAIKPGYLVSKLRALSKQRILQYQKELKEVKRYFEYEEPNGTVNEIWRQVSKKLPLIKLMINREKRLFGRESVCSCVCTNQTTVINL >Vigun09g099900.1.v1.2 pep primary_assembly:ASM411807v1:9:16306928:16309880:1 gene:Vigun09g099900.v1.2 transcript:Vigun09g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGHWKHHDVTVKDSNTLLFGEKPVTVFGHRNPEEIPWGKTGADIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPELDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTVDVSVVDLTVRLEKSASYDEIKNAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNKNFVKLVSWYDNEWGYSSRVIDLLVHVAKNSL >Vigun02g072500.1.v1.2 pep primary_assembly:ASM411807v1:2:22394801:22397370:1 gene:Vigun02g072500.v1.2 transcript:Vigun02g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQSQSNVHVLVLPYPAQGHINPLLQFAKRLASKGVKATVATTHYTANSIAAPNITVEPISDGFDRAGFAEANNDVQLFLSSFRTNGSRTLSQLIQKYHQTPSPVTCIVYDSFFPWALDVAKEHGVYGAPFFTNSAAVCSIFCRIHRGFLEVPVAAEDLPLCVPGLPPLDSRALPSFVRFPQSYPAYMAMKLNQFSNLDKADWMFVNTFETLESEVVEAMAEHFPAKMIGPMVPSAYLDGRIKGDKGYGASLWKPLNEECRNWLEEKAPRSVVYISFGSMVSLTAEQVEEVAWGLKESGVNFLWVLRESEQGKLPCGYRDLVKDKGLIVTWCNQLELLAHQATGCFVTHCGWNSTLESLSLGVPVLCLPQWSDQLPDAKYLDEIWEVGLWPKEDENGIVRKQEFVNSLKVVMEGERSEEIRRNASKWKNLAREAVGEGGSSDKHINQFVNYLMSADKNGSLNVH >Vigun11g179300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38324818:38326083:-1 gene:Vigun11g179300.v1.2 transcript:Vigun11g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSSEMHFLLLEEVQFLKVNDDSLLQWELVDVVDAEEEFDEFDSSDSSDSCPNRAPIEEIRHILLRESLHDHVIDGESQVESGLDVRHYDYHQRHFPHRDVDDGDDDDEDENDDDDEYGLDDELVPWTVSNKFGRQRMRKLGKRAFSKMHSSKKSPYLFVRPGCVRGKHGLGLKHVTDS >Vigun11g157600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36631257:36634331:1 gene:Vigun11g157600.v1.2 transcript:Vigun11g157600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKTSEYDEERVMEWEAGLPTANDLTPLSQPLIPPELATAFSISPEPHRTALDVNRASRNTLSTLRGGGSVHQAFSSSNNHHHHEEEEEEEEDEDTDRDGSGSDSRKHRKIECVAEEADSAVRTENSAERTAVKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDQLFASTPVPQSLHDSAPPSAHSHGHSHGHANAHLPVPMMSMPYPPPMMSMPVLGMPHAHGHMGIPMPTSSATSAYHPYNMLHQRDWAHLAPNDK >Vigun11g157600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36631257:36634331:1 gene:Vigun11g157600.v1.2 transcript:Vigun11g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKTSEYDEERVMEWEAGLPTANDLTPLSQPLIPPELATAFSISPEPHRTALDVNRASRNTLSTLRGGGSVHQAFSSSNNHHHHEEEEEEEEDEDTDRDGSGSDSRKHRKIECVAEEADSAVRTENSAERTAVKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDQLFASTPVPQSLHDSAPPSAHSHGHSHGHANAHLPVPMMSMPYPPPMMSMPVLGMPHAHGHMGIPMPTSSATSAYHPYNMLHQRDWAHLAPNDK >Vigun01g090500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25090606:25092186:-1 gene:Vigun01g090500.v1.2 transcript:Vigun01g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIKVEPTNTVSIRMEPGQKCHGKITLRNVMYTMPVAFRLQPLIKTRYTVKPQSGIISPLASVTVEILYHLPQGSTLPHSFPHSEDSFLLHSVVVPGATIKEPSSMFESVPSDWFTAKKKQVFIDSGIKIIFVGSLILAQLVHHGSIDEIREALEHSEASWKAVDSVDSNGDTLLHVAISKSRPDLVQLLLEFNADIEAKDRSGMTPLEKACSLGEELTVELLLAHKAATERTETSSLGAIHLSAREGHVEVLRLLLLKGANVDSLTKDGETALHLAVKHGERDFVRMLLANDARTDVRDSREGDTCLHVAAGVGDEEMVKLLLKKGANKDVRNFAGRTAYDVAAENGHAGVFDALGLGDGLCAAARRGEVRNIQRLIEGGAVVNGRDQHGWTALHRACFKGRVEAVRVLLERGSDVDARDEEGYSALHCAVESGHADVAEVLVKKGADVEARTNKGVTALQIAEALGYVGITRVLVSGGAERVGHVAEGEEVVELKRKKKKMGRRRERSIRGSFDRSMPLAVL >Vigun06g117000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24499261:24499860:-1 gene:Vigun06g117000.v1.2 transcript:Vigun06g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFCHTHYSLPFNENDSQEMPLYEVLAQAAADTTTSSLSLSPVSHGSSVAVAVAEAEVSISYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGSMAVLNFPAEMVWQSLRKMEYGFDEGCSPVLELKKRHSINRKWERNKLKKKVQDEAGVSQNVVVLEDLGTEYLEHLLSLSLDMNAS >Vigun04g191800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41609515:41612498:-1 gene:Vigun04g191800.v1.2 transcript:Vigun04g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVYECPKLKGLPDQLVNVKHLYITGSMKASFLERCEHIISHNSLEDLNFCAFPIMNIPMGRGFDLLEEIQIICGCDSLTNFRLDFFPKLKVLSLVNCRNLQMISQDHTHNHLKHLSISLCSRFESFPSEGLSAPRLWAIEIYGAENLKLLPKRMRILLPSLNGLRIMYCPKVEMFSVGGLPSTVNNVSLSSFKLIASLRETLGTNTCLGSLWIENIDVEFFPDEVLLPHSITSLRIYDCPNLKRCIIRVSATSPLSHF >Vigun01g041911.1.v1.2 pep primary_assembly:ASM411807v1:1:5909931:5911995:1 gene:Vigun01g041911.v1.2 transcript:Vigun01g041911.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFIKKLKNFGGSPPALKELRHWLLLKEVTIYTVNHALITGSWFIHQTSVPVSLELKDTEVRAYRTDPVQVRS >Vigun01g173100.1.v1.2 pep primary_assembly:ASM411807v1:1:35522171:35524774:1 gene:Vigun01g173100.v1.2 transcript:Vigun01g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVNRKFSAASTRAHSSNQNKTTSIHFSSGILRTVFAVLLIGLVAWGYQAIQPPPPKLCGSPNGPPLTAPRIKLRDGRNLAYKEHGVPKHVANHKIIFVHGFDACRHDAYVAKTLSPDVAEDLGVYIVSFDRPGYGESDPHPSQTVKSLALDIEELADKLGLGSKFYIIGFSLGGQVVWRCLKYIPHRLAGAVLIAPVLNYWWTGFPRNLTNEVFYEQKLQDQWTLRVAHYIPWLTYWWNTQTWFPSSSLIADSLDLLSLQDKELLPKRKDRKNHVGEVRQQGEHESVHRDLIVAFGSWEFSPLDLENPFPNKEGWVHIWQGDEDLIVPVKVQRYIAQKLPWIQYHELQGAGHLFPHLDGISDTIVKSLLNAQ >Vigun01g176800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35794657:35796033:-1 gene:Vigun01g176800.v1.2 transcript:Vigun01g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTDNTTAAIHQPPAATTISDMHPDIIQTHILTRLHGPALASIASTCSQLHALSEHEQLWENICHATWPSTRTPRVRHIISTFPGASRSFFSDSFPSFAAADTYRHANIERTPELVTAVDLFHGRQRVLSRVVETETESGWFRCSPFRVDVLDPKEAVATAMEYPRSEEACERLREELRLSWIVVDPEGKRAVNVSSGTAVSVERHWLSGEVRVRFATVVGGGERGSASEAVLCCVTVTCGSEMQVREACVQMEDMDGTILNGRDSLGILQRALEGKRGTLFLTGEKDKFLEFMNRKSERKERKIRDERRLDMACVALALLSFAAISTLFFFRI >Vigun09g241900.1.v1.2 pep primary_assembly:ASM411807v1:9:41141993:41144141:1 gene:Vigun09g241900.v1.2 transcript:Vigun09g241900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALAGVAFLLLLCYFIKWATAGSARKPPEAAGGWPLIGHLRLFGRSDQPVYETLGGLADKYGPIFSIQMGVHQAVVVSSWELAKECFTTLDVVVSSRPKFTAAKILTYDYASFGFSPYGDFWRDMHKITVSELFSTRRAELLRGIRDSEVRSSLKELYGTWAEKRGDLLVEMKEWFGNMNLNVILRTVAGKRYCVGNGDEERMRWVRRVLRDFFNLMGVVVIGDAIPFLGWLDLGGEVKEMKKTASEMDSFSSEWLKEHRQRRDSDGKKREEDFMDVLLSALDGVDLAGYDADTVIKATCLTLMAAATDTTTVTMTWALSVLLNNRDALKKVQDELDEHVGRERVVNESDISKLVYLQAVVKESMRLYAAAPLPGPREFASDCTLGGYHIKAGTRLILNIWKIQRDPRVWPNPLEFQPERFLTTHKGVDVKGQHFELLPFGSGRRSCPGMSFALQMTHLALAAFLQAFEVTTLNNEPVDMSVLFGLTLIKATPLEVLIQPRLPLQHLLNNVS >Vigun03g150850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15830990:15831584:-1 gene:Vigun03g150850.v1.2 transcript:Vigun03g150850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNATAFSGPIAVFVFVFLIYPLGQSGWFFAPSYVILKNVIEYVS >Vigun02g113200.2.v1.2 pep primary_assembly:ASM411807v1:2:26715900:26718698:1 gene:Vigun02g113200.v1.2 transcript:Vigun02g113200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFSKSNVKYTYVHDQKYSSEVVDIHHIILRRSNAKYFFMYTTTLLVLACALYLYVYKEKTISLVYCSFLFDIFLVKSLLGKPIKKESVVIMPAFGVQLETHYVSGNVIRFFVPIDKILKPVLIECVTPVTCYWTLSLIIRGESEMMLVFKNLRPPVKILVPVWKALCAATGSKEETCAHAV >Vigun02g113200.1.v1.2 pep primary_assembly:ASM411807v1:2:26715900:26718698:1 gene:Vigun02g113200.v1.2 transcript:Vigun02g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFSKSNVKYTYVHDQKYSSEVVDIHHIILRRSNAKYFFMYTTTLLVLACALYLYVYKEKTISLVYCSFLFDIFLVKSLLGKPIKKESVVIMPAFGVQLETHYVSGNVIRFFVPIDKILKPVLIECVTPVTCYWTLSLIIRGESEMMLVFKNLRPPVKILVPVWKALCAATGSKEETCAHAV >Vigun01g134350.1.v1.2 pep primary_assembly:ASM411807v1:1:31264476:31266420:1 gene:Vigun01g134350.v1.2 transcript:Vigun01g134350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGYQLKQKLCVVVGNSVGALKISSSIGCCRCPPFLSSTHHSVSGCCRQASPLAALKGILSSLVEGCLLSREILKLLSL >Vigun07g161100.1.v1.2 pep primary_assembly:ASM411807v1:7:27275407:27277806:1 gene:Vigun07g161100.v1.2 transcript:Vigun07g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEELPEGCIAEILSYAATPADVLNLSLVSKAFASAAEYDTVWDRFIPSDLSSFLPSSSIPSSTSKKALYHALSDNPTIIDQGKKSFQLEKRSGKKCFMLSARDLHIIWGGTSQYWKWTNLPQSRFEEVARLYAVCWLEIDGKINIRVLSPNTLYAAFLVFKMIDASGFHHHPVELSVGVLGGSSSSRNVCLDPSLEVDEQDETFLGLQRPSERKDGWLEIEMGEFFNSGMEEDELHMKIKETTSNMWKHGFILEGIEVRPKHVR >Vigun06g041650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16292149:16293898:1 gene:Vigun06g041650.v1.2 transcript:Vigun06g041650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVAEPPPGVRGVTNDQNSSDDRQCSAEDKRSPAAEPTGVAVAQVAHQGLDQQPTERPTKPYDAGLHVQDPQLLHVLRQKRELQCPPKLNAFGNRRYPKQQPQRNPSLDHRSILGPTLLTGMALLSFLIRHCVRILNSMTLLSPSLACSQSPCDRFLRA >Vigun02g170500.1.v1.2 pep primary_assembly:ASM411807v1:2:31363521:31366001:-1 gene:Vigun02g170500.v1.2 transcript:Vigun02g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLYLAKPFCTSKIPLPRVSDSGIGTVKYEIQTKLKTGFLGLGLFHFLSFVEPAASLQLQLQEPPNALSLPTWAIHVSSVAEWIIAMALVWQYGHKSRYPAWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAYRIYKSSQGS >Vigun02g170500.2.v1.2 pep primary_assembly:ASM411807v1:2:31363521:31366001:-1 gene:Vigun02g170500.v1.2 transcript:Vigun02g170500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLYLAKPFCTSKIPLPRVSDSGIGTVKYEIQTKLKTGFLGLGLFHFLSFVEPAASLQLQLQEPPNALSLPTWAIHVSSVAEWIIAMALVWQYGHKSRYPAWKGLSWGTSTWWSFLCMHMAFLL >Vigun10g113000.4.v1.2 pep primary_assembly:ASM411807v1:10:31418809:31423625:-1 gene:Vigun10g113000.v1.2 transcript:Vigun10g113000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREGISSGVTVIGAEAPSAYHVAPRSEAPNQVHVPDGGGGGGGGGGGIGGAPGIGISPVSVGLDGTAKKKRGRPRKYGPDGSVTMALSPMPISSSAPSSNDFSSGKRGKMRGMEYKQSKKLGLDYLGDLNACSDGTNFMPHIITVNAGEDITMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTDNQGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSSQQEQKLKKPKSTDYAAAAAAVSPVIAVSPTPPVAAAPPPPPPTNAEKEDVNVNINVMSGAHVLQNSGSLNPNLSPPNAFRRESWVNMHSMADSRKSATDINISLPDC >Vigun10g113000.2.v1.2 pep primary_assembly:ASM411807v1:10:31418802:31423658:-1 gene:Vigun10g113000.v1.2 transcript:Vigun10g113000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREGISSGVTVIGAEAPSAYHVAPRSEAPNQVHVPDGGGGGGGGGGGIGGAPGIGISPVSVGLDGTAKKKRGRPRKYGPDGSVTMALSPMPISSSAPSSNDFSSGKRGKMRGMEYKQSKKLGLDYLGDLNACSDGTNFMPHIITVNAGEDITMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTDNQGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSSQQEQKLKKPKSTDYAAAAAAVSPVIAVSPTPPVAAAPPPPPPTNAEKEDVNVNINVMSGAHVLQNSGSLNPNLSPPNAFRRESWVNMHSMADSRKSATDINISLPDC >Vigun10g113000.3.v1.2 pep primary_assembly:ASM411807v1:10:31418809:31423625:-1 gene:Vigun10g113000.v1.2 transcript:Vigun10g113000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREGISSGVTVIGAEAPSAYHVAPRSEAPNQVHVPDGGGGGGGGGGGIGGAPGIGISPVSVGLDGTAKKKRGRPRKYGPDGSVTMALSPMPISSSAPSSNDFSSGKRGKMRGMEYKQSKKLGLDYLGDLNACSDGTNFMPHIITVNAGEDITMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTDNQGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSSQQEQKLKKPKSTDYAAAAAAVSPVIAVSPTPPVAAAPPPPPPTNAEKEDVNVNINVMSGAHVLQNSGSLNPNLSPPNAFRRESWVNMHSMADSRKSATDINISLPDC >Vigun04g036100.1.v1.2 pep primary_assembly:ASM411807v1:4:3017343:3020153:1 gene:Vigun04g036100.v1.2 transcript:Vigun04g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMQGLKLRESKIMKKILNIDSFKGENQNSSEARKETPSLNQAPAYSRRHFYHQTKKIFVGSWNIGGITPPKNLDMEDWLDTENNSADIYVLGFQEIVPLNAANVLGPQNRKVCTKWNSLIGAALNNRTPTKMVEGDRIAESQKIYPLKEHNCAEGEHQQDFQCIISRQMVGMFITIWVRCDLYQTIRHLNVSSVGCGIMGYFGNKGSVSIRFYLHETSFCFVCSHLASGGKESDRRQRNVNATDILSRTIFPSGPLQDRPQKIIDHDRIVWLGDLNYRIYMPNSTTQSLIKRREWETLLKYDQLKLELMEGNVFQGWHEGVIEFPPTYKYRPNSKDYLGCDEQHRSKMGRSPAWCDRIIWFGKGMRQIQYNRSESRLSDHRPVRAMFTADIKVAVN >Vigun10g183400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40040086:40040949:-1 gene:Vigun10g183400.v1.2 transcript:Vigun10g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEEKSGILHQILPPRLEDAGLEDPALPPESIHQAFLQAAAAVKSRAASIFSSAAETEDEDDGCVNDPWPSDKEASDVIVGIDTENEPPGSCTVERGVEAGADEVRVAGGGGGGGADDVVVAGEGKLGEDVEACVDELQGLNIEDVKKDGEVREENNEENEKPTLVEGFA >Vigun08g092300.1.v1.2 pep primary_assembly:ASM411807v1:8:21558817:21560601:1 gene:Vigun08g092300.v1.2 transcript:Vigun08g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHPQTIFPITFLLAILLSGQKPCESARVFTIVNYCKETLWPAVTPGENFDGGGFVLKPGQSAVFTAPVRWSGRIWARTGCKFDQNGSGQCQTGSCGTTLKCSASGKTPASLAEFTLAQPDFYDVSLVDGFNVPIAIRPINGKGNCSSAGCDSDLRTTCPKELSLKANGKTVGCRSACDVFNTDEYCCRGNYGNPSTCKPTFYSKKFKEACPTSYSYAYDDPTSIFTCSGTDYVVAFCSSRKRQVCTYHNNKLHCSGSQGLKSLIGRWCIVIITLFSVLSLWNGF >Vigun04g170800.1.v1.2 pep primary_assembly:ASM411807v1:4:39485804:39487818:-1 gene:Vigun04g170800.v1.2 transcript:Vigun04g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKETFYISHGSPTLSIDETIEARKFLQSWKNDVFPHKPSSILVISGHWDTTVPTVNVVDSVNDTIYDFYGFPKEMYQLKYPAPGAPKLARRVKELLRKSGFNRVDEDTKRGLDHGAWVPLFLMYPEADIPVCQLSIQSNQDGTHHYNLGKALAPLKDEGVLIMGSGSAVHNLRAISPNSTVQPWALEFDNWLKDALLEGRYEDVNHYEEKAPHAKKAHPWPDHFYPLHVAIGAAGENAKAKLIHSSIELGSLSYASYQFTSATGS >Vigun10g069200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:16543745:16544782:1 gene:Vigun10g069200.v1.2 transcript:Vigun10g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRIIKSSKASITCYSSLYIRSNSIPYHHHHHPNHSLLSPKSQHNPFHSFSFMGLIFGKISVETPKYEVIKSTSEYEIRKYAPCVVAEVTYDPSQFKEKKDGGFMVLANYIGALGNPQNTKPEKIAMTAPVITKDSGGGGEKIAMTAPVVTKDGGGEDGKKNKMVTMQFILPALYGKAEEAPKPTDERVVIREEGERKYGVVKFGGVASEEVVKEKVEKLKVSLETDGFKVVGDYLLARYNPPWTIPMFRSNEVMIPVE >Vigun04g097400.2.v1.2 pep primary_assembly:ASM411807v1:4:20985593:20987950:1 gene:Vigun04g097400.v1.2 transcript:Vigun04g097400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYGLQKNAFAACEEMRGSVNFVDKKESVVCPKPRRVGVISNMPMRHLRWHFNQQAEGSDSKGGAELLEIMFKKESYGKEFSNQVASSPPFFCGSPPVRAANPVVQDVRFGEKHDPSITTSSPSGLFSPSSASGKAGFVRMSFGLKPSTVRIEGFDCLNRDCQNSRIPTVA >Vigun04g097400.1.v1.2 pep primary_assembly:ASM411807v1:4:20985556:20988015:1 gene:Vigun04g097400.v1.2 transcript:Vigun04g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYGLQKNAFAACEEMRGSVNFVDKKESVVCPKPRRVGVISNMPMRHLRWHFNQQAEGSDSKGGAELLEIMFKKESYGKEFSNQVASSPPFFCGSPPVRAANPVVQDVRFGEKHDPSITTSSPSGLFSPSSASGKAGFVRMSFGLKPSTVRIEGFDCLNRDCQNSRIPTVA >Vigun07g001500.1.v1.2 pep primary_assembly:ASM411807v1:7:129339:140332:1 gene:Vigun07g001500.v1.2 transcript:Vigun07g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTTSVGNWCTRVAPSLFSPFYLPNLNPNPLCLLSTLITPNPTTLSLPLTARRFSFSPVSPMDDEHQATEQEYAFHSDLLHQFTAIPSVDKAWLFNSHTGLASQAMFCVSQPNLLTNKRKKSIVSASLLRQSDATVAFHWAPFPIELTGVSAMVPSPSASKLLIVRNPESEGPCRFEIWSSSQLEKEFHVPQSKHGSVYIDGWFEGISWNLNETCIAYVAEEPAPAKPTFNDLGGYQKGSSSDKDGGTWKAQGEWEEEWGETYAGKRQPALFVIDITSGEVQAVKGIDKSLSVGQVVWAPAVEGSVQYLVFVGWSFETRKLGIKYCYNRPCALYAVKAPYHESKANETETDSTEDVQAVNLTQTISSAFFPRFSPDGKFLVFVSARSSVDSGAHSATDSLHRIDWPKDMKLYQSSKIHEVIPVVLFAEDGSFPGLYCSNILSDPWLSDGHTLVIASVWHSNQVLLSINVLSGEILRITPANSNFSWSLLTLDGNNILAVSSSPVDVPQIKYGAIVKNTTNDKEWSWSDVSNPILKCSDKVRSSISSLTSSIMKISVKDASESLTKGASQPYEAIFVSSQTKKSDACDPLIVVLHGGPHSVLLSRFSRPLAYLSSVGYSLLIVNYRGSIGFGEEALQSLPGKVGSQDVNDVLTAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTTDIPDWCYVETYGTKGRDKFTEAPSAADLTLFYSKSPISHLSKAKTPTLFLLGAQDLRVPISTGLQYARALREKGVPTKVIVFPNDVHGIERPQSDFESYLNIAIWFNKYCK >Vigun08g047300.1.v1.2 pep primary_assembly:ASM411807v1:8:5112775:5115827:1 gene:Vigun08g047300.v1.2 transcript:Vigun08g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYLIQRHRIYVFKTKKPHTGVWCVVYACALSSHDSVSSVNHPISNQKSSTGSFLPLFLLAGLAFPFTLFHFLLISSSKLPFKHTILCSPTHSLTHSLTLSCLHFLSYPLSTYQQLPHFRYQLFSPNLWPMEHGLKRKSPENGDYSHLNGFSLDDLNEDLLERVLSWLPTSSFFRLTSVCKRWKSAAASVSFKLACSHIPSRDPWFLMVSPSLNHSIVFDTAENSWKKLNHLRLPLEDSNINCMPVAASGGLVCYRKLSGNFVVCNPVTGSCTELPPLHFPSENQPLNAVVMSTTLRDQISYKIVLVFGELPNLLFKVYDSTSCCWEDDTALRREVDDSSIDCDSTDDGDDDNVVYFLSKAGTVVASSMQRSPSKQYSSVITTKNGHEMLYFLSSSGTVVACNLTSKCFFEYPRLLPVFSEYSIDIVECGGEMLVVLLSEFLESTSLRVWKYDDTNRCWQQIAAMAAAMSQEWYGKKADINCVGAGHQILICLNSPELCTYVLCDLVTNTWTELPKCCMNGEVMEFMSAFSFEPRIEACV >Vigun05g016800.3.v1.2 pep primary_assembly:ASM411807v1:5:1389485:1393416:1 gene:Vigun05g016800.v1.2 transcript:Vigun05g016800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAERGFIPGMSKEERDKLARSETFAIRLSNVANMVLFIAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLIYSDNAFNLTNEQERWVVGIMLSVTLVKFLLMIYCRTFTNEIIKAYAQDHFFDVITNVIGLIAALLANYVDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMQLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQSHS >Vigun05g016800.1.v1.2 pep primary_assembly:ASM411807v1:5:1389144:1393416:1 gene:Vigun05g016800.v1.2 transcript:Vigun05g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVELQGEEQRSLLSDSSNGDRSWRLNFEGFQISSEHTEKQVKPSRGLYDCYGVLGQEDNIAEYYQQQVEVLEGFTEMDALAERGFIPGMSKEERDKLARSETFAIRLSNVANMVLFIAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLIYSDNAFNLTNEQERWVVGIMLSVTLVKFLLMIYCRTFTNEIIKAYAQDHFFDVITNVIGLIAALLANYVDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMQLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQSHS >Vigun05g016800.2.v1.2 pep primary_assembly:ASM411807v1:5:1389488:1393416:1 gene:Vigun05g016800.v1.2 transcript:Vigun05g016800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLSSFCQVEYRVQEFGSRLGQEDNIAEYYQQQVEVLEGFTEMDALAERGFIPGMSKEERDKLARSETFAIRLSNVANMVLFIAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLIYSDNAFNLTNEQERWVVGIMLSVTLVKFLLMIYCRTFTNEIIKAYAQDHFFDVITNVIGLIAALLANYVDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMQLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQSHS >Vigun08g149100.1.v1.2 pep primary_assembly:ASM411807v1:8:32129967:32142314:-1 gene:Vigun08g149100.v1.2 transcript:Vigun08g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPQFGATAESLSKASTAVFRIGTDAHLYDDPEDVSIGPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINYFQKDLVDTNPLVRAWALRAMAGIRLHVIAPLVLVAVQKCARDPSVYVRKCAANALPKLHDLRMEEHSSAIEEIVGLLLNDHSPGVVGAAASAFTSVCPNNFSLIGRNYKRLCEILPDVEEWGQIILIGILLRYVIAKHGLVKESVMFSLSDEDVGNLDEDVGNLEEDESYITSKEDSSYAINKTVSELAKMIFQCYIEGPDEYLSRSSSTNTVAPKLDASQYTSCTTNVVKILLQCTSPLLWSNNSAVVLAAASVHWIMASKEDIKRIVKPLLFVLRSSSASRYVVLCNIQVFAKAMPFLFAPHYQDFFICSSDSYQIKALKLDILSSIATDTSISFIYREFQDYIRDPDRRFAADTVATIGLCAQRLPSIAALCLEGLLTLVRQEFFCGEIRSLDGEEGVLIQAITSIKSIIKLEPSSYEKVIIQLVRSLDKIKVPAARAIIIWMLGKYCSLGEVVPKMLSTVLKYLAQCFTSEALEAKLQILNTTAKVLLCIKGEDILTVRKIWTYVIELAECDLNYDIRDRARYLKKILSSNLECQHGEEANSESEKTKQSYILAECIFGETKAVRVPSEPIDYRFYLPGSLSQLVFHAAPGYEPLPKPCSLPYTDLDQYDGAAKSDSDEEDTGTSGPLDEESASDYSSEQSITASGDISGSDESVSGNEAEDNVDPLIQISDTGNVHENQNGGATSGTEGFQDLMSTKSLESWLDEPTRSSKGSETEQTQVRRSSARITIGNIGSRVKPKCHTLLDPANGNGLKVNYSFSSDTSTISSHLVCLELLFENCSLEPMLDIVLIDEDYSKSSDSTDQISSPTENTLKFHVDKPALVSMEEIPSLDPGQTANRMLLVRFHHHLLPLKLALFCNDKKFPVKLKPDIGYFVKPLPISIEDFRDKESHLPGMFEYVRSCTFTDHILELNKGSNSLTEDKFLVICETLALKMLSNANLSLVSVDMPVGTNLDDASGLCLRFSCEILSNSMPCLITVTVEGKCCDPLIVSIKVNCEETVFGLNFLNRVVNFLVEPSVTHL >Vigun10g175600.3.v1.2 pep primary_assembly:ASM411807v1:10:39409465:39416583:1 gene:Vigun10g175600.v1.2 transcript:Vigun10g175600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHRGSRRDLERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISDMNVDPKAMGNGSIASSSSSSSSRSFLANGVSPDGPYNYLSNDFSFPPGGIPSLRLPLVTSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKVREIYYSKIHDFFDKAVGSICIVY >Vigun10g175600.2.v1.2 pep primary_assembly:ASM411807v1:10:39409465:39418738:1 gene:Vigun10g175600.v1.2 transcript:Vigun10g175600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHRGSRRDLERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISDMNVDPKAMGNGSIASSSSSSSSRSFLANGVSPDGPYNYLSNDFSFPPGGIPSLRLPLVTSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVSTGSYSNLFRVFGCVPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAESTGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Vigun10g175600.1.v1.2 pep primary_assembly:ASM411807v1:10:39409465:39418738:1 gene:Vigun10g175600.v1.2 transcript:Vigun10g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHRGSRRDLERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISDMNVDPKAMGNGSIASSSSSSSSRSFLANGVSPDGPYNYLSNDFSFPPGGIPSLRLPLVVTSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVSTGSYSNLFRVFGCVPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAESTGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Vigun11g103500.1.v1.2 pep primary_assembly:ASM411807v1:11:30101005:30105049:1 gene:Vigun11g103500.v1.2 transcript:Vigun11g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKECKRYRIMVGGNGLFYPVVGFASIVLFIYMSFGDVKFGFEEEVELAFVERNGTQFMVDGKAFYINGWNSYWLMVQSVDEYSRPRVREMLKAGAKMGLTVCRTWAFNDGDYNALQSSPGVFNEQAFKALDYVIAEARKHGIRLLLSLVNNLHAYGGKTQYVKWAWQEGVGLSSSNDSFFFDPSIRSYFKNYIKTILTRKNTITGIEYRNDPAIFGWELINEPRCMTDPSGDTLQDWIEEMSAFVKLIDKRHLVTVGLEGFYGPNDPKRLTVNPEDWASRLGSDFIRNSLISNIDFTSVHIYPDHWFHEQVFEDYMKFVSKWMLSHIEDGDKVLHKPVVFSEFGLSDINFTMSERKTMYRTILDISYKSAKKNRSGGGALVWQFLVGGMQEFSDDFGIIPWEKTPIPSLFIEQSCRLAKVKGWPHKDQSFKQFC >Vigun03g394100.2.v1.2 pep primary_assembly:ASM411807v1:3:60024284:60029660:1 gene:Vigun03g394100.v1.2 transcript:Vigun03g394100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLLRSVAVAVSGGSSKGSRRAVQWAVDNLVPQADRFILVHVIPPITSIATPTEEYIPVSEAHADVFAAYVDDVKQKSEQIFVPFKKLCGSNTMETVLLEDDNVAEALLSFISESGVQTLVLGSDSSNFITRKLKGPGISTTSLRCAPDSCDVYVVARDRIVSKLADLSSSHSREASPSYFMSTKVNKVDNEAGIDREMSGISSSTTESKILKNFRFLSISEHSYIGLQTFSRRDSFENSINNDNPENCGDDIEMISLHTFDSIASAQREQLAMQEEVERLQLELQSTIAMYKQVCQELVQAQNQALLLSSESLEETKRVNASLKREEVLRKIASEEKTKYLKVMKELEEAKNKFSKESYERQMAELDVLKESIEKQRIVDTLLSNDRRYRKYTMDDIKIATKFFSEDLMIGEGGYGKVYKCNLDHTPVAVKVLHQDAINKKEEFLKEVEILSQLHHPNMVLLLGACPENGCLVYEYLENGSLEDYLLNKNRKPPLPWFFRFRIVFEMACGLSFLHNSKPEPIVHRDIKPGNILLDRNYVSKISDVGLAKLLIEVPPDNITEYRESVLAGTLHYMDPEYQRTGTVRPKSDVYAFGVITLQLITGRHARGLIMIVEDAIRNGSLRDILDASAGDWPLDETMELAQVALKCTALRCRDRPELDTEVLPLLERFSNAANASARIGRNSVSAPSQYYCPILQEIMDDPYIAADGFTYEYRAIKAWISRHNVSPMTKLKLQHSVLTPNHTLRSAIQEWKSGVTF >Vigun03g394100.3.v1.2 pep primary_assembly:ASM411807v1:3:60024300:60029660:1 gene:Vigun03g394100.v1.2 transcript:Vigun03g394100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLLRSVAVAVSGGSSKGSRRAVQWAVDNLVPQADRFILVHVIPPITSIATPTEEYIPVSEAHADVFAAYVDDVKQKSEQIFVPFKKLCGSNTMETVLLEDDNVAEALLSFISESGVQTLVLGSDSSNFITSFSSSRKLKGPGISTTSLRCAPDSCDVYVVARDRIVSKLADLSSSHSREASPSYFMSTKVNKVDNEAGIDREMSGISSSTTESKILKNFRFLSISEHSYIGLQTFSRRDSFENSINNDNPENCGDDIEMISLHTFDSIASAQREQLAMQEEVERLQLELQSTIAMYKQVCQELVQAQNQALLLSSESLEETKRVNASLKREEVLRKIASEEKTKYLKVMKELEEAKNKFSKESYERQMAELDVLKESIEKQRIVDTLLSNDRRYRKYTMDDIKIATKFFSEDLMIGEGGYGKVYKCNLDHTPVAVKVLHQDAINKKEEFLKEVEILSQLHHPNMVLLLGACPENGCLVYEYLENGSLEDYLLNKNRKPPLPWFFRFRIVFEMACGLSFLHNSKPEPIVHRDIKPGNILLDRNYVSKISDVGLAKLLIEVPPDNITEYRESVLAGTLHYMDPEYQRTGTVRPKSDVYAFGVITLQLITGRHARGLIMIVEDAIRNGSLRDILDASAGDWPLDETMELAQVALKCTALRCRDRPELDTEVLPLLERFSNAANASARIGRNSVSAPSQYYCPILQEIMDDPYIAADGFTYEYRAIKAWISRHNVSPMTKLKLQHSVLTPNHTLRSAIQEWKSGVTF >Vigun03g394100.1.v1.2 pep primary_assembly:ASM411807v1:3:60021293:60029607:1 gene:Vigun03g394100.v1.2 transcript:Vigun03g394100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKEQLKISLTNEIMSTPPLLRSVAVAVSGGSSKGSRRAVQWAVDNLVPQADRFILVHVIPPITSIATPTEEYIPVSEAHADVFAAYVDDVKQKSEQIFVPFKKLCGSNTMETVLLEDDNVAEALLSFISESGVQTLVLGSDSSNFITRKLKGPGISTTSLRCAPDSCDVYVVARDRIVSKLADLSSSHSREASPSYFMSTKVNKVDNEAGIDREMSGISSSTTESKILKNFRFLSISEHSYIGLQTFSRRDSFENSINNDNPENCGDDIEMISLHTFDSIASAQREQLAMQEEVERLQLELQSTIAMYKQVCQELVQAQNQALLLSSESLEETKRVNASLKREEVLRKIASEEKTKYLKVMKELEEAKNKFSKESYERQMAELDVLKESIEKQRIVDTLLSNDRRYRKYTMDDIKIATKFFSEDLMIGEGGYGKVYKCNLDHTPVAVKVLHQDAINKKEEFLKEVEILSQLHHPNMVLLLGACPENGCLVYEYLENGSLEDYLLNKNRKPPLPWFFRFRIVFEMACGLSFLHNSKPEPIVHRDIKPGNILLDRNYVSKISDVGLAKLLIEVPPDNITEYRESVLAGTLHYMDPEYQRTGTVRPKSDVYAFGVITLQLITGRHARGLIMIVEDAIRNGSLRDILDASAGDWPLDETMELAQVALKCTALRCRDRPELDTEVLPLLERFSNAANASARIGRNSVSAPSQYYCPILQEIMDDPYIAADGFTYEYRAIKAWISRHNVSPMTKLKLQHSVLTPNHTLRSAIQEWKSGVTF >Vigun07g180200.3.v1.2 pep primary_assembly:ASM411807v1:7:29722306:29726315:1 gene:Vigun07g180200.v1.2 transcript:Vigun07g180200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLFTTALMPVLKVLLITGLGSFLALHRFNILRESARKHLNALVYFVFTPALVCSILAKTITFKSLAMVWFMPLNILFTFVIGTALGWLCLKITKAAPDMQGLVLGCCAAEGPVSATEADLENHSTTPEVTAAQTNDLVTQFKTDTESKEKQIIKPLKTLVKRLNLKILLAPPTLGSIVGLIIGVVPPFQKMFVGADAPFRVVEDSASMLGDACIPAMTLLVGANLLNGLKRSGLKPSVLVGIIVVRYIVLPIFGVVIVKGAIRYGIIHQDPLYNFILLLQYALPPAMSISTITQLFGAGETECSIVMLATNACASFTLTLWSTFFMWLVL >Vigun07g180200.1.v1.2 pep primary_assembly:ASM411807v1:7:29722306:29726315:1 gene:Vigun07g180200.v1.2 transcript:Vigun07g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLFTTALMPVLKVLLITGLGSFLALHRFNILRESARKHLNALVYFVFTPALVCSILAKTITFKSLAMVWFMPLNILFTFVIGTALGWLCLKITKAAPDMQGLVLGCCAAGNQGNMPLIIIPAVCKESGSPFGAVNVCNTKGLTYASLSMAISNIYIWTFVYHIIRVYSCRNFNVNKVDTTTEGPVSATEADLENHSTTPEVTAAQTNDLVTQFKTDTESKEKQIIKPLKTLVKRLNLKILLAPPTLGSIVGLIIGVVPPFQKMFVGADAPFRVVEDSASMLGDACIPAMTLLVGANLLNGLKRSGLKPSVLVGIIVVRYIVLPIFGVVIVKGAIRYGIIHQDPLYNFILLLQYALPPAMSISTITQLFGAGETECSIVMLATNACASFTLTLWSTFFMWLVL >Vigun07g180200.4.v1.2 pep primary_assembly:ASM411807v1:7:29722306:29724878:1 gene:Vigun07g180200.v1.2 transcript:Vigun07g180200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLFTTALMPVLKVLLITGLGSFLALHRFNILRESARKHLNALVYFVFTPALVCSILAKTITFKSLAMVWFMPLNILFTFVIGTALGWLCLKITKAAPDMQGLVLGCCAAGNQGNMPLIIIPAVCKESGSPFGAVNVCNTKGLTYASLSMAISNIYIWTFVYHIIRVYSCRNFNVNKVDTTTEGPVSATEADLENHSTTPEVTAAQTNDLVTQFKTDTESKEKQIIKPLKTLVKRLNLKILLAPPTLGSVGQLFS >Vigun06g219700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32999652:33000241:1 gene:Vigun06g219700.v1.2 transcript:Vigun06g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSFMVLPLLLLTFSSIIITHSRVAEARLTTKILFPPDEDYDSPDLDISVQPPLPDFILHPKLPHADILENIPLPDLQFPEIPFTEAANKAVKKTP >Vigun04g145750.1.v1.2 pep primary_assembly:ASM411807v1:4:36120370:36121809:1 gene:Vigun04g145750.v1.2 transcript:Vigun04g145750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAETPSPYTSYPGINAKSKICGKKHHSSSKIISKSKKTLVQKSEDRDGTNVVVSKKSSRTIWKYWTNNKCLYGEQCINLHSWFQSDELSTIIELHQHKKAITGITLLVGTNKLYSGSTDGTIRIWDCHTGQSLKVINFGKEVNSLISEGPWIFVGLKNAIKAFNSKTNLEYTLDGPKGRILHMIVGNNILFAGVEDGVITAWRESSETKSPFELAGSLIGHTKSVVCLRVSLTVGCNMLYSGSMDQSIKVWNIDTLQCTMTLNEHTSVVTSLLC >Vigun07g047300.4.v1.2 pep primary_assembly:ASM411807v1:7:4893945:4897676:1 gene:Vigun07g047300.v1.2 transcript:Vigun07g047300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFRGSPKSSRKSIRYIDEMREEEAASLQNKSNQHHSSRRLPKGESRILDAPNIRNDYYANIMDWGKNNILAVALGSNMYLWNSDNSNVTKLFNASGNDFPTSVSWSEDTKYLAIGFKSSKLELWDAESSKPIRILEGHGERIATIAWNGHILTSGSHDKYIINHDVRARTNVMSRVKAHRAEVCGLKWSRGNMLASGGNENHIYVWELAKMSSSNFLHCFKDHCAAVKALAWCPYDSGVLASGGGTEDRCIKLWNVKHGTCICSIDTKAQVCGLEWNRHHKEVLSGHGFSTSAHHNQLCLWRYPSMTKVGALERHTSRVLDLCQSPDGLTVVSAGADETLRFWNVFGPPVSNNYETDLDNLLSLKVSPIR >Vigun07g047300.3.v1.2 pep primary_assembly:ASM411807v1:7:4893945:4897676:1 gene:Vigun07g047300.v1.2 transcript:Vigun07g047300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFRGSPKSSRKSIRYIDEMREEEAASLQNKSNQHHSSRRLPKGESRILDAPNIRNDYYANIMDWGKNNILAVALGSNMYLWNSDNSNVTKLFNASGNDFPTSVSWSEDTKYLAIGFKSSKLELWDAESSKPIRILEGHGERIATIAWNGHILTSGSHDKYIINHDAVRARTNVMSRVKAHRAEVCGLKWSRGNMLASGGNENHIYVWELAKMSSSNFLHCFKDHCAAVKALAWCPYDSGVLASGGGTEDRCIKLWNVKHGTCICSIDTKAQVCGLEWNRHHKEVLSGHGFSTSAHHNQLCLWRYPSMTKVGALERHTSRVLDLCQSPDGLTVVSAGADETLRFWNVFGPPVSNNYETDLDNLLSLKVSPIR >Vigun07g047300.1.v1.2 pep primary_assembly:ASM411807v1:7:4893945:4897675:1 gene:Vigun07g047300.v1.2 transcript:Vigun07g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLEHDWYSPKSLLSTPTHYDFPGDRFIPNRSLMDLDQAQSLLTNRTKKIQNKKFNDVYRQIVDEKLSLDSEGKPFKMLVFRGSPKSSRKSIRYIDEMREEEAASLQNKSNQHHSSRRLPKGESRILDAPNIRNDYYANIMDWGKNNILAVALGSNMYLWNSDNSNVTKLFNASGNDFPTSVSWSEDTKYLAIGFKSSKLELWDAESSKPIRILEGHGERIATIAWNGHILTSGSHDKYIINHDVRARTNVMSRVKAHRAEVCGLKWSRGNMLASGGNENHIYVWELAKMSSSNFLHCFKDHCAAVKALAWCPYDSGVLASGGGTEDRCIKLWNVKHGTCICSIDTKAQVCGLEWNRHHKEVLSGHGFSTSAHHNQLCLWRYPSMTKVGALERHTSRVLDLCQSPDGLTVVSAGADETLRFWNVFGPPVSNNYETDLDNLLSLKVSPIR >Vigun07g047300.2.v1.2 pep primary_assembly:ASM411807v1:7:4893945:4897675:1 gene:Vigun07g047300.v1.2 transcript:Vigun07g047300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLEHDWYSPKSLLSTPTHYDFPGDRFIPNRSLMDLDQAQSLLTNRTKKIQNKKFNDVYRQIVDEKLSLDSEGKPFKMLVFRGSPKSSRKSIRYIDEMREEEAASLQNKSNQHHSSRRLPKGESRILDAPNIRNDYYANIMDWGKNNILAVALGSNMYLWNSDNSNVTKLFNASGNDFPTSVSWSEDTKYLAIGFKSSKLELWDAESSKPIRILEGHGERIATIAWNGHILTSGSHDKYIINHDAVRARTNVMSRVKAHRAEVCGLKWSRGNMLASGGNENHIYVWELAKMSSSNFLHCFKDHCAAVKALAWCPYDSGVLASGGGTEDRCIKLWNVKHGTCICSIDTKAQVCGLEWNRHHKEVLSGHGFSTSAHHNQLCLWRYPSMTKVGALERHTSRVLDLCQSPDGLTVVSAGADETLRFWNVFGPPVSNNYETDLDNLLSLKVSPIR >Vigun07g047300.5.v1.2 pep primary_assembly:ASM411807v1:7:4894560:4897675:1 gene:Vigun07g047300.v1.2 transcript:Vigun07g047300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFRGSPKSSRKSIRYIDEMREEEAASLQNKSNQHHSSRRLPKGESRILDAPNIRNDYYANIMDWGKNNILAVALGSNMYLWNSDNSNVTKLFNASGNDFPTSVSWSEDTKYLAIGFKSSKLELWDAESSKPIRILEGHGERIATIAWNGHILTSGSHDKYIINHDVRARTNVMSRVKAHRAEVCGLKWSRGNMLASGGNENHIYVWELAKMSSSNFLHCFKDHCAAVKALAWCPYDSGVLASGGGTEDRCIKLWNVKHGTCICSIDTKAQVCGLEWNRHHKEVLSGHGFSTSAHHNQLCLWRYPSMTKVGALERHTSRVLDLCQSPDGLTVVSAGADETLRFWNVFGPPVSNNYETDLDNLLSLKVSPIR >Vigun01g237600.1.v1.2 pep primary_assembly:ASM411807v1:1:40906954:40911761:-1 gene:Vigun01g237600.v1.2 transcript:Vigun01g237600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRKLEKVQRMLEFMESRGVSNSNHHSNRFLANFIIFMIQPCGDLAINDKCCVLSHFIPTLSSSFLEDAYKHHHFTTTTNEQSSGFQQSIVGDPLLSCNQTEEYSLLQSCNDNMPVVGLDSMQMANSTLEDFCRSYFMFHGLDISKPQSIFKHLPILSFTESYIYQLDKMNEKLLQTPNNEKHVSGEKDKRGTELFVSCFSNDPLRPLLTILEHKGLLTERIREELRHGAEYWTLERKLCSALINKEEILVEDVMKAVHLKSFDYRVLNLLLYELQGTKVEELHMEFLSISEFLVEVSDDLYDYEDDVLENSFNILRMFIRIYGPSAAPAMLAKHISEAEEKYECLLKSLDPHLSLNYQKRCAEATKEGGKVSEYPLGTWTFPTVIQDEESYRLKLKSDI >Vigun10g133000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34254098:34259897:-1 gene:Vigun10g133000.v1.2 transcript:Vigun10g133000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTIHVTMLPWSAFGHLIPFFQLSIALAKAGVHVSFISTPKNIERLPKLPSTLTHLIDLVQLPLPSLDKELLPEGAEATVDVPFEKIQYLKLAYDNLQHAVKQFVANQLPDWIISDFCPHWITDIAQELQVKLIFFSAFSASAIAFLGPPGTRKAPISPESLTVPPEWMTFPSSVALRRHEASAFCANHYEENASGIRDLERLEKVFSASKAIIFRSCYEIEGEYLNVFQKLVGKPVIPIGLLPVERENVEGVRGETFEWLDRQASRSVLFVGFGSECKLSKDQVFEIAHGVEDSGLPFLWALRKPSWASNDEDSLPFGFIERTCERGIVCMGWIPQQEVLAHPCIGGSLFHSGWGSAIESLQFGHALVVLPFIADQPLNARFLVEKGLAIEVKRSADGSFTRNDIATSIKQAMVLEEGKNIRVNTGEAATIVGNVKLHQDHYIAEFVQFLKK >Vigun10g133000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34254097:34259897:-1 gene:Vigun10g133000.v1.2 transcript:Vigun10g133000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTIHVTMLPWSAFGHLIPFFQLSIALAKAGVHVSFISTPKNIERLPKLPSTLTHLIDLVQLPLPSLDKELLPEGAEATVDVPFEKIQYLKLAYDNLQHAVKQFVANQLPDWIISDFCPHWITDIAQELQVKLIFFSAFSASAIAFLGPPGTRKAPISPESLTVPPEWMTFPSSVALRRHEASAFCANHYEENASGIRDLERLEKVFSASKAIIFRSCYEIEGEYLNVFQKLVGKPVIPIGLLPVERENVEGVRGETFEWLDRQASRSVLFVGFGSECKLSKDQVFEIAHGVEDSGLPFLWALRKPSWASNDEDSLPFGFIERTCERGIVCMGWIPQQEVLAHPCIGGSLFHSGWGSAIESLQFGHALVVLPFIADQPLNARFLVEKGLAIEVKRSADGSFTRNDIATSIKQAMVLEEGKNIRVNTGEAATIVGNVKLHQDHYIAEFVQFLKK >Vigun11g015132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1869711:1873554:1 gene:Vigun11g015132.v1.2 transcript:Vigun11g015132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEKHLLQVLKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKRCDGLPLALKTMGSLLHNKSFLWEWENIMRSDIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYRFDKDILIQWWMAQNFLESHIQKKSAIEVGEQYFNDLLSWSFFQQSSNEEKERFIMHDLLNDLAKYVCKDVCIRIGVDEQEGISKTTRHCSFLSSGLCFDGFGSSIDTQKLHTFTQTDPNSGWVWDCKMSIDDLFSRFKLIRVLSLKSCRSLTEVPESIGNLKHLRSLDLSCTRIEKLPESMSLLFKLQILRLNKCRRLKELPSCLHQLENLRCLELVDIGVENVAAHLGKPKNVQVSMSSFHVEKSKEMNIQRLGQFNLHGRLTIDDLQNIENPSDALEADLKSKPHLVGLHLEWNFIGSSSVDSTKAEDVIENLRPSKYLKNLSIRNYIGQEFPNWLLHNSLPNLVSLELDDCRSCERLPPLGLLPFLEELSIDGLDGIVSIDADFHGNNSSSFKSLQTLRFSNMRQWEKWDCQAVTGAFPRLEHFSIRNCAKLKGHLPKFIALKYLYVRHCKHLEALIVSALELRLQDCGKLQLDCSTMEKLTMDGHGKTTSLLAMVGHMLFDTSLEILTLESISDDCVSLRIFPLDFFPKLRMLELSGFPNLQMISQDHVQNHLCYLRMEKCPKFESLPANMHMLLPSLDGLHIRDCPTLESFPDGGLPSNLKYITLWNCFRLVGLLKGALGDSSSLECLGISTPDAECFLDEGLLPPSLTQLQFLECQNLEKLDYKGLLQLSSLRTLYLRDCPNLQRLPEEGLPKSISSLHIINCPLLKQRCQKGGEDREKIAHIRNLYIY >Vigun11g126900.1.v1.2 pep primary_assembly:ASM411807v1:11:33405448:33408501:1 gene:Vigun11g126900.v1.2 transcript:Vigun11g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKNHSHGSNENFRCPHCAGPLSKNMETSNWTVSPLVRDSFSMIGSAVGGTTSAFYGFNHVMPVVRRCVKGPMWLHFLIGTPPVIVFSSACAGLAGGAVPALAQLVSSSYHAALSSPPPSE >Vigun10g001900.2.v1.2 pep primary_assembly:ASM411807v1:10:201549:203733:-1 gene:Vigun10g001900.v1.2 transcript:Vigun10g001900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVRELLRVVMVMMLLMLGAETEAMSSRRGHKRGKEESSGSGEEDAMSFCLSWRLAVEANNVVAWPTVPPQCSQYVETYMLNGQYERDLDLIVEEILGYVNQTLLPPDAIDAWILDVDDTCLSNIFYYKSKNYGCDPYDPYAFRKWAMTGRCPAISSVLRLFSILVDRGFKVFLLTGRDEETLGEVTKNNLQNQGFIGYERLILRSAAYRGKSAVKYKSDVRKQLEEEGYRIWGNVGDQWSDIKGDYLGNRTFKLPNPMYFVP >Vigun10g001900.1.v1.2 pep primary_assembly:ASM411807v1:10:201549:202968:-1 gene:Vigun10g001900.v1.2 transcript:Vigun10g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVRELLRVVMVMMLLMLGAETEAMSSRRGHKRGKEESSGSGEEDAMSFCLSWRLAVEANNVVAWPTVPPQCSQYVETYMLNGQYERDLDLIVEEILGYVNQTLLPPDAIDAWILDVDDTCLSNIFYYKSKNYGCDPYDPYAFRKWAMTGRCPAISSVLRLFSILVDRGFKVFLLTGRDEETLGEVTKNNLQNQGFIGYERLILRSAAYRGKSAVKYKSDVRKQLEEEGYRIWGNVGDQWSDIKGDYLGNRTFKLPNPMYFVP >Vigun10g001900.4.v1.2 pep primary_assembly:ASM411807v1:10:201554:203242:-1 gene:Vigun10g001900.v1.2 transcript:Vigun10g001900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVRELLRVVMVMMLLMLGAETEAMSSRRGHKRGKEESSGSGEEDAMSFCLSWRLAVEANNVVAWPTVPPQCSQYVETYMLNGQYERDLDLIVEEILGYVNQTLLPPDAIDAWILDVDDTCLSNIFYYKSKNYGCDPYDPYAFRKWAMTGRCPAISSVLRLFSILVDRGFKVFLLTGRDEETLGEVTKNNLQNQGFIGYERLILRSAAYRGKSAVKYKSDVRKQLEEEGYRIWGNVGDQWSDIKGDYLGNRTFKLPNPMYFVP >Vigun10g001900.3.v1.2 pep primary_assembly:ASM411807v1:10:201554:203369:-1 gene:Vigun10g001900.v1.2 transcript:Vigun10g001900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVRELLRVVMVMMLLMLGAETEAMSSRRGHKRGKEESSGSGEEDAMSFCLSWRLAVEANNVVAWPTVPPQCSQYVETYMLNGQYERDLDLIVEEILGYVNQTLLPPDAIDAWILDVDDTCLSNIFYYKSKNYGCDPYDPYAFRKWAMTGRCPAISSVLRLFSILVDRGFKVFLLTGRDEETLGEVTKNNLQNQGFIGYERLILRSAAYRGKSAVKYKSDVRKQLEEEGYRIWGNVGDQWSDIKGDYLGNRTFKLPNPMYFVP >Vigun04g044700.1.v1.2 pep primary_assembly:ASM411807v1:4:3856468:3860616:-1 gene:Vigun04g044700.v1.2 transcript:Vigun04g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAVTRLLSSIASRRHGLRQFSAATPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLRKFLVDPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIRTLREAGVTVVESPAKIGAAMLDVFKQRGLVQ >Vigun04g044700.2.v1.2 pep primary_assembly:ASM411807v1:4:3856505:3860592:-1 gene:Vigun04g044700.v1.2 transcript:Vigun04g044700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAVTRLLSSIASRRHGLRQFSAATPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLRKFLVDPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIRTLREAGVTVVESPAKIGAAMLDVFKQRGLVQ >Vigun01g010500.2.v1.2 pep primary_assembly:ASM411807v1:1:1177804:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNRIVVFGGIGYIGKHLVKASLSLGHPTFVYTRPLTSQTPPSKIQLCKDFTSMGVTLFQGELEHEQILAVVKEVDIVICALPFPQAMEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQISIIHSVFVRGDLTSFDIGEDDLEASLLYPDYNYTSIDEVLDIFLVHPPLPASTSFG >Vigun01g010500.7.v1.2 pep primary_assembly:ASM411807v1:1:1177803:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQMEVFFSIYD >Vigun01g010500.6.v1.2 pep primary_assembly:ASM411807v1:1:1179444:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQSKTIYFVHLYQILYFIKFIQIFLFAFCLTITPFFTKNK >Vigun01g010500.1.v1.2 pep primary_assembly:ASM411807v1:1:1177804:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNRIVVFGGIGYIGKHLVKASLSLGHPTFVYTRPLTSQTPPSKIQLCKDFTSMGVTLFQGELEHEQILAVVKEVDIVICALPFPQAMEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQTLPFPENIPVSIIHSVFVRGDLTSFDIGEDDLEASLLYPDYNYTSIDEVLDIFLVHPPLPASTSFG >Vigun01g010500.5.v1.2 pep primary_assembly:ASM411807v1:1:1177803:1184282:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQISIIHSVFVRGDLTSFDIGEDDLEASLLYPDYNYTSIDEVLDIFLVHPPLPASTSFG >Vigun01g010500.4.v1.2 pep primary_assembly:ASM411807v1:1:1177804:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQTLPFPENIPVSIIHSVFVRGDLTSFDIGEDDLEASLLYPDYNYTSIDEVLDIFLVHPPLPASTSFG >Vigun01g010500.3.v1.2 pep primary_assembly:ASM411807v1:1:1177803:1184281:-1 gene:Vigun01g010500.v1.2 transcript:Vigun01g010500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNRIVVFGGIGYIGKHLVKASLSLGHPTFVYTRPLTSQTPPSKIQLCKDFTSMGVTLFQGELEHEQILAVVKEVDIVICALPFPQAMEQLKIIEAIKVAGNIKRFIPTGFGSEEEKIKALPPFQAVLDEKRKIREKIEAAGIPYTSINANCFAAYFINYLLRPHQKLKDIVVYGTGEAKVVLNYEEDVAMYIVKAANDPRTCNRVVSCRPLKNIITQNKLISLWHQKSGQTFTNTFVSEEQIVKLSQMEVFFSIYD >Vigun02g183700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32378130:32380899:-1 gene:Vigun02g183700.v1.2 transcript:Vigun02g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVFQEEGSSSVTSSPLQFFSMMSLSPGIGSPYPWLRELKSEERGLCLIHLLISCANHVANGSLENANMLLDQISQLASPDGDTIQRVAAYFTQALADRILKSWPGLHRALNSTRIAMVSDEILVHKLFFDLFPFLKMSYILTNQAIVEAMLGEKMVHVIDLNANGPDQWIALLRVLSARPGGPPHLRITGVHHQKEILDQMAHKLTQEAEKLDIPFQFNPVVSKIENLDFDKLRVKTGEALAISCILQLHSLLALDDDASRRRSPLFWSNNSNANANAIQLQRILQMNQNTHLVEKDMVHGYSPSPDSASASASASSSPGSSSASMKSESFLNALWGLSPKIMVVTEQDFNHNSSNLMERLLESLNSYAALFDCLECTVSRASLDRMKIEKMLLGEEIKNIVACEGAERRERHEKMDKWIQRLDSSGFASVPLSRQGLTLAMRFMENYGCNGYRMREENGCVIIYWQDRTLFSVTAWRSRR >Vigun06g142900.1.v1.2 pep primary_assembly:ASM411807v1:6:26867144:26867953:1 gene:Vigun06g142900.v1.2 transcript:Vigun06g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPSSSNSTSTPSVTDRTKQLRLHEVALAELNNQPSSRAVYRKNGNLFFRTTIQTATAMEQKELESAKSKLKGLDSSS >VigunL000666.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:8070:8287:-1 gene:VigunL000666.v1.2 transcript:VigunL000666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKWQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun04g020700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1577627:1578397:1 gene:Vigun04g020700.v1.2 transcript:Vigun04g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQKQYEDQGRKIDEYGNVVQETDEYGNPVHAASVTYITSTTGGLGDDSNKQHDTSNVYGADTRRQHGTIGDTGRQHGTTGGFTGDTGRQYGTTGGFTGDTGRQHGTTGGFTGDTGRQHGTTGGFTGDTGRQHGTTGGFTGDTGRQHGTTGGFTGGDTGLGGPYVGANTADTGTGPRSGTTGGSAYGSGGAGGGYGSGAGAGGAGYGMNTGGAHSDERYGREYREHDQSRGDHDKKGIVDKIKEKLPGGHSDNK >Vigun02g131766.1.v1.2 pep primary_assembly:ASM411807v1:2:28267907:28268324:-1 gene:Vigun02g131766.v1.2 transcript:Vigun02g131766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLIKKVLLVSGEIARELPGISPSCGVCCALFRYAFYYYLSLSLHSVIHLCTRGIQQRIRFNQKSELFGNLIGRRC >Vigun02g173400.1.v1.2 pep primary_assembly:ASM411807v1:2:31605600:31613972:-1 gene:Vigun02g173400.v1.2 transcript:Vigun02g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRPKMALRSPISSALIIFVLSCFLALRVSGIQIISKSKLEKCEKNSDSNNLNCTTKIVVNMAVPSGSSGGEASIVAELVEVEENSTRKMQTLRIPPVITINKTSAYALYELTYIRDVPYKPEEYYVQTRKCEPDAGANVVKICERLRDEEGHIIENTQPICCPCGPQRRMPSSCGNFFDKLTKGKANTAHCVRFPGDWFHVFGIGRRTLGFSVRIEVKSGTKISEVVVAPENRTVISDDKFLRVNLIGDFVGYTNIPSFEDFYLVVPRQGSPGQPQDLGRNISMWMLLERVRFTLDSVECNKIGVSYEAFNRQPNFCSSPFWTCLHNQLWNFREADLNRISRNQVPLYGLEGRFERINQHPSAGSYSFSIGITEVLNTNLVLELSANDVEYVYQRSPGKIISVSVPTFEALTQFGVAIITTKNTGEVEASYSLTFNCSKDITLMEEQFLIMKPNEITTRSFKLYPSNDQASKYFCAAILKDSDYNEVDRAECQFATTATVLDNGTQGMPFQPPETSLNGFFDSIENLWNKLWTTLTEFITGRTCRQKCSGFFDFKCHIQYVCLSWVMMFGLFLAIFPTVLVLLWLLHEKGLFDPLYDWWDDLLDADEQIIMDKRKIITDKGHHHIHDNNHHKQELGRPNYSAQYRRRTGYERKLKHSGRNSDYFDDLRHVHKEMHKHSDYYGHSHHVHKEMHKHGHKKKNMDNRQHTADHPAHHKHRKKEGKLKEKKLKHDKVRQENYDRHRQVQLDEQEDE >Vigun04g039500.1.v1.2 pep primary_assembly:ASM411807v1:4:3333346:3339150:1 gene:Vigun04g039500.v1.2 transcript:Vigun04g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFENGELQKISEETDVQPYHETLHSKFQRLLSASVSIPMESYEIETSLVGDSGSLYATPETDNLMQHSIVVTGNKTEESTDESYDSINEHLLRSGKLGICNDPYCTTCPSYFKSSQLRNPKALNIPDPKNALNGDAKGFTRKFYSLCSSFVPGVMNPHSKFIQHWNKVLASFCLVAIFVDPLFFFLLYVRQDHNCIVINWKLTKALVIVRSMNDFIYFLHILLQFRLAFVSPESRVVGAGDLVDHPKEIAFHYLKGYFLIDLFVVFPLPQIMLLSVLPKSLRGANYAKNVLRAAILVQYIPRLFRFLPMLFGQSPAGFIFESAWANFIINLLIFILASHVVGSIWYLFALQRVNQCFRNACQSSNIPGCLAFIDCGHSHVGENQLGLSSNQWNNNADAIACWNSSSTGSFAYGIYNNAVPLTTQTDIVTKYIYALFWGLQQISTLAGNQTPSDFVYETLFTIAIIGMGLLLFALLIGNIQNFLQGLGRRRLEMQLRRGDVEQWMSHRRLPEYLRRRVREAERYSWTATRGVNEATLMENFPEDLQMDIRRHLFKFVKKVRIFALMDEPVLDAICARLRQATYIKGSRILSQGSVVEKMVFVVRGKLESIGEDGIRIPLSEGDVCGEELLTWYLEHSSVSADGRRVRLPGQKLLSNRTVRCLTNVEVLSLRAENLEEVTILFTRFLRSLRVQGALRYESPYWRSLAAIRIQVAWRYRKKRLSHVNSDFQNKH >Vigun01g108300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28079489:28082060:-1 gene:Vigun01g108300.v1.2 transcript:Vigun01g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRTITIVANSYPDQDPSPSASLASSSFSSSSASASHINSNSSSPPVRRRALSLPMPLVHHPPIKKGDTHHLVSLTSTTYGSLLLIDQKDPNFTPKDQPHLTKTSNQTELEHSLSPDSVINTWELMDGLDEEEEQQERQEGIAKPPYTSTLDKPSSCRYTAFDGSARKKLLDSFESLKTSQTVTENSCSPASTKKPLWQHLSEEALLAKLDPSVAWSYRRALSSRQLGRNTLPRDIRSMGSSPLVFSPSFSLCKNHLCRLPGTEDRIVLYCTSLRGIRKTYEDCCSVRMILRGFRVAVDERDISMDSSYRKELQDALGGKAVTLPQVFIRGKYVGNAEEMKHMNESGELVRLLEGFPTQEPGFVCDNCGDARFVPCPNCSGSRKVFEHQDGGLRRCPDCNENGLIRCPGCCT >Vigun09g026100.3.v1.2 pep primary_assembly:ASM411807v1:9:2021855:2030337:1 gene:Vigun09g026100.v1.2 transcript:Vigun09g026100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSEPPSSNGAGENISKNALKRELKNKQREEERKRKEEEKAKKAAEMQRAKDNKPAPVDEDDMDPTQYLENRLKYLAVQKAEGRNPYPHKFFVTMSLDEYIKEYGGLSEGQHLEDVSVSLSGRIMHKRTSGSKLVFYDLHGGGFKVQVMADASKSDLNEADFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVVLSHCLHMMPRQKSAAAAADNANLKRNPWVPGSTRNPEIYILKDQETRYRMRHLDLMLNPEVREIFKTRSKIISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMDITEQMLSGMVKELTKGSYKIKYHADGIDKEPIEIDFTPPFRRIDMIEELEKMAGLSIPKDLSSQEANQYLKDACLKYEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFSEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >Vigun09g026100.1.v1.2 pep primary_assembly:ASM411807v1:9:2021854:2028611:1 gene:Vigun09g026100.v1.2 transcript:Vigun09g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSEPPSSNGAGENISKNALKRELKNKQREEERKRKEEEKAKKAAEMQRAKDNKPAPVDEDDMDPTQYLENRLKYLAVQKAEGRNPYPHKFFVTMSLDEYIKEYGGLSEGQHLEDVSVSLSGRIMHKRTSGSKLVFYDLHGGGFKVQVMADASKSDLNEADFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVVLSHCLHMMPRQKSAAAAADNANLKRNPWVPGSTRNPEIYILKDQETRYRMRHLDLMLNPEVREIFKTRSKIISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMDITEQMLSGMVKELTKGSYKIKYHADGIDKEPIEIDFTPPFRRIDMIEELEKMAGLSIPKDLSSQEANQYLKDACLKYEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFSEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >Vigun09g026100.2.v1.2 pep primary_assembly:ASM411807v1:9:2021151:2030337:1 gene:Vigun09g026100.v1.2 transcript:Vigun09g026100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKDNKPAPVDEDDMDPTQYLENRLKYLAVQKAEGRNPYPHKFFVTMSLDEYIKEYGGLSEGQHLEDVSVSLSGRIMHKRTSGSKLVFYDLHGGGFKVQVMADASKSDLNEADFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVVLSHCLHMMPRQKSAAAAADNANLKRNPWVPGSTRNPEIYILKDQETRYRMRHLDLMLNPEVREIFKTRSKIISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMDITEQMLSGMVKELTKGSYKIKYHADGIDKEPIEIDFTPPFRRIDMIEELEKMAGLSIPKDLSSQEANQYLKDACLKYEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFSEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >Vigun09g026100.4.v1.2 pep primary_assembly:ASM411807v1:9:2021151:2028611:1 gene:Vigun09g026100.v1.2 transcript:Vigun09g026100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKDNKPAPVDEDDMDPTQYLENRLKYLAVQKAEGRNPYPHKFFVTMSLDEYIKEYGGLSEGQHLEDVSVSLSGRIMHKRTSGSKLVFYDLHGGGFKVQVMADASKSDLNEADFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKTFVVLSHCLHMMPRQKSAAAAADNANLKRNPWVPGSTRNPEIYILKDQETRYRMRHLDLMLNPEVREIFKTRSKIISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMDITEQMLSGMVKELTKGSYKIKYHADGIDKEPIEIDFTPPFRRIDMIEELEKMAGLSIPKDLSSQEANQYLKDACLKYEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFSEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >Vigun09g223000.1.v1.2 pep primary_assembly:ASM411807v1:9:39593907:39597967:-1 gene:Vigun09g223000.v1.2 transcript:Vigun09g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYYPYTTPAATDGASIARTSFAGYIPTEPSNSTELRGIGSDYLQRDIGLFYSADDTLGSRVHSEPVKGYSPLADPDLSKKRDMAPLGISHGVSDVNSERASSKNSYDGLPISAADSNILFVGGLPNNCTRREVGHLFRPFIGYKDIRVVHKEPRRSGDKAMTLCFVEFVDSKCALTALEALQGYKFDDKKPDSPTLKIQFAHFPFRLPSDHGNSLTT >Vigun11g106300.1.v1.2 pep primary_assembly:ASM411807v1:11:30475026:30477549:-1 gene:Vigun11g106300.v1.2 transcript:Vigun11g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVINLRFCHSPQLLHSPNLFFVVPSSSQTSNFTLRNPTTPFAISFKNRFFSPIRASMASSVSVTENETKPFSVLFVCLGNICRSPAAEGVFTDLVNKKSLNSLFRIDSAGTINYHEGNEADPRMRAASKRRGIQITSISRPIKPSDFIDFDLILAMDKQNRVDILEAFNKWKGKHSLPDDAHKKVKLMCSYCKKHDETEVPDPYYGGAQGFEKVLDLLEDACGSLLETILAENKHLQES >Vigun11g057100.1.v1.2 pep primary_assembly:ASM411807v1:11:11461568:11483124:1 gene:Vigun11g057100.v1.2 transcript:Vigun11g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEALVAQIQGLSSTSSDVNRLHSILKQADDLLRSKSTRLPPLLTQLDPSIHSLGFLYILDAYMTSPITKTQAETAVPIATRFIGACSDQIRLAPEKFLSVCRRLKDQVMLLETPIRGVAPLFTALRKVQVSAEHLTPLHSEFLLLCLLSKCYKTGLSILDDDVFEVNHPRDFFLYCYYGGMICIGMKCFQKALDLLHNVVTAPMSVINAIAVEAYKKYILVSLIRNGQFSTSLPKYSSSAAQRNLKNFCQPYVELANTYGNGKIAELEAFVKTNEEKFESDSNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMALSRKLTAMDEQISCDQLYLSKAGRERQRYDFDDFDVPQKFNI >VigunL079300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000585.1:39952:40095:-1 gene:VigunL079300.v1.2 transcript:VigunL079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARETPSLSFLFSPPPMSPRVATWMGVKKGAPINLFRPRIISSWV >Vigun11g191700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39103691:39105535:1 gene:Vigun11g191700.v1.2 transcript:Vigun11g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDKNAIQETHYKVLNVKEDANYEEIRTSYRSAVLSLHPDKLLKSSERSSSNEIDGERFLKVQKAWEILSDSSSRSCYDKELRSTRQDFLAAEVAEDLRLDDMMVEDGGEALELFYQCRCGDYFSVDSLELEKMGYSLLREGSGISILSVDTLPGSVILPCGSCSLKARLLICMDDI >Vigun03g360500.2.v1.2 pep primary_assembly:ASM411807v1:3:56358022:56367823:-1 gene:Vigun03g360500.v1.2 transcript:Vigun03g360500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRAYEPPSIPPRASIPSGDNTVWTDVSPLLDAACQDLQEGELIHGDNFNLFAAMSALEIMDPKMDSGIACTYYSLDEAIENGVAPVPLSADKTTDVQCVIDIMDHLLACEATWHKGHSLAQTVYSCLYLLRPERTSSHALLHSYCKVIRATCKAILSAVSEARTHEEEDLFTMAYGLPLSGDGDEKCLSLLNAVEETISRQLRSCKASSSKRRVSEDIEPLQNNPDLEEGYCKALLCRLRFRKHFYHLLMSMKRPQGRGLELARKHIATCISEIDYIKKSSDFLRGNAHGMSKQNLENTTASGCQPIGFDANLNSRLSAPTPPRSIKILCWEKALEYFMKLLQDLDVICSYTLAPSLEAALLFVVKFQKAKPDLVARAHLQLLLVQDGKLYGRDPIFSMITRATGLPEVAEMHDIQKNEFMVQLGQLVINLLKILCTNAAWQRRKLGKMLQDWRVTYVQLEMAFKGEFEEASKTSNNKKVCFKIYQHILAWVEEQTYWIAFRFLNLGFELELYSVHDYCMVYWYTYVVLIKLAEKKHLRMSMSSDSAKKKTKKKRDSFKDGEMDNQISAAVLFLQSQIYLSEGLSMMFAALRNEHRIVPPQSPFNTEHEIFIQQFELLQKACVPDHVSYATFKESTVHANFDTLAICDYFKEAQKIAKEVKSSFSNDPDTMAELRRIEQVAERNSIALNVCRAGALDPKLKVSFAFSHHPFYATATVKRS >Vigun03g360500.1.v1.2 pep primary_assembly:ASM411807v1:3:56358114:56367748:-1 gene:Vigun03g360500.v1.2 transcript:Vigun03g360500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRAYEPPSIPPRASIPSGDNTVWTDVSPLLDAACQDLQEGELIHGDNFNLFAAMSALEIMDPKMDSGIACTYYSLDEAIENGVAPVPLSADKTTDVQCVIDIMDHLLACEATWHKGHSLAQTVYSCLYLLRPERTSSHALLHSYCKVIRATCKAILSAVSEARTHEEEDLFTMAYGLPLSGDGDEKCLSLLNAVEETISRQLRSCKASSSKRRVSEDIEPLQNNPDLEEGYCKALLCRLRFRKHFYHLLMSMKRPQGRGLELARKHIATCISEIDYIKKSSDFLRGNAHGMSKQNLENTTASGCQPIGFDANLNSRLSAPTPPRSIKILCWEKALEYFMKLLQDLDVICSYTLAPSLEAALLFVVKFQKAKPDLVARAHLQLLLVQDGKLYGRDPIFSMITRATGLPEVAEMHDIQKNEFMVQLGQLVINLLKILCTNAAWQRRKLGKMLQDWRVTYVQLEMAFKGEFEEASKTSNNKQKVCFKIYQHILAWVEEQTYWIAFRFLNLGFELELYSVHDYCMVYWYTYVVLIKLAEKKHLRMSMSSDSAKKKTKKKRDSFKDGEMDNQISAAVLFLQSQIYLSEGLSMMFAALRNEHRIVPPQSPFNTEHEIFIQQFELLQKACVPDHVSYATFKESTVHANFDTLAICDYFKEAQKIAKEVKSSFSNDPDTMAELRRIEQVAERNSIALNVCRAGALDPKLKVSFAFSHHPFYATATVKRS >Vigun05g184000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35489751:35490434:-1 gene:Vigun05g184000.v1.2 transcript:Vigun05g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNFMKDYANNNPSSKDFTHVVNCYKNHNQMAKELCNADQDYVNGFPWPPRSYTCSFCRKEFKSAQALGGHMNVHRRDRARLRQSPPTEGQAHMFNLNLDPSTTNPNHNSTSSWSLLPPSSSSSPTLKPVTCTLPLFVSPTPPSPSSEFKRWVVVDGILLNPLSTNTPEHSKSKIPESGEHDHAFAREDGCTVLKKGEILRMDLEIGLPRTYDLDLELRLGTTYS >Vigun11g144901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35413435:35414283:-1 gene:Vigun11g144901.v1.2 transcript:Vigun11g144901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQATLFSLSLFLLLCSTSLAISPAPAAAPKAPAAKTPHTPKAAAPSPKPLVPTLPQSPDSPDSVPDDITRILKKAKMFSTLIRLLKTTEIMNNINSQLITAKSGGITILAPDDSAFSNLKAGFLNSLNEGQKIELVQFHILPEFVSSSNFDSLSNPVQTVAGKDPVRLPLNVNALGNSVNISTGVVNATVLGVVYSDNKLGIYHVDKVLLPLDFFATNKAPALAPTSLAKAPKAAKENSSDDDQEETNQDHNKSGAVNLVIGGTKFVSLGIALMAVATMWC >Vigun06g133800.1.v1.2 pep primary_assembly:ASM411807v1:6:26023614:26026689:1 gene:Vigun06g133800.v1.2 transcript:Vigun06g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEADATTTNSQLPLADTDINWDRLDKTRFHVIGAVLFTAQSGLLHPTAVVKTRMQVAGSESWHVRGGTSVLAQILRSDGVSGIFRGFGTSAIGSIPGRVLALTSLEVSKDIILKHTQATHIPEASRVGLANALAGMISNLVSCVYFVPLDVICQRLMVQGLPGTTFCRGPFDVVRKVVETEGFRGLYRGFGLTAITQSPASALWWGSYAAAQHLIWRSLGYKDDTGEKPSHVEMVTVQASAGMVAGACSSVITTPIDTVKTRLQVMDNYGSERPSVLKTAKILLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSVQSSLRSFT >Vigun06g133800.2.v1.2 pep primary_assembly:ASM411807v1:6:26023614:26026689:1 gene:Vigun06g133800.v1.2 transcript:Vigun06g133800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEADATTTNSQLPLADTDINWDRLDKTRFHVIGAVLFTAQSGLLHPTAVVKTRMQVAGSESWHVRGGTSVLAQILRSDGVSGIFRGFGTSAIGSIPGRVLALTSLEVSKDIILKHTQATHIPEASRVGLANALAGMISNLVSCVYFVPLDVICQRLMVQGLPGTTFCRGPFDVVRKVVETEGFRGLYRGFGLTAITQSPASALWWGSYAAAQHLIWSLGYKDDTGEKPSHVEMVTVQASAGMVAGACSSVITTPIDTVKTRLQVMDNYGSERPSVLKTAKILLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSVQSSLRSFT >Vigun03g256800.2.v1.2 pep primary_assembly:ASM411807v1:3:42296662:42298977:-1 gene:Vigun03g256800.v1.2 transcript:Vigun03g256800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENPNQKNSLYPQVIVDVKPEPCSSNPSSNLYPKIDKVENLLPNDFSPSAPPLAAEEVLIKVPGAILHLIDKECSVELACGDLKIICLRQGPNAVGYYANVGDIQWPMAKDEAAVKVDDSHYFFSLHMPAESKSDSSSDEEEKRGIFRLRRSRTKKLKDSVPSVMSYGLTIALRGQEDLLKELDKVLQECSAFSVQKVSEEAKKEGEALDASVAREVSPADLQTEEKREMMEEKCAAYWTTLAPNVEDYSGTAARLIAAGSGQLVKGILWCGDVTVERLRWGNEIMKTRMAPGTQEEINPETMKRIQRVKRMTEMTESVANGVLTGVVKVSGYFTSSLANSKAGKKIFSLLPGEVVLASLDGFSKVCDAVEVAGKSVMTTSNTVTTELIWR >Vigun03g256800.1.v1.2 pep primary_assembly:ASM411807v1:3:42296662:42298977:-1 gene:Vigun03g256800.v1.2 transcript:Vigun03g256800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENPNQKNSLYPQVIVDVKPEPCSSNPSSNLYPKIDKVENLLPNDFSPSAPPLAAEEVLIKVPGAILHLIDKECSVELACGDLKIICLRQGPNAVGYYANVGDIQWPMAKDEAAVKVDDSHYFFSLHMPAESKSDSSSDEEEKRGIFRLRRSRTKKLKDSVPSVMSYGLTIALRGQEDLLKELDKVLQECSAFSVQKVSEEAKKEGEALDASVAREVSPADLQTEEKREMMEEKCAAYWTTLAPNVEDYSGTAARLIAAGSGQLVKGILWCGDVTVERLRWGNEIMKTRMAPGTQEEINPETMKRIQRVKRMTEMTESVANGVLTGVVKVSGYFTSSLANSKAGKKIFSLLPGEVVLASLDGFSKVCDAVEVAGKSVMTTSNTVTTELVSHRYGDEAAKATSEGLGAAGHAVGTAWAAFKLRQALNPKSVIKPTALSRSAAQAAAAELNSKMAK >Vigun05g228300.1.v1.2 pep primary_assembly:ASM411807v1:5:42169227:42173292:1 gene:Vigun05g228300.v1.2 transcript:Vigun05g228300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFAVFYNTEQRNHPSIIKVILENKDGVFDGLPHLIYLSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMVVNNPKIVLHALSILMDSQKGEDVAFVQCFQQFYDGIKNDPFGNQLVAVFEYIIRGMAGLQGPHYSGSNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDGKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSMVEDFPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWATGLTEVFFGKHSPIMGMIFGKIQFRAGLSYSWLANWGLRSVFEVCYALLPPYCIITDTSIFPKGHGLWIPIALFVIYSVHTLLEYLKIGLSIRYWWNNQRMSIITTTTAWFIGFLSAMVKLAGISDNVFEITEKELSSDGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPTHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGKYGIPLSTMCKSAILAFVFVHFCRK >Vigun05g228300.2.v1.2 pep primary_assembly:ASM411807v1:5:42169227:42173292:1 gene:Vigun05g228300.v1.2 transcript:Vigun05g228300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFAVFYNTEQRNHPSIIKVILENKDGVFDGLPHLIYLSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMVVNNPKIVLHALSILMDSQKGEDVAFVQCFQQFYDGIKNDPFGNQLVAVFEYIIRGMAGLQGPHYSGSNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDGKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSMVEDFPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWATGLTEVFFGKHSPIMGMIFGKIQFRAGLSYSWLANWGLRSVFEVCYALLPPYCIITDTSIFPKGHGLWIPIALFVIYSVHTLLEYLKIGLSIRYWWNNQRMSIITTTTAWFIGFLSAMVKLAGISDNVFEITEKELSSDGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPTHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGKYGIPLSTMCKSAILAFVFVHFCRK >Vigun07g137400.1.v1.2 pep primary_assembly:ASM411807v1:7:24749124:24751629:-1 gene:Vigun07g137400.v1.2 transcript:Vigun07g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTHSDSKAATIVIKDLKFTYPGIDGHPPPGSSPLIQDFSLTLGSADRCLLVGSNGAGKTTILKILGGKHLVEPDMVRVLGRSAFHDTTLVSSGDLCYLGGEWRRDVAFAGFDVPIQMDVSAEKMIFGVAGIDPQRRAELIKVLDIDLSWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLRFLRKECEERGATIIYATHIFDGLEDWPTHIVYVAHGKLQLAMPMEKVKEISKLSLMRTVESWLRKERDEDRRKRKERKAAGLPEFGKQVEGSRVTGDPARAAVRVTNNGWAAGRLNSTVAGEENFILSSNRVLR >Vigun10g056301.2.v1.2 pep primary_assembly:ASM411807v1:10:10236861:10241633:1 gene:Vigun10g056301.v1.2 transcript:Vigun10g056301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSDTRYTLLHHCTIALVMNSKVSILQVMEKKLSDRVWRSLNGASSGYAILFLS >Vigun10g056301.1.v1.2 pep primary_assembly:ASM411807v1:10:10236859:10242254:1 gene:Vigun10g056301.v1.2 transcript:Vigun10g056301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSDTRYTLLHHCTIALVMNSKVSILQVMEKKLSDRVWRSLNGASSGYAILFLS >Vigun10g056301.3.v1.2 pep primary_assembly:ASM411807v1:10:10239086:10241466:1 gene:Vigun10g056301.v1.2 transcript:Vigun10g056301.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSDTRYTLLHHCTIALVMNSKVSILQVMEKKLSDRVWRSLNGASSGYAILFLS >Vigun02g201600.7.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKFLLLQVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGTNT >Vigun02g201600.1.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKFLLLQVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >Vigun02g201600.4.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKFLLLQVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLDRRLGILTHQRMNDAKLTFAVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >Vigun02g201600.11.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKFLLLQVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIDFSSVRP >Vigun02g201600.2.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >Vigun02g201600.10.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISALEYLSLMETNVVLRLILHRRPLPTSLLPEMSIEIVVLFQMLQIVTLSVMQKPIFPLTISSRQVNLIGEILLLVTICQMEITKAVFSRKMMV >Vigun02g201600.8.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGTNT >Vigun02g201600.12.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIDFSSVRP >Vigun02g201600.9.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKFLLLQVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISALEYLSLMETNVVLRLILHRRPLPTSLLPEMSIEIVVLFQMLQIVTLSVMQKPIFPLTISSRQVNLIGEILLLVTICQMEITKAVFSRKMMV >Vigun02g201600.3.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >Vigun02g201600.6.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >Vigun02g201600.5.v1.2 pep primary_assembly:ASM411807v1:2:33629414:33635068:1 gene:Vigun02g201600.v1.2 transcript:Vigun02g201600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEGAANGDGDGDGGDRPWQSYHTVYTTAKAGMEGVDKEKVQRVVYEMSKGSKYFQNEERKEAFINQKIQNLRIQCAKLTQADLAHYRKVADRRIVELEASRDLSRIWLHVDMDAFYAAVETLSNPTLQGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFRIYDPNFIAGSLDEAYLDITEVCKERNVKSEEIAQELRAGVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRMAVMTFVSSLPIRKIGGIGKVTEHILKGVFEINTCEQMLEKSCYLYALFSQPTADFFCSVGLGLGQTDSPQVRFRKSISNERTFSATEDEVLLHKKLDRRLGILTHQRMNDAKLTFAVELAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYISSTEDILKHAKKLLKAELPISVRLIGLRVSQFNGDKCSVTPDPTQKTITNFITSGDVNRNCSSFPDVADRDFVSDAETNLSVDNQQQTSQLDWRDPFVGNYLSDGDYQSCVLQKNDGIEEVQTPSNDATSSHHSGFTEALGSTSFQGQFEGINVMNESKLLEGASLNSCCQETTMLWLNDYKCSLCGIELPPSFVEERLEHSDFHLAEKLQKEESRIHQPCVPSGSRNQKDGMSRQSKSKKQKLSQKEGKYTPIDSFFVKK >VigunL003800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:27588:28226:1 gene:VigunL003800.v1.2 transcript:VigunL003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTITMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGEDKLIPVDVYLPGCPPKPEAIIDAITKLCKKISREIYEDPMCFQRENRCFTTNHKFHVGYSTYTGNYGQEFFYQPPSTSEISSDTFF >Vigun11g093501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27603312:27606332:-1 gene:Vigun11g093501.v1.2 transcript:Vigun11g093501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSNKINNPFTQSHKTAQNQFKSHSLNLKTKTEYEHEKDFKMTSTAPTEIVVTLRVSPETTIVGSEGCAKKTPGMCCNSGKLRWRWQRRPRDPKLQCNVVMWSSGSELAKWFPGAKTEVTW >Vigun07g065900.2.v1.2 pep primary_assembly:ASM411807v1:7:7719421:7724648:-1 gene:Vigun07g065900.v1.2 transcript:Vigun07g065900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELWPNLIMDASRNGITLALLNARMSEKSFKYWSGSVLLPLISLMLSKFSLIVPLSTEQGIRLQLLQAPPYIINFSGDLKYVIEDFGVNERGRNNIENLRVQLTEKQVWMASSIHRGEEEIILGVHFALMQQQPNILTIIVPRHLQQGREIARKLEKEGQNVILRSQCEKFKPGTNIYVVDTLGELRQLYTLTPIAVIGGSLLPGLSGHNISEAAAAGCAVLTGCHVGHFSHMVLEMQRSNPLSVLQVSGKPELEKALLELFTNATLLEERGRASKEVFCSLSCGIVEKTWSLLNFHIFGRFSAEESKSLRL >Vigun07g065900.1.v1.2 pep primary_assembly:ASM411807v1:7:7719421:7724648:-1 gene:Vigun07g065900.v1.2 transcript:Vigun07g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLVVYKLYRALSYGVSPLIRLHLRWRRFLGLEHPHRWPERLGHPSQPRRPGPLLWFHAVSLGEGMIAIPVIKHCIRKMPHLNVLLTITTMSAFEVLSKSLPSEIILQFSPVDTPTSIHSFLDYWKPNAIVLMESELWPNLIMDASRNGITLALLNARMSEKSFKYWSGSVLLPLISLMLSKFSLIVPLSTEQGIRLQLLQAPPYIINFSGDLKYVIEDFGVNERGRNNIENLRVQLTEKQVWMASSIHRGEEEIILGVHFALMQQQPNILTIIVPRHLQQGREIARKLEKEGQNVILRSQCEKFKPGTNIYVVDTLGELRQLYTLTPIAVIGGSLLPGLSGHNISEAAAAGCAVLTGCHVGHFSHMVLEMQRSNPLSVLQVSGKPELEKALLELFTNATLLEERGRASKEVFCSLSCGIVEKTWSLLNFHIFGRFSAEESKSLRL >Vigun05g046833.1.v1.2 pep primary_assembly:ASM411807v1:5:3915337:3915596:-1 gene:Vigun05g046833.v1.2 transcript:Vigun05g046833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSSKWQKAKVLPYKHTIVAYGAAIVSLPSQIAYGSR >Vigun08g217900.1.v1.2 pep primary_assembly:ASM411807v1:8:37777273:37780514:1 gene:Vigun08g217900.v1.2 transcript:Vigun08g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSPKDCSVSSSPFSSPNIGALLKIKVITWSQQTGLPVSVRVRVKDKIFNLHKFPLTSKSGYFKKHLTDTSEVELPETFPGGPETFEMIAMFIYGSSTLIDPFNVVALRCAAEFLEMTEDHCSGNLCERFDLYLNQVVLQSWDDTLIALQRCQMLLPWSEYLLIVSRCIESLAFMACMEVLDPERRRDTPVVTVEELASQAWSCEIMKDVVSQDLWMRDLIALPFDFFKRVIGSLRKQGMKEKYVSPIIVFYANKWVLSKKARQFWESSCDEIEEGGVNSKPSVILQGVVDLLPVGDKARKVIPVGFYFALLSRSLELGLRTESKAKLQEQITSLLHFSQVEDFLVPESGAELKSSSMELETMESIISAYVASNSNVNHAPEASNFRVAELWDAYLFNVAADPDMEPKRFMELIERVPSSYRQNHYPLYKTTNSFLKTHQGISEDDKGAVCKYLDCQRLSQEACIEAVQNELMPLRLIVQALFLQQLNTHKAFKECSDSFRYAQCGDMSGSLSSSRCPYSASQNPVESPYTDKPELSSRPLSFLLQKDNVMQNFKFSTTEYESTSFRIQSLEQELMSLKKSLQLHNIVAKAEPNLAKSQKIKPCGLETRSLSKRRNPIGQATSCISSVNFASQRRYASRLLKIFHRITLFGSRKLKRKPGTPSQLSK >Vigun03g308900.1.v1.2 pep primary_assembly:ASM411807v1:3:50082490:50089313:-1 gene:Vigun03g308900.v1.2 transcript:Vigun03g308900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFSAPGDYVHFKSQVPLHKIPIGTKQWRYYDFGPKAVPPLICLPGTAGTADVYYKQIMSLSMKGYRVISVDIPRVWNNTEWIQAFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVRSLVLSNTFLETRSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLSLTTDDASVGPLLLSDSFITIMDTNDYCAIPQQLKDQLGERYPEARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVHNIPKGDLGGSPSSKESNEDESDKSHEDDRGGSENSPADYDINPTPESSGSGNLDKQPLDSSECCHLNHEHALYALPGGFKGRTNIPGTSVHFMCHIAILHLLHYISSLYIIWNYSLEFSQVV >Vigun10g194400.1.v1.2 pep primary_assembly:ASM411807v1:10:40829218:40832929:1 gene:Vigun10g194400.v1.2 transcript:Vigun10g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGGVRWLRSSERFKFLATSFSSNARTRYSFCTSTKSSNNNGDNKNTVVDERYRQLENLDMVTAAKILFTDPPKKRKFGFDFHLVQFFFACLPSLAVYLVAQYARYEMRTMEADVEKKKKQKEEEEAKEKEKEMELNPPEEKEEKYDPELSEVKVRLEKLEEAVKEIVVETKKQSSSNLAKNQVTDNEEKNLSSSPKDTSPTDSASNKADNEDHVSKHNSPKSKPELREESKGLDATPNYSVQDPKGQSQSGGAS >Vigun10g194400.2.v1.2 pep primary_assembly:ASM411807v1:10:40829218:40832929:1 gene:Vigun10g194400.v1.2 transcript:Vigun10g194400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGGVRWLRSSERFKFLATSFSSNARTRYSFCTSTKSSNNNGDNKNTVVDERYRQLENLDMVTAAKILFTDPPKKRKFGFDFHLVQFFFACLPSLAVYLVAQYARYEMRTMEADVEKKKKQKEEEEAKEKEKEMELNPPEEKEEKYDPELSEVKVRLEKLEEAVKEIVVETKKQSSSNLAKNQVTDNEEKNLSSSPKDTSPTDSASNKADNEDHVSKHNSPKSKPELREESKGLDATPNYSVQDPKGQSQSGGAS >Vigun11g168700.1.v1.2 pep primary_assembly:ASM411807v1:11:37534816:37537714:-1 gene:Vigun11g168700.v1.2 transcript:Vigun11g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILTDQKGDVIPAMIKKEDIATWEDKLKEGESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRDTPYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDRSELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDQSELRKGKSIAIEVGKGRSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPRKDLSTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun02g138200.1.v1.2 pep primary_assembly:ASM411807v1:2:28789051:28789425:-1 gene:Vigun02g138200.v1.2 transcript:Vigun02g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVECRSCGRYTWGGCGNHLATLYASIDEGMHCTCRSWPGVAIPPRTTDTATQQPTQPNNSSQAAGNGNSQS >VigunL059163.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000439.1:14815:15174:-1 gene:VigunL059163.v1.2 transcript:VigunL059163.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g282200.1.v1.2 pep primary_assembly:ASM411807v1:7:39652518:39664054:1 gene:Vigun07g282200.v1.2 transcript:Vigun07g282200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSVLPAFHSIKSLPSEFKFANNPNPLLVEKHGDVKFRRTNPIGPNGFENGALVGEVSKEVRGRVGGMDLFDEDSPYGGKGRSLKDRPSNADEDSASVTLPLPSILTSSRENRWNDTNSYGSKKKLQSWLQLPNGDWELVKIITTSGAESIISLPDGKVLKVKEDNLVPANPDILDGVDDLMQLSYLNEPAVLFNLQYRYNQDMIYTKAGPVLVAVNPFKKVPLYGNDYIEAYKCKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIENEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLLNAEEYKYLRQSNCYSISGVDDAEEFRIVKEALDIVHISKEDQENVFAMLAAVLWLGNISFTVVDNENHVEAVEDEGLFTVAKLIGCEIEDLKLTFSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLEVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGEREKAFTVRHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSSKCHLPKLFASHMLTQSEKPVVGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYGQSLVLQQLRCCGVLEVVRISRSGFPTRVTHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMFQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHRARCYRKELWRGITILQSFIRGEKSRKEYASLLHRHKAAVIIQKRMKTVFARNRMKSINEAAVVIQSFIRGWLVRRCSGNIVLTKSGVTKTNESDEVVVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESERNSDASVNASDERDFSWDVGTNHRRQESNGARSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKVILHKLGSEDGSIEKVKKSWWGRRNSTRIS >Vigun07g282200.2.v1.2 pep primary_assembly:ASM411807v1:7:39652455:39664164:1 gene:Vigun07g282200.v1.2 transcript:Vigun07g282200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSVLPAFHSIKSLPSEFKFANNPNPLLVEKHGDVKFRRTNPIGPNGFENGALVGEVSKEVRGRVGGMDLFDEDSPYGGKGRSLKDRPSNADEDSASVTLPLPSILTSSRENRWNDTNSYGSKKKLQSWLQLPNGDWELVKIITTSGAESIISLPDGKVLKVKEDNLVPANPDILDGVDDLMQLSYLNEPAVLFNLQYRYNQDMIYTKAGPVLVAVNPFKKVPLYGNDYIEAYKCKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIENEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLLNAEEYKYLRQSNCYSISGVDDAEEFRIVKEALDIVHISKEDQENVFAMLAAVLWLGNISFTVVDNENHVEAVEDEGLFTVAKLIGCEIEDLKLTFSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLEVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGEREKAFTVRHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSSKCHLPKLFASHMLTQSEKPVVGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYGQSLVLQQLRCCGVLEVVRISRSGFPTRVTHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMFQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHRARCYRKELWRGITILQSFIRGEKSRKEYASLLHRHKAAVIIQKRMKTVFARNRMKSINEAAVVIQSFIRGWLVRRCSGNIVLTKSGVTKTNESDEVVVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESERNSDASVNASDERDFSWDVGTNHRRQESNGARSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKVILHKLGSEDGSIEKVKKSWWGRRNSTRIS >Vigun07g282200.3.v1.2 pep primary_assembly:ASM411807v1:7:39652455:39664054:1 gene:Vigun07g282200.v1.2 transcript:Vigun07g282200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSVLPAFHSIKSLPSEFKFANNPNPLLVEKHGDVKFRRTNPIGPNGFENGALVGEVSKEVRGRVGGMDLFDEDSPYGGKGRSLKDRPSNADEDSASVTLPLPSILTSSRENRWNDTNSYGSKKKLQSWLQLPNGDWELVKIITTSGAESIISLPDGKVLKVKEDNLVPANPDILDGVDDLMQLSYLNEPAVLFNLQYRYNQDMIYTKAGPVLVAVNPFKKVPLYGNDYIEAYKCKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIENEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLLNAEEYKYLRQSNCYSISGVDDAEEFRIVKEALDIVHISKEDQENVFAMLAAVLWLGNISFTVVDNENHVEAVEDEGLFTVAKLIGCEIEDLKLTFSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLEVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGEREKAFTVRHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSSKCHLPKLFASHMLTQSEKPVVGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYGQSLVLQQLRCCGVLEVVRISRSGFPTRVTHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMFQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHRARCYRKELWRGITILQSFIRGEKSRKEYASLLHRHKAAVIIQKRMKTVFARNRMKSINEAAVVIQSFIRGWLVRRCSGNIVLTKSGVTKTNESDEVVVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESERNSDASVNASDERDFSWDVGTNHRRQESNGARSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKVILHKLGSEDGSIEKVKKSWWGRRNSTRIS >Vigun07g282200.5.v1.2 pep primary_assembly:ASM411807v1:7:39653464:39664054:1 gene:Vigun07g282200.v1.2 transcript:Vigun07g282200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSVLPAFHSIKSLPSEFKFANNPNPLLVEKHGDVKFRRTNPIGPNGFENGALVGEVSKEVRGRVGGMDLFDEDSPYGGKGRSLKDRPSNADEDSASVTLPLPSILTSSRENRWNDTNSYGSKKKLQSWLQLPNGDWELVKIITTSGAESIISLPDGKVLKVKEDNLVPANPDILDGVDDLMQLSYLNEPAVLFNLQYRYNQDMIYTKAGPVLVAVNPFKKVPLYGNDYIEAYKCKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIENEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLLNAEEYKYLRQSNCYSISGVDDAEEFRIVKEALDIVHISKEDQENVFAMLAAVLWLGNISFTVVDNENHVEAVEDEGLFTVAKLIGCEIEDLKLTFSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLEVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGEREKAFTVRHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSSKCHLPKLFASHMLTQSEKPVVGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYGQSLVLQQLRCCGVLEVVRISRSGFPTRVTHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMFQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHRARCYRKELWRGITILQSFIRGEKSRKEYASLLHRHKAAVIIQKRMKTVFARNRMKSINEAAVVIQSFIRGWLVRRCSGNIVLTKSGVTKTNESDEVVVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESERNSDASVNASDERDFSWDVGTNHRRQESNGARSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKVILHKLGSEDGSIEKVKKSWWGRRNSTRIS >Vigun07g282200.4.v1.2 pep primary_assembly:ASM411807v1:7:39652518:39664164:1 gene:Vigun07g282200.v1.2 transcript:Vigun07g282200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSVLPAFHSIKSLPSEFKFANNPNPLLVEKHGDVKFRRTNPIGPNGFENGALVGEVSKEVRGRVGGMDLFDEDSPYGGKGRSLKDRPSNADEDSASVTLPLPSILTSSRENRWNDTNSYGSKKKLQSWLQLPNGDWELVKIITTSGAESIISLPDGKVLKVKEDNLVPANPDILDGVDDLMQLSYLNEPAVLFNLQYRYNQDMIYTKAGPVLVAVNPFKKVPLYGNDYIEAYKCKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIENEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLLNAEEYKYLRQSNCYSISGVDDAEEFRIVKEALDIVHISKEDQENVFAMLAAVLWLGNISFTVVDNENHVEAVEDEGLFTVAKLIGCEIEDLKLTFSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLEVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGEREKAFTVRHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSSKCHLPKLFASHMLTQSEKPVVGPLHKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYGQSLVLQQLRCCGVLEVVRISRSGFPTRVTHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMFQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHRARCYRKELWRGITILQSFIRGEKSRKEYASLLHRHKAAVIIQKRMKTVFARNRMKSINEAAVVIQSFIRGWLVRRCSGNIVLTKSGVTKTNESDEVVVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDESERNSDASVNASDERDFSWDVGTNHRRQESNGARSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKVILHKLGSEDGSIEKVKKSWWGRRNSTRIS >Vigun05g284800.1.v1.2 pep primary_assembly:ASM411807v1:5:47254633:47256042:-1 gene:Vigun05g284800.v1.2 transcript:Vigun05g284800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIEDTDMPLKMQIQAMASASEALDLYDVLDCTSIAAHIKKEFDTKHGSGWQCVVGSSFGCFFTHSKGTFVYFTLETLNFLIFKGASDHI >Vigun02g154500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30111758:30114108:-1 gene:Vigun02g154500.v1.2 transcript:Vigun02g154500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKEEDEKAKRKPPRPKRICFSFAAYASTLLNNLKSSNVVIDEGLSDLELRNLESKLKFSFPPDLRAILQQGLPISQGFPNWRSSSTQQLQILLNLPTSLILRRVSNTRFWHPSWGPKPPDPTQVLRRLLNDAPLLVPIYRHCYIPSSPDAAGNPVFYVDDSGDVCLLSFDLSGFFRELLAQEMDEPVWAATAARRVRFWSELAEARCGRWWWFGIAKEELGGCLDRTVWKLREGGWTEEEIREMMTVEEKPKRHELKLNNKEAMARHVRVLSLVLLRAGWSREDVVYSLGVVGDEEKSPLDFHQESLDQHNVNINGF >Vigun02g154500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30111727:30114108:-1 gene:Vigun02g154500.v1.2 transcript:Vigun02g154500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKEEDEKAKRKPPRPKRICFSFAAYASTLLNNLKSSNVVIDEGLSDLELRNLESKLKFSFPPDLRAILQQGLPISQGFPNWRSSSTQQLQILLNLPTSLILRRVSNTRFWHPSWGPKPPDPTQVLRRLLNDAPLLVPIYRHCYIPSSPDAAGNPVFYVDDSGDVCLLSFDLSGFFRELLAQEMDEPVWAATAARRVRFWSELAEARCGRWWWFGIAKEELGGCLDRTVWKLREGGWTEEEIREMMTVEEKPKRHELKLNNKEAMARHVRVLSLVLLRAGWSREDVVYSLGVVGDEEKSPLDFHQESLDQHNVNINGF >Vigun02g154500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30111727:30114108:-1 gene:Vigun02g154500.v1.2 transcript:Vigun02g154500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEEKEEDEKAKRKPPRPKRICFSFAAYASTLLNNLKSSNVVIDEGLSDLELRNLESKLKFSFPPDLRAILQQGLPISQGFPNWRSSSTQQLQILLNLPTSLILRRVSNTRFWHPSWGPKPPDPTQVLRRLLNDAPLLVPIYRHCYIPSSPDAAGNPVFYVDDSGDVCLLSFDLSGFFRELLAQEMDEPVWAATAARRVRFWSELAEARCGRWWWFGIAKEELGGCLDRTVWKLREGGWTEEEIREMMTVEEKPKRHELKLNNKEAMARHVRVLSLVLLRAGWSREDVVYSLGVVGDEEKSPLDFHQESLDQHNVNINGF >Vigun05g281100.1.v1.2 pep primary_assembly:ASM411807v1:5:47025288:47030749:-1 gene:Vigun05g281100.v1.2 transcript:Vigun05g281100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEQLGLGGCGSKGDAPRKRSSPMMKVVCMTPSSSRTGDRNNSVVMETPLKELKKDSPVADVDGNSSAGGPQDVYGEDRATEDQFVTPWSVSVASGYTLLRDPHFNKGLAFNEKERDAHYLRGLLPPSVIPQETQVKKMIQHIRQYQVPLQKYMAMMDLQERNERLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFMRPQGLYISLKEKGKIREVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDELYIGLKQRRATGQEYAELMNEFMTALKQTYGEKVLIQFEDFANHNAFDLLEKYRSTHLVFNDDIQGTASVVLAGLVAALKLVGGNLADHRFLFLGAGEAGTGIAELIALETSKQTNAPLEEVRKNIWLVDSKGLIVSSRKDSLQHFKKPWAHEHEPVKNLLDAVNQIKPTVLIGTSGQGRTFTKEVIEAMSSINEKPIILSLSNPTSQSECTAEEAYKWSQGRAIFASGSPFPPVEYEGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALASQVSQENFDKGLIYPPFTNIRKISAHIAAKVAAKAYELGLATRLPQPKDLVKFAESCMYTPSYRTYR >Vigun11g196800.1.v1.2 pep primary_assembly:ASM411807v1:11:39594597:39597342:-1 gene:Vigun11g196800.v1.2 transcript:Vigun11g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFGVKGKKRKHSQSKQNSEEEHPQPPPKKVVVAKESKEPSSPPQPESAPTEEDEVKVVAAEESKEPSPQPESVPTEEDELSGIPIAPSEKESTKPNVIFILERASLEVAKVGKTYQLLNSDDHANFLRKNNKNPGDYRPDITHQSLLSILDSPLNKAGRLKNVYIKTEKGVLIEVKPFVRIPRTFKRFAGVMLELLQKLSISAAGKREKLLRTIKNPVTQYLPVNSRKIGLSYSSDKLVDMDDYVSKIPSNMDLVFVVGAMAHGKVETDYTEDYIAISGYPLSAAYCITRITGALERKWKIL >Vigun08g188800.1.v1.2 pep primary_assembly:ASM411807v1:8:35697925:35705343:1 gene:Vigun08g188800.v1.2 transcript:Vigun08g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMNRDETLKSGCTNPCCYKLKEKYLKGVEARKALRQAVEILQKEIQSRSTNACGVKVEREDKLGEFTPAKVPLGSETSSFEPQIDTPTTQQGCSGRGDESGILQTDREKEIGRLKELLEEEKRRADSEKKKAAEACKLLEDEKNKAAEKDKEIGRLKGLLDVEKRRADSESKKAAEEKNKVSEKEKEISRFKEFIEAEKRRDESERKKLNELSKLLEEKTNKVAENEKEIGRLKEFIEAEKRRDDSERKKVNEVCNLLEEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKQDNELCKMLGEERNKVAEKEKEIGKLKEFIEVEKRRDDSERKKDNEVCKLLGEEKNKIAEMEKEICRLKELVEEKERRADSERKKVNEVCNLLGEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKKDNELCKMLGEERNKVAEKEKEIGKLKEFMEAEKRRDDFERKKDNEVCKLLGEEKNKTAEMEKEICRLKELVEEKKRRADSDKKKASAACKLLEEVKKKAAEKGEIARVEAEKYRTQIGQLEKQVNDAKTKLVSEISMFKEATKKFEADKSKLLAEKRKADSALARANEILEVEKQKVDEEKRRADAEMVKLEEQKALAEDNWNKFMKEKCLADQMSQQLEENKKTIEDFKQKIHELSSLTKPVEMATHKVKAESTKMKLLKNKLKLEMLRAKHTKQKYKLEASRYDILRNELGRLKIDFIQFLHRVDMLDASFSPVAGSRREQTKHENILDKQNSNVIRQIGKQNLSEMRSQFDSEVLEPCCTTVDVSDPLRKNSTPRLTPGGNCSESITGIGFKLESLVRGSNQTKLQSSAVNSSTESFSDGQLMGSQDASIFPVIASGQLTQEIFNTKQNMCNPSDKSIDVHHKKRKRMHDTVEYIANLSSERLADFHGLLYRKHGECLGRKAALQNPNNVQEENKRAHKKRKKSRREKVDMIPWIKSDEKKTIAETNSEVYDDANVCRHTSCPPPRTLETTQACGERICDVANNFDSIINIDKVPDENYMKLLELENAFSEECYRKAMDFPLSPSLPEIEFHEIFDEDNLMIPSQYKSLPENVLSSRTDLFISPSSDVINVELISSAQKYDDCGVTCNSHVLTTENSRTAFPVEDGIGSLNNKLPEFCVVFSNVMDNNIISRILTATKNCIAQCNLSTQTGWAVSNILTALKMEEKLSQKERVSVLLTLMLFNFSTTTTKTFGRLWDGKSVQCLRSYSEHIYTVMNDAETRILFVENYSLYELLHLIEDFLIEGKVIVNNRVDAETLSSDLRTTDFLSCVNEEVSSDAASSEQLVAASIILSSVCAATDYVGFICDASYHILRSGKLDSLMVLTILHIFSYLGGEKVFNKNNFGLMVTVLKSLIMFLEDGSLSVATACLPSINQLHAELCMDAKCPFLEGAESIDAVASLLLEEIKRVHSSESRFMSVQCAIDKPCSEKCLISAPQPDTLKTVDCCCLSDVLSLVELVANKMSWHWADMKLVPQLLNILDSCVDENFAIRIIVLLGQLGRTGVDVGGFEDEGVKNLRCYLFTYFCRASSMKADLSLQFATASALFGLLPLDFETLFHANINLSAYSKSVCDNAETLRKWFSGLGKDQQKLLFDVYNK >Vigun08g188800.3.v1.2 pep primary_assembly:ASM411807v1:8:35697925:35702574:1 gene:Vigun08g188800.v1.2 transcript:Vigun08g188800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMNRDETLKSGCTNPCCYKLKEKYLKGVEARKALRQAVEILQKEIQSRSTNACGVKVEREDKLGEFTPAKVPLGSETSSFEPQIDTPTTQQGCSGRGDESGILQTDREKEIGRLKELLEEEKRRADSEKKKAAEACKLLEDEKNKAAEKDKEIGRLKGLLDVEKRRADSESKKAAEEKNKVSEKEKEISRFKEFIEAEKRRDESERKKLNELSKLLEEKTNKVAENEKEIGRLKEFIEAEKRRDDSERKKVNEVCNLLEEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKQDNELCKMLGEERNKVAEKEKEIGKLKEFIEVEKRRDDSERKKDNEVCKLLGEEKNKIAEMEKEICRLKELVEEKERRADSERKKVNEVCNLLGEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKKDNELCKMLGEERNKVAEKEKEIGKLKEFMEAEKRRDDFERKKDNEVCKLLGEEKNKTAEMEKEICRLKELVEEKKRRADSDKKKASAACKLLEEVKKKAAEKGEIARVEAEKYRTQIGQLEKQVNDAKTKLVSEISMFKEATKKFEADKSKLLAEKRKADSALARANEILEVEKQKVDEEKRRADAEMVKLEEQKALAEDNWNKFMKEKCLADQMSQQLEENKKTIEDFKQKIHELSSLTKPVEMATHKVKAESTKMKLLKNKLKLEMLRAKHTKQKYKLEASRYDILRNELGRLKIDFIQFLHRVDMLDASFSPVAGSRREQTKIKVWDYLCYGQLVMNLLHENILDKQNSNVIRQIGKQNLSEMRSQFDSEVLEPCCTTVDVSDPLRKNSTPRLTPGGNCSESITGIGFKLESLVRGSNQTKLQSSAVNSSTESFSDGQLMGSQDASIFPVIASGQLTQEIFNTKQNMCNPSDKSIDVHHKKRKRMHDTVEYIANLSSERLADFHGLLYRKHGECLGRKAALQNPNNVQEENKRAHKKRKKSRREKVDMIPWIKSDEKKTIAETNSEVYDDANVCRHTSCPPPRTLETTQACGERICDVANNFDSIINIDKVPDENYMKLLELENAFSEECYRKAMDFPLSPSLPEIEFHEIFDEDNLMIPSQYKSLPENVLSSRTDLFISPSSDVINVELISSAQKYDDCGVTCNSHVLTTENSRTAFPVEDGIGSLNNKLPEFCVVFSNVMDNNIISRILTATKNCIAQCNLSTQTGWAVSNILTALKMEEKLSQK >Vigun08g188800.2.v1.2 pep primary_assembly:ASM411807v1:8:35697925:35705343:1 gene:Vigun08g188800.v1.2 transcript:Vigun08g188800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMNRDETLKSGCTNPCCYKLKEKYLKGVEARKALRQAVEILQKEIQSRSTNACGVKVEREDKLGEFTPAKVPLGSETSSFEPQIDTPTTQQGCSGRGDESGILQTDREKEIGRLKELLEEEKRRADSEKKKAAEACKLLEDEKNKAAEKDKEIGRLKGLLDVEKRRADSESKKAAEEKNKVSEKEKEISRFKEFIEAEKRRDESERKKLNELSKLLEEKTNKVAENEKEIGRLKEFIEAEKRRDDSERKKVNEVCNLLEEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKQDNELCKMLGEERNKVAEKEKEIGKLKEFIEVEKRRDDSERKKDNEVCKLLGEEKNKIAEMEKEICRLKELVEEKERRADSERKKVNEVCNLLGEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKKDNELCKMLGEERNKVAEKEKEIGKLKEFMEAEKRRDDFERKKDNEVCKLLGEEKNKTAEMEKEICRLKELVEEKKRRADSDKKKASAACKLLEEVKKKAAEKGEIARVEAEKYRTQIGQLEKQVNDAKTKLVSEISMFKEATKKFEADKSKLLAEKRKADSALARANEILEVEKQKVDEEKRRADAEMVKLEEQKALAEDNWNKFMKEKCLADQMSQQLEENKKTIEDFKQKIHELSSLTKPVEMATHKVKAESTKMKLLKNKLKLEMLRAKHTKQKYKLEASRYDILRNELGRLKIDFIQFLHRVDMLDASFSPVAGSRREQTKIKVWDYLCYGQLVMNLLHENILDKQNSNVIRQIGKQNLSEMRSQFDSEVLEPCCTTVDVSDPLRKNSTPRLTPGGNCSESITGIGFKLESLVRGSNQTKLQSSAVNSSTESFSDGQLMGSQDASIFPVIASGQLTQEIFNTKQNMCNPSDKSIDVHHKKRKRMHDTVEYIANLSSERLADFHGLLYRKHGECLGRKAALQNPNNVQEENKRAHKKRKKSRREKVDMIPWIKSDEKKTIAETNSEVYDDANVCRHTSCPPPRTLETTQACGERICDVANNFDSIINIDKVPDENYMKLLELENAFSEECYRKAMDFPLSPSLPEIEFHEIFDEDNLMIPSQYKSLPENVLSSRTDLFISPSSDVINVELISSAQKYDDCGVTCNSHVLTTENSRTAFPVEDGIGSLNNKLPEFCVVFSNVMDNNIISRILTATKNCIAQCNLSTQTGWAVSNILTALKMEEKLSQKERVSVLLTLMLFNFSTTTTKTFGRLWDGKSVQCLRSYSEHIYTVMNDAETRILFVENYSLYELLHLIEDFLIEGKVIVNNRVDAETLSSDLRTTDFLSCVNEEVSSDAASSEQLVAASIILSSVCAATDYVGFICDASYHILRSGKLDSLMVLTILHIFSYLGGEKVFNKNNFGLMVTVLKSLIMFLEDGSLSVATACLPSINQLHAELCMDAKCPFLEGAESIDAVASLLLEEIKRVHSSESRFMSVQCAIDKPCSEKCLISAPQPDTLKTVDCCCLSDVLSLVELVANKMSWHWADMKLVPQLLNILDSCVDENFAIRIIVLLGQLGRTGVDVGGFEDEGVKNLRCYLFTYFCRASSMKADLSLQFATASALFGLLPLDFETLFHANINLSAYSKSVCDNAETLRKWFSGLGKDQQKLLFDVYNK >Vigun08g188800.4.v1.2 pep primary_assembly:ASM411807v1:8:35697925:35702574:1 gene:Vigun08g188800.v1.2 transcript:Vigun08g188800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMNRDETLKSGCTNPCCYKLKEKYLKGVEARKALRQAVEILQKEIQSRSTNACGVKVEREDKLGEFTPAKVPLGSETSSFEPQIDTPTTQQGCSGRGDESGILQTDREKEIGRLKELLEEEKRRADSEKKKAAEACKLLEDEKNKAAEKDKEIGRLKGLLDVEKRRADSESKKAAEEKNKVSEKEKEISRFKEFIEAEKRRDESERKKLNELSKLLEEKTNKVAENEKEIGRLKEFIEAEKRRDDSERKKVNEVCNLLEEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKQDNELCKMLGEERNKVAEKEKEIGKLKEFIEVEKRRDDSERKKDNEVCKLLGEEKNKIAEMEKEICRLKELVEEKERRADSERKKVNEVCNLLGEEKNKVAEKEKEISGLKEFIEAEKRKDDSARKKDNELCKMLGEERNKVAEKEKEIGKLKEFMEAEKRRDDFERKKDNEVCKLLGEEKNKTAEMEKEICRLKELVEEKKRRADSDKKKASAACKLLEEVKKKAAEKGEIARVEAEKYRTQIGQLEKQVNDAKTKLVSEISMFKEATKKFEADKSKLLAEKRKADSALARANEILEVEKQKVDEEKRRADAEMVKLEEQKALAEDNWNKFMKEKCLADQMSQQLEENKKTIEDFKQKIHELSSLTKPVEMATHKVKAESTKMKLLKNKLKLEMLRAKHTKQKYKLEASRYDILRNELGRLKIDFIQFLHRVDMLDASFSPVAGSRREQTKHENILDKQNSNVIRQIGKQNLSEMRSQFDSEVLEPCCTTVDVSDPLRKNSTPRLTPGGNCSESITGIGFKLESLVRGSNQTKLQSSAVNSSTESFSDGQLMGSQDASIFPVIASGQLTQEIFNTKQNMCNPSDKSIDVHHKKRKRMHDTVEYIANLSSERLADFHGLLYRKHGECLGRKAALQNPNNVQEENKRAHKKRKKSRREKVDMIPWIKSDEKKTIAETNSEVYDDANVCRHTSCPPPRTLETTQACGERICDVANNFDSIINIDKVPDENYMKLLELENAFSEECYRKAMDFPLSPSLPEIEFHEIFDEDNLMIPSQYKSLPENVLSSRTDLFISPSSDVINVELISSAQKYDDCGVTCNSHVLTTENSRTAFPVEDGIGSLNNKLPEFCVVFSNVMDNNIISRILTATKNCIAQCNLSTQTGWAVSNILTALKMEEKLSQK >Vigun10g016100.1.v1.2 pep primary_assembly:ASM411807v1:10:1778816:1786845:-1 gene:Vigun10g016100.v1.2 transcript:Vigun10g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKYLLMIFVIILPFFCVCVDGKHFVLVHGALHGAWCWYKVAHQLKSEGHNVTTLDMAACGVNTEKIEEVDSVSEYHKPLITFLASLPPQEKVILVGHSLGGLSVSIAMEKYPKKISVAVFISAAVVTQNLTFLAFLQEANRRLGNDLEEQYFILDGNRAPILSSIGVEFFRSRLYQLSTTEDLTLAISVVRPLPPFLSDVKLLAKQSAVSKKRNGRVSKVFIISEKDNLIPENFQRWIIQNTGPYADVKKIKNSDHMVMFSKPKELALEVLKIAYKF >Vigun05g276900.1.v1.2 pep primary_assembly:ASM411807v1:5:46673803:46675462:-1 gene:Vigun05g276900.v1.2 transcript:Vigun05g276900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDSTIIECDSDNWVLDEFGLTEKFYELLPNTLWNPLMDKMMYELHSQGKTIEDIVQVLNRTPIHPRIVLAIEAAHSFGCELKIVSDANTFFIETILKHHGVWNCFSEITANPSYVNEEGRLIICPYHDYVKSSHGCNLCPPNMCKGLVMERIQKSVDAASEKKYIYVGDGNGDFCPSLKLKDKDFLMPRRNFPLFDLVSKNSNHIKPEVHAWRNGEELYDVMLHIMNKAIGEGKNSVSSCTSTRSIDCKLGSISIDVHNNPLPSALPVPP >Vigun03g058350.1.v1.2 pep primary_assembly:ASM411807v1:3:4756024:4756646:1 gene:Vigun03g058350.v1.2 transcript:Vigun03g058350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINDVVVPLNCDEVVSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g436900.1.v1.2 pep primary_assembly:ASM411807v1:3:64065148:64067146:1 gene:Vigun03g436900.v1.2 transcript:Vigun03g436900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHVLTAIFSKSLYKGDSEEELRRYTAMAAFSNSEAESESDLSNLFKPIYLKEVPHLSHYVPNLQTRPNPLDHNPYFNTHHLHDHGFYLTHSDLVLRQIENNPSPFPRFAYHRAGPRKHIHFDPSHVRAAIVTCGGLCPGLNTVIRELVVGLWHLYGVRHIMGITAGYRGFYSSEPLPLNPKLVHHWHNVGGTLLQTSRGGFHLNDIVDAIQNHAFNQVYIIGGDGTMRGAVKIFEEIQRRKLGVAVVGIPKTVDNDVGIIDKSFGFQTAVEMAQQAISAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFYLEGKGGLFEFLDQRLKENGHAVIVVAEGAGQDIIPRTDSQKEERDESGNLVFLDVGVWLKSELNNWWAREHPHELFTVKYIDPTYMIRAVHANASDNLYCTLLAHSAIHGVMAGYTGFVTGPINGNYAYIPLEDVAQANNPVDTKDHKWSWVRSVTNQPDFVRT >Vigun07g098100.1.v1.2 pep primary_assembly:ASM411807v1:7:17140077:17140941:1 gene:Vigun07g098100.v1.2 transcript:Vigun07g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKLTLKLLIDSKREKVLFAEASKPVVDFLFNLLCLPIGTVIRILSKNKMVGSIANLYESVENLDQSYMQPHQHKDLLLKPSASVSSQISGLLPSINGTSSNNATDVLYRCPNHYIYLTSNNTARCPDHYCGLTMNIQFVGQKVANVQNSADKSGFVREVVTYMVMDDLVIQPMSSISSITLLNKFNIKEVGALQVKVVELDMNKGVDLLKASLQSKTVLTDIFLKKK >Vigun02g141900.8.v1.2 pep primary_assembly:ASM411807v1:2:29048605:29061475:1 gene:Vigun02g141900.v1.2 transcript:Vigun02g141900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTKIAPISRLPLITVIDTKHHLKDIPVVQPFHLELNFFNKENPVLHYPVRAFYVDGSNLMAYNILSGSDSIYKKFYNSIPRSVEYQAKYLIYSKKQHLFLAVYEFTGTTKEVVLYRENTDAVTSNSKSTTVKGCDAAFIGQNENQFAILDEDKTGLAVFTLPGGASQETKQNDKLFEENQPAETNDGSIRGPVPFMFETEVDRIYSTPLDSTLMFASHGSQIGLVKLIQGYRLSTSSSKGHYISTKGEGKKSIMLKRNEIVLQVYWQETLRGHVAGILTTHRVLIVSTALDILAGTSTNFDKGLPSFRSILWIGPAFLFSTATAISILGWDGKVRTILSTSMPCAVLVGALNDRLLLASPTEINPKQRRGFEVKSCLVGLLEPLLIGFATMQQSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYSCIRFGQFDSAKETFEVTSDYESMLDLFICHLNPSAMRRLAQKLEEEALDSELRRYTERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNEKDIPQWELAAEVTPYMKNDDGAIPSIIVDHIGVYLGSIKGRGNVVEVREDTLVKDFIPACNDFKANGLEVSSLKPTSNQGIGNAKGVPLMGQERLTQRRANSSTDEQTKAEEEFKKSMYGAAASDSSSDEEGVSKTKKIRVRIRDKPVTSFVDVDKLKEATSKFKLSEGLTPTRSRSFTSVSQDLGLSQPPAATGVAAHTVSTPGDLFSINAFTQPESISQPTPGVASGGTKVGPIPEDFFENTIPSLKVAASLAPAGSYLSKFTAGAQSGKATQNQVKASESEVSLQGDVSTQAVQLPMSPIESVGLPDGGIPQSSDQAAVTPPSQFQAPVSSQPLDLSIFGAPNTSDSGKPPQTGSPPSSVRPGQVPREAAASVCFKTGLAHLELNHLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKITVTLLREIVRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNIEVQNYAYSKQMLELLLSKAPPSKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVTAPGCIICGMGIIKRSDAVAGPVPSPFG >Vigun02g141900.6.v1.2 pep primary_assembly:ASM411807v1:2:29045758:29061475:1 gene:Vigun02g141900.v1.2 transcript:Vigun02g141900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLIQVGSQAITSVAWLATLRLLVTLSRDGNMQVWKTRVVVNPNTPPMPASFFEPAAIEALDIPRILSQQGGEAVYPLPRIKALEFHPRSNLAALVFANVTSGETSKNKTTYSRERRKKLYSVLQIARGSSASILKEKLSAMGSSGVLADHQLQAQLQEHHLKGHSHLTILDIARKAFLYSHFMEGNTKIAPISRLPLITVIDTKHHLKDIPVVQPFHLELNFFNKENPVLHYPVRAFYVDGSNLMAYNILSGSDSIYKKFYNSIPRSVEYQAKYLIYSKKQHLFLAVYEFTGTTKEVVLYRENTDAVTSNSKSTTVKGCDAAFIGQNENQFAILDEDKTGLAVFTLPGGASQETKQNDKLFEENQPAETNDGSIRGPVPFMFETEVDRIYSTPLDSTLMFASHGSQIGLVKLIQGYRLSTSSSKGHYISTKGEGKKSIMLKRNEIVLQVYWQETLRGHVAGILTTHRVLIVSTALDILAGTSTNFDKGLPSFRSILWIGPAFLFSTATAISILGWDGKVRTILSTSMPCAVLVGALNDRLLLASPTEINPKQRRGFEVKSCLVGLLEPLLIGFATMQQSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYSCIRFGQFDSAKETFEVTSDYESMLDLFICHLNPSAMRRLAQKLEEEALDSELRRYTERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNEKDIPQWELAAEVTPYMKNDDGAIPSIIVDHIGVYLGSIKGRGNVVEVREDTLVKDFIPACNDFKANGLEVSSLKPTSNQGIGNAKGVPLMGQERLTQRRANSSTDEQTKAEEEFKKSMYGAAASDSSSDEEGVSKTKKIRVRIRDKPVTSFVDVDKLKEATSKFKLSEGLTPTRSRSFTSVSQDLGLSQPPAATGVAAHTVSTPGDLFSINAFTQPESISQPTPGVASGGTKVGPIPEDFFENTIPSLKVAASLAPAGSYLSKFTAGAQSGKATQNQVKASESEVSLQGDVSTQAVQLPMSPIESVGLPDGGIPQSSDQAAVTPPSQFQAPVSSQPLDLSIFGAPNTSDSGKPPQTGSPPSSVRPGQVPREAAASVCFKTGLAHLELNHLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKITVTLLREIVRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNIEVQNYAYSKQMLELLLSKAPPSKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVTAPGCIICGMGIIKRSDAVAGPVPSPFG >Vigun02g141900.9.v1.2 pep primary_assembly:ASM411807v1:2:29053574:29061475:1 gene:Vigun02g141900.v1.2 transcript:Vigun02g141900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASHGSQIGLVKLIQGYRLSTSSSKGHYISTKGEGKKSIMLKRNEIVLQVYWQETLRGHVAGILTTHRVLIVSTALDILAGTSTNFDKGLPSFRSILWIGPAFLFSTATAISILGWDGKVRTILSTSMPCAVLVGALNDRLLLASPTEINPKQRRGFEVKSCLVGLLEPLLIGFATMQQSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYSCIRFGQFDSAKETFEVTSDYESMLDLFICHLNPSAMRRLAQKLEEEALDSELRRYTERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNEKDIPQWELAAEVTPYMKNDDGAIPSIIVDHIGVYLGSIKGRGNVVEVREDTLVKDFIPACNDFKANGLEVSSLKPTSNQGIGNAKGVPLMGQERLTQRRANSSTDEQTKAEEEFKKSMYGAAASDSSSDEEGVSKTKKIRVRIRDKPVTSFVDVDKLKEATSKFKLSEGLTPTRSRSFTSVSQDLGLSQPPAATGVAAHTVSTPGDLFSINAFTQPESISQPTPGVASGGTKVGPIPEDFFENTIPSLKVAASLAPAGSYLSKFTAGAQSGKATQNQVKASESEVSLQGDVSTQAVQLPMSPIESVGLPDGGIPQSSDQAAVTPPSQFQAPVSSQPLDLSIFGAPNTSDSGKPPQTGSPPSSVRPGQVPREAAASVCFKTGLAHLELNHLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKITVTLLREIVRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNIEVQNYAYSKQMLELLLSKAPPSKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVTAPGCIICGMGIIKRSDAVAGPVPSPFG >Vigun02g141900.7.v1.2 pep primary_assembly:ASM411807v1:2:29045758:29061475:1 gene:Vigun02g141900.v1.2 transcript:Vigun02g141900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLIQVGSQAITSVAWLATLRLLVTLSRDGNMQVWKTRVVVNPNTPPMPASFFEPAAIEALDIPRILSQQGGEAVYPLPRIKALEFHPRSNLAALVFANVTSGETSKNKTTYSRERRKKLYSVLQIARGSSASILKEKLSAMGSSGVLADHQLQAQLQEHHLKGHSHLTILDIARKAFLYSHFMEGNTKIAPISRLPLITVIDTKHHLKDIPVVQPFHLELNFFNKENPVLHYPVRAFYVDGSNLMAYNILSGSDSIYKKFYNSIPRSVEYQAKYLIYSKKQHLFLAVYEFTGTTKEVVLYRENTDAVTSNSKSTTVKGCDAAFIGQNENQFAILDEDKTGLAVFTLPGGASQETKQNDKLFEENQPAETNDGSIRGPVPFMFETEVDRIYSTPLDSTLMFASHGSQIGLVKLIQGYRLSTSSSKGHYISTKGEGKKSIMLKRNEIVLQVYWQETLRGHVAGILTTHRVLIVSTALDILAGTSTNFDKGLPSFRSILWIGPAFLFSTATAISILGWDGKVRTILSTSMPCAVLVGALNDRLLLASPTEINPKQRRGFEVKSCLVGLLEPLLIGFATMQQSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYSCIRFGQFDSAKETFEVTSDYESMLDLFICHLNPSAMRRLAQKLEEEALDSELRRYTERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNEKDIPQWELAAEVTPYMKNDDGAIPSIIVDHIGVYLGSIKGRGNVVEVREDTLVKDFIPACNDFKANGLEVSSLKPTSNQGIGNAKGVPLMGQERLTQRRANSSTDEQTKAEEEFKKSMYGAAASDSSSDEEGVSKTKKIRVRIRDKPVTSFVDVDKLKEATSKFKLSEGLTPTRSRSFTSVSQDLGLSQPPAATGVAAHTVSTPGDLFSINAFTQPESISQPTPGVASGGTKVGPIPEDFFENTIPSLKVAASLAPAGSYLSKFTAGAQSGKATQNQVKASESEVSLQGDVSTQAVQLPMSPIESVGLPDGGIPQSSDQAAVTPPSQFQAPVSSQPLDLSIFGAPNTSDSGKPPQTGSPPSSVRPGQVPREAAASVCFKTGLAHLELNHLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKITVTLLREIVRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNIEVQNYAYSKQMLELLLSKAPPSKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVTAPGCIICGMGIIKRSDAVAGPVPSPFG >Vigun02g141900.5.v1.2 pep primary_assembly:ASM411807v1:2:29042864:29061475:1 gene:Vigun02g141900.v1.2 transcript:Vigun02g141900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGVGVRPLQPHAAIFHPYQALVAVAIGNFIVEFDALTGSKISTLEIGAPVVRMLYSPTRGHTVIAILQDCTLRSCDFDLEQTCVLHSPEKKNEQIYSDTEVHLALTPRQSIVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIHSYAVHYTLQIDSTIKLNGAGAFAFHPTLEWIFIGDRRGTLLAWDVSTERPSMIGLIQVGSQAITSVAWLATLRLLVTLSRDGNMQVWKTRVVVNPNTPPMPASFFEPAAIEALDIPRILSQQGGEAVYPLPRIKALEFHPRSNLAALVFANVTSGETSKNKTTYSRERRKKLYSVLQIARGSSASILKEKLSAMGSSGVLADHQLQAQLQEHHLKGHSHLTILDIARKAFLYSHFMEGNTKIAPISRLPLITVIDTKHHLKDIPVVQPFHLELNFFNKENPVLHYPVRAFYVDGSNLMAYNILSGSDSIYKKFYNSIPRSVEYQAKYLIYSKKQHLFLAVYEFTGTTKEVVLYRENTDAVTSNSKSTTVKGCDAAFIGQNENQFAILDEDKTGLAVFTLPGGASQETKQNDKLFEENQPAETNDGSIRGPVPFMFETEVDRIYSTPLDSTLMFASHGSQIGLVKLIQGYRLSTSSSKGHYISTKGEGKKSIMLKRNEIVLQVYWQETLRGHVAGILTTHRVLIVSTALDILAGTSTNFDKGLPSFRSILWIGPAFLFSTATAISILGWDGKVRTILSTSMPCAVLVGALNDRLLLASPTEINPKQRRGFEVKSCLVGLLEPLLIGFATMQQSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPQCPPTSHLFHRFRQLGYSCIRFGQFDSAKETFEVTSDYESMLDLFICHLNPSAMRRLAQKLEEEALDSELRRYTERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNEKDIPQWELAAEVTPYMKNDDGAIPSIIVDHIGVYLGSIKGRGNVVEVREDTLVKDFIPACNDFKANGLEVSSLKPTSNQGIGNAKGVPLMGQERLTQRRANSSTDEQTKAEEEFKKSMYGAAASDSSSDEEGVSKTKKIRVRIRDKPVTSFVDVDKLKEATSKFKLSEGLTPTRSRSFTSVSQDLGLSQPPAATGVAAHTVSTPGDLFSINAFTQPESISQPTPGVASGGTKVGPIPEDFFENTIPSLKVAASLAPAGSYLSKFTAGAQSGKATQNQVKASESEVSLQGDVSTQAVQLPMSPIESVGLPDGGIPQSSDQAAVTPPSQFQAPVSSQPLDLSIFGAPNTSDSGKPPQTGSPPSSVRPGQVPREAAASVCFKTGLAHLELNHLSDALSCFDEAFLALAKEQSRGSDIKAQATICAQYKITVTLLREIVRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNIEVQNYAYSKQMLELLLSKAPPSKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVTAPGCIICGMGIIKRSDAVAGPVPSPFG >Vigun06g128300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25495229:25495870:-1 gene:Vigun06g128300.v1.2 transcript:Vigun06g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQEGNSVMNRVLKVKNEANDDEKKPKATQQKTKSHSDAPPVKNILIDPPVVKETPVKVNKPENQSSSDGKAKKASDAAVQKRTKSDSRQITNSGNNTGNGIGSFYDGAVTFITNNNKAPEATGE >Vigun09g053200.1.v1.2 pep primary_assembly:ASM411807v1:9:5299836:5302668:-1 gene:Vigun09g053200.v1.2 transcript:Vigun09g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVIVIGAGTSGLAACKYLLEFGFHPTVFEADDGVGGVWRHTMNSTKLQNNKQMYQFMDFPWPSSVKEDNPNHNQVLDYLNSYAQHFSLIPHIRFNSKVIHVDYVGGESSEEIKSWEQWGGNGTPFGHKGTWHVTVQNTKTLSAEVHEAEFVVLCIGKYSGLPNIPEFPPGQGPEVFNGKVLHSMDYSDMDNDTAAELIKGKRVAIIGSQKSAMDLAAECAKVNGVKYPCTIIQRNAHWFLPDFNIWGVIAGFLFLNRFAELSVHKPGEPFFLGLLATLLSPLRWGICKVVETTLKWKLPLKKYGLIPNHSFFQDLSTCLIAVFPDNFFDRLKEGSITIKKSQSFSFCSEGVIIEGEAKPLETDIVIFATGYKGDQKIRNMFKSTLFQNQIALPPTSSTVPLYRQIIHPRIPQLAIIGYAESLSNIFSSEIKSLWVAHFLDGNIELPNIREMEKDVKLWEENMKQYGGTYFWKSCIATCGIWYHDQLCKDMKQNPRRKNGFFAELFEPYGHADYVGLTHK >Vigun07g071100.1.v1.2 pep primary_assembly:ASM411807v1:7:8893594:8897150:-1 gene:Vigun07g071100.v1.2 transcript:Vigun07g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLACLLPLFLVPIINILPLLFDFIMGKIYRVFGWEYRKPERAPAACPYKPPTAPVSKAEADAEPNPADPVKPGNVDVKKD >Vigun11g048625.1.v1.2 pep primary_assembly:ASM411807v1:11:7626059:7626718:1 gene:Vigun11g048625.v1.2 transcript:Vigun11g048625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEIKIIGVIMTVMILTSFSEANFWCNVKCKIKCEEQPFPEVYDKCMRDCKSSCSKLSSHPVYNCITGCHLMKSIATKNGVNDLVDNVMNSCIQECKERL >Vigun03g353500.1.v1.2 pep primary_assembly:ASM411807v1:3:55526064:55528852:1 gene:Vigun03g353500.v1.2 transcript:Vigun03g353500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGVCQHINFKKREACQSCGYPKYGGPDPSTYRYNRTEALAGDWFCNCGGHNYASRLNCYRCGAMKDDYSSGYANNSGGYGSDTFPPGWKTGDWLCPRLGCGVHNYASRTECYKCKMQRDFGGAD >Vigun05g287900.1.v1.2 pep primary_assembly:ASM411807v1:5:47484398:47498089:1 gene:Vigun05g287900.v1.2 transcript:Vigun05g287900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKSNHRMNWIGFAVAHHLNSSASKAIEILEAYEGTLEDDYPPENERCEHGEMLLYKISLLEECGFFQKALEELQKKELKIVDKLAYKEQEVSLLIKLGRLEEGEKLFRALLSMNPDNYRYYEGLQKCVGLYSENGHFSPDEIDQLDALYKTLEQQYKWSSAVKRIPLDFLQGDKFREAADSYIKPLLTKGVPSLFSDLSSLYNQPRKADVLEQIILELESSLKSTGQYPGRMEKEPPSTLMWTLFFLAQHYDRLGQYEIALSKIDEAIHHTPTVIDLYSVKSRILKHAGDLVAAAAFADEARCMDLADRYVNSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASAESYFRQGDLGLALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRQYVEMLKFQDQLHSHAYFHKAAVGAIRCYIKLHDSPPKSTAEEDNDMSKLLPSQKKKMRQKQRKAEARAKKEAEIKNEESSAGGVSKSGKRHAKPVDPDPRGEKLTQVEDPLLEATKYLKLLQKNSPDSLETHILSFELYMRKQKILLAFQAVKQLLRLDAEHPDSHRCLIKFFNKVGSMNAPVTDSEKLVWSVLDAERQTISQLHGKSLFETNNSFLEKHEDSLMHKAAFGEMLYVLDPNKRPEAVKLIEGSTNNLVPRNGVLEPIGEWKLKDCIAVHKLLRTVLVDDDAALRWKERCAKFFPYSTYFEGSRSSAFNQIGKSTENGSSNHVESTPSNGKLEAFKDLTI >Vigun05g208133.1.v1.2 pep primary_assembly:ASM411807v1:5:39839487:39839887:-1 gene:Vigun05g208133.v1.2 transcript:Vigun05g208133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVININHHLRGVLFSASSSEDPDNATGDTEAIHY >Vigun05g056700.1.v1.2 pep primary_assembly:ASM411807v1:5:4851992:4856054:1 gene:Vigun05g056700.v1.2 transcript:Vigun05g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNPAPEFNPIHEIQFEEVLGRADGYPNPIGQFHVQTQSQNAPPTEEQGLPNFPPNYSYEIGESSSSRNAARVESPIDLNTQQSLQQGISHLHLDSYEIGESSSARNVRMRMNIEESLMESVYPTTPVTANPSPPPPPVTATSPPSQIFRNSAYDPSFEMRGLPLDPHLRLFFFNNHPDQPVVCNNMNTLYDPSFEERGLPLDPHLRCFALELAKKNAENGDKTDLK >Vigun05g056700.2.v1.2 pep primary_assembly:ASM411807v1:5:4851992:4856054:1 gene:Vigun05g056700.v1.2 transcript:Vigun05g056700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNPAPEFNPIHEIQFEEVLGRADGYPNPIGQFHTEEQGLPNFPPNYSYEIGESSSSRNAARVESPIDLNTQQSLQQGISHLHLDSYEIGESSSARNVRMRMNIEESLMESVYPTTPVTANPSPPPPPVTATSPPSQIFRNSAYDPSFEMRGLPLDPHLRLFFFNNHPDQPVVCNNMNTLYDPSFEERGLPLDPHLRCFALELAKKNAENGDKTDLK >Vigun06g000800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:388116:389645:-1 gene:Vigun06g000800.v1.2 transcript:Vigun06g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMSQSLKQRRSWSRWPEGWLPWCLRTQSWWLARWWRVIESMVPFSLVEEENRGLEMNEGSTVAGTGDSSLRQAWPCDREGVWCCLQRQGRRNGPCLARGKKKRRRRRFRELSSHR >Vigun05g291860.1.v1.2 pep primary_assembly:ASM411807v1:5:47804834:47805944:-1 gene:Vigun05g291860.v1.2 transcript:Vigun05g291860.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCVVSFVFCVLDFLLCTFVVCYVLWFVLLFVVCCDIFLFYILCCVSCVFCAVRVMRAVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASHNGNDLWLSYWVDTTTESSQTMDS >Vigun11g067900.4.v1.2 pep primary_assembly:ASM411807v1:11:19764810:19777493:1 gene:Vigun11g067900.v1.2 transcript:Vigun11g067900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLERTTQLFQFAEKYRGSYNNALKPFVCPFYCSYFGYQDELLWGAASLHKATKNPMYLNLKLMARSLELQSLTTPLREITSILEQEYLFPRIFSLTKSMECMG >Vigun11g067900.1.v1.2 pep primary_assembly:ASM411807v1:11:19764811:19777492:1 gene:Vigun11g067900.v1.2 transcript:Vigun11g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLERTTQLFQFAEKYRGSYNNALKPFVCPFYCSYFGYQDELLWGAASLHKATKNPMYLNLKLMARSLELQSLTTPLREITSILEQEYLFPRVQSLHDYKGHADNFVCSIIPGTSFSSTQYTPVCSTSEEFETNF >Vigun11g067900.3.v1.2 pep primary_assembly:ASM411807v1:11:19764811:19776413:1 gene:Vigun11g067900.v1.2 transcript:Vigun11g067900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLERTTQLFQFAEKYRGSYNNALKPFVCPFYCSYFGYQDELLWGAASLHKATKNPMYLNLKLMARSLELQSLTTPLREITSILEQEYLFPRVQSLHDYKGHADNFVCSIIPGTSFSSTQYTPVCSTSEEFETNF >Vigun09g230900.1.v1.2 pep primary_assembly:ASM411807v1:9:40260341:40262027:1 gene:Vigun09g230900.v1.2 transcript:Vigun09g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGQNPPMSGPPPPPAPMGYPPPGYQGAPPPQPQTFVTQAPPAQKQGSGAATGAMAGCLGALGCLCCLEMCCCMECLEACC >Vigun11g166148.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37350910:37351077:-1 gene:Vigun11g166148.v1.2 transcript:Vigun11g166148.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKSMFTLTILFMVAQLKIYRNDICIVNQENQSAVREFLMGQPKIYPFRTCTMY >Vigun01g026432.1.v1.2 pep primary_assembly:ASM411807v1:1:3114692:3118715:-1 gene:Vigun01g026432.v1.2 transcript:Vigun01g026432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNLQDMAWEIVRQESIEDPGRRSRLWDPDDIYEALKSDKLHILAEGLQFLATELRFIAWLGCPLKSLPENFSAEKLVILKLPDSNMEKLWDGVKNLVNLIEVDLSGSEKLKELPDLSKATNLEVLYLGGCSALICVHPSIFSLAKLKKLELWGCVSLTTLTSNCHLGSLSFLDLDYCKNLTEFSVISENMEELRLERTKVKALPSSFEFQSKLRFLDLAGSDIESLPSSLTNLNQLLYLEIAEDCIVVSFNDG >Vigun09g047100.5.v1.2 pep primary_assembly:ASM411807v1:9:4476171:4486720:1 gene:Vigun09g047100.v1.2 transcript:Vigun09g047100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQIYCGGCRTLLMYARGATSVGCSCCHSINLVPESNQVSHINCRNCRTILMYPYGAPSVKCAICHYITSVGITNGRLPVPVQRPNGTVSSTSSSMPHSRSQTVVVENPMSIDSSGKLVSNVVVGVTTDKK >Vigun09g047100.1.v1.2 pep primary_assembly:ASM411807v1:9:4475294:4486720:1 gene:Vigun09g047100.v1.2 transcript:Vigun09g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLVCNGCRNILVYPRGASDVCCALCHTVSSVLPPGMEVSQIYCGGCRTLLMYARGATSVGCSCCHSINLVPESNQVSHINCRNCRTILMYPYGAPSVKCAICHYITSVGITNGRLPVPVQRPNGTVSSTSSSMPHSRSQTVVVENPMSIDSSGKLVSNVVVGVTTDKK >Vigun09g047100.3.v1.2 pep primary_assembly:ASM411807v1:9:4475263:4486720:1 gene:Vigun09g047100.v1.2 transcript:Vigun09g047100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLVCNGCRNILVYPRGASDVCCALCHTVSSVLPPESNQVSHINCRNCRTILMYPYGAPSVKCAICHYITSVGITNGRLPVPVQRPNGTVSSTSSSMPHSRSQTVVVENPMSIDSSGKLVSNVVVGVTTDKK >Vigun09g047100.4.v1.2 pep primary_assembly:ASM411807v1:9:4475294:4486720:1 gene:Vigun09g047100.v1.2 transcript:Vigun09g047100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLVCNGCRNILVYPRGASDVCCALCHTVSSVLPPESNQVSHINCRNCRTILMYPYGAPSVKCAICHYITSVGITNGRLPVPVQRPNGTVSSTSSSMPHSRSQTVVVENPMSIDSSGKLVSNVVVGVTTDKK >Vigun09g047100.2.v1.2 pep primary_assembly:ASM411807v1:9:4475253:4486720:1 gene:Vigun09g047100.v1.2 transcript:Vigun09g047100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLVCNGCRNILVYPRGASDVCCALCHTVSSVLPPGMEVSQIYCGGCRTLLMYARGATSVGCSCCHSINLVPESNQVSHINCRNCRTILMYPYGAPSVKCAICHYITSVGITNGRLPVPVQRPNGTVSSTSSSMPHSRSQTVVVENPMSIDSSGKLVSNVVVGVTTDKK >Vigun08g215400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37576531:37580347:1 gene:Vigun08g215400.v1.2 transcript:Vigun08g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKEEALKAIEIAEKRFAVRDFAGAKNYAVKARTLCPGLEGISQMVATFEVYIASEVKHNGELDYYSILGLKPFADKEAVKKQYKKLAVLLHPDKNKCVGADEAFKLVSEAWTWLSDSAMRSSYDLKRNAQLGGTNQMNLSPALATGAAGYNKCSNLSTPRGGLDTFWTICTSCKVQYEYLRKYVNKRLSCKNCRGTFVAVETGAAPANGSFPYCPWSYVPGNGYGSHSFDGVSYVPTSAPYFNGNGVAGYHSGHGYEYVPNVSFQWGSAGVVNQNGSPALPADSVNRANGNVKRGRPKLKTGADKRHHVAETMVNTNSDVPFSYSEPQEDKLSRPDKKQKVVVGGTFRNGYEEKGSKCGLESIVSNGNDSTGHGQKPSGAVEVQTKHCSMAPAFDARKLLIEKARTVIRQKLEEIKLSSEAEAAAAAALKEREKSQAQVGLVKRETCRKTALNVSGLQLENGKPGPISITVPDSDFHDFDKDRSEECFRPKQIWALYDEEDGMPRLYCMIREVVTVNPFKIHISYLSSKTDSEFGPVNWLDSGFTKSCGNFRAFNSDVVDQVNIFSHVLSQEKAGRGGCVRIYPRSGDIWAVYRNWSPNWNRSTPDEVRHQYEMVEVLDDYSEELGVCVSPLVKLAGFKTVYQSNSDKSAIKWIPKREMLRFSHQVPSWLLKGEAENLPERCWDLDPAATPDELLHAAATATEEPNAL >Vigun03g380800.2.v1.2 pep primary_assembly:ASM411807v1:3:58544902:58551997:1 gene:Vigun03g380800.v1.2 transcript:Vigun03g380800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSTDAISMGKRSLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIGGRSPPKMIEGPEGRNLQLQFKSRLSLPLFTGGKVEGERGAPIHVVLIDANSGSVVTSGPESSVKLDVVVLEGDFNNEDDEDWTQDHFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESVRIREAKTVAFNVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNAGIVTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLHVYYPEDARNVGVIFNNIYELRGLISGEQFFSADSLTDTQKIYVDSLVKKAYENWEQVVDYDGKSLVNGSQNNSSIASENELRVESIDYGSGLDHQLQLPGLPVPVPSEQQMNSGMSVGGYNDNMVTRYPTQSLISNSSSRSQFDSSLYMSNDQLITNTHHTPNTRNDHGTVGLALGPPQSSTSGFHAGSSSIQPSTLNPFDDWSNNRDKGVDEFFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHGSMNAEDGYSFPTFMPSPNIPNYDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >Vigun03g380800.1.v1.2 pep primary_assembly:ASM411807v1:3:58544902:58551997:1 gene:Vigun03g380800.v1.2 transcript:Vigun03g380800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSTDAISMGKRSLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIGGRSPPKMIEGPEGRNLQLQFKSRLSLPLFTGGKVEGERGAPIHVVLIDANSGSVVTSGPESSVKLDVVVLEGDFNNEDDEDWTQDHFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESVRIREAKTVAFNVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNAGIVTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLHVYYPEDARNVGVIFNNIYELRGLISGEQFFSADSLTDTQKIYVDSLVKKAYENWEQVVDYDGKSLVNGSQNNSSIASENELRVESIDYGSGLDHQLQLPGLPVPVPSEQQMNSGMSVGGYNDNMVTRYPTQSLISNSSSRSQFDSSLYMSNDQLITNTHHTPNTRNDHGTVGLALGPPQSSTSGFHAGSSSIQPSTLNPFDDWSNNRDKGVDEFFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHGSMNAEDGYSFPTFMPSPNIPNYDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >Vigun03g380800.5.v1.2 pep primary_assembly:ASM411807v1:3:58544902:58551998:1 gene:Vigun03g380800.v1.2 transcript:Vigun03g380800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSTDAISMGKRSLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIGGRSPPKMIEGPEGRNLQLQFKSRLSLPLFTGGKVEGERGAPIHVVLIDANSGSVVTSGPESSVKLDVVVLEGDFNNEDDEDWTQDHFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESVRIREAKTVAFNVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNAGIVTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLHVYYPEDARNVGVIFNNIYELRGLISGEQFFSADSLTDTQKIYVDSLVKKAYENWEQVVDYDGKSLVNGSQNNSSIASENELRVESIDYGSGLDHQLQLPGLPVPVPSEQQMNSGMSVGGYNDNMVTRYPTQSLISNSSSRSQFDSSLYMSNDQLITNTHHTPNTRNDHGTVGLALGPPQSSTSGFHAGSSSIQPSTLNPFDDWSNNRDKGVDEFFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHGSMNAEDGYSFPTFMPSPNIPNYDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >Vigun03g380800.4.v1.2 pep primary_assembly:ASM411807v1:3:58544902:58551997:1 gene:Vigun03g380800.v1.2 transcript:Vigun03g380800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSTDAISMGKRSLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIGGRSPPKMIEGPEGRNLQLQFKSRLSLPLFTGGKVEGERGAPIHVVLIDANSGSVVTSGPESSVKLDVVVLEGDFNNEDDEDWTQDHFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESVRIREAKTVAFNVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNAGIVTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLHVYYPEDARNVGVIFNNIYELRGLISGEQFFSADSLTDTQKIYVDSLVKKAYENWEQVVDYDGKSLVNGSQNNSSIASENELRVESIDYGSGLDHQLQLPGLPVPVPSEQQMNSGMSVGGYNDNMVTRYPTQSLISNSSSRSQFDSSLYMSNDQLITNTHHTPNTRNDHGTVGLALGPPQSSTSGFHAGSSSIQPSTLNPFDDWSNNRDKGVDEFFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHGSMNAEDGYSFPTFMPSPNIPNYDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >Vigun03g380800.3.v1.2 pep primary_assembly:ASM411807v1:3:58544902:58551997:1 gene:Vigun03g380800.v1.2 transcript:Vigun03g380800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSTDAISMGKRSLEGGEDDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIGGRSPPKMIEGPEGRNLQLQFKSRLSLPLFTGGKVEGERGAPIHVVLIDANSGSVVTSGPESSVKLDVVVLEGDFNNEDDEDWTQDHFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGFCESVRIREAKTVAFNVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNAGIVTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLHVYYPEDARNVGVIFNNIYELRGLISGEQFFSADSLTDTQKIYVDSLVKKAYENWEQVVDYDGKSLVNGSQNNSSIASENELRVESIDYGSGLDHQLQLPGLPVPVPSEQQMNSGMSVGGYNDNMVTRYPTQSLISNSSSRSQFDSSLYMSNDQLITNTHHTPNTRNDHGTVGLALGPPQSSTSGFHAGSSSIQPSTLNPFDDWSNNRDKGVDEFFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHGSMNAEDGYSFPTFMPSPNIPNYDEDRSRPGRAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >Vigun11g102201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29883412:29883738:1 gene:Vigun11g102201.v1.2 transcript:Vigun11g102201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHKVRIYFKKRCRLFARRKYFKREEINKIWVDLLLSCVYKRDVRFSFLQHNIIFCFFLCFSIKISFCFLQTVLLIQDLEFFLSHLISYFCFNLYCFLFFHLYLSLLL >Vigun08g074100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12723513:12725514:-1 gene:Vigun08g074100.v1.2 transcript:Vigun08g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVTLLLLFLFITILLPGAAPSSCPINFTYVQTFPWNTSTCTNKTNTQPCCSTLKTIFHIGLSQHLKQTSFFQLPNENTSSVCLQDFQEKLTTLSIHPSLVSSCFPDPSQFVTNSSTCAGVVTSRDWELKVNLVRLSPVQTFCKDLHDQTSCHNCIAASLKVALQLTSDNPNATERDCFSFASLYAAAVVNPEGTNDVTTIGCILGVQLSSEVMAKGSSNKRGKVLKVVFTLLGAAVGVIIVFVVVVVMYRKWERRRKENVYHREIENGVRNSVLTNTGAKWFHISELERATNRFSRRNKVGQGGDGVVYKGKLADGTLIGVKESLNLQSKGDEEFCYEVDIISKIKHRNLLALRGCCISSDSFKGKRRFLVYDFMPNGNLSYQLSVGGLTWPQRKNVILDVAKGLAYLHYEIKPPVYHRDIKPTNILLDSKMNAKLADFGLAMQGSEDQSPLATRVAGTYGYVAPEYALYGKLTEKSDVYSFGIVILEIMSGRKVLDTLNSSADAITDWVWTLAESGKMREIFDESIREGPGKVMERYVHVGMLCAHAMAALRPTIAEALKMLEGDTDVPELPDRPVPLGHASFQSSLLHGLQGSGRSMQHVSSYS >Vigun11g040100.1.v1.2 pep primary_assembly:ASM411807v1:11:5685008:5693334:1 gene:Vigun11g040100.v1.2 transcript:Vigun11g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGMARIFTQQESASNTNRIVGTHGYMPPEYMMEGSFSIKSDVYSFGVLLLEIVSGRRNFGCYDVDRPLNLIGHTWELWKDGASLELVDPSIKEWIDPDEVQRCIHIGLLCVEHYADDRPNMSDIVSMLTNKSAIVSLPQKPVFYVGRKILHNNLSSKGTYTDSAVEITASTIDRDHASYMQNSKAEVEASNMI >Vigun10g105600.1.v1.2 pep primary_assembly:ASM411807v1:10:30333184:30335578:1 gene:Vigun10g105600.v1.2 transcript:Vigun10g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPLILCLVLPVLLLFFFRNRRTLKNNSFPPGPRGLPIIGNLHQLDNSLLYLQLYKLSKKYGPIFSIQLGLRPTIVISSPKLAKELYKDHDLLSSDRPKLLGQQKLSYNGLEMIFSPYGEFWREIRKISVVHVLSSRRVSRFSSIRELEVRKMLKKLSGHASSSKATNLNEVLMSLTSTIICRIVFGKSYEEEGTERSRFHSMFNECQAMWATFFVSDYIPFLGWVDKLSGLRARLDQNFKDLDKFYQEVIDEHMDPNRKTQEHEGIIDVLLQLQKERSFSIDLTNDHIKAVLLDMLVASTDTTAATTVWAMTALLKNPRVLKKVQEEIRTLGGKKEFLEEEDIENFPYFKAVTKEVLRLYLPAPLLVARETNEACMIGGYEIPARTIVYVNAWAIHRDPESWKDPEEFLPERFLDSNVDFYGQDFELIPFGAGRRICPGIPMAVASVNLILANLLNSFDWELPEGVRKEDIDTEMLPGLTQHKKNPLYVLAKPGI >Vigun08g039600.1.v1.2 pep primary_assembly:ASM411807v1:8:3887821:3888663:-1 gene:Vigun08g039600.v1.2 transcript:Vigun08g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIVPNENNITLIDPFLYVSFFMAGLATSITIITALCIVRKKTSPPPSISEEQNVLNESTTPPTTSSEHEQPSTSDGAQTQTQNNEMMVKVLPLPPALQQHPQVNPNFVKRATSERRLSFNLSRRMMPRSFSVARNWDQEGGPKKAALKSEEGVLVKTKEKVKGDSSVCMKTTEKLKRDDSVWMKTIILGGKCVPDEEDDVVIYEEKGKKISAYHPRKPTSSSISSHSSSYVNADNPDDAFSVHKSSEERINNTCEEENIKL >Vigun06g044300.1.v1.2 pep primary_assembly:ASM411807v1:6:16839791:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGESRSLCEGMREDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g044300.5.v1.2 pep primary_assembly:ASM411807v1:6:16839791:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g044300.2.v1.2 pep primary_assembly:ASM411807v1:6:16837993:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g044300.3.v1.2 pep primary_assembly:ASM411807v1:6:16838075:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGESRSLCEGMREDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g044300.6.v1.2 pep primary_assembly:ASM411807v1:6:16839791:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGESRSLCEDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g044300.4.v1.2 pep primary_assembly:ASM411807v1:6:16838075:16845956:1 gene:Vigun06g044300.v1.2 transcript:Vigun06g044300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFETIEARITSMLPQLQSECGILQRIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELVTSCLHVIKGDKPRQKIILLESLKRRKCDGEKKHNFMERLVGAARLLAEMVEPILKAASEISVLFAQSFFTGFSLTIMALLARLRVLVQQILLDVVSLFNMVSSLSITKQSTKITLNGIEVFRDFYPVNDDFVTLDCVWESDKFILHERTHKRKNETQAEDYNEHASVQTSNVNYNSIESFLGESRSLCEDDQLEGVEAVAAKDLCHVDNMNTDLSTDSSQFDSKITMYHEEGENQDTTKASINESSQQAGLHTSSRSSTNDKLHSMPKKVAFVSVKNLTSTRSTS >Vigun06g183200.1.v1.2 pep primary_assembly:ASM411807v1:6:30279496:30281591:1 gene:Vigun06g183200.v1.2 transcript:Vigun06g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLPLNPMATVRSNSITVFFVVFILFATAPSPSQSISFSSYFRYRDLFSLSHSLLIGVANLRASRGDVVGADRARAMANSLDRGTIFGFVKLLWTWSWTDLSFTDLYGVVSDMNELLRGLTELTRLESVAERSAWISRNYQSVLTVSKSLSSKLLKAFRQSGVMREMGETFQKEVVEGGLIRDCLELGNNDLKALLQLVKDLLLQFFPVNGKDSDL >Vigun03g034200.1.v1.2 pep primary_assembly:ASM411807v1:3:2627106:2629554:1 gene:Vigun03g034200.v1.2 transcript:Vigun03g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPQTPTPSPHLYPQELQLKLYQAFIFSIPILFSIILVLLFYLFYLKRRASSLSSPPLHILTNSSNPQTTYPYPSQPCRLDLTVQFLDKLPRVLFDEDFGTRDSVCCVCLGEFEVKEELLQIPYCKHVFHISCICNWLQSNSTCPLCRCCITPSTKFLNTPSPIVSDSPQQGAISGSSSHIISMPQQQEDEVGNSTNTTISQGNNGVLLR >Vigun03g169500.2.v1.2 pep primary_assembly:ASM411807v1:3:20093162:20101700:1 gene:Vigun03g169500.v1.2 transcript:Vigun03g169500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPIVQYEEKIIETVERNAVVVVIGETGSGKSTQLSQMLHRRGYGKIAVTQPRRVAAVSVARRVAQELGVQLGEEVGYAIRFEDRTSHNTRIKYLTDGVLLRESLANPELREYSVIILDEAHERSLNTDILMGLMKRLVKVRSSDLKVLITSATLDGDKVSKFFADCPVLNIPGKLYPVEVLYSSERPSSYLESSLKTALDIHIRQPEGDILIFMTGQDDIEKLVSKLEDKVRALEEGSCMDAIILPLHGSLPPELQVRVFSPPPPNCRRIIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSGMYSLDVVQISKVQANQRAGRAGRTCPGKCYRLYPSRVYNDEFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDPPSFESLQDALKQLFLIDAIDENGAITSFGQKMAELPLEPSLARTLMEANNQGCLSEALTVAAMLSAETTLLPVQRKTEKKRKHSISNLADGSGLGDHIHLLHIYQCWDQTDFDIGWCKDNGLQVRGMLFVRDVRKQLSQIMQKISKGPLDVKANGKREEFRQDYRNLRKALCVGYANQLAERKMHHNGYRTLGFQGQVVQVHPSSVLSLDDQGKFPDYVVYHELIATPRPYMRNVCAVEMRWVIPIINKLKTLDVYKLSGGIHHVEEEPVKNLPDLPKMDVEVPSTADDRESRIQAARERFLARKGKK >Vigun05g065700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5690753:5691169:-1 gene:Vigun05g065700.v1.2 transcript:Vigun05g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFGRRVWVLIMVVFLSSAKNAMSLELHVQVTNSLDEKIDLSVDCNHFDSRQRIIAPNTSYKWDYFGDLPSSQTPFLCAFRWGSASSTFNLATLFDSDCKKFCQWFIKESGPCKYYETKEVCFKWLPPLAKAHPRLV >Vigun03g038600.9.v1.2 pep primary_assembly:ASM411807v1:3:2949545:2952952:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.5.v1.2 pep primary_assembly:ASM411807v1:3:2949545:2952952:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVGETNQVWCCIVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.4.v1.2 pep primary_assembly:ASM411807v1:3:2949451:2952952:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVGETNQVWCCIVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.6.v1.2 pep primary_assembly:ASM411807v1:3:2949451:2952952:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.1.v1.2 pep primary_assembly:ASM411807v1:3:2949462:2952961:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVGETNQVWCCIVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.2.v1.2 pep primary_assembly:ASM411807v1:3:2949462:2952961:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.3.v1.2 pep primary_assembly:ASM411807v1:3:2949461:2952179:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVGETNQVWCCIVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.8.v1.2 pep primary_assembly:ASM411807v1:3:2949481:2952179:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun03g038600.7.v1.2 pep primary_assembly:ASM411807v1:3:2949545:2952952:-1 gene:Vigun03g038600.v1.2 transcript:Vigun03g038600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAGSDDIRAVEITKDRNGIGLVVLRNHRGASARVSLHGGQVLSWKWERGEELLFTSSKAIISPLKPLRGGIAICFPQFRNRGSLEHHGFARNKIWVIDQNPPPLPTDSGEKAHIDLLLKPTEDDLKIWPHSFELRLRVSLAGNGYLTLISRVRNVNSKPFSFSFAYHTYYSVSDISEVRVEGLETLDYLDNLHNQERFTEQGDALTFESEVDRVYLDSGGSGVAVLDHEKKQTIVIRKEGLPDVVVWNPWEKKSKAIVDLGDEEYKQMVCVDGAAIEKPITLKPGEEWTGRLDLSVVPST >Vigun06g192900.5.v1.2 pep primary_assembly:ASM411807v1:6:30981321:30983465:-1 gene:Vigun06g192900.v1.2 transcript:Vigun06g192900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHILLVITSLTFGFHEKVVSSPSTGPYNAIFNFGDSLSDTGNFLASGALSYPVIGKLPYGQTFFNRSTGRCSDGRLVIDFIAEAYKLPYLPPYLALTKDQNIHPGVNFAVAGATALDTKFFIAAGLGKFLWTNNSLNIQLGWFKKLKPSLCTTKLDCDRYFQRSLFLVGEIGGNDYNYAAIAGNITQLQATVPPVVEAIKTAINELIAEGARELLVPGNLPIGCSALYLTLYRSGNKEDYDGNGCLKSFNGFAEYHNKELKLALKTLRQNNPHARILYADYYGAAKRFFHAPGHYGVSSGALRACCGAAGPYNFNISARCGHIGSKGPKKLR >Vigun06g192900.3.v1.2 pep primary_assembly:ASM411807v1:6:30981321:30983465:-1 gene:Vigun06g192900.v1.2 transcript:Vigun06g192900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHILLVITSLTFGFHEKVVSSPSTGPYNAIFNFGDSLSDTGNFLASGALSYPVIGKLPYGQTFFNRSTGRCSDGRLVIDFIAEAYKLPYLPPYLALTKDQNIHPGVNFAVAGATALDTKFFIAAGLGKFLWTNNSLNIQLGWFKKLKPSLCTTKLDCDRYFQRSLFLVGEIGGNDYNYAAIAGNITQLQATVPPVVEAIKTAINELIAEGARELLVPGNLPIGCSALYLTLYRSGNKEDYDGNGCLKSFNGFAEYHNKELKLALKTLRQNNPHARILYADYYGAAKRFFHAPGHYGVSSGALRACCGAAGPYNFNISARCGHIGSKVCADPSTHANWDGIHLTEAAYRDQRN >Vigun06g192900.1.v1.2 pep primary_assembly:ASM411807v1:6:30981321:30983465:-1 gene:Vigun06g192900.v1.2 transcript:Vigun06g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHILLVITSLTFGFHEKVVSSPSTGPYNAIFNFGDSLSDTGNFLASGALSYPVIGKLPYGQTFFNRSTGRCSDGRLVIDFIAEAYKLPYLPPYLALTKDQNIHPGVNFAVAGATALDTKFFIAAGLGKFLWTNNSLNIQLGWFKKLKPSLCTTKLDCDRYFQRSLFLVGEIGGNDYNYAAIAGNITQLQATVPPVVEAIKTAINELIAEGARELLVPGNLPIGCSALYLTLYRSGNKEDYDGNGCLKSFNGFAEYHNKELKLALKTLRQNNPHARILYADYYGAAKRFFHAPGHYGVSSGALRACCGAAGPYNFNISARCGHIGSKVCADPSTHANWDGIHLTEAAYRYIAKGLIYGPFSYPPLKTSLYKIP >Vigun06g192900.2.v1.2 pep primary_assembly:ASM411807v1:6:30981321:30983465:-1 gene:Vigun06g192900.v1.2 transcript:Vigun06g192900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHILLVITSLTFGFHEKVVSSPSTGPYNAIFNFGDSLSDTGNFLASGALSYPVIGKLPYGQTFFNRSTGRCSDGRLVIDFIAEAYKLPYLPPYLALTKDQNIHPGVNFAVAGATALDTKFFIAAGLGKFLWTNNSLNIQLGWFKKLKPSLCTTKLDCDRYFQRSLFLVGEIGGNDYNYAAIAGNITQLQATVPPVVEAIKTAINELIAEGARELLVPGNLPIGCSALYLTLYRSGNKEDYDGNGCLKSFNGFAEYHNKELKLALKTLRQNNPHARILYADYYGAAKRFFHAPGHYEIYLPGVSSGALRACCGAAGPYNFNISARCGHIGSKVCADPSTHANWDGIHLTEAAYRDQRN >Vigun06g192900.4.v1.2 pep primary_assembly:ASM411807v1:6:30981321:30983465:-1 gene:Vigun06g192900.v1.2 transcript:Vigun06g192900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHILLVITSLTFGFHEKVVSSPSTGPYNAIFNFGDSLSDTGNFLASGALSYPVIGKLPYGQTFFNRSTGRCSDGRLVIDFIAEAYKLPYLPPYLALTKDQNIHPGVNFAVAGATALDTKFFIAAGLGKFLWTNNSLNIQLGWFKKLKPSLCTTKLDCDRYFQRSLFLVGEIGGNDYNYAAIAGNITQLQATVPPVVEAIKTAINELIAEGARELLVPGNLPIGCSALYLTLYRSGNKEDYDGNGCLKSFNGFAEYHNKELKLALKTLRQNNPHARILYADYYGAAKRFFHAPGHYEIYLPGVSSGALRACCGAAGPYNFNISARCGHIGSKVCADPSTHANWDGIHLTEAAYRYIAKGLIYGPFSYPPLKTSLYKIP >Vigun11g212900.1.v1.2 pep primary_assembly:ASM411807v1:11:40764456:40769907:1 gene:Vigun11g212900.v1.2 transcript:Vigun11g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLRENFSVQPKNPSESALRRWRSAVSVVKNPRRRFRMVANLAQRAEAEHKRRKLQEKIRVALYVQKAALHFINAGNRRVVDGVDGGGKGGGVGGDTDGSYMLSKETREAGFEIEPDELASIVRSHDKKCLERYEGVGGVARVVRVSLQEGVISVDAEHRQNIYGCNRHAEKPPRSFWMFVWDAMQDLTLIILMACSFVSVGVGIVTEGWPKGMYDGVGIILSILLVVFVTSISDYKQSLQFKDLDKEKKNVSIQVTRDGRRQKVSIHDLVVGDIVHLSIGDVVPADGLLISGFGLLIDESSLSGESDAVNVDHRKPFLLAGTTVQDGSAKMLVTSVGVRTEWGRLMDTLNEGGDDETPLQVKLNGVATIIGKIGLGFALLTFIVLTSRFLWGKIVHHEITKWSLNDASELLNFFATAVIIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNHMVVDKIWICGQTKAIKSGNSENVLKSFISEQTFDLLLQSIFQNTGSEIVKGLDGKNKIMGTPTESALLEFGLLLGGDSKFYNDKYKIVKVEPFNSIRKKMSVLVALPGGPNKYRAFCKGASELVVEMCDKVVNADGKVVNSNEQQKSSITEVINGFASEALRTLCIAYKDTEGSSERDRIPEDKYTLIAIVGIKDPVRPGVKEAVKTCLEAGIMVRMVTGDNINTAKAIARECGIFTDGIAIEGPDFRNKSQQELEKIIPKIQVMARSLPLDKHKLVTHLREDFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIVMDDNFSTIVKVTRWGRAVYINIQKFVQFQLTVNVVALMLNFVSACVSGSAPLSAVQMLWVNMIMDTLGALALATEPPHDGLMKRPPIGKNSKFITRVMWRNIIGQSIYQIIVLLLLKFRGKKILMLDEHDDTTLLLNTVIFNSFVFCQVFNEINSRDMEKINVFEGMLSSWVFLIVMGITICAQVMIVECLGAFAQTVPLSRELWLASVMIGAVSLVIAVVIKCIPVPSSTYVATHHDGYEQLPTGPELA >Vigun03g445300.1.v1.2 pep primary_assembly:ASM411807v1:3:64716200:64720051:-1 gene:Vigun03g445300.v1.2 transcript:Vigun03g445300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCKCNLLSFLILLVTFPFAFVHCEDPYRYLTWKVTYGDIYPLGVKQTGILINGQFPGPQIDAVTNDNLIINVYNYLREPFLISWNGLQHRRNSWQDGVEGTNCPIPPGRNLTYAIQVKDQIGSYFYFPSLGMHKAAGAFGGIRIWSRPLIPVPFPPPAGDFTILAGDWFKLDHRRLRRVLENGHNLPFPDGLLINGRGWNGNTFTVDQGKTYRFRISNVGLTTSINFRIQGHSLKLVEVEGSHTLQNSYSSLDIHLGQSYSVLVTADQPVKDYYIVVSTRFTRRILTTTSILHYSYSRIGVSGPVPPGPTLDITSSVYQARTIRWNLTASGPRPNPQGSYHYGLIQPSRTIMLANSAPYINGKQRYAVNGVSYVAPDTPLKIADYFNIQGVFYVGSIPTNPNGGNNAYLQTSVMGANFHEFVEIVFQNWEDSVQSWHIDGYSFFVVGFGSGQWTQDSRVHYNLRDTVARCTVQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYTPSKSWRDEYPVPKNALLCGRASGRRTRPF >Vigun06g059600.1.v1.2 pep primary_assembly:ASM411807v1:6:18678289:18683488:-1 gene:Vigun06g059600.v1.2 transcript:Vigun06g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQILRELQWAQQAIKSEGLNLHSISFYLSQPTSGCYQETDNSISINISKENLSFFSPLLTTLAAPGRHNSSLRNLEFHRVEWDSQQVRHLGTLLGNNQNVQHLVFRRNRFNGKSLSDLSDIVKANKVIKEIMLSESGIGSVGAALIASALMVNDSLEELQIWEDSIGSRGAEELSKMIEVNSTLKLLTIFDSNAITATPLISAVLARNRRMEVHVWSGENREKSSKVVEFEPENSTLRIYKLNLSGTCRVTCSLGMNFTVKSLDMTGVRLKSRCAKEFRLVLEQNQTLKEVNLSRTCLKDKGIVYIAAGLFKNKTLQTLHLSGNWFSGMGVEHLLCPLSRFSALQRQANITLTCITFGGERTRIGRDGLAAVIQFLTTNETVRKLGIHDDESLRSDDFVKIFKSLEKNASLKCLSLQGCKRVEGELLLQTIMETLQINPWIEDIDLSRTPLQNSEKTQRIYQRLGQNEKTEPDMDSVKDMPLTEPKSCRVFFCGQECAGKTSLCHSISQNFSASALPYLDQVRTIVNPVEQAVKSIGMKIKTFKDEDTRISIWNLAGHHEFLSLHDLMFPGHGSASFFIIISSLFRKPSNKEPKSSTEIEEDLQYWLRFIVSNSKRAIQQCMLPSVAVVLTHSDKINQPSQNLQLTVESIQRLRDKFQGFVDFYPTVFTVDARSSASVSKLTQHIRKTSKTILQRVPRVYQLCNDLIQILSDWRSENYNKPAMKWKEFGELCQVKVPPLRIRSRHDNKEKVEMKRRAIATCLHHIGEVIYFDELDFLILDFEWFCGEVLGQLIKLNVKKQHSENNGFISRMELEKILRGSLQSPIPGMGSKVFENLDASDLVRMMLKLELCYEEDPSDPNSLLLIPSILEEGRGKPQRWQLSMPDCVYAGRHLECDDSSHMFLTPGFFPRLQVHLHNRIKAVKDQHGATYSLEKYLISISINGIYIRVELGGELGYYIDVLACSTKNLTETLRVIHQIVIPAIQSVCQGITLTENVLRPECVRKLTPPRYRKTQFAPLQQLKQALLSLPAESMYDYQHTWSAVLDSGKPILQDGFDFARDLLSDDDFREVLHRRYNDLYNLAQELQVPPENNNPEEQGQSITLRDEAARVEPTFGGIAKGVEEVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLLELHRKVNHLATFNVQVEERKVPNMIYFVRTENYTRRLVTTMLSGMNALRLHMLCEFRGQMHVVEDQMGCEIMQVDNAAVKSLAPYMKKFMTLVTLALKIGAHLAAGMGQMIPDLGKEVAHLAGSSVLCGAAGASAAGVLGAAAMGRRNRSMEGSSDIQQDLRAAQQWVVDFLRERKCSSGKDIAEKFGLWRVRYRDNGQIAWICRRHIYARSAEIIEVPI >Vigun06g009000.1.v1.2 pep primary_assembly:ASM411807v1:6:4255555:4259706:-1 gene:Vigun06g009000.v1.2 transcript:Vigun06g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADILSKLWSFVSFLPFFFLLFILGIIKGAVIGVIAFAIIGTGNSAVIIGLWTAHVFWTYYCVARTNRFGLVFKVVVLMCLPVPLLLWPTVGIVGSLLGGIGYGFFSPLLATFQAVGKGENVSKKIYHCFSDGCWSTIERSCTVVEDVRDLCFHSYFSYMDELREKLPPEEKPLDVRMSILPCCLLVIMVGVPLDTALITSIAVWKSPYMLLRGWKRLSEDLIGRKGPFLETECVPFAAFAIFLWPLAVVVAVLAATLCSPFLALYSGVVVHQENSVKMGFAYIVSVVSLFDEYVNDLLYLREGSCLPRPIYRRNKSHAVERKNPGKGSQIQNTPCNNPEL >Vigun09g009400.1.v1.2 pep primary_assembly:ASM411807v1:9:735259:736923:1 gene:Vigun09g009400.v1.2 transcript:Vigun09g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGHPSRQPPLLYKDFPFHISYTNTNITVMGVFTQVYDTPAAVPPARLFKAMTLDFHNLFPKLVDTIHSIEFTQGNGGPGTIKKITTIQGEESKYVLHRVDAIDEGSFVYNFSIIDGSGLPETLEKISFESQLVEGSNGGTIRKVHATYFTKGEAVLSEEELKANQAKIQGLVKLVEGYLLANPHY >Vigun01g068600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18463749:18466400:1 gene:Vigun01g068600.v1.2 transcript:Vigun01g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKALPVSTVVSGFCLGHRTQKMRVLELSPSGDNGSSTKEDEPLPQDADYSNVLSISDELETSILARFPRSQLWKLCFLNKRFLALARSGEIYKIRKEIGLKEPSVFMLASGESNWWGMEWPFMSSKKLPPIQSDYNFECGDKESFCAGSHLFVFGKEIDGPVTWRFDLTNNEWLKGPSMINPRCLFASATSGAIAFVAGGYDATTYTQILDTAEMYDSESQCWKPLPRMNKRRKFCSGCFMDNKFYVIGGKDEDGRVLTCGEFFDGKTNSWKLIPDMWKDIPLNAEHSPPLLAVVNNELYTLDASSNELKIYMKGSNSWKTLGVVPVRADEQRGWGVAFKSLGDELLVIGAPSVSQAERALCMYTGSPDPADHKFRWRQIGCSTIQLNHFIRNCAVMWT >Vigun11g188400.1.v1.2 pep primary_assembly:ASM411807v1:11:38832750:38834136:-1 gene:Vigun11g188400.v1.2 transcript:Vigun11g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYGDLAASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRALDGISYEVQATDPTEMGFDVIQDRAK >Vigun07g226400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34853115:34854253:1 gene:Vigun07g226400.v1.2 transcript:Vigun07g226400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNKSYSFLIPLFVSVTMFFMFPGKVKSSQSLAFSFSKFGPDQKDLIFQGDAISTNNVIQLTKLDSAGNPLRGSVGRVLHSSPMRLWENSTAVSSFESFFTFQISTPYTSPPADGLAFFVAAYDTVIPPNSAGSHLGLFSNNINNALRNSSTSRNQTTVGFKDVSNNVVNNVGNNVVAVEFDTYPNPDIGDPANKHIGIDVNSITSKVTTRWDWQNGKTATAYISYNSAAKRLTVATFYAGSNTVILSYDVELNTVLPQWVRVGFSGSTGGETQRNTIFSWSFTSSLKNNEA >Vigun07g226400.2.v1.2 pep primary_assembly:ASM411807v1:7:34853117:34854162:1 gene:Vigun07g226400.v1.2 transcript:Vigun07g226400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNKSYSFLIPLFVSVTMFFMFPGKVKSSQSLAFSFSKFGPDQKDLIFQAYDTVIPPNSAGSHLGLFSNNINNALRNSSTSRNQTTVGFKDVSNNVVNNVGNNVVAVEFDTYPNPDIGDPANKHIGIDVNSITSKVTTRWDWQNGKTATAYISYNSAAKRLTVATFYAGSNTVILSYDVELNTVLPQWVRVGFSGSTGGETQRNTIFSWSFTSSLKNNEA >Vigun11g027700.1.v1.2 pep primary_assembly:ASM411807v1:11:3615948:3621751:-1 gene:Vigun11g027700.v1.2 transcript:Vigun11g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPLNMALRFPNLDLKSLPFAHNLNPELLGGVVALVALGFTAAYINYRTKHPKGCLDPKNFKEFKLIKKTQLSHNTARFRFALPTPSSILGLPVGKNILVRGRDSQGEEVMRSYTPITLDSDVGYFELVVKMYPNGKMSHHFRQLKEGDYLAVRGPKGRFSYKPGQVRAFGMIAGGSGITPMFQIIRAILENPKDKTKVHLIYANVTVDDILLKEELDNFADKFPQRFEVYHVLNKPPQQWNSGIGFVSMEMIKSHCPEPAKDIQILRCGPPAMNKAMATHLDALGYTSNMQFEF >Vigun11g027700.2.v1.2 pep primary_assembly:ASM411807v1:11:3615948:3621751:-1 gene:Vigun11g027700.v1.2 transcript:Vigun11g027700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPLNMALRFPNLDLKSLPFAHNLNPELLGGVVALVALGFTAAYINYRTKHPKGCLDPKNFKEFKLIKKTQLSHNTARFRFALPTPSSILGLPVGKNILVRGRDSQGEEVMRSYTPITLDSDVGYFELVVKMYPNGKMSHHFRQLKEGDYLAVRGPKGRFSYKPGQVRAFGMIAGGSGITPMFQIIRAILENPKDKTKVHLIYANVTVDDILLKPPQQWNSGIGFVSMEMIKSHCPEPAKDIQILRCGPPAMNKAMATHLDALGYTSNMQFEF >Vigun07g284800.1.v1.2 pep primary_assembly:ASM411807v1:7:39808775:39810077:1 gene:Vigun07g284800.v1.2 transcript:Vigun07g284800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQPLRNGGDNSSSPSHNPRFQSTVAEHKLRRFNSLILVFRLVSFSFSLASSVFVLTNTRGSDTPHWYHYDTFRFVLAANAIVAVYSVFEMGASVWEISRGVTLFPEVLQIWFDFGHDQVFAYLLLSANAAGTTMARTLKEMDTCRASNAFCVQMDITIALGYAAFVFLGLTSLLTGFRVVCFIINGSRFHL >Vigun10g078300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21321980:21325164:1 gene:Vigun10g078300.v1.2 transcript:Vigun10g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGAILSTFIDVLFDKLASPELVNFIRGKKPDKLLQKMKSQLLVVKVVLADAEQKQITNSNVKEWLDLLNDLVYQADDLLDEVSTKAATQKEVSNSFSHLFKRKKIVNISKLEDIVERLDDILKQKDSLDLKEIAMENNQQWNAQTTSLEGRYGMYGRDKDKEAIMKLVLEDNSDVGMGGVGKTTLARSVYNDGTLKAWICVSDIFDIVKVTKTMLEKITQKPCKLSDLNLIQLYLLEKLKGKKFLIVLDDVWIEDCDCWSSLTKPFLSGIRGSKVLVTTRNESVAAGVPLHTVEVYHLKQLSDEDCWLVFASHAFPPSEGSHNRESLEKKARNKGIGIMYLKVTFGNFPKLSVRLSQHSGLVTIISLHN >Vigun01g152500.1.v1.2 pep primary_assembly:ASM411807v1:1:33506241:33508225:-1 gene:Vigun01g152500.v1.2 transcript:Vigun01g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCSHDNFVNILGMTFSESLRIEDAQKPLHDPEGNDICSESDENLCGAINKQETKVNMMCLTKSATFPTPNRIQPSSSSDEDANTSVTESLSEHSAHQTYSRSISLPVPLKLVSAMKGSREKQGGSQVKLNVKWAPDVYDPIPTLLSHTVKSNKKQQKSRKKKPEKKNGKKGQKGNSSKGGNSKDKQFRKLSGTSGLCYKSMDSCDKVLVASAELDALDVPSADSNCGTSFLKKSVTEVHYSVAEAL >VigunL059228.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000234.1:16091:16399:1 gene:VigunL059228.v1.2 transcript:VigunL059228.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g294300.1.v1.2 pep primary_assembly:ASM411807v1:7:40530448:40532364:1 gene:Vigun07g294300.v1.2 transcript:Vigun07g294300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMGMSMRAGSSSYLEMHPERKIALFQNPYIIGITFAAGLGGLLFGYDTGVVSGALLYIKEDFEVVKNSSFLQEVIVGMALIGAIFGAAIGGVINDHLGRKSATIIADICFGAGSVVMATAPNPYVIIAGRFLVGLGVGAASVTAPVYIAEVSPSEIRGGLVSANTLMITAGQFVSYVVNFGLTRVPGTWRWMLGVASLPAVLQFVLMAFLPESPRWLYMKNRKEEAVGVLSKIYSSPRLEDEIAILEELLEQERKSEVKVKYSDIFKKKEIRCAFICGGGLQAFQQFSAISIIMYYSPIIIQMAGFRSNQSALFLSLIVSGMNAAATILGIYLIDIAGRKVLALASLSGMTISLVVLSTSCYLMGHGNATQTLGWIAILGLVLYILFYAPGMGPVPWTVNSEIYPQEYRGLCGGMSATVNWICSVIMSTSFLSVVDAIGLGESFMILLGVSVIAVVFVIFCMPETKGLTFEEVANIWNERVHGKDKNVQKTQL >Vigun02g076900.2.v1.2 pep primary_assembly:ASM411807v1:2:22936572:22942772:-1 gene:Vigun02g076900.v1.2 transcript:Vigun02g076900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSGLRKPVFTKVDQLRPGTSGHTLTVKVVNAKMVMQKGRSDGPQSRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFSVKEDNNLSLIEYELVNVVVE >Vigun02g076900.1.v1.2 pep primary_assembly:ASM411807v1:2:22936586:22942769:-1 gene:Vigun02g076900.v1.2 transcript:Vigun02g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSGLRKPVFTKVDQLRPGTSGHTLTVKVVNAKMVMQKGRSDGPQSRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFSVKEDNNLSLIEYELVNVVVE >Vigun04g154200.2.v1.2 pep primary_assembly:ASM411807v1:4:37304114:37307400:-1 gene:Vigun04g154200.v1.2 transcript:Vigun04g154200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFLMVVFVYSLLKSTFGVCREIVCIGSERETLLKLKHNLTDPSNRLSSWNASVNPNCCHWNGVVCNNFTSHVAELHLTTPFPDFDYHYENYEDYEEALEEHNRRAFSGEINPCLVDLKHLNYLDFSGNLFQYIPIPSFIATITSLTYLNLSHAGFMENIPSQMGNLSNLLYLDLSYLYFESYENVDWLSNMSKLRYLDLSRTWSLEEGVSIPSCLGSMTSLIHLDLSFSRFMGNIPPQIGNLSNLVGYSIDKSLFIGNVDWLSSLTKLEYLNLGGANLSQSFHLLHSLSALSSLLHLDLSRCTLPHYNQQSFLNFSSLLTLDLWEVSYYSGISFVPKWVFGLKKLVSLVSTFNPFESQIPDGIRNLTLLENLDLHKNSFSSSIPHWFYSSFPHLKFLDLSSNNLQGNISDALGNMTSLVTLDLSYNQLEGPIPTSFCYLCNLKAVYFSYLKLNQHINETLDIVTPCISHGLRTLVVQSSQLSGNLPLSLGKLSSLRTLSLSNNQLSGNPFESLRSLSKLSDLDIGYNRFKGVVTEDHLTNLTSLSGLYAPGNNLTLKVGTNWHPTFQLTYLDMSSWQLSPNFPSWIQSQDKLDYLAMPNTGILDSIPYWFWKTLSQASFLNLSHNHIHGELQTTLKNPISTIAVDLSANKLSGKFPSLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPDKICDMSLLQVLKTMTQMNKSITALIYCSAINYTFKYSDHTVISVRLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREITDLNGLIYLNLSHNQLIGHIPQNIGNMGSLLSIDFSRNELSGEIPPTISNLSFLSMLDLSYNHLNGKIPTGTQLQTFDASNFIGNNLCGSPLPINCSSNDKSYDQNGKGSDKHEVNLFYVGMTFGFMVGFWIVVGPLVISRSWRYAYFHFLDHVWFKLQYFF >Vigun06g208800.6.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32214197:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKKGKAV >Vigun06g208800.1.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32214215:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKIIEHQPKQSHDDASSIHRQPSPSAFNPHVVQRGRLSECWKHRRGTAWVGVCWCSMIFSTQQR >Vigun06g208800.13.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32214151:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKIIEHQPKQSHDDASSIHRQPSPSAFNPHVVQRGRLSECWKHRRGTAWVGVCWCSMIFSTQQR >Vigun06g208800.14.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32207684:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKIIEHQPKQSHDDASSIHRQPSPSAFNPHVVQLSFVKFLLFFRECLR >Vigun06g208800.15.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32207507:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKRLGEI >Vigun06g208800.8.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32214197:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKKGKAV >Vigun06g208800.3.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32216444:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKVTDWNGSEIDLAADRDGRRIIYPSDGILVTNGHIHNQVLQIIYQTAGV >Vigun06g208800.4.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32216444:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKVTDWNGSEIDLAADRDGRRIIYPSDGILVTNGHIHNQVLQIIYQTAGV >Vigun06g208800.12.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32216444:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKIIEHQPKQSHDDASSIHRQPSPSAFNPHVVQ >Vigun06g208800.9.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32208015:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKASTPTKNLVDLNYIMRHI >Vigun06g208800.10.v1.2 pep primary_assembly:ASM411807v1:6:32202864:32208015:1 gene:Vigun06g208800.v1.2 transcript:Vigun06g208800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDILSSQVSAVPFFDGAATRRRRSATFSLNVRATLPFPHQNSTYYKELEAAVDVVQKACNFTLHVQSSLFSTDGKIIEKNDQTPVTVADFGVQALISLELNKLFPSIPLVAEEDSAFLRSRNLVGTVLDAITATDSSTCKSLTQDDVLEAIDRGSKEAFVFGPKPATYWLLDPIDGTRGFLMAGKAFYVVGLALVVEGEIVIGVMGCPTWKEDLSEKSSADIDEEWDSLNGSGTVMIAHKGCGTWMKTLNSQLKSPDVWTKCFVDGSDVIHKARFCISDSEKWEAQLSPLFKAVSNTDNVGSNQIHLLRACCGSLCKYLMVASGRASIFILRAKQKAVMMAWDHAAGIICVHEAGGKIIEHQPKQSHDDASSIHRQPSPSAFNPHVVQLSFVKFLLFFRECLR >Vigun04g000400.1.v1.2 pep primary_assembly:ASM411807v1:4:66532:67612:1 gene:Vigun04g000400.v1.2 transcript:Vigun04g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGKGLKSANNFVGRQHWVFDPNAGTVEERNEIERLRHQFTLNRHSIKQSSDLFLRMQLRKENGCGVIPAAVKVRDRENVTMEALISTIRRGISFYSSIQGSDGHWPAESAGPLFFLQPLVMALYITGSLDAVLGAEHKKEIVRYLYNHQNEDGVGDFI >VigunL077125.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000541.1:63608:78842:1 gene:VigunL077125.v1.2 transcript:VigunL077125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLRHSSSTSRRLFPLASQIHSSVSRSPLSTPSDSTSTSFYSFNSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAVAFDEIDKAPEEKKRGITIATIWNRTLLAKAVAFDEIDKAPEEKKRGITLQRYGIALFWSCNRLCCFLLMWSMRTAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLLAKLTILSLNVLCRLLLELVEMELRELLSFYKGSALSALQGTNDEIGRQAILKLMDAVDAYIPNPNIKISISTLLSSLKSAFVSLHILDWTRTVATGRVEQGVIKVGEEVEVLGLMQFSLVGWSLKTTAGDNVGLLLRGLKREDIQRGQVGDCKASSVKTYKKFEAEIYFYLRTADITGKVELPENVKMVMPGDNVTAVFELISAVPLEAGQRFALREGGRTVGAGVVSKVLS >Vigun01g205100.1.v1.2 pep primary_assembly:ASM411807v1:1:38092547:38093768:1 gene:Vigun01g205100.v1.2 transcript:Vigun01g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWQGSKEKKEVSLALAIAAAHSLARVASNDKLSFLFYLLGAITMILLRDDNQRKGSVSLNPLFRSESFGHYYPDAEHSYTMMEKRQLFLRSYQFCRKKSLKERVKGSLVRVKKVLWLRLRSAKKLPRLVFSRIRIKCGFYYRRRRFSRLLNAHNRKIDSSSCFW >Vigun01g205100.2.v1.2 pep primary_assembly:ASM411807v1:1:38092546:38093774:1 gene:Vigun01g205100.v1.2 transcript:Vigun01g205100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWQGSKEKKEVSLALAIAAAHSLARVASNDKRKGSVSLNPLFRSESFGHYYPDAEHSYTMMEKRQLFLRSYQFCRKKSLKERVKGSLVRVKKVLWLRLRSAKKLPRLVFSRIRIKCGFYYRRRRFSRLLNAHNRKIDSSSCFW >Vigun06g170500.1.v1.2 pep primary_assembly:ASM411807v1:6:29206726:29211182:1 gene:Vigun06g170500.v1.2 transcript:Vigun06g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGADNPHLFSTNNFVGRQAWEFDAEAGTDEERAEVEAARQDFYRNRFKFRACGDRIWRFQILRENNFKQTIERVKIEDGEKITSQKTTLAMKRAVHYLSALQTSDGHWPAHISGCLFFIPPMVISLYVIGHLDSVFSEEHRKEILRYVYYHQNEDGGWGLHIEGESVMFCTTLNYICMRILGEGPNGGLNNACAKGRKWIHEHGTVTHIPQWGKFWLSVLGIVDWSGSHPLPPEFWMLPNFFPMHPGKMWCYCRLIYMPMSYLYGKKFTGPITPLILNLRDELFTEPYNENMWKKARHKCAKEDLYSPRHWIQDLIWDGAYFFAEPILRRWPLSKLVREKALKLTMEHIHYEDENSRYMDPACVEKILCMLVCGVEDPNGEAIKKHLARVPDYLWLSEDGITVQASGSQTWDAGFIVQALLATDLMDEFGSTLAKAHDFIKKSQVQNNSSGDFKKMYRQISKGSWTFTHQDHGWQVSDCTAECLKCCLLLSAMSEEIVGEKMETQKLYDAVDFILSLQSKNGGITAWEPARSEKWLELLNPTEMFADIIIEHEYVECTGSAIQALVSFKREHPNYREKDVDNFIARAVEYLENEQKSNGGWYGEWGICFTYGSWFALRGLDAAGRTYSDCEAIRKAAKFLLSIQNEDGGWGESYLSCPMKTYVALEGNRSHVTQTAWALLGLLHAGQANRDPIPLHRAAKLIINSQLQSGDWPQEQVTGAHLKTGVLHYPLYRNVFPLWALAEYRTKVLLPSTSA >Vigun06g126500.1.v1.2 pep primary_assembly:ASM411807v1:6:25360314:25367221:-1 gene:Vigun06g126500.v1.2 transcript:Vigun06g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGKRRWRVKQKEDLVSLLRKSWYHLRLSIRHPSRVPTWDAIVLTAASPEQAQLYNWQLERAKRMGRISPTTVTLAVPDPLGCRIGSGAATLNAIHALALHYCDSQSPTNGNGSDDAVSVLAKKHVLLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFGNEGGMLTMTGDVLPCFDASLVTLPMDTSCIITVPITLDVAANHGVIVAAETEHSTQNYAVSLVDNLLQKPSVEELVKSKAILTDGRTLLDTGIITVRGKAWLELVTLASSCQQMISELLKSKKEMSLYEDLVAAWVPAKHEWLRKRPLGEELVNKLGNRKMFSCCAYDLLFLHFGTSNEVLDHLSGVGSELVGRRHLCSIPATTASDITASAIILSSKIAPSVSIGEDSLIYDSSISGEIHIGSLCIVVGVNIPVDNLLSIDNSIRFMLPDRHCLWEVPLIGNRERVLVYCGLHDNPKSSLSKDGTFCGKPWKKILHDLGIDESDLWGSAGPDEKYLWNSKIFPILPYVQMMKIAMWLMGLSNEKSESMLPLWKHSRRISLEELHRSIDFSTICIDSSNHQADLAAGIANACISYGMLGRNLSQLCEEILQKEGSGIQTCKDFLAMCPIVREQNSNILPKSRAYQVQVDLLRACNEEETARELEPKVWAAVADETASAVRYGFKEHLSESPGSCSGQEFQNNSHNGSIHQPFHPRKVKVELPVRVDFVGGWSDTPPWSIERAGCVLNMAISLDGSSPIGTIIETTETEGILITDDADNQLFVEDYTSICAPFDGDDPFRLVKSALLVTGIIHDNILVDMGLHIKTWANVPRGSGLGTSSILAATVVKGLLQVIDGDDSTENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVVPLLASPQLISELQQRLLVVFTGQVRLARRVLQKVVIRYLRRDNLLVSSIKRLVELAKIGKEALMNCDLDELGDIMLEAWRLHQELDPYCSNEYVDSLFSFSSPYCCGYKLVGAGGGGFALLLAKDVQCAKELRHRLEQEKHFDVKIYDWQIFL >Vigun06g126500.2.v1.2 pep primary_assembly:ASM411807v1:6:25361426:25367221:-1 gene:Vigun06g126500.v1.2 transcript:Vigun06g126500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGKRRWRVKQKEDLVSLLRKSWYHLRLSIRHPSRVPTWDAIVLTAASPEQAQLYNWQLERAKRMGRISPTTVTLAVPDPLGCRIGSGAATLNAIHALALHYCDSQSPTNGNGSDDAVSVLAKKHVLLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFGNEGGMLTMTGDVLPCFDASLVTLPMDTSCIITVPITLDVAANHGVIVAAETEHSTQNYAVSLVDNLLQKPSVEELVKSKAILTDGRTLLDTGIITVRGKAWLELVTLASSCQQMISELLKSKKEMSLYEDLVAAWVPAKHEWLRKRPLGEELVNKLGNRKMFSCCAYDLLFLHFGTSNEVLDHLSGVGSELVGRRHLCSIPATTASDITASAIILSSKIAPSVSIGEDSLIYDSSISGEIHIGSLCIVVGVNIPVDNLLSIDNSIRFMLPDRHCLWEVPLIGNRERVLVYCGLHDNPKSSLSKDGTFCGKPWKKILHDLGIDESDLWGSAGPDEKYLWNSKIFPILPYVQMMKIAMWLMGLSNEKSESMLPLWKHSRRISLEELHRSIDFSTICIDSSNHQADLAAGIANACISYGMLGRNLSQLCEEILQKEGSGIQTCKDFLAMCPIVREQNSNILPKSRAYQVQVDLLRACNEEETARELEPKVWAAVADETASAVRYGFKEHLSESPGSCSGQEFQNNSHNGSIHQPFHPRKVKVELPVRVDFVGGWSDTPPWSIERAGCVLNMAISLDGSSPIGTIIETTETEGILITDDADNQLFVEDYTSICAPFDGDDPFRLVKSALLVTGIIHDNILVDMGLHIKTWANVPRGSGLGTSSILAATVVKGLLQVIDGDDSTENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVVPLLASPQLISELQQRLLVVFTGQVRLARRVLQKVVIRYLRRDNLLVSSIKRLVELAKIGKEALMNCDLDELGDIMLEAWRLHQELDPYCSNEYVDSLFSFSSPYCCGYKLVGAGGGGFALLLAKDVQCAKELRHRLEQEKHFDVKIYDWQIFL >Vigun04g039300.1.v1.2 pep primary_assembly:ASM411807v1:4:3303454:3310892:1 gene:Vigun04g039300.v1.2 transcript:Vigun04g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIYTNHMANFERDDVAILSDCHPQVSVEVVDSGFPRHVSRTRSVSISIPATLTEPYERDTNLVGYTGPLRIQRITPFNQMSGPLHATNRPGNLSRQNKVAPESQAEESKTENFSSCCGVMGENDLQNYACKNEHLVRSGPLGMCSDPYCTTCPTYFKAIQKSSSNASGIFKHEFRNTLYEDAKNWARRLFTFLIPHVPRVMNPHNKLVQQWNQFFAICCLVAIFVDPLFFFLLSVQKKNKCIVINWGFTKLLVALRSMNDFIHFLNIILQFRLAYVAPESRVVGAGELVDHPKKIALHYFKTSFFIDLFVVLPLPQIFILFVLPTHLGTGSTGANYANNLLRIVILVQHIPRLCRFLPMIFSPAGFVFESPWANFFINLFTFVLSGHVVGSWWYLFSLQRVIQCLRDACGNTHIPGCTKFIDCGHGKTEAYQNNESWRNWTNNAVASACFTEDGFRDGYGIFLKAVNLTAHPNLSTRYFYSSFWGFQQISTLTGNLVPSYFVPEILFTKAILGSGLLLFALLIGNIQNILQALGRRNLEMSLRRRDVEKWMSHRRLGDNLRRRVRQAERYNWAATRGVNEEMLLENLPEDLQREIRRHLFTFIKKVRIFALLDEPILDAICERLRQKTYIKGSKILYDGGLVEKMVFIVRGKLESVGEDGISAPLYEGSVCGEELLTWCLEHPLTSKGCRKARIPRQKLVSNRRVICLTNVEAFSLRAADLEEVTGLFARFFRSPRVRGAIRYESPYWRCFAATSIQVAWKYRMKCLRRSKTA >Vigun04g173400.1.v1.2 pep primary_assembly:ASM411807v1:4:39761500:39762710:1 gene:Vigun04g173400.v1.2 transcript:Vigun04g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIQQLPLLVRRLEGKVALITGGAGGIGACMAKLFCKHGAKVVLADIRDNLGEALQREIGTEYATYVHCDVTKEIDVENAVNTAVSKHGKLDIMVNNAAIIDDGKASILDNDVTDFERVVRVNLVGPFLGTKHAARVMIPAKKGSIITLGSVSSSVGGVATHAYTSSKHAIVGLAKNAAAELGKFGIRVNSLSCYCIHNALAEGFFKLDDEGFSKVYSNLKGVALTEEDVAEAALYLGSDESKYISGHNLAVDGGFTTINPSFGLFSQSSL >Vigun11g215901.1.v1.2 pep primary_assembly:ASM411807v1:11:40982921:40988172:1 gene:Vigun11g215901.v1.2 transcript:Vigun11g215901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFTQCSEKLGYLILQLAKNFIVYMAMVLACNGLHFLLKPYSQPRITSDIVVGLVLGNIPFLRKLFDEFNRAFGFIIDFGMMCYMFALGIEMDPYVLFKRPNKDALVAYCAIFSTFAISITTIPFLHFFSRYTGIAFTISISALLASSASPVLTRLITNLKIGKSDIGKLVIAAGMHSDLICLLVFSLCYIFMPTDSYCIGHHRDRTLKSDVKAIIAVVVQTSFTAVVSPVFLAWVNNENPEGRPMKGSHLVLSVAFMALICAPSTLYDFSPVLSAFMTGICLPRDGRVSKWIISKINYILSTIFFPIFFLWMGNAADVTKFRPGDPFTWLRFFLPLLIVVSGKVIGTLISGAILGFNWRESVLIGMLLVTKGHFQIYMAIKVLTCHPSTDSADSGLLSVAAIFFAVVHSPVIVAQIIRRARKRAPTHTNALQLLKPSSELRIFLCLHGLDSVPASINFMEISRGISDPGLVIYVAEIIELTEHIASTMESGEGVQSNTIKDKAVIEMREQITNMFQAYIDTDGDGITLKRAMALSTINNMAQNICVLAENLMAALIILPFHRNQRQDGKFDTGNPGFRYVNRKLLKNSPCSVGILVNRGFGSIGKISRTEPSVKVAAIFIGGRDDREALCYVGRVAWHKGVHVTVIRFLVDTSAESSRLAAYRVTLPEQEQEMGLDDECFAQFYEQHIVGGRISYLEKHLANASETFSTLRSFEGEYSLVIVGREGGANSILTKGMNDWQQCPELGPIGDVLSGPDFSKSVSVLIIQQHKLRGELAGLDEDFTIM >Vigun11g215901.3.v1.2 pep primary_assembly:ASM411807v1:11:40982921:40988069:1 gene:Vigun11g215901.v1.2 transcript:Vigun11g215901.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFTQCSEKLGYLILQLAKNFIVYMAMVLACNGLHFLLKPYSQPRITSDIVVGLVLGNIPFLRKLFDEFNRAFGFIIDFGMMCYMFALGIEMDPYVLFKRPNKDALVAYCAIFSTFAISITTIPFLHFFSRYTGIAFTISISALLASSASPVLTRLITNLKIGKSDIGKLVIAAGMHSDLICLLVFSLCYIFMPTDSYCIGHHRDRTLKSDVKAIIAVVVQTSFTAVVSPVFLAWVNNENPEGRPMKGSHLVLSVAFMALICAPSTLYDFSPVLSAFMTGICLPRDGRVSKWIISKINYILSTIFFPIFFLWMGNAADVTKFRPGDPFTWLRFFLPLLIVVSGKVIGTLISGAILGFNWRESVLIGMLLVTKGHFQIYMAIKVLTCHPSTDSADSGLLSVAAIFFAVVHSPVIVAQIIRRARKRAPTHTNALQLLKPSSELRIFLCLHGLDSVPASINFMEISRGISDPGLVIYVAEIIELTEHIASTMESGEGVQSNTIKDKAVIEMREQITNMFQAYIDTDGDGITLKRAMALSTINNMAQNICVLAENLMAALIILPFHRNQRQDGKFDTGNPGFRYVNRKLLKNSPCSVGILVNRGFGSIGKISRTEPSVKVAAIFIGGRDDREALCYVGRVAWHKGVHVTVIRFLVDTSAESSRLAAYRVTLPEQEQEMGLDDECFAQFYEQHIVGGRISYLEKHLANASETFSTLRSFEGEYSLVIVGREGGANSILTKGMNDWQQCPELGPIGDVLSGPDFSKSVSVLIIQQHKLRGELAGLDEDFTIM >Vigun11g215901.2.v1.2 pep primary_assembly:ASM411807v1:11:40982921:40988172:1 gene:Vigun11g215901.v1.2 transcript:Vigun11g215901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFTQCSEKLGYLILQLAKNFIVYMAMVLACNGLHFLLKPYSQPRITSDIVVGLVLGNIPFLRKLFDEFNRAFGFIIDFGMMCYMFALGIEMDPYVLFKRPNKDALVAYCAIFSTFAISITTIPFLHFFSRYTGIAFTISISALLASSASPVLTRLITNLKIGKSDIGKLVIAAGMHSDLICLLVFSLCYIFMPTDSYCIGHHRDRTLKSDVKAIIAVVVQTSFTAVVSPVFLAWVNNENPEGRPMKGSHLVLSVAFMALICAPSTLYDFSPVLSAFMTGICLPRDGRVSKWIISKINYILSTIFFPIFFLWMGNAADVTKFRPGDPFTWLRFFLPLLIVVSGKVIGTLISGAILGFNWRESVLIGMLLVTKGHFQIYMAIKVLTCHPSTDSADSGLLSVAAIFFAVVHSPVIVAQIIRRARKRAPTHTNALQLLKPSSELRIFLCLHGLDSVPASINFMEISRGISDPGLVIYVAEIIELTEHIASTMESGEGVQSNTIKDKAVIEMREQITNMFQAYIDTDGDGITLKRAMALSTINNMAQNICVLAENLMAALIILPFHRNQRQDGKFDTGNPGFRYVNRKLLKNSPCSVGILVNRGFGSIGKISRTEPSVKVAAIFIGGRDDREALCYVGRVAWHKGVHVTVIRFLVDTSAESSRLAAYRVTLPEQEQEMGLDDECFAQFYEQHIVGGRISYLEKHLANASETFSTLRSFEGEYSLVIVGREGGANSILTKGMNDWQQCPELGPIGDVLSGPDFSKSVSVLIIQQHKLRGELAGLDEDFTIM >Vigun02g059600.1.v1.2 pep primary_assembly:ASM411807v1:2:20497397:20499922:1 gene:Vigun02g059600.v1.2 transcript:Vigun02g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQINLVHRLLIASVLFLLATLVLSFPFEPPGNVRQWHMTSDVKEVAGKSYDYIIVGGGTCGCPLAATLSEKFSVLLVERGGSPYGNPLVIERRNYGIPLLETDKYMSVAQSFMSQDGVGNVRGRVLGGSSAINGGFYSRASEEFVCRAGWDKKLVKEAYEWVESKVVFPPFYLSPWQSVAEFSILEAGVLPYNGFSLEHIKGTKISGSVFDEFGKRHTSADLLNAGNPKNLTVLINATVKRILFHHNSYRNETRAKGISFMQSNGTLDETHEAYIKEVQNSSSRGDVILAAGALGSPQLLLLSGIGPKEQLIKFNISLVRDIEGVGKGMQDNPCIAVLVDSKPQNRLPDPPQIAGITDDYKIIVEASILPLSSNSTRVNVAAKIAMPTSKGVLHLNNTDPRLNPSVRFNYLASENDMEECVKMTKLLDRIARSKSIAFFLGESRQEKLTSADVDIRNFCKKNVRTIYHYHGGCTVGSVVDEHYRVYGIKGLRVLDGSTFSESPGTNPMATLLMLGRYQGLKILSERNAASDSNAKDKTK >Vigun05g297000.1.v1.2 pep primary_assembly:ASM411807v1:5:48170213:48178342:1 gene:Vigun05g297000.v1.2 transcript:Vigun05g297000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPASNPLLGSLPKAGGFPPLGAHGPFQPTPTPVPTPLAGWMSNPTTVAHPAVSGGAIGLGAPSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVLSATFPGHSHAQAFNAPDDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGSRERLLMRNFKVWDLSACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAASGTKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRVLRTLENSLYDTSRTSEAMTKPAINPISAAAAAAAATSAALAERASSVVAITAMNGDARNLGDVKPRISEESNDKSKIWKLTEISEPSQCRPLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATANVQPQLWQPSSGILMTNDITDSNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRESAAPVSHATFSCDSQLIYASFLDATVCVFSASNLRLRCRINPSAYLSASVSSNVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEGKWGVPPPNENGSTSNIAATSVGASSDEAQR >Vigun05g297000.4.v1.2 pep primary_assembly:ASM411807v1:5:48170213:48178342:1 gene:Vigun05g297000.v1.2 transcript:Vigun05g297000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPASNPLLGSLPKAGGFPPLGAHGPFQPTPTPVPTPLAGWMSNPTTVAHPAVSGGAIGLGAPSIPDHVSKRTRPMGISDEVNLPVNVLSATFPGHSHAQAFNAPDDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGSRERLLMRNFKVWDLSACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAASGTKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRVLRTLENSLYDTSRTSEAMTKPAINPISAAAAAAAATSAALAERASSVVAITAMNGDARNLGDVKPRISEESNDKSKIWKLTEISEPSQCRPLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATANVQPQLWQPSSGILMTNDITDSNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRESAAPVSHATFSCDSQLIYASFLDATVCVFSASNLRLRCRINPSAYLSASVSSNVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEGKWGVPPPNENGSTSNIAATSVGASSDEAQR >Vigun05g297000.2.v1.2 pep primary_assembly:ASM411807v1:5:48169793:48178342:1 gene:Vigun05g297000.v1.2 transcript:Vigun05g297000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPASNPLLGSLPKAGGFPPLGAHGPFQPTPTPVPTPLAGWMSNPTTVAHPAVSGGAIGLGAPSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVLSATFPGHSHAQAFNAPDDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGSRERLLMRNFKVWDLSACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAASGTKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRVLRTLENSLYDTSRTSEAMTKPAINPISAAAAAAAATSAALAERASSVVAITAMNGDARNLGDVKPRISEESNDKSKIWKLTEISEPSQCRPLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATANVQPQLWQPSSGILMTNDITDSNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRESAAPVSHATFSCDSQLIYASFLDATVCVFSASNLRLRCRINPSAYLSASVSSNVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEGKWGVPPPNENGSTSNIAATSVGASSDEAQR >Vigun05g297000.3.v1.2 pep primary_assembly:ASM411807v1:5:48169793:48178342:1 gene:Vigun05g297000.v1.2 transcript:Vigun05g297000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPASNPLLGSLPKAGGFPPLGAHGPFQPTPTPVPTPLAGWMSNPTTVAHPAVSGGAIGLGAPSIPDHVSKRTRPMGISDEVNLPVNVLSATFPGHSHAQAFNAPDDLPKTVMRTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEVGSRERLLMRNFKVWDLSACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAASGTKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGALLAVSANENGIKILANGDGIRVLRTLENSLYDTSRTSEAMTKPAINPISAAAAAAAATSAALAERASSVVAITAMNGDARNLGDVKPRISEESNDKSKIWKLTEISEPSQCRPLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATANVQPQLWQPSSGILMTNDITDSNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQLPAGRTPPAQADTRVQFHQDQIRFLVVHETQLAIYEATKLECLKQWFPRESAAPVSHATFSCDSQLIYASFLDATVCVFSASNLRLRCRINPSAYLSASVSSNVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEGKWGVPPPNENGSTSNIAATSVGASSDEAQR >Vigun01g019500.1.v1.2 pep primary_assembly:ASM411807v1:1:2079773:2081566:-1 gene:Vigun01g019500.v1.2 transcript:Vigun01g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSIGLGFKTPREAVEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAKKAFTGM >Vigun06g237900.3.v1.2 pep primary_assembly:ASM411807v1:6:34254056:34257153:-1 gene:Vigun06g237900.v1.2 transcript:Vigun06g237900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIHGGGNGNGCYFHFPPPPSPVRSSSSSIPPDRERYFAELLAERQKLVPFVQILPQCTKLLTQEIRRMSGFNQGFIDHERLEPDSPFRPLGQHPNTRPMELEGWPALPIEDKANLQRMASFQAPPMGWPGTQGVPSTPVVKRVIRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLKEPLHVLVEAEFPEDIINSRLDHAVAILENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSTGMKRAKTGR >Vigun06g237900.1.v1.2 pep primary_assembly:ASM411807v1:6:34254056:34257153:-1 gene:Vigun06g237900.v1.2 transcript:Vigun06g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIHGGGNGNGCYFHFPPPPSPVRSSSSSIPPDRERYFAELLAERQKLVPFVQILPQCTKLLTQEIRRMSGFNQGFIDHERLEPDSPFRPLGQHPNTRPMELEGWPALPIEDKANLQRMASFQAPPMGWPGTQGVPSTPVVKRVIRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKVKLEEKLKDKPGYEHLKEPLHVLVEAEFPEDIINSRLDHAVAILENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSTGMKRAKTGR >Vigun06g237900.2.v1.2 pep primary_assembly:ASM411807v1:6:34254056:34257153:-1 gene:Vigun06g237900.v1.2 transcript:Vigun06g237900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIHGGGNGNGCYFHFPPPPSPVRSSSSSIPPDRERYFAELLAERQKLVPFVQILPQCTKLLTQEIRRMSGFNQGFIDHERLEPDSPFRPLGQHPNTRPMELEGWPALPIEDKANLQRMASFQAPPMGWPGTQGVPSTPVVKRVIRLDVPVDKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLKEPLHVLVEAEFPEDIINSRLDHAVAILENLLKPVDESLDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNSTGMKRAKTGR >Vigun01g211200.1.v1.2 pep primary_assembly:ASM411807v1:1:38554952:38562335:1 gene:Vigun01g211200.v1.2 transcript:Vigun01g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHADPGPIPSRSINPLLSLLFSNSQTIKPQTPSSFSSSILSHFAVSNPTVRLPNQSNSKYESFHSNTMSYRPTANSRTELRRNRYKVAVDADEGRRRREDTMVEIRKNRREESLQKKRREGLQSQQIPASAHSTAIEKKLEHLPAMVAGIWTNDNNMQFETTTQFRKMLSIERSPPIEEVIQAGVVPRFVEFLMREDFPMLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALANLIHSNDEEVLTDACWALSYLSDGTNDKIQGVIETGVCSRLVELLTHTSPSVLIPALRTVGNIVTGDDLQTQIIINHQALSRLLNLLANNYKKSIKKEACWTISNITAGNKQQIQDVIEAGLIAPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIKFLVNQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNVSNTDGVNIYGQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWTEEDDETVPPGDASQSGFNFGGHEFPSVPSGGFNFN >Vigun10g193600.1.v1.2 pep primary_assembly:ASM411807v1:10:40774785:40777986:1 gene:Vigun10g193600.v1.2 transcript:Vigun10g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLNRRSTTSSRILCFSTSNSDSNSNSNSNSPFTPLFRDIKDSLKQPSSSFSNPRSQQPSTDKILKNLSEFRAKTSPPPPGDPSQQQNQFSFQEIYQRRNMQGSPSRSGQSPLLNMDSIRESLRKVSGAQTSQRQGNLGVIGGTSALPQAIFGKEMRKEGTESTAMFSAGFLKTYSVEDLGRKLRTLRPEGKEKDWFSVRELSERLTRLRKMEEEQARSNPRDAALNVIRGCLVEMNDERTLAAKKASMQGFSILGHLSGTSTYSLEPPKPDLVEKYFHPDNMSSAEKMKIELTKVRDEFKMSESDCGSARVQIAQLTTKIKHLSAVLHKKDVHSRKGLVAMVQRRKRLLKYLRRTDWDSYCFVISKLGLRDNPEHSYRSRTSMAT >Vigun03g432700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63683429:63684920:1 gene:Vigun03g432700.v1.2 transcript:Vigun03g432700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTVFLLNLGFQGPYHFLDARAKQYSVPVRSLKCKVTTDSFHSLRIEKVCKVTKLYQKKHNLSGGYIYYIHSKVSIAEKHIFCLEVGDQEEAAVMGKVQGFSLLSLGGCFDGCRDHTQGSGYGTRIWNLSDRPVELQIRVGSILKKIHTLKPGSSKRLKCKSIYKAYMPGSGRSGSVGGSLKSLLYYYDETCHPYIWIHDTGCHSLRMAKQQYISLEDLRDSSEIKVFWDHQKGSISVRKRTRPDFC >Vigun09g230800.1.v1.2 pep primary_assembly:ASM411807v1:9:40250625:40254579:-1 gene:Vigun09g230800.v1.2 transcript:Vigun09g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGDSGGCGGGGGGSGGNKKTQSEVALALPHPVKEQLPDVQYCINSPPPWQQAVLLGFQHYILTLGVTVLIPTTIVTEMGGGHAEKAKVIQNLLFVSGASTLLQSWFGTRLPTVVVGSYSFLIPTMSIVHAKRYSSYTDPYERFTHTIRGIQGALIVSACFHIVVGFLGLWRGAVRFLSPLSVVSYVTFTGLGLYHLGFPMLAECVEVGLPALFVFVFISQYLNRFISANKLIFGRFAVLFSIASAWLVAQILTASTIYNNKPGDTGNSCRTDRSGLVSSSTWVYLPFVPFQWGVPTFNFGEALAMTAASFVSLFESTGAFYAAARYGSATPVPPHVIGRGAGWVGVASFFSGVLGSVTGCTASVENAGLLALTRAGSRRVIQISAGFMIFFSIFGKVGAFFASIPLPIIAALNCVLLGYVSSAGLGFLQFCNLNSFRTKFVLGSSFFLGLSIPQYFIEIFHVKHQHGWFNDMVSVIFMSHATVAALIALILDTTLTCENDAARKDSGLQWWEKFSVYNADGRNDDFYRLPCRLNDFFPAI >Vigun09g074600.4.v1.2 pep primary_assembly:ASM411807v1:9:8398427:8401728:-1 gene:Vigun09g074600.v1.2 transcript:Vigun09g074600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHVVLSVVDPLLAAPSTLQPELGGDDRDSLVEGATHVNDVGECDTLEEQEQEHEKDLTLMVECRICQEDDTLQNLDIPCGCNGTLKFAHRRCVQLWCYEKGDTICEICHQPFKPGYSATKTVYHPGDTSIDISDDWESSSIPLDLHNSRLLAIAAVEHQVPEIREGYAYAAGTSGITLWHSVGLIIQDALENFLQI >Vigun09g074600.3.v1.2 pep primary_assembly:ASM411807v1:9:8392976:8401966:-1 gene:Vigun09g074600.v1.2 transcript:Vigun09g074600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHVVLSVVDPLLAAPSTLQPELGGDDRDSLVEGATHVNDVGECDTLEEQEQEHEKDLTLMVECRICQEDDTLQNLDIPCGCNGTLKFAHRRCVQLWCYEKGDTICEICHQPFKPGYSATKTVYHPGDTSIDISDDWESSSIPLDLHNSRLLAIAAVEHQVPEIREGYAYAAGTSGITLWHSVGLILMALLLLRHVAPLFNADVEKVLAYFYQAPEELAFMLQADEQG >Vigun09g074600.5.v1.2 pep primary_assembly:ASM411807v1:9:8392976:8401966:-1 gene:Vigun09g074600.v1.2 transcript:Vigun09g074600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQAAMKADQSVKPFKPGYSATKTVYHPGDTSIDISDDWESSSIPLDLHNSRLLAIAAVEHQVPEIREGYAYAAGTSGITLWHSVGLILMALLLLRHVAPLFNADVEKVLAYFYFFFLRAAAVVLPWYLMAWIIRVIQHQRQRQQAPEELAFMLQADEQG >Vigun09g074600.2.v1.2 pep primary_assembly:ASM411807v1:9:8392976:8401966:-1 gene:Vigun09g074600.v1.2 transcript:Vigun09g074600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHVVLSVVDPLLAAPSTLQPELGGDDRDSLVEGATHVNDVGECDTLEEQEQEHEKDLTLMVECRICQEDDTLQNLDIPCGCNGTLKFAHRRCVQLWCYEKGDTICEICHQPFKPGYSATKTVYHPGDTSIDISDDWESSSIPLDLHNSRLLAIAAVEHQVPEIREGYAYAAGTSGITLWHSVGLILMALLLLRHVAPLFNADVEKVLAYFYFFFLRAAAVVLPWYLMAWIIRVIQHQRQRQQAPEELAFMLQADEQG >Vigun09g074600.1.v1.2 pep primary_assembly:ASM411807v1:9:8392976:8401966:-1 gene:Vigun09g074600.v1.2 transcript:Vigun09g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHVVLSVVDPLLAAPSTLQPELGGDDRDSLVEGATHVNDVGECDTLEEQEQEHEKDLTLMVECRICQEDDTLQNLDIPCGCNGTLKFAHRRCVQLWCYEKGDTICEICHQPFKPGYSATKTVYHPGDTSIDISDDWESSSIPLDLHNSRLLAIAAVEHQVPEIREGYAYAAGTSGITLWHSVGLILMALLLLRHVAPLFNADVEKVLAYFYFFFLRAAAVVLPWYLMAWIIRVIQHQSKHLKNLHLCYKQTNKVSSLQYHQDLFFTD >Vigun10g071400.4.v1.2 pep primary_assembly:ASM411807v1:10:17635029:17636418:-1 gene:Vigun10g071400.v1.2 transcript:Vigun10g071400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLRHAATEFHDTIFCVRLTQYQTRGSHLDLNAKFGTLIRSKHINQVVLSGPNTAVVFKLLISDSPRSTKIGKGWKEFCNQHQFKERDRVLFQVDHADADEFITVFVNKCLCDD >Vigun10g071400.3.v1.2 pep primary_assembly:ASM411807v1:10:17635029:17636418:-1 gene:Vigun10g071400.v1.2 transcript:Vigun10g071400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLRHAATEFHDTIFCVRLTQYQTRGSHLDLNAKFGTLIRSKHINQVVLSGPNTAVVFKLLISDSPRSTKIGKGWKEFCNQHQFKERDRVLFQVDHADADEFITVFVNKCLCDD >Vigun10g071400.2.v1.2 pep primary_assembly:ASM411807v1:10:17635029:17636418:-1 gene:Vigun10g071400.v1.2 transcript:Vigun10g071400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLRHAATEFHDTIFCVRLTQYQTRGSHLDLNAKFGTLIRSKHINQVVLSGPNTAVVFKLLISDSPRSTKIGKGWKEFCNQHQFKERDRVLFQVDHADADEFITVFVNKCLCDD >Vigun10g071400.1.v1.2 pep primary_assembly:ASM411807v1:10:17635029:17636418:-1 gene:Vigun10g071400.v1.2 transcript:Vigun10g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHFAIRFDNCSELSMQRYLRHAATEFHDTIFCVRLTQYQTRGSHLDLNAKFGTLIRSKHINQVVLSGPNTAVVFKLLISDSPRSTKIGKGWKEFCNQHQFKERDRVLFQVDHADADEFITVFVNKCLCDD >Vigun11g141400.1.v1.2 pep primary_assembly:ASM411807v1:11:35080707:35087471:1 gene:Vigun11g141400.v1.2 transcript:Vigun11g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRVTSMKDWLFFDKNFNGLSNDILDDVMDIELFDFPLEDVETDDGAEQDWNAHFKFLEEPSLGIFPVQPSQHCAHTQNENVKVERGFSVSTAKKAGPTYNKNVPIQKDLHQFQSNSPVSVFESSSSSSCVENSNLELPVIPTKRPRSKRRPLSNITLLYSIPFIFTSPAFQKSDFETHPFEKFSNVVRKQRKKNIPMVGNKTEMKRSSSEEFVAVRKCSHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFAEYRPAASPTFVASLHSNSHKKVLEIRNRDTHVTVR >Vigun11g141400.2.v1.2 pep primary_assembly:ASM411807v1:11:35080707:35087488:1 gene:Vigun11g141400.v1.2 transcript:Vigun11g141400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWLFFDKNFNGLSNDILDDVMDIELFDFPLEDVETDDGAEQDWNAHFKFLEEPSLGIFPVQPSQHCAHTQNENVKVERGFSVSTAKKAGPTYNKNVPIQKDLHQFQSNSPVSVFESSSSSSCVENSNLELPVIPTKRPRSKRRPLSNITLLYSIPFIFTSPAFQKSDFETHPFEKFSNVVRKQRKKNIPMVGNKTEMKRSSSEEFVAVRKCSHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFAEYRPAASPTFVASLHSNSHKKVLEIRNRDTHVTVR >Vigun11g141400.3.v1.2 pep primary_assembly:ASM411807v1:11:35080707:35087488:1 gene:Vigun11g141400.v1.2 transcript:Vigun11g141400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWLFFDKNFNGLSNDILDDVMDIELFDFPLEDVETDDGAEQDWNAHFKFLEEPSLGIFPVQPSQHCAHTQNENVKVERGFSVSTAKKAGPTYNKNVPIQKDLHQFQSNSPVSVFESSSSSSCVENSNLELPVIPTKRPRSKRRPLSNITLLYSIPFIFTSPAFQKSDFETHPFEKFSNVVRKQRKKNIPMVGNKTEMKRSSSEEFVAVRKCSHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFAEYRPAASPTFVASLHSNSHKKVLEIRNRDTHVTVR >Vigun08g056600.3.v1.2 pep primary_assembly:ASM411807v1:8:7049131:7053138:1 gene:Vigun08g056600.v1.2 transcript:Vigun08g056600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEPSKNNNNGSSSASALNNNNNSPESLQEESSYFRYVSSLSPINIKASHGTQSFIGLSSPPLVFKSPRISHRQRQFMQRTQEVRSQSENGEAPEYYWKSNFHQPLLERFIVDTQKVFDPNIDANTQHYDGNLSIYKYLADPGEIDQMYSADQDVEQQSTVAAETSLSYPTQSNILNIGTKDGLSDKESLPLSEDSGKVHRQIPVYGEAPEKMERELSDAEKRYTHDPLLPQATLKYHDVGRRRLQFEEGASSAIGSNKSHEKLNATSNNVKRIELVESVASTLYPHQCCGNLPLPTIQAATTSVRLPDSAAGMNMKRSLLKESSVEDRIESHEVDATGAGNSEEFNESPSPGKKKKKTSIPANQGGCRRCNCKKSKCIKLYCECFNTGVYCIGTCGCEDCYNRPQYEGMIMECRRQIESRNQENSARLKRGCRCKRSTCLKKYCECYQAKVGCSSGCQCQGCKNSYGKKEDYVASQHTSSTEMVTSETDYDLRILTPIAPPLQCSENADTRSFPLV >Vigun08g056600.2.v1.2 pep primary_assembly:ASM411807v1:8:7049131:7053138:1 gene:Vigun08g056600.v1.2 transcript:Vigun08g056600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEPSKNNNNGSSSASALNNNNNSPESLQEESSYFRYVSSLSPINIKASHGTQSFIGLSSPPLVFKSPRISHRQRQFMQRTQEVRSQSENGEAPEYYWKSNFHQPLLERFIVDTQKVFDPNIDANTQHYDGNLSIYKYLADPGEIDQMYSADQDVEQQSTVAAETSLSYPTQSNILNIGTKDGLSDKESLPLSEDSGKVHRQIPVYGEAPEKMERELSDAEKRYTHDPLLPQATLKYHDVGRRRLQFEEGASSAIGSNKSHEKLNATSNNVKRIELVESVASTLYPHQCCGNLPLPTIQAATTSVRLPDSAAGMNMKRSLLKESSVEDRIESHEVDATGAGNSEEFNESPSPGKKKKKTSIPANQGGCRRCNCKKSKCIKLYCECFNTGVYCIGTCGCEDCYNRPQYEGMIMECRRQIESRNQENSARLKRGCRCKRSTCLKKYCECYQAKVGCSSGCQCQGCKNSYGKKEDYVASQHTSSTEMVTSETDYDLRILTPIAPPLQCSDKGKEAAESRVISGKYLMPTCFHLVQTSLNLLKMSTATIISGKLFLLPDSHHLHNTYMVLDQIARSLT >Vigun02g135100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28504662:28506179:-1 gene:Vigun02g135100.v1.2 transcript:Vigun02g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMVASKPHAVLVASPGMGHIIPMVELGKRLLTHHSFHVTIFVVTTDSATTTSQILRQTSNLSSLNIVHVPPIDVSNKLPSNPPLAVRIKLTMLESLPFLRSSVTSNNKFPPPSALIVDIFGLQALPMARDLGMLTYVYFATSAWFSAVTVYFSDTDKKIMETHAESREPLSIPGCTPVRFGDTLEPFLSPGEAMYESYLGSAKQIVAADGILMNTWQDLEPAATKALRENGVLGRFTKGEVYAVGPLVRSAEKKPDGGKKVGVLQWLDEQPAESVIYVSFGSGGTMSANQMMEVALGLELSQQRFVWVVRPPCEDDSSGAFFDVASGVDAAMSYLPEGFVKRTEGMGVVVPMWAPQAEILQHPATGGFVTHCGWNSVLESVRNGVPMVAWPLYAEQKMNAFMVSEELGVAVRVAEEGGGVVGRKQVAEVVRRVMVDEEGVGMRKKVKELKLSGEKALCMFGSSHHSLCEMRKECEVHVQASDAKLPSACHFTSSIFQSSISM >Vigun11g050800.2.v1.2 pep primary_assembly:ASM411807v1:11:8561623:8565345:-1 gene:Vigun11g050800.v1.2 transcript:Vigun11g050800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSTLASLTSFLCLCTFCVNAVIHRQILKTGESLRTSDSVVSGNGKFELCFFGRVRDNSFRDNSTKYYVGIRYKRVLNDKKKIVWVANRDYAFETSSAVLTFHIDGSFVIKDGRGIYFLSKLSNNFKTYGMLLDSGNLILLKTSNNEILWQSFDHPTDTLLPGMKLGYYEGNIWSLRSWTSADDPAPGAFSLQYDYGKANLIINNGSNVFWIDDSYNDTIGNVIRQSDLNKSHPTNDSYFALRVGSDSRLVLEVSGELNLEYWSDKEQRWVSNSSKCGTNNWCGAFSICNPEALDPCDCLNGFRPFDADSWSKGDKSAGCVRKKELSFCSNGVESNDTFNQLYDVNSVSASNWTIKIGTARECERTCSKNCSCVAFSYYANGNCQLWFGSLLNLKNVSTEVISFDNSNPIIFLRVAASELDTSDSNTTRAKEGGYTVSKNLLLIVILISSLAFLVVGLLVYWIRNKRRKGEDLLHFDISMSMKVEDSELTESDRSAKVKKEVKLPLFSFESVAAATNNFSDANKLDATKKRMLDWGTRVRIIDGIAQGILYLHQYSRFRIIHRDLKASNILLDSNMNPKISDFGIAKIFGDNELEANTNRIVGTYGYMAPEYALEGLFSVKSDVFSFGVLLLEIISGKKNIGFYQTSSFNLLGYAWDLWTRNSGVDLMDSALDESDTLCNVSRYVNIGLLCVQESPEDRPTMSDVASMIGNDTVPLPSPKPPAFQKLRGDDSSTLPSTSTERLSVNVITETIVEAR >Vigun11g050800.1.v1.2 pep primary_assembly:ASM411807v1:11:8561515:8565433:-1 gene:Vigun11g050800.v1.2 transcript:Vigun11g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSTLASLTSFLCLCTFCVNAVIHRQILKTGESLRTSDSVVSGNGKFELCFFGRVRDNSFRDNSTKYYVGIRYKRVLNDKKKIVWVANRDYAFETSSAVLTFHIDGSFVIKDGRGIYFLSKLSNNFKTYGMLLDSGNLILLKTSNNEILWQSFDHPTDTLLPGMKLGYYEGNIWSLRSWTSADDPAPGAFSLQYDYGKANLIINNGSNVFWIDDSYNDTIGNVIRQSDLNKSHPTNDSYFALRVGSDSRLVLEVSGELNLEYWSDKEQRWVSNSSKCGTNNWCGAFSICNPEALDPCDCLNGFRPFDADSWSKGDKSAGCVRKKELSFCSNGVESNDTFNQLYDVNSVSASNWTIKIGTARECERTCSKNCSCVAFSYYANGNCQLWFGSLLNLKNVSTEVISFDNSNPIIFLRVAASELDTSDSNTTRAKEGGYTVSKNLLLIVILISSLAFLVVGLLVYWIRNKRRKGEDLLHFDISMSMKVEDSELTESDRSAKVKKEVKLPLFSFESVAAATNNFSDANKLGEGGFGPVYKGTLFNGDEVAVKRLSRRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIDRNEKMLIYEFMPNKSLDLFLFDATKKRMLDWGTRVRIIDGIAQGILYLHQYSRFRIIHRDLKASNILLDSNMNPKISDFGIAKIFGDNELEANTNRIVGTYGYMAPEYALEGLFSVKSDVFSFGVLLLEIISGKKNIGFYQTSSFNLLGYAWDLWTRNSGVDLMDSALDESDTLCNVSRYVNIGLLCVQESPEDRPTMSDVASMIGNDTVPLPSPKPPAFQKLRGDDSSTLPSTSTERLSVNVITETIVEAR >Vigun05g007600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:657409:658941:-1 gene:Vigun05g007600.v1.2 transcript:Vigun05g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEYHAKSTTNTPPLKLFGININKSPEDQTHDHEAGSDSEPFIARKYECQYCFREFANSQALGGHQNAHKKERQLLKRAQMQAAHGFVASHVHNTIISTFSPQSQPPLSWLCTPHAWSGGGAYDSGVAFVTPGRRVYATAGASITGGLGHRNRNPPPEIGGFNSHSFNGGGGLDLHLSL >Vigun10g115050.1.v1.2 pep primary_assembly:ASM411807v1:10:31894113:31900544:-1 gene:Vigun10g115050.v1.2 transcript:Vigun10g115050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSKKPVSAFREVIQAPKKDKQMKSDSTKNIDTEILANHKKKEREATKQGKRPFYLKKSEIRKQRPIEKYNQLKSSGKLEAFVEKRRRRNAAKDHRYMPYRRSGDAE >Vigun02g028243.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10316134:10316436:1 gene:Vigun02g028243.v1.2 transcript:Vigun02g028243.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTTIITTTTNITTHTTTNSATATTTTITISSATSSTTTTATTTTTTITTTSSKTTITLTTTTTKTTTTTTTITITTTTSTKATTTNEGLSCFLLEL >VigunL059238.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:6348:7547:1 gene:VigunL059238.v1.2 transcript:VigunL059238.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLRTQNFRAPRISWYSFGDIWRPFQPSHLKNHEFHFFTNLPTKPSSYAAVHRPTWPCAKPSELKTFVHQEFHGIHLGTFGGLSNPHISKTTNFIFSQNSPPSHLAMPWCTANLGHVQNPPNSKLSCTKTFMVFIWGHLEAFPTLTSQKPRIHFFTKLPTKPFSYAVVHRQPWPCAKPSELKTFVHQDFHGIHLGAFGGLSNPHISKTTNFIFSQNSPPSHLAMPRCTDNLGHVQNPTNSKLSCTKTFMVFIWGHLEANPTLTTQKPRISFFHKTPTKPFSYAVVHRQPWPCAKPNQLKTFVHQDFHGIHLGAFGGHSNPHISKTTNFIFSQNSPPSHLAMPWCTANLGHVQNPPNSKLSCTKTFMVFIWGHLEAFPTLTSQKPRISFFHKTPHQAI >Vigun03g104100.1.v1.2 pep primary_assembly:ASM411807v1:3:9012429:9023386:1 gene:Vigun03g104100.v1.2 transcript:Vigun03g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEACSEGEDNRRRGGTDDDSNENNNNKIVNSNEGQSKPKRQMKTPFQLETLEKAYAVDNYPSETMRGELSEKLGLSDRQLQMWFCHRRLKDKKDLTSKKLPRKMVAEPLPESPTDDPMLGPSELGNEYGSGSGSGSSPYARVEPLNIVPRGVPGYYESPRAMMENRAIACVEAQLGEPLREDGPILGVEFDPLPPDAFGAPLAATEQQKLPNFAYDSKIYERHDSRANKAMARTFRDYRSLPSQSGPRSDTPGPFSKSHLHDAMEGPARNPHLALGNETVPRIRPSQGQFRARLLSQQDKQLIPYQSPTRGDNTAPIREFDPSILNVGTSSHFADHQTVVPENHHAQPSGQVLHNNNATRIEKKRKGDDVRDVEAHEMKIRKEIEKQDNLRRKNEERMRKEIEKQDRERKKEEERLMRERQREEERAKREQKREIERREKFLLKENLKAEKMKIREELRKEKEAERRKAALEKATARRIAKESMELIEDEQLEMMELAATSKGFSSIIHLDFDTLQHIESFRDSLCVFPPKSVKLRKPFAIKPWINSEKNVGNLLMVWRFLITFADVLELWSFTLDEFVQAFHDYDSRLLGEIHVALLKVVIKDIEDVARTPSTGLGSNQNGAANSGGGHPEIVEGAYAWGFDIRNWHKHLNLLTWPEIFRQLALSAGYGPQLKKRSATCSYANNKDEGRSCEDIISKLRNGSAAENAVAKMQERGLLAPRRSRHRLTPGTVKFAAFHVLSLEGDKGLTVLELAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYCVRQAFRKNPADAESILSEARKKIQIFENGFLAEEDTDDVEREESESDEIDEDPEVDDLVNSSSANKTSEPCDDFSSNGKENLGHDVGLQGEFDKDLPRFPESSSKKVDTPIAVTGKPGACEDLNVGNLGEDNMEIDESKPGESWVQGLAEGEYSDLSVEERLNALVVLVGVANEGNSIRVVLEDRLEAANALKKQMWAEAQLDKFRLKDDIFSKSDFPSLNGNKVEVQYSCPAMEGNQSPSLLGINIGNNSNNVPSPSTAENQKAALGVQSLSVEKHSSVQDLCTGGPDNPQIQTFAQYSKRSRSQLKSYIFHIAEEMCAYRSLPLGQDRRRNRYWQFVASASSNDPGSGRIFVEFLDGNWRLIDTEEAFDALLNSLDSRGVRESHLRLMLQKVEGSFKENVRNNTQCSKAGSRGETCVKNEADETDSSPDRHTGSDSPSSTLCGLNSDSLETSSSFKIELGKSESDKKSALRRYQDFQKWTWKECYNSSKLCAMKYGKKRCKPQVVVCDICLNPYFFEDSHCSCCHRTFPSNNGFNFSKHAFQCGDKLSKEICILDSSLPLRTRLLKALLAYIEVSIPPEAFQSNWIEDIRRHWSVKLSKSSSVEELLQILALLERALKRDFLSSTFSTTGEQLGLNTMSESAAQTSADPESVAVLPWVPLTTSAVSLRLFEFDESIVYVLHEKPEPREEKEDRQYIKLPSRHNASKSIKVAETVDMGHDEFMKVKSRTVKIVQSNNKRGRGSALKGRSKSISKTKQNNGRRHSAKVAGNLNQRVKQQGGGSQGRGRRTVRKRRVGKKAVEDLLLDHRGASRSNNIARESLRNLDEDWDDEKASPMTPIHMGTANVSNSTEEAESDDNVQAVESDDNGQAVESDDNGQAVEYDQGNWEIGFNGNPSRWQGDLVGTSDEDVEASEDDNDNENGIEENEEDSDADVMSEGSDATANRIVNEEESSDSDVSEDSSD >Vigun03g104100.2.v1.2 pep primary_assembly:ASM411807v1:3:9012429:9023386:1 gene:Vigun03g104100.v1.2 transcript:Vigun03g104100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEACSEGEDNRRRGGTDDDSNENNNNKIVNSNEGQSKPKRQMKTPFQLETLEKAYAVDNYPSETMRGELSEKLGLSDRQLQMWFCHRRLKDKKDLTSKKLPRKMVAEPLPESPTDDPMLGPSELGNEYGSGSGSGSSPYARVEPLNIVPRGVPGYYESPRAMMENRAIACVEAQLGEPLREDGPILGVEFDPLPPDAFGAPLATEQQKLPNFAYDSKIYERHDSRANKAMARTFRDYRSLPSQSGPRSDTPGPFSKSHLHDAMEGPARNPHLALGNETVPRIRPSQGQFRARLLSQQDKQLIPYQSPTRGDNTAPIREFDPSILNVGTSSHFADHQTVVPENHHAQPSGQVLHNNNATRIEKKRKGDDVRDVEAHEMKIRKEIEKQDNLRRKNEERMRKEIEKQDRERKKEEERLMRERQREEERAKREQKREIERREKFLLKENLKAEKMKIREELRKEKEAERRKAALEKATARRIAKESMELIEDEQLEMMELAATSKGFSSIIHLDFDTLQHIESFRDSLCVFPPKSVKLRKPFAIKPWINSEKNVGNLLMVWRFLITFADVLELWSFTLDEFVQAFHDYDSRLLGEIHVALLKVVIKDIEDVARTPSTGLGSNQNGAANSGGGHPEIVEGAYAWGFDIRNWHKHLNLLTWPEIFRQLALSAGYGPQLKKRSATCSYANNKDEGRSCEDIISKLRNGSAAENAVAKMQERGLLAPRRSRHRLTPGTVKFAAFHVLSLEGDKGLTVLELAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYCVRQAFRKNPADAESILSEARKKIQIFENGFLAEEDTDDVEREESESDEIDEDPEVDDLVNSSSANKTSEPCDDFSSNGKENLGHDVGLQGEFDKDLPRFPESSSKKVDTPIAVTGKPGACEDLNVGNLGEDNMEIDESKPGESWVQGLAEGEYSDLSVEERLNALVVLVGVANEGNSIRVVLEDRLEAANALKKQMWAEAQLDKFRLKDDIFSKSDFPSLNGNKVEVQYSCPAMEGNQSPSLLGINIGNNSNNVPSPSTAENQKAALGVQSLSVEKHSSVQDLCTGGPDNPQIQTFAQYSKRSRSQLKSYIFHIAEEMCAYRSLPLGQDRRRNRYWQFVASASSNDPGSGRIFVEFLDGNWRLIDTEEAFDALLNSLDSRGVRESHLRLMLQKVEGSFKENVRNNTQCSKAGSRGETCVKNEADETDSSPDRHTGSDSPSSTLCGLNSDSLETSSSFKIELGKSESDKKSALRRYQDFQKWTWKECYNSSKLCAMKYGKKRCKPQVVVCDICLNPYFFEDSHCSCCHRTFPSNNGFNFSKHAFQCGDKLSKEICILDSSLPLRTRLLKALLAYIEVSIPPEAFQSNWIEDIRRHWSVKLSKSSSVEELLQILALLERALKRDFLSSTFSTTGEQLGLNTMSESAAQTSADPESVAVLPWVPLTTSAVSLRLFEFDESIVYVLHEKPEPREEKEDRQYIKLPSRHNASKSIKVAETVDMGHDEFMKVKSRTVKIVQSNNKRGRGSALKGRSKSISKTKQNNGRRHSAKVAGNLNQRVKQQGGGSQGRGRRTVRKRRVGKKAVEDLLLDHRGASRSNNIARESLRNLDEDWDDEKASPMTPIHMGTANVSNSTEEAESDDNVQAVESDDNGQAVESDDNGQAVEYDQGNWEIGFNGNPSRWQGDLVGTSDEDVEASEDDNDNENGIEENEEDSDADVMSEGSDATANRIVNEEESSDSDVSEDSSD >Vigun10g186600.1.v1.2 pep primary_assembly:ASM411807v1:10:40285643:40287375:-1 gene:Vigun10g186600.v1.2 transcript:Vigun10g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTLKLPVIDFTHLKPEVKNSNWETVKSEVHKALVEYGCFEAIFDRVPLDLRKALFGSLQELFDLPLQTKILNVSKKPYHGYVGQYPMIPLFESMGIDDAIVHEKVESMTNIMWPNGNASFSKTIQSFSGQLSELDEIVRKMVLESLGVEKYLEEHMNSTNYLLRVMKYKGPQTSDTKLGLSTHSDKNIVTILYQNEVQGLEVLTKDGKWISHKPSPHTFVVMIGDSLHAWSNGRLHSPFHRVMMSGNEARYSAGLFSIPKGGSIIKAPEELVDEEHPLLFKPFDHVEFLKYYYTEEGQRDQFALHTYCGI >Vigun03g157400.5.v1.2 pep primary_assembly:ASM411807v1:3:16956789:16960600:-1 gene:Vigun03g157400.v1.2 transcript:Vigun03g157400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYGPMGYEYCSKGKRVDTCGNPSWPASLRYTISIRTQRLILQNLLLPSLSKKRGEEGGGIFITKMVKGRQGERVRLYVRGSILGYKRSKSNQYPNTSLIQIENVNSKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun03g157400.6.v1.2 pep primary_assembly:ASM411807v1:3:16958504:16960600:-1 gene:Vigun03g157400.v1.2 transcript:Vigun03g157400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYGPMGYEYCSKGKRVDTCGNPSWPASLRYTISIRTQRLILQNLLLPSLSKKRGEEGGGIFITKMVKGRQGERVRLYVRGSILGYKRSKSNQYPNTSLIQIENVNSKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun03g157400.4.v1.2 pep primary_assembly:ASM411807v1:3:16957842:16960600:-1 gene:Vigun03g157400.v1.2 transcript:Vigun03g157400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYGPMGYEYCSKGKRVDTCGNPSWPASLRYTISIRTQRLILQNLLLPSLSKKRGEEGGGIFITKMVKGRQGERVRLYVRGSILGYKRSKSNQYPNTSLIQIENVNSKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun11g138400.1.v1.2 pep primary_assembly:ASM411807v1:11:34749329:34749750:1 gene:Vigun11g138400.v1.2 transcript:Vigun11g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTIKSTRVAVLMIIMLTFVQMLEADKLCQESCSLQCLGDLNKYFPCFKDCVSKNCQKSTGLSNCAQSCGVNKTITVHIDVGGKLTNVVDSCLENCLKLQ >Vigun04g172500.3.v1.2 pep primary_assembly:ASM411807v1:4:39639470:39645885:1 gene:Vigun04g172500.v1.2 transcript:Vigun04g172500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEITPSLRKAIQESRISIIVFSKNYASSTFCLDELLQIIECHTKQNMLILPVFYDVEPSQVRHQRGSYQEAFAKHEGEKFKDDIEKVQQWRLALRHAANLSGLHFKTGEEYESEIVKRIAEEISTKLNRPPLHIADYPVGLKVQMQQIQQIMGDEFDNKVTMLGIHGMGGIGKSTLSRAMYNLMANQFEASHFLANVREKSEKDGLVHIQETMLSELVGEKIKLGDVHRGIPILQHRLCGKKVLLVLDDISKREQLHATAGGLDWFGPGSIIIITTRDKHLLDVHGVQKQYMVGEINEMEALELFKWNAFKNKEVDPCYKEVTKRAMYYANGLPLALETIGSNLFGKTLDEWESALENYERIPNRDVQEVLRVSYDSLDAYEKEIFLDIACFFRGCSVKYVTYTLEARGFPTKFGLRVLEEKSLIKIRECRHETVTMHDLIRCMGKEIVRQQSALPHKRNRLWFYEDIVCVLEKNKENDKIEAMMLDMPEHQEIQCKPKLFGKMKSLRMLIIKEKVCFLRTPPALPNSLRVLEWQGYPTTSLPRNFHLKNLVILNLSYSYFGWEKPLENSKVLRQLILKGCKNIRRIPDMSGFPNLTELRVGECTNLFEIHDSVGSLLNLKKFCAEGCSKLTIGPSRIKLISLEHLCLRDCSSLVMFPEVLAPMHKLKYVDLVGTGIRNLPLPMQSLDGIQALSLGKGKMLEINESSNFFQTLPIFFPNLTTLYLRDLDITILPASIEEYHSLKYLHVTNCKKLQEIRGLPLSINEFSAANSPVKANSLTSKLRQAIHSAAIRIFVLPGRKIPELFDHSSRGNSLLFWFRKELPSLAVCAIIGVWENVNPPFVARFNFHVRVNNIYKCVSCFSCGNINWTTEDSHIIILNRQKDFQHPLSSDIQRALLTNEWIPGKILLSIEPDNDSNKLGEIKRTGVYVNRTCSRMEDVRFRDPYDLHKASTTENKLVLLSEASDTQQQEQSSPLLNSTTPLESAVGEQPLNYVYNNSDSKESTSPVDSNNQDGVLLDDQPILAPSFAEMQNDEAKRERAPLILEVSSEFTAQSRDYSKVSMKIESTASQSRKVDEVEAGLETQKQFRNSMVLENQIHQRLTMIKKMEEELGDKLGAIKADISAIEANNSSIKANISDIKSIFLQTDLRYNN >Vigun04g172500.2.v1.2 pep primary_assembly:ASM411807v1:4:39641504:39645885:1 gene:Vigun04g172500.v1.2 transcript:Vigun04g172500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQAPISSSSSFTSKYSYDVFLSFRGTDTRFGFTGNLYSALSQRGIFTFIDDEALRMGEEITPSLRKAIQESRISIIVFSKNYASSTFCLDELLQIIECHTKQNMLILPVFYDVEPSQVRHQRGSYQEAFAKHEGEKFKDDIEKVQQWRLALRHAANLSGLHFKTGEEYESEIVKRIAEEISTKLNRPPLHIADYPVGLKVQMQQIQQIMGDEFDNKVTMLGIHGMGGIGKSTLSRAMYNLMANQFEASHFLANVREKSEKDGLVHIQETMLSELVGEKIKLGDVHRGIPILQHRLCGKKVLLVLDDISKREQLHATAGGLDWFGPGSIIIITTRDKHLLDVHGVQKQYMVGEINEMEALELFKWNAFKNKEVDPCYKEVTKRAMYYANGLPLALETIGSNLFGKTLDEWESALENYERIPNRDVQEVLRVSYDSLDAYEKEIFLDIACFFRGCSVKYVTYTLEARGFPTKFGLRVLEEKSLIKIRECRHETVTMHDLIRCMGKEIVRQQSALPHKRNRLWFYEDIVCVLEKNKENDKIEAMMLDMPEHQEIQCKPKLFGKMKSLRMLIIKEKVCFLRTPPALPNSLRVLEWQGYPTTSLPRNFHLKNLVILNLSYSYFGWEKPLENSKVLRQLILKGCKNIRRIPDMSGFPNLTELRVGECTNLFEIHDSVGSLLNLKKFCAEGCSKLTIGPSRIKLISLEHLCLRDCSSLVMFPEVLAPMHKLKYVDLVGTGIRNLPLPMQSLDGIQALSLGKGKMLEINESSNFFQTLPIFFPNLTTLYLRDLDITILPASIEEYHSLKYLHVTNCKKLQEIRGLPLSINEFSAANSPVKANSLTSKLRQAIHSAAIRIFVLPVVVI >Vigun04g172500.4.v1.2 pep primary_assembly:ASM411807v1:4:39639579:39645885:1 gene:Vigun04g172500.v1.2 transcript:Vigun04g172500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEITPSLRKAIQESRISIIVFSKNYASSTFCLDELLQIIECHTKQNMLILPVFYDVEPSQVRHQRGSYQEAFAKHEGEKFKDDIEKVQQWRLALRHAANLSGLHFKTGEEYESEIVKRIAEEISTKLNRPPLHIADYPVGLKVQMQQIQQIMGDEFDNKVTMLGIHGMGGIGKSTLSRAMYNLMANQFEASHFLANVREKSEKDGLVHIQETMLSELVGEKIKLGDVHRGIPILQHRLCGKKVLLVLDDISKREQLHATAGGLDWFGPGSIIIITTRDKHLLDVHGVQKQYMVGEINEMEALELFKWNAFKNKEVDPCYKEVTKRAMYYANGLPLALETIGSNLFGKTLDEWESALENYERIPNRDVQEVLRVSYDSLDAYEKEIFLDIACFFRGCSVKYVTYTLEARGFPTKFGLRVLEEKSLIKIRECRHETVTMHDLIRCMGKEIVRQQSALPHKRNRLWFYEDIVCVLEKNKENDKIEAMMLDMPEHQEIQCKPKLFGKMKSLRMLIIKEKVCFLRTPPALPNSLRVLEWQGYPTTSLPRNFHLKNLVILNLSYSYFGWEKPLENSVLVNAQICLRFMIQLDLYLILKSFVLKDVASSRLVQVA >Vigun04g172500.1.v1.2 pep primary_assembly:ASM411807v1:4:39639469:39645886:1 gene:Vigun04g172500.v1.2 transcript:Vigun04g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEITPSLRKAIQESRISIIVFSKNYASSTFCLDELLQIIECHTKQNMLILPVFYDVEPSQVRHQRGSYQEAFAKHEGEKFKDDIEKVQQWRLALRHAANLSGLHFKTGEEYESEIVKRIAEEISTKLNRPPLHIADYPVGLKVQMQQIQQIMGDEFDNKVTMLGIHGMGGIGKSTLSRAMYNLMANQFEASHFLANVREKSEKDGLVHIQETMLSELVGEKIKLGDVHRGIPILQHRLCGKKVLLVLDDISKREQLHATAGGLDWFGPGSIIIITTRDKHLLDVHGVQKQYMVGEINEMEALELFKWNAFKNKEVDPCYKEVTKRAMYYANGLPLALETIGSNLFGKTLDEWESALENYERIPNRDVQEVLRVSYDSLDAYEKEIFLDIACFFRGCSVKYVTYTLEARGFPTKFGLRVLEEKSLIKIRECRHETVTMHDLIRCMGKEIVRQQSALPHKRNRLWFYEDIVCVLEKNKENDKIEAMMLDMPEHQEIQCKPKLFGKMKSLRMLIIKEKVCFLRTPPALPNSLRVLEWQGYPTTSLPRNFHLKNLVILNLSYSYFGWEKPLENSKVLRQLILKGCKNIRRIPDMSGFPNLTELRVGECTNLFEIHDSVGSLLNLKKFCAEGCSKLTIGPSRIKLISLEHLCLRDCSSLVMFPEVLAPMHKLKYVDLVGTGIRNLPLPMQSLDGIQALSLGKGKMLEINESSNFFQTLPIFFPNLTTLYLRDLDITILPASIEEYHSLKYLHVTNCKKLQEIRGLPLSINEFSAANSPVKANSLTSKLRQAIHSAAIRIFVLPGRKIPELFDHSSRGNSLLFWFRKELPSLAVCAIIGVWENVNPPFVARFNFHVRVNNIYKCVSCFSCGNINWTTEDSHIIILNRQKDFQHPLSSDIQRALLTNEWIPGKILLSIEPDNDSNKLGEIKRTGVYVNRTCSRMEDVRFRDPYDLHKASTTENKLVLLSEASDTQQQEQSSPLLNSTTPLESAVGEQPLNYVYNNSDSKESTSPVDSNNQDGVLLDDQPILAPSFAEMQNDEAKRERAPLILEVSSEFTAQSRDYSKVSMKIESTASQSRKVDEVEAGLETQKQFRNSMVLENQIHQRLTMIKKMEEELGDKLGAIKADISAIEANNSSIKANISDIKSIFLQTDLRYNN >Vigun03g139700.1.v1.2 pep primary_assembly:ASM411807v1:3:13803938:13806823:-1 gene:Vigun03g139700.v1.2 transcript:Vigun03g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNQVAAVSSASPQDTDGTNNNITRIHPTLYFAALKGNFQEFIKVQNLETLVTPNKNTILHIHLTSTTTQKIGIPSSASFLLQTIQGKRPDLRFKVGVSEEFVKQILEKCGGLVLIPNAKGETPLHIAAKNGHSGVAKLLVEHVKAFPPDIEHGVGAEQKFIRATNNEKDTALHEAVRYNHIQVVKTLLKLDPHYSYHANKADETPLYLASKGRYQKVAYEILSNIKSPAYEGPNNQTALHAAVINQDIEMARELLKNEHVKPAVKPADKKGRNPLHYAVKKRNKVLTELLLQQNASIAYMQDNGGMTALHIAAADGSWQIIETIIKRFPDCSELVDKKGQNFLHYAMNKGRLFTVFRITRNLSMLHLFNEQDVDGNTPFQNCFMHFVPVISYLRRKATVKFVYDKTEDSNAVLTTQEPTEQLKFGEEKQKEQEKSAGRFFTKEAKETHLLVATLIATVSFAAGITLPGGTIQDGEDKGSPIMREKASFKAFIVSNTIAMVLATTAAHIHLFTFLVAKANWKEQYVSELALNFTLFALLAMIVAFATATYAVLGSSLLGIAVITLALLYFCMIPLIKASMAGN >Vigun07g198900.1.v1.2 pep primary_assembly:ASM411807v1:7:31942665:31944441:1 gene:Vigun07g198900.v1.2 transcript:Vigun07g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSVIILFLSFFIINFVLVQSATLEISKFGGKPNTNIAKALTSAWAEACASTSAVKIVIPSGTYQMTHVDVKGPCKAPIEIQLDGTIKAPQKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNVLNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCTLKGTTNGVRIKTWPNEPGTITVTNMRFEDITMDNVMNPIIIDQEYCPWNQCSKQNPSKIKISKVIIKNIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVIATCSNVKPKITGKTPACTAPSTKKE >Vigun01g057000.1.v1.2 pep primary_assembly:ASM411807v1:1:11401068:11405512:-1 gene:Vigun01g057000.v1.2 transcript:Vigun01g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFTLSRKPGAASPLHTTTTHHSLTLANQDSQPLPSPPQCVASDDLVVFASSVFHDTLLATKARQPPRYDLGKLRATTLRLDHEGRPPRPPQTDQGTYDWCLCDPTLNFKPFCDWCGCD >Vigun01g057000.5.v1.2 pep primary_assembly:ASM411807v1:1:11403475:11405437:-1 gene:Vigun01g057000.v1.2 transcript:Vigun01g057000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFTLSRKPGAASPLHTTTTHHSLTLANQDSQPLPSPPQCVASDDLVVFASSVFHDTLLATKARQPPRYDLGKLRATTLRLDHEGRPPRPPQTGLPWQHTNMTRS >Vigun01g057000.4.v1.2 pep primary_assembly:ASM411807v1:1:11403915:11405682:-1 gene:Vigun01g057000.v1.2 transcript:Vigun01g057000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFTLSRKPGAASPLHTTTTHHSLTLANQDSQPLPSPPQCVASDDLVVFASSVFHDTLLATKARQPPRYDLGKLRATTLRLDHEGRPPRPPQTDQGTYDWCLCDPTLNFKPFCDWCGCD >Vigun01g057000.3.v1.2 pep primary_assembly:ASM411807v1:1:11400998:11405682:-1 gene:Vigun01g057000.v1.2 transcript:Vigun01g057000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFTLSRKPGAASPLHTTTTHHSLTLANQDSQPLPSPPQCVASDDLVVFASSVFHDTLLATKARQPPRYDLGKLRATTLRLDHEGRPPRPPQTGDDTGDDEGAQATE >Vigun04g021600.1.v1.2 pep primary_assembly:ASM411807v1:4:1635224:1641957:-1 gene:Vigun04g021600.v1.2 transcript:Vigun04g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSGANMVRRKRRRHSPENSPSKRRRRSQSERRSRRWVVSSKDSSNCTDKIVVVSYNILGVENASNHLDLYSNIPHRFLEWDKRKRLILEEINNYNASILCFQEVDHFNDLDNLFQNSGFKGTYKARTGEAKDGCAVFWKDKLFNLLHQEDIEFQRFGMRNNVAQLCILEANRDKKESDACNLTTMEPSTGKRRFVVGNIHVLFNPNRGDIKLGQVRLLLDKAYKLSQEWGNIPVIIAGDLNSVPQSAIYQFLSSSKLDIQLHDRRNMSGQLEIQSSRLFRSQIRDDASISMTLSRQLLYRWSVEELRIATGAKGITQLQHQLKLRSAYSGVPGDRRTRDDIGEPLATSYHSKFMGTVDYIWHSDDLVPLRVLETLPIDILRRSGGLPSEKWGSDHLAVVCELAFSKQQQC >Vigun04g021600.2.v1.2 pep primary_assembly:ASM411807v1:4:1635224:1641948:-1 gene:Vigun04g021600.v1.2 transcript:Vigun04g021600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDCGSPPPENLSTCYSSKQETPQLESPFFECGVCSRRWVFSSKDCSNCMGQNSELQDKIVVVSYNILGVENASNHLDLYSNIPHRFLEWDKRKRLILEEINNYNASILCFQEVDHFNDLDNLFQNSGFKGTYKARTGEAKDGCAVFWKDKLFNLLHQEDIEFQRFGMRNNVAQLCILEANRDKKESDACNLTTMEPSTGKRRFVVGNIHVLFNPNRGDIKLGQVRLLLDKAYKLSQEWGNIPVIIAGDLNSVPQSAIYQFLSSSKLDIQLHDRRNMSGQLEIQSSRLFRSQIRDDASISMTLSRQLLYRWSVEELRIATGAKGITQLQHQLKLRSAYSGVPGDRRTRDDIGEPLATSYHSKFMGTVDYIWHSDDLVPLRVLETLPIDILRRSGGLPSEKWGSDHLAVVCELAFSKQQQC >Vigun10g110100.1.v1.2 pep primary_assembly:ASM411807v1:10:30972268:30976034:1 gene:Vigun10g110100.v1.2 transcript:Vigun10g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCVCSLVLMAFCMMPELASVFGATISPSSINQERQALLNTGWWNDYRNISDHCDWEGISCNEAGTVTAIDSWYMKTPSSQELLWIDKLNFTAFPNLVSLYLRRMDLRGSIPKEIATLTNLTTLDLSNNRLQGSIPPQLANLTQLQVLSLYNNSLVGSIPSTFGHLKNLYVLYLDSNDLEGSIPPELGNLTRLQQLFLSRNSLAGFIPSTLGQLIDLRFLYLDANKLQGSIPLQLANSTHLLELILSNNSLTGSVPSTFGQLMNLEALYLDSNKLEGSIPYTLGQLENLTDFFLQSNQITGPIPVEFGNLKGLQRLHLSNNFLNGSIPSTLGLLENLVYLYLDSNQIQGHIPEELGNLAKLNVLQLSHNKISGFIPPSLLQINTMYFLYLSSNQLCGSIPLETMICPYASIVDLSNNLFNGSITSQIVCVNDLNLSHNFLEGEIPYIFIRGSIPSRLDLSYNNLSGKVYKELASLSYINLSYNSFDFLHDLNSKSKVPDYCSFREDSLIDDHHMPNFSYCHLVYKTDLQTRKSKPSIMLVVIPIIFFGLLVLLLILYFLRSISKKNCEGISTKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGGYGSVYRVELPSDNIVALKKLHRLESQNPSFNRSFHNEVKMLTEIRHRNIVKLHGFCLHNQCMFLIYQYMERGSLFYILNNDVEAQELNWSKRVNVIKGIAHALTYMHHDCTTPIVHRHVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVYSFGVVTLETLMGKHPGELISSLSKSTTQNMLLKDLLDSRLPLPVRKDAQDIYLVVNVALSCLCSKPNLRPSMQQVVEKFSSFKLPLYLPIHEVFIDQVMSQDIVHLSSKF >Vigun10g110100.3.v1.2 pep primary_assembly:ASM411807v1:10:30972268:30976035:1 gene:Vigun10g110100.v1.2 transcript:Vigun10g110100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEALYLDSNKLEGSIPYTLGQLENLTDFFLQSNQITGPIPVEFGNLKGLQRLHLSNNFLNGSIPSTLGLLENLVYLYLDSNQIQGHIPEELGNLAKLNVLQLSHNKISGFIPPSLLQINTMYFLYLSSNQLCGSIPLETMICPYASIVDLSNNLFNGSITSQIVCVNDLNLSHNFLEGEIPYIFIRGSIPSRLDLSYNNLSGKVYKELASLSYINLSYNSFDFLHDLNSKSKVPDYCSFREDSLIDDHHMPNFSYCHLVYKTDLQTRKSKPSIMLVVIPIIFFGLLVLLLILYFLRSISKKNCEGISTKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGGYGSVYRVELPSDNIVALKKLHRLESQNPSFNRSFHNEVKMLTEIRHRNIVKLHGFCLHNQCMFLIYQYMERGSLFYILNNDVEAQELNWSKRVNVIKGIAHALTYMHHDCTTPIVHRHVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVYSFGVVTLETLMGKHPGELISSLSKSTTQNMLLKDLLDSRLPLPVRKDAQDIYLVVNVALSCLCSKPNLRPSMQQVVEKFSSFKLPLYLPIHEVFIDQVMSQDIVHLSSKF >Vigun01g117300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29372414:29373991:1 gene:Vigun01g117300.v1.2 transcript:Vigun01g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLVAKLASRAFEEASRVVGLYEDLQDLTKTLSVVKAVLLDAQQKQDHNHQLREWLSQLKTIFSDAEDVLDEFECQTLRKRVVKAHGSTKDKVSHFFSTSNPLVFRYKMAQQIKDISKRLDKVAADRHKFSLQINDVDTRVVHRRDMTHSRVSDSDVIGRKHDKEKTIELLTQQNSNDADTSLCVIPIVGIGGLGKTTLAKFVLNDNRIQECFPLKMWVCISDDFDIKQLIIKIINSAGGAASGDDLEQLQNQLKNKLSGKKFLLVLDDVWNEDRVKWVELRNLIQVSVGSKVVVTTRSHSIASMMGTLPSHILEGLSEEDSLSLFVKWAFKEGEEEKHPHLINIGRQIVKKCRGVPLAVRTLGSLLFSKFEVSEWEYVSNNEIWNLPQKKDDILPALKLSYDMMPSI >Vigun01g238100.1.v1.2 pep primary_assembly:ASM411807v1:1:40937995:40940021:-1 gene:Vigun01g238100.v1.2 transcript:Vigun01g238100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun06g189000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30719147:30721759:-1 gene:Vigun06g189000.v1.2 transcript:Vigun06g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMLRIITNFSSCSTCSCHSNEANYPRPLNGFIFFRQSSRKCLLSSNLDVPRSTRVGVSFMLDENAKISKFCEVGDLRNAVELLKLSQNSELDLNTYASILQLCAERKRLQEGKMVHSIISSNGIPIEGVLGPKLVFMYVSCGDLREGRRIFDHTLSDNKVFLWNLMMSEYAKIGDYRESIYLFRKMQKLGITGNSYTFSCILKCFATLGRVGECKRIHGYIYKLGFGSYNTVVNSLIATYFKSGGVDTAHKLFDELSEKDVVSWNSMISGCVMNGFYHNALDFFIQMLILRVGVDLATLVNVLVACANVGSLSFGRAVHGHGVKTCFGREGRFNNTLLDMYSKCGNLNDAIKVFGKMGQTTVVSWTSLISAYVREGLYDDAIRLFYEMECKGVSPDVYSMTCVLHACAYSNSLEKGRDVHNYFRKNNVTLSLPVCNALMDMYAKCGSMEEAYRVFSEIPAKDIVSWNIMIGGYSKNSLPNEALKLFSEMQEASRPDGFTMACVLPACGSLAALDVGRGIHGWILRNGYSSDLHVVNALIDMYVKCGSLIHAQLLFDMVSEKDLISWTVMITGYGMHGLGNEAIATFQKMRIVGIKPDEITFTSILYACSHSGLLNEGWEFFNSMTECNIEPKLEHYACMVDLLSRTGNLSKAYNFIETMPIKPDATVWGALLCGCRIHHDVELAEKVAEHVFELEPYNTGYYVLLANVYAEAEKWEEVKKLRERISKLGLKKSPGCSWIEVQGKSTTFVSADSAHPQAKTIVSLLNNLRIKMKNEGYSPKMRYALINADDTEKEAALCGHSEKLAVAFGILNLSPGRTIRVAKNLRVCGDCHEMVKFMSKTTRREIILRDSNRFHHFKDGFCSCGDFW >Vigun02g156100.6.v1.2 pep primary_assembly:ASM411807v1:2:30244884:30248474:1 gene:Vigun02g156100.v1.2 transcript:Vigun02g156100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDNILSDSPVVKNTNNQDNWKAIWMKDSSSNSDNGWMVPYKYYDVKGPISDSNPNVDSSNWFLCNEVQLKDISLKRMEIIYNDTVSKLVALGHNEDIAVKAILYNVYCYGANDLATDLLHNTLSCLEKGSLDMYELKPAFSDLKKLEEHTLMNLVSLLQEVRLELGKGDAMWCLLMSDYNVLKAITIHVPFVSMCPPPLTELENEEGGFRKEGGSDIPLKGFFCGKEMTLRLQRDIEFPKKFDLTPAMICSLKSNVVAFADGYRANSKHVQSNEGKFPAVKTVTKLDSSSASVSAVLGDLPGDSLNMNGHDDLKSVLSKFHDLNIDENLEFVAEDEKHSVIVTLVHQIKDLEKQVKERKDWAHEKAIQAARKLNSYLIELKTFRIEREENQRLKKGKEADEELEDPTMVRLLEMEEALRKACGQMDLATAGVGKLETEKAEIKAELEACKLSASEYVASCLQIAKREKKCLKKLLAWEKQEAKIKQDISDEKQKILEIQEELAQIKQRAKEAENSVCTLNHQKQKRGALFNETRI >Vigun02g156100.5.v1.2 pep primary_assembly:ASM411807v1:2:30244884:30248474:1 gene:Vigun02g156100.v1.2 transcript:Vigun02g156100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDNILSDSPVVKNTNNQDNWKAIWMKDSSSNSDNGWMVPYKYYDVKGPISDSNPNVDSSNWFLCNEVQLKDISLKRMEIIYNDTVSKLVALGHNEDIAVKAILYNVYCYGANDLATDLLHNTLSCLEKGSLDMYELKPAFSDLKKLEEHTLMNLVSLLQEVRLELGKGDAMWCLLMSDYNVLKAITIHVPFVSMCPPPLTELENEEGGFRKEGGSDIPLKGFFCGKEMTLRLQRDIEFPKKFDLTPAMICSLKSNVVAFADGYRANSKHVQSNEGKFPAVKTVTKLDSSSASVSAVLGDLPGDSLNMNGHDDLKSVLSKFHDLNIDENLEFVAEDEKHSVIVTLVHQIKDLEKQVKERKDWAHEKAIQAARKLNSYLIELKTFRIEREENQRLKKGKEADEELEDPTMVRLLEMEEALRKACGQMDLATAGVGKLETEKAEIKAELEACKLSASEYVASCLQIAKREKKCLKKLLAWEKQEAKIKQDISDEKQKILEIQEELAQIKQRAKEAENSVCTLNHQKQKRGALFNETRI >Vigun02g156100.4.v1.2 pep primary_assembly:ASM411807v1:2:30244884:30248474:1 gene:Vigun02g156100.v1.2 transcript:Vigun02g156100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDNILSDSPVVKNTNNQDNWKAIWMKDSSSNSDNGWMVPYKYYDVKGPISDSNPNVDSSNWFLCNEVQLKDISLKRMEIIYNDTVSKLVALGHNEDIAVKAILYNVYCYGANDLATDLLHNTLSCLEKGSLDMYELKPAFSDLKKLEEHTLMNLVSLLQEVRLELGKGDAMWCLLMSDYNVLKAITIHVPFVSMCPPPLTELENEEGGFRKEGGSDIPLKGFFCGKEMTLRLQRDIEFPKKFDLTPAMICSLKSNVVAFADGYRANSKHVQSNEGKFPAVKTVTKLDSSSASVSAVLGDLPGDSLNMNGHDDLKSVLSKFHDLNIDENLEFVAEDEKHSVIVTLVHQIKDLEKQVKERKDWAHEKAIQAARKLNSYLIELKTFRIEREENQRLKKGKEADEELEDPTMVRLLEMEEALRKACGQMDLATAGVGKLETEKAEIKAELEACKLSASEYVASCLQIAKREKKCLKKLLAWEKQEAKIKQDISDEKQKILEIQEELAQIKQRAKEAEVCSYDFEIYIFCIMALNYFQMNH >Vigun02g156100.1.v1.2 pep primary_assembly:ASM411807v1:2:30244884:30248474:1 gene:Vigun02g156100.v1.2 transcript:Vigun02g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDNILSDSPVVKNTNNQDNWKAIWMKDSSSNSDNGWMVPYKYYDVKGPISDSNPNVDSSNWFLCNEVQLKDISLKRMEIIYNDTVSKLVALGHNEDIAVKAILYNVYCYGANDLATDLLHNTLSCLEKGSLDMYELKPAFSDLKKLEEHTLMNLVSLLQEVRLELGKGDAMWCLLMSDYNVLKAITIHVPFVSMCPPPLTELENEEGGFRKEGGSDIPLKGFFCGKEMTLRLQRDIEFPKKFDLTPAMICSLKSNVVAFADGYRANSKHVQSNEGKFPAVKTVTKLDSSSASVSAVLGDLPGDSLNMNGHDDLKSVLSKFHDLNIDENLEFVAEDEKHSVIVTLVHQIKDLEKQVKERKDWAHEKAIQAARKLNSYLIELKTFRIEREENQRLKKGKEADEELEDPTMVRLLEMEEALRKACGQMDLATAGVGKLETEKAEIKAELEACKLSASEYVASCLQIAKREKKCLKKLLAWEKQEAKIKQDISDEKQKILEIQEELAQIKQRAKEAEVMRGEELKAKEEALALIEEERRSMEAAEANRKRNLKALSLKMEIDFQRRKDDLLRLEQEISCLKASAQSAILPTSESEDAEPHTEIIAKLLQELDNVPDFSGKEAAGNTDRECVICGKDQVSVVFLPCAHQVMCASCSEEYGRNGKAVCPCCWVPIEQRIRTFGGSS >Vigun02g156100.2.v1.2 pep primary_assembly:ASM411807v1:2:30244884:30248474:1 gene:Vigun02g156100.v1.2 transcript:Vigun02g156100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDNILSDSPVVKNTNNQDNWKAIWMKDSSSNSDNGWMVPYKYYDVKGPISDSNPNVDSSNWFLCNEVQLKDISLKRMEIIYNDTVSKLVALGHNEDIAVKAILYNVYCYGANDLATDLLHNTLSCLEKGSLDMYELKPAFSDLKKLEEHTLMNLVSLLQEVRLELGKGDAMWCLLMSDYNVLKAITIHVPFVSMCPPPLTELENEEGGFRKEGGSDIPLKGFFCGKEMTLRLQRDIEFPKKFDLTPAMICSLKSNVVAFADGYRANSKHVQSNEGKFPAVKTVTKLDSSSASVSAVLGDLPGDSLNMNGHDDLKSVLSKFHDLNIDENLEFVAEDEKHSVIVTLVHQIKDLEKQVKERKDWAHEKAIQAARKLNSYLIELKTFRIEREENQRLKKGKEADEELEDPTMVRLLEMEEALRKACGQMDLATAGVGKLETEKAEIKAELEACKLSASEYVASCLQIAKREKKCLKKLLAWEKQEAKIKQDISDEKQKILEIQEELAQIKQRAKEAEVCSYDFEIYIFCIMALNYFQMNH >Vigun07g273380.1.v1.2 pep primary_assembly:ASM411807v1:7:38884914:38885366:-1 gene:Vigun07g273380.v1.2 transcript:Vigun07g273380.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKMFFQIFCIALLLNSGESRFTPISPGLVCKEGGGMCIKEDGCNKFCLFFGYKRGGDCIPFVGGDTCCCRK >Vigun04g157100.1.v1.2 pep primary_assembly:ASM411807v1:4:37912506:37913630:1 gene:Vigun04g157100.v1.2 transcript:Vigun04g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKKDEPIDNINDLPLSVLFHILESMDVKHAVQTCVLSKQWKELWKGMATFSMKSTRFKRVIDFNKFVSQFLSLRDSSISLSKVEVVLHRSSHVKKLNRIITYAALHHVQQMSLHLCFYMLDSKFPSFNLPCSSLVYLHLTHKHFCHPVLKFPNFLLLPALEVLHLENLCFSASYSDYAEPFSCYNSLQTLILKDCSLYKFDTEELLISNYNLSSLSLHHLNRTPYKFFLSTPILSFLTVTGQSYLCNLSVEHSKVGSWLKQFANVKELTLPDAAVGFILEVLSKHNLVETQIACFPKLESLKLIKDMHSVTSDEAVDTMVKYIHKNCPLARVDFINEK >VigunL007501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:65105:74161:-1 gene:VigunL007501.v1.2 transcript:VigunL007501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun07g016200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1438061:1438872:-1 gene:Vigun07g016200.v1.2 transcript:Vigun07g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMPGIVRQGSFSASKATCKGLEVPKGYLAVYVGVNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTILCNEDEFLNLTSRLKELL >Vigun09g003900.2.v1.2 pep primary_assembly:ASM411807v1:9:288591:290223:-1 gene:Vigun09g003900.v1.2 transcript:Vigun09g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSECSNQFDRVFYFPSNLHINTSTIPFSSQPLHFLLHPIFASSIYTIYLKMAENGEESQENRPAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPQIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGIMPMIDQGEKDDKIIAVCADDPEYKHFIDYKELAPHRISEIRRFFEDYKKNEHKEVAVNDFLPASVAVEAIQYSM >Vigun09g003900.1.v1.2 pep primary_assembly:ASM411807v1:9:288142:290223:-1 gene:Vigun09g003900.v1.2 transcript:Vigun09g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSECSNQFDRVFYFPSNLHINTSTIPFSSQPLHFLLHPIFASSIYTIYLKMAENGEESQENRPAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPQIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGIMPMIDQGEKDDKIIAVCADDPEYKHFIDYKELAPHRISEIRRFFEDYKKNEHKEVAVNDFLPASVAVEAIQYSMDLYAEYILHTLRR >Vigun04g136600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34186363:34188288:1 gene:Vigun04g136600.v1.2 transcript:Vigun04g136600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLEPFYALLLLLMHAAGPVLGFNNTSEIKCNERERQALLSFKLGLVDVNGMLSTWRDDEKGRDCCEWKNIQCDHQTGLVTILRLRGSETQYLRGALNISSLFPLQNIQYLDLSYNFFMGNEIAELIGSLTNLRYLNLSNSFFSGSIPIQLGSLTQLRYLDLSYNYLDQELPYQLGSLKYLRYLDLNYNSLEGKLPSQLANMSQLRYLGLSCNYFSGALPFQVGNFPYLQTLILAGDFDVKPKDAKWLSNLCSLTHLAFDGLHNLHWFQMFHCTKLRELRLVDCSLSDTHIHSLFYSPSNFSNSLIILDLSYNMLTSSSFQLLTNFSLNLQELYLSQNNIVFSSPVFSSFPSLVILDLSYNNMTSFIFQGSFNFSSKLQNLNLRNCSLRDGSFLISDISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTLALYENVLEGPIPDGFGKVMKSLEFLDLYGNKLQGEIPSSFGNMCTLQRLDLSYNELSGEILSLFQNSSWCNRHVFQSLDLSNNQVTGMVPTVIGLLSELEYLYLDGNRLEGDVTESHLSNFSKLRHLYLSDNSLSLKIDPNWVPPFQLYVLKLRSCMLGPTFPSWLQTQRSLAFLDISDNGLNDSVPKLFWNNLENVQYLNMS >Vigun05g297700.1.v1.2 pep primary_assembly:ASM411807v1:5:48249305:48250883:1 gene:Vigun05g297700.v1.2 transcript:Vigun05g297700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFAYNPNDPQLSSSKLLSATLTAKLHKCHMASLSLQMNKQKGSQKEGEEGSSNSGNAVAGTSVDTKSFRWAEKRHEEVQWVEEYHVEVGEGEAEQQQQFQPVLEDDHIEQMIQELLDYGSMEFSCVDSV >Vigun03g394300.2.v1.2 pep primary_assembly:ASM411807v1:3:60034668:60037042:-1 gene:Vigun03g394300.v1.2 transcript:Vigun03g394300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKAPSFLSPLNQKKAQAAVEGDVVDKESVSINEESDYGVVGMHHVGILCENLERSIDFYQNVLGLKINEARPNDEVRGVWLWVGSEMIHLMELPNPDPLTGRPEQGGRDRHTCIAIRDVSKLKAILDKADQESLHVILMQML >Vigun03g394300.1.v1.2 pep primary_assembly:ASM411807v1:3:60034658:60037057:-1 gene:Vigun03g394300.v1.2 transcript:Vigun03g394300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKAPSFLSPLNQKKAQAAVEGDVVDKESVSINEESDYGVVGMHHVGILCENLERSIDFYQNVLGLKINEARPNDEVRGVWLWVGSEMIHLMELPNPDPLTGRPEQGGRDRHTCIAIRDVSKLKAILDKAGIPYTLCPSGRPRIFTRDPDANALEFTQVDD >Vigun02g057700.1.v1.2 pep primary_assembly:ASM411807v1:2:20241096:20251036:-1 gene:Vigun02g057700.v1.2 transcript:Vigun02g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGSGGYGQSYTSQSAYAQNLGANYSGSSVGGHDVGQHSVASRHSTMLGGSQEVDVSGYRAHTSTAAQYGGQYSSVYGSAALSSAQQVPSLSTKGSASSALDGRGGYALGVSDSPKFASGDYVSSSSHAYGHKTEQLYGEKGLEYSGIDRRQYGERQSGYIGRDLTSDPAGRYAADPVGFSHQRQQSEIYDRIDQAALLRQEQLLKAQSLQAASLDGGTRQADYLAARAAASRHPTQDLVSYGGRMDSDPRGSSMLSATSYGGQHAPSILGAAPRRNVDDLLYSQNASNPGYGVSLPPGRDYASGKGLHGNAMEIDYPGNVLPHGGHTDRKDDRASYLREFELREEERRRERLRERERDREKEKERERLRERERERERERDRIMERREKERERERKRAVETKHERTPARSSKDPRVTSKDLRGSSLTKEGRSSRRDSPHHGALHRHHSPVKEKRREYVCKVYPARLVDVERDYLLIDKRYPRLFVSPEFSKAIVNWPKENLKLSIHTPVSFEHDYIEEDSATEPRDSTSKLLLGQSPNSEQGNTVWNAKIILMNGLSRSALEELSSDKIVDDRIPHMCNFLRFAVLKKDHSFMAVGGPWKAVDGVDPSIDNNSLIKTALRYAKEVLQLDLQNCQRWNPFLEIHYDRIGKDGFFSHKEITVLYVPDLSDCLPSLDEWRDKWLAHKKAVAEREHQLSLKKEKLRDNKDVPKDKTEKRKDSAPSGQSDVKKKEKDGNTVKEEIEKTGVDNNKIAKKEGSDIGDEGKSAEKKSGETAAGQTTSGVKSVKKKIIKRIVKQKVGTKANSTTSKQINKSGEKDVTDQVTTSNVTDQDDKSSVDPTGVKTLVAEDVSVQKTDGEEGKDKQMNSIESKAQNNLDPSVNVVAGDPAVKTTKKRKIIKRVPKKKVVGEASKSLVSDPKKEEGNLGEDGTQSSGKQIADATTGGTEVKKIVKVVPKKKIKTPASKKQDLTADSNTTETVSDKKEEGNVVPVQAQNDTQSTGKQTANADTTVVTEVKKTGKVVPKIQSKSAASEKRDNAADSSKTETKSDHDDNKEERGTGEKGGTKTDKQKASDKDVNNVKGKVKEGDKSHERNGKDESKSKPSKEVKEKRKSDEPPRHAGFILQTKTTKDSKMRSLSLSLDSLLDYTDKDVEESTLELSLFAESFYEMLQFQMGSRILTFLQKLRMNFVIKRNQRKRQREDEHEKDDVNKSSPVKRQKGDDSSVKIERTNMDTNPTHLDDEKAVSENDNSNNDKEDVVKMEEESDEEEDPEEDPEEYEEMEDGSPKHDASDDKNVEQEAIADIKPENITNDKATDETSKGEIKVKDEVQESKADTQLKEEKDDTKKETPAVKEVVVDRELLQAFRFFDRNRVGYIRVEDMRIIIHNMGMFLSHRDVKELVQSALLESNTGRDDRILYNKLVRMSDI >Vigun03g251750.1.v1.2 pep primary_assembly:ASM411807v1:3:41786409:41787281:-1 gene:Vigun03g251750.v1.2 transcript:Vigun03g251750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQVNLLGRLQETLEFVRCTVNKFDLIPREKSYDEEGVTLTAPGGADKSSNRLEARNDANWVPFLELCVMKMEKPLGVFYLKKRPGMTICVFGLPHLKVQIMFVTFPVDEDLF >Vigun03g437100.1.v1.2 pep primary_assembly:ASM411807v1:3:64073889:64080875:-1 gene:Vigun03g437100.v1.2 transcript:Vigun03g437100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGLKHLCAALVNCCDADSSKQPRGLENPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVVESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Vigun05g138800.1.v1.2 pep primary_assembly:ASM411807v1:5:16869175:16875157:-1 gene:Vigun05g138800.v1.2 transcript:Vigun05g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEPPHHMYRPLLWISHTNSNKTPKLKPHHVLFLPFSSLHSQTPLIDSQTHHHTMSVQARRLAVFCAHLNPTRLPLDPPAPFLSPSLCAPHSDTQNDCVFCNIIRGQSPALKLYEDDMCLCILDTSPLSHGHSLIIPKSHFPSLDATPPSVVAAMFSKVPFISNAIMKATGCSSFNLLVNNGAAAGQVIYHTHIHIIPRKAYDCLWASESLLRRRVNLDDEKVSQLAARIQKQLLPSDMSQESKNENFCSSKS >Vigun04g059801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6043319:6043645:1 gene:Vigun04g059801.v1.2 transcript:Vigun04g059801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVECGRVTFADLVVVLLTRWWRVKEARTPFSLTGRRNKRKKGDSGSLASSATHSGHGSSSAHGGGHRHCVSGVFMKKKQPLSRTREKETRKRSDSGSLVLVGDIIDGD >VigunL058400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000447.1:8017:8112:-1 gene:VigunL058400.v1.2 transcript:VigunL058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petL MPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun07g187000.8.v1.2 pep primary_assembly:ASM411807v1:7:30405490:30409436:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLGTYEKRGLVDLNMLLFAGTYVSVSAFIYFLIILINFH >Vigun07g187000.6.v1.2 pep primary_assembly:ASM411807v1:7:30403741:30409437:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun07g187000.3.v1.2 pep primary_assembly:ASM411807v1:7:30404257:30409423:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun07g187000.4.v1.2 pep primary_assembly:ASM411807v1:7:30404959:30409423:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLGTYEKRGLVDLNMLLFAGTYEPQH >Vigun07g187000.11.v1.2 pep primary_assembly:ASM411807v1:7:30404915:30409428:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMVS >Vigun07g187000.10.v1.2 pep primary_assembly:ASM411807v1:7:30404257:30409423:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLGTYEKRGLVDLNMLLFAGTYEPQH >Vigun07g187000.2.v1.2 pep primary_assembly:ASM411807v1:7:30403710:30409468:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun07g187000.7.v1.2 pep primary_assembly:ASM411807v1:7:30402067:30409423:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun07g187000.9.v1.2 pep primary_assembly:ASM411807v1:7:30403741:30409423:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLGTYEKRGLVDLNMLLFAGTYEPQH >Vigun07g187000.5.v1.2 pep primary_assembly:ASM411807v1:7:30403801:30409436:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun07g187000.1.v1.2 pep primary_assembly:ASM411807v1:7:30404711:30409468:-1 gene:Vigun07g187000.v1.2 transcript:Vigun07g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFQLQVQAIIATSFRQRHFSFSSRNTASRVSFSSFCCGRNLEMEEVKVASDFMVGDPALLEKKIDAIRLGGPQKLQVIADFDATLTKFVVNGNRGQTSHGLLQQGNPEYDAKRQQLYEYYHPLEFSPTIGLEEKTKLMEEWWGKTHALLVEGGLTYESIRQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADTIEEVLRQKLHRSFKNVRIVSNRMVFDDNGSLVSFKGKLIHSLNKNEHALDMAAPVHERFGDMDGPTDDNASLKKRTNVLLLGDHLGDLGMSDGLNYETRISMGFLNHNIENSLSCYREAFDVVFMNDAAMWGVIKLVSRMCSSGA >Vigun06g206400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32042092:32043377:-1 gene:Vigun06g206400.v1.2 transcript:Vigun06g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTITFLFFFTLLLIASPSVATRPASNPNQVKHSKDTNNNQGGAGAGAGGGLGGAAGPGGFFGPGGGFSIPGFGNGFGNGIVGGGYGSGYGGPNGGSSKGGIVRPSVVCKERGPCFQKKVTCPAKCFSSFSRSGKGYGGGGGGGGCTIDCKKKCIAYC >VigunL059012.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000136.1:227:701:1 gene:VigunL059012.v1.2 transcript:VigunL059012.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun10g107300.1.v1.2 pep primary_assembly:ASM411807v1:10:30610849:30611607:-1 gene:Vigun10g107300.v1.2 transcript:Vigun10g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLIFLMTFVTQHVYGGDEGSLTVQGCPRACDYRCSKANARQACLFYCNLCCDKCLCVPSGIFGNKKECPCYNNWKNKEGKPKCP >Vigun04g096500.1.v1.2 pep primary_assembly:ASM411807v1:4:20546579:20549779:1 gene:Vigun04g096500.v1.2 transcript:Vigun04g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLSFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELMTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAQKKK >Vigun03g126450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12034547:12034928:-1 gene:Vigun03g126450.v1.2 transcript:Vigun03g126450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAFVIAISFSLVMKRALAARHLIQLGINMCEVTLSNRMPVIKGCNAVVITPLT >Vigun06g125000.3.v1.2 pep primary_assembly:ASM411807v1:6:25196845:25200867:-1 gene:Vigun06g125000.v1.2 transcript:Vigun06g125000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFQLSSTAKIPLIDFDRHVLQVRYLALLIIDELFMRSKLFRTLVVENMDQLLSLSVGFRRNVPLPAPPAVATVLRSKAIEFLEKWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANVERVQQERRERERRSKEILLSKYESLKENSSSIKAAILSTMDEIDECLDILHAKREECVSDDVLDSDEHGDFHSLELQQLRLEALKEGEKVYENSDNTVVFDTLRELYKLLVAKHLITIQEWISVLVRVEVADNRFRDSILKEFIDIRNRLKSVKSKCEEAGCLLVNSSKHDEEDFWEEDNVVSMKTSSSIPNNKNKHVGALKMNNANLGLHSNGSNGSETDSLRHQVHEVESDPERSKLIAEAPVVRWSSYLDNWGSNRVFMANQRGLELDSHWGRVDADAVIPADKIAELNVHAMPYEEKKTEIQPCLAPLKKGGLCQRRDMKLCPFHGPIIPRDDNGTPLSQSSLEGVNVDLRTDLVEQLAKQAVKNIRERDQEGAKKREIDKQSLKRAKLAKIREHNEAVLRDAALASTSRSATLGEDGELTNEDKQSLASMLRKKVTSKDRIAEKLLSSRARVTSDRQHMSSEDAKYREAFPNQW >Vigun06g125000.2.v1.2 pep primary_assembly:ASM411807v1:6:25196845:25200867:-1 gene:Vigun06g125000.v1.2 transcript:Vigun06g125000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHVLQVRYLALLIIDELFMRSKLFRTLVVENMDQLLSLSVGFRRNVPLPAPPAVATVLRSKAIEFLEKWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANVERVQQERRERERRSKEILLSKYESLKENSSSIKAAILSTMDEIDECLDILHAKREECVSDDVLDSDEHGDFHSLELQQLRLEALKEGEKVYENSDNTVVFDTLRELYKLLVAKHLITIQEWISVLVRVEVADNRFRDSILKEFIDIRNRLKSVKSKCEEAGCLLVNSSKHDEEDFWEEDNVVSMKTSSSIPNNKNKHVGALKMNNANLGLHSNGSNGSETDSLRHQVHEVESDPERSKLIAEAPVVRWSSYLDNWGSNRVFMANQRGLELDSHWGRVDADAVIPADKIAELNVHAMPYEEKKTEIQPCLAPLKKGGLCQRRDMKLCPFHGPIIPRDDNGTPLSQSSLEGVNVDLRTDLVEQLAKQAVKNIRERDQEGAKKREIDKQSLKRAKLAKIREHNEAVLRDAALASTSRSATLGEDGELTNEDKQSLASMLRKKVTSKDRIAEKLLSSRARVTSDRQHMSSEDAKYREAFPNQW >Vigun06g125000.1.v1.2 pep primary_assembly:ASM411807v1:6:25196845:25200867:-1 gene:Vigun06g125000.v1.2 transcript:Vigun06g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEGGHGNGTKVIPLIEKATNSTAPEVDPRLLKAIKTVVRYSDSELRVATLTLMDLMKRDHAQVRYLALLIIDELFMRSKLFRTLVVENMDQLLSLSVGFRRNVPLPAPPAVATVLRSKAIEFLEKWNVSFGVHYRQLRLGYDYLKNTLRLQFPNIQANVERVQQERRERERRSKEILLSKYESLKENSSSIKAAILSTMDEIDECLDILHAKREECVSDDVLDSDEHGDFHSLELQQLRLEALKEGEKVYENSDNTVVFDTLRELYKLLVAKHLITIQEWISVLVRVEVADNRFRDSILKEFIDIRNRLKSVKSKCEEAGCLLVNSSKHDEEDFWEEDNVVSMKTSSSIPNNKNKHVGALKMNNANLGLHSNGSNGSETDSLRHQVHEVESDPERSKLIAEAPVVRWSSYLDNWGSNRVFMANQRGLELDSHWGRVDADAVIPADKIAELNVHAMPYEEKKTEIQPCLAPLKKGGLCQRRDMKLCPFHGPIIPRDDNGTPLSQSSLEGVNVDLRTDLVEQLAKQAVKNIRERDQEGAKKREIDKQSLKRAKLAKIREHNEAVLRDAALASTSRSATLGEDGELTNEDKQSLASMLRKKVTSKDRIAEKLLSSRARVTSDRQHMSSEDAKYREAFPNQW >Vigun05g073200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6444496:6445310:-1 gene:Vigun05g073200.v1.2 transcript:Vigun05g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPRKASSSKAMADAASWLCACFLVTLILLCIFSDSSVVGEDDEGKLRGKRVLSKACDEIYVVGEGETLHTISDKCGDPFIVEKNPHIHDPDDVFPGLVIKITPSHNN >Vigun03g172900.1.v1.2 pep primary_assembly:ASM411807v1:3:21168015:21170470:-1 gene:Vigun03g172900.v1.2 transcript:Vigun03g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASIKMETEEAFDATRWLDRLLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNAVVMIQPSLISYSFNSLPAPALLDVASISADRILLLDSYFSVVIFHGMTIAQWRNMGYHNQPEHQAFAQLLQAPQDDAQIIIKERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAH >Vigun07g113200.1.v1.2 pep primary_assembly:ASM411807v1:7:20937204:20941644:1 gene:Vigun07g113200.v1.2 transcript:Vigun07g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLCLMASHGCPVGLALQQDLPMVGSTITKGCQPLLPSPVLRPEMIRYESPLNPFETTKPQQKWFDSDQIVNVNLSTQRPMLIDVQGTYPSPVHFGFGIVEQCSQQDKILQCIMSESAEAGIGGLHISLLSDLVDLQLSGIDEPQKPLTPIIPESKFFIPKLLLDIFQDSAFSSKVTVHPDGQVTFMGTALEMKDVLSVVSDSYLLRKGEKQFMLVPHFSRMSIKEVEVKSLSSTLDIHSTLTVPLRSPEKAKVKPSRKKNKKVATERDLFKKNYLHACESLLSLLVDKRQHRKTAILSLKKSGPELPELLTQFSAGIAGTGLAVLLSVICKLACGRGIPFCSYKLINTGFGFGLVWLSWAVNKLRDTIVGINKNGGKPRLKDEEIIQKVDKSLREIYFRSAALLAVAVLRLA >Vigun07g113200.2.v1.2 pep primary_assembly:ASM411807v1:7:20937204:20941644:1 gene:Vigun07g113200.v1.2 transcript:Vigun07g113200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKELIQAQYTLALASLNNALSRIKFCSASCIDEPQKPLTPIIPESKFFIPKLLLDIFQDSAFSSKVTVHPDGQVTFMGTALEMKDVLSVVSDSYLLRKGEKQFMLVPHFSRMSIKEVEVKSLSSTLDIHSTLTVPLRSPEKAKVKPSRKKNKKVATERDLFKKNYLHACESLLSLLVDKRQHRKTAILSLKKSGPELPELLTQFSAGIAGTGLAVLLSVICKLACGRGIPFCSYKLINTGFGFGLVWLSWAVNKLRDTIVGINKNGGKPRLKDEEIIQKVDKSLREIYFRSAALLAVAVLRLA >Vigun03g363000.2.v1.2 pep primary_assembly:ASM411807v1:3:56667599:56679504:1 gene:Vigun03g363000.v1.2 transcript:Vigun03g363000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLRPDNSCFISHTHRAYSHTPFIRTTSFPRFHLHPSPSSYSLRLRIVSSAQQNAKETHRVAKAKALTELQVEEVEKKHENLSGTWPPWKNLPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSTTAGLVQSSFFWGYALSQLPGGWLAKIFGGRTVLEVGVLIWSVATALVPFLAGFMPSLLLSRVLVGIGEGVSPSAATDLIARLLLAPPLIQNLGWESVFYLFGLLGIAWFLGFQVLEGGEKQLNPKSLSSPDTLTNSWKTSLTELNGSLKDVPWKAFFQNRAVWAMIYAHFCGSWGHYNCLSWLPTFFSEELNLNLTEAAWVSILPPLASIFVTGLAAQLADNLISRGVETTVVRKICQSIAFLSPAICMTLSSLDLGLPPWEIVGILTAGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIVGVALTGYLLDLTHSWSISLFAPSIFFYVTGTMVWLVFASSKPQSFSEHN >Vigun03g363000.1.v1.2 pep primary_assembly:ASM411807v1:3:56667599:56679504:1 gene:Vigun03g363000.v1.2 transcript:Vigun03g363000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLRPDNSCFISHTHRAYSHTPFIRTTSFPRFHLHPSPSSYSLRLRIVSSAQQNAKETHRVAKAKALTELQVEEVEKKHENLSGTWPPWKNLPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSTTAGLVQSSFFWGYALSQLPGGWLAKIFGGRTVLEVGVLIWSVATALVPFLAGFMPSLLLSRVLVGIGEGVSPSAATDLIARSIPLEERSRAVGFVFGGLSVGSVMGLLLAPPLIQNLGWESVFYLFGLLGIAWFLGFQVLEGGEKQLNPKSLSSPDTLTNSWKTSLTELNGSLKDVPWKAFFQNRAVWAMIYAHFCGSWGHYNCLSWLPTFFSEELNLNLTEAAWVSILPPLASIFVTGLAAQLADNLISRGVETTVVRKICQSIAFLSPAICMTLSSLDLGLPPWEIVGILTAGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIVGVALTGYLLDLTHSWSISLFAPSIFFYVTGTMVWLVFASSKPQSFSEHN >Vigun08g156100.2.v1.2 pep primary_assembly:ASM411807v1:8:32858293:32861370:-1 gene:Vigun08g156100.v1.2 transcript:Vigun08g156100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEQMYSAHTLSGGSELRRSSFVLESGFYITSIVATILVSALAAAGLLLITLLVSLAMMLQSCQSSHAGITELRNINDEYNYCKVYSLHAKLNNLEEHNFPSLCKDLAIRYIKGGQYARDLDSTKSVMEDYFNSVQPSDDDLDVVLIDIDGIVSPNLHSSTLLQSNDNCIIEAKNLKRMFVLRLYMKLQAGGWSIILLSREHGEHQNVTINHLLSAGFRGWSSLMMRDDADSSKGNEFFSRQRNVIETKGFRIKSVISTEMDALIVGDRGIRVFLLPDPIFDKFELQRRT >Vigun08g156100.3.v1.2 pep primary_assembly:ASM411807v1:8:32858327:32861118:-1 gene:Vigun08g156100.v1.2 transcript:Vigun08g156100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEQMYSAHTLSGGSELRRSSFVLESGFYITSIVATILVSALAAAGLLLITLLVSLAMMLQSCQSSHAGITELRNINDEYNYCKVYSLHAKLNNLEEHNFPSLCKDLAIRYIKGGQYARDLDSTKSVMEDYFNSVQPSDDDLDVVLIDIDGIVSPNLHSSTLLQSNDNCIIEAKNLKRMFVLRLYMKLQAGGWSIILLSREHGEHQNVTINHLLSAGFRGWSSLMMRDDADSSKGNEFFSRQRNVIETKGFRIKSVISTEMDALIVGDRGIRVFLLPDPIFDKFELQRRT >Vigun08g156100.1.v1.2 pep primary_assembly:ASM411807v1:8:32858293:32861370:-1 gene:Vigun08g156100.v1.2 transcript:Vigun08g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHQMEQMYSAHTLSGGSELRRSSFVLESGFYITSIVATILVSALAAAGLLLITLLVSLAMMLQSCQSSHAGITELRNINDEYNYCKVYSLHAKLNNLEEHNFPSLCKDLAIRYIKGGQYARDLDSTKSVMEDYFNSVQPSDDDLDVVLIDIDGIVSPNLHSSTLLQSNDNCIIEAKNLKRMFVLRLYMKLQAGGWSIILLSREHGEHQNVTINHLLSAGFRGWSSLMMRDDADSSKGNEFFSRQRNVIETKGFRIKSVISTEMDALIVGDRGIRVFLLPDPIFDKFELQRRT >Vigun04g190177.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41420930:41425861:-1 gene:Vigun04g190177.v1.2 transcript:Vigun04g190177.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSNFFNSTFSSFNKKIESEMKEVLQKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNDNHPSIFSIVGMGGLGKTTLAHHVYRDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHALKDDDLELNDDLKEIGRRIVEKCKGLPLALKTIGCLLRTKSSISYWKSVLENDIWELPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDHEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKKTRHFSFAFDDVECFDGFGSFTDAKRLRSFFPYEEFGGRNIDYYPLQFKILVHELFSNFKFLRVLSLDGYSELKEVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCLSLEELPLNLHKLTKLHCIEFENTKVTKMPMHFGELKNLQVLSPVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPVDALEANLKNKDLVELELKWESDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVFLRLEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLESLAFSKMKELEECERKTAAFPRLEYLDVYECPKLKGLPDQLVNVKNLYIRKASCLERCEHTVSHNSLEALTFLVFPIMNISMSRSFDLLEEILIFDSCDSLTTFPLDFFPNLKYLSLCSCRNLQIISQKHTHNRLKHLTIRSCSRFDSFPSEGLSAPQLLFIDIDGVENLKLLPKRIRILLPSLYILNIINCPKVEMFPDGGLPPYVGQVSLSSLKLIASLKETLGTNTFMKRLCIENIDVEFFPDEVLLPHSITSLEICRCPNLKKMEYKGLCHLSYLKLYDCPNLQCLPEDGLPKSISSLRIWSCPLLERRCQNPEGQDWNKIAHIEDLSVRSKV >Vigun04g202050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42495304:42495997:1 gene:Vigun04g202050.v1.2 transcript:Vigun04g202050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGLRGHQVHCEDRQGHRHLRSTTTHRLRPIILLLHHHHHRIIMLHRIHFILHRHPCITILPDLPADLMGLLASMDLPGLLDLLALVRIPVLMGLPGLLALMDIPGLHLHAGLTFEEYVEGPLACILWCFYYYKCAKIMSIIYGGVVFVWCGFSHFYNTPIIMYSMFYF >Vigun08g159300.3.v1.2 pep primary_assembly:ASM411807v1:8:33201859:33205288:-1 gene:Vigun08g159300.v1.2 transcript:Vigun08g159300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCMRTTPHESLRLALGKSNMGWHSWTIFGKSKLPHNPHSLQNINLYGEIPTQIGQLKRLQLLDLSHNNLNGEIPIHLTNCSKLEVISMLENKLTGKIPSWFGSGSMTRLKQLFLSANDLVGTIPPSLGNLSSLQNISVYENHLVGSIPHVLGRLSNLKKLDLGLNSLSGVVPYSLYNLSSIQILALDVNQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSISNITGLERFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGDLPRLIGNFSTHLNILSMALNQISGTIPEGIWQLIGLADITMQNNYLVGTVPDSIVRLKNLVRLDLGENKLSSNIPTAIGNLTMLSELYLYTNRFVGDIPLSLKYCMRMQTIGVSTNNLKGDIPNQTFGNLEGLTKLDLSYNSFTGSIPSDFGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKKPITPKTPSSSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNAKQRSS >Vigun08g159300.2.v1.2 pep primary_assembly:ASM411807v1:8:33201934:33205284:-1 gene:Vigun08g159300.v1.2 transcript:Vigun08g159300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCMRTTPHESLRLALGKSNMGWHSWTIFGKSKLPHNPHSLQNINLYGEIPTQIGQLKRLQLLDLSHNNLNGEIPIHLTNCSKLEVISMLENKLTGKIPSWFGSGSMTRLKQLFLSANDLVGTIPPSLGNLSSLQNISVYENHLVGSIPHVLGRLSNLKKLDLGLNSLSGVVPYSLYNLSSIQILALDVNQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSISNITGLERFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGDLPRLIGNFSTHLNILSMALNQISGTIPEGIWQLIGLADITMQNNYLVGTVPDSIVRLKNLVRLDLGENKLSSNIPTAIGNLTMLSELYLYTNRFVGDIPLSLKYCMRMQTIGVSTNNLKGDIPNQTFGNLEGLTKLDLSYNSFTGSIPSDFGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKKPITPKTPSSSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVFEFMPNGSLESLLHDKEEPKSRNLSVNLDLVVNIALDVANALDYLHHDSEEAVVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGHSSRDEVSSSAIRGTFGYIPPEYGTGCRVSAKGDMYSYGILVLEMLTGRKPTDSMFEGRKVMESKIRECLVALARIGVGCSAELPVERMDIKDVVLELHTIKQSLSLCH >Vigun05g168000.1.v1.2 pep primary_assembly:ASM411807v1:5:28526256:28540593:-1 gene:Vigun05g168000.v1.2 transcript:Vigun05g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAKGRLAGGYFGNGLDTAGESEGSGSSGRIDTEITVSENSSIPARKCISLNSSRRDAFGGPMQIVPLSNMSHSQRKDLVQRLRSELEQIRMLQKKIEVKRANGVAMSSSSDILSCSNGNNGHGVERDKKPSMSSSVAGNKMKPSANKNQKPRGWNRGSSGKFESAVRTASPTTAYAMLMKDCEVLLKRLMTHQYGWVFNTPVDVVKLNLPDYFSIIKRPMDLGTVKSKIGAGAYAGPLEFADDVRLTFSNAMTYNPPGNDVHLMADTLSKFFELRWKTIEKKLPRNDVLPLPAKPDNSEGVKTKKPAPPSKKRKIASLPPQPEIMPPAKKVMSDQEKHDLGRELESLLGEMPMHIIDFLKEHSSNGRECGEDEIEIDIDDLSDDTLFTLRKLLDDFLQENEKNKAKVEACEIEVLNDSGPSNSSLQPFKGNEPADEEVDIGGNEPPVSSYPHVEIVKDTTYRMNKSLSPGSFNDTDSDSSSDSESDDQKSSPANAAKAPENLGSEAQLSEKTRAAATLERNQSVSGLDQLEDNSQFKPSSFDSDCHQDGDSAPTERQVSPDKLYRAAVMKNRFLDTILKAREKTLTQGEKGDPEKLRQEREKLEMEQRKEKARLQAEAKAAEDARKQAEAEAAAEARRKRELEREAARQALLQMEKTVEINENSRLLEDLEMLRAVPAEQLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYIKADDEDDEGEPPSVPNPVHHDVEEGEID >Vigun11g153300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36282986:36283839:1 gene:Vigun11g153300.v1.2 transcript:Vigun11g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKSTVGDKALAEKKPKAGKKLPKEGGAGGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun07g218600.1.v1.2 pep primary_assembly:ASM411807v1:7:34112984:34119726:-1 gene:Vigun07g218600.v1.2 transcript:Vigun07g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSWNRQQQQPLLSSSAMLKRPRTEYDMSPSGLTSGGNEMHNYIARNDDHTGHRMLKDTKTLGSAYDRYLQNAGQLTSFNSGEASAIGGVGLARGVGGLPGHSLTDPAVMGHPGGGHDLARNGRNVNYGGQLPVDTASMPGPETVPLPPDASSTLYVEGLPSDSTRREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFANPACAATALSALQGYKVDELNPESSHLRLQFSRFPGPRSGPGPRGKR >Vigun07g218600.2.v1.2 pep primary_assembly:ASM411807v1:7:34112984:34119726:-1 gene:Vigun07g218600.v1.2 transcript:Vigun07g218600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSWNRQQQQPLLSSSAMLKRPRTEYDMSPSGLTSGGNEMHNYIARNDDHTGHRMLKDTKTLGSAYDRYLQNAGLTSFNSGEASAIGGVGLARGVGGLPGHSLTDPAVMGHPGGGHDLARNGRNVNYGGQLPVDTASMPGPETVPLPPDASSTLYVEGLPSDSTRREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFANPACAATALSALQGYKVDELNPESSHLRLQFSRFPGPRSGPGPRGKR >Vigun10g175000.2.v1.2 pep primary_assembly:ASM411807v1:10:39354637:39357273:1 gene:Vigun10g175000.v1.2 transcript:Vigun10g175000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPAQPKVSPAHPLLNYSFFFNSQSRNRDNRKRESRRTPSATASSVTRRAPPRRKSLRDEGPPPPGDSIQGMPERKPVVGLSWQPQFSIPSSSKGTDGSHAKPQTEPSNNALWKSSSELVDGLFVPPNNPKKLNKLLKKQAKDTAGNNWFNMPAQTITPELQKDLKLLKLRSALDPKRHYKKGDSKSKTLPKYFQVGTVVDSPLDFFSGRLTKKERKATLADELLSDQNLAAYRKRKVREIQEQNRPGGNEKWKIKGSNSRKRAKERRIY >Vigun03g271450.1.v1.2 pep primary_assembly:ASM411807v1:3:44583104:44583600:1 gene:Vigun03g271450.v1.2 transcript:Vigun03g271450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIRTTRNEICFYNHYLSKERIENMIWVEVKLAGNCYTHCKMSKGKNSLFLKVVSLHICVHNILYVEVMGVILAMEVAISKGWTNLWIECDFELVIQARSYTLIIPWDLCNRWLNLNCF >Vigun08g110800.1.v1.2 pep primary_assembly:ASM411807v1:8:27529409:27537670:1 gene:Vigun08g110800.v1.2 transcript:Vigun08g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLSSTINKPFSNATSIYRTSSSLSAHEKDKSRADWTKQLNEPLEVVDPEIADIIELEKARQWKGFELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAALFRPKLIVAGASAYARLYDYARVRKVCDKQKAVLLADMAHISGLVAAGVIPSPFDYADIVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQAMTPEFKNYQEQVLSNCATFAQSLLEKGYDLVSGGTDNHLVLVNLRNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFKKVAEYFDAAVKLALKIKENTNGTKLKDFVAAMQSDEQIQSKIADLRLKVEEYAKQFPTIGFEKETMKYGK >Vigun05g022432.1.v1.2 pep primary_assembly:ASM411807v1:5:1890788:1898825:-1 gene:Vigun05g022432.v1.2 transcript:Vigun05g022432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKHEHYWKKVDKEKDGSLKCKKCGHKFKGGVSRIEAHIKGTGGIRKCSSPLNDTTSSNHSEQHMNVIDTSPVGERTEEMTDSVGRSINNGRVIQSSLGGGDQGMVEMVGGAANPENSPSLTHLLEGQEAENGDGVVTTLESDCDELISDLTSGEEDIQGQLQLMESRGKERNRHVDWWLKELQNMKQRAINVKKTLNEFSCSNFNVQQGQMYLVEELENEIQDLFEEMVGHMEGKPLMLSNEFLGRQFEENVKKMWDLLREDKVFSIGIHGMGGVGKTFLATYMESEIKRTKTFKDVVWVTVSHDFTIFKLQQHIAEILKIKLYGDDERERALILASELEKRENIVLILDDVWKYIDMEKVGIPLRMKGNKLIITSRLRHVCQQMDCLPSNMIEVMPFKIGINFDDDWELFLLKLGDHGTPSTLPSQVLDIARSMVGKCDGLPLGISVMARTMKGETRIHWWRHALNKLDKLEMGVEMQEEVLTVLRRSYDNLTEKDVQKCFLYGALLPNPVRSNPVRRDLLIMNHVDMVLLNGKRRLEEIFDEANVIVDKLINHSLLLEDNRRLMMHPLVRKMALNIIKESGSNLMVKCGESVEKIPDIEEWTIDLEVVSLANYKIQKIPDGTSPNCPRLSTLLLFDNRITDIPECFFMHMNALTTLDLSGNDGLTRLPHSLSKLRTLTSLMLNDCSNLKYIRPLGELQSLLRLEISGCSIQVPPEGLENLVNLKWLDMSNDVNLKLVPGSFLPSLTNIQYLDLYGCSGIKAEDIQGMNFLECFAGTFVDRENLNRYVQQTLNSDYGPQTYSIHYQDQSHKGLWEEFWNREPLSEYKCRTMCIKDCEELSYVLPRDLVKLSVEDNGQWVCLCAALSSDDSSTLKEINIQYCAKLKSLFCLSCSLCANIQSLQSLRLSHLESLTTICNKDIVNLIQPWLPSGMFSQLKHFHISYCHGIKTLMTSSLVSDFQNLVSIIVSNCDSMEQIFALTSDDNDSIKITLPKLTTLCVSFLPQIKTVSERILVCKYGFRPVFFGCPKLCEPIIESII >Vigun11g060300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:13152371:13153199:-1 gene:Vigun11g060300.v1.2 transcript:Vigun11g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun09g232600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40392261:40395012:1 gene:Vigun09g232600.v1.2 transcript:Vigun09g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREQGDKMNMLIFKSLLISLLLVFCSRHTVAEKKTNHHSKSTYIIHMDKFNMPSSFNDHLLWYDSSLKSVSDSAEMLYTYQHVAHGFSTRLTSQEAELLSKQPGILSVIPEVRYDLHTTRTPEFLGLGNAITFSLPVGIRTDVVVGVLDTGVWAELRSFDDKGLGPVPSSWKGECERGKNFNPSNCNKKLIGARFFAKGYEAAFGPINESTESKSPRDDDGHGTHTSTTAAGSVVAGASLFGFANGTARGMAPQARVATYKVCWLGGCFSSDIAAGIDKAIADGVNILSMSIGGGLTDYYRDTIAIGTFAATAHGILVSTSAGNGGPSEASLSNVAPWLTTVGAGTIDRDFPAYVTLGNGKAYTGVSLYNGKLPPKTPIPIVYAGNVSGDSEGSQCSKGSLIAAKVAGKIVICDRGGNPRVEKGVVVKSAGGIGMILANNEDYGEELVADSYLLPALALGQKSSIELKKYVFSSSNPTAKLSFGGTQLGVQPSPVVAAFSSRGPNLLTPKVLKPDLIAPGVNILAGWSGAVGPTGMTEDTRHVEFNIISGTSMSCPHVSGLAALLKGTHPEWSPAAIRSALMTTSYRTYKNGQTLKDVDNGLPATPFDFGAGHVDPVAAFDPGLVYDATVDDYLSFFCALQYSSSQIKLVARRDFTCSKRKKYRVEDLNYPSFAVPFNTAFGVKGGSQKPTTVQYTRTLTNVGAPATYTVSVTQSPSVKIVVQPQTLSFRELNEKKSYTVTFTSSSEPSGTTSFAYLEWSDGKHKVSSPIAFSWT >VigunL059270.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000470.1:18451:18759:1 gene:VigunL059270.v1.2 transcript:VigunL059270.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun04g048900.5.v1.2 pep primary_assembly:ASM411807v1:4:4233289:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIPVPRYALVIREVPYQQLDYFIEEEDFVEVHGMRFFKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.11.v1.2 pep primary_assembly:ASM411807v1:4:4239619:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.15.v1.2 pep primary_assembly:ASM411807v1:4:4233322:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.4.v1.2 pep primary_assembly:ASM411807v1:4:4230452:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAEKVKIGVCVMEKKVFSAPMGQIFDRLQAFGEFEVIHFGDRVILEEPVESWPICDCLIAFYSSGYPLQKAEAYAALRKPFVVNELEPQHLLHDRRKVYKRLEMFGIPVPRYALVIREVPYQQLDYFIEEEDFVEVHGMRFFKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.13.v1.2 pep primary_assembly:ASM411807v1:4:4233732:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFVVNELEPQHLLHDRRKVYKRLEMFGIPVPRYALVIREVPYQQLDYFIEEEDFVEVHGMRFFKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.2.v1.2 pep primary_assembly:ASM411807v1:4:4230452:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAEKVKIGVCVMEKKVFSAPMGQIFDRLQAFGEFEVIHFGDRVILEEPVESWPICDCLIAFYSSGYPLQKAEAYAALRKPFVVNELEPQHLLHDRRKVYKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.17.v1.2 pep primary_assembly:ASM411807v1:4:4237669:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.6.v1.2 pep primary_assembly:ASM411807v1:4:4233297:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.16.v1.2 pep primary_assembly:ASM411807v1:4:4233289:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGFLSHLWRSLLMVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.1.v1.2 pep primary_assembly:ASM411807v1:4:4230452:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAEKVKIGVCVMEKKVNCHSEVFSAPMGQIFDRLQAFGEFEVIHFGDRVILEEPVESWPICDCLIAFYSSGYPLQKAEAYAALRKPFVVNELEPQHLLHDRRKVYKRLEMFGIPVPRYALVIREVPYQQLDYFIEEEDFVEVHGMRFFKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.19.v1.2 pep primary_assembly:ASM411807v1:4:4239621:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.20.v1.2 pep primary_assembly:ASM411807v1:4:4236229:4256744:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKE >Vigun04g048900.18.v1.2 pep primary_assembly:ASM411807v1:4:4237669:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.10.v1.2 pep primary_assembly:ASM411807v1:4:4236538:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun04g048900.14.v1.2 pep primary_assembly:ASM411807v1:4:4233732:4261904:1 gene:Vigun04g048900.v1.2 transcript:Vigun04g048900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIPVPRYALVIREVPYQQLDYFIEEEDFVEVHGMRFFKPFVEKPVDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVLRNPDGKEVRYPVLLSPFEKEMARDVCIAFSQAVCGFDLLRSQGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSVVPPTLPWKVNELIQPSEPLTRQGSGINGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPKSETKLKSAVELQDLLDATRMLVPRTRPDLESDSEAEDVEHAEKLRQVKVVLEEGGHFSGIYRKVQLKPLKWVKVTKGNGEIEEQPVEALMILKYGGVLTHAGRKQAEELGRYFRNKMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENASSEMDEAKARLKEIITCKAKTGDSNGSSEFPWTVDGAGLPPNASELLSNLVKLTKKVTEQVRLLAKDENEKLTERNLYDIIPPYDQANALGKTTIDVDRIAAGLPCGSEGFLLMYARWKKLETDLYNERKDRYDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQMLADGVIPNEYGINPKQKLKIGAKVARRLLGKLLIDLRNTREEAINVIKNNQEVSLSEKIKKDAEAKSKLSHKNDEIDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQEEEGLVCRGALERLSKTKELDYMSHVVLRMFENTEVALEDPRRYRVELTYSRGADLSPLEKEGSECTSLHQEHTLPIMGPERLQQIGSYLTLETMENMIRPFAMPAEDFPPTPAGFSGYFSKSVLDRLVNLWPFHRQSSNLGK >Vigun10g102700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29521010:29521992:1 gene:Vigun10g102700.v1.2 transcript:Vigun10g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps2 MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVGTKKKAADSVARAAIRARCHYVNKKWLGGMLTNWYTTKTRLQKFRDLRMQQKTGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICEGRSRYIRNS >Vigun03g231600.1.v1.2 pep primary_assembly:ASM411807v1:3:38609757:38613461:1 gene:Vigun03g231600.v1.2 transcript:Vigun03g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSCRFASAKHTPFLRNSHATSIFFLRNSHRVNTLVPSLPPIPPKPSSLSILRFRHLSAAKRFATLSSFAEGEAEGRKEGVRAANGDEVDGIAKAFDISSGTASAISICMALAVLSFPLMMKSLGPGLALKTRVLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVTAAVLEFSGALLMGSHVTNTMQKGILLANVFNGKHTLLFAGLLSSLAAAGTWLQFASYYGWPVSTTHCIVGAMVGFGLVYGGPGAVYWGSLARVISSWVVSPLMGAAVSFLVYKCIRRFVYSAPNPGLAAAAAAPIAVFLGVTGISFVAFPLSKSFPLALAQALVGGTVGAFLVDRIIRKQLGHLLVKSNTPKPEPKEGTVHHNIGFLDDVAGPKGAQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALAILQGGAMGAEIVIPTDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISGTHTLVGAVMGVGFARGFNSVRAETVKEIVASWVVTIPVGAVLSVIYTWILTRILSYIL >Vigun03g370000.1.v1.2 pep primary_assembly:ASM411807v1:3:57252058:57261979:-1 gene:Vigun03g370000.v1.2 transcript:Vigun03g370000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDDDDFGGLYTDLPQSFAPSPPKAQPIHSQQSPQQPPCHAEPAIADSNVVADEPPVDLIDSDVKFDIEDEEIEDEPLIPGLTVDTVEASGRVGEGDDWDDSDDSEDDLQIVLDDGGLPNADDGDLDVAAAAAGDTKERDWGENSTQQPVDGEKKDVGESVKALAPKIGYSNQGYHHPFHSQFKYVRPGAASIPAATSSTPGGPLGQIRPQANMNAVAGHGRGDWRPAGIKGAAAMQKGFQAGSGLPMRGHNTTGRGFGGGLEFVLPSQKTIFDVDIDSFEEKPWKYPGVDASEFFNFGLNVDTWKDYCKQLEQLRLESTMQSKIHVYERGRTEQDYDPDLPPELAAASGIHDVPANNNANYVKSEAGQNDLIKGSGLMRPPIPTGRAIQVESGSGDRLPSIDTRPPRIRDSDAIIEIVLQDPADDVSNAKIDVQDQQEGDEPQRKDFSDDHVSGYEIPRLESKYFNGQKRELTGRRMPNMKADPTSTPIEDKNLSFPQKKTIDYADSRKIQGSACDQSPRFTCSQEVTIVDNKKEESVESMEGRHSAHLSFPADGDVKESSIENNQLDEAGIADGTSRLEKGYIDLNAVDDRDNIKDGVAERQNITSKVEQPLLGDGSDWEDSKSVRSSDNSKAKSESSRDHKQWDGFEVVQDPHAVHLGSIRMQSDDNEQVFHRREQAGGKKTETNGMVIKSRENSYSQKDRHSSSAHQSHIRIDGFESPRDRVSSDMDWECRNDDLYGRRVKHDEPRKRDKGRVRENDRSDNDDSFRSRKQLDNGSYRVPYDKDVGSRVSRHKERDDSLKDKNEALEDFRNKRRKNEEYLRREHVAKEAVRGYRENVSRHKPEKNSVLDPRKRDDHQRSRIDLDVQYAARPKNEAWLLRERGNRKRDREDWHQVKQSREENPFKRERGGRDSARRGRGAEEKILTGLVRAKDEHKVSEKEHQHREAMRHSDQLKTTDRIKGESPHRKGHDDAFVRGNQYNSEERRSREEKSSSRGYCASNSLDTQRVHERKRDEGSRKSKEPIVGSLGTSNKSPEAQSGQANFKGMKGSGDEDHPEDEIPGHRLSRKRNWEANSDDEQQDSQRGRSKYERRTNLKERDLSISSKSSSSLKFKDLEKDNNDRSSESRKPVDESTKLVYTNHQPHLSVEGKDFVDVEIKDAKAKELEDQHPDTVGKLKKRSERFRVPRPSEKEAAVKKLEDEPQPSAEKSENPMDSEVKLELPPRKRRCIGN >Vigun08g093300.1.v1.2 pep primary_assembly:ASM411807v1:8:21907432:21913201:-1 gene:Vigun08g093300.v1.2 transcript:Vigun08g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVHNLQFGTHMNGVVRSCGQDLKPPLPLDFDLDSSSSDEDENDDASYLKEYIRKVNAETEASVMDPRDEGTADQWVARNASMVRLTGKHPFNAESPLPRLMHHGFITPVPLHYVRNHGPVPKAKWEDWTVEITGLVKRPTRFTMDRLVREFPHREFPVTLVCAGNRRKEQNMVKKTIGFNWGSAGTSTSVWRGVPLRHVLKRCGILTRSKGALHVCFEGAENLPGGGGSKYGTSISREMAMDPSRDIILAYMQNGEPLAPDHGFPVRMIIPGFIGGRMVKWLKRIVVTELECDNHYHYKDNRVLPSHVDPELANEEGWWYRPEYIINELNINSVITTPCHDEILPINSWTTQRPYVVRGYAYSGGGRKVTRVEVTLDGGETWHVCTLDHPEKPNKYGKYWCWCFWSLEVEVLDLLGTKEIAVRAWDEGLNTQPENLIWNLMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTQPGNQPGGWMAKEKHLETSQEPKPSLKKSVSTPFMNTSSKMFSISEVKRHSSPDSAWIIVHGHVYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELITTGYTSDSSPNNSVHGNSEFTHLAPINEIASKPPLPPRSVALNPREKIPCKLVSKTSISHDVRLFRFALPSENQLLGLPVGKHIFLCATIDGKLCMRAYTPTSGVDEVGFFELLIKVYFKGVHPKFPNGGLMSQHLESLSIGSMLDVKGPLGHIEYTGRGNFTVHGKPRFAKRLAMLAGGTGITPIYQVAQAILKDPEDLTEMHVVYANRTEEDILLREELDAWAKEHSERFKVWYVVETAREGWEYSVGFITETIMREHLPEASRDSLALTCGPPPMIQFAVQPNLEKMGYDIKNDLLVF >Vigun09g237400.4.v1.2 pep primary_assembly:ASM411807v1:9:40792287:40799994:1 gene:Vigun09g237400.v1.2 transcript:Vigun09g237400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTVGPMPETLEFDCGSTPGNSTVDQQICWNNVNPAENQIPDYILSPGDMNSPYVNSINHEWQNFSGWSLGEPSSSNTPNEINNNEQKRELGWTSTITAGALPGASLEERHLEPTNTLSLDNDNTSPMYMRNPETRLTSQNLNLNAGLADSGNDNSQHLELPNLNMSSGSSNECLPSNVGSGSFLLPSANNGFLVEGSDGRPSSLDTRRVSCKRKAVEGNNGQSSDAGSSSYNQHADGSVWHAIPTQDNAGSSSNRAVSSEQVNARLGLGMGNEASENVPDSNIAGSSESFHRNFRLRLNPLSQQNSVPAAAFSTGSTIRQSGVSSSSQASQRFHSVDNSLNLRSAPPIDNVVPQSQPLVIHVPALPRNRQSFRWNSGSSSRNIHASNPVICADRDQEDASSRRMSRNILEHPVFVPATDLRNLVQNPTVRASSSSSENLSIPGNVASSSRTGSNPATNPSPASNWVSRPNPPQHPRRLSEYVRRSLFSPGSDPIGNPSNAYSSLRSGLSTSEPRPLSSGTGANPRSSPWMDRQGESEFGIPYSLRTLAVASEGSSRLVSELRNVLGIMRRGGNVRFEDVVILDHQSFLSGIAADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAVLKLLKQKKHSVEKETQIDAEPCCVCQEDYGDGDDIGTLDCGHDFHSDCIKQWLMHKNLCPICKTTGLAT >Vigun09g237400.3.v1.2 pep primary_assembly:ASM411807v1:9:40791946:40799994:1 gene:Vigun09g237400.v1.2 transcript:Vigun09g237400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTVGPMPETLEFDCGSTPGNSTVDQQICWNNVNPAENQIPDYILSPGDMNSPYVNSINHEWQNFSGWSLGEPSSSNTPNEINNNEQKRELGWTSTITAGALPGASLEERHLEPTNTLSLDNDNTSPMYMRNPETRLTSQNLNLNAGLADSGNDNSQHLELPNLNMSSGSSNECLPSNVGSGSFLLPSANNGFLVEGSDGRPSSLDTRRVSCKRKAVEGNNGQSSDAGSSSYNQHADGSVWHAIPTQDNAGSSSNRAVSSEQVNARLGLGMGNEASENVPDSNIAGSSESFHRNFRLRLNPLSQQNSVPAAAFSTGSTIRQSGVSSSSQASQRFHSVDNSLNLRSAPPIDNVVPQSQPLVIHVPALPRNRQSFRWNSGSSSRNIHASNPVICADRDQEDASSRRMSRNILEHPVFVPATDLRNLVQNPTVRASSSSSENLSIPGNVASSSRTGSNPATNPSPASNWVSRPNPPQHPRRLSEYVRRSLFSPGSDPIGNPSNAYSSLRSGLSTSEPRPLSSGTGANPRSSPWMDRQGESEFGIPYSLRTLAVASEGSSRLVSELRNVLGIMRRGGNVRFEDVVILDHQSFLSGIAADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAVLKLLKQKKHSVEKETQIDAEPCCVCQEDYGDGDDIGTLDCGHDFHSDCIKQWLMHKNLCPICKTTGLAT >Vigun09g237400.1.v1.2 pep primary_assembly:ASM411807v1:9:40792287:40799994:1 gene:Vigun09g237400.v1.2 transcript:Vigun09g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTVGPMPETLEFDCGSTPGNSTVDQQICWNNVNPAENQIPDYILSPGDMNSPYVNSINHEWQNFSGWSLGEPSSSNTPNEINNNEQKRELGWTSTITAGALPGASLEERHLEPTNTLSLDNDNTSPMYMRNPETRLTSQNLNLNAGLADSGNDNSQHLELPNLNMSSGSSNECLPSNVGSGSFLLPSANNGFLVEGSDGRPSSLDTRRVSCKRKAVEGNNGQSSDAGSSSYNQHADGSVWHAIPTQDNAGSSSNRAVSSEQVNARLGLGMGNEASENVPDSNIAGSSESFHRNFRLRLNPLSQQNSVPAAAFSTGSTIRQSGVSSSSQASQRFHSVDNSLNLRSAPPIDNVVPQSQPLVIHVPALPRNRQSFRWNSGSSSRNIHASNPVICADRDQEDASSRRMSRNILEHPVFVPATDLRNLVQNPTVRASSSSSENLSIPGNVASSSRTGSNPATNPSPASNWVSRPNPPQHPRRLSEYVRRSLFSPGSDPIGNPSNAYSSLRSGLSTSEPRPLSSGTGANPRSSPWMDRQGESEFGIPYSLRTLAVASEGSSRLVSELRNVLGIMRRGGNVRFEDVVILDHQSFLSGIAADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAVLKLLKQKKHSVEKETQIDAEPCCVCQEDYGDGDDIGTLDCGHDFHSDCIKQWLMHKNLCPICKTTGLAT >Vigun09g237400.5.v1.2 pep primary_assembly:ASM411807v1:9:40792317:40799994:1 gene:Vigun09g237400.v1.2 transcript:Vigun09g237400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTVGPMPETLEFDCGSTPGNSTVDQQICWNNVNPAENQIPDYILSPGDMNSPYVNSINHEWQNFSGWSLGEPSSSNTPNEINNNEQKRELGWTSTITAGALPGASLEERHLEPTNTLSLDNDNTSPMYMRNPETRLTSQNLNLNAGLADSGNDNSQHLELPNLNMSSGSSNECLPSNVGSGSFLLPSANNGFLVEGSDGRPSSLDTRRVSCKRKAVEGNNGQSSDAGSSSYNQHADGSVWHAIPTQDNAGSSSNRAVSSEQVNARLGLGMGNEASENVPDSNIAGSSESFHRNFRLRLNPLSQQNSVPAAAFSTGSTIRQSGVSSSSQASQRFHSVDNSLNLRSAPPIDNVVPQSQPLVIHVPALPRNRQSFRWNSGSSSRNIHASNPVICADRDQEDASSRRMSRNILEHPVFVPATDLRNLVQNPTVRASSSSSENLSIPGNVASSSRTGSNPATNPSPASNWVSRPNPPQHPRRLSEYVRRSLFSPGSDPIGNPSNAYSSLRSGLSTSEPRPLSSGTGANPRSSPWMDRQGESEFGIPYSLRTLAVASEGSSRLVSELRNVLGIMRRGGNVRFEDVVILDHQSFLSGIAADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAVLKLLKQKKHSVEKETQIDAEPCCVCQEDYGDGDDIGTLDCGHDFHSDCIKQWLMHKNLCPICKTTGLAT >Vigun02g180000.1.v1.2 pep primary_assembly:ASM411807v1:2:32094330:32099235:-1 gene:Vigun02g180000.v1.2 transcript:Vigun02g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSHTHPFLRSHSLSSFTSSSFLLLLPPSTLRSSKRLRSSPFATSSPIHPNGTKFPTQGLSHSCKNSKNSKNSPESLARISNWKFPLAPIAHSNFSVRLLKFMMLFGFFTLKHSYPAHAASDFFNAFSLSPAFGDFDDISTGFASAFLLIFFSELGDKTFFIAALLAARNTAGVVFIGTFSALAAMTLISVVLGRTFHYVDEILPFRFGETDLPIDDIAAVCLLVYFGVSTLLDASSSNGQKSDEEQKEAELAVSEFSGNGAGILSAASTAASTFLLVFVAEWGDKSFFSTIALAAASSPFGVIAGALAGHGVATLLAVLGGSLLGTYLSEKVISYIGGVLFLVFAAVTLYEIVQ >Vigun02g180000.2.v1.2 pep primary_assembly:ASM411807v1:2:32094330:32099235:-1 gene:Vigun02g180000.v1.2 transcript:Vigun02g180000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSHTHPFLRSHSLSSFTSSSFLLLLPPSTLRSSKRLRSSPFATSSPIHPNGTKFPTQGLSHSCKNSKNSKNSPESLARISNWKFPLAPIAHSNFSVRLLKFMMLFGFFTLKHSYPAHAASDFFNAFSLSPAFGDFDDISTGFASAFLLIFFSELGDKTFFIAALLAARNTAGVVFIGTFSALAAMTLISVVLGRTFHYVDEILPFRFGETDLPIDDIAAVCLLVYFGVSTLLDASSSNGQKSDEEQKEAELAVSEFSGNGAGILSAASTAASTFLLVFVAEWGDKSFFSTIALAAASSPFGVIAGALAGHGVATLVTPSSVINRSNC >Vigun03g208600.1.v1.2 pep primary_assembly:ASM411807v1:3:34281249:34283199:-1 gene:Vigun03g208600.v1.2 transcript:Vigun03g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDNGEKLDEVVLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIKQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTNPSSSPKKFMDKTIPANESWAICRIFKKTNATAQRALSHSWVSPVPETTTSDHMFSTDRYGNQFSSPNMPLPKKTSLTSQFCTFNHSDTQQHSTTTSSSTPCPIDILASYNKPIINPLMLYKPLDLLPISNGDLSTGLIFSSPVETSTNCAKSSMDVSSLLMSTSTSEGMCTNFGGLHEHSNGYQIPLLREMQGSFGNHDDNVLVKIPNVNVPRVADQQSETVRSIGFPFSIGDAWKSNALWDTSSLSL >Vigun03g420400.1.v1.2 pep primary_assembly:ASM411807v1:3:62617158:62624397:1 gene:Vigun03g420400.v1.2 transcript:Vigun03g420400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPSEAPSAGTGETISKNALKRELKNKQREEERKRKEEEKAKKAAEMQKAKDNKSAPADDEDMDPTQYLENRLKYLSAQKTDGKNPYPHKFFVTMSIEEYIKEYGGLSDGQHAEDVSVSLAGRIMHKRTSGSKLVFYDLHGGGFKVQVMADASKSNLDEVEFSKFHSNVKRGDIVGITGFPGKSKKGELSIFPKNFVVLSHCLHMMPRQKSAAAAVDNANLKKSPWVPGNARNPETYILKDQETRYRLRHLDLMLNPEVRDIFKTRSKVISYIRRFLDDLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYNDLMDITEQMLSGMVKELTKGSYKIKYHANGVDKDPIEIDFTPPFRRIDMIEGLEQIAGLSIPKDLSSEEANQYLKDACLKFEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPAVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQD >Vigun11g034100.1.v1.2 pep primary_assembly:ASM411807v1:11:4552792:4565730:-1 gene:Vigun11g034100.v1.2 transcript:Vigun11g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHIYSSYSPSTNYRFRDSDSSTMFLSHHHHRAADSTALRFSSSLADDIAPPGVTSRIVSSSAANYLSPWASSAATSSLDFKRSSDALYHPTILGTIGQSEAWYSTNSLAKRPRYESASNMTIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPGWVPEGGIRDWKEVPNVVISETPPERPGVPDCPFFLKTQRCKFGSKCKFNHPKVSSENDDVTSGLPDRPSEPPCSFYMKTGKCKFGASCKFHHPKDIQIQSSDELSHTAAQTEINSMIGGALGDTQPIKSLISPSLQNSKGLPVRLGEVDCPFYMKTGSCKYGATCRYNHPDRNAINPPIAGLGASIFPSSATSLNIGLLSPAMSVYQGFDPRLSNPMSQVGIAETIYPQRPGQIECDFYMKTGDCKFGERCKYHHPIDRSAPSLSKQASVKLTPAGLPRREGAVICPYYLKTGTCKFGATCKFDHPPPGEVVEMAKSQGTSADGGEAED >Vigun03g134200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13150721:13152784:-1 gene:Vigun03g134200.v1.2 transcript:Vigun03g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAFVILLVVLYSLFRLAMSDINFVKYGFLQSGLKMDGAYVRPNGILTLTNDSAKILAHAFYPSPLPFKSSKNKSIVATFSTTFVFSIVPKYPDLGGHGFAFVLISTNEPKGCLKNQYLGLPNETSNKQLSTRFLAVEFDGVQNLELEDIDDNHVGIDISSLISNTSKSAAYYIERNGQEDHSKNISISLKSGKPIQAWVDYNEREMMMHVTISPFGMPKPYFPLISFRIDLSLVFDDYMYAGFSASNGLLIAEYNIHGWSFKIGAAAQELENSAVPLFRSNTSIKVVHKKHYAAGITLASASLFILTIIGALALHRLRNREEVLEDWELEFATHKFKYSELHSATGRFGDRNLIGYGGFGKVYRGVIPSTGLEVAVKRVAPDSRQGIREFVAEITSMTQLKHRNLVQLHGWCRKQNELLIVYDYLPNGSLDKLLFENGDQKKKVLNWDHRYKIITGVAQGLLYLHEESEVQVVHRDVKPSNVLIDADLQPKLGDFGLARTYEHGINPQTTSVVGTLGYMAPELTKTGKARTNTDVYGYGVLILEVACGRRPIEPQKNPEELVLVDWVRELHHQGQICRAIDPSLYEYDRDEAQLVLSLGLLCSHPNPDYRPNMRSIVQFLMGEIILSPLPPDIHGEGPRPIPKCPCNFPYDSGPSSSMVSSSNYHSLTCFDEVVYPAEVTGITS >Vigun01g002100.1.v1.2 pep primary_assembly:ASM411807v1:1:291544:298059:1 gene:Vigun01g002100.v1.2 transcript:Vigun01g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKLIRDFFLSKQSLLLPSPHHRHQGWNRNRRLLLGWEDRRRYSVFNDFSNKIKGEAVRNQEFQQSVKELKEKADELKGVKEELKERTKQKTEKLYKQVDEVWTEAEAAAKKVSYNVKEKISAATEEVKGTFGIGKQESSGSTDSSTKQGADVNGENKTSSQEEQTQQSGSSNATDSLFGKFKSTISSPNISAAFQKLKDAKIVDITKKSYDIVKEELSSTPTKRKRVPFASSGETSTRTDLVVMPSKQSWWSKKFDEFREKVKGHPVSKRFLKYSDPVKTKGQEIVEDLRERYETSDSPIVHKIQDINDSMFQETDAAISYKEIRQRDPYFSLPEFVVEVQEAIKPVLNAYIKGDVETLKKYCSPELVERLKAERHAYQSNGIFFDNKILHVSDVDVRETKMMGSSPVIIVMFQTQQIYCVRDRNGAITEGGKDTIHTVFYFWALQQMDQEDRGEDGIYLMWRLREMQQQGIQALI >Vigun03g030300.1.v1.2 pep primary_assembly:ASM411807v1:3:2281943:2284632:1 gene:Vigun03g030300.v1.2 transcript:Vigun03g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNNITAVLNFVAMICSIPIIASGIWLASKPDNECVANFRWPILIMGLLILLVSLAGFVGAYWNKQGLLALYLFSMALLIAILLILLVFAFVVTRPDGAYDVPGRSYKEYNLRGFSSWFRNRVTGSGSWHKIKPCLAASDVCTKLTLNYITADQFFASHISPLQSGCCKPPTVCGYSFVSPILWTNPVNPTADPDCYSWSNDQNQLCYDCNACKAGLLGNLRKEWRKANIILIVAVVVLIWVYVIACSAFRNAQTEDLFNRYKQGWV >Vigun11g049800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8058495:8061629:-1 gene:Vigun11g049800.v1.2 transcript:Vigun11g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKSLALHSFASVDYLLCGVPLRIRLSEQCRKLYTLAIAETPLITQFKTCANENETLSIGNHPNPQVSCFPQKGFSIITDFILGKALHAFCVKGVLQLGTFHANTLINMYCKFGSLKHAQHVFDKMPQTNEASWNNMMSGFVRVGWYREAMKFFCHMLEHDFRPNSYVAASLVTACDRSGCMAEGALQAHALVVKCGLVNDVFVGTSLLHFYGTCGRVSEVDKLFQEIEEPNIVSWTALMVAYAYNGCLKDVLTVYQFLRHNGVYCNENAMATVIRSCGVLGDKKLGYQVLGSVIKSGLDITMSVANSLVSMFGNFDSIEEASCVFDNMNERDTISWNSIITASVHNGYCEESLEYFSQMRYTHKETDYITISAMLPVCGSAQNLRWGRGLHGIVVKSGLESDVCVCNSLLSMYSQAGKSKDAELVFHRMPERDIISWNSMMASFVENGKYPRALQLLIEMLQTRKETNYVTFTTALSASYNLEKLKTVHALVILLGLQPNLIIGNALVTMYGKFGSMAEAQRVCKIMHEKDEVTWNALIGGHADNKETNAAIEAFNLLREEDVPVSYITIVNLLSACLSPDNLLVHGMPIHAHIVVAGFELDTFVQSSLITMYAQCGDLNTSNYIFDELANKNSSTWNAIVSANAHYGPGEEALKLIVMMRNDGIHLDQFSFSVALAIIGNLTLLDEGQQLHSLIIKHGFETNDYVLNATMDMYGKCGEIDDVFRILPQPRSRSQRSWNILISALARHGFFQLAREAFHEMLDLGLRPDHVTFVSLLSACSHGGLVDEGLAYFSSMSTEFCVPIGIEHCVCIIDLLGRAGRLAEAESFINKMSVPPNDLVWRTLLASCKIHGNLELARKAADHLFQLDSSDDSAYVLYSNVCASTRRWRDMENVRKQMESHNIKKKPACSWIKLKNQVTTFGMGDQYHPQNAQIYAKLEELKKMITEAGYVPDTSYSLQDTDEEQKEHNLWNHSERIALAFGLINSPEGSPLRIFKNLRVCGDCHSVFKMVSQTIGRKIILRDAYRFHHFSNGVCSCLDYW >Vigun09g174800.2.v1.2 pep primary_assembly:ASM411807v1:9:34608999:34610455:1 gene:Vigun09g174800.v1.2 transcript:Vigun09g174800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWLACSTQGRVAFLTNVLELHTLPEAKSRGDLPVLFLKSSKQPKEFAESLKSEAHYYNGFNLILVDIESKSMVYVSNRPKGLPITIEEVAPGLHVLSNDKLDSPWHKSLRLELSFKEHVAKYGEGEIGVKEVIEKVMKDRVKADKSLLPRICSLDWEFNLSSIFVEVETPLGVYGTRSSAALSVRSSGEASFYEVYLDDTKWKEHVIDFHIGKLK >Vigun09g174800.1.v1.2 pep primary_assembly:ASM411807v1:9:34608727:34610455:1 gene:Vigun09g174800.v1.2 transcript:Vigun09g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQCHPLYPFLLLNNRDEYHNRPTKPACWWEDCDILGGRDEIAMGTWLACSTQGRVAFLTNVLELHTLPEAKSRGDLPVLFLKSSKQPKEFAESLKSEAHYYNGFNLILVDIESKSMVYVSNRPKGLPITIEEVAPGLHVLSNDKLDSPWHKSLRLELSFKEHVAKYGEGEIGVKEVIEKVMKDRVKADKSLLPRICSLDWEFNLSSIFVEVETPLGVYGTRSSAALSVRSSGEASFYEVYLDDTKWKEHVIDFHIGKLK >Vigun10g092100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26406646:26408175:-1 gene:Vigun10g092100.v1.2 transcript:Vigun10g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATGKPGCLRNVLTRLLIFGLLIVIVRFAYVITLAGESCTLGDFCFFSPPLNLAIAGTRSGALAVRSASGGAAAPERYASKDWISGVRFYSSVFQDLIAGGFLSPAAKSLCLETPTGRDVLALREIGVTDAIGISKKASPPLVKAGDARRIPFANGTFDFVFAGDGALERSPRPAEFAAEIARTLKPEGFAAFHVKANDTYSFNSFVDLFNFCCNVVKINEVIGFDSSMSRVRELVVKKERYGVGSDSNSNSNSNSNGKCSVPEYKKKLVRNAEALIEEEPLKPWITLKRNVKNIRYLSSMVDISFKDRYAYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKTFHQEYGLKKGVTLLPYAAWVRNESLVFEINRGPGEKDQGKGRGMGRIQPLQSSSGFDGGEVERIPGFDFADWLKNTFSKNDFVVMKMDVEGTEFDLIPRLFETGAICLVDEIFLECHYNRWQRCCPGQRSPKYERTYDQCLQLFTSLRQSGVLVHQWF >Vigun03g099500.1.v1.2 pep primary_assembly:ASM411807v1:3:8514989:8518089:-1 gene:Vigun03g099500.v1.2 transcript:Vigun03g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQTVVSPRRFSPFCEAILHPLSSLSIKNPMLEGTHSFSHYSPVSNHRIVKSISCSGSTKSHPKPESEPERETGSNQRARPKARSRSGQPKDVVSNNDVKNSADTFPTTIPRKPRRGRRSEAVAVEDMVRDTLERTFATIQQQNEDSLKNYENIMKERDGDNSETESGDSDDDNDEKEEDGGEDTGKKVVIEEESKSWPLDADVGWGVRASEYFEKHPIKNVVGEDGSQIDWEGEIEDNWVQEINCLEWESFAFHPSPLIVLVFERYNRASDNWKNLKELEKAVKVYWKAKNRLPPRAVKIDINIERDLASALKVKECPQILFLRGNRVVYREKELRTADELVQMIAFFYYNAKKPAWIDDKVLFYRH >Vigun05g068900.1.v1.2 pep primary_assembly:ASM411807v1:5:5951050:5952790:1 gene:Vigun05g068900.v1.2 transcript:Vigun05g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVPVLLKQLMKARSKFRERGRDELFDKKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYNHLRKLDRRKLDEGMDGMCQRIRDSAHNLLPNDGFDDSSKDEDHIGGQIFHSSQELWKARDKIRYPGNHYYTPDHLSITCLWSLLVFPENAVIRKRIAINLWIGEGLLENTKNKTTEKLGEDVISDLFQLNLIEGKSLLANKFQILPSVRHQMESYLLKENAEHRGQYFIPGIYLQKRPWRLQLERRKVTLGGDDWYFGGRTIGTVFNNGASYLNFRPQWVTELMNLEVLQLGGWQDSALHHIEVGSQEFLKDMRYLEELKYLSLRGISRIFELPSSTAELEKLLILDVKACHNLERLPDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNMRVLKGLLISTYEKTPCRISDLVKLRKLRRLSIRIGSEAEMRDGEFEGLEDFSALEKLKISWSVSDPKYANINVALPLRLKKLHLECFPGKSSEECFMPRQVGHGGIIFTSRELNITGGKLENMKKKILIGEIKQISNYSYIEREWDF >Vigun05g175300.1.v1.2 pep primary_assembly:ASM411807v1:5:32234889:32236502:1 gene:Vigun05g175300.v1.2 transcript:Vigun05g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPYIYVRSSKQKHLKRTKNEDRISKLPESLISCILSFLPTKDAVGTSVLSKTWVYRWTSITKLDIDDTFYSPKKKNRGKQNFINFLYRTLLLTKPSSFSLVLVHNHDVTLFNIWISNILIQRVKNLRIVTRFDMSFSAQASHFLFESFCLEELVLNMVSCAIRVTKTYVYFGQLKLLKLSGVLFIYDSVSDFNLCLPVLKVFETTNCAWLKAKRVTLKVPLLESVIIVQDAKSGSYATNNCAIEFSASHLKDFTYRGYDYISHFFKLQDPSSAHNASLTLTVSPCEKNKDTMIESRVVVLLRQFRKMKCLKFDGLQELGQRSVANLPLFGMLSHLDLGSVTGDVLLGLLLKSPILRTLVFEGISKFDQEFLNSAAVPECLTSTLQVVKFGSLYGFEDELCLAKFVMENGLMLERMSFSIGYWQRKSKVIEEFKQKLFSYKKAFSCAIIEFSHDWDVFE >Vigun09g106660.1.v1.2 pep primary_assembly:ASM411807v1:9:20624080:20625410:-1 gene:Vigun09g106660.v1.2 transcript:Vigun09g106660.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNLSLRLHALSSGVVTTPSEVKQREELVVLPVDNGENLISDHAHFNLSTTPPFSVQAIQTSQHHAKSRKSLVRRFSARYTV >Vigun03g001400.1.v1.2 pep primary_assembly:ASM411807v1:3:118633:121250:1 gene:Vigun03g001400.v1.2 transcript:Vigun03g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFLFSLIITYVLCVLSASAQLQRFPHPSRHDGSLSFLVLGDWGRRGSYNQSQVAFQMGKVGEKLDIDFVVSTGDNFYDNGLINELDNAFEESFTKIYTAKSLQKQWYSVLGNHDYRGDAEAQLSPVLRGMDSRWLCLRSFIVDSELVEIFFVDTTPFVQEYFAEPQEHKYDWRGIGPQKPYVNNLLKDLELALGESTAKWKIVVGHHAIRSVGHHGDTQELIEKLLPILQANNIDFYMNGHDHCLEHISDTESPIQFLTSGAGSKAWRGDIKPMNRRGLNFFYDGQGFMSVKLTQTDATIEFYDVFGNVLHRLASSKQLHSSS >Vigun11g007300.1.v1.2 pep primary_assembly:ASM411807v1:11:795117:797067:-1 gene:Vigun11g007300.v1.2 transcript:Vigun11g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSSLYSALLCFCLLLLANLGSVLSISQISGNEVNKDGKVVNEELGKVNVEGDDEESKFKGLFPKPIPFAKPIPKPVPLIKPIPIPFYKKPTPKPIPDIKPIPSEEAKFKGIFPKPIPIVKPVPKIIPVVKPIPILKPVPKIIPIVKPIPILKPVPKVVPIVKPIPIIKPVPKVVPIVKPIPILKPVPKPIVKPIPVLKPVPEPFVKPIPKPFAVKNPIPTIESEEFLKPKPFFKKSIPKLPFYPKFKKPFLPKLPIQKPIPSP >Vigun09g020000.1.v1.2 pep primary_assembly:ASM411807v1:9:1551588:1554804:1 gene:Vigun09g020000.v1.2 transcript:Vigun09g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLACSLLLGMACSIVIGAYEESLYPRTISSDNYHEAIQRLQSFKSSLTTRDSIASAPSSSSSFSTLSAPAPAPSPLSLQGVNYPRVYHVTSYGADPTGSSDSTEALLAAIEDAAKGPSEGYLMQGIKNLGGSRINLEGGNYLISQPLRLPVAGVGNLMIHGGTIRASDGFPADGYIIDLSPSSNEGNTESSSHSYNFEYITLKDLLLDSNFRGGGISVINSLRISIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDKNERNFSGTGINLQGNDNAVTDVVIFSAAIGIMVTGQANAFSGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYMDYTNIVAEDPVQFHVSSSFFLGDGNIVLKSKNGVIKGVDIVDNMFSGSDHGVEIVQLDQSNNPFHQIEQVTVDRNNARRMKLKATVAKGSMQGNGTSWTVDFNNVLLFPNLIKHVQYSLSTPGSTFPNHILRNVSENRVVIETNEAVPASVFVTVDQSETS >Vigun05g027500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2235886:2238979:-1 gene:Vigun05g027500.v1.2 transcript:Vigun05g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLQIPQIVRHTPSQSHLCCSSRVYSRLPVTFASLNPSTNPIKDIKSNNNQLIQSLCKGGNLKQAIHLLCIEPNPTQQTFEHLIYSCAQQNSLSDGLDIHRHLTNRGFDQDPFLATKLINMYHELGFIDRARKVFNETLERTIYVWNALFRALAMVGRGEELLDLYAQMNCTGVPSDRFTYTYVLKACVVSELSVCPLRKGKEIHAHILRHGYEANIHVMTTLLDVYAKFGSVSYANSVFCAMPTKNFVSWSAMIACFAKNDMPMKALELFQLMMLEACDSVPNSVTMVSVLQACGGLAALEQGKLIHGYILRRGLDSILPVLSALITMYGRCGEISMGQRVFDSMKNRDVVSWNSLISIYGMHGFGKKAIQIFENMIQHGISPSYISFVTVLGACSHASLVEEGKILFESMLSKYRIHPGMEHYACVVDLLGRANRLDEAIELIEDMHFEPGPTVWGALLGSCRIHCNVELAERASSMLFELEPKNAGNYVLLADIYAEAKMWSEAKSVMKLLEARGLQKLPGCSWIEVKKKVYSFVSVDEHNPQIEEVQALVVKLSTEMKEQGYVPHTNVVLYDLDEEEKERIVLGHSEKLAVAFGLINTAKGETIRIRKNLRLCEDCHAVTKFISKFANREILVRDVNRFHHFRDGVCSCGDYW >Vigun06g034950.1.v1.2 pep primary_assembly:ASM411807v1:6:14762710:14767687:-1 gene:Vigun06g034950.v1.2 transcript:Vigun06g034950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRGTCMLLGTIKKIEQWKEVDIYFVKLAESHTSLFAIGISKFYHG >Vigun08g053850.1.v1.2 pep primary_assembly:ASM411807v1:8:6482357:6487166:-1 gene:Vigun08g053850.v1.2 transcript:Vigun08g053850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLNRDLALLVLKYFHDQNFKEAALTLGCESGLFFDMKYFEEMVLKGKWDEAENYLLGFTKVKDNDYSIKIYFEMRKQKYFEALDNNDRYKALDILLKDLKIFAHGNEKLIKDLCHLLVVDNIREIKPTYRDAISARMNLIYEIKKIIIQHPLLAGKLKLPDMEIHRLRHLLNQRYAFHQHIKFIYCSMCLSLNWQKHQRPDQEPDLLRDHDSNSKPSASALTNSGAAMEWKLLTGRRLSMDSEQGNCNGVLGDVKKVSIEESLNKSKNSLDIGTSSQCQFLQLPKHPEVKRIVKLAYNNTGNSILALASNGIHLVWLWPRTGFNLDGKASVQVCPQLQQPKDGFQVMINDLSSVKCANPVSCFALSKQGGYVISTSGGMISLFNMVSYKTLRTIMSPPPMVTCLAFYPEDNNIFGIGFDDSTLSIYNVRDDQVLQKLEGHSKRVTTLAFSSTSNILVSADANAQIILWDTNGWKKLKDVKLQIQENHMLESETQIQFHPDQIKFLVVYFGHVAIYETTELRCVNEWVPEIPQLISQATFSSDGHILYSILVDGTVTIFDATNFEVHCRICTSSYLPPISSLSIYPISIAAHPHKPNHFVVGLTDGSVYVFEPQKGGGDWIK >Vigun06g179200.1.v1.2 pep primary_assembly:ASM411807v1:6:29903352:29908023:1 gene:Vigun06g179200.v1.2 transcript:Vigun06g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLQNNLFPLLMLNSSFSTKTLPSVTTRRATVFGSTLLFLNLSNLNSTPLALPQLLLDDELQQQEDRVVQLFQDTSLSVVFIKDIELAKVPKAFSKGAVVNEDEDEKVEGTGSGFIWDKFGHIVTNYHVVSKMATDTSGLQRCKVFLVDTKGNSFYREGKIIGFDPAYDLAVLKVDVDGYEIKPANLGQSNNLRVGQSCFAIGNPYGYENTLTTGVVSGLGREIPSPNGGAIRGAIQTDAAINAGNSGGPLINSYGHVIGVNTATFTKKGTGMSSGVNFAIPIDTVVKTVPYLIVYGTPYSNRF >Vigun02g187100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32595732:32597285:1 gene:Vigun02g187100.v1.2 transcript:Vigun02g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYSIIVVINIFHIFLLLYVSSIQGNTDVGFSINLIRKTFPQRSRGLSAMSETPQSPVGANLGHYLMELSIGTPPFKIYGIADTGSDLTWTQCVPCNNCYNQLNPMFDPTKSSSYTTISCDSNLCHLLETGVCSPQKQCNYTYAYASASITQGVLAQETVTLSSTAGTSVLLKGVVFGCGHDNKGGFNDHEMGIIGLGGGPASFVSQMGHSFGGKRFSQCLVPFHTDVSVYSRMSFGKGSEVSGEGVVSTPLVAKDDKTPYFVTLLGISVGNTYLHYNGSSDNVVEKGNMFLDSGTPPTILPTQLYDRVVAEVKNQVAMEPTVDDPDLGSQLCYGTKHNLRGPMLIFHFEGANVTLEPIQTLISAKDGVFCLGFTNTSSDVGIYGNFAQSNYLIGFDLEAQVVSFKPLDCTKNA >Vigun11g036900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4986876:4988210:1 gene:Vigun11g036900.v1.2 transcript:Vigun11g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSPKKRSVEGYTGQNMATVSTSPNFHLVVIFGIVFSLLWLSNYTAFYLQFLLMLSPILAILFLISYSTTCGSLNFSFMRLKNVASHGM >Vigun10g057900.1.v1.2 pep primary_assembly:ASM411807v1:10:10849624:10850670:-1 gene:Vigun10g057900.v1.2 transcript:Vigun10g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGSSCGCGSNCGGCKMYPDLSYAEKTTTETLVLGVAAVKAHIDGGEMGVGAENGGCKCGSNCTCDPCNCK >Vigun04g179950.1.v1.2 pep primary_assembly:ASM411807v1:4:40376902:40378977:-1 gene:Vigun04g179950.v1.2 transcript:Vigun04g179950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMISLLDGRTIGGVDADTMIKSTVLVVMVGAADTSSTLLTWTICLILRNPLILEKIKNELDNHVGKEKCVSESDISKLIYLQTIVKETLRLYPPAPLSGLREFTENCILSGYNIEKGTCLITNIWKIHTDNNVWEDPLEFKPERFLTTHKDVDVRGHHFELLPFGGGRRMCPGVSFGLKMVHFTLATFLHSFEILSPSSDPIDMTEIFGLTNTKATPLEILIKPCSFF >Vigun11g183500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38613564:38613842:-1 gene:Vigun11g183500.v1.2 transcript:Vigun11g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLAGIRKMSLSAFQASSKAMDVPKGYLPVYVGEKMKKFFIPVSYLNQPSFQDLLSQAEEEFGYNHPMGALTIPCREDVFLDTTSRLIRC >Vigun05g148300.1.v1.2 pep primary_assembly:ASM411807v1:5:21275150:21276210:-1 gene:Vigun05g148300.v1.2 transcript:Vigun05g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILIAFLLFASLFISSTSTMARELKDGLLPKPPTPPYLNCARGYTYRSCSAYRTPPKREPPCSYSRNC >Vigun07g256400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37410029:37410980:1 gene:Vigun07g256400.v1.2 transcript:Vigun07g256400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMHVLWFLVFVAAAMQGRTEAVEYSFTNNALSTPGGVRFRDEIGEEYAKQTLDSATQFIWGIFQQNAPADRKNVEKVSLFVDDIDGAAYTINNEIHVSARYVNGYSGDVRIEITGVLYHEMVHVWQWNGNGEGPSGLIEGIADYVRLKANYAPSHWRKAGEGTKWDQGYDVTAHFLDYCESLRSGFVAQLNQKMRTAYNDQFFVDLLGESVDQLWKEYKDKYGNLP >Vigun03g052900.1.v1.2 pep primary_assembly:ASM411807v1:3:4346234:4351855:1 gene:Vigun03g052900.v1.2 transcript:Vigun03g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWGRKPMLITVLSCTNTQQVTNGSILPYIVAKVDPKHGKRPLQEEEEEEEEEKAFPFYFSRSKQDVSAVVSALVEVIGGNPPPKDPTTLSHSTAVHSNSDEPSHPPQLQGNAKRQYRGVRQRPWGKWAAEIRDPKKAARVWLGTFPTAEAAALAYDEAALRFKGTKAKLNFPEKVRLSGELGYLTNRPPSLQPSSTPFQLLPPHTATNNAAPILCSSSSPSSSTFSINSAPSSSEPPTKQQKR >Vigun11g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35193588:35194103:-1 gene:Vigun11g142200.v1.2 transcript:Vigun11g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKNYFTSRSHRFLPVASNRDSLSLTMDSESAFEFDESEIYNSGRANSFEFSRSLHGRGSAKKKPSSSATAAAASVPVNIPDWSKILGDEYTKRNNNRNNNNDEESDYDDDHNEGYDEFERSGRVPPHEFLARTRVASFSVHEGLGRTLKGRDLSTLRNAIWAKTGFQD >Vigun11g155300.1.v1.2 pep primary_assembly:ASM411807v1:11:36461948:36465222:-1 gene:Vigun11g155300.v1.2 transcript:Vigun11g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLFHEFKKQASFFLKEKIKTARLALTDVTPAELMTEEATSGNPWAPDSRTLRSISRAAFELDDYWRIVEILHKRFLKFEKKNWRVSYNSLIVLEHLLTQGPESVAEEFKSDKNVISQMKSFQYIDDTGFNWGLTVRKKSERIVNLLEEVTLLKEERKQARRLSRGIEGFGSFPHRSTPAQGILREKSMPATLKRYDSDLNNNEDQENKSSCSNNGVDVDTVAVKSLGHEGGTFKSLDSVEDKRYQDDLGNNEMLQKSETNAKENKEPRKEEFHLWNMKGESKPLLDCGEEDSRIGIIRTEDEHPFNSTELHGTASLLSARDGILQGC >Vigun08g187400.1.v1.2 pep primary_assembly:ASM411807v1:8:35634249:35634977:1 gene:Vigun08g187400.v1.2 transcript:Vigun08g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSTSVFCLAIVLLLTVAAMKPVNGFSCVQAKLSLLTCLPFLTTNQESPSSTCCNAVSNVRASAPTKPELREACECLKATANEIPNLNKDKVAQLSKLCNVDVGFPISTDIDCSTISL >Vigun05g274200.1.v1.2 pep primary_assembly:ASM411807v1:5:46441255:46443156:-1 gene:Vigun05g274200.v1.2 transcript:Vigun05g274200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEGEDGSKLALVNDEKTVFGRGCGFSTHDRTVSRRHVCFKLHRSDSDVADDNARVSFEVMGKNPFWVYDGETLRFFRKFEKGHLQPGDRFCFSPNAPLWYSFTLSNKQPLPQLNLDEINISEIDPVKEFGFLVMGHEFDNYPKGMIRNAKNWEWFLEEPRKDSEDEEDDSEKKRRRMRGKRKLGKVNEDDEWTGESEDDKGVVVSMQKKKLPRYSTRLKDRKGGNKDTTKASKNSKGKKTTTDEEEEEEEDEDDEDDETLSGFIVVDEADDDDKEEEDEEEEEEFDDDDDD >Vigun03g412900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62022646:62023644:-1 gene:Vigun03g412900.v1.2 transcript:Vigun03g412900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDKNNMERFDVVSDVADHYFILPNQDSEGLSRVGSDVYKKIMREWKILARSLPDSIYVRVYEKRIDLLRAVIVGAAGTPYHDGLFFFDMAFPPDYPASPPDVHYRSYGYAINPNLYSDGHVCLSLINTWLGRKHERWEPARSTVLQLLLSIQALVLNEKPFFNDVWSEFFPLGRFFLIDRSQAYNEVVFALNCRTMLCQLRHPPRNFQTFVVAHFRDRARAILSACDDYANGRARVGNYGEAGEGWKSKVRVSAKLRETLVMLYPQLFEAFVLNGAFLGCDEERLRMEKRDGLCKSNVTRRKYRVIMGKVFGRIKSIYNSTFKTSHKS >Vigun07g190900.1.v1.2 pep primary_assembly:ASM411807v1:7:30910797:30911665:1 gene:Vigun07g190900.v1.2 transcript:Vigun07g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LBI MVAFSDKQEALVNGAYEAFKANIPKYSVVFYTTILEKAPAAKNLFSFLANGVDATNPKLTGHAEKLFGLVRDSAAQLRASGGVVADAALGAVHSQKAVNDAQFVVVKEALVKTLKEAVGDKWSDELGTAVELAYDELAAAIKKAY >Vigun03g381100.1.v1.2 pep primary_assembly:ASM411807v1:3:58558946:58560620:-1 gene:Vigun03g381100.v1.2 transcript:Vigun03g381100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIIILCALLLSYSTAASASNFYQDFDITWGDGRAKILNNGDLLTLSLDKASGSGFQSKNEYLFGKIDMQLQLVPGNSAGTVTAYYLSSKGSNWDEIDFEFLGNVSGEPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESKGVAFPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFKADACVPSGSSSSSSSSCTSNSGSSNAWYSQELDSSNQDRLSWVQKNYMIYNYCTDTNRFPQGLPPECQQS >Vigun08g102700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25479095:25480878:1 gene:Vigun08g102700.v1.2 transcript:Vigun08g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSLSFFLTLFLAVSILPLSSPRKLTESEPQLKFQYHRGPLLTGKISVNLIWYGNFKPSQKAIVTDFITSLSSPKPLTAQPSVATWWKATEKYYQTPSPKLALSLGSQILDENYSFGKSLTTDQIVKLASKGPQRNAINVVLTSADVAVEGFCSSRCGTHGSSMGARVNGKRYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPALVAPNNDVGVDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGVNGRKYLLPALVDPKTSACSTIIV >Vigun03g403100.1.v1.2 pep primary_assembly:ASM411807v1:3:61009368:61024314:-1 gene:Vigun03g403100.v1.2 transcript:Vigun03g403100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAGGFVTRAFDSMLKECYGKKFPELQKAIQNYTDITKEASQKKQSEVNQVAPSAESGSANETDDGVATRTEADQSQKAEHASDDRPKTGNINVVLASAGNTLEGDDAEIVLNPLRLAFETKSLKILESALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIIFRRMETDPVEAPSVSVGQTISKAPSAENLNPKSDESSTGDSNEKETSLGDALTQAKDASPTSLEELQNLAGGADIKGLEAVLDKAVHTEDGKKITRGIDLESMGIVKRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFLVLLLRFRESLKGEIGIFFPLIVLRPLDALEFPANQKLSVLRMLEKVCKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSKIAQGTQISDPNSVVVSQTASIKGSSLQGLVSVLKSLVDWEQSHRDLEKLKINQQEGISAEDSSEIRVREDVTSDFEKAKAHKSTLEAAIAEFNRKPMKGVEYLISNKLVEKTPASVAQFLKNTPSLDKATIGDYLGQHEEFPLAVMHAYVDSMKFSGLKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMAKSDFVRMNARDDPDECAPRELLEEIYDSIVKEEIKMKDDTSLIGKSSRQKPDGEEGRLVSILNLALPKGKSSGDAKSESEAIIKKTQAIFRNKGVKRGVFYTAQQIELVRPMVEAVGWPLLATFSVTMEEGDNKPRVVLLMEGFKAGIHITFVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLILCDSDMNALQDTWNAVLECVSRLEFITSTPSISATVMQGSNQISKDAVVQSLRELAGKPAEQVFMNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWTVLANHFISAGSHHDEKIAMYAIDSLRQLSIKYLERAELAKFSFQNDILKPFVVLMRNSPSESKRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTASADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKSSHRISLKAIALLRICEDRLAEGLIPGGALMPIDANLDATLEVTEHYWFPMLAGLSDLTSDQRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPIFDHVRHAGKEGFTSTGDDWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSIALAALVHLIEVGGHQFSATDWDTLLKSIRDASYATQPLELLNALTFENMRNPGSIISDSEGNPGDSGAIRSVDNEVMADHQLNVNSNGKLSPLASSNTNADEVEDSASQTNVDQSEGLPSPSGRSPKTADGGGFQRSQTLGQRIMGNMENLFLRNLTKSKSHVSDASQPSSPVKVADTVEPDTKNEESPFMATVRGKCITQILLLGAIDGIQKKYWAKLKAQQKVSIMDILLSVLEFAASYNSSTNLRTRMHQIPDERPPINLLRQELAGTGIYLEILQKATTCFETKKADSDGFQDADSTEVNGLSIALDLDAEQKFERLAEEKLVSFCEQVLREASDLQSSTGEANNMDIHRVLELRAPIIVKVLQSMSFMNNMIFRRHLRELYPLLTKLVCCDQMDVRGALGDLFQAQLKPLLP >Vigun05g268900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46037155:46038028:-1 gene:Vigun05g268900.v1.2 transcript:Vigun05g268900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSLFLRTLVMRHSKVRPNEGSDESHVEHNPQLDHGGWSRRGREFCEARRAFLNSYHLSLERNNNGSFKEKLKKSVKEVNEAAMGVVLSMHRRVVSKKRVKIRVFRIRMSSHSLALVTVRCFIPWVNKNEIV >Vigun08g218500.1.v1.2 pep primary_assembly:ASM411807v1:8:37808723:37813561:-1 gene:Vigun08g218500.v1.2 transcript:Vigun08g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPKGVSKSEPIVADPPPEEEIQELIANHKNASEDGDNEDANDEVVAQALTVAAAVGKASTGSTDEITIALKDLDMDHYDDEEEGIELFSSGVGELYYPSNDMDPYIKDKNADDSEDLEDMIINPTDSVIVYACTEDDVSLLEVWVLEDAESNERNMYPHHNIIIPAFPLCTAWLDCPLNGGERGNFIAVGSMEPSIEIWDLDVIDAVQPCVVLGGFVEEKKKGKKKSIKYINDSHTDSVLGLAWNKEYRNILASASADKQVKIWDVVTGKCDITMEHHTDKVQAVAWNHHAPQVLLSGSFDHTVVLKDGRMPTHSGYKWSVTADVESLAWDPHTEHSFVVSLEDGIVKGFDIRTAKSDSSSDLSSTFTLHAHDKPVSSVSYNPSAPNLLATGSMDKTVKLWDLSNNQPSCVASKSPKAGAIFKISFSEDNPFLLAIGGSKGKLQLWDTLSDSGVARRYGNYNNKNKSQSGP >Vigun10g055900.1.v1.2 pep primary_assembly:ASM411807v1:10:10015548:10016056:-1 gene:Vigun10g055900.v1.2 transcript:Vigun10g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSDSSGYLGSSNIRGFGMGIGISIGVLLLITTITLTSYFCTRSHVPTASRRRTSSNTGPQFLEPHHTIVDVGQAKKFDSTPTSCSICLGDYKSSDVLRVLPDCEHVFHLNCIDPWLRLHPTCPLCRTSPIPTPLSTPLAEVVPLAPRRD >Vigun11g018700.1.v1.2 pep primary_assembly:ASM411807v1:11:2329933:2332165:1 gene:Vigun11g018700.v1.2 transcript:Vigun11g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKLGGCAKFFECSKPYLAMISLQFGYAGMTIITKISLNHGMSHYVLVVFRHAFATIAIAPFAFIFERKGQPKITFSIFMQIFILALLGPVIDQNFFYAGLKLTSPTFACAMSNMLPAMTFVIAVFCRMEKINIKQVRSIAKIVGTLVTVAGAMLMTLYRGPIVEMVWFKQAHYKTNGGSLDRDWLLGCIFLIISTIAWASLFVLQAKAIQTYKNHQLTLTSLVCFIGTVQAIVVTYVVEHKPSVWKIGWDINLLTAAYSGIVSSSISYYVQGLVIEKKGPVFATSFSPLMMIISAVMGYFILAEKTYLGGVIGAILIVIGLYSVLWGKHKEQLESQVEAGIPLPVNDAESSASEEENMVDARDNFTEEKHDQKVEPISIKFSSIVITLSIQESPTR >Vigun08g001100.5.v1.2 pep primary_assembly:ASM411807v1:8:134976:147845:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASRKHYCTNKNIIGKENINEECKLLLKDEATGCPEFKNAHKVKGHPSLQKGGCNEVHDIEDLVKVGQLVKGCSYYAARSMSDDAQLVFCPYSYIINPVIRAAMDVDIKGAVVILDEAHNIEDIARDAGSVDIEEDVLDKLQMELQQLCSINTAIYQPLYEMTQGLTSWMERKKNKLERRDFHHYVSCWTGDNALRELEEANISKQCFPILLECATKAIKVATDLETDEPRISGTAVITLEGLFSSLTYFFSRNGSHMLDYQLALQRCVKKDTGRATGNWTCAFSLWCLNPAVVFRDVAELSLSIILTSGTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun08g001100.8.v1.2 pep primary_assembly:ASM411807v1:8:134976:142593:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun08g001100.9.v1.2 pep primary_assembly:ASM411807v1:8:135750:142593:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun08g001100.1.v1.2 pep primary_assembly:ASM411807v1:8:134976:147845:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASRKHYCTNKNIIGKENINEECKLLLKDEATGCPEFKNAHKVKGHPSLQKGGCNEVHDIEDLVKVGQLVKGCSYYAARSMSDDAQLVFCPYSYIINPVIRAAMDVDIKGAVVILDEAHNIEDIARDAGSVDIEEDVLDKLQMELQQLCSINTAIYQPLYEMTQGLTSWMERKKNKLERRDFHHYVSCWTGDNALRELEEANISKQCFPILLECATKAIKVATDLETDEPRISGTAVITLEGLFSSLTYFFSRNGSHMLDYQLALQRCVKKDTGRATGNWTCAFSLWCLNPAVVFRDVAELSLSIILTSGTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun08g001100.6.v1.2 pep primary_assembly:ASM411807v1:8:134976:147845:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASRKHYCTNKNIIGKENINEECKLLLKDEATGCPEFKNAHKVKGHPSLQKGGCNEVHDIEDLVKVGQLVKGCSYYAARSMSDDAQLVFCPYSYIINPVIRAAMDVDIKGAVVILDEAHNIEDIARDAGSVDIEEDVLDKLQMELQQLCSINTAIYQPLYEMTQGLTSWMERKKNKLERRDFHHYVSCWTGDNALRELEEANISKQCFPILLECATKAIKVATDLETDEPRISGTAVITLEGLFSSLTYFFSRNGSHMLDYQLALQRCVKKDTGRATGNWTCAFSLWCLNPAVVFRDVAELSLSIILTSGTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun08g001100.7.v1.2 pep primary_assembly:ASM411807v1:8:134976:142593:-1 gene:Vigun08g001100.v1.2 transcript:Vigun08g001100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSPLASFTSELGVHFETSLEAPHVIDVDSQVWPAVISTGPGNYPLNASYKTADGYAFQDAVGNSLEEIFKIVPGGCLVFFPSYKLMDKLCNRWAETGQWSRLNAEKPLFVEPRGGSQDDFELVLKGYYDSIHCEKRPARGRKRRIKTIDLNRVQAVDSLPNSRKGGAALLGVCRGKVSEGIDFSDDNARVVIIVGIPFPNINDIQVALKKKYNDTYKSFKSLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGAIILLDERFQEERNKAFISKWIRRPLKVYDSFDLSLEGLKSFFENAKEHYGINTVHATQSLDINGHDVHKKDQNACSTRKKNQKLNKSDNGDERETTMIENSISSPTLTSQDLVECQPSAQKNSNTYRRKYLPQYFKKTDPRFTVESSLAIMHEETSIVKETPCIDVDYGSSIPHYSKDDNSGSFIIEAPAQIPDQVSSLSMSLTTGSTNSSRAQSSITITPKKNVITNDIPEMESVNSYHHKRMRPAVTPYINLVEEENSIAPCASPPLTRYRKSSVVVRETTQGSEYDFERILKSNSPPLLISNNIPGSCSPTAPPLDQKLLIFCSLCKSPLGRPENHLYLTCSLISSSKVHLRSLLKQRLRTCTTDTSKSIPVLITDSLFVDQRICNRIPKSAPEHSIWCPEDGCVFSTLFCPFCSNGSNLLGVQVMATDSSNVQLLDKILFYFDSLDVKSSEESGNIASGKVDLSPVNDSDEDKITVLNSIEKYSYLHRPGNEEVWKTGKSKQTPRGLRCAANKS >Vigun06g086000.2.v1.2 pep primary_assembly:ASM411807v1:6:21799434:21802397:-1 gene:Vigun06g086000.v1.2 transcript:Vigun06g086000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIYYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPVLGCLGIELLQADTFDLHCFQSLTGTKFFVVCEPGAQHMENLLKFVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVAFLGQ >Vigun06g086000.1.v1.2 pep primary_assembly:ASM411807v1:6:21798781:21802391:-1 gene:Vigun06g086000.v1.2 transcript:Vigun06g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIYYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPVLGCLGIELLQADTFDLHCFQSLTGTKFFVVCEPGAQHMENLLKFVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVAFLGQ >Vigun08g177500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34758188:34759270:-1 gene:Vigun08g177500.v1.2 transcript:Vigun08g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEATTPPTAAKPSATAADSPAKLQTAVEALSSIVPSLSKLTPASLLTSPDLYPKISALLRQPNSGAGDNNLCRWLYDTFQCGVIDLQLLVLRFIPIIAGIYLSRVADRKPQAGFEAVLLALYAHETTSRAGKPVSITIPDLSQSSVYHEGSAKTSGKGPSTPNSGGTEPETAVVSPTLEPHGTVRSTRRARIVGVALELFYTKIGQMPVSSKIDFCEFCKVWAGQDGEMYKQFEEGGEKDEDEEEEGKRKELEAVSSEVVVEKKAKVEGRVPLCWELLQPVMRILGHCLLGPNNNREVELFDKANEACRCLFSRSMHDVNPKAILPMRSLMRLSKTVMPNNNNVDPTDLPFSDVISL >Vigun03g091200.1.v1.2 pep primary_assembly:ASM411807v1:3:7692896:7702749:1 gene:Vigun03g091200.v1.2 transcript:Vigun03g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYLCLKLSPPPPPSQPPPSTLSKHHLPLRNTLLGAALSFGLLFSFPSVSALQLPSQPCPHHQPPQETLQTAPEVVTNQGLVEEAWQIVNDTFLDTGRHRWSQDTWQLKREAILSNSIQTRSKAHQIIKRMLSGLGDPYTRFLSPDEFSKMARYDMTGVGINLKEVPDENGNFRLEVLGIILDGPAHSAGVRQGDEILAVNNMEVKGKSAFEVSSLLQGPSGTSVTIQVKHGYCGPVESIEVQRQLVARTPVFYRLEQLDNGVTPVGYIRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNEGDTVIYTVGRDPQLQKTIVSDTSPLIQAPVVVLVNDKTASASEIVASALHDNCRAVLVGKRTYGKGLIQSVFELDDGSGVVITVGKYVTPHHKDINGNGIEPDFQKLPALDDISQHLKKCSMPQQG >Vigun05g018300.1.v1.2 pep primary_assembly:ASM411807v1:5:1479996:1483437:-1 gene:Vigun05g018300.v1.2 transcript:Vigun05g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTTVAEPFQGSPPAALPWRDPKSESIAAVDLVVPASVGGATFAGGWEDVVGLEEQQRRHLQKLHAKGVLWKPPEEVEDSSSSPPLSSHLRSVVFRLSHGGEVSADGNCLFTASRKAMGVEEVNARELRRRTVTRFSEDLRSVSFVEREAIDDAIRHMYSPDLKNGWGIHVVQEVKLLAKKEDRFALDSAIDELVHLGMQREMAAESIYKERCIPVNDGPSWAKYMLISGSPDDEYDIITLQYTEEGLLSVDENREGRAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSRITEPPFFLFMKGTGWCGAGADHYEPLIAHPSTFVSQEKVALEKGAQ >Vigun06g150500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27527470:27528176:-1 gene:Vigun06g150500.v1.2 transcript:Vigun06g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRVPSIALFLSLNLLLFSMVSCNTLSAAAPPPATCPDLEVCTRFYGPPFLPDPYCCPLLDALTDLDAAVCVCSFIKLTFGIKHYDLDVFVNPLLRACHRKDHTYHCISD >Vigun01g238900.1.v1.2 pep primary_assembly:ASM411807v1:1:40974388:40976914:1 gene:Vigun01g238900.v1.2 transcript:Vigun01g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVEKTTSGREYKVKDLSQADFGRLELDLAEVEMPGLMACRAEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEELYEKTGELPDPASTDNAEFQIVLTIIRDGLKTDPSRYRKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVAGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKNSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKDQADYISVPVEGPYKPAHYRY >Vigun05g168100.3.v1.2 pep primary_assembly:ASM411807v1:5:28571580:28574245:-1 gene:Vigun05g168100.v1.2 transcript:Vigun05g168100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRFHHPNNHPYPHSSSKIIIFFCLSAFLGLALFANVFRASLSAHYLSIATNWVDTNAPILLIPNATATPDIKDKDKDKNQDNGKGGKSGKRRGPDRFLSSTFADLPAPELYWEQMPSAPVPRLDGYSVQINNMFYVFGGYEHLDLVHSHVDVFDFTVNKWVDQIKMPDEMANSHLGVATDGRYVYIVTGQYGTQCRGPTTASFTLDTVTKKWKPLPPLPEPRYAPATQLWKGRLHVMGGSKENRHTPGLEHWSLAVKDGEALEQQWREEVPVPRGGPHRACVAVGDRLFVIGGQEGDFMAKPGSPIFKCSRRVEVVYGDVYMLEADMKWKILPAMPKANSHIECAWVLVNNSIVITGGTTEKHPVTKRMMLVGEVFRFDLNTMNKNNISWFLGWVAVLHIRTEGQRTR >Vigun05g168100.2.v1.2 pep primary_assembly:ASM411807v1:5:28571580:28574245:-1 gene:Vigun05g168100.v1.2 transcript:Vigun05g168100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPVPRLDGYSVQINNMFYVFGGYEHLDLVHSHVDVFDFTVNKWVDQIKMPDEMANSHLGVATDGRYVYIVTGQYGTQCRGPTTASFTLDTVTKKWKPLPPLPEPRYAPATQLWKGRLHVMGGSKENRHTPGLEHWSLAVKDGEALEQQWREEVPVPRGGPHRACVAVGDRLFVIGGQEGDFMAKPGSPIFKCSRRVEVVYGDVYMLEADMKWKILPAMPKANSHIECAWVLVNNSIVITGGTTEKHPVTKRMMLVGEVFRFDLNTMTWSVIGKLPYRIKTTLAGFWDGWLYFTSGQRDRGPDNPQPKKVVGEMWRTKLHLS >Vigun05g168100.1.v1.2 pep primary_assembly:ASM411807v1:5:28571580:28574245:-1 gene:Vigun05g168100.v1.2 transcript:Vigun05g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRFHHPNNHPYPHSSSKIIIFFCLSAFLGLALFANVFRASLSAHYLSIATNWVDTNAPILLIPNATATPDIKDKDKDKNQDNGKGGKSGKRRGPDRFLSSTFADLPAPELYWEQMPSAPVPRLDGYSVQINNMFYVFGGYEHLDLVHSHVDVFDFTVNKWVDQIKMPDEMANSHLGVATDGRYVYIVTGQYGTQCRGPTTASFTLDTVTKKWKPLPPLPEPRYAPATQLWKGRLHVMGGSKENRHTPGLEHWSLAVKDGEALEQQWREEVPVPRGGPHRACVAVGDRLFVIGGQEGDFMAKPGSPIFKCSRRVEVVYGDVYMLEADMKWKILPAMPKANSHIECAWVLVNNSIVITGGTTEKHPVTKRMMLVGEVFRFDLNTMTWSVIGKLPYRIKTTLAGFWDGWLYFTSGQRDRGPDNPQPKKVVGEMWRTKLHLS >Vigun07g047100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4877984:4878547:-1 gene:Vigun07g047100.v1.2 transcript:Vigun07g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIPFLLLIVVSNIVIANATFDSNEANNVVIDSVQQRLISNIKNKRFHVLPKGPVPPSGPSHGCTPPCLPSNVVNNIKSKGFHVLPKGPVPPSGPSHGCTPPCLPSNVVNNIKSKGFHVLPKGPPHHSGPSSPCAHPPCGGTVAHKNVVSDIKSQQFHVLPKGPVPPSGPSPPCTHPPCGNRVN >Vigun06g046400.7.v1.2 pep primary_assembly:ASM411807v1:6:17125797:17130925:1 gene:Vigun06g046400.v1.2 transcript:Vigun06g046400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKTVTGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGAEVAVLCITRSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEQCTQLGEGSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun06g046400.3.v1.2 pep primary_assembly:ASM411807v1:6:17125797:17130925:1 gene:Vigun06g046400.v1.2 transcript:Vigun06g046400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKTVTGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGAEVAVLCITRSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEQCTQLGEGSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun06g046400.6.v1.2 pep primary_assembly:ASM411807v1:6:17125797:17130925:1 gene:Vigun06g046400.v1.2 transcript:Vigun06g046400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKTVTGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGAEVAVLCITRSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEQCTQLGEGSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun06g046400.8.v1.2 pep primary_assembly:ASM411807v1:6:17127467:17130348:1 gene:Vigun06g046400.v1.2 transcript:Vigun06g046400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKTVTGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGAEVAVLCITRSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEQCTQLGEGSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun11g150800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36130653:36133026:-1 gene:Vigun11g150800.v1.2 transcript:Vigun11g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRSIFFKPSSSSPSTSSQPPPSPLRTFSDSIMEQNIHIAEALINKWDDSKLGTPLFSGTRQEARKYLDAVRGLQSAMQYFVAQDSTSATLVRAQFLMQLAMKTLQREFYQILSSNREHLDPETVSARSSIDLRSSVSEYVSDYDDEITASEDDFRISETERVSMLAMEDLKAIADCMISSGYGKECVNVYIVMRKSIVDEALYHLGVEKLTLARVQKLDWEVLELKIKKWLNAVKVAVGTLFHGERILADHVFSSNPEKRIAESCFAEVTKDGAVSLFGFPEMVAKCKKSPEKMFRTLDLYEAISDRWSRIESIFSFESTSAIRLQAITSMVKLGEAVRTMLTDFETAIQKDSSKTTVPGGGVHPLTRYVMNYLTFLADYSGVLIDIIPDLPQSPLPESYYRSPMRDENPPASELSEKIAWLILVVLCKLDGKAEFYKNVELSYLFLANNMQYVVSKVRRSNLGFLLGEEWLATHESKVTEYASKYERVGWSKVFASLPENPATEVTAEKARNHFVKFDAAFHETCKKQSSWVVSDPKLRDEIKGSIGSKLMQMYSEFYEKNRVGSKSVRFISPEDIGKNLSNILCGNGDSGSVSSHSSSTTSSSSHRSNRR >Vigun03g386400.1.v1.2 pep primary_assembly:ASM411807v1:3:59221917:59230190:1 gene:Vigun03g386400.v1.2 transcript:Vigun03g386400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKKLQKSYFDVLGLCCSSEVPLIENILKPLEGIKEVSVIVPSRTVIVLHDTLVISQLQIVKALNQARLEANVRVHGDENHGKRWPSPYSIASGFLLLVSLLKFVYPPLKYVAVGAAAAGIYPIVRKAFASIRNLRIDISILMIIAVVGTIAMHDYLEAGTIVFLFSIAEWLESRASYKATAAMSSLMNIVPQKAVIAETGEVVDADEVKVNTVLAVKAGEVIPIDGVVVDGTCEVDEKTLTGESFPVAKLKDSTVWAGTINMNGYISVKTTALAEDCVVAKMTKLVEEAQNSKTDVQTLIEKFVKFYTPAVVIISILVAVIPLALKLKLRTEKYWIHIALVVLVSACPCALILSTPVATFCAYTRAATSGLLIKGGHHLETLAKIKVMAFDKTGTITKGDFVVTEFQSLSDDIDLNTLIYWVSSVESKSSHPLASAIVDYGRSLSVEPEPEKVTEFENFPGEGIGGKMEDRVIYIGNKKIAARAGSESVPILQGENARGKTTGYIYIGATPVGFFSLSDVCRLGVEEAIGRLKLMGIKTAMLTGDSESAAMQAQEQLGHSLELVHAELLPEDKVTIISEFKREGPTAMIGDGINDAPALASADIGISMGISGSALASETGNIILMSNDIRKIPEAIKLARRTRRKVLQNIILSITTKAAIIGLAIGGHPYVWAAVVADVGTCLVVIFNSMLLLPRAHKHGGKSCRSSTKSHNHNSGCGGTHGHDHAHHQHQHCHDQHDHHHHQHKHEHDHHKHQHCHDQHDHKHDHHHQHDHDHDSHHQKKHEHDHHHEHQHEHDHHRHTNDHCHPIPGCENLKDHKCRSVSHCNEDTHHKKSGCHPESEKHGTGEISVDIIVEHVESSPMQGCSSLAEKEKGSCCEGCSDTCENMGVVCGCESSKEGEDSACCRNEWSSKECNESGIIHGLNKREYGGCCKSYMKECCGKVGHSRGGFVGLSEIMTE >Vigun09g201300.1.v1.2 pep primary_assembly:ASM411807v1:9:37546765:37553578:-1 gene:Vigun09g201300.v1.2 transcript:Vigun09g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNENVDLERIPVEEVFEQLKCSRAGLTSEEGASRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQDAAILVPGDIVSIKLGDIIPADARLLEGDALSVDQSALTGESLPVTKNPSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGIEKDYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKEDVRKRVHGVIDKFAERGLRSLGVAKQEVPEKNKDSPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQSKDASVSALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYLALMTVVFFWLIKDTSFFSDKFGVRSIRNSPGEMMAALYLQVSIISQALIFVTRSRSWSYVERPGLLLLSAFMIAQLVATFLAVYANWGFARIEGMGWGWAGVIWLYSLVTYVPLDILKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETTNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun09g201300.2.v1.2 pep primary_assembly:ASM411807v1:9:37546765:37553578:-1 gene:Vigun09g201300.v1.2 transcript:Vigun09g201300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNENVDLERIPVEEVFEQLKCSRAGLTSEEGASRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQDAAILVPGDIVSIKLGDIIPADARLLEGDALSVDQSALTGESLPVTKNPSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGIEKDYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILNLCNCKEDVRKRVHGVIDKFAERGLRSLGVAKQEVPEKNKDSPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQSKDASVSALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYLALMTVVFFWLIKDTSFFSDKFGVRSIRNSPGEMMAALYLQVSIISQALIFVTRSRSWSYVERPGLLLLSAFMIAQLVATFLAVYANWGFARIEGMGWGWAGVIWLYSLVTYVPLDILKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETTNLFNDKNSYRELSEIAEQAKRRAEVARNYT >Vigun03g195600.13.v1.2 pep primary_assembly:ASM411807v1:3:27551353:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.2.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.15.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.8.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.6.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.16.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQFL >Vigun03g195600.4.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.9.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.5.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFAVVNSCMITGVLFSSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQISCLRA >Vigun03g195600.11.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.10.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQVLSLFFCLYLLQISFSHFICVFGSFCSLVGFL >Vigun03g195600.12.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.3.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.1.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFAVVNSCMITGVLFSSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun03g195600.14.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQISCLRA >Vigun03g195600.7.v1.2 pep primary_assembly:ASM411807v1:3:27551031:27553360:1 gene:Vigun03g195600.v1.2 transcript:Vigun03g195600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVLSLCSHEEVEVEEEEEEKEDEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSKVYIGGIPHDVSNEDLKSFCKRIGEVAEVRVMKGKHSCENKGFGFVRFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVIGVELVKAVYKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLMQIEAMQETNAKRA >Vigun09g163100.1.v1.2 pep primary_assembly:ASM411807v1:9:33056869:33064678:-1 gene:Vigun09g163100.v1.2 transcript:Vigun09g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSENRTRWRKRKRDSQVARRHPKHEEEDEDDEENPNAEDDHAERGYDSEEQTHQNHPNSQPHVETEVLSDHGITISQFPLVIRRSVNRPHSSVTSIVALERALESGDNKAQCALVPPVIENVSHGQLQALSAVPSDNLALEGDSSFVITPPAILEGRGVVKRFGTKVLVVPMHSDWFSPATVHRLERQAVPHFFSGKSPDHTPEKYMECRNCIVAMHLEQPGKRITLSDCQGLLTGIDVEDLTRIVRFLDHWGIINYCVQTSSFKSPNVISCLREEPSGEVRVPVETLKSIDSLIKFDNPSCKLKADEIYSSLTAHNVDVFDLEDRIREHLSDNHCIYCSRPLPVVYYQSQKEVDILLCTDCFHDGRFVIGHSSLDFLRVDSTTDYGELDGDNWTDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEDGKLEDINVPSMPLSSNAVDRDGSGRLHCYSNGNTPGPVHQVRSSDSRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALAVLSVDNSGSTSQVEAPGHDNRTNSESIRCRDGGSHGETAVSNNNNNEDKAKVHGSWGLNDGRTTPLSSEKVKDAAKAGLSAAAMKAKLFADHEEREIQRLCANIVNHQLKRLELKLKQFAEIETLLMKECEQLERTKQRFAAERSRVISARLGTAGATPTMAASGVGPSMASNGNNRQQMISASPSQPSISGYGSNQSLHPHMSFAPRPSMFGLGQRLPLSMIQQSQSPSTAMFNAPSNVQPTSNHPLLRPVSGTNSGLG >Vigun09g163100.2.v1.2 pep primary_assembly:ASM411807v1:9:33057149:33064678:-1 gene:Vigun09g163100.v1.2 transcript:Vigun09g163100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSENRTRWRKRKRDSQVARRHPKHEEEDEDDEENPNAEDDHAERGYDSEEQTHQNHPNSQPHVETEVLSDHGITISQFPLVIRRSVNRPHSSVTSIVALERALESGDNKAQCALVPPVIENVSHGQLQALSAVPSDNLALEGDSSFVITPPAILEGRGVVKRFGTKVLVVPMHSDWFSPATVHRLERQAVPHFFSGKSPDHTPEKYMECRNCIVAMHLEQPGKRITLSDCQGLLTGIDVEDLTRIVRFLDHWGIINYCVQTSSFKSPNVISCLREEPSGEVRVPVETLKSIDSLIKFDNPSCKLKADEIYSSLTAHNVDVFDLEDRIREHLSDNHCIYCSRPLPVVYYQSQKEVDILLCTDCFHDGRFVIGHSSLDFLRVDSTTDYGELDGDNWTDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEDGKLEDINVPSMPLSSNAVDRDGSGRLHCYSNGNTPGPVHQVRSSDSRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALAVLSVDNSGSTSQVEAPGHDNRTNSESIRCRDGGSHGETAVSNNNNNEDKAKVHGSWGLNDGRTTPLSSEKVKDAAKAGLSAAAMKAKLFADHEEREIQRLCANIVNHQLKRLELKLKQFAEIETLLMKECEQLERTKQRFAAERSRVISARLGTAGATPTMAASGVGPSMASNGNNRQQMISASPSQPSISGYGSNQSLHPHMSFAPRPSMFGLGQRLPLSMIQQSQSPSTAMFNAPSNVQPTSNHPLLRPVSGTNSGLG >Vigun06g004700.5.v1.2 pep primary_assembly:ASM411807v1:6:2454761:2459129:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGCFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.3.v1.2 pep primary_assembly:ASM411807v1:6:2454759:2459130:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGSGFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.7.v1.2 pep primary_assembly:ASM411807v1:6:2455418:2459123:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGSGFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.9.v1.2 pep primary_assembly:ASM411807v1:6:2454761:2459123:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGSGFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.8.v1.2 pep primary_assembly:ASM411807v1:6:2454761:2459123:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGSGFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.2.v1.2 pep primary_assembly:ASM411807v1:6:2454759:2459130:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGSGFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun06g004700.10.v1.2 pep primary_assembly:ASM411807v1:6:2454761:2459129:1 gene:Vigun06g004700.v1.2 transcript:Vigun06g004700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLTLGRADTDVSEETKGTGNTIMHEETQRKLGGCFDSRDRRKSKYLSYPYTNLRSKQNDLCAETENLKTQCPSRKRGASSFATSPANISSLNAKLGSKRFRKNWYRKFISCNNLSSSPEFMNASMVDVLSGLFSTAVDCMFPVGKESFGLVEQFFCRYRISNYCDEAELATLQVNAQELGKPVGNDLPDTVSKKRKNNKRESAVRRKRKSLSGLSDVNASTSTFDSQMPGKKMKQKRKMEEATLGQQLQNVKTNLIGGSSKYSSTPQTSPNLSCLASEGKTVYKRRKKIKAQENESAQITSVCTDAKKLKCSSLVVDLQFTSPPVPVDIPQRNKSGNKEELLFISTNPGSCVSQKGPIGRINDNSLSVITKAEADTVLVSKTALNNSMEKAAGAGVPHNSLGKAAEANPNTELAVEQTGLNNSMEKAAEKPLNTKLALGEPRQMDSTEMAAEKLLNTKFVVEIPDLNCSGAECNSISTEFDTVNFTSNELKPEKSSLPACSRPIKTAINRRRKDGCESLGNCLLLQFAPVAYIPSKEDLMTTFYRFGPLKTSETQLLKDIGSAQVVFVRSKDAAAAFHSLEQNKFAFGSTLVDYKLHRSSAPCPPVEQLVIPAQPTGFMAMPGLTPTQPTGSMAMPGLTPAQPTGSVAMPGVNPAQPTGSKAIPCLTPTQPTGSMAMPGETPTQPILSMARLGVTPNQPTMAVAMPGVTPNQPTMAVAVPGVTPTQQTGFTLPMLGETPPSLQDMKQGLQMMESVLENSGGSLSPQMRAKLDSAIKNLMRKVNSVT >Vigun01g094400.2.v1.2 pep primary_assembly:ASM411807v1:1:25785664:25788486:-1 gene:Vigun01g094400.v1.2 transcript:Vigun01g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPSFHVGSQAPSLGHSKGFLGPNLEMGLQMLSPSMVQHQSRGKKRTSDEGVANKNLSLKHGEEEEANGSISGKNGFTKLCARGHWRPAEDDKLKELVTQYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPKINKKSFCEEEEERLLSAHKMYGSKWAMIARLFPGRTDNAVKNHWHVMMSRRQREQSSGVYRRRKPLINEPLPKGLNLTLSNNATSDDSTISNTIDESASTCTNLSLTPSSAKLFTPFGLLHQPGESREDVWLNKYFGGWKGSEGVGKRVMVGVDQSSFSDANSEVSVSESGVTNRSNLSISEEKESETMDDKINNMSFIDFLGVGAT >Vigun01g094400.1.v1.2 pep primary_assembly:ASM411807v1:1:25785664:25788486:-1 gene:Vigun01g094400.v1.2 transcript:Vigun01g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWYFNNVVGEGFNGVMSFIPSFHVGSQAPSLGHSKGFLGPNLEMGLQMLSPSMVQHQSRGKKRTSDEGVANKNLSLKHGEEEEANGSISGKNGFTKLCARGHWRPAEDDKLKELVTQYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPKINKKSFCEEEEERLLSAHKMYGSKWAMIARLFPGRTDNAVKNHWHVMMSRRQREQSSGVYRRRKPLINEPLPKGLNLTLSNNATSDDSTISNTIDESASTCTNLSLTPSSAKLFTPFGLLHQPGESREDVWLNKYFGGWKGSEGVGKRVMVGVDQSSFSDANSEVSVSESGVTNRSNLSISEEKESETMDDKINNMSFIDFLGVGAT >Vigun08g142500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31493629:31495389:1 gene:Vigun08g142500.v1.2 transcript:Vigun08g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWTRGRVFRRARKGKELSSGSDLEIEIAIPTHFRCPVTLDMMKDPVTVSTGITYDRDSIEKWIESGNRTCPVTKTELNSFEMIPNHSIRRMIQDWCVEHRSYGIERIPTPRIPVTPYEVADTCTRILSAAQNGDENRCVELVRKIKAWGKESERNKRCIVVNGAALALANAFNIFSRGLVEKNVVVLDEILGALTWMRPLSEDGRTVLGSVSSISCMVWFMNGKQLATRQNAALLLKEMRVEALVKCEGVFEALVNMVKEPVGSSSLKACLSTIFKLVNSSVSYRGRTCERLVELGLVSVLLEVLVDAEKGVCEKALGVLDCVCDCKQGLHMAKSNALTLPVVIKKLLRVSELSSSFGVSVLWKVCDKTEEGMLVEALQMGVFHKLLVLLQVGCAEGTKEKATELLKWLNGCRSKAECVDSSLDFKHLKKPF >Vigun05g227600.1.v1.2 pep primary_assembly:ASM411807v1:5:42065863:42073469:1 gene:Vigun05g227600.v1.2 transcript:Vigun05g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSRRRLSNPTVSGNEQQPKDDTAVEDETKGQSSNNKDKGVSVMSSDSDVVSGSDSDSDYEDEELVGSSLLDLNKYPFSCISVSDGEDYGSDSSDGDIPLFKRTKVPGSRERRKSMNTEKGEPSDVVRVVDHEYSMISPVLVPSAFKVTKKRKYTKKGSKGDSRPVLLWNAWEEEQEKWIDQHISEDFDLDNQSEVMNETAEAPSDLTMPLLRYQREWLAWALKQEHSSSRGGILADEMGMGKTIQAIALVLAKRELQDSCEPDQSIPCSSNLLPAIKGTLVICPVVAVTQWVSEIERFTLKGSTKVLVYHGANRGRSGDRFADYDFVITTYSVVENEYRKHMMPPKERCPYCGKLFLPSKLMYHQNYFCGPDAVRTEKQSKQAKKKREVTKGKTKVCDSSKILKGSIKKKGDKMCIDIEDSDAVPVRSDRSFLHAVKWQRIILDEAHYIKSRHCNTAKAVLALDSTYKWALSGTPLQNRVGELYSLIRFLQITPYSYYLCKDCDCRILDHSSKECSVCSHSSVRHFCWWNKYVATPIQSFGNGDSGRRAMILLKHKVLKNIVLRRTKIGRAADLALPPRIVSLRKDCLDIKEQDYYESLYNESQAQFNTYIEANTLMHNYAHIFDLLTRLRQAVDHPYLVVYSQSSGSRSAVMAHNATTVEQICGICHEPVEDLIVTSCEHSFCRACLIDYYSTSLGQVSCPACSKLLTVDLTPNKDAGDQAKTTIKGFRSSSILNRIRLENFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDLINYSLHKSGVSCVQLNGSMSLTARDAAIRRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTIGGSSDALGKLTEADLRFLFVT >Vigun07g054400.1.v1.2 pep primary_assembly:ASM411807v1:7:5846918:5850163:-1 gene:Vigun07g054400.v1.2 transcript:Vigun07g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVGSSSFPPHHALLVVLVLLSLSFCTFALDMSIIDYDEALLQKTYEAWLVKHAKAYNAIGEKEKRFLIFKENWKFVQEHNNGAGNNEFRLGLNRFADLTNEEYRAMFMGTRKKKLASRNSARYAFRDGETLPTTVDWREKGAVAPVKDQGQCGSCWAFSTVAAVEGINQIVTGNLTTLSEQELVDCDRSYNMGCNGGLMDYAFEFIKQNGGIDTEEDYPYTARDNMCDTNRKNARVVSIDGYEDVPSNDEKSLMKAVANQPVSVAIEAGGREFQLYESGVFTGRCGTDLDHGVAVVGYGTENGIDYWLVRNSWGAGWGENGYIKLERNLLTSETGKCGIAMEASYPTKSGVNPPNPGPSPPTPATPATVCDEYYTCSPGTTCCCLFDYKGFCFGWGCCPIESATCCDDKSSCCPPEFPVCDPLSGSCRLSLDNPFGVKALKREAATCTWTQRKAAMKSD >Vigun06g212900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32495017:32495886:-1 gene:Vigun06g212900.v1.2 transcript:Vigun06g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAMIPMLPLVAILLATSSLSQICDAQNSPQDYLNAHNTARSQVGVGPMKWDSTVASFAQNYVNKLKGNCNMVHSGGPYGENLAWSSGDLTATAAVNLWVGEKPKYDYNSNKCVGGECRHYTQVVWRNSVRLGCAKVRCNDGRSTIISCNYDPPGNYIDQRPFDISAFQLPFTFNNAVDDN >Vigun08g069600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10955587:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun08g069600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10955587:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun08g069600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10955587:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun08g069600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10955587:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun08g069600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10955587:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun08g069600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10956247:10958556:-1 gene:Vigun08g069600.v1.2 transcript:Vigun08g069600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSYPSLLVYKTIPYTGFIKHNLLHLRLMLLSLMDFQIGVILTTLIVGSINSVTGQVNTFCTTSMMSSFTPCTNIITGSTNYNGSKPPSRCCDSMRSLMSTNVNCAYFLFSTNAPFFQLTLHHCPLIFTSMQH >Vigun05g057600.1.v1.2 pep primary_assembly:ASM411807v1:5:4931958:4938702:-1 gene:Vigun05g057600.v1.2 transcript:Vigun05g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPANSITYNTTLCACAPGHVLQRVNNTCVLFEGNSTIATDTGVDYYALSLPETLFAFDTIKKFTQSQAVFLEATLVMLLSWLVFCLFLRFTKLGDGRNVWFKIRWWISRMDICFATRHWLDDQKVVTKRKTELGGAFSIASWILFIGLFAALLYQIISKRSIEVHNVRATNGPELASFINDMEFNITTVSSMSCANLRNLGNLVTGNPGSIDERVVSLSSLANYTCYNSSTGPTVVFKCINCKVHHDRMYISWQFVDLPNNPAAAVGFEFKLTSIDIAKKHTSFVSGTLKNGSDFNDSPVTFRGNISNILKFNLFPRIYHNLHELKLIQPLFHEFLPGSVSRNTNELRVSLENSADGLINTTLFINFLSDYVVEIDRENILGPVSFLADLGGLYCISIGIFFYLLIQCEYRIKKLRNEDSILRRIRNRRKAQEHWDKLRKYVIYTFGCTALEDKYNSTRKETDCGGCMLHSVRGSGSSNKRRLKSRRDSISLYKKPSLPSNKSVTCKPHESINDSKLHSENMEKQQDVGPCKDPPQSQPQESSIIDGNFIPPPPSLEFKDGSEMDLTDIQKNLKNLYEYNVMLRDKLLATQSLLSSSSSSHTSAVNNEET >Vigun05g057600.2.v1.2 pep primary_assembly:ASM411807v1:5:4931958:4938702:-1 gene:Vigun05g057600.v1.2 transcript:Vigun05g057600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNITTVSSMSCANLRNLGNLVTGNPGSIDERVVSLSSLANYTCYNSSTGPTVVFKCINCKVHHDRMYISWQFVDLPNNPAAAVGFEFKLTSIDIAKKHTSFVSGTLKNGSDFNDSPVTFRGNISNILKFNLFPRIYHNLHELKLIQPLFHEFLPGSVSRNTNELRVSLENSADGLINTTLFINFLSDYVVEIDRENILGPVSFLADLGGLYCISIGIFFYLLIQCEYRIKKLRNEDSILRRIRNRRKAQEHWDKLRKYVIYTFGCTALEDKYNSTRKETDCGGCMLHSVRGSGSSNKRRLKSRRDSISLYKKPSLPSNKSVTCKPHESINDSKLHSENMEKQQDVGPCKDPPQSQPQESSIIDGNFIPPPPSLEFKDGSEMDLTDIQKNLKNLYEYNVMLRDKLLATQSLLSSSSSSHTSAVNNEET >Vigun06g226100.1.v1.2 pep primary_assembly:ASM411807v1:6:33414673:33416833:-1 gene:Vigun06g226100.v1.2 transcript:Vigun06g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGGSKKPHALLIPFPSQGHINPFLKLAKLLHRRGFHITFVNTEFNHRRLLKSRGPEALNGLSDFHFEAIPDGLPPTDMDATQSIPALCDSTRNNSLLPFCNLISKLNHSHDTPPVTFIVSDGVMSFTLKASQQFGLPNIFFWTHSASGVMSYTQCNNLMERGLTPLKDASYLTNGHLDTVIDWIPGMKDITLRDLPDIYRTTDPNDILLDFVAEQTEATSRASAVILQTFDALEHDVLEALSTMFPKLYTIGPLDLLLDQTSETKSDSIKCNLWKEDSECLKWLDSQQPNSVLYVNFGSVIVMTRQQLVELAWGLSNSKRKFLWVIRSDLVEGEAPILPPEIVEETKDRALLVGWCPQEQVLKHPAVAGFLTHCGWSSTLESITNGVPLICCPFFNDQFLICRYICREWNFGIDIDSENVTRDEVEKAVNELLEGEKGKELKKNAIEWKKKAQEATSTGGSSFLNLEKLVNELLLFKS >Vigun06g226100.2.v1.2 pep primary_assembly:ASM411807v1:6:33414673:33416833:-1 gene:Vigun06g226100.v1.2 transcript:Vigun06g226100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGGSKKPHALLIPFPSQGHINPFLKLAKLLHRRGFHITFVNTEFNHRRLLKSRGPEALNGLSDFHFEAIPDGLPPTDMDATQSIPALCDSTRNNSLLPFCNLISKLNHSHDTPPVTFIVSDGVMSFTLKASQQFGLPNIFFWTHSASGVMSYTQCNNLMERGLTPLKDASYLTNGHLDTVIDWIPGMKDITLRDLPDIYRTTDPNDILLDFVAEQTEATSRASAVILQTFDALEHDVLEALSTMFPKLYTIGPLDLLLDQTSETKSDSIKCNLWKEDSECLKWLDSQQPNSVLYVNFGSVIVMTRQQLVELAWGLSNSKRKFLWVIRSDLVEGEAPILPPEIVEETKDRALLVGWCPQEQVLKHPAVAGFLTHCGWSSTLESITNGWKRL >Vigun07g195400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31489058:31489620:1 gene:Vigun07g195400.v1.2 transcript:Vigun07g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASPSMGGSKRRLSGRGLGGALREQRARLYIIRRCVVMLLCWHD >Vigun06g014200.1.v1.2 pep primary_assembly:ASM411807v1:6:6666250:6667283:-1 gene:Vigun06g014200.v1.2 transcript:Vigun06g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVDLTYIKNDSKRKTTLSKRKNGLVKKINEINILCGIEACAIIYTPDNPQAEVWPSDRAVQSVLSRFRTVSELEKGKKKLCQESFLRQRIIKAQTQLRKLRNEIRKKEVTLLMFQYLNAKNNFENSRMIDLNDISNLIDQNLEKIENNISISQSQKVKTIAENGGETMSSLVNHVIGLETHVEAMQHHNLSMDLNNGYGKTIG >Vigun11g009600.1.v1.2 pep primary_assembly:ASM411807v1:11:1118378:1120458:-1 gene:Vigun11g009600.v1.2 transcript:Vigun11g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALETQFHVLAVDDSLIDRMLIERLLKTSSFNVTTVDSATKALKFLGLVEDELRNFESSVASEIHQDVVDVNLIITDYCMPGMTGYDLLRKIKESKSLKNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQQADVNKLKPHLMKSKSKEENEESLKNKRKDMEEIYSPNKTRLRCSS >Vigun09g188600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36310638:36311170:-1 gene:Vigun09g188600.v1.2 transcript:Vigun09g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSMFGCGVVMAPPQALGIGKRSDGGLTIVCSSRPKKKATAHHMKTRPRKTQPWDINRKPTVYNPLPPLPPDFTVIIPADASSAPAAPPPPSA >Vigun06g053100.6.v1.2 pep primary_assembly:ASM411807v1:6:17885552:17888957:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTFYILCKSFLELLYFSLNMVWFLRIILLGGILRRSNRNKNSGRDAMLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSIARVHPISAAMSYKRTLKKVDFTEDEYTQGLHLPNVDFVELGIEQLISTLQKTL >Vigun06g053100.10.v1.2 pep primary_assembly:ASM411807v1:6:17885430:17888974:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCRI >Vigun06g053100.1.v1.2 pep primary_assembly:ASM411807v1:6:17885037:17888973:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSIARVHPISAAMSYKRTLKKVDFTEDEYTQGLHLPNVDFVELGIEQLISTLQKTL >Vigun06g053100.9.v1.2 pep primary_assembly:ASM411807v1:6:17885441:17888957:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSIARVHPISAAMSYKRTLKKVDFTEDEYTQGLHLPNVDFVELGIEQLISTLQKTL >Vigun06g053100.2.v1.2 pep primary_assembly:ASM411807v1:6:17885037:17888973:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCRI >Vigun06g053100.5.v1.2 pep primary_assembly:ASM411807v1:6:17885163:17888450:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSSSATSDTF >Vigun06g053100.7.v1.2 pep primary_assembly:ASM411807v1:6:17885552:17888450:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTFYILCKSFLELLYFSLNMVWFLRIILLGGILRRSNRNKNSGRDAMLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSSSATSDTF >Vigun06g053100.8.v1.2 pep primary_assembly:ASM411807v1:6:17885430:17888974:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSIARVHPISAAMSYKRTLKKVDFTEDEYTQGLHLPNVDFVELGIEQLISTLQKTL >Vigun06g053100.11.v1.2 pep primary_assembly:ASM411807v1:6:17885441:17888957:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCRI >Vigun06g053100.3.v1.2 pep primary_assembly:ASM411807v1:6:17885428:17888974:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCRI >Vigun06g053100.4.v1.2 pep primary_assembly:ASM411807v1:6:17885428:17888974:1 gene:Vigun06g053100.v1.2 transcript:Vigun06g053100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEEAVKRWVVKLSKWDPHPSDFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLEYDDLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRESITEFVQLFSSYFSCLEWNNIIMAGTSDDVLIEFYRYWSLKEAYVKAIGSGVSEGLNKVEFSHTRWTDISAKVNGKVMTQWRFWLFELGERHCVSIARVHPISAAMSYKRTLKKVDFTEDEYTQGLHLPNVDFVELGIEQLISTLQKTL >Vigun07g080600.1.v1.2 pep primary_assembly:ASM411807v1:7:11341728:11344016:1 gene:Vigun07g080600.v1.2 transcript:Vigun07g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTNPPSNFCFLLLLLLAHFNLGKSQLQLNYYSKSCPKAEDIIKQQVTQLYHKHGNTAVSWVRNLFHDCIVQSCDASLLLETARDGTLVSEQTSERSFGMRNFKYVNTIKAAVEEECPLTVSCADIIALSARDGISLLGGPSIEMKTGRRDSKQSYAKEVEDLIPNHNDSISVVLSRFRAIGIDVEATVALLGAHSVGRVHCVNLVHRLYPTVDTTLDPAHAEYLKRRCPTPNPDPKAVLYSRNDLKTPMIIDNNYYKNILQHKGLLLVDEELATDSRTAPYVQKMAEDNEYFHQQFSRAIQLLSETNPLSGDQGEIRKDCRYLNID >Vigun06g224700.1.v1.2 pep primary_assembly:ASM411807v1:6:33303627:33305481:1 gene:Vigun06g224700.v1.2 transcript:Vigun06g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKWFLIAFVAVICSADVAPTVSSSHHGHAHAPAPSADCSALVLTLADCLPFVSDDGGQTKPQGKCCSSLKTVLATAPNCLCDSFKNSAQLGIAINVTKALTLPAACKLSTPSLSNCGLSPSPAPAPGSSSSTSAAANGGAPSSTPGNAASARIPISVGSFIVCLFVVVSLIPF >Vigun03g127201.1.v1.2 pep primary_assembly:ASM411807v1:3:12271518:12274670:1 gene:Vigun03g127201.v1.2 transcript:Vigun03g127201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDLIKDIDDKKETLKLAVRVKDLWFVENRDTNRHMELILLDLKGDMIPAMVKKEDIGLWEQKLVEGHTYIMHNFKIMKNQGQFRVWDHPYKLLFIGATTIKDQPISSIPLNIYNFKSIEHIVDGKFSVDLVYDVIGVVDNVRCNPQSKNVVFHIRDLSSAVIGCTLWDSYYFKFMSNWRGEPDFFVVVVMLTQAIRSNRLQLFMGDECSELVRFKEQWIQRFGNEVSQSQEASQLSSPSQYSEHEKFMYKVVVSTISEITTMKEEVYCVTVATTVKFNLGNGCRKKTEEICAFKCVMCGFNNGKSGIRYKLELQVCDGDSYANFVIWDQDYINLIGVSAVELMNKMIEDGEDDPKCFPEDLDVMLGCTLASSKLESKMNKESNAEATSDSSTEENSKGRDQIQKGKQSFHISVSDSNNENESFPIISYESQTDVINKESSPTDICGSRMKSMAVGLIKSGSRGKNIKESSPTAIYGSSTQSKATNPIKTGFHGNKIKESDPVDLSPCSTQSKTLASTKTPSHTAKDLLLDFEVDCDHLEDIPSVEFSRSKTKKRMKQEKH >Vigun08g116000.1.v1.2 pep primary_assembly:ASM411807v1:8:28279484:28282707:1 gene:Vigun08g116000.v1.2 transcript:Vigun08g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVECYPPPRTAVLSLNTLPLGFRFRPTDEELIDYYLRSKINGNGDDVWVIREIDVCKWEPWDLPDLSVVRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSGSALIGMKKTLVFYTGRAPKGKRTNWVMHEYRPTLKELDGTNPGQNPYVLCRLFKKHDESLEGSNGEDVERTASTPMTANHSPDEIQSDSSLDPASSSQITEGEKPLTVIHENSEEAISNIVTPLDSHSDGCGAQNQIVKPAAEQDQAFNFEDFYDPTKQQLLDDKLFSPVHAHFPPEIYYQSELQYGTNDNMSDFFDSVNWDAISYDTSSLELGSSFLNVKDNGSGSDSDVEMANMTNLQALHGYPKEEVEQKSSNVGLFQNIPQMVFSNDGSMGQVDDPIKNIGQPRDFDTFVNGDTGIRIRPRQGRNEQPNMNGMVLQSQGNAPRRIRLHVDRQFASAAKDESFASAPEDHNSKTTISREWNGSENHATDESCSSSSSSDVDEAEKESAESCDVASAEFISKESRRGHHSSKVSSNRGMWSCVLAVSATVLVSVTVIVNIWGYVRS >Vigun08g116000.2.v1.2 pep primary_assembly:ASM411807v1:8:28279484:28282707:1 gene:Vigun08g116000.v1.2 transcript:Vigun08g116000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVECYPPPRTAVLSLNTLPLGFRFRPTDEELIDYYLRSKINGNGDDVWVIREIDVCKWEPWDLPDLSVVRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSGSALIGMKKTLVFYTGRAPKGKRTNWVMHEYRPTLKELDGTNPGQNPYVLCRLFKKHDESLEGSNGEDVERTASTPMTANHSPDEIQSDSSLDPASSSQITEGEKPLTVIHENSEEAISNIVTPLDSHSDGCGAQNQIVKPAAEDQAFNFEDFYDPTKQQLLDDKLFSPVHAHFPPEIYYQSELQYGTNDNMSDFFDSVNWDAISYDTSSLELGSSFLNVKDNGSGSDSDVEMANMTNLQALHGYPKEEVEQKSSNVGLFQNIPQMVFSNDGSMGQVDDPIKNIGQPRDFDTFVNGDTGIRIRPRQGRNEQPNMNGMVLQSQGNAPRRIRLHVDRQFASAAKDESFASAPEDHNSKTTISREWNGSENHATDESCSSSSSSDVDEAEKESAESCDVASAEFISKESRRGHHSSKVSSNRGMWSCVLAVSATVLVSVTVIVNIWGYVRS >Vigun03g138000.1.v1.2 pep primary_assembly:ASM411807v1:3:13545883:13548659:-1 gene:Vigun03g138000.v1.2 transcript:Vigun03g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLRQKQTECIARMLNLNQPLNATTGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPNQSNVQRIVSDASKALYQSLHLNFSTSIPRPLLEDLAAGTLNSDSIQRISKVHDQYLEFVTLEDNLFSLAHKSCYVQLNDPSAGDKEIEELVEKIVGGLFCVLATLSVVPVIRCPRGGPAEMVASALDQRIRDHLLSKNNLFTEGGNFVSSFQRPVLCIFDRNFELPVAIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMRSYELDYADSFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNKRTGGTHGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMLVRGGIERGDLLGVLRGKGTKMDKLRFAIIYLISSETINQSEVEAVEAALRESEVDPAAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSNDRQLALARTVEALTEGRPNPETDSYLSFDPRAPKSGSGASSSHLKGPFKEAIVFMIGGGNYVEYCSLQELAQHQQPAKHIIYGTTEILTGVEFVEQLTLLGQKMGLGNVGSSSTSAQ >Vigun03g098800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8418340:8425276:-1 gene:Vigun03g098800.v1.2 transcript:Vigun03g098800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIQNGSSQSHHGSSSSSSSQHPPSNHQPLSRYESQKRRDWNTFGQYLKNQSPPVALSQCNFNHVLDFLRYLDQFGKTKVHLHGCIFFGQPTPPAPCACPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGGGAIRVYLREVKECQAKARGIPYKKKKKKRNTIIKGTQSAKDIEQQAS >Vigun03g098800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8419944:8425271:-1 gene:Vigun03g098800.v1.2 transcript:Vigun03g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIQNGSSQSHHGSSSSSSSQHPPSNHQPLSRYESQKRRDWNTFGQYLKNQSPPVALSQCNFNHVLDFLRYLDQFGKTKVHLHGCIFFGQPTPPAPCACPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGGGAIRVYLREVKECQAKARGIPYKKKKKKRNTIIKGTQSAKDIEQQAS >Vigun03g098800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8418418:8425264:-1 gene:Vigun03g098800.v1.2 transcript:Vigun03g098800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIQNGSSQSHHGSSSSSSSQHPPSNHQPLSRYESQKRRDWNTFGQYLKNQSPPVALSQCNFNHVLDFLRYLDQFGKTKVHLHGCIFFGQPTPPAPCACPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGGGAIRVYLREVKECQAKARGIPYKKKKKKRNTIIKGTQSAKDIEQQAS >Vigun05g122350.1.v1.2 pep primary_assembly:ASM411807v1:5:13543479:13543747:1 gene:Vigun05g122350.v1.2 transcript:Vigun05g122350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNAAEFCYYIVFNFKCSRGGLRLHGYGVVKS >Vigun03g408800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61579425:61582166:-1 gene:Vigun03g408800.v1.2 transcript:Vigun03g408800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTDVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTHGTGKIPDKEILKIVKENFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGREDPDFTWEVVKPLKWEKA >Vigun03g190300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26073149:26074570:1 gene:Vigun03g190300.v1.2 transcript:Vigun03g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVHSLHVFFIPFLAYGHIIPTVDMAKLFAEKGVKATIITTPLNAPFITKTTGKPKTSSTTIHVRTIELPCAEAGLPNGCENTNSITSGALFPAFFRACGLLQDQFEQLLLEQRPNCVVADVMFPWATNSAAKFGVPSLVYDGTSFFSICANECMGLYEPYKNVSSDSEPFLIPNLPGEITITRMQVSPHVMSNESPGVTKLLEEVRESELKSYGMVVNSFYELEKVYADHLRNVLGRKAWHIGPMFLSNRVKEEKAHGEDEHECLKWLDTKKPNSVVYVCFGTTTKMTTSQLKDIATGLEASGEQFIWVVRKSEEDGVEWLPEEFEKKIEGKGLIIRGWAPQVLILEHEAIGAFVTHCGWNSILEGVVAGVPMVTWPIAYEQFFNEKLVCEILKIGVPSGAKKWAAGVGDTVKWEAVEKAVKTIMSGEEANEMRNKVKVLSHLAREAVAEGGSSNSDLNALIAELSSLTI >Vigun05g044050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3648805:3649643:1 gene:Vigun05g044050.v1.2 transcript:Vigun05g044050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKSTICSSVSELSTVVAIEICPLLVGEVASSSSMVSRSDIVSTSSLIRWPKIVVSPLLVEITTTSSSEATTASTSKIYISIFTTSTIFTLAGFYYVGKIHLTFHGFFICFFMFSPRFCSHGFQCYLAILQPS >Vigun08g032900.1.v1.2 pep primary_assembly:ASM411807v1:8:3008862:3011399:-1 gene:Vigun08g032900.v1.2 transcript:Vigun08g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >Vigun07g214500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33568914:33569637:1 gene:Vigun07g214500.v1.2 transcript:Vigun07g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALCSFVVAVCLVMVSYSPMVANGQGLAEKTCLENLEYKDACLMLLKQADPKIVNAKTVDELAQATLEWAVAKSQDAQAFLKGLSQVDNNKAIVQCANFDYDGVVASFKSALEGLKDDPQTASYDAKVAGDGPTGCDRGLASQNINNPAITALNQQIFTLSNLAFKIICKINTD >Vigun07g273900.1.v1.2 pep primary_assembly:ASM411807v1:7:38974559:38976637:-1 gene:Vigun07g273900.v1.2 transcript:Vigun07g273900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSWVSRGSITRAMDSTNRGAFSRFFSDKGRVLSEEEQAKENVYIQKWERERLEKQKLQGNKVRAENDKNAAEKNEGTADKKPQKE >Vigun01g132100.1.v1.2 pep primary_assembly:ASM411807v1:1:31013534:31016865:1 gene:Vigun01g132100.v1.2 transcript:Vigun01g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEEAPRIKPKKPTAGKPLGRGRGRGRGRGRGRGR >Vigun03g307900.1.v1.2 pep primary_assembly:ASM411807v1:3:49916602:49917819:-1 gene:Vigun03g307900.v1.2 transcript:Vigun03g307900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSPGHRRKFSGKDPPSSLRAEKHWSGVRRFALTLKVPLDNSESFLRPSKVLVNVSIEKGVGAVQVVISPEDTVADLIKAALASYKKEKRRPFLKNNDPNFYDLHYSSFTLQSLKVDEKLMNLGSRNFFLCSKPTSSSCSEKENTAIDSAFPWMVFVPLLL >Vigun01g029400.2.v1.2 pep primary_assembly:ASM411807v1:1:3543422:3559883:-1 gene:Vigun01g029400.v1.2 transcript:Vigun01g029400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANSSKSGNWKRKELLFLVIYAIVFYVIIINRSLQLSRDYYKQLSGLRPGWLLANHLNDVSDAQWRNFRGNISVLTLVFGIFTLLAYLMKAFFDLRVRGMSIAWLLFSLAYLSYLHGVCIAFILSIATGNFILVKIFAQKEYFPLILWSYNILFLLSNRIYEGYSFSIFGQQWAFLDNYRGSFRWHICFNFVVLRMISFGFDYHWTNRDSHFDQEKHYQRCHICKSGKSCYQVLQERSLPNDRFGYITYLCYLVYAPLYIAGPILSFNAFTSQLDVPQNTISVRNVTLNGFRWILSIILMELMTHLFYYNAFANSDLWKHLSPMDVFIIGYGVLNFMWLKFLLIWRFFRFWSLINGIEAPENMPKCINNCHNLEGFWKNWHASFNKWLVRYIYIPLGGSKKKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPELVFKSAAKAFQAQSSFGVCIFRELSAVAGAVTITCLMVANLVGFVVGPGGINWLLSSFLHKEGLPVLGGMLVTFYVGTKIMFHIEEAKQRLP >Vigun01g029400.1.v1.2 pep primary_assembly:ASM411807v1:1:3543422:3559883:-1 gene:Vigun01g029400.v1.2 transcript:Vigun01g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANSSKSGNWKRKELLFLVIYAIVFYVIIINRSLQLSRDYYKQLSGLRPGWLLANHLNDVSDAQWRNFRGNISVLTLVFGIFTLLAYLMKAFFDLRVRGMSIAWLLFSLAYLSYLHGVCIAFILSIATGNFILVKIFAQKEYFPLILWSYNILFLLSNRIYEGYSFSIFGQQWAFLDNYRGSFRWHICFNFVVLRMISFGFDYHWTNRDSHFDQEKHYQRCHICKSGKSCYQVLQERSLPNDRFGYITYLCYLVYAPLYIAGPILSFNAFTSQLDVPQNTISVRNVTLNGFRWILSIILMELMTHLFYYNAFANSDLWKHLSPMDVFIIGYGVLNFMWLKFLLIWRFFRFWSLINGIEAPENMPKCINNCHNLEGFWKNWHASFNKWLVRYIYIPLGGSKKKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPELVFKSAAKAFQAQSSFGVCIFRELSAVAGAVTITCLMVANLVGFVVGPGGINWLLSSFLHKEGLPVLGGMLVTFYVGTKIMFHIEEAKQRLP >Vigun06g005700.3.v1.2 pep primary_assembly:ASM411807v1:6:3131012:3138948:-1 gene:Vigun06g005700.v1.2 transcript:Vigun06g005700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWQILSHSPNNFHWQITQQVSHASPTPLPSPIPLPSMADLLLQGSPALLPSHDAGARGEERAMDETLSFSNSLFTTGSGKKVTISSRGLVRAKTLLNTIDINIQSTSKLRAFGETAGKQQLQEYEESPALKLHTVINSPSVGGLHALNNGLEERNAFKEPPIKFRTAGGRSISISSGALQRARSLLGDPDVGDLFEGGDTGDSVFSFPLERQIDTAAPSDYSAPVVHRVTSDSNFKTKSFTFPMKSSRQRGLSTKFPCEGDGINLTKKFDAVGEESDCGRKSTGACGQKPPYGRSQTQNSLVYDSSLNCFSSKVDTRGVAIRRALADVSNTINTDTTNNRQAGSGKRRLGLSVTVSPFKKPRSSNSPAPLEQDVEKSPHGEVSTRYPFHYPRVYIKDYFFGRPMEKRACFPNLSRQVTSLNAEKYVFHKGSGHNGIGAEAFVHLLALHGASMHFATKEWVTNHYKWIVWKLACYERYYPASSAGNFLTVSNVLEELKYRYEREVNHGHRSTIKKILEGDAVPSSMMILCISNIHCSDIVESGTCFETRPGAQITEAVKIELTDGWYSMNAILDVPLSKQHAAGRLFVGQKLRLSGAGLCGWNGPVSPLEVSSSVSLLLHINGTYRAHWAERLGFCKVAGPPLAFRCIKRNGGLIPQTLAGITRIYPILYKERLSSGRSVVMSEKMENKMSELYNQRRSAVVDGIISEYQKESSYINYDSDSEGAKIYNMLETAEEPEFLMADMSAEQLTSFAAYKAKLNAIRQSEKEKSIEKALKDAGLGHREVTPFMKLRVVGLTYKTRQDKPKEGIVTIWNPTEKQVSVWSWWKEEHIPLQDSCHHVLILISFTCMVEDLVPSGCLYLLMQENSLGHFFAVENRPRYQVWVTSLFPTSLTLLHILCMWEEFIHQISIRSNGFL >Vigun06g005700.2.v1.2 pep primary_assembly:ASM411807v1:6:3131012:3138948:-1 gene:Vigun06g005700.v1.2 transcript:Vigun06g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWQILSHSPNNFHWQITQQVSHASPTPLPSPIPLPSMADLLLQGSPALLPSHDAGARGEERAMDETLSFSNSLFTTGSGKKVTISSRGLVRAKTLLNTIDINIQSTSKLRAFGETAGKQQLQEYEESPALKLHTVINSPSVGGLHALNNGLEERNAFKEPPIKFRTAGGRSISISSGALQRARSLLGDPDVGDLFEGGDTGDSVFSFPLERQIDTAAPSDYSAPVVHRVTSDSNFKTKSFTFPMKSSRQRGLSTKFPCEGDGINLTKKFDAVGEESDCGRKSTGACGQKPPYGRSQTQNSLVYDSSLNCFSSKVDTRGVAIRRALADVSNTINTDTTNNRQAGSGKRRLGLSVTVSPFKKPRSSNSPAPLEQDVEKSPHGEVSTRYPFHYPRVYIKDYFFGRPMEKRACFPNLSRQVTSLNAEKYVFHKGSGHNGIGAEAFVHLLALHGASMHFATKEWVTNHYKWIVWKLACYERYYPASSAGNFLTVSNVLEELKYRYEREVNHGHRSTIKKILEGDAVPSSMMILCISNIHCSDIVESGTCFETRPGAQITEAVKIELTDGWYSMNAILDVPLSKQHAAGRLFVGQKLRLSGAGLCGWNGPVSPLEVSSSVSLLLHINGTYRAHWAERLGFCKVAGPPLAFRCIKRNGGLIPQTLAGITRIYPILYKERLSSGRSVVMSEKMENKMSELYNQRRSAVVDGIISEYQKESSYINYDSDSEGAKIYNMLETAEEPEFLMADMSAEQLTSFAAYKAKLNAIRQSEKEKSIEKALKDAGLGHREVTPFMKLRVVGLTYKTRQDKPKEGIVTIWNPTEKQRLELVEGGAYSIAGLMPSCSDFDILHLHGGGSSTKWLPLSSNAREQFRPFFRSRKSTPLSSLGDIPLSNEFDIAAHIVHVGGVYTSNQHQKQWVFVTDGSIMNGLQSENLINSLLAICFCSPLIDHDSSFPLISYNLAGSTVGFCNLIKKEKDHTNNIWVADANENSTYYLNFDSSNCYHLRNAASSIRRWADNSSLVIEKLKEKVLYVVGDDCKA >Vigun06g005700.1.v1.2 pep primary_assembly:ASM411807v1:6:3131012:3138948:-1 gene:Vigun06g005700.v1.2 transcript:Vigun06g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWQILSHSPNNFHWQITQQVSHASPTPLPSPIPLPSMADLLLQGSPALLPSHDAGARGEERAMDETLSFSNSLFTTGSGKKVTISSRGLVRAKTLLNTIDINIQSTSKLRAFGETAGKQQLQEYEESPALKLHTVINSPSVGGLHALNNGLEERNAFKEPPIKFRTAGGRSISISSGALQRARSLLGDPDVGDLFEGGDTGDSVFSFPLERQIDTAAPSDYSAPVVHRVTSDSNFKTKSFTFPMKSSRQRGLSTKFPCEGDGINLTKKFDAVGEESDCGRKSTGACGQKPPYGRSQTQNSLVYDSSLNCFSSKVDTRGVAIRRALADVSNTINTDTTNNRQAGSGKRRLGLSVTVSPFKKPRSSNSPAPLEQDVEKSPHDLSQLSSDISECKGEVSTRYPFHYPRVYIKDYFFGRPMEKRACFPNLSRQVTSLNAEKYVFHKGSGHNGIGAEAFVHLLALHGASMHFATKEWVTNHYKWIVWKLACYERYYPASSAGNFLTVSNVLEELKYRYEREVNHGHRSTIKKILEGDAVPSSMMILCISNIHCSDIVESGTCFETRPGAQITEAVKIELTDGWYSMNAILDVPLSKQHAAGRLFVGQKLRLSGAGLCGWNGPVSPLEVSSSVSLLLHINGTYRAHWAERLGFCKVAGPPLAFRCIKRNGGLIPQTLAGITRIYPILYKERLSSGRSVVMSEKMENKMSELYNQRRSAVVDGIISEYQKESSYINYDSDSEGAKIYNMLETAEEPEFLMADMSAEQLTSFAAYKAKLNAIRQSEKEKSIEKALKDAGLGHREVTPFMKLRVVGLTYKTRQDKPKEGIVTIWNPTEKQRLELVEGGAYSIAGLMPSCSDFDILHLHGGGSSTKWLPLSSNAREQFRPFFRSRKSTPLSSLGDIPLSNEFDIAAHIVHVGGVYTSNQHQKQWVFVTDGSIMNGLQSENLINSLLAICFCSPLIDHDSSFPLISYNLAGSTVGFCNLIKKEKDHTNNIWVADANENSTYYLNFDSSNCYHLRNAASSIRRWADNSSLVIEKLKEKVLYVVGDDCKA >Vigun02g029700.1.v1.2 pep primary_assembly:ASM411807v1:2:12318192:12350693:1 gene:Vigun02g029700.v1.2 transcript:Vigun02g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQQWLLNCLSATLDPNPEVRCFAEASLDQASRQPGFGSALSKVTANKELAVGLRQLAAVLIKQLVKKHWQEGEDTFEPPVISTDEKEIIRRMLLLALDDPHRKICTAIGMAVASIAVHDWPELWPDLLPFLLNLINNQANLNGVHGAMRCLTLLCSDLDDQMVPTLIPSLFPSLLTIVSSPQKYDKYIRSKALSIIYSCTSMLGTMSGVYKAETSSLIAPLLKPWMGQFSSILEIPVQSENPDDWSIRMEVLKCLNQFIQNFSSLFKSEFEVILGPLWNTFVSSLRVYEKAAIEGTEDSYDGRYDSDGSEKSLDSFVIQLFELMLTIVGNTRLKKVVGANIRELVYYTIAFLQMTEQQVHTWSADANQFIADEEDATYSCRISGVLLLEEVVNSYDDEGICAIIDSTKQWFNESQIRKAAGNASWWRIREATLYALSSLSEQLFETEETRVYSSDLKHLLEQIFAVDSLIGPLECPFLYARIFTSVAKFSSLISSGLVEHYLYLGMKAVTIDVPPPVKVGACRALSNLLPEATNEVIQSQLLGLFSSLIDLLNHASEETLHMVLDTLLAAVKAGRESSTVVENMISPVILNVWASHVSDPFISIDALEILETIKSIPGCIHPLVSRILPYVGPILNKPQEQTEGLVAGSLDLVTMLLKNAPADVVKAIYDVSFNAVIKITLQSDDHSEIQNATECLSAFISGGRQEILAWGSDSGSIMRSLLDIASRLLNPELESSGSLFVGSYILQLILHLPSHMAVHIRDLVAALVKRMQSAQNAVLRSSLLIVFARLVHMSVPNVGDFIDLMISIPADGHDNSFAYVISEWTKLQGDIQGAYQIKVTTSALALLLTSRHNELGKIHVQGHLIKSGEGVTTRSKAKSAPNQWVILPLPTKIVALLADALTEIQEQVLEADVVDSDWEEVEADGIENDRDFLYSVSSPLGKATDEHLQAMAKVFNEDRDDQYDDNLFSVADPLNQINLANYLVDFFVSFSQSDRQLLDHICQSLTQSQRNAIQMVLKR >Vigun05g114700.1.v1.2 pep primary_assembly:ASM411807v1:5:12144882:12146723:-1 gene:Vigun05g114700.v1.2 transcript:Vigun05g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSSFKDKRWSLHGMTALVTGGTRGIGHAIAEELAEFGAVVHVCARKQQDIDRCLEEWNNKGLRITGSVCDVQFQDQRQNLMKNVATIFQGKLNILINNAGTASTKSHLDYTAEDVAVIMGTNFEACFHLCQLAHPLLKASGCGNIVFISSISGLKAFPLCTAYAASKGALNQFTKNIALEWAKDNIRANAVAPGCVKTELLDSLMETADVNKNVEDLMSLSPAGRIGEPKDISPMVAFLCLPAASYITGQILTVDGGSIL >Vigun01g168500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35004594:35007171:-1 gene:Vigun01g168500.v1.2 transcript:Vigun01g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETVNGSWFSSLWPVSRKSASSDNKAVVGILALEVTGLMLKVVNLWQSLSDREVLSLREGIVNSVGVKMVVSDNDDYLMELAMNEILHNFQSLARSVARLGKKCVDPVYHRFEHFVHNPVQNYFNWSGWEYRWKKMERKVKKMEKFIAAATQFCEEVEVLAEVEQTFRRMQANPELHRVKLLEFQKKVMLQRQEVRNLRDMSPWNRSYDYVVRLLARSLFTILERIVLVFANKHPPTVQPHNDSLHMNSNNLLRSHSFSVMHSSVYPSENDLYGLNSYSGFLVGKGKRTKKQQKKEELVFFRKNTHSETKHLGHIMGFKGCMSAANDSPVVRSCMQTNGGSMRLTDCHLKSVDKMRTVNESSLPNRVRIYSKLSTNNLLKPASKSLGDAALALHYANMIVLIERMVTSPHLVDLATRDDLYSMLPTTVRTSLRAKLKSHVKGKSSSNHHDANLAAEWSLLLAQMLEWLAPLAHNMISWHSVRNFEKEHSIFNANVLLVQTLYFANQARTEAAIVDLLVGLNYVCRIDTKVGTRDTLDCASTRTFNGVRLRKNGMYNEIL >Vigun08g105832.1.v1.2 pep primary_assembly:ASM411807v1:8:26282502:26283422:-1 gene:Vigun08g105832.v1.2 transcript:Vigun08g105832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEQCSSCNGSGNQNLSASLCGGSGSIDLGVSLMCYSGEKAALRTARTLKNKGKKFWGCPKYKSGSDQCGGCNYFKWFTDNEIEEKGWSSKKIEEMGGGKLKIE >Vigun08g052200.2.v1.2 pep primary_assembly:ASM411807v1:8:6206158:6207457:-1 gene:Vigun08g052200.v1.2 transcript:Vigun08g052200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEGEQNAERKGWRKGVKKVGKWVAHKDNDKWLDDIRGNLSLVATVIATITFQSALNPPGGVRAAQESGVVGCEGMNPCPGKSVLAYTMREDYTFFLIFNTACASPSLVSLLHICLVLKWSPLNLFGAHPPPPCFILLFFCG >Vigun08g052200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6206157:6207457:-1 gene:Vigun08g052200.v1.2 transcript:Vigun08g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEGEQNAERKGWRKGVKKVGKWVAHKDNDKWLDDIRGNLSLVATVIATITFQSALNPPGGVRAAQESGVVGCEGMNPCPGKSVLAYTMREDYTFFLIFNTVCFVSSSAVCLLLVSGLSLNNRFFAWLFSIGMCITISSLALTYMFGAQMVTPEPVWSTSTTSMFYIAFLLWLALLGLVALVHCLRLFIWILTRKPKQ >Vigun09g007200.1.v1.2 pep primary_assembly:ASM411807v1:9:536161:538429:-1 gene:Vigun09g007200.v1.2 transcript:Vigun09g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNTSSNLETRSLLDELCNFDKKGLFDLGHPLLNRILESFIKAAGIGAAQAVSREAFFTAIEGNGANSSGLSAEASSAKKNQLPGLRGENSNKSLEAMVMSTGKESLQWGVAAGLYSGLTYGLKEARGAHDWKNSAVAGAITGATLALTLDDSTHEQVVQCAITGAAISTAANILTGIF >Vigun07g002600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:223316:224226:-1 gene:Vigun07g002600.v1.2 transcript:Vigun07g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKLRTISHYFMQTTTQDIQEQPKPKTQKTQRMSSSMSTLISSSLSQTLKIRTLIIPLSPHTLFTSKTPPSSISLTNTNKITMFTPQPNQISEHPQRVPPEIPSLPKVQPSPVPSEKPVIPTPDPETDPNVPPEIVTTPEIFTDPAPGPYPNPKPDAPKPPLTPPGIETPLPKPPEKVPQQPPEVDPPRPPEILPPPSAPPPGITPPTGPSIIG >Vigun01g086600.1.v1.2 pep primary_assembly:ASM411807v1:1:24374207:24378525:-1 gene:Vigun01g086600.v1.2 transcript:Vigun01g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPTSDKTADLLQNLTLDSEPKAIGVTEPAKKNGPGFSKGAAKGMGKPFNPNSSFVPNGYPSAYYYGGYDGQGDWNVYSRYMNLDGGMTQGVYGDNCSYMYHHGYGYTPYGTYAPANSSSPMIQQDGQHYALQQYQYPCSYYKSPASADVSFTPNKITPTQEEISTAVDADHVASLNVTSKGNTVNMANSDFTNKNGLKSFLTGSQHTTLHSNDSYQGTSLPPYAPLSGYQGPRMSNHATQLPVQSDMSLISDRQSKHGAKVGLSSSMTPVKDFTSQRNQGLLQQLPPFPNLNGSRHPSGLELVSGFMNGMYPSNRMYSQYGNTFRANSRFGSAAYGSRMGSVDYKRHATGDGYGFKKSMEGFTELNKGPRAAKSSDNKNIKSLGPVTLLLKGQNLPVKSDNKEVLLVPNKEQYNGKDFSENYSDAKFFVIKSYSEDDIHKSIKYSVWASTPNGNKKLDAAYQEAKGKTGGCPIFLLFSVNTSGQFVGLAEMLGPVDFGKSVDYWQQDRWTGCFSVKWHVIKDIPNSALRHITLENNENKPVTNSRDTQEVKFEKGVQVVKIFKEHSSQTCILDDFGFYEAREKASQEKKSKEQQFPKQISKPSDLTIGTVTLLKSLDATLPKEAATANTAEDRMNSEGLLEGDGSTTAPEDSS >Vigun01g086600.3.v1.2 pep primary_assembly:ASM411807v1:1:24374207:24378364:-1 gene:Vigun01g086600.v1.2 transcript:Vigun01g086600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPFNPNSSFVPNGYPSAYYYGGYDGQGDWNVYSRYMNLDGGMTQGVYGDNCSYMYHHGYGYTPYGTYAPANSSSPMIQQDGQHYALQQYQYPCSYYKSPASADVSFTPNKITPTQEEISTAVDADHVASLNVTSKGNTVNMANSDFTNKNGLKSFLTGSQHTTLHSNDSYQGTSLPPYAPLSGYQGPRMSNHATQLPVQSDMSLISDRQSKHGAKVGLSSSMTPVKDFTSQRNQGLLQQLPPFPNLNGSRHPSGLELVSGFMNGMYPSNRMYSQYGNTFRANSRFGSAAYGSRMGSVDYKRHATGDGYGFKKSMEGFTELNKGPRAAKSSDNKNIKSLGPVTLLLKGQNLPVKSDNKEVLLVPNKEQYNGKDFSENYSDAKFFVIKSYSEDDIHKSIKYSVWASTPNGNKKLDAAYQEAKGKTGGCPIFLLFSVNTSGQFVGLAEMLGPVDFGKSVDYWQQDRWTGCFSVKWHVIKDIPNSALRHITLENNENKPVTNSRDTQEVKFEKGVQVVKIFKEHSSQTCILDDFGFYEAREKASQEKKSKEQQFPKQISKPSDLTIGTVTLLKSLDATLPKEAATANTAEDRMNSEGLLEGDGSTTAPEDSS >Vigun01g086600.2.v1.2 pep primary_assembly:ASM411807v1:1:24374207:24378525:-1 gene:Vigun01g086600.v1.2 transcript:Vigun01g086600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPTSDKTADLLQNLTLDSEPKAIGVTEPAKKNGPGFSKGAAKGMGKPFNPNSSFVPNGYPSAYYYGGYDGQGDWNVYSRYMNLDGGMTQGVYGDNCSYMYHHGYGYTPYGTYAPANSSSPMIQQDGQHYALQQYQYPCSYYKSPASADVSFTPNKITPTQEEISTAVDADHVASLNVTSKGNTVNMANSDFTNKNGLKSFLTGSQHTTLHSNDSYQGTSLPPYAPLSGYQGPRMSNHATQLPVQSDMSLISDRQSKHGAKVGLSSSMTPVKDFTSQRNQGLLQQLPPFPNLNGSRHPSGLELVSGFMNGMYPSNRMYSQYGNTFRANSRFGSAAYGSRMGSVDYKRHATGDGYGFKKSMEGFTELNKGPRAAKSSDNKNIKSLGPVTLLLKGQNLPVKSDNKEVLLVPNKEQYNGKDFSENYSDAKFFVIKSYSEDDIHKSIKYSVWASTPNGNKKLDAAYQEAKGKTGGCPIFLLFSVNTSGQFVGLAEMLGPVDFGKSVDYWQQDRWTGCFSVKWHVIKDIPNSALRHITLENNENKPVTNSRDTQEVKFEKGVQVVKIFKEHSSQTCILDDFGFYEAREKASQEKKSKEQQFPKQTDQQTQ >Vigun03g309600.3.v1.2 pep primary_assembly:ASM411807v1:3:50173916:50178857:-1 gene:Vigun03g309600.v1.2 transcript:Vigun03g309600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKLNQLKHFIEQCKSNPSLLADPSLSFFRDYLESLGAKLPESAHSKSRDVESDDDIEDVAEEQEKVEEVEEDEIIESDVELEGETCEPDDDPPQKMGDPSVEVTEESRDASQMAKVKAMEAISEGKLEEATESLTEAILLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEEAAKDLHVASKLDYDEEINAVLKKVEPNAHKIEEHRRKYERLHKEREEKRKERERQRRRAEAQAAYEKAKKQEQSSSSRNPGGMPGGFPGAGGMPGGFPGAGGMPGGVPGNVDFSKILNDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGPK >Vigun03g309600.2.v1.2 pep primary_assembly:ASM411807v1:3:50173916:50178857:-1 gene:Vigun03g309600.v1.2 transcript:Vigun03g309600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKLNQLKHFIEQCKSNPSLLADPSLSFFRDYLESLGAKLPESAHSKSRDVESDDDIEDVAEEQEKVEEVEEDEIIESDVELEGETCEPDDDPPQKMGDPSVEVTEESRDASQMAKVKAMEAISEGKLEEATESLTEAILLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEEAAKDLHVASKLDYDEEINAVLKKVEPNAHKIEEHRRKYERLHKEREEKRKERERQRRRAEAQAAYEKAKKQEQSSSSRNPGGMPGGFPGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGVPGNVDFSKILNDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGPK >Vigun03g309600.1.v1.2 pep primary_assembly:ASM411807v1:3:50173916:50178857:-1 gene:Vigun03g309600.v1.2 transcript:Vigun03g309600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKLNQLKHFIEQCKSNPSLLADPSLSFFRDYLESLGAKLPESAHSKSRDVESDDDIEDVAEEQEKVEEVEEDEIIESDVELEGETCEPDDDPPQKMGDPSVEVTEESRDASQMAKVKAMEAISEGKLEEATESLTEAILLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEEAAKDLHVASKLDYDEEINAVLKKVEPNAHKIEEHRRKYERLHKEREEKRKERERQRRRAEAQAAYEKAKKQEQSSSSRNPGGMPGGFPGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGVPGNVDFSKILNDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGPK >Vigun05g089800.1.v1.2 pep primary_assembly:ASM411807v1:5:8612814:8613715:1 gene:Vigun05g089800.v1.2 transcript:Vigun05g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVACMIVMCMGMVGAPMMVHGISCSEVTTYMAPCLSYLRNGGEVPDSCCGGVRSILGAAGTTSEKQTVCNCLKEAANNFGINDDYAQALPTFCGVTVSYKISRSTNCQNIRF >Vigun02g138600.1.v1.2 pep primary_assembly:ASM411807v1:2:28814249:28816044:1 gene:Vigun02g138600.v1.2 transcript:Vigun02g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDREDNDSDAPEEFTTEQGLQQDEEIQRVQRENKSRVVREAKERRRKWAQNITPRPSKAGKISQNVIDSEPQQKPKAADGFLPEDIVQMLAARENHVSFSDTKEEKDETKSTTSKKRKSRKSGLKPVILSKIGPPQCSNSALEFLKERKMSVPRSSSVLNNPKRALRLLSRSGVLGRK >Vigun09g220500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39436257:39437053:1 gene:Vigun09g220500.v1.2 transcript:Vigun09g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGCRRGSRFSSYERLAAIGLVLLAVASPLYIDRKAECELEEEDQPINVDAFLPFLLLLLIFGIALSAFLDRTLAAFDRYWIYRVIGSSGGILALLSLLLLILNLKSSL >Vigun10g033800.2.v1.2 pep primary_assembly:ASM411807v1:10:4517441:4521024:-1 gene:Vigun10g033800.v1.2 transcript:Vigun10g033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPKMYDVLINFNGEDIRRKFVSHLDSVLSAAGLTTFLHHQNSVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLHQIIEWHKTYCRHVLPVYYDIQPSDVRLQKGDFGKTLKATAQQSFSAQQLEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQIIKNKSTGVCTVGICGMGGSGKTTLAKAIYNQIHGTFLEKSFIEDISEVSRTRKQANLQERLLSDLLKTKVEIHRVEMGRSMIRENLYGKKVLIVLDDVSEYGPLDLWESSARFGEGTVIIITTRDDRLLRIHQVDSIFRMNLMNPNESLELLSWHAFREPKLKEEYHFLAERVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSPQHEVSQILKISYEGLLNQMEKDLFLDVCCFFIGKCRFYVTKILNGCGVDPDRGIRVLIKRNLVKVRKNNKFGMHPLLRQMGREISHEILSKEPEKISGLWLDEDAEHALSRNTLFSSQQTKVIQRFSRRLVNLAGVSWSLCEKLRWVSLKGFFSQYLPNDFYLRDAIVIDLKHSLLRLVWKQPQKPLTFRDYQLSNNSFSKIAKVCVKYNLTLLNLKGCTGLGNLPREIYMLKSLKALVLSGCSKIILLEKDIVQMESLITLISENTVVKQVPFSIASSKSIGYISLCGLEERSHNLFPSIIRSRMSPTTNPLSYIHTFSGMEDNSWDDIVPFFSSLTILRSVLVQCDPEFQLSKQLQAILVDYCANITESRISKHHFRSCLVGVGRYEEFFNTVSYGIYEVLSNNFVLDFLDYNCSFL >Vigun01g146900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32860055:32862424:1 gene:Vigun01g146900.v1.2 transcript:Vigun01g146900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQNKKQQNAQKMLHQCENFGNNVNVHTWCMWLLQQYVDVNYRTYLGGNFNVSDADSFQIALFPILHICIHVSSLPHYEELGELILILLPRSQHLLLPMEIALSLHKSQTPLLGSSSPGNLSSLGRCFASKERDRCHPFSRICCSSMKRGLKPKPKKVGHAERQVTVFEDNAFRKPSSSGICRQIEKLVMCNRYREAMEWFEILEVGHDGFDVGGSTYDALVSACVCLRSIRGVKRVYSYMINSGFEPDLFVMNRVLFMHVRCGLMLDARKLFDEMPERDSASWMTMIGGLVDTGNFSEAFRLFLCMWEEINDGGSRTFVTMIRASAGLGLVQVGRQIHSCALKRGVGDDNFVSCSLIDMYSKCGSIEDAHCVFDQMPEKTTVGWNSIIASYALHGYSEEALSLYCGMRDSGATIDHFTISIVIRICARLASLEHAKQAHAALVRHGYGTDIVANTALVDFYSKWGRMQDAQHVFNRMRCKNIISWNALIGGYGNHGQGEEAVEMFEQMLQEGMIPNHVTFLAVLSACSYSGLSERGWEIFHSMSRDHKVKPRAMHYACMIELLGREGLLDEAYALIRSAPFKPTTNMWAALLTACRMNENLELGKLAAEKLYGMEPEKLCNYVVLLNLYNSCGKLKEAAGVLQTLKRKGLRMLSACSWIEVKKQPYVFLCGDKSHSQTKEIYDKVDSLMVEISRYGYAVENETLLPDVDEEEQRILKYHSEKLAIAFGLINTPYWTPLQITQGHRVCGDCHNAIKLIAKVTGREIVIKDASRFHHFRNGSCSCGDYW >Vigun11g021300.1.v1.2 pep primary_assembly:ASM411807v1:11:2608688:2616934:1 gene:Vigun11g021300.v1.2 transcript:Vigun11g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQTCFGFLLLLNWGTVVTKAQTQIYLGSSCQNTSQEPLSSAYQTNLDKILTWMSSDAATSKGYNHTSIGITTPVYGLYECRGDVIGHFCQFCVSFAAREAPKRCPNRVSAMVWYEYCILRYSNESFFGKILTHPTWHGFGTKNISNMAEVHKGEGFVKSLIRKATEDTNQLYYLGGFNLSSTQKRYGMVHCSRDLSKEGCRQCLEALLAQVHICCVQKIGWFIWSGTCMIRYDDQMFYLLNNQTSSIPASNQETDKQRGYRRSKILIISISVMGSIVLLCLSVYHFWYRRRVRKDGVRLSSFHKIQAEESWTTDLPIIPLTTILQSTDSFSEACKVGEGGFGTVYKGILANGTQIAVKRLSKFSGQGSEEFNNEVLFIANLQHRNLVRLLACCLDQNENILVYEYLPNKSLDFHLFDDERRKQFDWKLRLRIIIGIARGILYLHEDSRVTVIHRDLKASNVLLDHDMNPKISDFGLARAFEIGQNQANTKRVMGTYGYMAPEYVMQGLFSVKSDVFSFGVLVLEIIYGRKNNGLFMSENGQTLLLHAWRTWSEGKCLEVMDPMLEKSFIGSEVERCIHIGLLCVQEDARDRPSMSDVVVMLASDTVAIPKPKHPAFSIGRMASEEVSTSKSSKNFSVNDITSSITLPR >Vigun07g136500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24679166:24680515:-1 gene:Vigun07g136500.v1.2 transcript:Vigun07g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTEVLVMLAVVVLVSASNVEGGRLLKEEENVEQPQNFPGSGALGGIFPSPSPGFSFTGVGFGPNGFCTFPGGCTPTLPTFPGGGGGLVPVPPHA >Vigun07g055300.1.v1.2 pep primary_assembly:ASM411807v1:7:5989820:5994535:1 gene:Vigun07g055300.v1.2 transcript:Vigun07g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRDSSVMKAWEATVRKTQAVAKKRANSIFGSTYMAHAEDEDQGNKDGGNGREGHELYHAEKVLSNGDYYKGDWADNFPHGKGKYVWSDGCVYVGEWFRGKTNGKGRFSWPNGPHYEGEFKNGYMDGTGTYTGSNGDVYKGQWVMNLKHGNGVKKFVNGDTYEGEWRRGMQEGQGRYEWGDGRYYIGEWKNGNICGKGSFCWGEDGNRYDGCWEDGLPKGNGRYIWSDGSFYVGNWSKDPRDQSGAFYPAGSLEEGSLEWDPQEVFEELGKCEICPGEKVSVLPSQKRLAVWRSSKGGCDGGKPRRMSIDGRVSVGVEKPSDRMQLWGGAEGDFSGTKTPPGGVGLDDELMAMQLDGAISRGSNQLQTLRAPTKSKRQGEIICKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPTSEFKWKDYCPVVFRTLRKLFKVDAADYMISICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENALVTKFYGLHCVKLTGTAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITIKPESEISETTILKDLDLNFIFRLQKSWFQEFCRQIDRDCELLEQEGIMDYSLLVGIHFKDISENGEIISSESHTPADSECEGTPCTSRADMDLLLLDPTRWASIKLGVNMPARVERTVRRNDCELQLVGDPIGEFYEVVLFFGIIDILQDYDISKKLEHAYKSIHYDPTSISAVDPRQYSRRFRDFIFRIFSEDS >Vigun07g055300.3.v1.2 pep primary_assembly:ASM411807v1:7:5989820:5994535:1 gene:Vigun07g055300.v1.2 transcript:Vigun07g055300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRDSSVMKAWEATVRKTQAVAKKRANSIFGSTYMAHAEDEDQGNKDGGNGREGHELYHAEKVLSNGDYYKGDWADNFPHGKGKYVWSDGCVYVGEWFRGKTNGKGRFSWPNGPHYEGEFKNGYMDGTGTYTGSNGDVYKGQWVMNLKHGNGVKKFVNGDTYEGEWRRGMQEGQGRYEWGDGRYYIGEWKNGNICGKGSFCWGEDGNRYDGCWEDGLPKGNGRYIWSDGSFYVGNWSKDPRDQSGAFYPAGSLEEGSLEWDPQEVFEELGKCEICPGEKVSVLPSQKRLAVWRSSKGGCDGGKPRRMSIDGRVSVGVEKPSDRMQLWGGAEGDFSGTKTPPGGVGLDDELMAMQLDGAISRGSNQLQTLRAPTKSKRQGEIICKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPTSEFKWKDYCPVVFRTLRKLFKVDAADYMISICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENALVTKFYGLHCVKLTGTAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITIKPESEISETTILKDLDLNFIFRLQKSWFQEFCRRELWTTVFWLVFISKIYQKMGKLFLQNLTLLLIQNVKELHVLLEQTWIYFS >Vigun07g055300.2.v1.2 pep primary_assembly:ASM411807v1:7:5989820:5994535:1 gene:Vigun07g055300.v1.2 transcript:Vigun07g055300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRDSSVMKAWEATVRKTQAVAKKRANSIFGSTYMAHAEDEDQGNKDGGNGREGHELYHAEKVLSNGDYYKGDWADNFPHGKGKYVWSDGCVYVGEWFRGKTNGKGRFSWPNGPHYEGEFKNGYMDGTGTYTGSNGDVYKGQWVMNLKHGNGVKKFVNGDTYEGEWRRGMQEGQGRYEWGDGRYYIGEWKNGNICGKGSFCWGEDGNRYDGCWEDGLPKGNGRYIWSDGSFYVGNWSKDPRDQSGAFYPAGSLEEGSLEWDPQEVFEELGKCEICPGEKVSVLPSQKRLAVWRSSKGGCDGGKPRRMSIDGRVSVGVEKPSDRMQLWGGAEGDFSGTKTPPGGVGLDDELMAMQLDGAISRGSNQLQTLRAPTKSKRQGEIICKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPTSEFKWKDYCPVVFRKLFKVDAADYMISICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENALVTKFYGLHCVKLTGTAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITIKPESEISETTILKDLDLNFIFRLQKSWFQEFCRQIDRDCELLEQEGIMDYSLLVGIHFKDISENGEIISSESHTPADSECEGTPCTSRADMDLLLLDPTRWASIKLGVNMPARVERTVRRNDCELQLVGDPIGEFYEVVLFFGIIDILQDYDISKKLEHAYKSIHYDPTSISAVDPRQYSRRFRDFIFRIFSEDS >Vigun08g152400.1.v1.2 pep primary_assembly:ASM411807v1:8:32479344:32486643:-1 gene:Vigun08g152400.v1.2 transcript:Vigun08g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAASGGDTVKLFDASAVKPGVYTPSGDPCALSFTPSPGSQVHSVKWNHTNLVVASAGDDKKISLWRKNGHTMGTIPVAGSDSGDNIEESILAISFSNKASRYVCSGGTGQVVRIWDLQRKRCIKWLKGHTNTVTGVIYNCKDEHLASISLSGDLMLHNLASGQKAAELKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKVSWTKQHSAPTAGISFSPSNDKIIASVGLDKKMYIYDSGSRRPSSYISYEAPFSSLAFKDDGWMLAAGTSNGRVAFYDVRGKPQPVAVLHAYGSSEAVTSLCWQRSKPVVVDERNCTAETALVGDTVEDSILMPDPLPPASSSNISLSTSMLNPRNSGRVGVSIDAASFVASGSGFPSNVPNVSNAEETPQRNNLWPGGTLSRLHAPRGSYNLKDDMEVFSPLVDVQPLTPSLWDENGMKKDILFSDRKPMLFPSSSRRFSNSEEGISDHPISDWKSGSSSKQDITQSSFPLAGSTPPPPSKSEDSSITPPEAWGGERLSEKYGYHRQPVNVPSRFGMLASSGQTAGSMLSGLHDTSSSMGVSSYATSSLSLANIRTKDASTSQEISLGFTDHTFPTSLPLSANAKSSLGQANIESPKILDSPRMSSFNRRFSTYAERISTTSTFSDGVSLSVGSPKIKKSGAETREELLNSLLLKSDTSAPTESGSFPLTSGVIPQQKASQPDPQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQETEMSAVMNSLMENQAELMKEVKSLRKENQQLRQML >Vigun08g152400.2.v1.2 pep primary_assembly:ASM411807v1:8:32479344:32486643:-1 gene:Vigun08g152400.v1.2 transcript:Vigun08g152400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLASGQKAAELKDPNQQMLRVLDYSRVSRHLLVTAGDDGTVHLWDTTGRSPKVSWTKQHSAPTAGISFSPSNDKIIASVGLDKKMYIYDSGSRRPSSYISYEAPFSSLAFKDDGWMLAAGTSNGRVAFYDVRGKPQPVAVLHAYGSSEAVTSLCWQRSKPVVVDERNCTAETALVGDTVEDSILMPDPLPPASSSNISLSTSMLNPRNSGRVGVSIDAASFVASGSGFPSNVPNVSNAEETPQRNNLWPGGTLSRLHAPRGSYNLKDDMEVFSPLVDVQPLTPSLWDENGMKKDILFSDRKPMLFPSSSRRFSNSEEGISDHPISDWKSGSSSKQDITQSSFPLAGSTPPPPSKSEDSSITPPEAWGGERLSEKYGYHRQPVNVPSRFGMLASSGQTAGSMLSGLHDTSSSMGVSSYATSSLSLANIRTKDASTSQEISLGFTDHTFPTSLPLSANAKSSLGQANIESPKILDSPRMSSFNRRFSTYAERISTTSTFSDGVSLSVGSPKIKKSGAETREELLNSLLLKSDTSAPTESGSFPLTSGVIPQQKASQPDPQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQETEMSAVMNSLMENQAELMKEVKSLRKENQQLRQML >Vigun03g409700.3.v1.2 pep primary_assembly:ASM411807v1:3:61755427:61758618:1 gene:Vigun03g409700.v1.2 transcript:Vigun03g409700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQMNKGVSNKVLTRFTSRPNSAPKKTAKKTSSNWMSYLGLAPKATESLGHGASKNEHGTTQSGTSEEAKKIAAAALAAVKDDAAMAASSRGKLVITEVRDFAGQEIEVKKLVDSDSKEAMERAKAPPPSAVDVVLEQIKKKQKLSVLDKTKKDWGEYKEENKGLDVELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARKRPDNMQEDAYE >Vigun03g409700.1.v1.2 pep primary_assembly:ASM411807v1:3:61755427:61758618:1 gene:Vigun03g409700.v1.2 transcript:Vigun03g409700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADTVNASSTESVKEPVMGSSLEAKQEDTEIKAQVDAMWEQMNKGVSNKVLTRFTSRPNSAPKKTAKKTSSNWMSYLGLAPKATESLGHGASKNEHGTTQSGTSEEAKKIAAAALAAVKDDAAMAASSRGKLVITEVRDFAGQEIEVKKLVDSDSKEAMERAKAPPPSAVDVVLEQIKKKQKLSVLDKTKKDWGEYKEENKGLDVELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARKRPDNMQEDAYE >Vigun03g409700.4.v1.2 pep primary_assembly:ASM411807v1:3:61756540:61758618:1 gene:Vigun03g409700.v1.2 transcript:Vigun03g409700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGLAPKATESLGHGASKNEHGTTQSGTSEEAKKIAAAALAAVKDDAAMAASSRGKLVITEVRDFAGQEIEVKKLVDSDSKEAMERAKAPPPSAVDVVLEQIKKKQKLSVLDKTKKDWGEYKEENKGLDVELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARKRPDNMQEDAYE >Vigun03g409700.2.v1.2 pep primary_assembly:ASM411807v1:3:61755427:61758618:1 gene:Vigun03g409700.v1.2 transcript:Vigun03g409700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADTVNASSTESVKEPVMGSSLEAKQEDTEIKAQVDAMWEQMNKGVSNKVLTRFTSRPNSAPKKTAKKTSSNWMSYLGLAPKATESLGHGASKNEHGTTQSGTSEEAKKIAAAALAAVKDDAAMAASSRGKLVITEVRDFAGQEIEVKKLVDSDSKEAMERAKAPPPSAVDVVLEQIKKKQKLSVLDKTKKDWGEYKEENKGLDVELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARKRPDNMQEDAYE >Vigun03g051632.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4185151:4185369:-1 gene:Vigun03g051632.v1.2 transcript:Vigun03g051632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRLLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun09g066300.1.v1.2 pep primary_assembly:ASM411807v1:9:7005379:7008769:1 gene:Vigun09g066300.v1.2 transcript:Vigun09g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRVHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKSLVDISRNAFPGNKNVVIPPRKVAF >Vigun10g142400.1.v1.2 pep primary_assembly:ASM411807v1:10:35920646:35922967:1 gene:Vigun10g142400.v1.2 transcript:Vigun10g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSRSFISRLQRFAIDSPSPLRFLSSDAAAIQTRIIDPKPGLMTPDSKRTGLIAVKCGMSALWDKWGARIPITVLWVDDNIVSQVKTPEKEGFCSLQIGCGQKKEKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLNVRHFVPGQYVDITGITKGKGFQGAMKRHGFKGMPASHGASLSHRSLGSTGHLTSSGRVFKGKKMPGRMGGDQRTVKNVWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDISLLPFPTYFAPEDEDTDNLKPLVAELGDVDPFIVTD >Vigun10g142400.3.v1.2 pep primary_assembly:ASM411807v1:10:35920646:35922931:1 gene:Vigun10g142400.v1.2 transcript:Vigun10g142400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSRSFISRLQRFAIDSPSPLRFLSSDAAAIQTRIIDPKPGLMTPDSKRTGLIAVKCGMSALWDKWGARIPITVLWVDDNIVSQVKTPEKEGFCSLQIGCGQKKEKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLNVRHFVPGQYVDITGITKGKGFQGAMKRHGFKGMPASHGASLSHRSLGSTGHLTSSGRVFKGKKMPGRMGGDQRTVKNVWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDISLLPFPTYFAPEDEDTDNLKPLVAELGDVDPFIVTD >Vigun02g040000.1.v1.2 pep primary_assembly:ASM411807v1:2:16499880:16503055:-1 gene:Vigun02g040000.v1.2 transcript:Vigun02g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTATSSSPFLRPFLSLKPLPSKSSLHLPKLLNRRPLHLAVNSTRCFSPAVIKAKRGVSGTQLCFSSRRKPILSSEEGEDNLRKVLQITLWVAGGVYILWLFLLPYAPGDPVWAISSDTWNSLVGLSLNFFFILPFMNFVGIRLIDAPVLHPMAEGLFNFVIGWTFMFAPLLFTDKKKDRYKGSLDVLWGLQMFLTNTFLIPYMAIRLNDPVDETAPRKLSQFGSVMANGAPIVGVVGGCACLVSLLWTLYGRMDANFGGISDRWDYLVGYLGSERLAYAFIWDICLYMIFQPWLIGDNLQNVQENKVAVVKYLRYVPVIGLIAYLLCLEPKEA >Vigun07g132500.1.v1.2 pep primary_assembly:ASM411807v1:7:24239072:24241905:1 gene:Vigun07g132500.v1.2 transcript:Vigun07g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIPVSSESRSAFLFPQPLASFSSNSIPITHSSLFFFHPFHFKTHHSLSTPKPCSSNSTPFLCTAASEPDPITLDDVGLEESEESLVEDGVCIEVMKLDKNSRRIESRISIEASLSSVWNILTDYERLADFIPGLAVSQLLQKGDNYARLLQIGQQNIAFGIKFNAKGIVDCYEKELETLPTGIKREIEFKMIEGDFQLFEGKWSILQHLNSENCEQSQVREVSTTLSYVVDVKPKLWLPIRLIEGRLCNEIKMNLVSVRNEAQKERVV >Vigun07g091400.1.v1.2 pep primary_assembly:ASM411807v1:7:14298145:14299631:-1 gene:Vigun07g091400.v1.2 transcript:Vigun07g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding WHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun10g060066.1.v1.2 pep primary_assembly:ASM411807v1:10:12134400:12138411:1 gene:Vigun10g060066.v1.2 transcript:Vigun10g060066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEKEKPSLLAKNVKVSFPSGSMVAILDELEEIASRPSQTPTLPHIGSTSKRKRGPTKCLKTHGLRYDERLPVKLNVLGQPVGTYRATLSNYLGTLARNAHLAPLTFTSWKGLKEHWDDMWKTVLSKFDIEERAKKWVLGSICSSWRNHKCRLKTKYFFPNMPDDYNFKNRPPSVPLEQWKILIKFWKSDIAKVRCEKNKTSRAKLTSIHTTGTKTFAEIRYEEMMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIEPEKNSTRDDIFSQVFGKDRHGYVRTYGKGVSPSDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELVEKNAHALIFPFTHTSPRRKKEKVQKTNTKLTKRKLEDLNVEIVTLDNDLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTNKD >Vigun01g122701.1.v1.2 pep primary_assembly:ASM411807v1:1:29950842:29957017:-1 gene:Vigun01g122701.v1.2 transcript:Vigun01g122701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHFLYVHFNLFVFSILISQSLAFTHPCEVSALQDLYRTFNYPPVLKGWNGSDPCGESWTGVACSGPSVIQLKLQGLNLTGYIGSLLHNLPNLNQFDVSSNNIEGEIPFGLPPNMTYMNLSHNLLHGPIGDVFSALNNLKEMDLSYNNFSGDLPYSFGSLRNLARLFLQNNRFTGSVTYLAELPLTDLNIQDNLFSGILPQHFQSILNLWIGDNKFHVADNSPPWTFPPDTVSIEQNTSSPPTTQANAIQNCSPSSEAPPRVNETHPRVDEAHTRVSETPPRVHEAYPKVNKAPPGLREAPPRVKDAHPRINAAPPRVNEAHPKVNEAPPRVSEAPPRVHEAPPKVNEAPPGVSEAPPRVNEAHHRVNEAPSQVHEAPLRVIKHEKNHVGPGEIAFMIGGGTLMATGVALFVAILLNKFRLESPNLKSSESSHGSFPSHPTSATIGVSSTALEESPSIPPLFNSASFLGPVRLLSMNHNNTEEPFRKGFSKSDRYTGRTKIYTVAELELATNCFSEANILGEGSLGPVYRAKFPDDKILAVKKINVAQMSFKDEEKLLDVICTVSRLKHPNIVALNGYCLDKGKGLLVYDYVGNITLNDALHSKACEPLPWVHRLRIALGVAQALDYLHSAFCRPVAHGNLKSSNVLLDENLMPRVCDCSLVILRTLISQVEIPANEIDIEEMGYYAPDHGQPGTSSRKKDVFAFGVLLLELLTGEKPFDRTRSVEEQYLVKWAPPMLPYRASLEQLVDPRMKRTFSSRALSRYADIISLCIQPGRQLRPAMAEIMKSLESLYQMFDIEKNDVADGTELDPF >Vigun04g173500.2.v1.2 pep primary_assembly:ASM411807v1:4:39765962:39770432:-1 gene:Vigun04g173500.v1.2 transcript:Vigun04g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLNFHREQNQVLFTGNSCAKVVILNRPEKLNSLSHEMGNGKAFCAGGDVVSVITSSLAGHWTYPASFYKKQLTLDHLIATYKKPTVSLINGIVMGGGAGLSMPTTFRVVTEKAVFAMPEASIGYFPDVGASYFLSRLPGFFGEYLGLSGARLNGAEIAACGLATHFLPSTKLKSLENALQVLSSPNVSTISALIKTFAEKPNVKEDSPFRRLEVINKCFSKETVEEIIESLEEHELQNGAEKWITNALSYMRSSCPTSLKIFLKSIKRGRVEKIDQCLYRDYIIACHFLRGTIGNDFYEGSRAKLFDKDNKPKWEPSKLELVDEEMVNEYFRNINDEEWEYLRFPDRSNYQIVCKL >Vigun04g173500.1.v1.2 pep primary_assembly:ASM411807v1:4:39765962:39770432:-1 gene:Vigun04g173500.v1.2 transcript:Vigun04g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLNFHREQNQVLFTGNSCAKVVILNRPEKLNSLSHEMICQIRSNLELYENDPLVQLVILKGNGKAFCAGGDVVSVITSSLAGHWTYPASFYKKQLTLDHLIATYKKPTVSLINGIVMGGGAGLSMPTTFRVVTEKAVFAMPEASIGYFPDVGASYFLSRLPGFFGEYLGLSGARLNGAEIAACGLATHFLPSTKLKSLENALQVLSSPNVSTISALIKTFAEKPNVKEDSPFRRLEVINKCFSKETVEEIIESLEEHELQNGAEKWITNALSYMRSSCPTSLKIFLKSIKRGRVEKIDQCLYRDYIIACHFLRGTIGNDFYEGSRAKLFDKDNKPKWEPSKLELVDEEMVNEYFRNINDEEWEYLRFPDRSNYQIVCKL >Vigun02g002700.1.v1.2 pep primary_assembly:ASM411807v1:2:1382767:1384532:1 gene:Vigun02g002700.v1.2 transcript:Vigun02g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQCQGVRFFKVIVESTLRRGIMKVPTSFVRRHWQGMSNPVTLSLPNGRKNKVYWVNKDGDVWFCNGWKEFAEYSKLDVSHFLVFGYEGNSLFNVIIFGKSGLEIEYPLSNDATNEEVEEIVEGSEFSAKLSEEGSKRPKEEVEEKEQQQSNKHSRKRACSDYSKHMNNACRSSEGELKKKVKVFHEKVKEMFNPKNTHFTSIIQQTYIERDLLIMPAEFSKHNLHKEGVVATLFVEDGRSWEVETKLNKYGQLTFFRGWRRFLLDNKLKVGDVCGFELLESPQFLFKVTIYPLKHHSTTPLFKGQKGVSHLPSSSRKSPVTTQARTPKPQDDLFICVKSGFPVCQTNNLYKQNLIIMSSMCMCTIF >Vigun08g126200.1.v1.2 pep primary_assembly:ASM411807v1:8:29628042:29632130:1 gene:Vigun08g126200.v1.2 transcript:Vigun08g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGTIDFSKWWVKESSSSRKGNPVVVTMENPNYSVVEIDAPDSAFQPLDKERGKNAKQFTWLLLLKAHRVVGGLAWLGNSLCSLLHSVKKRLFLGHVETEMSAKARFLFRVILTFLVMALAFLSFELVAHFKGWHYFQNHNLHLPEASEITGWFHTAYVRWLEFRADYVAPPIQSLSTFCIVLFLIQSVDRILLCLGCFWIKFKKIKPVIDGDPLSSHDLEGSNQGYPMVLVQIPMCNEKEVYEVSISAASQLDWPKDRLLIQVLDDSDDESIQWLIKGEVSKWSQRGVNIIYRHRKFRTGYKAGNLKSAMSCDYIKDYEFVAIFDADFQPNPDFLKQTVPHFKGNPELALVQARWAFVNKDENLLTRLQNVNLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKIAFWKKTNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPIWVICYIPVFLSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSEADLLAAEERDSKAMSLQLHRGASDSGLSELNKIKESQEAVPKPPVKKMNKIYKKELALAFLLLTAAVRSLLSAQGMHFYYLLFQGVSFLLVGLDLIGEQMN >Vigun11g109900.1.v1.2 pep primary_assembly:ASM411807v1:11:31125249:31131413:1 gene:Vigun11g109900.v1.2 transcript:Vigun11g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSFFNRASRVLGSSNFLLLCTLSGGGVVAYSESQTGAERPSIHADEPSKKKVVVLGTGWAATSFLKDLDASLYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRKGEINFWEAECTKIDSSNKKVFCRSNIDNLVGSGEFSLDYDFLVVAVGAQVNTFNTPGVKENCHFLKDVEDAQRIRLSVIDCFEKAVLPSITDEEQRSILHFVVVGGGPTGVEFAAELHDYVLEDLTKLYPTVKDKVKITVIQSGDHILNMFDERISSFAEQKFTRDGIEVQTGCRVVGVDDKVITMKEKSTGEVCSVPHGLVVWSTGISTLPVIRDFMKEIGQAERHVLATNEWLRVKGCEEVYALGDCSSITQRKIMDDITTIFEAADKNKSGTLTIEEFQEVMDDIVLRYPQVEHYLKQKHLRDFSTLWKDLQGNESKEIGIEAFKLALSHADSQVKSLPATAQVAAQQGAYLARCFNHRDHAEEHPEGPRRFSGSGRHKFLPFRYRHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRV >VigunL073933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:123323:123583:-1 gene:VigunL073933.v1.2 transcript:VigunL073933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVVPSRFWFPLGLPCLQVDPMAARKKKGDLVLYSGDLFPTEARKPPSCPRSHSVNRKGKPTHPSAPLVDRCGFTKRLSTVHSR >Vigun01g099932.1.v1.2 pep primary_assembly:ASM411807v1:1:26685862:26686480:-1 gene:Vigun01g099932.v1.2 transcript:Vigun01g099932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAASFQPDEKVCYIAGVYGSTSYIARRILWFKLSNLQNAHIGSWCIFDDFNVILYSSDSKGGSKPNQ >Vigun01g149900.2.v1.2 pep primary_assembly:ASM411807v1:1:33182777:33191575:1 gene:Vigun01g149900.v1.2 transcript:Vigun01g149900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPSLISLCMDALTQQLLRPFDDLLLPSIYHLPSHLLNTLITRLPPFALRIFQHHLPFDEDGFSLDHSTNKRKRAGDWNLNSAWQRLFRRRWPQRVQLIPPTDWQQLYWEMHLQDCLDEAAEVALLPSFNGYIGDIQISDSTLKHVGFVGDTSPSTCGHSKLSYHCRQFGSYVSCLRLQNVLCTAETSVLLQECKLQSLLLRCIRSKEQIDGLCKLLAQHSRTLTSLEFVHCTFSTDFINAIFGSLVIESVQKHGIQHLSIISSSFLEPCAVSLPRGLVSFLSSGRSLCSLKLSGNHHGRTFAKDLLVTLFNLSSSLSVLDLSDNKIAGWLSDFNRRFLSDSHLCFGSGKSLKMLRVLTLRENNLGKDDAESLRYALERMPNLEELDISDNSIEDEGIRNLIPYFVGASETCPPIKCLKLENCDLSCVSVNHLLHVLSSFKGPLKSLSIADNYLGSNRSIGKLF >Vigun01g149900.4.v1.2 pep primary_assembly:ASM411807v1:1:33182777:33191575:1 gene:Vigun01g149900.v1.2 transcript:Vigun01g149900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPSLISLCMDALTQQLLRPFDDLLLPSIYHLPSHLLNTLITRLPPFALRIFQHHLPFDEDGFSLDHSTNKRKRAGDWNLNSAWQRLFRRRWPQRVQLIPPTDWQQLYWEMHLQDCLDEAAEVALLPSFNGYIGDIQISDSTLKHVGFVGDTSPSTCGHSKLSYHCRQFGSYVSCLRLQNVLCTAETSVLLQECKLQSLLLRCIRSKEQIDGLCKLLAQHSRTLTSLEFVHCTFSTDFINAIFGSLVIESVQKHGIQHLSIISSSFLEPCAVSLPRGLVSFLSSGRSLCSLKLSGNHHGRTFAKDLLVTLFNLSSSLSVLDLSDNKIAGWLSDFNRRFLSDSHLCFGSGKSLKMLRVLTLRENNLGKDDAESLRYALERMPNLEELDISDNSIEDEGIRNLIPYFVGASETCPPIKCLKLENCDLSCVSVNHLLHVLSSFKGPLKSLSIADNYLGSQVTEALGNFFSTPIEVLDIAGIGLGSHGFQELQNLIKEELKLVKINISKNRGGIDTAKFLSKLLSLAPQLVEVNAASNLMPIESLAIVCSALKFAKGNVQHVDLTGHIWDYKPEHISLCTEFVHNELPILVLPSSSTSAALHDHDP >Vigun01g149900.1.v1.2 pep primary_assembly:ASM411807v1:1:33182777:33191575:1 gene:Vigun01g149900.v1.2 transcript:Vigun01g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPSLISLCMDALTQQLLRPFDDLLLPSIYHLPSHLLNTLITRLPPFALRIFQHHLPFDEDGFSLDHSTNKRKRAGDWNLNSAWQRLFRRRWPQRVQLIPPTDWQQLYWEMHLQDCLDEAAEVALLPSFNGYIGDIQISDSTLKHVGFVGDTSPSTCGHSKLSYHCRQFGSYVSCLRLQNVLCTAETSVLLQECKLQSLLLRCIRSKEQIDGLCKLLAQHSRTLTSLEFVHCTFSTDFINAIFGSLVIESVQKHGIQHLSIISSSFLEPCAVSLPRGLVSFLSSGRSLCSLKLSGNHHGRTFAKDLLVTLFNLSSSLSVLDLSDNKIAGWLSDFNRRFLSDSHLCFGSGKSLKMLRVLTLRENNLGKDDAESLRYALERMPNLEELDISDNSIEDEGIRNLIPYFVGASETCPPIKCLKLENCDLSCVSVNHLLHVLSSFKGPLKSLSIADNYLGSQVTEALGNFFSTPIEVLDIAGIGLGSHGFQELQNLIKEELKLVKINISKNRGGIDTAKFLSKLLSLAPQLVEVNAASNLMPIESLAIVCSALKFAKGNVQHVDLTGHIWDYKPEHISLCTEFVHNELPILVLPSSSTSAALHDHDP >Vigun01g149900.6.v1.2 pep primary_assembly:ASM411807v1:1:33182777:33191575:1 gene:Vigun01g149900.v1.2 transcript:Vigun01g149900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPSLISLCMDALTQQLLRPFDDLLLPSIYHLPSHLLNTLITRLPPFALRIFQHHLPFDEDGFSLDHSTNKRKRAGDWNLNSAWQRLFRRRWPQRVQLIPPTDWQQLYWEMHLQDCLDEAAEVALLPSFNGYIGDIQISDSTLKHVGFVGDTSPSTCGHSKLSYHCRQFGSYVSCLRLQNVLCTAETSVLLQECKLQSLLLRCIRSKEQIDGLCKLLAQHSRTLTSLEFVHCTFSTDFINAIFGSLVIESVQKHGIQHLSIISSSFLEPCAVSLPRGLVSFLSSGRSLCSLKLSGNHHGRTFAKDLLVTLFNLSSSLSVLDLSDNKIAGWLSDFNRRFLSDSHLCFGSGKSLKMLRVLTLRENNLGKDDAESLRYALERMPNLEELDISDNSIEDEGIRNLIPYFVGASETCPPIKCLKLENCDLSCVSVNHLLHVLSSFKGPLKSLSIADNYLGSNRSIGKLF >Vigun01g149900.5.v1.2 pep primary_assembly:ASM411807v1:1:33182777:33191575:1 gene:Vigun01g149900.v1.2 transcript:Vigun01g149900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPSLISLCMDALTQQLLRPFDDLLLPSIYHLPSHLLNTLITRLPPFALRIFQHHLPFDEDGFSLDHSTNKRKRAGDWNLNSAWQRLFRRRWPQRVQLIPPTDWQQLYWEMHLQDCLDEAAEVALLPSFNGYIGDIQISDSTLKHVGFVGDTSPSTCGHSKLSYHCRQFGSYVSCLRLQNVLCTAETSVLLQECKLQSLLLRCIRSKEQIDGLCKLLAQHSRTLTSLEFVHCTFSTDFINAIFGSLVIESVQKHGIQHLSIISSSFLEPCAVSLPRGLVSFLSSGRSLCSLKLSGNHHGRTFAKDLLVTLFNLSSSLSVLDLSDNKIAGWLSDFNRRFLSDSHLCFGSGKSLKMLRVLTLRENNLGKDDAESLRYALERMPNLEELDISDNSIEDEGIRNLIPYFVGASETCPPIKCLKLENCDLSCVSVNHLLHVLSSFKGPLKSLSIADNYLGSQVTEALGNFFSTPIEVLDIAGIGLGSHGFQELQNLIKEELKLVKINISKNRGGIDTAKFLSKLLSLAPQLVEVNAASNLMPIESLAIVCSALKFAKGMLVSVILGDHLAHISLPVVVTLCL >Vigun02g127500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27958743:27960269:1 gene:Vigun02g127500.v1.2 transcript:Vigun02g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNKTKNLRSFASASSWFDVYAAFSTFIMLLRTAFNDLIPRQIRSFLVSKLEAFFNSQPNNQISLHINEFWRNGRDENELFFAAQEYLPTRITRTYKSLKVGKLENEKHLNLAVDSSEEVVDEFEGTKFTWKLDENSEENSRHSQKQAFVLSFNEGNRERVLDRYIPHILKTHEAMKSEKRILEIYSWSPYYEWTERELSHPATFDTLALDPELKQAVVDDLERFLKRKEFYKKVGKPWKRGYLLYGPPGTGKSSLIAAIANYLKFDVYDLDLSYIDSDSDLMEAMRDVSGSSILVIEDIDCNKEVHVRSKPEQDSESGEVSGKFRLSNLLNFTDGLRSGGGEGWLIIFTTNHKEKIDPALLRPGRMDMHIHLSFLSGKAFPVMASNYLGIEGDHPLVEEIQGMLEKTQVTPAVVAEHLIRNEDPDLALEALVEFLKQH >VigunL031360.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:192346:192661:1 gene:VigunL031360.v1.2 transcript:VigunL031360.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGL >Vigun08g071600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11663459:11665288:1 gene:Vigun08g071600.v1.2 transcript:Vigun08g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEDMQSPWSFFEDMNSTFESGVESYGFSMYDHVGDSGSYGFIVDDHGLNTLLSTLEDSTSEISSIPFSSSSLFFSNDHVHTQYPIIEETGQLPSLMELDDFDSILDTEIVSIEGHGYLRESEGSFFPTQTFSSEVENAWSPTPSVRSELSTNQASSLLTLPHQNMEIENQVSLPHLLEAHGEALEQGQKSLAEVILRCMSQKASPLGESLERLVFYLSQSMTNHGDYLKGEAFKNFEAALRALYQGLPIGRVAHFAAVSAILEAMPQDCNSVHIVDSCVGHGFQWVPLIEAIAHMNKTVKLTSIKWGGGDECFSSPSNFEETRKLLCEHAKSCGLKLKVEEKGVEELVAEIKKMNKRGGKGAFLAFNSMIGLPHMGRESSRRQASEFLRVAEDLINTSGNRGIITFGDGSAYQKVKNNFNFRSFFKVHLEHYQALLEAIESHFPTRFSEARITMEQLFLQPCISSLDWLQIWEEMQSDEISFKGCQLSKNILMETREVLRGNEGSYQARIEGQNDNELVLEYKGTKLLRFSTWKN >Vigun01g191500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36978764:36980140:-1 gene:Vigun01g191500.v1.2 transcript:Vigun01g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEEKNVFSELTDDIVLNIFYNLEDDPRHWARLACVCTKFSSLIADFCWKSKCSRSIPSDLLSASDHPPLSLHKLAFCCPGLRHAGLLFDTSDFGLERDLGPDLINHSSPSNPPPPPPPSQPQSLQTVSDSNTSSCSSSCWSLFDDLYYDTLYVATESEAPRLEEPVSAGVVSNGPCKKRKVSRAWRSHLASGSWSLSREQGSKLLGRQFRDDILYVCDWPGCVHKEEKRKYRLFRGVFKNFKRTRVWRTIHDGNKRKLDLPCAFCACKFTWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTDVPMYT >Vigun07g104200.1.v1.2 pep primary_assembly:ASM411807v1:7:18966960:18968750:-1 gene:Vigun07g104200.v1.2 transcript:Vigun07g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLGSYEKELNLKATELRLGLPGSDEPEKRSSPRSNKRSSPEASEEECISNGSDVTSDQQDNVVPPAKAQVVGWPPIRSYRKNNVQQKKEEESEGNGMYVKVSMAGAPYLRKIDLKVYKSYPELLKALENMFKCIFGEYSEREGYNGSEYAPTYEDKDGDWMLVGDVPWHMFVSSCKRLRIMKGSEAKGLGCF >Vigun08g192200.1.v1.2 pep primary_assembly:ASM411807v1:8:35961258:35966028:1 gene:Vigun08g192200.v1.2 transcript:Vigun08g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVLPSESHPYAFHVSGPRNFTSLNWRDLIVSSWKDANYKKTVIACFIQAVYLLELDRQEKRTQGNSLAPNWWIPFKYKLKQTLIDARDGSIFGAILEWDRSAALSELIPMRPSGAPRAVLALRGTLLKSPTMRRDIEDDLRFLAWESLKGSVRYNAALEILKLICGKYGSNNVCIAGHSLGAGFALQVGKELAKEGIFVEAHLFNPPSVSLAMSFKTIGEKAEFVWNRLKSMLPYGSSSEAQISDADTMASGAGLKSKMGQACGSGLAKWVPYLYVNNGDYICCYYNDGGGRKVSVGSTKGEVAAKVFVVSKEKQRFVEAHGLEQWWSSDAELQQVIHSSKLITRQLRSLYTATPSQINS >Vigun08g192200.2.v1.2 pep primary_assembly:ASM411807v1:8:35961258:35966028:1 gene:Vigun08g192200.v1.2 transcript:Vigun08g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLVVSKEMKCTWTQIFQTRHRNQPLNVGLGKLVPFSLRRCTHRHFLPHHATTQTTIFKKPLRFLLLSTTLMAKQVLPSESHPYAFHVSGPRNFTSLNWRDLIVSSWKDANYKKTVIACFIQAVYLLELDRQEKRTQGNSLAPNWWIPFKYKLKQTLIDARDGSIFGAILEWDRSAALSELIPMRPSGAPRAVLALRGTLLKSPTMRRDIEDDLRFLAWESLKGSVRYNAALEILKLICGKYGSNNVCIAGHSLGAGFALQVGKELAKEGIFVEAHLFNPPSVSLAMSFKTIGEKAEFVWNRLKSMLPYGSSSEAQISDADTMASGAGLKSKMGQACGSGLAKWVPYLYVNNGDYICCYYNDGGGRKVSVGSTKGEVAAKVFVVSKEKQRFVEAHGLEQWWSSDAELQQVIHSSKLITRQLRSLYTATPSQINS >Vigun02g023400.1.v1.2 pep primary_assembly:ASM411807v1:2:7817919:7826388:-1 gene:Vigun02g023400.v1.2 transcript:Vigun02g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTLLPSSKLHPSHFVPPITVAEAPPRNRVAIFSKSTPKLAFVARATNDARDGAVDATSSPGVDAVTSTSSGLGDGYVALFVRMLGLDRDPLDREQAIIALWKYSLGGKKCIDTIMQFPGCINLVVNLLRSESSSACEAAAGLLRSLSSVNLYRNSVADSGAIEEINRLLRQSSLTPEVKEQSLTTLWNLSVDEKLWIKISKTEILPVAIKYLEDEDIKVQEAAGGILANLALSRVNHSIMVEAGVIPKLAKFLTSNLEGSKVLRKEARNTLLELFKDNDYKVLVMEEGLVPVPLIGAAAFKSFNPGLHLWPTLPDGTEIERTSRQPSRFGASELLLGLNIDDKNANLEEAKISAIVGRTQQQFLARVGALQMEEKIIPNSDCSNDQRFTLLPWMDGVARLALILELEDKSASIKAAESIATACINEHMRIAFREAGVIRHLIRLLNCDDEAVQLAVTQALERLSVSNIVCQVIEAEGVLGPLVSILKCSGTVGTIVEKSLSILARIFDATGVNGSEKAFGGAKSDCVSTGFSSTEQTVSKTFSRNDILDSVFISHLVEILKSSPPNLQEKAASVLEFVALTDPTLAPILSLDIESGLSSAFQQKILKISGK >Vigun11g152000.3.v1.2 pep primary_assembly:ASM411807v1:11:36204361:36206025:1 gene:Vigun11g152000.v1.2 transcript:Vigun11g152000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVDSSKKGDPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Vigun11g152000.1.v1.2 pep primary_assembly:ASM411807v1:11:36204361:36206025:1 gene:Vigun11g152000.v1.2 transcript:Vigun11g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVDSSKKGDPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Vigun10g069400.1.v1.2 pep primary_assembly:ASM411807v1:10:16666796:16668608:1 gene:Vigun10g069400.v1.2 transcript:Vigun10g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIFHKMSWLSKNLLTLEGIVDQYIYMKKQNNLLDKENVMLMQEKHRIQMLLQDLQNVVDSFNARSSPLSNAAAMIQNSAVLPPMQNSKRNIPVVPTGIVFPMQNTMSVTPTPMDNISLSSPMIRMLDKKRKDIPTVDECKVAKKPRGRPPGSKNQVKGINTLLPSPSNKVDFGSSSTSTQSLVGNSALRGSLISANSVSRTLPITHSIQSDAHVSLSVSSDVAQTTEISPTAACNGEVITPCYNVISANGVMVQPEKQMVYREDNNDISPIEPHSDQTNNGDSYKTSTGTLDKPLGIPTSQSESDKDRDIWAQLGLDDIDFSSSEEDDSFTKMIRDIEIMNENASDQLA >Vigun10g069400.3.v1.2 pep primary_assembly:ASM411807v1:10:16666796:16668608:1 gene:Vigun10g069400.v1.2 transcript:Vigun10g069400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARGNVPETVIAFIVDRYLSRNQFSQTQATFRNEASILLADSPANEYIYMKKQNNLLDKENVMLMQEKHRIQMLLQDLQNVVDSFNARSSPLSNAAAMIQNSAVLPPMQNSKRNIPVVPTGIVFPMQNTMSVTPTPMDNISLSSPMIRMLDKKRKDIPTVDECKVAKKPRGRPPGSKNQVKGINTLLPSPSNKVDFGSSSTSTQSLVGNSALRGSLISANSVSRTLPITHSIQSDAHVSLSVSSDVAQTTEISPTAACNGEVITPCYNVISANGVMVQPEKQMVYREDNNDISPIEPHSDQTNNGDSYKTSTGTLDKPLGIPTSQSESDKDRDIWAQLGLDDIDFSSSEEDDSFTKMIRDIEIMNENASDQLA >Vigun10g069400.2.v1.2 pep primary_assembly:ASM411807v1:10:16666796:16668608:1 gene:Vigun10g069400.v1.2 transcript:Vigun10g069400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARGNVPETVIAFIVDRYLSRNQFSQTQATFRNEASILLADSPANENLLTLEGIVDQYIYMKKQNNLLDKENVMLMQEKHRIQMLLQDLQNVVDSFNARSSPLSNAAAMIQNSAVLPPMQNSKRNIPVVPTGIVFPMQNTMSVTPTPMDNISLSSPMIRMLDKKRKDIPTVDECKVAKKPRGRPPGSKNQVKGINTLLPSPSNKVDFGSSSTSTQSLVGNSALRGSLISANSVSRTLPITHSIQSDAHVSLSVSSDVAQTTEISPTAACNGEVITPCYNVISANGVMVQPEKQMVYREDNNDISPIEPHSDQTNNGDSYKTSTGTLDKPLGIPTSQSESDKDRDIWAQLGLDDIDFSSSEEDDSFTKMIRDIEIMNENASDQLA >Vigun02g151200.2.v1.2 pep primary_assembly:ASM411807v1:2:29821525:29826949:1 gene:Vigun02g151200.v1.2 transcript:Vigun02g151200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLEDPDSTKEEKDTLLDKQFFLLERLLMDDCPEVRTIAVEGSCRVLHLFWEVIPSPIITKVLTKIFVDMSRDECTDVRLSTLNGIIYLLGNPHSHEILKVLLPRLQHLMLDKVLAVRVAAVDLLVHLKDVRNFQFNKVTELDVLLSALAIDQPPVAQKITKLLIPSYFPSNVPVEEACNRCITLVKRAPVAGARFCKFAILEGASKSHLMELVKVFLSLILSPHQLDANQIDGFLAAICYVCDDLAGEPRYISALKELLDGEKLRGLLSLVSKGEVRSSLFNIVSTVCPDDVAGLLEECMGVVTNCSGLTEDVGRQTEIRSAHKLLLSLGGFDDLIGALAAFLHKAAYRCHIKFGTDMPNQSFSFAKSKKSKSAGQFSIKLKMINRKQSFKDDYSVAVGVAWQVRDLLLHEDIRKAIMKSHCLEMLFVSLKVISEVSIVHCVHYKNMDISPVLAYIALALQMTVDNVGRSLQNGDSKRKKTKNDSSKFLSENILELTIDHVLNCLEKLLGEGDIMKNHNADSCKPQPTNRTDQNSTKRRRLSPTDASGPSNRGSTNDAQQVFCVVKMLTAVLKFMADVTAMCFAPAKHGLFLNYTSKCVQHMISSLDQLHRNQIQFKEEDKRNSAICLKSSFTYAAKILNVILAGSGDSSTSPEAFVLANNMLDLIISIELCMGSGYAWRLVASVKTWLPDVLLGLGSTSFQKNTDSDEEHSSVSEQMKLHFPKWPLIVAKTELFEVTEAEEDDECSQPEKFSAFNKLLAMLIVLLKKNSSIMDVVGNIFMVSSLVGLEQKNFGLLLGLLRFVCLKLFKHDDRNWGDIMLSSLQELFPKIDREIAEENDEDELEKLIRAKELIEPQWMYHLYETGRVTLVDD >Vigun02g151200.1.v1.2 pep primary_assembly:ASM411807v1:2:29820246:29826949:1 gene:Vigun02g151200.v1.2 transcript:Vigun02g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLHSSAEEFISLATKQNLKSSKSSFKTLIHSIKPSSPVCASLPSTLSDSISASVQSFQNLTGSNSEQQPGSPRSPPAKRRRSSSRCSEPKPVDEKHELLARLEILAHIALLCVSHPRKPFLLSALLPGIQVLHDNLIVFESELSLSSAIEGLCEEWWKENLEGRESLISQSLPFLLSRSLTLNKKVDVHKVCVLREAFTLFDFDDESIDDLKLLLIRCMISPLYLKAEDGRRFLAFVFGLSHQLGKELLAMIRSQIPFGRKSMLEAYGDILFRAWRAGQGDSRSEIENGFLQDLIDAAIHAASGPFASYIRRVLGAFINQRTTDGVEKMLFRLAEPVVFRSLQVANSNVRQNALHLLLDMFPLEDPDSTKEEKDTLLDKQFFLLERLLMDDCPEVRTIAVEGSCRVLHLFWEVIPSPIITKVLTKIFVDMSRDECTDVRLSTLNGIIYLLGNPHSHEILKVLLPRLQHLMLDKVLAVRVAAVDLLVHLKDVRNFQFNKVTELDVLLSALAIDQPPVAQKITKLLIPSYFPSNVPVEEACNRCITLVKRAPVAGARFCKFAILEGASKSHLMELVKVFLSLILSPHQLDANQIDGFLAAICYVCDDLAGEPRYISALKELLDGEKLRGLLSLVSKGEVRSSLFNIVSTVCPDDVAGLLEECMGVVTNCSGLTEDVGRQTEIRSAHKLLLSLGGFDDLIGALAAFLHKAAYRCHIKFGTDMPNQSFSFAKSKKSKSAGQFSIKLKMINRKQSFKDDYSVAVGVAWQVRDLLLHEDIRKAIMKSHCLEMLFVSLKVISEVSIVHCVHYKNMDISPVLAYIALALQMTVDNVGRSLQNGDSKRKKTKNDSSKFLSENILELTIDHVLNCLEKLLGEGDIMKNHNADSCKPQPTNRTDQNSTKRRRLSPTDASGPSNRGSTNDAQQVFCVVKMLTAVLKFMADVTAMCFAPAKHGLFLNYTSKCVQHMISSLDQLHRNQIQFKEEDKRNSAICLKSSFTYAAKILNVILAGSGDSSTSPEAFVLANNMLDLIISIELCMGSGYAWRLVASVKTWLPDVLLGLGSTSFQKNTDSDEEHSSVSEQMKLHFPKWPLIVAKTELFEVTEAEEDDECSQPEKFSAFNKLLAMLIVLLKKNSSIMDVVGNIFMVSSLVGLEQKNFGLLLGLLRFVCLKLFKHDDRNWGDIMLSSLQELFPKIDREIAEENDEDELEKLIRAKELIEPQWMYHLYETGRVTLVDD >Vigun03g436100.4.v1.2 pep primary_assembly:ASM411807v1:3:63994006:63998600:-1 gene:Vigun03g436100.v1.2 transcript:Vigun03g436100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQGDEMEYVADDNEMADAEDDIYFRGRVFGDSESESDDDDEYESMENRMTDTTAAEARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGELSEKECSQTYKGGKYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSSYSIMHWSSLSSKKSEILNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAVEIYEHPSGAVHFMASNNDCGVRDFDMEKFQLSKHFSFSWPVNHTSLSPDAKLLVIVGDNPEGLLVDSQTGKTVKSLSGHLDYSFASAWHPDGHIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRFMAMAEPADFVHVYDAKSGFEKEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLQYNRRRNYKYLDCM >Vigun03g436100.2.v1.2 pep primary_assembly:ASM411807v1:3:63994006:63998616:-1 gene:Vigun03g436100.v1.2 transcript:Vigun03g436100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQGDEMEYVADDNEMADAEDDIYFRGRVFGDSESESDDDDEYESMENRMTDTTAAEARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGELSEKECSQTYKGGKYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSSYSIMHWSSLSSKKSEILNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAVEIYEHPSGAVHFMASNNDCGVRDFDMEKFQLSKHFSFSWPVNHTSLSPDAKLLVIVGDNPEGLLVDSQTGKTVKSLSGHLDYSFASAWHPDGHIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRFMAMAEPADFVHVYDAKSGFEKEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLQYNRRRNYKYLDCM >Vigun03g436100.1.v1.2 pep primary_assembly:ASM411807v1:3:63994006:63998600:-1 gene:Vigun03g436100.v1.2 transcript:Vigun03g436100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQGDEMEYVADDNEMADAEDDIYFRGRVFGDSESESDDDDEYESMENRMTDTTAAEARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGELSEKECSQTYKGGKYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSSYSIMHWSSLSSKKSEILNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAVEIYEHPSGAVHFMASNNDCGVRDFDMEKFQLSKHFSFSWPVNHTSLSPDAKLLVIVGDNPEGLLVDSQTGKTVKSLSGHLDYSFASAWHPDGHIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRFMAMAEPADFVHVYDAKSGFEKEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLQYNRRRNYKYLDCM >Vigun03g436100.3.v1.2 pep primary_assembly:ASM411807v1:3:63994006:63998612:-1 gene:Vigun03g436100.v1.2 transcript:Vigun03g436100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQGDEMEYVADDNEMADAEDDIYFRGRVFGDSESESDDDDEYESMENRMTDTTAAEARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENIPQSGELSEKECSQTYKGGKYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVSSYSIMHWSSLSSKKSEILNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAVEIYEHPSGAVHFMASNNDCGVRDFDMEKFQLSKHFSFSWPVNHTSLSPDAKLLVIVGDNPEGLLVDSQTGKTVKSLSGHLDYSFASAWHPDGHIFATGNQDKTCRVWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRFMAMAEPADFVHVYDAKSGFEKEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLQYNRRRNYKYLDCM >Vigun02g185700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32499759:32502566:1 gene:Vigun02g185700.v1.2 transcript:Vigun02g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPFSSIPTHLFLSRIQKCIPKPWKQTPQKPLFNPIFCSNASMVGVLIASLKDFVTNGHLPNAFKTFLQIQHHASSSHLLLHPISSLLFACAEFKSLSQGKQLHAHIISLGLHQNPILVARLIGFYTNVNLIGDAQFVTESSCTVDPLHWNMLISSYVRNGLCGEALSVYKKMLSKQIEPDEYTYPSVLKACGESLDINTGVEVHRSIEASSMKWCLFVHNALVSMYGRFGNLEVARHLFDNMPVRDAVSWNTIISCYASRGMWEEAFQLFGTMQDEGVERNVIIWNTIAGGCLHLGNFGVALKLISQMRTFIHLDAVALVIGLNACSHIGALKLGKEMHGHAVRTCFDVFENVKNALITMYSRCRDLGHAFMVFHRIEEKGLISWNAILSGYAHVDRTEEVSFLFREMLQKGVEPNYVTIASVLPLCARIANLQHGREFHCYIMKREQFKDYLLLWNALVDMYARSGKVLEARKVFDSLSTRDEVTYTSMILGYGMKGDGGTALKLFEQMCELEIKPDIVTMVAVLTACSHSGLVAQGQFLFKRMIDVYGIVPRLEHYACMADLYGRAGLLNKAKEVITGMPYKATSSMWATLIGACRIHGNTVMGEWAAGKLLEMKPDHSGYYVLIANMYAAAGCWSKLAEVRTYMRNLGVRKTPGCAWVDVGTEFSPFLVGDTSNPHSYEIYPLMGGLNQLMKDAGYVPREEFVSSEEEFEEMNIVGNVY >Vigun03g324100.1.v1.2 pep primary_assembly:ASM411807v1:3:51979797:51995320:1 gene:Vigun03g324100.v1.2 transcript:Vigun03g324100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTGNSSMHGSSSRPQLLDLSKAEIQGNEEEKYPSILLPNQSHDISYLALDIGGSLIKLVYFSRHQDQSTNDKRMRNVKNRLGFPSNRRSYPILGGRLHFVKFETRKISECLDFIYSKKLHFGGGESRYSDGSTELNAIIKATGGGAHKFADLFKERLGLILDKEDEMSCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDPNDLFPYLLVNIGSGVSMIKVDGDGKFERISGTNVGGGTYWGLGRLLTKCESFDELLELSQKGDNRNTDMLVGDIYGGMDYAKIGLSASTIASSFGKATSEKKGLEDYRPEDISLSLLRMISYNIAQIAYLNALRFGLKRIFFGGFFIRGHAYTMDAISFAIHFWSNGAAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGNIHGPPLRDLNEKISWMEKFLQKGTEITAPVPMTRPGTTGLGGFEVPLSKGSILRSDASALNVGVLHLVPTLEVFPLLADPKIYEPNTIDLSDHSELEYWFKILSENLPDLVAKAVASEGGTDDAKRRGDAFARAFSAHLTRLMEEPAAYGKLGLARLLEMREECLREFHFFDAYISIKQRENEASIAVLPDLLMELDSLDEETRLLTLIEGVLAANIFDWGSRACVDLYNKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGTGDKKPPPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAESGGLLVDAMSTTLDSPRGNSSSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLVILEGMGRALHTNLNARFKCDALKLAMVKNQRFAEKLIKGNIYDCICKYEPAN >Vigun11g185900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38657256:38657836:1 gene:Vigun11g185900.v1.2 transcript:Vigun11g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIQRPSVTAKKAASKSVEVPKGYIAVYVGEKQTRFVIPISYLNQPSFQDLLSQAEEEFGYNHPTGGLTIPCSEDVFQHIASRLNRK >Vigun11g053000.1.v1.2 pep primary_assembly:ASM411807v1:11:9238060:9252684:-1 gene:Vigun11g053000.v1.2 transcript:Vigun11g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSISRGSYIYITNIVPVTCVCSLFLFFLLGKTIKVFNFKLPLKPSSPFSLLNTKFLSPCFIFRFSLIMGRPPSNGGPAFRFTPAEVGEMETILQEHDNAMPAREILTALAEKFSESPDRKGKITVQMKQVWNWFQNKRYAIRAKSSKTPGKLNITPMPRDDATPVRTMPQQPTAAPIPTASVTAVLPAVKATPENPVMEFEAKSGRDGAWYDVATFLSHRYLESHDPEVLVRFAGFGPEEDEWINIRRHVRPRSLPCESSECVVVIPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLQQLHAIVNELTSVDQQKTGMDPSVPKQLIAASIHMEIPVVQTNVPQTPQGMDMDVDQKKAETITDVPAGNSTTNPGNAPFTTIITTSSVPQVTTQTQDMVE >Vigun11g053000.2.v1.2 pep primary_assembly:ASM411807v1:11:9238060:9252684:-1 gene:Vigun11g053000.v1.2 transcript:Vigun11g053000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METILQEHDNAMPAREILTALAEKFSESPDRKGKITVQMKQVWNWFQNKRYAIRAKSSKTPGKLNITPMPRDDATPVRTMPQQPTAAPIPTASVTAVLPAVKATPENPVMEFEAKSGRDGAWYDVATFLSHRYLESHDPEVLVRFAGFGPEEDEWINIRRHVRPRSLPCESSECVVVIPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLQQLHAIVNELTSVDQQKTGMDPSVPKQLIAASIHMEIPVVQTNVPQTPQGMDMDVDQKKAETITDVPAGNSTTNPGNAPFTTIITTSSVPQVTTQTQDMVE >Vigun07g223300.1.v1.2 pep primary_assembly:ASM411807v1:7:34543428:34551559:1 gene:Vigun07g223300.v1.2 transcript:Vigun07g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSGRGYSKRERDDEDWEFSDKRKDRSRKFGGNGDEGEGSDGGARRKRSSRTDSDDYDSRSKQGAKKRQEESTLEKLSSWYEDGELDEKAARKRAGDGDFHDSVVSKEDGKGDGGGGGREKVGHEARSSRRKWDEVDASSARRSQDEKGEFRSGKRDSSRDRERSGSARSEHGEGKASGADRVVKSSSKEERRGESERGKSKGKSDSVDAGREERVEKPRHHRAAAGYDGAETWDRSVNVEEDGHVRVRDKSARESGNSNRSRTPERSGKRHQDLENSEVDYERSGSFKRKEHEGDGFKDDRSKGKDDTWNDRRKDRESSKESWKRRQPSNSDKEKNEEGAFDDNRDWELPRHGYERMDNERPHGRFGGRKDVSRGEAVKTSTKFGISNDNYDVIEIQTKFYDYGKSESMSNHTKRNEAHQQYSAKSGVNDEDWAYHQDERGRKNDLSGDDLKERYTDDDYDFYGGRGRGQKGGVSARSTGGQSSSSGGSQPQYGNPESGSFNRAGPQGMKGNRVGRGGRIRPTGRDNQQVGMPLPMMGSPYGPLAMPPPGPMQPLSHGMSPAPGPPMSPGVFLSPFTPAVWPGARGVDMNIIGVPPVSPVPPGPSGPRFNAANLGNPPNPAMYYNQSGPGRGMPPNISTSGFNPPGSMGRGAPPDKTPGGWAPPKSSGTLGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKSASAPMYYQCDLKEFELSHEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDAHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTIGKELSSSNFNKEAYVKSFSDKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQMQQQNSVSISLTSGGSNRRPAGNSPQNPPALGVNQDASNSNPSTPAPWGSPLEGFKGREASVLPADDKVMDMYGFHGPPSAGYLDFESYRQMNML >Vigun05g087500.2.v1.2 pep primary_assembly:ASM411807v1:5:8304597:8308801:-1 gene:Vigun05g087500.v1.2 transcript:Vigun05g087500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGRETTRNCGCGRFLHDLPQQLHNLLSELFPTLSLSEVKEEFKSLANIACPIMMTNVLLYSRSAISMLFLGRQGKVELAGGALAIGFANITANSFLKGLTMGMDPICCQAYGAKRWSVLSQTFFKTLCLLFLVAIPISLLWLNMAPLLHWLGQDPEVTQVAQVYMVFSIPELLAQVHLNPLRSFLRTQGLTAPLTVAASFAAILHLPINYFFATYLKLGVKGIALATGLNSINMILGLVLYLLLSEKPLKPWEGATVLSSFRDWRPLLTLALPSCISVCLEWWCYEIMLFLCGLLSNPQTTVATMGVLIQTTGFLYVFPFSLSAALTTQIGHSLGAGQPLRAQSTATIGLFIAFASGVSAFVFLMFVRKVWGKLFTTETQIVDMVTTILPILGLCEIGNWPQTAACGILSGTARPYVGARINLCAFYLIGLPVAVFAAFMHRYQLRGLWFGMLAAQISCFGMMVYTLIQTDWGHQSKRAEQLARTTDDEENVNDDEECGLLNSDL >Vigun05g087500.1.v1.2 pep primary_assembly:ASM411807v1:5:8304597:8308801:-1 gene:Vigun05g087500.v1.2 transcript:Vigun05g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGRETTRNCGCGRFLHDLPQQLHNLLSELFPTLSLSEVKEEFKSLANIACPIMMTNVLLYSRSAISMLFLGRQGKVELAGGALAIGFANITANSFLKGLTMGMDPICCQAYGAKRWSVLSQTFFKTLCLLFLVAIPISLLWLNMAPLLHWLGQDPEVTQVAQVYMVFSIPELLAQVHLNPLRSFLRTQGLTAPLTVAASFAAILHLPINYFFATYLKLGVKGIALATGLNSINMILGLVLYLLLSEKPLKPWEGATVLSSFRDWRPLLTLALPSCISVCLEWWCYEIMLFLCGLLSNPQTTVATMGVLIQTTGFLYVFPFSLSAALTTQIGHSLGAGQPLRAQSTATIGLFIAFASGVSAFVFLMFVRKVWGKLFTTETQIVDMVTTILPILGLCEIGNWPQTAACGILSGTARPYVGARINLCAFYLIGLPVAVFAAFMHRYQLRGLWFGMLAAQISCFGMMVYTLIQTDWGHQSKRAEQLARTTDDEENVNDDEECGLLNSDL >Vigun04g132400.1.v1.2 pep primary_assembly:ASM411807v1:4:33277776:33279550:-1 gene:Vigun04g132400.v1.2 transcript:Vigun04g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFAAVENGRQYESKVTTFVLVSCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYEKMKDESDHESQYCKFDNELLTLFTSSLYLAALVASFFASTTTRMMGRKASMFAGGLFFLVGALLNGFAINIEMLIVGRLLLGFGVGYCNQSVPVYLSEMAPTSIRGALNMGFQMMITIGILVANLINYGTGKLEYGWRISLGVGAVPAIMLCVGSLFLGDTPNSLLERGKEEEAKKMLQKIRGMDNVDEEFQDLVNATKAAKDVEHPWKNITKPKYRPQLTFCSLIPFFQQFTGINVIMFYAPVLFKTLGFGNDTSLMSSVITGGVNVVATFVSIFSVDKFGRRILFLEGGIQMLICQLAVGIMIALKFGVSGEGYFTNGEASLLLFFICAYVAAYAWSWGPLGWLVPSEICSLEVRSAGQGTNVAVNMLFTFVIAQVFLTMLCHLKFGLFFFFAGFVLIMTVFVALLLPETRNVRIEEMNRVWKSHWFWGKYIPDDIAIGGHPHITTV >Vigun03g261801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42891992:42893893:-1 gene:Vigun03g261801.v1.2 transcript:Vigun03g261801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLILSSLTSISEPCHVVDKEALLEFKSRIISDPSKLLDSWTPSSDCCHTWEGIACGSTGRVINLTRIGVVFDVDDIPLETYMSGTLSPYLGNLSALQVLDLSNLKQLHGPIPPQLAKLSHLTKLFLYSNQLSGGVPASFEKLSRLQSLYLDNNQLFGNVPFSVFASFKSLSELGLSGNRLSGGIPSSLGRMVFLTRLHLHQNDFHGNIPSTIGNLVNLKDLDFSYNQISGRIPESIGRLSQLVFLDLMHNNLSGNLPFSIGDLSSLKFCSLSENKLTGLLPYSIGKLGNVRRVILENNKLTGNLPSTIGHLTSLTDLFLADNEFSGKIPPSFGNLINLQTLDLSRNQLSGLLPPQLAKLQSLQTLDLSFNPLGLAKVPKWVSKSRVFQLKLAQTGIKGQLPKWLAYSSVSTLDLSSNALTGKLPWWIGNMTRLSFLNLSNNQFHSSIPVAFMGLSSLMDLDLHSNNLTGSLKVVFEKEAQFSLGHFNSLDLSNNKFCGPIDDNIGQKASMSSIKSLALSHNPLGGSIPKSIGKLRELEILELEDSGLSGDIPEELGDAETLTKINLSNNKLSGNIPEKVLNLKKLEEFDVSRNRLRGRIPPHKAMFPISAFVGNRGLCGPPLPPCKLRYR >Vigun04g010400.1.v1.2 pep primary_assembly:ASM411807v1:4:775892:778014:-1 gene:Vigun04g010400.v1.2 transcript:Vigun04g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSYFGEANMGNERVSGSSSSSSSRKGKKNNQEKPKQPQRGLGVAQLEKIRLHGQMGCGGAYHPPLHAPYPSNFTNEDPTLQTPYSSLPSSSSFSYSSSSTSYSASYGFQHNIVMSLPEYERTSIRYGDSQPTNTARWEHGNSTAQTRPLLNLYDSQQHIDTKKRRSGSVGGSSQNSESSDTQEPDLELRLSL >Vigun08g051600.1.v1.2 pep primary_assembly:ASM411807v1:8:5996657:6018668:-1 gene:Vigun08g051600.v1.2 transcript:Vigun08g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLDTMWRERAFVLLVLLQVHHICATKDDQEQHLCPPSSCGKITNITYPFRLQGHPEKCGNKSYEFGCENNVTVLYLHSAKYHVQAIDYNNYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYTYTYSSDPYQAITSSYENWGSLSFEHIVFVNCKHTVRENGKYVKTEECVKWDSKGYAYAVVGDLKAEDLEVGCDIKLVAPTSLRTFNNHSYTSMHSSLAYGFEISWINLACQNHPCPDGFCYFDSASQKLDCGKFETISLYGTIRQVLEFLVAELLENPEFFARRDILFLVSIAWVYKILLGLPFLFVIFVCKWRKRHASTYENIENYLEQNNLAPIRYSYKEIKKMAGGFKEKLGQGGFGSVFKAKLCSGPYVAIKILSKSKGNGQDFINEVATIGRICHQNVVRLIGFCVHGSKRALVYEFMPNGSLDKFIFPKDGSAHLSHEKIYSISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDNSIVTMTAARGTIGWLYGSRTIL >Vigun06g225700.1.v1.2 pep primary_assembly:ASM411807v1:6:33392699:33397067:1 gene:Vigun06g225700.v1.2 transcript:Vigun06g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTENRQPKVSVFLCFSFLYVVAVLFSCERVSGQTSAVFACDVAKNPALAGYGFCDKSLSVEDRVADLVKRLTLQEKIGNLVNSAVNVSRLGIPKYEWWSEALHGVSNIGPGTHFSSVVPGATSFPMPILTAASFNSSLFEAIGRVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLSSKYASGYVKGLQQTDDGDSNKLKVAACCKHYTAYDLDNWKGVQRYTFNAVVTQQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLKGIIRGKWKLNGYIVSDCDSVEVLFKDQHYTKTPEEAAAQTILAGLDLNCGDYLGKYTEGAVKQGLVDEAAINNAVSNNFATLMRLGFFDGDPSKQPYGNLGPKDVCTSENRELAREAARQGIVLLKNSAGSLPLNAKAIKSLGVIGPNANATRVMIGNYEGIPCSYISPLQALTALVPTSYAPGCPNVQCANAELDDATQIAASADATVIIVGASLAIEAESLDRINILLPGQQELLVSQVANASKGPVILVIMSGGGMDVSFAKSNDKITSILWVGYPGEAGGAAIADVIFGFYNPSGRLPMTWYPQSYVNKVPMTNMNMRADPATGYPGRTYRFYKGETVFSFGDGISFSNIDHKIVKAPQLVSVPLAEDHECRSSECMSLDVADEHCQNLAFDIHLGVKNMGQKNSNHVVLLFFTPPDVHNAPQKHLLGFEKVHLPGKSEAQVRFKVDVCKDLSVVDELGNRKVPLGQHLLHVGNLKHPFIVRV >Vigun06g042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16460832:16461499:1 gene:Vigun06g042200.v1.2 transcript:Vigun06g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGAISAPLPPCLRFGQARVRALPRSVSVASSSPYGGDYPLSVSDEALESRGFLLRRDAEGLDLDELNAVFVAVGFPRRDPEKIRLALEHTQAVLWVEHRRTRRPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVIERLLKELLGRGISNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRRQNKK >Vigun01g066500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17729723:17731057:1 gene:Vigun01g066500.v1.2 transcript:Vigun01g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIGFFITSRLGFMREGCAHFLLGFTSQTSALTHHMLPHYSHLLPSRIGLPAQLLQASPLCFSCRSTITHTNPFLLFQTAQIGFCSPIQTAQPSRFSHLKLGFSFLFYVVKICLPLGTIC >Vigun02g155800.1.v1.2 pep primary_assembly:ASM411807v1:2:30228088:30234817:1 gene:Vigun02g155800.v1.2 transcript:Vigun02g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFFYMRISNVYVVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPLDRPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGVVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESEIKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFTVTSGRAKYNAAIDCLVWKLRKFPGQTEPTLSAEVELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEVRC >Vigun10g197900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41084997:41085920:1 gene:Vigun10g197900.v1.2 transcript:Vigun10g197900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSVAVSSHAFRFHPFFSRSPTPSSSAFNLRSQSQPLCVKCHCNQPPPPVIAPRETLTDCLRGCTRTLTTLMGLAVLLAKSVPLGKLSGISANTVSTMGPLFFAAVRNQPSGVLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILQTAI >Vigun10g197900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41084860:41087522:1 gene:Vigun10g197900.v1.2 transcript:Vigun10g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSVAVSSHAFRFHPFFSRSPTPSSSAFNLRSQSQPLCVKCHCNQPPPPVIAPRETLTDCLRGCTRTLTTLMGLAVLLAKSVPLGKLSGISANTVSTMGPLFFAAVRNQPSGVLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILQTAI >Vigun08g012600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1096966:1097845:-1 gene:Vigun08g012600.v1.2 transcript:Vigun08g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSTMSTMLIQTSGSEEDLQLLMEQRRKKRKQSNRDSARRSRMRKQKHMDDLTAQMQSLQKENDLLLTQLNITTQHHLKLHAHNSILIAQKTELTQTLHSFNHIIKLINATNPNYHHHNNNKTNNNFVNPTMHMPFLNHPIVATADNMFLW >Vigun01g219600.2.v1.2 pep primary_assembly:ASM411807v1:1:39350312:39352481:-1 gene:Vigun01g219600.v1.2 transcript:Vigun01g219600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEGSSRGSRWSLKGITALVTGGTRGIGHAVVEELAEFGATVYTCSRNEEELNARLKEWKEKGFLVSGSVCDVTSPAQRENLIKQVDSAFNGKLNILVNNVGTTVRKPTIEYSSEDYSKLMAINLDSAYHLSQLAYPLLKASGNGSIVFISSVAGQTSAASGAIYAANKGGLMCNYVISGSDIDYKLF >Vigun01g219600.1.v1.2 pep primary_assembly:ASM411807v1:1:39350312:39352481:-1 gene:Vigun01g219600.v1.2 transcript:Vigun01g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEGSSRGSRWSLKGITALVTGGTRGIGHAVVEELAEFGATVYTCSRNEEELNARLKEWKEKGFLVSGSVCDVTSPAQRENLIKQVDSAFNGKLNILVNNVGTTVRKPTIEYSSEDYSKLMAINLDSAYHLSQLAYPLLKASGNGSIVFISSVAGQTSAASGAIYAANKAAIDQLSRYLACEWANDNIRSNSVAPWYTNTSIHTQLLANKEIVNEIISRTPIKRIAEAHEVSSLVTFLCLPAASYITGQVICVDGGFTVYGFQPSMRIN >VigunL076200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000514.1:40440:51531:1 gene:VigunL076200.v1.2 transcript:VigunL076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEHFHFERLIFSREAKLERIEQMVQLHNFLFFITSMVVPRGTAAPLLLKWFVSRDVPTGAPFSNGTIIPILIPSFPLLVYLHSRKFIRSMDGAKSGVLVRASRPILLPDIIGRSSSETRAGNASFRFVPVLHFLLIESKGDFSYLESFCGVLCLLFFRTLFSLPRDRSAKRERARRRKRQTLRPKPNGNEQQRNDKMGCSGHPHLERRVEGFWPVAFPVPPSSGGACVGGVPPEPEIGLEALALPTSRQLMAVGHDYHQKAPMKMNISHFGVCICMLGVLLSTNTKKIQFTQRLPLGSELHMGKERCCFRGLDHLHGPTSHSICGNLMIYKPSLTNDRLMFEHDESLRADLLPLNFPASYENGKLENFLHRWMKNREHNNFGLIMFPEKRYFRETTSTTEVAIHTNPFTDRYASIGTGSSRTGGWYTTIMKLPFLFFIRIGFMLASSGGSRSLLRQLQKDELRWNRESSVEFKIALIKGVFNPLNQ >Vigun02g119600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27227067:27228721:1 gene:Vigun02g119600.v1.2 transcript:Vigun02g119600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDINLATLNDQVTTTHSNFGGVILQDFLARPFTIEPPNTILSSQTPSLYAAPSSPAPPLLTALSLSSHPHLHFDPHTHKPHHHPPPPSNHPCFAAPTTASLGAKRFAEPDCNLGDRRNKRMIKNRESAARSRARKQEKFSFTFALSLIFPTVGSTPTILGLTESSSSANLGRGLKKKKTASRG >Vigun02g119600.1.v1.2 pep primary_assembly:ASM411807v1:2:27227067:27228721:1 gene:Vigun02g119600.v1.2 transcript:Vigun02g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDINLATLNDQVTTTHSNFGGVILQDFLARPFTIEPPNTILSSQTPSLYAAPSSPAPPLLTALSLSSHPHLHFDPHTHKPHHHPPPPSNHPCFAAPTTASLGAKRFAEPDCNLGDRRNKRMIKNRESAARSRARKQEKFSFTFALSLIFPTVGSTPTILGLTESSSSANLGRGLKKKKTASRGLHE >Vigun11g079100.1.v1.2 pep primary_assembly:ASM411807v1:11:23240110:23241975:1 gene:Vigun11g079100.v1.2 transcript:Vigun11g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIFRSNIVGWVCLLLMLQKGASYDFVVGGQKGWTVPNDPTFNPFNQWAEKSRFQVGDSLVFNYQSGQDSVLYVKREDYESCNTDSAYAKFSDGHTVFKLNQSGPHFFISGSKDNCLKNEKLTVIVLAERNKNSNASPPSPQSSSSSPPPTTGQEGQSPTSDTNQTPSPVTEPPPPNAAASPFLSLAASVGPFMASLIMLLSF >Vigun07g137950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24786299:24786568:1 gene:Vigun07g137950.v1.2 transcript:Vigun07g137950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEEKKLLAEMTQRHRDMEYFDSWKKVFTEISVLSYMYAKSGNTADSHEIFSKMLCTKLVSWTSMVNGHWSHGYGGKGVELFHCMIRY >Vigun09g140500.3.v1.2 pep primary_assembly:ASM411807v1:9:30250265:30252254:-1 gene:Vigun09g140500.v1.2 transcript:Vigun09g140500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVLFGNCWCSCSSTIFCPFPLPNPPFRYSSTFHTKLQPQPQCSLAKIHVKSQLSNRDSIRRRRSTNSLPISDAQAASFFALFQEIGIGFEETQVLFSNNPDLTSLSVESLRARLSSLRSLDFDPLAIGKLITKRPTVLTDNQIDPLLTFLRDELQGKLEKPRLNRLLSSTEQNVLASFPQKVRFLVDRGIPVDQVVHVLNKVSLAKVLCGRSVDEIDRTLAFFEPFGGIDLILKRPQILNHDLDAQIIPRVNVLMELSDGDVDSVGKVLVRFPLFLNYSVEHVEGHVGFFSSFAELDYRQIFRIIQVYPAVVTASRERKLRPRIQFLKDCGLDSDDIFKFLIKAPTFLSTSFHENIAYKLVLLVKIGYRFRTKDFAVAVRSATRTNSVNMQKVIGMFLNYGFSCEEIVAMSKKHPQILQYNHASLEMKMEYLIEEMGRDTEELLVFPAFLGYKLDDRIKHRYEVKKSVRGAGMSINKLLSVSEETFTGKPRKAHA >Vigun09g140500.4.v1.2 pep primary_assembly:ASM411807v1:9:30247251:30252254:-1 gene:Vigun09g140500.v1.2 transcript:Vigun09g140500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVLFGNCWCSCSSTIFCPFPLPNPPFRYSSTFHTKLQPQPQCSLAKIHVKSQLSNRDSIRRRRSTNSLPISDAQAESLRARLSSLRSLDFDPLAIGKLITKRPTVLTDNQIDPLLTFLRDELQGKLEKPRLNRLLSSTEQNVLASFPQKVRFLVDRGIPVDQVVHVLNKVSLAKVLCGRSVDEIDRTLAFFEPFGGIDLILKRPQILNHDLDAQIIPRVNVLMELSDGDVDSVGKVLVRFPLFLNYSVEHVEGHVGFFSSFAELDYRQIFRIIQVYPAVVTASRERKLRPRIQFLKDCGLDSDDIFKFLIKAPTFLSTSFHENIAYKLVLLVKIGYRFRTKDFAVAVRSATRTNSVNMQKVIGMFLNYGFSCEEIVAMSKKHPQILQYNHASLEMKMEYLIEEMGRDTEELLVFPAFLGYKLDDRIKHRYEVKKSVRGAGMSINKLLSVSEETFTGKPRKAHA >Vigun09g140500.2.v1.2 pep primary_assembly:ASM411807v1:9:30247251:30252255:-1 gene:Vigun09g140500.v1.2 transcript:Vigun09g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPVASFFALFQEIGIGFEETQVLFSNNPDLTSLSVESLRARLSSLRSLDFDPLAIGKLITKRPTVLTDNQIDPLLTFLRDELQGKLEKPRLNRLLSSTEQNVLASFPQKVRFLVDRGIPVDQVVHVLNKVSLAKVLCGRSVDEIDRTLAFFEPFGGIDLILKRPQILNHDLDAQIIPRVNVLMELSDGDVDSVGKVLVRFPLFLNYSVEHVEGHVGFFSSFAELDYRQIFRIIQVYPAVVTASRERKLRPRIQFLKDCGLDSDDIFKFLIKAPTFLSTSFHENIAYKLVLLVKIGYRFRTKDFAVAVRSATRTNSVNMQKVIGMFLNYGFSCEEIVAMSKKHPQILQYNHASLEMKMEYLIEEMGRDTEELLVFPAFLGYKLDDRIKHRYEVKKSVRGAGMSINKLLSVSEETFTGKPRKAHA >Vigun09g140500.1.v1.2 pep primary_assembly:ASM411807v1:9:30247251:30252254:-1 gene:Vigun09g140500.v1.2 transcript:Vigun09g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVLFGNCWCSCSSTIFCPFPLPNPPFRYSSTFHTKLQPQPQCSLAKIHVKSQLSNRDSIRRRRSTNSLPISDAQAASFFALFQEIGIGFEETQVLFSNNPDLTSLSVESLRARLSSLRSLDFDPLAIGKLITKRPTVLTDNQIDPLLTFLRDELQGKLEKPRLNRLLSSTEQNVLASFPQKVRFLVDRGIPVDQVVHVLNKVSLAKVLCGRSVDEIDRTLAFFEPFGGIDLILKRPQILNHDLDAQIIPRVNVLMELSDGDVDSVGKVLVRFPLFLNYSVEHVEGHVGFFSSFAELDYRQIFRIIQVYPAVVTASRERKLRPRIQFLKDCGLDSDDIFKFLIKAPTFLSTSFHENIAYKLVLLVKIGYRFRTKDFAVAVRSATRTNSVNMQKVIGMFLNYGFSCEEIVAMSKKHPQILQYNHASLEMKMEYLIEEMGRDTEELLVFPAFLGYKLDDRIKHRYEVKKSVRGAGMSINKLLSVSEETFTGKPRKAHA >Vigun10g064900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:14080368:14081353:1 gene:Vigun10g064900.v1.2 transcript:Vigun10g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVTLGGITDVPGAANSVEIANLARFAVDDHNKKQNGVLEFVRVISAKQQVVSGILYYITLEAKDGETKMVYKTKVWVREWLNPKEVQEFNLVTDSAIETKDGGVGDVAV >Vigun07g297000.1.v1.2 pep primary_assembly:ASM411807v1:7:40726382:40728453:-1 gene:Vigun07g297000.v1.2 transcript:Vigun07g297000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNTAEPFKDLVIGTCDDEHNYPNPKGSFGNYKHVKQSQKEQTIRKSFAGVEDDEYDEDSAGAMYELFHGFLAIGTLGSEPSTTPTFAISVENITEKEDEVTENELKLINDELEKVLGADDESSCGRNSHVSNGRSSHGSIITLSGKPLLEGGGESNGNGSAVCPLQGYLFGSAIELSETTTVAVAKQKEHRTSLGELFQRTKLAEESIGGNNKDDNKRTEREADKSAMHLMKKKLKKRMLHTSSRTSSTAQPPVDSASAERKLHKILHMFHRKVHPENCTGAQKCDKYQKNENKKKTMSEVVQGEDDIMIHPKRAVSKENIMRQYYKIQPNPFHFALGCEDSSDNKEHWIKTDADYLVLEL >Vigun11g098300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28951057:28951473:1 gene:Vigun11g098300.v1.2 transcript:Vigun11g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGKGAMFVGTRSVFLLFWFMLLSTCNALGPETTVIVINNLEGGPDLFLHCKSADNDLGVQDLRSNASYSWSFAINFFGTTLFHCSFQWEDVSHKFVIFDAKRDADYCTTCRWIVKKDGPCLTLSRKTNCYHWNPNH >Vigun07g015300.1.v1.2 pep primary_assembly:ASM411807v1:7:1417032:1419101:1 gene:Vigun07g015300.v1.2 transcript:Vigun07g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFTRNGDQASPDHHHHHVVEMAAVEGGVNLEKFFEEVEPVKAELKELERLHENLRGSHEKSKTLHSANAVKELRSRMDADVSLALKKAKLIKVRLEALDKSNQTNRNLPGSGPGSSSDRTRTSVVNGLRKNLKDSMDNFNNLRQLISTEYRETVQRRYYTVTGENPDDKTIDLLISTGESETFLQKAIQQQGRASVMETIQEIQERHDTVKEIERNLKELHQVFLDMAVLVQSQGEQLDDIESHVARANSYVRGGVQQLQVARKHQKNTRKWTCYAIILLIIIILIIVLPIVLRN >Vigun05g214800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40686182:40689128:1 gene:Vigun05g214800.v1.2 transcript:Vigun05g214800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSSIGQSFPSIFSPLPMSPLKLLVILLHTATTLCSVSTTEFVYNTNFNSTNIILYGDASVETSILTLTNSSFFSIGRAFYPHKIPTKKANSPTLLPFATSFIFSIAPIKNFFTGHGFVFLFTSSRGVNGTTSAEYIGLFNRSNEGNPQNHVFGVEFDIVQNPEEFHDISDNHVGVDINSLRSLTSHEAGYWGGKGDKKFKVLELNNGQNYQVWIEFMHSQLNVTMTRAGLKKPRVPLISSNVSLSGVLMDETYVGFTAATGKIVDSTRILAWSFSNSNFSIGDALVTENLPSFVHHKRWFSDRRVLAAGVTIILCVLITGCGFVVFFILRRGKTLEKVEDWELEYWPHRIGFHDIYAATRGFSEENVVAVGGNGKVYKGVLHGAEVAVKRIPQEREEGMREFLAEVSILGRMKHKNLVGLRGWCKKERGNLILVYDFMSKGSLDKRIFECEERMVLTWEERIQVLKNVATGILYLHEGWEVKVLHGDIKACNVLLDKDMNARLGDFGLARMHDHHGQVASTTRVIGTIGYIAPEVIRTGTVSTMSDVFGFGILVLEVICGRRSIEEHKPGLTDWLVSLMVQGQLQSAVDERLKAKWGYSAEEAERLLHLGLLCSDSDPSIRPTMRQVVKMLEGEMDNTDEENMEMSLLGKVKSAAMWSKPECAFPCSGYPTFDEIKMFSFSSWTSGSGSSTFPGSESEIIRVNR >Vigun03g131200.1.v1.2 pep primary_assembly:ASM411807v1:3:12751621:12752924:1 gene:Vigun03g131200.v1.2 transcript:Vigun03g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADDIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGAALPDTAEKITIDSILSDGSNGGSVVKLRINYHSKGEAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun05g164150.1.v1.2 pep primary_assembly:ASM411807v1:5:26664434:26665700:-1 gene:Vigun05g164150.v1.2 transcript:Vigun05g164150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTRSTFSATHHTMMLLFTRLNNSVPSSTQDCSCWSRTLQSWFRRGWWSPVLRVAFSGAVAAGVLVMCSVHGCRRVAFSGGVTACVGSGAACGLFQNCMGLVFGFLHFAGMRMGS >Vigun10g143400.2.v1.2 pep primary_assembly:ASM411807v1:10:36059139:36061544:1 gene:Vigun10g143400.v1.2 transcript:Vigun10g143400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGFLYIENSVESLNLKNMKTRGRVEEEASEGNERMMMMMNSRTVKEEGREKEEEEEETMGIWDCGSPLYDAHELVSLDHIIHRHLMAFPSSTGSSNHNITTFTHHHHHHDKMPHKSKGSFMVTGMSKISVKMVKKKKRKNNEEINGNNKMRRGFAGFVVALLYSWKK >Vigun04g034600.1.v1.2 pep primary_assembly:ASM411807v1:4:2861311:2864726:-1 gene:Vigun04g034600.v1.2 transcript:Vigun04g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMTQIRAGNWVSGFGLNRAGKVQVGPSSRVAFKVFASETQATEPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGEIIGWENIELISDRPLEIMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGVDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNITDISQPARVALSSGCEGVAAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSAKAVHPIALGKVMSIAKMMKSEFDSENYSLSAIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCLELKDFMKKHNFTTIEDFRGVSLEYFTSHTELVRRQQEAIQKRKAIKKGLQSDKDWTGDGFVKESESMVSN >Vigun10g083700.3.v1.2 pep primary_assembly:ASM411807v1:10:23625525:23635117:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun10g083700.5.v1.2 pep primary_assembly:ASM411807v1:10:23625755:23635017:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun10g083700.7.v1.2 pep primary_assembly:ASM411807v1:10:23625641:23633432:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun10g083700.2.v1.2 pep primary_assembly:ASM411807v1:10:23625755:23630179:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILFLMSCIFHKLFLPSETVFIAAETSSLTQSQSLSYKQTLVSPGGIFELGFFNLGNPNKTYLGIRYKNISVQNIVWVANSAISINDSSAILKLDSSGNLVLTQNNTVVWCTSSEEKAQNPVVKLLDSGNLVIRDRNEAEADAYLWQSFDHPSNTLVPGMKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun10g083700.6.v1.2 pep primary_assembly:ASM411807v1:10:23625641:23633432:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun10g083700.4.v1.2 pep primary_assembly:ASM411807v1:10:23625525:23635117:-1 gene:Vigun10g083700.v1.2 transcript:Vigun10g083700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLKRNFSVFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRELKTTHNRYEFVSKKEEVYFRWNLNKTSKVKKFELNQTAQQLQHFVWSETSWTLSSPIPVEYCDKYGVCGANTYCTSATSSCDCLKGFKPKSPQKWNTKAQGCVLKHPFNCKNKLGDVFFFMDDLKVPDTEYTFVDETLNLKQCRAKCLNNCSCMAYTNSNISGAGSGCVMWFGDLFDIKLCSNPEMGQRLYIRFNPTELESISHKNSTIIYVTSIAATFGVILAIYFVYRRKTSDKFKENIERQLRVLDVTLFDLSSIKTATNNFSLSNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGMTEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQK >Vigun03g132200.1.v1.2 pep primary_assembly:ASM411807v1:3:12879732:12882886:-1 gene:Vigun03g132200.v1.2 transcript:Vigun03g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAIVRGSVGKGKLGSVLGFNRLIQTVPQSPPLSGSIDFGVQSPQPVLPEFSSPSFSFGGSMELMAVPKRKVSPHKRGIRNGPKALKPIPVIVLCKGCGRVRLPHFFCCGGKPNQGNAGEQKDSPS >Vigun08g119800.2.v1.2 pep primary_assembly:ASM411807v1:8:28743350:28748438:1 gene:Vigun08g119800.v1.2 transcript:Vigun08g119800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIVALSRSPSSYAALLNMNGVDVASSNKWIHILDCYTDPLGWKDKTRKSVNATDCSPQISVATSSFKTVKAVDKLFSVITELGRGLVGENKARFCVAIDSLSELLRHASLQSVAGLLSDLRSHDQISNIFGLLHSDLHEERAVAVLEYMSSMVASVDPYHHSADGQKGCLSDSFSQQNFTKGKFNVRSKRRNGRVRVTCEEFKVESGGIGFASVSSVDGTAVAGLLPKVQFNLQLSEKERVDRSNVVLPFEHQGNGKPIQIYDGRRSLEESNSEANPISSGKKEDSAMGEIIYFRDSDDEMPDSDEDPDDDLDI >Vigun08g119800.1.v1.2 pep primary_assembly:ASM411807v1:8:28743350:28748438:1 gene:Vigun08g119800.v1.2 transcript:Vigun08g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSICRTLRDGALEGELAPTLTIKDSLASPFGFHVFSHILLQLSSHVIAKKSQSQGIVIVALSRSPSSYAALLNMNGVDVASSNKWIHILDCYTDPLGWKDKTRKSVNATDCSPQISVATSSFKTVKAVDKLFSVITELGRGLVGENKARFCVAIDSLSELLRHASLQSVAGLLSDLRSHDQISNIFGLLHSDLHEERAVAVLEYMSSMVASVDPYHHSADGQKGCLSDSFSQQNFTKGKFNVRSKRRNGRVRVTCEEFKVESGGIGFASVSSVDGTAVAGLLPKVQFNLQLSEKERVDRSNVVLPFEHQGNGKPIQIYDGRRSLEESNSEANPISSGKKEDSAMGEIIYFRDSDDEMPDSDEDPDDDLDI >Vigun07g183000.1.v1.2 pep primary_assembly:ASM411807v1:7:29913171:29921031:1 gene:Vigun07g183000.v1.2 transcript:Vigun07g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASSVNASSSLRCCHNTPFPAISITKVSQWKCFSLRVLESRFPLAHSRNKCVGYRDRDSRRDFSVGVVSRISCICYPKCSYFPSSWGFFSKRTKCNSLLRLKDCSENIRQHASILFVRLVTGVMLVMSVSLASSEQAWGLSEENLLFLEAWRTIDRAYIDKSFNGQSWFRYREDALRNEPMNNREETYKAIKKMLATLDDPFTRFLEPEKLRSLRSGTQGALTGVGISIGYPSKADVQTGGLVVISASPGGPAYRAGVSSGDVILEIDDTSTQNMGLYDAAERLQGPEGTSIALTIRSGLDVKHLELTREKVSVNPVKSRLCKLPASGNDPPTVGYIKLTSFNQKASSAIKEAINTLRSNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDILDTDGSSALATSEPLAVLVNKGTASASEILAGALKDNKRAILFGEPTYGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVIPDHPLPLSFPKDEDAFCSCLQDPASSCYVNRVQLFSE >Vigun03g204600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33098776:33101711:1 gene:Vigun03g204600.v1.2 transcript:Vigun03g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISRLLRVTSKPRLLRSSLRHHHYHGQQPPPPFPATFYLSQLCTNLESLQKLHASVVVHGLSGELLLSTKLVSLYGSFGVPHRARALFDHLPSRDLYSFKVMIRSYFLNDHHSDVVSVYRLMRYSLHPTPHDDVLFSVVLKSCAETRDLLQATLTHCHVTKSLPPDSFLLTSLVDAYAKCAQLSHARRAFDEIPHRDVVSWTSMIVAYVQNDCAREGLTLFNRMQEAFVHGNEFTMGSVVNACTSLGWLHQGKWVHGFVIKNGISVNSYLTTSLLNFYVKCGSVRDARAVFDESFSSSDHHQDLVSWTAMIVGYTQRGYPGLAIELFKNEKWAVLLPNSVTVSSLLSACGQLRSSAMGKLLHCLVVKCGLEDPPARNALVDMYAKCGLVSDARNVFESIDKDVVSWNSIISGCAQSGEECEALELFKRMRLELFSPDAVTVVGVLSACASLGALQLGCSVHALSLKDGLVLSSIYVGTALLNFYAKCGDAKAARMVFDSMGEKNVVTWGAMIGGYGMQGDGNGSLVLFRDMLKEEFELNEAVFTTILAACSHSGMVGEGSRLFNLMCGELNFVPSMKHYACMVDMLARAGNLEEAFHFIERMPLEPSVSVFGAFLHGCGLHSRFEMGEVAIKRMLELHPDEASYYVLVSNLYASDGRWGMVRQVRETIKQRGLNKVPGSSSVEMDLNNDTYAKMAVLS >VigunL034600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:271052:271354:1 gene:VigunL034600.v1.2 transcript:VigunL034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLPRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun04g185500.2.v1.2 pep primary_assembly:ASM411807v1:4:41049872:41051211:1 gene:Vigun04g185500.v1.2 transcript:Vigun04g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMISNFSDDILLYILSFLPTKQVVATSVLSKRWYLLWRSVTSFDFCIGNENRKETYDQFFHSVFSFLRSRDRDQPLLRFRLNYFFNSFDPTIRYSYSAIRDTESIIKTRIEDAVSGSARIQHLDLSLDLYIVMPSVVFTFKTLVFLKLANITVKNIPFVDFPMLKILHLNCVLFSKGLDLSQLLSGCPNLEDLEVKHILIHGERKFSRLYNLINMAAKLFPFEIVKNVKVLSSDMFRQQEWIFDFQNLVQLKLDNLYIKNNWVELLETLRHCPMLQTLAIGYIDKISFGSSAEGHEEAVLPDPQSVPACISSHLKTCTLGCYRGSMDEFLFARYIMQNAKYLRTMKINIYSYNGEKLNMIRDLSSCMKSSDTCKLSFDNYY >Vigun08g021900.1.v1.2 pep primary_assembly:ASM411807v1:8:1921325:1923696:1 gene:Vigun08g021900.v1.2 transcript:Vigun08g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQAQSKSDDGPMVTVLSIDGGGIRGIIPGILLAFLESELQKLDGADARLADYFDVIAGTSTGGLVTAMLTAPNEKNRPLYEAKDLKNFYLQHSPKIFPQSSSWNLIATAMKYGRTLTGPQYDGKYLHKLIREELKNIKLENTLTNVVIPTFDIKTLQPTIFSSFQLKKRPDLNGLLSDICISTSAAPTFLPAHSFETKTPDGTSKFDLIDGGVAANNPALVAMAEVSNHICSEGSFGKMNVKAMQYNRFLVLSLGTGAQKQEMKYSAAEASTWGALSWVTTTTGTPLIDAFTHASSDMVDFHIYSVFQARHSENNYLRIQDDTLPGELSSVDIATEKNLKGLVQVGEELLKKPVSQINLRTGVHETVDSGETNADALKRFAERLSKQKRYRKSELSAYGNF >Vigun09g034200.4.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3001227:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQWMMLGLQMCSREQRRSFKPFLRSSITRERDENQMAESSKHNQEATTSPSDNFLLAANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun09g034200.5.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3000946:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRAPNVFERAKEEFQALSEVFHHKRKVSASDIRDENQMAESSKHNQEATTSPSANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun09g034200.3.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3000946:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRAPNVFERAKEEFQALSEVFHHKRKVSASDIRDENQMAESSKHNQEATTSPSDNFLLAANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun09g034200.6.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3001201:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQWMMLGLQMCSREQRRSFKPFLRSSITRERDENQMAESSKHNQEATTSPSANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun09g034200.2.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3001227:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIKSTHETTNPLSVNENGEPNFPERSKHSEDENRESDGLRSGIDEKTSMDDVRAPNVFERAKEEFQALSEVFHHKRKVSASDIRDENQMAESSKHNQEATTSPSANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun09g034200.1.v1.2 pep primary_assembly:ASM411807v1:9:2999740:3001227:-1 gene:Vigun09g034200.v1.2 transcript:Vigun09g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIKSTHETTNPLSVNENGEPNFPERSKHSEDENRESDGLRSGIDEKTSMDDVRAPNVFERAKEEFQALSEVFHHKRKVSASDIRDENQMAESSKHNQEATTSPSDNFLLAANIFVKAKEEIKGMIHHDKSKHHHKETHGRNDDITENTPLDEIKGPNVFERVKEEFEAVFQAIHPK >Vigun05g123500.3.v1.2 pep primary_assembly:ASM411807v1:5:13709823:13720134:1 gene:Vigun05g123500.v1.2 transcript:Vigun05g123500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERWDHSQKRIQDMSLVVLKKIQDFLMEESGNIIVPPSEDICRQTEESFVKSCTQSLVIPDEFRCPISLELMKDPVIICTGQTYERSCIKKWLEAGHGTCPKTQQILSTPILIPNHALYGLISSWCEANGVEPPKRLGNLWLCKTTLDGSSELVDLDILVSKLSSRGIEDQRSAVGEVRLLAKQNSQNRMLIAEAGAIPRLVDLLYTSDAVIQEHAVTALLNLSIYADNKERIMAAEAVPGILHVLENGSMAARENAAATFFSLSTVDENRLAIGASGAIQALVTLFCEGSPRGKLDAAKALFNLCLSQGNKGRAIRAGIGPKLIEMLIEPDGAMRDEALAIMAIVASHPDGKAAIGSLNVVSTLVELLSNGSPRNKENATSVLVLLCHGDPLNLSIVNSPMVINILLDLTENGSERARRKAGQLLELIGNHCEHTMKTSN >Vigun05g123500.1.v1.2 pep primary_assembly:ASM411807v1:5:13707677:13720134:1 gene:Vigun05g123500.v1.2 transcript:Vigun05g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDPSWVLRNLSRVSTQISYLSSFKPILRTQCCDLARRIRFLAPLFLELHDNVVLSSAVSFHALQEALFKAKDLLQFATTASQVFMILDREQVKHRFTDVAVRFEHAMSKISFDELDVSEEIKEQVALVTTQFRRAKEKFDPPGLQLYEQLLSVYNQSYDVNTETAELRLICEKLQFINVDDVKQESLALEKMGVERWDHSQKRIQDMSLVVLKKIQDFLMEESGNIIVPPSEDICRQTEESFVKSCTQSLVIPDEFRCPISLELMKDPVIICTGQTYERSCIKKWLEAGHGTCPKTQQILSTPILIPNHALYGLISSWCEANGVEPPKRLGNLWLCKTTLDGSSELVDLDILVSKLSSRGIEDQRSAVGEVRLLAKQNSQNRMLIAEAGAIPRLVDLLYTSDAVIQEHAVTALLNLSIYADNKERIMAAEAVPGILHVLENGSMAARENAAATFFSLSTVDENRLAIGASGAIQALVTLFCEGSPRGKLDAAKALFNLCLSQGNKGRAIRAGIGPKLIEMLIEPDGAMRDEALAIMAIVASHPDGKAAIGSLNVVSTLVELLSNGSPRNKENATSVLVLLCHGDPLNLSIVNSPMVINILLDLTENGSERARRKAGQLLELIGNHCEHTMKTSN >Vigun05g123500.2.v1.2 pep primary_assembly:ASM411807v1:5:13707677:13720134:1 gene:Vigun05g123500.v1.2 transcript:Vigun05g123500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKLSFSGELDTSNSRRILRVSNFIKVALVTTQFRRAKEKFDPPGLQLYEQLLSVYNQSYDVNTETAELRLICEKLQFINVDDVKQESLALEKMGVERWDHSQKRIQDMSLVVLKKIQDFLMEESGNIIVPPSEDICRQTEESFVKSCTQSLVIPDEFRCPISLELMKDPVIICTGQTYERSCIKKWLEAGHGTCPKTQQILSTPILIPNHALYGLISSWCEANGVEPPKRLGNLWLCKTTLDGSSELVDLDILVSKLSSRGIEDQRSAVGEVRLLAKQNSQNRMLIAEAGAIPRLVDLLYTSDAVIQEHAVTALLNLSIYADNKERIMAAEAVPGILHVLENGSMAARENAAATFFSLSTVDENRLAIGASGAIQALVTLFCEGSPRGKLDAAKALFNLCLSQGNKGRAIRAGIGPKLIEMLIEPDGAMRDEALAIMAIVASHPDGKAAIGSLNVVSTLVELLSNGSPRNKENATSVLVLLCHGDPLNLSIVNSPMVINILLDLTENGSERARRKAGQLLELIGNHCEHTMKTSN >Vigun11g123300.4.v1.2 pep primary_assembly:ASM411807v1:11:33052531:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAARYCKWHNGMWEVANQ >Vigun11g123300.5.v1.2 pep primary_assembly:ASM411807v1:11:33052531:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAGIVNGIMACGKLPINENLTMK >Vigun11g123300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33051629:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAGVLFGLEVLC >Vigun11g123300.6.v1.2 pep primary_assembly:ASM411807v1:11:33052468:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAGTEAL >Vigun11g123300.7.v1.2 pep primary_assembly:ASM411807v1:11:33052531:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAGTEAL >Vigun11g123300.9.v1.2 pep primary_assembly:ASM411807v1:11:33052531:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAA >Vigun11g123300.8.v1.2 pep primary_assembly:ASM411807v1:11:33052531:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAGTEAL >Vigun11g123300.2.v1.2 pep primary_assembly:ASM411807v1:11:33051629:33055260:-1 gene:Vigun11g123300.v1.2 transcript:Vigun11g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSEDPNPIVIREVWASNIESEFELIRELIDRYPFISMDTEFPGVIFRPHVDPTKPYNHRTRRPADHYRLLKSNVDSLNLIQVGLTLSDSAGNLPGDDRNRFIWEFNFCDFDVARDAYAPDSIALLRRQGIDFERNATNGVDSTRFAELMMSSGLVCNDAVSWVTFHSAYDFGYLVKILTRQDLPTRLEEFLNVVKVFFGDNVYDVKHMMRFCDTLYGGLDRVARTLNVDRAVGKCHQAGSDSLLTWHAFQKIVDLYFVKDEHQKHAA >Vigun11g036600.1.v1.2 pep primary_assembly:ASM411807v1:11:4934210:4936682:1 gene:Vigun11g036600.v1.2 transcript:Vigun11g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELILLDEWLSMYGMRARIALAEKEIKYEYREEDLDNKTQLLLQMNPIHKKIPVLIHNGKPICESIIIVEYVDELWKDKPSFLPSDPYQRSQARFWADFVNKKIGDAGWKIWAGKREGIEEAKKEFVEALKELEKELGDKPYFGGDTFGFVDIALIPFSTWFYTYEALGNFKVEAECPKIIAWAKRCSQRESVSKSLADEKEVYEFVVGYRKSNHLD >Vigun03g373700.1.v1.2 pep primary_assembly:ASM411807v1:3:57641417:57644548:1 gene:Vigun03g373700.v1.2 transcript:Vigun03g373700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEVLGFHMAKIDLFKFIDASQKKVVGSSWEMTMQCSFLLSFSLSQTHVFSQEAMGNTSSMLTQYDIEEVQQHCQHAFTQQEIVSLYQRFCQLDRNNCGFISSDEFLSIPEFAVNPLSQSLLRMLDGLNFKEFVAFLSAFSPRATLHQKILFIFKVYDTDCNGKVTFHDMLRALRDLSGSFMFEQQREEVLTQVLEEAGYAKDSSLVLSDFVKILGNSGLKMEVEIPVD >Vigun03g373700.2.v1.2 pep primary_assembly:ASM411807v1:3:57641438:57644307:1 gene:Vigun03g373700.v1.2 transcript:Vigun03g373700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEVLGFHMAKIDLFKFIDASQKKVVGSSWEMTMQCSFLLSFSLSQTHVFSQEAMGNTSSMLTQYDIEEVQQHCQHAFTQQEIVSLYQRFCQLDRNNCGFISSDEFLSIPEFAVNPLSQSLLRMLDGLNFKEFVAFLSAFSPRATLHQKILFIFKVYDTDCNGKVTFHDMLRALRDLSGSFMFEQQREEVLTQVLEEAGYAKDSSLVLSDFVKILGNSGLKMEVEIPVD >Vigun06g189700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30769411:30770727:-1 gene:Vigun06g189700.v1.2 transcript:Vigun06g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKIVMQKYEIGRFLGQGNFAKVYHARNLKTGQSVAVKVINKEMMMMKEGMKDQIKREISVMRLVRHPNIVQLHEVMATKTKIYFAMELVKGGELFHKVSRGRLKEDVARKYFQQLIDAVDYCHSLGVCHRDLKPENLLLDENGDLKITDFGLSALVESRKKDGLLHTMCGTPAYVAPEVIKNKGYDGAKADIWSCGVILYVLLAGFVPFNDKNLMEMYKKIIRADCQFPKWFSTDVKRLIYRILDPNPTRRINVAKIVQSRWFSKGYVQIVEYHLPPLFPRNGDDMLDVEVVFDSSDLDDNATPTKKESPSKLYQFNAFDLISLSSGLDLSGLFENDHNERQLTRFTTGERPSTVVSMLEEIAQTDDKFKVLKKDGVVRLEGCKMGLKGQLILDAEIFQVTSSLHVVEVKKVAGNTLEYFKFREQYLKPSLNEII >Vigun05g223300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41542682:41544667:-1 gene:Vigun05g223300.v1.2 transcript:Vigun05g223300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAHSKGEGTKSLAKILAKWKEYNAQLDSCSDADKPVRKVPAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAIGAALAYDEAARAMYGSCARLNFPNVSVSSFSEESLKDSPMANHSGSSMAVSANESMVSPTNSKGGGAEEDVDMEPISLSLSVKHENGEGESGISSSPPSSSS >Vigun05g223300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41542682:41544667:-1 gene:Vigun05g223300.v1.2 transcript:Vigun05g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLAKAHSKGEGTKSLAKILAKWKEYNAQLDSCSDADKPVRKVPAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAIGAALAYDEAARAMYGSCARLNFPNVSVSSFSEESLKDSPMANHSGSSMAVSANESMVSPTNSKGGGAEEDVDMEPISLSLSVKHENGEGESGISSSPPSSSS >VigunL042300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:415568:417561:1 gene:VigunL042300.v1.2 transcript:VigunL042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPGNYIAAQNWLKITGRFE >VigunL050466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:2937:3782:-1 gene:VigunL050466.v1.2 transcript:VigunL050466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARCSDGGDAFWALVDPDADTAQPIAGGVSDWGPDVTRGGGQDALTFKGPIRALSSLRLLAPCENTSKVVIAGANYSKHLVEFGVAAPTQPIAFLKAYGALIGAADPIRYPPLTEQLDYECELVVVIGDAAIDLDDPLACVLGYTAGNDVSARDLQRSGPPGIGMDLYAAKSQDKATPVGPWIVTRDEFAPGSPALRLSTTVNGEVRQDGTTADMTWDVPELIRFVHQRVSFGVGDLLFTGTPEGVAQASGRYLNPGDLVEVSLEGVGVLRNQIASRAG >Vigun07g071200.2.v1.2 pep primary_assembly:ASM411807v1:7:8898701:8899766:-1 gene:Vigun07g071200.v1.2 transcript:Vigun07g071200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNELFVKAGNNNIYGFLEPQIIEKSGNRSMHTQTCIQRWIHASKKRIYIVPYIHGSHWQLIIICPIDRIVVWFCSLHNKPSK >Vigun04g132500.1.v1.2 pep primary_assembly:ASM411807v1:4:33281648:33283422:-1 gene:Vigun04g132500.v1.2 transcript:Vigun04g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFAAVENRRQYESKVTTFVLVSCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYEKMKDESDHESQYCKFDNELLTLFTSSLYLAALVASFFASTTTRMMGRKASMFAGGLFFLVGALLNGFAINIEMLIVGRLLLGFGVGYCNQSVPVYLSEMAPTSIRGALNMGFQMMITIGILVANLINYGTGKLEYGWRISLGVGAVPAIMLCVGSLFLGDTPNSLLERGKEEEAKKMLQKIRGMDNVDEEFQDLVNATKAAKDVEHPWKNITKPKYRPQLTFCSLIPFFQQFTGINVIMFYAPVLFKTLGFGNDTSLMSSVITGGVNVVATFVSIFSVDKFGRRILFLEGGIQMLICQLAVGIMIALKFGVSGEGYFTNGEASLLLFFICAYVAAYAWSWGPLGWLVPSEICSLEVRSAGQGTNVAVNMLFTFVIAQVFLTMLCHLKFGLFFFFAGFVLIMTVFVVLLLPETRNVRIEEMNRVWKSHWFWGKYIPDDIAIGGHPHITTV >Vigun04g022400.1.v1.2 pep primary_assembly:ASM411807v1:4:1714740:1717372:1 gene:Vigun04g022400.v1.2 transcript:Vigun04g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFSGTSLSLSLSSNNYSHTSQLLIPTITFLLHQAMVGRKNEEIKYMSDELQTIFLANLDEAPARRRAREAFKDIQLAIDHCLFRLPSDGVNMKEVYEVNSRGIKIFSKSWLPESSPLKAIICYCHGYADTCTFYFEGVARKLASSGYGVFALDYPGFGLSDGLHGYIPSFENLVNDVIEHFSKIKEQKKYQDVPSFLLGESMGGAIALNIHFKQPTAWNGAALIAPLCKFAEDMIPHWLVKQILIGVAKVLPKTKLVPQKEEVKDNIFRDLNKRKLAPYNVLLYKDKPRLGTALELLKATQELEQRLEEVSLPLLIMHGEADIITDPSASKALYEKAKVKDKKLCLYKDAFHTLLEGEPDETIFHVLGDIISWLDEHSSRKNTYQTP >Vigun10g065800.1.v1.2 pep primary_assembly:ASM411807v1:10:14539089:14549531:-1 gene:Vigun10g065800.v1.2 transcript:Vigun10g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSLHVVALPFPAEGHIKPMFNLAKLLCHKKHKITFVNTQHTQNRLIQSSELPSFDNGFRFTFVADGIPHDVPPNDYSVILSPTSRTKVAEEFREMLRNLVGNPSLWGPPSCIVVDGIMSTIAMDAAEELGVPVIAFRTYSATATWVTINLSKVIQEGVMNTQDPEDVQKVLSSIPGLENLLRDCDLPSYFKPKPGNSFLDFFIKETLTMTRASALILNTFDHLEAPIITKLTTVFPRVYSIGPLHTHVKNQVTKNPSLSYNVAKEDKNCITWLDHQRAKSVIYVSFGTVVKLSGEQLLEFWHGLVNSLKPFLWVVRKDLMNGEGDFFHKNVAKELELGTKERGLLVPWAPQEEVLGHPAVGGFLTHCGWNSTLECIAEGVPMLCFPLLVDQTINSRCVSEQWRIGVGVDGTCNRFIIEKMVKDVLENRIEGLRNSVDEIAKQARDSVKETGSSSHNIESMIKDIMSIKG >Vigun09g162500.2.v1.2 pep primary_assembly:ASM411807v1:9:33014134:33018016:-1 gene:Vigun09g162500.v1.2 transcript:Vigun09g162500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQNRRSSFSSSTTSSLAKRHASTTASSSLAAPTNVPKKRPPLSNLTNTVVHRNSSSSVPCAAKLAKTKKEVVLPCSGNKRPTLANVKPTNAIVFPKANSLALRNEAPPPPPPLVVSVAVPPPVLDVSPSKSDAMSVSMDESMSSCDSFKSPDIEYVDNSDVAAVDSIERKTFSNLNISDSSESGNICSRDILVELEKGDKFVNVDNNYADPQLCASFACDIYKHLRASEAKKRPSTDFMERIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQKLQLLGVASMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESAVLNFLKFEMTAPTVKCFLRFVRAAQGVDEVPSLQLECLTNYIAELSLLEYSMLCYAPSLIAASAIFLAKFILFPSVKPWNSTLQHYTLYQASDLCVCVKDLHRLCCNSLNSNLPAIREKYSQHKYKYVAKKYCPPSIPPEFFLS >Vigun09g162500.1.v1.2 pep primary_assembly:ASM411807v1:9:33014134:33018016:-1 gene:Vigun09g162500.v1.2 transcript:Vigun09g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQNRRSSFSSSTTSSLAKRHASTTASSSLAAPTNVPKKRPPLSNLTNTVVHRNSSSSVPCAAKLAKTKKEVVLPCSGNKRPTLANVKPTNAIVFPKANSLALRNEAPPPPPPLVVSVAVPPPVLDVSPSKSDAMSVSMDESMSSCDSFKSPDIEYVDNSDVAAVDSIERKTFSNLNISDSSESGNICSRDILVELEKGDKFVNVDNNYADPQLCASFACDIYKHLRASEAKKRPSTDFMERIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQKLQLLGVASMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESAVLNFLKFEMTAPTVKCFLRRFVRAAQGVDEVPSLQLECLTNYIAELSLLEYSMLCYAPSLIAASAIFLAKFILFPSVKPWNSTLQHYTLYQASDLCVCVKDLHRLCCNSLNSNLPAIREKYSQHKYKYVAKKYCPPSIPPEFFLS >Vigun05g251400.5.v1.2 pep primary_assembly:ASM411807v1:5:44543566:44549026:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.4.v1.2 pep primary_assembly:ASM411807v1:5:44543566:44549026:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRFYDFRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.3.v1.2 pep primary_assembly:ASM411807v1:5:44542814:44549036:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.7.v1.2 pep primary_assembly:ASM411807v1:5:44544712:44549026:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.1.v1.2 pep primary_assembly:ASM411807v1:5:44542816:44549026:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRFYDFRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.6.v1.2 pep primary_assembly:ASM411807v1:5:44544143:44549026:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g251400.2.v1.2 pep primary_assembly:ASM411807v1:5:44542814:44549036:1 gene:Vigun05g251400.v1.2 transcript:Vigun05g251400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPKTRSSYSEVPQKVSPRGGGRQLRPATLDTASSSNQAHKTSKDNRSPKVTDRRSPRSPAPERKRPSRISELESQISQLKEDLKVVRNQLSLSDSSKKQAQQDAEESMKQLFAVSVKLEDSQQQLQKFSATEQSRVLELQKTIEDHDKAWQSELKAAQQKLSDNTSSLTSAIDEIQQLKVQLELVANCENAHTQIAESSDVELLNLKDNLAESLSLVENMKNQLRDSKESGQAQALVNETLRQLEAAKRTVEFLRADAAKAVHGYNSAASELDQSRTRVESLETLVSKLDFGPISNKCNHSLNVADDGNMELKPEISQKGEVPNHTDAEIYSLRSAIETDDETKHLEEHIQCSLKLRKAYELIEQIKSESSQRESELEVELKRKNADIEHLKANLLDKETELQGIVEENEKLNLHLQKRNSSQRELELKKELRKLDECVAELKGDLMDKETTLQSISEENEMLKFEISKRFGHAEVAAELEAAKAAEREAVMKLGIVMEDAERSNRKAERVAEQLEASQAANSIVEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLTERSMSLDNNYNSMMNKYSPFCEELDDDFQTKKNGNMLKKIGILWRKPQK >Vigun05g107700.1.v1.2 pep primary_assembly:ASM411807v1:5:11058993:11060769:-1 gene:Vigun05g107700.v1.2 transcript:Vigun05g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGTEKRITLKLMVLKEERKVIFAEAGKDFVDVLFSFLTLPLGTIARLVRKESKVQPPKVASLNSLYQSVENLDEACLRTHTCKGMLLRPRNSMEAYCGSIKINIDDTEPAKYFVCNNLIICGRQNPVLISTFKNKRCRCGTLLEKPISFKTSNIFDGFVKANASFMITDDLKDSGIKNTSSVSEMTVHITKNQVVDILKCCLCSRTVLTDLFLEKISSEILHTSKRIAPSYLKANDSGKITVKIIQRKSSGKIIFAEGKEDFADFLCSLLTIPLGGAVHLMEGYSCVGSVDGLYKSVVDLDEDYFTTKEVKNKFVDPVLAPQFKMGKLIPFMSDDVPKCYCYVELYLDGSLIRMCYLTIHEKICNIYPKSCVAGEFVDPLSDTSDGGTTYIKGPTTYMATDDLVVTPSSSISVMSLLNSMNIPVDDLKEKVIRIGMEEGVRILQASLISTSALTLGLSHMLTKVKEEK >Vigun02g000042.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:11268:12012:-1 gene:Vigun02g000042.v1.2 transcript:Vigun02g000042.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun10g182000.1.v1.2 pep primary_assembly:ASM411807v1:10:39954330:39958355:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQKDFIEDWSPVALKAGKDIVPNVVKAVEIARERGMLIVWVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.5.v1.2 pep primary_assembly:ASM411807v1:10:39954349:39958339:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQKDFIEDWSPVALKAGKDIVPNVVKAVEIARERGMLIVWVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.6.v1.2 pep primary_assembly:ASM411807v1:10:39954329:39958354:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.2.v1.2 pep primary_assembly:ASM411807v1:10:39954331:39958355:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.8.v1.2 pep primary_assembly:ASM411807v1:10:39954349:39958339:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.7.v1.2 pep primary_assembly:ASM411807v1:10:39954329:39958353:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.4.v1.2 pep primary_assembly:ASM411807v1:10:39954321:39958353:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQKDFIEDWSPVALKAGKDIVPNVVKAVEIARERGMLIVWVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun10g182000.3.v1.2 pep primary_assembly:ASM411807v1:10:39954329:39958354:1 gene:Vigun10g182000.v1.2 transcript:Vigun10g182000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWKHTALLVIDMQKDFIEDWSPVALKAGKDIVPNVVKAVEIARERGMLIVWVVRENDPLGRDVELFRRHYYTERKIKIGCKGSEGAALVDGLVIKEEDYKLVKTRFSAFFATHLHSVLQAAAIHNLVITGIQTPNCIRQTVFDAVSLDYPKVSVIVDATAAATPDVHQANLFDMENVGVATPTLQEWSEAKA >Vigun06g094200.2.v1.2 pep primary_assembly:ASM411807v1:6:22570102:22573256:1 gene:Vigun06g094200.v1.2 transcript:Vigun06g094200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLELLVIITLICWSSDNIHAYGAVENIQTNLLNKGCSAYNASNLRSFFANINETFEGLRAQISSDNKNKHFAVEDKARGEVMTYAMFQCRNYLSKTQCLSCFNTATSQIRNCSAANGARITYDSCFLRYESDRFYDETNEPGGGVSCGNTSSTVTGFTVAGQQVLMELLRATPKIKGFYAATKTPVAGGSAIYAVSQCVETAMKTSCLNCLEVAYNNLQRCLPNTDGTAYDAGCFMRYSATPLFADNQTIDIAPFLKQGGSTKKWGIIGGVVIGAVLLLLVLFAWRWFRKSKGVQRAADILGATELKGPVNYKYNDLKAATKKFSAENKLGEGGFGAVYKGTLKNGKVVAVKKLVLGKSSKMKDDFEGEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDRFLFGNRKGFLNWKQRYNIILGTAKGLAYLHEEFHVSIIHRDIKTANILLNDDLQPKIADFGLARLLPEDCSHLSTKFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIISGQKSTDVKGDEEASEYLLQRSWKLYERGSHLELLEEAIEADEYDAEEVKRIIEIALLCTQATAAARPTMSEVVVLLKTKNSVDHLRPTMPVFVGTNVMTREGNSTSSSNANASISIVSAR >Vigun06g094200.1.v1.2 pep primary_assembly:ASM411807v1:6:22570102:22573256:1 gene:Vigun06g094200.v1.2 transcript:Vigun06g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLELLVIITLICWSSDNIHAYGAVENIQTNLLNKGCSAYNASNLRSFFANINETFEGLRAQISSDNKNKHFAVEDKARGEVMTYAMFQCRNYLSKTQCLSCFNTATSQIRNCSAANGARITYDSCFLRYESDRFYDETNEPGGGVSCGNTSSTVTGFTVAGQQVLMELLRATPKIKGFYAATKTPVAGGSAIYAVSQCVETAMKTSCLNCLEVAYNNLQRCLPNTDGTAYDAGCFMRYSATPLFADNQTIDIAPFLKQGGSTKKWGIIGGVVIGAVLLLLVLFAWRWFRKSKGVQRADILGATELKGPVNYKYNDLKAATKKFSAENKLGEGGFGAVYKGTLKNGKVVAVKKLVLGKSSKMKDDFEGEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDRFLFGNRKGFLNWKQRYNIILGTAKGLAYLHEEFHVSIIHRDIKTANILLNDDLQPKIADFGLARLLPEDCSHLSTKFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIISGQKSTDVKGDEEASEYLLQRSWKLYERGSHLELLEEAIEADEYDAEEVKRIIEIALLCTQATAAARPTMSEVVVLLKTKNSVDHLRPTMPVFVGTNVMTREGNSTSSSNANASISIVSAR >Vigun08g168700.1.v1.2 pep primary_assembly:ASM411807v1:8:33990331:33991285:-1 gene:Vigun08g168700.v1.2 transcript:Vigun08g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRNILSLMLSLLVIFLFVFSEVAARELKDLSGRDRGGFNRDSRLFWGGFPNFYGGYGGYGGYFNNPFDPRYSFGQGRGFPFNPPFGNGVFNNPFGGGFNTGTGGGFVGGIPNIGGGVRVP >Vigun10g129500.2.v1.2 pep primary_assembly:ASM411807v1:10:33759872:33763497:1 gene:Vigun10g129500.v1.2 transcript:Vigun10g129500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSNFDENSDVGYQPSHSSVDQNDHSITETVGYSTFSGESFAYCRTNSEVSNFSEPIDDNSFASEHSPSLWTPVKHGASQAVLSRLGMKQQHRHSMDDKSEDLDLLESELDMMRERFSKLLLGEDMSGGGKGVSTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLLSVCDYIVEFAPTAQYLEDGTIVEMMTSRPRSDIYINLPALQKLDTMLIEILDSFHDTEFWYADQGSLSGNSTRSRAGSFRRIVQRKDEKWWLPVPCVHPGGLSDKSTKHLNEKRDCANQIHKAAMAINSSVLAEMDIPETYMANLPKSGRTSLGDTIYRYMYSADKFSPDYLLDCLKISSEHEALELADKVESSMFTWRRKACLSHSKTSWNKVKDLMADTDRSDKNYTLAERAETLLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVLHADKSTRNQNV >Vigun10g129500.3.v1.2 pep primary_assembly:ASM411807v1:10:33760440:33763497:1 gene:Vigun10g129500.v1.2 transcript:Vigun10g129500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSNFDENSDVGYQPSHSSVDQNDHSITETVGYSTFSGESFAYCRTNSEVSNFSEPIDDNSFASEHSPSLWTPVKHGASQAVLSRLGMKQQHRHSMDDKSEDLDLLESELDMMRERFSKLLLGEDMSGGGKGVSTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLLSVCDYIVEFAPTAQYLEDGTIVEMMTSRPRSDIYINLPALQKLDTMLIEILDSFHDTEFWYADQGSLSGNSTRSRAGSFRRIVQRKDEKWWLPVPCVHPGGLSDKSTKHLNEKRDCANQIHKAAMAINSSVLAEMDIPETYMANLPKSGRTSLGDTIYRYMYSADKFSPDYLLDCLKISSEHEALELADKVESSMFTWRRKACLSHSKTSWNKVKDLMADTDRSDKNYTLAERAETLLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVLHADKSTRNQNV >Vigun10g129500.1.v1.2 pep primary_assembly:ASM411807v1:10:33759872:33763497:1 gene:Vigun10g129500.v1.2 transcript:Vigun10g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSNFDENSDVGYQPSHSSVDQNDHSITETVGYSTFSGESFAYCRTNSEVSNFSEPIDDNSFASEHSPSLWTPVKHGASQAVLSRLGMKQQHRHSMDDKSEDLDLLESELDMMRERFSKLLLGEDMSGGGKGVSTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLLSVCDYIVEFAPTAQYLEDGTIVEMMTSRPRSDIYINLPALQKLDTMLIEILDSFHDTEFWYADQGSLSGNSTRSRAGSFRRIVQRKDEKWWLPVPCVHPGGLSDKSTKHLNEKRDCANQIHKAAMAINSSVLAEMDIPETYMANLPKSGRTSLGDTIYRYMYSADKFSPDYLLDCLKISSEHEALELADKVESSMFTWRRKACLSHSKTSWNKVKDLMADTDRSDKNYTLAERAETLLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVLHADKSTRNQNV >Vigun08g094800.2.v1.2 pep primary_assembly:ASM411807v1:8:22488407:22498655:-1 gene:Vigun08g094800.v1.2 transcript:Vigun08g094800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKTSNCAICDNSNQASICFICVNYRLNEYNTSLKSLKDRRDSLYSKLSDVLVRKGKGDDQVNWRVLQNEKLARLKEKLRRSNEQVTQGRAKIETVSADLKLKYGLLESALSTLEKNRVEQLEKFYPNLICTQSLGHVAITSERLHKQSVVIKQICKLFPQRRVAVEGEIRDGRRGQYDQICNSRLPKALDPHSVPSEELSASLGYMVQLLNLVVHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTAGENSWSTDKSSSNFGVASMESEKRNRPDSSGNSNFNYSLASSHSVQTHKDLQKGISLLKKSVACITAYCYNSLCLDAPSEGSTFESFAKLLATLSSSKEVRSVFSLKMAQSRTCKQVQQLNKSVWSMNSAISSTTLLESAHSVPTTRIENYLPSSTASYLYATDLSDGKNECLIEGWDIVEHPTFPPPPSQSEDVEHWTRAMFIDAKRK >Vigun08g094800.1.v1.2 pep primary_assembly:ASM411807v1:8:22488407:22498655:-1 gene:Vigun08g094800.v1.2 transcript:Vigun08g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKTSNCAICDNSNQASICFICVNYRLNEYNTSLKSLKDRRDSLYSKLSDVLVRKGKGDDQVNWRVLQNEKLARLKEKLRRSNEQVTQGRAKIETVSADLKLKYGLLESALSTLEKNRVEQLEKFYPNLICTQSLGHVAITSERLHKQSVVIKQICKLFPQRRVAVEGEIRDGRRGQYDQICNSRLPKALDPHSVPSEELSASLGYMVQLLNLVVHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTAGENSWSTDKSSSNFGVASMESEKRNRPDSSGNSNFNYSLASSHSVQTHKDLQKGISLLKKSVACITAYCYNSLCLDAPSEGSTFESFAKLLATLSSSKEVRSVFSLKMAQSRTCKQVQQLNKSVWSMNSAISSTTLLESAHSVPTTRIENYLPSSTASYLYATDLSDGKNECLIEGWDIVEHPTFPPPPSQSEDVEHWTRAMFIDAKRK >Vigun06g066600.1.v1.2 pep primary_assembly:ASM411807v1:6:19493779:19497900:1 gene:Vigun06g066600.v1.2 transcript:Vigun06g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLRNLSLSPKIFSFTLLLSLNSLLFFPCCYSLDEQGETLIAWKNSLNITSDVLPSWNPSASSPCNWFGVYCNSHGEVVEINLKSVNLQGSLPSNFQPLRSLKILVLSSNNLTGRIPKEIGEYLELTFLDLSGNSLFGEIPEEICSIRKLLSLSLHTNFLEGSIPSNIGNLSSLVNLTIYDNHLSGEIPKSIGSLSKLQVFRAGGNKNLKGEIPWEIGNCTNLVVLGLAETSISGSFPSSIKMLKKVKTIAIYTTLLSGSIPEEIGNCSELQNLYLHQNSISGSIPSQIGELNKLKSLLLWQNNIVGTIPEELGSCTEIKVIDLSENLLTGSIPRSFGNLLNLQELQLSVNQLSGIIPPEISNCTSLNQLELDNNALSGEVPDLIGNLKGLTLFFAWKNKLTGNIPDSLSECHELEALDLSYNNLIGPVPRQLFGLRNLTKLLLLSNELSGFIPPDIGNCTSLYRLRLNHNRIAGNIPPEIGNLKSLNFMDMSNNHLTGQIPPTLSGCQNLEFLDLHSNSLTGSVPDSLPKSLQLIDLSDNRLTGALSHTIGSLVELTKLNLGKNQISGRIPAEILSCTKLQLLDLGSNSLDGEIPNEVGLIPSLEISLNLSFNQFSGKIPSQFSGLTKLGVLDLSHNKLSGNLDALSDLENLVSLNVSFNGFSGELPNTPFFHKLPLSDLAENHGLYIAGGAVTPADKVHASSTMKFIMSILLSTSAVLVLLTVYVLVRTHMAGNVLMENETWEMTLYQKLDFSIDDIVLNLTSANVIGTGSSGVVYKVTTPNGETLAVKKMWSSEESGAFNSEIQTLGSIRHKNIIRLLGWGSNKNLKLLFYDYLPNGSLSSLLHGSGKGSAEWETRYDVILGVAHALAYLHHDCLPAIIHGDVKAMNVLLGPGYQPYLADFGLARTATEIGDSSNSKPVQRHYLAGSYGYMAPEHASLQPITEKSDVYSFGMVLLEVLSGRHPLDPTLPGGAHLVQWVRNHLASKGDPSDILDTKLRGRADPTMHEMLQTLAVSFLCVSTRSEERPTMKDVVAMLKEIRPLETSRTDSDALKGGLTSHTSPPPPKNVVSHGSSTCSYNFSDNSIS >Vigun11g039500.1.v1.2 pep primary_assembly:ASM411807v1:11:5543120:5546622:1 gene:Vigun11g039500.v1.2 transcript:Vigun11g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILHKLLFASMHLWLCWSSSTHVHASDDSLKPGETLNSSSLQPLYSKGRKYFLRFYTTVIGSEIFTYLAIQSAYDYATVWEGNRGQPIHHRDAVLSLDFSGVLKIEYESAKKPIILYSPPQPINNTVATLLDTGNLVLQQLHTNGTNTLLWQSFDSPTDTLLPTMKLGVVDHKTGLRRLLVSRLTNAIASPGAFSLEWEPTAKELMIRRRGKVCWKSGKMKNNRFENIPEDAQGVLKYTVVSNGEEDTFSFTSTNENIRRWWSLSDTGRLSYNKNEGYVARADLCYGYNNTEGGCQRWQDIPKCRSAGDVFIKKSLRRNYQNQTIDDNQNISHSDCEAACWSDCNCNGFTEIYLDGTGCRFYHWNSSKDYIVDGTVSGFDFYILENKGNITPHHHHGTKRWIWISTVIASTLLIICASIQFLAIMIRKHVLQEKKRREMKSSLIEDFRNDFKKGNGLKVFDYTLVEAATNGFSSENKLGQGGFGPVYKGTLPTGEEVAIKRLSRSSAQGIVEFKNELTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCTRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKASNILLDENMNPKISDFGMARMFTQQDSISNTNRIVGTYGYMSPEYATQGVFSTKSDIYSFGVLLLEIVSGRKNTSFYDDDRPINLIGHVWELWKDGKCFESVDPSLEESFDSDEVQRCIHIGLLCVEHYANDRPTMPDIISMLTNKSAIMSSPKRPAFYVQREMLNQNLSSAELCTTSTVEITTSLEIE >Vigun11g039500.2.v1.2 pep primary_assembly:ASM411807v1:11:5543120:5546623:1 gene:Vigun11g039500.v1.2 transcript:Vigun11g039500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILHKLLFASMHLWLCWSSSTHVHASDDSLKPGETLNSSSLQPLYSKGRKYFLRFYTTVIGSEIFTYLAIQSAYDYATVWEGNRGQPIHHRDAVLSLDFSGVLKIEYESAKKPIILYSPPQPINNTVATLLDTGNLVLQQLHTNGTNTLLWQSFDSPTDTLLPTMKLGVVDHKTGLRRLLVSRLTNAIASPGAFSLEWEPTAKELMIRRRGKVCWKSGKMKNNRFENIPEDAQGVLKYTVVSNGEEDTFSFTSTNENIRRWWSLSDTGRLSYNKNEGYVARADLCYGYNNTEGGCQRWQDIPKCRSAGDVFIKKSLRRNYQNQTIDDNQNISHSDCEAACWSDCNCNGFTEIYLDGTGCRFYHWNSSKDYIVDGTVSGFDFYILENKGNITPHHHHGTKRWIWISTVIASTLLIICASIQFLAIMIRKHVLQEKKRREMKSSLIEDFRNDFKKGNGLKVFDYTLVEAATNGFSSENKLGQGGFGPVYKGTLPTGEEVAIKRLSRSSAQGIVEFKNELTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFGEKRVNDCLIRCVLDDKKIKFVFIIMQLYPNIYRLYKKQIIGLE >Vigun06g078500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20993128:20996238:1 gene:Vigun06g078500.v1.2 transcript:Vigun06g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQPESPNTLTQMFQNIFSDNSNIMLAAIISLLLVILFVLLLHLYARWFLAQAQAQAHARRRRRRRRTTVTVSDVLGPARFHQFHSFNIEDPSPLSTKGLDSSTIRAIPLFIYEHNNKAKEDEELECVICLSAFEGGEVGRCLPKCGHGFHVECIDMWLSSHSNCPICRAPIVANVVESDSSQVVSSRDHGGSDFEIVVDAGSDETRESEHGNGGARTSVSVSETSSSFFGCSLERMLGKVFPSAN >Vigun11g053700.1.v1.2 pep primary_assembly:ASM411807v1:11:9641624:9643929:1 gene:Vigun11g053700.v1.2 transcript:Vigun11g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDRVRQVVGPHLSQNLESTQGDLRNRDDLEKLFSRNTFDAVIHFAGLKAVAKSVAKPRHYFDFNLIGTINLYEVMAKYNCKKIYGQPEKIPCEEDFKLQAMNPYGRTKIRDYIHVMDLADGHIAPFRKLFTSENIGELFFFFPCRS >Vigun11g053700.3.v1.2 pep primary_assembly:ASM411807v1:11:9642189:9643096:1 gene:Vigun11g053700.v1.2 transcript:Vigun11g053700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDRVRQVVGPHLSQNLESTQGDLRNRDDLEKLFSRNTFDAVIHFAGLKAVAKSVAKPRHYFDFNLIGTINLYEVMAKFMANLKRYRARRISSCKL >Vigun11g053700.2.v1.2 pep primary_assembly:ASM411807v1:11:9641623:9643930:1 gene:Vigun11g053700.v1.2 transcript:Vigun11g053700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDRVRQVVGPHLSQNLESTQGDLRNRDDLEKLFSRNTFDAVIHFAGLKAVAKSVAKPRHYFDFNLIGTINLYEVMAKYNCKKIYGQPEKIPCEEDFKLQAMNPYGRTKLFLEEITPVYLFFVHHIHL >Vigun06g219500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32990673:32991252:1 gene:Vigun06g219500.v1.2 transcript:Vigun06g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLFMVLPLLLLTFSSIIITHSRVAEARLTPEILFPPEDDSPALGISVPKISLPDIIPKLPFADVIGNYVPLPRLPFPKLTANKAVQKTP >Vigun02g152700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29932558:29935934:1 gene:Vigun02g152700.v1.2 transcript:Vigun02g152700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSELSNNHHHQHISSNNSPMAKPNSRNKNSSIFLSDGCLFLGGALSALVLVWGFSSFTTFPNDTLNFETLSQNDAASSRTPDLTFDPPDKTFFDDPQMGYTMDKKVRNWDEKRREWLKLHPSFSAGARERVLMITGSQPEPCRNPIGDHLLLRFFKNKVDYCRLHGYDILYNNALLDPKMFAYWAKYPVVRAAMMAHPEAEWIWWVDSDALFTDMEFKLPLERYKGHNLVVHGWPHLIHEKRSWTGLNAGVFLIRNCQWSLDFMDSWASMGPQTPNYEKWGKTLRSTFKDKFFPESDDQTGLAYLIAIEKNKWADRIYLESDYYFEGYWKEIVGTLQNISEKYTEMEKGVRRLRRRHAEKVSESYGDTREEYLKGAGFSTGSSRRPFITHFTGCQPCSGKYNAMYSADDCWNGMHKALNFADNQVLRNYGFRRPDLLLNSVSPLPFGYPHP >Vigun07g105100.3.v1.2 pep primary_assembly:ASM411807v1:7:19217223:19219328:-1 gene:Vigun07g105100.v1.2 transcript:Vigun07g105100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDLETEKSDSEEAESGKGTWKQAAFHVATTIATPAAYAPLPFAIASLGWPLGVSSLVSATLATWYSSFLIASLWKWNGEKHFTYRHLAHSIFGLWGYWSIAIFQQVASLGNNIAIQIAAGSSLKAVYKHYHHNGTLTLQHFIIFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTFYNGKKIDRTSVSYSLHGSSASKFFKAFNALGTIAFSFGDAMLPEIQNTVREPAKRNMYKSISAAYTVIVLTYWQLAFSGYWAFGSEVQPYILASLSIPEWTVVMANLFAAIQISGCFQVPL >Vigun07g105100.1.v1.2 pep primary_assembly:ASM411807v1:7:19216289:19219328:-1 gene:Vigun07g105100.v1.2 transcript:Vigun07g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDLETEKSDSEEAESGKGTWKQAAFHVATTIATPAAYAPLPFAIASLGWPLGVSSLVSATLATWYSSFLIASLWKWNGEKHFTYRHLAHSIFGLWGYWSIAIFQQVASLGNNIAIQIAAGSSLKAVYKHYHHNGTLTLQHFIIFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTFYNGKKIDRTSVSYSLHGSSASKFFKAFNALGTIAFSFGDAMLPEIQNTVREPAKRNMYKSISAAYTVIVLTYWQLAFSGYWAFGSEVQPYILASLSIPEWTVVMANLFAAIQISGCFQIYCRPTYGYFEEGTGLKSNKSTTYFPYRNRLTRLIFTSIYMVLVTLIAAAVPFFGDFVSICGAIGFTPLDFVFPALAYLKAGRAPNNSKLGPFLRPLNILIATCFSLVAILGCIGAVRLIVEDIKNYKFFHDM >Vigun07g105100.4.v1.2 pep primary_assembly:ASM411807v1:7:19216289:19219328:-1 gene:Vigun07g105100.v1.2 transcript:Vigun07g105100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDLETEKSDSEEAESGKGTWKQAAFHVATTIATPAAYAPLPFAIASLGWPLGVSSLVSATLATWYSSFLIASLWKWNGEKHFTYRHLAHSIFGLWGYWSIAIFQQVASLGNNIAIQIAAGSSLKAVYKHYHHNGTLTLQHFIIFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTFYNGKKIDRTSVSYSLHGSSASKFFKAFNALGTIAFSFGDAMLPEIQCIPLPEYGERTC >Vigun07g105100.2.v1.2 pep primary_assembly:ASM411807v1:7:19216289:19219328:-1 gene:Vigun07g105100.v1.2 transcript:Vigun07g105100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDLETEKSDSEEAESGKGTWKQAAFHVATTIATPAAYAPLPFAIASLGWPLGVSSLVSATLATWYSSFLIASLWKWNGEKHFTYRHLAHSIFGLWGYWSIAIFQQVASLGNNIAIQIAAGSSLKAVYKHYHHNGTLTLQHFIIFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTFYNGKKIDRTSVSYSLHGSSASKFFKAFNALGTIAFSFGDAMLPEIQNTVREPAKRNMYKSISAAYTVIVLTYWQLAFSGYWAFGSEVQPYILASLSIPEWTVVMANLFAAIQISGCFQVPL >Vigun03g332600.1.v1.2 pep primary_assembly:ASM411807v1:3:53048756:53053034:1 gene:Vigun03g332600.v1.2 transcript:Vigun03g332600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVACISLVIMVTVFCPSSLALTKDGLTLLEIKSALKDTTNALSNWQESDETHCNWTGIYCHPGDEQRVRIINLPYLQLGGILSPSIGKLSGLQRLALHHNNLHGTIPKELTDCSDLRALYLRGNFFRGCIPSNIGNIPYLNILDLSSNSLKGAIPCSIGHLSQLQILNLTINSLSGEIPEYGVLSNFGIYSFEGNPGLCGRQIEKPCRTSLGFPVVLPHAESDQAAVPAKRSSHFMTIMLIYAIAIMCVALVMVFAYLWTRLIPKNEQAAKRHTVVKKQKKQVHPRTSAKLIAFHGDLPYTSSEIVEKLEYLDEADIVGSGGYGTVYCMRIRYNRLLAVKQIDGSCEGSDQVFERELEVLGSIKHRNLVNMRGYLRLPSSRLLIYTYFPMGSVDDLLHEEDQQGRVHRWGDRLRIALGSARGLAYLHHECNPKIVHRNIKSSNVLLDECLEPYISDFGLADLLVDEKAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFVKRGLNVVGWVNTLMRDNRLEDVVDKRCRKVDPETVEVILELAARCTDGNADDRPSMNQVLQSLEQDVKYPPPILFYESEFDY >Vigun01g202100.1.v1.2 pep primary_assembly:ASM411807v1:1:37824106:37826768:-1 gene:Vigun01g202100.v1.2 transcript:Vigun01g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLLLLSAMEDEPFLTTTTITSQRADFLLQSSKSFDDVTAPRAARNAEIQEHLSQPSPFHSKKKKLSRCKTAPALVSMRDLKPKTPEVPKPQSSSIIRQGMCLLAMYLCVGVAIYSFNRDNFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPFTKVFACVFVLVGFGFIDILLSGLVNFVLDVQENMILTGLQMGATGRKGFSARNYIVDVVKGRMRIRLKVGLALGVVVLCIGIGCLVLYFVEGLDLVDSIYLSVMSVTTVGYGDRAFKTLPGRLFAAIWLLFSTLMVARAFLYLAEARINRRHRRMANKVLQREITVEDLLAADINHTGFISKSEYVIFKLKEMGKIQEKDVLQICDQFSKLDPSDCGKITLPHLLGGTL >Vigun01g019300.1.v1.2 pep primary_assembly:ASM411807v1:1:2065161:2065712:-1 gene:Vigun01g019300.v1.2 transcript:Vigun01g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNKMDTTKRCSVALLAFSLAFLIIASDMSMKSEARGPIAQTPCDNNLDCARRPCASCGCKCIKNCCHCHSKPYFSDFWC >Vigun10g042300.4.v1.2 pep primary_assembly:ASM411807v1:10:5891537:5895786:1 gene:Vigun10g042300.v1.2 transcript:Vigun10g042300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKFPVGLHSRVEDVIRTIRSKSTEVCIIGIYGEGGSGKTTLATAIYNQIQDTFTKKSFIEGQVGEIRGDLRLREQLLLDILKAKVEIPNADIGRSMIRKRLSGKRMLIVLDDVPYFSIFLDLWDYCKWFGEGTVIIVTTRYEILELRRGQPDSVFRVKLMNEKESLELLSWHAFREPKPKEEYNELAKRVVHYCGGLPLALEVIGSCLFERTKEEWHSVLFELEKIPSHNAEQKLKIGFDGLRNQIEKDLFLDVCCFFVGKGRTYATKILNGCGVDADSGIRVLIERSLIKVKKNRKFGMHPLVEEMGRAIIHEISRNESLMDNQLCVDDAEYVLIDNTETKVSQALLMKLRSARIEPSRLLKLDGNSENTSKKLRGISLHGVSSQYVPDDFCLHDVIAIYLKHCFLRLWKQPQVLRWLKVLNLSHSKYLRETPDFSGLPSLEQLILKDCPRLCQVHKSIGCLCYLLLLNLKDCTSISNLPKGIYKLKSLRTLILSGCSKIDLMEKDIVQMKSLITLIAENAAVRQVPFSIVSSKSIGYISLLGFERLPHNLFSSIIRSWISPTMNPISNIHSLWMDTDNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQIKNDLVEYFSNITHSGISKQHFRCSLIGVGRYHEFFNAVGDNIFEILASRESCDVFLPIVNDPYCLAHIGEGHSVFFTVPRDLDMKGMALCIYLSTLEIVVSECLRSVLIVNYTKCTFQIHYHDPVISFNDDDWNAINSNLESEDKIEIFVSFGPGLVVKNTVVYLICGESNNMDKESLPKKHSLIRFIKKIVK >Vigun10g042300.2.v1.2 pep primary_assembly:ASM411807v1:10:5891537:5895786:1 gene:Vigun10g042300.v1.2 transcript:Vigun10g042300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFTSSSSKLQQRYDVLINFSGEDIRKKFVSHLDSALSAVGFTTFFHEENAVNEMHIQEPILDLYQVAIVVFTKTYAQSAWCLHQLRQIIRWHQTYSRHLLPIYYEVEPSDVRLQKGDFGKAFKATAYQTFSTQQLELGMSRWSQALTKAADFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLHSRVEDVIRTIRSKSTEVCIIGIYGEGGSGKTTLATAIYNQIQDTFTKKSFIEGQVGEIRGDLRLREQLLLDILKAKVEIPNADIGRSMIRKRLSGKRMLIVLDDVPYFSIFLDLWDYCKWFGEGTVIIVTTRYEILELRRGQPDSVFRVKLMNEKESLELLSWHAFREPKPKEEYNELAKRVVHYCGGLPLALEVIGSCLFERTKEEWHSVLFELEKIPSHNAEQKLKIGFDGLRNQIEKDLFLDVCCFFVGKGRTYATKILNGCGVDADSGIRVLIERSLIKVKKNRKFGMHPLVEEMGRAIIHEISRNESLMDNQLCVDDAEYVLIDNTETKVSQALLMKLRSARIEPSRLLKLDGNSENTSKKLRGISLHGVSSQYVPDDFCLHDVIAIYLKHCFLRLWKQPQVLRWLKVLNLSHSKYLRETPDFSGLPSLEQLILKDCPRLCQVHKSIGCLCYLLLLNLKDCTSISNLPKGIYKLKSLRTLILSGCSKIDLMEKDIVQMKSLITLIAENAAVRQVPFSIVSSKSIGYISLLGFERLPHNLFSSIIRSWISPTMNPISNIHSLWMDTDNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQIKNDLVEYFSNITHSGISKQHFRCSLIGVGRYHEFFNAVGDNIFEVRDTLFFSLCLEILT >Vigun10g042300.1.v1.2 pep primary_assembly:ASM411807v1:10:5891537:5895786:1 gene:Vigun10g042300.v1.2 transcript:Vigun10g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFTSSSSKLQQRYDVLINFSGEDIRKKFVSHLDSALSAVGFTTFFHEENAVNEMHIQEPILDLYQVAIVVFTKTYAQSAWCLHQLRQIIRWHQTYSRHLLPIYYEVEPSDVRLQKGDFGKAFKATAYQTFSTQQLELGMSRWSQALTKAADFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLHSRVEDVIRTIRSKSTEVCIIGIYGEGGSGKTTLATAIYNQIQDTFTKKSFIEGQVGEIRGDLRLREQLLLDILKAKVEIPNADIGRSMIRKRLSGKRMLIVLDDVPYFSIFLDLWDYCKWFGEGTVIIVTTRYEILELRRGQPDSVFRVKLMNEKESLELLSWHAFREPKPKEEYNELAKRVVHYCGGLPLALEVIGSCLFERTKEEWHSVLFELEKIPSHNAEQKLKIGFDGLRNQIEKDLFLDVCCFFVGKGRTYATKILNGCGVDADSGIRVLIERSLIKVKKNRKFGMHPLVEEMGRAIIHEISRNESLMDNQLCVDDAEYVLIDNTETKVSQALLMKLRSARIEPSRLLKLDGNSENTSKKLRGISLHGVSSQYVPDDFCLHDVIAIYLKHCFLRLWKQPQVLRWLKVLNLSHSKYLRETPDFSGLPSLEQLILKDCPRLCQVHKSIGCLCYLLLLNLKDCTSISNLPKGIYKLKSLRTLILSGCSKIDLMEKDIVQMKSLITLIAENAAVRQVPFSIVSSKSIGYISLLGFERLPHNLFSSIIRSWISPTMNPISNIHSLWMDTDNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQIKNDLVEYFSNITHSGISKQHFRCSLIGVGRYHEFFNAVGDNIFEILASRESCDVFLPIVNDPYCLAHIGEGHSVFFTVPRDLDMKGMALCIYLSTLEIVVSECLRSVLIVNYTKCTFQIHYHDPVISFNDDDWNAINSNLESEDKIEIFVSFGPGLVVKNTVVYLICGESNNMDKESLPKKHSLIRFIKKIVK >Vigun10g042300.5.v1.2 pep primary_assembly:ASM411807v1:10:5891537:5894581:1 gene:Vigun10g042300.v1.2 transcript:Vigun10g042300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKFPVGLHSRVEDVIRTIRSKSTEVCIIGIYGEGGSGKTTLATAIYNQIQDTFTKKSFIEGQVGEIRGDLRLREQLLLDILKAKVEIPNADIGRSMIRKRLSGKRMLIVLDDVPYFSIFLDLWDYCKWFGEGTVIIVTTRYEILELRRGQPDSVFRVKLMNEKESLELLSWHAFREPKPKEEYNELAKRVVHYCGGLPLALEVIGSCLFERTKEEWHSVLFELEKIPSHNAEQKLKIGFDGLRNQIEKDLFLDVCCFFVGKGRTYATKILNGCGVDADSGIRVLIERSLIKVKKNRKFGMHPLVEEMGRAIIHEISRNESLMDNQLCVDDAEYVLIDNTETKVSQALLMKLRSARIEPSRLLKLDGNSENTSKKLRGISLHGVSSQYVPDDFCLHDVIAIYLKHCFLRLWKQPQVLRWLKVLNLSHSKYLRETPDFSGLPSLEQLILKDCPRLCQVHKSIGCLCYLLLLNLKDCTSISNLPKGIYKLKSLRTLILSGCSKIDLMEKDIVQMKSLITLIAENAAVRQVPFSIVSSKSIGYISLLGFERLPHNLFSSIIRSWISPTMNPISNIHSLWMDTDNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQIKNDLVEYFSNITHSGISKQHFRCSLIGVGRYHEFFNAVGDNIFEVLL >Vigun10g042300.6.v1.2 pep primary_assembly:ASM411807v1:10:5891537:5894707:1 gene:Vigun10g042300.v1.2 transcript:Vigun10g042300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFTSSSSKLQQRYDVLINFSGEDIRKKFVSHLDSALSAVGFTTFFHEENAVNEMHIQEPILDLYQVAIVVFTKTYAQSAWCLHQLRQIIRWHQTYSRHLLPIYYEVEPSDVRLQKGDFGKAFKATAYQTFSTQQLELGMSRWSQALTKAADFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLHSRVEDVIRTIRSKSTEVCIIGIYGEGGSGKTTLATAIYNQIQDTFTKKSFIEGQVGEIRGDLRLREQLLLDILKAKVEIPNADIGRSMIRKRLSGKRMLIVLDDVPYFSIFLDLWDYCKWFGEGTVIIVTTRYEILELRRGQPDSVFRVKLMNEKESLELLSWHAFREPKPKEEYNELAKRVVHYCGGLPLALEVIGSCLFERTKEEWHSVLFELEKIPSHNAEQKLKIGFDGLRNQIEKDLFLDVCCFFVGKGRTYATKILNGCGVDADSGIRVLIERSLIKVKKNRKFGMHPLVEEMGRAIIHEISRNESLMDNQLCVDDAEYVLIDNTETKVSQALLMKLRSARIEPSRLLKLDGNSENTSKKLRGISLHGVSSQYVPDDFCLHDVIAIYLKHCFLRLWKQPQVLRWLKVLNLSHSKYLRETPDFSGLPSLEQLILKDCPRLCQVHKSIGCLCYLLLLNLKDCTSISNLPKGIYKLKSLRTLILSGCSKIDLMEKDIVQMKSLITLIAENAAVRQVPFSIVSSKSIGYISLLGFERLPHNLFSSIIRSWISPTMNPISNIHSLWMDTDNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQIKNDLVEYFSNITHSGISKQHFRCSLIGVGRYHEFFNAVGDNIFEVLL >Vigun01g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4231250:4231489:-1 gene:Vigun01g033000.v1.2 transcript:Vigun01g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun03g372300.1.v1.2 pep primary_assembly:ASM411807v1:3:57494203:57500135:1 gene:Vigun03g372300.v1.2 transcript:Vigun03g372300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFQRKVHTFFLCLLSISAFSSLVAVAVPKEQEQDRISALPGQPRVAFSQFSGYVSVNEQHGRALFYWFTESPSSPQNKPLVLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNPDAWNREANILFLESPAGVGFSYTNTSSDLKTSGDKRTAQDALIFLIRWLSRFPQYKYREFYIAGESYAGHYVPQLAKKIHDYNKNNPQIINLKGFIVGNAVTDSYNDGIGTITYWWSHSMISDHSYKSILKYCNFTSEETSKKCDDAYSYAVNYEFGKIDQYSIYTPTCPISLNNTVKHIRFKNLHMISGYDPCTENYAEKYYNLPEVQKAMHANLTSIPYKWTACSEVLLKNWKDSEISVLPIYKELIAAGLKIWVFSGDTDSVVPVTATRFSLNHLNLSIKTRWYPWYSGGQVGGWTEVYNGLTFATVRGAGHEVPLFQPKRAYTLFKSFLAGKQLPKH >Vigun07g022600.1.v1.2 pep primary_assembly:ASM411807v1:7:1917859:1920974:1 gene:Vigun07g022600.v1.2 transcript:Vigun07g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPLSEEPINEENEGNNNNSKKCLQSWRNWNWIKTHFSLVFNKKSNLKILLSVLGCPLFPLPVHHLSPLNEVSSSAQYIIQHFRAATGCKKLEGTVKNVFTTGKVAMDVVDELGSTTNGGNNSEKGCFVMWQMVPDKWQIELVLGGQKVLAGSNGAIAWRHTPWLGVHAAKGGVRPLRRALQGLDPLAVSGVFCGAQYMGEKQISGMECFVLKLSADQKDLVERSDNTAEMIKHAIFGYFSQRSGLLVFLEDSYLTRIQAPGSHPTYWETTMSTKIEDYRVVDGVMIAHAGSSTALITRFGDNLKAGPAITRLEESWTIDDVAFNVPGLSLDCFIPPQELHTDSGSDDDVFYANL >Vigun06g003700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:1771905:1775410:-1 gene:Vigun06g003700.v1.2 transcript:Vigun06g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRKLIVEVVDAHHLVPKDGHGSSSPYVVVDFYGQRRKTRTAVRDLNPVWNETLSFNVDTHSQSHIFSDMLELDVYHDKNYGATRRNNSLGRIRLSSSQFVNKGEEALVYYELEKKHLLSMIQGEIGLKIYYINEEAVPHTPQKEEAPPKKKTETETVEKVKEPSPPTAEGEKPKEEEKAEAEPPTDPTVSEKPVEREAEAEHKPEQSESGDQVDPLPDDVNLDVKAASVSRSNSEIRFGGTNGPPPPAMRRSASAGSFAASEASSEGSLLMEKSSFDLVEKMHYLFVHVVKARYLPTNGNPIAKIAVSGQHHVTSRPARKTTVFEWNQTFAFARNAPDSTSSVLEVSVWDPPATQALLGGVCFDVNEIPVRDPPDSPLAPQWYRLEGGGAPHGDLMIATWMGTQADESFPDAWKSDTFANVNSRAKVYQSPKLWYLRATLIEAQDLLSLPYNSSKEACFQVKAKLGFQVLKSKTVLTRNGNVSWNGEDFIFVVSEPVSDHFVFTLENRQPDGPVTMGVLRIPLHAIERRVDDRMVHSRWFTFDNPNEKDQNKPRVHLRLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPVGTCELGIIGCKNLVPMKTVKGKTTTNAYCVAKYGNKWVRTRTVSESTEPKWNEQYTWKVYDPSTVLTIGVFDSWTMPLIIDNDNDRNSDQNPGDPDFRIGKVRVRISTLQTGKVYRNSYPLLVLTPNAGLKKMGEIEIAIRFVRTTPRLDLLHVYLQPVLPLMHHIKPLGVVQQEALRGTAVRMVAEHLARAEPPLRKEVVFYMLDADSHNFSMRKVRANWYRIINVVAGVIDIVRWVEDTRGWKNPTATVLVHALLVMLVWFPDLIIPTFCFYVFVVGAWNYRFRARDPLPHFDPKISLAEVVEREELDEEFDAAPSGRTSDVVRVRYERLRTLGARVQTVLGDLATQGERLQAVVTWRDPRATGMFVFMCLVVAMVLYLVPSKMVAMACGFYYLRHPVFRDRLPSPAVNFFKRLPSMSDRIM >Vigun03g160600.1.v1.2 pep primary_assembly:ASM411807v1:3:17742874:17745514:1 gene:Vigun03g160600.v1.2 transcript:Vigun03g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLVVFSYSILFAFVSASSRNFPIIPFDEGYSPLFGDSNLVIHRDGESVHLSLDERTGSGFVSHDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDMYQNNHDEIDFEFLGNIRGKNWRIQTNVYGNGSTNIGREERYGLWFDPTDDFHQYSISWTDSQIIFYVDNVPIREVTRTESMGGDFPSKAMTLYATIWDASDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPIEQVGKCENDEKNTGSGVTPAQRMKMENFRKKHMTYSYCYDRVRYKAPPSECVINSQEAERLRKFDPVTFGSGRHHGKRHRHTRGSHTEAASSSF >Vigun09g145200.1.v1.2 pep primary_assembly:ASM411807v1:9:30816817:30819411:-1 gene:Vigun09g145200.v1.2 transcript:Vigun09g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTPIALQYSSTSSLHSLLPSLEATRDHSSWWGKVRSYKSAGKRSLQQNVTRGFTIQSAATKPAKSPAEEDWKVKREYLVQKRVRSVDAKEALRLQKENNFVILDVRPEAEFKEAHPPDAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVEAKLDKNAKIIVACSAGGTLKPSQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGLYSWFKEDLPSVSEE >Vigun03g058600.1.v1.2 pep primary_assembly:ASM411807v1:3:4783324:4791381:-1 gene:Vigun03g058600.v1.2 transcript:Vigun03g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKSAKGDKVFAKADAHSDNHKSDGKNHKSTIMVPSDLTSAGDHGVDKKKQEGAAAAPAVSASEDFYDGIPRFNDPFPHKSRSVRSRQAVAKVSEVSLRLGRAGIDVLDTLGSSMTNLSGGGFVSGAVMKGNEIGILAFEVANTIVKGFSLMESLSAKSIKHLKEEVLPLGGVQDLVSKDMDELLRIVAADKRDELKIFSDEVIRFGNRSKDPQWHNLDRYFEKISKEHNSPRQSKEEAELLMQQLMTLVQFTAELYHELHALDRFAQDYQHKREEDDNLGAAQNGDGLSILRAELRSQKKQVKHLKKKSLWSRSLEEVMEKLVEIVHFLHLEINNVFGTADDHKPLIQTISNRQRLGPAGLALHYANIVLQIDTLVARSSSMPANTRDALYQSLPPNIKLALRSKLPSFHVLKELTISDIKQEMEKTLHWLVPIATNTAKAHHGFGWVGEWASTGSDMNKKTMKADVMRIETLHHADKDKVENYMLELLLWLHRLAVKSKSGIDIGETKSTIKSHVGSTQQTTNQQSLKAIPPLLTSDEEQMLQDVSNKIRKRGMSKSLDFDSLKIGLTDNERLTKSSSYSSTSRSKEFSFNRIVSKIPVIDFVIDKKRALDVIDRLDVDR >Vigun11g219900.1.v1.2 pep primary_assembly:ASM411807v1:11:41245850:41249934:-1 gene:Vigun11g219900.v1.2 transcript:Vigun11g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFVTAGDNLVAKCPTWSWESGEPGKRKPYLPPDKQILITRNVPCLRRAASVEEEYEAAGGEVLLDGDDNDGWLATHGKPKENKSEEDDNLPSMEGLEISKKSSIKQIPSYMGAEDDEDIPDMADFDDNCNVVENDPATLQSTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDEARMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSH >Vigun11g219900.2.v1.2 pep primary_assembly:ASM411807v1:11:41245825:41250095:-1 gene:Vigun11g219900.v1.2 transcript:Vigun11g219900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFVTAGDNLVAKCPTWSWESGEPGKRKPYLPPDKQILITRNVPCLRRAASVEEEYEAAGGEVLLDGDDNDGWLATHGKPKENKSEEDDNLPSMEGLEISKKSSIKQIPSYMGAEDDEDIPDMADFDDNCNVVENDPSTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDEARMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSH >Vigun06g229000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33640987:33642318:-1 gene:Vigun06g229000.v1.2 transcript:Vigun06g229000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEGKKKMSEEIKFEVEQRRYIKPSIATPPHLQIFKLSLLDQLFPNIHGNITFFFPHTLPHFSTKSQLLQTSLSHTLTRFYPLAGNLHSATTLHCNDVGALFIQSHTNTSLSDILKAPNFHTLQCLLPSTDNQTSTNAAMLLLVRFTSFRCGGTAVTISLSHKIADIAAVIALLKTWTAACAGETPTRDPELAAGAALFPPRELPAPAVTASINTASSENFTSRRFVFEASKVKALKKRIGEGCAFEPSRVEVVLALIWKCALSASRSETAPFKRSVLFQAVNLRPRMEPAVADGALGNFVWPFAVTVEEEAQLELQVMVKRMRESMREFVESKAEKLKEDGGFGVVMESLKERGEVMKKNSVVYKCSSWCRFPLGEVDFGWGEAVWACSVNKMVSNTIALMDTRDGGVEAFVTLDHHHMLLFQQHHHLLHYALLNPSVIL >Vigun01g244600.1.v1.2 pep primary_assembly:ASM411807v1:1:41326696:41328522:-1 gene:Vigun01g244600.v1.2 transcript:Vigun01g244600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRWLKPEVYPLFAAVGVAVGICGMQLVRNITTNPEVRVTKSNRAAGVLDNFEEGEKYSQHGLRKYVRGRTPQIMPSVNNFFSDPSN >Vigun05g178500.1.v1.2 pep primary_assembly:ASM411807v1:5:33657091:33661704:1 gene:Vigun05g178500.v1.2 transcript:Vigun05g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMTRLLLLLKPFTVSPPRSHTPPQIVQFLENRRKVHHDAINFCQAILQKKSVEWKAVLRNNFSPPINDVDLVVTVGGDGTLLQASHSLDDKIPVLGVNSDPTRIDEVEQFSSEFDATRSTGHLCAATVENFEQVLDAVLEGQFLPSELTRSMISVNARHLSTYALNDILVAHPCPASVSRFSFRIKEGDKPCSPLVNCRSSGLRVSTAAGSTAAMHSAGGFPMPILSRDLQYMTREPISPRAASDLMHGSIKHDQTLVSTWTCRKGLIYIDGSHIYHTIQDGDIIEISSRAPVLKVLLPRHLL >Vigun03g011100.1.v1.2 pep primary_assembly:ASM411807v1:3:749242:751401:1 gene:Vigun03g011100.v1.2 transcript:Vigun03g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISSLHFSEFPSILNVLVIDSDLKLLEFIKKTCNQYSYKVMTFSESLSAVNLLRERKTHIHLILIEVHMPIMDGYEFLQFVKKERINVPVIMMSEDDSKASAMKAIELGACDYRNKPLCEDMLKNMWIRVFIQFLREHRTQNNIESLGDDNKTEGTSVKSEFDSSIVGRRNSTFRESDDVDESKNSVNRVVWSQELHTIFLDAIRRIGLENAVPKKILEAMNMPDLTRGHVASHLQKYRKFLKQEQQRKLHEENEMYLVSGNKKPRLYASGENNLQPTHPGLTCNIMEPPREKLCDPNVQVAEHYHAEQQTLAHDSPYPLPAFPNISITNNFPESSRYELCSVPDAATIQMNNMQHPQIHRIDLPSSFITISENSASCPQNYNFCMNMPPQPVVPGENNIGQVARYEYNNGASMHYPPQLPQNAGFPNGVVRYFAASTDINDQTIKKELNSMNNGHHNP >Vigun07g154400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26543174:26543728:-1 gene:Vigun07g154400.v1.2 transcript:Vigun07g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSNSKDKNNTRLYHPYQDLNVPIQKLYNLPTAPEHLFSEEAARTHRSWGDNLQYYTGTAYLSGAIIGAARGTVQGLREAESGDSLKIRLNRILNSGGHAGRKLGNSLGVLGLIFAGLESGMIHARGTDDLVNSAIAGLGTGALYRAAAGPRSAAIAGAIGGIAAAVAVGGKQALKRYIPI >Vigun10g189000.1.v1.2 pep primary_assembly:ASM411807v1:10:40426153:40429729:-1 gene:Vigun10g189000.v1.2 transcript:Vigun10g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTAQAINTLSGVVVGGEICTAICTEREKKGMEALHLHRVLPMPVPQNSIFWRSIATQLTTIPIARPPLLWKSASTSQICTARAGWFLGLGADTKKTNLPDTVKAGDPVLHEPAREVDPSEIKSEKVQKTIDDMIRVMRKAPGVGLAAPQIGIPFRIIVLEDTKEYVSYVSKEEARVQDRRPFDLLVILNPKLEKKSKKTALFFEGCLSVDGFRALVERSLEVEVTGLDRYGAPIKINASGWQARILQHECDHLDGTLYVDKMVPRTFRTVDNLDLPLAQGCPKLGPR >Vigun01g227200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39997914:39998929:1 gene:Vigun01g227200.v1.2 transcript:Vigun01g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSCSAADSTMEDPSNHRRSKKHHRRHNSHHPAATNTTVSTTTGESDGEVEEAEDGDPEVWASLNKGFRQVQSVLDRNRQLIQQVNENQQSRMHDNMVKNVSLIQELNGNISKVVSLYSDLNSNFTNVCQHRSKNPSK >VigunL059126.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:471:1198:1 gene:VigunL059126.v1.2 transcript:VigunL059126.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHHGIARWLGGEICEKMKFVVFEM >Vigun02g095400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25101513:25108204:-1 gene:Vigun02g095400.v1.2 transcript:Vigun02g095400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDSEPESEALRLKAMAESKFKASNNAKSALKYAKRAQRLCPHLAGVSEAVTSLTVLAAPDWYSALGAEPFANSSVIRRQYKKLALLLHPDKNPNVASEEAFKLVGEAFHLLSDRSRRREYDAELRRKIKAESETFWTACSTCRLLHQFQRKYMGQELVCPSCEKSFKAVETVQSDGDGDGEGRVRSRRLKLKEINKKKEKIGVEGRVDNEVGDEKEGKLRKRMRSVGEVLERSKPSKRVKNGEEMMTLAEFQTEVRRKLQEKKLKEKEKEKEDDRIETRTSQGERRRALKNFEGLEKEKEDDRIETRNNQGERRRALKNFEGLEKEKEDDRIETRSNQGERRRALKNFEGLEVGEGRALKKSATLAIEEKRVGLSKRKGLRSARHRDSDKGELENMAVVDSDFYDFDKDRVERSFKKGQLWAVYDDDDGMPRNYALIDEILSLNPFEVRLSWLDVQISGDGRIVSREKMGFHIPCGRFKVARKTSVNSVNIFSHVVDCDRAARELYKIYPKKGSVWALHGEGSIDAEERKRCYDIVICLTSYNEANGLSMAYLEKVDGYKTVFRRQENGSGAIIFLGKDDMCLVSHQIPARKLICDETPELLKDCWELDPASLPSDLLTIGGIDN >Vigun02g095400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25101513:25108204:-1 gene:Vigun02g095400.v1.2 transcript:Vigun02g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDSEPESEALRLKAMAESKFKASNNAKSALKYAKRAQRLCPHLAGVSEAVTSLTVLAAPDWYSALGAEPFANSSVIRRQYKKLALLLHPDKNPNVASEEAFKLVGEAFHLLSDRSRRREYDAELRRKIKAESETFWTACSTCRLLHQFQRKYMGQELVCPSCEKSFKAVETVQSDGDGDGEGRVRSRRLKLKEINKKKEKIGVEGRVDNEVGDEKEGKLRKRMRSVGEVLERSKPSKRVKNGEEMMTLAEFQTEVRRKLQEKKLKEKEKEKEDDRIETRTSQGERRRALKNFEGLEKEKEDDRIETRNNQGERRRALKNFEGLEKEKEDDRIETRSNQGERRRALKNFEGLEVGEGRALKKSATLAIEEKRVGLSKRKGLRSARHRDSDKGELENMAVVDSDFYDFDKDRVERSFKKGQLWAVYDDDDGMPRNYALIDEILSLNPFEVRLSWLDVQISGDGRIVSREKMGFHIPCGRFKVARKTSVNSVNIFSHVVDCDRAARELYKIYPKKGSVWALHGEGSIDAEERKRCYDIVICLTSYNEANGLSMAYLEKVDGYKTVFRRQENGSGAIIFLGKDDMCLVSHQIPARKLICDETPELLKDCWELDPASLPSDLLTIGGIDN >Vigun02g095400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25104210:25108086:-1 gene:Vigun02g095400.v1.2 transcript:Vigun02g095400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDSEPESEALRLKAMAESKFKASNNAKSALKYAKRAQRLCPHLAGVSEAVTSLTVLAAPDWYSALGAEPFANSSVIRRQYKKLALLLHPDKNPNVASEEAFKLVGEAFHLLSDRSRRREYDAELRRKIKAESETFWTACSTCRLLHQFQRKYMGQELVCPSCEKSFKAVETVQSDGDGDGEGRVRSRRLKLKEINKKKEKIGVEGRVDNEVGDEKEGKLRKRMRSVGEVLERSKPSKRVKNGEEMMTLAEFQTEVRRKLQEKKLKEKEKEKEDDRIETRTSQGERRRALKNFEGLEKEKEDDRIETRNNQGERRRALKNFEGLEKEKEDDRIETRSNQGERRRALKNFEGLEVGEGRALKKSATLAIEEKRVGLSKRKGLRSARHRDSDKGELENMAVVDSDFYDFDKDRVERSFKKGQLWAVYDDDDGMPRNYALIDEILSLNPFEVRLSWLDVQISGDGRIVSREKMGFHIPCGRFKVARKTSVNSVNIFSHVVDCDRAARELYKIYPKKGSVWALHGEGSIDAEERKRCYDIVICLTSYNEANGLSMAYLEKVDGYKTVFRRQENGSGAIIFLGKDDMCLVSHQIPARKLICDETPELLKDCWELDPASLPSDLLTIGGIDN >Vigun02g095400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25103039:25108242:-1 gene:Vigun02g095400.v1.2 transcript:Vigun02g095400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDSEPESEALRLKAMAESKFKASNNAKSALKYAKRAQRLCPHLAGVSEAVTSLTVLAAPDWYSALGAEPFANSSVIRRQYKKLALLLHPDKNPNVASEEAFKLVGEAFHLLSDRSRRREYDAELRRKIKAESETFWTACSTCRLLHQFQRKYMGQELVCPSCEKSFKAVETVQSDGDGDGEGRVRSRRLKLKEINKKKEKIGVEGRVDNEVGDEKEGKLRKRMRSVGEVLERSKPSKRVKNGEEMMTLAEFQTEVRRKLQEKKLKEKEKEKEDDRIETRTSQGERRRALKNFEGLEKEKEDDRIETRNNQGERRRALKNFEGLEKEKEDDRIETRSNQGERRRALKNFEGLEVGEGRALKKSATLAIEEKRVGLSKRKGLRSARHRDSDKGELENMAVVDSDFYDFDKDRVERSFKKGQLWAVYDDDDGMPRNYALIDEILSLNPFEVRLSWLDVQISGDGRIVSREKMGFHIPCGRFKVARKTSVNSVNIFSHVVDCDRAARELYKIYPKKGSVWALHGEGSIDAEERKRCYDIVICLTSYNEANGLSMAYLEKVDGYKTVFRRQENGSGAIIFLGKDDMCLVSHQIPARKLICDETPELLKDCWELDPASLPSDLLTIGGIDN >Vigun02g095400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25103515:25108086:-1 gene:Vigun02g095400.v1.2 transcript:Vigun02g095400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTDSEPESEALRLKAMAESKFKASNNAKSALKYAKRAQRLCPHLAGVSEAVTSLTVLAAPDWYSALGAEPFANSSVIRRQYKKLALLLHPDKNPNVASEEAFKLVGEAFHLLSDRSRRREYDAELRRKIKAESETFWTACSTCRLLHQFQRKYMGQELVCPSCEKSFKAVETVQSDGDGDGEGRVRSRRLKLKEINKKKEKIGVEGRVDNEVGDEKEGKLRKRMRSVGEVLERSKPSKRVKNGEEMMTLAEFQTEVRRKLQEKKLKEKEKEKEDDRIETRTSQGERRRALKNFEGLEKEKEDDRIETRNNQGERRRALKNFEGLEKEKEDDRIETRSNQGERRRALKNFEGLEVGEGRALKKSATLAIEEKRVGLSKRKGLRSARHRDSDKGELENMAVVDSDFYDFDKDRVERSFKKGQLWAVYDDDDGMPRNYALIDEILSLNPFEVRLSWLDVQISGDGRIVSREKMGFHIPCGRFKVARKTSVNSVNIFSHVVDCDRAARELYKIYPKKGSVWALHGEGSIDAEERKRCYDIVICLTSYNEANGLSMAYLEKVDGYKTVFRRQENGSGAIIFLGKDDMCLVSHQIPARKLICDETPELLKDCWELDPASLPSDLLTIGGIDN >Vigun01g197600.1.v1.2 pep primary_assembly:ASM411807v1:1:37432207:37434259:-1 gene:Vigun01g197600.v1.2 transcript:Vigun01g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQFSSTALNLREILVGYGSTQEDVFQGKEGFSGMELLGSVEDEKGTKLFEDEDHKQLQEPQVDKLLEEFNYDHDMQLKPTPQFDETTWPGKHDLESAEYNNHVPPSLSSLELLRNYRSRFKRISEQNITKPSLDHHQISTEEIMRVAGARYVQYSAHWNDSFCIPMHPYGLDFGGLSEEENRDVELVQFLLAAAERVGCYQFERASRLLLHCQRNSSPNNASPVQRVIFHFAEALRERIDKGLGRTTTKGSDKNEERGLIQKIDTNVALTCHQNIPFNQVMQFTGIQTIVEHVASETKIHVIDLETISGVHNTVLMQALTERQDCKVQLLKITALALDSCRSMIEETGKSFCDRLCRDKRRRF >Vigun01g197600.2.v1.2 pep primary_assembly:ASM411807v1:1:37432207:37434259:-1 gene:Vigun01g197600.v1.2 transcript:Vigun01g197600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQFSSTALNLREILVGYGSTQEDVFQGKEGFSGMELLGSVEDEKGTKLFEDEDHKQLQEPQVDKLLEEFNYDHDMQLKPTPQFDETTWPGKHDLESAEYNNHVPPSLSSLELLRNYRSRFKRISEQNITKPSLDHHQISTEEIMRVAGARYVQYSAHWNDSFCIPMHPYGLDFGGLSEEENRDVELVQFLLAAAERVGCYQFERASRLLLHCQRNSSPNNASPVQRVIFHFAEALRERIDKGLGRTTTKGSDKNEERGLIQKIDTNVALTCHQNIPFNQVMQFTGIQTIVEHVASETKIHVIDLETISGVHNTVLMQALTERQDCKVQLLKITALALDSCRSMIEETGKRLCRDKRRRF >Vigun08g175300.1.v1.2 pep primary_assembly:ASM411807v1:8:34539713:34544030:1 gene:Vigun08g175300.v1.2 transcript:Vigun08g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLITNPMELRKGLLLLFLLLEYVCCNVEAKCLKGCNVALGSYYVNTGMSLDVITPLMKSSVVSNSDDIISYNKDKIFNKNVFYFDRINVPFPCDCISDEFLGHVFEYSAAEGDTYDSIAKVEYADLTTVELLRRFNSYGQNGIPKNAKVNVTVNCSCGNSQVSQDYGLFITYPLRPGNNLHDIANEAHLDAQLLQNYNPGVNFSKESGIVFIPGRDQFGEYVPLHPRNTGLAKGDVAGISIAGICGLLLLVICIYVKFFKKKEGEKPKLPTENSTAFSTQDASGSAEYEISGSSGTTTAAGLTGIMVAKSMEFTYQELAKATNNFSLENKIGQGGFGAVYYAELRGEKTAIKKMDVQASTEFLCELKVLTHVHHLNLVRLIGYCVEGSLFLVYEHIDNGNLGQYLHGTGKDPLPWSSRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTKLIEVGGSTLHTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKNAVLKTGEFVAESKGLVALFEEALNQSNPSEAIRKLVDPRLGENYPIDSVLKIAQLGRACTRDNPLLRPSMRSIVVALMTLSSPTEDFEDETASYENQTLINLLSVR >Vigun02g087000.1.v1.2 pep primary_assembly:ASM411807v1:2:24173652:24175542:1 gene:Vigun02g087000.v1.2 transcript:Vigun02g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTEVEHPRKAFGWAARDPSGFFSPFNFSRRETGEKDVAFKVLYCGICHSDLHMAKNEWGTSTYPLVPGHELAGVVTEVGNKVEKFKVGDRVGVGCLVDSCGTCENCCENLENYCPQYTLTYGAKYRDGSITYGGYSDWMVADEHFVVRIPDGLPLDAAAPLLCAGITVYSPLRYFALDKPGLHVGVVGLGGLGHMAVKFAKAFGAKVTVISTSPYKKEEAIQHLGADSFLISRDQDQMEAAKGTLDGIIDTVSAVHLLLPLIGLLKSHGKLVMLGAPEKPLELPIFPLLSGRKIVGGSLIGGIKETQEMMDFAAKHNVKPDIEVIPIDYVNTAMERLLKADVKYRFVIDIGNTLKPSP >Vigun10g068300.1.v1.2 pep primary_assembly:ASM411807v1:10:16100075:16102591:1 gene:Vigun10g068300.v1.2 transcript:Vigun10g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLLVLCLILPVFFSFFLKYFKTLKNPPFPPGPRGLPIIGNLHQLNNSTLYLQLWQLSKKYGPIFSLKLGLRSAIVVSSPKLAKEIMKDHDLEFCGRPRLLGQQKLSYNGIDIAFCPYNSYWREIRKICVVHLLSSIRVSNFSSIRHFEVKQMIKKISMHASSSKVTNLSDALMSLTTTIICRIAFGRRYEDEGTERSKFHGLLNECEAMMGMFFFSDYIPFLGWIDRITGLRARLEQNFKELDTFYQEVIDEHMDPNRNTPENEDLIDVLLQLKNQRSFSVDLENDHIKAVFMNMLVAATDTTAATTIWAMTLLLKNPRVMKKVQEEIRNLAGEKDFLYEDDIQKLPYFKAVLKETLRLHLPAPLLLQRETNEACILDGYEIPAKTIVYVNAWTIHRDSNSWKYPDEFLPERFLDNTIDFRGQHFEFIPFGAGRRICPGMIMAIASLDLILVNLLRSFDWELPVGMKKEDIDTEVLPGITQHKKNPLCVLAKVRI >Vigun02g088200.2.v1.2 pep primary_assembly:ASM411807v1:2:24300411:24309195:1 gene:Vigun02g088200.v1.2 transcript:Vigun02g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGLLGTLLIANILMATRLTLTFSRSIPPLLITRTSCSVSHLKQFPKSHPCPLWSSSFSFCLRLRKSTSPLIASSSFSSSPFMAASSPNHVRPGIRALLGELERELEDLERNVEPSWPKLVEPLEKIVDRLTVVWGMVNHLKAVKDSSELRSAIEDVQAEKVKFQLRLGQSKPIYNAFKAIRESPDWQTLSDARKRIVESQIKEAVLNGVSLEDDKRESFNKIEQELEKLSQKFGENVLDATKKFEKLITDQKEIEGLPATALGLAAQSAVTKGHENATAENGPWLITLDAPSFIAVMQHARNRSLREEIYRAYVSRASSGDLDNTEIINQILKLRLEKAKLLNYNNYAEVSMATKMATVDKAEELLEKLRRASWDPAVQDIEDLKEFSKSQNALEASDLTHWDISFWSERLRESKYDINEEELRPFFSLPKVMDGLFKLANSLFGIEIEPADGVAPVWNNDVKFFRVKDSSGSPIAYFYFDPYSRPAEKRQGAWMDEVFARSRVLSRDGTSARLPVAHMVCNQTPPVGNKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMSIAKHFETGESLPEEVYLKLVAARTFRAGSLSLRQLKFASLDLELHTKYVPGGPESIYDVDRRVSEKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNDKAVKETGHRFRETILALGGGKEPLDVFVQFRGREPTPDALLRHNGLLPVAAS >Vigun02g088200.1.v1.2 pep primary_assembly:ASM411807v1:2:24300411:24309195:1 gene:Vigun02g088200.v1.2 transcript:Vigun02g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGLLGTLLIANILMATRLTLTFSRSIPPLLITRTSCSVSHLKQFPKSHPCPLWSSSFSFCLRLRKSTSPLIASSSFSSSPFMAASSPNALVEDNPLLQNFDFPPFDVVEPKHVRPGIRALLGELERELEDLERNVEPSWPKLVEPLEKIVDRLTVVWGMVNHLKAVKDSSELRSAIEDVQAEKVKFQLRLGQSKPIYNAFKAIRESPDWQTLSDARKRIVESQIKEAVLNGVSLEDDKRESFNKIEQELEKLSQKFGENVLDATKKFEKLITDQKEIEGLPATALGLAAQSAVTKGHENATAENGPWLITLDAPSFIAVMQHARNRSLREEIYRAYVSRASSGDLDNTEIINQILKLRLEKAKLLNYNNYAEVSMATKMATVDKAEELLEKLRRASWDPAVQDIEDLKEFSKSQNALEASDLTHWDISFWSERLRESKYDINEEELRPFFSLPKVMDGLFKLANSLFGIEIEPADGVAPVWNNDVKFFRVKDSSGSPIAYFYFDPYSRPAEKRQGAWMDEVFARSRVLSRDGTSARLPVAHMVCNQTPPVGNKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMSIAKHFETGESLPEEVYLKLVAARTFRAGSLSLRQLKFASLDLELHTKYVPGGPESIYDVDRRVSEKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNDKAVKETGHRFRETILALGGGKEPLDVFVQFRGREPTPDALLRHNGLLPVAAS >Vigun10g111300.1.v1.2 pep primary_assembly:ASM411807v1:10:31162338:31164502:-1 gene:Vigun10g111300.v1.2 transcript:Vigun10g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Vigun04g139900.1.v1.2 pep primary_assembly:ASM411807v1:4:34717933:34724010:-1 gene:Vigun04g139900.v1.2 transcript:Vigun04g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFAVIKVLALSTGPIFLDAFILVSEGNGSFKYEGYVLVISLFIIKIIESLSQRLWYFRSRLVGMKVRSLLTAAIYKKLLRLSSAARLTHSGGEIMNYVTVDAYRIGEFPYWFHQSWTTCLQICIAIVILFHAIGIATISSLVVIVLTVLFNIPVAKLQHKLQSELMVAQDERLKASSEALTNMKVLKLYAWETHFRKAIERLRNLELKLLRSVQLKKAYNLFLFWASPILVSAASFGTCYFLNIPLHANNLFTFVATIRLVQEPITAIPEVIGVVIQAKVAFARIVQFLNAPELHSANFRNKSFDGSKGSITIKSADFSWEGNESKSTLRNINLEIRHGQKFAICGEVGSGKSTLLATILGEVPIIKGTIEVQGKFAYVSQTAWIQTGTIRENILFGSDLDAYRYQETLRRSSLLNDLELFPHGDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATNLFNEYIMDGLKEKTVLLVTHQVDFLPAFDSVLLMSNGKILESAPYHHLLSTSQEFQDLVNAHKKIAGSNKPMNVSSSKRPSISTKEITQASQENQLKETYGNQLIKEEEREIGDTGLKPYFQYLNQMKGYIYFFVNSLSHLLFIICQILQNSWMAANVDSNQVSTLRLIVVYFMIGALSTVFLLIRSLLLVALGMQSSKYLFLQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDIDIPFMISYTVGSAIIFYSNLIVLAIITWQILFVTVPMVYIAIRLQKYYFSTAKELMRMNGTTKSFVANHVAETNAGVVTIRAFEEEDRFFEKSLDLIDINASPFFHSFASNEWLVQRLEIVSAVLLSSTALCMVTLPPGTFSSGFIGMALSYGLALNVQIVYCIQFQCNLANYIISVERLNQYMHVPSEAPEVIEGNRPPSNWPVAGKVELYDLQVRYRPDGPLILHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEATGGKIVVDGIDISSIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQYSDHEIWEVLGKCQLREVVQEKFEGLNSPVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGRLVEYDEPTRLMTKEGSLFKQLVKEYWSHFQSAESH >Vigun04g139900.3.v1.2 pep primary_assembly:ASM411807v1:4:34717933:34724010:-1 gene:Vigun04g139900.v1.2 transcript:Vigun04g139900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFAVIKVLALSTGPIFLDAFILVSEGNGSFKYEGYVLVISLFIIKIIESLSQRLWYFRSRLVGMKVRSLLTAAIYKKLLRLSSAARLTHSGGEIMNYVTVDAYRIGEFPYWFHQSWTTCLQICIAIVILFHAIGIATISSLVVIVLTVLFNIPVAKLQHKLQSELMVAQDERLKASSEALTNMKVLKLYAWETHFRKAIERLRNLELKLLRSVQLKKAYNLFLFWASPILVSAASFGTCYFLNIPLHANNLFTFVATIRLVQEPITAIPEVIGVVIQAKVAFARIVQFLNAPELHSANFRNKSFDGSKGSITIKSADFSWEGNESKSTLRNINLEIRHGQKFAICGEVGSGKSTLLATILGEVPIIKGTIEVQGKFAYVSQTAWIQTGTIRENILFGSDLDAYRYQETLRRSSLLNDLELFPHGDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATNLFNEYIMDGLKEKTVLLVTHQVDFLPAFDSVLLMSNGKILESAPYHHLLSTSQEFQDLVNAHKKIAGSNKPMNVSSSKRPSISTKEITQASQENQLKETYGNQLIKEEEREIGDTGLKPYFQYLNQMKGYIYFFVNSLSHLLFIICQILQNSWMAANVDSNQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDIDIPFMISYTVGSAIIFYSNLIVLAIITWQILFVTVPMVYIAIRLQSFVANHVAETNAGVVTIRAFEEEDRFFEKSLDLIDINASPFFHSFASNEWLVQRLEIVSAVLLSSTALCMVTLPPGTFSSGFIGMALSYGLALNVQIVYCIQFQCNLANYIISVERLNQYMHVPSEAPEVIEGNRPPSNWPVAGKVELYDLQVRYRPDGPLILHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEATGGKIVVDGIDISSIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQYSDHEIWEVLGKCQLREVVQEKFEGLNSPVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGRLVEYDEPTRLMTKEGSLFKQLVKEYWSHFQSAESH >Vigun04g139900.2.v1.2 pep primary_assembly:ASM411807v1:4:34717933:34724010:-1 gene:Vigun04g139900.v1.2 transcript:Vigun04g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFAVIKVLALSTGPIFLDAFILVSEGNGSFKYEGYVLVISLFIIKIIESLSQRLWYFRSRLVGMKVRSLLTAAIYKKLLRLSSAARLTHSGGEIMNYVTVDAYRIGEFPYWFHQSWTTCLQICIAIVILFHAIGIATISSLVVIVLTVLFNIPVAKLQHKLQSELMVAQDERLKASSEALTNMKVLKLYAWETHFRKAIERLRNLELKLLRSVQLKKAYNLFLFWASPILVSAASFGTCYFLNIPLHANNLFTFVATIRLVQEPITAIPEVIGVVIQAKVAFARIVQFLNAPELHSANFRNKSFDGSKGSITIKSADFSWEGNESKSTLRNINLEIRHGQKFAICGEVGSGKSTLLATILGEVPIIKGTIEVQGKFAYVSQTAWIQTGTIRENILFGSDLDAYRYQETLRRSSLLNDLELFPHGDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATNLFNEYIMDGLKEKTVLLVTHQVDFLPAFDSVLLMSNGKILESAPYHHLLSTSQEFQDLVNAHKKIAGSNKPMNVSSSKRPSISTKEITQASQENQLKETYGNQLIKEEEREIGDTGLKPYFQYLNQMKGYIYFFVNSLSHLLFIICQILQNSWMAANVDSNQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDIDIPFMISYTVGSAIIFYSNLIVLAIITWQILFVTVPMVYIAIRLQKYYFSTAKELMRMNGTTKSFVANHVAETNAGVVTIRAFEEEDRFFEKSLDLIDINASPFFHSFASNEWLVQRLEIVSAVLLSSTALCMVTLPPGTFSSGFIGMALSYGLALNVQIVYCIQFQCNLANYIISVERLNQYMHVPSEAPEVIEGNRPPSNWPVAGKVELYDLQVRYRPDGPLILHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEATGGKIVVDGIDISSIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQYSDHEIWEVLGKCQLREVVQEKFEGLNSPVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGRLVEYDEPTRLMTKEGSLFKQLVKEYWSHFQSAESH >Vigun06g072350.1.v1.2 pep primary_assembly:ASM411807v1:6:20333643:20333954:1 gene:Vigun06g072350.v1.2 transcript:Vigun06g072350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAELDKKLLKLAIEQKWQKCPHCTIFVESSGGCEHIACRCGCDFCYVCGKKWVFGHTCRNPPSI >Vigun11g009850.1.v1.2 pep primary_assembly:ASM411807v1:11:1190215:1190611:-1 gene:Vigun11g009850.v1.2 transcript:Vigun11g009850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGDTEHRLDPSREKIVEIIKLLKKPNMADEEIAVVLPLVKSVYKRIHHPAAEILVEATFTTSETCEKMVSLLERYMKLMF >Vigun11g059900.1.v1.2 pep primary_assembly:ASM411807v1:11:13033233:13041404:-1 gene:Vigun11g059900.v1.2 transcript:Vigun11g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNINITIDNKLKEAARDGDINLLYRVIEQDPHVLEHIDSIPFVETPLHIAASFGNIRFGTEIMRLKPSFAWKLNQQGFTPIHLAMQQKHKRMVLRFVDINKGLVRAKGREGFTPLHFASEIGEIDLLANFLMACPESIEDVSVRCETALHIAVRYQQYEALQVLVGWLKRTCQRSAMQIEKTVLNWKDEAGNTILHVSALINHSKAIRLLVKTKIDLKAKNLEKSTALDIAGSAEIKNVLVRAGAKHGSSVTDSPTIAEKQRWNVTLAEKIMIFVIRMRRDITEDQRQAFLIVAALIATATYQSALSPPGGVYQANSENNINNNVSITSVNSTTTANAGSSVMSEGDFMTLSILNSFSLLVSTVAICILTPSGIVGSILLTPLIWFAYCYLYSMKVISPTNASSIVNLVMVCLFPSLHSGVRWAFSSVYKRLKRRQENTEIETRNATTGRNIW >Vigun03g057200.1.v1.2 pep primary_assembly:ASM411807v1:3:4635813:4636399:-1 gene:Vigun03g057200.v1.2 transcript:Vigun03g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMLRFTLFFFFLVLAADVTVKRTTAMKVCWDPNPTFTGVCLDKNCAKDCANTEGYTDGKCKGSPLRCFCSYPCSS >Vigun03g017400.1.v1.2 pep primary_assembly:ASM411807v1:3:1232316:1235286:1 gene:Vigun03g017400.v1.2 transcript:Vigun03g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPKQDALLKLFYNVSNPLHLLFLLLFSSAIFLLTFLTFTGRYPFIQRDQEYEYVYTEDEEEEEDTQEGYSCVDSTEGENISCGKEVPVFVHNERHQRTHSYSEEFISPRESLNEESEEKHYSETLSLHKSPQVSDFDNEEAETVEEDFTARDSDSVPNSTQNAYKGKKNHDDDHVSVEIIRNKKVQEPNLARDERFFVFASTQLQSKKLMVEEKDDESSKWKSSINCRDSETEDAFSSSSRRSCPKWESYTLFQKYDEEMAILDRISAQKLHETESLRSIQMSPRSMSERIVYKFQTINKKPADVGHNPYRELEAAYVAQICLTWEALSWNYKNFRSKHASRQDNDTGCSATVAQQFQQFQVLLQRYIENEPYEHGRRPEIYARMRLLAPKLLLVPEYQDLEEDQKDGGFQCKISSASFLKIMEDGIKTFMNFLKNDKEKPCQILAAYFRRNQRGTVDPTLLRLMKKVNQKKRVKVKDLNHAGKCLRKRKLKVEKDMDILMALTDLKVVSRVLRMSELSEEQLHWCEEKMSKVRIMEGKLQRDYSTPLFFPSH >Vigun03g017400.2.v1.2 pep primary_assembly:ASM411807v1:3:1232316:1235286:1 gene:Vigun03g017400.v1.2 transcript:Vigun03g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPKQDALLKLFYNVSNPLHLLFLLLFSSAIFLLTFLTFTGRYPFIQRDQEYEYVYTEDEEEEEDTQEGYSCVDSTEGENISCGKEVPVFVHNERHQRTHSYSEEFISPRESLNEESEEKHYSETLSLHKSPQVSDFDNEEAETVEEDFTARDSDSVPNSTQNAYKGKKNHDGISLRITILYQCYDDHVSVEIIRNKKVQEPNLARDERFFVFASTQLQSKKLMVEEKDDESSKWKSSINCRDSETEDAFSSSSRRSCPKWESYTLFQKYDEEMAILDRISAQKLHETESLRSIQMSPRSMSERIVYKFQTINKKPADVGHNPYRELEAAYVAQICLTWEALSWNYKNFRSKHASRQDNDTGCSATVAQQFQQFQVLLQRYIENEPYEHGRRPEIYARMRLLAPKLLLVPEYQDLEEDQKDGGFQCKISSASFLKIMEDGIKTFMNFLKNDKEKPCQILAAYFRRNQRGTVDPTLLRLMKKVNQKKRVKVKDLNHAGKCLRKRKLKVEKDMDILMALTDLKVVSRVLRMSELSEEQLHWCEEKMSKVRIMEGKLQRDYSTPLFFPSH >Vigun03g366800.2.v1.2 pep primary_assembly:ASM411807v1:3:57005694:57027780:1 gene:Vigun03g366800.v1.2 transcript:Vigun03g366800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELGRRKVNNGDLYVLRFYNRLDETKKGDIACATAGEARGWMEAFDQAKQQAELELSKGISARDKLNNMENEINLEGHRPRVRRYAHGLRKLIRIGQGPEKLLRQSSKLSRTDGFEGDGGDAVEAHQWKCIFTLAGIRIFEDVSDHKNGKTVLAKSVGVIDATADTVFEVILSTDQQKRYEWDTLICDLELVDSYDGHYDVVYGTYDSKYLSRWHSKQDFVFSRQWFRGQDGTYTILQCPAIHKKKPPIHGYRRAKVNPSSWEIRNLNTSVPSNSPRCLVTHTLEINTSSWCRWKNHQSSKFQRSIPHALLFQVAGLKEYIAANPALHHENATTVVHSKISDASISSAECEDEMQDEFYDAITADSSTSDEESDDDQKLVMQEPRVKLKNISWAITTLALKRTAAPDLSEELDPHVTPITIPSDLHCSLWKGKDDNDTNCWASPSGKGFMIRGKNYLKDSSKVVGGDPLLKLVGVDWLTVDKSVDRIALHPKCLVQSEAGKKLPFILVINLQVPAKPNYSLVLYYAADRPINKNSLLAKFVGGSDAFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEEPELPEYILGTVRLNRLKLESAVPLED >Vigun03g366800.1.v1.2 pep primary_assembly:ASM411807v1:3:57004280:57027780:1 gene:Vigun03g366800.v1.2 transcript:Vigun03g366800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVATASELNKSGSGGSERSDDSGGGGGGIFEYSGWVYHLGVNSIGHEYCHLRFLFIRGKYVAMYKRDPHENPGIKPIRRGVVGPTLMVEELGRRKVNNGDLYVLRFYNRLDETKKGDIACATAGEARGWMEAFDQAKQQAELELSKGISARDKLNNMENEINLEGHRPRVRRYAHGLRKLIRIGQGPEKLLRQSSKLSRTDGFEGDGGDAVEAHQWKCIFTLAGIRIFEDVSDHKNGKTVLAKSVGVIDATADTVFEVILSTDQQKRYEWDTLICDLELVDSYDGHYDVVYGTYDSKYLSRWHSKQDFVFSRQWFRGQDGTYTILQCPAIHKKKPPIHGYRRAKVNPSSWEIRNLNTSVPSNSPRCLVTHTLEINTSSWCRWKNHQSSKFQRSIPHALLFQVAGLKEYIAANPALHHENATTVVHSKISDASISSAECEDEMQDEFYDAITADSSTSDEESDDDQKLVMQEPRVKLKNISWAITTLALKRTAAPDLSEELDPHVTPITIPSDLHCSLWKGKDDNDTNCWASPSGKGFMIRGKNYLKDSSKVVGGDPLLKLVGVDWLTVDKSVDRIALHPKCLVQSEAGKKLPFILVINLQVPAKPNYSLVLYYAADRPINKNSLLAKFVGGSDAFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEEPELPEYILGTVRLNRLKLESAVPLED >Vigun05g183900.2.v1.2 pep primary_assembly:ASM411807v1:5:35460020:35460919:1 gene:Vigun05g183900.v1.2 transcript:Vigun05g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEVGGPAGPKLMRLVFFIGAGVICTTAINKWREYERNTIIQQQQQQQQQLVVETHNSSESVAAPKTLK >Vigun05g183900.1.v1.2 pep primary_assembly:ASM411807v1:5:35460000:35460919:1 gene:Vigun05g183900.v1.2 transcript:Vigun05g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEVGGPAGPKLMRLVFFIGAGVICTTAINKWREYERNTIIQQQQQQQQQLVVETHNSSESVAAPKTLK >Vigun10g025800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3100446:3101973:1 gene:Vigun10g025800.v1.2 transcript:Vigun10g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSQSFTFSVTLLFLIICGNTHGTDTDIFCLKSIKDSLQDPHKHLQSWNFSSKTEGFICNFNGVECWHPDENRVLNLKLSHMSLSGVLPPGIENCTSLTGLDFSGNNLSGSIPENIATLLPFVTSLDLSSNQFSGPIPLSLANCTYINTIKLDQNHLSGEIPQELALLPRLKIFTVSNNSLTGPVPNFTDGRVVVNYSNNEGLCGPSLKPCPNN >Vigun08g109300.1.v1.2 pep primary_assembly:ASM411807v1:8:27168584:27170903:-1 gene:Vigun08g109300.v1.2 transcript:Vigun08g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEQRKKRLREMRMQADHAEVSGAVEGFAMAGSLSNPLIEAPLTMPSWDKSYAAPRFDFYTDPMSAFSSNKRNNTGIQAAPDSFPPNVGGSLMAQYSSTHPESANPRMTLPPFQVPAAPYGNLDLTGPRGPAHDNFLCHPSSVGRHPNPRFGPSSGPLYNSTQGIAHRPNYSPNPSPGYSNSPRPSYSPNPSPGYSNRPRPSYSPNPSPVYSNSPRPSYSPNPSPGYSNSHRPSYSPNPSSGYSNSPRPSYSPNPSSGYRGSPRPGQGRGRGVWHNPGSSVSGRGSGRGPNFHGHLSNENAGPGPSRFYKSSMVEDPWNHLEPKIWEAIDGSLHTSRIPEKVKPWISKSKSTTGEGSSAASVKSRSEPSLAEYLATAFNEAANDAENV >VigunL004800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:55712:59006:-1 gene:VigunL004800.v1.2 transcript:VigunL004800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKII >Vigun06g007540.1.v1.2 pep primary_assembly:ASM411807v1:6:3785696:3786448:-1 gene:Vigun06g007540.v1.2 transcript:Vigun06g007540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGLFTTSYQRCLRILTYLHPSQSPIGPAPRRRTGARVEPFLSGVTAVERKKRLRSRNIRAGGTVNSAKI >Vigun09g042200.1.v1.2 pep primary_assembly:ASM411807v1:9:3916477:3921509:1 gene:Vigun09g042200.v1.2 transcript:Vigun09g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASNKRNYKEKPIRRKEEKPEEPEVPKYRDRAKERREDQNPDYEQTELGFHAVAPPGTVDLRSSDAHKLSIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEMDKKPDAGDDTDGKSRSTKEDQQVSIRTATAKSVYQWIVKPQTISKTNEMFLPGRMTFIYNMEGGYHHDIPTTLHRSKADCPVPEEMVTVNVDGSVLDRIAKIMSYLRLGSSGKILKKKKKEKDAKGKILAVGNGYDKEDKPSKVEGGAKNQAEKEIIPPPPPPIKKNPLHSREKQGPAVARAEDDDIFQGDGIDYDIPGKDLSQSPVSEDMEESPRNKEKPSYFEEPTYGPVPPSMLPQGWQETNGYDVMQTQALAGGYQGEWQEYQYAEQLAYPDQYLQPNMQTYDVQAGINLPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILARKKMEKDSNGEGGHHYDDEPTPGKKLRI >Vigun06g170300.1.v1.2 pep primary_assembly:ASM411807v1:6:29188308:29195948:1 gene:Vigun06g170300.v1.2 transcript:Vigun06g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGANNPHLFSTNNFVGRQAWEFDAEAGTDEERAQVEAARQDFYRNRFKFRACGDRMWRFQILRENNFKQTIERVKIEDGEKITYEKTTTAMKRAVNYLSALQTGHGHWPAHIGGSLFFIPLMVISLYVIGHLDSVLSEEHRKEILRYVYYHQNEDGGWGLHIEGESVMFCTTLNYICMRILGEGPNGGLNNACAKGRKWIHQHGTVTHIPQWGKFWLSVLGIVDWSGCHPLPPEFWMLPNFFPMHPGKMWCYCRLIYMPMSYLYGKKFTGPITPLILNLREELFTEPYNENMWKKARHKCAKEDLYSPRHWIQDLIWDGAYFVAEPILRRWPLNKLVREKALKLTMEHIHYEDENSRYMDVACLEKMLCMVACAVEDPNGKAIKKHLARVPDYLWVSEDGITLQASGSQSWDAGFMVQALLATDLMKDFGPTLAKAYDFLKKSQVQNNSSGDFKKMFRHMSKGTWTFTHQDHGWQVSDCTAECLNSVLLLSSMPEEIVGEKIEPRKLYDTVDFLLSIQSKNGGITAWEPSLSQKWLELLNPTEMFADIIIEHEYVECTGSAMQALVSFRREHPNYRGKDVDNFIVRAVEYLENEQKSNGGWYGEWGICFTYSSWFALRGLDVAGKTYNNCEAIRKSAKFLLSIQNEDGGWGESYLSCPMKTYVALEGNRSHVTQTAWALMALILTGQADRDPNPLHRAAKLIINSQLQSGDWPQEQATGVYLKNGVLHYALYRNVFPLWALAEYRTKVLLPSTSA >Vigun11g118000.1.v1.2 pep primary_assembly:ASM411807v1:11:32399827:32404128:-1 gene:Vigun11g118000.v1.2 transcript:Vigun11g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPHHHHHHHPDDTHQHSPSSASPTTGTCCKCGGPTTFAPPMPTPTFTPPPTYRPIRAPAIPPDPNSTRAIILSPVPQAQKVPILPPPYDFHTPTKRIHSPDDIRRFHDSFSGKNFLGFVVALSESIRGRKISDQCHESPATLAVLSILETLTLFADETPPVPQSARYGNVAYRTWHEKMSNSAESLILKLLPENLQPATVELVPYFTDSFGNASRIDYGTGHETNFAAWLYCLARLGVVGEEDYPALVARVFVKYLELMRKLQLLYSLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSKEYLYLACIAFVKKVKKGVFAEHSPMLDDISGVPNWNKVNGGLLKMYKAEVLEKVPIMQHFLFGSIIKWE >Vigun08g065000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:9200663:9204573:-1 gene:Vigun08g065000.v1.2 transcript:Vigun08g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRMENLVRAEKSLRLSLEKSKSVGLALERAGPRLAEIRQRLPSLGSAVRPIRAERDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRSDIAGYLSVLKRLQEALRFLGDNCGLAIQWLEDIVEYLEDNSVADQVYLANLKKELKNLRESQHGELDGGLLDAALRKLEDEFRLLLTENSVPLPMSAAAAGDGMACIAPSPLPVSVVQKLQAILGRLMANDRLDRCVGIYVEVRSSNVRASLQALNLDYLEISVSEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFSKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLSKLRLDFNRLFGGGPCVEIQNLTRDLIKRVIDGAAEIFWELFVQVELQRPNPPPVDGNVPRLVSFITDYCNKLLGDDYKPILTQVLIIHRSWKRQSFQERLLVTEILNIVKAVEQNVETWIKAYDDPILSHFFAMNNHWHLCKHLKGTKLGELLGDSWLREHEQYKEYYSTIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKKFNEVFDEMYTKQSSWIMPERDLREKTCQLIVQAVVPVYRSYMQNYGPLVEQDASSTKYAKYTVQKLEEMLLCLYRPRPVRHGSLRSPTFSAKYGNGVPDLRRTASAVV >Vigun02g016100.1.v1.2 pep primary_assembly:ASM411807v1:2:5851113:5851963:1 gene:Vigun02g016100.v1.2 transcript:Vigun02g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVVVVLFVSVLCFSSTLARKISEKFYVEGKIYCDPCHFAFESRLSFPLSGVNVTLECINEQNNTMTYKKDSTTDANGLYRIPVRGDHQDDICVVVAKSPNEGECQEAMPNKSDRIILTNNMGATSRERFVNPLGFMTETIDSQCNIVVHELGLDNRDD >Vigun02g052100.2.v1.2 pep primary_assembly:ASM411807v1:2:19421994:19425082:1 gene:Vigun02g052100.v1.2 transcript:Vigun02g052100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFMRAAPAPAPAPAEAEKETTLTAEKRTVSEPVAVQKKKGNPFDEDDDDWVKKPPSNVSKDKDRYKNGFRDSGGLENQSVQELENYAMHKSKETTDSVNNCLRIAEDIRDEATRTLDMLNEQGEQIARTHNMVVDTEKDLSRGEKLLNNLGGMFSKPWKPKKTKAIQGPVTTPDKPSKKDVTNKEDREKLGLAPLPKGRSAPTTPPNESSTTYDKLDYEKAKQDDALSDLSDILGDLKGMAVNMGTELDRQNKALDNLSDDVDELNNRVKGANQRARKLLA >Vigun02g052100.1.v1.2 pep primary_assembly:ASM411807v1:2:19421993:19425220:1 gene:Vigun02g052100.v1.2 transcript:Vigun02g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFMRAAPAPAPAPAEAEKETTLTAEKRTVSEPVAVQKKKGNPFDEDDDDWVKKPPSNVSKDKDRYKNGFRDSGGLENQSVQELENYAMHKSKETTDSVNNCLRIAEDIRDEATRTLDMLNEQGEQIARTHNMVVDTEKDLSRGEKLLNNLGGMFSKPWKPKKTKAIQGPVTTPDKPSKKDVTNKEDREKLGLAPLPKGRSAPTTPPNESSTTYDKLDYEKAKQDDALSDLSDILGDLKGMAVNMGTELDRQNKALDNLSDDVDELNNRVKGANQRARKLLA >Vigun02g052100.3.v1.2 pep primary_assembly:ASM411807v1:2:19423252:19425082:1 gene:Vigun02g052100.v1.2 transcript:Vigun02g052100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFMRAAPAPAPAPAEAEKETTLTAEKRTVSEPVAVQKKKGNPFDEDDDDWVKKPPSNVSKDKDRYKNGFRDSGGLENQSVQELENYAMHKSKETTDSVNNCLRIAEDIRDEATRTLDMLNEQGEQIARTHNMVVDTEKDLSRGEKLLNNLGGMFSKPWKPKKTKAIQGPVTTPDKPSKKDVTNKEDREKLGLAPLPKGRSAPTTPPNESSTTYDKLDYEKAKQDDALSDLSDILGDLKGMAVNMGTELDRQNKALDNLSDDVDELNNRVKGANQRARKLLA >Vigun01g128000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30588525:30592185:-1 gene:Vigun01g128000.v1.2 transcript:Vigun01g128000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFLLPFSLPSTQKLRSPPCHLQHHKWLSSSLLPKETKWNSKTNVIITHPTLVVMESCSSMYQLRQIQARMTLTGLITHTFPLSRLLAFCALADAGDLRYAHRLFCRILEPNTFMWNTIIRGYNKARIPAMAFSFFLDMLRLRVPFDPRTFVFTLKACEHFSMASQGESVHSLARKTGFDSKLLVRNGLVHFYAVRGWLNHARGVFDEMPVKDVVTWTTMIDGYAACNCSDVAMELFGLMLEGDVEPNEVTLIAVLSACSDKGDLGMGKRIHEIMEKKNVRWGLSLHNALLDMYVKCGCLVAAREVFDRMESRDVFSWTSMVNGYAKCGDLESARRFFEQTPQKNVVCWSAMIAGYSQNDKPKDSLKLFHEMLGEGFVPGEHTLVSVLSACGQLSCFSLGHWIHQYFVDQIRIPMSVTLTNAIIDMYAKCGSIDSATEVFSAMSERNLVSWNSIIAGYAANGRAKQSIEVFDKMRCMGFEPDDITFVSLLTACSHGGLVSQGKEYFDTMERKYGIKPKKEHYACMIDLLGRTGLVEEAYKLIKNMPMKPCEASWGALLSACRKHDNVKLATLSASNLLSSDPEDSGVYVLLANIWANERKWGDVRRVRSLMRDKGVKKIPGHSLIEIDGEFKEFLVADESHPQSGEIYRVLDEIFLLSKLEDSGCDCDS >Vigun01g128000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30588525:30592185:-1 gene:Vigun01g128000.v1.2 transcript:Vigun01g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFLLPFSLPSTQKLRSPPCHLQHHKWLSSSLLPKETKWNSKTNVIITHPTLVVMESCSSMYQLRQIQARMTLTGLITHTFPLSRLLAFCALADAGDLRYAHRLFCRILEPNTFMWNTIIRGYNKARIPAMAFSFFLDMLRLRVPFDPRTFVFTLKACEHFSMASQGESVHSLARKTGFDSKLLVRNGLVHFYAVRGWLNHARGVFDEMPVKDVVTWTTMIDGYAACNCSDVAMELFGLMLEGDVEPNEVTLIAVLSACSDKGDLGMGKRIHEIMEKKNVRWGLSLHNALLDMYVKCGCLVAAREVFDRMESRDVFSWTSMVNGYAKCGDLESARRFFEQTPQKNVVCWSAMIAGYSQNDKPKDSLKLFHEMLGEGFVPGEHTLVSVLSACGQLSCFSLGHWIHQYFVDQIRIPMSVTLTNAIIDMYAKCGSIDSATEVFSAMSERNLVSWNSIIAGYAANGRAKQSIEVFDKMRCMGFEPDDITFVSLLTACSHGGLVSQGKEYFDTMERKYGIKPKKEHYACMIDLLGRTGLVEEAYKLIKNMPMKPCEASWGALLSACRKHDNVKLATLSASNLLSSDPEDSGVYVLLANIWANERKWGDVRRVRSLMRDKGVKKIPGHSLIEIDGEFKEFLVADESHPQSGEIYRVLDEIFLLSKLEDSGCDCDS >Vigun01g128000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30588674:30592171:-1 gene:Vigun01g128000.v1.2 transcript:Vigun01g128000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFLLPFSLPSTQKLRSPPCHLQHHKWLSSSLLPKETKWNSKTNVIITHPTLVVMESCSSMYQLRQIQARMTLTGLITHTFPLSRLLAFCALADAGDLRYAHRLFCRILEPNTFMWNTIIRGYNKARIPAMAFSFFLDMLRLRVPFDPRTFVFTLKACEHFSMASQGESVHSLARKTGFDSKLLVRNGLVHFYAVRGWLNHARGVFDEMPVKDVVTWTTMIDGYAACNCSDVAMELFGLMLEGDVEPNEVTLIAVLSACSDKGDLGMGKRIHEIMEKKNVRWGLSLHNALLDMYVKCGCLVAAREVFDRMESRDVFSWTSMVNGYAKCGDLESARRFFEQTPQKNVVCWSAMIAGYSQNDKPKDSLKLFHEMLGEGFVPGEHTLVSVLSACGQLSCFSLGHWIHQYFVDQIRIPMSVTLTNAIIDMYAKCGSIDSATEVFSAMSERNLVSWNSIIAGYAANGRAKQSIEVFDKMRCMGFEPDDITFVSLLTACSHGGLVSQGKEYFDTMERKYGIKPKKEHYACMIDLLGRTGLVEEAYKLIKNMPMKPCEASWGALLSACRKHDNVKLATLSASNLLSSDPEDSGVYVLLANIWANERKWGDVRRVRSLMRDKGVKKIPGHSLIEIDGEFKEFLVADESHPQSGEIYRVLDEIFLLSKLEDSGCDCDS >Vigun01g128000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30588524:30592186:-1 gene:Vigun01g128000.v1.2 transcript:Vigun01g128000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFLLPFSLPSTQKLRSPPCHLQHHKWLSSSLLPKETKWNSKTNVIITHPTLVVMESCSSMYQLRQIQARMTLTGLITHTFPLSRLLAFCALADAGDLRYAHRLFCRILEPNTFMWNTIIRGYNKARIPAMAFSFFLDMLRLRVPFDPRTFVFTLKACEHFSMASQGESVHSLARKTGFDSKLLVRNGLVHFYAVRGWLNHARGVFDEMPVKDVVTWTTMIDGYAACNCSDVAMELFGLMLEGDVEPNEVTLIAVLSACSDKGDLGMGKRIHEIMEKKNVRWGLSLHNALLDMYVKCGCLVAAREVFDRMESRDVFSWTSMVNGYAKCGDLESARRFFEQTPQKNVVCWSAMIAGYSQNDKPKDSLKLFHEMLGEGFVPGEHTLVSVLSACGQLSCFSLGHWIHQYFVDQIRIPMSVTLTNAIIDMYAKCGSIDSATEVFSAMSERNLVSWNSIIAGYAANGRAKQSIEVFDKMRCMGFEPDDITFVSLLTACSHGGLVSQGKEYFDTMERKYGIKPKKEHYACMIDLLGRTGLVEEAYKLIKNMPMKPCEASWGALLSACRKHDNVKLATLSASNLLSSDPEDSGVYVLLANIWANERKWGDVRRVRSLMRDKGVKKIPGHSLIEIDGEFKEFLVADESHPQSGEIYRVLDEIFLLSKLEDSGCDCDS >Vigun02g161200.2.v1.2 pep primary_assembly:ASM411807v1:2:30686256:30691950:-1 gene:Vigun02g161200.v1.2 transcript:Vigun02g161200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACDLTGPVQSVVWDAMVPSKKRTCVGRPKPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFENETPMVSVEIGHGSILIRHPSSIVRDEESEASSLSVDNKQCPTSEAYSYVGPITTHNDSSHLKPSSLEVEKIMNYTEQGRQPEQHKSENSQLERLQILGNHESPLCSIDLNDIVNYEEFLRILTNEEQQQLLKLLPVVDTAKLPDSLKVMFNSSQFKENLTYFQQLLAEGVFDISLRGAKPEDCKTLKRLAISNLSKSKWVEHYNFLKTQKCKNKAGKFDSFGSAVTATTNANKRTRDSRNQNFPEMNTEMRSPKKMITKFDSEVKEGVEDGACYSPKSLFTLPTDASSLMLDSLNFVEEINEQDLLLEVPSNTSFPQAELLHPSSSHGAQVSTSSSSVYSHLTHH >Vigun02g161200.1.v1.2 pep primary_assembly:ASM411807v1:2:30686256:30691950:-1 gene:Vigun02g161200.v1.2 transcript:Vigun02g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARTEIDACGDQKVSRVKNISNKKREVTLLKPNNDHDNELSGGFAPEYSQGCQIFADEDVSNRSSSGSAISNSESCAQYDAMDACDLTGPVQSVVWDAMVPSKKRTCVGRPKPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFENETPMVSVEIGHGSILIRHPSSIVRDEESEASSLSVDNKQCPTSEAYSYVGPITTHNDSSHLKPSSLEVEKIMNYTEQGRQPEQHKSENSQLERLQILGNHESPLCSIDLNDIVNYEEFLRILTNEEQQQLLKLLPVVDTAKLPDSLKVMFNSSQFKENLTYFQQLLAEGVFDISLRGAKPEDCKTLKRLAISNLSKSKWVEHYNFLKTQKCKNKAGKFDSFGSAVTATTNANKRTRDSRNQNFPEMNTEMRSPKKMITKFDSEVKEGVEDGACYSPKSLFTLPTDASSLMLDSLNFVEEINEQDLLLEVPSNTSFPQAELLHPSSSHGAQVSTSSSSVYSHLTHH >Vigun02g161200.3.v1.2 pep primary_assembly:ASM411807v1:2:30686256:30691437:-1 gene:Vigun02g161200.v1.2 transcript:Vigun02g161200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACDLTGPVQSVVWDAMVPSKKRTCVGRPKPSSVEKLTKDLCTILHEQQSYFSASSEEDLLFENETPMVSVEIGHGSILIRHPSSIVRDEESEASSLSVDNKQCPTSEAYSYVGPITTHNDSSHLKPSSLEVEKIMNYTEQGRQPEQHKSENSQLERLQILGNHESPLCSIDLNDIVNYEEFLRILTNEEQQQLLKLLPVVDTAKLPDSLKVMFNSSQFKENLTYFQQLLAEGVFDISLRGAKPEDCKTLKRLAISNLSKSKWVEHYNFLKTQKCKNKAGKFDSFGSAVTATTNANKRTRDSRNQNFPEMNTEMRSPKKMITKFDSEVKEGVEDGACYSPKSLFTLPTDASSLMLDSLNFVEEINEQDLLLEVPSNTSFPQAELLHPSSSHGAQVSTSSSSVYSHLTHH >Vigun07g098950.1.v1.2 pep primary_assembly:ASM411807v1:7:17294263:17296101:1 gene:Vigun07g098950.v1.2 transcript:Vigun07g098950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRELCANLIIRHGLPFKFVEYPELRTWISYLNPEATLVSRNTIKKDILRIFKREKIKLKGELHNITSRICLISDLWTSCTTEGYISLTAHYVDSNWKLRTKILNFCHFPPPHTGFELSKKINACLHDWEIEKRLFSITLDNASSNDVLVKTLKNYGEFFHVRCSAHILNLIVQEGLKVLGDALNQIRESIKYVRCSEARMIKFKECLQQIGNIDSSSGLCLDVPTRWNSTFFMLQSAVKYQRVFENLRLVDENYKYYPSEEAWKRAEKITVFLSPFYEITNLMSGSSYPTSNLYFFQVWKIQCLLIESVQDGDEVIRNMVEKMMELQQRKLQLVAKTGKNQLDTYLDEPTLDIHFSVALDVLEWWKSNIERFPDLALMARDLLNIPITTVAFESTFSIGSRILNKYRNCLLSTSVEAIICTSSWKHGFCDDYEDDDNDRKVEETCSNAASNDPGSDTK >Vigun10g062600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:13269042:13269849:1 gene:Vigun10g062600.v1.2 transcript:Vigun10g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSFSIQNSGWEEDSEAQMDERERKRKRMTSNQESSSKYRMRNQKHLEDLVATVAMLKNENQRILQSINITSKNYMNAEAEKVLEAQISELRSRISSIRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSPWCLNELVRIMQCHRTIGQVVLPVFYGVDPSEVRNQTGEFGRAFQRLSNRIFTVVLLFEPLFY >Vigun10g191900.6.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619705:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun10g191900.1.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619705:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKTQREREREKKKKRRYQVISNKERLQLLSNPQKCAEKMPPRSGKGKSNKAKAEKKKKEEKAAAAPSLVDINVVTPYDTQILLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEGKGHKLNDRVEIVTLKPCLLRMVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun10g191900.5.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619705:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNFSAMSVCCCCVFSFSAAAAPSLVDINVVTPYDTQILLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEGKGHKLNDRVEIVTLKPCLLRMVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun10g191900.2.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619705:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKTQREREREKKKKRRYQVISNKERLQLLSNPQKCAEKMPPRSGKGKSNKAKAEKKKKEEKAAAPSLVDINVVTPYDTQILLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEGKGHKLNDRVEIVTLKPCLLRMVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun10g191900.4.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619725:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKNYLLCVWQCAEKMPPRSGKGKSNKAKAEKKKKEEKAAAPSLVDINVVTPYDTQILLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEGKGHKLNDRVEIVTLKPCLLRMVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun10g191900.3.v1.2 pep primary_assembly:ASM411807v1:10:40608427:40619725:1 gene:Vigun10g191900.v1.2 transcript:Vigun10g191900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKNYLLCVWQCAEKMPPRSGKGKSNKAKAEKKKKEEKAAAAPSLVDINVVTPYDTQILLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEGKGHKLNDRVEIVTLKPCLLRMVEEDYTEEAQAVAHVRRVLDIVACTTRFGRPKRSLPSPESRPKKNGKAQHQNKTSLSPPETPNGESRVGSPSSEAPPSAISDNVGMKAIHPTPKLSDFYEFFSLSHLSPPILQLKRCEVKDEDDRRKGDYFQLQVKICNGKVIEVVVSEKGFYTVGKQSLHSHTLVDLLQQLSRAFANAYESLMKAFLERNKFGNLPYGFRANTWLVPPSVAESPSSFPSLPAEDENWGGNGGGQGRNGEFDLRPWATDFAILASLPCKTEEERVVRDRKAFLLHNQFVDTSIFKAVAAIQHIMESNKNMKNELNSSPGAVLHEDQMGDLSITVKRDIQDGNKKHDSLQVESIVQKEDVQKNLIKGLTADESVIVHDTSSLTVVVVHHCGYTATVKVVGNVNMRKPKVRDIEIDDQPDGGANALNINSLRLLLHKSGSDSLEVNVSSPSISDDEDATKSLVRKVIQEGIEKIKEEPSVSERSIRWELGSCWMQHLQKQETSTDNSSKNKEDGNDVEQAVKGLGKQFKFLKKREKKSNNVDGADSIEQNDSRPGDVNDNADKVEPNSDDLSNSNELEKLLSKETFLRLKESGTGLHTKSVDELISMAHKFYDEVALPKLAMDFGSLELSPVDGRTLTDFMHLRGLKMRSLGQVVKLAENLPHIQSLCIHEMITRAFKHQLKAVIASVDNVADLSAAIASTLNFLLGGSRTEDNDQSLNDDHNLKIQWLRTFLSKRFGWTLNDEFQHLRKLSILRGLCHKVGLELFPRDYDMESSKPFGKNDIISLVPVCKHVGCSSIDGRNLLEASKIALDKGKLEDAVNYGTKALAKMMVVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMDAFSLSVQHEQTTLKILQAKLGSEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKPDASIASKGHLSVSDLLDFISPDPKRNDAQRKQRRAKLLPASDNSQEPEDAVAEESIVFYDSRDAPSMVEENIEETNDTRDSQIPKENGDFTSYRPVTSEVVYEASSDEGWQEANSKGRSGNAANRKFGHKKRPLLSKLSINGSNNYIYRESSSRNEITSPPQRGVPRAISDMSSPSRQPKARNIALTEDSVNYPTKNSVSKISSPASLSSLASKSISYKEVALAPPGTVLKPLLEKAEMEKINAEDETCSSLPVTSTNEGTCRSSIVNAVSEHDETEETQEIEPQQENSALEAEKVSLASSDQEKPTETNGSKLSAAAKPFNPGMLSMSHHLNSGSFTSVYDTDVSQGMHVEPVFPPAVARVPCGPRSPLYYRTNYTFRMKHGFSKSQTPTGERTGFGSPRIMNPHAPEFVPRSASQIETNDSNSNVSDDMAEKNENFAEIKASPTKNSISESEKSEIARQILLSFLVKSVKENIDSVDESNNSEGKVGKFENCDDEVAKDSAVINIMYGNEEKSKAVPHSSDSDEQETLGVSEKKNGDEGFIVVSKRRKNRQKITNGVTELYNQQSICASVR >Vigun02g088700.1.v1.2 pep primary_assembly:ASM411807v1:2:24338567:24342337:1 gene:Vigun02g088700.v1.2 transcript:Vigun02g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYFNSNTTFTSFKNNLSPTLPTSPSSYTSSNFSPSFLSQRQNLKVQNFKPHVVICTSLSSQQASSASTAQAEEQEVEIANGYTMTQFCDKIIDLFLNEKTKSKEWRGYLIFREEWKKYKDRFYSRCQRRAELENDPIMKEKFISLQRKVKKIDDEMEVHYALLKEIQDSPTDINAIVARRRRDFTGEFFHYLSLISETYDSLEDRDGISRLGSRCLSAVSAYDNTLEVVDTLDAAQAKFDDILNSPSIDVACQKIKSLAKAKELDSSLILLISSAWAKAKESTTMKNEVKDIMYQLYKATKSSLRSITPKEIKLLKHLLNIIDPEERFSALATAFSPGDEHEAKDPYAQYTTPQELHKWIKIMLDAYHLNKEETDLREARQMTDPIVIQRLFILKDTIEQEYMAKGTTEKSETKDDSKPVEF >Vigun08g138300.1.v1.2 pep primary_assembly:ASM411807v1:8:31059641:31066681:1 gene:Vigun08g138300.v1.2 transcript:Vigun08g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGNVLAAKSLVRFGVRHMFGVVGIPVTSLANRAVALGVRFIAFHNEQSAGYAASAYGYLTASPGVFLTVSGPGCVHGLAGLSNGSVNTWPTVLISGSCDQADVGRGDFQELNQIEATKPFSKLSVRASHISEIPARVAQVLDWAQSGRPGGCYLDLPTDVLHQKISESEAEKLLTEAEKNRAKPEPYSISNSKIEEAVSLLRRAERPLIVFGKGAAYARAEHVLTKLVETTGIPFLPTPMGKGLLPDTHALAATAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEEEIELRKPHLGLVGDAKHVIEVLNKEIKDDPFCLGNTHPWVQAISNKAKENVAKMEVQLRKDVVPFNFLTPMRIIRDAIAGLGSPAPIVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAIEVETLVRYQLPVVVIVFNNGGVYGGDRRRPEEIDGPHKGDPAPTDFVPNAGYHALIEAFGGKGYLVGTPDELKFALSESFSARKPAVINVVIDPYAGSESGRMQHKN >Vigun09g257700.1.v1.2 pep primary_assembly:ASM411807v1:9:42343150:42345903:-1 gene:Vigun09g257700.v1.2 transcript:Vigun09g257700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSETHAGEDGKHYPAPLAPHDDVVRDSSLFWDTLRRFHFLMGTKFMIPVIGGKELDLHVLYVEVTRRSGYEKVVAEKKWREVGSIFKFSATTTSASFVLRKHYLSLLYHYEQVHFFKARGSVYTPSTDAFSGNSPSWRPELAIVEYSPKPSNSSSESRAEETSCLSGNGTIEGKFECGYLVSVKLGSEVLRGVLYHPEKMVAPPSIPQHENAIVPFKSKGNRSGRRRRNKRRWDPNYPKPNRSGYNFFFAEKHYSLKALYPNREREFTKMIGQSWNSLSPEERMVYQNIGLRDKERYKRELTEYKEKMKLRQTSEVGLP >Vigun09g052500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5197057:5197962:1 gene:Vigun09g052500.v1.2 transcript:Vigun09g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTSLVLLLAAFVLSPQVLANYEKPPVYKPPIEKPPVYKPPVQKPPVYKPPVQKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPYGKPPVHESQYEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPYGKPPYPKYPPTDA >Vigun06g034400.1.v1.2 pep primary_assembly:ASM411807v1:6:14577367:14579358:-1 gene:Vigun06g034400.v1.2 transcript:Vigun06g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKASYTVVPNEATPEGIEWLSDIDQVARLCHTQTIYVFHAKHNLDALVQQMRNSLSKILCIYYPLAGRLRRLEEGGRWEVDCNAKGAMLFEAESTKTVNYYGDFLGDSANDLVPKVNYTNTLIQDIPLLLVQVTSFLGNEAFSIGVAVSHILFDGISAIHFINSWAKLARGDTLESHEMPFLDRTVLKFTAPPPPPRFDHQEFKPMPLILGRSDNTVEKNKRVSAISLKLTAEQVGKLKNKANADGSTKGSRPYSRFEAIAAHVWRSASKARGLDENQPTLLRFTGDIRNRLIPPLPRNYIGNALSIVSVSSHVGEILSSPLGHVAQKIREAVEMITHEFICSQIDVIRGQEHVNKARTLYFGANEGKDVLFFGNPNLRITSWLSMPMHEADFGWGKPVYSGLAGKAAQERAVITQSPDGDGSVILVLHFQVEHMELFKNYFYEEI >Vigun11g220100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41252016:41253152:1 gene:Vigun11g220100.v1.2 transcript:Vigun11g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSKILHAKLEELQGMLDDALLLGPDTHSHDSTSSDIKQKLAFMNNLLSAELSSHPSQPHYLDQISEWLSTMERNFKKWDSSRPGHHGHDMDKDSTSSNTDSCVNDDGEALDETGKVDFEDPEKVCLNSDGEKGMVAEETECVEEEKETGELKNGSDNLVSSDYEEAEEFLEEFAGEKELVEFEGNDLEKEERRESAFGERCGALACGVVIGMILMTFIVFMLNTPDWFYYIEELSFAVPT >Vigun07g123400.2.v1.2 pep primary_assembly:ASM411807v1:7:22812632:22821506:-1 gene:Vigun07g123400.v1.2 transcript:Vigun07g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLISGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKDWIESVAVIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFQVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLTSRSAEPLLESTKEKLSKFCHVPIENILNIHDVPNIWHIPLLLRNQNAHHSILQQLNLLNQATPPDLQQWTEMAETFDSLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSFKPSIDWIAASDLEDESAKSTPEAHATAWTTLKSADCVLVPGGFGDRGVRGMMLAAKYARENNVPYLGICLGMQISVIEFARSVLGWEKANSVEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRRTLLQTSDCITSKLYGSSEYVDERHRHRYEVNPDVIGTLEEAGLQFVGKDESGKRMEILELPSHPFYVGVQFHPEFKSRPARPSALFLVAPKLNRKRRKNEVSRLYF >Vigun07g123400.1.v1.2 pep primary_assembly:ASM411807v1:7:22813633:22821506:-1 gene:Vigun07g123400.v1.2 transcript:Vigun07g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLISGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKDWIESVAVIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFQVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLTSRSAEPLLESTKEKLSKFCHVPIENILNIHDVPNIWHIPLLLRNQNAHHSILQQLNLLNQATPPDLQQWTEMAETFDSLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSFKPSIDWIAASDLEDESAKSTPEAHATAWTTLKSADCVLVPGGFGDRGVRGMMLAAKYARENNVPYLGICLGMQISVIEFARSVLGWEKANSVEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRRTLLQTSDCITSKLYGSSEYVDERHRHRYEVNPDVIGTLEEAGLQFVGKDESGKRMEILELPSHPFYVGVQFHPEFKSRPARPSALFLGLILAATGKLEAYISRQNGS >Vigun05g235000.1.v1.2 pep primary_assembly:ASM411807v1:5:42857999:42859350:-1 gene:Vigun05g235000.v1.2 transcript:Vigun05g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKVIIAILLALTMVGIRPSACQTVEGKVSCSDCTHNYNFSGIMVAVKCEGVKKVAAATTEEKGFFKVDLPRDHEKALSEKCLARLLGGPNQLYATEKNQVSEIVKEKEKNTYTISTPLSFLRSCPKNTECKVTDKQFSSSKLDLPLPPGWGLPPNSFHLPFFPLFDSPI >Vigun08g015100.1.v1.2 pep primary_assembly:ASM411807v1:8:1280833:1285997:1 gene:Vigun08g015100.v1.2 transcript:Vigun08g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREENEEGRDLKKPFLHTGSWYRMSGRQSSVFGSTQAIRDSSISVIACVLIVALGPLQFGFTAGYTSPTQAAIITDLGLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLAISFANDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPPNLRGGLVSVHQLSVTLGIMLAYLLGIFVEWRILAILGILPCTLLIPGLFFIPESPRWLAKMGMTEEFETSLQVLRGFDTDISAEVNEIKRAVASSNRRTTIRFADLKQRRYWLPLMIGIGLLMLQQLSGINGVLFYSSTIFRSAGISSSDAATLGVGAVQVLATSLTLWLADKSGRRLLLIVSASGMTFSLLLVAISFYVKAIVSETSSLYGILSTTSVVGVMAMVIAFSLGMGAMPWIIMSEILPLNIKGLAGSVATLANWLISWLVTLTANMLLDWSSGGTFTIYALVCALTVGFVTIWVPETKGRSIEEIQLSFR >Vigun10g119800.4.v1.2 pep primary_assembly:ASM411807v1:10:32636732:32638844:1 gene:Vigun10g119800.v1.2 transcript:Vigun10g119800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDAEYAIRRLDRTEFGRKARRIRVEWTKQERDSRRSGGGDSRKPSSNSRPSKTLFVINFDPVHARPKDLERHFDPYGKILNIRIRRNFAFIQYESQEDATRALEATNLSKFMDRVITVEYAIRDDDDRDRRNGYSPERRGHDSPDGRYGRGRSPSPYRRGRGSPDYGHGSNPSSRPETKGSPKYERAESPINGRYDSRSPPPRERSRS >Vigun10g119800.2.v1.2 pep primary_assembly:ASM411807v1:10:32635637:32638844:1 gene:Vigun10g119800.v1.2 transcript:Vigun10g119800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAQCSISNMFQHRNHDDFATVAGFAFIYMEDERDAEYAIRRLDRTEFGRKARRIRVEWTKQERDSRRSGGGDSRKPSSNSRPSKTLFVINFDPVHARPKDLERHFDPYGKILNIRIRRNFAFIQYESQEDATRALEATNLSKFMDRVITVEYAIRDDDDRDRRNGYSPERRGHDSPDGRYGRGRSPSPYRRGRGSPDYGHGSNPSSRPETKGSPKYERAESPINGRYDSRSPPPRERSRS >Vigun10g119800.1.v1.2 pep primary_assembly:ASM411807v1:10:32635637:32638844:1 gene:Vigun10g119800.v1.2 transcript:Vigun10g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDFDARQSDVERLFRRYGKVDRVDMKSGFAFIYMEDERDAEYAIRRLDRTEFGRKARRIRVEWTKQERDSRRSGGGDSRKPSSNSRPSKTLFVINFDPVHARPKDLERHFDPYGKILNIRIRRNFAFIQYESQEDATRALEATNLSKFMDRVITVEYAIRDDDDRDRRNGYSPERRGHDSPDGRYGRGRSPSPYRRGRGSPDYGHGSNPSSRPETKGSPKYERAESPINGRYDSRSPPPRERSRS >Vigun10g119800.3.v1.2 pep primary_assembly:ASM411807v1:10:32635637:32638844:1 gene:Vigun10g119800.v1.2 transcript:Vigun10g119800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDAEYAIRRLDRTEFGRKARRIRVEWTKQERDSRRSGGGDSRKPSSNSRPSKTLFVINFDPVHARPKDLERHFDPYGKILNIRIRRNFAFIQYESQEDATRALEATNLSKFMDRVITVEYAIRDDDDRDRRNGYSPERRGHDSPDGRYGRGRSPSPYRRGRGSPDYGHGSNPSSRPETKGSPKYERAESPINGRYDSRSPPPRERSRS >Vigun03g438900.1.v1.2 pep primary_assembly:ASM411807v1:3:64250591:64252489:1 gene:Vigun03g438900.v1.2 transcript:Vigun03g438900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVAIMRLKVDLQCHKCYKKVKKILCKFPQIRDQVYDEKHDMVTITVVCCNPEKLRDKICCKGCGTIKSIEILEPPKPKPPEKPKEPEKPKEPVKPKEPEKPKEPEKPKPPSKPKAPEKPKEPEKPKEPEKPKVPEKPKETSPPPQKPNPPVPVPAPAPVPVPVPAPAPAPVPVPVPAPPPMAVPIGVCCVPCYEGREGGPCFHGYGGPPPCYEAYYGRPVYDSYGGGRPCYVSRCDEYFCEDNASACSIM >Vigun03g438900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64250591:64252489:1 gene:Vigun03g438900.v1.2 transcript:Vigun03g438900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITVVCCNPEKLRDKICCKGCGTIKSIEILEPPKPKPPEKPKEPEKPKEPVKPKEPEKPKEPEKPKPPSKPKAPEKPKEPEKPKEPEKPKVPEKPKETSPPPQKPNPPVPVPAPAPVPVPVPAPAPAPVPVPVPAPPPMAVPIGVCCVPCYEGREGGPCFHGYGGPPPCYEAYYGRPVYDSYGGGRPCYVSRCDEYFCEDNASACSIM >Vigun09g132200.1.v1.2 pep primary_assembly:ASM411807v1:9:29070579:29075422:1 gene:Vigun09g132200.v1.2 transcript:Vigun09g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDFLSNPLGAVRSTFDTAIASGTDPSSFEGRDWGALPLFRRFLFDQSGISQVPLLTPTSIRFLRPHTLVRYRGMIQDMPGNEFYVGAYKDGSVWRTNKFMDVSQYPICSSADTRIWERRLLYCVPVPGLSPWTEVSAEEFGNLSMDWMSDSREKRRRDEESSDAPVSCDEVQDSPNTKRMREGEDSSTAPHSSGAATDIASSATRSGYDIGSNSPPCLVKVYDSPESEMKLNDIFEFVGILTSPELQEDNEDSDLSNGFSEDPLRHFPPNQVPRLHCFIHRKLGIRDFLQNNPTVEPKPDLVKGIREALLRHLTAILGNDDVTSHFMLLHLLSKVHARDNDLAVGKLSLNLTCFKKEAVSVFGKQLTLVLKNLLPFTFCIPLTVEYLNTVSLAPKKNYDTNRLETGVLQLAEGSHLIVDETKLDAGTLNSVGVENCRLLKNLMELQQVEYDFKYYKMDMKTDTQLLILSEGKSNILPADVIVPFHPSSSSCPEAVTAEVLEAWRWYLATVRQLPHSIEQEMQKEVERDLVAARQEDRSLGPQDLSRLLTMGRLMSLSYGETSLSLEHWQMVKELERLRRQRLQ >Vigun01g164850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34698871:34699380:1 gene:Vigun01g164850.v1.2 transcript:Vigun01g164850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKRELLLKFCIMLLIFRFHIFLSPCIKSGLVSVQIAEVYLAGLEECKNRLHGKMLLFKGDKSMNHLHLCKKLDIAWKNLGDWKVMPLGKGFYEFTFSSFEDIRWTLAVDSWNLSPGILRVFAWTNDFALASMKLTKPQCWIRIHGLPFEYMQPKAIFSIARVVRSP >Vigun07g212100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33337507:33341628:-1 gene:Vigun07g212100.v1.2 transcript:Vigun07g212100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNQLVDDGMPKKKTRKSRRKIARDTEKSQSNETRKPESAVIPGWPVQPPLHLPGTFPAQPLQSELESIQSTLQESEKVLERLQKQEETMLQEVTQKAKDLHDKEYKLPNPKPGPCTAERFATLSCYKEHFKDPLKCASLVTSFADCLRRFGRLGDK >Vigun07g212100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33337514:33341631:-1 gene:Vigun07g212100.v1.2 transcript:Vigun07g212100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNQLVDDGMPKKKTRKSRRKIARDTEKSQSNETRKPESAVIPGWPVQPPLHLPGTFPAQPLQSELESIQSTLQESEKVLERLQKQEETMLQEVTQKAKDLHDKEYKLPNPKPGPCTAERFATLSCYKEHFKDPLKCASLVTSFADCLRRFGRLGDK >Vigun07g212100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33337514:33339203:-1 gene:Vigun07g212100.v1.2 transcript:Vigun07g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNQLVDDGMPKKKTRKSRRKIARDTEKSQSNETRKPESAVIPGWPVQPPLHLPGTFPAQPLQSELESIQSTLQESEKVLERLQKQEETMLQEVTQKAKDLHDKEYKLPNPKPGPCTAERFATLSCYKEHFKDPLKCASLVTSFADCLRRFGRLGDK >Vigun07g212100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33337514:33339203:-1 gene:Vigun07g212100.v1.2 transcript:Vigun07g212100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNQLVDDGMPKKKTRKSRRKIARDTEKSQSNETRKPESAVIPGWPVQPPLHLPGTFPAQPLQSELESIQSTLQESEKVLERLQKQEETMLQEVTQKAKDLHDKEYKLPNPKPGPCTAERFATLSCYKEHFKDPLKCASLVTSFADCLRRFGRLGDK >Vigun03g026200.10.v1.2 pep primary_assembly:ASM411807v1:3:1962468:1965008:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIIDGNNLGHGNTNWIVKEDVNDDTSDSTSIGSISEDSENSVSSFSSSELAEEVSSSSSSSSNSNGPLFELSELMNQLPIKRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun03g026200.11.v1.2 pep primary_assembly:ASM411807v1:3:1962468:1965008:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPAIRHPLDQSRKIQKTLCLHFHRQNWLRRRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun03g026200.9.v1.2 pep primary_assembly:ASM411807v1:3:1962468:1965008:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIIDGNNLGHGNTNWIVKEDVNDDTSDSTSIGSISEDSENSVSSFSSSELAEEVSSSSSSSSNSNGPLFELSELMNQLPIKRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun03g026200.12.v1.2 pep primary_assembly:ASM411807v1:3:1962468:1965008:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPAIRHPLDQSRKIQKTLCLHFHRQNWLRRRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun03g026200.1.v1.2 pep primary_assembly:ASM411807v1:3:1962436:1965008:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIIDGNNLGHGNTNWIVKEDVNDDTSDSTSIGSISEDSENSVSSFSSSELAEEVSSSSSSSSNSNGPLFELSELMNQLPIKRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun03g026200.13.v1.2 pep primary_assembly:ASM411807v1:3:1963890:1964471:-1 gene:Vigun03g026200.v1.2 transcript:Vigun03g026200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPAIRHPLDQSRKIQKTLCLHFHRQNWLRRRGLSMFYEGKAQSFSSLASVESVEDLVKKERPCYRKKMKSCKSFGGGLDNPRISFTPKATISKKASRGTLVSVLTKRRSFLGASRTSSFAVQKNF >Vigun11g034500.1.v1.2 pep primary_assembly:ASM411807v1:11:4659086:4661518:1 gene:Vigun11g034500.v1.2 transcript:Vigun11g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGINYPGTKAELRGCINDVWRMHRCLVKRYGFSEDDITVLIDTDKSYREPTGKNIRSALTSLIRSAKAGDVLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFREFVEGVPRDCKLTIVSDSCHSGGLIDGAKEQIGNSTKRDGQHSGSGFGLSSFLRRTAEDAIESRGIHIPSALRHHRHKHDDEADDRDIELPHEQYGHVKNRSLPLSTVIEILKQKTGKSDIDVGKLRLSLYDIFGEDASPKVKKFMKVILNKLQHGDGGSEKHGGILGLVGSLAQEFLKQKLDADDNGYAKPAMETKVDSKYEAYAGSTKPRLPDGGILLSGCQTDQTSADASPAGNAADAYGAFSNAIQAIIEERRGAVTNQELVLKAREKLKRGGFTQRPGLYCSDHHVDGSFVC >Vigun01g243400.3.v1.2 pep primary_assembly:ASM411807v1:1:41234757:41237080:-1 gene:Vigun01g243400.v1.2 transcript:Vigun01g243400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPYQLSRLPYHDSLKVLEADIQHANALAKGGTVLQMKLVYNQLAPLFLLFLQWMDCSCAGFLHRYLNLFHIIIYKVHNDGRSNMSTHGRKATIGDFYAVILPSLQRLHGSLEKLEAVEEGESSIEGSSYGNKVIEATGRLTNIDLQREDECGICLEPCTKMVLPGCCHAMCIKCYRKWNRKSESCPFCRGSLRRVNSEDLWVLTCDDDVVDAETVSKEDLLRFYLYISKLPKDHPDALFLMYYEYLI >Vigun01g243400.1.v1.2 pep primary_assembly:ASM411807v1:1:41234757:41237080:-1 gene:Vigun01g243400.v1.2 transcript:Vigun01g243400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPYQLSRLPYHDSLKVLEADIQHANALAAAIPRAKGGTVLQMKLVYNQLAPLFLLFLQWMDCSCAGFLHRYLNLFHIIIYKVHNDGRSNMSTHGRKATIGDFYAVILPSLQRLHGSLEKLEAVEEGESSIEGSSYGNKVIEATGRLTNIDLQREDECGICLEPCTKMVLPGCCHAMCIKCYRKWNRKSESCPFCRGSLRRVNSEDLWVLTCDDDVVDAETVSKEDLLRFYLYISKLPKDHPDALFLMYYEYLI >Vigun01g243400.2.v1.2 pep primary_assembly:ASM411807v1:1:41234757:41237080:-1 gene:Vigun01g243400.v1.2 transcript:Vigun01g243400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLHRYLNLFHIIIYKVHNDGRSNMSTHGRKATIGDFYAVILPSLQRLHGSLEKLEAVEEGESSIEGSSYGNKVIEATGRLTNIDLQREDECGICLEPCTKMVLPGCCHAMCIKCYRKWNRKSESCPFCRGSLRRVNSEDLWVLTCDDDVVDAETVSKEDLLRFYLYISKLPKDHPDALFLMYYEYLI >Vigun01g142300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32319246:32320054:1 gene:Vigun01g142300.v1.2 transcript:Vigun01g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFQHSDWGTLMEPCDILFEPFSSKDICCDPFHDSLSFDMIEKSKDSEEASEVIEAAEVVQSKKCYIGVRKRPWGRFAAEIRDATRKGTRVWLGTFSNAEEAALAYDQAAFLMRGSTALLNFPVKRVMESLHDLNYFGCNQGCSPALELKKRHNMRRKLSSKAKETKTKLEFQ >Vigun10g066500.1.v1.2 pep primary_assembly:ASM411807v1:10:15369988:15371464:1 gene:Vigun10g066500.v1.2 transcript:Vigun10g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGSKCGCGSSCSCGSNCSCSKYSFDMSYAEKTSTDTLVLGVGPVKPQLEGAEVAAEDNGCKCGSNCTCDPCNCK >Vigun02g010700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4206090:4207193:1 gene:Vigun02g010700.v1.2 transcript:Vigun02g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSFKSNSQNPKHHHDHHETTPNKKFSEISHFGHKQHKLTFDYSESPFQCDGCKELGIGSRYSCSLCDFDLHTHCSIPSPSLFHPFYPKCSFHFLSHPPGNTPRYCNACQKPVKGFLFHCFSCGFDLHPCCAKLPTVIGSDDNKDDSDKNEVRLLLYRTVRSACHRCGQKGRGWSYRSSCKRYNLHVACVRELVVESWHEGGGLKTLVESGCHKGRGGKGGRVRRCCEVAGVAVQVAVSAVLGDPTALIAGIVGSLVSRA >Vigun11g091950.1.v1.2 pep primary_assembly:ASM411807v1:11:27390437:27391288:-1 gene:Vigun11g091950.v1.2 transcript:Vigun11g091950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTESINILWTFNTIHVAYVDELVEEWELVDNKGQIHFVTYNMDVECPKITHGWMDIRRDFHISGDYYVQFRYTGNNHFEITVFLGTCTELSIQRYLHHATTEFHDTIFCVRLTKYQSRDSHLDLNIKFDSPRSTNIRKGWKEFCNHHQLKEGDRVFFQVDHVDADEFITVFVNKCLCDD >Vigun10g166500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38546113:38546547:1 gene:Vigun10g166500.v1.2 transcript:Vigun10g166500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYPWFLIFGTLAISFTFFTFIMLGWCLDTNHIRSLPTTALSFDDKFSPCKSLESHSITFHYKAAEGTNQTECVICLTSFEEEESVRKLHTCKHIFHTFCIDKWLGSHSGCPLCRTQIDKVSSLNSRMSLEENDHMIMVIVNS >Vigun03g336300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53448192:53449931:1 gene:Vigun03g336300.v1.2 transcript:Vigun03g336300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPVISAIKFVSQKIQLLQIHAHIIRTNLIQYSPVSTQFLSRIALSGPLQDANYSRRFFEQLAHPLVSHYNTMIRACSMSDSPRKGLLLYRDMRRRGIAADPLSASFAVKSCIRLLYLLGGVQVHCNIFKDGHQWDTLLLTALMDLYSQCQSGGDACKVFDEMPQRDTVAWNVMISCCVRNNRTRDALSLFDVMQRSSDKCEPDDVTCLLLLQACAHLNALEFGERIHGYITERGYGDAPNLSNALISMYSRCGCLEKAYEIFKRTGNKSVVSWSAMISGLAMNGYGREALEAFEEMLRIGIQPDDQTFTGVLSACSHSGMVDEGMSVFDRMNREFGITPNVHHYGCMVDLLGRVGLLDKAYQLIMSMVVKPDSTIWRTLLGACRIHGHVTLGEQVIGHLIELKAQEAGDYVLLLNIYSSAGHWEKVAEVRKLMKDKAIQTTPGCSTIELKGVVHEFVVDDSSHSKNRLIYEKLDEINHQLRIAGYVVELSSELHKMNDKEKGYVLSHHSEKLAVAFGVLATPPATTLRVASNIRICVDCHNFLKLFSGVYNRDVFLRDHNRFHHFRGGHCSCNDHW >Vigun03g440600.1.v1.2 pep primary_assembly:ASM411807v1:3:64334853:64336533:1 gene:Vigun03g440600.v1.2 transcript:Vigun03g440600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEERERRRLRDRQRRQSMTKEQRERHLARRRRNYQLRRQRAANAPLLLLPQPSATTASHLPCLTPPSPSHHTLQIIQDTMNDGFSIQLEGSSNRLRLSNVKRFARKLGNPKSVVAPLDSHHLAPEFIIPGSSDNGGNSGTTKILRLNNVKRFARSLNFSAEEHPLQK >Vigun03g440600.3.v1.2 pep primary_assembly:ASM411807v1:3:64335001:64336533:1 gene:Vigun03g440600.v1.2 transcript:Vigun03g440600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEERERRRLRDRQRRQSMTKEQRERHLARRRRNYQLRRQRAANAPLLLLPQPSATTASHLPCLTPPSPSHHTLQIIQDTMNDGFSIQLEGSSNRLRLSNVKRFARKLGNPKSVVAPLDSHHLAPEFIIPGSSDNGGNSGTTKILRLNNVKRFARSLNFSAEEHPLQK >Vigun03g440600.2.v1.2 pep primary_assembly:ASM411807v1:3:64334850:64336533:1 gene:Vigun03g440600.v1.2 transcript:Vigun03g440600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEERERRRLRDRQRRQSMTKEQRERHLARRRRNYQLRRQRAANAPLLLLPQPSATTASHLPCLTPPSPSHHTLQIIQDTMNDGFSIQLEGSSNRLRLSNVKRFARKLGNPKSVVAPLDSHHLAPEFIIPGSSDNGGNSGTTKILRLNNVKRFARSLNFSAEEHPLQK >Vigun08g103250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25638425:25638691:-1 gene:Vigun08g103250.v1.2 transcript:Vigun08g103250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGHFRKTCPLRPKEYGPEPAPDSAPKSTTAPTPDSAPESTIAPTPAVNVPDSAPTTAPKFVTHPASASASELPAPVSATQPAPATA >Vigun11g062400.1.v1.2 pep primary_assembly:ASM411807v1:11:14031727:14032593:-1 gene:Vigun11g062400.v1.2 transcript:Vigun11g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELSDKTEVSVGLEALWQALSKDLAATIVKVIPNIVKDATVVEGDGGLGTIFLFNFFSDVSPVSYQKEKITKLDETCHEIALQVVEGGYLEQGFSYYKTSFQLSAMGEEKTLVKVKVSFDSESETAGSVQPLKTAESVLSFVRCLETYLLNDA >Vigun03g236100.1.v1.2 pep primary_assembly:ASM411807v1:3:39259999:39263371:-1 gene:Vigun03g236100.v1.2 transcript:Vigun03g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADVTHDVVSLLSSPERDYLLRNNGDQVKIESLKGKKIGIYFSASWCGPCRKFTPTLVEAYNEVVSKGDFEVVFASADEDDEAFKGYFSKMPWLAIPFSDSETRSRLDELFQVRGIPNLVLLDETGKVVTEDGVDIVREYGVEAYPFTSARIQELRDREEEARRNQSVRSLLISPSRDFVISSNGNNVPVSELEGKTVGLYFSHSSFAPSSDFTPKLVKAYEELKARGENFEVVLIPLDEDEEAFKEILGSVPWLSLPFKDKFCGKLAQYFELSTLPTLVIIGPDGKTLNANVAEAIEDHGVEAYPFTPEKFAELDEILKAREAAQTLESILVSEDLDFVIGKDGAQVPVSELNGKTVLLYFSAHWCPPCRAFLPKLVDAYHEIKAKGNALEVVFISSDKDQASFDEFFGGMPWLALPFGDSRKRFLSRKFRVSGIPMLVAIGSNGRTLTTKARDMVLQYGARAYPFTDEKIKELEAEEDEIAKGWPEKLTHETHEHELVLTRRRVYYCDGCNEQGHAWSYYCEKCDFDLHPKCVLKEEDKGSKDDPDVKEEDKSKDGWVCDGEVCKKV >Vigun08g080000.1.v1.2 pep primary_assembly:ASM411807v1:8:16565298:16588539:-1 gene:Vigun08g080000.v1.2 transcript:Vigun08g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTFEAVVSVFVTVHPHETSALLHSFFCFFFILSAYFVVLPLRDDGAISLGLSNLPGLFVGSLLLTLIAAPFSSFMFSLPNLSKGKALFLIHRFFSVSLILFFILWHSSSAGYSKSNFTGSMPLTHPSKEERKIGDQGSLTSSFGWDNHGWFYISVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAIGMAFVGPFLLLFAALLMELAAQTSRGINCGTSHAEEKLSPIRKSDSNHENKTDEIQKTEHTPRGSPKSSTSAKLPIWPIFEGLWLILSSTYLLHVSLFIWLSAVVSSFFYFQKVSVIATTVSSSVGRRKLFAQINSFIAVFILGGQLTLTGRILTVAGVTIAICATPFVGFVNLVTLAVWPNWVVVAICETLRKVVTYVVTRPGRELLFTVVSEDEKYKAKVCIDVLVQRLGDAAAAGMYKVLFGTLNGNPSTVSLYGLPVCLFWIVSAYSLGRRQVQLSKHQISST >Vigun08g080000.2.v1.2 pep primary_assembly:ASM411807v1:8:16565298:16588539:-1 gene:Vigun08g080000.v1.2 transcript:Vigun08g080000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRWGYQICRVYSWGLCCSPSLLRPFLLSCSLCLTFPKALFLIHRFFSVSLILFFILWHSSSAGYSKSNFTGSMPLTHPSKEERKIGDQGSLTSSFGWDNHGWFYISVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAIGMAFVGPFLLLFAALLMELAAQTSRGINCGTSHAEEKLSPIRKSDSNHENKTDEIQKTEHTPRGSPKSSTSAKLPIWPIFEGLWLILSSTYLLHVSLFIWLSAVVSSFFYFQKVSVIATTVSSSVGRRKLFAQINSFIAVFILGGQLTLTGRILTVAGVTIAICATPFVGFVNLVTLAVWPNWVVVAICETLRKVVTYVVTRPGRELLFTVVSEDEKYKAKVCIDVLVQRLGDAAAAGMYKVLFGTLNGNPSTVSLYGLPVCLFWIVSAYSLGRRQVQLSKHQISST >Vigun08g080000.3.v1.2 pep primary_assembly:ASM411807v1:8:16565298:16588539:-1 gene:Vigun08g080000.v1.2 transcript:Vigun08g080000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRWGYQICRVYSWGLCCSPSLLRPFLLSCSLCLTFPKARFFSVSLILFFILWHSSSAGYSKSNFTGSMPLTHPSKEERKIGDQGSLTSSFGWDNHGWFYISVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAIGMAFVGPFLLLFAALLMELAAQTSRGINCGTSHAEEKLSPIRKSDSNHENKTDEIQKTEHTPRGSPKSSTSAKLPIWPIFEGLWLILSSTYLLHVSLFIWLSAVVSSFFYFQKVSVIATTVSSSVGRRKLFAQINSFIAVFILGGQLTLTGRILTVAGVTIAICATPFVGFVNLVTLAVWPNWVVVAICETLRKVVTYVVTRPGRELLFTVVSEDEKYKAKVCIDVLVQRLGDAAAAGMYKVLFGTLNGNPSTVSLYGLPVCLFWIVSAYSLGRRQVQLSKHQISST >Vigun07g275100.1.v1.2 pep primary_assembly:ASM411807v1:7:39047907:39059523:1 gene:Vigun07g275100.v1.2 transcript:Vigun07g275100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQETVVTMNQEEDELDSKESVLQKYFLQEWNIVKSLLDDIVSNARVSDPSSVRRIRSILDKYQEQGQLLEPYLETIVSPLMNIIRSRTLELGTASDEILEIIKPICIIVYSLVTVCGYKSVIKFFPHQVSDLELAVSLLEKCHSTNSVTSLRQESTGEMEAKCVTLLWLYILVLVPFDISTVDTSIANDDSLTEFELSPLVLRIIGFCKDYLSTAGPMRTMAGLVLSRLLTRPDMPKAFTSFVEWTHTVMSSVTEDLLHHFQLLGVVEALAAIFKAGSRNLLLDASPVVWNDSSMLYKSSDATRSPLLRKYLMKLTQRIGLTALPHRLPSWRYMGRVAKLNVSLSTSNRVDQSNLGVNESYSNPNGMSDREEDEDMDVPENVEEIIELLLSGLRDMDTVVRWSAAKGIGRISSHLTSSLSQEVLLSVLELFSPGEGDGSWHGGCLALAELARRGLLLPASLPKVVPVIVKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYYHTDMRNILEEFAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYLHVAVSIAQYEGYLFPFVDDLLDRKICHWDKSLRELAAEALSSLVKYDPQYFASTVLEKLIPCTLSSDLCMRHGATLATGELVLALHQCNFALPSDKQKSLSGVVPAIEKARLYRGKGGEIMRAAVSRFIECISIYKVVLSEKIKRSLLDTLNENLRHPNSQIQNAAVKGLKHFICSYMHASDNKGTNDVTAKYLSMLADPNVAVRRGSALALGVLPYKLLASQWRNVLLKLCGSCKIEENPEDRDAEARVNAVKGLTLVCETLIDGREDTATSFVEDDFSLFILIKNEVMTSLFKALDDYSVDNRGDVGSWVREAALDGLEKCTYMLCKIDKSICLSKRLVTNENEATAHPLTDVMLKNNRELSLFDENLAINLVGGICKQAVEKMDKLREAAANVLYRILYNQMIYIPYIPFREKLEEIIPKEADAQWAVPSYSYPRFIQLLQFGCYSRDVLSGLVISVGGLQDSLKRASLLALLEYLEGVGSEDPNTRTSRVYMLSADILWVLQQFKKSDRVIVPTLKTIEILFSKKIFFNMEAHTATFCAAVLDCLSIELKGSKDFSKLYAGIAILGYIASVQEPINKKAFAQLLDFLGHRYPKIRKASAEQLYLVLLENGNLVGEDEIDKALEIISETCWDGEVDLAKQHRLKLYEIVGLEVRPLGNNSDGTSRKTGSKKPTNLDENASYSSLVESSGF >Vigun05g103300.1.v1.2 pep primary_assembly:ASM411807v1:5:10317560:10319287:-1 gene:Vigun05g103300.v1.2 transcript:Vigun05g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTSCSKAILGTHVPSSVPNASNTPNFFFTTNDKKAFNFGFPRLVQNKGLFPPHAVPSKTMVDLDNLVLQTPEEQPKEEAKIINVKFQMHRNCKFGEQFLVVGSDPMFGSWNPAKAIPMAWSEGHVWTAEMAVPAGKFQYKIILKRRNGDIMWQPGPDRLVQTWEAMNRITVCEDWENSKLQTVTDDDEEVSNTSEEAELGQTDKDSTMESEVADLAENLDCSEENQNSNASTVMKSTL >Vigun06g041400.1.v1.2 pep primary_assembly:ASM411807v1:6:16232028:16234167:-1 gene:Vigun06g041400.v1.2 transcript:Vigun06g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNDEMFVRSGKMSKVLSLMNKTFYSNKEIFLRELIYNASNALDKIQIERPIDENILDDGMIIRLVPHKGNKTLSIIDTGIGMSKADLEDSLGVGFYSAYLVAHKVIVTSKHNDHDQYIWESLSNGSFIVTKDTNTQQPSRGTNITLFLKDNQLEYLEETTIKNLVVKNCHHISYPIYLWNTKEHWQLINIWLHNQERDKIIIAQRLVNHLPNDLIFSILSKLCLKSLKRFGCLQRSWTLLFENSHFMNLFHNNFICNNHSSYDDTSLLLSLTIDRTTLYSVSDERFQNMEKIDWPNSFQEDYSALCSIVGSYSINGIICIYSHVGETMYLWNPTNNEFKVIPPSPFEPLQYGIPLNRNCQGFGYDSVRDDYKVIKHVSLNDAFTIEDFYDPKYATIVEMYSLRRNSWKKLEHDIDLPVCYDDIDKFYFEGMCHWLGYPYELAEAYLVSFDLSNEVFIITKTPLDIPEEIFYNSQADYIWRHLLILNGSIAFMLNFEHTIYLYILVEVGKKETWTKIHVFGPTPCIAYPIGTWNMGNILFNTPDDDELVWFNLCTHKIEKLNFKVNVHVTCSNGLQLVVYKESLVRINS >Vigun05g123400.1.v1.2 pep primary_assembly:ASM411807v1:5:13678894:13682157:-1 gene:Vigun05g123400.v1.2 transcript:Vigun05g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLSCVQQHEDHGLFPALASGELEVVETMVEEDPTVLELTTGHDRLSPLHVAAANGRIQVLSMLLDRSSNVDILNRLKQTPLMLAVMHGKTGCVEKLIHAGANILMFDSIRRRTCLHYAAYYGHIDCLKAILSAAHSTPVADSWGFARFVNIRDGNGATPLHLAARHRRSECLHALLDNGALVCASTGGYGYPGSTPLHMAARGGSLDCVRMLLAYGADRLQLDSSGKIPFSVALKHKHKACAALLDPSSAAPLVWPSPLKFISELSQEAKALLEKALLEANREREKAILKEVHMPPSPLHSESEDSNMASEADDMELCCICFDQVCTIEVRPCGHQMCAHCTLALCCHKKPDPATNSLSGPACPFCRGAIIQLLVAKINKSCDTEVESSPMKPRRSRKSNFSEGSSSFKSLSASFGRIAGRNSGKITDEKQWEVS >Vigun03g296600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48314817:48315533:-1 gene:Vigun03g296600.v1.2 transcript:Vigun03g296600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLILMLILSVTATEMDARNEEDVVAATREMQKANYFTFVMLINMSPPDTRLVGNVTFLMPNDRMLANMVLQEGSVSDFLLRHSIPSPLLFEVLGQFPTGTAIPTSLPNCMLRVSNNGRKNYVLNNVKLISPNICVAGSSIRCHGIDGVLSEVCTSVGNYSVPSLTCDNSTQQPLCKASPISPSLPSAPSPARDILNNSPTFPTPDATTHKSGSPYRFSYHISLVFLMLSLIGISI >Vigun01g042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5963401:5965041:1 gene:Vigun01g042200.v1.2 transcript:Vigun01g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSSFFNCCVPSSSSSSSMKVSDVELKAPSSDKQKKKSSKSKGAPVVMSYFPINSSLSRL >Vigun09g175100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34643210:34644327:1 gene:Vigun09g175100.v1.2 transcript:Vigun09g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLPPAPSLPPESPPEKNDLNTYVVRVPKDQVYRFPPPENARLAEQHQASTPKQAKTSRCCLCCIVVSIVILVLLIVLGGILGGIFSMLLSPKDPQFLVERFTVGDTNHSYIITLNVHNPNADVGISYKNNSSVSLSLDGKQIAKGEYPGMYVDHLDSTSLGVPLKGSTTPKKVKESMSNTKKKVPLTFSTAIRSRARMKMGLLRSGTMTFDVSCMFKLDTLVNSTRILSQQCQTKRH >Vigun03g040800.3.v1.2 pep primary_assembly:ASM411807v1:3:3135207:3149135:1 gene:Vigun03g040800.v1.2 transcript:Vigun03g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVAFFLRMMMWRVSAMATNMETRLQLYRQCRMIGDGVSFTGWFEKSTQLKGFDGLETKTFGLSPYSDIVDNKHAGTGTNYYAYKGKVVSSSMIISENLFTPYAVVYGFLSGEIEVVRFDLFQGICLDDASSNPDEKPSACKQFFSGHTGAVLCLAAHQMMGSAKSWNFKQVLVSGSMDCTIRIWDLDTGSLIMVMHHHVAPVRQIILAPPLTVHPWSNCFLSVGEDACVALVSLETLRVERIFPGHVNYPSKVLWDGARGYISCLCQTHYGTSDANDVLYIWDVKTGSRERVLLGTAAHSMFDHFCKCVSKNSVSGTLLNGNTSVSSLLLPIVDDARFSNSPLNSSDNLLTSSRSSPNISNMTELNSSNTNAGKEISVKPDSSSQIALLSSKLPIKCACPFPGIVSLCFDLASLMLLFQKNESIENGGGKPVIINLKQQGVQEQNPSHRNSETLEGHDLVNLFEEYLLRYSLSYLHSWSVDTELDNLLISDMKLRRPENFIVASGLQGDKGSLTLTFPAQSANLELWKSSSEFCALRSLTMVSLAQRLISLSHSGSAASSALAAFYTRNFLENFPDVKPPSLQLLVAFWQDESEHVRMAARSIFHCAASHVIPLPLCNLKPTESNNMSFHTGSRDTHNLGNMREGSISPKVEKQGVSRDEESKILAWLESFEVQDWISCVGGTSQDAMTSHIIVAGALAIWYPSLVKPSLSRLVVHPLMKLAMAMNEKYSSTAAELLAEGMESTWKECIVSEIPRLIGDIFFQVELSGPSSKSVKEISDASFSIKKTLVEVLLPSLAMADITGFLAVIESQIWSTASDSPVHMVSLLTLIRIMRGSPKNLAQYLDKVVNFILQTIDPSNSVMRKACFQSSMTTFKELVRVYPMVAVTDSWTKLAVGDVIGEVNNANIRVYDMQSVTMIKVLDASGPPGLPTLLPASSLGTMLTTAISALSFSPDGEGLVAFSEHGLLIRWWSLGSFWWEKLSRNFVPVQCTKLIFVPPWEGFSPNSSRSSIMANILETDRLQNFQDNARDSNHGDSPKQLLHNLDLSYRLEWVEGRKVLLTRHGHELGTFQL >Vigun03g040800.1.v1.2 pep primary_assembly:ASM411807v1:3:3135207:3149135:1 gene:Vigun03g040800.v1.2 transcript:Vigun03g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSGTPFPHRVTAVAALTEPPTPTFYTAGSDGSIIWWTISSSTSTPEVKAVGVLCGHGAPVTDLAVCRPIADAGNGYTSSTSKFNALISACCDGFLCVWSKNSGHCRCRRKLPPWVGTPRLIRTLPSTPRYVCIACSLEGNEGLIDRETQLRKPPKCTVLIVDSYSLSITQTVFHGSLSIGPIKFMALVLGDDDEKRNSVFVADSDGRQQMVPISEDRGENLAGSLGDKGQLETSFFDEELSSVEQVVSVLTYGNVVASILEDRCVFRLLNHSVIGEVSFADSLFSLDQGCTQTHADGGIFLENDDVESVCNGNEYGNSITVRFVVWNNVGYAVIYNVLYQNDVFRCEPLSEIPGIRYQPDMRLSVFFQQVSQYLVCIKSICYNYEDPLLWRPLATMWSLHDFSDEPGRLYRQCRMIGDGVSFTGWFEKSTQLKGFDGLETKTFGLSPYSDIVDNKHAGTGTNYYAYKGKVVSSSMIISENLFTPYAVVYGFLSGEIEVVRFDLFQGICLDDASSNPDEKPSACKQFFSGHTGAVLCLAAHQMMGSAKSWNFKQVLVSGSMDCTIRIWDLDTGSLIMVMHHHVAPVRQIILAPPLTVHPWSNCFLSVGEDACVALVSLETLRVERIFPGHVNYPSKVLWDGARGYISCLCQTHYGTSDANDVLYIWDVKTGSRERVLLGTAAHSMFDHFCKCVSKNSVSGTLLNGNTSVSSLLLPIVDDARFSNSPLNSSDNLLTSSRSSPNISNMTELNSSNTNAGKEISVKPDSSSQIALLSSKLPIKCACPFPGIVSLCFDLASLMLLFQKNESIENGGGKPVIINLKQQGVQEQNPSHRNSETLEGHDLVNLFEEYLLRYSLSYLHSWSVDTELDNLLISDMKLRRPENFIVASGLQGDKGSLTLTFPAQSANLELWKSSSEFCALRSLTMVSLAQRLISLSHSGSAASSALAAFYTRNFLENFPDVKPPSLQLLVAFWQDESEHVRMAARSIFHCAASHVIPLPLCNLKPTESNNMSFHTGSRDTHNLGNMREGSISPKVEKQGVSRDEESKILAWLESFEVQDWISCVGGTSQDAMTSHIIVAGALAIWYPSLVKPSLSRLVVHPLMKLAMAMNEKYSSTAAELLAEGMESTWKECIVSEIPRLIGDIFFQVELSGPSSKSVKEISDASFSIKKTLVEVLLPSLAMADITGFLAVIESQIWSTASDSPVHMVSLLTLIRIMRGSPKNLAQYLDKVVNFILQTIDPSNSVMRKACFQSSMTTFKELVRVYPMVAVTDSWTKLAVGDVIGEVNNANIRVYDMQSVTMIKVLDASGPPGLPTLLPASSLGTMLTTAISALSFSPDGEGLVAFSEHGLLIRWWSLGSFWWEKLSRNFVPVQCTKLIFVPPWEGFSPNSSRSSIMANILETDRLQNFQDNARDSNHGDSPKQLLHNLDLSYRLEWVEGRKVLLTRHGHELGTFQL >Vigun03g331500.1.v1.2 pep primary_assembly:ASM411807v1:3:52895168:52900801:-1 gene:Vigun03g331500.v1.2 transcript:Vigun03g331500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDQLKKQIENLEMMKADGALDKARKHKKRQLQDTLNLVLKKRKEYEEKMREKGEAPVMFSHLGPPRRRTTAEEEERVKHPKPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSGASSSGAASSSAMETEDDVLAVPPPPPPPPLPDGSSNASSADGTVLPASLPLPPPPPMPPKPASAVSASLPPPPLPPPPPGPPPKDQVANRPIPPPPPPLQQSQPPPPGTSGGEGRNQSALTDDSPSKDPSQVQLPPPPPPNQVPPKTGMVQSDGAVSTESNNSLSNQEIPKMVAGHPPPRQQPPVPGPALIPSLQPDVLPPGISRFPPPPPPPDMRPPLPVAGLPGQAPPPGMMVPLIHRPPYGPPPGPPPMMRPPLPPGPPPNFQEEDHMAIRPPPPPPKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAMSKTKPKPAVPTSRSVSGTSGPTIVKSESVSSSSAPKAQSIDDSYTAFLEDMKALGALDG >Vigun08g056633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:7057797:7058939:-1 gene:Vigun08g056633.v1.2 transcript:Vigun08g056633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRRKILTRRRTAPRPTQPSIRSASIEGWISDEDRRDEFVQIWKNKALISPKYITLRKFTTAGFEFPALFNFQGIRPFVEMHGNYYPDLVRVFYYNLKIRDEVATSKVKGVDIIIDNDIWENVAKFPINDAAESILHGIAGFNRILAYQSFLRNPAQDVGRQLLAGGLKMDERLIHYLIVWILCPRGTNHAQCSEADLLIMYAILNRVPIKWSSLILDTMLKAKRYPQYPLPYSLLISRICEYKGVDTTGELCQSTLRANEIAESSLKQLKLVPLGDTYVHRDDMPNYDAEDDELPPADPIPPATHNVGSSSGAGSSFSIEDNIANMNKRLEELFLLSTSRHEEVVGLIRGIDTRISNLEDRFDEEFTHDDDMSAEF >Vigun02g041500.1.v1.2 pep primary_assembly:ASM411807v1:2:16944382:16944710:-1 gene:Vigun02g041500.v1.2 transcript:Vigun02g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFYLEVVIGALLQLGFGYACVCCMLLWLVFNTAVSMSLRPKRTCHGVVVCYEGFQIKKFSSFFLLLRVDLT >Vigun11g184500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38630778:38631050:1 gene:Vigun11g184500.v1.2 transcript:Vigun11g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRASLTARQATSKSENIPKGYFAVYVGEKQNRFVIPISYLNRPSFQDLLSQAEEEFGYDHPMGGLTIPCSEEVFKHTISFFN >Vigun09g142000.1.v1.2 pep primary_assembly:ASM411807v1:9:30428663:30429994:-1 gene:Vigun09g142000.v1.2 transcript:Vigun09g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLSTSSLLLSPKTGYSVKPKNNVVTPCMASFPSRKEFPRLQRMRVQASGDNKDHSVEVQHVNKGDQTTAVERKPRRTALDISPFGLLDPWSPMRSMRQILDSMDRIFEDTMTFPGRNVGGGEMRAPWDIKDEEHEIRMRFDMPGLSKENVKVSVEDDVLVINGGHKSEQEQGGDDSWSSRSYSSYNTRLKLPDNCEKDKVKAELKNGVLYITIPKIKVERKVIDVEVH >Vigun03g337600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53564742:53566612:1 gene:Vigun03g337600.v1.2 transcript:Vigun03g337600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKGSVPAKGKRVVQEHLLFLLENCNSVNALIQIHTQVLLNGLSHKNIIVAKLLSFYIASGHLRHAHHLFAKMDNPTTTVWNHVIRGYARSHMPWKSMQCYSHMLSAEAQPDGFTYSSLLSACARGGLEKEGEQLHATVLVKGYCSNVFVDTSLINFYTRRDSVQRARHVFDDMAERSVVSWNSILAGYVRCGDFDGAMRVFDMMPCRNVVSWTTMVSGCAQNGKCRQALLLFGEMRRARVELDQVALVAALSACAELGDLKLGRWIHWYVQQWFVSRNKQPSVRLNNALIHMYASCGIIREAHKVFVKMPWKSTVSWTSMIMAFAKQGLGKEALDVFKTMLSDGVGVNGVSPDEITFIGVLCACSHAGFVDEGRQIFASMKHTWGLNPRIEHYGCMVDLLSRAGFLDEARGLIETMPLKPNDALWGALLGGCHIHKNSDLASQVEKNLVPELSVDQAAGYLVLLSNIYAFGQRWQDVITVRQKMIEMGVKKPPGRSWIQINGAVHNFVAGDMTHKHSYFIYEILYDVTKQANLEGYESEINVFLDVEL >Vigun01g180900.1.v1.2 pep primary_assembly:ASM411807v1:1:36195367:36200373:1 gene:Vigun01g180900.v1.2 transcript:Vigun01g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRMIDIAVNFTDGMFKGIYHGKQCHVSDIATVLNRAWAAGVTRIIVTGGSLEESREALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQALLSLAKDGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAYITKLPMFLHMREAAADFCEIVEKNKDRFTAGVTHSFTGSMDDSIKLLSFDKMYIGINGCSLKTTENLDVVKGIPIERMMIETDSPYCEIKNTHAGIGFVKSTWPSKKKEKYDPECIVKGRNEPCLVKQVLEVVAGCKGINDVANLSRTIYHNTCRIFFPHDLDSAADALLAGGNSS >Vigun01g180900.2.v1.2 pep primary_assembly:ASM411807v1:1:36195367:36200373:1 gene:Vigun01g180900.v1.2 transcript:Vigun01g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRMIDIAVNFTDGMFKGIYHGKQCHVSDIATVLNRAWAAGVTRIIVTGGSLEESREALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQALLSLAKDGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAYITKLPMFLHMREAAADFCEIVEKNKDRCDCWISRFTAGVTHSFTGSMDDSIKLLSFDKMYIGINGCSLKTTENLDVVKGIPIERMMIETDSPYCEIKNTHAGIGFVKSTWPSKKKEKYDPECIVKGRNEPCLVKQVLEVVAGCKGINDVANLSRTIYHNTCRIFFPHDLDSAADALLAGGNSS >Vigun09g061100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6332259:6332795:-1 gene:Vigun09g061100.v1.2 transcript:Vigun09g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTSLFQCCFVDYIENNMSKLKVNQLRQLRNIFEKFDTDSDGSLTNLELAALLRSLGLKPSSDQIHVLLTNLDSNGNGFVEFDELVDAILRNISAEILLNQEMLLGVFKYFDRDGNGFITAAELAGAMAKLGQPLTYRELREMITEADTDGDGVISFNEFVTVMGRSASDFLDFALL >Vigun11g160400.1.v1.2 pep primary_assembly:ASM411807v1:11:36785739:36788290:-1 gene:Vigun11g160400.v1.2 transcript:Vigun11g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEVSAKNNTNVNNKLRKGLWSPEEDDKLMNYMLNSGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNMSSNNTSPNGSESSYDPNKDLNMAGFTTSNTHQDQHADFMPMFNSSSSSPSPSTHATVLNSIIDRLPMLDHGLNVPVSGGFFNAAGPCFSTTQSGVDHKGFYLENGGIFGSVNIGTEGDMYVPPLESVSTTSDHNLKVESTCNTDTNHSNYFDDINSVILNNCCNNKRTENRAGVENLFQEELTMGEWDLEELMKDVSSFPFLDFSNIQ >Vigun10g039000.1.v1.2 pep primary_assembly:ASM411807v1:10:5318953:5323946:1 gene:Vigun10g039000.v1.2 transcript:Vigun10g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKESLELLSWHAFREAKPKEEYHYLARSVLSYCRGLPLALEVVGSCLFERTEEEWDIVLSRLRKMTYHIWKISFYCLGNQMERDLFLDVCCCFVGEDIAYATRILNGCGVDADSGIRVLIDRNLIKLKKNNKLGMHPLLQEMGRHIIREIRQEEMWKERRLRFDGAEYVLTDNTKTGTIERLPLKLRSGRRELSRLLKAAVNSDYLSPKLRWIRLQGFSSQHLSNSFNVDHAIAIDLKHSLLRFVWTEPQVLRGLKVLILSHSKNLTQTPDFSGLPSLEKLILKDCSGLRKVHQSIGCLSHLTLLNLKDCTSLRNLPRDICKLKSLKTLILSGCPMIDLSEKDVVEMESLITLIAENTTMKHVPFSILNSKSIGHISLRGFEGLPRNLFPSIIRSWLSPEMNSISYIHSSFMDIDNCWDDIASLLSSLKNLRSILVQCDTDFQLSNQVKSIVVEYFANFTESGISKQQFRSPLIGLGTYHEFFNAVTDNISEALVNSECSNVSLPGDNLPYWLAYMGEGYSVSFTVPRDRDIKGLALCVVYLSTPEIVAIDYLRSVLIVNHTKCTLQIHNHGTVISFNDTDWHGIMSNLGAGDKVEIFVAFGHGLVVKNTILYLICDESDYLRKELESKMNSLLRFIMKIVMCDFS >Vigun01g239600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41016658:41017193:-1 gene:Vigun01g239600.v1.2 transcript:Vigun01g239600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun09g089050.1.v1.2 pep primary_assembly:ASM411807v1:9:12197379:12198518:-1 gene:Vigun09g089050.v1.2 transcript:Vigun09g089050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFHLQYNGRSFSPCDYHRIPHSLISVEVFSSLLKLSSVFSIVIFFSVEILSCLVGPVTRRKRRIRFVYGPESMNFKLRHQHTGQYLMELFN >Vigun09g016300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1176392:1178538:1 gene:Vigun09g016300.v1.2 transcript:Vigun09g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKLLYLKCVTSTATLPYPFIHHYPFLFSLSYRTITSKSRSFAVSYLIDNFGFSPESASKTSSSYNICFQTPEKPEAVIRFFRNHGFSNMQIKSMVRRLPWLLSCDPCKRVLPKFEFLSSKGVSSSEIVNLVNKYPAVLGPSLENQIVPTYELIYKFLQSDVKTIGCMFGNLLFSRCNLLAHNIRLLQENGVRESNIARLLGNRSHAVFASNDMVKLVKEVKDLGFDPSKAIFAVAMMAMKRISPPLWKEKVDTFKKWGWSDEALSEAFKRHPHVMLTSIKKINVVMNFWVNQLGRDALELVHFPKIFGLSMEKTVIPRALVVQHLLAKGLKKRVSFVTPISVSEQVFLERFVTCFEEESCELLKLYQEKVSVQRKEEVGAA >Vigun09g016300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1176270:1178538:1 gene:Vigun09g016300.v1.2 transcript:Vigun09g016300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKSMVRRLPWLLSCDPCKRVLPKFEFLSSKGVSSSEIVNLVNKYPAVLGPSLENQIVPTYELIYKFLQSDVKTIGCMFGNLLFSRCNLLAHNIRLLQENGVRESNIARLLGNRSHAVFASNDMVKLVKEVKDLGFDPSKAIFAVAMMAMKRISPPLWKEKVDTFKKWGWSDEALSEAFKRHPHVMLTSIKKINVVMNFWVNQLGRDALELVHFPKIFGLSMEKTVIPRALVVQHLLAKGLKKRVSFVTPISVSEQVFLERFVTCFEEESCELLKLYQEKVSVQRKEEVGAA >Vigun01g147400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32895666:32898969:-1 gene:Vigun01g147400.v1.2 transcript:Vigun01g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPVAAILPDQMEKPSTRNPNSRLCFLAALSAFFWFLLLYFHFAVLGNQSPKLTPITVTFQQPRKSLDSQTLIEAPPPPSFPFARALQTALNKSDSCGGRYIYVHDLPSRFNEDMLKKCRTLSLWTNMCKFTTNAGLGPPLENVKGVFSDTGWYATNQFAVDVIFSNRMKQYDCLTRDPSLAAAFFVPFYAGFDIARYLWGYNISVRDSASLDLVDWLVRRPEWKIMNGRDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAARNMSMLVVESSPWNANDFGIPYPTYFHPAKDDDVFIWQERMRRLDRKWLFSFAGAPRPDNPKSIRGQIIDQCKRSKVGKLLECDFGESKCHSPSSIMQMFQGSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNVSIEERLRQIPEEEVRIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAVIDKVTNLRKDIMEGRTDDNFIEENSWKYALLDEGQREVGPHEWDPFFSKPKDGNAESSDLSSEAAKNSWKNEQRDTS >Vigun04g091100.1.v1.2 pep primary_assembly:ASM411807v1:4:16572465:16580351:-1 gene:Vigun04g091100.v1.2 transcript:Vigun04g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNRVSEDRGNPPHNFRQTPLQIIHILANFMRIWSIYSMYRYIQQTGASVVLFLFACLAPASILFLILQKPWRGRPLSNTQVVPSIINGGITALYLVLWGKGLKSCGPARAILAEYSGAVLGVLSAVLYGRRAHMWKKIGGLVAMLASLFLLSEGWATATYSPFSWEDRDSSESQTEPVLGLKLMLIPIIAGILSALRRVIARRVSIKNQLKRRLHALTIASATCFMFPIAMWDMIIGSSSESDNNKKLPFSAWAFFSTVLFGNIVIFYADSIAEERLHMVFSSPRHLAAASACIIIMENLYKMDFSLAGFTICCLILGFGIHEATSLELNRKDSIQNSDSSIREFDDPIQMSPLPT >Vigun08g035100.1.v1.2 pep primary_assembly:ASM411807v1:8:3374876:3381786:-1 gene:Vigun08g035100.v1.2 transcript:Vigun08g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPPSSPPSSPSFLTHLPLHPPSSSDQGEKRVEEDESRVPIHIVTAASQLPVEFLEPSPQSKLVIGFDCEGVDLCRKGTLCIMQLAFPDAVYLVDAIEGGEELIKACKHALESNYITKVIHDCKRDSEALFFQFGIKLNNVVDTQIAYSLIEDQEGRTRLRDDYISFVGLLADPRYCGISYVEKEEVRDLLRQDPQFWTHRPLSELMVRAAADDVRFLLYIYHQMMEKLNERSLWYLAVRGALYCRCFCVNSNDFADWPSLPSIPDSLNADGNGPEEEILSVLDVPPGKMGRVIGKRGATILSIKASCNAEIHTGGPKGPPDKVFIIGPVREVRKAEAMFRGRILDM >Vigun01g046350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6909675:6910067:1 gene:Vigun01g046350.v1.2 transcript:Vigun01g046350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKNNFLIVWIEYNILQWSRHFALSGLFDTVSVTLPFRTNTTTSQNFLLPCIYRYICYESLVSQTKIIKRREKLFQPQLNILSKFIVSASTMRRHLSKFFHYPIGFNLSNSLPVRPLSLSVCFSLFFW >Vigun01g060000.2.v1.2 pep primary_assembly:ASM411807v1:1:12546399:12552393:1 gene:Vigun01g060000.v1.2 transcript:Vigun01g060000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQENDSVVVEAEPSTSHAPDGDDPLRQSSEVLARGLSSMLSSVITDFDCRANQTLRSQDHLSSVLDRLTGELDQLLEDAPLPFIMQHAAKISSVRKRVSSLNSLLKSIQGRIDNIDRMISVGTAHEKNNH >Vigun01g060000.3.v1.2 pep primary_assembly:ASM411807v1:1:12546399:12552393:1 gene:Vigun01g060000.v1.2 transcript:Vigun01g060000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQENDSVVVEAEPSTSHAPDGDDPLRQSSEVLARGLSSMLSSVITDFDCRANQTLRSQDHLSSVLDRLTGELDQLLEDAPLPFIMQHAAKISSVRKRVSSLNSLLKSIQGRIDNIDRMISVEKNNH >Vigun01g060000.1.v1.2 pep primary_assembly:ASM411807v1:1:12546399:12552393:1 gene:Vigun01g060000.v1.2 transcript:Vigun01g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQENDSVVVEAEPSTSHAPDGDDPLRQSSEVLARGLSSMLSSVITDFDCRANQTLRSQDHLSSVLDRLTGELDQLLEDAPLPFIMQHAAKISSVRKRVSSLNSLLKSIQGRIDNIDRMISVGTAHGIDREKQPLKF >Vigun09g232400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40369705:40370944:1 gene:Vigun09g232400.v1.2 transcript:Vigun09g232400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQALQSPTTANPSFSPFEEPNHTPWAKGKRSKRPRMDQQHPSCTEEEYLALCLIMLARGGSPAAAAAIPTASKPALSDNNPAKLTYKCSVCNKAFSSYQALGGHKASHRKLAGAAEDQPTSSSVTTTSASNGGGRTHECSICHKTFPTGQALGGHKRCHYEGSGGGNGGNSAVTASEGVGSTHTGSHRDFDLNLPAFPDFPTRFLAEEEVSSPHPSKKPRLNLTIPKIEIPRC >Vigun03g087000.3.v1.2 pep primary_assembly:ASM411807v1:3:7220388:7225632:1 gene:Vigun03g087000.v1.2 transcript:Vigun03g087000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSGFRNFMYPGKHALLPPKSPFPSVSQAYADYVQNPAVGLKAGNRSREGNPHHQRTSSESLIIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYLDMANASNINYADQDEYKYKSLMSMPSWSSQDFDRSKDARHLPAYVDMNLPKQKNRSWDSFSNAMTNPVGVPSGKDSSAFQSSGLPSTPQEADGLPPTATEKQDSVESGLQDAKSFSEKKDNSHAKPSSSETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLENIAQEQLIKYLEQEVLEREIGRLRALYQQQQQPQTQAQQQPSGSHRRSNSRDLESQFANLSLKHKDTNSGQDPALRI >Vigun03g087000.1.v1.2 pep primary_assembly:ASM411807v1:3:7220388:7225488:1 gene:Vigun03g087000.v1.2 transcript:Vigun03g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSGFRNFMYPGKHALLPPKSPFPSVSQAYADYVQNPAVGLKAGNRSREGNPHHQRTSSESLIIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYLDMANASNINYADQDEYKYKSLMSMPSWSSQDFDRSKDARHLPAYVDMNLPKQKNRSWDSFSNAMTNPVGVPSGKDSSAFQSSGLPSTPQEADGLPPTATEKQDSVESGLQDAKSFSEKKDNSHAKPSSSETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLENIAQEQLIKYLEQEVLEREIGRLRALYQQQQQPQTQAQQQPSGSHRRSNSRDLESQFANLSLKHKDTNSGQDPALRI >Vigun03g087000.2.v1.2 pep primary_assembly:ASM411807v1:3:7220143:7225631:1 gene:Vigun03g087000.v1.2 transcript:Vigun03g087000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSGFRNFMYPGKHALLPPKSPFPSVSQAYADYVQNPAVGLKAGNRSREGNPHHQRTSSESLIIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYLDMANASNINYADQDEYKYKSLMSMPSWSSQDFDRSKDARHLPAYVDMNLPKQKNRSWDSFSNAMTNPVGVPSGKDSSAFQSSGLPSTPQEADGLPPTATEKQDSVESGLQDAKSFSEKKDNSHAKPSSSETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLENIAQEQLIKYLEQEVLEREIGRLRALYQQQQQPQTQAQQQPSGSHRRSNSRDLESQFANLSLKHKDTNSGQDPALRI >Vigun05g064800.2.v1.2 pep primary_assembly:ASM411807v1:5:5641369:5647909:1 gene:Vigun05g064800.v1.2 transcript:Vigun05g064800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSQRFPVNYEKDQSGCMWGFISIFDFRHARFTRKLIADKRHASKHAFGAAALTKNKFEVLSNLDENYEGNFDRGESKRLTLTTDAEKLSVKKLIEEEMITDQDEIKDQGNAEMESKQSRLGCNDPQKKDSKRKKKSRKKSHDLNSDATLKSEFSHKQHSREQSKDTIDLEKIMDDFCHVEAACSMMFDNDGKIEAQSNQKNVMSENLANAIHEFMNQMKLNGKDLHEDGQFLSSRELMEALQVISSDKQLFLKLLQDPNSHLLKYIQELENAPGRDGKECSSLTASNRSELELVSLKQTRENTNRKHLNFFRKRGKSQSKDLTNENGKTEFSNRIVILKPALTGMQISESENNLASLLDSRDVAPYKGPSVRVGSHFSLTEIKRKLKHAMGKERHGNPEVVPRKLPVERQNKLQRGKCKDNAGMRSPNKDHFFIEKVARPMFDVMKGNRTDTLKDSEVNAEQESGIPKLSASNLYVEARKHLCEMLDNGDENTNISSRQIPKTLGRILSLPEYNFSPVESPGRDLEHHSVTAQAVSSPSGKTREVSEDICSPEPAITVGFPAQETNNSEDKSCVCDEISNNKVQEIKPVSNFSNGVGPVDTSEVCCPIRDETVTEDNVESAEEKYVLESDPNGFILGKDQKIDISETPDGARCSGCLHQDEDLTEENQLSSLPSSPHSSISKKNEELECRIELSGRPSPVSVLDTSFSDDDSAHSTCQPVNLPVQPLHIQFEEHESSSPAEQFDTGKYSFGENELIYDYIKVVLHASGLTRDQLLVKCLTSDKILDPSLFDQIEFFSNLLCHDQKLLFDSINEVLMEVCQHYFGVSPCVSLVNPCMMPAPSMKRLTFKVWEGVCWHVLPLPPPRTLEQIVKKDMARKGSWMDLELDAETIGFEMGEAILTELMEDTILSLVSESSVSF >Vigun05g064800.1.v1.2 pep primary_assembly:ASM411807v1:5:5641603:5647909:1 gene:Vigun05g064800.v1.2 transcript:Vigun05g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSQRFPVNYEKDQSGCMWGFISIFDFRHARFTRKLIADKRHASKHAFGAAALTKNKFEVLSNLDENYEGNFDRGESKRLTLTTDAEKLSVKKLIEEEMITDQDEIKDQGNAEMESKQSRLGCNDPQKKDSKRKKKSRKKSHDLNSDATLKSEFSHKQHSREQSKDTIDLEKIMDDFCHVEAACSMMFDNDGKIEAQSNQKNVMSENLANAIHEFMNQMKLNGKDLHEDGQFLSSRELMEALQVISSDKQLFLKLLQDPNSHLLKYIQELENAPGRDGKECSSLTASNRSELELVSLKQTRENTNRKHLNFFRKRGKSQSKDLTNENGKTEFSNRIVILKPALTGMQISESENNLASLLDSRDVAPYKGPSVRVGSHFSLTEIKRKLKHAMGKERHGNPEVVPRKLPVERQNKLQRGKCKDNAGMRSPNKDHFFIEKVARPMFDVMKGNRTDTLKDSEVNAEQESGIPKLSASNLYVEARKHLCEMLDNGDENTNISSRQIPKTLGRILSLPEYNFSPVESPGRDLEHHSVTAQAVSSPSGKTREVSEDICSPEPAITVGFPAQETNNSEDKSCVCDEISNNKVQEIKPVSNFSNGVGPVDTSEVCCPIRDETVTEDNVESAEEKYVLESDPNGFILGKDQKIDISETPDGARCSGCLHQDEDLTEENQLSSLPSSPHSSISKKNEELECRIELSGRPSPVSVLDTSFSDDDSAHSTCQPVNLPVQPLHIQFEEHESSSPAEQFDTGKYSFGENELIYDYIKVVLHASGLTRDQLLVKCLTSDKILDPSLFDQIEFFSNLLCHDQKLLFDSINEVLMEVCQHYFGVSPCVSLVNPCMMPAPSMKRLTFKVWEGVCWHVLPLPPPRTLEQIVKKDMARKGSWMDLELDAETIGFEMGEAILTELMEDTILSLVSESSVSF >Vigun05g130900.1.v1.2 pep primary_assembly:ASM411807v1:5:15203612:15207466:1 gene:Vigun05g130900.v1.2 transcript:Vigun05g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSYSVIGIMGFNSHANLFFVLFMLCFYVLDVCIAIDSITSSQSIKDPETLISQDGNFTLGFFIPPNSTNTYVGIWWKSQSTVVWVANRNQPLNDSSGVVTFSEDGNLVVLNGQNQVLWSSTFESNTSTTNTSAHISDEGKLELTETTTGNSLWDSFQQPSDTLLPQMKISSNRTGRGVRLTSWKNPSNPSVGNFSLGVVESGKIVQVFIWNETRPYWRTGPWSGGIFTGVEQMASAYLNSFTVVDGEVSYNPSASEFGIYILNSQGQFEEKSWDDEKKELEVSWTSKQSDCDVYGVCGSFAICDAQSQGTNGSPICSCLKGFEPNNKEEWDAQNWTSGCFRSTPLQCERAKGQNTTMDTNEDEFLELQMVKVPDFSEWSSAEPETCRNQCLENCSCVAYSHDDGIGCMSWTDNLLDIQQLSNRGLRLYVRVDSSELEHDEGKKTTIIISVTVIIGIVIMVTCACIMWRRTSCRPTKTWYSIKSAMKRGKKGFLYFKNDEPFEQTGNKVFEELSRVKLQELLLFDFEKLATATNNFHQSNQLGQGGFGPVYKGKLQDGQEIAVKRLSRASGQGQEEFMNEVVVISKLQHRNLVRLFGCCIEGDEKMLIYEYLPNKSLDVLIFDPSKSKLVDWRKRCSIIEGIARGLLYLHRDSRLRIIHRDLKTSNILLDEDLNPKISDFGMARIFGGTEDHANTNRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDDEHSLTLLGFTWAQWKEGNILSLIDSEIYDPNDDEDMLRVTHIGLLCVQEVASDRPTMATVVSMLNSDVVFLPPPSQPAFIRVQNVVNSEPSEENQRVCSMNIISITEIRGR >Vigun09g224500.1.v1.2 pep primary_assembly:ASM411807v1:9:39733120:39735761:-1 gene:Vigun09g224500.v1.2 transcript:Vigun09g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIVKEEETIAFAAAAVAAASSSSSSTSNLSPQPREGLHDVGPPPFLTKTFDVVEDPSTNDIVSWSRARNSFVVWDSHKFSTTILPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLAGQKHLLKTIKRRRHVTQTQSHEGGSGACVELGEFGLEGEIERLRRDRTVLMAEIVRLRQQQHNSREQLLSMESRLQVSEKKHQQMMTFLAKALNNQTFIQQFLHRNAQKIELQGARRKRRLAASPSVENLQQDPVIDAALPVEEESTNIESLLSAVYDDDPLESSSELEDPAMLGSVPTTGGGSDLGVVSDAIWEDLLNQDLVATNHEEEVVIGDFSQVDVPVEDLVADPDEWSEDLQNLVDHMGYLASKP >Vigun09g224500.3.v1.2 pep primary_assembly:ASM411807v1:9:39733569:39735664:-1 gene:Vigun09g224500.v1.2 transcript:Vigun09g224500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIVKEEETIAFAAAAVAAASSSSSSTSNLSPQPREGLHDVGPPPFLTKTFDVVEDPSTNDIVSWSRARNSFVVWDSHKFSTTILPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLAGQKHLLKTIKRRRHVTQTQSHEGGSGACVELGEFGLEGEIERLRRDRTVLMAEIVRLRQQQHNSREQLLSMESRLQVSEKKHQQMMTFLAKALNNQTFIQQFLHRNAQKIELQGARRKRRLAASPSVENLQQDPVIDAALPVEEESTNIESLLSAVYDDDPLESSSELEDPAMLGSVPTTGGGSDLGVVSDAIWEDLLNQDLVATNHEEEVVIGDFSQVDVPVEDLVADPDEWSEDLQNLVDHMGYLASKP >Vigun09g224500.2.v1.2 pep primary_assembly:ASM411807v1:9:39733120:39735761:-1 gene:Vigun09g224500.v1.2 transcript:Vigun09g224500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIVKEEETIAFAAAAVAAASSSSSSTSNLSPQPREGLHDVGPPPFLTKTFDVVEDPSTNDIVSWSRARNSFVVWDSHKFSTTILPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLAGQKHLLKTIKRRRHVTQTQSHEGGSGACVELGEFGLEGEIERLRRDRTVLMAEIVRLRQQQHNSREQLLSMESRLQVSEKKHQQMMTFLAKALNNQTFIQQFLHRNAQKIELQGARRKRRLAASPSVENLQQDPVIDAALPVEEESTNIESLLSAVYDDDPLESSSELEDPAMLGSVPTTGGGSDLGVVSDAIWEDLLNQDLVATNHEEEVVIGDFSQVDVPVEDLVADPDEWSEDLQNLVDHMGYLASKP >Vigun09g078300.1.v1.2 pep primary_assembly:ASM411807v1:9:9104882:9106821:-1 gene:Vigun09g078300.v1.2 transcript:Vigun09g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKFSLLFFYVIFLAILPTLKANIGHFDHVWHRRMKEAREAAKKAYKPNPMKVTAEFNAQVTRSLKGSNSTRRDLKKKSSGCNPTNPIDQCWRCDKNWFENRKKLADCVMGFAHGTTGGKEGKIYVVTDNSDNELVNPKPGTLRYAVTRPEPLWITFARSMNIKLKAELMLTSNKTIDARGANVHIKDGAQITIQYVNNIIIHGLHVHDIKQAKGGLIRDSVTHYGVRGISDGDGISVFGSTHIWIDHVSMHNCSDGLIDVIAASTAVSITNCHFVRHNDVLLFGATDSFSGDKVMQVTLAFNHFGKGLVQRMPRCRWGFFHIVNNDYTHWLMYAVGGSQQPTIISQGNRFVAPEDINAKEVTKRTQATKEVWKDWNWRSEGDLFVNGAYFVESGKKVTATPKTDVIAQSAKSVAILTQDAGPIKCVVNKPC >Vigun03g354900.1.v1.2 pep primary_assembly:ASM411807v1:3:55632613:55634104:1 gene:Vigun03g354900.v1.2 transcript:Vigun03g354900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >Vigun05g122900.1.v1.2 pep primary_assembly:ASM411807v1:5:13609703:13613644:1 gene:Vigun05g122900.v1.2 transcript:Vigun05g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMERRKTLTMNWDALGDDDDDEHFFESHNRLSTAVPRNLIEDEEGADCFEESRLSIASNMSSMQSINPRVSNFTANFTGKPNYDIWMAAPGSITERRRQLLGSMGLDENKELLKATSAAIARAITKKFENNNAHNATVVNNNNYAVKSTIRVEKQKTTRQKTTKHRTCASQPVFVLVRSRSENDMDSYSMEKSRMHDFIGKVSKQRLTRTATEITRRRYTVADKARLMLKEGGADSNTGSRHVSTPSVAMGEVGAFLLIKNLDTGKEFIVKECGEDGNWNRLSDLQTGKQLTIEEFEKTVGHSEVVKEAMRRAKGRSNKKMLSNSISKSLKLSKRRGASLLKNIKGVASGIVGEREREAPSPATAVVEATTKNEWVKVRQTGKSQKELSALHMCQEFQAHEGCVWTLKFSLDGRYLASAGEDKMIHVWEVQECDVMSMKPDEGNLTSIPTETPPLSADKKKKGKNGSKRGGAIPDYVHVPETVFSLSERPFCSFNGHLDEVLDLSWSKSQLLLSSSMDKTVRLWDLESKSCLKFFAHNDYVTCVQFNPVDEDYFISGSLDAKIRMWNIPARLVVDWTDIHEMVTAVSYTPDGQGVIVGTQKGSCRSYTIEDFKLNQSNTIELRNKKKNLLKKVTGFQYSPGDPSQVLITSGDCRTRVVEATQVVQKFIGFRNASSQISASFSPNGKYIICASEDSQVYVWKHEEMHYSGKGRNVIANQAHEHFPCKDVSVAIPWPCNVKGDLPPSIAAQNQKKNSTPPAPAPAAANNKKNLPPLPKKSNNNQATENSPGSPEKDHAALSRTESGVGDSKRNAPPKKSNNHAMEGDSIEEELEAITRSENGSGDSLSASPSGRHGGDPASISAAATPSGSSWSSNYSSGDGSNGDWSTNPSAWGMVIVTAGFGGEIRCYQNFGLPRRMRQNTLFMGPTL >Vigun03g384200.1.v1.2 pep primary_assembly:ASM411807v1:3:58903084:58907434:1 gene:Vigun03g384200.v1.2 transcript:Vigun03g384200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIRNNSFKRLFSFGRRSSKEQVLSPDGVESHETLKEEPSGRPSWKCFSYEDLFDATNGFSSENLVGKGGYAEVYKGIMNGGEEIAVKRLTRTSRDERKEKEFLTEIGTIGHVNHSNVLPLLGCCIDNGLYLVFELSSRGSVASLLHDEKLPPLDWKTRHKIAIGTARGLHYLHKGCKRRIIHRDIKASNILLTKDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGVVDEKTDVFAFGVFLLEVISGRKPVDGSHQSLHSWAKPILNKGGIEELVDPRLEGAYDVTELKRFSFAASLCIRASSTWRPTMTEVLEIMEEGEKDAEKWKMPEEEEEEEEEFWGFEDLEYEYDSSFSMSLLDSIGSTS >Vigun02g018900.1.v1.2 pep primary_assembly:ASM411807v1:2:6628390:6630350:-1 gene:Vigun02g018900.v1.2 transcript:Vigun02g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGDRGGFGRGFGGRGRGGDRGRGRRRGPRREEEEKWVPVTKLGRLVKEGRIRSLEQIYLHSLPIKEHQIIDTLVGPTLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWKETRFTKSPFQEYTDLLAKPTGKTLILEEERVDA >Vigun11g166104.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37346185:37346337:-1 gene:Vigun11g166104.v1.2 transcript:Vigun11g166104.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNKFCTVNQENQSAIREFLMVQPKIYPFRTCTMY >Vigun03g403200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61030812:61032156:-1 gene:Vigun03g403200.v1.2 transcript:Vigun03g403200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHIEDPLSGFTIGQAIYEAALIIAVLRWVFCFLFRLINDTTTTSEDNHPPETCPQTTRERDTTLLLTTFGEIKDRLPQTEDTCAVCLSQLEVEDEVRELMNCYHVFHRECIDRWLEHEHDNHNPTCPLCRAPLLNSCCHHYSAACQPPPQPSWAVERLLYLFGDDLLPC >Vigun11g123400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33068954:33069271:-1 gene:Vigun11g123400.v1.2 transcript:Vigun11g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRARSPRLEVTLNLSPPRAATSVVSSSDLSSDSSSTSSQVSVQSLESSCVSCEAEETRAMLLVGCPRCLMYVMLSEVDPKCPKCKSTVLLDFLNNEENNKKIH >Vigun10g061900.2.v1.2 pep primary_assembly:ASM411807v1:10:12894889:12901557:-1 gene:Vigun10g061900.v1.2 transcript:Vigun10g061900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRKERQVMLPALSSADFESHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKEFPISSSEASNGFGQWLSPEDAERITKSCNSVQAPQQRVNENQQHYDQFLSHGEAEMLLDMEDEELRAAIAASLMDSSPIVTTAEASTPQNDYQSSKQVATTEPIPLSDQNSKQAVTTEATALNGQQSKLVEPIEAILPLNSQSNKLVAAIEADSQNDQNSKDVASTIGDNAKDENQNKEKAV >Vigun10g061900.1.v1.2 pep primary_assembly:ASM411807v1:10:12895423:12901557:-1 gene:Vigun10g061900.v1.2 transcript:Vigun10g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRKERQVMLPALSSADFESHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKEFPISSSEASNGFGQWLSPEDAERITKSCNSVQAPQQRVNENQQHYDQFLSHGEAEMLLDMEDEELRAAIAASLMDSSPIVTTAEASTPQNDYQSSKQVATTEPIPLSDQNSKQAVTTEATALNGQQSKLVEPIEAILPLNSQSNKLVAAIEADSQNDQNSKDVASTIGDNAKDENQNKEKAV >Vigun10g061900.3.v1.2 pep primary_assembly:ASM411807v1:10:12894387:12901557:-1 gene:Vigun10g061900.v1.2 transcript:Vigun10g061900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRKERQVMLPALSSADFESHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKEFPISSSEASNGFGQWLSPEDAERITKSCNSVQAPQQRVNENQQHYDQFLSHGEAEMLLDMEDEELRAAIAASLMDSSPIVTTAEASTPQNDYQSSKQVATTEPIPLSDQNSKQAVTTEATALNGQQSKLVEPIEAILPLNSQSNKLVAAIEADSQNDQNSKDVASTIGDNAKDENQNKEKAV >Vigun10g016300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1799376:1801931:-1 gene:Vigun10g016300.v1.2 transcript:Vigun10g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTHLCLVMPSDYDKLEPLHATPPKHKGTQKKVKAKGTCGGHVAATLQGSLTRLCESKWWNLCQHGARQSKASSSSCVFHDMEGVQLSSKIGRDSNNPRIFSYAELYIGSRGFSEEEVLGSGGFGKVYKAVMPSDGTVVAVKCCLAGKGGQFEKTFAAELAAVAHLRHKNLVPLRGWCVFEDQLYLVYDYMPNLSLDRVLFRKNLKEEALGWVRRGKIVKGLACALHYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGLARWLEHDLVEYEYETGKASSAAASSKFEHFRLSETTRIGGTIGYLPPESFQRRSVATSKSDVFSFGIVVLEVVSGRRAIDLTYPDEKIILLDWIRRLSDEGRLVDAGDARLMQGSYKVFEMENLLHIGLLCTLHDPVLRPSMKWIVEALSDMSNAMSLPLPTLPSFHSHPMYISLSSSSETSPSSSKGTGSGTGTESCSNRASFISKYVTATGNTIYVTAEAEHRNGGGGTNSSKSSKRTMHKQPSFSVVQTPREIPFKEIVSATENFSESKRVAELDFGTAYHGVLDGHCHVMVKRLGLKTCPALRQRFSNELRNLAKLRHRNLVQLRGWCTEQGEMLVVYDYSARRFLSHQLHHHHRNPTKKGYSVLKWHHRYNIVKSLASALLYLHEEWDEQVIHRNITSSAVTLEPDMTPRLGSFALAEFLSRNEHGHHVISTRNKSVCGIYGYMSPEYVESGEATVAADVYSFGVVVLEIVSGLKAVDFRQPEVLLVKKVHEFEMRKRSLEALADIRLNGEYNHKELMRLVRLGVACTRSDPKLRPSTRQIVSILDGNEKLIMGENLESSEEWRERNSCSLSLVKRIQALGIQ >Vigun05g112300.2.v1.2 pep primary_assembly:ASM411807v1:5:11775610:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun05g112300.1.v1.2 pep primary_assembly:ASM411807v1:5:11775705:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITQQEVREQKQTQQQHQKHCAPLVRLRRSTNPNPFSILVFWVSKSLRSLCFRVQLSQGFCLRRIQYHGMVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun05g112300.5.v1.2 pep primary_assembly:ASM411807v1:5:11775705:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun05g112300.6.v1.2 pep primary_assembly:ASM411807v1:5:11775705:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun05g112300.4.v1.2 pep primary_assembly:ASM411807v1:5:11775705:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTITQQEVREQKQTQQQHQKHCAPLVRLRRSTNPNPFSILVFWVSKSLRSLCFRVQLSQGFCLRRIQYHGMVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun05g112300.3.v1.2 pep primary_assembly:ASM411807v1:5:11775682:11779108:1 gene:Vigun05g112300.v1.2 transcript:Vigun05g112300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINNSVLYASSSSSSGFVEGKAAAMEGLSLVEKNEVVEEGKGSENGGKRGTFADLIEEKGRESSFSSDFLSSETTHEENSRSSTEDSSSPPSVGWTVQEIAASDCASPHGSEDGEKKHSVLENKEFKKQVTALPEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKAMWRREIEWFLSVSDHIVEFTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGVLAPDADGVSSFRQALKRQEEKWWLPVPRVPPCGLHQNSRKQLQHKRDCTNQILKAAMAINSITIAEMDIPDSYFESLPKTARLSLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPAISARSSSRSSWEMVKDLMVDADKRDLFAERAESLLLSLKQRFPGLPQTTLDTSKIQYNKDVGKAILESYSRVLESLAFNLVARIDDVLYVDDLTKHSDQISSLSKVGVVTHKQRISVPYSVPVPSTPYKSALGTPALSPSHISPSKGGKSPLNKDNNLHQRGAGAKKSLTDFLSIDPKGKNSGSSTEKQVSESNRVDEEVAACETDVESSTEGGASPSILDRKWHV >Vigun09g264000.4.v1.2 pep primary_assembly:ASM411807v1:9:42803249:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun09g264000.5.v1.2 pep primary_assembly:ASM411807v1:9:42803351:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun09g264000.1.v1.2 pep primary_assembly:ASM411807v1:9:42803249:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun09g264000.6.v1.2 pep primary_assembly:ASM411807v1:9:42803351:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun09g264000.3.v1.2 pep primary_assembly:ASM411807v1:9:42803249:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun09g264000.2.v1.2 pep primary_assembly:ASM411807v1:9:42803249:42811842:-1 gene:Vigun09g264000.v1.2 transcript:Vigun09g264000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKPTGNSHGISDMDVVDAAVHGQRVSSSPTDNSPAQQQQDQVMVEQGDDNKNGDSDPSNVPETSVISENQFEVEDSKTVSHHELITPKPKEKNIREMKNVLNDTEMTEYDEYGTPLDRETFMKELENFYRERSLEFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKRETGELQLPVGSFHQSPNVEKETTVYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVAEPATKDKSFSSTPKREKNLKSIGMINKQRTPAGLEHAEKAANIEGDRQLVTPVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGTPEHIDNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >Vigun08g205800.1.v1.2 pep primary_assembly:ASM411807v1:8:36908049:36914247:1 gene:Vigun08g205800.v1.2 transcript:Vigun08g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPRALSSPLAVLLFLLLHCLLATVSAQRSAWKTLHGGAPLVVARGGFSGMFPDSSDSAYNLAVITCGPDVYVWCDVQLTKDGVGICQPDINLLNSTYIAFAYPNKTTSYLVNGVPTTGYFSLDYTFKQLSSVVLTQGVYSRTNRFDGINFPILSVDGLLTTRQKPKGIWLNIQHDAFYAQHNLSMRSFVLSASKRIVFSHISSPEVNFLRGISSRFNQNTTKLVFRFMAKEDVEPSTNQTYESLLSNLTFIKTFASGILVPRGYIWPVDSNLYLLPHTSLVSDAHKAGLEVFGSDFVNDVPSSFNYSYDPLAEYLKFIDNGDFSVDGVLSDFPVTPFEAIGCFSQLGTNATKQDNKTLIISKYGSSGYYPACTDLAYTKAIEDGVDVLDCPVQISKDGTPFCLNSIDLIESTTVAQSSYSKYAMTIPQIKSGSGIFAFNLTWSDIKTLTPSILNPFAKYRLFRNPKSKTSGNLVALSDFLSLTNSQPSLSGVSIAVENAAYLAEKQGLNVVDAVISALSEAGYDKPGSQKVYIQSTDSSVLLKFKEKTSYELVYKIDETVGDAANAAVEDIKKFASAVIVNKNSVFSYNDQFLTGTTKTVPTLNAANLSVFVELFSNEFVSQAWDFFSDATVEINSYLNADGLKIDGIITDYPETADRYRRNKCLNLGDKRPTYMDPVQPGGLFSLITPEFLPPAQAPLAPLTEAEVAEPPLPPVAKLAPAASPSSGTTAPTRNAQPRVAVCFFFSSLAVFIASLLLL >Vigun07g087300.3.v1.2 pep primary_assembly:ASM411807v1:7:13395366:13409831:-1 gene:Vigun07g087300.v1.2 transcript:Vigun07g087300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDAKERMAGVERLHEVLEASRRSLSSAEVTSLVECCLDLLKDSSFKVSQGALQALDSAAVRAGDHFKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTCKSWRIREEFARTVTSAIGLFSATELPLQRAILPPILQLLNDLNPAVREAAILCIEEMYAQAGSQFRDELQRHNLPSSLVKAINARLEGIQPKVQSSDGIASGYIAGEIKPVGVNPKKSSPKAKSSSRESSLFGGEGDVTVKVIDPIKVYSEKELIREIDKIAATLVPEKDWSIRIAAMQRIEGLVLGGATDYPCFFGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGAFEACAELFIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRVADCAKNDRSAVLRARCCDYALLILEHWPDEAEIQRSADLYEDMIRCCVSDAMSEVRSTARMCYRMFAKTWPDRSRHLFSSFDPAIQRLINEEDGGMHRRHASPSVRDRGALISITSQASAPSNLTGYGTSAIVAMDRSSSLSSGTSITSGFLSQAKGTERSLESVLHASKQKVTAIESMLRGLDLSDKHGTSVLRSSSLDLGVDPPSSRDPPFPAAVSASNHLTSSLMTESTVSGANKGSNRNGALGLSDIITQIQASKDSGRLAYSTNVGIEPLSAYSSYSIKRPSEKLQERSSVDENSDIRDTRRYMNPNVDRQYMDTHYRDGNFRDSQNSYVPNFQRPLLRKNVAGRVSAGSRRSLDDSQLSPGEMSIYADGPASLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGQKGIQEIVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIILACRKPFEGYMERMLPHVFSRLIDPKELVRQACSMNLEVVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSMNPEGAANIGILKLWLSKLAPLVHDKNTKLKEAAITCIISVYSHFDSSAVLNFILSLSVDEQNSLRRALKQRTPRIEVDLMNYLQNKKERRPKSSYDPSDVVGASPEEGYTGLSRKAHYLGRYSGGSLDSDGGRKSSSQDSSLIKANLGQAVSDESEEHTDSNSGIFGLKTKDLAYTVNSMSQNFGFQTSQVGHVDGSMNFEGLSSDMDINGLMSSEHLNIAEDFGLDKEHPSELNHNHQSVEGVKENYMTDTGPSIPQILHMICSDGAGSPILSKRTALQQLVEVSIANDHSVWTLYFNQILTVVLDVLDDSDSSIRELGLSLIVEMLKNQKDAMETSVEIVVEKLLNVTKDILPKVSNEAEHCLTSVLSQNDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKNVSIGDQKP >Vigun07g087300.2.v1.2 pep primary_assembly:ASM411807v1:7:13391452:13409831:-1 gene:Vigun07g087300.v1.2 transcript:Vigun07g087300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDAKERMAGVERLHEVLEASRRSLSSAEVTSLVECCLDLLKDSSFKVSQGALQALDSAAVRAGDHFKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTCKSWRIREEFARTVTSAIGLFSATELPLQRAILPPILQLLNDLNPAVREAAILCIEEMYAQAGSQFRDELQRHNLPSSLVKAINARLEGIQPKVQSSDGIASGYIAGEIKPVGVNPKKSSPKAKSSSRESSLFGGEGDVTVKVIDPIKVYSEKELIREIDKIAATLVPEKDWSIRIAAMQRIEGLVLGGATDYPCFFGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGAFEACAELFIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRVADCAKNDRSAVLRARCCDYALLILEHWPDEAEIQRSADLYEDMIRCCVSDAMSEVRSTARMCYRMFAKTWPDRSRHLFSSFDPAIQRLINEEDGGMHRRHASPSVRDRGALISITSQASAPSNLTGYGTSAIVAMDRSSSLSSGTSITSGFLSQAKGTERSLESVLHASKQKVTAIESMLRGLDLSDKHGTSVLRSSSLDLGVDPPSSRDPPFPAAVSASNHLTSSLMTESTVSGANKGSNRNGALGLSDIITQIQASKDSGRLAYSTNVGIEPLSAYSSYSIKRPSEKLQERSSVDENSDIRDTRRYMNPNVDRQYMDTHYRDGNFRDSQNSYVPNFQRPLLRKNVAGRVSAGSRRSLDDSQLSPGEMSIYADGPASLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGQKGIQEIVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIILACRKPFEGYMERMLPHVFSRLIDPKELVRQACSMNLEVVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSMNPEGAANIGILKLWLSKLAPLVHDKNTKLKEAAITCIISVYSHFDSSAVLNFILSLSVDEQNSLRRALKQRTPRIEVDLMNYLQNKKERRPKSSYDPSDVVGASPEEGYTGLSRKAHYLGRYSGGSLDSDGGRKSSSQDSSLIKANLGQAVSDESEEHTDSNSGIFGLKTKDLAYTVNSMSQNFGFQTSQVGHVDGSMNFEGLSSDMDINGLMSSEHLNIAEDFGLDKEHPSELNHNHQSVEGVKENYMTDTGPSIPQILHMICSDGAGSPILSKRTALQQLVEVSIANDHSVWTLYFNQILTVVLDVLDDSDSSIRELGLSLIVEMLKNQKDAMETSVEIVVEKLLNVTKDILPKVSNEAEHCLTSVLSQNDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKVKFSVVSNQQNSVN >Vigun07g087300.1.v1.2 pep primary_assembly:ASM411807v1:7:13389441:13409830:-1 gene:Vigun07g087300.v1.2 transcript:Vigun07g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDAKERMAGVERLHEVLEASRRSLSSAEVTSLVECCLDLLKDSSFKVSQGALQALDSAAVRAGDHFKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTCKSWRIREEFARTVTSAIGLFSATELPLQRAILPPILQLLNDLNPAVREAAILCIEEMYAQAGSQFRDELQRHNLPSSLVKAINARLEGIQPKVQSSDGIASGYIAGEIKPVGVNPKKSSPKAKSSSRESSLFGGEGDVTVKVIDPIKVYSEKELIREIDKIAATLVPEKDWSIRIAAMQRIEGLVLGGATDYPCFFGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGAFEACAELFIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRVADCAKNDRSAVLRARCCDYALLILEHWPDEAEIQRSADLYEDMIRCCVSDAMSEVRSTARMCYRMFAKTWPDRSRHLFSSFDPAIQRLINEEDGGMHRRHASPSVRDRGALISITSQASAPSNLTGYGTSAIVAMDRSSSLSSGTSITSGFLSQAKGTERSLESVLHASKQKVTAIESMLRGLDLSDKHGTSVLRSSSLDLGVDPPSSRDPPFPAAVSASNHLTSSLMTESTVSGANKGSNRNGALGLSDIITQIQASKDSGRLAYSTNVGIEPLSAYSSYSIKRPSEKLQERSSVDENSDIRDTRRYMNPNVDRQYMDTHYRDGNFRDSQNSYVPNFQRPLLRKNVAGRVSAGSRRSLDDSQLSPGEMSIYADGPASLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGQKGIQEIVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIILACRKPFEGYMERMLPHVFSRLIDPKELVRQACSMNLEVVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSMNPEGAANIGILKLWLSKLAPLVHDKNTKLKEAAITCIISVYSHFDSSAVLNFILSLSVDEQNSLRRALKQRTPRIEVDLMNYLQNKKERRPKSSYDPSDVVGASPEEGYTGLSRKAHYLGRYSGGSLDSDGGRKSSSQDSSLIKANLGQAVSDESEEHTDSNSGIFGLKTKDLAYTVNSMSQNFGFQTSQVGHVDGSMNFEGLSSDMDINGLMSSEHLNIAEDFGLDKEHPSELNHNHQSVEGVKENYMTDTGPSIPQILHMICSDGAGSPILSKRTALQQLVEVSIANDHSVWTLYFNQILTVVLDVLDDSDSSIRELGLSLIVEMLKNQKDAMETSVEIVVEKLLNVTKDILPKVSNEAEHCLTSVLSQNDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLKLVTIYANRISQARTGKTLDTTQV >Vigun03g184800.1.v1.2 pep primary_assembly:ASM411807v1:3:24223648:24228447:-1 gene:Vigun03g184800.v1.2 transcript:Vigun03g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSTNGDLSQQQGQQQGQQQQWPQPHQYQHQWMAMQYPATAMAMMQQQMMMYPQHYMPYVHPHYPPPPPPPSHHHHHKQPAASPAASSDEIRTIWLGDLHHWMDENYLHNCFAHTGEVVSAKVIRNKQTGQSEGYGFVEFYSRATAEKVLQNYNGTMMPNTDQAFRLNWATFSAGDRRSSDATSDLSIFVGDLAIDVTDAMLQETFASRFSSIKGAKVVIDSNTGRSKGYGFVRFGDENERTRAMTEMNGVYCSSRPMRIGVATPKKTYGYQQQYSSQAVVLAGGHSANGAVAQGSQSEGDSNNTTIFVGGLDSDISDEDLRQPFLQFGEVVSVKIPVGKGCGFVQFADRKNAEDAIQALNGTVIGKQTVRLSWGRSPGNKHWRSDSNGGHYGGQGYGGHGFAVRQNQDLAMQPAAAAIQGAS >Vigun05g201700.1.v1.2 pep primary_assembly:ASM411807v1:5:38939508:38942390:1 gene:Vigun05g201700.v1.2 transcript:Vigun05g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPRDSHIEHDLAEKNREILNFIEDVTANADEVQKRVLSEILSRNANVEYLRRHGLNGQTDRDTFKNLLPVITYEDVQPDINRIANGDTSPILTSKPITEFLTSSGTSGGERKLMPTIEEELGRRCMLYSLLMPIMSQFVPDLEKGKGMYLMFIKCESKTPGGIVARPVLTSYYKSPYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAIFASGFIRAIRFLEKHWTLLCNDIRTGTVNPLISDTSVREAVMRILKPDSKLADMIHRECSKGCWQGIITRLWPNTKYVDVIVTGTMAQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPTQVSYTLIPTMCYYEFLPVNRTLSRPSPTSLNHTQLLELADVKLGQEYELVVTTHAGLYRYRVGDILRVTGFKNKAPQFSFVCRKNVALSIDSDKTDEVELQNAMKNAVTHLVPFDAHVSEYTSYADTTTIPGHYVLYWELSLNGSTPIPPSVYEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKAPRCVKFAPMVELLNSRVTSNYFSPKCPKWVPGHKQWIHCN >Vigun02g087400.2.v1.2 pep primary_assembly:ASM411807v1:2:24215692:24222449:-1 gene:Vigun02g087400.v1.2 transcript:Vigun02g087400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETNTRKQVPLQWWFRKALLLSLIFFAFCSDTSQSVSFRRGLKTTTAAVKLNKNQDQVVIGNGIVSLNLSNPEGYIIGLYYDGTQNILDNKNEQFDRGYLDVVWNEAGQPGIFERIHGTKFSVIEASDTVVEISFLKTWSASMKGSSVPINIDLRYILRRGDSGFYSYAIFTRPPGLPAVTVDQIRIVYKLDEDRFHYMAISDTRQRKMPTAEDRRTGQVLAYPEAVLLTHETDPQIRGEVDDKYQYSTENKDNSVHGWITEDDSAPVGFWVITPSNEFRNGGPVKQELTSHVGPTSLSMFTSTHYAGKKVIIAFDEGETYKKVFGPIFVYLNSATTKTQFKSLWADAVEQLSNEEKKWPYDFIGSKDFFPSSQRGMVSGKLQVKDGGGTTQPAKSSYVGLALPGDAGSWQIESKGYQFWTQTDKDGSFSITNIVPGSYNLYAWVPGFIGNYKYEVNVDIKPGDNINLGSLVYSPPRNGPTLWEIGIPDRSAAEFYVPDPYPKLVNKLYLNDSKNRFRQYGLWGRYTDLYPKKDLIFTVGVSEYQKDWFYAQVTRTTEPGKFVPTTWQIQFQLENIIKGANYTLQLALASATNSELEVRINKPNSNPPYFSSQDLIGSDNAIARHGIHGLYKLYSVGVNSNQLVKGKNTIYLTQSNAKTPFEGIMYDYIRLETPPTTKK >Vigun02g087400.1.v1.2 pep primary_assembly:ASM411807v1:2:24215692:24222449:-1 gene:Vigun02g087400.v1.2 transcript:Vigun02g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETNTRKQVPLQWWFRKALLLSLIFFAFCSDTSQSVSFRRGLKTTTAAVKLNKNQDQVVIGNGIVSLNLSNPEGYIIGLYYDGTQNILDNKNEQFDRGYLDVVWNEAGQPGIFERIHGTKFSVIEASDTVVEISFLKTWSASMKGSSVPINIDLRYILRRGDSGFYSYAIFTRPPGLPAVTVDQIRIVYKLDEDRFHYMAISDTRQRKMPTAEDRRTGQVLAYPEAVLLTHETDPQIRGEVDDKYQYSTENKDNSVHGWITEDDSAPVGFWVITPSNEFRNGGPVKQELTSHVGPTSLSMFTSTHYAGKKVIIAFDEGETYKKVFGPIFVYLNSATTKTQFKSLWADAVEQLSNEEKKWPYDFIGSKDFFPSSQRGMVSGKLQVKDGGGTTQPAKSSYVGLALPGDAGSWQIESKGYQFWTQTDKDGSFSITNIVPGSYNLYAWVPGFIGNYKYEVNVDIKPGDNINLGSLVYSPPRNGPTLWEIGIPDRSAAEFYVPDPYPKLVNKLYLNDSKNRFRQYGLWGRYTDLYPKKDLIFTVGVSEYQKDWFYAQVTRTTEPGKFVPTTWQIQFQLENIIKGANYTLQLALASATNSELEVRINKPNSNPPYFSSQDLIGSDNAIARHGIHGLYKLYSVGVNSNQLVKGKNTIYLTQSNAKTPFEGIMYDYIRLETPPTTKK >Vigun03g311166.1.v1.2 pep primary_assembly:ASM411807v1:3:50378014:50378988:1 gene:Vigun03g311166.v1.2 transcript:Vigun03g311166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRERESSPTQPKPNQTSLAVIHNIYINSIDIDRVGVMKERDVGSRTKMSAIYVATVVNIFCFLFSKFAAQISAHHSKMYFLTDKIINARIPFPINKLTPR >Vigun01g189100.1.v1.2 pep primary_assembly:ASM411807v1:1:36838958:36842581:-1 gene:Vigun01g189100.v1.2 transcript:Vigun01g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSKIGKKQSRKMKGALSNSTTRHLQLQLGQVSLIPLNQPSSITSSAVSRFQNLLDSEPPNASSSRVPLTDDAVAVVDDRDCILSQDFFCTPDYITPDNPHSLNGFDCDMDTPCPKSPEKLNTTKSKRCRPDVMSVRPLSPTFSGDHQPAVELGKDSVADEFAFEKTIAAAKPNGQNYVSQSAIALRFGVMPPPCFRNPFLNNVTDEEIDPFRNQRLKCAGLFPAISGGDGIPRYRSEFHEIELIGGGNFSHVFKVLRRLDGCLYAVKRSIRPLRLETERTKAMMEVQALAALGLHQNIVGYYSSWFENEQLYIQTELCDHSLSIRKCPEAFTEAQVLDALFQIANALRFIHEKGMAHLDVKPNNIYVKSGVYKLGDFGCATLLDGSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGASIYELIRRLPLPESGCQYFNLKEGKFPLLPGHSLHIQNLLKVMMDPDPVKRPSARELVENPIFCKKIQRTA >Vigun01g189100.2.v1.2 pep primary_assembly:ASM411807v1:1:36839034:36842613:-1 gene:Vigun01g189100.v1.2 transcript:Vigun01g189100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSKIGKKQSRKMKGALSNSTTRHLQLQLGQVSLIPLNQPSSITSSAVSRFQNLLDSEPPNASSSRVPLTDDAVAVVDDRDCILSQDFFCTPDYITPDNPHSLNGFDCDMDTPCPKSPEKLNTTKSKRCRPDVMSVRPLSPTFSGDHQPAVELGKDSVADEFAFEKTIAAAKPNDEEIDPFRNQRLKCAGLFPAISGGDGIPRYRSEFHEIELIGGGNFSHVFKVLRRLDGCLYAVKRSIRPLRLETERTKAMMEVQALAALGLHQNIVGYYSSWFENEQLYIQTELCDHSLSIRKCPEAFTEAQVLDALFQIANALRFIHEKGMAHLDVKPNNIYVKSGVYKLGDFGCATLLDGSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGASIYELIRRLPLPESGCQYFNLKEGKFPLLPGHSLHIQNLLKVMMDPDPVKRPSARELVENPIFCKKIQRTA >Vigun09g247300.1.v1.2 pep primary_assembly:ASM411807v1:9:41516305:41519428:1 gene:Vigun09g247300.v1.2 transcript:Vigun09g247300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPFSQSQIQNQNHNHNSRHAPLVLGLQPFALVDNVATVDWSLLRQIPGERGGSVPVGIEELNGILGEVKAHSRDAHSPMKTLAGGSVANTIRGLSSGFGISSGIIGAYGDDEQGQLFVNNMTSNGVNLSRLRKKQGHTAQCVCLVDDLGNRTMRPCLSNAVKVQAQELIKEDFMGSKWLVLRYAILNLEVIQAAIHLAKQEGLLISLDLASFEMVRNFKLPLLKLLESGNIDLCFANEDEAAELLRGEQNADSIAAVEFLSKYCQWAVVTLGSNGCIAKHGKEMIRVPAIGETKATDATGAGDLFASGFLYGVIKGLSLEECCNVGACSGGSVIRSLGGEVTLENWQWMYKQMQIKGLPTPTQMYANEYSG >Vigun03g342900.1.v1.2 pep primary_assembly:ASM411807v1:3:54157541:54166093:-1 gene:Vigun03g342900.v1.2 transcript:Vigun03g342900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLVRRVVKHHPHGHPKCHSIFNTFSSVLKPSQPQLHQRIHIPSSFLTHQSIHFHSCRSFSTKLRPFLSRPTTSRCFAFNSLLGLRARSLSLDHRPILHYFRRHNFNFNYNNEFSWRSWRSWLRGLTSNDVVLGLIIANVGIFLLWRIADEKFMIKNFTISLDNIKSGRLHTLITNAFSHVDTWHIISNMIGLYFFGMNVGRNFGPEFLLKLYLAGAIVGAGFYLMHQAFKAQTSKDWKAMIVSRELALGASGAVNAVMLLDIFLFPKATIYLDFFIPVPAILLGIFFIGKDMLRILEGDSKVAGSVHLGGAVVAAIAWAGVRRGRF >Vigun07g249600.1.v1.2 pep primary_assembly:ASM411807v1:7:36905374:36909679:1 gene:Vigun07g249600.v1.2 transcript:Vigun07g249600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSIVASASDLSVVSVAGSAPLEFKNRGFGGRVISLGFRFNRGRWKGSERFNFKVRAAVDVGVERSKSEALKGNSGLDVVSEGELTVKGFAGLRKTKLVCTIGPACSSLEELENLASGGMNVARLNMCHGTREWHRDVIRKIKKLNEEKGFCVSVMIDTEGSQIHFVDHGASSSVKVEEGSIWHFTAEHFEGSRPFTVQTNYTGFSEDIEVGDELVIDGGMACFEVIERKGNDLHCKCIDAGLFLPGAKFSFWRDGKLVRRNYKLPTLSTKDWADIDFGIAEGVDFFALSFVNHADSVKDLKNYLSTKSTKSIKVLSKIESLESLHKLEEIVRASDGIMVARGDLGVEIPLEQIPTVQEDIIYVCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGSYGRKALAVLDMTSSRMESWNREENRQNLLNHHQLGAELPECITEQICNCAVEMANNLGVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDDKSTRMALNLQWGVVPLLLDLSDDAESNISKSVQLMESKGLIRQGDVVLVVSDIAHTRSSPMALQSIQVKTIP >Vigun03g153600.1.v1.2 pep primary_assembly:ASM411807v1:3:16255803:16261948:-1 gene:Vigun03g153600.v1.2 transcript:Vigun03g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDRDEQGIYHCRHRHDPETIARLEEIFRECSHPDETRRRQIGEELGLDAKQVKFWFQNKKTQLRAKSERLDINALRLENERIQSENRIMSETLKTIACAQCGGRSMGQEERELYLQILKVENILLIKECERVVSLMANNGINPELLPAVPSTTSSSSSSLDTLRATLLNQTVGTQHNIIPGVNQDILNHAHNQQTPDLALDQHVSSLTLNVTSPATVDPFPSQDDSLLISCLQYNIPALVTALDQNILTLPSTTCNQNNINVPVVENQGQGLNPCLNVPSVTPTPTLDQDNPHPGQDISSILQDHTPSLDLDLDAILQILNRDMVSQSKTSENKDTENARMLKIANKAMEELMKLLSINEPFWFRSLVDQRFVLQRDCYQRIFQRSNSLNGPHARVESSKDSRVVKISGTELVEMFLNADKWVDLFPTIVKKAETIQVFESGSVGNRNGALQLMNAEMHILSPLVPTREFHFLRYCKQIEAGVWTIGDVSIDSSTHKISTVSSSRRLPSGLLIQEIAEGLCRVSWVEHVEVDDKIQTHHLYRDLICGNNAYGAERWVLTLERMCERLASASAETIPSCDVGGDNTSFPHLTRMNNGGIRVAVRVNMSGPGEPKGMILSAATSFWLPITPQDIFDYLIDDRKRAKWDVLCSGNAGHEIQRITTGSNPGNCVSIMRPFIPKENNIVILQESYVDALGCMMVYAPFDMKALNYVMNGEETSLFPILPSGFTISRNGKPDVPEGQSAEVGKSGGSLVTLMFQILASSPCRMSMVDIEFVSNVNALVTSTIENIKDALNCSDSK >Vigun05g051700.1.v1.2 pep primary_assembly:ASM411807v1:5:4420735:4425120:-1 gene:Vigun05g051700.v1.2 transcript:Vigun05g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQVVKQKKQQENLSCMQQHSFHLFFINSTFSHTHTLFFSSLHQKSPLPLLHISLSLSFAHSLHTTTTTQKMQASSSSSSFFFFLSLLFLSCALSSSQLLFFNRRVLHEPFIPLTSLPPSEPPKPPPPHPSPSTSKQNPKYPSSSTIPTTTISSTPVPTTATTTPTTTTTQSPFFPLYPSSPPPPSPITFASFPANISSLILPHSPKPNSSSNKLLPVALAAVVAAALAVSISAFVCYRRRRNPPPSPAGKVLRSDTALLPLRRNAETSVETRKLRHTSSTSSEFLYLGTVANSHMIEDSDVGDGDRKMESPELRPLPPLARQASVPPPLPPRDEAGFTTAEEDEDEFYSPRGSSLGGSGGTGSVSRRVFAVDRSVTSSSCSSSSSGSPERSIPNMPPPAGSSYRKALPKSPENYNHPHVHSSSSMCSTPDRVFAERDNDVLSACVHAHAAPSSSHEGTLEKNENALPSSPPPRLSDASSSSAFSLPSSPEKVTRHHTFDQSPRMSSVSDGLMLPGLSSVPLSPALLSSPETERGIFSELGTGSFGSQRKHWSIPALSMPITTPFDEIGTVPAPPPLPQRKHWEIPGPAPPPPPPLPRQRKQWGVPAPGPSTPVGQPVSRPPELVPPSRPFVLQNQATNVELPASLREIEETGKPKLKPLHWDKVRTSSEREMVWDQMKSSSFKLNEKMIETLFVVNTPNPKAKDATTNSISHPPNQEERVLDPKKSQNICILLKALNVTVEEVCEALLEGTTDTLGTELLESLLRMAPSKEEERKLREHKDDSPTKLGLAEKFLKAVLDVPFAFKRIEAMLFIASFESEVEYLRTSFQTLEAACEELRHSRMFLKLLEAVLKTGNRMNVGTNRGDAEAFKLDTLLKLADVKAADGKTTLLHFVVQEIIRTEGARLFDTNQTPSSNTLNEDAKCRRLGLQVVSSLSSELSNVKKAATMDSEVLSSDVLKLSRGIANIAEVVQLNQTMGSDESSQNFTESVNKFIRMAEEEIPKIQVQENVASSLVKEITEYFHGNLSKEEAHPFRLFMVVRDFLAVLDRVCKEVGMINERTMVSSAHKFPVPVNPMLPQPLPGLHEKHQYNNNTSSDDDETPSP >Vigun03g240950.1.v1.2 pep primary_assembly:ASM411807v1:3:40193956:40194873:-1 gene:Vigun03g240950.v1.2 transcript:Vigun03g240950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSKKTKKVLGAVLKRRSKVFEDQDEVLIKRRKRFNFYHFSIIIFEFDFNHFYSVSQSSMVLLGLCVLRILVVVFFFRIIYTRIQVNLLSSGSCNR >Vigun04g017800.1.v1.2 pep primary_assembly:ASM411807v1:4:1317978:1320152:1 gene:Vigun04g017800.v1.2 transcript:Vigun04g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGRMLDTFCLSFGSNTCFCINSMDFEDEFEKKPLIVSASGDHKLRLKDVVDGKQTLAFQLKPQIVTLRVSMHCYGCAKKVEKHISKLEGVSSYKVDLETKIVVVMGDILPSEVLQSVSKVKNAEIWNSQGGKQ >Vigun09g228500.1.v1.2 pep primary_assembly:ASM411807v1:9:40051933:40056821:-1 gene:Vigun09g228500.v1.2 transcript:Vigun09g228500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTYVFGWILCAALSAFAIRNFVFAGKKCCVSLETDAALCAENITTAAGECRSSKRDGEVDVVIVGAGVAGSALAYTLGKDGRQVLVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVDKIDAQQVFGYALFKDGKHIRLSYPLEKFHSDVAGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGVIKGVQYKTKDSQELSVCAPFTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPYANHGHVILGEPSPVLFYPISSTEIRCLVDVPGQKVPSISNGQMAKYLKTVIAPQVPHELHDAFIAAVDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLRDLNDAPSLCKYLESFYTLRKPVASTINTLAGALHKVFCASPDPARMEMRQACFDYLSLGGQFSEGPISLLSGLNPRPLTLVLHFFAVAIYGVGRLLLPFPSPKRIWTGLRLISSASGIIMPIIKAEGVRQMFFPATVPAYYRNPPPA >Vigun07g090700.1.v1.2 pep primary_assembly:ASM411807v1:7:14251908:14254058:1 gene:Vigun07g090700.v1.2 transcript:Vigun07g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLDGLLCCTISDDRSVKIYDVVNYDMMVMIRLPYTPGAVEWVYKQGDVKARLAISDRNSSFVHIYDAQAGSNDPIVSKEIHMCPIKVMKYNAVYDSVLSADAKGIIEYWSPVTLQFPEDEVNFKLKSDTDLFEIAKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTESAPLPNAVFDESSNFLKYATLVKKNTIFFI >Vigun04g168000.1.v1.2 pep primary_assembly:ASM411807v1:4:39260520:39264545:-1 gene:Vigun04g168000.v1.2 transcript:Vigun04g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSHSQKFTYDVFLNFRGSDTRYGFAGNLYKALDDRGIHTFIDDEKLQGGDELAPTLVKAIQESRIAITVLSHTYASSSFCLDELVYILERAEEKKLLVLPVFYNVDPSFVRYQEGSYGEALARHEERLKANNNKEKLEKWKMALHQVANFSGFHYKYGEEYEYKFIGRIVEWVSGEINRAPLHVVDYPVGLDSQVLKVMKLLDVGSGDGVHLIGFYGMGGVGKTSLASAVYNLIAGHFDGSCFLQNVREKSNKHGLDHLQSIILSEILGDKRIMFPSEQQGISMIQHRLQKKRLLLILDDVDKHEQLQTLVGRPHWVGRGSKVIITTRDKHLLTSHHVQETYEVKKLNKNHALQLLTWKVFKSEHVYPAYVEVLNRAVTYACGLPLALEVIGANLCGKSIQECESVIDQYKIIPNNRIQETLKVSFDALQEEEKRVFLDIACCFKGYKLTEVEDILHAHHGACMKYQICVLAEKSLIKIDQYDRVTLHDLVEDMGKEIVRQESPEEPGKRSRLWLPNAIIQVLEDNTGTSEIKIICLDFPLFEKKMVEWDGMAFQKTENLKTLIIRNGIFSDDPKCFPNSLRVLEWWRYPSHCLPSYFQPKQLAICKLPHSLFMSFQMGGLSKKLRNLRVLNFDCCECLTQIPDAVSNLQNLEELSFKNCVNLVRVHNSVGLLHKLRILEASGCIKLRNFPPLKLSSLEKLELSHCSSLKSFPEIIGKMENIRELRLLGTLIKELPLSFQNLTRLRKLSLLFCGIVQLQSSIVMMPELTLIEAWGWKGWQWTKREEDEEKDGSMVPSKVELLWASKCNLCDDFFQIGFTRFAHVIDLDLSNNNFTHLPECIKECQFLKKLDVSCCRQLREIRGIPPKLKHFNATNCLSLTSSSISMFLNQDLHETRKTLLLLPGSRNPEWFNHTSYRPSSSFWIRNKFPGKVLCLFVAPKDRDISDYVKPMLLINDKVYVCFFDRLKFLKLGAEHTFLFDLRNLIFTNNLYEVPLENEWNHVKVTCFDLTAASMPTPVPIQSGIHVFKQENSDEDIMFTDPYTKKRELEMILPLSPSIILDLDLNFDYLDF >Vigun04g168000.4.v1.2 pep primary_assembly:ASM411807v1:4:39260520:39263805:-1 gene:Vigun04g168000.v1.2 transcript:Vigun04g168000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDVGSGDGVHLIGFYGMGGVGKTSLASAVYNLIAGHFDGSCFLQNVREKSNKHGLDHLQSIILSEILGDKRIMFPSEQQGISMIQHRLQKKRLLLILDDVDKHEQLQTLVGRPHWVGRGSKVIITTRDKHLLTSHHVQETYEVKKLNKNHALQLLTWKVFKSEHVYPAYVEVLNRAVTYACGLPLALEVIGANLCGKSIQECESVIDQYKIIPNNRIQETLKVSFDALQEEEKRVFLDIACCFKGYKLTEVEDILHAHHGACMKYQICVLAEKSLIKIDQYDRVTLHDLVEDMGKEIVRQESPEEPGKRSRLWLPNAIIQVLEDNTGTSEIKIICLDFPLFEKKMVEWDGMAFQKTENLKTLIIRNGIFSDDPKCFPNSLRVLEWWRYPSHCLPSYFQPKQLAICKLPHSLFMSFQMGGLSKKLRNLRVLNFDCCECLTQIPDAVSNLQNLEELSFKNCVNLVRVHNSVGLLHKLRILEASGCIKLRNFPPLKLSSLEKLELSHCSSLKSFPEIIGKMENIRELRLLGTLIKELPLSFQNLTRLRKLSLLFCGIVQLQSSIVMMPELTLIEAWGWKGWQWTKREEDEEKDGSMVPSKVELLWASKCNLCDDFFQIGFTRFAHVIDLDLSNNNFTHLPECIKECQFLKKLDVSCCRQLREIRGIPPKLKHFNATNCLSLTSSSISMFLNQDLHETRKTLLLLPGSRNPEWFNHTSYRPSSSFWIRNKFPGKVLCLFVAPKDRDISDYVKPMLLINDKVYVCFFDRLKFLKLGAEHTFLFDLRNLIFTNNLYEVPLENEWNHVKVTCFDLTAASMPTPVPIQSGIHVFKQENSDEDIMFTDPYTKKRELEMILPLSPSIILDLDLNFDYLDF >Vigun04g168000.3.v1.2 pep primary_assembly:ASM411807v1:4:39260520:39264545:-1 gene:Vigun04g168000.v1.2 transcript:Vigun04g168000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEYKFIGRIVEWVSGEINRAPLHVVDYPVGLDSQVLKVMKLLDVGSGDGVHLIGFYGMGGVGKTSLASAVYNLIAGHFDGSCFLQNVREKSNKHGLDHLQSIILSEILGDKRIMFPSEQQGISMIQHRLQKKRLLLILDDVDKHEQLQTLVGRPHWVGRGSKVIITTRDKHLLTSHHVQETYEVKKLNKNHALQLLTWKVFKSEHVYPAYVEVLNRAVTYACGLPLALEVIGANLCGKSIQECESVIDQYKIIPNNRIQETLKVSFDALQEEEKRVFLDIACCFKGYKLTEVEDILHAHHGACMKYQICVLAEKSLIKIDQYDRVTLHDLVEDMGKEIVRQESPEEPGKRSRLWLPNAIIQVLEDNTGTSEIKIICLDFPLFEKKMVEWDGMAFQKTENLKTLIIRNGIFSDDPKCFPNSLRVLEWWRYPSHCLPSYFQPKQLAICKLPHSLFMSFQMGGLSKKLRNLRVLNFDCCECLTQIPDAVSNLQNLEELSFKNCVNLVRVHNSVGLLHKLRILEASGCIKLRNFPPLKLSSLEKLELSHCSSLKSFPEIIGKMENIRELRLLGTLIKELPLSFQNLTRLRKLSLLFCGIVQLQSSIVMMPELTLIEAWGWKGWQWTKREEDEEKDGSMVPSKVELLWASKCNLCDDFFQIGFTRFAHVIDLDLSNNNFTHLPECIKECQFLKKLDVSCCRQLREIRGIPPKLKHFNATNCLSLTSSSISMFLNQDLHETRKTLLLLPGSRNPEWFNHTSYRPSSSFWIRNKFPGKVLCLFVAPKDRDISDYVKPMLLINDKVYVCFFDRLKFLKLGAEHTFLFDLRNLIFTNNLYEVPLENEWNHVKVTCFDLTAASMPTPVPIQSGIHVFKQENSDEDIMFTDPYTKKRELEMILPLSPSIILDLDLNFDYLDF >Vigun04g168000.2.v1.2 pep primary_assembly:ASM411807v1:4:39260520:39264545:-1 gene:Vigun04g168000.v1.2 transcript:Vigun04g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSHSQKFTYDVFLNFRGSDTRYGFAGNLYKALDDRGIHTFIDDEKLQGGDELAPTLVKAIQESRIAITVLSHTYASSSFCLDELVYILERAEEKKLLVLPVFYNVDPSFVRYQEGSYGEALARHEERLKANNNKEKLEKWKMALHQVANFSGFHYKYGEEYEYKFIGRIVEWVSGEINRAPLHVVDYPVGLDSQVLKVMKLLDVGSGDGVHLIGFYGMGGVGKTSLASAVYNLIAGHFDGSCFLQNVREKSNKHGLDHLQSIILSEILGDKRIMFPSEQQGISMIQHRLQKKRLLLILDDVDKHEQLQTLVGRPHWVGRGSKVIITTRDKHLLTSHHVQETYEVKKLNKNHALQLLTWKVFKSEHVYPAYVEVLNRAVTYACGLPLALEVIGANLCGKISFDALQEEEKRVFLDIACCFKGYKLTEVEDILHAHHGACMKYQICVLAEKSLIKIDQYDRVTLHDLVEDMGKEIVRQESPEEPGKRSRLWLPNAIIQVLEDNTGTSEIKIICLDFPLFEKKMVEWDGMAFQKTENLKTLIIRNGIFSDDPKCFPNSLRVLEWWRYPSHCLPSYFQPKQLAICKLPHSLFMSFQMGGLSKKLRNLRVLNFDCCECLTQIPDAVSNLQNLEELSFKNCVNLVRVHNSVGLLHKLRILEASGCIKLRNFPPLKLSSLEKLELSHCSSLKSFPEIIGKMENIRELRLLGTLIKELPLSFQNLTRLRKLSLLFCGIVQLQSSIVMMPELTLIEAWGWKGWQWTKREEDEEKDGSMVPSKVELLWASKCNLCDDFFQIGFTRFAHVIDLDLSNNNFTHLPECIKECQFLKKLDVSCCRQLREIRGIPPKLKHFNATNCLSLTSSSISMFLNQDLHETRKTLLLLPGSRNPEWFNHTSYRPSSSFWIRNKFPGKVLCLFVAPKDRDISDYVKPMLLINDKVYVCFFDRLKFLKLGAEHTFLFDLRNLIFTNNLYEVPLENEWNHVKVTCFDLTAASMPTPVPIQSGIHVFKQENSDEDIMFTDPYTKKRELEMILPLSPSIILDLDLNFDYLDF >Vigun07g292300.1.v1.2 pep primary_assembly:ASM411807v1:7:40360180:40364129:-1 gene:Vigun07g292300.v1.2 transcript:Vigun07g292300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTATIIMALNVVNLGFQPSILPSSPSSFVSPKPLKDRFVPGCSRVEQISFTESENSLIEALLGIQGRGRSSSPQQLNAVERAVQVLERLGGVPDPTNSNLIEGRWQLIFTTRPGAASPIQRTFVGVDFFSVFQEVYLRTNDPRVCNIVSFSDAIGELKVEAAASIEDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSNSGNLRISRGNKGTTFVLQKQTEPRQKLLTAISSGKGINEAIDELISLKQNSGQEEPELEEGEWQMLWNSQTVTDSWIENAVNGLMGKQIIRKDGRIKVLVDILLGLKFSMTGNFVKTGSRLYDVTMDDAAIIGGPFGYPLEVKNNFILELLYSDEKLRVSRGYNNILFVHVRTDALR >Vigun01g014800.2.v1.2 pep primary_assembly:ASM411807v1:1:1632821:1635519:1 gene:Vigun01g014800.v1.2 transcript:Vigun01g014800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYDLQRQRRDMRNKGRNVVWSVAMDKCLIETLAAQAKGGNKIDKCFNENAYTAACVAVNTRFNLSLNNQKVINRLKTIKKRYRVIKDILSQEGFWWNPSKKMIEFDNAELWKKYIAAHPDAKGFHGKQIEMYDELKIVCGNYQAPSRWAKMKNGSNVMDMKNGEDESVSFVSPSSEEVSETAGTESYTASPEFGQMPDGFQEPPVIQPVRQLPKRPRASDALQDALLTVASSIRRLADALEQSKCSFDASALLQAVMEIDGLEEDKQMYAFEYLNADPVKARAFLTYNARMRKTYLFRQFWWWR >Vigun01g014800.1.v1.2 pep primary_assembly:ASM411807v1:1:1632821:1635519:1 gene:Vigun01g014800.v1.2 transcript:Vigun01g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYDLQRQRRDMRNKGRNVVWSVAMDKCLIETLAAQAKGGNKIDKCFNENAYTAACVAVNTRFNLSLNNQKVINRLKTIKKRYRVIKDILSQEGFWWNPSKKMIEFDNAELWKKYIAAHPDAKGFHGKQIEMYDELKIVCGNYQAPSRWAKMKNGSNVMDMKNGEDESVSFVSPSSEEVSETAGTESYTASPEFGQMPDGFQEPPVIQPVRQLPKRPRASDALQDALLTVASSIRRLADALEQSKCSFDASALLQAVMEIDGLEEDKQMYAFEYLNADPVKARAFLTYNARMRKTYLFRQFWWWR >Vigun05g099700.1.v1.2 pep primary_assembly:ASM411807v1:5:9767009:9770630:1 gene:Vigun05g099700.v1.2 transcript:Vigun05g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPPSGSLERFKLYDELELLEFQDKFVIKSRISPDHGFRINRLDGNISLLDDGDTLSGSPLRTSTIYGVVGTIRLVVGTYAVVITSRKEVGSFLGFPVYRLMSMRVLACNDALRYSTAQEKKDETYFVNLLKVVESMPGLYYSYETDITLNLQRRSKLVDGWTSKPIWKQADPRFVWNKHLLEELIEYKLDRFIVPIVQGNILKFKLPLLPFLSLPHFSLCVCVHMFFTFCLYQKYDKNEAFV >Vigun11g182800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38602460:38603287:-1 gene:Vigun11g182800.v1.2 transcript:Vigun11g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMAGIIRWTSFSTTQAASKGVEVPRGCLAVYVGDKMKRFVIPVSYLNQPSFQELLSRTEEEFGYDHPTGGLTSPCQEDEFLNVLNDL >Vigun02g008400.2.v1.2 pep primary_assembly:ASM411807v1:2:3565260:3581547:1 gene:Vigun02g008400.v1.2 transcript:Vigun02g008400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSKVMPALHSIKSLPPEFKITSGKMENHGDAKLRSSDATGSSSPENNVLVGEVSEQALNHAGDVGLYDEDVAYSRKGVSLEDRSSIADEDLETVPLSFPSISMSSRERRWSDTTPYSSKKKLQSWFQLPNGNWELGKIISTSGNESIISLSDGKVLKVKEESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQNMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKRKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPPSLRGKLNLQNAEDYKYLRQSNCYSIAGINDAEEFRTVMEALDVVHIGKEDQENVFAMLAAVLWLGNISFTVIDNENHVQAVEDEGLLHVAKLIGCDIEDLKLTLSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGERDQAFTVHHYAGQVTYDTTGFLEKNRDLLHLDSIQLLSSCTCPLPQIFACHMLTQSDKPVVGPLHKSGGADSQKLSVATKFKGQLFLLMQRLESTTPHFIRCIKPNNLQSPESYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDNVASQDPLSVSVAILHQFNILPDMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARRSLKELQGGISTLQSFIRGNKTRKEYSALLKRHRAAVTIQKHVKAVFARNRMKSISDSATVIQAVIRGWLVRRCSGNIGFLKSGNMKMKESDEVLVKSSFLAELQRRVLQAEAALREKEEENDIVRQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDYSWDVGGNHRRQESNGARSTSAGLSVISRLAEEFEHRSQVFGDDAKFLVEVKSGQVEASLSPDQELRRLKQMFEAWKKDYGARLRETKVILNKLGNEDGALEKMKKKWWGRRNSTRIN >Vigun02g008400.3.v1.2 pep primary_assembly:ASM411807v1:2:3565260:3581547:1 gene:Vigun02g008400.v1.2 transcript:Vigun02g008400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSKVMPALHSIKSLPPEFKITSGKMENHGDAKLRSSDATGSSSPENNVLVGEVSEQALNHAGDVGLYDEDVAYSRKGVSLEDRSSIADEDLETVPLSFPSISMSSRERRWSDTTPYSSKKKLQSWFQLPNGNWELGKIISTSGNESIISLSDGKVLKVKEESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQNMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKRKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPPSLRGKLNLQNAEDYKYLRQSNCYSIAGINDAEEFRTVMEALDVVHIGKEDQENVFAMLAAVLWLGNISFTVIDNENHVQAVEDEGLLHVAKLIGCDIEDLKLTLSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGERDQAFTVHHYAGQVTYDTTGFLEKNRDLLHLDSIQLLSSCTCPLPQIFACHMLTQSDKPVVGPLHKSGGADSQKLSVATKFKGQLFLLMQRLESTTPHFIRCIKPNNLQSPESYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDNVASQDPLSVSVAILHQFNILPDMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARRSLKELQGGISTLQSFIRGNKTRKEYSALLKRHRAAVTIQKHVKAVFARNRMKSISDSATVIQAVIRGWLVRRCSGNIGFLKSGNMKMKESDEVLVKSSFLAELQRRVLQAEAALREKEEENDIVRQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDYSWDVGGNHRRQESNGARSTSAGLSVISRLAEEFEHRSQVFGDDAKFLVEVKSGQVEASLSPDQELRRLKQMFEAWKKDYGARLRETKVILNKLGNEDGALEKMKKKWWGRRNSTRIN >Vigun02g008400.1.v1.2 pep primary_assembly:ASM411807v1:2:3565260:3581547:1 gene:Vigun02g008400.v1.2 transcript:Vigun02g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSKVMPALHSIKSLPPEFKITSGKMENHGDAKLRSSDATGSSSPENNVLVGEVSEQALNHAGDVGLYDEDVAYSRKGVSLEDRSSIADEDLETVPLSFPSISMSSRERRWSDTTPYSSKKKLQSWFQLPNGNWELGKIISTSGNESIISLSDGKVLKVKEESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQNMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKRKAIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPPSLRGKLNLQNAEDYKYLRQSNCYSIAGINDAEEFRTVMEALDVVHIGKEDQENVFAMLAAVLWLGNISFTVIDNENHVQAVEDEGLLHVAKLIGCDIEDLKLTLSTRKMKVGNDNIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKGERDQAFTVHHYAGQVTYDTTGFLEKNRDLLHLDSIQLLSSCTCPLPQIFACHMLTQSDKPVVGPLHKSGGADSQKLSVATKFKGQLFLLMQRLESTTPHFIRCIKPNNLQSPESYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDNVASQDPLSVSVAILHQFNILPDMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARRSLKELQGGISTLQSFIRGNKTRKEYSALLKRHRAAVTIQKHVKAVFARNRMKSISDSATVIQAVIRGWLVRRCSGNIGFLKSGNMKMKESDEVLVKSSFLAELQRRVLQAEAALREKEEENDIVRQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDYSWDVGGNHRRQESNGARSTSAGLSVISRLAEEFEHRSQVFGDDAKFLVEVKSGQVEASLSPDQELRRLKQMFEAWKKDYGARLRETKVILNKLGNEDGALEKMKKKWWGRRNSTRIN >Vigun02g187900.1.v1.2 pep primary_assembly:ASM411807v1:2:32629226:32632814:1 gene:Vigun02g187900.v1.2 transcript:Vigun02g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSGLGAVDCDVGSIVWVRRRNGSWWPGQILGSDHLSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKTFRCGEFDGCIERAESAQGMPLKKREKYARREDAILHALELERQMLKKQEKIGLEQVGVAHRSKRSKFIYFPTESSDSLEYKEIPANVAMSASQLGGDYAYCSSLADESESAFMDVVESDSFETGSANADSDSSEMELDKDDEMITLSETFHDTEEQESTSCEELDELTTSRNIPHIYPREHISGSEAVSKWKLKRKRNNRNLVKRSVGTPDGRGVVFYGADVEGPRSGLNHRRLDPSLHYYVNGISDVFDDTDQKFGFEDEYSPTSKALGKGKNKIGHGVEWDEWAWEDQAALKGYWESKGSGPLNGDRYNFDGRRSMLVDVDLKVQASYRKAPVPIVSITSELDGKSIIGHPIQIETLKDGSSDSLFSVIDDFSSEGIGFEGSSVLPPAWRTARRTANFRVPRPHVLSSYDVESDADFSIDQERNVEYKKLNDGSSSHKANLSRKSGLNGPALSVDKKSTKKMPKKVSLSSSSQKTRTLSSLSVESNLGKNQLHDRSFYQTNRLIKPEVPELTTVACIPVKLVFSRLLEKINRPPLKAASNAALSNTGVERK >Vigun08g088100.2.v1.2 pep primary_assembly:ASM411807v1:8:19942670:19955221:-1 gene:Vigun08g088100.v1.2 transcript:Vigun08g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGNTKSRVKGKCIRVEESFIKLLDSRIWSILLSRNSQVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISERSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFAYYMDDPIRKDHDWEFFDRLFPKKRRNIINLNSRQLFKILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVQNVSSDLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESEWSELHLGLNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHHISSYRECDMVPKGELDSSNKISFLNKNPIWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITESDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEGNESFYRRIIKKWTSCRNIVEDPKPKIVVFASNNIMEEVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRMKYTINQHLSNLKKSQKKWSDPLILISRTERSMNWDPYVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWFELLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGLNDPLCNQLLESIGLQIFHLNKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDDIISPIELQVSNILIPNDFPQSGDKGYNFYKSFHFPIRYDPFVRGAIYSIANISGTPITEGQIVHFEKTYCQPLSDMNIPDSEGKNLTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSYLLPILSSSQKFVSIFHDIMHGSDILWQIRQIPLCLPQWNLISEIPEMTHRNNELLLISTHLRSLNVQEFFYSIFFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNDDWIDDQIESWVSNTDSIDDKEKKFLVQFSTLTTEKRIDQILLSLAHSDLLSNNNSGYQISEQSGAIYLRYLVDIQKKYLMIYEFNTSCLVERHIFLANYQTITYSHALWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYFLDNKPKGFLIDNSDYIDDIDDIDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVITSTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIETNIISSVLHRQTLDFRSQVRSVQDHGILFYQIGRVVSQNKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDQVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDTQQIEEDFFNHIYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVYMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFVPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun10g178400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39670183:39671132:1 gene:Vigun10g178400.v1.2 transcript:Vigun10g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDYDGQDDCTRAVIVHSDTHSFDGPHFVFQTQTTTTGSTSWSGLINWLGLGFKNVIVIQWNYMRYGEGEKFPNLKLFCFAHSLIDSVLRPIILDSE >Vigun09g186200.1.v1.2 pep primary_assembly:ASM411807v1:9:35954311:35959560:1 gene:Vigun09g186200.v1.2 transcript:Vigun09g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVIGEETRLKLAEDRLSQSALPSEVGLIIGKFSSALGRAFLFDLVPTPLNDSGEPACALTEPDKKKGPKPKSKSEPADSSSLFIDKDWVAEHARQVSRMLVGGMNVVGLYVWVSDAAFKNSTIMLCQTVKGVADAAPVLEGDWDERLLLHICYSPRRWSCRNCSLSSNITSSSLRPCDFKMGKVLTSLQTYKCMYNFNIRLPILRDSGSKFQTLSDILRHVISVHAKELTGAKALIDGKLVVESESYSSDGVHEVELLIPFLNNRSIEACSQGDVVGLLSFSGLICSFAYLNSKEPISQAVTDIKGDIIKSLQSRLDIICDEVDADSGNNHDEASNEISVEKPVPQLVLHTLRKGCSLPFPKRVFAPWLAGVYVCDYLQPSETVEVFKDHCMELLSMKAPMDVSTILEPEKEVLSFKTKSFFDLAVPSNSEIHVMEGKSKVDGGGESSNSKSVKPGHINVVVAGLILLLSVLVGFVLFFLKG >Vigun01g096050.1.v1.2 pep primary_assembly:ASM411807v1:1:26038391:26038732:-1 gene:Vigun01g096050.v1.2 transcript:Vigun01g096050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFGMLMKCSEDDFPKFEVHCEITLIQPNLYDCGIIVLQMMDLWDGQKKFDGNSMPIYTNVSCG >Vigun05g056900.1.v1.2 pep primary_assembly:ASM411807v1:5:4872294:4874908:-1 gene:Vigun05g056900.v1.2 transcript:Vigun05g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVIVAVVPLYVALVLGYGSVKWWKIFSREQCDAINKLVCYFTLPLFTFEFTAHIDPFKMNFSFIAADTISKFIIIVVLALWARCTTKGSFCWSITSFSLCTLTNALVVGVPMVKPMYGALGEDLVVQSSVVQAIIWLTLLLFVLEVRRTGIEGTTTTTTTTSSFKPRSKKSMIVDDLTGEGEGGGRENGVAIDVKEELAIEESVSSIPFCKLMKVVWLKLVMNPNSYGCVIGISWAFISNRWNLEMPSMLEGSIQIMSKAGTGTAMFSMGIFMALQDKLIGCGPSLTVFGLVLKFIAGPAAMAIGAIAVGLHGDVFRVAIIQAAVPQSITSFIFAKEYGLHPEILSTAVIFGMIVSLPILVAYYAILEFIH >Vigun02g104100.1.v1.2 pep primary_assembly:ASM411807v1:2:25863441:25865681:1 gene:Vigun02g104100.v1.2 transcript:Vigun02g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMMMMSRGGGNRVANTVMLVAKGLSGEVGGARAFYGGGVRSESTLVLPEKEKMEKKVGDGGNKEQKGIVSYWGVEPSKITKLDGTEWKWNCFRPWETYKADVSIDLNKHHAPTTFLDKMALWTVKTLRYPTDLFFQRRYGCRAMMLETVAAVPGMVAGMLLHLKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYMISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAIDYWQLPPHSTLKDVVTVVRADEAHHRDVNHFASDIHYQGRELRETAAPVGYH >Vigun07g137000.1.v1.2 pep primary_assembly:ASM411807v1:7:24727913:24731642:-1 gene:Vigun07g137000.v1.2 transcript:Vigun07g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSPAAVTTTVHVTGFKKFHGVSENPTETIVNNLMEYLKKKGLPKGLVIGSCNILETAGQGALVPLYQTLQSAITSKESESPSSNRIIWLHFGVNSGATRFAIERQAVNEATFRCPDEMGWKPQKVPIVPSDGPITRIRETTLPVEDFTKVLTSKGYNVMTSDDAGRFVCNYVYYHSLRISEQNGIKSLFVHVPLFSTINEETQMQFAASLLEVLVSSC >Vigun07g137000.7.v1.2 pep primary_assembly:ASM411807v1:7:24727913:24731372:-1 gene:Vigun07g137000.v1.2 transcript:Vigun07g137000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSPAAVTTTVHVTGFKKFHGVSENPTETIVNNLMEYLKKKGLPKGLVIGSCNILETAGQGALVPLYQTLQSAITSKESESPSSNRIIWLHFGVNSGATRFAIERQAVNEATFRCPDEMGWKPQKVPIVPSDGPITRIRETTLPVEDFTKVLTSKGYNVMTSDDAGRFVCNYVYYHSLRISEQNGIKSLFVHVPLFSTINEETQMQFAASLLEVLVSSC >Vigun07g137000.5.v1.2 pep primary_assembly:ASM411807v1:7:24727913:24731372:-1 gene:Vigun07g137000.v1.2 transcript:Vigun07g137000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSPAAVTTTVHVTGFKKFHGVSENPTETIVNNLMEYLKKKGLPKGLVIGSCNILETAGQGALVPLYQTLQSAITSKESESPSSNRIIWLHFGVNSGATRFAIERQAVNEATFRCPDEMGWKPQKVPIVPSDGPITRIRETTLPVEDFTKVLTSKGYNVMTSDDAGRFVCNYVYYHSLRISEQNGIKSLFVHVPLFSTINEETQMQFAASLLEVLVSSC >Vigun07g137000.6.v1.2 pep primary_assembly:ASM411807v1:7:24727913:24731372:-1 gene:Vigun07g137000.v1.2 transcript:Vigun07g137000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSPAAVTTTVHVTGFKKFHGVSENPTETIVNNLMEYLKKKGLPKGLVIGSCNILETAGQGALVPLYQTLQSAITSKESESPSSNRIIWLHFGVNSGATRFAIERQAVNEATFRCPDEMGWKPQKVPIVPSDGPITRIRETTLPVEDFTKVLTSKGYNVMTSDDAGRFVCNYVYYHSLRISEQNGIKSLFVHVPLFSTINEETQMQFAASLLEVLVSSC >Vigun11g130500.1.v1.2 pep primary_assembly:ASM411807v1:11:33823160:33826695:1 gene:Vigun11g130500.v1.2 transcript:Vigun11g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDLADDLHSLSFASTNTTKRSTSFSSETTTVTPSTSSHFLHAPLPNAKPHAPSSDPCASFIHRTRPLALSHLRFTRRLGSGDMSAVYLAEPKEEGGGAVFAAKVMEKEDLARRNKEGRARTEREILEMLDHPFLPTLYASIHAPKWLCFLTPFCPGGDLHVLRQRFPNKRFLESAVRFYASEVLLALEYLHMLGIIYRDLKPENVLIRSDGHIMLTDFDLSLKCDDSTSTAQIISDQNPLPTRNDCHVEGARVAASSSCIIPNCIVPTASCFQPKRKRKKKQKLRSGPAFVAEPMNVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFMFELFYGVTPFKGMDHELTLANIVARALEFPKEPACSGAMKDLISKLLVKDPAKRLGSLMGASAIKQHSFFDGVNWALLRCTTPPFLPPPNAKAVYDDDHHTSPDIFVDSY >Vigun09g210100.1.v1.2 pep primary_assembly:ASM411807v1:9:38439309:38441845:-1 gene:Vigun09g210100.v1.2 transcript:Vigun09g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSASKPYDCVKGTWHTQIHQPIRGTLIQEIFRVVNEIHSSSTKKKKEYQEKLPVVVLKAEEIVYSKANSEVEYMDLSTLLDRTNAAIDTIIRCDEHTQTGEYLRPCIEAALSLGCSLTKTSSKSQPNSQRCYLNRNTEEVRNLFHDLSMKSQYVSEHVASTSKKQCVEHQAPPNLFSVYPLYYGNNVQPYQPQHGFNVSHVSVSHTSGPTPVVGAENPLAHNFNSSSGGSQSFIFNGNLANPCTNKCDLSLRLGPSNSVPSPSFENGHIRKTEVKSKFKCWKPL >Vigun10g045500.1.v1.2 pep primary_assembly:ASM411807v1:10:6673880:6676430:1 gene:Vigun10g045500.v1.2 transcript:Vigun10g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKNQDVVKLLDKNSTKPLREFLYSNSFTTLQSAKALGHSSNSSSSSQVLM >VigunL009001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:106943:107206:1 gene:VigunL009001.v1.2 transcript:VigunL009001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun06g118600.1.v1.2 pep primary_assembly:ASM411807v1:6:24667722:24686495:1 gene:Vigun06g118600.v1.2 transcript:Vigun06g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVPVEEAIAALSTFSLEDEQPEVQGPGVWVSTERGATESPIEYSDVSAYRLSLSEDTKALNQLNVLSQEGKEMASVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASASSKLAADMQRFSRPERRINGPTISHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSGQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFVVESLELDFALLFPERHILLRVLPVLVVLVTSSEKDSESLYKRVKINRLINIFKNEAVIPAFPDLHLSPAAILKELSTYFPKFSSQTRLLTLPAPHELPPREAQEYQRHYLIINHIGAIRAEHDDFVIRFASAMNQLLLLKSTDGSDVEWSKEVKGNMYDMIVEGFQLLSKWTARIWEQCAWKFSRPCKDASPSFSDYEKVVRYNYSAEERKALVELVGYIKSVGSMMQRCDTLVADALWETIHAEVQDFVQNTLAIMLRTTFRKKKDLSRILSDMRTLSADWMANTNKSESELQSSQHGGEDSKANIFYPRAVAPTTAQVHCLQFLIYEVVSGGNLRRPGGLFGNSGSEIPVNDLKQLETFFYKLGFFLHILDYSATVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDCVLESPNSGLLESVLMPFDVYNDSAQQALVLLKQRFLYDEIEAEVDHCFDIFVTKLCEIIFTYYKSWAASELLDPSFLFASDNAEKYAVQPIRLNMLLKMTRVKLLGRMINLRSLITERINKAFRENIEFLFDRFECQDLCAIVELEKLLDVLKHSHELLSRDLSIDSFSLMLNEMQENISLVSFSSRLASQIWSELQTDFLPNFILCNTTQRFIRSSRTVPVQKPSVPSAKPSFYCGTQDLNSAHQSFARLHSGFFGIPHMFSIVRLLGSRSLPWLIRALLDHISNKITLLEPMITGLQESLPKSIGLLPFDGGVTGCVRLVKEHLNWETKSELKAEVLHGIKEIGSVLYWMGLLDIVLREKDSMDFMQTAPWLGLLPGGDGQIVTSQDGEHSPVVSLFKSTASAMVSYPGCPSPSSFHIMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITISRDFYRIYSGLQIGYLEESAQVPSNSHDRLGDSIAWGGCTIIYLLGQQLHFELFDFSYQILNIAEVEAVSVMQTHKNSQFAVEGWEALLEAMKKARRLNNHVFSMLKARCPLEEKTACAIKQSGAPIHRIKFDNTVSAFETLPQKGA >Vigun07g170700.1.v1.2 pep primary_assembly:ASM411807v1:7:28542800:28544634:-1 gene:Vigun07g170700.v1.2 transcript:Vigun07g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVTYETDLNLKATELRLGLPGTEETQEKTLSPAVRITNKRPLTETSSEDTVSNAKKNVETETPPPAKAKIVGWPPIRSYRKNSFQENEGAGIYVKVSMDGAPYLRKIDLKVYGGYTQLLKALENMFKLTIGEYSEKEGYKGSDYAPTYEDKDGDWMLVGDVPWDMFVTSCKRLRIMKGSEARGLGCGV >Vigun01g016600.2.v1.2 pep primary_assembly:ASM411807v1:1:1818061:1825632:-1 gene:Vigun01g016600.v1.2 transcript:Vigun01g016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNRKNKNPEEGTSNPQSSEKVEDTNPAEGSSKPEPSNKNTPQSLKAKSKIGKKIKGAKLKTPINKGPQQIGGKRRLGKNKKVVGNSDELPKDKTEENGRNNEKESQHRSIINERSHTEKRHRAEKNKIIKVDRSEQKQKNRGSERGAGSRINKNNHSGADNTNSREKQREKFAGFIFMCSGKTKPDCFRYSVMGVSAAKKDIVLSIKPGTKLFLYDFDLRLLYGIYKASSSGGMKLEPRAFGGAFPAQVRFNVVSDCFPLPESIFKKAIKENYNEKHKFKTELTARQVRKLTELFRPVDVRSGLQPARSPPKAIIHDRKALDDVRGSWSHLHREGDPLIERQEGVSRDLFLTEKSYRAYGLQGDRRNVIPASDVNPSLDPYERDYERDHLHHVDPLYRTVVPSHRESLHLNRRHLNESEHQTYLRGGISEHADDPYNPYHHGASPRDPYFPSREEIPSGSYLAGGRTLIQSDSLQRREAVQDRLYSTYSAADALSEYNRMQHYQDSLEATAVPVSSRYSFAGPSYSLR >Vigun01g016600.1.v1.2 pep primary_assembly:ASM411807v1:1:1818061:1825632:-1 gene:Vigun01g016600.v1.2 transcript:Vigun01g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNRKNKNPEEGTSNPQSSEKVEDTNPAEGSSKPEPSNKNTPQSLKAKSKIGKKIKGAKLKTPINKGPQQIGGKRRLGKNKKVVGNSDELPKDKTEENGRNNEKESQHRSIINERSHTEKRHRAEKNKIIKVDRSEQKQKNRGSERGAGSRINKNNHSGADNTNSREKQREKFAGFIFMCSGKTKPDCFRYSVMGVSAAKKDIVLSIKPGTKLFLYDFDLRLLYGIYKASSSGGMKLEPRAFGGAFPAQVRFNVVSDCFPLPESIFKKAIKENYNEKHKFKTELTARQVRKLTELFRPVDVRSGLQPARSPPKAIIHDRKALDDVRGSWSHLHREGDPLIERQEGVSRDLFLTEKSYRAYGLQGDRRNVIPASDVNPSLDPYERDYERDHLHHVDPLYRTVVPSHRESLHLNRRHLNESEHQTYLRGGISEHADDPYNPYHHGASPRDPYFPSREEIPSGSYLAGGRTLIQSDSLQRREAVQDRLYSTYSAADALSEYNRMQHYQDSLEATAVPVSSRYSFAGPSYSLR >Vigun10g026500.1.v1.2 pep primary_assembly:ASM411807v1:10:3135529:3136839:-1 gene:Vigun10g026500.v1.2 transcript:Vigun10g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKPMASNRISFLWVIVFFLCVFISCARATSLVPPGASGHASSYKQVQNRRVLGRGTDPAAPCCHNYHV >Vigun09g218100.2.v1.2 pep primary_assembly:ASM411807v1:9:39169840:39175440:1 gene:Vigun09g218100.v1.2 transcript:Vigun09g218100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGVSSSSWDLKWLLQFVFSAFVLAMGLHALVKNTASKYFEVDATFEGEQHQHHQHHRHDAMPGLLMDDSVCAVCRNPGSKKCSRCKSVRYCSQACQQAHWKSEHKMRCKEFQKQGVSQNGLINRGFKASAAVNRSTTSIALIPECGRGISRPIKQLKDVLFPYDDFVNLFNWDKPGFPPCGLLNCGNSCFANVVLQCLSFTRPLIAYLLEKGHRSECCHNDWCFLCEFETHVEKVRLSSKAFSTMNILSRLPNIGGTLGYGRQEDAHEFMRFSIDAMQSVCLDEFGGEKAVPPKLQETTLIQHIFGGHLQSEVICTECEKNSNQYENMMDLTVEIHGDAASLEECLDQFTAKEWLHGDNMYKCDGCKGYVKAWKRLTVKRAPNILTIALKRFQSGRFGKLNKRVAFPETLDLSPYMSEVGDGSDIYKLYAVVVHIDMLNASFFGHYICYIKDFCGNWYRIDDWKVVGVELEEVLSQSAYMLLYSRVHARASGLQSIVSPETAEVQPSSTEHAECLPESKTENYSSPEMIEDMPLAETNSRVKREQSKDVEIIDVNDHVSVCNGVESSYMHGSEADKDMGDVEDSDGSNTCSSVLEEISVCMEEQDESDLAKSIPTLSVPNNGSCVSVDYENAREDSDSNQADAIKSIVTANGFADHSNGYVSANRYDDVPVEDGDGSFFSETTSAEKHGKLASDKYECEKGNRVKEVEI >Vigun09g218100.1.v1.2 pep primary_assembly:ASM411807v1:9:39169840:39175440:1 gene:Vigun09g218100.v1.2 transcript:Vigun09g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGVSSSSWDLKWLLQFVFSAFVLAMGLHALVKNTASKYFEVDATFEGEQHQHHQHHRHDAMPGLLMDDSVCAVCRNPGSKKCSRCKSVRYCSQACQQAHWKSEHKMRCKEFQKQGVSQNGLINRGFKASAAVNRSTTSIALIPECGRGISRPIKQLKDVLFPYDDFVNLFNWDKPGFPPCGLLNCGNSCFANVVLQCLSFTRPLIAYLLEKGHRSECCHNDWCFLCEFETHVEKVRLSSKAFSTMNILSRLPNIGGTLGYGRQEDAHEFMRFSIDAMQSVCLDEFGGEKAVPPKLQETTLIQHIFGGHLQSEVICTECEKNSNQYENMMDLTVEIHGDAASLEECLDQFTAKEWLHGDNMYKCDGCKGYVKAWKRLTVKRAPNILTIALKRFQQSGRFGKLNKRVAFPETLDLSPYMSEVGDGSDIYKLYAVVVHIDMLNASFFGHYICYIKDFCGNWYRIDDWKVVGVELEEVLSQSAYMLLYSRVHARASGLQSIVSPETAEVQPSSTEHAECLPESKTENYSSPEMIEDMPLAETNSRVKREQSKDVEIIDVNDHVSVCNGVESSYMHGSEADKDMGDVEDSDGSNTCSSVLEEISVCMEEQDESDLAKSIPTLSVPNNGSCVSVDYENAREDSDSNQADAIKSIVTANGFADHSNGYVSANRYDDVPVEDGDGSFFSETTSAEKHGKLASDKYECEKGNRVKEVEI >Vigun05g267500.6.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965602:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun05g267500.3.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965509:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKICRALQAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun05g267500.2.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965602:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKICRALQAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun05g267500.7.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965509:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun05g267500.4.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965509:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun05g267500.5.v1.2 pep primary_assembly:ASM411807v1:5:45961309:45965509:1 gene:Vigun05g267500.v1.2 transcript:Vigun05g267500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALLAQCLPGLVPHDRGSLSISSVPEKDVQLPSPAVEILPSKICRALQAVHTDKDNGENMDHFKGLVSVADIVGFSGSEAISLKPDGYLKSWTSSIDLVSVLKHEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASVVHFQDQSAETVRCTTIPNVLANLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPTVLSVVKSDGYEVMPGMSLSFSEEDFMEGCSSQDGSIIGHESYSRRSRKLSGSRAWERGSEADQGEGGYDVILMTEIPYSITSLKKLYALIKKCLRPPYGVVYIAPTKRHYVGFSNGVRQLRSLVDEEGIFGAHLVKDLADRDIWKFFHK >Vigun03g237400.1.v1.2 pep primary_assembly:ASM411807v1:3:39518611:39520644:1 gene:Vigun03g237400.v1.2 transcript:Vigun03g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQQKLPFSYLLILCLATVVSSHNRPFTVPSVTRLTDSFPTVPVDQAFSKAFGASSVQFLSNGSTATLALDKVSGSGLVSQRRYNYGFFSAAIKLPSGLSPGVVVAFYLSNADKFPHNHDEIDIEILGHDKRNDWVIQTNVYANGSVSTGREEKFYFWFDPTQQYHLYSILWNSYHTVFLVDNIPVREFIHSNTYPSVYPSKPMSVYATIWDGSEWATHGGKYPVNYKYAPFVASFAQVKLSGCISDPTAPVSACAKVNPSAQDPINGPEFTKLSQQQVAAMDWARRKLMFYSYCNDRPRFKVMPPECH >Vigun08g017500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1472242:1475060:-1 gene:Vigun08g017500.v1.2 transcript:Vigun08g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPKPSTSPAPNPNPSKPPPSKEQHHRDLLNHVEAYLAKRDGVDKLLKISRYATKLILASSLLQSSDNPALNHRLKSFESSVGVSRKAFRLGKFVQDLNALRASHVHSNRHLLFTLLAYGGEGFYYFVEQFVWLAKSGLIDPKHARAFQKVSAWAELVGYIGSVALKLTDLNTIAEEESCLASSVEISRLRGVGCVEEDYRLRKLREKKIMKRLSVVQDLADAVMAVDDILDGNGPFSKPVFMASAGLLSALISTHKNWVSC >Vigun08g017500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1470805:1475062:-1 gene:Vigun08g017500.v1.2 transcript:Vigun08g017500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPKPSTSPAPNPNPSKPPPSKEQHHRDLLNHVEAYLAKRDGVDKLLKISRYATKLILASSLLQSSDNPALNHRLKSFESSVGVSRKAFRLGKFVQDLNALRASHVHSNRHLLFTLLAYGGEGFYYFVEQFVWLAKSGLIDPKHARAFQKVSAWAELVGYIGSVALKLTDLNTIAEEESCLASSVEISRLRGVGCVEEDYRLRKLREKKIMKRLSVVQDLADAVMAVDDILDGNGPFSKPVFMASAGLLSALISTHKNWVSC >Vigun08g017500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1470842:1475060:-1 gene:Vigun08g017500.v1.2 transcript:Vigun08g017500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPKPSTSPAPNPNPSKPPPSKEQHHRDLLNHVEAYLAKRDGVDKLLKISRYATKLILASSLLQSSDNPALNHRLKSFESSVGVSRKAFRLGKFVQDLNALRASHVHSNRHLLFTLLAYGGEGFYYFVEQFVWLAKSGLIDPKHARAFQKVSAWAELVGYIGSVALKLTDLNTIAEEESCLASSVEISRLRGVGCVEEDYRLRKLREKKIMKRLSVVQDLADAVMAVDDILDGNGPFSKPVFMASAGLLSALISTHKNWVSC >Vigun08g017500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1470815:1475060:-1 gene:Vigun08g017500.v1.2 transcript:Vigun08g017500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPKPSTSPAPNPNPSKPPPSKEQHHRDLLNHVEAYLAKRDGVDKLLKISRYATKLILASSLLQSSDNPALNHRLKSFESSVGVSRKAFRLGKFVQDLNALRASHVHSNRHLLFTLLAYGGEGFYYFVEQFVWLAKSGLIDPKHARAFQKVSAWAELVGYIGSVALKLTDLNTIAEEESCLASSVEISRLRGVGCVEEDYRLRKLREKKIMKRLSVVQDLADAVMAVDDILDGNGPFSKPVFMASAGLLSALISTHKNWVSC >Vigun05g091800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8896489:8897631:-1 gene:Vigun05g091800.v1.2 transcript:Vigun05g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQNKAIVEILYKSLWGQGTMENVTKLLASDLEWWFHGPPHCHHMMKVLTGETDHIKGFRFEPRRVAAVGGDHVIAEGWEGKAYWVHVWTLKNGLITQFREYFNTWLVVRNLMQPSWEDNKDSIMFWQSQARDLYQRSLPGIVLAI >VigunL051301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:40801:41745:-1 gene:VigunL051301.v1.2 transcript:VigunL051301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNFRTADLNLFRVFVTLLEEKNATRAGERLGLSQSAISHALRRLRAMVGDDLFIRGQTGLRPTPRALEIAETARTALELLETAVNTQRFDPAVDHHVFTIAAGTYISLDMVIGCFEAIPGRFSYAQLFEESGAWVMREGHPAAAAGLDYETLAHLPRVGVTGGNDDPDRTSSGHLGLRRLTSWGEQYALGEKPYQPFSTQDAFSALNIVSHSDMVCILPRRLAQTSVKRGRLVMIEPPNAPPPTPFGAVMRASDGPASPNGWLLEICRAAATRL >Vigun11g031600.1.v1.2 pep primary_assembly:ASM411807v1:11:4154374:4156226:1 gene:Vigun11g031600.v1.2 transcript:Vigun11g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKISTEIRVHATAEKWFNLFATQLHHVQNLTDRVHGSKLHHGEDWHHNESIKHWTCTIDGKVTTYHESVESIDEANRRITYKVFGEDFDDKFKVFKVIFEATPKDEGGDIIKWIIEYETTSEEVDPPFAFLEFVHKGSRDVDANLLKA >Vigun01g054700.1.v1.2 pep primary_assembly:ASM411807v1:1:10433682:10445758:-1 gene:Vigun01g054700.v1.2 transcript:Vigun01g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSGSGQPQFISSTGNRSSSNAPLIENSDTNQVVVPDRRSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRIEYPRVPNFILWIIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLILLALQQYGVRKLEFLIAFLVFTIAACFMAELGYAKPDAKEVIKGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLRGIKEACRFYMIESAFALMVAFLINVCVISVSGAVCNSSNLNAEDQMSCQNLDLNEASFLLRNVLGKWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGTHVNSTMISAVTWIIGTLIMAINIYYIITGFIKLLIHSHIKIVGKVFLGMIGFSGMAIYLAGVTYLVLRKNTEATHLLALTAPENQHMTNEHGNGPIYSLPREDIVSMQLPQRSTPAADLD >Vigun01g054700.2.v1.2 pep primary_assembly:ASM411807v1:1:10433766:10438824:-1 gene:Vigun01g054700.v1.2 transcript:Vigun01g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFVFLYAETKGKKKKTDNMAITGSGSGQPQFISSTGNRSSSNAPLIENSDTNQVVVPDRRSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRIEYPRVPNFILWIIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLILLALQQYGVRKLEFLIAFLVFTIAACFMAELGYAKPDAKEVIKGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLRGIKEACRFYMIESAFALMVAFLINVCVISVSGAVCNSSNLNAEDQMSCQNLDLNEASFLLRNVLGKWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGTHVNSTMISAVTWIIGTLIMAINIYYIITGFIKLLIHSHIKIVGKVFLGMIGFSGMAIYLAGVTYLVLRKNTEATHLLALTAPENQHMTNEHGNGPIYSLPREDIVSMQLPQRSTPAADLD >Vigun01g054700.3.v1.2 pep primary_assembly:ASM411807v1:1:10433694:10439858:-1 gene:Vigun01g054700.v1.2 transcript:Vigun01g054700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSGSGQPQFISSTGNRSSSNAPLIENSDTNQVVVPDRRSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRIEYPRVPNFILWIIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLILLALQQYGVRKLEFLIAFLVFTIAACFMAELGYAKPDAKEVIKGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLRGIKEACRFYMIESAFALMVAFLINVCVISVSGAVCNSSNLNAEDQMSCQNLDLNEASFLLRNVLGKWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGTHVNSTMISAVTWIIGTLIMAINIYYIITGFIKLLIHSHIKIVGKVFLGMIGFSGMAIYLAGVTYLVLRKNTEATHLLALTAPENQHMTNEHGNGPIYSLPREDIVSMQLPQRSTPAADLD >Vigun01g054700.5.v1.2 pep primary_assembly:ASM411807v1:1:10433766:10438824:-1 gene:Vigun01g054700.v1.2 transcript:Vigun01g054700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSGSGQPQFISSTGNRSSSNAPLIENSDTNQVVVPDRRSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRIEYPRVPNFILWIIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLILLALQQYGVRKLEFLIAFLVFTIAACFMAELGYAKPDAKEVIKGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLRGIKEACRFYMIESAFALMVAFLINVCVISVSGAVCNSSNLNAEDQMSCQNLDLNEASFLLRNVLGKWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGTHVNSTMISAVTWIIGTLIMAINIYYIITGFIKLLIHSHIKIVGKVFLGMIGFSGMAIYLAGVTYLVLRKNTEATHLLALTAPENQHMTNEHGNGPIYSLPREDIVSMQLPQRSTPAADLD >Vigun01g054700.4.v1.2 pep primary_assembly:ASM411807v1:1:10433694:10439858:-1 gene:Vigun01g054700.v1.2 transcript:Vigun01g054700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSGSGQPQFISSTGNRSSSNAPLIENSDTNQVVVPDRRSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRIEYPRVPNFILWIIAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLILLALQQYGVRKLEFLIAFLVFTIAACFMAELGYAKPDAKEVIKGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSLRGIKEACRFYMIESAFALMVAFLINVCVISVSGAVCNSSNLNAEDQMSCQNLDLNEASFLLRNVLGKWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGTHVNSTMISAVTWIIGTLIMAINIYYIITGFIKLLIHSHIKIVGKVFLGMIGFSGMAIYLAGVTYLVLRKNTEATHLLALTAPENQHMTNEHGNGPIYSLPREDIVSMQLPQRSTPAADLD >Vigun10g044160.1.v1.2 pep primary_assembly:ASM411807v1:10:6323091:6324557:1 gene:Vigun10g044160.v1.2 transcript:Vigun10g044160.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEMVKIMKRLRHPNVVLFMGAVTRSPNLSIVTEFLSSKPVCK >Vigun03g424100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62948284:62948685:-1 gene:Vigun03g424100.v1.2 transcript:Vigun03g424100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFLPKMVDNVGGSSSNPENSGIREQDRLLPIANVGRIMKQILPPNAKISKESKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALGSLGFDDYAEPLRRYLHRYRELEVDRSGNSPSKDKDAEF >Vigun01g125500.1.v1.2 pep primary_assembly:ASM411807v1:1:30246006:30247558:1 gene:Vigun01g125500.v1.2 transcript:Vigun01g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTHSLLTTTIFLILTIFLHPSNAQLSSTFYSTTCPNVSSIVRSVIQQALQSDTRIAASLTRLHFHDCFVNGCDGSLLLDQGGNITLSEKNAVPNNNSARGFNVVDNIKTSLENSCPGVVSCADILALAAEASVSLGGGPSWTVLLGRRDGLIANQSGANTSIPAPTESLANVTAKFAAVGLNITDLVALSGAHTFGRAQCRFFNQRLFNFSGTGNPDPTLNSSYLATLQQNCPQNGSGNTLNNLDPSSPDTFDNNYFQNLLNNQGLLQTDQELFSTSGSATISIVNTFANNQTAFFQAFAQSMINMGNISPLTGSQGEIRSDCKKVNGS >Vigun09g230600.3.v1.2 pep primary_assembly:ASM411807v1:9:40241047:40242584:-1 gene:Vigun09g230600.v1.2 transcript:Vigun09g230600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSQYNSADESGWTHYLDQSSLSQSYFQRRGGIVHYEGKGATMEEGEEEEEDLSMISDASSGPPHYHEDDDQHNCVNWYSSSSHHTKESEKKKKKKVKEYGNNQHPSTLDDTASSPVLTCVKKKVSFSGNGAVENGVEFPPCFSGTKVKRKSKFQKHFSFFERSGKQTSEEPGKK >Vigun09g230600.2.v1.2 pep primary_assembly:ASM411807v1:9:40241047:40242584:-1 gene:Vigun09g230600.v1.2 transcript:Vigun09g230600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSQYNSADESGWTHYLDQSSLSQSYFQRRGGIVHYEGKGATMEEGEEEEEDLSMISDASSGPPHYHEDDDQHNCVNWYSSSSHHTKESEKKKKKKVKEYGNNQHPSTLDDTASSPVLTCVKKVSFSGNGAVENGVEFPPCFSGTKVKRKSKFQKHFSFFERSGKQTSEEPDGFNEGKK >Vigun09g230600.1.v1.2 pep primary_assembly:ASM411807v1:9:40241047:40242584:-1 gene:Vigun09g230600.v1.2 transcript:Vigun09g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSQYNSADESGWTHYLDQSSLSQSYFQRRGGIVHYEGKGATMEEGEEEEEDLSMISDASSGPPHYHEDDDQHNCVNWYSSSSHHTKESEKKKKKKVKEYGNNQHPSTLDDTASSPVLTCVKKKVSFSGNGAVENGVEFPPCFSGTKVKRKSKFQKHFSFFERSGKQTSEEPDGFNEGKK >Vigun05g003300.10.v1.2 pep primary_assembly:ASM411807v1:5:250588:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGDSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.9.v1.2 pep primary_assembly:ASM411807v1:5:250588:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGDSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.2.v1.2 pep primary_assembly:ASM411807v1:5:250444:255862:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGESSIVYSIRGRDQVDTEKTSQSFKDPIVDVITPDAVYNKYGADSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.8.v1.2 pep primary_assembly:ASM411807v1:5:250444:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGDSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.1.v1.2 pep primary_assembly:ASM411807v1:5:250444:255862:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGESSIVYSIRGRDQVDTEKTSQSFKDPIVDVITPDAVYNKYGADSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.5.v1.2 pep primary_assembly:ASM411807v1:5:250444:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGESSIVYSIRGRDQVDTEKTSQSFKDPIVDVITPDAVYNKYGADSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.6.v1.2 pep primary_assembly:ASM411807v1:5:250444:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGESSIVYSIRGRDQVDTEKTSQSFKDPIVDVITPDAVYNKYGADSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun05g003300.7.v1.2 pep primary_assembly:ASM411807v1:5:250444:255859:1 gene:Vigun05g003300.v1.2 transcript:Vigun05g003300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAVGSVSVTVSLSLSGGGSSGGGPFPFRSSSVSFLRTSKSSLAIACSLAGNDASSPSSPAKLLRRILDSPGVHQGPACFDALSAKLVQTAGFQLCFTSGFSISASRLALPDAGLISYGEILDQGRLITQAVSIPVIGDADNGYGNAMNLKRTLKGYISAGFAGIILEDQVAPKACGHTRGRRVVSREEAVMKIKAAVDARRESGCDIVIVARTDARQAVSLDEALVRSRAFADAGADVLFIDALASREEMKAFCEVSPLVPKMANMLEGGGKTPILNPMELEEIGFKIVAYPLSLIGVSIRAMQDSLIAIKGGRIPPPGSMPSFEEIKDILGFNAYYEEEKRYATNANPQLSKGGDSSRNPFSGIWSRTIRVKLTSRDGFERLDVRIPAGFLDGITDIVPALGGVNIKELLDDAAEEVGGKLLLDFKDSMGDRIQVFLE >Vigun06g163600.1.v1.2 pep primary_assembly:ASM411807v1:6:28586814:28594936:-1 gene:Vigun06g163600.v1.2 transcript:Vigun06g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFLPLFALTFSISILFPHSLSVKDDSSSSPFPPNFLFGTASSSYQIEGAYLADGKGLNNWDVFTHKPGTVTDGSNGDVADDHYHRYKEDVDLMEDLGVNSYRMSLSWTRILPKGRFGKVNQAGIDYYNRLLNLLLARGIEPFVTISHYDIPQEAVDRYDGWLSPEIAKDFTYYADVCFKNFGDRVKYWITFNEPNVAFIRGYRIGIWPPGRCSGSFGNCSVGGNSEKEPFIVGHNFLLAHGSIVELYRTKYQKIQGGKIGLASHTMWFEPISNSTEDKMALERAQAFYMNWFLDPAIRGDYPKEMREILGDDLPPVPEILKRLKPSIDFIGVNHYTSYFVKDCLHSACEEGGLGSTRTEGSALTWAHVDGRTIGKPTSLEWLFIYPQGMEKIVTYIWERFKIPIFVTENGVGWKESPNLTINERINDEDRIDYVRAYLDALVTAMRKGADVRGYFLWSLLDNFEWTDGSSIRFGLHRVDYDTLERTPRMSASWYKNFIALHGFGPATKPLHSQE >Vigun06g163600.2.v1.2 pep primary_assembly:ASM411807v1:6:28586814:28594936:-1 gene:Vigun06g163600.v1.2 transcript:Vigun06g163600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFLPLFALTFSISILFPHSLSVKDDSSSSPFPPNFLFGTASSSYQIEGAYLADGKGLNNWDVFTHKPGTVTDGSNGDVADDHYHRYKEDVDLMEDLGVNSYRMSLSWTRILPKGRFGKVNQAGIDYYNRLLNLLLARGIEPFVTISHYDIPQEAVDRYDGWLSPEIAKDFTYYADVCFKNFGDRVKYWITFNEPNVAFIRGYRIGIWPPGRCSGSFGNCSVGGNSEKEPFIVGHNFLLAHGSIVELYRTKYQKIQGGKIGLASHTMWFEPISNSTEDKMALERAQAFYMNWFLDPAIRGDYPKEMREILGDDLPPVPEILKRLKPSIDFIGVNHYTSYFVKDCLHSACEEGGLGSTRTEGSALTWAHVDGRTIGKPVCIYETLC >Vigun11g153600.1.v1.2 pep primary_assembly:ASM411807v1:11:36290249:36296072:-1 gene:Vigun11g153600.v1.2 transcript:Vigun11g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPHSAPRNSAYLDALSQAIHKKLQKALANSSQRRNLLQELFADIALEVDDRAKDVILNKEEDDISPAEEINDGPLCFYDVLADYFVRVSESGKPILDLIVQLWSQSFASHIFALLFHKWLFEFHLDNPEVLLRYSSALVEGATNVFWIDIQTNTRRFQSLFCYLLQDVALDHTRLNKIPFQAQRDTYLLLSRFILFYNKADKIDSFLKQCPAFPTAFLIGGPADIFVTELTDQLQKLKVEPVLLHYLSEIKILQGMELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWEALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVACVKTIFYSLLGFIFSTWHKIAKPKSQ >Vigun03g424300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62971474:62973855:1 gene:Vigun03g424300.v1.2 transcript:Vigun03g424300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAFKPNLVNSVTTSCSSFSLPRQHKLPLFHSHTNTKAHFVAKLQTCKDLASATSTHSNIVKFGFSNDTFSTNHLINCYLRLFRIDNAQKLFDEMPQRNVVSWTSLIAGYVDLGQPNMALCLFRQMQGTFVLPNEFTFATLINACSILVNLEIGRRLHALVEVSGLQSNLVACSSLVDMYGKCNHVVEARLIFDSMCTRNVVSWTSMITTYSQNAQGHTALQLFKEFNHSGLGRPNHFMLSSAINTCASLGSLGDGKITHGVVIRLGHDASDVIASALVDMYAKCGCVNYSAKVFRRIPIPSVIPYTSMIVGAAKYGLGTLSLQLFQEMVVRRIKPNDVTFVGVLHACSHSGLVDTGLELLNSMNGKYGVIPDAKHYNCVADMLGRVGRIEEAYELAKSVEVEGDACAMLWGTILSASRLYGRLDIALEASNRILESNQEVAGAYVTLVNAYALAGDWENAHNLRSEMKHTGVYKEPGSSWIEVKEST >Vigun07g163900.1.v1.2 pep primary_assembly:ASM411807v1:7:27571122:27575515:-1 gene:Vigun07g163900.v1.2 transcript:Vigun07g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAVTAKARSALVMSARLVNEAVSFVAFCVLDLVDFLLCFVFKAVDLWIEADFRPCYCSSTKEAITSSGKILVSEQGGESKIVSLTSSKLRLEDISDTLYSRPSLVSEVSKLTLNELKRFKLEDPVLHSKKVNGHGNGNGNGNSRCSTFTVNTTIVEMLQGKFGRHHPHQIPRWSDCDCKYCTSWISSSSSPHNNPNAKASLFVNSHFPTTDGEAGDDVVFIHGFISSSLFWSETVFPNLSSAAKSSYRLFAVDLLGFGRSPKPSESMYTLREHLEMIERSVLEAHNVKSFHIVAHSLGCILALALAVKHPHSVKSLTLLAPPFYPVPKGEAQATQYVMRKVAPRRVWPPMAFGASLACWYEHISRVICLLICKNHRIWEFLAKLITRNRVRTFLLEGFFCHTHNAAWHTLHNIICGTAAKIGTYLDAVRENPKCKVTIFHGRNDEVIPVECSYEVQKRIPRAKVRVIDNKDHITIVVGRQKAFARELEDIWNSTNHN >Vigun09g194600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36921521:36923044:1 gene:Vigun09g194600.v1.2 transcript:Vigun09g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHQQQPKLKTQLFSCGFFRHCAQTVLSPTGATPPLPHTPPTFQCESSTSSSSSATSQSFTQWRFSPPTPTPTTTTTNSNTNNLVKTNANTTFNVPLPPPPPPPQIHNLQELFHISELQLTTDPASALQLLERSLVPNPPQDQPPCPPNLMHALTRNLALAKPATKILFALCLSDVNRRVAVETGAVSAVIEAALELDGAPAERALAALELMCTLPDGAHEVRAHALAVAVMVTMMGKTAARAKEYAIGVLAVVYGGFASDHHTAPPEEVARAVELALQGECSARARRKGAQLLKTLKELSEAEPLPLDTN >Vigun05g209200.1.v1.2 pep primary_assembly:ASM411807v1:5:39985359:39988951:-1 gene:Vigun05g209200.v1.2 transcript:Vigun05g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSCSSAISAASETLAKPSSRSFSATSLALQSSSSKLTFKSLKLNRCGALGARMVAAPVKAPVQLDFDTKVFKKEKINLAGHDEYIVRGGRDLFHFLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVSGSDLVMLLISDSAQLYKVTYLLVSWCLSFCYSNEKCTFEYLILGLSHGFLLGHLQSIGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVESLFRRYTDNGMDEDLAYKNTVESITGIISKTISTKGMLAVYNALSEDEKREFEKAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDQGPLYAFTAGVFVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGSPVNQDLLSNFLSDPVHGAIEVCAKLRPTVDISVPADADFVRPELRHSN >Vigun04g005300.1.v1.2 pep primary_assembly:ASM411807v1:4:350820:355162:-1 gene:Vigun04g005300.v1.2 transcript:Vigun04g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLMVDSLALNLPSATKAPPISGVHPSTTPCFCKIRTTNFPSQTALLPLSPSSSSAPDAITAAPGFHLDSAALRRPISLRLAVYSGSTARACGVSAAKLLGRLTLTLDLTSARDRPITFHSGWLSLRRNKTGSEPNRKPSTRLHMVVRSEPDPRFVFQFGGEPECSPVVFQIQENNIRQPVFSCKFSADRNSRSRPLPSDFGNNPSRWRRTLKGVRERHGRERKGWMVMIHDLSGSPVAAASMITPFVPSPGSDRVSRSNPGAWLILRPNGASVSSWKPWGRLEAWRERGPVDGLGYKFELVAENGPVNGIPIAEATMDVKKGGQFCIDYKVMKDSGLSSRLPGKGFVMGSTVEGEGKVSKPLVQVGAQHVTCMADAALFIALSAAIDLSMDACQLFSHKLRKELCHEEHVSFP >Vigun06g014800.1.v1.2 pep primary_assembly:ASM411807v1:6:6975717:6986537:1 gene:Vigun06g014800.v1.2 transcript:Vigun06g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAGVNTSKVLILVGAGLSGSVLLRSGQLSGLIAQLQELLKGVDDAEISPGRYDAALIAAQIRELAHEIRELTLSRPVTIFNANSSSSGGIGSYILPAAAIGAMGYCYMWWRGWSFSDVMFVTKRNMVNAVAIVSKQLENVHETLASTKRHLTKRLEGLDLKLEEHNELGQLISNDVNEVKSNLSQIGFDIEVIHNMISGLEGKLELVEGKQEMTNSGLWYLCQFADGFSDRPNGYKDIAEPTTSTVTTLEKKSLQGLQFIATTSDTAENSSIITKKVGLISSNDKEPASKQRIHRSFPLGIAVSKGLTGLASD >Vigun06g014800.2.v1.2 pep primary_assembly:ASM411807v1:6:6975764:6985975:1 gene:Vigun06g014800.v1.2 transcript:Vigun06g014800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAGVNTSKVLILVGAGLSGSVLLRSGQLSGLIAQLQELLKGVDDAEISPGRYDAALIAAQIRELAHEIRELTLSRPVTIFNANSSSSGGIGSYILPAAAIGAMGYCYMWWRGWSFSDVMFVTKRNMVNAVAIVSKQLENVHETLASTKRHLTKRLEGLDLKLEEHNELGQLISNDVNEVKSNLSQIGFDIEVIHNMISGLEGKLELVEGKQEMTNSGLWYLCQFADGFSDRPNGYKDIAEPTTSTVTTLEKKSLQGLQFIATTSDTAENSSIITKKVGLISSNDKEPASKQRIHRSFPLGIAVSKGLTGLASD >Vigun09g249700.1.v1.2 pep primary_assembly:ASM411807v1:9:41680392:41686304:1 gene:Vigun09g249700.v1.2 transcript:Vigun09g249700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSNHSFLDVHGRIADKRTTGGWKASSFIIGNEVTERLAFFAIAANMVSYLVYVRSESLPDAVTHVTGWIGAAYVPTLFGAFLADAYLGHFRTILLFSAIYAAGMILLTFSASQTTIWRHCGFTACEAATKGQTFFIYCALALIALGTGGIKPCISSFGAHQFDDADHKEVQMKPLFFDCFFVAINVGAIFGITLLVYAQQTVGFRWGFGLSAAAAICSILIVVAGVPYYRFKKPMGSPFTRFLQVVVASTRNHLNGVYLTNHETPLFEVQTTESDVVGSTKLPHTPQYRFFDKAAVMVNAEDKSNRWRICTVTQVEEFKAFIRVLPVWASTIALSLSYAQPLTFFLGQANIMDRTLGVSFVIPTGSVPVFGAITLILLPIYEKLIVPFLRNLTGHHRGITSLQRIGVGLFVSVFATASAALVEMKRRNYYSVQLSMSVFWLLPQFLLMGSAEVFTYVGQWEFFYDEATDGTRSIISAMCLCGIGIGNWLSTALVKIIEAASGGQDKGWLRDNLNESRLDNFYWVLTAINGVNFLVYLVVAHCHRGNGALVMDEAMADDEFTNPKYSQP >Vigun03g447800.2.v1.2 pep primary_assembly:ASM411807v1:3:64888330:64898435:-1 gene:Vigun03g447800.v1.2 transcript:Vigun03g447800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEEPPSPSPSLRSSSLLKDISNFTTPRRPPFSLTAAPSPATQFFTASKHAATSSSFHRRSNKPSTAATAKKFKAFQLEQSQSSRKAQIKKERSLKSLAKSLSVWLNFLLQNPNSCGCHYSAEDASAATTNGKRDGAPVISVVGVDSTWRTPKRQRKMWSSKENAATVAEVPDSSFSRLSDSLKDVCSFEDLNQRMSLYFSLAACKEIFLQMNRVAKTIDQGRLNMKAHCPIVTDVGLKDKATRILMCYNSTWLRIGLYVIFGGDSLVLNGDVDSDHDAVFLRTVVDKLFFSHEGLAKAYAYNKMVEGVYRSGYYENLGNVILKRILLLVLVLDKAKCQSYLPLEYGIDGLDGGSPLLFKPESWIKSSSQLIHGYKLSHQQEPLVEYDFMIRDLFVDLQDGLKLCRAIQLLQHNSSILMKIVVPSDTPKKKLANCSLALQYIRQAGGSLVDEDGMMIVADDIANGDKELTVSLLWNIFVHLQLPLLVDKASLEGEISKIQGGKVPVNNASSSSMELLLNWIQAVCDNYDCEIDNFHSLIDGKAVWCLLDYYFQKELQNSCSLKEVSMKSGKASIMSVDEYSDALYNFILSQKLTTLLGNFPEVLQISELLQYNGACSDRSVIILLVFLASQLFVKKNLDHLNFHKLLGYDCQSPNHRHLRMLRCLSNSESIQKPDASDVLDNEDASRKFKAIQSWWQDMAERNGLNKQAASNLKGSKITECSTNIKTENATRTIQLHLRGLVARRKFLKMVNAITLLQTVFRAWLKVRQKSACVILSTVQVCDSSCEILKQSETYKRYAMVFIYRRSFLRLKSSAQIIQKAVRSWLYRRHKQEFSTSPDLLISDMVVPALNVQKLVPDGMAQSRYIHQLDHREKALFVSQLKVPFHLQTNAATIIQLAWKKFMCCKSAQKQHLFATKIQRNFRRWLLRKSFLDKIQAVVKIQSYFRMWRCVNAFQLFKIEFKATVIQSCMRGWLARKNAGARRNHLFATKIQLNFRRWLSRKRFLNQIQAVIKIQSYFRMWRCVNAFQKFKIEFKAAVIIQSCLRGWFARQDACTRRKDIVKIQRHCRGWLVKRNFLFQRDAVVKIQCVVRSLKCQKALNCQKDAALEIQRFIRGHVTRNQLLGSASKLGTVIPISCSSRPFGFCSFQLELFLFSVVKLQRWWKGLLLLKLMNKSAIIIQSCTRGRIARRNATLYRSHVVIQEDAALVIQRYIRGHLTRHRVLGGASNLSAITPVGCISGPVGCRSFPPDQFLLSVVKLQRWWKGHLLQILMTKSAIVIQSCTRGWIARRTANVQRHRIIVIQSHWKGYRARKASKEQLLDLRLRMQKSARNVDDSKRLINRLLAALSELLNMKSLSNILHTCSILDMATGHSQKCCEELVAAGAVDTLLRLIQTVSRSIPDQEVLKHALSTLRNLARYPHLLQVLIQSRNSVQIIVMELLRNKNEGYFVASELLKKIFSTGIGVEAILKSHALLKRLHALVEDLKRKGIYEKRNSRAPNLAIKENRERRLKEAAEIVKLITSA >Vigun03g447800.1.v1.2 pep primary_assembly:ASM411807v1:3:64888330:64898435:-1 gene:Vigun03g447800.v1.2 transcript:Vigun03g447800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEEPPSPSPSLRSSSLLKDISNFTTPRRPPFSLTAAPSPATQFFTASKHAATSSSFHRRSNKPSTAATAKKFKAFQLEQSQSSRKAQIKKERSLKSLAKSLSVWLNFLLQNPNSCGCHYSAEDASAATTNGKRDGAPVISVVGVDSTWRTPKRQRKMWSSKENAATVAEVPDSSFSRLSDSLKDVCSFEDLNQRMSLYFSLAACKEIFLQMNRVAKTIDQGRLNMKAHCPIVTDVGLKDKATRILMCYNSTWLRIGLYVIFGGDSLVLNGDVDSDHDAVFLRTVVDKLFFSHEGLAKAYAYNKMVEGVYRSGYYENLGNVILKRILLLVLVLDKAKCQSYLPLEYGIDGLDGGSPLLFKPESWIKSSSQLIHEFLSSDVMHGEGNLLTHLVILGYKLSHQQEPLVEYDFMIRDLFVDLQDGLKLCRAIQLLQHNSSILMKIVVPSDTPKKKLANCSLALQYIRQAGGSLVDEDGMMIVADDIANGDKELTVSLLWNIFVHLQLPLLVDKASLEGEISKIQGGKVPVNNASSSSMELLLNWIQAVCDNYDCEIDNFHSLIDGKAVWCLLDYYFQKELQNSCSLKEVSMKSGKASIMSVDEYSDALYNFILSQKLTTLLGNFPEVLQISELLQYNGACSDRSVIILLVFLASQLFVKKNLDHLNFHKLLGYDCQSPNHRHLRMLRCLSNSESIQKPDASDVLDNEDASRKFKAIQSWWQDMAERNGLNKQAASNLKGSKITECSTNIKTENATRTIQLHLRGLVARRKFLKMVNAITLLQTVFRAWLKVRQKSACVILSTVQVCDSSCEILKQSETYKRYAMVFIYRRSFLRLKSSAQIIQKAVRSWLYRRHKQEFSTSPDLLISDMVVPALNVQKLVPDGMAQSRYIHQLDHREKALFVSQLKVPFHLQTNAATIIQLAWKKFMCCKSAQKQHLFATKIQRNFRRWLLRKSFLDKIQAVVKIQSYFRMWRCVNAFQLFKIEFKATVIQSCMRGWLARKNAGARRNHLFATKIQLNFRRWLSRKRFLNQIQAVIKIQSYFRMWRCVNAFQKFKIEFKAAVIIQSCLRGWFARQDACTRRKDIVKIQRHCRGWLVKRNFLFQRDAVVKIQCVVRSLKCQKALNCQKDAALEIQRFIRGHVTRNQLLGSASKLGTVIPISCSSRPFGFCSFQLELFLFSVVKLQRWWKGLLLLKLMNKSAIIIQSCTRGRIARRNATLYRSHVVIQEDAALVIQRYIRGHLTRHRVLGGASNLSAITPVGCISGPVGCRSFPPDQFLLSVVKLQRWWKGHLLQILMTKSAIVIQSCTRGWIARRTANVQRHRIIVIQSHWKGYRARKASKEQLLDLRLRMQKSARNVDDSKRLINRLLAALSELLNMKSLSNILHTCSILDMATGHSQKCCEELVAAGAVDTLLRLIQTVSRSIPDQEVLKHALSTLRNLARYPHLLQVLIQSRNSVQIIVMELLRNKNEGYFVASELLKKIFSTGIGVEAILKSHALLKRLHALVEDLKRKGIYEKRNSRAPNLAIKENRERRLKEAAEIVKLITSA >Vigun07g213100.2.v1.2 pep primary_assembly:ASM411807v1:7:33448788:33469770:1 gene:Vigun07g213100.v1.2 transcript:Vigun07g213100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSMAAPSEKWTDRLQFSSLFWPPPPDGQQRKDQIAAYVEYFIQFTSEQFTDDIAELIRNRYPSKEILLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDKASPPFASFISSVCPKIENEYSEQWALACGEILRILTHYNRPIYKMERQYGETERSSSGSHATTSEPINGKSVHNSLTHQEKKPIRPLSPWITDILLASPVGIRSDYFRWCSGVMGKYAAGELKPPSTASRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPAAEASIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPTSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFAATVEAILQRTFPPESTREQSRKSKYLSIIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRGEDNYPAEEIIEDLQTSENQKESKNRKMKKQGPVAAFDSYVLAAVCALACELQLFPLITRGNNHLVSNNVQNIAKPVRLNGSSHIRQNGSSHIRQNGSSHELRNGLDSAVRHTHRILAILEALFSLKPSSVGTPWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLIRCKWDNEIHSRASSLYNLIDIHSKAVASIVNKAEPLEATLIHAPIWRDSLVCCGSKRQNQCESSRFDPGQTSIVPSTDTFPSKPNHTSEKTPCSNEASGCSLGKGVSGFPLDASDLANFLTMDRHIGLNCNAQIFLRSMLAEKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAERELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHESAESLVIVASSSDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEFGESGLAVADGLSNLLKCRLSATIRCLSHLSAHVRALSISVLRDILHTGSIRFSPKPRRINGTHNPSYQYFNLDAINWQADIEKCLTWEAHSRISTGLSINFLDTAAKELGCTISM >Vigun07g213100.1.v1.2 pep primary_assembly:ASM411807v1:7:33448761:33469775:1 gene:Vigun07g213100.v1.2 transcript:Vigun07g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSMAAPSEKWTDRLQFSSLFWPPPPDGQQRKDQIAAYVEYFIQFTSEQFTDDIAELIRNRYPSKEILLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDKASPPFASFISSVCPKIENEYSEQWALACGEILRILTHYNRPIYKMERQYGETERSSSGSHATTSEPINGKSVHNSLTHQEKKPIRPLSPWITDILLASPVGIRSDYFRWCSGVMGKYAAGELKPPSTASRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPAAEASIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPTSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFAATVEAILQRTFPPESTREQSRKSKYLSIIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRGEDNYPAEEIIEDLQTSENQKESKNRKMKKQGPVAAFDSYVLAAVCALACELQLFPLITRGNNHLVSNNVQNIAKPVRLNGSSHIRQNGSSHIRQNGSSHELRNGLDSAVRHTHRILAILEALFSLKPSSVGTPWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLIRCKWDNEIHSRASSLYNLIDIHSKAVASIVNKAEPLEATLIHAPIWRDSLVCCGSKRQNQCESSRFDPGQTSIVPSTDTFPSKPNHTSEKTPCSNEASGCSLGKGVSGFPLDASDLANFLTMDRHIGLNCNAQIFLRSMLAEKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAERELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHESAESLVIVASSSDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEFGESGLAVADGLSNLLKCRLSATIRCLSHLSAHVRALSISVLRDILHTGSIRFSPKPRRINGTHNPSYQYFNLDAINWQADIEKCLTWEAHSRISTGLSINFLDTAAKELGCTISM >Vigun03g054700.5.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQLFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRRLAGAEEVLGVPDNRSVESSINKEIENSVQACLLKIDLTDYDPLLHERGFHQKLNVLVKESLRFGSMFSKLEGSYSELSSSPQPSSEVIVKAEPATGVIVNEEDLTLDIADQEEKTMACITEEWKQLVVSEDPKLYSPSCMSKAKLGQSSACVSPRDGNNRQLDRETSRILERLEVPRPLKAKTISPGSNESCMKNTSVPIKKPLIPFQPTQPLQLTQGTEQVFVSSQLIKPNFQRLKRKHR >Vigun03g054700.4.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQPVFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRRLAGAEEVLGVPDNRSVESSINKEIENSVQACLLKIDLTDYDPLLHERGFHQKLNVLVKESLRFGSMFSKLEGSYSELSSSPQPSSEVIVKAEPATGVIVNEEDLTLDIADQEEKTMACITEEWKQLVVSEDPKLYSPSCMSKAKLGQSSACVSPRDGNNRQLDRETSRILERLEVPRPLKAKTISPGSNESCMKNTSVPIKKPLIPFQPTQPLQLTQGTEQVFVSSQLIKPNFQRLKRKHR >Vigun03g054700.3.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRSLAPPLLRDIKQSLLQLANFYAISSSSKSHVRKSATLGDKIGLCYVFKNRLSSSDELTIAYNPVGNFMLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQPVFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRRLAGAEEVLGVPDNRSVESSINKEIENSVQACLLKIDLTDYDPLLHERGFHQKLNVLVKESLRFGSMFSKLEGSYSELSSSPQPSSEVIVKAEPATGVIVNEEDLTLDIADQEEKTMACITEEWKQLVVSEDPKLYSPSCMSKAKLGQSSACVSPRDGNNRQLDRETSRILERLEVPRPLKAKTISPGSNESCMKNTSVPIKKPLIPFQPTQPLQLTQGTEQVFVSSQLIKPNFQRLKRKHR >Vigun03g054700.6.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRSLAPPLLRDIKQSLLQLANFYAISSSSKSHVRKSATLGDKIGLCYVFKNRLSSSDELTIAYNPVGNFMLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQLFRGLSSFLQSMNQAIGYRTNAYEASSRGRRSPRSS >Vigun03g054700.2.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRSLAPPLLRDIKQSLLQLANFYAISSSSKSHVRKSATLGDKIGLCYVFKNRLSSSDELTIAYNPVGNFMLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQRVYKFHSPFEILFKCHCYSCIALIQFILVQFFTKFTFHYGSNLAVFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRVRSSFLLLLIYPSCSLHIYTQ >Vigun03g054700.1.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRSLAPPLLRDIKQSLLQLANFYAISSSSKSHVRKSATLGDKIGLCYVFKNRLSSSDELTIAYNPVGNFMLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQLFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRRLAGAEEVLGVPDNRSVESSINKEIENSVQACLLKIDLTDYDPLLHERGFHQKLNVLVKESLRFGSMFSKLEGSYSELSSSPQPSSEVIVKAEPATGVIVNEEDLTLDIADQEEKTMACITEEWKQLVVSEDPKLYSPSCMSKAKLGQSSACVSPRDGNNRQLDRETSRILERLEVPRPLKAKTISPGSNESCMKNTSVPIKKPLIPFQPTQPLQLTQGTEQVFVSSQLIKPNFQRLKRKHR >Vigun03g054700.7.v1.2 pep primary_assembly:ASM411807v1:3:4465070:4470607:1 gene:Vigun03g054700.v1.2 transcript:Vigun03g054700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFHHAVNNLPYDAFQPDIDNISDSMISNVLSDRVLYSWQGKDIERKVVVITSTLPEDVDSVVQKNLMDAADKCVSVDFAVFHQKSSHLTDTRENINNFRRCISHLDNCSVQTYIPDFRVFHSLVKKWLQILKDDMEEPLLARLIFKDNLFEAVNHIFCNLFSPVNPITNNFRQCRTCRCHGIPLGDAEKNFSRLSCSVTGCNLETFDVIENSVQVGEKTILFLPSFYNSLKLQQITSPININVTERINLASVDEGLIIGASFVVVSSSYHVIETTSDDTDQSEVNVQRVYKFHSPFEILFKCHCYSCIALIQFILVQFFTKFTFHYGSNLAVFRGLSSFLQSMNQGLICSSNYDLETMAEAPCHCYYILQPSDTGPMLMRVRSSFLLLLIYPSCSLHIYTQ >Vigun06g151700.1.v1.2 pep primary_assembly:ASM411807v1:6:27618273:27620354:1 gene:Vigun06g151700.v1.2 transcript:Vigun06g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMKLKVDLECGKCCKKVKKVLAKYPQIRDQKYDEKANMVIITVVCCSPEKIRDKLCYKGGGSIKSIEILDPPKPKAAEPEKKKEADKPKPEPEKKKDAEKPKAEAPKQDAGKPKAEPEKKKDGGEKPKAEAEKKKDAEKPKAEAPKKDAEKPKEKAAPEAEKPKDKPAPAALPIQPHIAPPMAVPVGMLYAPAPCYEGRPVGPFYEYGGPMNYYDGYYARPVYDSYGGGRPCYVNNRCDQYFSDENPSGCTIM >Vigun06g151700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27618988:27620354:1 gene:Vigun06g151700.v1.2 transcript:Vigun06g151700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITVVCCSPEKIRDKLCYKGGGSIKSIEILDPPKPKAAEPEKKKEADKPKPEPEKKKDAEKPKAEAPKQDAGKPKAEPEKKKDGGEKPKAEAEKKKDAEKPKAEAPKKDAEKPKEKAAPEAEKPKDKPAPAALPIQPHIAPPMAVPVGMLYAPAPCYEGRPVGPFYEYGGPMNYYDGYYARPVYDSYGGGRPCYVNNRCDQYFSDENPSGCTIM >Vigun06g151700.4.v1.2 pep primary_assembly:ASM411807v1:6:27618988:27620354:1 gene:Vigun06g151700.v1.2 transcript:Vigun06g151700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMKLKVDLECGKCCKKVKKVLAKYPQIRDQKYDEKANMVIITVVCCSPEKIRDKLCYKGGGSIKSIEILDPPKPKAAEPEKKKEADKPKPEPEKKKDAEKPKAEAPKQDAGKPKAEPEKKKDGGEKPKAEAEKKKDAEKPKAEAPKKDAEKPKEKAAPEAEKPKDKPAPAALPIQPHIAPPMAVPVGMLYAPAPCYEGRPVGPFYEYGGPMNYYDGYYARPVYDSYGGGRPCYVNNRCDQYFSDENPSGCTIM >Vigun06g151700.3.v1.2 pep primary_assembly:ASM411807v1:6:27618603:27620354:1 gene:Vigun06g151700.v1.2 transcript:Vigun06g151700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMKLKVDLECGKCCKKVKKVLAKYPQIRDQKYDEKANMVIITVVCCSPEKIRDKLCYKGGGSIKSIEILDPPKPKAAEPEKKKEADKPKPEPEKKKDAEKPKAEAPKQDAGKPKAEPEKKKDGGEKPKAEAEKKKDAEKPKAEAPKKDAEKPKEKAAPEAEKPKDKPAPAALPIQPHIAPPMAVPVGMLYAPAPCYEGRPVGPFYEYGGPMNYYDGYYARPVYDSYGGGRPCYVNNRCDQYFSDENPSGCTIM >Vigun06g151700.2.v1.2 pep primary_assembly:ASM411807v1:6:27618530:27620354:1 gene:Vigun06g151700.v1.2 transcript:Vigun06g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTTMKLKVDLECGKCCKKVKKVLAKYPQIRDQKYDEKANMVIITVVCCSPEKIRDKLCYKGGGSIKSIEILDPPKPKAAEPEKKKEADKPKPEPEKKKDAEKPKAEAPKQDAGKPKAEPEKKKDGGEKPKAEAEKKKDAEKPKAEAPKKDAEKPKEKAAPEAEKPKDKPAPAALPIQPHIAPPMAVPVGMLYAPAPCYEGRPVGPFYEYGGPMNYYDGYYARPVYDSYGGGRPCYVNNRCDQYFSDENPSGCTIM >Vigun03g273800.1.v1.2 pep primary_assembly:ASM411807v1:3:44952764:44957234:-1 gene:Vigun03g273800.v1.2 transcript:Vigun03g273800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMETFTGAVAGAVIQEGPKQVARLVKKGLNFRESRRNLGSTVHHAIPVAQEIERLDQELGRSEAERAPLLEVLQEGEKLFNARSNVPWWLCCCLPFFQTQLEEALEGVTRSNVNLLPLVARDTQEILLLSRNSVRGKGLKRWLKPPPKPDLIVGLDNPLSHFNKLKKQLLQTGNSVLVLSGLAGYGKTTLATLLCWDDHVRGKFGENILFITVSKTCSLKTIVQSLFLQHYGLVVPDLDLGNDRCAISHLKILAEEIMKSPMLLVLDDVWPNSESLIEAFKVHGLSDYKILVTSRFNVQGFEPACRMEPLSFEDSVTLLHHLALPNDGRSSSLSDDYEEKVDLIPQIAKACYGSPLALELVGGSLRKERLNVWRQKKKKLSKGHPIVESHTEMLAIFKKYLDDGLEDKPIIKECFMDLSLFPEDQKIPVSALIDIWTEQQHKLGGDLEQRQNLKEADAVNIVYDLTDRHLANLVVRNVGIDIDDYCNHRFLLQHDLIKEVVEASQEPYTQRKRLMFDMNENNWSQQRQQNTVATTLSISTSKMSSTERLDNIVKVEVVQVLVLNLRTKEYTLPEFIRKMNELKVLIITNYFDLNCSLINFELVGSLSSLRRIRLERVSVTTFGKWYNLRRLSLYHCNTREAFESDSIPISEALPNLVELCIDYCKDLVTLPTGLCDITRIKKLSITKCMNFIALPNDIGNLENLKTLRLSSCAVFEEIPASIGKLFQLRFLDISGCVSLQNLPEEIGDLQNLERLHMVGCPCKIPSSVSGLENLKNVRCDEETAIPWKEVYKPNLPSLKIEEAYDHSLFLF >Vigun05g216800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40859830:40860723:-1 gene:Vigun05g216800.v1.2 transcript:Vigun05g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFVRSSTLSRSRRYRYGAVGAEPTIARDHILKSISYRRRRAKQRKIFLSTYQLDSFSEPKSPKLKRVAFNLKRFVTSVLKLVRTTAGSFRSKSSLSTDFSTF >Vigun09g194700.1.v1.2 pep primary_assembly:ASM411807v1:9:36934000:36940770:-1 gene:Vigun09g194700.v1.2 transcript:Vigun09g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYIHIDSISIDLAESTRKRDAGKCEHFSIRGFVSEIRRKDWKICWPFPVHESDKQPSFLPLDAPKYRCRRCQNSAIEIAGKDSLKDDQTDLNCCSTECRSGSNCNNEALKSGIQEDPVPDAVERREIDLNTALSCINDYLPNSNEKGRKAGVVPSKIIDLDSGLEDNLNHQVTSVPSPKNLSDLTPPEVHAAKKDCESNEVSFPGLSSNLKCTERSSSEMCNGGIPAANQSQNDVIKACTVFRKGATVMEEVNNTDDHPSGPPLELVACNDTAPVGSIDNTVENDFQDHHSEKSTGLSRRRPRKVRLMSDLLSDNGELKTEQNSIKESVSLGTSHASAASQAQSILPGKGDIEGGLPLTSTGPIRKRKFPLDEARRPTNMGFQRVENEVQNSQGVIKATDTLLDARSNFKDVSKGICLQDATKGRWNKTESERSRIISKKKNKKIQGADNCLISEQPVGQHRENEETTDTTEKAYASKTNSSRLAPPAFTGKATDNFPTHSLRMENEFNLSKVKGKMLQTDVELDSLSWQKNNMLVQDSNAYSGEKVRSTMPLTIQIPSGQGLINRKGLEEGLHLSLNNYLVDAHVYNKKCIHQIESRLPFSMPFQDGTSKVPQLKWKDSDTNVFGGQSIPSKNPTNALSGKAVHCEEINSSRNAQQTIEAVDQLGFVKRYSEQTLEVSEQGMLDDIPMEIVELLAKNQYERCLPDVENRSSTLEKPSLGRKRQIAGGSTVHKKGEMSLLKDGQKEKPQGKHKKNSMITRGENVKPSKRKPVHYFTPFDGNNLSMNNLCPPQPPFGLDVSQSQKKPSGGLQFSAIGSSQLGSSQNCRLNGSFEERGSPNATFQAPGGCSLHKNILHQDDEASRIWASLTSNHVSPGYDLPKRVVSSQHPSCNMNITSLQSGAFHKQNTKRDTDLNYMNLNAAGLEKLSRNTGSETFSRMNGEYSFPCKHSGMEPHQNLRGSLDLYSNDTIPAMHLLSLMDAGMQSRTAFDVGVSTQMLKRPSYPSDCNTKLEIGTSKLPGTVKRPSSDYCSRSFLSDKHGCFVGPPTFGASSSTPHDKKLARATGFNGQNPTKSGKKEKLKNSISTLQNKIGKQISWPHNETETSMQRKLDVNGNHETPVPCKIISGNTCMVNRNPADLTIPETGNIYMIRGEDLKFEKSIPRNRLRFPIPYGYKQQRNLKGTKMKEHAKH >Vigun09g194700.2.v1.2 pep primary_assembly:ASM411807v1:9:36934086:36940739:-1 gene:Vigun09g194700.v1.2 transcript:Vigun09g194700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYIHIDSISIDLAESTRKRDAGKCEHFSIRGFVSEIRRKDWKICWPFPVHESDKQPSFLPLDAPKYRCRRCQNSAIEIAGKDSLKDDQTDLNCCSTECRSGSNCNNEALKSGIQEDPVPDAVERREIDLNTALSCINDYLPNSNEKGRKAGVVPSKIIDLDSGLEDNLNHQVTSVPSPKNLSDLTPPEVHAAKKDCESNEVSFPGLSSNLKCTERSSSEMCNGGIPAANQSQNDVIKACTVFRKGATVMEEVNNTDDHPSGPPLELVACNDTAPVGSIDNTVENDFQDHHSEKSTGLSRRRPRKVRLMSDLLSDNGELKTEQNSIKESVSLGTSHASAASQAQSILPGKGDIEGGLPLTSTGPIRKRKFPLDEARRPTNMGFQRVENEVQNSQGVIKATDTLLDARSNFKDVSKGICLQDATKGRWNKTESERSRIISKKKNKKIQGADNCLISEQPVGQHRENEETTDTTEKAYASKTNSSRLAPPAFTGKATDNFPTHSLRMENEFNLSKVKGKMLQTDVELDSLSWQKNNMLVQDSNAYSGEKVRSTMPLTIQIPSGQGLINRKGLEEGLHLSLNNYLVDAHVYNKKCIHQIESRLPFSMPFQDGTSKVPQLKWKDSDTNVFGGQSIPSKNPTNALSGKAVHCEEINSSRNAQQTIEAVDQLGFVKRYSEQTLEVSEQGMLDDIPMEIVELLAKNQYERCLPDVENRSSTLEKPSLGRKRQIAGGSTVHKKGEMSLLKDGQKEKPQGKHKKNSMITRGENVKPSKRKPVHYFTPFDGNNLSMNNLCPPQPPFGLDVSQSQKKPSGGLQFSAIGSSQLGSSQNCRLNGSFEERGSPNATFQAPGGCSLHKNILHQDDEASRIWASLTSNHVSPGYDLPKRVVSSQHPSCNMNITSLQSGAFHKQNTKRDTDLNYMNLNAAGLEKLSRNTGSETFSRMNGEYSFPCKHSGMEPHQNLRGSLDLYSNDTIPAMHLLSLMDAGMQSRTAFDVGVSTQMLKRPSYPSDCNTKLEIGTSKLPGTVKRPSSDYCSRSFLSDKHGCFVGPPTFGASSSTPHDKKLARATGFNGQNPTKSGKKEKLKNSISTLQNKIGKQISWPHNETETSMQRKLDVNGNHETPVPCKIISGNTCMVNRNPADLTIPETGNIYMIRGEDLKFEKSIPRNRLRFPIPYGYKQQRNLKGTKMKEHAKH >Vigun10g192600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40691211:40693144:1 gene:Vigun10g192600.v1.2 transcript:Vigun10g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTSLEPTLVYEYGLTFAHNELEEEDMIYFNHEFLMSMGISIAKHRLEILKLARKVKGKRAPPRPVARLMVAIKRTKRCLANYFRTFISCEEESSALVVVPSSRTRPYGTRWKSHVMKRNNKNKKLMVAKQERLLLTNGSPNTLLHHGLDAFTSPMVYHFNKEEKMEDDDDDHGGYWSSAAAEEIRWDTMFQDLKPN >Vigun03g357900.1.v1.2 pep primary_assembly:ASM411807v1:3:55979414:55981326:1 gene:Vigun03g357900.v1.2 transcript:Vigun03g357900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFFLVLFAVATLLHGSAAQTRHVVGDSIGWTIPSGGAATYTAWASGKTFVVGDTLVFNFTNGQHDVAKVTKSAYDACNGASTIFTLTSGPATVTLNETGEQHYICTFGSHCSLGQKLSINVVNRASATPSPAPQPSRSGSPPTASPVPAPTTVPAPAPAPASSTVPAPAPGPSTGPVTFTVGDSLGWTIPTNGAAAYTSWASGKTFRVGDILVFNYQSNAHNVEELTKENYDSCNSTSPLAVYTTPPARVTLNKTGPHYFICGVTGHCQGGQKLAINVTGTGSSGTSPSPVATPPSGTTSPSTNPSTPSPSGSLAPPPQNSGAASLGLVGVSATLLSIAAAFF >Vigun11g183800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38616786:38617535:1 gene:Vigun11g183800.v1.2 transcript:Vigun11g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRASLTARQATSKSEDIPKDYFVVYVGEKQNRFVIPISYLNRPSFQDLLSQAEEEFGYDHPMGGLTIPCSEEVFNHTISCFN >Vigun03g090500.2.v1.2 pep primary_assembly:ASM411807v1:3:7642436:7644965:-1 gene:Vigun03g090500.v1.2 transcript:Vigun03g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLLHVVHKFKLALFSCGVDLLCYSSGLTDKKCVPCNLKELRPMSEDSAQTLMPQVAEWNLVNDGGVMKLKRSWTARTFSKGLDFLRIVSVLAESEGHHPDLHLVGWNNVTIEIWTHAIGGLTENDFILAAKIDKLDVLDLIRRKPSD >Vigun03g090500.1.v1.2 pep primary_assembly:ASM411807v1:3:7642232:7645170:-1 gene:Vigun03g090500.v1.2 transcript:Vigun03g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHTSLTRLTDKKCVPCNLKELRPMSEDSAQTLMPQVAEWNLVNDGGVMKLKRSWTARTFSKGLDFLRIVSVLAESEGHHPDLHLVGWNNVTIEIWTHAIGGLTENDFILAAKIDKLDVLDLIRRKPSD >Vigun09g134200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29366354:29367407:-1 gene:Vigun09g134200.v1.2 transcript:Vigun09g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCCHGIGHNLYHPSLGLSNIKNNHMVSCQNPFTLKERKSGLSVSTSLQKGSERFVVGCGSWSLVRELEKELEAEMKREEGGESVIMGRYKHKCGEGKGVVDMLECLEKEAIMGEDVGKDPMDYNRRAHIFYASSRIFQALKELNDKTLSL >Vigun07g001800.2.v1.2 pep primary_assembly:ASM411807v1:7:156334:160753:1 gene:Vigun07g001800.v1.2 transcript:Vigun07g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSILGNIGFHSCPFLKYSNKVVEKQYQDSEKAPSGNEGDGNAKVNRKKLKGKRAVVRWLKFFRFKKKKEYERMTAEERILYKLLKARKKEERLCEALKKIEPSESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSAEEVKEIAVELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVSLSRKKALDKSKYRDALRAVRRHIPRLEQELEILQAQFKSAAESNTYASDTIQNIDRERISNFQSENSDKHQELMDDNTGCTEDETDMDSELDSVSDNLSDIFETDSDVENFTKEEKPLYLDEFDKFPEQSDGETNNFEDHLRQMSLNSKNMQKDQDLPKLDEVDKIFLHATSFLKKKTK >Vigun07g001800.3.v1.2 pep primary_assembly:ASM411807v1:7:156334:160752:1 gene:Vigun07g001800.v1.2 transcript:Vigun07g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSILGNIGFHSCPFLKYSNKVVEKQYQDSEKAPSGNEGDGNAKVNRKKLKGKRAVVRWLKFFRFKKKKEYERMTAEERILYKLLKARKKEERLCEALKKIEPSESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSAEEVKEIAVELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVSLSRKKALDKSKYRDALRAVRRHIPRLEQELEILQAQFKSAAESNTYASDTIQNIDRERISNFQSENSDKHQELMDDNTGCTEDETDMDSELDSVSDNLSDIFETDSDVENFTKEEKPLYLDEFDKFPEQSDGETNNFEDHLRQMSLNSKNMQKDQDLPKLDEVDKIFLHATSFLKKKTK >Vigun07g001800.1.v1.2 pep primary_assembly:ASM411807v1:7:156334:160752:1 gene:Vigun07g001800.v1.2 transcript:Vigun07g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLALRPLTKIHFHNILSSNSSFPKTSLDYAVCKVRGQEPILYQLHYMKACSILGNIGFHSCPFLKYSNKVVEKQYQDSEKAPSGNEGDGNAKVNRKKLKGKRAVVRWLKFFRFKKKKEYERMTAEERILYKLLKARKKEERLCEALKKIEPSESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSAEEVKEIAVELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVSLSRKKALDKSKYRDALRAVRRHIPRLEQELEILQAQFKSAAESNTYASDTIQNIDRERISNFQSENSDKHQELMDDNTGCTEDETDMDSELDSVSDNLSDIFETDSDVENFTKEEKPLYLDEFDKFPEQSDGETNNFEDHLRQMSLNSKNMQKDQDLPKLDEVDKIFLHATSFLKKKTK >Vigun03g411300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61889026:61890169:1 gene:Vigun03g411300.v1.2 transcript:Vigun03g411300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSFIFYAVVITTISVPTATNCRVVLLNKANLIEETCKQTPHQNLCIQYLSSDPRSADADIEGLALIMVNVIKTKANNALDKIHQLLQGSPEPGQKESLSSCAGRYKAILEADVAQAIAALQKGDPKFAEDGVNDAAVEATSCENSFSGKSPLTDENSATHDVAVTTGAIVRQLL >Vigun09g181600.1.v1.2 pep primary_assembly:ASM411807v1:9:35533074:35542325:1 gene:Vigun09g181600.v1.2 transcript:Vigun09g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKTKVSFTEKDAVALMQRYDPTTVFTLLQEVAHYAKPKIDWSELVKKSATGISNVREYQMLWRHLAYRHSLSENFEVGAEPLDDDSDLECELEALPPVSVESASEAAACVKVMIASRTLSDSAPSSSTIEAPLTINVPVCHSSRTLIESSQPSNLMQGTNIVFPVTVQRQTLPTHPVPPTDGIESKGLVGGNLASKRKRKTWSEEEDIQLRAAVQRWGEGNWATMAKGDNFPIKRSPTQLAQRWSILRKKDGTVNSGTISTSTQYTAAEQLATRHSLSLALDMPFKKLTAPGLSDPAKTSTSVKNQVQIRNTVEKVASSFVPPQQPSQQASLLGSDSHVKPKLVDEKLVSKGNIISNPVLKSTTAAPGTRIDSPSTNTVSQLKIAPVKHNIDTKPAVSSLTRTSVSTNLPSDPKNKPVTPLAAGKVPSEQDANSTKEFRASDPSSRPKDQTQENEPPKVTIGSQVNNDLEKGRLDIGQAKIVPISNGEETLKDKANPVADEKQPSATKANAVVCEDQGSVKNATENSNLDKGSLNSNQDKKKTSINESSNNQNMNDKNVNLPVQDEGSQSAKVVKTDGER >Vigun09g181600.2.v1.2 pep primary_assembly:ASM411807v1:9:35533074:35542325:1 gene:Vigun09g181600.v1.2 transcript:Vigun09g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVTVQRQTLPTHPVPPTDGIESKGLVGGNLASKRKRKTWSEEEDIQLRAAVQRWGEGNWATMAKGDNFPIKRSPTQLAQRWSILRKKDGTVNSGTISTSTQYTAAEQLATRHSLSLALDMPFKKLTAPGLSDPAKTSTSVKNQVQIRNTVEKVASSFVPPQQPSQQASLLGSDSHVKPKLVDEKLVSKGNIISNPVLKSTTAAPGTRIDSPSTNTVSQLKIAPVKHNIDTKPAVSSLTRTSVSTNLPSDPKNKPVTPLAAGKVPSEQDANSTKEFRASDPSSRPKDQTQENEPPKVTIGSQVNNDLEKGRLDIGQAKIVPISNGEETLKDKANPVADEKQPSATKANAVVCEDQGSVKNATENSNLDKGSLNSNQDKKKTSINESSNNQNMNDKNVNLPVQDEGSQSAKVVKTDGER >Vigun03g093400.1.v1.2 pep primary_assembly:ASM411807v1:3:7891982:7894684:1 gene:Vigun03g093400.v1.2 transcript:Vigun03g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACETKLWLVGVFLLIATYMQRHCVVAGDPQVPGFFIFGDSLSDCGNNNDLKTDAKANHPPYGVDFPNGPTGRFTNGRTTVDVLAELLGFDAYMPPFANTSGSDILKGVNYASAASGILNETGTHWGEHIPLNKQVENHKAIVSQINKKLTSSEKAKEHLNKCLYYVNIGSNDYINNYFLKEHYDSSKKFSPDQYAKVLVKEYSKNLKELHSVGARKFALIGIVPLGCVPAEISARAKPGFPCVKEENDAVIPFNDKLKSLVDRFNKEFPDSKFILINTAEALSTGPTKDVVQVIGTDVCCKVGSNGLCVPNKKACKSRNEKPFFDSYHSIELVYKADAETAYHAPTTKVAYPMDISHLVKL >Vigun03g251800.1.v1.2 pep primary_assembly:ASM411807v1:3:41802396:41805552:1 gene:Vigun03g251800.v1.2 transcript:Vigun03g251800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVRSLRLFRSPHRVFLSHCHWRKFCSFSQDNDPGSWESMEGLSRCPANFAPLSPITFLERAAKVCRDRTSVVYGSLEYNWGETHQRCLKLASALTHLGISRGDVVATLLPNVPAMYEVHFAVPMAGAILCTLNSRLDAAMVSVLLEHSQAKVLFVDYQLLEVARGALNLLGKKARELPTLVLVTDSDCPSTFDITSVSYEYERLLADGHSSFDIVRPHSEWDPVSINYTSGTTSRPKGVVYSHRGAYLNSLATVLLSRMDLFPVYLWNVPMFHCNGWCLPWGVASQLGINICVRKVSPKSIFDNIAQHKVTHMGGAPTVLNMIVNSALADRKPLNQKVVVMTGGSPPPPQVLDKMEEIGFSICHLYGLTETYGPGIFCAWRPEWDLLPQEDRSKMKARQGVPHVALEEIDVKDPASMESVPSDGKTMGEVMFRGNTVMSGYFRDLKATEEAFRDGWFRSGDLAVKHSDGYIEVKDRLKDIIISGGENISSVEVETVLYSHPAILEAAVVARPDDHWGQTPCAFVKLKEGSDVNAQAIINFCRDHLPHYMAPKTVLFEDIPKTSTGKIQKYVLRKKAEALASLSRSQGCDKL >Vigun10g049601.1.v1.2 pep primary_assembly:ASM411807v1:10:7641816:7644321:-1 gene:Vigun10g049601.v1.2 transcript:Vigun10g049601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASERMALICFLLVFTVISLIRTDSYPNTFSVTLVKEFYANVKVTTSAATAFLSYVSSSHLFSAQLCEQLPPFSTQLCEQLPPFSAQLGEQLPPFSAQLGEQLPPFSAQLCEQLPPFSAQLCEQLPPFSAQLCEQLPPFSAM >Vigun09g146200.1.v1.2 pep primary_assembly:ASM411807v1:9:30943930:30948443:-1 gene:Vigun09g146200.v1.2 transcript:Vigun09g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFEVQGGSDSENEECPVKQVELTVPKTDDPNMQILTFRMWVLGVLSCVLLSFVNQFFWYRTQPLIVTSVSAQIAVVPIGHFMARTLPTRVFFKDTRFEFSLNPGPFNIKEHVLITIFANSGAGTVYATHILSAVKLMYKRKLDFLPALLVMLTTQVLGFGWAGLFRKFLVEPGEMWWPSNLVQVSLFSALHEKGKRPKGGTTRTQFFLLVLVSGLAYYVFPGYMFSMLTSFSWICWVAPKSILVQQLGSGLKGLGIAAFGFDWSTISAYLGSPLASPWFATANIAVGFLLIMYVMTPISYWFNVYDAKTFPIFSSKLFMGNGSRYDISTIVNSDFHLDRHAYAINGPVHLSTFFAMTYGLGFAALSATIVHVLLFHGREMWNQSKRAFGNSKKIDIHTRLMRRYKSVPMWWFYIILVVNIALIIFICEHYNESLQLPWWGVLLACAISIFFTLPIGIINATTNQQPGLNIITEYIIGYMYPERPVANMCFKVYGYISMTQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLLSVFIYTVTAWWLMKTIPNLCDTNMLDPDSPWTCPMDNVFFDASVIWGLLGPRRIFGDLGEYSKVNFFFLGGAIAPFLVWLAHKAFPGQKWITLIHMPVLLGATSIMPPATAVNFTSWILVAFLSGFVAYRYRQQWWKRCNYVLSGGLDAGTAFMTILLFLALNNNNVLLNWWGNNLEGCPLATCPTEKGIAVKGCPIH >Vigun06g082833.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21443908:21444384:-1 gene:Vigun06g082833.v1.2 transcript:Vigun06g082833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILELAKSQSEECKEEYVERNTRVVRELYEALTSKQTETLDGLVAQDLEWWFHGPASHRQHLVPWLTGSPPSSKAPVPEYVVGFGPVVIAEGFDEAHLVWWVHAWTVTVDGVITQVKEYVNTSVTVTRLTQVLPNASTCQCIWQSKLCDESVPGLILAI >Vigun06g023150.1.v1.2 pep primary_assembly:ASM411807v1:6:10722215:10722799:-1 gene:Vigun06g023150.v1.2 transcript:Vigun06g023150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSNRVAILGMIILGFVLLSIEPSTSESNIFDPCHIRCVNLCHRNFEQCFDECVRRCPPSAHNCIAKCGVKKTDTVTIDGRAEVTDVVHSCLQNCLNLED >Vigun01g239900.1.v1.2 pep primary_assembly:ASM411807v1:1:41029778:41036559:1 gene:Vigun01g239900.v1.2 transcript:Vigun01g239900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSYPNPSPTPHATHRRLDFHPNTPPHGGSTPRPITHRPFHQWRPRLHPHAARLHRPPEPYFKVELRLGLRRPSRDDIVALIKECEHKPQSFTFYPVDDVAAALSYRNWEEACDAAAWFWESLLLEKHDYTPEMDSNVSVTDSLDGRLRVLFTRHVMKVMEGKEVKRWVEESERLSKEIERVSTLLGKHLHIDLSNHYIEQRKGLVVEKIQVERRLKEFDSAMDCILKYLAEDADVEGADVEGDRSVNVFKFDGCFDWKRIHCLIRRECRRLEDGLPIYAYRKDILREIHYQQMMVLIGETGSGKSTQLVQFLADSGIGADESIVCTQPRKIAAKSVAQRVQEESSGCYEGQSIKCSTFSSMNEFDSRIIFMTDHSLLQHYMSDNNLSGISCIIIDEAHERSLNTDLLMTLLKNLLYRRGEMRLIIMSATADAKQLSDYFYGCGIFRVHGRSFPVDIKYAPSDHAGYSGSSAVASYVSDVVRKATEVHRTEEEGTILAFLTSQIEVEYACEKFQVPSAVALPLHGKLSSEEQFRVFQNYPGKRKVIFSTNLAETSLTIPGVKYVIDSGLVKDCRYDPGSGMNVLKVCWISQSSADQRAGRAGRTEPGVCYRLYSETDYQSMDLNQEPEIRRVHLGVAVLRILALGVKNIQDFDFVDAPSSSSIDIAIRNLIQLRAIEMKNDVLELTPEGWCLVKLGIEPRLGKLILGCFKHGLGREGVVLAAVMANASSIFCRVGSEFEKRRSDCLKVQFCHCDGDLFTLLSVYKEWEALPLERRNRWCWENSINAKSVRRCQDTILELESCLEREHNLVIPSYWLWDPCTPSSYDKNLKRVILSSLAENVAMYSGCNQLGYEVAQTGQHVQLHPSCSLLVFAQKPSWVVFGELLSISNQYLVCVSTFDFQSLYDLCPAPLFDVSKMVERKLQMKTLCGLGCILLKRFCGKANCNLFALVSRIRKACVDERIYIEVNVDQNAIHLFATSNDMDTALALVHDAVEYERKLLRAECMDKFLYHGSGIPSPIALFGSGAEIKHLELEKRSLSIEVCHANINAIDDKELLMFLEKNTSGSICAVHKFAGNVKDEDKEKWGRIIFTSPEFVKRATELDGHEFCGSSLKIFPSQLGGDKTFSFPAVKAKVYWPRRLSRGFAIVKCDIKDVNHMLRDFYNLAIGGRYVRCEVGKKSMDSVVINGLGKDLSEAEILDVLRTATSRRILDFFLVRGDAVENPPCSVLEKALLKEIYPSLPKKDPHISSCRVQVFVPEPKDTFMRALISFDGRLHLEAAKALEDIEGKVLDGCLSWQKIKCQRLFHSSLIFPTPVFRVIREQLDGVLANFRNLRGLECNLDRTVNGSHRVKITANATRTVAEVRRPLEELWRGKTIEHDSLTPAVLQLMMSKDGFNLKNSLQQETGTYILFDRHNLNLRVFGSPDKVALAHDKVIQSLLSLHEEKQLEIHLRGRDLPPDLMKQLIKKFGPDLHGLKERVPGVDLKLNISRHVISLNGRKELKTRVEEIIFEIARSSHHLVGTFDNDGPNCPICLCEVEDPFRLEGCGHLFCRSCLVEQCESAIKNQDSFPIRCSHKDCGDLILLTDLRSLLFSDKLEDLFRASLGDFVAKSGGTYRFCPSPDCPSIYRVADPETAGEPFVCGSCYSEICSRCHLEYHAFFSCERYKEFKEEDRSVIDWSRGKDDVKSCLACGLVIQKVDGCNHVLCMCGKHVCWVCLEFFGGSDECYSHLRNVHTTII >Vigun01g045800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6853217:6855304:1 gene:Vigun01g045800.v1.2 transcript:Vigun01g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYSGAHQLLLHYTKLMSSHVSNSRHDAAISLFHHLHSTLLLPMDPHLLSLTLKSCTALNLPLLATSLHAHAAKSSFLSNPFVASALLHLYGSRISLPLAHHLFIQIPHPHRNVVVWNSIISLHAHSNNLSLALRLFHSLDTAPTDSTFNPIISALAPSHPLQSISFYRQMRLHNLKPRLITLLSLLPACVNLAALNLIKEIHGYAVRNSIHPHHQFSSALVEAYGRCGSLRCSTLMFSTMRDEDKDVVAWSSLISACALHGQAETALATFRRMETAGVRPDGIAFLGVLKACSHAGLADEALWFFARMRGEYGVEPGSDHYSCLVDVLGRAGRLQEAYAVIRGMPVEVTAKAWGALLGACRNFGELRLAEVAARALAEVEPGNAGNYVLLGKMYASVGRMEEAQRVRMDMKEKGVKVSAGSSWVVYSEV >Vigun06g221400.1.v1.2 pep primary_assembly:ASM411807v1:6:33085076:33088885:1 gene:Vigun06g221400.v1.2 transcript:Vigun06g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFALHSLSPSTSTSFSRFSQLHHRPRAVISRSQFSRATPSALRSPIVISQPFSFPSKPKTFKFNPFSKPHYPIQASKSSPPTPTHLQGAKPLPFVISIGIGLIVRFFVPKPVEVTPEAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTATVVTKTLTFSAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLSYGLTFSEVLIAPAMPSTTARAGGVFLPIIKSLSLSAGSEPATPSAKKLGAYLVQNQFQSAGNSSALFLTAAAQNLLCIKLAEELGVIVSNPWVTWFKAASLPALVCLLLTPLILYKLYPPEIKDTPEAPALAAKKLESMGPVTRNEWIMVFTMLLAVSLWIFGDTIGIASTVAAMIGLSILLLSGVLDWNDCLEEKSAWDTLAWFAILVGMASQLTNLGIVNWMSDCVASSLRSFSLSWPAALAVLQIAYFFIHYLFASQTGHVGALYSAFLAMHRAAGVPGVLAALALGYNTNLFGAITHYSSGQAAVYYGAGYVDLPDIFKMGFIMAVINGIIWGGVGSLWWKFLGLY >Vigun07g116300.2.v1.2 pep primary_assembly:ASM411807v1:7:21443943:21447882:1 gene:Vigun07g116300.v1.2 transcript:Vigun07g116300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIVERKGFEFKFGYSMRSRSQSHSDAIAQDRLVVPDGLKQSCWANMPPELLRDVLMRIEASEDSWPARKHVVACAGVCRSWREIMKEIVKSPQVSGNLTFPISLKQPGPRGSLLQCYIKRNHSNKTYYLFLGLNQASTEDGKFLLSARKCRRATHTDYIISLNCEDVSRGSDTYIGKLRSNFLGTKFTVYDAHPPIYGAKVTKSRSTRLVSLKQVSPRVPAGNYPIAHVSYDLNVLGSRGPRIMHCVMDAIPASAVEPGGVAPTQTQFLHSRIDTSPSIPFFRSKSTRMDSLTSAPLTCQNEGMLVLQNKSPRWHEQLQFWCLNFNGRVTVASVKNFQLVASPKNGVSEQAQENVILQFGKVGKDVFTMDYQYPISAFEAFAICLSSFDTKIACE >Vigun07g116300.1.v1.2 pep primary_assembly:ASM411807v1:7:21443929:21447914:1 gene:Vigun07g116300.v1.2 transcript:Vigun07g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIVERKGFEFKFGYSMRSRSQSHSDAIAQDRLVVPDGLKQSCWANMPPELLRDVLMRIEASEDSWPARKHVVACAGVCRSWREIMKEIVKSPQVSGNLTFPISLKQPGPRGSLLQCYIKRNHSNKTYYLFLGLNQASTEDGKFLLSARKCRRATHTDYIISLNCEDVSRGSDTYIGKLRSNFLGTKFTVYDAHPPIYGAKVTKSRSTRLVSLKQVSPRVPAGNYPIAHVSYDLNVLGSRGPRIMHCVMDAIPASAVEPGGVAPTQTQFLHSRIDTSPSIPFFRSKSTRMDSLTSAPLTCQNEGMLVLQNKSPRWHEQLQFWCLNFNGRVTVASVKNFQLVASPKNGVSEQAQENVILQFGKVGKDVFTMDYQYPISAFEAFAICLSSFDTKIACE >Vigun05g192300.1.v1.2 pep primary_assembly:ASM411807v1:5:37364513:37365210:-1 gene:Vigun05g192300.v1.2 transcript:Vigun05g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSRFQERESHPSPMNSPTSNVSNCMSSSNSNGIQITTPPLTPKTIPRSDSNPYPTTFVQADTSTFKHVVQMLTGSSDTTKQPQDPKLQHPPIKTPPKKQGKLYERRNSLKNSLMLNTLMPNFARNNSPGFSPRNKPEILSPSLLDFPSLALSPVTPLNDDPFDKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDSEPQLLPLFPLTSPRVSESPS >Vigun03g319500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51388609:51389595:-1 gene:Vigun03g319500.v1.2 transcript:Vigun03g319500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDSIGINIPACFSSSAEKQHGEDHGAVTRSGQSVYMSVYRTKVADHCRLITITWCKNLLLHGLSVSVEGPEGEEQYTCKVEVKPWYFWRKQGSKRFIVDGKAVDIFWDLKAAKFNGETEPTSEYYVAVVCDEEVVLLLGDLKKEAYRRTGCRPALIDPILVSKKEHIFGKKKFSTRAKFHEKGRWHEISIECKNKGNNNGESLSGVQPEMEIRIDGHLVIHVKHLQWKFRGNESIHLSKMRVEVYWDVHDWLFSPGLKHALFIFKPILSPSTTTTTSSMSSSSPSLSSSSSPPLSSDQTRGSGSVEGFSVSGSSEFCLFLYAWKVE >VigunL007201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:53319:53946:1 gene:VigunL007201.v1.2 transcript:VigunL007201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun02g016400.1.v1.2 pep primary_assembly:ASM411807v1:2:5946959:5955992:-1 gene:Vigun02g016400.v1.2 transcript:Vigun02g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRTLRRTLYFPAYHTSTPLFFSAQPQPQPRPDDPTTSADSDSVFDSSHYALDTDPGPKSGPTWDDKYRARADRMVFGEEGPKGKLRLKEEEDERRRRVLAKALLEAAVENEEEEEGVEGEKGIVKEEEQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLMLNCAGFPYRDVKVRVESAWSSVNLYEVLIVIFDVHRHITRPDQRVIQLIKRMGARSVLNQRRILCMNKIDLVEKKKDLLKVVEEFKDLPGYERHFMISGLKGAGVKDLTQYLMEQAVQRPWEEDPLSMSEEVMKMIALEVVRERLLDHVHQEIPYDVEHRLIDWKELRDGSLRIEQHFITSKLSQRKIIVGKNGSKIGRIGIEANEELRSIFKRQVHLILKVSHKK >Vigun06g025500.1.v1.2 pep primary_assembly:ASM411807v1:6:11790401:11796197:1 gene:Vigun06g025500.v1.2 transcript:Vigun06g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEANTALQAPSKATSESVDDLLDAARYNDMDDVKSLEASGVPLDSKDDQGRTALHMAAANGHMDIVEYLIIKGVDLNAPNEEKNTPLHWACLNGHVEVVKKLILAGANVSVLNSHERTPMDEAVSRGKLEVMDAINEAVALVELRGAMVSAQET >Vigun03g015200.3.v1.2 pep primary_assembly:ASM411807v1:3:1056913:1064540:-1 gene:Vigun03g015200.v1.2 transcript:Vigun03g015200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFNVSRVDTTPFDGQKPGTSGLRKKVKVFVQPHYLHNFVQASFNALTEEKVRGATLVVSGDGRYFSKEAIQIITKMSAANGVRRVWIGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPDEDFGIKYNMENGGPAPEGITNKIYEFTTTIKEYLIAADLPDVDITTTGVTNFTGPEGPFDVEVFDSASDYIKLMKSIFDFESIKKLLSSPKFTFCYDALHGVAGAYAKSIFVDELGAQESSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSEPQDEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVDAIPYFSTGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKLEDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLSEVNEIINGVRSDVSKVSNADEFEYKDPVDGSVSSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSNEALAPLVEVALKLSKMEEFTGRSAPTVIT >Vigun03g015200.1.v1.2 pep primary_assembly:ASM411807v1:3:1056913:1064663:-1 gene:Vigun03g015200.v1.2 transcript:Vigun03g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFNVSRVDTTPFDGQKPGTSGLRKKVKVFVQPHYLHNFVQASFNALTEEKVRGATLVVSGDGRYFSKEAIQIITKMSAANGVRRVWIGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPDEDFGIKYNMENGGPAPEGITNKIYEFTTTIKEYLIAADLPDVDITTTGVTNFTGPEGPFDVEVFDSASDYIKLMKSIFDFESIKKLLSSPKFTFCYDALHGVAGAYAKSIFVDELGAQESSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSEPQDEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVDAIPYFSTGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKLEDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLSEVNEIINGVRSDVSKVSNADEFEYKDPVDGSVSSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSNEALAPLVEVALKLSKMEEFTGRSAPTVIT >Vigun03g015200.2.v1.2 pep primary_assembly:ASM411807v1:3:1056913:1064482:-1 gene:Vigun03g015200.v1.2 transcript:Vigun03g015200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFNVSRVDTTPFDGQKPGTSGLRKKVKVFVQPHYLHNFVQASFNALTEEKVRGATLVVSGDGRYFSKEAIQIITKMSAANGVRRVWIGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPDEDFGIKYNMENGGPAPEGITNKIYEFTTTIKEYLIAADLPDVDITTTGVTNFTGPEGPFDVEVFDSASDYIKLMKSIFDFESIKKLLSSPKFTFCYDALHGVAGAYAKSIFVDELGAQESSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSEPQDEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVDAIPYFSTGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDKLEDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLSEVNEIINGVRSDVSKVSNADEFEYKDPVDGSVSSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKDPSKIGRLSNEALAPLVRNVSN >Vigun01g194300.3.v1.2 pep primary_assembly:ASM411807v1:1:37174462:37179631:-1 gene:Vigun01g194300.v1.2 transcript:Vigun01g194300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCDCIDTQYPPDELLVKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSPHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEVTGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRNGLNLQLSHTLTYHVQVGSTVQTNNPIVNEVFNSPRAMRIPPTCPLARIRPLVGRYVPPEVVAVRVPLLNLSNFQINDWPDISAKSYAIMVLILPTDSVRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLATLINDVLDLSRLEDGSLELEMGKFNLHGVLGEIVELIKPIASVKKLPITLILAPDLPTHAIGDEKRLTQTLLNVVGNAVKFTKEGYVSIRASVAKPESLQDWRPPEFYPTSSDGHFYIRVQVKDSGCGIPPQDIPHLFTKFAQSRSGPARPSSGAGLGLAICKRFVNLMGGHIWIESEGPGKGSTATFIVKLGICGSPDPSDHQTTNRSQAYSGSGGLARFKAFIKDEDDSGFSTRRNQRSF >Vigun01g194300.2.v1.2 pep primary_assembly:ASM411807v1:1:37174460:37179631:-1 gene:Vigun01g194300.v1.2 transcript:Vigun01g194300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCDCIDTQYPPDELLVKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSPHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEVTGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRNGLNLQLSHTLTYHVQVGSTVQTNNPIVNEVFNSPRAMRIPPTCPLARIRPLVGRYVPPEVVAVRVPLLNLSNFQINDWPDISAKSYAIMVLILPTDSVRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLATLINDVLDLSRLEDGSLELEMGKFNLHGVLGEIVELIKPIASVKKLPITLILAPDLPTHAIGDEKRLTQTLLNVVGNAVKFTKEGYVSIRASVAKPESLQDWRPPEFYPTSSDGHFYIRVQVKDSGCGIPPQDIPHLFTKFAQSRSGPARPSSGAGLGLAICKRFVNLMGGHIWIESEGPGKGSTATFIVKLGICGSPDPSDHQTTNRSQAYSGSGGLARFKAFIKDEDDSGFSTRRNQRSF >Vigun01g194300.1.v1.2 pep primary_assembly:ASM411807v1:1:37174462:37179631:-1 gene:Vigun01g194300.v1.2 transcript:Vigun01g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCDCIDTQYPPDELLVKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSPHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEVTGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRNGLNLQLSHTLTYHVQVGSTVQTNNPIVNEVFNSPRAMRIPPTCPLARIRPLVGRYVPPEVVAVRVPLLNLSNFQINDWPDISAKSYAIMVLILPTDSVRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLATLINDVLDLSRLEDGSLELEMGKFNLHGVLGEIVELIKPIASVKKLPITLILAPDLPTHAIGDEKRLTQTLLNVVGNAVKFTKEGYVSIRASVAKPESLQDWRPPEFYPTSSDGHFYIRVQVKDSGCGIPPQDIPHLFTKFAQSRSGPARPSSGAGLGLAICKRFVNLMGGHIWIESEGPGKGSTATFIVKLGICGSPDPSDHQTTNRSQAYSGSGGLARFKAFIKDEDDSGFSTRRNQRSF >VigunL012425.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:49291:49780:-1 gene:VigunL012425.v1.2 transcript:VigunL012425.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RPTIDNNSPPNTAHNFHRTVLSKEFYGRSATPGCRRRPWGDLVVPTGWRRWGRSMDSFSFCHISLKGLKGDSASSCSQGPA >Vigun01g069400.2.v1.2 pep primary_assembly:ASM411807v1:1:18609640:18616462:1 gene:Vigun01g069400.v1.2 transcript:Vigun01g069400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHQMISAVASFSNSSSMVSSKNYDVFLSFRGEDTRMNFTSHLHEALKEKKVETYIDYQLEKGDEISPAVFKAIEDSRVSIVILSENYASSKWCLEELSKILECHRNQEQIVIPVFYNVDPSHVRKQTGCYEQSFATHEEELRCNKWRSALTAVANLAGWDSRNRIESQFIKDVVKDVIGKLTPRYPNELKGLVGIEKSFKQIESLLKIGSSEVRTVGIWGMGGIGKTTLAIALYDKLSHEFEGRCVLTNIREKSDKLEGLRDELLSKLLGNKIHGIDYFDMRRLQRKKVFIVLDDVDTSEQIEKLILEYEFLGPGSKVIVTTRNKQILSLVDEIYQVEELSSYHSLQLFCLTISGEKQPKDGYEDLSKRAILYCKGLPMALKVLGSNLRKKSKVVWECELRKLQKIPNVQIHNVLKLSYECLDRSQKDIFLDIVCFFNGWERDRVTYILEACDFFAASGIETLLDKTLVTISNYSHIKVNDLIQKMGWEIVHQESIKDLGRRSRLWKQEEVYDVLKYNKGTDFVEGMVLDLEQLAGDLYLSCDSLAKMTNMRILRIHRRKWGCRFSVHLPDGIELPYKLRYLEWEGFCLRSLPSNFCAEQLVELHMWNSKLKMLWDGVQNLVNLKTIDLDDSRDLIEIPDLSMAEKLERVSLYDCESLCKLHPSISSLPKLKYLILSGCKVIQSVNVHSKSLNVLRLRGCSSLSEFSVTSEEMTHLDLSQTAIRELLSPMISLPKLTYLYLSGCRHIENVNLHLRSLRVLTLVGCSCLKEFSVASDKLTLLELPDTAIGVLPSSIGHLLSLEELDLRGTNIECLPASIKSLSMLRVLWLNDCKKLVSVQELPPSLRELHINDCWKLVSLPELPPSVKEVSAFNCRSLEENITQELVLRHMLQSCIPDKHQQYPYNPVYFDGGYFIFPGDHITDNCAFHATESSITIPSLPRSHLWGYIFCIIIAKGPMSDHQFSCSIYQEDILVGCGHRRFIGCENLISDHVLFFYHDVINFGGTDEVYGPFNSFTFMFEFNGDKHTIKGCGVFPVYLTSSGFKFCNVDSQPRANGPKIGGCDKENLEQLFAAKRRKTA >Vigun01g069400.3.v1.2 pep primary_assembly:ASM411807v1:1:18609815:18615777:1 gene:Vigun01g069400.v1.2 transcript:Vigun01g069400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHQMISAVASFSNSSSMVSSKNYDVFLSFRGEDTRMNFTSHLHEALKEKKVETYIDYQLEKGDEISPAVFKAIEDSRVSIVILSENYASSKWCLEELSKILECHRNQEQIVIPVFYNVDPSHVRKQTGCYEQSFATHEEELRCNKWRSALTAVANLAGWDSRNRIESQFIKDVVKDVIGKLTPRYPNELKGLVGIEKSFKQIESLLKIGSSEVRTVGIWGMGGIGKTTLAIALYDKLSHEFEGRCVLTNIREKSDKLEGLRDELLSKLLGNKIHGIDYFDMRRLQRKKVFIVLDDVDTSEQIEKLILEYEFLGPGSKVIVTTRNKQILSLVDEIYQVEELSSYHSLQLFCLTISGEKQPKDGYEDLSKRAILYCKGLPMALKVLGSNLRKKSKVVWECELRKLQKIPNVQIHNVLKLSYECLDRSQKDIFLDIVCFFNGWERDRVTYILEACDFFAASGIETLLDKTLVTISNYSHIKVNDLIQKMGWEIVHQESIKDLGRRSRLWKQEEVYDVLKYNKGTDFVEGMVLDLEQLAGDLYLSCDSLAKMTNMRILRIHRRKWGCRFSVHLPDGIELPYKLRYLEWEGFCLRSLPSNFCAEQLVELHMWNSKLKMLWDGVQNLVNLKTIDLDDSRDLIEIPDLSMAEKLERVSLYDCESLCKLHPSISSLPKLKYLILSGCKVIQSVNVHSKSLNVLRLRGCSSLSEFSVTSEEMTHLDLSQTAIRELLSPMISLPKLTYLYLSGCRHIENVNLHLRSLRVLTLVGCSCLKEFSVASDKLTLLELPDTAIGVLPSSIGHLLSLEELDLRGTNIECLPASIKSLSMLRVLWLNDCKKLVSVQELPPSLRELHINDCWKLVSLPELPPSVKEVSAFNCRSLEENITQELVLRHMLQSCIPDKHQQYPYNPVYFDGGYFIFPGDHITDNCAFHATESSITIPSLPRSHLWGYIFCIIIAKGPMSDHQFSCSIYQEDILVGCGHRRFIGCENLISDHVLFFYHDVINFGGTDEVYGPFNSFTFMFEFNGDKHTIKGCGVFPVYLTSSGFKFCNVDSQPRANGPKIGGCDKENLEQLFAAKRRKTA >Vigun01g069400.1.v1.2 pep primary_assembly:ASM411807v1:1:18609815:18615777:1 gene:Vigun01g069400.v1.2 transcript:Vigun01g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFIHCRNNIGMGEHQMISAVASFSNSSSMVSSKNYDVFLSFRGEDTRMNFTSHLHEALKEKKVETYIDYQLEKGDEISPAVFKAIEDSRVSIVILSENYASSKWCLEELSKILECHRNQEQIVIPVFYNVDPSHVRKQTGCYEQSFATHEEELRCNKWRSALTAVANLAGWDSRNRIESQFIKDVVKDVIGKLTPRYPNELKGLVGIEKSFKQIESLLKIGSSEVRTVGIWGMGGIGKTTLAIALYDKLSHEFEGRCVLTNIREKSDKLEGLRDELLSKLLGNKIHGIDYFDMRRLQRKKVFIVLDDVDTSEQIEKLILEYEFLGPGSKVIVTTRNKQILSLVDEIYQVEELSSYHSLQLFCLTISGEKQPKDGYEDLSKRAILYCKGLPMALKVLGSNLRKKSKVVWECELRKLQKIPNVQIHNVLKLSYECLDRSQKDIFLDIVCFFNGWERDRVTYILEACDFFAASGIETLLDKTLVTISNYSHIKVNDLIQKMGWEIVHQESIKDLGRRSRLWKQEEVYDVLKYNKGTDFVEGMVLDLEQLAGDLYLSCDSLAKMTNMRILRIHRRKWGCRFSVHLPDGIELPYKLRYLEWEGFCLRSLPSNFCAEQLVELHMWNSKLKMLWDGVQNLVNLKTIDLDDSRDLIEIPDLSMAEKLERVSLYDCESLCKLHPSISSLPKLKYLILSGCKVIQSVNVHSKSLNVLRLRGCSSLSEFSVTSEEMTHLDLSQTAIRELLSPMISLPKLTYLYLSGCRHIENVNLHLRSLRVLTLVGCSCLKEFSVASDKLTLLELPDTAIGVLPSSIGHLLSLEELDLRGTNIECLPASIKSLSMLRVLWLNDCKKLVSVQELPPSLRELHINDCWKLVSLPELPPSVKEVSAFNCRSLEENITQELVLRHMLQSCIPDKHQQYPYNPVYFDGGYFIFPGDHITDNCAFHATESSITIPSLPRSHLWGYIFCIIIAKGPMSDHQFSCSIYQEDILVGCGHRRFIGCENLISDHVLFFYHDVINFGGTDEVYGPFNSFTFMFEFNGDKHTIKGCGVFPVYLTSSGFKFCNVDSQPRANGPKIGGCDKENLEQLFAAKRRKTA >Vigun08g073301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12482538:12483248:-1 gene:Vigun08g073301.v1.2 transcript:Vigun08g073301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIFTYSDLKRITNGFKEKLGEGAHGAVFRGKLSSEIPVAVKILNNTEGEGKEFINEVGIMGKIHHINVVRLLGFCAEGLHRALVYNFFPNGSLQSFIFPPEDKDHFCYKSDIYSYGMLLLEMIGGRKNVDMSSPEDFHVLYPDWIHNLVDGDVHIHIEDEGDVKIAKKVAIIGLWCIQWQPGNRPSIKSVIQMLETREENQTVVPPNPFHSTTSTTVKGPTSTRRSLHLEVIEE >Vigun05g109800.1.v1.2 pep primary_assembly:ASM411807v1:5:11315891:11318626:-1 gene:Vigun05g109800.v1.2 transcript:Vigun05g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAFWLACALALLASSLASAAIVEQTFRIQNTIIKRFCKEQVIVTTNGLFPGPTINVTEGDTVIVHVLNEGPYDITLRCNWADGPEYVTQCPIPTKSKYTYKFNITQQEGTLWWHAHATYLRATVHGAFIIHPRSGQSPFPKPYKHVPIILRDLYNSNVEDIPAKALATGGDPNTSYAFTINGLTSDLLNCTEDETFKMKVKQGKTYMLQMINAALNYDLFFKIANHNFTVVAVDASYTEHYDTDIIVIVPGQSADVLFTANQPIGSYYMVASPYVTNIPRFDRNIVRGTVIYDNAPTSSKPTMTILPPFNDTETAFKFYGNVRSKVGAPHWVPMPLKVDEHMLVTIALNLELCDSKNASNNTCAGIFGQRISASMNNESFEIPAGLRMSMSEAFYNNVSGVYTTDFPDKPPLMFDFTKLDNAYNKSFLFAPKSTKAKKLNFNSTIEIVFQNTVFLEGQNHPMHLHGYNFHVLAQGFGNFNNDTDRAKFNLVNPQLRNTVGVPQEGWAVIRFRADNPEVWLMHYHMEDHVPWGLAMTFEVENGPTPSTSVPPPPADLPKCYPSSGLNLQIFDKKN >Vigun05g025100.1.v1.2 pep primary_assembly:ASM411807v1:5:2044193:2044694:-1 gene:Vigun05g025100.v1.2 transcript:Vigun05g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQISVLIVLALFALFSQTYGEIHFCPKTMNFDGQCPNGTSGKGCAEEFHAKLGAGAMPQNCTCNNAPNKQRKCTCQVNCGL >Vigun02g047500.1.v1.2 pep primary_assembly:ASM411807v1:2:18647897:18654870:-1 gene:Vigun02g047500.v1.2 transcript:Vigun02g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGMIKRMSNRVGAVLLRPPTTPSHSLLFHFSNDSLPLSKFRLSSFSSTFLRSFSHHFPGFSEETSDEGATTDGWEEEDETEPKIGDGGDGGGVALQNVPWGQRTLSIAEEVLMQFSEDIKLFAFKTTPRGYVYVRLDKLTDEYGCPSMEELECYNKKYKERLDEVGALGEIPDDLALEVSSPGAERLLKVPDDIGRFKDMPMRVYYTENLESNCPEREGVFLLDFIEKDSEMCVWKLADVKENRDPLRKGKPLNRKQKDWRLKLPFNLHRMVILYLV >Vigun02g047500.2.v1.2 pep primary_assembly:ASM411807v1:2:18647897:18654870:-1 gene:Vigun02g047500.v1.2 transcript:Vigun02g047500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGMIKRMSNRVGAVLLRPPTTPSHSLLFHFSNDSLPLSKFRLSSFSSTFLRSFSHHFPEETSDEGATTDGWEEEDETEPKIGDGGDGGGVALQNVPWGQRTLSIAEEVLMQFSEDIKLFAFKTTPRGYVYVRLDKLTDEYGCPSMEELECYNKKYKERLDEVGALGEIPDDLALEVSSPGAERLLKVPDDIGRFKDMPMRVYYTENLESNCPEREGVFLLDFIEKDSEMCVWKLADVKENRDPLRKGKPLNRKQKDWRLKLPFNLHRMVILYLV >Vigun01g077700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21887186:21901206:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEGLLVDAVMFNALIDGHCANGNIDSAFQLLKQMDNMKVLPDEITYNTLMQGYCREGKVEEARELLDEMKRRGIKPDHISYNTLISGYSKRGDMKDAFRVRDEMLTTGFDPTILTYNALIQGLCKNGEGEHAEELLKEMVSKGITPDDSTYLSIIEAMEKVDDLEENDDK >Vigun01g077700.4.v1.2 pep primary_assembly:ASM411807v1:1:21890783:21901206:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEDG >Vigun01g077700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21890783:21895729:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEGLLVDAVMFNALIDGHCANGNIDSAFQLLKQMDNMKVLPDEITYNTLMQGYCREGKVEEARELLDEMKRRGIKPDHISYNTLISGYSKRGDMKDAFRVRDEMLTTGFDPTILTYNALIQGLCKNGEGEHAEELLKEMVSKGITPDDSTYLSIIEAMEKVDDLEENDDK >Vigun01g077700.6.v1.2 pep primary_assembly:ASM411807v1:1:21890783:21901197:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEDG >Vigun01g077700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21886181:21901206:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEGLLVDAVMFNALIDGHCANGNIDSAFQLLKQMDNMKVLPDEITYNTLMQGYCREGKVEEARELLDEMKRRGIKPDHISYNTLISGYSKRGDMKDAFRVRDEMLTTGFDPTILTYNALIQGLCKNGEGEHAEELLKEMVSKGITPDDSTYLSIIEAMEKVDDLEENDDK >Vigun01g077700.7.v1.2 pep primary_assembly:ASM411807v1:1:21890783:21901197:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEDG >Vigun01g077700.5.v1.2 pep primary_assembly:ASM411807v1:1:21890783:21901202:-1 gene:Vigun01g077700.v1.2 transcript:Vigun01g077700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKLFNLRTFNSLSLPTTVTPITESKLLNSIESSQWHFIKQAAPHYTPSLLSSTLTSLRHKPQLVLQLLSHLNDHPHSLNVTNSSLAACILCRLPSPKPSINLLQSLIICSTATNRTIFHELELSRDRVDSKTSLIFDLLVRAYCELKKPNEALECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDEGLEPDCYTYNSFISGLCKERRLEEASGLHCKMLEIGLVPKAVTYNALIDGYCNKGDLDKAFACRDEMISKGVMTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEDG >Vigun08g145700.2.v1.2 pep primary_assembly:ASM411807v1:8:31876462:31877824:1 gene:Vigun08g145700.v1.2 transcript:Vigun08g145700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYSPIMLALSLLLLVSFWNVAEAYTRSGTLRPSDCKPKCTYRCSATSHKKPCMFFCQKCCAKCLCVPPGTYGNKQLCPCYNTWKTKEGRPKCP >Vigun08g145700.1.v1.2 pep primary_assembly:ASM411807v1:8:31876364:31877824:1 gene:Vigun08g145700.v1.2 transcript:Vigun08g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYSPIMLALSLLLLVSFWNVAEAYTRSGTLRPSDCKPKCTYRCSATSHKKPCMFFCQKCCAKCLCVPPGTYGNKQLCPCYNTWKTKEGRPKCP >Vigun11g114400.2.v1.2 pep primary_assembly:ASM411807v1:11:31835858:31838312:1 gene:Vigun11g114400.v1.2 transcript:Vigun11g114400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLISQATFKHIFAIKPFSLPTLFSTHCFQNLHSALPILFCSALRMSHSLGLFVGLMLLVGVASTSNFDQLFQPSWAFDHFVHEGDLLKLKLDNYSGAGFGSKSKYMFGKVTIQLKLVEGDSAGTVTAFYMSSEGPNHNEFDFEFLGNTTGEPYSVQTNVYVNGVGNREQRLNLWFDPTKDFHSYSIFWNQRQVVFLVDETPIRVHTNMEHKGIPYPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFFATFKNFEINACECPVSVAAMDNSKRCSSSEGKKYWWDEPNLAELNVHQSHQLKWVRARHIFYDYCSDTARFPVTPAECVHHRHN >Vigun08g031200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2845839:2846936:-1 gene:Vigun08g031200.v1.2 transcript:Vigun08g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLNPYPNPAKTAEIMSRYRPIAPKPETTPNPMTEGSSSLPKQSPYLRNLWPQLQARPTRTRKRGRTPFTLLPSTLKRQRTHILGYCSPPPCHVTSSPAKNLSLQGFPTPPLPLPHPNHGLGVLNRGMQNNNLITPGLVTLPLLPCSPGGPAPNLDSITTTMKPCGGGMIDLNTKLSVPEEIDLLQQLQKPVSNNVIQPHAVRPIGSSITVVCMREDTTLPPVARTPKGSREVEDQAESEALPVVISDSQHRVRMANSAYKEMVGEPVCPWLDATVTAGGSGNMQCKRISGEVALNLCGSNIPTSANGFSCWVRIEWPSEQKKCCVNAFCEVMKLTCESRDYLFTWRFHTRRTREAPQSSCTA >Vigun06g123600.1.v1.2 pep primary_assembly:ASM411807v1:6:25087818:25088790:1 gene:Vigun06g123600.v1.2 transcript:Vigun06g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGLHLKIMSILYVFSLLLLLEVPKSCIAEKKEEKWDHFIYAQQWPKGYCDSPHTGTRKCRIVPEKFVIHGLWPQNDNGTLPKCKTKTPIYRKDLKPLTRQLDEDWPNLIGKNFNFWRVEWTKHGGCAEKTLPLLEYFNLALHIYDQNNLLNILEKEQIVPDDKKHYNVSSVVAAVQNHTSHVPSLYCYHDPKLNATALYQISICLTKNATSFINCPKSDGTCGEDSLLFPK >Vigun08g059900.1.v1.2 pep primary_assembly:ASM411807v1:8:7904620:7905006:-1 gene:Vigun08g059900.v1.2 transcript:Vigun08g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun10g087751.1.v1.2 pep primary_assembly:ASM411807v1:10:25283593:25285017:-1 gene:Vigun10g087751.v1.2 transcript:Vigun10g087751.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVAEKILLFDPEIERTDRHNKSIARKKKQERKARPSIDKKEHISTMVEEQPMRRTLLDYSMPNTNTYQGSIVRPPIQANNFEIKSALLQVIQQNQFGGEGSEDPNSHLENFLAICDTLKINGVSDDAFRLRFFPFSLRDKAKSWLQTQPQGNICTWEDMATKFVTKYFPPSKSARMRNESTTFVQQETESLYEAWERYNELLRKLSGGSFNLKTPEEALETLELMASNTMNMQFDRQNRKAGVLEVNTLDAILTQNKLLTQQITELTQKLGNMQAKAINTTSLLCDFCGGMHQNGECQVTQQEVQVNAMGQQQNQFANNFSNWRSPPNKPWSGSNQPNQPRPPYQYQAQSSNQGNKMSTTNFKNQEASIRKLENQIGQLSHQISERPPGTFPNDTVPNPKEQCKAIQLRSGRLVENEKKE >Vigun08g075600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13163370:13164527:-1 gene:Vigun08g075600.v1.2 transcript:Vigun08g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFNNFCVVVVLTISLTLMVKRGVSREMIWEANDAARPLSSYEQYLQNCAAKLYPDCGVNIFSAIFFGNDTVTCECCDKLVNDVGKQCHDDMTKYILQRPDYRTKKNQILCKSDSVWNDCVSLESPALEPVAATFTDSVAHQSHLFM >Vigun03g150050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15623257:15623454:1 gene:Vigun03g150050.v1.2 transcript:Vigun03g150050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTNNNNSYLFFSLYLFICLPSFSLSFLVSLISLHPCPNTFSPYSPLSLFLLMQSWPVLLFDP >Vigun03g042500.2.v1.2 pep primary_assembly:ASM411807v1:3:3357471:3361260:-1 gene:Vigun03g042500.v1.2 transcript:Vigun03g042500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVAMIVGSEEIQEASQLLSCLADLVYCTVCACMQTQHKIEMDKRDGKFGPQPVMAVPPPVQQMSRIDQQVPPSVGYAPQPAYPPQPVYGQPYGYPPAPPPSQGYPSSGYPPAGYPPAAYPPPGYPPSGYPK >Vigun03g042500.1.v1.2 pep primary_assembly:ASM411807v1:3:3357471:3361769:-1 gene:Vigun03g042500.v1.2 transcript:Vigun03g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEHLEKMQARQNFRNLWHTDLMSTIQADTPYCCFAVWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVAMIVGSEEIQEASQLLSCLADLVYCTVCACMQTQHKIEMDKRDGKFGPQPVMAVPPPVQQMSRIDQQVPPSVGYAPQPAYPPQPVYGQPYGYPPAPPPSQGYPSSGYPPAGYPPAAYPPPGYPPSGYPK >Vigun06g138400.2.v1.2 pep primary_assembly:ASM411807v1:6:26404538:26410531:-1 gene:Vigun06g138400.v1.2 transcript:Vigun06g138400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMGAAGGSGDDKHNHNHKNSHNKSSNKGKKAYHGHNSDRTSKLEEMFRKCPHPTKSTRCQIAEELGLEPKQVKFWFQNKRTQIKTQTERTNNSIFRIENEKIRKDNLLLREAFKNIICPSCGGSSNVDEKRKRSLEQLRLENACLREEHERVSSALAKYMGKPGLDLNMNSTLMRGSSSRGPLIPRSYLKLSAPFQGEDTMFNPGSRITQMEKTMMSKTAVAAKEELIRLMCTNEPIWVKSSNHQSFVLHLESYEAFFPRINHFKNSQARVESSKHSRLVRIQAMELVEMFLNSENWANLFSTIVRKARTIEVLANGSNRSGVLLLMSEEMHVLSPLVPSRELCFLRYCEQLEERSWVIVDVSVDSTNGNNNPKYWRCPSGCMIHEVSNELCWISWIEHVEVDERIETHQLYKDIVNNNIAYGAERWLLELQRMCAKFTSVGDENMPDYDINGVIPILGGRKNMIKFSHQMVKNFYGVLSMSSKPEYLEHLAEENNNNNIRIVVRKYTNPSQSNAMIIFIATTSFRLPLPSEYVFDFFRDPIKRAKWDVMCYESPVHEVARVSAGTNPSNYISIIQPLHGTTNNMGIIQESCIDDLGSYVVYSPVNVSDIKRTINGEMSIFPSGIVISKDVQSITNASAWSSESGGSRTHSTLLTVAFQILMNGPTTMVAESKTVVNSLMTSTIQNINYALMNGSNLEL >Vigun06g138400.1.v1.2 pep primary_assembly:ASM411807v1:6:26404538:26410531:-1 gene:Vigun06g138400.v1.2 transcript:Vigun06g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMGAAGGSGDDKHNHNHKNSHNKSSNKGKKAYHGHNSDRTSKLEEMFRKCPHPTKSTRCQIAEELGLEPKQVKFWFQNKRTQIKTQTERTNNSIFRIENEKIRKDNLLLREAFKNIICPSCGGSSNVDEKRKRSLEQLRLENACLREEHERVSSALAKYMGKPGLDLNMNSTLMRGSSSRGPLIPRSYLKLSAPFQGEDTMFNPGSRITQMEKTMMSKTAVAAKEELIRLMCTNEPIWVKSSNHQSFVLHLESYEAFFPRINHFKNSQARVESSKHSRLVRIQAMELVEMFLNSENWANLFSTIVRKARTIEVLANGSNRSGVLLLMSEEMHVLSPLVPSRELCFLRYCEQLEERSWVIVDVSVDSTNGNNNPKYWRCPSGCMIHEVSNELCWISWIEHVEVDERIETHQLYKDIVNNNIAYGAERWLLELQRMCAKFTSVGDENMPDYDINGVIPILGGRKNMIKFSHQMVKNFYGVLSMSSKPEYLEHLAEENNNNNIRIVVRKYTNPSQSNAMIIFIATTSFRLPLPSEYVFDFFRDPIKRAKWDVMCYESPVHEVARVSAGTNPSNYISIIQPLHGTTNNMGIIQESCIDDLGSYVVYSPVNVSDIKRTINGEMSIFPSGIVISKDVQSITNASAWSSESGGSRTHSTLLTVAFQILMNGPTTMVAESKTVVNSLMTSTIQNINYALMNGSNLEL >Vigun06g138400.4.v1.2 pep primary_assembly:ASM411807v1:6:26404537:26410532:-1 gene:Vigun06g138400.v1.2 transcript:Vigun06g138400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMGAAGGSGDDKHNHNHKNSHNKSSNKGKKAYHGHNSDRTSKLEEMFRKCPHPTKSTRCQIAEELGLEPKQVKFWFQNKRTQIKTQTERTNNSIFRIENEKIRKDNLLLREAFKNIICPSCGGSSNVDEKRKRSLEQLRLENACLREEHERVSSALAKYMGKPGLDLNMNSTLMRGSSSRGPLIPRSYLKLSAPFQGEDTMFNPGSRITQMEKTMMSKTAVAAKEELIRLMCTNEPIWVKSSNHQSFVLHLESYEAFFPRINHFKNSQARVESSKHSRLVRIQAMELVEMFLNSENWANLFSTIVRKARTIEVLANGSNRSGVLLLMSEEMHVLSPLVPSRELCFLRYCEQLEERSWVIVDVSVDSTNGNNNPKYWRCPSGCMIHEVSNELCWISWIEHVEVDERIETHQLYKDIVNNNIAYGAERWLLELQRMCAKFTSVGDENMPDYDINGVIPILGGRKNMIKFSHQMVKNFYGVLSMSSKPEYLEHLAEENNNNNIRIVVRKYTNPSQSNAMIIFIATTSFRLPLPSEYVFDFFRDPIKRAKWDVMCYESPVHEVARVSAGTNPSNYISIIQPLHGTTNNMGIIQESCIDDLGSYVVYSPVNVSDIKRTINGEMSIFPSGIVISKDVQSITNASAWSSESGGSRTHSTLLTVAFQILMNGPTTMVAESKTVVNSLMTSTIQNINYALMNGSNLEL >Vigun06g138400.3.v1.2 pep primary_assembly:ASM411807v1:6:26404537:26410532:-1 gene:Vigun06g138400.v1.2 transcript:Vigun06g138400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMGAAGGSGDDKHNHNHKNSHNKSSNKGKKAYHGHNSDRTSKLEEMFRKCPHPTKSTRCQIAEELGLEPKQVKFWFQNKRTQIKTQTERTNNSIFRIENEKIRKDNLLLREAFKNIICPSCGGSSNVDEKRKRSLEQLRLENACLREEHERVSSALAKYMGKPGLDLNMNSTLMRGSSSRGPLIPRSYLKLSAPFQGEDTMFNPGSRITQMEKTMMSKTAVAAKEELIRLMCTNEPIWVKSSNHQSFVLHLESYEAFFPRINHFKNSQARVESSKHSRLVRIQAMELVEMFLNSENWANLFSTIVRKARTIEVLANGSNRSGVLLLMSEEMHVLSPLVPSRELCFLRYCEQLEERSWVIVDVSVDSTNGNNNPKYWRCPSGCMIHEVSNELCWISWIEHVEVDERIETHQLYKDIVNNNIAYGAERWLLELQRMCAKFTSVGDENMPDYDINGVIPILGGRKNMIKFSHQMVKNFYGVLSMSSKPEYLEHLAEENNNNNIRIVVRKYTNPSQSNAMIIFIATTSFRLPLPSEYVFDFFRDPIKRAKWDVMCYESPVHEVARVSAGTNPSNYISIIQPLHGTTNNMGIIQESCIDDLGSYVVYSPVNVSDIKRTINGEMSIFPSGIVISKDVQSITNASAWSSESGGSRTHSTLLTVAFQILMNGPTTMVAESKTVVNSLMTSTIQNINYALMNGSNLEL >Vigun06g193000.1.v1.2 pep primary_assembly:ASM411807v1:6:30989670:30991907:-1 gene:Vigun06g193000.v1.2 transcript:Vigun06g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGWSLRRVVVLLPLLLFLPTNVTACYSSLFSFGDSLADTGNLNFISPPQSPNCLLPPYGQTHFHRPTGRCSDGRLILDFLAHSLGLPYLEPYLGFKNGALKPANTEQGMNFAVAGASALDRGFFEEKGFAVEATANFSLRVQLDWFKEFLPSLCASSSSCKKVIGNSLFIVGEIGGNDYGYPLSETTAFGDLVPYIPQVVSVITSVIRELIDLGAVTFMVPGMLPLGCNSAYLTTFATTDEEEYDQVGCLKWLNTFYEYHNELLQVELNQLRGLYPHTSIIYADYFNAALRLYNSPEQFGFGGNVLKVCCGVDGPYNYNDNSKCGDAGVVACDDPSQYVSWDGYHMTESAYRWIAIGLLEGSYTNPKFIVSCFTHETIRDI >Vigun06g193000.2.v1.2 pep primary_assembly:ASM411807v1:6:30989762:30991899:-1 gene:Vigun06g193000.v1.2 transcript:Vigun06g193000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGWSLRRVVVLLPLLLFLPTNVTACYSSLFSFGDSLADTGNLNFISPPQSPNCLLPPYGQTHFHRPTGRCSDGRLILDFLAHSLGLPYLEPYLGFKNGALKPANTEQGMNFAVAGASALDRGFFEEKGFAVEATANFSLRVQLDWFKEFLPSLCASSSSEGCKKVIGNSLFIVGEIGGNDYGYPLSETTAFGDLVPYIPQVVSVITSVIRELIDLGAVTFMVPGMLPLGCNSAYLTTFATTDEEEYDQVGCLKWLNTFYEYHNELLQVELNQLRGLYPHTSIIYADYFNAALRLYNSPEQFGFGGNVLKVCCGVDGPYNYNDNSKCGDAGVVACDDPSQYVSWDGYHMTESAYRWIAIGLLEGSYTNPKFIVSCFTHETIRDI >Vigun08g197900.1.v1.2 pep primary_assembly:ASM411807v1:8:36346490:36349418:-1 gene:Vigun08g197900.v1.2 transcript:Vigun08g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREIQIMQHLSGQSNIVEFKGSYEDKNSVHVVMELCAGGELFDRIIAKGHYSERAAASLCRQIVKVVNICHFMGVMHRDLKPENFLLSSKDDKGLLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLNRSYGKEADIWSAGVMLYILLSGVPPFWAETEKGIFDSILKGHIDFESNPWPNISSSAKDLVRKMLTKDPKKRITSAQVLEHPWLREGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIQGLKAMFTNMDTDNSGTITYEELRAGLQRLGSKLTEAEVRQLMDAADVDGNGTIDYIEFITATMHRYRLERDEHLYGAFQYFDKDGSGYITRDELETAMKENGMGDEATIREIISEVDTDNDGRINYDEFCTMMRSGTQKGKLF >Vigun11g164500.1.v1.2 pep primary_assembly:ASM411807v1:11:37185751:37190108:-1 gene:Vigun11g164500.v1.2 transcript:Vigun11g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKEYVVLSLIALLSSLITVTESVALTPHIDLLNRNSFPTGFIFGTASASYQYEGAANEGGREPSIWDTFTHKYPEKIEDRSNGDVAVDSYHRYKEDVEIMKDMNLDAYRFSISWSRILPKGKLSGGINREGIDYYNNLINELLANGLEPFVTLFHWDFPQSLEDEYGGFLSPRSVKDFQDYAELCFREFGDRVKKWITLNEPYSYSHKGYALGTKAPGRCSSWLNAHCSGGDSGTEPYLATHHQLLAHAAAVNVYKTKYQRFQNGVIGITLYSIWYEPLSDTKLDRKAAERAMDFIFGWFVDPLTRGDYPESMRSLVKSRLPKFTKEQSKLLINSFDFLGINYYTANFVSDAPELRNARGSYMTDALVNYSFERDGRLIGEDIGSDWLYVYPKGFREVLLYIKEKYNNPLIYITENGVSEYDDHSLSLEESLLDTYRIDYYYRHFYYLLDAIKKGVNVKGYFAWSLLDNFEWDSGYIMRFGLNFVDYKNGLKRYSKLSALWFKDFLNKETKLHDSM >Vigun03g421000.1.v1.2 pep primary_assembly:ASM411807v1:3:62670902:62671915:1 gene:Vigun03g421000.v1.2 transcript:Vigun03g421000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVVLEVQKGCISKKTPQVINKTTILSTTTTHKKPPLSPSPSPFQTPTFLDQCFLCGKRLSPGKDIYMYKGDRAFCSVECRCKQIFSDEEEAIKKEKCCLAAMRPTSSSYSSSSTSRHHRKETRNRGVGFF >Vigun08g125901.1.v1.2 pep primary_assembly:ASM411807v1:8:29604745:29605200:1 gene:Vigun08g125901.v1.2 transcript:Vigun08g125901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IYILCTLALGMLIQTSFWLVFSNFRFIWCLFDMTSYADTSITYHDLARGFQYEKASFHNGRSITAAAISLEVIFVCLGEL >Vigun03g390000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59650272:59652318:-1 gene:Vigun03g390000.v1.2 transcript:Vigun03g390000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTTREAVRVACQRSFATGKAKKGSKGGGAGDAPKASTLSKEVKSSTVVGANILKKGTDPKILPDSDYPHWLCHLLDKRPAISELRRKNIETLAYDNLKRFVKLDNRAMIKENNSVKAKN >VigunL059135.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000262.1:4316:4456:1 gene:VigunL059135.v1.2 transcript:VigunL059135.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun07g173700.4.v1.2 pep primary_assembly:ASM411807v1:7:28880317:28882436:1 gene:Vigun07g173700.v1.2 transcript:Vigun07g173700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMSSFWGPVTSTTDCCEKNYEYSSYIAEFYNTISTIPTILLALVGLINAYRERFEKRFCILYVTIMALSIGSMLYHATLQRVQQQSDETPMMWEILLYMYILYSPDWHYPRTMPIFLFVYCVIFAIVHSVVRFGIGFKVHYIIICLLCSPRMYKYYIYTDCVPAKRLGKLYAVTLLLAGLCWIGDHVFCKQISSWPINPQGHALWHIFMGFNSYFANTFLMFCRAQQLAWSPKVVHVMGVLPYVKIEKPKRQ >Vigun07g173700.2.v1.2 pep primary_assembly:ASM411807v1:7:28880320:28882436:1 gene:Vigun07g173700.v1.2 transcript:Vigun07g173700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMSSFWGPVTSTTDCCEKNYEYSSYIAEFYNTISTIPTILLALVGLINAYRERFEKRFCILYVTIMALSIGSMLYHATLQRVQQQSDETPMMWEILLYMYILYSPDWHYPRTMPIFLFVYCVIFAIVHSVVRFGIGFKVHYIIICLLCSPRMYKYYIYTDCVPAKRLGKLYAVTLLLAGLCWIGDHVFCKQISSWPINPQGHALWHIFMGFNSYFANTFLMFCRAQQLAWSPKVVHVMGVLPYVKIEKPKRQ >Vigun07g173700.5.v1.2 pep primary_assembly:ASM411807v1:7:28880320:28882436:1 gene:Vigun07g173700.v1.2 transcript:Vigun07g173700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMSSFWGPVTSTTDCCEKNYEYSSYIAEFYNTISTIPTILLALVGLINAYRERFEKRFCILYVTIMALSIGSMLYHATLQRVQQQSDETPMMWEILLYMYILYSPDWHYPRTMPIFLFVYCVIFAIVHSVVRFGIGFKVHYIIICLLCSPRMYKYYIYTDCVPAKRLGKLYAVTLLLAGLCWIGDHVFCKQISSWPINPQGHALWHIFMGFNSYFANTFLMFCRAQQLAWSPKVVHVMGVLPYVKIEKPKRQ >Vigun07g173700.3.v1.2 pep primary_assembly:ASM411807v1:7:28880317:28882436:1 gene:Vigun07g173700.v1.2 transcript:Vigun07g173700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMSSFWGPVTSTTDCCEKNYEYSSYIAEFYNTISTIPTILLALVGLINAYRERFEKRFCILYVTIMALSIGSMLYHATLQRVQQQSDETPMMWEILLYMYILYSPDWHYPRTMPIFLFVYCVIFAIVHSVVRFGIGFKVHYIIICLLCSPRMYKYYIYTDCVPAKRLGKLYAVTLLLAGLCWIGDHVFCKQISSWPINPQGHALWHIFMGFNSYFANTFLMFCRAQQLAWSPKVVHVMGVLPYVKIEKPKRQ >Vigun05g198300.1.v1.2 pep primary_assembly:ASM411807v1:5:38388179:38389565:-1 gene:Vigun05g198300.v1.2 transcript:Vigun05g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKRSSLLIFLIVFVSTLIHAKAYQSLYCGSKGTRCYGKYIHCPSECPNSETTNPKTKVCHIDCNKPICRAECRSRKPNCNAPGSGCYDPRFIGGDGRVFYFHGKSNEHFSLVSDSNLQINARFIGHRPAGRNRDYTWIQALGILFNSKTFSLEATRTPQWDRDLDYLKLTYNHKHVALAEGPLSTWYNEEKDVKVERIANKNSVMVTLKDVAEILVNVVPVTKEDDRIHNYQVPSDDCFAHLEVQFRFSALSPKVDGVLGRTYRLDFENPAKPGVAMPVVGGEDRYKTESLLSHECGSCVFSQGSSFEKETTPQVMEYGTLDCTKFSHGFGIVCRK >Vigun03g184400.1.v1.2 pep primary_assembly:ASM411807v1:3:24060250:24065064:1 gene:Vigun03g184400.v1.2 transcript:Vigun03g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRCFLDIGIGEELEGRIVVELYDDVTPKTAENFRALCTGEKGIGPNTGVPLHFKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGHKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVATGEDDRPTLDVKIVDCGEIPEGEDDGISNFFKDGDTYPDWPADLDESPNELIWWMKSVDSIKTFGNDYYRKQDYKMALRKYRKALRYLDICWEKEGIDEEISSGLRKTKSQIFTNSSASKLKLGDIKGALLDTEFAMREGDNNAKALFRQGQAYMALHDIDAAVESFKKALALEPNDAGIKKELAAARKKIADRRDLEKKAYSKMFQ >Vigun03g184400.2.v1.2 pep primary_assembly:ASM411807v1:3:24060654:24065066:1 gene:Vigun03g184400.v1.2 transcript:Vigun03g184400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRCFLDIGIGEELEGRIVVELYDDVTPKTAENFRALCTGEKGIGPNTGVPLHFKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGHKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVATGEDDRPTLDVKIVDCGEIPEGEDDGISNFFKDGDTYPDWPADLDESPNELIWWMKSVDSIKTFGNDYYRKQDYKMALRKYRKALRYLDICWEKEGIDEEISSGLRKTKSQIFTNSSASKLKLGDIKGALLDTEFAMREGDNNAKALFRQGQAYMALHDIDAAVESFKKALALEPNDAGIKKELAAARKKIADRRDLEKKAYSKMFQ >VigunL070500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:104928:106182:1 gene:VigunL070500.v1.2 transcript:VigunL070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF MKNITDSFLCLGSWPSAGSFVFNTDILATNPINLSVVLGLLVFFGKGVLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVETEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRTVSANIGMFGMMK >Vigun05g129000.2.v1.2 pep primary_assembly:ASM411807v1:5:14909929:14911905:-1 gene:Vigun05g129000.v1.2 transcript:Vigun05g129000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPLHTHKHIKVNEVYNVTKFLDDHPGGDDVLLSSTGKDATDDFEDVGHSKSARAMLKDLYVGDIDPTTVPAKVQQTPPKQIQNNQNNASSSFMTKMLQFLVPLIVLGIAIGVRFYNNKST >Vigun05g129000.1.v1.2 pep primary_assembly:ASM411807v1:5:14909929:14911905:-1 gene:Vigun05g129000.v1.2 transcript:Vigun05g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERMVYTLAQVSEHNNSKDCWLIIDGKVYNVTKFLDDHPGGDDVLLSSTGKDATDDFEDVGHSKSARAMLKDLYVGDIDPTTVPAKVQQTPPKQIQNNQNNASSSFMTKMLQFLVPLIVLGIAIGVRFYNNKST >Vigun08g130600.1.v1.2 pep primary_assembly:ASM411807v1:8:30091914:30095382:-1 gene:Vigun08g130600.v1.2 transcript:Vigun08g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNETKWVCEHTTLFQTNPKGIFYNEDPLNYTLTVVLLQASIACLASLFFEFLLVPIGETTFIPHVLAGLVLGPSLLGKTLNLKKLFSPKTIYIAEALSRFGVMVFLFLVGVKVDPLLIFRTGKKTWAIGLGSCLLPLGFSVCSAYIIRLYLSPESEIYKALYFISTFSSAGSFQATASAVHDFKLLNSEVGRLAVSSSMINGAFSAMWQGMVVSQRPKVMRKKPDGYLTLGILSLIALVLIIICILRPIMLWMTRMTPKGKPVKESYIISIYVMMLGCSLFSEAIGEHYMLGPLIFGLAVPEGPPIGAALVDRLDTLISGLLMPLFFFSSSARFSADILNFTDFTIVQLVALGNFFAKIAGVVLPSLYCKMSFTDAISLGLILSAQGISQLVHLQSLQTFKIIDDMIYSQMAIALVWLTAASSPIVKLLYDPSKSYLSLTRWRTVEHAPPDIELPIIACIHCEENTPTMINFLEISNSRVESPIYFHVLHLLQLKGRSAPLMIDHDLKSNTHLWRSNRSVSIINAFKSYEQQCSNVQVKVYTCISPYETMHDEVCMQAAEKRACILIVPFHRHFRRTGIIELSNPVRALNRHLLRTAPCSVGILVERGSLVNNNPLTTVSFYNVAVVFIEGPDDREALAYAMRMADHPNVKVTVIRITGSRRKSRTMITRDPDAEIIHKFMVNYLQVKRHDFREQIVRDSVEMIGVIREFDGCFDLILVGRRHESESSMFYGMNEWNEYPELGSVADMLVSSDSTFDGSVLVVQQQNKVGTHVIRQDCHNLENSVPRRELPTVLDVQPKPSGWPIL >Vigun01g100800.1.v1.2 pep primary_assembly:ASM411807v1:1:26835935:26842721:1 gene:Vigun01g100800.v1.2 transcript:Vigun01g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKPKKEAMIFPKACCSFLWIYLLTGACFSVLTCYANQASTLVVDASDSSERPIPDTLFGIFFEEINHAGAGGLWAELVNNRGFEAGGTKSSSNIAPWTRVGKEQNIVLQTELNSCFEQNKVALKMDVQCDNSDGVGVSNPGFWGMNIVKGKKYKVVFFVKSNGPLQMTVSFRETKGGRILASSNIIASASEVSKWKRIETMLEAGASSSYSSLYLTTTKKGVIWLDQVSAMPVDTYKGHGFRSDLINMIIDLKPAFLRFPGGCFVEGETLKNAFRWKTSVGPWEQRPGHFNDVWNYWTDDALGYFEGLQLAEDIGASPLWVFNNGISHSDEIDTKDIAPFVQEALDGIEFAVGAATSKWGSLRASMGHPKPFDLKYVGVGNEDCDKKNYQGNYLAFYKAIKAAYPKMQIISNCDASSKPLNHPADMYDYHTYPNQSQSMFNNAGVFDKTPRNGPKAFVSEYALIGEQAKYGTLLGAVSEAGFLIGLERNSDHVKMASYAPLFVNANDRKWNPDAIVFNSHEVYGTPSYWVQFMFRESNGAKLLKSQLQTPYPNSLAASAILWKNSQDQKTYFKIKVANLGKSSINLKISFKGIKSSKAAKATKTVLTSANAFDENSFAHPKKIVPKRNPLKSASTEINDTLPPFSLTVFDLLKSNV >Vigun03g204400.1.v1.2 pep primary_assembly:ASM411807v1:3:32956774:32958337:1 gene:Vigun03g204400.v1.2 transcript:Vigun03g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRILLRNSRPIQLPPSSHSSSSSSSFYMKCVRLHSRASFNGDLNMASAKGETKPVVAMRATMATTEHTTTSQPMLQQRLSDFAAFFASILTAILVLFWSIVKRKKPSNLQPQMQIEKAIIDCRFFTLFAVAGSLIGSVLCFVEGCLLVMESFAHYFHMLSQGLDQTHMMHLLIEAIDSFLVGTALLIFGMGMYVMFVRSNTTSKETEADSRFLMKFEAAPGWLGMQSIAEAKLKIGHAVMMILQVGVLEKLKDIPLVTGLDLACFAASVLTSSACIFVLSRLHHQY >Vigun03g204400.2.v1.2 pep primary_assembly:ASM411807v1:3:32956774:32958337:1 gene:Vigun03g204400.v1.2 transcript:Vigun03g204400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRILLRNSRPIQLPPSSHSSSSSSSFYMKCVRLHSRASFNGDLNMASAKGETKPVVAMRATMATTEHTTTSQPMLQQRLSDFAAFFASILTAILVLFWSIVKRKKPSNLQPQMQIEKAIIDCRFFTLFAVAGSLIGSVLCFVEGCLLVMESFAHYFHMLSQGLDQTHMMHLLIEAIDSFLVGTALLIFGMGMYVMFVRSNTTSKETEADSRFLMKVLHIE >Vigun10g105900.1.v1.2 pep primary_assembly:ASM411807v1:10:30389722:30391928:1 gene:Vigun10g105900.v1.2 transcript:Vigun10g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLLILCLTFPVFLLFFFKYHTSFKSPPFPPGPRGLPIVGNLHQLETSSLYTQLWQFSKKHGPLFSLQLGLRRVIVVSSPKLAKVVMKDHDLECCDRPKLLGQQKLSYDGSDMVFSSYSSYWREIRKICVIHIFSSKSVSCFSSVRNFEVKQMIKTISRHASTSEVTNLNQLLTSLTSTIMCRIAFGRRYEEEGTERSKFHQLFSECQAMVAIPFFSDYIPFMGWIDKLRGLHARLQRSFKEMDNFYQQVIDEHMADSHEKTPQEEDIVDVLLRLKKHNSFPIDFTNDNIKAAIMNILIGGTDTTAVTVVWAMTLLLKHPRIMKKVQEEVRSLSGEKAFLDEDDVQKFPYLKAVIKETLRLYPPAPLLVPRETREKVTIDDYEIPAKTIIYVNAWAIHRDPEAWEDAEEFMPERFLNSTVDLRGQDFCFIPFGSGRRMCPALNMAFATLDVILGNLLYSFDWELPEGMKSEDIDTETLPGVTQHKKNPLCVRAKCQM >Vigun10g170700.1.v1.2 pep primary_assembly:ASM411807v1:10:38969847:38972961:1 gene:Vigun10g170700.v1.2 transcript:Vigun10g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGTQFDGRQYDAKMTELLSTDGQEFFTSYDEVYDSFDAMGLQENLLRGIYAYGFERPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHTVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSNDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTADDSRMLSDIQKFYNVTVEELPSNVADLL >Vigun07g251400.6.v1.2 pep primary_assembly:ASM411807v1:7:37060034:37060609:1 gene:Vigun07g251400.v1.2 transcript:Vigun07g251400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSEVIVDQYETRGAQRHALHSTLFARSNTCSAVSSTTRTGDVNLSYESGRISLYS >Vigun07g251400.3.v1.2 pep primary_assembly:ASM411807v1:7:37059133:37060609:1 gene:Vigun07g251400.v1.2 transcript:Vigun07g251400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLALIDIYISLLVCRYDCLGMNKLSEVIVDQYETRGAQRHALHSTLFARSNTCSAVSSTTRTGDVNLSYESGRISLYS >Vigun07g251400.4.v1.2 pep primary_assembly:ASM411807v1:7:37059133:37060609:1 gene:Vigun07g251400.v1.2 transcript:Vigun07g251400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLALIDIYISLYDCLGMNKLSEVIVDQYETRGAQRHALHSTLFARSNTCSAVSSTTRTGDVNLSYESGRISLYS >Vigun07g251400.5.v1.2 pep primary_assembly:ASM411807v1:7:37059059:37060609:1 gene:Vigun07g251400.v1.2 transcript:Vigun07g251400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDCLGMNKLSEVIVDQYETRGAQRHALHSTLFARSNTCSAVSSTTRTGDVNLSYESGRISLYS >Vigun04g177600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40082258:40087582:1 gene:Vigun04g177600.v1.2 transcript:Vigun04g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFSALFFRKHGLAPLLRRKSLVITPEDTFCHFFCTISEVSFSQTSSQLPAHCNSKSSTFDTNTKNGITNHFGLIRLQEISINHANDQSHDEFASDVEKVYRILRKYHSRVPKLELALRESGVVVRPGLTERVLNRCGDAGNLAYRFYSWASKQSGHRHDQDAYKAMIKILGRMRQFGAVWALIEEMRQENPELITQQVFVILMRRFASARMVQKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAASLFEDMRYRWKPGVKHFTSLLYGWCKEGKLMEAKHVLVQMKEAGIEPDIVVYNNLLGGYAQAGKMGDSYDLLKEMRRKGCEPNATSYTVLIQSLCKHERLEEATRVFIEMQRSGCQADVVTYSTLISGFCKWGKIKRGYELLDEMIQQGHSPNQAIYQHIMVAHEKKEELEECKELVIEMQKIGCPPDLSIYNTVIRLACKLGEVKEGVGLWNEMESNGLSSGIDTFVIMINGFLEQGCLVEACEYFKEMVGRGLFTAPQYGTLKELMNSLLRAEKLEMAKDAWNCITSSKGCQLNVSAWTIWIHALFSKGHVKEACSFCIDMMDKDLMPQPDTFAKLMRGLRKLYNRQFAAEITEKVRKMAADRQITFKMYKRRGERDLKEKEKEKKDGRKRRARQRQWGGGRQKL >Vigun09g237000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40739368:40740774:1 gene:Vigun09g237000.v1.2 transcript:Vigun09g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSPVVRIVSECFVKPSGQAQESDRICNLTPWDIAMLSLHYIQKGLLFQKPKTLVDQHHFIENLLEKLKHSLSLTLFHFYPLSGRLVTHKTEDPSSYTIFVDCSNGRGAKFIHATLDMTISDILSPVDLPPIVNSFFDLHKAVNHDGHTMPLLSIKVTELVDGVFIGCSMNHNLADGTSYWNFFNAWSEIFQAQAEGNEHDVPIPMVISCHPIHNRWFPDGCGPLINLPFKHHDEFIKRFEAPLLRGRIFHFSAESIAKLKARANAESKTTKISSLQSLSAHVWRCVTRARQTPDDERTSCKLAINNRSRMEPPLPAEYFGSAVGVVSAESTVGELLGNDLGWAAWKVHVAVGGHNDRVVRDMVKEWLQHPVIYQHGVIVDNSCVMISSSPRFNVYGNEFGMGKAVAVLSGYANKFDGDVIAHPGREGGGSIDFEVSLSPDVMSALESDQEFMEAVSVPNLLHYPLS >Vigun03g100800.1.v1.2 pep primary_assembly:ASM411807v1:3:8675177:8683158:1 gene:Vigun03g100800.v1.2 transcript:Vigun03g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCAFSVGTLHIPTAPEIPTPFRKSIFLRNHVNSPISLVSWGISKSKLFTALSPPPLTESSNQPEAESEFEGQSEKIDWYSQWYPLMPICDLDKRKPHGKRVLGIDVVVWWDRNEGAWQVQDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGKGECKFIPQAPSDGPPIHTSKKACVACYPSSVQNDILWFWPNTDPQYEDIITRKRPPYIPEIDDPSYTKLMGNRDIPYGYEILIENLMDPAHVPYAHYGLMRTGEPKVKADREGGKPLELHVEKLDINGFIADQGLTKSRFIPPCIFYAYTPDQPSSSGETKKPLAQKKMALIFICIPVSPGKSRLIWCFPRNFGKWMDKIVPRWIFHVGQNLILDSDLYLLHVEEHKIRDIGPNNWQKACFVPTKSDALVIGFRKWLKKYAGGQLEWRGKYSGALPSTPPREQLMDRYWSHVVNCKSCNSAYKSLNVVEVMLQIISVASVGIVATMKQGTMSVATRNSLVVLAVLSFALSRWLAHFIYKNFRYHDYDHAFR >Vigun03g100800.2.v1.2 pep primary_assembly:ASM411807v1:3:8675177:8683158:1 gene:Vigun03g100800.v1.2 transcript:Vigun03g100800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCAFSVGTLHIPTAPEIPTPFRKSIFLRNHVNSPISLVSWGISKSKLFTALSPPPLTESSNQPEAESEFEGQSEKIDWYSQWYPLMPICDLDKRKPHGKRVLGIDVVVWWDRNEGAWQVQDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGKGECKFIPQAPSDGPPIHTSKKACVACYPSSVQNDILWFWPNTDPQYEDIITRKRPPYIPEIDDPSYTKLMGNRDIPYGYEILIENLMDPAHVPYAHYGLMRTGEPKVKADREGGKPLELHVEKLDINGFIADQGLTKSRFIPPCIFYAYTPDQPSSSGETKEHKIRDIGPNNWQKACFVPTKSDALVIGFRKWLKKYAGGQLEWRGKYSGALPSTPPREQLMDRYWSHVVNCKSCNSAYKSLNVVEVMLQIISVASVGIVATMKQGTMSVATRNSLVVLAVLSFALSRWLAHFIYKNFRYHDYDHAFR >Vigun02g144700.1.v1.2 pep primary_assembly:ASM411807v1:2:29304301:29308821:-1 gene:Vigun02g144700.v1.2 transcript:Vigun02g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKESKKKNSGRKIPGPTNPMVTPLLTDQYQFTMAYAYWKSGKHRERAVFDLYFRKNPFGGEYTVFAGLEECVRFIANFKLTEEQIDYIRNNLSVSCEEGFLDYLRGIDCSDVEVYAIPEGSVVFAKVPLLRVEGPIAVVQLLETPFINLINFASLVSTNAARHRFVAGKSKTLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGMLFGIPLRGTHSHAFVSSYMNLDEIKDKALRKKDGSSTCQDFVSLVRDWLRKIERSDSLRSNSAETNKSELAALTSYALAFPDNFLALVDTYDVMRSGVPNFCAVALALNDLGYKAIGIRLDSGDLAYLSCEIRKLFCSIEKEFGLPGFGKMNITASNDLNEETIDALNKQGHQIDAYGIGTYLVTCYAQAALGVVFKLVEINNKPRIKLSEAVSKVTIPCKKRIYRLYGKEGYALVDIMTGENEPPPKVGERILCRHPFRESKRAYVVPQKIEELLRCYCAGNSDKNEEILPPLKDIRERCIQQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELR >Vigun02g144700.2.v1.2 pep primary_assembly:ASM411807v1:2:29304365:29309023:-1 gene:Vigun02g144700.v1.2 transcript:Vigun02g144700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKLSLLNNTRSTMASKESKKKNSGRKIPGPTNPMVTPLLTDQYQFTMAYAYWKSGKHRERAVFDLYFRKNPFGGEYTVFAGLEECVRFIANFKLTEEQIDYIRNNLSVSCEEGFLDYLRGIDCSDVEVYAIPEGSVVFAKVPLLRVEGPIAVVQLLETPFINLINFASLVSTNAARHRFVAGKSKTLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGMLFGIPLRGTHSHAFVSSYMNLDEIKDKALRKKDGSSTCQDFVSLVRDWLRKIERSDSLRSNSAETNKSELAALTSYALAFPDNFLALVDTYDVMRSGVPNFCAVALALNDLGYSLLSLGDVLSRYKAIGIRLDSGDLAYLSCEIRKLFCSIEKEFGLPGFGKMNITASNDLNEETIDALNKQGHQIDAYGIGTYLVTCYAQAALGVVFKLVEINNKPRIKLSEAVSKVTIPCKKRIYRLYGKEGYALVDIMTGENEPPPKVGERILCRHPFRESKRAYVVPQKIEELLRCYCAGNSDKNEEILPPLKDIRERCIQQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELR >Vigun02g144700.3.v1.2 pep primary_assembly:ASM411807v1:2:29304365:29309023:-1 gene:Vigun02g144700.v1.2 transcript:Vigun02g144700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKLSLLNNTRSTMASKESKKKNSGRKIPGPTNPMVTPLLTDQYQFTMAYAYWKSGKHRERAVFDLYFRKNPFGGEYTVFAGLEECVRFIANFKLTEEQIDYIRNNLSVSCEEGFLDYLRGIDCSDVEVYAIPEGSVVFAKVPLLRVEGPIAVVQLLETPFINLINFASLVSTNAARHRFVAGKSKTLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGMLFGIPLRGTHSHAFVSSYMNLDEIKDKALRKKDGSSTCQDFVSLVRDWLRKIERSDSLRSNSAETNKSELAALTSYALAFPDNFLALVDTYDVMRSGVPNFCAVALALNDLGYKAIGIRLDSGDLAYLSCEIRKLFCSIEKEFGLPGFGKMNITASNDLNEETIDALNKQGHQIDAYGIGTYLVTCYAQAALGVVFKLVEINNKPRIKLSEAVSKVTIPCKKRIYRLYGKEGYALVDIMTGENEPPPKVGERILCRHPFRESKRAYVVPQKIEELLRCYCAGNSDKNEEILPPLKDIRERCIQQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELR >Vigun02g144700.4.v1.2 pep primary_assembly:ASM411807v1:2:29304365:29309023:-1 gene:Vigun02g144700.v1.2 transcript:Vigun02g144700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKLSLLNNTRSTMASKESKKKNSGRKIPGPTNPMVTPLLTDQYQFTMAYAYWKSGKHRERAVFDLYFRKNPFGGEYTVFAGLEECVRFIANFKLTEEQIDYIRNNLSVSCEEGFLDYLRGIDCSDVEVYAIPEGSVVFAKVPLLRVEGPIAVVQLLETPFINLINFASLVSTNAARHRFVAGKSKTLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGMLFGIPLRGTHSHAFVSSYMNLDEIKDKALRKKDGSSTCQDFVSLVRDWLRKIERSDSLRSNSAETNKSELAALTSYALAFPDNFLALVDTYDVMRSGVPNFCAVALALNDLGYKAIGIRLDSGDLAYLSCEIRKLFCSIEKEFGLPGFGKMNITASNDLNEETIDALNKQIDAYGIGTYLVTCYAQAALGVVFKLVEINNKPRIKLSEAVSKVTIPCKKRIYRLYGKEGYALVDIMTGENEPPPKVGERILCRHPFRESKRAYVVPQKIEELLRCYCAGNSDKNEEILPPLKDIRERCIQQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELR >Vigun08g159800.1.v1.2 pep primary_assembly:ASM411807v1:8:33244910:33245631:-1 gene:Vigun08g159800.v1.2 transcript:Vigun08g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVALRSKKAKLPRMEEQYSSMNAMEENICYSTLTSFLQKDTSFLTPWSWDPYASRNEASTSTKQNQYIRIPPGSYFSYSMFRWLKKKPRDYEYLRIASEYEKKMKIKARMKKKMNLFR >Vigun03g215600.1.v1.2 pep primary_assembly:ASM411807v1:3:35812275:35839006:1 gene:Vigun03g215600.v1.2 transcript:Vigun03g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWDGIQSSLSSRSSSTLGHQASSRSVRLGRVQPQAPTHRTIFCNDREANFPIRFKGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVSPITNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNNGIEVLQDQKWQSISWKKLQVGDIVKVNQDGFFPADLLFLASTNADGVCYIETANLDGETNLKIRKALEKTWDYVTPEKASEFKGEIQCEQPNNSLYTFTGNLITQKQTLPLSPNQVLLRGCSLRNTEYIVGVVIFTGHETKVMMNTMNVPSKRSTLERKLDKLILTLFATLFMMCFIGAIGSAVFVNKKYFYLHLDSSEEGSAQFNPRNRFLVFILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQFINKDLSMYHAETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGNGVTEIERGVAERSGMKIEENISSKAVQERGFNFDDDRLMRGAWRNEPNPDVCKEFFRCLAICHTVLPEGDESPEKIRYQAASPDEAALVIAAKNFGFFFYRRTPTMIYVRESHVEKMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIYERLADISNSIKKVTREHLEQFGSAGLRTLCLAYKELHPDVYESWNEKFIQAKSSLNDREKKLDEVAELIENDLILIGSTAIEDKLQEGVPACIETLQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFVISSETDAIREVEERGDQVEIARFIKEEVKKELKKCLEEAQNYFDSLSGPKLALVIDGKCLMYALDPSLRVMLLNISLNCHSVVCCRVSPLQKAQVTSMVKKGAHKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRVCKVVLYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKKYPELYMEGIRNVFFKWKVVAIWAFFSIYQSLIFFYFVSTTNLSAKNSAGKIFGLWDVSTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFIFIFIYSGISTPYDRQENIYFVIYVLMSTFYFYVMLLLVPVAALFCDFVYQGVQRWFSPYDYQIVQEMHRDELDNTGRAQLLEIGNQLTPAEARSYAISQLPRELSKHTGFAFDSPGYESFFAAQLGVYAPPKAWDVARRASMRTKPKIGHKK >Vigun04g072200.1.v1.2 pep primary_assembly:ASM411807v1:4:8414704:8415905:1 gene:Vigun04g072200.v1.2 transcript:Vigun04g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNTQNLSYKAGEAQGEAQEKTNTMMDKAANAAQSAQESLQEVGNQLQAKAQEAAEAVKNATGMNNNK >Vigun11g107800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30704321:30704987:-1 gene:Vigun11g107800.v1.2 transcript:Vigun11g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVRNTTLTFSRRYRYEVLGLQGKDKMLKSISYRRRRAKQRKIFLTTYKLSSLDTNTFVEPQKPKLKLKKVAVKVKKIMASVLMFMRVGSLRSCASRSAISDGKNL >Vigun03g229400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38222741:38223394:1 gene:Vigun03g229400.v1.2 transcript:Vigun03g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEAMLQLGILIITLSLFFIMHTIRSQTTQTRTRTRNKNRPPEPNRHLIQASRHLARARSTSHRAQHAKSAIMEIDKALSIWPRDPWAHILRAQAQDLMGHRIAAIKSLDAALSSPAAKSLSAEERADALVRRAEMKVGVNRRRRVESAIEDLVEAVEVDERKGSEWEVMCLLGKCYEWKGMKEEATDAFQKVLQVHPHSEEARDSLHRLGLFTDK >Vigun10g148100.2.v1.2 pep primary_assembly:ASM411807v1:10:36666576:36668369:1 gene:Vigun10g148100.v1.2 transcript:Vigun10g148100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMGSRAYCCFRCQNLVAFHDDIVSKDFQATNGRAFLFSHAMNIVLGPKEDRQLITGLHTVADVYCSDCGEELGWKYVKAFEETQKYKEGKCVLEKFKIVKGNG >Vigun10g148100.3.v1.2 pep primary_assembly:ASM411807v1:10:36666699:36667406:1 gene:Vigun10g148100.v1.2 transcript:Vigun10g148100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMGSRAYCCFRCQNLVAFHDDIVSKDFQATNGRAFLFSHAMNIVLGPKEDRQLITGLHTVADVYCSDCGEELGWKYVKAFEETQKYKEGKCVLEKFKIVKGNG >Vigun10g148100.1.v1.2 pep primary_assembly:ASM411807v1:10:36666125:36668369:1 gene:Vigun10g148100.v1.2 transcript:Vigun10g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMGSRAYCCFRCQNLVAFHDDIVSKDFQATNGRAFLFSHAMNIVLGPKEDRQLITGLHTVADVYCSDCGEELGWKYVKAFEETQKYKEGKCVLEKFKIVKGNG >Vigun03g355300.1.v1.2 pep primary_assembly:ASM411807v1:3:55685061:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNTLHAAAILFREGGLWDWFINLSASDYPLVTQDDLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun03g355300.4.v1.2 pep primary_assembly:ASM411807v1:3:55685061:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNTLHAAAILFREGGLWDWFINLSASDYPLVTQDDLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun03g355300.2.v1.2 pep primary_assembly:ASM411807v1:3:55685060:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLFLSLFLWPGVVGEEECRVSDKNLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun03g355300.6.v1.2 pep primary_assembly:ASM411807v1:3:55685061:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLFLSLFLWPGVVGEEECRVSDKNLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun03g355300.7.v1.2 pep primary_assembly:ASM411807v1:3:55685371:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLFCQLRGLFLSLFLWPGVVGEEECRVSDKNLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun03g355300.5.v1.2 pep primary_assembly:ASM411807v1:3:55685368:55689207:1 gene:Vigun03g355300.v1.2 transcript:Vigun03g355300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCFHDHSLHLFCSTDLLHTLSSTPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDLFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYLLWGWDNLPRVVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTINNYEEMVESNAPFARKFGRNEQLLDKIDTELLGRNEHGYVPGKWFDRTNSNTTKPYSAIKNITELKPGPGAERLKRLINGLLSSEDFHTKQCS >Vigun02g040500.1.v1.2 pep primary_assembly:ASM411807v1:2:16586179:16598631:-1 gene:Vigun02g040500.v1.2 transcript:Vigun02g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDGAKKEVEKVIGVKKSKGFPLGGSGTSLASMESLSMPQVQELVLSADMQCEKCQRRVSDIIAKMNAETESVVINVLEKKVTLTFKSASMGKVSTRQITQINKNSLPKIAIIKRIFRSSRCLNKYS >Vigun10g185300.1.v1.2 pep primary_assembly:ASM411807v1:10:40151522:40156327:-1 gene:Vigun10g185300.v1.2 transcript:Vigun10g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNLACNNGCSMKIQSEIQMAMPVPAAMEIHKVQLPPERTTLQKLRHRLSEIFFPDDPLHRFKNQTCFMKLLLALQYLFPIFQWAPLYNLSLLRSDFISGLTIASLAIPQGISYAKLANLPPILGLYSSFVPPLIYSLLGSSRHLGVGPVSIASLVMGSMLTETISYTQDPILYLKMAFTATFFAGLFQSSLGILRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTNKMQITPVLISVFKQREEWSWQNLVLGFSFLIFLLTTRQISLRKPKLFWVSAAAPLTSVILSTILVFFLRNKTHKIAIIGELPKGLNPPSSNMLYFNGPYLALALKTGLVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNIAGSCSSCYVTTGSFSRSAVNFNAGAQTAVSNIIMASAVLVTLLFLMPLFYYTPNVVLGAIIITAVVGLIDYQAAYKLWKVDKLDFLACLCSFFGVLFISVPLGLGIAVGISVFKILLHVSRPNTLVLGNIPGTPIFHNLNQYREALRIPSFIILAVESPIYFANSTYLQERILRWVREEEERVKANNESTLKCIILDMTAVTAIDTSGIDTLCELRKVLDKRSLQLVLANPVGNVMEKLHQSNILDSFGLKGVYLTVGEAVADISSSWKAQP >Vigun06g161100.1.v1.2 pep primary_assembly:ASM411807v1:6:28338209:28341385:-1 gene:Vigun06g161100.v1.2 transcript:Vigun06g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTTTSSSSPAASNSFFSRLGSSSDAKAPQIGSFRFLERPHVSSVVVNLTQRCSSVGPLNAQPQRNDSIVPLAATIVAPEVEKGQEDFEQLAKDLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRFFDAVEKHYGIHIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGIGSLVKWNPVANVNGLDIWNFLRTMDVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQEDTAQLNGNGATHSNGSATVADIFNSQNVINLSRAGIENLAKLENRQEPWLVVLYAPWCRFCQAMEESYDDLAEKLAGSGVKVAKFRADGEQKEYAQSELELGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFVNALR >Vigun06g161100.2.v1.2 pep primary_assembly:ASM411807v1:6:28338209:28341385:-1 gene:Vigun06g161100.v1.2 transcript:Vigun06g161100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTTTSSSSPAASNSFFSRLGSSSDAKAPQIGSFRFLERPHVSSVVVNLTQRCSSVGPLNAQPQRNDSIVPLAATIVAPEVEKGQEDFEQLAKDLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRFFDAVEKHYGIHIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGIGSLVKWNPVANVNGLDIWNFLRTMDVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQEDTAQLNGNGATHSNGSATVADIFNSQNVINLSRAGIENLAKLENRQEPWLVVLYAPWCRFCQVKHYFLNFNTLLMSYH >Vigun02g028165.1.v1.2 pep primary_assembly:ASM411807v1:2:10309069:10309763:-1 gene:Vigun02g028165.v1.2 transcript:Vigun02g028165.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVVVAVVVVLVVVVVEADMGFMAVVVVMVVGLVAVVVVVVVLELVDVLVMDVVVVVAVVVLVVVMVMVVVVVVVVVVLAMVVVVVVVVVIVVVVVVDFVGVVVSVVVDLVLLVVAVVVVSVVVVEVVALVVVMVVVVVVVKFVVVVVVVIVVVVVMMVVVGVVMVAVEVMVGVVVVGVAPWRWYVLL >Vigun06g068200.1.v1.2 pep primary_assembly:ASM411807v1:6:19758023:19762811:1 gene:Vigun06g068200.v1.2 transcript:Vigun06g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] METRVEGKPTRVASEFSDNLSETCKMVTPNGRAMHKSDDEIPFDSEINFHHSESSLVEVLGSHSGESLTVHRETLAGIVYRSIQVVIFSNKLNLLMPFGPLAILVQKLTAHLGWVFALSLLGIMPLAERLGYATEQLAFYSGDTVGGLLNATFGNATELIISIYALKNGMTRVVQLSLLGSILSNMLLVLGCAFLCGGIVNHKKEQVFNKASASVNSGLLLMAVMGILFPAVLHYTHTEVRVGKSELFLSRFSSCVMLVAYAAYLFFQLKGQRSLYVSVDEEEVQSGNNSNDDESPDISKWESIIWLSVMTAWISILSEYLVGAIEGASTAWEIPIAFISVILLPLVGNAAEHASAIMFAMKDKLDISLAVAIGSSTQISMFVIPFCVVIGWIMGNSMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLILCYLIVAASFYVHIDSSE >Vigun06g068200.3.v1.2 pep primary_assembly:ASM411807v1:6:19758023:19762820:1 gene:Vigun06g068200.v1.2 transcript:Vigun06g068200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MVTPNGRAMHKSDDEIPFDSEINFHHSESSLVEVLGSHSGESLTVHRETLAGIVYRSIQVVIFSNKLNLLMPFGPLAILVQKLTAHLGWVFALSLLGIMPLAERLGYATEQLAFYSGDTVGGLLNATFGNATELIISIYALKNGMTRVVQLSLLGSILSNMLLVLGCAFLCGGIVNHKKEQVFNKASASVNSGLLLMAVMGILFPAVLHYTHTEVRVGKSELFLSRFSSCVMLVAYAAYLFFQLKGQRSLYVSVDEEEVQSGNNSNDDESPDISKWESIIWLSVMTAWISILSEYLVGAIEGASTAWEIPIAFISVILLPLVGNAAEHASAIMFAMKDKLDISLAVAIGSSTQISMFVIPFCVVIGWIMGNSMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLILCYLIVAASFYVHIDSSE >Vigun06g068200.2.v1.2 pep primary_assembly:ASM411807v1:6:19750330:19762811:1 gene:Vigun06g068200.v1.2 transcript:Vigun06g068200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MVTPNGRAMHKSDDEIPFDSEINFHHSESSLVEVLGSHSGESLTVHRETLAGIVYRSIQVVIFSNKLNLLMPFGPLAILVQKLTAHLGWVFALSLLGIMPLAERLGYATEQLAFYSGDTVGGLLNATFGNATELIISIYALKNGMTRVVQLSLLGSILSNMLLVLGCAFLCGGIVNHKKEQVFNKASASVNSGLLLMAVMGILFPAVLHYTHTEVRVGKSELFLSRFSSCVMLVAYAAYLFFQLKGQRSLYVSVDEEEVQSGNNSNDDESPDISKWESIIWLSVMTAWISILSEYLVGAIEGASTAWEIPIAFISVILLPLVGNAAEHASAIMFAMKDKLDISLAVAIGSSTQISMFVIPFCVVIGWIMGNSMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLILCYLIVAASFYVHIDSSE >Vigun06g068200.5.v1.2 pep primary_assembly:ASM411807v1:6:19758023:19762811:1 gene:Vigun06g068200.v1.2 transcript:Vigun06g068200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MVTPNGRAMHKSDDEIPFDSEINFHHSESSLVEVLGSHSGESLTVHRETLAGIVYRSIQVVIFSNKLNLLMPFGPLAILVQKLTAHLGWVFALSLLGIMPLAERQLAFYSGDTVGGLLNATFGNATELIISIYALKNGMTRVVQLSLLGSILSNMLLVLGCAFLCGGIVNHKKEQVFNKASASVNSGLLLMAVMGILFPAVLHYTHTEVRVGKSELFLSRFSSCVMLVAYAAYLFFQLKGQRSLYVSVDEEEVQSGNNSNDDESPDISKWESIIWLSVMTAWISILSEYLVGAIEGASTAWEIPIAFISVILLPLVGNAAEHASAIMFAMKDKLDISLAVAIGSSTQISMFVIPFCVVIGWIMGNSMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLILCYLIVAASFYVHIDSSE >Vigun06g068200.4.v1.2 pep primary_assembly:ASM411807v1:6:19758023:19762811:1 gene:Vigun06g068200.v1.2 transcript:Vigun06g068200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] METRVEGKPTRVASEFSDNLSETCKMVTPNGRAMHKSDDEIPFDSEINFHHSESSLVEVLGSHSGESLTVHRETLAGIVYRSIQVVIFSNKLNLLMPFGPLAILVQKLTAHLGWVFALSLLGIMPLAERQLAFYSGDTVGGLLNATFGNATELIISIYALKNGMTRVVQLSLLGSILSNMLLVLGCAFLCGGIVNHKKEQVFNKASASVNSGLLLMAVMGILFPAVLHYTHTEVRVGKSELFLSRFSSCVMLVAYAAYLFFQLKGQRSLYVSVDEEEVQSGNNSNDDESPDISKWESIIWLSVMTAWISILSEYLVGAIEGASTAWEIPIAFISVILLPLVGNAAEHASAIMFAMKDKLDISLAVAIGSSTQISMFVIPFCVVIGWIMGNSMDLNFQLFETAALFLTVIVVAFMLQEGTANYFKGLMLILCYLIVAASFYVHIDSSE >Vigun06g060000.1.v1.2 pep primary_assembly:ASM411807v1:6:18761381:18767719:1 gene:Vigun06g060000.v1.2 transcript:Vigun06g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEALSDDPNRPGSRPNSAADAAPAGGGARYKLMSPAKLPISRSPCVTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLFMLHQTAHASVTSAASASFPVTTASFNTNTVDDRKPSFFEFKPHSRPNMVPADPDNHASEKSTQIDGEGKAQPFDSSSPLVKSEIAVPSNELSLSSPVQIVSSGANARVEGDLDELNPRSNIATGLQPSQVDNRGSGLSVTAERVSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPNRRYSSGTIMTMQEERTDKASFRDDKGSNICGQVSHPAEPDSTPELSPAATNDGDPEGTGFFSNRNSDEVDDDDPLSKRRKMEFANADITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNTGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSCHDIAGSASGGGHTRIRAEESDTISLDLGMGISPASENTPNSQGRMMLPEFGDNHAHTSNSNFKFVHTSGAPVYFGVLNNNSNPYGSRENPSDSSTSLNHSAYPCPQNVGRILMGP >Vigun06g060000.4.v1.2 pep primary_assembly:ASM411807v1:6:18762484:18767719:1 gene:Vigun06g060000.v1.2 transcript:Vigun06g060000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMEFCIFPLCSIRCFVGIWLSLTIAQHSHYFLLRFIGNAFLFPYLYVWNNRINALSRVEPSPTTGSLFMLHQTAHASVTSAASASFPVTTASFNTNTVDDRKPSFFEFKPHSRPNMVPADPDNHASEKSTQIDGEGKAQPFDSSSPLVKSEIAVPSNELSLSSPVQIVSSGANARVEGDLDELNPRSNIATGLQPSQVDNRGSGLSVTAERVSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPNRRYSSGTIMTMQEERTDKASFRDDKGSNICGQVSHPAEPDSTPELSPAATNDGDPEGTGFFSNRNSDEVDDDDPLSKRRKMEFANADITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNTGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSCHDIAGSASGGGHTRIRAEESDTISLDLGMGISPASENTPNSQGRMMLPEFGDNHAHTSNSNFKFVHTSGAPVYFGVLNNNSNPYGSRENPSDSSTSLNHSAYPCPQNVGRILMGP >Vigun06g060000.5.v1.2 pep primary_assembly:ASM411807v1:6:18762288:18767719:1 gene:Vigun06g060000.v1.2 transcript:Vigun06g060000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNGRCCLFHEKFDVSDRVEPSPTTGSLFMLHQTAHASVTSAASASFPVTTASFNTNTVDDRKPSFFEFKPHSRPNMVPADPDNHASEKSTQIDGEGKAQPFDSSSPLVKSEIAVPSNELSLSSPVQIVSSGANARVEGDLDELNPRSNIATGLQPSQVDNRGSGLSVTAERVSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPNRRYSSGTIMTMQEERTDKASFRDDKGSNICGQVSHPAEPDSTPELSPAATNDGDPEGTGFFSNRNSDEVDDDDPLSKRRKMEFANADITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNTGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSCHDIAGSASGGGHTRIRAEESDTISLDLGMGISPASENTPNSQGRMMLPEFGDNHAHTSNSNFKFVHTSGAPVYFGVLNNNSNPYGSRENPSDSSTSLNHSAYPCPQNVGRILMGP >Vigun06g060000.3.v1.2 pep primary_assembly:ASM411807v1:6:18763059:18767719:1 gene:Vigun06g060000.v1.2 transcript:Vigun06g060000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTAHASVTSAASASFPVTTASFNTNTVDDRKPSFFEFKPHSRPNMVPADPDNHASEKSTQIDGEGKAQPFDSSSPLVKSEIAVPSNELSLSSPVQIVSSGANARVEGDLDELNPRSNIATGLQPSQVDNRGSGLSVTAERVSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPNRRYSSGTIMTMQEERTDKASFRDDKGSNICGQVSHPAEPDSTPELSPAATNDGDPEGTGFFSNRNSDEVDDDDPLSKRRKMEFANADITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNTGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSCHDIAGSASGGGHTRIRAEESDTISLDLGMGISPASENTPNSQGRMMLPEFGDNHAHTSNSNFKFVHTSGAPVYFGVLNNNSNPYGSRENPSDSSTSLNHSAYPCPQNVGRILMGP >Vigun06g060000.2.v1.2 pep primary_assembly:ASM411807v1:6:18762813:18767719:1 gene:Vigun06g060000.v1.2 transcript:Vigun06g060000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTAHASVTSAASASFPVTTASFNTNTVDDRKPSFFEFKPHSRPNMVPADPDNHASEKSTQIDGEGKAQPFDSSSPLVKSEIAVPSNELSLSSPVQIVSSGANARVEGDLDELNPRSNIATGLQPSQVDNRGSGLSVTAERVSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPNRRYSSGTIMTMQEERTDKASFRDDKGSNICGQVSHPAEPDSTPELSPAATNDGDPEGTGFFSNRNSDEVDDDDPLSKRRKMEFANADITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNTGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSCHDIAGSASGGGHTRIRAEESDTISLDLGMGISPASENTPNSQGRMMLPEFGDNHAHTSNSNFKFVHTSGAPVYFGVLNNNSNPYGSRENPSDSSTSLNHSAYPCPQNVGRILMGP >Vigun08g126900.3.v1.2 pep primary_assembly:ASM411807v1:8:29707765:29709988:1 gene:Vigun08g126900.v1.2 transcript:Vigun08g126900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKTIVPESVLKKRKREEEWALAKKQALEAAKKKRAESRKLIYNRAKQYAKEYDQQEKDLIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRTALTDNAIIEQVCWC >Vigun08g126900.2.v1.2 pep primary_assembly:ASM411807v1:8:29707765:29709988:1 gene:Vigun08g126900.v1.2 transcript:Vigun08g126900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKTIVPESVLKKRKREEEWALAKKQALEAAKKKRAESRKLIYNRAKQYAKEYDQQEKDLIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRTALTDNAIIEQALGKYGIISTEDLIHEIITVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Vigun08g126900.1.v1.2 pep primary_assembly:ASM411807v1:8:29707765:29709988:1 gene:Vigun08g126900.v1.2 transcript:Vigun08g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKTIVPESVLKKRKREEEWALAKKQALEAAKKKRAESRKLIYNRAKQYAKEYDQQEKDLIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRTALTDNAIIEQALGKYGIISTEDLIHEIITVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Vigun09g256600.2.v1.2 pep primary_assembly:ASM411807v1:9:42262262:42267893:1 gene:Vigun09g256600.v1.2 transcript:Vigun09g256600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFHSCGCCRVSTSTAFHFQFRTSPSSLFLCSTSYKFRSTTASAGSGFSGDSDSDGDRKLNFSGVRLEEAVDSTIGSTKLRLDSWISSRINGISRARVQSSIKSGLVHVNGRVVDKASFNVKAGDQIKCTIAELQKLRAVPENIPLDIVYEDEHVLVINKPAHMVVHPAPGNTSGTLVNGILHHCNLPNVEFSKDEALSDTEDSDDEVNSYGSMASSYEGSHSRLSMSSIRPGIVHRLDKGTSGLLVVAKDEHSHMKLSEQFKQRTIKRVYVSLTAGVPTPVSGRVEVPVGRDLNNRLRMTAVAGPVNSRKARHAASTE >Vigun09g256600.1.v1.2 pep primary_assembly:ASM411807v1:9:42262262:42267893:1 gene:Vigun09g256600.v1.2 transcript:Vigun09g256600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFHSCGCCRVSTSTAFHFQFRTSPSSLFLCSTSYKFRSTTASAGSGFSGDSDSDGDRKLNFSGVRLEEAVDSTIGSTKLRLDSWISSRINGISRARVQSSIKSGLVHVNGRVVDKASFNVKAGDQIKCTIAELQKLRAVPENIPLDIVYEDEHVLVINKPAHMVVHPAPGNTSGTLVNGILHHCNLPNVEFSKDEALSDTEDSDDEVNSYGSMASSYEGSHSRLSMSSIRPGIVHRLDKGTSGLLVVAKDEHSHMKLSEQFKQRTIKRVYVSLTAGVPTPVSGRVEVPVGRDLNNRLRMTAVAGPVNSRKARHAASRYRVIEILAGGSCSLVEWKLETGRTHQIRAHAKYLGVPLLGDEVYGGTKSMVLSLLRPRTPISLHGKIVQMVSRLDRPCLHALTLGFQHPHTGELVHFSCEPPVDFDEILSQLRRIGSESVSFSKRSNF >Vigun04g059100.1.v1.2 pep primary_assembly:ASM411807v1:4:5911531:5913513:-1 gene:Vigun04g059100.v1.2 transcript:Vigun04g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNYKVGVEEQKPKHKMMSISSVLVFPSPFQGHVNPMAALSEKLVENGFKVIFVNTEFNHKRVVGSMVDQYDEESPLKLVSIPDGLEPNDDRSNVGKLCDSMLSTMPQALKKLIQDNDNNNNNDSRIRFIVVDLHVGWALNVACESGIKGALFWPASATAFHLLYSVPRLLHDGIIDPDGSILTSKKTIQLSPSMPEMEPRTFFWLHMAGIIDSSHYLNYLVHHCTPALNLTEWWFCDTAHELEPEVLTLLPKLIPIGPLLRIDHRSKTNNAPPRSLGQFWEEDLSCMSWLDEQAHGSVVYVAFGSFTLFDSNQFNELALGLDLSNRPFLWVIREDNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVTHCGWNSTMEGLSNGVPLLCWPYYGDQLYNERHICDELKVGLGFDKDHNGLVSRKELKTKVEQIFNDEKIKSRSVALKGKVMKNVAKGGTSYENLEKFVKEIKQ >Vigun09g102800.1.v1.2 pep primary_assembly:ASM411807v1:9:17691005:17698131:1 gene:Vigun09g102800.v1.2 transcript:Vigun09g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDLRRPFKRPPISDQEKRRAQSLLRQAQNRQDAQRHARFLVTTVLTLPFQSYVPESQPEPEPEPEPEPEPELELELTEGVSSSGSNVLENLDVLGASKLKAAEARKWFAKQLMLPEWMIDVPNNLAQDWFVFARPSGKRCFVVSYNGTTISRLRNGSILNRFPSALPSGARKKDSGSSQSYSILDCIFHELDQTYYVIDMVCWRGYSLSDCTAEFRFFWLNSKLAESGACEPPSYYHKYRFSLVPVYGCDSNGLQAAYSAPVPYVKDGLLFYNKHAHYQAGITPLALVWKDENCSQYVMDTDSKGQVPNQQQVVLELQEDGKLTTSDDPPIVFGCLDGSFIQQSGLHSGCLVRFSIGEGGLILMDGKLEKADLHYLGKANRARGSADSFSKVMFQYGIRHTPLKIDVLLESVSLPVVQESTACDVEMDG >Vigun09g102800.3.v1.2 pep primary_assembly:ASM411807v1:9:17691005:17698131:1 gene:Vigun09g102800.v1.2 transcript:Vigun09g102800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDLRRPFKRPPISDQEKRRAQSLLRQAQNRQDAQRHARFLVTTVLTLPFQSYVPESQPEPEPEPEPEPEPELELELTEGVSSSGSNVLENLDVLGASKLKAAEARKWFAKQLMLPEWMIDVPNNLAQDWFVFARPSGKRCFVVSYNGTTISRLRNGSILNRFPSALPSGARKKDSGSSQSYSILDCIFHELDQTYYVIDMVCWRGYSLSDCTAEFRFFWLNSKLAESGACEPPSYYHKYRFSLVPVYGCDSNGLQAAYSAPVPYVKDGLLFYNKHAHYQAGITPLALVWKDENCSQYVMDTDSKGQVPNQQQVVLELQEDGKLTTSDDPPIVFGCLDGSFIQQSGLHSGCLVRFSIGEGGLILMDGKLEKADLHYLGKANRARGSADSFSKT >Vigun09g102800.2.v1.2 pep primary_assembly:ASM411807v1:9:17691005:17698131:1 gene:Vigun09g102800.v1.2 transcript:Vigun09g102800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDLRRPFKRPPISDQEKRRAQSLLRQAQNRQDAQRHARFLVTTVLTLPFQSYVPESQPEPEPEPEPEPEPELELELTEGVSSSGSNVLENLDVLGASKLKAAEARKWFAKQLMLPEWMIDVPNNLAQDWFVFARPSGKRCFVVSYNGTTISRLRNGSILNRFPSALPSGARKKDSGSSQSYSILDCIFHELDQTYYVIDMVCWRGYSLSDCTAEFRFFWLNSKLAESGACEPPSYYHKYRFSLVPVYGCDSNGLQAAYSAPVPYVKDGLLFYNKHAHYQAGITPLALVWKDENCSQYVMDTDSKGQVPNQQQPSTV >Vigun03g296700.1.v1.2 pep primary_assembly:ASM411807v1:3:48318132:48319612:1 gene:Vigun03g296700.v1.2 transcript:Vigun03g296700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >Vigun10g145900.1.v1.2 pep primary_assembly:ASM411807v1:10:36377604:36378650:1 gene:Vigun10g145900.v1.2 transcript:Vigun10g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGLHSSMESQLRLLPTHSSLPSTRSLFRHHCTSCVDYNLLSDPRQQTFLLYFINLNPDPHPHFVILSELDAGDDSRI >Vigun05g009800.1.v1.2 pep primary_assembly:ASM411807v1:5:800118:804303:-1 gene:Vigun05g009800.v1.2 transcript:Vigun05g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKEVMEKEGGSWKRGMREEMKKVRRIAAPMVVASVLQYLVPVVSLIMVGHLNQLSLSSVAIATSLTNVSGFSVLSGMAGGLETLCGQAFGAEQYEKFGLYTYTAIISLSLVSLPITILWIFMDKILILLHQDPVIALHARKYALWLIPALFGSAILKPLTRFFQTQSLIFPMILSSALVLCFHVVTCWILVFKLELGYVGAAISFSFCVWLNVMVLLSFVRFSSACDKTRIPFSNKALLGVGEYFRFAVPSAVMVCLKWWACEILVLLAGVFPNPKLETSVLSICLTISTLHFTIPYGFGAAVSTRVSNELGAGNSEAIRMAVSATMLIAVTEGLIVCAVLYSCRYVLGYAYSDDHVVVHYVAVMTPLLCLSIFTDSLQSVLSGVARGSGWQHLGAYVNLGAFYLVGIPVGALLGFVAHYRAKGLWIGIVTGSILQSFLLSLITALTNWKKQAMMARERIFEATPPYQNGSNRTTSA >Vigun04g024400.1.v1.2 pep primary_assembly:ASM411807v1:4:1884370:1897739:1 gene:Vigun04g024400.v1.2 transcript:Vigun04g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLVSPPSTIIINTLFFFSLLFPIYASSDAEGSPAPTPTLIPFFPHYYLKLSPPDSPHAPSKSSYLRKLIIALTGVTMGVFVVCLIIGCRKYMSSTGKLKFQMTNEQDIEVFLEDHGTLAQKKYRFSEVKKMTNNFTVKLGEGGFGVVYKGELCNGYHVAVKILRASKGNGKDFMNEVASISRTSHVNIVQLIGFCLEGKKKALIYEFMPNGSLDKFISSKGLESTPSLSWDNLLKIAKGIARGLEYLHKGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPGKESIISVSDARGTIGYVAPEVCNKHFGGVSQKSDVYSYGMMLLEIVGVRKNVNGEATQTSEYFPDWIYRKLEQEQELRSDGVIGTEEKEIERRMIAVSLWCIQTFPKDRPTMSTVIDMLEGNADSQEIPPKPVLSSPIPALVAEWTTSSLQSE >Vigun05g282200.7.v1.2 pep primary_assembly:ASM411807v1:5:47110609:47112806:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRYSTLIFLIYCILIGEFSQIHHFFVLPVRNDRFLPQSLQNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.9.v1.2 pep primary_assembly:ASM411807v1:5:47110497:47112923:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCSTTFAPRGKPNPQWACLLSRFSRIQLVNEKVQFQKNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.2.v1.2 pep primary_assembly:ASM411807v1:5:47110609:47112805:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCSTTFAPRLLFFSIYYHKMSYLRGKPNPQWACLLSRFSRIQLVNEKVQFQKVFYSSYLPIVLINWKEILYFHRLDDGINQNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.10.v1.2 pep primary_assembly:ASM411807v1:5:47110497:47112923:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCSTTFAPRGKPNPQWACLLSRFSRIQLVNEKVQFQKNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.6.v1.2 pep primary_assembly:ASM411807v1:5:47110609:47112805:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCSTTFAPRLLFFSIYYHKMSYLRGKPNPQWACLLSRFSRIQLVNEKVQFQKNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.11.v1.2 pep primary_assembly:ASM411807v1:5:47110784:47112805:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHMVSCRGKPNPQWACLLSRFSRIQLVNEKVQFQKNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.3.v1.2 pep primary_assembly:ASM411807v1:5:47110609:47112805:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLKCSTTFAPRGKPNPQWACLLSRFSRIQLVNEKVQFQKVFYSSYLPIVLINWKEILYFHRLDDGINQNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun05g282200.8.v1.2 pep primary_assembly:ASM411807v1:5:47110609:47112806:1 gene:Vigun05g282200.v1.2 transcript:Vigun05g282200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRYSTLIFLIYCILIGEFSQIHHFFVLPVRNDRFLPQSLQNILVSSALIVPRSFDSRIHAFIFGSTLASYQGSKHAQRSGQMLDHSLMHDFSALSWFQE >Vigun02g080300.1.v1.2 pep primary_assembly:ASM411807v1:2:23311046:23313265:1 gene:Vigun02g080300.v1.2 transcript:Vigun02g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATDNFPSSNGRSISPNTIIELEKGDPVVLEKYWRKRSEECSIVIRGWEMMSYIGDAKNKVCWYMLPEVERSIRRLHHVVGNAVTHHRYIVVGTGATQLFQASVFALSPSHPSKPINVLAAAPFYSEYQDEISILRSGLYQWGGDAASYQKNEPYIEVITSPNNPDGFIRGPVVKSEAKGKLIHDLAYYWPHYTPITHQADHDLMLFTFSKCTGHAGSRFGWALVKDIEVAKKMTRYVQLSSIGVSKETQTRVVKILEVVCDGYQNFGSMESELFFEYSKRLLRERWEKLWEVIDESKVFSVAKYSKAFCNFTNESSEPSPGFLWLKCEEGIEDCESYLVEKLKIRGRGGKRFGVDSKYARISMIGTDDDFNEFLKRVSNAKKE >Vigun09g169000.1.v1.2 pep primary_assembly:ASM411807v1:9:33812486:33816805:-1 gene:Vigun09g169000.v1.2 transcript:Vigun09g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMNSGGSSIRRRSLSISSHVSYHTDNDGENESVSEAGDIGDRALRSKRFSESNSFCLSFDNRSEKEVVVSIPEEHRSHPNSSVRPLPPAFTSLSPLSTDGIVRSEDIEHDPPKGLPEFLDYTSCLVHLAVFGILGVLTRYLLQKLFGPGVAHVTSDQTILYVDLPANMIGSFLMGWFGVVFKGDISQVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFLLGLFLVAYSIQFGIETAKGFRWLLHRLGISSEKDVNKMNCKVDSYRRQLIVMVMFLVILGILWGVSGALVKAEFKHGGNAAQLWFACMVGPMGVWIRWFLARLNGRGLGKEGLFKWIPFGTLIANVSAASIMAALATVKNAVKTRDCDTVVAGIQFGLMGCLSTVSTFAAEFNAMRESNHPWRAYAYAVITVCVSFSFGILIYCIPVWTKGFDIDT >Vigun01g033932.1.v1.2 pep primary_assembly:ASM411807v1:1:4362976:4363381:-1 gene:Vigun01g033932.v1.2 transcript:Vigun01g033932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALTVRVFGVLVLFHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWVALTVPGKFKSIHPHSEENR >Vigun09g191600.1.v1.2 pep primary_assembly:ASM411807v1:9:36654569:36656319:1 gene:Vigun09g191600.v1.2 transcript:Vigun09g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEFFQNSFYPQFPSDNITASNTNASTTDHFIVEDFFDFSNSDEAPLVDATFDSLPTDHSSAVTNLDSTTNNSNFSAADGHFSGDLSVPYDDLAELEWLSKFAEESFSSEDLQKLQLISGAGVQKDTASETREPNPGVFNPQVSVRGKARSKRSRGPPCNWSSRLVVLSPNNTMSSESSHSDTGKKVAPRRRDVSDGSSEGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMVRVQQQQLLHLQHQQNMMFDVPSNGEDYLIHQQWAPTSHTSSNLLLD >Vigun08g138100.4.v1.2 pep primary_assembly:ASM411807v1:8:31033999:31036766:-1 gene:Vigun08g138100.v1.2 transcript:Vigun08g138100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSFGSFSFYEYASPTKVVLWLASIFSGIIFCLIAYTSTAILSSLLIKKYGKLSSAEKIEWNNRGFSTFHALFVSSASFYLIFLSDVFNANSQNDVVITKSSRLSETVLGISIGYFLTDLAMILWNFPALGGLEYVARIFLFMFLFYHIWTRLVEVQEVFLFGFYTLIMVPSVLAVMNIFWFWKIAKGMIKTLTKAKHNKGA >Vigun08g138100.3.v1.2 pep primary_assembly:ASM411807v1:8:31035009:31036766:-1 gene:Vigun08g138100.v1.2 transcript:Vigun08g138100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSFGSFSFYEYASPTKVVLWLASIFSGIIFCLIAYTSTAILSSLLIKKYGKLSSAEKIEWNNRGFSTFHALFVSSASFYLIFLSDVFNANSQNDVVITKSSRLSETVLGISIGYFLTDLAMILWNFPALGGLEYVLHHGLSIGSITLSLLTGQVHIYILMVLFSESTTPFVNLRWYLDIAGLKSSKLYIWNGIALFLGWLVLLLSDNFTLDLTLIPAK >Vigun08g138100.2.v1.2 pep primary_assembly:ASM411807v1:8:31033999:31036766:-1 gene:Vigun08g138100.v1.2 transcript:Vigun08g138100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSFGSFSFYEYASPTKVVLWLASIFSGIIFCLIAYTSTAILSSLLIKKYGKLSSAEKIEWNNRGFSTFHALFVSSASFYLIFLSDVFNANSQNDVVITKSSRLSETVLGISIGYFLTDLAMILWNFPALGGLEYVLHHGLSIGSITLSLLTGQVHIYILMVLFSESTTPFVNLRWYLDIAGLKSSKLYIWNGIALFLGWLQGFSCSCSFFTIYGPVWLRCRRCFFLAFTP >Vigun08g138100.1.v1.2 pep primary_assembly:ASM411807v1:8:31033999:31036766:-1 gene:Vigun08g138100.v1.2 transcript:Vigun08g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSFGSFSFYEYASPTKVVLWLASIFSGIIFCLIAYTSTAILSSLLIKKYGKLSSAEKIEWNNRGFSTFHALFVSSASFYLIFLSDVFNANSQNDVVITKSSRLSETVLGISIGYFLTDLAMILWNFPALGGLEYVLHHGLSIGSITLSLLTGQVHIYILMVLFSESTTPFVNLRWYLDIAGLKSSKLYIWNGIALFLGWLVARIFLFMFLFYHIWTRLVEVQEVFLFGFYTLIMVPSVLAVMNIFWFWKIAKGMIKTLTKAKHNKGA >Vigun07g269700.4.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRPEVSGLPRYIMGQSMGGAIALKVHLKEPNTWDGVILVAPMCKIAEGMLPSSAVLKVLSILSKVMPKAKLFPHKDISELTFREPRKRKVVGRLQCYFL >Vigun07g269700.1.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKAAPAGIRTEEWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRPEVSGLPRYIMGQSMGGAIALKVHLKEPNTWDGVILVAPMCKIAEGMLPSSAVLKVLSILSKVMPKAKLFPHKDISELTFREPRKRKVAGYNVISYDDPTRLKTGMELLSATQEIESQLHKVSAPLLILHGAADKVTDPLVSEFLYEKASSKDKTLKIYEGGYHGILEGEPDDRIFAVHNDIISWLDSRC >Vigun07g269700.6.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRRGYATVKCSFEGIKHSV >Vigun07g269700.2.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKAAPAGIRTEEWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRPEVSGLPRYIMGQSMGGAIALKVHLKEPNTWDGVILVAPMCKIAEGMLPSSAVLKVLSILSKVMPKAKLFPHKDISELTFREPRKRKVVGRLQCYFL >Vigun07g269700.3.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRPEVSGLPRYIMGQSMGGAIALKVHLKEPNTWDGVILVAPMCKIAEGMLPSSAVLKVLSILSKVMPKAKLFPHKDISELTFREPRKRKVAGYNVISYDDPTRLKTGMELLSATQEIESQLHKVSAPLLILHGAADKVTDPLVSEFLYEKASSKDKTLKIYEGGYHGILEGEPDDRIFAVHNDIISWLDSRC >Vigun07g269700.5.v1.2 pep primary_assembly:ASM411807v1:7:38533882:38536788:1 gene:Vigun07g269700.v1.2 transcript:Vigun07g269700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEALRFRSHGFSLFPRAPISSTQHPHNLVPISQKFSSNTTLSVTTAKKKSSIEGVSEELTAIASLNLDFAPSRRRVRSAFTEVQQKLDHFLFKAAPAGIRTEEWYERNSRGLEIFCKSWLPESGVPLKAALCFCHGYGSTCTFFFEGIAKRIAASGYGVYAMDYPGFGLSDGLHGYIPDFDDLVDDVIEHYSKIKDRRGYATVKCSFEGIKHSV >Vigun05g197300.1.v1.2 pep primary_assembly:ASM411807v1:5:38169870:38172572:1 gene:Vigun05g197300.v1.2 transcript:Vigun05g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSTGSQQQPQLPPGFRFHPTDEELVVHYLKKKAASVPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPRGIKTNWIMHEYRLADNKPNNRPPGCDLGNKKNSLRLDDWVLCRIYKKSNTHRSPMEHDREDSMEEMIGGIPPSINVGHMSGRYHHHHHHHHNHLSKMSTGYSSALLENDQNLLEGMMIGNGSVMNTSTTAMGASNSNKAELSFVPTMTHSSNTCKRTLSSLYWNDEDVGGTSSSNKRFNLESGDNNNNHGSVVRTDQDNGTATSIVTLLNQLPQTPSLHQQSMLGSIGDGLLRTTTYQIPGMNWYA >Vigun10g173200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39176684:39177439:-1 gene:Vigun10g173200.v1.2 transcript:Vigun10g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVHPSAKTTANVGPKPTFPATKSQLSGANRPTYRPQPPRRSRRRGCASTLCCYLFMILLLLLLLIGGAGTVFYFLYHPQRPSFSVTSLKLSYFNLTSPSTFKAKFDLTLSTTNPNDKILFSYDPTSVSLLYDDATVATATIPSFLHHQKNTTVLEAYVTSTEEEVQSDTVAELKRSTKGKSEVALKVKLETKVEAQMGVLQTPAVGITVVCDGIAVSLSDGEKPASASVENTACEVDVRFKVWKWTVG >Vigun06g055400.2.v1.2 pep primary_assembly:ASM411807v1:6:18193559:18196301:1 gene:Vigun06g055400.v1.2 transcript:Vigun06g055400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVTTLIFAVIGIIASLCTRICCNRGPSTNLFHLTLVITATICCWMMWAIVYLAQMKPLIVPILSEGE >Vigun06g055400.1.v1.2 pep primary_assembly:ASM411807v1:6:18193690:18196301:1 gene:Vigun06g055400.v1.2 transcript:Vigun06g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVTTLIFAVIGIIASLCTRICCNRGPSTNLFHLTLVITATICCWMMWAIVYLAQMKPLIVPILSEGE >Vigun08g156400.1.v1.2 pep primary_assembly:ASM411807v1:8:32872743:32875675:1 gene:Vigun08g156400.v1.2 transcript:Vigun08g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLAIFLVALSFSPFVQSLVRHYKFSVILKNTTKLCSTKSLVTVNGQCPGPTLYAREDDTVLVRVTNHVKYNITIHWHGIKQLRSGWSDGPAYVTQCPIQPGQSFVYNFTITGQRGTLLWHAHITWLRATMYGAIVILPKRGISYPFPKPDKEKIIILGEWWKSDVEAVLNQAESSGLPPNISDAHTINGHTGPLPGCNSRGYTLHVESGKTYLLRIINAALNDELFFKIAGHKLTVVEADASYVKPFQTDTIFMSPGQTTNVLLSADKGVGKYLIAVTPFMDAPIGFDNVTSIATLRYKTTPPYPTTTLTTIPALNATPLTTHFIDSLRSLNSKEYPARVPLTVDHSLFFAITVGLNPCDTCLTGSRLVSAINNITFLLPTTSLLEAHYYNVRGVFTDDFPAYPPIVFNYTGTQPANIQTNNGTRLYRLNFGSTVQIILQGTAMIAPENHPFHLHGYNFFVVGQGLGNFDPEKDPLAFNLVDPVERNTIGVPNGGWAAIRFRANNPGVWFLHCHLEVHTTWGLKMAFIVDNGKGPHESTFPPPNDLPKC >Vigun05g128200.2.v1.2 pep primary_assembly:ASM411807v1:5:14704753:14712873:-1 gene:Vigun05g128200.v1.2 transcript:Vigun05g128200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKSFSPNQTVVVLFVWEVSFLVAFLYGRRTVKVRNLSSLLKELRELRREYFNAILEGLVNLILYRFIRHFTKAHGVVSSSTPWSTPVSGVVPPTHFRFRLFYM >Vigun03g306000.1.v1.2 pep primary_assembly:ASM411807v1:3:49675924:49682101:-1 gene:Vigun03g306000.v1.2 transcript:Vigun03g306000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVGYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKIGTDEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKHCLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPPEEGWVVCRVFKKRMTTMQKVGEYDQSPCWYDEQVSFMQDFESPRRISQPYASYHHHHPCKPELMELQYNNIPHDAFLQLPQLESPKLTHSAPTLSCSSVVPFAYETSNNKSNGSTLQFSSLTQEEQIQYCHQQSQNSLYCTNDNAVDQVTDWRVLDKFVASQLSHHNQDVSKETSYSNAPIFQVAEQISVVTNGSKKEQISQEYASTSTSSCQIDLWK >Vigun03g008400.4.v1.2 pep primary_assembly:ASM411807v1:3:572985:580007:1 gene:Vigun03g008400.v1.2 transcript:Vigun03g008400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEQAGSNGKNTFIQAHRAWLPKLLMLWILLMALIGWCIYTKMDADTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRTPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVNSERESFEKQHGWVIKTMERKPSQVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFKLLGSHHLGVVLTFPVYKSKLPSKPTMEERIKATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNFTDPLIMYGKQDEEGDMSLVHESKLDFGDPYRKHKMICRYHQKAPTNWIALTTAFLFFVILLLVGYILYSAGNRIVKVEDDFHKMAELKVRAESADIAKSQFLATVSHEIRTPMNGILGMLGLLLGTELSSTQLDYAQTAQACGMALIALINEVLDRAKIEAGKLELETVPFDIRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDHGRFRQIVTNLVGNSVKFTERGHILVKVHLAENRISTMNGKVETYLNGRSDEVVHVSSGGYNFKTLSGHEAADERNSWDNFKHLIADEEFFFDDSVKKVASESYEQVTLMVSVEDTGNGIPFIAQDKIFMPFVQADSSTSRHYGGTGIGLSISKCLVELMGGEISFISRPQIGSTFSFTADFGTIKKNSITDMKKHNLEDLRSSFRGFKAIVVDGKPVRAAVTRYHLKRLGIQAKVANSINKAVSLCGKNWSLTSGIFQPDMIFVEKDSWICGEDGIFNVWQLDWKQNGHMFKIPQMILLATNITNTEFDKAKAAGFSDTVIMKPLRASMVAACLQQVLGTGKKRQLGKDTGSSFARSLLCGKKILVVDDNRVNRRVAAGALQNFGAHVTCAESGKIALEMLQLPHNFDACFMDIQMPEMDGFQATGKIREMERKANGECGEGNGWKKEYHLPILAMTADVIQATCEKCVECGMDGYVTKPFEEENLYQAVAKFFNPKPTSDNNNNNNSLQNGNRT >VigunL059426.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:7887:8294:1 gene:VigunL059426.v1.2 transcript:VigunL059426.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGYQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun08g066000.1.v1.2 pep primary_assembly:ASM411807v1:8:9686282:9691908:-1 gene:Vigun08g066000.v1.2 transcript:Vigun08g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLNPNRLRYCVPLHTPHSFTNSPVSLSPSSAGAKENFFNFTKLSLPSTFYEEGEALQKDFGRVYAFSALGTLENDSLGREETGVSKGKMSMSSVHGMFDNTQMPFGEVSTVSKKFESFTPQHFHLLMKNLGVLEETFADSEAQRLEKAIRLQLEKLGALEFFNVCLSRSLGSSFVSDYADKVPNKGKSVVPSSKKKENKTRRKREIDVKAVSSQSLALKANQEDLLGFSFSVVKRATNSKSKRVMVAKREAEMAKGVKVLAELEKIRTAIEEDTKRVVSLNHWAEASGVDEKVLPQLLHHGYYCRDELIRSSRSLVLFLARKYRGMGIALDDLLQAGYVGVLQGAERFDSTRGYKFSTYVQYWIRKSILRVVERYSRGIIIPWSLNRAIKQIQKARKVLKSTQKKCPDDYEIAKMTGLSLDKIKSASNCLRIVASIDQKVGNCLSIEYNELLPDTTIESPENAVLKQHMKKDVHDLLKGLNSRERQVLTLRFGLIDNQPKSLQDIGTLFKVSKERIRKIEKIALTKLRNETTTSELHYYLDL >Vigun01g012400.1.v1.2 pep primary_assembly:ASM411807v1:1:1380419:1382763:-1 gene:Vigun01g012400.v1.2 transcript:Vigun01g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSYGKVDEHGQMVLETKKKTRKRVTIIGLSSVVLAAVVFAAVFGIVGTNSSEGGNDAHTVTDSVKAVCDVTLYKDSCYSSLGSAVNSNQVQPQELFVLSKKVALSEVSKAFEYFSDHHHGAFKGVLVKNSRTKEAMKNCRELLGLAVDHLNSSLTLTSGENFSLVEVFEDLQTWLSAAGTYLNTCIEGFEDEKEAIKTSVETYLKNSTQFTSNSLAIVTWINKATTTLNLRRLLSLLPHHNEAPAWLPSEDRRLLLTEDLRKKADIVVAKDGSGKYKKISDALKHVPDKSNKRTVIYVKKGVYYENVRVEKTKWNVMIIGDGMTSTIVSANLNFLDGTPTFSTATFAVFGRNFMARDMGFRNSAGPQKHQAVALMTSADQAVFYRCHIDAYQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVIQNCNIRPKLPMHGQQNTITAQGKTDPNMNTGISIQNCNISPFGNLSSVETYLGRPWKNYSTTVYMKSRMEGFVNPKGWLPWTGNSAPDTIFYAEFQNVGPGSSTKNRVKWKGLRTITSEQARKFTIKGFLQGDKWISAAGAPFESDL >Vigun11g097201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28479400:28480071:-1 gene:Vigun11g097201.v1.2 transcript:Vigun11g097201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGEENATKFVQAQTHVWKHVFNFINSMSLKCANDLSIPDIIHDYGQPMPISELIASLPIHPSKTCFLNRLMKILTHSGFFSQHNATENELEVRYALTDASKLLLKDHPFSMKRVPQLIFDPVLMNPWFQFSTWFTNEDPTPFHSVNGMGLWDFAGRESNFNHLFNEFMAVDSRLVSTVMIEKYKKVFEGIESLVDVGGGTGTMAKVVVESFPQVIKVHCV >Vigun03g263101.1.v1.2 pep primary_assembly:ASM411807v1:3:43216890:43222528:-1 gene:Vigun03g263101.v1.2 transcript:Vigun03g263101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAPKRHKWQWENATAGAAAGFATVAVMYPLDVVRTRFQVNDGRVSHLPSYKNTAHAVLTIARSEGLRGLYAGFLPGTLGSTISWGLYFFFYDKAKQRYARSGEGKLSPGLHLASAAEAGGLVCLCTNPVWLVKTRLQLQSPLHQTQPYSGLFDAFRTITREEGFSALYRGIVPGLLLQVSWCYSVYSIRGAP >Vigun07g152100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26275107:26275394:-1 gene:Vigun07g152100.v1.2 transcript:Vigun07g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLSFSSSLIFVPLSFTSIHKETQKRNRRPSPSGSTSSSAIDIVNNDFRGRARKGVQWQQYKLDCATCFTSLRVVSGTLVGPGLRSCPRNYST >VigunL045500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:471338:471559:1 gene:VigunL045500.v1.2 transcript:VigunL045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDNSRSGPRRTVVGNLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISIN >Vigun10g109700.1.v1.2 pep primary_assembly:ASM411807v1:10:30906249:30909741:1 gene:Vigun10g109700.v1.2 transcript:Vigun10g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMLPISASKRGKLLAAGYTTLDAIARASASHLARDIEVSDSEALEILNLAAKTGVSDRPNGTSTTVVGGGQTAWDMLNDEKFSSYITTSCVDLDNILGGGIKCKDVTEIGGVPGIGKTQIGIQLAVNVQIPQGYGGLGGKAIYIDTEGSFMVERVLQIAEACIEDMAEYSNHFHKDLQPCEVKMHPNNILENIFYFRVCSYTEQIALINYLDKFIMENKDVKIIIVDSVTFHFRQDFEDMALRTRLLSEMALKLMKLAKKFCLAVVMLNQVTTKFIEGSFQLTLALGDSWSHSCTNRIILFWNGNERHAFIDKSPSLKSASAPYSVTSRGIRNSTSSCKRIKMM >Vigun03g365200.1.v1.2 pep primary_assembly:ASM411807v1:3:56868320:56869823:1 gene:Vigun03g365200.v1.2 transcript:Vigun03g365200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAKKAFTGM >Vigun07g071900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8993156:8993861:1 gene:Vigun07g071900.v1.2 transcript:Vigun07g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIKMGSTLQKHACSTKTRPLQIHCFQTRPLLAFSLFLIGWPAPGGRDFRGPAVGWPSGPDCDETDCGEATVGPDCDEADCGGANAGPDFGGADCGGVVCGRALAGPGCDEAPAGLGCD >Vigun01g128950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30690378:30691682:1 gene:Vigun01g128950.v1.2 transcript:Vigun01g128950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVAPAQLHPNSWGFVRAFQILCAGLDINPTIPMFLYFFELKQSSPKQFWTSLNSVSGHGLFTLFQSSYKGGKGAFLKILTLEHNTALLEGFPLYWTRLPTPQGARQMEELILTEREGCARLEGLEVIFDIRKILDLEYRKVDPKLFIGIRPTMHSKFQIVFLCLTLIFTLSDFIRTPDALSQRELLRRIKQQESNKVGASSRTKDSSLKAIALESEQETTQSDPKSKRKRTDPLISETSDSTDNIPLSALSFKKSFWDEKFTHLAYGRANNYFPIDDKLLSGRQLSSVQEGLLRSIHQVEASSLFLVGRLEASERKESKVVSDLVAANKEIEQLRVDLVNFAKVKKALEDKETELSTLKAEMDELKPKAEGLSARCQALEGEKEELTDQLCSTLKEGFQLALDQVKILHPDIDISSADITKEIVDGQLIELS >Vigun03g204300.1.v1.2 pep primary_assembly:ASM411807v1:3:32910957:32913576:-1 gene:Vigun03g204300.v1.2 transcript:Vigun03g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNGEGAPHSTAARPRSDLRPRATAESVFNGGDTAGYSPDPLTLLSSLFGDGDEYKSFSEFLAGAMMDPTPPRFFPDSSTQGHFGMTQQQIEAEHPFSAAALPATSLTQHLIPQPASVPASVMTESFGFSHSDKKLQSSAVIVEKPNDDGYNWRKYGQKHVKGSDFSRSYYKCTHPNCPVKKKLERSLEGHVTAIIYKGEHNHQRKTTKGTLTTSTENSSDRLKEEMSSQSVSQMDLESGTSDSEEVGDHETEVDQKNDEPDPKRRNTEARFQDPASLHRTVAEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPAAKTNSHTLANNSSSQLKPQNAITDEKKHSFSSRGVGGSEQRPVPSLRLKEEQIT >Vigun08g143800.2.v1.2 pep primary_assembly:ASM411807v1:8:31627266:31629493:1 gene:Vigun08g143800.v1.2 transcript:Vigun08g143800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYTHTCPKHSEPYYRVNIRQHLREMKMTKRGISNRVITVAVFFCVTLLFPKLSECSSPSAFLYSGCTQQLYTPNSPYQSNLDSLLTSLVNSATYSSYNNFTVVGSTQQDAVTGLYQCRGDLAMPDCAACVARAVTRTGDICRGTCGGAVQLDGCFVKYDNATFLGAQDKTVVLKKCGPSVGYNPDAMGSRDAVLAGLSATGGNFRVGGSGGVRGMAQCTGDLSYGECQDCVAEAISRLKGDCGTADYGDMFLGKCYARYSTGGAHGESGHGGVKTFAIIIGSLAGLAILIIFLAFMTKICGKQGK >Vigun08g143800.1.v1.2 pep primary_assembly:ASM411807v1:8:31627266:31629493:1 gene:Vigun08g143800.v1.2 transcript:Vigun08g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYTHTCPKHSEPYYRVNIRQHLREMKMTKRGISNRVITVAVFFCVTLLFPKLSECSSPSAFLYSGCTQQLYTPNSPYQSNLDSLLTSLVNSATYSSYNNFTVVGSTQQDAVTGLYQCRGDLAMPDCAACVARAVTRTGDICRGTCGGAVQLDGCFVKYDNATFLGAQDKTVVLKKCGPSVGYNPDAMGSRDAVLAGLSATGGNFRVGGSGGVRGMAQCTGDLSYGECQDCVAEAISRLKGDCGTADYGDMFLGKCYARYSTGGAHGESKAHGESGHGGVKTFAIIIGSLAGLAILIIFLAFMTKICGKQGK >Vigun08g143800.3.v1.2 pep primary_assembly:ASM411807v1:8:31627266:31629493:1 gene:Vigun08g143800.v1.2 transcript:Vigun08g143800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYTHTCPKHSEPYYRVNIRQHLREMKMTKRGISNRVITVAVFFCVTLLFPKLSECSSPSAFLYSGCTQQLYTPNSPYQSNLDSLLTSLVNSATYSSYNNFTVVGSTQQDAVTGLYQCRGDLAMPDCAACVARAVTRTGDICRGTCGGAVQLDGCFVKYDNATFLGAQDKTVVLKKCGPSVGYNPDAMGSRDAVLAGLSATGGNFRVGGSGGVRGMAQCTGDLSYGECQDCVAEAISRLKGDCGTADYGDMFLGKCYARYSTGGAHGESKAHEHKDQDSFKQRVKIEVDSRYLGIGTKR >VigunL088600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000076.1:11362:20105:1 gene:VigunL088600.v1.2 transcript:VigunL088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSISLFFLLLPLSFQFSSSLFSLIKGSSLSVEKQAHDFILSPNKMFCAGFFQVGENAFSFAIWFNEPDTHNPTTVVWMASRDQPVNGKLSKLSLLNSGNIVLVDAGQITTWSSNTASHAPVKLHLQDDGNLVLDDPQGTILWKSFDFPTDTLLPGQPLTRYTQLVSSRSESNHSSGFYKFFFDDDNVLGLQYDGPDVSSSYWPQPWLISWDAGRTNFNSSRIGVLNSLGLFSSTDNFSFVTSDYGTVLQRRLKVECDGNVRVYSRNNVLQNWYVSWQAISSGCVPHGICGPNSFCVYVHASGRKCLCLPGHRLKNHSDWSQGCEPLFQLTCHRNESMFLEIPNVEFYGYDNHYVEISNYSACESLCLQDCSCKGFQHSYSDDKRFYRCYTKTQFLNGRRSQVFQGTTYLRLPRSNSFSHKEHDRESLNDHVCSVQLQRAYIKTQANHYVKIFLWFSITLGAFEVICIVLIWCLVRTRQKSNREGYHLAGTGFRKYSYSELKKATKDERHAAIKRLNEAKQGEGEFLAEASLIGRLNHMNLIEMWGYCAEGKHRLLVYEYMEKGSLAENLSSNTLDWSKRFNIALGTARVLAYLHEECLEWILHCDIKPQNILLDANYQPKVADFGLSKLQNRNNLNNSSFSMIRGTRGYMAPEWVLNLAITSKVDVYSYGIVVLEMITGRANNGVVAWVREKKSGRSWLQHIIDPALQTNYNESKMELLAKVALDCVEEDKDIRPTMSQVVEMLQSVP >Vigun03g278400.1.v1.2 pep primary_assembly:ASM411807v1:3:45596073:45599065:-1 gene:Vigun03g278400.v1.2 transcript:Vigun03g278400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSTQDQISLPLPHTLLPSPLTTPQGNHPRNPISISMLGFCTSKTALRDGISAYKASSSLPLNTQNETDDYDCESEEGEALVEEVPIPPNCFRSGSSSKRSRAAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMLFPEGLQPPQFSQMRMDSSEENRCIPSNTTTTLPMQQENTIPYSSNLRNKEIVADQPSMPTPSYIFNSQTSFRLESHIPDNLTSFQLRAFSEICREDILQHQHLNANHSDTNPLGCSQGTLATASSVSFDVQKSAVKDNSSLGNCIPGSDQSELLVLRNSEPNIILTQRLSR >Vigun05g202300.1.v1.2 pep primary_assembly:ASM411807v1:5:39080975:39090569:-1 gene:Vigun05g202300.v1.2 transcript:Vigun05g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSSLPLLTQDAAPYITRPSANFPPSFWGDTFLHYHSDSLEIDDNMKQQFQTRKEEVKKMFLSSNNSILQKLNFIDSLQRLGISYHFQHEIDEALEQIYNSSTNDNVIIEEGCLHYMALLFRLLRQRGYHISSDIFNKFKNNKGDFDQNIAKDVQGLWSLFEASQLRIHGEDILDEALDFTHIHLKSLIDQMSASFAAQISHCLRKPLHKGVPRLEAKRYISFYEQNPSHCQVLLLFSKVDFNMLQKLHKIEVGSITKWWKDSEFATKVPYARERAVECYLWPIAMSYETEYSIPRKITSKLIVCISLLDDTYDAYGTIQELELLTQAIQRWDNSSIQSLPDSMKVVFNAIVEVCDEVRLSTLESEKSSIIVQCVKEAFYNLARAYLTEAKWSEEICVPTYEEYKDNGVISSTYPLMITSFLLLTKFSTQIDWILSNPAIIEAVSLIGRLGNDICSHKFEQQRMNVVSAVECCMKQYEISQEDAYKLIEKEIEDLWKVINEEYLKLDHVPTAMLDCILNGARITELAYENFEDKYTDVKLWKDDIFALLVNPISMEEPK >Vigun05g202300.4.v1.2 pep primary_assembly:ASM411807v1:5:39080975:39084918:-1 gene:Vigun05g202300.v1.2 transcript:Vigun05g202300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPSSLQLSAKHAPPHITRPCANFSPSFWGDTFLQYHSAVLYEIDDNMKQQFQTRKEEVKKMFLSSNNSILQKLNFIDSLQRLGISYHFQHEIDEALEQIYNSSTNDNVIIEEGCLHYMALLFRLLRQRGYHISSDIFNKFKNNKGDFDQNIAKDVQGLWSLFEASQLRIHGEDILDEALDFTHIHLKSLIDQMSASFAAQISHCLRKPLHKGVPRLEAKRYISFYEQNPSHCQVLLLFSKVDFNMLQKLHKIEVGSITKWWKDSEFATKVPYARERAVECYLWPIAMSYETEYSIPRKITSKLIVCISLLDDTYDAYGTIQELELLTQAIQRWDNSSIQSLPDSMKVVFNAIVEVCDEVRLSTLESEKSSIIVQCVKEAFYNLARAYLTEAKWSEEICVPTYEEYKDNGVISSTYPLMITSFLLLTKFSTQIDWILSNPAIIEAVSLIGRLGNDICSHKFEQQRMNVVSAVECCMKQYEISQEDAYKLIEKEIEDLWKVINEEYLKLDHVPTAMLDCILNGARITELAYENFEDKYTDVKLWKDDIFALLVNPISMEEPK >Vigun05g202300.3.v1.2 pep primary_assembly:ASM411807v1:5:39080975:39084918:-1 gene:Vigun05g202300.v1.2 transcript:Vigun05g202300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPSSLQLSAKHAPPHITRPCANFSPSFWGDTFLQYHSAEIDDNMKQQFQTRKEEVKKMFLSSNNSILQKLNFIDSLQRLGISYHFQHEIDEALEQIYNSSTNDNVIIEEGCLHYMALLFRLLRQRGYHISSDIFNKFKNNKGDFDQNIAKDVQGLWSLFEASQLRIHGEDILDEALDFTHIHLKSLIDQMSASFAAQISHCLRKPLHKGVPRLEAKRYISFYEQNPSHCQVLLLFSKVDFNMLQKLHKIEVGSITKWWKDSEFATKVPYARERAVECYLWPIAMSYETEYSIPRKITSKLIVCISLLDDTYDAYGTIQELELLTQAIQRWDNSSIQSLPDSMKVVFNAIVEVCDEVRLSTLESEKSSIIVQCVKEAFYNLARAYLTEAKWSEEICVPTYEEYKDNGVISSTYPLMITSFLLLTKFSTQIDWILSNPAIIEAVSLIGRLGNDICSHKFEQQRMNVVSAVECCMKQYEISQEDAYKLIEKEIEDLWKVINEEYLKLDHVPTAMLDCILNGARITELAYENFEDKYTDVKLWKDDIFALLVNPISMEEPK >Vigun03g339100.1.v1.2 pep primary_assembly:ASM411807v1:3:53745881:53746837:1 gene:Vigun03g339100.v1.2 transcript:Vigun03g339100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNGFPRKRDVSLHELSKRLAEFAQVRGWEQYHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPNWSSDDKEHLEEELSDVLLYLVRLADVCGLDLGQAALTKILKNAKKYPVTTTDHTTTSNRN >Vigun11g045100.1.v1.2 pep primary_assembly:ASM411807v1:11:6764871:6769122:-1 gene:Vigun11g045100.v1.2 transcript:Vigun11g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCGSTSITPLLSNKNVVAASSKSSFGGFQLSLPKLTLSSSVRTLLPSNSRIRCQQDSATAAPVEDPRFACVEPEPRFKGPDIWNKTWYPKAADHVNTEKTWYVVDATDKVLGRLASTIAIHIRGKNLATYTPSVDMGAFVIVVNAEKIAVSGKKRTQKLYRRHSGRPGGMTVETFAQLQNRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGPDHPHAAQKPIDLPIRDKRIQLQK >Vigun01g254100.1.v1.2 pep primary_assembly:ASM411807v1:1:41939551:41942526:-1 gene:Vigun01g254100.v1.2 transcript:Vigun01g254100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVAVTVTVTVTAMVMEMVGGGSWCVAKIGVSEEVLQRALDSACGGDGGADCAPIQPNGLCFSPNTLQAHASYAFNSFYQRNGRASNACLFEGASTIAQTDPSYGSCVYPSSSAIATPTASTAEVTNTTVPTPSSTRTTPIQGGDDAGVNPQIPQNSTPTSNTIPSSCLLFLLALFLGDTFFVV >Vigun05g181900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34815190:34815735:-1 gene:Vigun05g181900.v1.2 transcript:Vigun05g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPSSMQPSNSTMEISITITQRRGISVLQPKTKLSGGRSPHPQTFQTTTPKPNHMLTKSDQKGDKGKGPQTT >Vigun07g242300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36374146:36376632:1 gene:Vigun07g242300.v1.2 transcript:Vigun07g242300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEPIDGNPRSSDAPSSPRISFSAEFLDENNFISISPNAEYEKDQEKERERARNGAEFEFLSNNMSNNNTVLTADELFFEGKLLPFWQMQHLEKLSKISLKSKEGEEEEEEELEEEAVVSNNKEDSSSNSSRVNWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSSSSSASSLGDVAAKEGKEGSRNNNKEQQVKRVKKGLERTRSATIRIRPMINVPICTQVKSSALPPLFPLKKGKLER >Vigun03g192100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26639821:26646530:-1 gene:Vigun03g192100.v1.2 transcript:Vigun03g192100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTFSFPCVYFVPVGRICCNYRRVTLAAHSKRRRPPPKDNRYRPHPKQPPEFGVNLFLKKPSTTSKPTEDDFDIVEENDEEEDENIGVVWESDEIEAISSLFQGRIPQKPGKLHRERPLPLPAPYKLRPLGLPKPKAQVKLTAPAVVSSRASMAKKVYKSPSFLVGLAREMSRLGPAEDVSKVLGKWVQFLRKGSLSLTIRELGHMGFPERALQTFLWAQNQPHLFPDDWILASTVEVLARNHELRIPFNIDQYTGLASRAVLEAMIKGFIKGGNLRLAWKVLIVARRDKRMLDSSIYAKLILELGKNPDRHRHVLPLLDELGERDELNLSQQDCTAIMKVCVKMGKFEVVESLFSWFKQSTYQPSVVMFTSVIHSRYTEKKYREALAVVWEMESSNCLFDLPAYRVVIKLFVALNDLSRAMRYFSKLKEAGFSPTFGLYKDMLQIYMASGRTAKCKEICKEAEIAGFKLDKYLVSQINENL >Vigun03g192100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26643464:26646530:-1 gene:Vigun03g192100.v1.2 transcript:Vigun03g192100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTFSFPCVYFVPVGRICCNYRRVTLAAHSKRRRPPPKDNRYRPHPKQPPEFGVNLFLKKPSTTSKPTEDDFDIVEENDEEEDENIGVVWESDEIEAISSLFQGRIPQKPGKLHRERPLPLPAPYKLRPLGLPKPKAQVKLTAPAVVSSRASMAKKVYKSPSFLVGLAREMSRLGPAEDVSKVLGKWVQFLRKGSLSLTIRELGHMGFPERALQTFLWAQNQPHLFPDDWILASTVEVLARNHELRIPFNIDQYTGLASRAVLEAMIKGFIKGGNLRLAWKVLIVARRDKRMLDSSIYAKLILELGKNPDRHRHVLPLLDELGERDELNLSQQDCTAIMKVCVKMGKFEVVESLFSWFKQSTYQPSVVMFTSVIHSRYTEKKYREALAVVWEMESSNCLFDLPAYRVVIKLFVALNDLSRAMRYFSKLKEAGFSPTFGLYKDMLQIYMASGRTAKCKEICKEAEIAGFKLDKYLVSQINENL >Vigun03g192100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26643464:26646530:-1 gene:Vigun03g192100.v1.2 transcript:Vigun03g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTFSFPCVYFVPVGRICCNYRRVTLAAHSKRRRPPPKDNRYRPHPKQPPEFGVNLFLKKPSTTSKPTEDDFDIVEENDEEEDENIGVVWESDEIEAISSLFQGRIPQKPGKLHRERPLPLPAPYKLRPLGLPKPKAQVKLTAPAVVSSRASMAKKVYKSPSFLVGLAREMSRLGPAEDVSKVLGKWVQFLRKGSLSLTIRELGHMGFPERALQTFLWAQNQPHLFPDDWILASTVEVLARNHELRIPFNIDQYTGLASRAVLEAMIKGFIKGGNLRLAWKVLIVARRDKRMLDSSIYAKLILELGKNPDRHRHVLPLLDELGERDELNLSQQDCTAIMKVCVKMGKFEVVESLFSWFKQSTYQPSVVMFTSVIHSRYTEKKYREALAVVWEMESSNCLFDLPAYRVVIKLFVALNDLSRAMRYFSKLKEAGFSPTFGLYKDMLQIYMASGRTAKCKEICKEAEIAGFKLDKYLVSQINENL >Vigun03g192100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26643463:26646531:-1 gene:Vigun03g192100.v1.2 transcript:Vigun03g192100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTFSFPCVYFVPVGRICCNYRRVTLAAHSKRRRPPPKDNRYRPHPKQPPEFGVNLFLKKPSTTSKPTEDDFDIVEENDEEEDENIGVVWESDEIEAISSLFQGRIPQKPGKLHRERPLPLPAPYKLRPLGLPKPKAQVKLTAPAVVSSRASMAKKVYKSPSFLVGLAREMSRLGPAEDVSKVLGKWVQFLRKGSLSLTIRELGHMGFPERALQTFLWAQNQPHLFPDDWILASTVEVLARNHELRIPFNIDQYTGLASRAVLEAMIKGFIKGGNLRLAWKVLIVARRDKRMLDSSIYAKLILELGKNPDRHRHVLPLLDELGERDELNLSQQDCTAIMKVCVKMGKFEVVESLFSWFKQSTYQPSVVMFTSVIHSRYTEKKYREALAVVWEMESSNCLFDLPAYRVVIKLFVALNDLSRAMRYFSKLKEAGFSPTFGLYKDMLQIYMASGRTAKCKEICKEAEIAGFKLDKYLVSQINENL >Vigun05g130600.2.v1.2 pep primary_assembly:ASM411807v1:5:15146043:15152586:-1 gene:Vigun05g130600.v1.2 transcript:Vigun05g130600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKCTNLFFVFVVTCFLSSDAVTATDTISSSQFIKDNETITSTGGNFTLGFFTPQNSTNRYVGIWWQPKFTVIWVANRNQPLNDSSGLVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSDRTIWESFQHPSDAMLPNMKISTNKVTGEKVKQTSWKSPSDPSTGSFSLSVERLSIPEVFIWNETRPFWRTGPWNSKIFTGLPYMKTYYLEGIHIGDDGEGNVEFLYKEVEEVGFIIYILNSTGNCEERWWNGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESAPTCSCLKGFEPKNKEEWNRKNWTSGCFRRTPLQCERASNQNKSADNTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELEKERNNKIIIIVSVTVGSTLVLICAYMMWRTISTRHVEIIKRLLQFNGGGAPAEYTNDNAFCDLSQVKLQELLTFTIEKLVTATNKFHPSNKLGQGGFGPVYKGQLHDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDEENCLTLSGFAWIQWTEDNIPSLIDPGIYDPNLRKYFYRCIHIGLLCVQEFAADRPTMATVISMLNSEIADLPPPRKPAFILREYMLSSLSSVRENDLNSLNIVSISDIQGR >Vigun05g130600.3.v1.2 pep primary_assembly:ASM411807v1:5:15148250:15152710:-1 gene:Vigun05g130600.v1.2 transcript:Vigun05g130600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKCTNLFFVFVVTCFLSSDAVTATDTISSSQFIKDNETITSTGGNFTLGFFTPQNSTNRYVGIWWQPKFTVIWVANRNQPLNDSSGLVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSDRTIWESFQHPSDAMLPNMKISTNKVTGEKVKQTSWKSPSDPSTGSFSLSVERLSIPEVFIWNETRPFWRTGPWNSKIFTGLPYMKTYYLEGIHIGDDGEGNVEFLYKEVEEVGFIIYILNSTGNCEERWWNGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESAPTCSCLKGFEPKNKEEWNRKNWTSGCFRRTPLQCERASNQNKSADNTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELEKERNNKIIIIVSVTVGSTLVLICAYMMWRTISTRHVEIIKRLLQFNGGGAPAEYTNDNAFCDLSQVKLQELLTFTIEKLVTATNKFHPSNKLGQGGFGPVYKGQLHDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDEENCLTLSGFAWIQWTEDNIPSLIDPGIYDPNLRKYFYRCIHIGLLCVQEFAADRPTMATVISMLNSEIADLPPPRKPAFILREYMLSSLSSVRENDLNSLNIVSISDIQGR >Vigun05g130600.6.v1.2 pep primary_assembly:ASM411807v1:5:15148251:15152709:-1 gene:Vigun05g130600.v1.2 transcript:Vigun05g130600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKCTNLFFVFVVTCFLSSDAVTATDTISSSQFIKDNETITSTGGNFTLGFFTPQNSTNRYVGIWWQPKFTVIWVANRNQPLNDSSGLVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSDRTIWESFQHPSDAMLPNMKISTNKVTGEKVKQTSWKSPSDPSTGSFSLSVERLSIPEVFIWNETRPFWRTGPWNSKIFTGLPYMKTYYLEGIHIGDDGEGNVEFLYKEVEEVGFIIYILNSTGNCEERWWNGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESAPTCSCLKGFEPKNKEEWNRKNWTSGCFRRTPLQCERASNQNKSADNTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELEKERNNKIIIIVSVTVGSTLVLICAYMMWRTISTRHEIIKRLLQFNGGGAPAEYTNDNAFCDLSQVKLQELLTFTIEKLVTATNKFHPSNKLGQGGFGPVYKGQLHDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDEENCLTLSGFAWIQWTEDNIPSLIDPGIYDPNLRKYFYRCIHIGLLCVQEFAADRPTMATVISMLNSEIADLPPPRKPAFILREYMLSSLSSVRENDLNSLNIVSISDIQGR >Vigun05g130600.4.v1.2 pep primary_assembly:ASM411807v1:5:15148251:15152709:-1 gene:Vigun05g130600.v1.2 transcript:Vigun05g130600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKCTNLFFVFVVTCFLSSDAVTATDTISSSQFIKDNETITSTGGNFTLGFFTPQNSTNRYVGIWWQPKFTVIWVANRNQPLNDSSGLVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSDRTIWESFQHPSDAMLPNMKISTNKVTGEKVKQTSWKSPSDPSTGSFSLSVERLSIPEVFIWNETRPFWRTGPWNSKIFTGLPYMKTYYLEGIHIGDDGEGNVEFLYKEVEEVGFIIYILNSTGNCEERWWNGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESAPTCSCLKGFEPKNKEEWNRKNWTSGCFRRTPLQCERASNQNKSADNTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELEKERNNKIIIIVSVTVGSTLVLICAYMMWRTISTRHVEIIKRLLQFNGGGAPAEYTNDNAFCDLSQVKLQELLTFTIEKLVTATNKFHPSNKLGQGGFGPVYKGQLHDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDEENCLTLSGFAWIQWTEDNIPSLIDPGIYDPNLRKYFYRCIHIGLLCVQEFAADRPTMATVISMLNSEIADLPPPRKPAFILREYMLSSLSSVRENDLNSLNIVSISDIQGR >Vigun05g130600.5.v1.2 pep primary_assembly:ASM411807v1:5:15148250:15152710:-1 gene:Vigun05g130600.v1.2 transcript:Vigun05g130600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTKCTNLFFVFVVTCFLSSDAVTATDTISSSQFIKDNETITSTGGNFTLGFFTPQNSTNRYVGIWWQPKFTVIWVANRNQPLNDSSGLVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSDRTIWESFQHPSDAMLPNMKISTNKVTGEKVKQTSWKSPSDPSTGSFSLSVERLSIPEVFIWNETRPFWRTGPWNSKIFTGLPYMKTYYLEGIHIGDDGEGNVEFLYKEVEEVGFIIYILNSTGNCEERWWNGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESAPTCSCLKGFEPKNKEEWNRKNWTSGCFRRTPLQCERASNQNKSADNTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELEKERNNKIIIIVSVTVGSTLVLICAYMMWRTISTRHEIIKRLLQFNGGGAPAEYTNDNAFCDLSQVKLQELLTFTIEKLVTATNKFHPSNKLGQGGFGPVYKGQLHDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDEENCLTLSGFAWIQWTEDNIPSLIDPGIYDPNLRKYFYRCIHIGLLCVQEFAADRPTMATVISMLNSEIADLPPPRKPAFILREYMLSSLSSVRENDLNSLNIVSISDIQGR >Vigun06g150400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27524229:27524564:-1 gene:Vigun06g150400.v1.2 transcript:Vigun06g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVAPVALMLSLNLLLFSMVSCNAQIAHHNKPIKCPAVQVCANILQPPYQPDRNCCPLISGLVDLDAAVCLCAVLKLNVGGIITVNLDLLVNLLLNACGRKQTTYTCK >VigunL059151.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000345.1:26284:31647:1 gene:VigunL059151.v1.2 transcript:VigunL059151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRRRPWPTHGGRHAVAGLGPALLAPAHRRGRPSRWFLELLRCRADEPRRRPGRPAPRPGRGPRHRPRPGRHRRHRRQGATGRELKDLPVAALRLPADLVEGLGRLGFDTVGELEATPKGPLTHRLGLEPVRRLDQAHGRQAEPIEPVMAPQTPHRAARPGPGRARRRLLARRLAPPHPLLPPPRADRDRGPAARRPAGRLHLARDPPAAHLALTLRRRPGDALRLHQFARSQDILCQGREIEERHAHDIGLDLSDRRLRLTLELAQQLLNTPRHFSQHPGGFVLTEDRLKRGLDLLAQHKGIHRDLATIPPEDPRTYAMIRRADTLGVFQIESRAQMAMLPRLKPRSFYDLVIEVAIVRPGPIQGDMVHPYLRRHRRPRTQRAGRGPEGHDRGPGDHRGLQPRRPDPAPPPAGPAARRPRRPQARPLSRGPGPAGSAPRSSPPHARPI >Vigun05g018900.8.v1.2 pep primary_assembly:ASM411807v1:5:1540259:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEIGTQLLNQYLQFGTYLQHHGYTPKWSPWGFPSLSRSAGMEF >Vigun05g018900.1.v1.2 pep primary_assembly:ASM411807v1:5:1534405:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEAIEVAQIMDEALDTNKIEVVLRCIKIAESRVSTDFPDRYSTSESVSSIRHLFTASWVYSKVVTVGISFLEQERRYTDAINLLRWLLNGFPSDLRRGYWTLRLSIDLEHLGFIDESLQVAENGLLDPWIRAGSRMALQRRVLRLGKPPRRWKVPSFSRSALQKIPQVFVQGRPLNSDLGGKSRYYNEEGKQCGVEELALNYYAADGGGWQGVHAESGIWLTIFGLLMWDVVYADVPNVFYTRFQNAPLDFGTDGFYTSRKSVIESHLQQIRDGMAEEFLIKSWETHIGTACRGVNWDSHSLDVLRAVVTCVGGTCLASLCQLLAQDYRSWSSGMPDLLLWRFHGEYSGEAKLVEVKGHSDRLSEQQRAWLLLLMDCGFSVEQYSWKEEERLYTTYELLYSRPKVVIGAQPEEEGISSSILTVASSYIYFRLSNLKYIFKF >Vigun05g018900.3.v1.2 pep primary_assembly:ASM411807v1:5:1534405:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEAIEVAQIMDEALDTNKIEVVLRCIKIAESRVSTDFPDRYSTSESVSSIRHLFTASWVYSKVVTVGISFLEQERRYTDAINLLRWLLNGFPSDLRRGYWTLRLSIDLEHLGFIDESLQVAENGLLDPWIRAGSRMALQRRVLRLGKPPRRWKVPSFSRSALQKIPQAFSLWLS >Vigun05g018900.2.v1.2 pep primary_assembly:ASM411807v1:5:1534405:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEAIEVAQIMDEALDTNKIEVVLRCIKIAESRVSTDFPDRYSTSESVSSIRHLFTASWVYSKVVTVGISFLEQERRYTDAINLLRWLLNGFPSDLRRGYWTLRLSIDLEHLGFIDESLQVAENGLLDPWIRAGSRMALQRRVLRLGKPPRRWKVPSFSRSALQKIPQVFVQGRPLNSDLGGKSRYYNEEGKQCGVEELALNYYAADGGGWQGVHAESGIWLTIFGLLMWDVVYADVPNVFYTRFQNAPLDFGTDGFYTSRKSVIESHLQQIRDGMAEEFLIKSWETHIGTACRGVNWDSHSLDVLRAVVTCVGGTCLASLCQLLAQDYRSWSSGMPDLLLWRFHGEYSGEAKLVEVKGHSDRLSEQQRAWLLLLMDCGFSVEVCKVKPL >Vigun05g018900.5.v1.2 pep primary_assembly:ASM411807v1:5:1542203:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEIGTQLLNQYLQFGTYLQHHGYTPKWSPWGFPSLSRSAVAVKYKIRGRRFSSITHRTELIQTQLYKP >Vigun05g018900.7.v1.2 pep primary_assembly:ASM411807v1:5:1536402:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEIGTQLLNQYLQFGTYLQHHGYTPKWSPWGFPSLSRSAGTLTQLICSDGC >Vigun05g018900.6.v1.2 pep primary_assembly:ASM411807v1:5:1534405:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEIGTQLLNQYLQFGTYLQHHGYTPKWSPWGFPSLSRSAGTLTQLICSDGC >Vigun05g018900.4.v1.2 pep primary_assembly:ASM411807v1:5:1540244:1548248:-1 gene:Vigun05g018900.v1.2 transcript:Vigun05g018900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGRESLIRLIGRRRRFLPNRRSILSYPIPNPNPNPNLSPNPSPNPVAEEPSQQPDQNGDVQCPVCGCNLPGDDHNRINSHLDACLSHSQPKPATKRKLSQRTLLELNFSPSNSKPKLQSLTHESDSASFLPLPNNGCEEEEKCDEQELPQKHETVVNTTIVATSSSSSPVNSGVPDDSKPVDVFVPDDSKPVDVLVPDDSKPVDVLGATLETFIVGRRHADNPDEICAGTAISLLRDPENVKDPNAVKVVSGDSACCKSLGFLPRHLAQYLSPLIDNYGLGFQGHVTSVPKHSLEIVPIQVTCHKTADGESKHADETFICLWKNVQHVVKFESRNPPSSVKYQLNFCLMLQEVLRNNIHLLTEDEKTYMDSFTLLSNDSQRLFIRLHTRKGPWFRMSSISYSEIVDTQKAVKELAEKEYIHFIEGANQLCMSDVKDILHVLNVSELREIWSISLKKGGGHGLKKQHLISSIISRDAGVPWSQLSTMILERSGSCIRISSKAESLMWRIERLFFLNGEQDLSSFLLVDMGKIKYPAYNCIISEPIFSNRRNLLSYEEAIEVAQIMDEALDTNKIEVVLRCIKIAESRVSTDFPDRYSTSESVSSIRHLFTASWVYSKVVTVGISFLEQERRYGVLRFISKLLNLVQMDT >VigunL008501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:100445:100606:1 gene:VigunL008501.v1.2 transcript:VigunL008501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSLCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >Vigun08g169800.1.v1.2 pep primary_assembly:ASM411807v1:8:34058562:34063403:1 gene:Vigun08g169800.v1.2 transcript:Vigun08g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLSNLAFKTPQLFPLHHPIFPPKPLLQHQVSTQSHVNVLKGSGYLSEISKKIDNEEQYRIARSQVNRKVLELEGYSIEGISVGGQETCIIIPEFKCTFDIGRCPSRAINQNFLFITHAHLDHIGGLPMYVASRGLFNLKPPTIFVPPCIKEDVEKLLDIHRTMGQVELNAEVVALDVGETYEIRNNLVVRPFSTQHVIPSQGYVFYSIRKKLRKQYAHLNGKQIEKLKKAGAEITDTILSPEVAFTGDTTPDFMLDPCNSDALRAKILITEATFLDDSFSIDHARQHGHTHLFEIIANAQWIRNKAVLLTHFSPRYTLEDIRQAASKLQSRLSAKVVPLTEGFKSMYT >Vigun09g019300.1.v1.2 pep primary_assembly:ASM411807v1:9:1483701:1487951:1 gene:Vigun09g019300.v1.2 transcript:Vigun09g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGASTTPTPSAKVSAIFIYPIKSCRGISVSHAPLIHTGFRWDRKWMVVNPHGRMYTQRVEPRLALVEVELPSEAFLENWEPTQESYMVVKAPGMQALKVCLSKQEQEVADAVTVWEWTGSAWDEGAEASQWFSDYLGKPCQLVRFNTASEVRKVDPDYVKGQHDTLFTDGYPFLLASQESLNALNEHLKETISINRFRPNILVEGCEPFSEDIWTEIKISKFSFFGVKLCYRCKMPTINQGTGIAEPETNEILMKTRSGEIIRPNDKNKKKVYFGQYMTWNWTEFSAERSGKIIEVGDPVYVLRKVSSSAEAAA >Vigun09g019300.2.v1.2 pep primary_assembly:ASM411807v1:9:1483701:1487951:1 gene:Vigun09g019300.v1.2 transcript:Vigun09g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGASTTPTPSAKVSAIFIYPIKSCRGISVSHAPLIHTGFRWDRKWMVVNPHGRMYTQRVEPRLALVEVELPSEAFLENWEPTQESYMVVKAPGMQALKVCLSKQEQEVADAVTVWEWTGSAWDEGAEASQWFSDYLGKPCQLVRFNTASEVRKVDPDYVKGQHDTLFTDGYPFLLASQESLNALNEHLKETISINRFRPNILVEGCEPFSEDIWTEIKISKFSFFGVKLCYRCKVLYNVPFIIMRLKNVEHMTYPDTVHCWE >Vigun07g212250.1.v1.2 pep primary_assembly:ASM411807v1:7:33347126:33347748:1 gene:Vigun07g212250.v1.2 transcript:Vigun07g212250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGSKTLFPFVSLHAAILAPTPKPLHMAPASESMLFVYSHYYCSRKFLSQWAQRFRIFLLGHLTPFGSLWSLVPFC >Vigun04g003200.1.v1.2 pep primary_assembly:ASM411807v1:4:230463:233609:1 gene:Vigun04g003200.v1.2 transcript:Vigun04g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVNTRLKREQCNRTKHDSSFSHWKILIGPSDWEDHSKGKEGCARYRIHNLPQESNPGVYELGIAVINGGLGREISKLAPHSHRIVVVYLGQADNVRTRLQRYGRTGAHLHSGCSNGSSPQKGRPLFEEVFSQGFSIVYRWAPMQNKEDALRTEAQLLSTFDYAWNTSSNGIRRPEEILQKLQKIASATRTLSDVAKVLLPFTQKQVGIRIKSSKAPQADDKLDKADNESYNFLSRVFSFNRSRPRIVQSVTGFVQEQENAKICGVALDDGLICQRPPAEKRLRCPEHKGMRANVSIAEATRVPKSESNIDESLTDTNVCGIILNDGSTCRRQPVKGRKRCHEHKGRRVGAFVQNKGNGYRYQNVSYDVKNSLQTLVGSPVDESISNTDICGIILNDGSACTRQPVKGRKRCHEHKGRRIHASFHVNQK >Vigun09g225500.2.v1.2 pep primary_assembly:ASM411807v1:9:39816599:39821810:1 gene:Vigun09g225500.v1.2 transcript:Vigun09g225500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAILKKLVSSTKDHSHSRRLSYVSHSFARTKQENLIGNNRHHACNVTSRSISVEALKPSDTLPRRHNSATSDELTKMAQTCGFGNVNSLIDATIPKSIRRKEMSFNTFDEGLAESEMTLHMKSLESKNKCFKSYIGMGYYNTHVPPVIFRNIMENPAWYTQYTPYQAEISQGRLESLMNYQTMVTDLTALPMANSSLLDEGTAAAEAMSMCNNIHRGKRKTFAIANNCHPQTIDICMTRATGFGIKVVVVDVNDVDYGSGDVCGVLVQYPGTEGEVLDYGEFVKEAHAHGVKVVMAADLLGLTMLKPPGELGVDIVVGSTQRFGVPMGYGGPHAAFLATSQEYKRLVPGRIIGLSVDSCGKSAFRMALQTREQHIRRDKATSNICTAQALLANMAAMYVVYHGPEGLKTIAQRVHGLAGVFALGLKKLGTVEVQDLPFFDTVKIKTTNAQEIVDVARKSEINLRVVDGNTITVAFDETTTLEDVDNLFKVFSNGKHVSFTATSLAPEVQNAIPSGLIRKSPYLTHPVFNMYHTEHEMLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPNFANIHPFAPIEQAQGYQEMFKNLGSMLCAITGFDSFSLQPNAGAAGEYAGLMIIRAYHLARGDHHRNICIIPVSAHGTNPASAAMCGMKIVSIGTDAKGNINIEELRKAAETHKDNLSTLMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGRIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPSPDKRQPVGTISAAPWGSALILPISYSYIAMMGSKGLTEASKTAILKANYMAKRLETHYPVLYRGANGTVAHEFIIDLRGFKNTTGIEPEDVAKRLIDYGFHGPTMSFPVSGTLMIEPTESESKTGFVMLLFPFGKKLLRLRMERLTSKTMY >Vigun09g225500.1.v1.2 pep primary_assembly:ASM411807v1:9:39816599:39821809:1 gene:Vigun09g225500.v1.2 transcript:Vigun09g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAILKKLVSSTKDHSHSRRLSYVSHSFARTKQENLIGNNRHHACNVTSRSISVEALKPSDTLPRRHNSATSDELTKMAQTCGFGNVNSLIDATIPKSIRRKEMSFNTFDEGLAESEMTLHMKSLESKNKCFKSYIGMGYYNTHVPPVIFRNIMENPAWYTQYTPYQAEISQGRLESLMNYQTMVTDLTALPMANSSLLDEGTAAAEAMSMCNNIHRGKRKTFAIANNCHPQTIDICMTRATGFGIKVVVVDVNDVDYGSGDVCGVLVQYPGTEGEVLDYGEFVKEAHAHGVKVVMAADLLGLTMLKPPGELGVDIVVGSTQRFGVPMGYGGPHAAFLATSQEYKRLVPGRIIGLSVDSCGKSAFRMALQTREQHIRRDKATSNICTAQALLANMAAMYVVYHGPEGLKTIAQRVHGLAGVFALGLKKLGTVEVQDLPFFDTVKIKTTNAQEIVDVARKSEINLRVVDGNTITVAFDETTTLEDVDNLFKVFSNGKHVSFTATSLAPEVQNAIPSGLIRKSPYLTHPVFNMYHTEHEMLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPNFANIHPFAPIEQAQGYQEMFKNLGSMLCAITGFDSFSLQPNAGAAGEYAGLMIIRAYHLARGDHHRNICIIPVSAHGTNPASAAMCGMKIVSIGTDAKGNINIEELRKAAETHKDNLSTLMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGRIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPSPDKRQPVGTISAAPWGSALILPISYSYIAMMGSKGLTEASKTAILKANYMAKRLETHYPVLYRGANGTVAHEFIIDLRGFKNTTGIEPEDVAKRLIDYGFHGPTMSFPVSGTLMIEPTESESKAELDRFCDALISIRQEIAEIENGKADIKNNVLKGAPHPPSLLMADTWTKPYSREYAAFPASWLRFSKFWPSTGRIDNVYGDRNLVCTLPTDSKVAEEQSSTTT >Vigun10g135933.1.v1.2 pep primary_assembly:ASM411807v1:10:34838399:34840383:1 gene:Vigun10g135933.v1.2 transcript:Vigun10g135933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGECGFNEKTKWIGQMNGIILDRHRERIQGTPFKWCLDMQKPLKICNPLLLELLKRWLPAQESFRVMQRSIPFTCADICMSLGLSVVGLDVGFDKTVCGVVGGLLQDKIVTVETIIEIIQSLVGSDCNEVDNVCRLYIFVCFAVFYFPRNSKTISNIPCSVLDDIDGLSNYNWGKTVHSFLVKSLSRAFLALGQTELCLSGAAPIWAVERLSLGGPELQLIFPRILAWPEVHFKSRRIEKLFQESKICVEWRLREEDKQNIMIREALQLGDEAKSEKSHVDIKLASTKVLLQRLRTHRTRLRMMKDEMTLMREEISLRCHSGIDKGVQHGQGNDGDEVEVDVGERDTDEQLPADDGNVVEGSSGGDVGSGLDMNRASHGGISDGVEPLQAIVPYVPQQPRMTQFKVDYMKLYSSITVFGVPNRVVCNINGQILGTNECWGFGPRKKVDNMAVLFAASTMMFFERRRYGHVKRIHFSPLYTIIYNVFMYVIIVDPCTD >Vigun01g185300.1.v1.2 pep primary_assembly:ASM411807v1:1:36549970:36553650:-1 gene:Vigun01g185300.v1.2 transcript:Vigun01g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLRSRDGLERVIVENPHTTTVSELKRIIEAQLRIPVHNQTLSTNQNLLLAKSREDLHRFTDMANPDLTLSSLNLAHGSIVFLTYEGERHVAGPAFNPAGSFGRKMTMDDLIAKQMRVTRQENPHCELVSFDRDCANAFQHYVNDTLAFAVKRGGFMYGTVSEEGKVEVDFIYEPPQQGSEENLLFFRDPEEEKLVEAIAAGLGMRRVGFIFTQTISQDKKDYTLSNREVLQAAEYHAESGLKEWVTAVVKLEVNEDMGADVHFEAFQISDVCVRLFKEGWFETEIKDDDDPKLSKMKKDVVVGVKDTKEVDNDFFLVVVKISDHQGPLSSSFPIENRNTQMTMKALRNHLDRTKSLPFVKRISDFHLLLVLARVLDLNADVPALTACVQTQTSIPEGYQILIESMANAA >Vigun07g208900.1.v1.2 pep primary_assembly:ASM411807v1:7:33055142:33059048:-1 gene:Vigun07g208900.v1.2 transcript:Vigun07g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRSWKGTVDGVAVDNALSGSSRHANGHANSEAGMGYQSIGPPRSIDSNSNVLPDDDDLDKHQRESFSFTGLENVSYGSMADDINDGIPRLSRALSHKSKSKQAAVKYQK >Vigun02g136200.1.v1.2 pep primary_assembly:ASM411807v1:2:28589548:28591035:1 gene:Vigun02g136200.v1.2 transcript:Vigun02g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYNINFNYCYFALVVLVVFIPNFGVAKQSKIDGMTMNVIDRCWRLNPEWRINRPQLATCSIGYTGKMTNNTGKDLVHYKVIDPNDDPVSPKPGTLRYGASVIQRKVWITFEKDMHIKLERPLLISSFTTIDGRGVNVDIAENACLMIFKATNVIIHNIRLHHCKPQAPGIVMGPEGKVIPLGHVDGDAIRLITASKIWIDHNTLYNCQDGLLDVTRGSTDVTISNNWFRNQDKVMLLGHDDGYIRDQNMKVTVIYNHFGPNCNQRMPRIRHGYAHVVNNLYLGWVQYAIGGSMRPSLKSEANLFIAPTKGSKEVTWRKNNHANEDAWEFYSVKDAFENGASFTMTRGGEVPKPKYSEEQCFNVVNVKYVRLLTRSSGVLQCTKTFLC >Vigun08g025200.3.v1.2 pep primary_assembly:ASM411807v1:8:2173009:2178494:-1 gene:Vigun08g025200.v1.2 transcript:Vigun08g025200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNLFGVNYLLLKPEEATVFDLGTLLFSSKLSNRRFIECPEGVEAEYFRQRWLIFTSVVAQILLLASRNSLKRFGDMLEFWINLLSWNGGFKGLFFNILRGKVKIPEKSSASYLSVVGCIDTRVDLDKNIKQNDAKYEGSLSMMASKLSYENENVVCNAVQNHWNMEFLGLHSFWNDYQEQWSTKAIILQDTKSEPKLIVVAFRGTEPFDADQWRTDVDLSWYNFANVGRIHVGFMKALGLQKNFGWPKEIDQTNPYSYAYYTIREKLRTMLKENTDAKFILTGHSLGGALAILFAALLTIHEETSLLEKLEGVYTFGQPRVGDYEFGEFMKDKLRKYNVRFKRF >Vigun08g025200.2.v1.2 pep primary_assembly:ASM411807v1:8:2173009:2178497:-1 gene:Vigun08g025200.v1.2 transcript:Vigun08g025200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNLFGVNYLLLKPEEATVFDLGTLLFSSKLSNRRFIECPEGVEAEYFRQRWLIFTSVVAQILLLASRNSLKRFGDMLEFWINLLSWNGGFKGLFFNILRGKVKIPEKSSASYLSVVGCIDTRVDLDKNIKQNDAKYEGSLSMMASKLSYENENVVCNAVQNHWNMEFLGLHSFWNDYQEQWSTKAIILQDTKSEPKLIVVAFRGTEPFDADQWRTDVDLSWYNFANVGRIHVGFMKALGLQKNFGWPKEIDQTNPYSYAYYTIREKLRTMLKENTDAKFILTGHSLGGALAILFAALLTIHEETSLLEKLEGVYTFGQPRVGDYEFGEFMKDKLRKYNVRFKRYVYCNDVVPRVPYDDKNLFYKHFAPCLYFNSFYRGQVLEEEPNKNYFSVFWVIPKLLNAVWELIRGFLPALLVGESYTQNWFMTIMRIFGCMIPGIPEHLPPDYVNVTRLGTLPQNSQDSKTD >Vigun08g025200.1.v1.2 pep primary_assembly:ASM411807v1:8:2173009:2178494:-1 gene:Vigun08g025200.v1.2 transcript:Vigun08g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNLFGVNYLLLKPEEATVFDLGTLLFSSKLSNRRFIECPEGVEAEYFRQRWLIFTSVVAQILLLASRNSLKRFGDMLEFWINLLSWNGGFKGLFFNILRAGKVKIPEKSSASYLSVVGCIDTRVDLDKNIKQNDAKYEGSLSMMASKLSYENENVVCNAVQNHWNMEFLGLHSFWNDYQEQWSTKAIILQDTKSEPKLIVVAFRGTEPFDADQWRTDVDLSWYNFANVGRIHVGFMKALGLQKNFGWPKEIDQTNPYSYAYYTIREKLRTMLKENTDAKFILTGHSLGGALAILFAALLTIHEETSLLEKLEGVYTFGQPRVGDYEFGEFMKDKLRKYNVRFKRYVYCNDVVPRVPYDDKNLFYKHFAPCLYFNSFYRGQVLEEEPNKNYFSVFWVIPKLLNAVWELIRGFLPALLVGESYTQNWFMTIMRIFGCMIPGIPEHLPPDYVNVTRLGTLPQNSQDSKTD >Vigun03g245400.1.v1.2 pep primary_assembly:ASM411807v1:3:40836014:40841407:1 gene:Vigun03g245400.v1.2 transcript:Vigun03g245400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSIQKSPNNRNSWKLLEETKTIAMTTSLCTPTYSLNLNFYTAHSHKLNAKSSVHYGRRFGTATRICCASNNLNDDNYNKQQRPQSEAIQVYSRIERLLTDSVRQSQDGWWGSGDWSEVEGAWILKPKSSRPNFVVHFIGGIFVGAAPQLTYRWFLERLSEKGILIIATPYASGFDHFLIADEVQFKFDRCYRALQETIEDLPIFGVGHSLGSVVHLLIGSRYAVQRSGNVLMAFNNKEASSAVPLFSPVLVPMAQTLGPLLSDIFSSPTLRVGAEMTLKQLENVSPPIMKQVLPLVEQLPPLYMDLVKGREEFSPKPEETRRLIKSYYGISRNLLIKFKDDLIDETSTLAQVLSSESAISSVLDMSIRKLAGDHGLPLQQALPDVPPAMADAVNRGSELLSNLTVGTPWETVAKEVGNSLGMDSRVLRAEVSKDMDMLVDVIASWIASNAGPKLLRP >Vigun09g266300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43004081:43005469:-1 gene:Vigun09g266300.v1.2 transcript:Vigun09g266300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEINTKSSLHTRSNSFPSAPHPVISQYEEHLQRLKDCEAASSLSHKLDGLLDLHDCTDKLLHLTSKQQVLARECSDKCVDGLLEGSLRLLDICSTAKECLLISKESLHELHSVIRRRKGDETVCTIEGGKYLASRNKLKKAIRKALRNLKAIKSESALFPSNKVLSILTEAEEITMKSLESLLVFICDPKGQPKQSKWSAISKLMQPKRVSCDSQESKTNEFETVDATLLSLLRHKSSSMVYLQSHLENLEICIQDLELGVEHLSRKLIRNRVSLLNIFNH >Vigun10g016900.1.v1.2 pep primary_assembly:ASM411807v1:10:1895275:1896625:-1 gene:Vigun10g016900.v1.2 transcript:Vigun10g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPSPPSPSSSSSSIPSPRSTMFYYARSENHYEEPHFLQACFLCRKPLGQNRDIFMYRGNTPFCSKECRQEQIEIDEAKEKSWKVSSKRGVRKSENSQNNSTTNKAVRTGTVAVA >Vigun03g269000.2.v1.2 pep primary_assembly:ASM411807v1:3:44061264:44064799:-1 gene:Vigun03g269000.v1.2 transcript:Vigun03g269000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREQAENASGGPPVKKQSAGELRLHKDISELNLPTSCIIRFPNGKDDLMNFEVSIQPDDGYYMGGTFLFTFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHEAAAVLRENPKLFESNVRRAMAGGYVGQTYFTRCM >Vigun03g269000.4.v1.2 pep primary_assembly:ASM411807v1:3:44061264:44064800:-1 gene:Vigun03g269000.v1.2 transcript:Vigun03g269000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREQAENASGGPPVKKQSAGELRLHKDISELNLPTSCIIRFPNGKDDLMNFEVSIQPDDGYYMGGTFLFTFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHEAAAVLRENPKLFESNVRRAMAGGYVGQTYFTRCM >Vigun03g269000.1.v1.2 pep primary_assembly:ASM411807v1:3:44061264:44064799:-1 gene:Vigun03g269000.v1.2 transcript:Vigun03g269000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREQAENASGGPPVKKQSAGELRLHKDISELNLPTSCIIRFPNGKDDLMNFEVSIQPDDGYYMGGTFLFTFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHEAAAVLRENPKLFESNVRRAMAGGYVGQTYFTRCM >Vigun08g219500.3.v1.2 pep primary_assembly:ASM411807v1:8:37870588:37872408:1 gene:Vigun08g219500.v1.2 transcript:Vigun08g219500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSMKEGSAEVVSDEEAYLFAMELAGASAVPMVLKSALELGILETIAKAGPGTFLSPSQIASQIPNIKNPYAPAMLDRMFRLLVSYNILTVQFHDGDEAERFYGLHPKAKYLVNNEDGVSVAAYFLMEQDKVLKEMYKLTESVEESGFPFQNAYGMNLFEFHDANPRFNGLFNKGLSDCSSITMKKILDTYEGFEGVGSLVDVGGGTGAIISMIASKYSSMKCVNFDLPHVIKEAPPYTGVEHVGGDMFVSVPKADAIFMKWVCHDWSDEQCLKLLKKCYDSVPDTGKVILVEGLIPETPDSKLASKCEFQMDIVMLCHSPNGKERTEKEYEALAKGAGFHGFRIACCVFNTYVMEFLKKA >Vigun08g219500.2.v1.2 pep primary_assembly:ASM411807v1:8:37870588:37872408:1 gene:Vigun08g219500.v1.2 transcript:Vigun08g219500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSMKEGSAEVVSDEEAYLFAMELAGASAVPMVLKSALELGILETIAKAGPGTFLSPSQIASQIPNIKNPYAPAMLDRMFRLLVSYNILTVQFHDGDEAERFYGLHPKAKYLVNNEDGVSVAAYFLMEQDKVLKEMWYKLTESVEESGFPFQNAYGMNLFEFHDANPRFNGLFNKGLSDCSSITMKKILDTYEGFEGVGSLVDVGGGTGAIISMIASKYSSMKCVNFDLPHVIKEAPPYTGVEHVGGDMFVSVPKADAIFMKWVCHDWSDEQCLKLLKKCYDSVPDTGKVILVEGLIPETPDSKLASKCEFQMDIVMLCHSPNGKERTEKEYEALAKGAGFHGFRIACCVFNTYVMEFLKKA >Vigun08g219500.1.v1.2 pep primary_assembly:ASM411807v1:8:37870588:37872408:1 gene:Vigun08g219500.v1.2 transcript:Vigun08g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSMKEGSAEVVSDEEAYLFAMELAGASAVPMVLKSALELGILETIAKAGPGTFLSPSQIASQIPNIKNPYAPAMLDRMFRLLVSYNILTVQFHDGDEAERFYGLHPKAKYLVNNEDGVSVAAYFLMEQDKVLKEMWYILLTCVEGNKQHELNMRYKLTESVEESGFPFQNAYGMNLFEFHDANPRFNGLFNKGLSDCSSITMKKILDTYEGFEGVGSLVDVGGGTGAIISMIASKYSSMKCVNFDLPHVIKEAPPYTGVEHVGGDMFVSVPKADAIFMKWVCHDWSDEQCLKLLKKCYDSVPDTGKVILVEGLIPETPDSKLASKCEFQMDIVMLCHSPNGKERTEKEYEALAKGAGFHGFRIACCVFNTYVMEFLKKA >Vigun10g034550.1.v1.2 pep primary_assembly:ASM411807v1:10:4620123:4621992:1 gene:Vigun10g034550.v1.2 transcript:Vigun10g034550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSLSLSFFKSAPHFIYDAFINFEGKDIGKRFVSHLHSALLQAQVKTLISEENLEGMKLEEHKRAIAGSKIAIIVFTKTYTKFINCLLELEKIIECHQTFGQIVVPVFYDIEAFDVRHHKNDFGKVLEETAHKSYSREQVEHALSRWSGALTKAADITGCDVRDFRHDAEHVERIVLNIQILLNYIDLFTAQFPVGLESHVEKVIGCIENNSTKVCMIGIWRMGGSGKTTLGKAIYN >Vigun04g152500.1.v1.2 pep primary_assembly:ASM411807v1:4:36945657:36950974:-1 gene:Vigun04g152500.v1.2 transcript:Vigun04g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGFSSSSTAEEVTLGIHGHALTAIVTGTTHGIGIETARVLALRGVHVIMAVRNVVAAKSVKEEILKEIQTAKIDVMELDLSSMASVTKFASEFISSGFPLNILINNAGITATPFSLSRDNIELQFATNHLGHFLLTHLLLDTMKKTASVSKREGRIVNVSSDGHQYTYPEGIRFDKINDESSFQLWHAYGQSKLANILHANELARRLKEDRVDITANSLHPGAILTNIYKPEISGAVPTDLINMLGNYEMKSIQQGAATTCYVALHPQVKGISGEYFSDNNVAEASSLAKDTDLAKKLWDFSMKIIY >Vigun04g084000.3.v1.2 pep primary_assembly:ASM411807v1:4:13365461:13369820:-1 gene:Vigun04g084000.v1.2 transcript:Vigun04g084000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRHGHKEDCVRPKYQCLTPRHRRFRRVSVSGALPTRGSAAPTPCGIGDESEDLAWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLR >Vigun04g084000.2.v1.2 pep primary_assembly:ASM411807v1:4:13365461:13369820:-1 gene:Vigun04g084000.v1.2 transcript:Vigun04g084000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRHGHKEDCVRPKYQCLTPRHRRFRRVSVSGALPTRGSAAPTPCGIGDESEDLAWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun04g084000.1.v1.2 pep primary_assembly:ASM411807v1:4:13365348:13369820:-1 gene:Vigun04g084000.v1.2 transcript:Vigun04g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEGLKIILRRKKVAVWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun08g026500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2350204:2351759:-1 gene:Vigun08g026500.v1.2 transcript:Vigun08g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSLFLHNANPIHFTFHSAFSQTQRRHVASNKFLSQRYPRIQCCCIEIDMVKNSQGIYAPKSDKVVVLWDLDNKPPRGPPYDAAVSLKTLASRFGEVTDFSAYANRHAFVHLPQWVLEERRERRNLDILERKGVVTPSEPYTCGVCGRKCKTHMDLKKHFKQLHQREREKKLNRLKSLKGKKKRDRFKERFLRGNHKYNEAARTLLVPKVGYGLAAELRRAGVFVKTVQDKPQAADWALKRQMVHSMSRGIDWLFLVSDDSDFSEMLRRAREADLGTVVVGDWDRALGRHADLWVPWNAVENGEVELVPKRKKRREHGFQNDDDDDHDRGDWGGLNEDEELGQEFRLLHSEDEDEDVGNYYYYDDDDDDDEEEEEEDGLYIF >Vigun08g061800.1.v1.2 pep primary_assembly:ASM411807v1:8:8363703:8366602:-1 gene:Vigun08g061800.v1.2 transcript:Vigun08g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTRSIQKDQHQPCKMGISEANFESYSQAHALGSNVKSNSIFNAPLLFVGMGPKGLLDSDSVKSPTSPLDVSFFSNLSNPFRTLSSLSNEGQQRSWDCAKVGLSIIDSLEECSKFSRKILQASESKKTGLSPQMITKAPNCKPYMDMDYAQASKSLPKDFCKIHCTQNGYIFPKGESTVLFEIGETPPEHESFEKTVSVSLDSCSTIRNLSGLTGPNFDSDPENLALKHKCSPPHFIGGSHNKVLIPAELNSNPVAAVSSNEFIESLSASEIELSEDYTCVISHGPNPKTTHIFCDCILETHATDVGKPNKNGEDGKETSLFSVNSMHIPNHFPSEDFLSFCHHCNKKLEEGKDIYIYRGEKAFCSLNCRSVEIMLDEELEKSDSSPENSPEVRI >Vigun08g061800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8363703:8366602:-1 gene:Vigun08g061800.v1.2 transcript:Vigun08g061800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTRSIQKDQHQPCKMGISEANFESYSQAHALGSNVKSNSIFNAPLLFVGMGPKGLLDSDSVKSPTSPLDVSFFSNLSNPFRTLSSLSNEGQQRSWDCAKVGLSIIDSLEECSKFSRKILQASESKKTGLSPQMITKAPNCKPYMDMDYAQASKSLPKDFCKIHCTQNGYIFPKGESTVLFEIGETPPEHESFEKTVSVSLDSCSTIRNLSGLTGPNFDSDPENLALKHKCSPPHFIGGSHNKVLIPAELNSNPVAAVSSNEFIESLSASEIELSEDYTCVISHGPNPKTTHIFCDCILETHATDVGKPNKNGEDGKETSLFSVNSMHIPNHFPSEDFLSFCHHCNKKLEEGKDIYIYR >Vigun10g130400.1.v1.2 pep primary_assembly:ASM411807v1:10:33861287:33864386:-1 gene:Vigun10g130400.v1.2 transcript:Vigun10g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQITSATIAGDNVLAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKDKKELDADVHRKYVFGGHVAAYMKTLNEDEPEKYQSHFSACIKRGIEPDGLEDLYKKVHAAIRADPTFKTSEKQAPKEHKRYNLKKLTYEERKAKLVARLQALNSAAGDDEDEDDE >Vigun03g241400.1.v1.2 pep primary_assembly:ASM411807v1:3:40382397:40386125:-1 gene:Vigun03g241400.v1.2 transcript:Vigun03g241400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLDQQHGNDEHDMSDIMLQLEKNVQSGNGTCCWIWKLQDAPPCKEHVILMIGG >VigunL059315.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:21257:21616:1 gene:VigunL059315.v1.2 transcript:VigunL059315.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g024900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2246755:2249147:1 gene:Vigun07g024900.v1.2 transcript:Vigun07g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPWLVKYQYAATLFFVTMALTKVTCFQFNFPTFEPEDESNLWLHENSKIYLNAIQVTPDIRGPIHDYSGRAFYGKPYQLWKQKKNHNQMASFNTTFVLNITPQTTPGGEGLAFILTSDRTLPQNSQGEWLGIVNATSNGTSQAAILAVEFDTRHSFTEDGPDNHVGININSINSIHQVSLINTRLNLSSGQDVKFHIQFINDIISVFGAMNGTSGESMETLLVTPPLNLSSFLQQEVYLGFSGSTSNYTQLNCVKSWEFSGDDIRDDDKSLLWVYVAVPIVIVLIIVGGLVIFFLRWKKIRHMEGPEDAYPRIEDQIQYSSMAPKKFRLTELTKATGGFSLQNKLGQGGFGTVYKGLLENKEVAVKRVSKNSRQGKQEFVAEVTTIGSLHHKNLVKLTGWCYENRELLLVYEFMPKGSLDKYLFGDKDSGNNYNLEGGYSITLNWETRLGVIHGVAQALDYLHDGCEKRVLHRDIKASNIMLDSDYNAKLGDFGLARTIQKRDETHHSTKEIAGTPGYMAPETFLIGRATVETDVYAFGVLVLEVVCGKRPGNVYAQDDYKNSIVYWVWDLYGKGKVVGGVDARLKKEEIKEEEVECVLVLGLACCHPNPHKRPSMRTVLQVLNGEASPPQVPKERPAFMWPAMPPSFKEGEDSSLIQGTLTPFTEITGR >VigunL008601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:100820:101128:1 gene:VigunL008601.v1.2 transcript:VigunL008601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun03g001900.1.v1.2 pep primary_assembly:ASM411807v1:3:139961:144111:-1 gene:Vigun03g001900.v1.2 transcript:Vigun03g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLFDQDKKKTWKQTVLLSFQIVGIVYGQLSTAPLYVFGTMRASDLAADQEVVYELFSFIFWTLTIISLLKYASIVLKADDEGEGGTVALYSLLCRNAKVGLLPCDRSANEVVLYEEKSSPKIKADSRARRAIEKHKICHYLILFLALFGSCMAIGDAVLTPALSVYSASAGVQRSLTDILNDIFASSEHTKESMSNALKAYVPVPSACVILVGLFMLQHCGTRKIGIMFAPIIAAWLVFVAAVGTYNIFHWDVKIIYKISPFYIYRFITKIDIHRWRLLGSVILCVAGSEAMFAGLGHFSKKSIKITFICFIYPLLLLCYAGQAAYMSKNLTSPDFNHLSQSMPRQCKHLYIVLSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTINGQIYIPDVNWLLMIFSLTVTIGFRDLVKIGNATALAIISGMLVTTSLMALIIALYWEKDVMVSAYFLVCFGFLEAAYLSACLLQFHKGSWYLVVLLAVSMTVMLSWHYGSMKKYEFDLQNKVSTEWLIDISPGLGISRVPGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYIAESERFLIGRIGPKDYKIYRCIVRSGYCDHIRDTGDFEEKIIRSIGEFISIEQNDIESMMGCPDERMIFVGHSRVGGNGLVPLEDLESSSCMVNNESQISPVAEEALESGGSGCKKRKKVRFMLPHNSPKMQVSVRNELLELIDARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGVVCTI >Vigun03g001900.5.v1.2 pep primary_assembly:ASM411807v1:3:139961:143740:-1 gene:Vigun03g001900.v1.2 transcript:Vigun03g001900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASDLAADQEVVYELFSFIFWTLTIISLLKYASIVLKADDEGEGGTVALYSLLCRNAKVGLLPCDRSANEVVLYEEKSSPKIKADSRARRAIEKHKICHYLILFLALFGSCMAIGDAVLTPALSVYSASAGVQRSLTDILNDIFASSEHTKESMSNALKAYVPVPSACVILVGLFMLQHCGTRKIGIMFAPIIAAWLVFVAAVGTYNIFHWDVKIIYKISPFYIYRFITKIDIHRWRLLGSVILCVAGSEAMFAGLGHFSKKSIKITFICFIYPLLLLCYAGQAAYMSKNLTSPDFNHLSQSMPRQCKHLYIVLSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTINGQIYIPDVNWLLMIFSLTVTIGFRDLVKIGNATALAIISGMLVTTSLMALIIALYWEKDVMVSAYFLVCFGFLEAAYLSACLLQFHKGSWYLVVLLAVSMTVMLSWHYGSMKKYEFDLQNKVSTEWLIDISPGLGISRVPGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYIAESERFLIGRIGPKDYKIYRCIVRSGYCDHIRDTGDFEEKIIRSIGEFISIEQNDIESMMGCPDERMIFVGHSRVGGNGLVPLEDLESSSCMVNNESQISPVAEEALESGGSGCKKRKKVRFMLPHNSPKMQVSVRNELLELIDARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGVVCTI >Vigun03g001900.6.v1.2 pep primary_assembly:ASM411807v1:3:139961:144111:-1 gene:Vigun03g001900.v1.2 transcript:Vigun03g001900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKERKNFVGGTVALYSLLCRNAKVGLLPCDRSANEVVLYEEKSSPKIKADSRARRAIEKHKICHYLILFLALFGSCMAIGDAVLTPALSVYSASAGVQRSLTDILNDIFASSEHTKESMSNALKAYVPVPSACVILVGLFMLQHCGTRKIGIMFAPIIAAWLVFVAAVGTYNIFHWDVKIIYKISPFYIYRFITKIDIHRWRLLGSVILCVAGSEAMFAGLGHFSKKSIKITFICFIYPLLLLCYAGQAAYMSKNLTSPDFNHLSQSMPRQCKHLYIVLSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTINGQIYIPDVNWLLMIFSLTVTIGFRDLVKIGNATALAIISGMLVTTSLMALIIALYWEKDVMVSAYFLVCFGFLEAAYLSACLLQFHKGSWYLVVLLAVSMTVMLSWHYGSMKKYEFDLQNKVSTEWLIDISPGLGISRVPGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYIAESERFLIGRIGPKDYKIYRCIVRSGYCDHIRDTGDFEEKIIRSIGEFISIEQNDIESMMGCPDERMIFVGHSRVGGNGLVPLEDLESSSCMVNNESQISPVAEEALESGGSGCKKRKKVRFMLPHNSPKMQVSVRNELLELIDARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGVVCTI >Vigun03g001900.4.v1.2 pep primary_assembly:ASM411807v1:3:139961:144111:-1 gene:Vigun03g001900.v1.2 transcript:Vigun03g001900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLFDQDKKTWKQTVLLSFQIVGIVYGQLSTAPLYVFGTMRASDLAADQEVVYELFSFIFWTLTIISLLKYASIVLKADDEGEGGTVALYSLLCRNAKVGLLPCDRSANEVVLYEEKSSPKIKADSRARRAIEKHKICHYLILFLALFGSCMAIGDAVLTPALSVYSASAGVQRSLTDILNDIFASSEHTKESMSNALKAYVPVPSACVILVGLFMLQHCGTRKIGIMFAPIIAAWLVFVAAVGTYNIFHWDVKIIYKISPFYIYRFITKIDIHRWRLLGSVILCVAGSEAMFAGLGHFSKKSIKITFICFIYPLLLLCYAGQAAYMSKNLTSPDFNHLSQSMPRQCKHLYIVLSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTINGQIYIPDVNWLLMIFSLTVTIGFRDLVKIGNATALAIISGMLVTTSLMALIIALYWEKDVMVSAYFLVCFGFLEAAYLSACLLQFHKGSWYLVVLLAVSMTVMLSWHYGSMKKYEFDLQNKVSTEWLIDISPGLGISRVPGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYIAESERFLIGRIGPKDYKIYRCIVRSGYCDHIRDTGDFEEKIIRSIGEFISIEQNDIESMMGCPDERMIFVGHSRVGGNGLVPLEDLESSSCMVNNESQISPVAEEALESGGSGCKKRKKVRFMLPHNSPKMQVSVRNELLELIDARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGVVCTI >Vigun03g001900.3.v1.2 pep primary_assembly:ASM411807v1:3:139939:143524:-1 gene:Vigun03g001900.v1.2 transcript:Vigun03g001900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASDLAADQEVVYELFSFIFWTLTIISLLKYASIVLKADDEGEGGTVALYSLLCRNAKVGLLPCDRSANEVVLYEEKSSPKIKADSRARRAIEKHKICHYLILFLALFGSCMAIGDAVLTPALSVYSASAGVQRSLTDILNDIFASSEHTKESMSNALKAYVPVPSACVILVGLFMLQHCGTRKIGIMFAPIIAAWLVFVAAVGTYNIFHWDVKIIYKISPFYIYRFITKIDIHRWRLLGSVILCVAGSEAMFAGLGHFSKKSIKITFICFIYPLLLLCYAGQAAYMSKNLTSPDFNHLSQSMPRQCKHLYIVLSLLSSAVGSQATITACFSIINQCLALNCFPRVKVIHTSKTINGQIYIPDVNWLLMIFSLTVTIGFRDLVKIGNATALAIISGMLVTTSLMALIIALYWEKDVMVSAYFLVCFGFLEAAYLSACLLQFHKGSWYLVVLLAVSMTVMLSWHYGSMKKYEFDLQNKVSTEWLIDISPGLGISRVPGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLILVSFKSIPVPYIAESERFLIGRIGPKDYKIYRCIVRSGYCDHIRDTGDFEEKIIRSIGEFISIEQNDIESMMGCPDERMIFVGHSRVGGNGLVPLEDLESSSCMVNNESQISPVAEEALESGGSGCKKRKKVRFMLPHNSPKMQVSVRNELLELIDARESGSAYFLGQSHLVVRDGSNFLKRFLIMVYRFSEKNCREPPVALKIPHAALVEVGVVCTI >Vigun09g237900.2.v1.2 pep primary_assembly:ASM411807v1:9:40862557:40867585:-1 gene:Vigun09g237900.v1.2 transcript:Vigun09g237900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKSFGNEAGGGGGDGGGRPVGNFSLTRQPSVYSLTFDEFMNSMGGSGKDFGSMNMDELLKNIWTAEEVHTMASAGVATEDVGAGVSHLQRQGSLTLPRTLSQKTVDEVWKDISKDYGGHGGPNLAQTPRQPTLGEMTLEEFLVRAGVVREDAKPNDAVFMDLARAGNNNGLGFGFQQMNKVSTATGLMGNRLNNDPLVGLQSSANLPLNVNGVRSSGQQPQMQSPQSQQQHQQQIFPKQSPMSYAAAQMPQGMVRGGIVGLGGDQGLSVQAGGIGMVGLAPGSVHVATGSPAANQISSDKMTKSNGDTSSVSPVPYVFNGGMRGRKSGGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAEIMEIQKNQVKEMMNLQREVKRRRLRRTQTGPW >Vigun09g237900.1.v1.2 pep primary_assembly:ASM411807v1:9:40862557:40867585:-1 gene:Vigun09g237900.v1.2 transcript:Vigun09g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKSFGNEAGGGGGDGGGRPVGNFSLTRQPSVYSLTFDEFMNSMGGSGKDFGSMNMDELLKNIWTAEEVHTMASAGVATEDVGAGVSHLQRQGSLTLPRTLSQKTVDEVWKDISKDYGGHGGPNLAQTPRQPTLGEMTLEEFLVRAGVVREDAKPNDAVFMDLARAGNNNGLGFGFQQMNKVSTATGLMGNRLNNDPLVGLQSSANLPLNVNGVRSSGQQPQMQSPQSQQQHQQQIFPKQSPMSYAAAQMPQGMVRGGIVGLGGDQGLSVQAGGIGMVGLAPGSVHVATGSPAANQISSDKMTKSNGDTSSVSPVPYVFNGGMRGRKSGGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAEIMEIQKNQVKEMMNLQREVKRRRLRRTQTGPW >Vigun01g181500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36243401:36244828:1 gene:Vigun01g181500.v1.2 transcript:Vigun01g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNFLELQDTTGIMVRGRFVTKLKLLPTITNLKKQGLLLQKRFPTPYHDYKENNLSHLVLDDDGNHFGEEEATVGSKEITKPSEQKLSVPAMASCNNGYPSLSDFKELCPPGGNHSIILYTTSLRGIRKTFHDCNTIRFLLRSFKIMYHERDVSLHLEFREELWKILGGKVIPPKLFIKGRYIGGADEVVGLHETGWLGKFLEGTPTHSSDSPCTGCANMGFAICSNCCGSCKVFSCDRDNNNNHECFVRCPDCNENGLVKCPVCC >Vigun02g019700.1.v1.2 pep primary_assembly:ASM411807v1:2:6996516:7005833:-1 gene:Vigun02g019700.v1.2 transcript:Vigun02g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTGAEAPLFHNRHDAARAPALAVLLGRAGGRPDPSMLVTAVCDFISDLIHSKPVLALEMSWNMAFVFVSAAMLACTTSERPNSPIRLWIVGYVLLRFMHVVLLWLTYRHHRSRWESHRGQGTQDVESQTGSDDDEGGNDSDSVVDGSSGNSSCRFLKRCESLISRVSLLWWLVGFYWVVSGGDILLQDAPRLYWLSVVILAFDVCFVIFNVVMMCLVGIALCCCLPGIIAILYGVAGQEGASEADLSILPKYRFRILSNEDKPSGGAGSMVPIETSSAYLANERLLLPEDTECCICLYSYEDEAELHALPCNHHFHSSCIVKWLKMNATCPLCKYNILKGNEQV >Vigun02g079401.1.v1.2 pep primary_assembly:ASM411807v1:2:23179024:23179276:-1 gene:Vigun02g079401.v1.2 transcript:Vigun02g079401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKECERVLTLHTPPLSTVCLCLALASLIIILRRGFGYTQANRQVTKRQWTPLGSLWLCIAHYVVLLCCRM >Vigun10g104300.1.v1.2 pep primary_assembly:ASM411807v1:10:29873648:29888324:1 gene:Vigun10g104300.v1.2 transcript:Vigun10g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLFLCLVILTVLFLFFIHNLRTFKNSPTPPGPKRIPIIGNLHQLDNSILYLQLWQLSKKYGPIFSLQLGLRAAIVISSPKLAKEVLKNHDLEFSGRPKLRGQQKLSYNGSEVAFSSYSENWREIRKICVVHLFSSKRVSTFSYIRKFEVKQMIEKICGHASSSGVTNLSELLLSFASTMVCRIGFGRRYEDEGSEKSRFHVLLNELQAMMGTFFVSDYIPLMGWVDKLRGLHARLERNFSEFDRFYQDVIDEHMDPNREYAEEKDMVDVLLQLKNDRSLPIDITFDHIKGVLMNILAAGTDTTAATLVWIMTALVKNPRVMKKVQEEIRNVGGKKDFLDEDDTKKLSYLKATIKETLRLHPPGPLLIPRESTKDCVINGYPIPAKTIVFVNVWAIQRDPEAWKNPQQFCPERFLDSDIDFYGQDFELIPFGSGRRICPGIAMSIVTLELVIANLLHSFDWELPLGMVREDIDLEVFPGLTQHKKNHLCLCAKTRIIT >Vigun03g272700.1.v1.2 pep primary_assembly:ASM411807v1:3:44794630:44797005:-1 gene:Vigun03g272700.v1.2 transcript:Vigun03g272700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENKHFVLVHGACHGAWSWYKLKPRLESAGHKVSVLDLAASGTNMHKIEDVHTFSHYSEPLLQLMATIPSNEKVILVGHSLGGLNIALAMEKFPEKVAVAVFLTAVVPDIKHNPSYVLQKYIESVPAPNWLDCEFLQSGNKTVVVFGPKFSSTKLYQGSSIEDIELARTLLRPGSLFIEDLSQQTNFCKERYGSVPLAFIVCTEDLGIPLNFQLWMIKNAGVNDVVEIEGADHMAMLSKPQELCDSLQQIAAKYA >Vigun03g272700.2.v1.2 pep primary_assembly:ASM411807v1:3:44794630:44797005:-1 gene:Vigun03g272700.v1.2 transcript:Vigun03g272700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENKHFVLVHGACHGAWSWYKLKPRLESAGHKVSVLDLAASGTNMHKIEDVHTFSHYSEPLLQLMATIPSNEKVILVGHSLGGLNIALAMEKFPEKVAVAVFLTAVVPDIKHNPSYVLQKSGNKTVVVFGPKFSSTKLYQGSSIEDIELARTLLRPGSLFIEDLSQQTNFCKERYGSVPLAFIVCTEDLGIPLNFQLWMIKNAGVNDVVEIEGADHMAMLSKPQELCDSLQQIAAKYA >Vigun09g254400.1.v1.2 pep primary_assembly:ASM411807v1:9:42103293:42112991:-1 gene:Vigun09g254400.v1.2 transcript:Vigun09g254400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTSPPCPGTITVRRNPPRRARATPKMTPKSFDLPEISAFPNDGVLPAQTPQKPSTSPENENLKVFLRVRPLSSSPIQAPRVRGKSAWPQNPVKKNVPPAGAKISKSKTSSTCITVNDSQSVTLSTPVSWHESKRIKSETYGGFSHVFSSDSTQFQVYERMVKPLVDEFLGGKSGMLAALGPSGSGKTHTVFGTPRDPGMVPLALRHIFEDAEPHVKQPSRTFYMSIFEICSERGKAEKLFDLLSDGGEISMQQSSVKGLKEVPISNTEQAESLIAQATLRRATAMTNTNSQSSRSQCIINICDAPPKCKGVVNPKSNSAVLTIIDLAGAEREKRTGNQGARLHESNFINNTLMVFGLCLRSLLEHQKNRKKPLQKHFQSSMLTRYLRDYLEGKKRMSLILTAKPGEEDFLDTSYLLRQASPYMQIKYNEVEQSNIVPKKRHYQGSSIMDDSKLSPLSENLKRMRLVSEHTEQNDKNIAEERENITEDSSALGKLDANSSVSLKSEFDSQVPSERSHIIMQNFAKVIWNVLKQYNSKLKVAEMEIENLKESIANEKTKYSEIETQLNEFKANCTCCKVDNIKSDPEDCSSVSLVQPHNSEQEETFHAESSFVARVDQCDEDGLVLSTSCTQLCSENSDRETFSLTKPEHHSASEVDDGSKILSEPSDAQSSSEARLDQSDEQPTLGTSCTQPDANMSDRKISTSLLKAEDHNNALEVEAVRKRSSESFDSQSLSEVRLDLSDEELTLGTSCRQLDCEKSDREISISLSKAEDHNALEIEAVRKIPSELFVSSSSTEDDKLDPLSPKDASSTKTDNLVDPLGKIGVDISRKPPKPKRTLMPSSSMLSRDLSTFDLCDESEKLKGNRGTRKLSAHEPQRSNGSISLLHLLHVRKNNLHR >Vigun05g303100.1.v1.2 pep primary_assembly:ASM411807v1:5:48569845:48574793:-1 gene:Vigun05g303100.v1.2 transcript:Vigun05g303100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASNGDNEACSESEPILNHLHLHLQPPGESSFSCEIIPIAPNHDVDHDLQNVRVDETSHLVNADQPQCRICLDIGGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKNVPELDPSHVTELRMLGLY >Vigun05g303100.2.v1.2 pep primary_assembly:ASM411807v1:5:48569845:48575075:-1 gene:Vigun05g303100.v1.2 transcript:Vigun05g303100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASNGDNEACSESEPILNHLHLHLQPPGESSFSCEIIPIAPNHDVDHDLQNVRVDETSHLVNADQPQCRICLDIGGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKNVPELDPSHVTELRMLGLY >Vigun08g139800.2.v1.2 pep primary_assembly:ASM411807v1:8:31214629:31217072:1 gene:Vigun08g139800.v1.2 transcript:Vigun08g139800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFGKHVFPSQIILFASGLLFFASTTYDVHRSIKNNQTPPSEEQVKALQDYIESARRSR >Vigun08g139800.1.v1.2 pep primary_assembly:ASM411807v1:8:31214629:31215300:1 gene:Vigun08g139800.v1.2 transcript:Vigun08g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFGKHVFPSQIILFASGLLFFASTTYDVHRSIKNNQTPPSEEQVKALQDYIESARRSR >Vigun11g159100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36694541:36696282:1 gene:Vigun11g159100.v1.2 transcript:Vigun11g159100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEAPILVEERIMQEIEIPITSERLDVLPMKTETSCCSGCPFSGHENSVVTSKESSKSAKKLCGLIVFYAIVMVVELVGGIKAHSLAVIGDAAHLLSDITGFSISLFAVWASGWEATPHQSFGYNRLEVLGALISVQLIWLISGYLIYEAVGRILLQQASVNGKVMLAIATFGFVLNFIMVAWIGHDHSHHHHHGCEDSSHDHSHHHHHHEDSGHDHSHHHHHEDSGHYHSHHHHDHGNKELSIISDEESATLVSSSHTKTNVLNLNLQGAYLHVMADMIQSVGVMIAGAIIWAKPKWFIVDLVCTLIFSVLSLSATLPMLRNLYGILMESTPREIDISKLESGIRNIKGVQDVHDLHIWAITLGKLVLSCHVVAEPGISSIDLLGMIKHYCEKTYQIQHVTIQIE >Vigun11g159100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36694057:36697104:1 gene:Vigun11g159100.v1.2 transcript:Vigun11g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEAPILVEERIMQEIEIPITSERLDVLPMKTETSCCSGCPFSGHENSVVTSKESSKSAKKLCGLIVFYAIVMVVELVGGIKAHSLAVIGDAAHLLSDITGFSISLFAVWASGWEATPHQSFGYNRLEVLGALISVQLIWLISGYLIYEAVGRILLQQASVNGKVMLAIATFGFVLNFIMVAWIGHDHSHHHHHGCEDSSHDHSHHHHHHEDSGHDHSHHHHHEDSGHYHSHHHHDHGNKELSIISDEESATLVSSSHTKTNVLNLNLQGAYLHVMADMIQSVGVMIAGAIIWAKPKWFIVDLVCTLIFSVLSLSATLPMLRNLYGILMESTPREIDISKLESGIRNIKGVQDVHDLHIWAITLGKLVLSCHVVAEPGISSIDLLGMIKHYCEKTYQIQHVTIQIE >Vigun03g186500.1.v1.2 pep primary_assembly:ASM411807v1:3:24684912:24693011:-1 gene:Vigun03g186500.v1.2 transcript:Vigun03g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATNAATNLFFPCKPSSFRCRAAAGDISTGPSFFQSVAGGLRLGPFPDGGGLAAEGRRGGGNEPIRVKKWSRKNESYLDNDNEPLPLPMTYPDSSPVSPEEIDRRLQCDPEVQDCKEVVYEWTGKCRSCQGSGYVNYYGKRGKAVTCKCIPCMGIGYVQKITARKDIDVMENLDNGKPP >Vigun05g285500.1.v1.2 pep primary_assembly:ASM411807v1:5:47313481:47317740:-1 gene:Vigun05g285500.v1.2 transcript:Vigun05g285500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRLDRLIITNKKKTQKENKMKRSVLPSLLFLFLLHPSEGEDISIYWGQNAKEGNLTETCATGKYSYVKIAFLSTFGKGQTPQLNLGGHCDASSNGCTEMGREIRNCQEQGIKVMISIGGGSGDYSITSLEDAKNVSDYLWDNFLGGSSSSRPFGDVVLDGIDYGIDFVIAAGESSFLGNLSLLLISYSQTRKVYLSAAPQCVFPDAKLDSALATGLLDYIWIQFYNNSQCEYSDNNFDNLLKAWNLWSMSLKAGKMFLGLPADETAAVSGYVPVDVLVSKILPAINKTPKYGGVMLWSRYEDKTSGYSSYIQNFHINQTEESLCTQQSLPACRSSEVGFVESFGNMSTVGIQVYAGSNGTQCCEILCKNNCSCQAYAPINRKNNTGCLIWLKGTQFIRASSADIALPIYVSAALLPEDKVNRWYIWLIVGVVAVFAIPVIFSLWRAMWRNYKAKVERKKMQEKLLHDIGGNSRKSKNKGKTSNGVELFTFETIVAATDNFSEANKLGKGGFGPVYKGTLRDQQEVAIKRLSKSSGQGVMEFKNEAKLMAKLQHTHLVKLLGFCIQRDEKILVYEYMPNKSLDFYLFDSARKNLLDWEKRLNIIGGIAQGLLYLHKYSRLKVIHRDLKAGNILLDQEMNPKISDFGMARIFGVRASEENTNRVVGTYGYMAPEYAINGVVSIKIDVFSFGVLLLEILSGKKNNSSYDSDRPLNLIGYAWQLWKEGRALGLIDTDLYELCPQNAVLRCIHIGLLCVQDQATDRPTMEDIVSFLSNDTMQLPQPKKPAFFINVVVEEDSELPYSRQELHSSNDLTISSIHGR >Vigun03g417900.1.v1.2 pep primary_assembly:ASM411807v1:3:62467741:62468577:-1 gene:Vigun03g417900.v1.2 transcript:Vigun03g417900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDETTSPVVPATLYKALLKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEAIDEANLGYSYSIVGGVALPDTAEKITIDTKLSDGSNGGSVVKLSIKYHSKGDTPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun05g239900.1.v1.2 pep primary_assembly:ASM411807v1:5:43283217:43290023:1 gene:Vigun05g239900.v1.2 transcript:Vigun05g239900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDPKAPQRPGPWPPAPLPDAKAMPPSTWAKKTGFRPKFSGEANASDSGQISLQPKPKEPDTNVDLEAGRARAPTPGNGVAHREKVPPLPPPKDQVVKKRRDSDGVPKSSVSSINGQAPPPPPPPPPGRRAASHEDIVDDDGFVSRNSHMKYELRDSPGLVPIGVYGIQHYVSILGSLILIPLVMVPAMGGSREDTSAVVSTVLFVSGMTTLLHTNFGSRLPLIQGPSFVYLAPALAIINSSEFQGLNENKFKHIMKELQGAIIIGSAFQTFLGYTGLMSLLVRLINPVVVSPTIAAVGLSFYSYGFPIVGTCLEIGAVQILVVIVFSLYLRKISVFGHRIFLIYAVPLGLAITWAVAFLLTEAGVYNYNGCDINIPSSNMVSEHCRKHVSKMKHCRVDTSYALESSPWFRFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVQFGACFLILLSLVGKVGGFIASIPEVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIIGLSLFFSLSIPAYFQQYGISPHSNSSVPSYFQPYIVASHGPFRTQYGGLNYFLNTTFSLHMVVAFLVAVILDNTVPGSKQERGVYVWSETEVARREPAVANDYELPLRVGRIFRWVKWVGL >Vigun09g257200.2.v1.2 pep primary_assembly:ASM411807v1:9:42309310:42314116:1 gene:Vigun09g257200.v1.2 transcript:Vigun09g257200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYSTVVYLHGTLDLVIVEARCLPNMDLLSERVRRFFSALNTCSTSISAKRKLHRARHHHHKIITSDPYVTVCLAGATVARTRVISNSQSPAWDEHFKIPLAHPAAQVDFFVKDNDMFGADLIGIVTISAERILAGGPISDWFPIIGSFGKPPKPDCALCLAMKFTKCEDIRLFRSLKEPDPDRFVVRDSYFPVRHGGAVTLYQDAHVPEAMLPEVELEEGVVFEHGRCWEDICHAILEAHHLVYIVGWSIYHKVRLVREPTKPLPSGGSLNLGELLKYKSQEGLRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVRCLLSPRYASSKLSIFRQQVVGTLFTHHQKCVIVDTQAHGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVYQDDYHNPTFCTGTKGPRQPWHDLHCKIEGPAAYDILANFEQRWKKATRWSELGRKLKRVSHWHDDSLIKLERISWILSPSELIQTDDPELWVSKEGDPKNWHVQVFRSIDSGSLKGFPKDVFEAETQNLVCAKNLVIDKSIQTAYIHAIRSAQHFIYIENQYFVGSSFAWPVYKDAGFGRILILTHVTCCICLVLTISI >Vigun09g257200.1.v1.2 pep primary_assembly:ASM411807v1:9:42309310:42316261:1 gene:Vigun09g257200.v1.2 transcript:Vigun09g257200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYSTVVYLHGTLDLVIVEARCLPNMDLLSERVRRFFSALNTCSTSISAKRKLHRARHHHHKIITSDPYVTVCLAGATVARTRVISNSQSPAWDEHFKIPLAHPAAQVDFFVKDNDMFGADLIGIVTISAERILAGGPISDWFPIIGSFGKPPKPDCALCLAMKFTKCEDIRLFRSLKEPDPDRFVVRDSYFPVRHGGAVTLYQDAHVPEAMLPEVELEEGVVFEHGRCWEDICHAILEAHHLVYIVGWSIYHKVRLVREPTKPLPSGGSLNLGELLKYKSQEGLRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVRCLLSPRYASSKLSIFRQQVVGTLFTHHQKCVIVDTQAHGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVYQDDYHNPTFCTGTKGPRQPWHDLHCKIEGPAAYDILANFEQRWKKATRWSELGRKLKRVSHWHDDSLIKLERISWILSPSELIQTDDPELWVSKEGDPKNWHVQVFRSIDSGSLKGFPKDVFEAETQNLVCAKNLVIDKSIQTAYIHAIRSAQHFIYIENQYFVGSSFAWPVYKDAGADNLIPMELALKIVSKIRSKERFTVYIVIPMWPEGNPSSASVQEILFWQAQTMQMMYSVIARELKVMELDHHPQDYLNFYCLGNREPLKNDFLSPSSSSPDNGETISASQKFRRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHHTWGKKKGHPHGQVYGYRMSLWAEHIGCIQGCFKDPESLECVKSVNSIAEDNWRRYTSDDNNPLQGHLLKYPVNINANGKVKSIPGFECFPDVGGKVLGSRSNLPDALTT >Vigun05g087400.2.v1.2 pep primary_assembly:ASM411807v1:5:8289783:8294398:-1 gene:Vigun05g087400.v1.2 transcript:Vigun05g087400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREGKLGGEEKECEESVLGSTESKSVRENGSLAATQLTIDDNLLVDPKLLFIGSKIGEGAHGKVYEGRYGDQIVAIKVLHRGSTSEERASLENRFAREVNMMSRVHHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLMSIRPKLLDLHLAINFALDIARALDWLHANGIIHRDLKPDNLLLTADQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKIAAREAQHTR >Vigun05g087400.1.v1.2 pep primary_assembly:ASM411807v1:5:8289783:8294398:-1 gene:Vigun05g087400.v1.2 transcript:Vigun05g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREGKLGGEEKECEESVLGSTESKSVRENGSLAATQLTIDDNLLVDPKLLFIGSKIGEGAHGKVYEGRYGDQIVAIKVLHRGSTSEERASLENRFAREVNMMSRVHHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLMSIRPKLLDLHLAINFALDIARALDWLHANGIIHRDLKPDNLLLTADQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPDDISPELAFVIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSPPSPPLPVPNHEPEVATTSNGTITEFSARNKGRFGFLRQLFSSKRTKN >Vigun03g425300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63093567:63093875:-1 gene:Vigun03g425300.v1.2 transcript:Vigun03g425300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPLVHELDEIPRGRDIEQALSRLGCSPSVPAVFIGGELVGGANEVMSLHLDRSLIPMLKKAGALWV >Vigun03g395800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60231049:60232661:1 gene:Vigun03g395800.v1.2 transcript:Vigun03g395800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRQREKEKEKSMKESTCPQRRRTPSFSSSLLDAIYHSIDESKSNLHQDQPPSLFDHNPQSFTFEKSGKKERMNLRRAVMLEDWMEKHTSHSRSHSQTSHFLNYSSSSSECSSGGIFSSSETDTTTFKKHRPRPASEKKKQDHRVSEKQNKEGGFARTKLRALKIYGELNQRVKQPISPGSRIASFLSSIFNSQNVKKAKMCYVGAVEDVSFEHKSKSPCFSSTPSSFSRRSCMSKTPSSAKKSNTNGVKRSVRFYPVSVILGEDSEPQPSYHKCSNIYESEPNFTVRKITRSSSIKELKNGVRGEENGAEEAAARGFVKGYRNSGKGELDFRGFYGNEDEDEDEDDDEEDDASCSSSDLFELDHLIGAARYQEELPVYETTNLETNKAIASGLCL >Vigun05g086400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8200874:8203150:1 gene:Vigun05g086400.v1.2 transcript:Vigun05g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIATCYHVLQSCTLNTPLFTDIVTKINISTASIRARKISLSLSATYPSPITQTTTNDLNFEDRATRFRIKGLVHRITTLSSSNSKTQMLQILEKDPEFQTISDFNLLLMALVIAQELDICLSIFAKLPSFQLVPDCCTHSIMIRCHCEKNDMDEAKRALDTALGKGFRPDAATFTVLINSLCKRGRVNKAREVFEAMGRNGYKGSVQAKNCLLKGLSYVGKVDEALEMLMGMKGTSLEPDVYSYTAVMDGLCKVGRSGEAMELLDEVVGMGLVPSVVMFNTLIQGYSREGRPMEGAAVLELMKEHECVPDCVSHNTVLHGLLKWNEVLAALGVYKEMVGLGLKVDVRLMGTLARRLCKRSRRKNSLLQDAYDVFEKMKERGPVVDQRTFEVMIQALCVGKRFDQAFATLNEMVRLGYSPEAITFDKVILGLCARGRVDDAVSTMVLLHASGGIPSKISYDMLIKELNEEGRLFCARNLFGSALKLGVVPNREPRLNVMGWEEPQVTRIC >Vigun04g113850.1.v1.2 pep primary_assembly:ASM411807v1:4:28525443:28526085:1 gene:Vigun04g113850.v1.2 transcript:Vigun04g113850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSNPSSSVELIFFMVLTFFQLVHFSLHPQMSLCNSCSSFTCNGWGKKNPSVSSRGARGSRSLGLQPICYCGEKSVLRTVKTAKNRGKQFWGCSKYNSGSEEGGCNYFKWWTKDVIEEIGNSEHCEGRGEILVKTEESDGDRKMISNLEKLVAIFEKWMKVLIGMVIFVFVVNVIVLSMLIKNA >Vigun10g175200.4.v1.2 pep primary_assembly:ASM411807v1:10:39370068:39376550:1 gene:Vigun10g175200.v1.2 transcript:Vigun10g175200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKSNTKTEEDYALKEKRARRVSFADNEITSVHVFRRDDDASSDPSDAPSNPSFLRFFGELASHSDDDQQPQLDNETGNSFLRSIDFPSPGGSSTANDDDDDFRGPVSARFIKREQLLDSAGDYDDVTMDSTAFSLHYRSIARSDSGDINSRQLCLTSSSSPRSSMDFTEAKRLCEEVLDAESASRDSNEMSIEGEHQRSYQFDTVSPAFDAPLAEEAVKGSPQRNLDGSVASPVARLHQIHPSDSSTKEIKEFTEEATVAVCRQLDFENRNWGTPLKVDEDRRQVVDSNKRSTRKQLSLSSLDSLRRTGNITPRVEQSGLLGPEISIAYDATPSYEHRSISKIKTFESTPTMLNLKEGMNILKAKLSEYSPGFSLSNKKALEYKQDESRQTPLPQEKLFSLTLERNKRNDLVDSNYHGIRSFENNCKSCQNVKNLDTKPDEENLILISADVSCNDESLKAVELEVSPSQMPCLTKVVDVNLADSTVEKGEDEILVPSPPIPEVTTLLHSLQDASKGNLDGHGHDNCYHSVLQVAQSSLTKSGIVISSGKKRKGVEVLVNGDNIDKIGRIDRSPEVHTRGNGDVQLEQTDSLRREREKLGDETWNDEDLILKNFLDGTNQLLPPLVDKLNLRLHTTTDPLAIHKDKRIVETRMLLYDIAYEKAKLQLLNVKRDKLLKKLQQLSSGLQECEMIKLKFNIPSTSKSGTMDTQADDGHIRSKFSSKGRCQVCCGEVLETKQDLETLGSRAKALSELLYEHCKMEGDQSYTKIMKAVSDYLQKRMPCKSLLQNMKLWEIEDFERTDDCYRVGLNYCGYITQRFTANTGQSNIILSNSLNSVTIEKNFPNLDAFSAFVFVLNPHTTKKGSGSSSMARETQITSSLLSNLLDVVEEVQSARIVLKNLVEAKFYSHSAP >Vigun10g175200.2.v1.2 pep primary_assembly:ASM411807v1:10:39370068:39376550:1 gene:Vigun10g175200.v1.2 transcript:Vigun10g175200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKSNTKTEEDYALKEKRARRVSFADNEITSVHVFRRDDDASSDPSDAPSNPSFLRFFGELASHSDDDQQPQLDNETGNSFLRSIDFPSPGGSSTANDDDDDFRGPVSARFIKREQLLDSAGDYDDVTMDSTAFSLHYRSIARSDSGDINSRQLCLTSSSSPRSSMDFTEAKRLCEEVLDAESASRDSNEMSIEGEHQRSYQFDTVSPAFDAPLAEEAVKGSPQRNLDGSVASPVARLHQIHPSDSSTKEIKEFTEEATVAVCRQLDFENRNWGTPLKVDEDRRQVVDSNKRSTRKQLSLSSLDSLRRTGNITPRVEQSGLLGPEISIAYDATPSYEHRSISKIKTFESTPTMLNLKEGMNILKAKLSEYSPGFSLSNKKALEYKQDESRQTPLPQEKLFSLTLERNKRNDLVDSNYHGIRSFENNCKSCQNVKNLDTKPDEENLILISADVSCNDESLKAVELEVSPSQMPCLTKVVDVNLADSTVEKGEDEILVPSPPIPEVTTLLHSLQDASKGNLDGHGHDNCYHSVLQVAQSSLTKSGIVISSGKKRKGVEVLVNGDNIDKIGRIDRSPEVHTRGNGDVQLEQTDSLRREREKLGDETWNDEDLILKNFLDGTNQLLPPLVDKLNLRLHTTTDPLAIHKDKRIVETRMLLYDIAYEKAKLQLLNVKRDKLLKKLQQLSSGLQECEMIKLKFNIPSTSKSGTMDTQADDGHIRSKFSSKGRCQVCCGEVLETKQDLETLGSRAKALSELLYEHCKMEGDQSYTKIMKAVSDYLQKRMPCKSLLQNMKLWEIEDFERTDDCYRVGLNYCGYITQRFTANTGQSNIILSNSLNSVTIEKNFPNLDAFSAFVFVLNPHTTKKGSGSSSMARETQITSSLLSNLLDVVEEVQSARIVLKNLVEAKFYSHSVQRLDLQLSFIDFYSGRKVKVTFDITCLKCGVYPAEVLPSQIYDPSSSSGEQKSLPSSLVDEIRTATESVRVGYSRIIRLCRCISQAVHACNKSK >Vigun10g175200.3.v1.2 pep primary_assembly:ASM411807v1:10:39370068:39376550:1 gene:Vigun10g175200.v1.2 transcript:Vigun10g175200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKSNTKTEEDYALKEKRARRVSFADNEITSVHVFRRDDDASSDPSDAPSNPSFLRFFGELASHSDDDQQPQLDNETGNSFLRSIDFPSPGGSSTANDDDDDFRGPVSARFIKREQLLDSAGDYDDVTMDSTAFSLHYRSIARSDSGDINSRQLCLTSSSSPRSSMDFTEAKRLCEEVLDAESASRDSNEMSIEGEHQRSYQFDTVSPAFDAPLAEEAVKGSPQRNLDGSVASPVARLHQIHPSDSSTKEIKEFTEEATVAVCRQLDFENRNWGTPLKVDEDRRQVVDSNKRSTRKQLSLSSLDSLRRTGNITPRVEQSGLLGPEISIAYDATPSYEHRSISKIKTFESTPTMLNLKEGMNILKAKLSEYSPGFSLSNKKALEYKQDESRQTPLPQEKLFSLTLERNKRNDLVDSNYHGIRSFENNCKSCQNVKNLDTKPDEENLILISADVSCNDESLKAVELEVSPSQMPCLTKVVDVNLADSTVEKGEDEILVPSPPIPEVTTLLHSLQDASKGNLDGHGHDNCYHSVLQVAQSSLTKSGIVISSGKKRKGVEVLVNGDNIDKIGRIDRSPEVHTRGNGDVQLEQTDSLRREREKLGDETWNDEDLILKNFLDGTNQLLPPLVDKLNLRLIGKLEDILINLQKVKKLEILCSEIQSQHTTTDPLAIHKDKRIVETRMLLYDIAYEKAKLQLLNVKRDKLLKKLQQLSSGLQECEMIKLKFNIPSTSKSGTMDTQADDGHIRSKFSSKGRCQVCCGEVLETKQDLETLGSRAKALSELLYEHCKMEGDQSYTKIMKAVSDYLQKRMPCKSLLQNMKLWEIEDFERTDDCYRVGLNYCGYITQRFTANTGQSNIILSNSLNSVTIEKNFPNLDAFSAFVFVLNPHTTKKGSGSSSMARETQITSSLLSNLLDVVEEVQSARIVLKNLVEAKFYSHSAP >Vigun10g175200.1.v1.2 pep primary_assembly:ASM411807v1:10:39370068:39376550:1 gene:Vigun10g175200.v1.2 transcript:Vigun10g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKSNTKTEEDYALKEKRARRVSFADNEITSVHVFRRDDDASSDPSDAPSNPSFLRFFGELASHSDDDQQPQLDNETGNSFLRSIDFPSPGGSSTANDDDDDFRGPVSARFIKREQLLDSAGDYDDVTMDSTAFSLHYRSIARSDSGDINSRQLCLTSSSSPRSSMDFTEAKRLCEEVLDAESASRDSNEMSIEGEHQRSYQFDTVSPAFDAPLAEEAVKGSPQRNLDGSVASPVARLHQIHPSDSSTKEIKEFTEEATVAVCRQLDFENRNWGTPLKVDEDRRQVVDSNKRSTRKQLSLSSLDSLRRTGNITPRVEQSGLLGPEISIAYDATPSYEHRSISKIKTFESTPTMLNLKEGMNILKAKLSEYSPGFSLSNKKALEYKQDESRQTPLPQEKLFSLTLERNKRNDLVDSNYHGIRSFENNCKSCQNVKNLDTKPDEENLILISADVSCNDESLKAVELEVSPSQMPCLTKVVDVNLADSTVEKGEDEILVPSPPIPEVTTLLHSLQDASKGNLDGHGHDNCYHSVLQVAQSSLTKSGIVISSGKKRKGVEVLVNGDNIDKIGRIDRSPEVHTRGNGDVQLEQTDSLRREREKLGDETWNDEDLILKNFLDGTNQLLPPLVDKLNLRLIGKLEDILINLQKVKKLEILCSEIQSQHTTTDPLAIHKDKRIVETRMLLYDIAYEKAKLQLLNVKRDKLLKKLQQLSSGLQECEMIKLKFNIPSTSKSGTMDTQADDGHIRSKFSSKGRCQVCCGEVLETKQDLETLGSRAKALSELLYEHCKMEGDQSYTKIMKAVSDYLQKRMPCKSLLQNMKLWEIEDFERTDDCYRVGLNYCGYITQRFTANTGQSNIILSNSLNSVTIEKNFPNLDAFSAFVFVLNPHTTKKGSGSSSMARETQITSSLLSNLLDVVEEVQSARIVLKNLVEAKFYSHSVQRLDLQLSFIDFYSGRKVKVTFDITCLKCGVYPAEVLPSQIYDPSSSSGEQKSLPSSLVDEIRTATESVRVGYSRIIRLCRCISQAVHACNKSK >Vigun05g211800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40267460:40269024:1 gene:Vigun05g211800.v1.2 transcript:Vigun05g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSLTLILFSSFCLILRAQAQAQAPAPSSGAVDLVAILQKGGQYTTLIRLLNESQQLTQIQSQLKSNSQGFTLFAPTDNAFQNLKSGALNGLTDEQKVQLVLYHVTPKYYSLSDLLTVSNPVRTQASGSEGSWGLNFTGQGNQVNVSTGVVETQVNNALRQQFPLAVYQVDKVLLPAELFGEKSPAAAPSPKSPKSSPETPSVGKAGGAPSPSGDAQNDNGAAGRNVGFGVILGVGLFCMGVLS >Vigun02g188200.10.v1.2 pep primary_assembly:ASM411807v1:2:32644799:32649432:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.12.v1.2 pep primary_assembly:ASM411807v1:2:32645008:32649388:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.4.v1.2 pep primary_assembly:ASM411807v1:2:32644914:32650039:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.8.v1.2 pep primary_assembly:ASM411807v1:2:32644886:32649432:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.11.v1.2 pep primary_assembly:ASM411807v1:2:32644799:32649390:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.7.v1.2 pep primary_assembly:ASM411807v1:2:32644886:32649432:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.9.v1.2 pep primary_assembly:ASM411807v1:2:32644914:32647856:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILGLSTFFFTF >Vigun02g188200.5.v1.2 pep primary_assembly:ASM411807v1:2:32644914:32650039:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILVVECKITYFTGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun02g188200.13.v1.2 pep primary_assembly:ASM411807v1:2:32645008:32649388:1 gene:Vigun02g188200.v1.2 transcript:Vigun02g188200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRKRTLEALERRIAFAKAEVLQKEKKNKKSINEDGKPLVPTDSTSEDPSPHLLHSSSVTPKKGNFSFSGHTNSRENEDGLVYAQLSVPVNGNLLPNSEFSSERRGSIDGILHELLLKGDAAQKYMQGSRNMKIDNSILLDNFVQRRALSSGSQTRALQIHSKRSKKHMSMRQQKKYGSLYLPQEFQKFDIFKPMHEMWKDYVTSLLKSTGKNQLTQCLLGADLHGAFILGIHGIMIRETAEAFGIITEDDKFRVVPKKGSVFVFQVDCWKVTLHGDKLGSRKVGL >Vigun05g293450.1.v1.2 pep primary_assembly:ASM411807v1:5:47952979:47953908:-1 gene:Vigun05g293450.v1.2 transcript:Vigun05g293450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVISILLFISVVVAEDVVTSKVVNLVDPAEKFGTDETANINLAINEQSQAYESPRFKKFVTHCSSHVAETCSENDPMRDKLGLSLCLFDSMEACLVDHKASLYQTTSSYKSQKSIQYLPVLIETVKFQAVLRTCSKVTAQTCFTTSDVNTSTLSACLKPYLIQCIYLNQNPPPDAAYIERDHTQITPDQVTCGWCR >Vigun01g147000.1.v1.2 pep primary_assembly:ASM411807v1:1:32863204:32867068:-1 gene:Vigun01g147000.v1.2 transcript:Vigun01g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKNQMKIQLFIFFCYIGCSSYGFSAAVNDEVSTLLSIKAGLIDPLNTLRDWKLHDKAPVKDASHCSWTGIKCNSAGAVEELDLSHKNLSGTVSDVIQRLKSLTSLNLCCNAFSSPLPKSLANLTTLNKLDVSQNFFNGDFPLGLGRAWRLMTLNASSNEFSGSLPEDLGNASSLEMLDLRGSFFVGSIPKSFSNLHKLKFLGLSGNNLTGKIPGELGQLSSLEYMILGYNEFEGVIPEEFGNLTNLKYLDLAVANLGGEIPSVLGKLELLNTVFFYNNNFEGRIPAAIGNMTSLQLLDLSDNMLSGKIPAEISQLKNLKLLNLMGNQLSGPVPSGFGDLPQLEVLELWNNSLSGPLPSNLGKNSPLQWLDVSSNSFSGEIPETLCSQGNLTKLILFNNAFSGPIPSTLSTCFSLVRVRIHNNFLSGTVPVGLGKLGKLQRLELANNSLSGGIPDDIASSTSLSFIDLSRNKLHSSLPSTVLSIPNLQAFMVSNNNLEGEIPDQFQDCPSLAVLDLSSNHLSGSIPASIASCQKLVNLNLQNNQLTGEIPKSLAKMPSLAMVDLSNNSLTGQIPESFGISPALEALNVSYNKLEGPVPANGILRTVNPNDLVGNVGLCGGVLPSCDQNSAYSSRQRSLRTKHMITAWITGISSILVIGIAIVVARSLYIRWYTDGFCFRERFYKGSKGWPWRLMAFQRLGFTSTDILACIKETNVIGMGATGIVYKAEVPQSNTVVAVKKLWRTGTDIEVGSSDDLVGEVNLLGRLRHRNIVRLLGFLHNDIDVMIVYEFMHNGNLGEALHGRQATRLLVDWVSRYNIALGVAQGLSYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLAKMMIQKNETVSMVAGSYGYIAPEYGYALKVDEKIDVYSYGVVLLELLTGKRPLDPDFGESIDIVEWIRMKIRDNKSLEEALDPSVGNSRYVVEEMLLVLRIAILCTAKLPKDRPTMRDVIMMLGEAKPRRKSSGNDAANNKESPVFSTSPVNGLM >Vigun07g071300.1.v1.2 pep primary_assembly:ASM411807v1:7:8906455:8909075:-1 gene:Vigun07g071300.v1.2 transcript:Vigun07g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPLFAILFFVASPSNAYWPPSPSYRPSSKFRPVNFYKGFRNLWGPQHQSIDQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKLHPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGTIIGREMKFHLWFDPTQNFHHYAILWRPKEIIFLVDDIPIRRYPRKSAETFPLRPMWLYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKVGGCSAYASRWCRLASASPYRSGGLTRRQYWTMRSVQKYHMVYNYCQDPKRDHRLTPECWG >Vigun04g063900.1.v1.2 pep primary_assembly:ASM411807v1:4:6917794:6919377:-1 gene:Vigun04g063900.v1.2 transcript:Vigun04g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRINHYRSSHEILLVGEGDFSFSLCLARAFGTAINMVATSLDSRASLRKKYGSALKNLKELKDLGCTIVHGVDVHTMLEHPLLEDLYFDRIVFNFPHAGFTYRESDDRQIKLHRDLVRGFLCNAKFMLKKGAEIHITHKTAHPFSKWNIKGLAKRQSLLLVEEDEFYLHLYPGYSNKKGDGRNSDESFPIGECSTFMFMSYFDRPLQNHAIEKTFWYDLPV >Vigun03g412000.1.v1.2 pep primary_assembly:ASM411807v1:3:61913452:61917172:-1 gene:Vigun03g412000.v1.2 transcript:Vigun03g412000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNADNGSGSATLDNVPTQMDSDSQVKDKEKEELTETMNKLHIEGSSSGNGFPNFRRKPVIIIVVGMAGSGKTTLMHRLVAHTHIKNIRGYVMNLDPAVMTLPYGSNIDIRDTVKYKEVMKQFNLGPNGGILTSMNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSEDPTTFMSNMLYACSILYKTRLPLILTFNKVDVAAHQFALEWMEDFEAFQAAASSDQSYTSTLTQSLSLVLDEFYNNLKSVGVSAVSGAGMEDFFKAVEASADEYMDTYKTDLDKRREEKQRLEEDRRRGDMDKLRRDMEKSGGETVVLNTGLKDKEKSKSMMDEEDEVEEDEEIDDDDDSGIYTEDDDVIDEDEDEEVAKFGL >Vigun05g275500.1.v1.2 pep primary_assembly:ASM411807v1:5:46564467:46569970:-1 gene:Vigun05g275500.v1.2 transcript:Vigun05g275500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGALKFLLYLLPCLLIHLPHSQGKRNWNGEYPFIRRGSSMESWDNGGYDYIIVGGGTAGCPLAATLSQKFKVLVLERGGVPFNNPNVSFLQNFHIALADTSPTSASQYFISTDGVLNARGRVLGGGSSINAGFYTRANPRFIRKVGWDTQLVNESYPWVEKQVVHRPKFSHWQRAVRDGLLDAGVSPFNGFTYDHKYGTKVGGTIFDRFGRRHTAAELLASANPQKLTVLVHATVQKIVFDTTGTKPKAIGVIFKDENGKQHQAFLGSGRQSEVIVSSGAIGTPQLLLLSGIGPREELQKFNIPVVLENEFVGKGMADNPMNTIFVPSKRPVQQSLIETVGITNLGVYIETSCGFSQSKDSIHCHHGILSAEIGQLSTIPPKQRSREAVEAFVKSKKDIPIEAFRGGFILSKVANPWSTGELRLINTNVEDNPAVTFNYFSHPYDLKRCVEGIRLAIKVVQSQHVTNYTMCDKESAEKMLNITVQANINLIPKHANDTKSLEQFCRDSVITIWHYHGGCHVGKVVDSEYKVLGVDRLRVVDGSTFTESPGTNPQATVMMMGRYMGLKILRDRLGKLGGV >Vigun05g167700.2.v1.2 pep primary_assembly:ASM411807v1:5:28379872:28382282:1 gene:Vigun05g167700.v1.2 transcript:Vigun05g167700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEQSEEELQRQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAIANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLKFKPSRMLRFIVRNLYVALTMFIGIIFPFFGGLLGFLEDLLLHQRHIFSLVSCGLQSTNQRDSGCLGGPTGYALCLAFS >Vigun05g167700.3.v1.2 pep primary_assembly:ASM411807v1:5:28379680:28382281:1 gene:Vigun05g167700.v1.2 transcript:Vigun05g167700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNIPNQVYIYIYIYFFLSKMSEEELQRQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAIANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLKFKPSRMLRFIVRNLYVALTMFIGIIFPFFGGLLGFLEDLLLHQRHIFSLVSCGLQSTNQRDSGCLGGPTGYALCLAFS >Vigun05g167700.4.v1.2 pep primary_assembly:ASM411807v1:5:28378293:28388962:1 gene:Vigun05g167700.v1.2 transcript:Vigun05g167700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQTPVENNYLKNTSEEELQRQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAIANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLKFKPSRMLRFIVRNLYVALTMFIGIIFPFFGGLLGFLEDLLLHQRHIFSLVSCGLQSTNQRDSGCLGGPTGYALCLAFS >Vigun05g167700.1.v1.2 pep primary_assembly:ASM411807v1:5:28378293:28382281:1 gene:Vigun05g167700.v1.2 transcript:Vigun05g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQTPVENNYLKNTSEEELQRQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAIANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLKFKPSRMLRFIVRNLYVALTMFIGIIFPFFGGLLGFLEDLLLHQRHIFSLVSCGLQSTNQRDSGCLGGPTGYALCLAFS >Vigun07g001100.1.v1.2 pep primary_assembly:ASM411807v1:7:107906:109820:1 gene:Vigun07g001100.v1.2 transcript:Vigun07g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVVFESDLNLKATELRLGLPGTEEKEDKNLHTHVVIRNNKRQVRETSQDSVSISKASHHQQHVETVSAPPPKAKIVGWPPIRSYRKNSVEGEGDGIFVKVSMDGAPYLRKIDLKVYRGYPELLKALETMFKLAIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFMTSCKRLRIMKGSEARGLGCGV >Vigun02g167400.1.v1.2 pep primary_assembly:ASM411807v1:2:31122316:31126415:1 gene:Vigun02g167400.v1.2 transcript:Vigun02g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPTTQGKTIPDASDYKGHPAERSKTGGWTASAMILGGEVMERLTTLGIAVNLVTYLTGTMHLGNAASANVVTNFLGTSFMLCLLGGFLADTFLGRYRTIAIFAAVQATGVAILTISTIIPSLHPPKCTEDTVPPCVRANEKQLLVLYLALYVTALGTGGLKSSVSGFGSDQFDDSDEGEKKQMIKFFNWFYFFVSTGSLAATTVLVYVQDNVGRGWGYGICAGAIVVALLVFLSGTRKYRFKKLVGSPLTQFAEVFVAAIRKRKLELPSDSSLLFNDYDAKKQTMPHSKQFRFLDKAAIVDSSGGGMKRKWYLCTLTDVEEVKLVIRMLPIWATTIMFWTIHAQMTTFSVSQATTMDRHIGKTFQMPAASMTVFLIGTILLTVPFYDRFIVPVARKVLKNPHGLTPLQRIGVGLVFSVFSMVAGALIEIKRLRYAQAHGLVDKPEAKIPMTVFWLIPQNFFVGVGEAFMYMGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVSIVNKMTAHGRPWLADNLNKGRLYDFYWLLAILSAINVMLYLVCAKWYVYKEKRLAQEGIELEETDDAAFHGH >Vigun03g347400.1.v1.2 pep primary_assembly:ASM411807v1:3:54749964:54756659:1 gene:Vigun03g347400.v1.2 transcript:Vigun03g347400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDSEKRFHSIMDKLFHPPKPPSSSSSSGVQLSGSKKRPYPSGGIELNRRGDVAEGQQSSSAATTALQGPLCRPWDRGDFMRRLATFKSMSWFAKPKVVSAVNCASRGWINVDIDTIACEACGARLLFSTPASWNQQQVEKAALVFSLKLDNGHKLLCPWIDNACSETLARFPPTTPEILVENFREHCFALLQLSALPRISSSAIAYIKSQSPLLEDFLGKSLMLECGNGSTENSGIVDFNTQEELKLYYQAQKLISLCGWKLRPLPYVVECKDISDPSLKNATTLAIHSAGTDENKTDESSMVSIGEQQVDPNSAVLDCTLCGATIGLWAFCTVPRPVESFRLVGYAEVNGESDFAVLNLLNKDDLEDRQCARPDVTISSKDTSSSLNMTIAGGPPPTKQNFKAIISLPVIGQNLRARLSYDSNFRDHAFVNKGGDESNLQEETGNIINASIGQLVPLTSETRETADYETGSQARICDSDVIVGTHHAGQSFSLKDKMPIHTDTDKLNSSAAGYCSSSQKDSTEGEALSVSRKTSDGVVGSDREENPIDSEDVPSSLEKSKNQGPSDKAMEFDPIRQHRHFCPWIASINGGEPGWKQTLSALYHQKNQLPRSPNRSPSSFPIVKVDDPVGSIRKLFMSPPTKRMKSTHIIGQNT >Vigun09g157600.1.v1.2 pep primary_assembly:ASM411807v1:9:32379418:32383288:-1 gene:Vigun09g157600.v1.2 transcript:Vigun09g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTVTKNCLHVPRTLPHVGTVQGGIASFHSSLSPNMLKHIILVLSQPPHPEKHHWWRCFAQTRHENASVLIIWTIVSSIPLITLLCAFLHHHISCQRSSFNINHHKTCSAANLSMESPPTPSYVVVACDATRDRTEHEIKLVVDHVRSRGSFLSHGDKLLVLCVLHKVSHPMGYQTLACPESFSGTNFRTMEEEVKKKVDTYASELLSSYEDFESEGATIEIKVTAGFPIKQVVLQEITNYNASWIILDRHLRRDLRYHLNKLPCKVALVKDDLSLEIWKSHNTHDTKVTASKFVFSLSKFVSLSDCHCIEDIENSIVSFKSYPHSILSSDTSATIRSYTKQSSVNRSRDYSSVSEFGSSSKQERSGISTKGEYRYLTSSQIDQKQNQSGFLPKHSDAPLLCTGCGTRTELSIKESMKFSYSDIQLATNDFSKENLLGEGGYGHVYKGVLKDGQQIAAKVRKEESSQGFSEFHSEVYVLSFARHKNIVMLLGYCCKERKNILIYEYICNKSLHWHLFENNAAVLEWHQRYAIAIGIAKGLRFLHEECRGGPIIHRDMRPSNILLTHDIVPMLGDFGLAKWKTGNETPQTRIMGTLGYLAPEYAEDGIVSEGTDVYSYGIILLQLISGRQVGNSNNPEQQQSLRQWAEPMIEKLALHELIDTRLAESYDTYELYLMAKAAYFCVQRKPEMRPSMGEVVRLLEGESSHFHSLEDQFVPNFNG >VigunL035700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:295712:296968:1 gene:VigunL035700.v1.2 transcript:VigunL035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF MKNITDSFLCLGSWPSAGSFVFNTDILATNPINLSVVLGLLVFFGKGVLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVETEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRTVSANIGMFGMMK >Vigun10g150600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36984692:36986137:-1 gene:Vigun10g150600.v1.2 transcript:Vigun10g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLEEVGNEECENKEKEGMTKSHYLMIFISSLLISITGGSLLGWWLHKYHPTNAQLWMVPFALILLFTPAIVSLSVIMSGPSIHKNDEEDVLNTNQRIHPFANSLCDPKR >Vigun03g444700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64683944:64685218:-1 gene:Vigun03g444700.v1.2 transcript:Vigun03g444700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQTAPLLSSSSSSSLTTINASLHLPNPPPPSYSVPKLPSTTLRIHQINGSKHNIPLEKNVVTTHIYQDLFSPTNKSNNPTVQLYAILEEVADRIQMHANIGEQRNNWNTLLLNSVNIITLTATAMAGVAAATTADAGAPLLALKLSSTLLFSAVTGMSLIMNKIQPSQLAEEQRNATRLFKQLHTQIQTLIAIGNPTEKDVKSSMEKVLALDRAYPLPLLGAMLDKFPAKYEPAVWWPSSQSRRKSRTQESKRSNNGWSEELEKELREVMKVIKKKDSEDYERLGNIALKVSKSLAIAGPLLSGIAAVGSSFLGNGSLAALVPLLAGSLASAVNAFEHGGQVGMVFEMYRNCGGFFTLLEETIRETLEEKDSEKRENGEVFEMKVAIKLGRSVSELRRLASKSASCGMEGIAVDEFASKLF >Vigun01g052650.1.v1.2 pep primary_assembly:ASM411807v1:1:9117814:9120626:-1 gene:Vigun01g052650.v1.2 transcript:Vigun01g052650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKPERMSMGTILSQMKMKKKKKKKKKKKKKKEEEEKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRRRRRRKEEEEEEEEEEEEEEERRRRRRRRRREEEEEEEEEEEEEEEEEEEEEEEEEEEERRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRAHLFVRGEEKSLLAQAEGSRSGERLSLGRDARSLKNNVGRLSDHSREAAWASPC >Vigun04g188100.1.v1.2 pep primary_assembly:ASM411807v1:4:41247216:41249331:1 gene:Vigun04g188100.v1.2 transcript:Vigun04g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDASSTDPVIPTHTKAWYYSEHGSPDVLKLDHNWPLPQLKDDQVLIKVLAASLNPIDYKRMNGLYKDYDPHLPTVPGFDVAGVVVSVGREVHKLKVGDEVYGDINEEGVTNLKALGTLSEYTIAEERLLALKPPNLSFIEAAAIPAALETAYEGLERAEFSAGKSILVVGGAGGVGHFVIQLAKHLYGASKIAATSSTGKLELLRKLGADWAIDYTKENIEDLTEKFDVVYDTIGEPDRALKAVKEGGKAVTIIPPGLPPAIFFVLTSKGSILDKLRPYFENGQVKPLLDPKTPVPFSHLAEAYSYLQTSRAIGKLVVYPIPN >Vigun04g042300.1.v1.2 pep primary_assembly:ASM411807v1:4:3677726:3681744:-1 gene:Vigun04g042300.v1.2 transcript:Vigun04g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQIAPLDLKLKDPVKSKWDDEDVDDNDVKESWEDEDEPAPAPAAPAVKPTEKASKKSSDKAADKKGKTIEPIKEEPLDAVAEKLRQQRLVEEADYKSTKELFGGGKDEKNLDTFIPKSESDFLEYAELISHKLRSFEKSFHYMGLLKAVMRLSMTSLKGADAKDIASSVTAIANEKIKAEKEANAGKKKTGGKKKQLNVDKPDEDFIPADRYDALDDYDFM >Vigun04g042300.2.v1.2 pep primary_assembly:ASM411807v1:4:3677696:3681766:-1 gene:Vigun04g042300.v1.2 transcript:Vigun04g042300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQIAPLDLKLKDPVKSKWDDEDVDDNDVKESWEDEDEPAPAPAAPAVKPTEKASKKSSDKAADKKGKTIEPIKEEPLDAVAEKLRQQRLVEEADYKSTKELFGGGKDEKNLDTFIPKSESDFLEYAELISHKLRSFEKSFHYMGLLKAVMRLSMTSLKGADAKDIASSVTAIANEKIKAEKEANAGKKKTGGKKKQLNVDKPDEDFIPADRYDALDDYDFM >Vigun04g101000.1.v1.2 pep primary_assembly:ASM411807v1:4:23492017:23493158:-1 gene:Vigun04g101000.v1.2 transcript:Vigun04g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCNSSEFRIVLQIFCFRLSNKSRNTPFPKKTSRPNTTLRFIGFVAKISVLNTKLPADGQEPKQRKESVIFFI >Vigun05g126800.1.v1.2 pep primary_assembly:ASM411807v1:5:14329878:14335341:1 gene:Vigun05g126800.v1.2 transcript:Vigun05g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINENEIRITTQGAIRNYITYATSLLQEKHAREIVLKAMGQAISKTVAIAEILKKRIPRLHQDTGISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSPGYQAPSNVEQPKQHTNYQQQPIKPARVPYNAVNEDSYGRGRGRGRGRGRGRNWGRGGYGYQGGYGNYQGGYGYYQGGYANYQDNGGYSNRGRGGGRGRGWGYRGTGYDGGRGGGAGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYERGRGGGRGYGRGRGRMGGRTRGGANQA >Vigun05g126800.3.v1.2 pep primary_assembly:ASM411807v1:5:14329878:14335341:1 gene:Vigun05g126800.v1.2 transcript:Vigun05g126800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINENEIRITTQGAIRNYITYATSLLQEKHAREIVLKAMGQAISKTVAIAEILKKRIPRLHQDTGISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSPGYQAPSNVEQPKQHTNYQQQPIKPARVPYNAVNEDSYGRGRGRGRGRGRGRNWGRGGYGYQGGYGNYQGGYGYYQGGYANYQDNGGYSNRGRGGGRGRGWGYRGTGYDGGRGGGAGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYERGRGGGRGYGRGRGRMGGRTRGGANQA >Vigun05g126800.2.v1.2 pep primary_assembly:ASM411807v1:5:14329878:14335341:1 gene:Vigun05g126800.v1.2 transcript:Vigun05g126800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINENEIRITTQGAIRNYITYATSLLQEKHAREIVLKAMGQAISKTVAIAEILKKRIPRLHQDTGISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSPGYQAPSNVEQPKQHTNYQQQPIKPARVPYNAVNEDSYGRGRGRGRGRGRGRNWGRGGYGYQGGYGNYQGGYGYYQGGYANYQDNGGYSNRGRGGGRGRGWGYRGTGYDGGRGGGAGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYEGGRGGGYERGRGGGRGYGRGRGRMGGRTRGGANQA >Vigun11g204400.1.v1.2 pep primary_assembly:ASM411807v1:11:40163679:40168589:1 gene:Vigun11g204400.v1.2 transcript:Vigun11g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPEQPSFAKEGNSSGEVASAREPLLVGVHDSESYSVSAAILPFLFPALGGLLFGYDIGATSSATISIQSSTLSGISWYNLSSVEIGLLTSGSLYGALIGSVLAFNVADFLGRRRELILAALLYFIGALVTAFAPDFPVLVLGRLIFGTGIGLAMHAAPMYIAETAPTPIRGQLISLKEFFIVLGMVAGYGIGSLFVVTVSGWRYMYGVSSPIAIIMGLGMWWLPVSPRWLLLRAIQGKGDVQNSKDIAIRSLCQLRGQASGDSAHRQVDEILAELSYLGEEKEVTFGEIFQGKCLKALWIGAGLVLFQQITGQPSVLYYAASIFQSAGFSGASDATRVSILLGAFKLIMTGVAVVVVDKLGRRPLLLGGVSGIVISLFLLGSYYVFLDNSPVIAVVGLLLYVGCYQISFGPIGWLMIAEIFPLRLRGRGLGIAVLVNFGANALVTFAFSPLKELLGAGILFYIFCVIAVASLAFIYLIVPETKGLTLEEIEAKCL >Vigun02g053900.1.v1.2 pep primary_assembly:ASM411807v1:2:19679349:19682043:1 gene:Vigun02g053900.v1.2 transcript:Vigun02g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKTTRFSILALCFGVMVFILFLQIIVIGDNTWNVKLRTKLLISRSVPDRNVNDGSAAAITCDYSHQEYDLCTINGSTLLDSASSTIFAVGPHTKDKYHAPLKIRPYPRKSDKVAMSGVKELNLIEAQPKLSCAVTHRTPALVFSAAGYTGNYYHEINDNFIPLFITINSLFPNRDVTLVVTEGKLWWFQKYAELLSAFSPHRSIISADNISTVHCFPSATLGLIKHGPMIIDPKLLLPNPKTLLHFRDFLGKVYTKSGTPFMYPKEKKKPQLTVISRTHSRAIVNEEDVIKVGEELGFSVHVFEPSRSTPMAKVYGVIHGSDVLLGVHGAGLTNFLFMRPGSVLVQVKPIGLEWVSRTYYEKPPKYLGVEYLEYKIEVNESSLLQKYGADSLVIKDPGAFQRKWPHKRVHWTEQNVTIDIARFRKCLIKAHEKAKIFMSKVN >Vigun07g114100.2.v1.2 pep primary_assembly:ASM411807v1:7:21119972:21124633:1 gene:Vigun07g114100.v1.2 transcript:Vigun07g114100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMHASDTGNSSFCSQSCGSCPSAVVPEMDKTGGEDRQDKGCKNKRKLAHPSILPASLPLSLFDFPRYELPASQSGSNEFSPSQWWSEFLSKENELQMRELSDWSDPIASQLEELLLSNLQAIFTCALKQVVELGFDEKLVEMSLARKALYIEEGDPVTNIVDRTVNVLKGKEDATDFVFESFQHLLHYTMVEMISVVREVKPSLTVGDAMWVLLISDLNLSLACAMQDCPGVVGNGESSASSSSLQSKSEVQSSDIISNCSSPTLQKDLSSNHQNQRSGEPKFGSFPNSPNNQNSQATGGVKLKAENVSLPITAEKSSGTSGFPAHECKSGSCSKRHTRKEIAALRQKFFHMEKTYRNCGKAGFKSGKITSVGSLVVEKRLKSPSEIPNQQMKCGSSNLLSSKGVYSANITCHVSTCDASVLPAEGNSGTLPTKNTISSSPMVNAKTSTRDSTSKPKSELSCSVKILDYCADIPFDEASGKYVARDEKDELILKLFARLQELHDELLGWNNWTNQKVMQVTNRLGKLQPEFKTLRKEKQDAELLKKEKKLAQETAVKRISEMENAMENTKKQIESAASATLVLEAENSLLKKELDDAKLWVVKSMASHQQALESEQTALKQAQSWESHNSLLRDDLEKEKHKLFNLQQELHKEKNHQAKIEGRLAKERAAKEKLLSQAASIKKDREQRELHMKSEEDMIRRKAARDLQKYVEDIGKVEKELIDLKLKSDSEKIAALRRCVDERNDSFSRTKSAPNMKGNKKSDESQTMVSCQDNLAAGSLRREQECVMCLSEEMSVVFLPCSHQVVCAECNELHEKQGMKECPSCRTPIQRRIRARFARR >Vigun07g114100.1.v1.2 pep primary_assembly:ASM411807v1:7:21119972:21124633:1 gene:Vigun07g114100.v1.2 transcript:Vigun07g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIQEIPHFALSLAAPAQDIELTRKGFVGFSAVVPEMDKTGGEDRQDKGCKNKRKLAHPSILPASLPLSLFDFPRYELPASQSGSNEFSPSQWWSEFLSKENELQMRELSDWSDPIASQLEELLLSNLQAIFTCALKQVVELGFDEKLVEMSLARKALYIEEGDPVTNIVDRTVNVLKGKEDATDFVFESFQHLLHYTMVEMISVVREVKPSLTVGDAMWVLLISDLNLSLACAMQDCPGVVGNGESSASSSSLQSKSEVQSSDIISNCSSPTLQKDLSSNHQNQRSGEPKFGSFPNSPNNQNSQATGGVKLKAENVSLPITAEKSSGTSGFPAHECKSGSCSKRHTRKEIAALRQKFFHMEKTYRNCGKAGFKSGKITSVGSLVVEKRLKSPSEIPNQQMKCGSSNLLSSKGVYSANITCHVSTCDASVLPAEGNSGTLPTKNTISSSPMVNAKTSTRDSTSKPKSELSCSVKILDYCADIPFDEASGKYVARDEKDELILKLFARLQELHDELLGWNNWTNQKVMQVTNRLGKLQPEFKTLRKEKQDAELLKKEKKLAQETAVKRISEMENAMENTKKQIESAASATLVLEAENSLLKKELDDAKLWVVKSMASHQQALESEQTALKQAQSWESHNSLLRDDLEKEKHKLFNLQQELHKEKNHQAKIEGRLAKERAAKEKLLSQAASIKKDREQRELHMKSEEDMIRRKAARDLQKYVEDIGKVEKELIDLKLKSDSEKIAALRRCVDERNDSFSRTKSAPNMKGNKKSDESQTMVSCQDNLAAGSLRREQECVMCLSEEMSVVFLPCSHQVVCAECNELHEKQGMKECPSCRTPIQRRIRARFARR >Vigun07g114100.4.v1.2 pep primary_assembly:ASM411807v1:7:21120443:21124633:1 gene:Vigun07g114100.v1.2 transcript:Vigun07g114100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTGGEDRQDKGCKNKRKLAHPSILPASLPLSLFDFPRYELPASQSGSNEFSPSQWWSEFLSKENELQMRELSDWSDPIASQLEELLLSNLQAIFTCALKQVVELGFDEKLVEMSLARKALYIEEGDPVTNIVDRTVNVLKGKEDATDFVFESFQHLLHYTMVEMISVVREVKPSLTVGDAMWVLLISDLNLSLACAMQDCPGVVGNGESSASSSSLQSKSEVQSSDIISNCSSPTLQKDLSSNHQNQRSGEPKFGSFPNSPNNQNSQATGGVKLKAENVSLPITAEKSSGTSGFPAHECKSGSCSKRHTRKEIAALRQKFFHMEKTYRNCGKAGFKSGKITSVGSLVVEKRLKSPSEIPNQQMKCGSSNLLSSKGVYSANITCHVSTCDASVLPAEGNSGTLPTKNTISSSPMVNAKTSTRDSTSKPKSELSCSVKILDYCADIPFDEASGKYVARDEKDELILKLFARLQELHDELLGWNNWTNQKVMQVTNRLGKLQPEFKTLRKEKQDAELLKKEKKLAQETAVKRISEMENAMENTKKQIESAASATLVLEAENSLLKKELDDAKLWVVKSMASHQQALESEQTALKQAQSWESHNSLLRDDLEKEKHKLFNLQQELHKEKNHQAKIEGRLAKERAAKEKLLSQAASIKKDREQRELHMKSEEDMIRRKAARDLQKYVEDIGKVEKELIDLKLKSDSEKIAALRRCVDERNDSFSRTKSAPNMKGNKKSDESQTMVSCQDNLAAGSLRREQECVMCLSEEMSVVFLPCSHQVVCAECNELHEKQGMKECPSCRTPIQRRIRARFARR >Vigun07g114100.3.v1.2 pep primary_assembly:ASM411807v1:7:21120276:21124633:1 gene:Vigun07g114100.v1.2 transcript:Vigun07g114100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQCFIDTGNSSFCSQSCGSCPSAVVPEMDKTGGEDRQDKGCKNKRKLAHPSILPASLPLSLFDFPRYELPASQSGSNEFSPSQWWSEFLSKENELQMRELSDWSDPIASQLEELLLSNLQAIFTCALKQVVELGFDEKLVEMSLARKALYIEEGDPVTNIVDRTVNVLKGKEDATDFVFESFQHLLHYTMVEMISVVREVKPSLTVGDAMWVLLISDLNLSLACAMQDCPGVVGNGESSASSSSLQSKSEVQSSDIISNCSSPTLQKDLSSNHQNQRSGEPKFGSFPNSPNNQNSQATGGVKLKAENVSLPITAEKSSGTSGFPAHECKSGSCSKRHTRKEIAALRQKFFHMEKTYRNCGKAGFKSGKITSVGSLVVEKRLKSPSEIPNQQMKCGSSNLLSSKGVYSANITCHVSTCDASVLPAEGNSGTLPTKNTISSSPMVNAKTSTRDSTSKPKSELSCSVKILDYCADIPFDEASGKYVARDEKDELILKLFARLQELHDELLGWNNWTNQKVMQVTNRLGKLQPEFKTLRKEKQDAELLKKEKKLAQETAVKRISEMENAMENTKKQIESAASATLVLEAENSLLKKELDDAKLWVVKSMASHQQALESEQTALKQAQSWESHNSLLRDDLEKEKHKLFNLQQELHKEKNHQAKIEGRLAKERAAKEKLLSQAASIKKDREQRELHMKSEEDMIRRKAARDLQKYVEDIGKVEKELIDLKLKSDSEKIAALRRCVDERNDSFSRTKSAPNMKGNKKSDESQTMVSCQDNLAAGSLRREQECVMCLSEEMSVVFLPCSHQVVCAECNELHEKQGMKECPSCRTPIQRRIRARFARR >Vigun07g139100.1.v1.2 pep primary_assembly:ASM411807v1:7:24873678:24875215:1 gene:Vigun07g139100.v1.2 transcript:Vigun07g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTKEIILSIAVIVLSSSGICRAVDCGGPEISTTIVVDKSNTPPNFASIQAAIDSIPTSNSKWVKIQINAGTYKEMVNIPVDKPCIFIQGQGADVTTITYDDHSAVNTSATFSAFSNNVVASDITFLNSYGLETLENLIIHNISYGIRTPSVAARISGDKCAFYNCNFIGFQDTVWDELGRHYFKNCMIEGAVDFIFGDGQSYYQDCVLNATALGCITAQARNVSSDPSGFVFEGGSVIGSSNGDSLLGRGYRYCSRVIFYKMDLGSVVRPVGWDAWNAKDNVTCLFYSEIGCTGAGFSTSQRVPWEKNLTDTDFNNNFSLSVFINQDGWLTQLPQKK >Vigun08g142100.1.v1.2 pep primary_assembly:ASM411807v1:8:31459178:31461712:-1 gene:Vigun08g142100.v1.2 transcript:Vigun08g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKPNGEEEAKEERKENLYDVVQRLIFEPSKINATLVPLFPEASRNYSRHLLLCFRQASPLRRLLFISVATVALVALSGLVAFMLFVLAATINAIFVSLLISLAVAGGFLALSFAFVTAIYIGALSVTIFAISTVVFWAVVAIVITAGWVGFLYTLWLGTRKSLDFANRSLSVTASAITTYSAAWGTRNLEHKKSI >Vigun05g106100.7.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.4.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVGVSLLIFVFVEVIWCEGCWKEEREALLGLNSLSEFALSWLWEGTDCCEWGRVECNSSTGRVAKLNLQGLRYLSYANLVVFKDLKSLNLGGIYGILDCADSEGLQNLEVLDLTENGMGVTDIVVCVNRLPSLKILYLSSNGFDPTYNGFDETFKGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQVTGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.5.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVGVSLLIFVFVEVIWCEGCWKEEREALLGLNSLSEFALSWLWEGTDCCEWGRVECNSSTGRVAKLNLQGLRYLSYANLVVFKDLKSLNLGGIYGILDCADSEGLQNLEVLDLTENGMGVTDIVVCVNRLPSLKILYLSSNGFDPTYNGFDETFKGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.1.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVGVSLLIFVFVEVIWCEGCWKEEREALLGLNSLSEFALSWLWEGTDCCEWGRVECNSSTGRVAKLNLQGLRYLSYANLVVFKDLKSLNLGGIYGILDCADSEGLQNLEVLDLTENGMGVTDIVVCVNRLPSLKILYLSSNGFDPTYNGFDETFKAFESLSSELVRLEVLDVSENSLTNDILPSLGGFTSLKELYLSHNDLDSDMHIQGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQVTGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.3.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVGVSLLIFVFVEVIWCEGCWKEEREALLGLNSLSEFALSWLWEGTDCCEWGRVECNSSTGRVAKLNLQGLRYLSYANLVVFKDLKSLNLGGIYGILDCADSEGLQNLEVLDLTENGMGVTDIVVCVNRLPSLKILYLSSNGFDPTYNGFDETFKAFESLSSELVRLEVLDVSENSLTNDILPSLGGFTSLKELYLSHNDLDSDMHIQGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.6.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQVTGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.2.v1.2 pep primary_assembly:ASM411807v1:5:10733181:10738246:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVGVSLLIFVFVEVIWCEGCWKEEREALLGLNSLSEFALSWLWEGTDCCEWGRVECNSSTGRVAKLNLQGLRYLSYANLVVFKDLKSLNLGGIYGILDCADSEGLQNLEVLDLTENGMGVTDIVVCVNRLPSLKILYLSSNGFDPTYNGFDETFKAFESLSSELVRLEVLDVSENSLTNDILPSLGGFTSLKELYLSHNDLDSDMHIQGLCSKLKNLEVLDLSSNNFNDTDIASAISELSSLKSLDLGYSQLPPTSILRLLTLTSLEILDVSYNQINNFSNEFLSSLSGLQRLKSLVLKDNELGGSLDISGLLALTSLESLDLSYNKINSFVVHQGSKGLRKLEVLNLDNNMINGSRLRESLQPFSSIRVLSMIKNEIIGNITAGDFRDLKNLEHLALDSSSPLDTEFFKSIGELTSLKNLSASNCKISGTLPPADWFKLKNLEELDLSQNSFVGSLPSSFLNMTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun05g106100.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10733181:10738247:-1 gene:Vigun05g106100.v1.2 transcript:Vigun05g106100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRKLELSQNKFSGQLDSNIASLTSLEYFGFTQNQFEVPLSFTPFANLSNLKFIYGDGNKVILDLQPSLQTWIPKFQLQELSLHSTTENDSLPLPRFLLLQRSLTKLDFTSCRLEGVFPNWLFENNTKLTELVVRNCSFKGVLQLPSHPLTSMTKIDVSDNNITGQIPNNNISLILPNLQFLNLSRNHIQGSIPPEFGQMSLLDTLDLSDNLLSGEIPKNISRDGSKLKILTLSHNELDGPIFPTLKYLEELYLDDNNLYGSIPSSFFNSSLQRLDLSYNNLVGTLQTVIGNMSNLQTLSLSNNHLEGSIPTRLVELEYLSYLDLSNNNLTGYVPSFANGSVNYIHLSNNRLSGLSKTMFTNTSYIVMLDLSYNEITSGIQDLIQDLADTRLNILILKGNRFTGHLPEQLCQLVDLSILDLSDNNFVGPIPNCLGKVPFQSDDPQVLWLAFNGYVRIDNGKYSMLIPNIKEKAEFTTKKRSYTYTTSILIYMSGIDLSSNKLNGSIPSELGNLTRIRAFNLSHNDLIGQIPTTFSNLVKVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPERKGQFITFDESSYEGNSLLCGPPLVKSCHPYAQSPTISPNDEDNDTPIDMHAFGVSFVVAYTSVLLVIVATLYINPYWRRAWFSYMEFIFLSCYYFMQDSWRRFSNSRNT >Vigun11g184400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38629734:38630012:-1 gene:Vigun11g184400.v1.2 transcript:Vigun11g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIKKASLPAIQASSKAVDVPKGYLVVYVGDKMKRFIVPVSYLNQPSFQDLLSQSEEEFGYDHPMGGLTIPCREDMFLDITSRLIKC >Vigun01g217600.1.v1.2 pep primary_assembly:ASM411807v1:1:39146079:39151435:1 gene:Vigun01g217600.v1.2 transcript:Vigun01g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRVDNGFNGFRVPIIPKAPRSVRRRGPLNKAAEDGRVCAIELLASLAGQLLQESESSASSNASEGNVQPAFSQGVIEQARENEVKPLKKEEIHQGSCAESTIKTEVGSQNSSQKPPPYAKTDFSQGHVTVNNDSDIWEKVEGDVKSEIFKWDNKFGNYSNRLVKTPEKFRESSDGKMKNGFKQEQEAGSSCFRGSNLADKCSLKDHLELYVSPTLINSKSNIKSSFHRKSSPSASFSRRGNVVKLGSRDDDENFLRCKRVCTKSKAFRSPRRIAHRRIRNLMSSKYWKTAPKLKDCELSRSDLGVPHYHKRKTFYGFERSQHNTIIKKRKIVDRVSGVTSDGGFSSESVSNSPQKGTDGDKPNSSTKLHLAKAKDSHVKFSIKSIRIPELYIEVPETATVGSLKRTIMEAVMAILGGGAHVGVLHHGKKVRDDSRTLVQTGISCNENLDTLSFMLEPVSLQASPTVCAGDPSSQCETSQLTRPIETPALDSGITDTLHDSPLLTYPGNLIESNQETTSSLADTTVDKITSDCRALVPVPASTEALAVVPVSQKTKRSEFVQRRTRRPFSVTEVEALVHAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTATISPQQRRGEPVPQELLDRVLAAHAFWSRHQAKQHGKHQTGSGALKITEASAERPCVC >Vigun05g119801.1.v1.2 pep primary_assembly:ASM411807v1:5:13108437:13109826:1 gene:Vigun05g119801.v1.2 transcript:Vigun05g119801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLYLNTKHNDICQSSIHCRLLKHHKMGIINKPIGVIKYPDVVKGLHVASIRGHHSRF >Vigun07g019000.2.v1.2 pep primary_assembly:ASM411807v1:7:1572756:1576899:1 gene:Vigun07g019000.v1.2 transcript:Vigun07g019000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGSRTSTSNGGTRGSSSYWWCRGHKKDSSHAHKGGKKNAKAIDAIQDKPPEVMKIESDEVRPPHQAMETKPAPDQTRAAQPQKPKRPPNVRKPASAGLKADSVLLRKTGHLKDSYNLGPKLGQGQFGTTFLCVEKATGKEYACKSILKRKLVTEEDVEDVRREIQIMHHLAGSPNVISIKEAFEDAVSVHVVMELCAGGELFDRIVERGHYTERKAAKLARTIVGVIESCHSLGVMHRDLKPENFLFVNQQEEAPLKAIDFGLSAFFKPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLCGVPPFWGETEQEIFDAILHFQLDFTSDPWPSISASAKDLVRKMLVRDPSKRISAFDVLRHPWIQVDGEAPDKPLDSAVLSRLKQFYAMNKLKKMALRVIAQNLSEEEIAGLKEMFKTIDTDNSGQITFEELKVGLKKFGANLNESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKVQREDHLVAAFAYFDKDGSGYITQDELQQACEEFGIGDVPLEEMIREADQDNDGRIDYNEFVAMMQKGNADLGKKGRKGSTSFRIGFREALPVC >Vigun07g019000.1.v1.2 pep primary_assembly:ASM411807v1:7:1572756:1576899:1 gene:Vigun07g019000.v1.2 transcript:Vigun07g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGSRTSTSNGGTRGSSSYWWCRGHKKDSSHAHKGGKKNAKAIDAIQDKPPEVMKIESDEVRPPHQAMETKPAPDQTRAAQPQKPKRPPNVRKPASAGLKADSVLLRKTGHLKDSYNLGPKLGQGQFGTTFLCVEKATGKEYACKSILKRKLVTEEDVEDVRREIQIMHHLAGSPNVISIKEAFEDAVSVHVVMELCAGGELFDRIVERGHYTERKAAKLARTIVGVIESCHSLGVMHRDLKPENFLFVNQQEEAPLKAIDFGLSAFFKPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLCGVPPFWGETEQEIFDAILHFQLDFTSDPWPSISASAKDLVRKMLVRDPSKRISAFDVLRHPWIQVDGEAPDKPLDSAVLSRLKQFYAMNKLKKMALRVIAQNLSEEEIAGLKEMFKTIDTDNSGQITFEELKVGLKKFGANLNESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKVQREDHLVAAFAYFDKDGSGYITQDELQQACEEFGIGDVPLEEMIREADQDNDGRIDYNEFVAMMQKGNADLGKKGRKGSTSFRIGFREALPVC >Vigun04g091350.1.v1.2 pep primary_assembly:ASM411807v1:4:16717108:16717467:1 gene:Vigun04g091350.v1.2 transcript:Vigun04g091350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNPKPQKVPKVFKARRIARSLLSLIFLKLIFAIIIDNNASVSSKSVCQTRCIEFYDYINNCRNLGNTRGICNDDLCCCSK >Vigun02g001800.1.v1.2 pep primary_assembly:ASM411807v1:2:1018228:1021810:-1 gene:Vigun02g001800.v1.2 transcript:Vigun02g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATLPSNRRRFPATSYRRATGNFTTIMLPESALVFRRSIGAALFFLLVSFSCILLFRNADYSRISSSNHWGRVSAVFPSNDSVAISNEYSLENILNEAAMKDKTVILTTLNEAWAAPNSIIDLFLESFRIGDRTRWLLNHLVIVALDPKAFMRCQAIHSYCYLLATEAVDFHEEAFFMTPRYLKMMWRRIDFLRSVLELGYNFVFTDADIMWFRDPFPRFHRDADFQIACDHFTGSFSDVQNRPNGGFNFVKSNNRSIEFYKFWYSSQETYPGYHDQDVLNFIKVDPFITDLGLRMKFLDTVNFGGLCEPSKDLNKVCTMHANCCYGMNSKLHDLRIMLQDWKYYLTLAPSLKRLSIISWRVPQKCSLDSLKHPGAPEKSVQEN >Vigun08g020300.2.v1.2 pep primary_assembly:ASM411807v1:8:1766022:1772540:1 gene:Vigun08g020300.v1.2 transcript:Vigun08g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTVLIATTLVPAMGGNHGDKAHVIQSLLFMSGVNTLLQTLFGSRLPTVMGGSFAFLLPVLSIINDYTDRTFPSEHERFVYTIRTIQGSLIVSSFVNIFLGFSKTWGNLTRLFSPISIVPVVCVVGLGLFSRGFPLLANCVQIGLPMLILLVITQQYLKRLHHAAHHVLERFALLLCIAVIWAFAAILTVAGAYNTAKAQTRVSCRTDSSYLMSSAPWIKVPYPFQWGTPIFRASHVFGMMGAALVSSAESTGAFFAAARLSGATPPPAHVLSRSIGFQGIGMLIEGIFGSVVGTTVSVENVGLLGLTHIGSRRVVQISCGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLYGIVASVGISFIQFANTNSIRNIYVLGITLFLAISVQQYFVTNTAPDGHGPVKTDGGWFNDILNTIFSSAPTVAIIVGTLIDNTLEGKSKAVDRGLPWWGPFQNRKGDVRNDEFYRLPLRINEYMPTRFL >Vigun08g020300.1.v1.2 pep primary_assembly:ASM411807v1:8:1765300:1772540:1 gene:Vigun08g020300.v1.2 transcript:Vigun08g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHHHAPPPVQAPPAGPPPPNLALSRGPVWNPAEQLLQLHYCIHSNPSWPEALLLGFQHYIVMLGTTVLIATTLVPAMGGNHGDKAHVIQSLLFMSGVNTLLQTLFGSRLPTVMGGSFAFLLPVLSIINDYTDRTFPSEHERFVYTIRTIQGSLIVSSFVNIFLGFSKTWGNLTRLFSPISIVPVVCVVGLGLFSRGFPLLANCVQIGLPMLILLVITQQYLKRLHHAAHHVLERFALLLCIAVIWAFAAILTVAGAYNTAKAQTRVSCRTDSSYLMSSAPWIKVPYPFQWGTPIFRASHVFGMMGAALVSSAESTGAFFAAARLSGATPPPAHVLSRSIGFQGIGMLIEGIFGSVVGTTVSVENVGLLGLTHIGSRRVVQISCGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLYGIVASVGISFIQFANTNSIRNIYVLGITLFLAISVQQYFVTNTAPDGHGPVKTDGGWFNDILNTIFSSAPTVAIIVGTLIDNTLEGKSKAVDRGLPWWGPFQNRKGDVRNDEFYRLPLRINEYMPTRFL >Vigun05g270700.1.v1.2 pep primary_assembly:ASM411807v1:5:46220446:46223242:1 gene:Vigun05g270700.v1.2 transcript:Vigun05g270700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVPQTNKNSVIQRTRVSRKWDSPKMERTMRVAFPLVVFAVATMCYGVADAAVVKPPPTYLKWHYYRITNTCLYAEEYVRHQVKLFWDRDRSITAKLLRLVYSDCFVTGCDASILLDEGPNPEKKAPQNRGLGGFVVIDKIKDVLESRCPGKVSCADILHLATRDAVHLAGGPSYPVLTGRKDSSSTSDAASVDLPSPSISLQKLLDYFKSRNLNEVHMTTLLGAHTMGRTHCSYIVDRLYNYNGSGKPDPSMSATLLDSLRKLCPPRKKGQPDPLVYLNPESGSNYNFTESYYRRILSNNAVLGVDQQLLYGNNTKQITEEFAVGFEDFRKNFATAMYKMGNFKVLTGNQGEIRKNCRYTNK >Vigun01g049500.1.v1.2 pep primary_assembly:ASM411807v1:1:7727265:7729306:-1 gene:Vigun01g049500.v1.2 transcript:Vigun01g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKQCRFIATSVLSNMKVSGAFCGLVFVILVVSTSEAALSCSDVIKDLRPCVSYLVSGSGKPPGACCSGAKALASAASTSEDKKAACNCIKSTAKSITINSQLAQALPGNCGITLPVSISPNADCSKVG >Vigun08g064100.1.v1.2 pep primary_assembly:ASM411807v1:8:8935455:8937481:-1 gene:Vigun08g064100.v1.2 transcript:Vigun08g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLILHAIVFLLVFIAGCHAQLGVDYYRNTCPNVESIVRRAVEVKFQQTDVTVPATLRLFFHDCFVRGCDASVMLASTNHTSEKDSPIDLSLAGDGFDTVIKAKAAVDSVPGCQNKVSCADILAMATRDVIALAGGPSYAVELGRLDGRVSTKGSVRNHIPLPDFKLEKLNQMFASHGLTLTDLVALSGAHTVGFSHCNQFSKRIYNFKGNKSIDHTLNPAYAKELQQKCPKNAEPEAAVQMDPVTPKIFDNQYYKNLQQGKGLLSSDQALFTHKRTRELVNLFASNNTVFETSFVSAITKLGRIGIKTGHQGEIRRDCSMVN >Vigun01g225000.1.v1.2 pep primary_assembly:ASM411807v1:1:39805929:39807776:1 gene:Vigun01g225000.v1.2 transcript:Vigun01g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCDSVTVISKCKVVPHKNSTLGDLKLSISDLNMLLCHYIQKGCLFTTPSLPSQPLIPHLTKALSHTLSLFPPFAGRLKTDVDGYVYITCNDAGVDFIHATATDVSVADLLSSSDVHPIVKHLFPFHHKISYTAHSSPIMAFQVTELADGVFIGCAVCHAVTDGASFWNFFNTFAANSRGETACLSRLPDFRRDSILTSKVVLQLPKEIKATFNVEAPFREKIFSFSRESVQKLKALVNKPPIMFTPESVDVADEMMAKLNTDTQLKTAKEGARVETTEISSFQSLCALMWKCVTRARNMKKSKVTSFRTAANIRQRMKPKLADFYFGNALQSITTCATVGDVVLKDLQWCAEQLSRSVKAFDSATVQRNVENWEREPKCYELGNHDGATVQMGSSPRFPMYDNDFGWGRPITVRSGGANKFDGKMSAFPGRNGGGSVDVEVVLAPETMAQLESDPEFLLYVSSQCVGTRV >Vigun01g233300.1.v1.2 pep primary_assembly:ASM411807v1:1:40513296:40516885:-1 gene:Vigun01g233300.v1.2 transcript:Vigun01g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVNTAFTSFIPRKPTCVFPSKSTTSISCTLQGTVAEPKSTAAAEPLLLNAVRGVEVERPPVWLMRQAGRYMKSYQTICEKYPSFRERSENVDLVVEISLQPWHVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPIHTDAQVNQVREFVPEESVPYVGEALTILRKEVNDTAAVLGFVGAPFTLASYVVEGGSSKNFSKIKRLAFSESKILHALLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIIDTVKKSHPNLPLILYASGSGGLLERLALTGVDVISLDWTVDMADGRRRLGPNIAVQGNVDPGVLFGSKDFITDRINDTVKKAGRGKHILNLGHGIKVGTPEENVAHFFEVAKSVRY >Vigun01g233300.2.v1.2 pep primary_assembly:ASM411807v1:1:40513296:40516190:-1 gene:Vigun01g233300.v1.2 transcript:Vigun01g233300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGRYMKSYQTICEKYPSFRERSENVDLVVEISLQPWHVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPIHTDAQVNQVREFVPEESVPYVGEALTILRKEVNDTAAVLGFVGAPFTLASYVVEGGSSKNFSKIKRLAFSESKILHALLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIIDTVKKSHPNLPLILYASGSGGLLERLALTGVDVISLDWTVDMADGRRRLGPNIAVQGNVDPGVLFGSKDFITDRINDTVKKAGRGKHILNLGHGIKVGTPEENVAHFFEVAKSVRY >Vigun04g083400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13045677:13046579:-1 gene:Vigun04g083400.v1.2 transcript:Vigun04g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDVWSVAACSDANAASHVNRIMLRFRPIAPKPVAGSSSAAVAGGGGEVSQSSQVSVLGKRPKRKYVRIRRNSGYVRKNSSNNVNGNGNDIDKSSDVAVVTLQLMPEKEAPGGNSAAGDSWCKNVDLDLTVEKIQIVQNRNPKAPCATAEERRGGKGSDLVAAGKVAESWVTVESVISTCMGDGGGGLGCTDAEKVRTLESDTCPGFVCDGSLRVRWVNEAYKRMVASEDIVVWLKVKDTASAAWWCYSHPAFTCGVRLQYTWRNEKCTKMVPCDVWRLDCGGFAWRLDVKAALSLGL >Vigun07g254700.2.v1.2 pep primary_assembly:ASM411807v1:7:37303306:37311550:1 gene:Vigun07g254700.v1.2 transcript:Vigun07g254700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSYGILWNISQRGLACQVLTLRCSRFRKLNLMGEFMHMHTLNLDFSSSLTSFQEECFNCMPNLMRLSMCDTRITNLWTTVAALSKLPSLIELRFQYWQYCNDSGISFTSCSGKSDDTADFSLLDRIPFIVEPFTNTTELADPNISAEDPLRNFYSLDEEVVNHDVQSMAEDSSDDSEVDFTSHHHRYWLSDVFPGWSSEVPLLYENEEEEDSSQGTFVEPITDVSMKYMSRHASPICYEKHYREFMIASLPNLKSLDNMRIRKIDKERATGIFSQYFEYLPYKWKHKESVVSILQKREIKSGQSKVHSSKNRTSYPSRQSQYFYARSLSAAKLGSSTWPFLHPLSLLGCELDKGFHPRQFEYHPSDSSLMVFGTLDGEVVVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHIPRKVTGIHGNFGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDHDVKMWDLRQKPIHPCFTVSSSRGNVMVCFSPDDQYILASAVDNEVRQYLAIDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHVRICCAQTGRRLRDISLEGRNLGSSVFVQSLRGDPFRDFNLSVLAAYMRPGSKSKIVKINLLASSGHTNKDDSDNPHPCPFHSMGA >Vigun07g254700.4.v1.2 pep primary_assembly:ASM411807v1:7:37304227:37311550:1 gene:Vigun07g254700.v1.2 transcript:Vigun07g254700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSYGILWNISQRGLACQVLTLRCSRFRKLNLMGEFMHMHTLNLDFSSSLTSFQEECFNCMPNLMRLSMCDTRITNLWTTVAALSKLPSLIELRFQYWQYCNDSGISFTSCSGKSDDTADFSLLDRIPFIVEPFTNTTELADPNISAEDPLRNFYSLDEEVVNHDVQSMAEDSSDDSEVDFTSHHHRYWLSDVFPGWSSEVPLLYENEEEEDSSQGTFVEPITDVSMKYMSRHASPICYEKHYREFMIASLPNLKSLDNMRIRKIDKERATGIFSQYFEYLPYKWKHKESVVSILQKREIKSGQSKVHSSKNRTSYPSRQSQYFYARSLSAAKLGSSTWPFLHPLSLLGCELDKGFHPRQFEYHPSDSSLMVFGTLDGEVVVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHIPRKVTGIHGNFGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDHDVKMWDLRQKPIHPCFTVSSSRGNVMVCFSPDDQYILASAVDNEVRQYLAIDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHVRICCAQTGRRLRDISLEGRNLGSSVFVQSLRGDPFRDFNLSVLAAYMRPGSKSKIVKINLLASSGHTNKDDSDNPHPCPFHSMGA >Vigun07g254700.1.v1.2 pep primary_assembly:ASM411807v1:7:37303306:37311550:1 gene:Vigun07g254700.v1.2 transcript:Vigun07g254700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDIQTLEDRYIDSCRRQGVLPNSSILSSLFKAEVKKSNHELCKLEILIDDLKDVDIAPLLDLCMNFDASEIEAVDVRNESSCVLNGEHALSLMRAVNKKLRVVHLQDLSYGKDFLRNISQRGLACQVLTLRCSRFRKLNLMGEFMHMHTLNLDFSSSLTSFQEECFNCMPNLMRLSMCDTRITNLWTTVAALSKLPSLIELRFQYWQYCNDSGISFTSCSGKSDDTADFSLLDRIPFIVEPFTNTTELADPNISAEDPLRNFYSLDEEVVNHDVQSMAEDSSDDSEVDFTSHHHRYWLSDVFPGWSSEVPLLYENEEEEDSSQGTFVEPITDVSMKYMSRHASPICYEKHYREFMIASLPNLKSLDNMRIRKIDKERATGIFSQYFEYLPYKWKHKESVVSILQKREIKSGQSKVHSSKNRTSYPSRQSQYFYARSLSAAKLGSSTWPFLHPLSLLGCELDKGFHPRQFEYHPSDSSLMVFGTLDGEVVVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHIPRKVTGIHGNFGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDHDVKMWDLRQKPIHPCFTVSSSRGNVMVCFSPDDQYILASAVDNEVRQYLAIDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHVRICCAQTGRRLRDISLEGRNLGSSVFVQSLRGDPFRDFNLSVLAAYMRPGSKSKIVKINLLASSGHTNKDDSDNPHPCPFHSMGA >Vigun07g254700.5.v1.2 pep primary_assembly:ASM411807v1:7:37303306:37311550:1 gene:Vigun07g254700.v1.2 transcript:Vigun07g254700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFMHMHTLNLDFSSSLTSFQEECFNCMPNLMRLSMCDTRITNLWTTVAALSKLPSLIELRFQYWQYCNDSGISFTSCSGKSDDTADFSLLDRIPFIVEPFTNTTELADPNISAEDPLRNFYSLDEEVVNHDVQSMAEDSSDDSEVDFTSHHHRYWLSDVFPGWSSEVPLLYENEEEEDSSQGTFVEPITDVSMKYMSRHASPICYEKHYREFMIASLPNLKSLDNMRIRKIDKERATGIFSQYFEYLPYKWKHKESVVSILQKREIKSGQSKVHSSKNRTSYPSRQSQYFYARSLSAAKLGSSTWPFLHPLSLLGCELDKGFHPRQFEYHPSDSSLMVFGTLDGEVVVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHIPRKVTGIHGNFGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDHDVKMWDLRQKPIHPCFTVSSSRGNVMVCFSPDDQYILASAVDNEVRQYLAIDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHVRICCAQTGRRLRDISLEGRNLGSSVFVQSLRGDPFRDFNLSVLAAYMRPGSKSKIVKINLLASSGHTNKDDSDNPHPCPFHSMGA >Vigun07g254700.3.v1.2 pep primary_assembly:ASM411807v1:7:37303306:37311551:1 gene:Vigun07g254700.v1.2 transcript:Vigun07g254700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDASEIEAVDVRNESSCVLNGEHALSLMRAVNKKLRVVHLQDLSYGKDFLRNISQRGLACQVLTLRCSRFRKLNLMGEFMHMHTLNLDFSSSLTSFQEECFNCMPNLMRLSMCDTRITNLWTTVAALSKLPSLIELRFQYWQYCNDSGISFTSCSGKSDDTADFSLLDRIPFIVEPFTNTTELADPNISAEDPLRNFYSLDEEVVNHDVQSMAEDSSDDSEVDFTSHHHRYWLSDVFPGWSSEVPLLYENEEEEDSSQGTFVEPITDVSMKYMSRHASPICYEKHYREFMIASLPNLKSLDNMRIRKIDKERATGIFSQYFEYLPYKWKHKESVVSILQKREIKSGQSKVHSSKNRTSYPSRQSQYFYARSLSAAKLGSSTWPFLHPLSLLGCELDKGFHPRQFEYHPSDSSLMVFGTLDGEVVVINHENEHIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHHIPRKVTGIHGNFGCVTFDEFDQLTSVHVNSTDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDHDVKMWDLRQKPIHPCFTVSSSRGNVMVCFSPDDQYILASAVDNEVRQYLAIDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHVRICCAQTGRRLRDISLEGRNLGSSVFVQSLRGDPFRDFNLSVLAAYMRPGSKSKIVKINLLASSGHTNKDDSDNPHPCPFHSMGA >Vigun03g117000.1.v1.2 pep primary_assembly:ASM411807v1:3:10832720:10839507:1 gene:Vigun03g117000.v1.2 transcript:Vigun03g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNHLFTFFVLCFFTAFFTFFPTLSISTDTLTKSQSLHTNQTLLSPSAIFELGFFSYTNSTWYLAIWYKTTHHTDKTVVWVANRDTPLQNSNAFLKIHDNGNLVIVNQSQKPVWFSNHTAPITNPLLQLLDSGNLVLREENQNDPTRFLWQSFDYPTDTLLPGMKLGWNFDTGMEKHITSWRVTNEDPSSGDFSFKLNYRGLPEIFLWNKDRVIYRSGPWNGDRFSGVPEMQPVTDSIKFTIFADDHQVYYTFSIANHSLFSRLSVNSVGELQRLTWIQSTQVWNKFWYAPKDQCDNYRECGPYGVCDTNASPVCQCIKGFRPRNQQAWNLRDGSGGCVRNTGLACGSDRFLHMQNVKLPDTTSVFANRSMGLVECGDLCQRNCSCTAYANIEISNGGSGCVMWVGELLDLRRYPSGGQDLYVRLAASDVGVEDDSGKTSNTVKDIGIVVGVAGFVLLASAIFILWKKRKLQRVLKWKTEKRGFSERSQDLLMNEGVLSSNREQFGESNMEDLELPLFDFNTITMATNNFSEENKLGQGGFGSVYRGRLKEGQDIAVKRLSKNSGQGIEEFKNEVKLIVKLQHRNLVRLLGCSIQMDEKMLVYEYMENRSLDAILFDKAKKSSLDWQTRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGTDQIEANTMRIVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGKKNRGFYLANKELNLLGHAWKLWIEGNALEVRDSCIETSYSASEVHRCIQVGLLCVQERAEDRPTMSSVVLMLSSDTASMSQPRNPGFCLGRNPMETESSSSKQEESCTVNHVTVTMIDAR >Vigun06g028066.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12388056:12388367:1 gene:Vigun06g028066.v1.2 transcript:Vigun06g028066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KRDLCAVIKTKPRGHIEATNLDYDMPYQEDQVSHVNEIIEVEPILGFQDLENGVEVEDEAIEEDTEEEEIQDFDLEEDDEGEFDDHESEEDNEEMELDDDSSE >Vigun06g028066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12388023:12388355:1 gene:Vigun06g028066.v1.2 transcript:Vigun06g028066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HYVSYLPSRIDKRDLCAVIKTKPRGHIEATNLDYDMPYQEDQVSHVNEIIEVEPILGFQDLENGVEVEDEAIEEDTEEEEIQDFDLEEDDEGEFDDHESEEDNEEMELDDD >Vigun02g103300.2.v1.2 pep primary_assembly:ASM411807v1:2:25789261:25791334:1 gene:Vigun02g103300.v1.2 transcript:Vigun02g103300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEDFELYATKAKTLPPTQTNEDLLIIYGLYKQATVGPVNTARPGMFNMKDRAKWDAWKAVEENQTIFCSEIHGRCNE >Vigun02g103300.1.v1.2 pep primary_assembly:ASM411807v1:2:25789261:25791334:1 gene:Vigun02g103300.v1.2 transcript:Vigun02g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEDFELYATKAKTLPPTQTNEDLLIIYGLYKQATVGPVNTARPGMFNMKDRAKWDAWKAVEAKSTDDAMNDYIIKVKQLLEQAGLPA >Vigun09g213200.2.v1.2 pep primary_assembly:ASM411807v1:9:38732096:38736720:-1 gene:Vigun09g213200.v1.2 transcript:Vigun09g213200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKHSEGIALLSMYNDEEDDEMEVDDEEEDARIGMEEDAAVDARFAAEEDSANRTAVLDSGKEGADEGASTPPNNNLISPPSEEPMISRKGALTIVDYGHDEVAMSPEPEEGEIYGSGRVMIGEQLHVTNGDLLEKTLLGTTLTPSNQVSTPQLSDEAELGEGDQDDPLDKFLPPPPKAKCSEELQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDSSDFYDEIEADMRRESERKEQEKKKAQKVEFIAGGTQPGIVASAPRISMPVAGASAVTTSGLPLVPPTADSRDGRQNKKSKWDKVDGDRKNPLPSAGQDSVSTIGTHAALLSAANAGGGYMQFAQQKRREAEEKRSSERRLERRS >Vigun09g213200.1.v1.2 pep primary_assembly:ASM411807v1:9:38732096:38736720:-1 gene:Vigun09g213200.v1.2 transcript:Vigun09g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKHSEGIALLSMYNDEEDDEMEVDDEEEDARIGMEEDAAVDARFAAEEDSANRTAVLDSGKEGADEGASTPPNNNLISPPSEEPMISRKGALTIVDYGHDEVAMSPEPEQEGEIYGSGRVMIGEQLHVTNGDLLEKTLLGTTLTPSNQVSTPQLSDEAELGEGDQDDPLDKFLPPPPKAKCSEELQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDSSDFYDEIEADMRRESERKEQEKKKAQKVEFIAGGTQPGIVASAPRISMPVAGASAVTTSGLPLVPPTADSRDGRQNKKSKWDKVDGDRKNPLPSAGQDSVSTIGTHAALLSAANAGGGYMQFAQQKRREAEEKRSSERRLERRS >Vigun03g415200.2.v1.2 pep primary_assembly:ASM411807v1:3:62220673:62221313:1 gene:Vigun03g415200.v1.2 transcript:Vigun03g415200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSIEKFRRYSFCFKIPMADEAQSGSQQPQTTPDTKNTDTTKPNTDSNNKSDGSAEMFCYIKFVTQRVKGVTDKMFEGKP >Vigun03g288100.1.v1.2 pep primary_assembly:ASM411807v1:3:47024883:47026705:1 gene:Vigun03g288100.v1.2 transcript:Vigun03g288100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNKNAGGCVAHVRCLRCRQIGFIIQNNGIYGCSFLVFSAYTFLITMSLESTSHQTPDLYCSEVTSEVIDLEDSDEALKLNYVLWGDSNSDESCLLSLLESENDQLQEQTKSPRQIPKTWLINAREEAINWILKVHAYYSFRPETAYLSVNYLDRFLSSHTLKHDKAWPLQLLSVACLSLAAKMEETKVPLLLDLQVIESRFLFNPKTVQRMELLVMASLKWRLRPITPFDFVHLFIAKLSWSASTWGDLSFTVSRVSDVIIRTCLVMDFLVFSPSTIAAAALLWVNNQCVEDTKSDCFHKNINIEMVQKCYKLMKQKQIILRSEFYWPRSMQLLPRSPTCVLDRAAMQESCDDHKP >Vigun03g288100.3.v1.2 pep primary_assembly:ASM411807v1:3:47024883:47026705:1 gene:Vigun03g288100.v1.2 transcript:Vigun03g288100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNKNAGGCVAHVRCLRCRQIGFIIQNNGIYGCSFLVFSAYTFLITMSLESTSHQTPDLYCSEVTSEVIDLEDSDEALKLNYVLWGDSNSDESCLLSLLESENDQLQEQTKSPRQIPKTWLINAREEAINWILKVHAYYSFRPETAYLSVNYLDRFLSSHTLKHDKAWPLQLLSVACLSLAAKMEETKVPLLLDLQVIESRFLFNPKTVQRMELLVMASLKWRLRPITPFDFVHLFIAKLSWSASTWGDLSFTVSRVSDVIIRTCLVMDFLVFSPSTIAAAALLWVNNQCVEDTKSDCFHKNINIKCYKLMKQKQIILRSEFYWPRSMQLLPRSPTCVLDRAAMQESCDDHKP >Vigun03g288100.2.v1.2 pep primary_assembly:ASM411807v1:3:47024874:47026705:1 gene:Vigun03g288100.v1.2 transcript:Vigun03g288100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQTNWLHYSKQCEVTSEVIDLEDSDEALKLNYVLWGDSNSDESCLLSLLESENDQLQEQTKSPRQIPKTWLINAREEAINWILKVHAYYSFRPETAYLSVNYLDRFLSSHTLKHDKAWPLQLLSVACLSLAAKMEETKVPLLLDLQVIESRFLFNPKTVQRMELLVMASLKWRLRPITPFDFVHLFIAKLSWSASTWGDLSFTVSRVSDVIIRTCLVMDFLVFSPSTIAAAALLWVNNQCVEDTKSDCFHKNINIEMVQKCYKLMKQKQIILRSEFYWPRSMQLLPRSPTCVLDRAAMQESCDDHKP >Vigun01g120500.1.v1.2 pep primary_assembly:ASM411807v1:1:29711003:29716302:-1 gene:Vigun01g120500.v1.2 transcript:Vigun01g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMKHLVQMAPRWKGKDAKAKKDAEAEALEEPMSKIVSELKSSLVQSDTCGFLSDNSVQLAVGAEQLDLLDKACFGRPVRTIEKDKHWFQLSFEEAFYLCYSLKCLKINGSGTGPHNDEELWHYMKSKKDTFPCFYKAYSHLRMKNWVVRSGSQYGVDFVIYRHHPSRVHSEYGVLVLSDGEDKDLNGRLRVWSDVHCTTRLVGSVAKILLVLHVNKVGNNESPLCLANYTVEECTITRWSPEQNREKM >Vigun05g202400.2.v1.2 pep primary_assembly:ASM411807v1:5:39099458:39103679:1 gene:Vigun05g202400.v1.2 transcript:Vigun05g202400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSSLPLLAQDAPPHITRPSANFPPSFWGDTFLHYHSDSLEIDDNTKQQFQTQKEEVKKMFLSSNNSILQKLNFIDSLQRLGISYHFQHEIDEALEEIYNSSTNDNVIIEEGCLHYLALLFRLLRQRGYHISSDIFNKFKNNKGDFDQNIAKDVQGLWSLFEASQLRIHGEDILDEALDFTHIHLKSLIDQMSASFAAQISHCLRKPLHKGIPRLEARRYISFYEQNPSHCKVLLTFSKLDFNMLQELHKMEVGSITRWWKMSEFATKVPYARDRVVEFYLWTVTMTHEAEYSIARKIESKLIGCISLLDDTYDSYGTVEELELFTQAIQRWDSNSIQSLPDSMKVVFNAIVEVCDEVRLSTLESEKSSIVVQCVQEDFYNLARAYLNEEKWRHEVYVPTYEEYKDNGVISSACLIIMKSFLLLAKSSVQDMFDWILSKPTIIAAVSLIGRLINDISSHKQRTNVVSAVECCMKQYEISEEDAYKLIKEEIEDLWKVINEEYLKSDLVLKPVLDCILNMARILEIVYENFEDRYTNGKLLKDCIVALLVDPISMEEDK >Vigun05g202400.1.v1.2 pep primary_assembly:ASM411807v1:5:39099458:39103679:1 gene:Vigun05g202400.v1.2 transcript:Vigun05g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSSLPLLAQDAPPHITRPSANFPPSFWGDTFLHYHSDSLEIDDNTKQQFQTQKEEVKKMFLSSNNSILQKLNFIDSLQRLGISYHFQHEIDEALEEIYNSSTNDNVIIEEGCLHYLALLFRLLRQRGYHISSDIFNKFKNNKGDFDQNIAKDVQGLWSLFEASQLRIHGEDILDEALDFTHIHLKSLIDQMSASFAAQISHCLRKPLHKGIPRLEARRYISFYEQNPSHCKVLLTFSKLDFNMLQELHKMEVGSITRWWKMSEFATKVPYARDRVVEFYLWTVTMTHEAEYSIARKIESKLIGCISLLDDTYDSYGTVEELELFTQAIQRWDSNSIQSLPDSMKVVFNAIVEVCDEVRLSTLESEKSSIVVQCVQEDFYNLARAYLNEEKWRHEVYVPTYEEYKDNGVISSACLIIMKSFLLLAKSSVQDMFDWILSKPTIIAAVSLIGRLINDISSHKFEQQRTNVVSAVECCMKQYEISEEDAYKLIKEEIEDLWKVINEEYLKSDLVLKPVLDCILNMARILEIVYENFEDRYTNGKLLKDCIVALLVDPISMEEDK >Vigun11g057766.1.v1.2 pep primary_assembly:ASM411807v1:11:12082882:12083221:1 gene:Vigun11g057766.v1.2 transcript:Vigun11g057766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVKYCTINVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun05g297500.1.v1.2 pep primary_assembly:ASM411807v1:5:48233267:48236472:-1 gene:Vigun05g297500.v1.2 transcript:Vigun05g297500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNGRPNQGTEANRDWRDELQPESRKRIVKKIMGTFEKLLPVSGQEELQMIAQWFEERFFTASTSLNDYLQKISLEMLSMETRSQGTRANMDNDDNWRPIQGTEANMETSACRYGLRAESRQIIINMIMASLKRHLPVSGHEGLHEIQKIAQRFEEKIFTAATSRPDYLRKISSKMLLMETGSSGSN >Vigun01g010600.1.v1.2 pep primary_assembly:ASM411807v1:1:1204172:1208531:1 gene:Vigun01g010600.v1.2 transcript:Vigun01g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKSKIVIFGGSGYIGKYLVKASVCLGHPTFVYTRPLNGRTSSSKIELCKEFNHMGVTLVQGELEHEQILKVIKKVDIVICALPYPQVMEQLKIIEAIKVAGNIKRFLPSDFGVEEDRVNPLPPFQAFLDKKRKIRREIEASKIPYTYISANCFGAYFVNYLLRPYDKNNHTIVYGNGHAKAVLNYEEDIAMYSIKAANDPRTCNRVVIYRPSKNIVSQNDLISLWEQKTGRTFLRDFVTEEEIINLSQTLPPPQNIPVSILHSVFVKGDLMNFELGKDDLEASELYPDYKYTSIDELLDIFLLHPLPPASAAFE >Vigun01g010600.2.v1.2 pep primary_assembly:ASM411807v1:1:1204172:1208531:1 gene:Vigun01g010600.v1.2 transcript:Vigun01g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKSKIVIFGGSGYIGKYLVKASVCLGHPTFVYTRPLNGRTSSSKIELCKEFNHMGVTLVQRFLPSDFGVEEDRVNPLPPFQAFLDKKRKIRREIEASKIPYTYISANCFGAYFVNYLLRPYDKNNHTIVYGNGHAKAVLNYEEDIAMYSIKAANDPRTCNRVVIYRPSKNIVSQNDLISLWEQKTGRTFLRDFVTEEEIINLSQTLPPPQNIPVSILHSVFVKGDLMNFELGKDDLEASELYPDYKYTSIDELLDIFLLHPLPPASAAFE >Vigun03g070800.1.v1.2 pep primary_assembly:ASM411807v1:3:5843489:5845766:1 gene:Vigun03g070800.v1.2 transcript:Vigun03g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFGKEVTLENLSVPCLIWIQLLVLLLLLALLFSFSIIALDPTASTTEQQHQPSNYHSTAVTNRFQTTRENESIKGEIVSNGSRGIVREETAEGEASTSSLYFLHPCYYFNLAKKAFLKCLGQDSTSDHPSTLKPNKTKQT >Vigun02g068333.1.v1.2 pep primary_assembly:ASM411807v1:2:21822411:21823953:1 gene:Vigun02g068333.v1.2 transcript:Vigun02g068333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISEGCEGSSGCEVGDCSDISQISFRHYCRTQYIGLLNKKLSVEQKQCIQQTPFWWFVLLHERVKISRNLLCELSNVWVESRGGFMINSEFVSFKLLDVCVGLGLRVYGDRIDLEEVGVDSVCRKKFSEKKVTISMVYNYLLTECECLDVEDYCRLYILLGIFEFLLPNRNGAMFVSLFGIVDDLTSLVKYNWGGVVYSYLVGSLSSASVVLKKQSDQKHFHVVGCVYLLQRKRAVIESKFPRLLRWIDYKVGDVSIRNSLKNNVIVHDLCLSKEEISELVVKEALSKGDGERDKCKRKECGGMKKKEQLMGVIEQQEHDIRELGGAIAKLKSTLAERENRSKDEFVSPVNLNEVDVPSEAAEDINATNSDMYVRMRNDPRLRLKSRLIQTPFAVYSRKKKTIPK >Vigun06g078300.1.v1.2 pep primary_assembly:ASM411807v1:6:20968998:20973088:-1 gene:Vigun06g078300.v1.2 transcript:Vigun06g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIHQADKYRKGACENCGAMTHDVKSCMERPRKVGAKWTNTHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARKKYLKEQQLKKLEKSNQNGEDAASDEDEDEDDLRIDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYGGDNQYRNSGQALEFKELNIHAWEAFEKGQDVHMQAAPSQAELVHKSFLIRSEELKHQTKKTIIEKYGNASDENKPPRALLLGQTEMQVEYDRAGRIIKGQEAAVPRSKYEEDIYINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAASDLMKANIARKEAATDDPAPVEEKKLATWGTDVPDGLVLDEKLLADALKKEDIRKREEKDERKRKYNVRWNDEVTEEDMEAYRMKRVHHDDPMKDFLH >Vigun10g006800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:654545:656399:-1 gene:Vigun10g006800.v1.2 transcript:Vigun10g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTATTYTAVPETDPQIEHIIDIPKEIQQELHHELCCIYKVPPKLRKLNEGEAYEPILISIGPFHHNKKPELEPMHKQKQRYFLSFWERVTNKRALASYKTFLTDNIQTIKQRYSEPGCLSKDQFVNMMLLDSVFIIELFLRKSEQKNDHIFTTPWICKNIQRDMLLLENQLPFFLMENLYKSVHKLSDLTFLELAFNYFEDYYPHTTTPDKKTILKNFSSCNHFTDLVRRFYLPEKVHAKDWNPSEHFTPCTKNERVLKTATKLNEAGVSFEKGHHKCLLDIKFQEIRVLSWFLCLGCLPCFTCVKARFRIPQLKVLQTTECVLRNLIALEQCHYPDQPFMCNYVSLIDSLIHTKDDVELLVDKEIIEHELGCHSELATMINGLCKHVVVNCNYYGKITRKLNDHYNSYWKHYMGMLRSVYFRDPWRLSSTVVGVVIFLFAIVNFLRVTGLYHPKR >Vigun04g092700.1.v1.2 pep primary_assembly:ASM411807v1:4:18052028:18054437:1 gene:Vigun04g092700.v1.2 transcript:Vigun04g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSLSTISRFSLKSSRSSSLLTTSSTSTLLPFTSSHSSLSKSLKFNTSSRHSHLSLPRKSFTCRSQAQQTSDSDKVQELRVYEINERDRGSPAYLRLSQKTTNSLGDLVPFSNKLYSGCLQKRVGLTAGICVLIQNKAEKKGDMYEAIYSFYFGDYGHISVQGSYLTYQDSFLAITGGTGIFEGVRGQVKCQQLVFPFKLFYTFYLKGIKDLPQELLSDYVEPSPVVEPSDAAKACEPHAVISGFQD >Vigun08g066900.2.v1.2 pep primary_assembly:ASM411807v1:8:9906467:9910424:1 gene:Vigun08g066900.v1.2 transcript:Vigun08g066900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFLSQISHSLSFPRHGHCFHRHFPTALAASYQSGPSSDSKTQVSRVPVLTSSEAYERLKSFREKIKGKQQFLAMYSSIFDGITTDPAAMVIPMDDHMVHRGHGVFDTAAIMNGYLYELDQHLDRFLGSASLSKIDPPFDRGSIRRILIETVSASKCRKGSLRYWLSAGPGDFQLSPSNCHQSSLYAIVIQDLSSSPNFRGVKVVTSSVPIKHPKFAITKSVNYLPNVLSKVEAEEAGAFVGIWLDDEGFVAEGPNMNVAFVTKEKELIMPHFDKILSGCTAKRVLTLAEDLLREGKLKGIRVKNVTVEEGKKAEEMMLLGSGILVCPVVQWDEHVIGDGKEGPVTQALFNLIVDDMKSGPSSVRIPVPY >Vigun08g066900.1.v1.2 pep primary_assembly:ASM411807v1:8:9906467:9910424:1 gene:Vigun08g066900.v1.2 transcript:Vigun08g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFLSQISHSLSFPRHGHCFHRHFPTALAASYQSGPSSGNSWRVDIADSKTQVSRVPVLTSSEAYERLKSFREKIKGKQQFLAMYSSIFDGITTDPAAMVIPMDDHMVHRGHGVFDTAAIMNGYLYELDQHLDRFLGSASLSKIDPPFDRGSIRRILIETVSASKCRKGSLRYWLSAGPGDFQLSPSNCHQSSLYAIVIQDLSSSPNFRGVKVVTSSVPIKHPKFAITKSVNYLPNVLSKVEAEEAGAFVGIWLDDEGFVAEGPNMNVAFVTKEKELIMPHFDKILSGCTAKRVLTLAEDLLREGKLKGIRVKNVTVEEGKKAEEMMLLGSGILVCPVVQWDEHVIGDGKEGPVTQALFNLIVDDMKSGPSSVRIPVPY >Vigun08g066900.4.v1.2 pep primary_assembly:ASM411807v1:8:9906467:9910424:1 gene:Vigun08g066900.v1.2 transcript:Vigun08g066900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFLSQISHSLSFPRHGHCFHRHFPTALAASYQSGPSSDSKTQVSRVPVLTSSEAYERLKSFREKIKGKQQFLAMYSSIFDGITTDPAAMVIPMDDHMVHRGHGVFDTAAIMNGYLYELDQHLDRFLGSASLSKIDPPFDRGSIRRILIETVSASKCRKGSLRYWLSAGPGDFQLSPSNCHQSSLYAIVIQDLSSSPNFRGVKVVTSSVPIKHPKFAITKSVNYLPNVLSKVEAEEAGAFVGIWLDDEGFVAEGPNMNVAFVTKEKELIMPHFDKILSGCTAKRVLTLAEDLLREGKLKGIRVKNVTVEEGKKAEEMMLLGSGILVCPVVQWDEHVIGDGKCFYFSQQNFIRFSVCLEGTSMQVLLKKVREKNEISFSTS >Vigun02g201400.1.v1.2 pep primary_assembly:ASM411807v1:2:33617694:33619229:1 gene:Vigun02g201400.v1.2 transcript:Vigun02g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNPNQQPPVGVPPPQGYPPEGYGKEGYPPPGYPPPGYPPPGYPPQQGYPPQQAYPPQGYPPPPYPAQGYPPPPYAPQYAPPPPPRQQNSQSSGCLEGCLAALCCCCLLDACF >Vigun08g137600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30969884:30971366:-1 gene:Vigun08g137600.v1.2 transcript:Vigun08g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKTNNLFVLLFLTFFLYVSLSSAQLLPYDVVSPHLLDVHLQDLAFKTLFSPRTGVSYDVKVPTNLTGVKVSAMRLKSGSLRNRGVPSYKEFKIPIGVFEKPYVERLVLVYQNLGNWSDTFYPLPGFSYLTPVLGLLAYSGENLFASGLSELDIKTFDKPILVHFSDVKPVPMGALAKCVCFDLHGSMHFDILLPGNVCSTMQQGHFSIAVESNAPSPEPYDCGKVEIGEKNSKKMKFVLRIGGILLLVIILGLLVVGVMIHKKGSSKVQELDREDESNEILDMTSIGDIKVPFAFGTRTQPMIEHEYFA >Vigun07g216000.1.v1.2 pep primary_assembly:ASM411807v1:7:33788804:33791714:-1 gene:Vigun07g216000.v1.2 transcript:Vigun07g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPILILLLLLLPSLFKLSHSATILVDGSSEWKNPTVSIGDSIIFKHKQHFNLYIFKNEKAYNLCNITQATLLTNPYTWHPSRPGFFYFTFHNGSLKACKDSEKLAIKVASSAMVPEPSPVATPAPSSGGEVPSSPSFPWPFRPHQTASSPGPAPQAQAGSPLTVPLVPDKGGGMPFINSNPAVPLPTGEVDSATIRPLPTSGHQGQVMIGSFGVGIAVYTMALLLL >Vigun07g285900.1.v1.2 pep primary_assembly:ASM411807v1:7:39886224:39895905:1 gene:Vigun07g285900.v1.2 transcript:Vigun07g285900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSCSLTWISPSFSPKLNLPHSNHLPRNTATSSSNNTAFCSLGTISTGESHCQRRPLLLGVGALTANLQLTDLVFAQEKPDRYQAFVDYEDGYSYVYPREWKEFDFLAHDSAFKDKVLQLQNVRVRFIPTEKKDIRDLGPLEEVVYYLVKHRYAAPNQRPTINAMEERTIDGKHYYTFEYILTSPNYASGSFATIAIGNGRYYTLIVGANERRWKRVRDELKVVAESFRLLDI >Vigun02g010300.1.v1.2 pep primary_assembly:ASM411807v1:2:4073606:4078763:1 gene:Vigun02g010300.v1.2 transcript:Vigun02g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDMEPKKIIIDTDPGIDDAMAIFVALQSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHLTLTKGTKLRIADFVHGADGLGNQNFPPPKGKPIEESGPAFLVRQAKLNPGKVTVVALGPLTNIALAVQLDPEFAKNIAQIVILGGAFAVNGNVNPAAEANIFGDPDAADVVFTSGADILAVGINVTHQVILTSSDREILANSKGKYAQYLHKILDVYFSYHLESYNTKGVYLHDPTVVLAAVDPSLVTCIEGVVRVQTSGITRGLTVLFNKQKRFGEIHEWSNKPTVKVAVTVDAPRVMKLVMDRLLDS >Vigun03g225500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37504528:37505665:-1 gene:Vigun03g225500.v1.2 transcript:Vigun03g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTNTSSAEQDPTQTHPTHHHLEPPSGLTPEEFADLIPSILEHHTYSMTTRQCSSLLAQRIHAPPQTVWSVVRCFDNPQAYKHFIKSCHVKEDFQLVVGSTRDVNVISGLPAATSTERLDLLDDERHVIGFTIVGGEHRLRNYHSVTSVHGFERDGKIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEGMSGDGHGNRNGKS >Vigun06g133000.4.v1.2 pep primary_assembly:ASM411807v1:6:25948245:25958266:1 gene:Vigun06g133000.v1.2 transcript:Vigun06g133000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRSHRDDDKGLAIMSRHRYPVESCRVFERTTIEKLWASLVSSKEDDNDENVKVNGNGNNVSSVAKEKQGTQKGGKLSTLKIVLGEALGYGPALSEHIILDAGLIPSTKVPKDKTWDNATIQALVQAVVKFEDWMQDVISGEVVPEGYIVMQNKNLGNNSSISQPGSVSQMYDEFCPILLNQFKSRDYTKFETFDAALDEFYSKIESQRAEQQQKAKENTAAQKLNKIRQDQENRVHALRKEADQCVKMAELIEYNLEDVDAAILAVRVALAKGMNWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYEQKKKQESKQEKTVTAHEKAFKAAERKTRLQLNQEKTVASISHMRKVHWFEKFNWFITSENYLVISGRDAQQNELIVKRYMSKGDLYVHADLHGASSTVIKNHKPIQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEATDDFENANLEDKSDSESENDDIKSVIDSEMNGKLSADSHKPLSEDFSKDSSQTGLATISSKKEISRDFPVKETSTSNMVNREISSDISRDGLVAVPPQLEELIDQALELGSVAKPSKLYGTENSQIDLGGDKHLEQSKAAIRDKPYISKAERRKLKKEQKHEDTDSNVEHGKDEPKLKDISANLPAKEEQNVKKGGGQKISRGQKGKLKKIKEKYAGQDEDERNIRMALLASSGKSIKKEDTSSENAALDKGKKSGNAGPIDAPKICYKCKKPGHLSRDCKEKSDDVVHRNAIGEAEENPKMTDIETSQADRVTMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCAPYSALQSYKYRVKIIPGPAKKGKAAKTAMNLFSHMSEATTREKELMKACTDPELVAAIIGNVKISAAGLAQLKQKQKKGKKSSKQAS >Vigun06g133000.3.v1.2 pep primary_assembly:ASM411807v1:6:25948245:25958266:1 gene:Vigun06g133000.v1.2 transcript:Vigun06g133000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRSHRDDDKGLAIMSRHRYPVESCRVFERTTIEKLWASLVSSKEDDNDENVKVNGNGNNVSSVAKEKQGTQKGGKLSTLKIVLGEALGYGPALSEHIILDAGLIPSTKVPKDKTWDNATIQALVQAVVKFEDWMQDVISGEVVPEGYIVMQNKNLGNNSSISQPGSVSQMYDEFCPILLNQFKSRDYTKFETFDAALDEFYSKIESQRAEQQQKAKENTAAQKLNKIRQDQENRVHALRKEADQCVKMAELIEYNLEDVDAAILAVRVALAKGMNWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYEQKKKQESKQEKTVTAHEKAFKAAERKTRLQLNQEKTVASISHMRKVHWFEKFNWFITSENYLVISGRDAQQNELIVKRYMSKGDLYVHADLHGASSTVIKNHKPIQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEATDDFENANLEDKSDSESENDDIKSVIDSEMNGKLSADSHKPLSEDFSKDSSQTGLATISSKKEISRDFPVKETSTSNMVNREISSDISRDGLVAVPPQLEELIDQALELGSVAKPSKLYGTENSQIDLGGDKHLEQSKAAIRDKPYISKAERRKLKKEQKHEDTDSNVEHGKDEPKLKDISANLPAKEEQNVKKGGGQKISRGQKGKLKKIKEKYAGQDEDERNIRMALLASSGKSIKKEDTSSENAALDKGKKSGNGPIDAPKICYKCKKPGHLSRDCKEKSDDVVHRNAIGEAEENPKMTDIETSQADRVTMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCAPYSALQSYKYRVKIIPGPAKKGKAAKTAMNLFSHMSEATTREKELMKACTDPELVAAIIGNVKISAAGLAQLKQKQKKGKKSSKQAS >Vigun06g133000.2.v1.2 pep primary_assembly:ASM411807v1:6:25948245:25958266:1 gene:Vigun06g133000.v1.2 transcript:Vigun06g133000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRFIGMRCSNVYDLSPKTYVFKLMNSSGVSESGESEKVLLLMESGVRLHSTIYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGENANYVILELYAQGNILLTDSNFTVMTLLRSHRDDDKGLAIMSRHRYPVESCRVFERTTIEKLWASLVSSKEDDNDENVKVNGNGNNVSSVAKEKQGTQKGGKLSTLKIVLGEALGYGPALSEHIILDAGLIPSTKVPKDKTWDNATIQALVQAVVKFEDWMQDVISGEVVPEGYIVMQNKNLGNNSSISQPGSVSQMYDEFCPILLNQFKSRDYTKFETFDAALDEFYSKIESQRAEQQQKAKENTAAQKLNKIRQDQENRVHALRKEADQCVKMAELIEYNLEDVDAAILAVRVALAKGMNWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYEQKKKQESKQEKTVTAHEKAFKAAERKTRLQLNQEKTVASISHMRKVHWFEKFNWFITSENYLVISGRDAQQNELIVKRYMSKGDLYVHADLHGASSTVIKNHKPIQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEATDDFENANLEDKSDSESENDDIKSVIDSEMNGKLSADSHKPLSEDFSKDSSQTGLATISSKKEISRDFPVKETSTSNMVNREISSDISRDGLVAVPPQLEELIDQALELGSVAKPSKLYGTENSQIDLGGDKHLEQSKAAIRDKPYISKAERRKLKKEQKHEDTDSNVEHGKDEPKLKDISANLPAKEEQNVKKGGGQKISRGQKGKLKKIKEKYAGQDEDERNIRMALLASSGKSIKKEDTSSENAALDKGKKSGNGPIDAPKICYKCKKPGHLSRDCKEKSDDVVHRNAIGEAEENPKMTDIETSQADRVTMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCAPYSALQSYKYRVKIIPGPAKKGKAAKTAMNLFSHMSEATTREKELMKACTDPELVAAIIGNVKISAAGLAQLKQKQKKGKKSSKQAS >Vigun06g133000.1.v1.2 pep primary_assembly:ASM411807v1:6:25948245:25958266:1 gene:Vigun06g133000.v1.2 transcript:Vigun06g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRFIGMRCSNVYDLSPKTYVFKLMNSSGVSESGESEKVLLLMESGVRLHSTIYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGENANYVILELYAQGNILLTDSNFTVMTLLRSHRDDDKGLAIMSRHRYPVESCRVFERTTIEKLWASLVSSKEDDNDENVKVNGNGNNVSSVAKEKQGTQKGGKLSTLKIVLGEALGYGPALSEHIILDAGLIPSTKVPKDKTWDNATIQALVQAVVKFEDWMQDVISGEVVPEGYIVMQNKNLGNNSSISQPGSVSQMYDEFCPILLNQFKSRDYTKFETFDAALDEFYSKIESQRAEQQQKAKENTAAQKLNKIRQDQENRVHALRKEADQCVKMAELIEYNLEDVDAAILAVRVALAKGMNWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYEQKKKQESKQEKTVTAHEKAFKAAERKTRLQLNQEKTVASISHMRKVHWFEKFNWFITSENYLVISGRDAQQNELIVKRYMSKGDLYVHADLHGASSTVIKNHKPIQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEATDDFENANLEDKSDSESENDDIKSVIDSEMNGKLSADSHKPLSEDFSKDSSQTGLATISSKKEISRDFPVKETSTSNMVNREISSDISRDGLVAVPPQLEELIDQALELGSVAKPSKLYGTENSQIDLGGDKHLEQSKAAIRDKPYISKAERRKLKKEQKHEDTDSNVEHGKDEPKLKDISANLPAKEEQNVKKGGGQKISRGQKGKLKKIKEKYAGQDEDERNIRMALLASSGKSIKKEDTSSENAALDKGKKSGNAGPIDAPKICYKCKKPGHLSRDCKEKSDDVVHRNAIGEAEENPKMTDIETSQADRVTMEEDDIHEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCAPYSALQSYKYRVKIIPGPAKKGKAAKTAMNLFSHMSEATTREKELMKACTDPELVAAIIGNVKISAAGLAQLKQKQKKGKKSSKQAS >Vigun02g029300.1.v1.2 pep primary_assembly:ASM411807v1:2:12205208:12207143:1 gene:Vigun02g029300.v1.2 transcript:Vigun02g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLFKKSLDALSLPPPEGPNSGILVIQDEEMEPTSCFGLGEFHEVKELPFPQNLNLKLFYRSGISLNRTTHYHHVAFIPVLNQPLSSNKYYVINLNSKKRGEAYINSKEEDLDTFCFYKAQTDVPLHPIDVSDTYQEFEIYPRRSKVTFRSGFSAKSVAPDGYPPRFLSRRWKVSASSASSDSSLSEASGVNEALRASKPEFKFSLAKRSSDSVAVGKWYCPFMFIKEGTHKTLKEEMRKSMFYEMTLEQKWEQIFSCENQNEVEKTVIVDAVVQKEVVVIAGWEAVIDEMDIAEGFLWFHSFNNVGEKRSVGLNTAVVERMKWEQERAGWDGGKEKQIRVRKVEEFKGTNRWKKFGCYVLVETFVLKALDGSTLLTYAFKHHDELRSKWE >Vigun03g290000.1.v1.2 pep primary_assembly:ASM411807v1:3:47221547:47229649:1 gene:Vigun03g290000.v1.2 transcript:Vigun03g290000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQPSSTKRGDGFFSLSGVFNSKSKTSPLSISLVLLGTILLIIYAFGGSGLLRGRKNVVSRIEGYFSCTFEVPSAIPVLKNAYGGSMKNVLHVGPETCSVVSKLLSEGETEAWGLEPYEIEDADRHCKTLVHKGIVRVADIKFPFPYRPKSFSHVIVSDAFDYLSPKYMNKTLPELARVSADGIIIFTGSPSQRRAKIAQFSKFGRPAKMRSPSWWKQFFTDSSVKQNESASKKFEQAASKMSFKPTCQVFHLSSYG >Vigun03g290000.2.v1.2 pep primary_assembly:ASM411807v1:3:47221547:47229649:1 gene:Vigun03g290000.v1.2 transcript:Vigun03g290000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQPSSTKRGDGFFSLSGVFNSKSKTSPLSISLVLLGTILLIIYAFGGSGLLRGRKNVVSRIEGYFSCTFEVPSAIPVLKNAYGGSMKNVLHVGPETCSVVSKLLSEGETEAWGLEPYEIEDADRHCKTLVHKGIVRVADIKFPFPYRPKSFSHVIVSDAFDYLSPKYMNKTLPELARVSADGIIIFTGSPSQRRAKIAQFSKFGRPVVTPSNVRFGPVKGMAREHGGC >Vigun07g238900.1.v1.2 pep primary_assembly:ASM411807v1:7:36035562:36037432:1 gene:Vigun07g238900.v1.2 transcript:Vigun07g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFVQSKSKSKSSSWEEDNRESSCYFPGCKKDANCNCEMCLASINATLDLMPTSVHKSTLTKFSKPHKINNVLCTPLSFDASVVSTPRSSSFQLSSSTPLSRSSSRSDSTHEMEKQTMRQETSAFSFFRLLLLFGLFISADLVFSSVVSGVFKPAFSPHVVKRVSEKCSRVSDLNGKLRLLHTELTSVVVGQVSNCSFTDISSWEISQDGLLLNSRCTMYKSATEEVTIWGWPLQTAGLLTNGFSTRTFTLLSGRVTQWNGGQGGYLIRKGNTSWMQPKWGASAVQLDPNTWVLEYQRSSIIDGTRLYSAVLEFLKFRISRIVGRLKKDFWLSVAFEDNRYNGFTANKKAQIPT >Vigun06g104900.2.v1.2 pep primary_assembly:ASM411807v1:6:23427616:23431245:1 gene:Vigun06g104900.v1.2 transcript:Vigun06g104900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQINKKEDIRLAIMRSDYMVDEKTKSLLQIEMNTISTSFALMGTLVTGLHKSLISQYGNILGLDPNRVPANNAVDQAAEALAKGWSEYNNPRAAILVVVQVEERNMYEQHYISALLRDKHHIKSIRKTLAEVDQQGEILPDGTLSVDGQPVSVVYFRAGYTPKDYPSESEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPGVLERFVENKDHVAKLRSCFAGLWSLEDSDIVKKAIENPELFVMKPQREGGGNNIYGNDLKESLLKLQESGSEEDAAYILMQRIFPATFPAILVRDGNWSTDHVISEAGIFSTYLRNKDKIIINKESGYTVRTKVSSSYEGGVLPGFGVIDTAYLT >Vigun06g104900.1.v1.2 pep primary_assembly:ASM411807v1:6:23424119:23431245:1 gene:Vigun06g104900.v1.2 transcript:Vigun06g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSSVNPAPLAEADTDSSAAPSLFDYHSIDQKLIQNIVYDALVWSTLHCLLVGDKSVQRSGTVPGVGLVHLSFCLLPGPFPESHWKQACELAPIFNQFVDRVSLDGKYLQESLARTKNADEFTSRLLDIHSKMLQINKKEDIRLAIMRSDYMVDEKTKSLLQIEMNTISTSFALMGTLVTGLHKSLISQYGNILGLDPNRVPANNAVDQAAEALAKGWSEYNNPRAAILVVVQVEERNMYEQHYISALLRDKHHIKSIRKTLAEVDQQGEILPDGTLSVDGQPVSVVYFRAGYTPKDYPSESEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPGVLERFVENKDHVAKLRSCFAGLWSLEDSDIVKKAIENPELFVMKPQREGGGNNIYGNDLKESLLKLQESGSEEDAAYILMQRIFPATFPAILVRDGNWSTDHVISEAGIFSTYLRNKDKIIINKESGYTVRTKVSSSYEGGVLPGFGVIDTAYLT >Vigun02g023750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7957518:7958761:1 gene:Vigun02g023750.v1.2 transcript:Vigun02g023750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTISLLTLSLLLVILLPFSSAASTTLLDAVEILSSSGFQCMALHLELAYQTLQPHHSLTIFAPTDTAFESLPHHLSLSLLCCHLLPHAFSLHSLTSLALGASIPTLLPNHSLTITTTTISISNLNLNPSPVFHHPKLTIFPTTNFFDTRFHLTPPHPRPKPKPPTIWPQLAEIRDGTLVGTYEKGFALNVTMSKTHTLLLNGVEVVFPDMLRGDCLVVHGVPHVLSGHNGKRHHHAKHDMYRVTDLQDYDEDEVCFILPSLMMTTATVREILHGITFSMLFISCVFCAVCS >Vigun11g041950.1.v1.2 pep primary_assembly:ASM411807v1:11:6078926:6079589:1 gene:Vigun11g041950.v1.2 transcript:Vigun11g041950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FFILLLLFNIIIIIIYIIIYLYYHFLFILFIIIIINFILFYFIIIFISIIILFYFTIILLLLFFLLMILLSLLFYYYCVILSLLLFYYYYFINITVLLLLFHLFFYFIFLFYYYFIIIVIIIIGFILFMILLSLSFLYFIIIYFILLVLLFYYYCFILLLLLFCYY >Vigun03g358100.4.v1.2 pep primary_assembly:ASM411807v1:3:56008727:56025079:1 gene:Vigun03g358100.v1.2 transcript:Vigun03g358100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPRAPPTVTAQPQESWESMLPGPPSRNNFGSADLSPHGLLTFPSGSSISIVDTRSMQLLTSFPIPPPPASAAPFVTALRWSPLPLSRHLLSSEPSSNHLLLAAGDRQGRIALLDFRLKAAILWFDTDSKLGVQDLCWVQTRPDSYLLAAINGPSTLSIYNATSGRCVWKYDASPEYFSCVRRDPFDSRRICAVGLRGFLLSIVLIGDSDDAIVIKELQITTDSSEFLKLERDSTSGSSSAAIPSPAAAAFPTYIVRLAFSQQWRHILFVTFPREFVVFDLEYETVVFSTALPRGCGKFLDVLPDPSNEWIYCAHLDGKLSTWRRKTGEQAHSMYSLEELMPSVGTSVPSPAILSVLLCQSDSTFQNIDKDYSDVPSSPYLREDFDNPFDFCYESNIVSKIHLISISDDGKIWNWLLAAEGLADTQKDDKKLDLVNDDHKVSLPGANSTTSASSVGGRDLNVGKQWEGGNNNRNHLQSSSSDHEEIAMKIGLVGQLQLLSSTVTMLAVPTPSLTATLARGGNYPAAAVPLVALGTQSGTIDVVDVAANAVASSLSVHNGTVRGLRWLGNSRLVSFSYTMANEKSGGYINKLVVTCLRSGLNKMFRVMQKPERTPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPSKDQASGTSDEAPNVSKTSSSDSTEGSSTEGSHDETSESFAFALVNGALGVFEVQGRRIRDFRPKWPASSFVSSEGLITAMAYRLPHVVMGDRTGSIRWWDVVTGHSSSFNTHREGIRRIKFSPFVPGDQSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVEINANDKRSGYALHIRNTKERFRSMPICCPILLPSPHALALRMILQLGVKPSWFNTCSTTIEKRPHSLPGTPTSTGDLRTYMINIPPLGDSVVPEMLLKILEPYRKEGCILDDERAKFYASIVDKGCAAKFAFAATIFGESSEALFWLQLPRALKHFMNKLLRKHPPKNSTAAPISDVGNGTSVHSRISPKGKPTEETGRDVLSRGQLRLMAFDREELWKTASDRISWHEKLEGEEAIQKRIHELVSVGNLEAAVSLSLSTPPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAAKHLKGSDYARVLQRWAGHVLHTEHNIWRALILYVAAGALQEALAALREAQLPDTAAMFILACRETHAEIVSNLADEESSSSVNDELLNLRALDPKNEDVIAVDEYFGQYQRKLVHLCMDSQPFAD >Vigun03g358100.3.v1.2 pep primary_assembly:ASM411807v1:3:56008727:56025079:1 gene:Vigun03g358100.v1.2 transcript:Vigun03g358100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPRAPPTVTAQPQESWESMLPGPPSRNNFGSADLSPHGLLTFPSGSSISIVDTRSMQLLTSFPIPPPPASAAPFVTALRWSPLPLSRHLLSSEPSSNHLLLAAGDRQGRIALLDFRLKAAILWFDTDSKLGVQDLCWVQTRPDSYLLAAINGPSTLSIYNATSGRCVWKYDASPEYFSCVRRDPFDSRRICAVGLRGFLLSIVLIGDSDDAIVIKELQITTDSSEFLKLERDSTSGSSSAAIPSPAAAAFPTYIVRLAFSQQWRHILFVTFPREFVVFDLEYETVVFSTALPRGCGKFLDVLPDPSNEWIYCAHLDGKLSTWRRKTGEQAHSMYSLEELMPSVGTSVPSPAILSVLLCQSDSTFQNIDKDYSDVPSSPYLREDFDNPFDFCYESNIVSKIHLISISDDGKIWNWLLAAEGLADTQKDDKKLDLVNDDHKVSLPGANSTTSASSVGGRDLNVGKQWEGGNNNRNHLQSSSSDHEEIAMKIGLVGQLQLLSSTVTMLAVPTPSLTATLARGGNYPAAAVPLVALGTQSGTIDVVDVAANAVASSLSVHNGTVRGLRWLGNSRLVSFSYTMANEKSGGYINKLVVTCLRSGLNKMFRVMQKPERTPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPSKDQASGTSDEAPNVSKTSSSDSTEGSSTEGSHDETSESFAFALVNGALGVFEVQGRRIRDFRPKWPASSFVSSEGLITAMAYRLPHVVMGDRTGSIRWWDVVTGHSSSFNTHREGIRRIKFSPFVPGDQSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVEINANDKRSGYALHIRNTKERFRSMPICCPILLPSPHALALRMILQLGVKPSWFNTCSTTIEKRPHSLPGTPTSTGDLRTYMINIPPLGDSVVPEMLLKILEPYRKEGCILDDERAKFYASIVDKGCAAKFAFAATIFGESSEALFWLQLPRALKHFMNKLLRKHPPKNSTAAPISDVGNGTSVHSRISPKGKPTEETGRDVLQSRGQLRLMAFDREELWKTASDRISWHEKLEGEEAIQKRIHELVSVGNLEAAVSLSLSTPPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAAKHLKGSDYARVLQRWAGHVLHTEHNIWRALILYVAAGALQEALAALREAQLPDTAAMFILACRETHAEIVSNLADEESSSSVNDELLNLRALDPKNEDVIAVDEYFGQYQRKLVHLCMDSQPFAD >Vigun03g358100.2.v1.2 pep primary_assembly:ASM411807v1:3:56008727:56025079:1 gene:Vigun03g358100.v1.2 transcript:Vigun03g358100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPRAPPTVTAQPQESWESMLPGPPSRNNFGSADLSPHGLLTFPSGSSISIVDTRSMQLLTSFPIPPPPASAAPFVTALRWSPLPLSRHLLSSEPSSNHLLLAAGDRQGRIALLDFRLKAAILWFDTDSKLGVQDLCWVQTRPDSYLLAAINGPSTLSIYNATSGRCVWKYDASPEYFSCVRRDPFDSRRICAVGLRGFLLSIVLIGDSDDAIVIKELQITTDSSEFLKLERDSTSGSSSAAIPSPAAAAFPTYIVRLAFSQQWRHILFVTFPREFVVFDLEYETVVFSTALPRGCGKFLDVLPDPSNEWIYCAHLDGKLSTWRRKTGEQAHSMYSLEELMPSVGTSVPSPAILSVLLCQSDSTFQNIDKDYSDVPSSPYLREDFDNPFDFCYESNIVSKIHLISISDDGKIWNWLLAAEGLADTQKDDKKLDLVNDDHKVSLPGANSTTSASSVGGRDLNVGKQWEGGNNNRNHLQSSSSDHEEIAMKIGLVGQLQLLSSTVTMLAVPTPSLTATLARGGNYPAAAVPLVALGTQSGTIDVVDVAANAVASSLSVHNGTVRGLRWLGNSRLVSFSYTMANEKSGGYINKLVVTCLRSGLNKMFRVMQKPERTPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPSKDQASGTSDEAPNVSKTSSSDSKGSSTEGSHDETSESFAFALVNGALGVFEVQGRRIRDFRPKWPASSFVSSEGLITAMAYRLPHVVMGDRTGSIRWWDVVTGHSSSFNTHREGIRRIKFSPFVPGDQSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVEINANDKRSGYALHIRNTKERFRSMPICCPILLPSPHALALRMILQLGVKPSWFNTCSTTIEKRPHSLPGTPTSTGDLRTYMINIPPLGDSVVPEMLLKILEPYRKEGCILDDERAKFYASIVDKGCAAKFAFAATIFGESSEALFWLQLPRALKHFMNKLLRKHPPKNSTAAPISDVGNGTSVHSRISPKGKPTEETGRDVLSRGQLRLMAFDREELWKTASDRISWHEKLEGEEAIQKRIHELVSVGNLEAAVSLSLSTPPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAAKHLKGSDYARVLQRWAGHVLHTEHNIWRALILYVAAGALQEALAALREAQLPDTAAMFILACRETHAEIVSNLADEESSSSVNDELLNLRALDPKNEDVIAVDEYFGQYQRKLVHLCMDSQPFAD >Vigun03g358100.1.v1.2 pep primary_assembly:ASM411807v1:3:56008727:56025079:1 gene:Vigun03g358100.v1.2 transcript:Vigun03g358100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPRAPPTVTAQPQESWESMLPGPPSRNNFGSADLSPHGLLTFPSGSSISIVDTRSMQLLTSFPIPPPPASAAPFVTALRWSPLPLSRHLLSSEPSSNHLLLAAGDRQGRIALLDFRLKAAILWFDTDSKLGVQDLCWVQTRPDSYLLAAINGPSTLSIYNATSGRCVWKYDASPEYFSCVRRDPFDSRRICAVGLRGFLLSIVLIGDSDDAIVIKELQITTDSSEFLKLERDSTSGSSSAAIPSPAAAAFPTYIVRLAFSQQWRHILFVTFPREFVVFDLEYETVVFSTALPRGCGKFLDVLPDPSNEWIYCAHLDGKLSTWRRKTGEQAHSMYSLEELMPSVGTSVPSPAILSVLLCQSDSTFQNIDKDYSDVPSSPYLREDFDNPFDFCYESNIVSKIHLISISDDGKIWNWLLAAEGLADTQKDDKKLDLVNDDHKVSLPGANSTTSASSVGGRDLNVGKQWEGGNNNRNHLQSSSSDHEEIAMKIGLVGQLQLLSSTVTMLAVPTPSLTATLARGGNYPAAAVPLVALGTQSGTIDVVDVAANAVASSLSVHNGTVRGLRWLGNSRLVSFSYTMANEKSGGYINKLVVTCLRSGLNKMFRVMQKPERTPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPSKDQASGTSDEAPNVSKTSSSDSKGSSTEGSHDETSESFAFALVNGALGVFEVQGRRIRDFRPKWPASSFVSSEGLITAMAYRLPHVVMGDRTGSIRWWDVVTGHSSSFNTHREGIRRIKFSPFVPGDQSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVEINANDKRSGYALHIRNTKERFRSMPICCPILLPSPHALALRMILQLGVKPSWFNTCSTTIEKRPHSLPGTPTSTGDLRTYMINIPPLGDSVVPEMLLKILEPYRKEGCILDDERAKFYASIVDKGCAAKFAFAATIFGESSEALFWLQLPRALKHFMNKLLRKHPPKNSTAAPISDVGNGTSVHSRISPKGKPTEETGRDVLQSRGQLRLMAFDREELWKTASDRISWHEKLEGEEAIQKRIHELVSVGNLEAAVSLSLSTPPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAAKHLKGSDYARVLQRWAGHVLHTEHNIWRALILYVAAGALQEALAALREAQLPDTAAMFILACRETHAEIVSNLADEESSSSVNDELLNLRALDPKNEDVIAVDEYFGQYQRKLVHLCMDSQPFAD >Vigun06g124700.1.v1.2 pep primary_assembly:ASM411807v1:6:25182140:25185052:1 gene:Vigun06g124700.v1.2 transcript:Vigun06g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSLTPTLSSFHCTRPFTSLTLFAPPSHRKLAQTVKKVSALQPRSSGHGCLQNGGLGVALLSSVTANAKLRISPFVTTLAANPTFVSGLLAWLIAQSFKVLLNFFFVRKWDFRLLFASGGMPSSHSALCTALTTSVAICHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAQVLNLIVADLFQGHPMSERKLKELLGHTPSQVFAGALLGFLVACFCCQASVVGV >Vigun06g124700.2.v1.2 pep primary_assembly:ASM411807v1:6:25182330:25185461:1 gene:Vigun06g124700.v1.2 transcript:Vigun06g124700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSLTPTLSSFHCTRPFTSLTLFAPPSHRKLAQTVKKVSALQPRSSGHGCLQNGGLGVALLSSVTANAKLRISPFVTTLAANPTFVSGLLAWLIAQSFKVLLNFFFVRKWDFRLLFASGGMPSSHSALCTALTTSVAICHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAQVLNLIVADLFQGHPMSERKLKELLGHTPSQVFAGALLGFLVACFCCQASVVGV >Vigun09g206200.1.v1.2 pep primary_assembly:ASM411807v1:9:38075330:38082514:-1 gene:Vigun09g206200.v1.2 transcript:Vigun09g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDELRAASARIGSSSVWRSSGVDVFSGSSRREEDEQELKWAAIEKLPTYLRMTRGILTEAEGQPPTEIHINKLGPLQRKNLVERLVKIAEQDNEKFLFKLRDRIDRVGLEIPTIEVRFEHLNVEAEAHVGSRALPTIFNFCINLLEGFLNSLHLIPSRKKPFTVLDDVSGIIKPKRMTLLLGPPSSGKTTLLLALAGRLGKDLKFSGRVSYNGRGMEEFVPQRTSAYISQTDLHIGEMTVRETLAFSARCQGIGTRYEMLAELSRREKAENIKPDPDLDIYMKAAALEGQETNVVTDYIMKILGLEVCADTMVGDDMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQMVNSLRQSIHILNGTAVISLLQPAPETYELFDDIILLSDGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSRKDQEQYWANKDEPYIFVTVREFAEAFQSFHIGRKLGDELATPFDMSKGHPAVLTKNKYGVSKKELLKACVSREFLLMKRNSFVYIFKMWQLILTGFITMTLFLRTEMHRDTETDGGIFMGALFFVLIVIMFNGYSELSMSIMKLPVFYKQRDLLFFPPWAYSLPTWILKIPITLVEVGIWVVMTYYVIGFDPSIERFIKQYFLLVCINQMASGLFRFMGAVGRNIIVANTVGSFALLAVMVMGGFILSRVDVKRWWLWGYWFSPMMYAQNALAVNEFLGKSWLHVPPNSTEPLGVKVLKSRGIFPEAYWYWIGVGASIGYMLLFNFLFPLALQYLDPFGKPQALISEEALAERNAGRNEHIIELSSRFKGSSDKGNENRRSVCSRTLSSRVGSIGASEHKTKRGMVLPFTPLSITFDEIRYAVEMPQEMKNQGILEDRLELLKGVNGAFRPGVLTALMGVSGAGKTTLMDVLSGRKSAGYIQGQITISGYPKKQETFARIAGYCEQTDIHSPHVTVYESLVYSAWLRLPPEVDYATRQMFIEEVMQLVELTSLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGQHCSQLISYFEGINGVPKIKNGYNPATWMLEVTSEAQEAALGVNFTEIYKNSDLYRRNKALIWELSTPPSGSKDLYFPTKYSRTFFTQCMACLWKQHLSYWRNPPYSAVRLLFTTIIALLFGTIFWDIGSKRQRRQDIFNAMGSMYAAVLFIGIQNSTSVQPVVAIERTVFYRERAAGMYSALPYAFGQVAIEIPYILIQTLVYGVIVYAMIGFDWTLGKFFWYLFFMFFTFLYFTFYGMMAVGLTPDHNVAAIVSFGFYMIWNLFSGFVIPRTRMPVWWRWYFWICPVSWTLYGLVTSQFGDIKDRIESGESVEEFVRSYFGYRDDFVGVAAAVLVGFSLLFGFTFAFSIKAFNFQKR >Vigun03g325600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52213522:52215570:-1 gene:Vigun03g325600.v1.2 transcript:Vigun03g325600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRDRRVLSFPAVHPCGDISPPTLLASLITLSQSLCNFQPQSFPTQRRNARETIRQIGILLLLLQEIRDRALSIPHSTVLCLVELHFALQKINFLMQDCTLQGARLLMLYKSQHVASQFRDLIRAVATFLDVLPLQEFDMCAEVRELAELVTRQARKAKFEVDPSDARACKTLHNVLRLFARGTEPDLSSMQGITDFLQIRTWTDCNKAITFLEEEISLECRNRDEREVPLLSSLVGFLSYCRGVIFETTEDINQSQGRCSTEMTPLTLTLLTSVNPEDFRCPISLELMTDPVTVSTGQTYDRPSIQKWLKAGNTKCPKTGEKLTNKELVPNTTLKRLIQQFCADNGISLNNPSNRNRNIVAGSPAAAHAVQFLAWFLTRRLAFGTHEQQQKAAYEIRVLARTSIFNRSCLIEVGTVAPLLELLASSSNDKSTQENAISALLKLSKHPNGPKNIITSGGLNVILSVLKNGLSLEIRQVAAAIMFYLSSVKEYRKIIGENPEVIPALVELVKVGTTCGRKNAVVAIFGLLLLPRNHQRVVAAGAVPALLDILASSDKEELVTESLAVLAALAENVDGARAILEGSTLALMTGMLRSMTSRAGKEHSASILLSLCVNIGAEVVAVLAKDPSVMPSLYSLVTGGSSQGAKKARFLIKVIQDFHETRTSGLKGSSPPQERSLHVW >Vigun01g207500.1.v1.2 pep primary_assembly:ASM411807v1:1:38315276:38318501:-1 gene:Vigun01g207500.v1.2 transcript:Vigun01g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPTTPITTIHVTGFKKFHGVAENPTETIVNNLTEYMYKKGLPKGLVIGSCSILETAGQGALVSLYQRLQSAVISKDSESSNSNRTIWLHFGVNSGATWFAIENQAVNEANFRCPDEMGWKPQKVPIVPSDGGISRTRETSLPVVEITKTLAEKGYEVMASDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTINEETQMKFAASLLEVLASKS >Vigun01g207500.2.v1.2 pep primary_assembly:ASM411807v1:1:38315276:38317962:-1 gene:Vigun01g207500.v1.2 transcript:Vigun01g207500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPTTPITTIHVTGFKKFHGVAENPTETIVNNLTEYMYKKGLPKGLVIGSCSILETAGQGALVSLYQRLQSAVISKDSESSNSNRTIWTSLPVVEITKTLAEKGYEVMASDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTINEETQMKFAASLLEVLASKS >Vigun01g207500.4.v1.2 pep primary_assembly:ASM411807v1:1:38315276:38318501:-1 gene:Vigun01g207500.v1.2 transcript:Vigun01g207500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPTTPITTIHVTGFKKFHGVAENPTETIVNNLTEYMYKKGLPKGLVIGSCSILETAGQGALVSLYQRLQSAVISKDSESSNSNRTIWTSLPVVEITKTLAEKGYEVMASDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTINEETQMKFAASLLEVLASKS >Vigun01g207500.3.v1.2 pep primary_assembly:ASM411807v1:1:38315276:38317962:-1 gene:Vigun01g207500.v1.2 transcript:Vigun01g207500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPTTPITTIHVTGFKKFHGVAENPTETIVNNLTEYMYKKGLPKGLVIGSCSILETAGQGALVSLYQRLQSAVISKDSESSNSNRTIWLHFGVNSGATWFAIENQAVNEANFRCPDEMGWKPQKVPIVPSDGGISRTRETSLPVVEITKTLAEKGYEVMASDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTINEETQMKFAASLLEVLASKS >Vigun05g013900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1096393:1097910:1 gene:Vigun05g013900.v1.2 transcript:Vigun05g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGNIFILTLAGEGEHRLNPTLLDSIQSSLRRVRQEATGSSALITTAHGKFFSNGFDLAWAQSSQERMILMDSLLRSVVNDLLTLPMPTIAAVTGHASAAGFTFALAHDYLLMRSDRGFLYMSELNINLVLPVWFHVLLEAKVATPAARRHIVMTAAKLKAEDALRLGVIDSAHSSAGETVEAALDLAARLIKRGWDGHVYAQNRTVFLRRVIEAVEDTSQTSTSRL >Vigun06g225500.1.v1.2 pep primary_assembly:ASM411807v1:6:33373717:33381432:-1 gene:Vigun06g225500.v1.2 transcript:Vigun06g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTSSFMSFFFVWAILVLDLVLKTSGNQEGDALNALKSNLLDPNNVLQSWDATLVNPCTWFHVTCNSDNSVTRVDLGNADLSGQLVSQLGQLTNLQYLELYSNNITGKIPDELGNLTNLVSLDLYLNNLTGSIPTTLGNLAKLRFLRLNNNTLTGGIPMSLTNVSSLQVLDLSNNKLKGNIPVNGSFSLFTPISYQNNPDLIQPKNSPSPVSPTPPPASSGNSNTGAIAGGVAAGAALLFAAPAIALAYWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKHILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMANGSVASCLRERQESQPPLGWPERKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRKLETLVDADLQGNYIDEEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWEQWQKDETFRQDFNNNIHHPNANWIVDSTSHIQADELSGPR >Vigun08g090400.1.v1.2 pep primary_assembly:ASM411807v1:8:20957093:20960094:-1 gene:Vigun08g090400.v1.2 transcript:Vigun08g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLCGANVGNMVSKAKPYVLTVGLQFGMAGTYLFTMASLNHGMSRFVFIVYRNAIAALALAPFALIFERKVRPKMTWTVFLQILVLGFLEPVVDQGFTFLGMQYTSASFASAVMNAVPSVTFVLALFLRLERVNMRELRSQAKVVGTLVTFAGALLMTLYKGPQFDLFHHSNTTHQQSGSPSSQNNHSHWVSGTLFICLGCFAWSSFYILQSITVKRYPAELSLSSLICLGGALQSAVVAVIADHNPRAWAIGFDYTLYGPLYTGIMSSGIAYYIQGLVMQSRGPVFVTSFNPLCMIIVTALGSLLLGEHLYLGSIIGGIIIAVGLYSVVWGKGKDYVDETSSSPARTKETETMQLPVTSPNNK >Vigun10g082801.1.v1.2 pep primary_assembly:ASM411807v1:10:23429286:23429927:-1 gene:Vigun10g082801.v1.2 transcript:Vigun10g082801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELINKSLLFKVESRNDQTFKLEQSFRVKKICLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIAEDLLVRFTKETIDCASQSADLIKDTATNEDGNTSLKRESAKKTLSLESIEEDTVPLKLLKRNIKKEKIVKQ >VigunL058700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000447.1:10414:11375:-1 gene:VigunL058700.v1.2 transcript:VigunL058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAHQGYENPREATGRIVCANCHLANKAVDIEVPQAVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGNVLILPEGFELAPPIKYNEIMFPILAPDPASKRDIHFLKYPIYVGENRGKGHIYLDGSKSNNNVYNATTTGIPLTSNPNVSGFGQGDVEIVLQDPLRVQGLLFFLASITLAQIFLVLKKKQFEKVQLFEMNF >Vigun03g232600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38753566:38757249:-1 gene:Vigun03g232600.v1.2 transcript:Vigun03g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAYVTSFSFLFFSLHQLLSNLSSNTPTFIILTLLIFHTFNTVSYSSFSPSPQPSLLFSISSLPSHYLFFFFSQHFPIPHQNNNNQHKVLCFFIHMGFSEKPQVEGGFDSDTNRKWVIAGIALRPPLKPIYTVPVEKEHKEEDDTQEFSTTPTAVESKIPTPFTCPPPPRKPKPSFKCNYRAVVQDFFTPPDLETVFIRHVIDPTQEKI >Vigun01g019700.1.v1.2 pep primary_assembly:ASM411807v1:1:2098924:2102035:-1 gene:Vigun01g019700.v1.2 transcript:Vigun01g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHLSSLVNEKSCNENILKETVLELEKFLSAKVNGDINLDEEVEQEFESEPSRLDDPLSEYANDLYLGCREPLKRKTSLELLRTVMNSPAPYLPGILKKFAEAVEHLSHLEASKIVFILWKHHMYYKALKILEWLETTKQLEHSENAYASHLDLIAKVQGVDVAEKYMKNDVPDSFKGELLYRTLLVNCVRSGNMEKSVTVFEKMRSLGLPITIYTLNQMIILYKKYDRRKILGILSFMKNENLTPSHLTYRILIATKGETGDIIGMEQLVEDMKSHGLQPDTHFLTDLARYYISEGYKHKAITILKEIEGANSHEYIRIYNKLFSLYASLDMTSDVSRIWNNCKSDPTMLECEAVIGALGKLGKVEEAEAVFEMAMHKFKGPSSRLFSELLRVYALNDQISKGKDFVERMRHSRCWSGPLVWDGLVRFYVKAGDVEKAASILSKAAERQCGGAVKPLFSSYMVVMEQYANCGDVHNTEKWFYKMRQCGYTGRLRPFQILVQAYLKAKIPAYGVRERMKAENVFPNKEFSMQLTEIDALKNVYLIDP >Vigun09g101225.1.v1.2 pep primary_assembly:ASM411807v1:9:17063657:17064774:1 gene:Vigun09g101225.v1.2 transcript:Vigun09g101225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSCPWRKRSSSTMSNSVVDISTFSTILWMIMSFGFHLIRVLLWTIDLHLGWGFKSNQRF >Vigun06g007470.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:3782287:3782649:1 gene:Vigun06g007470.v1.2 transcript:Vigun06g007470.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGDVISQAGANKAVRPLTRLGMRRPFLRNETEEIKSYAIDRPFRSDFESRVLSFFLILFEAGRIENEMKKYGKSSKPFFWAARPTEVLKAFEAYTSLLHDLKKVACCSALRRNLSLLK >Vigun11g178700.1.v1.2 pep primary_assembly:ASM411807v1:11:38289227:38294452:1 gene:Vigun11g178700.v1.2 transcript:Vigun11g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQPPWFSSLRVAPPTKLAGPPSASLKPAKLLCWALGPDNTESSEPSPEARTGPVDPVKLAFSKAQAYKKSNKSNSGSGTTQDADDGNSVKKENVGGEGQKDLPESVKIAMEKAKKYKQNKGVALSETTQTQGSLRGSERSSGENVMDDKVGKKGELSVSRMDFVGLDFADKKTTRGLPPGLVPISDPFFNDDLPEVELIVGDTSKFGDAMAPEPEQTEEDEAELYKPKVSTWGVFPRPANISKTFGGGRVIRPGDVLETEEEKAVKEARTKQLLAAYKKKIGLNIDPKLKSECEEALKDGDLLMNEGKLNEALPYYEKVMDKLAFESELHGLAALQWSICQDSLSRSNEARSKYEKLVSHPNPKVSKRARQFMYSFQAMEMMKVSTSSPFYLKNTGYQNYFDAFVEKRSNYSEEDDLVQESAMNQVLPYILFLLSPIFIVLFVALRKGI >Vigun11g178700.2.v1.2 pep primary_assembly:ASM411807v1:11:38289227:38294452:1 gene:Vigun11g178700.v1.2 transcript:Vigun11g178700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQPPWFSSLRVAPPTKLAGPPSASLKPAKLLCWALGPDNTESSEPSPEARTGPVDPVKLAFSKAQAYKKSNKSNSGSGTTQDADDGNSVKKENVGGEGQKDLPESVKIAMEKAKKYKQNKGVALSETTQTQGSLRGSERSSGENVMDDKVGKKGELSVSRMDFVGLDFADKKTTRGLPPGLVPISDPFFNDDLPEVELIVGDTSKFGDAMAPEPEQTEEDEAELYKPKVSTWGVFPRPANISKTFGGGRVIRPGDVLETEEEKAVKEARTKQLLAAYKKKIGLNIDPKLKSECEEALKDGDLLMNEGKLNEALPYYEKVMDKLAFEGN >Vigun07g295000.2.v1.2 pep primary_assembly:ASM411807v1:7:40558152:40560689:-1 gene:Vigun07g295000.v1.2 transcript:Vigun07g295000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPKWMPTAREVPTIKRRASRTPPLAGSYAPSSSNSRLSSAVEKKDDLMNTESDNFDNILDEFDKLHDQVEKPREQVADAEALLDLTRTLLGSVRTLANEGVTPSQFVSSLLIRYGQGQGQDSIDWQKLGLAVSPMFLSVHGSSTMLGPMDNEIKKRRIGVRKQRDPRALVTTRPEQLDEAGAVGKTDTDKNMATMFDILRKTKRVRLENLIINRKSFSQTVENLFSLSFLVKDGRAEISLDENRCHYVSPKNAPAANLVSSKEVSYGHFVFRYDYQDWKLMKDVVPEGEELMPHRVQCATLADPQERMGVDDSQPTLALTPIRKLSRNRGLVVQEESVVEETPECDEEKASREGAIRRCKRKLH >Vigun07g295000.4.v1.2 pep primary_assembly:ASM411807v1:7:40558152:40560689:-1 gene:Vigun07g295000.v1.2 transcript:Vigun07g295000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPKWMPTAREVPTIKRRASRTPPLAGSYAPSSSNSRLSSAVEKKDDLMNTESDNFDNILDEFDKLHDQVEKPREQVADAEALLDLTRTLLGSVRTLANEGVTPSQFVSSLLIRYGQGQGQDSIDWQKLGLAVSPMFLSVHGSSTMLGPMDNEIKKRRIGVRKQRDPRALVTTRPEQLDEAGAVGKTDTDKNMATMFDILRKTKRVRLENLIINRKSFSQTVENLFSLSFLVKDGRAEISLDENRCHYVSPKNAPAANLVSSKEVSYGHFVFRYDYQDWKVWIAYERCCAGRGRVDATSGSMCYPC >Vigun07g295000.1.v1.2 pep primary_assembly:ASM411807v1:7:40558152:40560689:-1 gene:Vigun07g295000.v1.2 transcript:Vigun07g295000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRRGNADAEVDADGEGGANNKAASEQNPATRRVIRSQFLKLKTLISEKKDDLMNTESDNFDNILDEFDKLHDQVEKPREQVADAEALLDLTRTLLGSVRTLANEGVTPSQFVSSLLIRYGQGQGQDSIDWQKLGLAVSPMFLSVHGSSTMLGPMDNEIKKRRIGVRKQRDPRALVTTRPEQLDEAGAVGKTDTDKNMATMFDILRKTKRVRLENLIINRKSFSQTVENLFSLSFLVKDGRAEISLDENRCHYVSPKNAPAANLVSSKEVSYGHFVFRYDYQDWKLMKDVVPEGEELMPHRVQCATLADPQERMGVDDSQPTLALTPIRKLSRNRGLVVQEESVVEETPECDEEKASREGAIRRCKRKLH >Vigun07g295000.3.v1.2 pep primary_assembly:ASM411807v1:7:40558152:40560689:-1 gene:Vigun07g295000.v1.2 transcript:Vigun07g295000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRRGNADAEVDADGEGGANNKAASEQNPATRRVIRSQFLKLKTLISEKKDDLMNTESDNFDNILDEFDKLHDQVEKPREQVADAEALLDLTRTLLGSVRTLANEGVTPSQFVSSLLIRYGQGQGQDSIDWQKLGLAVSPMFLSVHGSSTMLGPMDNEIKKRRIGVRKQRDPRALVTTRPEQLDEAGAVGKTDTDKNMATMFDILRKTKRVRLENLIINRKSFSQTVENLFSLSFLVKDGRAEISLDENRCHYVSPKNAPAANLVSSKEVSYGHFVFRYDYQDWKVWIAYERCCAGRGRVDATSGSMCYPC >Vigun05g235500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42877648:42880270:-1 gene:Vigun05g235500.v1.2 transcript:Vigun05g235500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSLHHILDTLLPENTTLLESLSWNQIKPVKPAEKTLSFTEIFAEPSIQPYVSTSSSSEKTYNTNSHKSSDSFSSLSSESLQLCTEGLGFESSDDVEDLKDGTNESWERFKEKEGVKRYVSSRVSEYPPPISSIGRSGRPWVCFKSYRSEGRFVLEEIRIPTHEFLHACREDGRLKLNFVHPDDELSEEEEEEEEDADVGSIDEEEEEENMGEENDGCVTDHENEQKEIDA >Vigun05g235500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42877648:42879975:-1 gene:Vigun05g235500.v1.2 transcript:Vigun05g235500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSLHHILDTLLPENTTLLESLSWNQIKPVKPAEKTLSFTEIFAEPSIQPYVSTSSSSEKTYNTNSHKSSDSFSSLSSESLQLCTEGLGFESSDDVEDLKDGTNESWERFKEKEGVKRYVSSRVSEYPPPISSIGRSGRPWVCFKSYRSEGRFVLEEIRIPTHEFLHACREDGRLKLNFVHPDDELSEEEEEEEEDADVGSIDEEEEEENMGEENDGCVTDHENEQKEIDA >Vigun05g172200.1.v1.2 pep primary_assembly:ASM411807v1:5:30903590:30908499:1 gene:Vigun05g172200.v1.2 transcript:Vigun05g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKHTPASLKASRASTSVPPSQQSPVTRSNRRAQEEEPQEEAAAPQTQGRKKKRSKPGTMALREIRHFQKSCKLLIPAAPFIRCVKQITHRFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLMTKDIQLARRLGGIGRPW >Vigun05g172200.2.v1.2 pep primary_assembly:ASM411807v1:5:30903590:30908499:1 gene:Vigun05g172200.v1.2 transcript:Vigun05g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKHTPASLKASRASTSVPPSQQSPVTRSNRRAQEEEPQEAAAPQTQGRKKKRSKPGTMALREIRHFQKSCKLLIPAAPFIRCVKQITHRFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLMTKDIQLARRLGGIGRPW >Vigun05g240300.2.v1.2 pep primary_assembly:ASM411807v1:5:43339162:43347832:1 gene:Vigun05g240300.v1.2 transcript:Vigun05g240300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVCSSKENKFAVGSGAKTICICYYEQDNNWWVSKLIRKRHNSSVTSVSWHPDNIFLATTSTDGKCRVFSTFIKGVDAKDSKKGTSSDSKFGELIVQLDLSSSWTFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPVAQNVVFRDLPLRDVLFVSERKVIGVGFDCNPMVFAADERGTWSFVRYLGERKAASSGSRYGSQFSEAFGKFYGQSKHGVNNDAVETSRTRGTVHENCINCIIPLGNRGKMIRRFSTSGLDGRIVVWDLENEPDLLE >Vigun05g240300.1.v1.2 pep primary_assembly:ASM411807v1:5:43339162:43347832:1 gene:Vigun05g240300.v1.2 transcript:Vigun05g240300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAVHQFAQCITCHAWSADQSMVALCPNNNEVHIYKLVEDKWEKVYVLQKHDQVISGIDWSARSNRIVTASHDRNSYVWNLEQSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTICICYYEQDNNWWVSKLIRKRHNSSVTSVSWHPDNIFLATTSTDGKCRVFSTFIKGVDAKDSKKGTSSDSKFGELIVQLDLSSSWTFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPVAQNVVFRDLPLRDVLFVSERKVIGVGFDCNPMVFAADERGTWSFVRYLGERKAASSGSRYGSQFSEAFGKFYGQSKHGVNNDAVETSRTRGTVHENCINCIIPLGNRGKMIRRFSTSGLDGRIVVWDLENEPDLLE >Vigun05g263300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45579598:45581216:-1 gene:Vigun05g263300.v1.2 transcript:Vigun05g263300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYRQEHVYKHPWERVTSASWRKFADPENKRILSHILDVDTLNHSLDPSTGKLCTTRAITIHCPGPWFVRRIVGQDICHCVESTVVDAQSRSMQLTSRNISLQKFIEVEEKIRYDPHPDNPNGWTVCQQETRIRIKPLSALASMAEKVEQRCAERFLQNSVKGREVMERICKYLEAESSSLAL >Vigun11g188800.1.v1.2 pep primary_assembly:ASM411807v1:11:38863804:38867398:1 gene:Vigun11g188800.v1.2 transcript:Vigun11g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATVFSSPTVSTTGKWLGFVAAVWIQCISGNNYTFSNYSDALKSLMHLTQVQLNNLSVAKDIGKAFGLLAGLASDRFPTWAILLIGSVEGLIGYGVQWLVVSQRIQPLPYWQMCVFLCMGGNSSTWMNTAVLVTCIRNFRTNRGPVSGILKGFVGLSTAIFTTLCSALFADDPASFLVMLSLVPLVVCLTGIFFLRELPPAVAAAGSAEEVRYFGVFNVVAVVVALFLLVYGFVPSPGVLVSRVFVAVLLVILASPVGIPVYTYFKGRLGAGNDVEGQRASEPLLRSGEKENESVAAVAAVEEEAFVEKRAPEVGEEHTIMEVLKSVDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYPDVSLFVSLTSIFGFFGRIISGTVSEFTIKKAATPRPLWNAASQLLMAVGYILMAMAMPGSLYIGSILVGICYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTEGGGNTCVGGHCYRLVFVVMTGACVVGFFLDILLSFRTKTVYTKISMSKKPNKSLSASSSSR >Vigun11g188800.3.v1.2 pep primary_assembly:ASM411807v1:11:38863804:38867369:1 gene:Vigun11g188800.v1.2 transcript:Vigun11g188800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVLVTCIRNFRTNRGPVSGILKGFVGLSTAIFTTLCSALFADDPASFLVMLSLVPLVVCLTGIFFLRELPPAVAAAGSAEEVRYFGVFNVVAVVVALFLLVYGFVPSPGVLVSRVFVAVLLVILASPVGIPVYTYFKGRLGAGNDVEGQRASEPLLRSGEKENESVAAVAAVEEEAFVEKRAPEVGEEHTIMEVLKSVDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYPDVSLFVSLTSIFGFFGRIISGTVSEFTIKKAATPRPLWNAASQLLMAVGYILMAMAMPGSLYIGSILVGICYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTEGGGNTCVGGHCYRLVFVVMTGACVVGFFLDILLSFRTKTVYTKISMSKKPNKSLSASSSSR >Vigun11g188800.2.v1.2 pep primary_assembly:ASM411807v1:11:38863804:38867369:1 gene:Vigun11g188800.v1.2 transcript:Vigun11g188800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATVFSSPTVSTTGKWLGFVAAVWIQCISGNNYTFSNYSDALKSLMHLTQVQLNNLSVAKDIGKAFGLLAGLASDRFPTWAILLIGSVEGLIGYGVQWLVVSQRIQPLPYWQMCVFLCMGGNSSTWMNTAVLVTCIRNFRTNRGPVSGILKGFVGLSTAIFTTLCSALFADDPASFLVMLSLVPLVVCLTGIFFLRELPPAVAAAGSAEEVRYFGVFNVVAVVVALFLLVYGFVPSPGVLVSRVFVAVLLVILASPVGIPVYTYFKGRLGAGNDVEGQRASEPLLRSGEKENESVAAVAAVEEEAFVEKRAPEVGEEHTIMEVLKSVDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYPDVSLFVSLTSIFGFFGRIISGTVSEFTIKKAATPRPLWNAASQLLMAVGYILMAMAMPGSLYIGSILVGICYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTEGGGNTCVGGHCYRLVFVVMTGACVVGFFLDILLSFRTKTVYTKISMSKKPNKSLSASSSSR >Vigun08g190200.1.v1.2 pep primary_assembly:ASM411807v1:8:35800729:35804375:1 gene:Vigun08g190200.v1.2 transcript:Vigun08g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVFWFMIRFFFRSFTKVMGCFFACFRIRDTPRTHTPQLISTTNRSNGVVISRNNSTSLLTEEERDDSVRIDGVGFQGELQGLKDEAKFLKACGTIAGTPIEIRKASEKLKTSPSPDQDSDPSRFRSWLPNTSLEKLKPDVQPFDPPTPIKLCREWGNSMDSSEHTSNSCISKAQDTQNDSVDYIEKSCAGNPHREDSSERNAALVSPLLPSNTQRKNKSVRFERETDLASYGSSSNDWHMKENKSPNNRSANKQSPYPTPLKLFDEMQTPGTVYPTSLEELRTGKARVRSQFVYPTNNSGDNVFRCNILEAGDFSPKEDSSDLSNLVDQSQNGTPTPEQGLKKISNGYDNEEKSILSSVNHWYGNGIPNSTTKYKEDQKVKWHATPFEERLDKALSENFISKRKLVRGKPVEFDDIEENDSASSQQL >Vigun01g014300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1597993:1600326:-1 gene:Vigun01g014300.v1.2 transcript:Vigun01g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKSTVADKAPAEKKPKAGKKLPKEGGASGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun07g149400.1.v1.2 pep primary_assembly:ASM411807v1:7:26002445:26005520:1 gene:Vigun07g149400.v1.2 transcript:Vigun07g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFPPHSLSPLLNIKPSPSRILLAPSPQPKSNSLFCSKPIVSSLRKNQTSSPKPSCTTWLAHAQQGLAALAISLALNFSPVLFSGNALASEFDVLNEGPPQESYVVDDAGVLSRVTKSDLKRLLSDLESRKNFHINFITVRKLTSKADAFEYADQVLERWYPSVEEGNNKGIVVLITSQKEGAVTGGPAFVQAVGENILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPTFKDNKRESNFKTKEETEQKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Vigun10g113300.1.v1.2 pep primary_assembly:ASM411807v1:10:31505970:31519698:1 gene:Vigun10g113300.v1.2 transcript:Vigun10g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPKEAVGDPQLMKGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVPTTLLPPQEATLKLEAMKGLIAVLKSMGDWMNKQLRIPDSRSATKVEALDDSPETGGFTMVNGNGEDPPDGSDTQSEVSNDASDVSNIEQRRAYKLELQEGISLFNRRPEKGIEFLINANKVGDSPKDIAAFLKEASGLNKTMIGDYLGEREDLPLKVMHAYVDSFNFQDMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSHDDFIRNNRGIDDGKDLPEEYLKSLFDRISRNEIKMKENDEAPQQKQVVSPNRLLGLDSILNIVIRKRVEENLETSDDLIRHMQEQFKEKARRSESIYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVLISLCLEGFRHAIHLTSVMSMKTHRDVFVTSLAKFTSLHSPADIKQKNIDAIKAIVVIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKTKQAKSTILPVLKKKGIGRMQYAAASLMRGSYDSGGIGSNGSGVTSEQVNNLVSNLNMLEQVGNSEMSRIFTRSQKLNSEAILDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWRSMFMVFTTAAYDDHKNIVLLSFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSKFNKEISLNAIAFLRFCATKLAAGDLSGKVSPSSLQTAKEGENDNGEAADKDDHLYFWFPLLAGLSELSFDPRPEIRKSALEVLFETLRNYGHLFSLTLWERIFESVLFPIFDYVRHGIDPSGSCSPANEEAADHGELGQDVWLYETCTLALQLVVDLFVNFYDTVNPLLRKVLMLLVNFINRPHQSLAGIGIAAFVRLMTNAGELFSDEKWLEVVFSLKEAAKATLPNFLFLEIEDFIASDEDASIADDRDFAESGFPDNLAHLRTRGLYAHLSDAKCRAAVQLLLIQAVTEIYNIYRSQLSAKAMLVLYDALHEIALHAHRINNNTMLRSKLLEFGSMSQMQDPPLLRLENESYQICLTFLQNLVIDKPTSYEEQEVESHLIQLCQEVLEFYIEVADFGVKSESSNGRAPHWYIPLGSGKSRELASRSPLVVATLHAICSLGDVSFENNLSHFFPLISSLIRCEHGSKDVQVALSDMLTLSVGPVLLQSY >Vigun10g113300.2.v1.2 pep primary_assembly:ASM411807v1:10:31511725:31519698:1 gene:Vigun10g113300.v1.2 transcript:Vigun10g113300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFHSQICRRDPRTKFVVYTSIKSTAGFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVPTTLLPPQEATLKLEAMKGLIAVLKSMGDWMNKQLRIPDSRSATKVEALDDSPETGGFTMVNGNGEDPPDGSDTQSEVSNDASDVSNIEQRRAYKLELQEGISLFNRRPEKGIEFLINANKVGDSPKDIAAFLKEASGLNKTMIGDYLGEREDLPLKVMHAYVDSFNFQDMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSHDDFIRNNRGIDDGKDLPEEYLKSLFDRISRNEIKMKENDEAPQQKQVVSPNRLLGLDSILNIVIRKRVEENLETSDDLIRHMQEQFKEKARRSESIYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVLISLCLEGFRHAIHLTSVMSMKTHRDVFVTSLAKFTSLHSPADIKQKNIDAIKAIVVIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKTKQAKSTILPVLKKKGIGRMQYAAASLMRGSYDSGGIGSNGSGVTSEQVNNLVSNLNMLEQVGNSEMSRIFTRSQKLNSEAILDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWRSMFMVFTTAAYDDHKNIVLLSFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSKFNKEISLNAIAFLRFCATKLAAGDLSGKVSPSSLQTAKEGENDNGEAADKDDHLYFWFPLLAGLSELSFDPRPEIRKSALEVLFETLRNYGHLFSLTLWERIFESVLFPIFDYVRHGIDPSGSCSPANEEAADHGELGQDVWLYETCTLALQLVVDLFVNFYDTVNPLLRKVLMLLVNFINRPHQSLAGIGIAAFVRLMTNAGELFSDEKWLEVVFSLKEAAKATLPNFLFLEIEDFIASDEDASIADDRDFAESGFPDNLAHLRTRGLYAHLSDAKCRAAVQLLLIQAVTEIYNIYRSQLSAKAMLVLYDALHEIALHAHRINNNTMLRSKLLEFGSMSQMQDPPLLRLENESYQICLTFLQNLVIDKPTSYEEQEVESHLIQLCQEVLEFYIEVADFGVKSESSNGRAPHWYIPLGSGKSRELASRSPLVVATLHAICSLGDVSFENNLSHFFPLISSLIRCEHGSKDVQVALSDMLTLSVGPVLLQSY >Vigun10g113300.3.v1.2 pep primary_assembly:ASM411807v1:10:31511473:31519698:1 gene:Vigun10g113300.v1.2 transcript:Vigun10g113300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHKICRRDPRTKFVVYTSIKSTAGFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVPTTLLPPQEATLKLEAMKGLIAVLKSMGDWMNKQLRIPDSRSATKVEALDDSPETGGFTMVNGNGEDPPDGSDTQSEVSNDASDVSNIEQRRAYKLELQEGISLFNRRPEKGIEFLINANKVGDSPKDIAAFLKEASGLNKTMIGDYLGEREDLPLKVMHAYVDSFNFQDMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSHDDFIRNNRGIDDGKDLPEEYLKSLFDRISRNEIKMKENDEAPQQKQVVSPNRLLGLDSILNIVIRKRVEENLETSDDLIRHMQEQFKEKARRSESIYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVLISLCLEGFRHAIHLTSVMSMKTHRDVFVTSLAKFTSLHSPADIKQKNIDAIKAIVVIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKTKQAKSTILPVLKKKGIGRMQYAAASLMRGSYDSGGIGSNGSGVTSEQVNNLVSNLNMLEQVGNSEMSRIFTRSQKLNSEAILDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWRSMFMVFTTAAYDDHKNIVLLSFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSKFNKEISLNAIAFLRFCATKLAAGDLSGKVSPSSLQTAKEGENDNGEAADKDDHLYFWFPLLAGLSELSFDPRPEIRKSALEVLFETLRNYGHLFSLTLWERIFESVLFPIFDYVRHGIDPSGSCSPANEEAADHGELGQDVWLYETCTLALQLVVDLFVNFYDTVNPLLRKVLMLLVNFINRPHQSLAGIGIAAFVRLMTNAGELFSDEKWLEVVFSLKEAAKATLPNFLFLEIEDFIASDEDASIADDRDFAESGFPDNLAHLRTRGLYAHLSDAKCRAAVQLLLIQAVTEIYNIYRSQLSAKAMLVLYDALHEIALHAHRINNNTMLRSKLLEFGSMSQMQDPPLLRLENESYQICLTFLQNLVIDKPTSYEEQEVESHLIQLCQEVLEFYIEVADFGVKSESSNGRAPHWYIPLGSGKSRELASRSPLVVATLHAICSLGDVSFENNLSHFFPLISSLIRCEHGSKDVQVALSDMLTLSVGPVLLQSY >Vigun05g218400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41043121:41043990:1 gene:Vigun05g218400.v1.2 transcript:Vigun05g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQRREMQRSKPAAGICSRCGGGASVADMKTATRFCCVPFYWKSWRAIVCTFCGAVLRSYQH >Vigun11g036200.2.v1.2 pep primary_assembly:ASM411807v1:11:4873080:4875700:1 gene:Vigun11g036200.v1.2 transcript:Vigun11g036200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDIDGKRKTLKLAVKVVDHWYVQSRDSTLQLEMILMDENADKIHCIVRREEFDLWDSKLIEGETYIMHNFKILKNEGQYRVCEHPYKLLFIGATSVKSQAIAKLPTKAYTFKSIKEIVSGNFIPDLLIDLIGVVENVRIKPQFKNVDSKNVVFTLVDLSGAAICCTLWDDYCKKFVQMFNELPNSEKLVVIITQAKIKPAAGEWPVFVSNTWNDTKLIMENDFPQIVHFKKRMKEIDPDEVILSQCASQLTQSSQYTDAERFVYKCLVKSLSEIPSMKKIGDSSDKCSMNLVSESSSVMISELRGKAICNLAADTDTDIMSLSATGDNDSDYVVIGTPGKRLLPCSENCNESSQDMDSAQLSSTKMKKLIKKEKT >Vigun07g232400.1.v1.2 pep primary_assembly:ASM411807v1:7:35436063:35437952:-1 gene:Vigun07g232400.v1.2 transcript:Vigun07g232400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQLSTSPQPSNHRILTPPVTSTASLCSLFFLLCLCFRKRKRTTPSSDSDSTPPHPFSYALLRRATNSFSTRLGHGGFGPVFAGTLAGDPVAVKLMDSASQQGEREFHNELFFASRLRSPSLVPAIGFSSDPKRRRFLLVYHLMHNGNLHDALLRSKTPHLKTWKNRFSIILDIAKGIHYLHSLDPPVIHGDIKPSNILLDNTFSAKLADFGLARLKPHVQVQEFKAKRDEKRRDESESDGRSELETLSVKTEQSFEGNTGSDYVMDWIGKELKKERPTAVEKVSSGSSSGLAEKKKSRKKLEWWETMDDSGVPKKEKRRAAREWWKEEYSEELARKTKKKKKKEKRKKKGVNDDDDGDLEIDNGEVNVYKREKNRSRRSGGSVDSWLSGEVHGGVGWNSYDSGTCSGEIVGKSGGVSSTPSMRGTVFYVAPEHGYNGDVSEKCDVYSFGVLLLVIVSGRRPLQVTGSPISELQRANLVTWARICGRRGKVLEVVDEGVEGLDKEQASLCVTVALACLLKSPTRRPSMKEVLGMLSGELEPPQLARNSFPLNSRNQVHITFGNGNVEKRRKFW >Vigun07g000900.1.v1.2 pep primary_assembly:ASM411807v1:7:84187:87784:-1 gene:Vigun07g000900.v1.2 transcript:Vigun07g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASLSPAAPLVQTNTLNPLGWTDDQILEKVYITHVHTAERYDVESLFNVTSNIIKRATAVADSVAVKTGTPVGLIEDKVALSTFDPPFLKLKHIASQMMNTPHGEHHAHQTAMSILDQLRSYSWDGKAILVLAALALEYGNFWHLVQVPTGDHLGRSLAQMNRVHIVERNRQAVADYNSLVKNLLIAVECITELERLSTKGYDLKDVPALAEAMQEIPVAVYWAIITTIVCANHFDFFLGESDDRYEIANFDDKLSAVISKLKANLTRSRKKIGDLEDYWRRKKLLQTPTEIVEVIKVLIYHNDVHDPHVYDGLTRQMVSIEVFRKKHVLLFISGLDSIRDEIRLLQSIYEGLQEDPKEVKGYRKEDFRILWLPVVEEWNLLHRAEYDNLKLEMPWYVVEYFFPLAGIRLIREDLNYKNKPIIPVLNPQGRVVNYNAMHMIFVWGIDAFPFRPSDDDVLTQKWNWFWAEMKKVNPRLQDIIKADSFIFIYGGSDRKWVQEFTLAVEKIRRHEIIKRADAVIEHYPFGKEDPRIVPRFWIGIESLFANMIQKTHKDPTIDEIKSLLCLKQQQPGWVLLSKGSNVKLLGRGEPMLATAADFEIWKEKVLEKAGFDVAFKEYYEQKRRGYPQECSHMQLANYPADILHPINCPDAGCGRSMEIASVSYKCCHGHTHNQAEVPESGDVMIEKKYAS >Vigun06g050300.2.v1.2 pep primary_assembly:ASM411807v1:6:17569873:17570331:1 gene:Vigun06g050300.v1.2 transcript:Vigun06g050300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTINLFTTVLFFLFVSQGYSKCSLEDIHVTQTITGVTVEGKQELKRFQSIKPIDSSILEVSDSDCLVNGGNPILDGAVEFSYAWDIPFSFHPISSDNSCP >Vigun09g086000.3.v1.2 pep primary_assembly:ASM411807v1:9:11258434:11271562:1 gene:Vigun09g086000.v1.2 transcript:Vigun09g086000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086000.4.v1.2 pep primary_assembly:ASM411807v1:9:11258434:11271562:1 gene:Vigun09g086000.v1.2 transcript:Vigun09g086000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086000.2.v1.2 pep primary_assembly:ASM411807v1:9:11258434:11271562:1 gene:Vigun09g086000.v1.2 transcript:Vigun09g086000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086000.1.v1.2 pep primary_assembly:ASM411807v1:9:11258434:11271562:1 gene:Vigun09g086000.v1.2 transcript:Vigun09g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun08g155400.3.v1.2 pep primary_assembly:ASM411807v1:8:32781307:32783553:-1 gene:Vigun08g155400.v1.2 transcript:Vigun08g155400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTTEDKSHVVVVDIDSNGCCQRSSAADEGCSDAADRSHEEQRSSHDPGTEIVGVCEKERGSSASECSVEVDLEEGSVPEVKVHLDNVERDCRICHLSMDMTNHESGTPIELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSIAHNVAGAIEIQMTEQWNEGNDSSAAAASGAAAPTETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >Vigun06g156000.2.v1.2 pep primary_assembly:ASM411807v1:6:27946842:27951912:-1 gene:Vigun06g156000.v1.2 transcript:Vigun06g156000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCFHGLRLRMSKSKPLPDPSSSSKLRLESDTENNERKRFDSMESWSMILDSMDTWEASKEEGEQEEWTADLSQLFIGNRFACGAHSRIYRGVYKQRAVAVKMVKMPSQDEDKKELLEEQFNSEVALLSRLFHFNIVEFIAACKKPPVYCIITEYMSQGNLRMYLNKKEPYSLSLEIIIRLALDISRGMEYLHSQGVIHRDLKSSNLLLDDDLRAKVADFGTSCLETRCRKSKGNSGTYRWMAPEMVKEKPYTRKVDVYSFGIVLWELTTSLLPFQGMTPVQAAFAVAEKNERPPLPASCEPALAHLIKRCWSGNPSKRPDFSDIVCTLEKYDECLKEGVPMSHHSGLVSRNVIVERLKGCVSMTSSIPVYA >Vigun06g156000.1.v1.2 pep primary_assembly:ASM411807v1:6:27946840:27951584:-1 gene:Vigun06g156000.v1.2 transcript:Vigun06g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCFHGLRLRMSKSKPLPDPSSSSKLRLESDTENNERKRFDSMESWSMILDSMDTWEASKEEGEQEEWTADLSQLFIGNRFACGAHSRIYRGVYKQRAVAVKMVKMPSQDEDKKELLEEQFNSEVALLSRLFHFNIVEFIAACKKPPVYCIITEYMSQGNLRMYLNKKEPYSLSLEIIIRLALDISRGMEYLHSQGVIHRDLKSSNLLLDDDLRAKVADFGTSCLETRCRKSKGNSGTYRWMAPEMVKEKPYTRKVDVYSFGIVLWELTTSLLPFQGMTPVQAAFAVAEKNERPPLPASCEPALAHLIKRCWSGNPSKRPDFSDIVCTLEKYDECLKEGVPMSHHSGLVSRNVIVERLKGCVSMTSSIPVYA >Vigun06g143000.1.v1.2 pep primary_assembly:ASM411807v1:6:26871394:26875907:1 gene:Vigun06g143000.v1.2 transcript:Vigun06g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSAEPSSSLSFTSSSHLSNGSVSHNICSSYGSDPGPNLEVISLSKLSSHLEQLLIESDCDYSDADIIVEGIPVSVHRCILASRSKFFHELFKKEKGSSEKEGKLKYNMSDLLPYGKVGYEAFLIFLGYVYTGKLKPSPMEVSTCVDNVCAHDACRPAINFAVELMYASSIFQIPELVSLFQRRLLNFIGKALVEDVIPILTVAFHCQSSQLVTQCIDRVARSDLDQISIDKELPHELSGKVKLLRHNPQQDVENDASELDALSLKRITRIHRALDSDDVELVKLLLNESNVTLDEAYALHYAAAYCDPKVVSEVLGLALANVNLRNSRGYTVLHVAAMRKEASIIVSLLTKGACASDLTFDGQSAVSICRRLTRPKDYHAKTEQGKETNNDRICIDVLEREMRRNPLARDACISSHTMADDLHMKLLYLENRVAFARLFFPSEAKLAMDIAHAETTSEFAGLSASNSKNSNGNLREVDLNETPIVQNKRLLSRMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLEKGTQEEQRIKRTRFMELKDDVHKAFSKDKAEFSRSGISSSSSSSSLKDSVVHYKARKV >Vigun06g221900.5.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112782:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAEQLSACWKV >Vigun06g221900.3.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDCIGNALHSNFADNPDQEYAKQEILIRQKLNSVESED >Vigun06g221900.6.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDCIGNALHSNFADNPDQEYAKQEILIRQKLNSVESED >Vigun06g221900.7.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDCIGNALHSNFADNPDQEYAKQEILIRQKLNSVESED >Vigun06g221900.4.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAARTTVRMLESLIRLAQD >Vigun06g221900.2.v1.2 pep primary_assembly:ASM411807v1:6:33105947:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQRIT >Vigun06g221900.8.v1.2 pep primary_assembly:ASM411807v1:6:33107556:33112782:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGAFQGYFNSIIHNRLIE >Vigun06g221900.1.v1.2 pep primary_assembly:ASM411807v1:6:33104461:33112781:-1 gene:Vigun06g221900.v1.2 transcript:Vigun06g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEMWRARCFPSQRSGSVQSREAWFTENNIADPDYFRKPLVSFLERHHSDQIHSIVSSPDSDLHFPLFVDFAELFDEETHVACLLFVQPNTYLRVFDAAAISAQQAVLADGKKGVEKKSIHVRINTCGSFLEFPETFPSIGRVRVEHHGILLTLKGIVIRSGAIKMHEGERTYMCHKCKNSFPVHPLVEARNSISLPSICPIRQNSKPCAGTKFQYVENTIVCHDYQEIKIQESTQVLGVGAIPRSILVILEDDLVDVVKAGDDVIVSGVLTSKWAPELKDVRCDLDPVLIANNVRRVNELKSEIEVFDDIVMKFKQFWAHFKGSPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTRIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAESGSTTNDEDLVNSWPLATLKRYIHYVKEHFRPVLTREAEIIISSYYQLQRKSATDNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDCIGNALHSNFADNPDQEYAKQEILIRQKLNSVESED >Vigun07g137600.1.v1.2 pep primary_assembly:ASM411807v1:7:24755677:24760214:1 gene:Vigun07g137600.v1.2 transcript:Vigun07g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQPVGENYANPRTCFFHVLFKVLFIQLCSLICIFIQAMFISLWHNFFSGILQAASLAFYILSALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDHESLSRMNKKDSWLFWWTLYLTAIAWIFLAIFSLIRLQADYLLVVGVCLTLSIANIVGFTKCKKDAKKQIQQFASQTIATRFSSTLQSAFSVV >Vigun07g137600.2.v1.2 pep primary_assembly:ASM411807v1:7:24755677:24760214:1 gene:Vigun07g137600.v1.2 transcript:Vigun07g137600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQPVGENYANPRTCFFHVLFKAASLAFYILSALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDHESLSRMNKKDSWLFWWTLYLTAIAWIFLAIFSLIRLQADYLLVVGVCLTLSIANIVGFTKCKKDAKKQIQQFASQTIATRFSSTLQSAFSVV >Vigun10g157100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37661581:37662141:-1 gene:Vigun10g157100.v1.2 transcript:Vigun10g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKFVSKVPLIFIVFFFLFQSSHSSNLISESCKEVLKKDPTLKYDLCVTILEEASSKMQPPPNNLRDLAGLSIQLCKSNATNVLSIISKFLKDKSFDQNVKQCLQDCSRFYSASLKNIDIAMVAFKSKDFFKASINLGASTYTPLACEHTCTKQKGETSPLTRENQVFSDLSVMSLYFVEASSTS >Vigun01g093500.1.v1.2 pep primary_assembly:ASM411807v1:1:25612118:25615415:1 gene:Vigun01g093500.v1.2 transcript:Vigun01g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIFMASFMVSSILFFLIFIPTRLTLQLSSLRPNYMSAPKNNSKAYPVTFAYLISASKGDVGKLKRLMRVLYHPGNYYLIHVDYGAPEAEHREVAQYVAADPVFGQVGNVWVVGKPNLVTYRGPTMLATTLHAMAMLLRTCQWDWFINLSASDYPLVTQDDLIQVFSELPRDINFIQHSSQLGWKLNKRGKPIIIDPGLYSLNKSEIWWVIKQRSLPTSFKLYTGSAWTILSRSFAEYCIVGWENLPRTLLLYYTNFVSSPEGYFQTVICNSEDYKNTTVNHDLHYITWDNPPKQHPRSLGLKDYRKMVLSSRPFARKFKRNDPVLDKIDRELLKRYHGQFSSGGWCSQGGKHRACSGFRTENYGVLNPGPASRRLKNLLTKLLSDKFFHKQQCR >Vigun01g093500.2.v1.2 pep primary_assembly:ASM411807v1:1:25612237:25618860:1 gene:Vigun01g093500.v1.2 transcript:Vigun01g093500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIFMASFMVSSILFFLIFIPTRLTLQLSSLRPNYMSAPKNNSKAYPVTFAYLISASKGDVGKLKRLMRVLYHPGNYYLIHVDYGAPEAEHREVAQYVAADPVFGQVGNVWVVGKPNLVTYRGPTMLATTLHAMAMLLRTCQWDWFINLSASDYPLVTQDDLIQVFSELPRDINFIQHSSQLGWKLNKRGKPIIIDPGLYSLNKSEIWWVIKQRSLPTSFKLYTGSAWTILSRSFAEYCIVGWENLPRTLLLYYTNFVSSPEGYFQTVICNSEDYKNTTVNHDLHYITWDNPPKQHPRSLGLKDYRKMVLSSRPFARKFKRNDPVLDKIDRELLKRYHGQFSSGGWCSQGGKHRACSGFRTENYGVLNPGPASRRLKNLLTKLLSDKFFHKQQCSGFSSPKQSTSSRLTEVLVDLIFARQSIGSSSSRQSTSSR >Vigun03g235132.1.v1.2 pep primary_assembly:ASM411807v1:3:39116982:39118000:-1 gene:Vigun03g235132.v1.2 transcript:Vigun03g235132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPTNLSFTSTPFLRFTDYRAEKQPSHNGLVPLSRFVIRVLNAAGRSKLEFCCLRFVLNLFINS >Vigun03g153000.1.v1.2 pep primary_assembly:ASM411807v1:3:16155694:16161581:-1 gene:Vigun03g153000.v1.2 transcript:Vigun03g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMGTTCFPTTNVLFEPQKLSGNFSILCDNVRGLSFLTPKPHLRSSYSNLGNRVKFTSFSMYKTTHLKVSQDALSPTEESLSSSPRVIGEHDLLVVGPGVLGRLVAQKWHQEIPDCQVYGQTVTTHHHNELIQMGIYPSLKWTEATHKFPNVIYCAPPSRTPDYAGNVRLAALSWNGEGSFLFTSSSAPYDCNDNGSCDEDSPVLPIGRNARVDVLLKAENVVLEFGGCVLRLAGLYKEDRGAHNYYLEKGTVDSRPDHILNLIHYEDAASLAVAILKKKFRGQIFLGCDNHPLSRQQMMDLVNKSGKFNKKFDKFTGTDDPLGKRLNNTKTRQVVGWKPKYPSFAGFLESSL >Vigun03g153000.2.v1.2 pep primary_assembly:ASM411807v1:3:16155694:16161581:-1 gene:Vigun03g153000.v1.2 transcript:Vigun03g153000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMGTTCFPTTNVLFEPQKLSGNFSILCDNVRGLSFLTPKPHLRSSYSNLGNRVKFTSFSMYKTTHLKVSQDALSPTEESLSSSPRVIGEHDLLVVGPGVLGRLVAQKWHQEIPDCQVYGQTVTTHHHNELIQMGIYPSLKWTEATHKFPNVIYCAPPSRTPDYAGNVRLAALSWNGEGSFLFTSSSAPYDCNDNGSCDEDSPVLPIGRNARVDVLLKAENVVLEFGGCVLRLAGLYKEDRASLAVAILKKKFRGQIFLGCDNHPLSRQQMMDLVNKSGKFNKKFDKFTGTDDPLGKRLNNTKTRQVVGWKPKYPSFAGFLESSL >Vigun07g238700.1.v1.2 pep primary_assembly:ASM411807v1:7:36006393:36015175:1 gene:Vigun07g238700.v1.2 transcript:Vigun07g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYVLYLLPFLPSKFSNFYPSLTRRTPIISRTPPFRRRTRRNQPEMEMSGTVPRSSSSLRLSNEDSDPFLVSRISAGKDDALTTDIDLEASSSSKVPPRKHKYRMSSIKLFGVELSPDNVAVAMVYFVQGVLGLARLAVNFYLKDDLHLDPAEAAVIAGLSSLPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLFGALSWSLMATFVDNKYSAAFCILLGSFSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLDAYGVRFVFGVTSLLPLITSVVAILVKEQPMLGAATGPNLLFSGTEFWESSKQSIIQLWGSVRQRSVFLPTLFIFLWQATPQSDSAMFYFTTNSLGFTPEFLGRVKLVTSVASLLGVGIYNGFLKNVPLRKIFLATTLLGSTLGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLSQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLLGAGLTQLFGITKDKFDNLAPLIILCNLSSLLPLPLLGLLPRDNPDGNPDDDSDIEMKCH >Vigun07g238700.2.v1.2 pep primary_assembly:ASM411807v1:7:36006393:36015175:1 gene:Vigun07g238700.v1.2 transcript:Vigun07g238700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVYVLYLLPFLPSKFSNFYPSLTRRTPIISRTPPFRRRTRRNQPEMEMSGTVPRSSSSLRLSNEDSDPFLVSRISAGKDDALTTDIDLEASSSSKVPPRKHKYRMSSIKLFGVELSPDNVAVAMVYFVQGVLGLARLAVNFYLKDDLHLDPAEAAVIAGLSSLPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLFGALSWSLMATFVDNKYSAAFCILLGSFSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLDAYGVRFVFGVTSLLPLITSVVAILVKEQPMLGAATGPNLLFSGTEFWESSKQSIIQLWGSVRQRSVFLPTLFIFLWQATPQSDSAMFYFTTNSLGFTPEFLGRVKLVTSVASLLGVGIYNGFLKNVPLRKIFLATTLLGSTLGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLSQQDYVLKEWRQLFSQLSCLYLMEGVFLGDC >Vigun04g040600.1.v1.2 pep primary_assembly:ASM411807v1:4:3479413:3483531:-1 gene:Vigun04g040600.v1.2 transcript:Vigun04g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSCQSRPIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTTGLQDTYALCRVFKKNGICSEIEEQGQCSIALTESCQTIINECETMSPDIPGASSSCLEEEDKDDSWMQFITEDAWYSSNAAMVGGEELSHVTFTN >Vigun07g202200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32349860:32351219:1 gene:Vigun07g202200.v1.2 transcript:Vigun07g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIAEANEHSPFGQLTPDEFYARHSVSHASEFITNPRGLKLFTQWWTPLPPTTIVGTLAVVHGFTGESSWLLQLTAVYFAKAGFATCAIDHQGHGFSDGLIAHIPDINPVVDDCISFFESFRSRFDPSLPSFLYSESLGGAIALLITLRRPEMSWNGVILNGAMCGISAKFKPPWPLEHFLSLAAAVIPTWRVVPTRGSIPDVSFKVEWKRKLALASPRRTVARPRAATAQELMRICRELQGRYEEVEVPLLVAHGGEDVVCDPACVEELYARAASKDKTLKIYPRMWHQMVGEPEENVELVFGDMLEWLRTRVQRATGSAA >Vigun03g194100.1.v1.2 pep primary_assembly:ASM411807v1:3:27112069:27115107:1 gene:Vigun03g194100.v1.2 transcript:Vigun03g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSYASTFHSPTPNNTHATPKITSLCSIAQPYCICTHCNHILSFNHHVGNAAEEGTNNTGSHVQSQQSTRWSPTPVQLLVLEELYRQGTKTPSAEQIQQIASQLRQFGKIEGKNVFYWFQNHKARERQKRRRREMEENNAASSSEGLKETGCGVKETKKWASTSNCSRHAEESAAPDTAEKGSNGWTQFEERGIQVLRRNISERRLQLQDNMEMPCFPPTTMAALATSHRTLNTQLLIPQSYNRESLNYYDAENADPRTLDLFPHKSDDQDGICLAERKSMFCASASMDTDITSTQFFEFLPLRN >Vigun08g012500.1.v1.2 pep primary_assembly:ASM411807v1:8:1090908:1095124:-1 gene:Vigun08g012500.v1.2 transcript:Vigun08g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAAKDPNLPNRTRGESLRRDVVYSPSWSFQWDSRERVTGEIENPSYHTSHLDSRNVSMELKGSLSSERGNLSDGGSIMENSVTPISLKSPVDEALVANLMTPSSDLSMSSNFSTVVKNPAESSIPNLSFSIPSVFSTPTVDPMPNHNYNHLPNSTPTRWAHRSPAHPLLRQISDSRIMGLKSPDNSISEGRPSFVLSTCSNEMAAGSLCGSSDGWSMRTFSEMVASSQRERWSFDSECFGSGRHKISGSSSRFSYSPSMELQSCGACSKLLTERSTWSNQKFIASNDLSVVAVLVCGHAYHAECLEAMTPEADRYDPACPICMVGEKHFSKLSRKVLRAESEMKAKNHKISRNRVVDSYLDGGFDVFDRQKDIDLGGKVSKMEPSSSARSSFGKPFLRRHFSLGSKWSRSLSDNDSARKKGFWARYRKD >Vigun03g258600.4.v1.2 pep primary_assembly:ASM411807v1:3:42472601:42478572:-1 gene:Vigun03g258600.v1.2 transcript:Vigun03g258600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSERMKIVSYNVNGLRQRISQFGSLRNLLNSFDADILCFQETKLRRQELTADLIMADGYESFFSCTRTSQRGRTGYSGVITFCRVKSAFSSNEVALPLAAEEGFTGLLENSQASKDELPFMTDDLKEFSKDELISLDSEGRCIITDHTHFVLFNVYGPRAANDDTERIQFKQKFYSVLQKRWESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFENNEREAYTCWSQSTGAEVFNFGSRIDHILFAGSCLHDSDDLQCHCFVRCHVNECDIMTQYKRCKPESIPSAHRWKEGRSIKLEGSDHAPVFMSLHEISEVALHSTPSLSARYVPMVHGIQQTLVSVLMKRKVSEQIKSCKMAHEDIAMHSTCEGEEPANKAASSATSPNECRFLPRQVYEGSILKPNELSRGSSQEAVSKSVNESEKSIMHKCKKPNKKARNSQWSQLSLRSFFQKSTNLDNDISCSSYTDFSNSQDEPSLPNPQLHETPTVSDHSISPRQCSLDTDAYDQDLAGSKDSSTKEEKSNVASLEWQRIQQLMQNSIPICKGHKEPCIARVVKKQGPNFGRRFYVCARAEGPASNPEANCGYFGWASSKSRNK >Vigun03g258600.3.v1.2 pep primary_assembly:ASM411807v1:3:42473239:42478572:-1 gene:Vigun03g258600.v1.2 transcript:Vigun03g258600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSERMKIVSYNVNGLRQRISQFGSLRNLLNSFDADILCFQETKLRRQELTADLIMADGYESFFSCTRTSQRGRTGYSGVITFCRVKSAFSSNEVALPLAAEEGFTGLLENSQASKDELPFMTDDLKEFSKDELISLDSEGRCIITDHTHFVLFNVYGPRAANDDTERIQFKQKFYSVLQKRWESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFENNEFRKWFKSMLIENGGKFCDVFRAKHPDRREAYTCWSQSTGAEVFNFGSRIDHILFAGSCLHDSDDLQCHCFVRCHVNECDIMTQYKRCKPESIPSAHRWKEGRSIKLEGSDHAPVFMSLHEISEVALHSTPSLSARYVPMVHGIQQTLVSVLMKRKVSEQIKSCKMAHEDIAMHSTCEGEEPANKAASSATSPNECRFLPRQVYEGSILKPNELSRGSSQEAVSKSVNESEKSIMHKCKKPNKKARNSQWSQLSLRSFFQKSTNLDNDISCSSYTDFSNSQDEPSLPNPQLHETPTVSDHSISPRQCSLDTDAYDQDLAGSKDSSTKEEKSNVASLEWQRIQQLMQNSIPICKGHKEPCIARVVKKQGPNFGRRFYVCARAEGPASNPEANCGYFGWASSKSRNK >Vigun03g258600.1.v1.2 pep primary_assembly:ASM411807v1:3:42472601:42478572:-1 gene:Vigun03g258600.v1.2 transcript:Vigun03g258600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSERMKIVSYNVNGLRQRISQFGSLRNLLNSFDADILCFQETKLRRQELTADLIMADGYESFFSCTRTSQRGRTGYSGVITFCRVKSAFSSNEVALPLAAEEGFTGLLENSQASKDELPFMTDDLKEFSKDELISLDSEGRCIITDHTHFVLFNVYGPRAANDDTERIQFKQKFYSVLQKRWESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFENNEFRKWFKSMLIENGGKFCDVFRAKHPDRREAYTCWSQSTGAEVFNFGSRIDHILFAGSCLHDSDDLQCHCFVRCHVNECDIMTQYKRCKPESIPSAHRWKEGRSIKLEGSDHAPVFMSLHEISEVALHSTPSLSARYVPMVHGIQQTLVSVLMKRKVSEQIKSCKMAHEDIAMHSTCEGEEPANKAASSATSPNECRFLPRQVYEGSILKPNELSRGSSQEAVSKSVNESEKSIMHKCKKPNKKARNSQWSQLSLRSFFQKSTNLDNDISCSSYTDFSNSQDEPSLPNPQLHETPTVSDHSISPRQCSLDTDAYDQDLAGSKDSSTKEEKSNVASLEWQRIQQLMQNSIPICKGHKEPCIARVVKKQGPNFGRRFYVCARAEGPASNPEANCGYFGWASSKSRNK >Vigun03g258600.2.v1.2 pep primary_assembly:ASM411807v1:3:42472601:42478572:-1 gene:Vigun03g258600.v1.2 transcript:Vigun03g258600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMGLELQMMTRRGFNLSKNFTAYYRWESLLHQGRRIFVVGDLNIAPFAIDRCDAGPDFENNEFRKWFKSMLIENGGKFCDVFRAKHPDRREAYTCWSQSTGAEVFNFGSRIDHILFAGSCLHDSDDLQCHCFVRCHVNECDIMTQYKRCKPESIPSAHRWKEGRSIKLEGSDHAPVFMSLHEISEVALHSTPSLSARYVPMVHGIQQTLVSVLMKRKVSEQIKSCKMAHEDIAMHSTCEGEEPANKAASSATSPNECRFLPRQVYEGSILKPNELSRGSSQEAVSKSVNESEKSIMHKCKKPNKKARNSQWSQLSLRSFFQKSTNLDNDISCSSYTDFSNSQDEPSLPNPQLHETPTVSDHSISPRQCSLDTDAYDQDLAGSKDSSTKEEKSNVASLEWQRIQQLMQNSIPICKGHKEPCIARVVKKQGPNFGRRFYVCARAEGPASNPEANCGYFGWASSKSRNK >Vigun08g148900.1.v1.2 pep primary_assembly:ASM411807v1:8:32123303:32124711:1 gene:Vigun08g148900.v1.2 transcript:Vigun08g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRTQYKHQHKLTTSSTLALIISLKQILLKHVAKVCRIRIRIFRDSDNMAIGFMEVHLVKAKGLHNSDIIGEMDPYVLIQYKDQEQRSSVAIGQGINPVWNEKFMFKVEYPLSNHQHKIIFKIMDQDLYTDDFVGESIIHVGDLLDQGVENGEAKLQTLKYRVVRANKSYCGEIDVGVTFTLQVEDDYAERNHYLPQISKDVGFKKTK >Vigun03g433400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63728659:63730465:-1 gene:Vigun03g433400.v1.2 transcript:Vigun03g433400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHEKTLILIQKMVKVPPPKALLLFNSWTYEGLHHTPHSISFILNHLLSHDMLPQAQSLILRLISGRIPLYTFSPSSLIPQLTQALFTSSSTYAPLYEAIVNAYVHSHSPHQALTFLHQMIHKGHLPTSNTFNNLLCSLIRSNLFDKAWWVFNQLKIRVVMDVYSFGIMIKGCCEVGDLMKGFRLVTMLEEFGLSPNVVIYTTLIDGCCKNGDVMLAKKLFCKMDRLGVVANQHTYSVLMNGFFKQGLQREGFQMYENMKHSGIVPNVYSYNCVIREYCNGGMVDKAFKVFGEMRERGVTCGVMTYNILIGGLCRGKKLGEAIKLVHRFGKVGLSPNIVTYNILINGFCGVGKIDTAVRLFSQLKSNGLSPTLVTYNTLIAGYCKVENLAGALSLVKEMEERGVAPSKVTYTILIDAFARLNYMEKARELHALMEKSGLVSDVHTYSVLIHGLCITGNMKEASKLFKSLGELHFEPNNVIYNTMIHGYCKEGSSYRAFRLLNEMVDNGMVPNVASFCSTIGLLCNDGKWKEAELLLEKMINSGLKPTVSLYNMVYKEKINV >Vigun05g228201.1.v1.2 pep primary_assembly:ASM411807v1:5:42139967:42140602:1 gene:Vigun05g228201.v1.2 transcript:Vigun05g228201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQSYGHFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRVDLFVTTADPVLEPPIITANTVLSLLALIIPPTNWLAMFLTMVVPLLPSMLFWKLPNSLSFGYLSVRKTKFN >Vigun05g097800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9522002:9525693:-1 gene:Vigun05g097800.v1.2 transcript:Vigun05g097800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKNFTMIRQLYMDGVSVSAEGNGWSNALLQLPSLQELSMSNCNISGPLHPSLTALQNLSIIRLDQNNLSSPVPGTFADFPKLTSLHLSACKLTGIFPEKIFQLATLSDIDISFNYDLNGSLPELPLNGSLQELIVSHTRFSGALPASIGYLKQLSILDISNCHFNGTLPSSMSGLKELTSLRLRFNNFTGLIPFLNMSRNLMHLEFSHNYFTGSITHAHVEGLRKLVHIDLQDNLLDGNIPASLFALPLVQSIYLSNNRFRGQIDEFSNISFSMLQILDLRSNNLEGPIPQSIFNLTSLNVLELSFNKLNGRIMVDVLLRLSNLTTLALSHNNLSVETNLIDVGLLSSFRDMSRLELASCYLTEFPSFLKNHSRITTLDLSSNHIQGPIPAWIWQLNSLVQLNLSHNFLSSLEGSVQNTGSNLSVLDLHANQLQGKLQIFPVHATYLDYSSNNFSFTVPSDVGTNVSSIIFLSLFKNNLSGSLPQFLCNSSQLKVLDVSYNHFNAMIPECLTQSDAIEVLNLQHNKFYGIIPDKFPSSCAVRTLDLNSNQLHGLIPKSIAHCTSLEVLDLGINHVDDAFPYFLNTISTLRVLILRGNKFQDHIECPDTNSTWPMLQIFDVALNNFSGLLPGKCFKTWKAMKLDEYHDASKFNRIGSEVLRFGGMYYQDSVILTIKGLQLEFVKVLNLVTSVDFSSNNFDGTIPEELMDFTGLYALNLSHNGLTGQIPSSMGNLKEVESLDLSCNNLDGEIPTELASLNYLSYLNLSYNFLKGKIPEGTQLQSFDASSFDGNVELCGPPLPKNCRNEIPGPSTTPNARSHGTGGNIIRAELGFVFGLGLFIVPLLFWKKWRVRYWKHVDSILCGIFPQLNLEYETRGGQSYQVLRWRP >Vigun11g031500.1.v1.2 pep primary_assembly:ASM411807v1:11:4136361:4137050:-1 gene:Vigun11g031500.v1.2 transcript:Vigun11g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATVKDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEETTDCHGHITSLAVLRTHRKLGLATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQHHHHHNHHHHHLHHEHGGGCCSGEVKGNAKAT >Vigun10g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26069788:26072402:-1 gene:Vigun10g090200.v1.2 transcript:Vigun10g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSDREVVKAPGNLVISVYATCPDITKTVTPDLKLKDEGVLLRIDFSKGKRRLGGSALAQAFDQIGDECPDLDDVHYLKKVFEGVQDLLTDELISAGHDISDGGLLVCALEMAFAGNCGLNLELASQGNSLFQTLYAEELGLVLEVSKKNLTLVMDKLSNVGVSAEIIGQVAASPSIFVKVDREIFLTENISILRDMWEETSFQLEKFQRLPSCVDMEKEGLKHRFEPSWDLTYFPVFTKEKFLSATVKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNRKISLQEFRGVVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYKRPDTFSLGVCNGCQLMTLLGWVPGPLIGGVLGAGGDLSQPRFIHNVSGQFECRFTSVTILPSPAMMLRDLAGSTMGIWVAHGEGKAYFPDEGVFDRIVHSELAPIRYCDDAGNPTEAYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun04g109400.1.v1.2 pep primary_assembly:ASM411807v1:4:27062567:27067327:1 gene:Vigun04g109400.v1.2 transcript:Vigun04g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYDHDSPPLLEDLKVTIHNSSMIFPSKEIERKSLFLSNIDKVLTFDVETVHFFGANKDFPPHVVTERLKNAVEDALVVYDFLGGRLKVNPETKRLEMDCTPEGAGFVEASSEYKLEQIGDLDYPNTAFAQLVHKNKNFLKEGDVPLCVAQVTSFKCGGFAIGFSTSHTTFDGLSFKTFLDNIASIAAKKPLAVTPCHDRHLLAARSPPRVTFPHPEMLKLNDLPTKSNIFDASTEQLQFKVFKLTSNDITKLKEEARNSVGGDKTTRVTGFNAITAHIWRCKALSSDDDDSNRNRSSTILYAVDIRSRLEPPLPKSYTGNAVLTAYATATCREVEEWPFMRLVETVREGATRMTNEYARSIIDWGEMNEGFPNGEVLVSSWWRLGFEEVEYPWGKPKYCCPVVYHRKDIVLLFPPVDGGGDGVSIIVALPPKEMNKFDGLFNKFLGV >Vigun03g051100.2.v1.2 pep primary_assembly:ASM411807v1:3:4136070:4142139:1 gene:Vigun03g051100.v1.2 transcript:Vigun03g051100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATLKFACKPNPLLRSAPFQCVRKVVPKSLPALQVEECKASTRGRSKGTSEQLILENNSKVPAWKKLDSKELGLRNSMIAVPTMKVLSVLKRKGHDVYLVGGCVRDLILKQTPKDFDIITSADLREVRKTFPWCDIVGKRFPICHVHMDGTIVEVSSFNTATWKLRVYFTHDIEAPKNCDKGDLLRWRNCLNRDFTINGLMFDPYARIVYDYMGGMEDIMKSKVRTVVPAATSFQEDCARILRAIRIAARLGFSISRETAQAIKNLSSSVLRLDKSRLLMEINYMLAYGSGEASLRLLWRFGLLDILLPFQAAYFVRGGFRRRDKRTNLLLSFFYNVDKLLAPNRPCHSSLWVSVLALHKALSDKPRDCSVVAAFSLALHNGGNLSEAISIARRINKPHDTRFHELLDPSGLDEEDFEGAYPISNAPKSSKLF >Vigun03g051100.1.v1.2 pep primary_assembly:ASM411807v1:3:4136070:4142139:1 gene:Vigun03g051100.v1.2 transcript:Vigun03g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATLKFACKPNPLLRSAPFQCVRKVVPKSLPALQVEECKASTRGRSKGTSEQLILENNSKVPAWKKLDSKELGLRNSMIAVPTMKVLSVLKRKGHDVYLVGGCVRDLILKQTPKDFDIITSADLREVRKTFPWCDIVGKRFPICHVHMDGTIVEVSSFNTATWKLRVYFTHDIEAPKNCDKGDLLRWRNCLNRDFTINGLMFDPYARIVYDYMGGMEDIMKSKVRTVVPAATSFQEDCARILRAIRIAARLGFSISRETAQAIKNLSSSVLRLDKSRLLMEINYMLAYGSGEASLRLLWRFGLLDILLPFQAAYFVRGGFRRRDKRTNLLLSFFYNVDKLLAPNRPCHSSLWVSVLALHKALSDKPRDCSVVAAFSLALHNGGNLSEAISIARRINKPHDTRFHELLDPSGLDEEDFEGEILDLANSVKESLSQMTNGHLVSGALAGYPQAPYSDLVLIPLAMHLKALNFFDCVRVSADENYSSKQGREIDYDYLARGDLPEVRHVFARIVFDTVYPLHLDRNQSK >Vigun08g164100.1.v1.2 pep primary_assembly:ASM411807v1:8:33636337:33636937:-1 gene:Vigun08g164100.v1.2 transcript:Vigun08g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVKTNSKMHNIGVVIIMMIVLGCTDAKKCGVKCYFQCLIWLDPRCYADCMNKCHRDHDPPLNVYGECINSCSVTKSNIGDHVVPTAAMNSCLQNCHVKFLNNA >Vigun05g023200.1.v1.2 pep primary_assembly:ASM411807v1:5:1948194:1950189:1 gene:Vigun05g023200.v1.2 transcript:Vigun05g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPTGTPSLALSCVVVVFSLLAGASVVHNIYKPNLTLPPVDGTKQKSDGKE >Vigun05g023200.4.v1.2 pep primary_assembly:ASM411807v1:5:1948232:1950866:1 gene:Vigun05g023200.v1.2 transcript:Vigun05g023200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPTGTPSLALSCVVVVFSLLAGASVVHNIYKPNLTLPPVDGTKQKSDGKE >Vigun05g023200.3.v1.2 pep primary_assembly:ASM411807v1:5:1948194:1950916:1 gene:Vigun05g023200.v1.2 transcript:Vigun05g023200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPTGTPSLALSCVVVVFSLLAGASVVHNIYKPNLTLPPVDGTKQKSDGKE >Vigun05g023200.2.v1.2 pep primary_assembly:ASM411807v1:5:1948180:1950927:1 gene:Vigun05g023200.v1.2 transcript:Vigun05g023200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPTGTPSLALSCVVVVFSLLAGASVVHNIYKPNLTLPPVDGTKQKSDGKE >Vigun01g038700.2.v1.2 pep primary_assembly:ASM411807v1:1:5255055:5262513:1 gene:Vigun01g038700.v1.2 transcript:Vigun01g038700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASFFFSRSTPFFSHYNNPSLPPHPTLIFFPFKLKIFTFTTTHFVHTTATAISAHRGGGSSSGSGGGSGNWGSGGGDEDEERDRNREEAMVALAEDGRWLESLPEDLAAAVSAGRVPGSIMRRFFQMEQSVVLRWLLKFRGFRERLLADDLFLAKLLIESGVIIFTKAAAELERRKENFKKELDFVVANVVTGIVTGFLLVWFPAPTVSLKPPLAVSAGPIAKLFYGCPDNAFQVALPGTSYTLLQRIGAIVRNGAKLFIVGTSASLVPGTCRNY >Vigun01g038700.1.v1.2 pep primary_assembly:ASM411807v1:1:5255055:5262513:1 gene:Vigun01g038700.v1.2 transcript:Vigun01g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASFFFSRSTPFFSHYNNPSLPPHPTLIFFPFKLKIFTFTTTHFVHTTATAISAHRGGGSSSGSGGGSGNWGSGGGDEDEERDRNREEAMVALAEDGRWLESLPEDLAAAVSAGRVPGSIMRRFFQMEQSVVLRWLLKFRGFRERLLADDLFLAKLLIESGVIIFTKAAAELERRKENFKKELDFVVANVVTGIVTGFLLVWFPAPTVSLKPPLAVSAGPIAKLFYGCPDNAFQVALPGTSYTLLQRIGAIVRNGAKLFIVGTSASLVGTMITNALINVKNAVNKTFATQAENLPVISTSVAHGIYMVVISNIRYQVLAGIIEQRILEPLLHRNKLILTAAYFTVRTANTYWGSLLWVDFARWVGVQKKKD >Vigun03g366100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56933578:56935136:1 gene:Vigun03g366100.v1.2 transcript:Vigun03g366100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHEARPLVMEMEEDFDEASSSGCGCGCFRGFGLKKWWVERDEEGKGLLGEQSGEGESWVVEKLKKAKEASEVIAGPKWKTFIRKISGYGKKMKQQRNRFQYDEHSYNLNFNSGAQSEDEAMPYSFSARFAAPGRRQNES >VigunL059646.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:47135:47527:1 gene:VigunL059646.v1.2 transcript:VigunL059646.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVAFVALVVAVVVLAVVDVVVVVIVGVVVAVVMVVMVVVMVAVVVVVMVMVVVEVAVVVVSIAVAVVALVVVAVVVLVVVVVVVVVVVLVMVVVVVVVVVVVVAKAVVVVAMVVVVVAVVVLWW >Vigun04g170500.1.v1.2 pep primary_assembly:ASM411807v1:4:39462506:39464416:-1 gene:Vigun04g170500.v1.2 transcript:Vigun04g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLNETFYLSHGSPALAVDDSIPARKFFTSWKERFPAPPSAILVVSGHWDTHVPTVNVVDRNDTIYDFYGFPESMYKLKYPAPGAPQLAKRVKELLIGSGFEQVNEDMKRGLDHGAWVPLFLMYPEADIPVCQLSLSSNKGPTYHYNMGKALAPLKDEGVLIIGSGSATHNLKAMGPRNSPPAPWAQAFMTWLKTSLLEGRYEEVNEYEEKAPYAKMAHPYPDHFLPLHVAMGAAGENAKAKVLHDSWDVGSISCAFFSFTTTNT >Vigun03g261000.2.v1.2 pep primary_assembly:ASM411807v1:3:42777911:42782588:1 gene:Vigun03g261000.v1.2 transcript:Vigun03g261000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKEHNWLITTPLLTLLLFVSFPAFSYGLVSPPTLLNKLSQGTFLTTQDLWFHQTLDHFSPNDQREFLQRYYEFVEYFDVPDGPIFLVIGGESELSGIENDYVAVLAKKFGAAIVSVEHRYYGKSSPFDSLETENLKYLSSKQTLFDLAVFRHYYQNSFNAKLSGKKIENPWFVFGGSYAGALSAWFRLKFPHLTCGSLASSAVLHSVYNYAEYDQQVGASAGAECKAVLQETTQLIEHKLATNGEELKASFNADGLERDGDFMYLVADAAALAIQNGTPQELCNPMVKAKKAREDLVDAYAKYVKEFFFGTFSFNVKIYDQDYLKKTSTNEDSSTRLWWFQVCTEVANFQVAPSNDSIRSSKLDTKYHLDLCKHVFGEGVFPDVDATNLYYGGTKIAGSKIIFSNGSQDPWRHASKQTSSTDRGAFLHYRMF >Vigun03g261000.1.v1.2 pep primary_assembly:ASM411807v1:3:42777759:42782787:1 gene:Vigun03g261000.v1.2 transcript:Vigun03g261000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKEHNWLITTPLLTLLLFVSFPAFSYGLVSPPTLLNKLSQGTFLTTQDLWFHQTLDHFSPNDQREFLQRYYEFVEYFDVPDGPIFLVIGGESELSGIENDYVAVLAKKFGAAIVSVEHRYYGKSSPFDSLETENLKYLSSKQTLFDLAVFRHYYQNSFNAKLSGKKIENPWFVFGGSYAGALSAWFRLKFPHLTCGSLASSAVLHSVYNYAEYDQQVGASAGAECKAVLQETTQLIEHKLATNGEELKASFNADGLERDGDFMYLVADAAALAIQNGTPQELCNPMVKAKKAREDLVDAYAKYVKEFFFGTFSFNVKIYDQDYLKKTSTNEDSSTRLWWFQVCTEVANFQVAPSNDSIRSSKLDTKYHLDLCKHVFGEGVFPDVDATNLYYGGTKIAGSKIIFSNGSQDPWRHASKQTSSTDLPSYTIACSNCAHCTDLRGCPLFPLSLEGNEKNCSSPDAVHRVRLQISKHMELWLSECDEGKNFM >Vigun09g200900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37508052:37510915:-1 gene:Vigun09g200900.v1.2 transcript:Vigun09g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKDTSVVGKEKKVPSSNSSTTSTRKTVTRSSSTNKGTSNPTSEKQIPNYLKPTISSKRLDSHSSFKLPKNDPPSKPTLNRRRSLEPSSSSRVHRQTHSPSPSRQHKALVSPGPRERNGPRERNVPLRASSLPNKITSKPASDRVTKTPPGRTQSSSGKVVKKSSNTNASASNPKHESNNISKSSKSAQPEEGKECASEVVEEVGKVNNTEKLTHQVVDSEHENENENEHGHERERELHHEKHGNEECEQDHEDEQKGDDHGEQKHEDNSENHDHKHEHDSEEREHDPEENEHNHDDHEECKQKLEETGKPHVEEDNERDVPSVLEEEKQKENDDTNQTECNIPEIHDSAIKEVEVKEEEEKTESGVVEEVCESESNNEVEVVKERKEVEEGRSDADVKDSMEEERESKVENEGVENEAQEEKVAENEVKEEREETSDVVEKGKETETSVSNDSIEEKERKLKARRNSVKALAGAFQNVIDHQK >Vigun04g147600.2.v1.2 pep primary_assembly:ASM411807v1:4:36306468:36307538:-1 gene:Vigun04g147600.v1.2 transcript:Vigun04g147600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHDDKIKRKAMKTASGISGVDSISVDLKDMKLVLLGEIDPVSAVSKLRKWCHTELVSVGPAKEEKEKVEPPKVPVPVYEPYPFYYYPYHITPPLYS >Vigun04g147600.1.v1.2 pep primary_assembly:ASM411807v1:4:36306468:36307538:-1 gene:Vigun04g147600.v1.2 transcript:Vigun04g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKMDLHDDKIKRKAMKTASGISGVDSISVDLKDMKLVLLGEIDPVSAVSKLRKWCHTELVSVGPAKEEKEKVEPPKVPVPVYEPYPFYYYPYHITPPLYS >Vigun01g057132.1.v1.2 pep primary_assembly:ASM411807v1:1:11470432:11472363:1 gene:Vigun01g057132.v1.2 transcript:Vigun01g057132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRRDATPSIIAVILAFRAATSYKLCVIVCVFDVGFFLLLTKQKIVPVVQ >Vigun02g165200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30981177:30982922:-1 gene:Vigun02g165200.v1.2 transcript:Vigun02g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVCNEARKFPLLCSTKIFNHMHYIGHSNFHLVDVAAVHSECGTNAELALFEATRGVKPNSFALVNLIGFASQLKCPSFGKQIHSYVSRSGYFSNIHVSTSLVKFYVRMHYFSDAHALFDEISEPNVVTWNTVISGYVHAGQFRSALTFFMRLYRSHVRADAFSFTSVLFACSQLSLFKLGSSIHCKTVKLGMDDSTVVANCLIDMYGKCGPVEHAVKVFSETVEKDAISWNSIIAASANNGYIELAYKFLHLMPNPDTVSYNGLINGIAQVGNMEDAVRVLSSLPNPNSSSWNSVITGFVNRNRAWEALDMFCKMHLRNVQIDEFTFSIILSGIAGLAALKWGMLIHCCAVKCGLDVSIVVGSALIDMYSKCGQVKNAESMFHSLCDKNLVSWNAMISGYARNGDSGCVIRLFKLLKMERDIKPDGITFLNLISACSHDEIPLEDAIAYFQSMIEEYKIAPSIEHCCSMIRLMGQKGELWRAEKFIHEFGFESCGVVWRALLGACGTQEDLQEAEIAAAKVIELERDEDYVYVMMSNMYASFGRWEDVNLMRGLMNRKRVKKEAGSSWIEIDSSVSSL >Vigun11g180900.1.v1.2 pep primary_assembly:ASM411807v1:11:38463917:38467453:1 gene:Vigun11g180900.v1.2 transcript:Vigun11g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEDEAAASGAGAAQRQNPIPNSNPNQNPNTNPNPNSNENPNPNPPHTTKGSKGKSCKGCTYYTSLHKIKSKNPTCVGFSRTLQQVPPFVVGEAEMEASKEGRSLTNFKYACIGYSVYLDNKDSSADLQDKTAKLPFCVGLEVVLEEKASNPSVGHVPATHKTEDEHATPQPRRYTPSNRTTEFLNRFQRNAGLVVSGVAKDLNRVGNFVKDLLNDILN >Vigun04g117550.1.v1.2 pep primary_assembly:ASM411807v1:4:29867228:29868531:1 gene:Vigun04g117550.v1.2 transcript:Vigun04g117550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARLPTTEPNKGKRRRRASSSSPERLISNRFRDPEREERKVVLLPDECDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPAISEFLGNPLPLQLGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSMTKRFIEHFCTHPEDLEQLEEPQLDQQAEDQPAEDQPAMEEQQTRPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVHGPYLGMTPPEFFTYVQWFGDSPIFPGGSGPVAGEGPSGAADTDGADIEDEIDFGGD >Vigun02g111700.1.v1.2 pep primary_assembly:ASM411807v1:2:26577192:26582419:-1 gene:Vigun02g111700.v1.2 transcript:Vigun02g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGRVSDDLTPRYYALCAIGGMLSAGTTHLATTPLDVLKVNMQVHPIKYYSISSCFTTLLREQGPAVLWKGWAGKFIGYGAQGGCRFGLYEYFKGVYSNVLVDQNKNFVFFLSSASAEVFANVALCPFEAIKVRVQAQPCFAKGLFDGFPKLYASEGTRGFYRGLIPLLGRNIPFSMVMFSTFEHSVDFLYRNMVKRKKEECSIGQQLGVTCLAGYAAGSVGSFISNPADNIVSSLYNRKADSLALAIRNIGLANLFTRSLPIRMLLVGPSITLQWFFYDTIKVLGGLPTSGEVTTEMKGGGRAKDSEKNGNLQSHFSG >Vigun02g111700.2.v1.2 pep primary_assembly:ASM411807v1:2:26576673:26582419:-1 gene:Vigun02g111700.v1.2 transcript:Vigun02g111700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGRVSDDLTPRYYALCAIGGMLSAGTTHLATTPLDVLKVNMQVHPIKYYSISSCFTTLLREQGPAVLWKGWAGKFIGYGAQGGCRFGLYEYFKGVYSNVLVDQNKNFVFFLSSASAEVFANVALCPFEAIKVRVQAQPCFAKGLFDGFPKLYASEGTRGFYRGLIPLLGRNIPFSMVMFSTFEHSVDFLYRNMVKRKKEECSIGQQLGVTCLAGYAAGSVGSFISNPADNIVSSLYNRKADSLALAIRNIGLANLFTRSLPIRMLLVGPSITLQWFFYDTIKVLGGLPTSGEVTTEMKGGGRAKDSEKNGNLQSHFSG >Vigun05g027900.1.v1.2 pep primary_assembly:ASM411807v1:5:2247233:2249684:-1 gene:Vigun05g027900.v1.2 transcript:Vigun05g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLVILFLSLTFGTTHSEASHNRKLPSAVVVGTVYCDTCFQQDFSMGNHFISGASVAVECKDRYGTTKPRFQKEVKTDEHGEFKVQLPFSVSKHVKSIKGCTVKLINSSEPYCAVASAATSSSLRLKSRKQGLHIFSAGFFSFKPLTQPNLCNQKPSNQNVKGLDSVKTMFPPKIDPSFPPPLQDPKTPGGLLPSVPGLPDLPPLLPPLPLLPPTLGISVSIPVPPGITNKSPKVQPLDQKTAAPNTFSFPPNPLFPPPTVPNPFQPPSLNPNPLQPPETSPVVPNPFQPPSSGTSPPLFPFPTVPGLTPSTSPPAFPVPFPPLFPPPGSVDTSSTFSKNASP >Vigun02g137900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28771344:28774240:-1 gene:Vigun02g137900.v1.2 transcript:Vigun02g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLKLKHATVGSRLFCVGSLRTQISTTIWHRLKSPTHQTLHHLLDQSSSLRQLKPVHAQIILHGLADQVVTLGKLLSLCVQEGDLRYAHLLFDQIPQPNKFMYNHLIRGYSNIDDPINSLLLYRQMVRAGLMPNQFTFPFVFKACASKPFYWEAVIVHAQAIKLGMRCHACVQNSILTVYVACRLIRSARQVFDDISDRTLVSWNSMIAGYSKIGHCRGAVMLLEEMQHQGVQPDVFTFVSLLSVSSKNGNLDLGRFVHLFIVTAEVQIDSIVTNALIDMYAKCGHLQCAKRVFDRMLDKNVVSWTCMVNAYANHGLIDNAVQIFNQMPVKNVVSWNSIIWCHVQEGLYTEAMELFYRMCISGVIPDDGTLVNILSCCSHMGDLALGKQAHDYICGNDITVSVTLCNSLIDMYAKCGALHTAMDIFFGMPEKNVVSWNVIIGALALHGFGEEAIEMFRRMQASGLCPDEITFTGLLSACSHSGFVDMGRYYFDMMSSTFGISPNVAHYACMVDLLGRGGFIGEAVTLIQNMPVKPDVVVWGALLSGCRTYGNLEIAKQIMKQLLELGRHDSGLYVLLSNMYSESQRWDDMRKIRKIMDDAGIQKCKAISCIEIDGCCHQFMVDDKRHDSSTSIYSTLDQLMDHLKSVHHCKSFDVEDIYYSSNLQ >Vigun03g165300.1.v1.2 pep primary_assembly:ASM411807v1:3:18893043:18905263:1 gene:Vigun03g165300.v1.2 transcript:Vigun03g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRPQSRRNILSSSSDAVSVPTIPLYRSAPSLDVRLEEFESFAIDRLRVLKGISDGMSRGKKPEEMENLVKDLWKVNMRHQHASEVLHKDIISHFALRLVYCRTEDLRKWFLSMESALFRYRFRLLKNAEAQRAVMEEFDLPYRAVSNVEFESIKEKLGQVARSTGQPLPTADAIFYKVPFEEVPELVAGRKVLISQGYAYVAMNQIVSLVATLFRSQLSKTLILTNRKWTSSIREQEKHRLTPIVEAMCSSYLGPDYSQSREYADISLKDIDQVAKSSFPLCMRHLFDKLKEDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKQYAYGIRHNYGKEGKRTDYSPYSCTKIISSTPGTGDHHGCPYRHFSEENLRAALGRMGVNSRAMEDVIDKARNRHYQLACTLTFEALHGMPCDAGINHPNQYFSDSRKILQSKQKDPSA >Vigun03g165300.2.v1.2 pep primary_assembly:ASM411807v1:3:18893016:18905303:1 gene:Vigun03g165300.v1.2 transcript:Vigun03g165300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRPQSRRNILSSSSDAVSVPTIPLYRSAPSLDVRLEEFESFAIDRLRVLKGISDGMSRGKKPEEMENLVKDLWKVNMRHQHASEVLHKDIISHFALRLVYCRTEDLRKWFLSMESALFRYRFRLLKNAEAQRAVMEEFDLPYRAVSNVEFESIKEKLGQVARSTGQPLPTADAIFYKVPFEEVPELVAGRKVLISQGYAYVAMNQIVSLVATLFRSQLSKTLILTNRKWTSSIREQEKHRLTPIVEAMCSSYLGPDYSQSREYADISLKDIDQVAKSSFPLCMRHLFDKLKEDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKQYAYGIRHNYGKEGKRTDYSPYSCTKIISSTPGTGDHHGCPYRHFSEENLRAALGRMGVNSRAMEDVIDKARNRHYQLACTLTFEALHGMPCDAGINHPNQYFSDSRKILQSKKDPSA >Vigun03g165300.3.v1.2 pep primary_assembly:ASM411807v1:3:18893320:18905263:1 gene:Vigun03g165300.v1.2 transcript:Vigun03g165300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIMLCGGLFRCEPPLVQVKDLWKVNMRHQHASEVLHKDIISHFALRLVYCRTEDLRKWFLSMESALFRYRFRLLKNAEAQRAVMEEFDLPYRAVSNVEFESIKEKLGQVARSTGQPLPTADAIFYKVPFEEVPELVAGRKVLISQGYAYVAMNQIVSLVATLFRSQLSKTLILTNRKWTSSIREQEKHRLTPIVEAMCSSYLGPDYSQSREYADISLKDIDQVAKSSFPLCMRHLFDKLKEDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKQYAYGIRHNYGKEGKRTDYSPYSCTKIISSTPGTGDHHGCPYRHFSEENLRAALGRMGVNSRAMEDVIDKARNRHYQLACTLTFEALHGMPCDAGINHPNQYFSDSRKILQSKQKDPSA >Vigun08g029400.1.v1.2 pep primary_assembly:ASM411807v1:8:2680984:2686852:1 gene:Vigun08g029400.v1.2 transcript:Vigun08g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISTPIHPKRAQTPTPRRTPLLPSESDNALAPPRRPKAREITSRYMSSSSSSSSSVSSPPRRCHSPLVVTRAVNSTKQTPTPALKRCQSAERRRQGTPRPTLTDAPAAQKVLFTSTRSLSVSFQGESFPIQVRTTKPPPSQSLRKSTPERRKVATTPTPVRNGNSDHAENTRSGIDRHRWPAKSLSRPQQVNCVNRSLECVDSTGRKQTDASENVVRSLQNVMADVRASHDATLRSESNKINGSEFRPEAELEPIASDTESVTSGSSSGDGIRGSRGIVMPARFWQEHNNRLRRQTEAPSSRNGVIGNKGTVSTAPSPRILVQRKSVLDSAVSSPRGVVNSRVVQGSPIRSAVRPASPSKLATPSVWSPSRGVSPSRAQNGVTGGMSSRSGSEPSVLSFAVDVSRGKVGENRIADAHLLRLFYNRLLQWRFVNARADSALSAQTFNAEESLKAAWVAMSKIRESVRAKKTEFQLLKQQFKLINILKDQMLYLEDWATLDRVYSTSLSGATEALRASTLRLPVVGGAKPDLLNLKDAICSAMDVMQAMASSICILSPKVGQLNSMVVEVANLSAKERVLLEECKDLLSVITTLQVRECSLRTHVAQLKCQPRSTEVKITTK >Vigun11g199800.1.v1.2 pep primary_assembly:ASM411807v1:11:39793226:39801288:-1 gene:Vigun11g199800.v1.2 transcript:Vigun11g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLRSTLRTKNASSLAYAAVSRGVQENLLQAPLLSRSNSTESSLKKDDSANEVKGRPGFKGHSMLAPFTAGWQSTDLHPLVIEKSEGSYVYDTNGKKYLDALAGLWATALGGSESRLVDAATAQLKKLPFYHSFWNRTTVPSLELAKELLEMFTAKKMAKAFFVNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLEQLILKEGPDTIAAFIAEPVMGAGGVIPPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSSYLPIGAVLVSPEVSEVIYAQSNKLGSFSHGFTYSGHPVTCAVAIEALKIYKERNIVDQVNKISQRFQDGIRAFSDSPIIGEIRGVGLILGTEFTDNKSPNDPFPPEWGVGAYFGAQCEKHGMLVRVAGDSIMMSPPYIISPEEVETLISTYGKALKDTEKKVQELKSQRK >Vigun04g000300.2.v1.2 pep primary_assembly:ASM411807v1:4:48099:51935:1 gene:Vigun04g000300.v1.2 transcript:Vigun04g000300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAKFPGIIDLNKQNDDYYDFSKGFYHKLEEGTDMLIDSIGSLQTSNGGGSVAMSIDNSSVGSNDSHTRILNHQGLRRRANDNYSIAHSVNHRGRVTHALSDDALAQALMDSSSPTEGLDNFDEWTIDLRNLSMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDSAKAQLMEQQFQQEVMMLATLNHPNIVRFIGACHKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFSDKSIKIADFGVARIEVLTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVTKNVRPIIPNDCLPVLREIMTRCWDPNPDIRPPFTEIVGMLENAETEILTTVRKARFRCCMTQPMTTD >Vigun04g000300.4.v1.2 pep primary_assembly:ASM411807v1:4:48100:51935:1 gene:Vigun04g000300.v1.2 transcript:Vigun04g000300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAKFPGIIDLNKQNDDYYDFSKGFYHKLEEGTDMLIDSIGSLQTSNGGGSVAMSIDNSSVGSNDSHTRILNHQGLRRRANDNYSIAHSVNHRGRVTHALSDDALAQALMDSSSPTEGLDNFDEWTIDLRNLSMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDSAKAQLMEQQFQQEVMMLATLNHPNIVRFIGACHKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFSDKSIKIADFGVARIEVLTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVTKNVRPIIPNDCLPVLREIMTRCWDPNPDIRPPFTEIVGMLENAETEILTTVRKARFRCCMTQPMTTD >Vigun04g000300.1.v1.2 pep primary_assembly:ASM411807v1:4:47941:51995:1 gene:Vigun04g000300.v1.2 transcript:Vigun04g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAKFPGIIDLNKQNDDYYDFSKGFYHKLEEGTDMLIDSIGSLQTSNGGGSVAMSIDNSSVGSNDSHTRILNHQGLRRRANDNYSIAHSVNHRGRVTHALSDDALAQALMDSSSPTEGLDNFDEWTIDLRNLSMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDSAKAQLMEQQFQQEVMMLATLNHPNIVRFIGACHKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFSDKSIKIADFGVARIEVLTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVTKNVRPIIPNDCLPVLREIMTRCWDPNPDIRPPFTEIVGMLENAETEILTTVRKARFRCCMTQPMTTD >Vigun04g000300.5.v1.2 pep primary_assembly:ASM411807v1:4:47941:51995:1 gene:Vigun04g000300.v1.2 transcript:Vigun04g000300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGAKFPGIIDLNKQNDDYYDFSKGFYHKLEEGTDMLIDSIGSLQTSNGGGSVAMSIDNSSVGSNDSHTRILNHQGLRRRANDNYSIAHSVNHRGRVTHALSDDALAQALMDSSSPTEGLDNFDEWTIDLRNLSMGEAFAQGAFGKLYRGTYNNEDVAIKILERPENDSAKAQLMEQQFQQEVMMLATLNHPNIVRFIGACHKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFSDKSIKIADFGVARIEVLTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVTKNVRPIIPNDCLPVLREIMTRCWDPNPDIRPPFTEIVGMLENAETEILTTVRKARFRCCMTQPMTTD >Vigun01g162701.1.v1.2 pep primary_assembly:ASM411807v1:1:34453658:34453987:-1 gene:Vigun01g162701.v1.2 transcript:Vigun01g162701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TTKSQYTEALLLSTSLALHTRLQEFLELITPQNRFESNTDKFYKIGANTVLSVTHGGRKMEEGWKMVASRVPLADK >Vigun09g185050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35876540:35878048:-1 gene:Vigun09g185050.v1.2 transcript:Vigun09g185050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALRWWLCSANDGCLPMRWWLTVVCNVERCRCVAGCLAMKEGLCRGNDIAADEGVTMLEVGEDGRDERLDDLRLIEAAEETEGDTADVLVGVLEVVAEVLVDPGELCLKRVNEENRTWEQNVGGAA >Vigun06g108200.6.v1.2 pep primary_assembly:ASM411807v1:6:23734076:23738452:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun06g108200.3.v1.2 pep primary_assembly:ASM411807v1:6:23734076:23739747:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLVVAGVFHVNEGHGATILSHYSSKDMYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHGPNFSCTVEIAGKHFTGDPARTKKQAQKNAAMAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun06g108200.5.v1.2 pep primary_assembly:ASM411807v1:6:23734076:23738545:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHGPNFSCTVEIAGKHFTGDPARTKKQAQKNAAMAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun06g108200.1.v1.2 pep primary_assembly:ASM411807v1:6:23734076:23738662:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHGPNFSCTVEIAGKHFTGDPARTKKQAQKNAAMAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun06g108200.4.v1.2 pep primary_assembly:ASM411807v1:6:23734075:23739748:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHGPNFSCTVEIAGKHFTGDPARTKKQAQKNAAMAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun06g108200.2.v1.2 pep primary_assembly:ASM411807v1:6:23734076:23738544:-1 gene:Vigun06g108200.v1.2 transcript:Vigun06g108200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHGPNFSCTVEIAGKHFTGDPARTKKQAQKNAAMAAWAALKKLSEHSLSSSFSPESRGNEEQDQVIIARILANGSENFSKGDNQLGWQKSTSTSLVSTHPTANMYPTQCQQCVISSFSPELALYQIWQQEQILQQQNRLLALTFQPIIPSTQHIYPLMQSMFQPDHCLYFPSELGSVPVGPKLSMATSSPSFCFSNQIAPELSASRSTLTIREIQEEKTEDPPVCTFSNETRVLPPVDENKKLDSSGSRSRATEQGEQCEKSEWDSHWSMGSVHRPTNSELQNPSRIGSSVLRSRSQASYNRSFRPPPPSSSSIARAICPTSSGSRPQHVGSRLRTGIPLSPGSSTPGRFGMMRTPTPLFVAPPVRIRSVVPVCSAPPRRSMAEEVSKSKEKEDLKPEDKEVSRTSSELGHLRI >Vigun11g187700.1.v1.2 pep primary_assembly:ASM411807v1:11:38785234:38792609:1 gene:Vigun11g187700.v1.2 transcript:Vigun11g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSAILGFFGFGVGISIGLVAGYFLFIYFQPTNVEDPEIKPMVEQEQETLQKMFPEIPVWIKSPDFDRLDWLNKFLEYMWPYLDKAICKTAKNIAKPIIAEQIPKYKIDSVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPSVKWAGNPNVTVSVKAFGLKATVQVVDLQAFLLPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLIGADLMSIPGVYRIVQDIIKDQVANMYLWPKTLEVPVLDMSKALKRPVGILHVKVLHAMKLKKKDLLGASDPYVKLKLTDDKLPSKKTTVKHKNLNPEWNEEFNLIVKDPDSQVLEINVYDWEQVGKHDKMGMNTISLKEVSPEEPKRFTLDLLKNMDPSDVQNEKSRGQIVLELTYKPFKEEDLAKGFEETQTVPKAPEGTPAGGGLLVVIVHEAQDVEGKYHTNPHVRLIFRGEEKKTKRIKKNRDPRWEDEFHFMVDEPPTNDRLHVEVLSTSSRNLLHQKESLGYIDINLGDVVANKRINERYHLIDSKNGRLQVELQWRTSEAG >Vigun01g178300.1.v1.2 pep primary_assembly:ASM411807v1:1:35930762:35933502:1 gene:Vigun01g178300.v1.2 transcript:Vigun01g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLILLLGYAYPGFECYKTVENSRAGNDELRFWCQYWIIVALFTVVENFADVVVGWFPLYGELKLALFIYLWYPKTKGTGYVYNAILRPYVSSHENDIEKKFREWRARAWDLAIFYWQNCTELGQATTFQILDFLAGQSKKFSSKSFAKKKDKMGPTPSAPPLSSIRDSLFENNHSNFPGRKNKKGE >Vigun09g076400.2.v1.2 pep primary_assembly:ASM411807v1:9:8734677:8737693:-1 gene:Vigun09g076400.v1.2 transcript:Vigun09g076400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFDGYERQYCELSANLSRQCTGASSLDGEKKKQKLSDIKAGLDDAETLIRKMDLEARSLQPSMKATLLAKLREYKTDLTNLKTEVKRVTTSNVSFAARDDLLESGSSDTLAVSNDQKGRLLMSTERLNQSSDRIKDSRKTMLETEELGVSILQDLHLQRQSLLHAHKTLHGVDDNISKSKKILSAMSRRMNRNKWIVGSLLTAMVVAVIIILYFKLTR >Vigun09g076400.1.v1.2 pep primary_assembly:ASM411807v1:9:8734369:8737759:-1 gene:Vigun09g076400.v1.2 transcript:Vigun09g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFDGYERQYCELSANLSRQCTGASSLDGEKKKQKLSDIKAGLDDAETLIRKMDLEARSLQPSMKATLLAKLREYKTDLTNLKTEVKRVTTSNVSFAARDDLLESGSSDTLAVSNDQKGRLLMSTERLNQSSDRIKDSRKTMLETEELGVSILQDLHLQRQSLLHAHKTLHGVDDNISKSKKILSAMSRRMNRNKWIVGSLLTAMVVAVIIILYFKLTR >Vigun01g152200.1.v1.2 pep primary_assembly:ASM411807v1:1:33497013:33499575:-1 gene:Vigun01g152200.v1.2 transcript:Vigun01g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSGLQRLLRHTPLLHSHHASIRLASTLTSPKLFVSGLSRLTTDEKLKEAFSSFGQLVEAKVITDRASGRSKGFAFVTYTSIEEAEKAREGMNAKFLDGWVIFVDPAKPRESRPPQQSRSQPSESGFTVNKTVGWCG >Vigun03g182200.1.v1.2 pep primary_assembly:ASM411807v1:3:23432553:23437145:-1 gene:Vigun03g182200.v1.2 transcript:Vigun03g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAVCFIKFVNEASRALRQHCYLFGTHPRLHYYYFLFVSPFLFSLSKMVNDFIVCIDRIIASTACFGSLDARNCDHGVHPMIPVSDNAGEGSSSSPNMYDEDDVVVVVECRICQEEDQVQAMEAPCSCNGTLKFAHRNCIQRWCNKKGNTICEICNQEFSPNYSPPPVRSNAIMAIDIRQEWGHNADLHVAIASAEHQFLQTEYEDYAMSQTSSIACLRSATFLLLIILLVRQALMVTKNSTTGQDASIIFNLEMSLLQFAGVLLPCYAMARSWYAIQNRRRQV >Vigun03g182200.2.v1.2 pep primary_assembly:ASM411807v1:3:23432560:23437145:-1 gene:Vigun03g182200.v1.2 transcript:Vigun03g182200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAVCFIKFVNEASRALRQHCYLFGTHPRLHYYYFLFVSPFLFSLSKMVNDFIVCIDRIIASTACFGSLDARNCDHGVHPMIPVSDNAGEGSSSSPNMYDEDDVVVVVECRICQEEDQVQAMEAPCSCNGTLKEFSPNYSPPPVRSNAIMAIDIRQEWGHNADLHVAIASAEHQFLQTEYEDYAMSQTSSIACLRSATFLLLIILLVRQALMVTKNSTTGQDASIIFNLEMSLLQFAGVLLPCYAMARSWYAIQNRRRQV >Vigun03g182200.6.v1.2 pep primary_assembly:ASM411807v1:3:23432560:23437145:-1 gene:Vigun03g182200.v1.2 transcript:Vigun03g182200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAVCFIKFVNEASRALRQHCYLFGTHPRLHYYYFLFVSPFLFSLSKMVNDFIVCIDRIIASTACFGSLDARNCDHGVHPMIPVSDNAGEGSSSSPNMYDEDDVVVVVECRICQEEDQVQAMEAPCSCNGTLKFAHRNCIQRWCNKKGNTICEICNQEFSPNYSPPPVRSNAIMAIDISSIYRQEWGHNADLHVAIASAEHQFLQTEYEDYAMSQTSSIACLRSATFLLLIILLVRQALMVTKNSTTGQDASIIFNLEMSLLQFAGVLLPCYAMARSWYAIQNRRRQV >Vigun03g182200.7.v1.2 pep primary_assembly:ASM411807v1:3:23432560:23437145:-1 gene:Vigun03g182200.v1.2 transcript:Vigun03g182200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAVCFIKFVNEASRALRQHCYLFGTHPRLHYYYFLFVSPFLFSLSKMVNDFIVCIDRIIASTACFGSLDARNCDHGVHPMIPVSDNAGEGSSSSPNMYDEDDVVVVVECRICQEEDQVQAMEAPCSCNGTLKFAHRNCIQRWCNKKGNTICEICNQEFSPNYSPPPVRSNAIMAIDIRQEWGHNADLHVAIASAEHQFLQTEYEDYAMSQTSSIACLRSATFLL >Vigun03g182200.5.v1.2 pep primary_assembly:ASM411807v1:3:23432560:23437145:-1 gene:Vigun03g182200.v1.2 transcript:Vigun03g182200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAVCFIKFVNEASRALRQHCYLFGTHPRLHYYYFLFVSPFLFSLSKMVNDFIVCIDRIIASTACFGSLDARNCDHGVHPMIPVSDNAGEGSSSSPNMYDEDDVVVVVECRICQEEDQVQAMEAPCSCNGTLKEFSPNYSPPPVRSNAIMAIDIRQEWGHNADLHVAIASAEHQFLQTEYEDYAMSQTSSIACLRSATFLLLIILLVRQALMVTKNSTTGQDASIIFNVSSMF >Vigun09g249100.1.v1.2 pep primary_assembly:ASM411807v1:9:41645518:41650617:1 gene:Vigun09g249100.v1.2 transcript:Vigun09g249100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METITITNSVSKLLPLFFTLLFVGSQLIHCNVTYDRKSLLINGQRRILISGSIHYPRSTPEMWEDLIRKAKHGGLDVIDTYVFWDVHEPSPGNYNFEGRYDLVRFIKTVQKVGLYANLRIGPYVCAEWNFGGIPVWLKYVPGVSFRTDNEPFKAAMQGFTQKIVQMMKSEKLFQSQGGPIILSQIENEYGPESRAMGAAGRAYLNWAASMAVGLGTGVPWVMCKQNDAPDPVINSCNGFYCDDFTPNKPYKPTMWTESWSGWFTDFGGPIHQRPVQDLSFAVARFIQKGGSYVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIRQPKYSHLKELHRAIKRCEHALVSSDPTVASLGNLQQAHVYSSGTGTCAAFLANYNANSAATVTFNNRNYDLPPWSISILPDCKTDAFNTAKVRVQTSQVKMVPVSTKLFSWESYDEDLSSLAESSRITSPGLLEQLNVTRDTSDYLWYITSVDISSSETFLRDGKKPSINVQSAGDAVHVFVNGQFSGSAFGTREQRSCTFNGAIDLHAGTNKIALLSVTVGLPNVGRHYETWETGITGPVLLHGLDQGHKDLTWNKWSYKVGLRGEDMDLASPNGVSSVDWVQESQGTQSRSQLKWYKAYFDAPGGKEPLALDLESMGKGQVWINRQSIGRYWLAYAKGDCNSCSYSGGFRPVKCQLGCGQPTQRWYHVPRSWLKPTKNLIVVLEELGGNPWKISLVKRMVHTPAGHGQ >Vigun08g079200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15993841:15995640:1 gene:Vigun08g079200.v1.2 transcript:Vigun08g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNSAQNDNSGKESSSTSPLSPSEAAALSEFKPEKRNRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELATSLPRPVSLAPRDVQAAAAKAAHMDFPPSSTSLSSSTSSLVSTTSSSSSLSSLVSTADLSAESEELSQIIELPRLETSYELGKEFVFADSQDTWMYQSPIPWLQTTYDASHADDDIAVAETGLVTSFKSFLWDY >Vigun09g070000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7606541:7607542:1 gene:Vigun09g070000.v1.2 transcript:Vigun09g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKPKPILFDSTKINHLLSRYHIQPHHMRLPSKTQPCSRQSHQRRRWPLMSVRNTAALSSSLVTSSDHQDHRQGSRSANENAASYGRVRRRPPRAQLVFQTLVTHSSPLIQSYS >Vigun02g064100.3.v1.2 pep primary_assembly:ASM411807v1:2:21250712:21252410:1 gene:Vigun02g064100.v1.2 transcript:Vigun02g064100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRVQQDPPAGISGAPHENNIMLWNAVIFGPDDTPWDGGTFKLSLQFTEEYPNKPPVVRFVSQMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVRDIVEQSWTAD >Vigun02g064100.1.v1.2 pep primary_assembly:ASM411807v1:2:21250319:21252469:1 gene:Vigun02g064100.v1.2 transcript:Vigun02g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRVQQDPPAGISGAPHENNIMLWNAVIFGPDDTPWDGGTFKLSLQFTEEYPNKPPVVRFVSQMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVRDIVEQSWTAD >Vigun02g064100.2.v1.2 pep primary_assembly:ASM411807v1:2:21250528:21252420:1 gene:Vigun02g064100.v1.2 transcript:Vigun02g064100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRVQQDPPAGISGAPHENNIMLWNAVIFGPDDTPWDGGTFKLSLQFTEEYPNKPPVVRFVSQMFHPNNGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVRDIVEQSWTAD >Vigun07g129100.7.v1.2 pep primary_assembly:ASM411807v1:7:23804172:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDSEGYRYWLRWWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.6.v1.2 pep primary_assembly:ASM411807v1:7:23804161:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDSEGYRYWLRWQVPVCALILIVSVITSSLHIVKVKAQPFYHNHLWIPCWRNLTPLWLLFYRAFAFLCLARIHFDIIALDGPFSFYFYTQWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTW >Vigun07g129100.9.v1.2 pep primary_assembly:ASM411807v1:7:23804789:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.1.v1.2 pep primary_assembly:ASM411807v1:7:23802394:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASELAWYDLVCYGIIGVTFVGSLRLLWMHEGASKTELGSLDETLLVGGVSSTKLWRSCWPGMHPVWLFLMRFLSFLTLAVFQTLDVREYDVSIFVYYTEWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.8.v1.2 pep primary_assembly:ASM411807v1:7:23804172:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDSEGYRYWLRWWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.3.v1.2 pep primary_assembly:ASM411807v1:7:23804002:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDSEGYRYWLRWQVPVCALILIVSVITSSLHIVKVKAQPFYHNHLWIPCWRNLTPLWLLFYRAFAFLCLARIHFDIIALDGPFSFYFYTQWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.5.v1.2 pep primary_assembly:ASM411807v1:7:23804172:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDSEGYRYWLRWQVPVCALILIVSVITSSLHIVKVKAQPFYHNHLWIPCWRNLTPLWLLFYRAFAFLCLARIHFDIIALDGPFSFYFYTQWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.4.v1.2 pep primary_assembly:ASM411807v1:7:23802393:23806873:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASELAWYDLVCYGIIGVTFVGSLRLLWMHEGASKTELGSLDETLLVGGVSSTKLWRSCWPGMHPVWLFLMRFLSFLTLAVFQTLDVREYDVSIFVYYTEWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun07g129100.2.v1.2 pep primary_assembly:ASM411807v1:7:23802393:23806874:1 gene:Vigun07g129100.v1.2 transcript:Vigun07g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNTMWTFTLVTVYFALGTIISAHGCWQYINKPPLENEEMDLFLKRDLEESIPTNPIAYKEKEMKDSIMLQSQYVEEEFKQRVGFWGYVMQITYQTSAGAVILTDIVFWCVIVPFLSISHFKLNMLMGAMHSLNAIFLLLDTALNNLPFPWFRVAYFVLWSCGYIIFQWIIHACGFTWWPYPFLELDTPWAPIWYLCLAVVHIPCYGLYSLIVKAKYMILLRFFPRAFFRI >Vigun01g146400.1.v1.2 pep primary_assembly:ASM411807v1:1:32804623:32806398:1 gene:Vigun01g146400.v1.2 transcript:Vigun01g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTKHDIHTLLFLTALIFFLSTPTSPADNTNLIYKGCADQKLQEPYSQNLKPLLSSLVAESAQKGFAATTQNGLTGAYQCRGDLTGSDCHSCVERIPDMLGRLCGEAAAAARVQLSGCYLRYEVVGFKQVAATQLLYKVCGARRVVDGGGFEARRDAAFGMVENGVQSSGNLFYTGSYQSLYVLGQCEGNLGNADCGACIRSAAEQAKDQCGYSISAQVYLQNCYISYSFYPNGVPTSSSSSSGSEGGGHPHTERTVALAVGGVAALGFLIVCMLFLKSVLKRRHGKR >Vigun05g285000.1.v1.2 pep primary_assembly:ASM411807v1:5:47272454:47278659:1 gene:Vigun05g285000.v1.2 transcript:Vigun05g285000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKPILRFIFVLLSLLLVLKFNVATEIEDELEELLAVDEEVEREADGGGEKLSEAEVLSKAQRIVIELNNDNTERVVNGNEFVLVLGYAPWCPRSAELMPHFAEAATSLKELKVPIVMAKLDADRYPKPASFLGVKGFPTLLLFVNGTSQPYSGGFTADDMVIWTRKKTGTPVIRISSVVEAEKFLRKYQKFLLGQFDNFEGPDYEEFVSAAKSDNETQFVEVNQVALAKVLYPAINSKGRFLGIVKSEPERYTAYDGAFTMNKILEFVDYNKFPLVTTLTEMNSVRVYASPVKLQVLVFANIDDFKLLHDPLQDVARTFKSKIMFIYVDIDDENLAKPFLTLFGLEESKKPVVAAFNNGMSSKYLLESKPTRSNIEDFCNNLVQGSLAPYFKSQPIPDNTEANVHVIVGKTFDDEILSSKKDVLLEVFTPWCINCEATSKQVEKLAKHYKGSSSLVFARIDASANEHPNLQVNDYPTLLLYRADDKANPIKLSTKSGLKELAASINKYLKVKNVKDEL >Vigun01g064900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17138933:17140556:-1 gene:Vigun01g064900.v1.2 transcript:Vigun01g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLHQTPSRLRSPARRRLASAIPTLISSPCFSSPAREKGAVTPSNRHHRADHTATRFENVTRPHSTVQTSDSPPAMPPAIFSLLCFCFDWRCDWEDETSVCHWNGVPVWFEWRFSV >Vigun06g184700.1.v1.2 pep primary_assembly:ASM411807v1:6:30406262:30410872:-1 gene:Vigun06g184700.v1.2 transcript:Vigun06g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVVVSIEKQMVDENKEDWKGRRADKLKYGGMRAAVLILAMISFENLGTFSLAVNSVPYFSGIMHYSIPDSANMLTNYMGTGYILAIPVAILSDTWIGRYTSVLISGLFEFLGLALLTAQAHYSSLKPPSCNLYVITSHCKTPSGGQEALLYIGLYLLAFGSAGVKASLPSHGADQFDETDPEEARAMSTFFNTLLLAVCIGGAVSLTFIVWIQIHRGWAWGFGIGTIVILLGVIIFAAGLPLYRFRVRQGTNDIIQIIQVYVAAIRNRNLLLPEDPAELYEIKQDKEAAEKIEFLPHRNILRFLDRAAIQVKDDVESEKPPSPWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSIQQGYTMDTTFTKNFQIPPASLPIIPICFLIIIVPIYDRIFVPVMRKITGVPTGVTHLQRIGVGLVLSCISMAVASIVEVKRKRVARDHNMLDAVPILMPLPISTFWLSFQYFIFGIADMFTYVGLLQFFYSEAPKGLKSTSTCFLWSSMALGYFASTILVKSVNGATKHITRSGGWLAGNNINRNHLNLFYLFLSIVSLINFFIYLLVSTRYKYRSQGPSVPAANSNK >Vigun06g184700.3.v1.2 pep primary_assembly:ASM411807v1:6:30406261:30410873:-1 gene:Vigun06g184700.v1.2 transcript:Vigun06g184700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVVVSIEKQMVDENKEDWKGRRADKLKYGGMRAAVLILAMISFENLGTFSLAVNSVPYFSGIMHYSIPDSANMLTNYMGTGYILAIPVAILSDTWIGRYTSVLISGLFEFLGLALLTAQAHYSSLKPPSCNLYVITSHCKTPSGGQEALLYIGLYLLAFGSAGVKASLPSHGADQFDETDPEEARAMSTFFNTLLLAVCIGGAVSLTFIVWIQIHRGWAWGFGIGTIVILLGVIIFAAGLPLYRFRVRQGTNDIIQIIQVYVAAIRNRNLLLPEDPAELYEIKQDKEAAEKIEFLPHRNILRFLDRAAIQVKDDVESEKPPSPWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSIQQGYTMDTTFTKNFQIPPASLPIIPICFLIIIVPIYDRIFVPVMRKITGVPTGVTHLQRIGVGLVLSCISMAVASIVEVKRKRVARDHNMLDAVPILMPLPISTFWLSFQYFIFGIADMFTYVGLLQFFYSEAPKGLKSTSTCFLWSSMALGYFASTILVKSVNGATKHITRSGGWLAGNNINRNHLNLFYLFLSIVSLINFFIYLLVSTRYKYRSQGPSVPAANSNK >Vigun06g184700.2.v1.2 pep primary_assembly:ASM411807v1:6:30406122:30410505:-1 gene:Vigun06g184700.v1.2 transcript:Vigun06g184700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVVVSIEKQMVDENKEDWKGRRADKLKYGGMRAAVLILAMISFENLGTFSLAVNSVPYFSGIMHYSIPDSANMLTNYMGTGYILAIPVAILSDTWIGRYTSVLISGLFEFLGLALLTAQAHYSSLKPPSCNLYVITSHCKTPSGGQEALLYIGLYLLAFGSAGVKASLPSHGADQFDETDPEEARAMSTFFNTLLLAVCIGGAVSLTFIVWIQIHRGWAWGFGIGTIVILLGVIIFAAGLPLYRFRVRQGTNDIIQIIQVYVAAIRNRNLLLPEDPAELYEIKQDKEAAEKIEFLPHRNILRFLDRAAIQVKDDVESEKPPSPWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSIQQGYTMDTTFTKNFQIPPASLPIIPICFLIIIVPIYDRIFVPVMRKITGVPTGVTHLQRIGVGLVLSCISMAVASIVEVKRKRVARDHNMLDAVPILMPLPISTFWLSFQYFIFGIADMFTYVGLLQFFYSEAPKGLKSTSTCFLWSSMALGYFASTILVKSVNGATKHITRSGGWLAGNNINRNHLNLFYLFLSIVSLINFFIYLLVSTRYKYRSQGPSVPAANSNK >Vigun09g227600.1.v1.2 pep primary_assembly:ASM411807v1:9:39954240:39957313:1 gene:Vigun09g227600.v1.2 transcript:Vigun09g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPKINEGNHSEDDENIIINPHFDDGLNNWSGRGCKIAVHDSVGDGKILPKSGKFFASATERTQSWNGIQQEITGRVQRKLAYEVTALVRIFGNNVTTSDVRTTLWVQAPDLREQYIGIANVQATDKDWVQMQGKFLLNGSPAKVVVYLEGPPPGTDILVNTLVIKHAPKTPPSTPPECEIAAFGVNIIENSNLGDGTNGWFPLGSCTLSVGSGSPRIIPSMARDSLGPHESLSGRYLLVTNRTQTWMGPAQMITEKLKLFLTYQVSAWVRVGSSSTGPQNVNVALSVDNQWVNGGQVEIADGRWHEIGGSFRIEKQASKVMVYIQGPASGVDLMIAGLQIFGVDRQARFKYLRRQTEKIRKRDITLKFSGLDSIGNLGTLVRVRQIQNDFPIGTCINRSNIDNEDFVDFFVKHFNWAVFGNELKWYWTEPQQGKLNYKDADEMLDLCQKNKIDTRGHCIFWEVDSNVQQWIKSLNKTDLMKAVQNRMNDLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRANMFKTAHQLDPSATLF >Vigun01g084625.1.v1.2 pep primary_assembly:ASM411807v1:1:23948511:23949679:1 gene:Vigun01g084625.v1.2 transcript:Vigun01g084625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVSRKTAKNAPLLPQGQDPYIKKLYAKGKNYDLYVHSYLRYGTEAFRAQVLKLTNGSANPCILAGYDGRYTYSGVEYAVYPPKSGPSYDACREIVLEALKLNAPCSHRNCSFGGVWDGGKGSGQTHIYATSSFYYLNRDVGIVDPKSPNAIVRPVDLENLVKKACGKTFEDAISSYPLLSKERVTFVCMDITYQYALLVDGFGLDPNQKFTLADKIEYEDALVETAWALGTAIEAISSLPKFERLMYFM >Vigun01g139200.1.v1.2 pep primary_assembly:ASM411807v1:1:31953488:31954941:-1 gene:Vigun01g139200.v1.2 transcript:Vigun01g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIAGTPTTSISRAGLLLKRPLGVSSSTVLGLPAMSRAGKVRCSMEEKPSVQESSSKVGMGASMLAAAAFAATMSSPAMALVDERLSTEGTGLPFGLSNNLLGWILLGVFALIWALFFVYTSTLEEDEESGMSL >Vigun03g075600.1.v1.2 pep primary_assembly:ASM411807v1:3:6293910:6297751:1 gene:Vigun03g075600.v1.2 transcript:Vigun03g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTPLRSPHTSTSDLLTWSEQPPPQFSRAATASGHRSRQPSDKIGEVLRGSQLRDEEAQSLAKKKLCSRYKMKEMTGSGIFSGDTENTTSEAKSANSENRTSIRVYEQAMNRISQISFSTEGNVSPKIPTSIPEIAKQRELSGTYQSESETKTQKNISSAKTKELSGSDIFAPPPEILPRSPGAGRTLESKQSKDTREPVPRKVRASAQVSNGGGVQRNFLFGEEAVKKTSRKIHEQKFAELTGNNIFKGDVPAGSQEKTSSRAKLRELAGNNIFADGKAENRDHIRGARRPPGGGSSISLF >Vigun03g059700.1.v1.2 pep primary_assembly:ASM411807v1:3:4882952:4888583:-1 gene:Vigun03g059700.v1.2 transcript:Vigun03g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKSRGVVYKPVKDVNLGSDSTEIYLQANVKAPRMTGILVKVFTWFLESRIFGTLLLYILKGNNLIHTLITNAELEESPLYVPSHHFEDHKEQEVKSLDSGLTPQEQVQLAIECLPTSSEKAQNETDISFSRWTIMDYYKAYSSEAITPRVVAERFIAAVDESSKPPLSMGFFINYNPEDILRQADESTLRYQKGEPISVLDGIPVAVKDEIDCLPYPTTGGTKWLHKERPCTDDACCVKRLRLCGAILVGKTNMHELGVGTSGINPHYGATRNPYDANKIAGGSSSGSAAVVSAGLCPVALGVDGGGSVRMPAALCGVVGLKPTFDRVPHSGVLPLNWTVGMVGILAGTVEDALITYAAISGEIPSEQSSSTLTKINLPLLSFSKSIRDIKLAKYDKWFDDCSDEVRLCCTQALSELQDYYGWKTIDVTLPDIEAMRLAHYLTIGSECSTWFDSFREKHVAELGWDARVALSIYGAFSSKEYIKAQKLRNRQAKFHKRIFAEADVIVSPTTGVTAYSIQDDALKTGELDYVNGAALVRYSISGNFLGVPAVTVAVGYDKLGLPIGLQFIGRPWTEATLIHLAFAMQTICLSKYRKPKIFYDQLRKY >Vigun03g059700.2.v1.2 pep primary_assembly:ASM411807v1:3:4882956:4888523:-1 gene:Vigun03g059700.v1.2 transcript:Vigun03g059700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKSRGVVYKPVKDVNLGSDSTEIYLQANVKAPRMTGILVKVFTWFLESRIFGTLLLYILKGNNLIHTLITNAELEESPLYVPSHHFEDHKEQEVKSLDSGLTPQEQVQLAIECLPTSSEKAQNETDISFSRWTIMDYYKAYSSEAITPRVVAERFIAAVDESSKPPLSMGFFINYNPEDILRQADESTLRYQKGEPISVLDGIPVAVKDEIDCLPYPTTGGTKWLHKERPCTDDACCVKRLRLCGAILVGKTNMHELGVGTSGINPHYGATRNPYDANKIAGGSSSGSAAVVSAGLCPVALGVDGGGSVRMPAALCGVVGLKPTFDRVPHSGVLPLNWTVGMVGILAGTVEDALITYAAISGEIPSEQSSSTLTKINLPLLSFSKSIRDIKLAKYDKWFDDCSDEVRLCCTQALSELQDYYGWKTIDVTLPDIEAMRLAHYLTIGSECSTWFDSFREKHVAELGWDARVALSIYGAFSSKEYIKAQKLRNRQAKFHKRIFAEADVIVSPTTGVTAYSIQDDALKTGELDYVNGAALVRYSISGNFLGVPAVTVAVGYDKLGLPIGLQFIGRPWTEATLIHLAFAMQTICLSKYRKPKIFYDQLRKY >Vigun09g014000.1.v1.2 pep primary_assembly:ASM411807v1:9:1033137:1041796:1 gene:Vigun09g014000.v1.2 transcript:Vigun09g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGNAAAAALLDHSGDAGDAVMARWLQSAGLQHLASPLASTAIDHRLLPNLLMQGYGAQSAEEKQRLLKLMRNLNFNGESGSEPYTPTAQSLGGVAGSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVVSEPFEPSPFMPGDARVFEDDFYPINNKLESGEADAEASISVPINEKEYSTRENSVAKIRVVVRKRPLNKKELAKKEDDIVTVPDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRTTVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRRLHQPIYRNQRFKLWLSYFEIYGGKLYDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIERGNAARSTGSTGANEESSRSHAILQLVVKKHSELKESKRNNDGNEARSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPGAGSCEHTLNTLRYADRVKSLSKSGNPRKDQVLNPAPQTNKEISSTSSIPASAGAEDFNHQRQEKTTDIGRKFVERENSSYSSGATAVDKQPLSFSSNYLSNGREEKGLFSASLDRDRFELKNSYGDSTSQKMNSYSQIDTGEKLQKVSPPRRKGSKDEKTERPANWMKRDANGSDPSNTSSKQQSTGNYNIGPKDEKSDRPSNWIKRDSSGSDLLTTSSKQQSTGNNVSKDEKSERSANLMKRVASNSDMFSTSSKQPSTGNYNNITTGSRPYEGESSPNGNINAVLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVGLQARLARFQHRLKEQEILSRKRVPRQ >Vigun03g255300.1.v1.2 pep primary_assembly:ASM411807v1:3:42172288:42176567:1 gene:Vigun03g255300.v1.2 transcript:Vigun03g255300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGASPNHSPKPGVSSPWSQIVAAAAPPSSPPLPVDSALINSAPADDVDNGGGNNVNSGKRPAWNKPSNGASSSVMGADSWPALSESARASAKSPSPSESAKGAMDAMSTPSLQGSGSLVPSPHRQDRDNASANNTVQTHQKSFNKRGNLNPSSNGGHLAPQTSGPQGPMAPAGSHNYNSSLKEHQPRAGFVPNDHPPQRNSFRHRNGGGPHQRGDGHHHNYGGRRDHDRGNQDWSNHRNFNGRDNYMSPRFVPRFIRPPPPNLAQLYPPPPAMRPPYGSIGYPELPPQMLYVPPPPLESMRGVPFVSPIPPNAMFFQPTDNLLHTKIVNQIDYYFSNDNLVKDIYLRRNMDDQGWVPISLISNFKKVKHLTENVQTVIDAVRASSVVEIQGDKVRKRNDWRRWIMPPAQLPNSRGSQTIGQLAEQVQNITLETTNNDDTGVLDVSQNRPFGELNGQYLLSTGESTGQVGIQVSDHSISARN >Vigun09g228000.1.v1.2 pep primary_assembly:ASM411807v1:9:39997577:39999413:1 gene:Vigun09g228000.v1.2 transcript:Vigun09g228000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYVSIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGKHRKELQARNKGNGVVKQENNSSLLLQENSVQQQPCWPQIPAPPLSSYTSQTPSFNDQDSIRKLLIKLGGRFSDDYEPIGLNNVQFPQGSFSSTQQIQEEQVHVSSSGCMNSIGNGNSQVQFGQSNNEYCSELVQGEVSFSSVGIGEMVSTNDYSQRLLGGLEFLYGEEMINDKIMGGGCASSCCGQTTNWGETSSVMYPPLVASSFEGVMPRQSAFQELSYP >Vigun08g164400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33653104:33654888:-1 gene:Vigun08g164400.v1.2 transcript:Vigun08g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASERFVTFLCFFLLLFMTTLSNSQPDRFMRPLRGSNSEYFEVGYPPPSHEPTPSCSHRVLHHSFAHTIDSPPYTTSYSPPPRCPAPWSRVVLHFHARCKGEQYDRIAAIWISGAEILRTSTAEPTADGIFWNVRKDVTRYSSLFAKSNLDLTMMLENIVNTEFTGVYHVTVTLLYYNEYAVKAPVRVPFVPCPEELTFNPISLPTSRSLIQDPGSRGVNESPADLIIPISDDGRRGFWFKLEEEKSSFSRKVRIPRNTYRAVLELYVSFHGNDEFWYSNPPTSYITANGLATERGNGAYREVYATIDGQVVGSEVPFPVIFTGGINPLFWEPMVAIGAFNLPSYDIDLTPFLGKVLDGKEHVFGIGVVKGISYWLVNANLHLWLDHESKVVHANPVVHHSPETSIERQEGFRGLDGSFDVDAEQETHITGWVMTSAGNITTTVSQGFSFKNFIKFQHNGSIKTVKQKFKAKKKVKVIDGKGESITRLKVRRRYPLRVVTTTKEFLDGTYRLVTELSHILNEKHVSGCFSKSITNAQNSKGWIDVKGHSVVSGQASTTQNYSYVDGFMCYSRNVAASNGRIVLDNSTFVCEL >Vigun02g179300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32043718:32044646:1 gene:Vigun02g179300.v1.2 transcript:Vigun02g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKKKERGRSAKCFVCVLASFVILCALLLLLASIMRVREPRAKIRSATSNKITHNASPSSSPSFNATLIIFMTIQNPNFGVFTYDNSSMSVLYAGAKIGDTPINGDKVSFRKTKQINVTVDLRSAQVPVTGNFSGDMNSGTLNLTSYAKFSGTVRFLKIMNVKKSIEMACAMKLNFTSHLLQDIQC >Vigun04g107700.1.v1.2 pep primary_assembly:ASM411807v1:4:26009826:26010311:-1 gene:Vigun04g107700.v1.2 transcript:Vigun04g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSSSSYCNNCVQRSVPASRTQALRPICDCGQAAVVRTARTPRNVGRKFWGCANYKSEGGGVSCNFFQWWYEDVDEEKEVLIVNQNMKIEDLENVVRDLKKCFNVLVIVVSIVGLINVVMLALMLKD >Vigun11g125700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33304088:33306685:-1 gene:Vigun11g125700.v1.2 transcript:Vigun11g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGPNRLPNRKPSATERYLGVPSHAPPPSPFVATNVELQEDDVVFFNGDYHTDLNHYQASTPSSSTSSSVSATPNHHHLHHHHNHSHHSHGILAALPENETSRSLRNVSQHFHKASISSISSASSSSSSSRVIPAIPRPPPPAQPSSFKFHQSAPVNVPILPVKARRRQCEFDDDEEEDEDEEMVPPHEIVARNSAQSPILAYSVMEGIGRTLKGRDLRQVRNAVWRQTGFLG >Vigun05g052500.1.v1.2 pep primary_assembly:ASM411807v1:5:4487116:4489745:1 gene:Vigun05g052500.v1.2 transcript:Vigun05g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNEEPMIARGTMSELAFNKYKRCQWWFLVALSIGFLIIGQSAAVILGRFYYDQGGNSKWMATLVQTVAFPLLFIPLCTIRSPEEASTSVAPSIKFIVLIYFVLGVLIAADNMMYSTGLLYLSASTYSLICASQLAFNAVFSYFINAQKFTALIINSTVILTFSASLLAINEDSHEPSGLSKGKYLIGFLCTLGASAGYSLLLSLMQLTFEKVLKKETFSVVLEMQIYTSLVATSAAVIGLFASGEWRTMHGEMEGFGKGQVAYVMTLVWTAIAWQVCSVGVVGLIFLVSSLYSNVISTVSLAVTPIAAVIVFHDKMNGVKIISMLLALWGFASYIYQNYLDDAKARRAQAVPKPQNDSAC >Vigun04g201100.1.v1.2 pep primary_assembly:ASM411807v1:4:42448765:42450739:-1 gene:Vigun04g201100.v1.2 transcript:Vigun04g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSGILPRVLIVSRRTLRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVQSLLTSFEPIHGVLLCEGEDIEPCWYQQDDDNGSALSPEELEEIRRLHSSDTSIDKEKDSIELSLARFCLERNIPYLGICRGSQVLNVACGGTLYQDIGKELSKGCAESDRVMHMNYDDYDGHRHGVKLVKSTPLWNWFHEEGGEGEEEEEREILVNSYHHQGVKRLAQRFVPMAFSADGLIEGFYDPDAYNPEDGKFIMGLQFHPERMRKPDSDEFDYPGCPFAYKEFVKAVIAYQKKLNNLTSVPKPVKLNREMENKRKIIVRSFSLAKNLYTTGRGNWSSRDSELEAGAEFLESNTVLSVQQENRLKQMGATVRNAGSYVERLKLNEGREKMAKNVMGKMTVEQLSELLTFYSTMGQICSQVLERKVHDVMNDFSC >Vigun01g177500.2.v1.2 pep primary_assembly:ASM411807v1:1:35848328:35853006:-1 gene:Vigun01g177500.v1.2 transcript:Vigun01g177500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLVTQERKDQRLTFEDLVVAKATYEKGTKDEIEEFIYRLLDVSGDSFITRSDLKTVMIAIFNNILCIKDSQDRSSSHEDIVNIFLNAAKFSMHNEGGTEETMSFEDFRNWCTHLPSVKKLLGSLLLPPGSGRPGSQIPKLLTSTAIDSNIILLRKEYAWHIGGALSQHDLEDWNLLYHSSVNGLSFNTFLGNISNHAGPTVLIIKDTEGYIYGGYASQPWERHADFYGDMKCYLFQLNPVASIFRPTGANNNLQWCAMNFSSEDIPNGIGFGGRVNHFGLFVSANFDQGHTFSCTTFGSPCLSKTNRILPEVIECWGVTQVATQDKHDAVKGTVLERFKEDRNMLKMVGLANSSE >Vigun01g177500.1.v1.2 pep primary_assembly:ASM411807v1:1:35848328:35853006:-1 gene:Vigun01g177500.v1.2 transcript:Vigun01g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPSNNDPRYVSAARAFTKKELEDLRSLFNHLAAQSQTNAKCISPSVFQSYFGLHGPIGERMFDLVTQERKDQRLTFEDLVVAKATYEKGTKDEIEEFIYRLLDVSGDSFITRSDLKTVMIAIFNNILCIKDSQDRSSSHEDIVNIFLNAAKFSMHNEGGTEETMSFEDFRNWCTHLPSVKKLLGSLLLPPGSGRPGSQIPKLLTSTAIDSNIILLRKEYAWHIGGALSQHDLEDWNLLYHSSVNGLSFNTFLGNISNHAGPTVLIIKDTEGYIYGGYASQPWERHADFYGDMKCYLFQLNPVASIFRPTGANNNLQWCAMNFSSEDIPNGIGFGGRVNHFGLFVSANFDQGHTFSCTTFGSPCLSKTNRILPEVIECWGVTQVATQDKHDAVKGTVLERFKEDRNMLKMVGLANSSE >Vigun06g030500.1.v1.2 pep primary_assembly:ASM411807v1:6:13391800:13399599:1 gene:Vigun06g030500.v1.2 transcript:Vigun06g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLILRRSSSVISRRFFATLATVEPLSRQSGLAALRHGGSNDGVGIRFLHAISGPLNFRSSPVSCAAQLAVERDYSNEDVGSGTNCDEGLEIAKLGISTEIVEALAKKGIAKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDRIIQLNAKHGRGKDPLAMVLAPTRELARQVEKEFNEAAPKLDTICLYGGMPIQQQMRQLNYGVDIVVGTPGRIIDLLNRRALNLKDVKFVVLDEADQMLQVGFQEAVENILQNLSPNRQTLMFSATMPSWIKSITRNYLNNPLTIDLVGDSDQKLADGISLYSIASDMRTKAGILAPLITEHANGGKCIVFTQTKRDADRLSYVMAKSLKCEPLHGDISQTQRERTLAGFRNNHFNVLVATDVASRGLDIPNVDLVIHYDLPSSSEIFVHRSGRTGRAGKKGAAILIYSEDQFRTLRTIERDVGCKFTELAKINAQSGSADMFGGWSGGRFGSSGGMRDRQSGGTGFGRSPGYGRSSYGNSSSGSYSNSGFGRSSHGNSSETGRFGGPSSSRFGSPGSSLSGGGFGGFGSSDRSGGFGSSDRSGGFGWAQSGGFGDRK >Vigun06g030500.2.v1.2 pep primary_assembly:ASM411807v1:6:13391800:13399599:1 gene:Vigun06g030500.v1.2 transcript:Vigun06g030500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLILRRSSSVISRRFFATLATVEPLSRQSGLAALRHGGSNDGVGIRFLHAISGPLNFRSSPVSCAAQLAVERDYSNEDVGSGTNCDEGLEIAKLGISTEIVEALAKKGIAKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDRIIQLNAKHGRGKDPLAMVLAPTRELARQVEKEFNEAAPKLDTICLYGGMPIQQQMRQLNYGVDIVVGTPGRIIDLLNRRALNLKDVKFVVLDEADQMLQVGFQEAVENILQNLSPNRQTLMFSATMPSWIKSITRNYLNNPLTIDLVGDSDQKLADGISLYSIASDMRTKAGILAPLITEHANGGKCIVFTQTKRDADRLSYVMAKSLKCEPLHGDISQTQRERTLAGFRNNHFNVLVATDVASRGLDIPNVDLVIHYDLPSSSEIFVHRSGRTGRAGKKGAAILIYSEDQFRTLRTIERDVGCKFTELAKINAQSGSADMFGGWSGGRFGSSGGMRDRQSGGTGFGRSPGYGRSSYGNSSSGSYSNSGFGRSSHGNSSETGRFGGPSSSRFGSPGSSLSGGGFGGFGSSDRSGGFGSSDRSGGFGWAQSGGFGDRK >Vigun06g030500.3.v1.2 pep primary_assembly:ASM411807v1:6:13391800:13399599:1 gene:Vigun06g030500.v1.2 transcript:Vigun06g030500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLILRRSSSVISRRFFATLATVEPLSRQSGLAALRHGGSNDGVGIRFLHAISGPLNFRSSPVSCAAQLAVERDYSNEDVGSGTNCDEGLEIAKLGISTEIVEALAKKGIAKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDRIIQLNAKHGRGKDPLAMVLAPTRELARQVEKEFNEAAPKLDTICLYGGMPIQQQMRQLNYGVDIVVGTPGRIIDLLNRRALNLKDVKFVVLDEADQMLQVGFQEAVENILQNLSPNRQTLMFSATMPSWIKSITRNYLNNPLTIDLVGDSDQKLADGISLYSIASDMRTKAGILAPLITEHANGGKCIVFTQTKRDADRLSYVMAKSLKCEPLHGDISQTQRERTLAGFRNNHFNVLVATDVASRGLDIPNVDLVIHYDLPSSSEIFVHRSGRTGRAGKKGAAILIYSEDQFRTLRTIERDVGCKFTELAKINAQSGSADMFGGWSGGRFGSSGGMRDRQSGGTGFGRSPGYGRSSYGNSSSGSYSNSGFGRSSHGNSSETGRFGGPSSSRFGSPGSSLSGGGFGGFGSSDRSGGFGSSDRSGGFGWAQSGGFGDRK >Vigun03g336900.1.v1.2 pep primary_assembly:ASM411807v1:3:53497939:53499803:-1 gene:Vigun03g336900.v1.2 transcript:Vigun03g336900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYATDSSSREEKRRRARSSSPLKDRSRTSSSKLSSSSSKRSTSPSATLLDVDDDVISLSHDYPNPNPRSFPHSVKQKCWEKADKVKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHIVPYSKGGESTLENCQVLQATVNRSKGNRTDISKAELIQKSSYCRVSDRDMDLLELSAYGNVRRGPDSGGCRIQ >Vigun03g336900.2.v1.2 pep primary_assembly:ASM411807v1:3:53497539:53499803:-1 gene:Vigun03g336900.v1.2 transcript:Vigun03g336900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYATDSSSREEKRRRARSSSPLKDRSRTSSSKLSSSSSKRSTSPSATLLDVDDDVISLSHDYPNPNPRSFPHSVKQKCWEKADKVKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHIVPYSKGGESTLENCQVLQATVNRSKGNRTDISKAELIQKSSYCRVSDRDMDLLELSAYGNVRRGPDSGGCRIQ >Vigun07g156500.6.v1.2 pep primary_assembly:ASM411807v1:7:26762644:26773069:1 gene:Vigun07g156500.v1.2 transcript:Vigun07g156500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSARVVLSGHSTIPAFAFRPSNPCIKTTSSLGFYMEQSPSLKLKQCHQPHLACQATSRDDDDASLRNDEPPVHGISEVVVGVLGGGQLGRMMCQAASQMAIKVMVLDPQENCPASSLSYHHMVGSFDESTKVEEFAKRCGVLTVEIEHVDVDTLEKLEKQGVDCQPKASTVRIIQDKYQQKVHFSKHGIPLPEFMKIDDLESAKKVGELFGYPLMIKSRRLAYDGRGNFVAKSEEELSSAVDALGGFDRGLYAEKWAPFVKELAVIVARGRDNSISCYPVVETIHRDNICHIVKAPANVKWKTRELATEVAVNAVKSLEGAGVFAVELFLTNDGEILLNEVAPRPHNSGHHTIESCHTSQFEQHLRAVVGLPLGDPSLKTPAAIMYNILGEEEGELGFQLAHELMKRALAIPGASVHWYDKPEMRKQRKMGHITIVGPSLNNIESNLAILLEGKRLHDKTAVAPRVGIIMGSDSDLPVMKSAAEILEMFGVPHEVRIVSAHRTPELMFSYASSAHERGIQVIIAGAGGAAHLPGMVAALTPLPVVGVPVRASTLDGLDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILGVANDNLLSRMSQYQEDQKEIVLNKGDKLEKHGWESYLKNS >Vigun07g156500.4.v1.2 pep primary_assembly:ASM411807v1:7:26762644:26773069:1 gene:Vigun07g156500.v1.2 transcript:Vigun07g156500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSARVVLSGHSTIPAFAFRPSNPCIKTTSSLGFYMEQSPSLKLKQCHQPHLACQATSRDDDDASLRNDEPPVHGISEVVVGVLGGGQLGRMMCQAASQMAIKVMVLDPQENCPASSLSYHHMVGSFDESTKVEEFAKRCGVLTVEIEHVDVDTLEKLEKQGVDCQPKASTVRIIQDKYQQKVHFSKHGIPLPEFMKIDDLESAKKVGELFGYPLMIKSRRLAYDGRGNFVAKSEEELSSAVDALGGFDRGLYAEKWAPFVKELAVIVARGRDNSISCYPVVETIHRDNICHIVKAPANVKWKTRELATEVAVNAVKSLEGAGVFAVELFLTNDGEILLNEVAPRPHNSGHHTIESCHTSQFEQHLRAVVGLPLGDPSLKTPAAIMYNILGEEEGELGFQLAHELMKRALAIPGASVHWYDKPEMRKQRKMGHITIVGPSLNNIESNLAILLEGKRLHDKTAVAPRVGIIMGSDSDLPVMKSAAEILEMFGVPHEVRIVSAHRTPELMFSYASSAHERGIQVIIAGAGGAAHLPGMVAALTPLPVVGVPVRASTLDGLDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILGVANDNLLSRMSQYQEDQKEIVLNKGDKLEKHGWESYLKNS >Vigun07g156500.5.v1.2 pep primary_assembly:ASM411807v1:7:26762644:26773069:1 gene:Vigun07g156500.v1.2 transcript:Vigun07g156500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSARVVLSGHSTIPAFAFRPSNPCIKTTSSLGFYMEQSPSLKLKQCHQPHLACQATSRDDDDASLRNDEPPVHGISEVVVGVLGGGQLGRMMCQAASQMAIKVMVLDPQENCPASSLSYHHMVGSFDESTKVEEFAKRCGVLTVEIEHVDVDTLEKLEKQGVDCQPKASTVRIIQDKYQQKVHFSKHGIPLPEFMKIDDLESAKKVGELFGYPLMIKSRRLAYDGRGNFVAKSEEELSSAVDALGGFDRGLYAEKWAPFVKELAVIVARGRDNSISCYPVVETIHRDNICHIVKAPANVKWKTRELATEVAVNAVKSLEGAGVFAVELFLTNDGEILLNEVAPRPHNSGHHTIESCHTSQFEQHLRAVVGLPLGDPSLKTPAAIMYNILGEEEGELGFQLAHELMKRALAIPGASVHWYDKPEMRKQRKMGHITIVGPSLNNIESNLAILLEGKRLHDKTAVAPRVGIIMGSDSDLPVMKSAAEILEMFGVPHEVRIVSAHRTPELMFSYASSAHERGIQVIIAGAGGAAHLPGMVAALTPLPVVGVPVRASTLDGLDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILGVANDNLLSRMSQYQEDQKEIVLNKGDKLEKHGWESYLKNS >Vigun07g156500.2.v1.2 pep primary_assembly:ASM411807v1:7:26762644:26773069:1 gene:Vigun07g156500.v1.2 transcript:Vigun07g156500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSARVVLSGHSTIPAFAFRPSNPCIKTTSSLGFYMEQSPSLKLKQCHQPHLACQATSRDDDDASLRNDEPPVHGISEVVVGVLGGGQLGRMMCQAASQMAIKVMVLDPQENCPASSLSYHHMVGSFDESTKVEEFAKRCGVLTVEIEHVDVDTLEKLEKQGVDCQPKASTVRIIQDKYQQKVHFSKHGIPLPEFMKIDDLESAKKVGELFGYPLMIKSRRLAYDGRGNFVAKSEEELSSAVDALGGFDRGLYAEKWAPFVKELAVIVARGRDNSISCYPVVETIHRDNICHIVKAPANVKWKTRELATEVAVNAVKSLEGAGVFAVELFLTNDGEILLNEVAPRPHNSGHHTIESCHTSQFEQHLRAVVGLPLGDPSLKTPAAIMYNILGEEEGELGFQLAHELMKRALAIPGASVHWYDKPEMRKQRKMGHITIVGPSLNNIESNLAILLEGKRLHDKTAVAPRVGIIMGSDSDLPVMKSAAEILEMFGVPHEVRIVSAHRTPELMFSYASSAHERGIQVIIAGAGGAAHLPGMVAALTPLPVVGVPVRASTLDGLDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILGVANDNLLSRMSQYQEDQKEIVLNKGDKLEKHGWESYLKNS >Vigun04g120700.1.v1.2 pep primary_assembly:ASM411807v1:4:30965058:30972957:1 gene:Vigun04g120700.v1.2 transcript:Vigun04g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAISNRFFTSLSTPSNRLLVPPLSHFRRCHQPHLHRKPPLHQRASRSVTRFSSVSSSSSSSYSGKPGFVGWYLRMLETYPLITKSVTSSLVFAASDITSQMITLPSFCASFDLIRTLRLAIYGLLVLGPTQHMWFGFLSKILPKTDLASTLKKILMGQFILGPIINTVFFSYNGAFQGEGVTQIIARLKRDLLPTLLSGALYWPACDFVTLRYLPVRLQPLLNSTFTYVWTIFLTYMANRG >Vigun01g238300.1.v1.2 pep primary_assembly:ASM411807v1:1:40950508:40951713:1 gene:Vigun01g238300.v1.2 transcript:Vigun01g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQDMSHQAGQASGQAKEKASNMMDSASNAAQSAKESCQEAGQQMQAKAQGAADAAKDAAGANK >Vigun08g197500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36313257:36314528:1 gene:Vigun08g197500.v1.2 transcript:Vigun08g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVTQIQTTPWFNLKPLHGHKLLLRRVTKISMSTIQGNSKRPICPSCSKPTRTCLCSRILTPCLQNSVHVTILQHALERKHPLNSTRIARLGLKNLTVATVSDVNFHARFQIRLLDPNCQTGRAGNVMNVLLSRPYGEFGDTQKLFSEKSSNLSDVDDAEKCGLRSDLGCFLDSPTHFEVGTQVSSTDGALVNDEVEKNAVSPNFGLTRGVHEHNGSLAINVAIGKYGAISSLSHIWIAQAQAQCESPKLSFDNILAYPEASEALSEGFLVKKFQRKPPNRDADLEEECEEFELEVRPGSVLLFPSDKAVNISDLDAIGFEVKNLIVLDGTWAKAKRIYSENPWLNVLPHVKLEVNEASLYSDVRHQPKAGYLSTIESIVFALKAVGENQEGLDGLLDTFESMVGDQRRCKEERLSKHFSS >Vigun04g115900.1.v1.2 pep primary_assembly:ASM411807v1:4:29009055:29013505:1 gene:Vigun04g115900.v1.2 transcript:Vigun04g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYDHPSTYLLIVVFFLFCFSPMVFSLLSQTQNEAMNNLSNNLPARLPWTGSDPCAWKGVSCGPANSSVVGISLSAFSLNSSDFLPLVCKIETLEYLDVSQNRLSSIPDGFITECGKIKGLKRLNFSDNLLEGVLPTFLGFDALESLDMSFNAMRGTIGLQIDGLVSLKILNLTFNEFTGSLPTKLGKSMVLEHLLLSNNSFDGKIPDELLSYENLTWIDLKNNRLSQSIPTNIGKLSKLETLILSTNNLNGEIPISLVNATKLSRFAANQNKFTGSIPSGITKYLTSLDLSYNTLSGPIPEDFLSPPQLQVVDLTNNTLNGSLPTKVSPNLFRLRFGSNQLSGNIPSKAFEEVHNLTYLELDNNILMGSIPAELSFCKSLTLLNLAQNWLSGELPQELGNLTNLHVLQLQLNNFSGAIPTQIGQLRKLLTLNLSRNSLSGPIPSEIKNLSLLNFLYLQGNYLSGSIPTSIRMLDHLLALELGENHLTGEIPSMPYSLQVTLNLSSNLFSGPIPNSFGSLSSLEILDLSNNGFSGRIPDDLTYMPALTRLILSNNPLSGEIPKFNHHVNVEYSGTNLKNNTPQENPIANRGSKNGISVAVAILIAIVAAIFLVGFVTLLVLSLSRHYYRVNDEHSQPTEVRQHPQVMQSNLLTLNGIHRSNIDFSKAMEAVAETSNVTLKTRFSTYYKAVMPSGSIYYVKKLNWFDKIFQVGSHDKFVKELEVLAKLNNSNVMTPLAYVLSTDTAYILYDFISNGSLFDVLHGSMDLTLDWASRYSIAVGVAQGLSFLHGFASSPILLLDLSSKSIMLKSLKEPLVGDIEHYKVIDPSKSTGNFSAVAGSVGYIPPEYAYTMTVTMAGNVYSFGVILLELLTGKPAVTEGTELVKWVLRNSTNQDYILDFNVSRTSQAVRNQMLAILEIARVCVGTSPESRPKMKSVLRMLLNAR >Vigun05g146700.2.v1.2 pep primary_assembly:ASM411807v1:5:20579069:20583991:1 gene:Vigun05g146700.v1.2 transcript:Vigun05g146700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVVPSPRTKNKKRSDFGLQKKEILERNFLLVFLVFAEQMNPGTAIACRTRYRRRKLFEDALIMSRKKKKARERESISSKGEDGSGKMEPVSPFSVGLSMNSERVSSESGFQPLGGHENDPIEISDGDNGSETEEGLRESENDIFCVEVEKKSEEDEGSFVLGDKVVNFDDDNCGCVSDKPDVLTIDDDEEDDLLSSSTDSEDKETSDEDFLVADDDDGFETEGSTTSDESNGDDGRGGDDVRGVQRKILKGMVRKMESDAESSEIRERKGERKGNEQVDVEELWRMADCTSATSSKSEIYKKEGQHVAHKDEEKRVQSVIADSEVFGVHVKNSGCSMSSEALEHMKKSDSATLQKQIGDPAVVEKSGRNKNINVDLVDIDDIKRKGNQQNKGTGLRSVSFRPKNQAKIGDSAKIVMEKDKEGEPKYWFHSNNRKKDGDGAVDDETGDKELYEVTRMPSTLKNKCYQIFTECFMGKNDSVKDNSYKLDEKDDVVAEGETQALVSREPTPLNCSFMNEEEPVEKSEEDELDMLWAEMDMLLRAEEIGTQVNNMGTNEATENGESSAPKCKHDTIFNEQIGIYCRWCGWTVTEIKYITPPFVDSERYGGRMASSSEGKTSLLDGAMLNESGDDDSEVIWSQKEGTVWDLIPDIKQSLYPHQQEGFEFIWRNLAGTIDLAKLKSVDPCNEGGCIISHAPGTGKTRLTMVFLQSYLQLFPKCLPVIIAPSSILLTWEDELRKWKLGIPFHNLNSAELSGNEQLISEVDWYGNQRQNKDAIRMVKLCSWYKEKSILLISYNLYEKLAGATSVGGGGKDKNNKKFGKKKRAKTREYIESGMGKVLRDYPGLLVLDEGHTPRNQNSYIWKVLSESRTQKRILLSGTPFQNNFLELYNILCLMKPSFPDSIPQELKKFCQSRLMQGGKASKEMNWEHVSSGNPADVKINQLKLLMNPFVHVHKGSILEKNLPGLRECVLVLKPDILQQETLESIECSQNVLNFEHKLALVSVHPSLFLSCSLSEKEESIIDKDRLEKIRLNPYAGVKTKFLIEFIRLCDAVNEKVLVFSQFIDTLDLIKEQLESALNWTVGMEVLYMYGRLDQKQKQSLIYSFNDANSRAKVLLASIKASSEGINLVGASRVVLLDVVWNPSVERQAICRAYRLGQKKVVFTYHLLAQDTPECTKYCKQAEKNRLSELVFSNKNAESDKLKSSGVMLEDFEDRVLDVMVQHEKLKDMIGECLIQPRKRDLEILGP >Vigun05g146700.1.v1.2 pep primary_assembly:ASM411807v1:5:20579068:20583991:1 gene:Vigun05g146700.v1.2 transcript:Vigun05g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSCSRTRYRRRKLFEDALIMSRKKKKARERESISSKGEDGSGKMEPVSPFSVGLSMNSERVSSESGFQPLGGHENDPIEISDGDNGSETEEGLRESENDIFCVEVEKKSEEDEGSFVLGDKVVNFDDDNCGCVSDKPDVLTIDDDEEDDLLSSSTDSEDKETSDEDFLVADDDDGFETEGSTTSDESNGDDGRGGDDVRGVQRKILKGMVRKMESDAESSEIRERKGERKGNEQVDVEELWRMADCTSATSSKSEIYKKEGQHVAHKDEEKRVQSVIADSEVFGVHVKNSGCSMSSEALEHMKKSDSATLQKQIGDPAVVEKSGRNKNINVDLVDIDDIKRKGNQQNKGTGLRSVSFRPKNQAKIGDSAKIVMEKDKEGEPKYWFHSNNRKKDGDGAVDDETGDKELYEVTRMPSTLKNKCYQIFTECFMGKNDSVKDNSYKLDEKDDVVAEGETQALVSREPTPLNCSFMNEEEPVEKSEEDELDMLWAEMDMLLRAEEIGTQVNNMGTNEATENGESSAPKCKHDTIFNEQIGIYCRWCGWTVTEIKYITPPFVDSERYGGRMASSSEGKTSLLDGAMLNESGDDDSEVIWSQKEGTVWDLIPDIKQSLYPHQQEGFEFIWRNLAGTIDLAKLKSVDPCNEGGCIISHAPGTGKTRLTMVFLQSYLQLFPKCLPVIIAPSSILLTWEDELRKWKLGIPFHNLNSAELSGNEQLISEVDWYGNQRQNKDAIRMVKLCSWYKEKSILLISYNLYEKLAGATSVGGGGKDKNNKKFGKKKRAKTREYIESGMGKVLRDYPGLLVLDEGHTPRNQNSYIWKVLSESRTQKRILLSGTPFQNNFLELYNILCLMKPSFPDSIPQELKKFCQSRLMQGGKASKEMNWEHVSSGNPADVKINQLKLLMNPFVHVHKGSILEKNLPGLRECVLVLKPDILQQETLESIECSQNVLNFEHKLALVSVHPSLFLSCSLSEKEESIIDKDRLEKIRLNPYAGVKTKFLIEFIRLCDAVNEKVLVFSQFIDTLDLIKEQLESALNWTVGMEVLYMYGRLDQKQKQSLIYSFNDANSRAKVLLASIKASSEGINLVGASRVVLLDVVWNPSVERQAICRAYRLGQKKVVFTYHLLAQDTPECTKYCKQAEKNRLSELVFSNKNAESDKLKSSGVMLEDFEDRVLDVMVQHEKLKDMIGECLIQPRKRDLEILGP >Vigun04g084450.1.v1.2 pep primary_assembly:ASM411807v1:4:13515635:13516492:-1 gene:Vigun04g084450.v1.2 transcript:Vigun04g084450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSHSQRMIIAFRHLFFLLFLSFVIKASCYCNLNNINIESTRSGNTILEKREWNVVVKNNCNCTQNQIKLQCQGFQTTEIVSPSILVLQGNSCLFINGNPLKEFASVSFSYAWDPPYFFQATDLSYYL >Vigun05g069400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5993941:5996460:1 gene:Vigun05g069400.v1.2 transcript:Vigun05g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFDERGRDESFDGELEKLRSVLNKIKDVFVEVKKNEEKLLDTLAEVYDHLRRLNRRKLHEDMDGICNRIRDYALMLLPTLVFDDSFKDEDHKGGKISHSSEELVQPHHQNNWTLEDYYRLHHLSRFYFLSLLIFPENAVIRKRNAINLWIGEGFSENTYNDTAEEEVEDVIDDLLKCGVIVRCGNGKDPFVNRFRILPGVRRQLYLNRDL >Vigun01g102600.1.v1.2 pep primary_assembly:ASM411807v1:1:27085475:27089676:-1 gene:Vigun01g102600.v1.2 transcript:Vigun01g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAVSHQNQLKTEDPQFSFKEIGNEGLTKRILRKGVTWQTPFSGDEVEVHFKGHVENGASLESSYDKGSAFRFKLGQCEVIQGWDEGVATMKKGERAIFKIPPNLAYGEEGSPPLVPPNATLIYEIEMLSWSTIRDLTGDGRIMKKLIREGEGWATPREDDEVLVKYEARMENGMLVSKSDEGVEFNVSDGYLCPAMSIAVKTMRKGEVAELAVRLFYGQSQNSYMITELDAVLPPDSNLTSIELELVSWKVVTDVTGDKKIMKKIKKVGEGFDRPNEGSQVKVIYLCKGEDDTIIERKGSEEEPFEFTTQEEQVPEGLERAIMTMKKAEQALVTVHAEYLCGYSNSQGKTANNKVLYYEVELVDFVKEKPFWKMDTQEKIEACERKKHDGNLLFKAENFMHASKKYEKALKYIEFDHSFSEDEKHRSNTLRLSCNLNNAACKLKLGEYIEASKLCTKVLEQDPLNIKALYRRCQAYLKTSELEKAEADIKRALTIDPNNRDIKLEYKELKVKQKEYNRYEAGIFTTMVSRMS >VigunL016201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:882792:887546:1 gene:VigunL016201.v1.2 transcript:VigunL016201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NSSINSIIRDEKKKEIEGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKWQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun10g099950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29218283:29218606:-1 gene:Vigun10g099950.v1.2 transcript:Vigun10g099950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNQSTATTSSFEVSRSSIWRDGSGVFARNSFEEDDEEALKWAALEKLPTYNRLKKGLLITSNGEVKEIDATFLKEKFVWAAQPVYKHEEVLEEQWKGTIQLYYY >Vigun06g133200.1.v1.2 pep primary_assembly:ASM411807v1:6:25967917:25970376:-1 gene:Vigun06g133200.v1.2 transcript:Vigun06g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETEIICQKRIPMLDVKYHLCVAQEHGVKVDVSPTSNVVPIFGHVRIAAESVSTETTRFESAVSFPEMIGDPSLEAPATKFTPDVRSGCFADIGPRGSMDDEHIQIDDLAAHLGFNFEQPMASAFYAVFDGHGGPDAAAFVKNNAMRLFFEDAHMLQSYDADALFLKRLEDSHRKAFLGADIALADEQSVSSSCGTTALTALVLGRHLMVANAGDCRAVLCKRGIAVDMSQDHRPSYLPERRRVEEMGGYIDDGYLNGYLSLTRALGDWELKNALGSVSPLIADPDVQVVALTEEDEFLIIGCDGIWDVMSSQDAVSLVRRGLRRHDDPEQCARELVKEALRLHSSDNLTAIVICLSPVQIVESCLPQRRRFRSCSLSEEARNRLRSLLEGN >Vigun04g129500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32475902:32477211:1 gene:Vigun04g129500.v1.2 transcript:Vigun04g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTMALSSPSLAGQAIKLSPSTPELSGGRISMRKTTSKSVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun03g005100.3.v1.2 pep primary_assembly:ASM411807v1:3:355448:359318:1 gene:Vigun03g005100.v1.2 transcript:Vigun03g005100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKQPVPLSQKFPNADPLALRLLERLLAFDPKDRPSAEEALADPYFIGLANIEREPCTLPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEHLRGDQTSFMYPSGVDRFKRQFAHLEEHYGNGERSTPLQRQHASLPRERVCAPKDESKQQNDIESVPGSDATARGN >Vigun03g005100.4.v1.2 pep primary_assembly:ASM411807v1:3:355448:359318:1 gene:Vigun03g005100.v1.2 transcript:Vigun03g005100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKQPVPLSQKFPNADPLALRLLERLLAFDPKDRPSAEEALADPYFIGLANIEREPCTLPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEHLRGDQTSFMYPSGVDRFKRQFAHLEEHYGNGERSTPLQRQHASLPRERVCAPKDESKQQNDIESVPGSDATGTQNETSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDEVTDVAALHA >Vigun03g005100.1.v1.2 pep primary_assembly:ASM411807v1:3:354331:359318:1 gene:Vigun03g005100.v1.2 transcript:Vigun03g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVEGLRRLFQRRASSSAENHNNANNSRVHVRDLRAQLSSIPNHDHLVDPNFDFSTLKPIKVPAQIPFRPSSMDHHKKGAPESEFFTEYGEASQYQIQEVVGKGSYGVVGSAVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKQPVPLSQKFPNADPLALRLLERLLAFDPKDRPSAEEALADPYFIGLANIEREPCTLPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEHLRGDQTSFMYPSGVDRFKRQFAHLEEHYGNGERSTPLQRQHASLPRERVCAPKDESKQQNDIESVPGSDATGTQNETSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDEVTDVAALHA >Vigun03g005100.2.v1.2 pep primary_assembly:ASM411807v1:3:354331:359318:1 gene:Vigun03g005100.v1.2 transcript:Vigun03g005100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVEGLRRLFQRRASSSAENHNNANNSRVHVRDLRAQLSSIPNHDHLVDPNFDFSTLKPIKVPAQIPFRPSSMDHHKKGAPESEFFTEYGEASQYQIQEVVGKGSYGVVGSAVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKQPVPLSQKFPNADPLALRLLERLLAFDPKDRPSAEEALADPYFIGLANIEREPCTLPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEHLRGDQTSFMYPSGVDRFKRQFAHLEEHYGNGERSTPLQRQHASLPRERVCAPKDESKQQNDIESVPGSDATARGN >Vigun03g005100.5.v1.2 pep primary_assembly:ASM411807v1:3:354331:359318:1 gene:Vigun03g005100.v1.2 transcript:Vigun03g005100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTLVEGLRRLFQRRASSSAENHNNANNSRVHVRDLRAQLSSIPNHDHLVDPNFDFSTLKPIKVPAQIPFRPSSMDHHKKGAPESEFFTEYGEASQYQIQEVVGKGSYGVVGSAVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKAKRYLNSMRKKQPVPLSQKFPNADPLALRLLERLLAFDPKDRPSAEEALADPYFIGLANIEREPCTLPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEHLRGDQTSFMYPSGVDRFKRQFAHLEEHYGNGERSTPLQRQHASLPRERVCAPKDESKQQNDIESVPGSDATGTQNETSRSNYSARSLLKSASISASKCVVVKQNKDPEVVSNSIFSNACLY >Vigun11g047800.2.v1.2 pep primary_assembly:ASM411807v1:11:7413614:7419262:-1 gene:Vigun11g047800.v1.2 transcript:Vigun11g047800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAMMLVGFLVAFVIIFLTKALNWVWLVPKRAERFLRRQGMKGNPYKFFIGDMKAMGIILHQAQSKPIQIDDDVASRLVPFQNQLVKECGKNSFFWYGPKIAVNIMEPEAIKEILNMISDFPKPTLNPLVKLLITGLVDLEGDKWSKHRKIINPAFNLAKLKLVLPAMYDSCNQMMKEWKMLVSETGSCMVDVWPFLNNLTADVISRTAFGSSYEEGKIVFQLLKEQAQLTSKVFQSIYVPGWRFVPTKLNKRMQKIDYEIGKVLRGIIQKQEGIMKTCKTSNDNLLGLLLESNQNEIEDGGLKKDVGMNTNDVINECKLFYFAGQETTSVLLNWTMVLLSRFPNWQTLAREEVIEIFGTKEPDYDGLNRLKVVTMILYEVLRLYPPATVITRVIRKETKVGDVTLPTGAIASIPIALIHHDSELWGSDAKEFKPERFSEGISKATNGKVSFIPFGWGPRICIGQNFAMLEAKMALSLILQNFKFELSSSYVHAPITVITAQPQFGTNLILQKL >Vigun03g321000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51571794:51575774:1 gene:Vigun03g321000.v1.2 transcript:Vigun03g321000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPNPNPSPNPAPEKEKRLQEELLGPILLGDRIIKLAQEAESSKVDCTELARKVQVVCDNLRSVVRVVSGTQCVNERPIRRIVGEVSKNLERTLAFVRKCKKHGGVLRQVFSMTTTADFRKVWNLLESSNGDLVWLLTILDSKEGTNVSLPPIASNDPILAWVWTFTYTLQLGQPKDRAEAATELGSLARGNERTKFIILEEGGVPPLLKLLKEASSPDAQVAAANALVNVTTNQESVVSYVVESHAAPTIVQVLGDSPMRVRVSVANLVSAMAEQNELAREEFVRANVTRPLVSLLSMDTVLADPMAGRASIHSLVLNLSNVGETNSDGSSRGSSHHRREREREVESPELRNEVKISCAKALWKLSKGCLSSCKKITETKGLLCLAKMIESESGELQLNCLMAVMEIAAVAESNADLRRGAFKRTAPAAKAVLDQLLRVVQEEGNPALVIPAIKAIGSLARNFSGKVPQVLGPLVAQLGSRDVDVASEAAIALGKFVSPDNYNCVDHSKAILELDGVPKLMSLLQINDRQQVHGLKLLCYLALNVGNSKVLEQERALNTLERFARPVQTQYPDLKDLFAKAIHHLTLYQPGAQLHRQPLGL >Vigun03g321000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51571745:51576003:1 gene:Vigun03g321000.v1.2 transcript:Vigun03g321000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPNPNPSPNPAPEKEKRLQEELLGPILLGDRIIKLAQEAESSKVDCTELARKVQVVCDNLRSVVRVVSGTQCVNERPIRRIVGEVSKNLERTLAFVRKCKKHGGVLRQVFSMTTTADFRKVWNLLESSNGDLVWLLTILDSKEGTNVSLPPIASNDPILAWVWTFTYTLQLGQPKDRAEAATELGSLARGNERTKFIILEEGGVPPLLKLLKEASSPDAQVAAANALVNVTTNQESVVSYVVESHAAPTIVQVLGDSPMRVRVSVANLVSAMAEQNELAREEFVRANVTRPLVSLLSMDTVLADPMAGRASIHSLVLNLSNVGETNSDGSSRGSSHHRREREREVESPELRNEVKISCAKALWKLSKGCLSSCKKITETKGLLCLAKMIESESGELQLNCLMAVMEIAAVAESNADLRRGAFKRTAPAAKAVLDQLLRVVQEEGNPALVIPAIKAIGSLARNFSGKVPQVLGPLVAQLGSRDVDVASEAAIALGKFVSPDNYNCVDHSKAILELDGVPKLMSLLQINDRQQVHGLKLLCYLALNVGNSKVLEQERALNTLERFARPVQTQYPDLKDLFAKAIHHLTLYQPGAQLHRQPLGL >Vigun03g321000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51571896:51575669:1 gene:Vigun03g321000.v1.2 transcript:Vigun03g321000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPNPNPSPNPAPEKEKRLQEELLGPILLGDRIIKLAQEAESSKVDCTELARKVQVVCDNLRSVVRVVSGTQCVNERPIRRIVGEVSKNLERTLAFVRKCKKHGGVLRQVFSMTTTADFRKVWNLLESSNGDLVWLLTILDSKEGTNVSLPPIASNDPILAWVWTFTYTLQLGQPKDRAEAATELGSLARGNERTKFIILEEGGVPPLLKLLKEASSPDAQVAAANALVNVTTNQESVVSYVVESHAAPTIVQVLGDSPMRVRVSVANLVSAMAEQNELAREEFVRANVTRPLVSLLSMDTVLADPMAGRASIHSLVLNLSNVGETNSDGSSRGSSHHRREREREVESPELRNEVKISCAKALWKLSKGCLSSCKKITETKGLLCLAKMIESESGELQLNCLMAVMEIAAVAESNADLRRGAFKRTAPAAKAVLDQLLRVVQEEGNPALVIPAIKAIGSLARNFSGKVPQVLGPLVAQLGSRDVDVASEAAIALGKFVSPDNYNCVDHSKAILELDGVPKLMSLLQINDRQQVHGLKLLCYLALNVGNSKVLEQERALNTLERFARPVQTQYPDLKDLFAKAIHHLTLYQPGAQLHRQPLGL >Vigun05g149000.1.v1.2 pep primary_assembly:ASM411807v1:5:21512617:21526151:-1 gene:Vigun05g149000.v1.2 transcript:Vigun05g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSTPRRRPQYFPHHIPNPSYVRILDTTLRDGEQSPGATMTSQEKLRIARQLAQLGVDVIQPGFPSASQDDFMAVKIIAQEVGNTVDHDGHVPVIAAFCRCVREDIGVAWEAVKHAQRPRICTSIATSDIHIKHKLRKCKKEVIQIARDMVAFARSLGCNDIQFGAEDATRSEREFLYEILGVVIEAGATTVNIADTVGILMPFELGKLITDIKANTPGIANVIISTHCHNDLGLATANTIEGARAGARQLEVTVNGIGERAGNASLEEVVMALACKGDHVLNGLYTRINTRSILETSKMVEEYSGMHLQPHKALVGVNAFVHASGIHQDGMLKHKGTYQILSPEDIGHKITTEIGIVLGKLSGRQALRKRLEELGYELKDEEVDSLFLPFKAMAEKKKVVTDIDLKALVSYKVFNAEAIWKLGDLQVTCGTLGLSTATIKLVNIDGTTHVACSIGTGVVDSTYKAINIIVKEAIKVLEYSLSSVTKGIGISATARVVICRENNHSSTDAFTRDVTYPTFSGTGAEMDVVVASVEAYLVAVNKLLRCKESFVHSK >VigunL059439.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000279.1:27913:28059:-1 gene:VigunL059439.v1.2 transcript:VigunL059439.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMKFLVHESFEFGGFCTWPRLAVHHDIAKWLGGEICEKMKFVVFEV >Vigun11g111100.1.v1.2 pep primary_assembly:ASM411807v1:11:31257175:31260440:-1 gene:Vigun11g111100.v1.2 transcript:Vigun11g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEPLRPIEGKFAAIVVCWLLGNGCLFSWNSMLTIEDYYIYLFPKYHPSRVLTLVYQPFAVGTLAILAYKGDRINTRIRNLFGYTLFFISTLAVLLLDLASSGQGGLGTFVGICIVSGAFGVADAHTQGGMVGELSYMLPEFIQSFLAGAAASGALTSALRLITKAAFKNSKNGLHKGAILFFSISTFFELLCVLLYAFVFPKLPIVKYYRSKVAFEGSNAVSSDLAEAGIQTSSIRVKEGKQLERKGTKQLLLENIDYALDLFLIYALTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVCDLIGRYIPLLESLKMESRKMLTIVIVGRLLFVPAFYFTAKYGTQGWMIMLTSLLGLTNGYLTVCVLTSAPKGYMGPEQNALGNVLVLFLLAGIFAGVTLDWLWLIGKGW >Vigun11g111100.2.v1.2 pep primary_assembly:ASM411807v1:11:31257175:31260440:-1 gene:Vigun11g111100.v1.2 transcript:Vigun11g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEPLRPIEGKFAAIVVCWLLGNGCLFSWNSMLTIEDYYIYLFPKYHPSRVLTLVYQPFAVGTLAILAYKGDRINTRIRNLFGYTLFFISTLAVLLLDLASSGQGGLGTFVGICIVSGAFGVADAHTQGGMVGELSYMLPEFIQSFLAGAAASGALTSALRLITKAAFKNSKNGLHKGAILFFSISTFFELLCVLLYAFVFPKLPIVKYYRSKVAFEGSNAVSSDLAEAGIQTSSIRVKEGKQLERKGTKQLLLENIDYALDLFLIYALTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVCDLIGRYIPLLESLKMESRKMLTIVIVGRLLFVPAFYFTAKYGTQGWMIMLTSLLGLTNGYLTVCVLTSAPKGYMGPEQNALGNVLVLFLLAGIFAGVTLDWLWLIGKGW >Vigun01g176600.1.v1.2 pep primary_assembly:ASM411807v1:1:35779825:35783761:1 gene:Vigun01g176600.v1.2 transcript:Vigun01g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKKLTPNLDQQSTKVLNLTVLQRIDPFIDEILFTAAHVSFYDFNIETNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRSTDNLVENLLDFEYELKSPYLLYRNAAQEVNGIWFYNPDECEEVANLFNRILNLYPKAPPTTTTPANRSEFEEHQSVPVISGSPLESSSAAASAIDAVEDVVFSNFCSTLKATGSYASSIENDRQHSTSITSAAPSGLFSPAPTVQIPASHSTSSISGFPVDSLETVKNGNQAINLVKPSTFFTSTSSSLLVPPISSSVLPSSVGNHSLNMPRPYGTPVLQPFPPPIPPLSLTPISSSSPNKPVIGRDSVRNALLSLVQDDQFIDMMFQALLKVSPS >Vigun11g211000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40608665:40609563:1 gene:Vigun11g211000.v1.2 transcript:Vigun11g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLQTISALLLNKQATSLIKKGTFPNIKRIRLKVVSGNNNELSNLLQSLQQLTHLYKLGILLRDRYDIGVDSINESVERNNGCKPQELLQSLGQFSCLTVLNIINALDLLTCALTFPPNLIELTLRGINWITDEGMNGLESHTKLKILRISGDLISSRNFFDLNCVGCGFPRLEVFEMEYLNVGEWRLDTDAMPRLKSLVIIHCERLKDLPNELWCLSGLRKVEITKPSNQMARMLQNLEIGNRIQLVIDDPEIFIFKSKF >Vigun06g012050.1.v1.2 pep primary_assembly:ASM411807v1:6:5337381:5345730:-1 gene:Vigun06g012050.v1.2 transcript:Vigun06g012050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRALLISDLSPVEHPYFPLVFSLDGDREKGTGKINPTVKGAKNFLPKYVLSTNFFSSVKPIDKEEGEEEEGEEEGEEEEGEEDGEGEEEREGEGEEGEEEGEGEEEEEGEEGGREEEGEEGEEEEGEEEGEEEEGEEEEGEEEEGEEGGEGEEGEGGKEEGGEGEGGEGEEEEEEGEGEEGEEEEGEEEEGEEEEGEEEGEEGEGEEGEEEGEEEEGEEGEEEGEEEGEEEEGEGEREEGGEGEEGEGGEEEGGEGEGGEGEEEEEEEEEEGEEEEGEEEEGEEEEGEEGEGEEGEGEEGEEEKEKKEKEKKEKKKEKKKKEKKKKEKKKKEKKKKKEKKEKKEKEKEKEKEEKEKEKKEKKEKEKKEKEKKEKEKKEEEKKEKEEKEEKEKKKEKKKKEKKKKEKKEKEKKKKEKKEKEKKEKKEKEKKKEKKEKEKKEKKEKKEKKEKREKTKKEKKKKEKKKKEKKKKEEKEEKEKEKKKEKEKKEKEMKEKEKKEKKKKEKKEKKKEKKKKKEKHKKEKEDKKKEEKEKEEKEKKKKKKKKKKKEKEKKEKKKKEKKKKEKKKKEKKKKKKKEKKEKEKKEKKKEKKKKEKKKKEKKKEKKKKEKKKEKEKKEEKEKKEKEEKKKEEKEKEEKEKKKKKKKKKKKKEKKKKEKKKKEKKKKEKKEKEKKEKKKEKKKKEKKKKEKEKKKKEKKEKRKKEKKKKKEKQKKEKEDKKKEEKEKEEKEKKKKKKKKKKKKEKEKKEKKKKEKKKKEKKKKEKKKKKEKKEKEKKEKEDKKKEEKEKKKKKKKKKKKKKKEKEKKEKKKKEKKKKEKEKKEKKKKEKKEKEKKEKKKEKKKKEKKKEKKKKEKKKKEKKKEKENEKKEEKEKKEKEEKEEKKKEEKEKEKKKKEKRKKEKRKKEKKKKEKKKKEKKEKEKKEKKKEKKKKEKKKKEKKKKEKKKKKEKKEKKEKEKEKEKEKEENEKEKKEKEKKEKEKKEEEKKEKEEKEKEKEEKEKKEKKKEKKKKEKKKKEKKEKKKKKEKKEKKKKKEKEKKEKKKKEKEKKEKKKKKKEKKKKEKKKEKEKEKKKKKEKEKEKKEKEKKEKEEKEKEKKEEEKKEEEKKEEKEKKEKEKKEKKEKEKKEEEKKEEEKKEEKKKEEKKKKHSIIIVNLTKVTPSGSKPTSLSGRMKGWYGIGRRSRVLTSVGKNSGLPRTEAPPGERLCAARRQRRVK >Vigun07g031900.2.v1.2 pep primary_assembly:ASM411807v1:7:3005614:3009560:-1 gene:Vigun07g031900.v1.2 transcript:Vigun07g031900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPVIIGQDLQNGNDNDFESKSGTFETTTIIGDKKYVIGGTAGETFFVKVQILDDNCLGEWVNVVDLGIKPISCNGHSAVLLKDRILILKKNSNPDDSIWFLEVDTTYVRQQQKKNLGTEVVAWSKGVIGNVEKPVVISGPSGVGKGTLISLLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTEKNVMEKEIKDGKFLEFASVHGNLYGTSVEAVELVSDAGKRCILDIDVQGARSVRASPLEAMFIFICPPSMDELEKRLRDRGTETEEQVLKRLRNAQAEIEQGRSSGIFDHILYNDNLEECYENLKHPLVQNLLGLDGYATPPKSAPKEINLPRDYSVSKIDNRIIINSTSSKLEKESKNLIMLDVSCLKGGAPGRTRGLNLEAIDSFSESFNGNGNGPI >Vigun07g031900.3.v1.2 pep primary_assembly:ASM411807v1:7:3005613:3009560:-1 gene:Vigun07g031900.v1.2 transcript:Vigun07g031900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPVIIGQDLQNGNDNDFESKSGTFETTTIIGDKKYVIGGTAGETFFVKVQILDDNCLGEWVNVVDLGIKPISCNGHSAVLLKDRILILKKNSNPDDSIWFLEVDTTYVRQQQKKNLGTEVVAWSKGVIGNVEKPVVISGPSGVGKGTLISLLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTEKNVMEKEIKDGKFLEFASVHGNLYGTSVEAVELVSDAGKRCILDIDVQGARSVRASPLEAMFIFICPPSMDELEKRLRDRGTETEEQVLKRLRNAQAEIEQGRSSGIFDHILYNDNLEECYENLKHQKR >Vigun07g031900.1.v1.2 pep primary_assembly:ASM411807v1:7:3005614:3009560:-1 gene:Vigun07g031900.v1.2 transcript:Vigun07g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPVIIGQDLQNGNDNDFESKSGTFETTTIIGDKKYVIGGTAGETFFVKVQILDDNCLGEWVNVVDLGIKPISCNGHSAVLLKDRILILKKNSNPDDSIWFLEVDTTYVRQQQKKNLGTEVVAWSKGVIGNVEKPVVISGPSGVGKGTLISLLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTEKNVMEKEIKDGKFLEFASVHGNLYGTSVEAVELVSDAGKRCILDIDVQGARSVRASPLEAMFIFICPPSMDELEKRLRDRGTETEEQVLKRLRNAQAEIEQGRSSGIFDHILYNDNLEECYENLKNLLGLDGYATPPKSAPKEINLPRDYSVSKIDNRIIINSTSSKLEKESKNLIMLDVSCLKGGAPGRTRGLNLEAIDSFSESFNGNGNGPI >Vigun07g095700.1.v1.2 pep primary_assembly:ASM411807v1:7:15550389:15550864:1 gene:Vigun07g095700.v1.2 transcript:Vigun07g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRFSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVSIKIFADFQEKESYDMLGISYDSHPRLRCILMPENLIGWPLRKDYIAPNFYEIQDAH >Vigun09g208950.1.v1.2 pep primary_assembly:ASM411807v1:9:38346140:38348088:1 gene:Vigun09g208950.v1.2 transcript:Vigun09g208950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAVESKLLTRQVMTRDIIEFFVRNMVNENLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKVVTMPHHLKPKLYPDFMGKENHQSYRSKKILGRLYRRIKDAL >Vigun03g265700.1.v1.2 pep primary_assembly:ASM411807v1:3:43554847:43559405:-1 gene:Vigun03g265700.v1.2 transcript:Vigun03g265700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGSELVETLPSPCSFKVHRAMCTELRKLVARILRIIPRIEEARPCGMQALCLLNSAIYKAAQLLIYCSESSKLYLAMTGDSILSKFQKARQSMVKNLVQILNMVPVMLAAEISRLIGDLECVTFVLDSAEVAAGKVLKQLLQQDSSTSDKDSVEESEIKHFQFVAARLNITSSSAILIERRSIQKLLNKVKPADQPKEIVLKNLLYLLLKNKKSITGEQIEVYMQSEGPITTENSVDESQSILHVESEPYLNHDQHRTHANELDRPTPPEEYTCPISLRLMYDPVVIASGETYERMWIQKWFDEGNTICPKTKKKLDHMALTPNVVFKDLISKWCETNGVSIPDPSRQVQHFHSWEASSNSIKSFGSSLYDLNFQMDLSNVSLGSLDTSYNSDSSHSNANHGLDLILNKTSANSRRHQSRARIHDVDLMRLSKLHERRWESQCQLIEDMRIDFKCNNHIFCSVPSEDFIDPLTRFLSTACERHDVKALRSGTKLLLEFVKCCRNGMTILTEDACIMLASLLDTEVIGEALTIMEELKGNWCEKANIAASTLLTSVSKILDVGSKQFQGKAIKIMFNFSSNSQMCAYMVSLGCIPKLLQFFGDKTLSRDCIHILKNLCETEEGKVTVVETKGCMYSIVEILGTGSDEEKEPALRILLSLCSQRVEYCEMVMEEGIIPSLVDISNSGSDMAKAYALELLRLLKEDREFENEDYYEPNFNASQESTHRYEEKKSSKKPSILKRLSIFSKSSSVAPNNRRCK >Vigun03g265700.2.v1.2 pep primary_assembly:ASM411807v1:3:43554869:43559405:-1 gene:Vigun03g265700.v1.2 transcript:Vigun03g265700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGSELVHRAMCTELRKLVARILRIIPRIEEARPCGMQALCLLNSAIYKAAQLLIYCSESSKLYLAMTGDSILSKFQKARQSMVKNLVQILNMVPVMLAAEISRLIGDLECVTFVLDSAEVAAGKVLKQLLQQDSSTSDKDSVEESEIKHFQFVAARLNITSSSAILIERRSIQKLLNKVKPADQPKEIVLKNLLYLLLKNKKSITGEQIEVYMQSEGPITTENSVDESQSILHVESEPYLNHDQHRTHANELDRPTPPEEYTCPISLRLMYDPVVIASGETYERMWIQKWFDEGNTICPKTKKKLDHMALTPNVVFKDLISKWCETNGVSIPDPSRQVQHFHSWEASSNSIKSFGSSLYDLNFQMDLSNVSLGSLDTSYNSDSSHSNANHGLDLILNKTSANSRRHQSRARIHDVDLMRLSKLHERRWESQCQLIEDMRIDFKCNNHIFCSVPSEDFIDPLTRFLSTACERHDVKALRSGTKLLLEFVKCCRNGMTILTEDACIMLASLLDTEVIGEALTIMEELKGNWCEKANIAASTLLTSVSKILDVGSKQFQGKAIKIMFNFSSNSQMCAYMVSLGCIPKLLQFFGDKTLSRDCIHILKNLCETEEGKVTVVETKGCMYSIVEILGTGSDEEKEPALRILLSLCSQRVEYCEMVMEEGIIPSLVDISNSGSDMAKAYALELLRLLKEDREFENEDYYEPNFNASQESTHRYEEKKSSKKPSILKRLSIFSKSSSVAPNNRRCK >Vigun06g069200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19890906:19892521:1 gene:Vigun06g069200.v1.2 transcript:Vigun06g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKDTHVVEIPVEQEHHLHPKNVLCSMTSNMIEAIEDHPLTEISESPGHLLLLKLWQREEELFAKRIAHKETRMDTIKAELFQLSSFFFIFHGFFLTLLFTSWARAHQEAQQAHHSVCHKWWLPSMLSLCTSLVFVVLVQVKVHRYWKVWGQLQREKSDGRAVTRCIQELRMKGASFDLSKEPNSGKRMKSSSVEIKWGPLTWCSKNLLTISLVCFTGFMFPVSKLLLCGF >Vigun04g126100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31885712:31887909:1 gene:Vigun04g126100.v1.2 transcript:Vigun04g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISIVPAITLNLQHHSSNPHSNSNDPYLRRNVISLLLKNRRNPKHVQSIHGHAIKTGTSQDPFVAFELLRQYCKLNSIDHATKLFYCTQNPNVYLYTSLIDGLVSFGCYTDAINLFGQMVREHVLADSYVVTAVLKACVLQRALGNGREVHGLVLKHGLSLDRSIALKLVELYGKCGVLEDAWNVFDKMPERDIVACTVMMGSCFDCGMVEDAIGVFNEMRSRDTVCWTLMIDGLVRNGEFNRGLEMFREMQVKGVKPNEVTFVCVLSACSQLGALELGRWIHAYLCKCDIEVNWFVAGALINMYSRCGDIDEAQVLFDGVKVKDVSTYNSMIGGLAMHGKSIEAVELFREMLTHRVRPNGITFVGVLNACSHGGLVDLGCEIFQSMKRVHGIEPEVEHYGCLVDILGRVGRLEEAFDFILRMGGQADDKMLCSILSACKIHRNIEIGEKVAKLLSEHSGIDSGSFIMLSNFYASLGRWNNAAEVREKMEKRGMIKEPGCSSIEVNNAIHEFLSGDLRHPERKKIYKKLEELNYLTKLEGYSPATEVALHDIDDEQKELALAVHSERLAICYGLISTQPFTTIRVGKNLRICDDCHAMIKLIAKITRRKIVVRDRNRFHHFENGDCSCNDYW >Vigun06g046800.3.v1.2 pep primary_assembly:ASM411807v1:6:17158092:17162250:-1 gene:Vigun06g046800.v1.2 transcript:Vigun06g046800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLESPPRLVSQESAPTLVSQESSTIIEGTEEVRSGDEISLFRGCVLEGRWEFVIPAYRNESEFHKIRINESRGTALHVAVNDGKVELVNILVGSILSHEGREVVRSNSALRSTDERGDTPLHLAASRGFISMIKCIIGENGERKDLIRVRNNRGETPLFRAVLTSQTKAFVYLYNVSKGLEVPLKNYDGDTILHHAIWREFLDLAIIIIHCYPELAKTPNKDGATPLKVLARKPSAFKSGTNLPWWKQILYYVSIQSVNEAKKAQIFVERKYATSVRFVKSAVRFAFKCLSLSGLGVTAQDLKAIKKIRQKHKWGRQLLNIFMQRPHESYMGISGGPPFLQFDRGDNLVQITQHQLQMVGAASSGQNQQENTRTESAAKNEEKETTFVSVARAGIVDLVNELQNKIPSSLHATPEKENLLVVAMKNINKSKLGEHHVDKKETAFLTAAKNGIVEIVFELQSKIPSAVHETNSNNENVLLVAVKNRQTNVVEVLRKNLDKELFDSLILEVDNRDNTVLHLAAGTTTNAERTWQIAGAAMQMMWDIKWYQYVRALVPEHFTFRTNKDDKTGGEIFKQKHKDLVKESSEWLKETSNSCSVVAALIAGVSFATSSSVPGGTDKGKPELEGQPAFDAFAIASLIGLCFSVTALIMFLAILTSRKQAQDFRKSLPLKLLFGLSSLFVSIASMLVSFCAAHFFVLKDKYKNILFPVYIATCLPVTFYAVVQFPLYADLLKAIFKKVPQPSITSSQF >Vigun06g046800.2.v1.2 pep primary_assembly:ASM411807v1:6:17158092:17162250:-1 gene:Vigun06g046800.v1.2 transcript:Vigun06g046800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLESPPRLVSQESAPTLVSQESSTIIEGTEEVRSGDEISLFRGCVLEGRWEFVIPAYRNESEFHKIRINESRGTALHVAVNDGKVELVNILVGSILSHEGREVVRSNSALRSTDERGDTPLHLAASRGFISMIKCIIGENGERKDLIRVRNNRGETPLFRAVLTSQTKAFVYLYNVSKGLEVPLKNYDGDTILHHAIWREFLDLAIIIIHCYPELAKTPNKDGATPLKVLARKPSAFKSGTNLPWWKQILYYDISVSIQSVNEAKKAQIFVERKYATSVRFVKSAVRFAFKCLSLSGLGVTAQDLKAIKKIRQKHKWGRQLLNIFMQRPHESYMGISGGPPFLQFDRGDNLVQITQHQLQMVGAASSGQNQQENTRTESAAKNEEKETTFVSVARAGIVDLVNELQNKIPSSLHATPEKENLLVVAMKNINKSKLGEHHVDKKETAFLTAAKNGIVEIVFELQSKIPSAVHETNSNNENVLLVAVKNRQTNVVEVLRKNLDKELFDSLILEVDNRDNTVLHLAAGTTTNAERTWQIAGAAMQMMWDIKWYQYVRALVPEHFTFRTNKDDKTGGEIFKQKHKDLVKESSEWLKETSNSCSVVAALIAGVSFATSSSVPGGTDKGKPELEGQPAFDAFAIASLIGLCFSVTALIMFLAILTSRKQAQDFRKSLPLKLLFGLSSLFVSIASMLVSFCAAHFFVLKDKYKNILFPVYIATCLPVTFYAVVQFPLYADLLKAIFKKVPQPSITSSQF >Vigun06g046800.1.v1.2 pep primary_assembly:ASM411807v1:6:17158092:17162250:-1 gene:Vigun06g046800.v1.2 transcript:Vigun06g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLESPPRLVSQESAPTLVSQESSTIIEGTEEVRSGDEISLFRGCVLEGRWEFVIPAYRNESEFHKIRINESRGTALHVAVNDGKVELVNILVGSILSHEGREVVRSNSALRSTDERGDTPLHLAASRGFISMIKCIIGENGERKDLIRVRNNRGETPLFRAVLTSQTKAFVYLYNVSKGLEVPLKNYDGDTILHHAIWREFLDLAIIIIHCYPELAKTPNKDGATPLKVLARKPSAFKSGTNLPWWKQILYYGILVEPLDAVKAIKSYTEKVEKIDDHEQKDISVSIQSVNEAKKAQIFVERKYATSVRFVKSAVRFAFKCLSLSGLGVTAQDLKAIKKIRQKHKWGRQLLNIFMQRPHESYMGISGGPPFLQFDRGDNLVQITQHQLQMVGAASSGQNQQENTRTESAAKNEEKETTFVSVARAGIVDLVNELQNKIPSSLHATPEKENLLVVAMKNINKSKLGEHHVDKKETAFLTAAKNGIVEIVFELQSKIPSAVHETNSNNENVLLVAVKNRQTNVVEVLRKNLDKELFDSLILEVDNRDNTVLHLAAGTTTNAERTWQIAGAAMQMMWDIKWYQYVRALVPEHFTFRTNKDDKTGGEIFKQKHKDLVKESSEWLKETSNSCSVVAALIAGVSFATSSSVPGGTDKGKPELEGQPAFDAFAIASLIGLCFSVTALIMFLAILTSRKQAQDFRKSLPLKLLFGLSSLFVSIASMLVSFCAAHFFVLKDKYKNILFPVYIATCLPVTFYAVVQFPLYADLLKAIFKKVPQPSITSSQF >Vigun08g009200.1.v1.2 pep primary_assembly:ASM411807v1:8:818517:822196:1 gene:Vigun08g009200.v1.2 transcript:Vigun08g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIELYGFPISVTAHDVRIFVEALTGEGTIQTMKVRHGKGAAPRAFAIIQFTTEDLAESMISRANYVSRALLYGSAYLKARVMERDIDPNLGVNASLEGVKVYFGCQISKGGFAVLERMQDVSLSFGSGKSKVELKIWHDSVQYKLHLSYENIWKVELLRPRDKIAPYLLIQLLGAPRIFEKDVPEEFCNIYENPLYNYYKDVPEEQWTRTTDFTTGSCIGQSSAICVELPSAQNLPNFKDIFAYYEQSERQYTLQAGVPFSQNRGLVPIVAPQGVEIPFDILFKVNSLVQHVCLAGTALNGDFYRLLDPRRMPLDFVENSLEKLYYSKEFCYDPAKWLADQYKRYLQSNSKNRPPRSPAISLDTGLVYVRRVQITPCKVYFCGPEINVSNRVLRHFHEHLDNFIRVSFVDEELDKLFSIDLSSRAQNKKTNLYKRILDILKNGIVIGDKRFEFLAFSSSQLRENSLWMFAPTGRYTAASIRSWMGDFSKIRNIAKYAARLGQSFGSSTETLSVPWYEIEIIPDVKRISDGKEYVFSDGIGKISLEFAKSVAKKCGYDCTPSAFQIRYGGYKGVVAVDPTSGFMLSLRNSMRKYDSDNTKLDVLGRSKFQPCYLNRQLITLLSTLGIRDDVFEKKQREAVDQLNTILTDSLKAQEVLDLMSSGEITNVLKEMLICGYKPNKEPFLSMMLQMFRASKLAMMGCLDETCTLEYGQVFVQFSSNRLQNLSDDSYSYDLPKSYMVNGKVVVAKNPCLHPGDVRVCQAVDVPALYHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDPELIPSSQIQPMDYTPSPTTELLRGVTIEDVQEYFTNYIVNDSLGIIANAHTVFADRKPEKAMSKECLELAKLFSTAVDFPKTGVPAVIPRELYVKEYPDFMEKFDKVTYKSPNVIGKLYREVKETISSSDGGGGGGDSISSFTLEVARRSYDTDMEVDGFMDYVDDAFYHKTNYDYKLGNLMDYYGIKTEAEILSGNIMKLSKSFNKRRDAEAITMAVRSLRKEARSWFNEDSGSGDDDDAYAKASAWYHVTYHPSYWGSYNEGMKRDHFLSFPWCVYPQLLQIKKEKVSNRSYSSAYRLSGLHLN >VigunL041700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:404315:405742:-1 gene:VigunL041700.v1.2 transcript:VigunL041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcL MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun01g177200.1.v1.2 pep primary_assembly:ASM411807v1:1:35829400:35833713:1 gene:Vigun01g177200.v1.2 transcript:Vigun01g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPTAKSGVHGVAIIPFHPIFANTPNSLSLLCILSTTHNPPRVPSHFPPSPPPAPTHPLLCHSNLMPRHQNPTTMDMIPGKIRKRGCSSSASSSSSLLHNYRFKRTILVGKRGGSTTPVPTWKLMTSRSPLRPLASSPKYPPSQTAAKPRLAPVSARKLAATLWEMNEIPSPSLRSKKEVRAREKVARSMRSGSLPPHLSDPSHSPVSERMDRSGTGSRHQRRTPSVSHRPRITEHHVGPLDSLSNASLMEIETRSRAQTPASSAVGVKSRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHTELERARLQVNQLIQEQRSDHNEINYLMKCFAEEKAAWKNKEQEIVEAAIESVAGELDVERKLRRRLESLNKKLGRELADTKTSLLKVVKELENEKRAREIIEQVCDELARDADEDKSEIEQQKRVSTKVCEEVEKEKEIMQLTDRLREERAQKKLSEAKYQLEEKNAAVDTLRNQLEAFLGSKQVKEKGRSSTHLNDEEIAAYLSRSRLSSHFIEDKEDDGGEVDNGVECEEESAESDLHSIELNMDNNNKSYKWSYPSESRFDARRYPIEEEVKGSRRSTSGRASRRSTSLQRSISDGIEWGGQAEKLQNSDGIDWESFYELEKQAQGKGYGDEMQGYKSVKGLRDQILAGSKLGSSRGYGSPTRQFSQPWPSRDLTNNFQERPATAQSNGPKSRLGEVRGESQSVRKSKR >Vigun06g137600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26313595:26315600:1 gene:Vigun06g137600.v1.2 transcript:Vigun06g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSSHLAILILLLSVSLAASAPYDENFVQCLSFYSDKAAPFYALIYTPKNVSFSNILESSAQNLRYLVPSAPKPEFIFTPLSDSHVQVAVTCSKKLGIHLRIRSGGHDYEGLSYVSEVEAPFMILDLSKLRAIDVDIKDNSAWIQAGATIGEVYYRIYEKSSVHGFPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNVIDAKLVDANGQILDREAMGEDLFWAIRGGGGASFGILLWWKIKLVPVPETVTVFTVTRSLEQDATKILHRWQEVAPAIDEDLFIRVIIQPATVGNKTGRTITTSYNAQFLGGAERLLQVMKESFPELGLTRKDLLETSWIKSVLYIAGYPNGTPPEVLLQGKSTFKNYFKAKSDFVREAIPETGLEGLWQRLLEEDSPLMIWNPYGGMMSKFSESDIPFPHRNGTLYKIQYLSLWQDGDKNATKHIDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGMNKKNSTSYIQATSWGNMYFKDNFNRLVKVKTKVDPDNVFRHEQSIPPLPVSGMKLQDMKSKTLE >Vigun03g243800.1.v1.2 pep primary_assembly:ASM411807v1:3:40618758:40621537:-1 gene:Vigun03g243800.v1.2 transcript:Vigun03g243800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKEREKKLFFVGSLVNYAAELKLLLTTVLLLCGVATLLQFLPSRFTISSSDLRLCISRVSLQSPPLSTPPPLATPPPPPSSAALLPNATIKRVFNTYGSAAYNFITMGGYRGGLNTFAIVGLSSKPLHVYGKPTYECQWIPLQNTTSSPKPITTKGLKYLPDWGYGHVYTVVVVNCTFNGTTINAANTGGRLVLHASTSGAGDTNFNVTDRIEVLEEAAGSFNASLFLSKPKYDYFYCGSSLYGNLNPQRVREWIAYHVKFFGPRSHFVIHDAGGVHEDVLEVLKPWMELGYVTVQDIRDQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYVPPKSTIKTVLNSLSEYDQFTIEQMPMSSKICLSQDYGKTHRKWGFEKLVYRDSITGIRRDRKYAVQPRSLYATGVHMSQDLSGKTTHKTEGKIMYFHYHGTISERRESCKILVNTTQVTNEKIPYVLDTTLRDIAGVIKKFELKMIGSRLQNKTTQ >Vigun03g235501.1.v1.2 pep primary_assembly:ASM411807v1:3:39191967:39192524:1 gene:Vigun03g235501.v1.2 transcript:Vigun03g235501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDPNSKTFKFKFHVTPNATTIFCGPIRKMFKYYNLKDEVYLHMSYVSLNVFLYRLFSVEGIEIAHTTNDASCSGTANNVEDVAEEESDNTLIKCLSAYDVGASSLYLNASFVATAFSRSKKEYLLSNGNGMYWQCSIRWAQRSRTKCYLGCG >Vigun05g292726.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47877179:47877706:-1 gene:Vigun05g292726.v1.2 transcript:Vigun05g292726.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLVVLTVFVLVFFYFVFVVMWGVVFCVVCVFFMFFMLCVMCLLCCVCVCVFCVVYALWCVCFGLCVFVVCLVFLCFVLYMFCCDLGYLCFMLCVFVLCFVLYVFVLITVVVFGVFVVGLIVFVLVCFFICVCDCVGCWLFFIYIVFCVACCFCIVCYVFGVLCVCVNCYVFCI >Vigun04g150500.1.v1.2 pep primary_assembly:ASM411807v1:4:36653354:36656847:1 gene:Vigun04g150500.v1.2 transcript:Vigun04g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPLVPEKFSAEADYLAVKGLKDVKFVFWTETVKIWRIAFPMALSAIFQFLTVLSNSVYAGHLGDLQLSSLSVYHGVLGSIYVYLLLGMSSALTTLCGQAFGAGQIQSTCIYVQRSWIVLSSTCIILLPIYVYSTPILRLLGQEKEIAELAGRYSVQVIPYMFSCSITFPLQAFLQSQSKVKVIMCFEFVGLLLQNVLLYIFITVFGGGTTEIAIVTDVVAWVYALALFVYTIFWCKEWSEFSWMAFRDLWAFAKFSFAASVMSCLEQWYGTCIVLLAGQLDNPVIAVGSYSICFNVLGLHLMLVLAIRAATSIRVSNTLGMSHPRAAKYSFCVAILQSLLLGILFMTVTFLSKEEFAKIFTNSEEMIGAAADLAYLLGVSMLLNSVSQVMLGVAVGSGWQVMIGYINLACYYIVGLPIGFFLGLNQHFGVKGLWGGTMCGRVLQILVLFVIIWKTNWSKEVEQTAHRMRIWRINNLHSDDMRNVT >Vigun03g447000.2.v1.2 pep primary_assembly:ASM411807v1:3:64829782:64834506:-1 gene:Vigun03g447000.v1.2 transcript:Vigun03g447000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHGSKEKKSNAEFSTAYGSGTRSGSGSQNAVQPPPTTTVAQVQTAPPPKPQRPSEVVASPPKPKLSPTQNARAVQKPDTTILGKPFEDIKKYYTLGRELGRGQFGVTYLCTENATGTTYACKSILKRKLVSKADREDMKREIQIMQHLSGQNNIVEFKGAYEDRMSVHLVMELCAGGELFDRIIAQGHYSERAAASLCRAIVNVVHICHFMGVLHRDLKPENFLLSTKDDDATLKATDFGLSVFIEEGKVYHDMVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFNAILEGELDFVSEPWPSISDSAKDLVRKMLTQDPKKRITSAQVLEHPWMREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFANMDTDNSGTITYEELKTGLARIGSTLSEAEVKQLMDALQCIGTDLNAMSIFTKHSSILIRITVGTLLEMNWRLL >Vigun03g447000.3.v1.2 pep primary_assembly:ASM411807v1:3:64829782:64834506:-1 gene:Vigun03g447000.v1.2 transcript:Vigun03g447000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHGSKEKKSNAEFSTAYGSGTRSGSGSQNAVQPPPTTTVAQVQTAPPPKPQRPSEVVASPPKPKLSPTQNARAVQKPDTTILGKPFEDIKKYYTLGRELGRGQFGVTYLCTENATGTTYACKSILKRKLVSKADREDMKREIQIMQHLSGQNNIVEFKGAYEDRMSVHLVMELCAGGELFDRIIAQGHYSERAAASLCRAIVNVVHICHFMGVLHRDLKPENFLLSTKDDDATLKATDFGLSVFIEEGKVYHDMVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFNAILEGELDFVSEPWPSISDSAKDLVRKMLTQDPKKRITSAQVLEHPWMREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFANMDTDNSGTITYEELKTGLARIGSTLSEAEVKQLMDAADVDGNGSIDYLEFISATMHRHRLERDEHLYKAFQYFDKDNSG >Vigun03g447000.1.v1.2 pep primary_assembly:ASM411807v1:3:64829782:64834506:-1 gene:Vigun03g447000.v1.2 transcript:Vigun03g447000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHGSKEKKSNAEFSTAYGSGTRSGSGSQNAVQPPPTTTVAQVQTAPPPKPQRPSEVVASPPKPKLSPTQNARAVQKPDTTILGKPFEDIKKYYTLGRELGRGQFGVTYLCTENATGTTYACKSILKRKLVSKADREDMKREIQIMQHLSGQNNIVEFKGAYEDRMSVHLVMELCAGGELFDRIIAQGHYSERAAASLCRAIVNVVHICHFMGVLHRDLKPENFLLSTKDDDATLKATDFGLSVFIEEGKVYHDMVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFNAILEGELDFVSEPWPSISDSAKDLVRKMLTQDPKKRITSAQVLEHPWMREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFANMDTDNSGTITYEELKTGLARIGSTLSEAEVKQLMDAADVDGNGSIDYLEFISATMHRHRLERDEHLYKAFQYFDKDNSGYITRDELETAMTQHGMGDEATIKEIISEVDTDNDGRINYEEFCAMMRSGMPQQGGTANPLIG >Vigun01g185800.1.v1.2 pep primary_assembly:ASM411807v1:1:36594519:36599590:-1 gene:Vigun01g185800.v1.2 transcript:Vigun01g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKSSEESKSSEESVKLFVGQVPKHMTEPELLAMFKEFALVDEVNIIKDKATRVSRGCCFVICPSREEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSEVEISALFSKYGTIKDLQVLRGSQQTSKGCAFLKYETKDQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAHKAQSQASNVPHTDSQHPSLFGALPMGYVPPYNGYGYQAPGGYGLMPYRMPPMQSQHGFHNMMPHMNQGNALRPDLGPNMNPRNYHVAPASYVGSYPAVTGLQHPMAYSTGMISPRPMNASPGSVSPASGNNNTAASSGGSKNSGGQVEGPPGANLFIYHIPQEFGDQELANAFQPFGMVLSAKVFVDKATGVSKCFGFVSYDSPEAAQSAIGMMNGFQLGGKKLKVQLKRDNKQGKPY >Vigun01g185800.2.v1.2 pep primary_assembly:ASM411807v1:1:36594519:36599590:-1 gene:Vigun01g185800.v1.2 transcript:Vigun01g185800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTIRRRYPGLASSPLQVKYADGELERLEHKLFIGMLPKNVSEVEISALFSKYGTIKDLQVLRGSQQTSKGCAFLKYETKDQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAHKAQSQASNVPHTDSQHPSLFGALPMGYVPPYNGYGYQAPGGYGLMPYRMPPMQSQHGFHNMMPHMNQGNALRPDLGPNMNPRNYHVAPASYVGSYPAVTGLQHPMAYSTGMISPRPMNASPGSVSPASGNNNTAASSGGSKNSGGQVEGPPGANLFIYHIPQEFGDQELANAFQPFGMVLSAKVFVDKATGVSKCFGFVSYDSPEAAQSAIGMMNGFQLGGKKLKVQLKRDNKQGKPY >Vigun10g019200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2170424:2171311:-1 gene:Vigun10g019200.v1.2 transcript:Vigun10g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLPDLTSTIGVCASCLRERLQPIYAAQVQAHAQAQSGENASPQRERKPKAEEKTAPINFPRSVSPYVARRKSDCDSRRERLFYGTPQAKPAARDGGAAAEKRRHGGGRFWILSNIFRGRSHKTEAAAARREPCEERSTASSWFAMMLPARHHRGGETDRRRCRRADRGMSPSTAADFSDEAAQERSDSGNSTESSPQRQNPTPATAHRRSRLSGGTKGLSTSMAFCLSPLVRASPNQNQHWTHNNNITNNSKGLGQEMGAGGPHHISSAASFCANRSRKLADFGRNPHNR >Vigun08g076800.1.v1.2 pep primary_assembly:ASM411807v1:8:13920847:13928787:1 gene:Vigun08g076800.v1.2 transcript:Vigun08g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSFGSQAPKSFIAYPRSEFDLESGTAIKRTRKPKISPFHPIRMIKSFGNRLHHYLKLHPLKGLFLALSFVFILVIIFSFYGTQYRVQRGYVKAETSSDDFPFSKLQNLVMVAGHSVYTSSSCGKIDKEDSWFLESYQKNPGQASTFVTHILQGIEIAAKDDSALLLFSGGETRREAGPRSEAQSYWAVADSKGWFGKEESVKWRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEKRFAHLHRSAIGFPESRFFYAGTPATSNSKAAALKGEELVRTQFQKDPYGCRGSLYHKKLKRDPFHRSIPYPNGCPEIEALFKYCGPNPYSDALPWTQ >Vigun02g172000.3.v1.2 pep primary_assembly:ASM411807v1:2:31470395:31478825:1 gene:Vigun02g172000.v1.2 transcript:Vigun02g172000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTDQQQLRDEDREEEHPPLQPVKECVHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDIAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIADFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALMGELVSLETLNMDVHHKNVAEEDCVDFVAATTATLGVPSPSLSKTRSFEDSPQSISDQIPRKGDLEEEAELLRILKLSEADSKASISDPVAVHVNGGEISASMEGHMSNEQVINMDSGDKLGSSAGAGNTHFHDSEPSVSDDITASDRDLNKQISSTSTSTLVEAANSSLKTDTVSDLHQSTYTGTKESSDQNDVIEKNSLDALVQNDSEIIPSPKEYSVSDVSGGDGKIHDQSTPTTIDHEVVGESHGPDATRSLHLSPGHADSDSRSISYQQTDVSGALTSSVHGSEPIYEGEECVLDRRTFEDREPVYEGEVVLAEQADKSTLASPDLRAKDELTPEQGELIKSFLRNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYEGELYLLATDQGYINQPDLVWEKLNEVNGDSLFMTSNFKEFKVENHENSTWNESDVLTSTADYLASIDSATQAGLDINSDLQLAIALQQQEFDQQPSRQNNLQQSSISGNSRLVTGPQTSAVIAGCKKHRKTGFVCIYISQA >Vigun02g172000.2.v1.2 pep primary_assembly:ASM411807v1:2:31470943:31478824:1 gene:Vigun02g172000.v1.2 transcript:Vigun02g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGNVLLLRNNLNLSPDIAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIADFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALMGELVSLETLNMDVHHKNVAEEDCVDFVAATTATLGVPSPSLSKTRSFEDSPQSISDQIPRKGDLEEEAELLRILKLSEADSKASISDPVAVHVNGGEISASMEGHMSNEQVINMDSGDKLGSSAGAGNTHFHDSEPSVSDDITASDRDLNKQISSTSTSTLVEAANSSLKTDTVSDLHQSTYTGTKESSDQNDVIEKNSLDALVQNDSEIIPSPKEYSVSDVSGGDGKIHDQSTPTTIDHEVVGESHGPDATRSLHLSPGHADSDSRSISYQQTDVSGALTSSVHGSEPIYEGEECVLDRRTFEDREPVYEGEVVLAEQADKSTLASPDLRAKDELTPEQGELIKSFLRNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYEGELYLLATDQGYINQPDLVWEKLNEVNGDSLFMTSNFKEFKVENHENSTWNESDVLTSTADYLASIDSATQAGLDINSDLQLAIALQQQEFDQQPSRQNNLQQSSISGNSRLVTGPQVARNTGRQASSASTSPRPDGKSKEKCIVM >Vigun02g172000.1.v1.2 pep primary_assembly:ASM411807v1:2:31470395:31478824:1 gene:Vigun02g172000.v1.2 transcript:Vigun02g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTDQQQLRDEDREEEHPPLQPVKECVHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDIAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIADFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALMGELVSLETLNMDVHHKNVAEEDCVDFVAATTATLGVPSPSLSKTRSFEDSPQSISDQIPRKGDLEEEAELLRILKLSEADSKASISDPVAVHVNGGEISASMEGHMSNEQVINMDSGDKLGSSAGAGNTHFHDSEPSVSDDITASDRDLNKQISSTSTSTLVEAANSSLKTDTVSDLHQSTYTGTKESSDQNDVIEKNSLDALVQNDSEIIPSPKEYSVSDVSGGDGKIHDQSTPTTIDHEVVGESHGPDATRSLHLSPGHADSDSRSISYQQTDVSGALTSSVHGSEPIYEGEECVLDRRTFEDREPVYEGEVVLAEQADKSTLASPDLRAKDELTPEQGELIKSFLRNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYEGELYLLATDQGYINQPDLVWEKLNEVNGDSLFMTSNFKEFKVENHENSTWNESDVLTSTADYLASIDSATQAGLDINSDLQLAIALQQQEFDQQPSRQNNLQQSSISGNSRLVTGPQVARNTGRQASSASTSPRPDGKSKEKCIVM >Vigun03g308200.2.v1.2 pep primary_assembly:ASM411807v1:3:50005429:50009638:1 gene:Vigun03g308200.v1.2 transcript:Vigun03g308200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGGGRDPFSNFGDPFGGFGGFGSFGPPRSLLSSFFGGRDPFDDPFFTQPFGGLFESSSFGSSTGFPFPPGMHPSGFLQRQVPEMHPSGFIRHQFPRVHPSGFLDRQAPEPSRQRGPIIQQLDSDDENEDTTEERKENPRKHSRSNDEPSVEHPDDETEGKKSRHMYSGNEYNGVITTGPQPQSQGFFFQSSTVSYGGANGTYYTSSRTRRSGSDGVTFEESKEADSSKREASHVISRGIHGKGHSLSKKLHSDGRVDTMQTLHNINEEELTGFEEEWKVKVQNYMPGWTGSIEASGSNGEAVQARRGGWALPSSEHGHPVTGISESNDVDSSGEQKRARAHSNGSSPYNLPGVGRGRN >Vigun03g308200.1.v1.2 pep primary_assembly:ASM411807v1:3:50005429:50009638:1 gene:Vigun03g308200.v1.2 transcript:Vigun03g308200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGGGRDPFSNFGDPFGGFGGFGSFGPPRSLLSSFFGGRDPFDDPFFTQPFGGLFESSSFGSSTGFPFPPGMHPSGFLQRQVPEMHPSGFIRHQFPRVHPSGFLDRQAPEPSRQRGPIIQQLDSDDENEDTTEERKENPRKHSRSNDEPSVEHPDDETEVAGKKSRHMYSGNEYNGVITTGPQPQSQGFFFQSSTVSYGGANGTYYTSSRTRRSGSDGVTFEESKEADSSKREASHVISRGIHGKGHSLSKKLHSDGRVDTMQTLHNINEEELTGFEEEWKVKVQNYMPGWTGSIEASGSNGEAVQARRGGWALPSSEHGHPVTGISESNDVDSSGEQKRARAHSNGSSPYNLPGVGRGRN >Vigun02g044700.1.v1.2 pep primary_assembly:ASM411807v1:2:17945904:17948199:-1 gene:Vigun02g044700.v1.2 transcript:Vigun02g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSHMIESSRNGIISFVLLHLLWLLLFIHLITCDPINVPDKILLNCGSSEASSFNGENWIGDSGFNFLTPEYDKSSSTVLLSNMEDSVAPKVPYSTARITHSPLTYSFSSSPGLKFIRLYFISSLYLKMNPSSKAYFSVKAGPYTLVSDFNPSDFAEELNLKFFTKDFLVNVMEDKLMITFTPSPMISKAFAFVNGIEILPVPHTIYFPGSEAPVTYLGHQKPFFINDEYALEMLYRVSIGTDTNDFNYLFGSQTGSILGVNYPLITMNDTAYTYNYSAPEELYSNARTMGSNGDANMKYNLTWSFPVDSGFNYLVRLHFCEISVEVTQPNQRVFKVYINNQTAEECMDVVVSAGAPFIPLHKDYVVMVPTETMFQLRSEQRKKKVQHVIIVVSATLGTIFGLLFTFFILIHRAGKKLKWITSHILPSNSNSSHKNIQPTVTSGLCHQFTLEEIRIATSNFSEDLVIGEGGFGKVYKGIMHHDGVTAVAVKRSNRRSGQGYKEFQNEINFFSFCHMNLVSLLGYCQEGNELILVYEYMVEGPLSDHLYKKRKQPLPWIQRIKICIGAARGLHYLHTSTRHPVIHRDVKSANILLDQNLVAKIADFGLCRTVPSLYDSHVSTEVKGTLGYLDPEYYKRRKLTQKSDVYSFGVVLFEVLCGRAAVNPVAVEEESEKVGLATWAMQCYKCGSIDELVDPHLAGNVRPQCLMAFVDLGIQCLADRSAERDRLWERSSIL >Vigun09g112433.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24514589:24514894:-1 gene:Vigun09g112433.v1.2 transcript:Vigun09g112433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKKIKKRKKRKKRKKRKKRKKRKKRRKKRKKMKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRRKKKKKRKKRKKRKKRKKKKKKKRKKM >Vigun03g033700.1.v1.2 pep primary_assembly:ASM411807v1:3:2592832:2596342:1 gene:Vigun03g033700.v1.2 transcript:Vigun03g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPPEAQPLRISVPNTPTQRSETNNVNSKTPSPLPKKPPSPSPSRSSKKKTPETPPNPVLSDASLDNPDLGPFLLKLARDTIASGDGPAKALDFAVRASKSFERCAVEGEPSLDLAMSLHVLAAIYCSLARFEEAVPVLERAIQVPDVARGADHALAAFSGYMQLGDTFSMLGQVDRSISCYDQGLQIQIQALGETDPRVGETCRYLAEANVQAMQFDKAEELCKKTLEIHRVHSEPASLEEAADRRLMALICEAKGDYEAALEHLVLASMAMIANGQDNEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSAKGENHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYSKPVPGTTAEEIAGGLTEVSAVFESVDEPEEALKLLTRAMKLLEDKPGQQSTIAGIEARMGVMYYMIGRYEDSRNSFESAVAKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGPCHQDTLGVYSNLAATYDAMGRVGDAIEILEYVLKLREEKLGIANPDFEDEKRRLAELLKEAGKTRDRKAKSLENLIDPGSKRTKKEGTKRWPGLGFRI >Vigun02g007200.1.v1.2 pep primary_assembly:ASM411807v1:2:3144354:3146757:1 gene:Vigun02g007200.v1.2 transcript:Vigun02g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFHVEGVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKQMKDKSESKNQYCKFDNELLTLFTSSLYLAALVASFFASTTTRKLGRKASMLIGGLFFLVGSLLNGFAMNIEMLIIGRMFLGIGVGFCNQSVPVYLSEVAPAKIRGALNIGFQMMITIGILVANLINYGTSKHENGWRISLGIAVVPAILLCIGSLCVVETPNSLIERGKFEKAKKMLKKIRGTEKIDEEYQDIVDASEMAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNDASLMSAVITGVVNVVATLVSIFTVDKFGRRVLLLEGGVQMFICQVIVGTMIGLRFGLNGQGAFSKVEADILLFFICAYVAAFAWSWGPLGWLVPSEICSLEIRPAGQAINVATNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIASFLPETKNVPIEEMNILWTSHWFWKKIVPNDIDIKSKNSKSVV >Vigun09g012200.1.v1.2 pep primary_assembly:ASM411807v1:9:906842:908156:1 gene:Vigun09g012200.v1.2 transcript:Vigun09g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSPVFPMPDPQHFSDYGFDPQINYFQVLEEAMKHKRETARSIDSIHFKLQKPISKDESRSKAHRPRKKRWWRSALLFFKWRWAHPRDNGINQDDVHQARARAFRASISGPVYWTESRSGSATPHRTSSRPTSGPLAGTLTPPAKDDVDTPYLSLRELNMEQQHQLQRRMSTSAMPIYLVT >Vigun07g273364.1.v1.2 pep primary_assembly:ASM411807v1:7:38881533:38882210:-1 gene:Vigun07g273364.v1.2 transcript:Vigun07g273364.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIKTLMSFFQFFYIVFLLTSGVRSDYTPTPAGDVCQIGGYYPSEEKCKQFCKFFGYKMGGFCIPHGGERCCCPK >VigunL040500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:364486:364758:-1 gene:VigunL040500.v1.2 transcript:VigunL040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun05g099850.1.v1.2 pep primary_assembly:ASM411807v1:5:9784151:9789927:-1 gene:Vigun05g099850.v1.2 transcript:Vigun05g099850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWEVLDFPEGKRNSCLVERIACGGKGILADFLMAKAFSDLELMYTFEGTYDINTLVTGREITGFASFKPAAQKILAITKVQLPHGYIVLQQSSKRLTLSHPIDCVNHGNLVLWSFKLNPF >Vigun05g099850.2.v1.2 pep primary_assembly:ASM411807v1:5:9777824:9802779:-1 gene:Vigun05g099850.v1.2 transcript:Vigun05g099850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWEVLDFPEGKRNSCLVERIACGGKGILADFLMAKAFSDLELMYTFEGTYDINTLVTGREITGFASFKPAAQKILAITKVQLPHGYIVLQQSSKRLTLSHPIDCVNHGNLVLWSFKC >Vigun05g302200.1.v1.2 pep primary_assembly:ASM411807v1:5:48506263:48509210:1 gene:Vigun05g302200.v1.2 transcript:Vigun05g302200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETEQGKDFIFRSKLPDIYIPKHLPLHSYCFQNLQDHASRPCLINAPTGKLYTYSDVDATARKVAAGLDRFGVRQGEVIMILLPNCPEFVFAFLGASYRGAVATAANPFFTPAEIAKQAKASKAKVLITQASYYEKVRELEEVRLVFVDSCPAAEADGGHVHFSELCEGGGVMDEVEIKADDVVALPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYYHFDDIILCVLPLFHIYSLNSVLLCGLRSKAAILLMPKFDINALLALIHKHRITVAPVVPPIVLAVAKSPDLANYDLSSIRLFKSGGAPLGKELEDTVRTKFPNARLGQGYGMTEAGPVLTMSLAFAKEPMHVKAGACGTVVRNAEMKIVDPETSQSLPRNQSGEICIRGDQIMKGYLNDKEATERTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLTHPNISDAAVVPMKDEAAGEVPVAFVVRSDSSIDTTQDEIKNFISKQVVFYKRINTVFFVDAIPKSPSGKILRKDLRAQLAAPAPAVLSK >Vigun08g080200.1.v1.2 pep primary_assembly:ASM411807v1:8:16610810:16619418:1 gene:Vigun08g080200.v1.2 transcript:Vigun08g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIFSRSRFLLKFGSNSKSEHNLRRFRVCAMDAAASARVSNGGGGVVRFPLSASSALVIQKGDITKWSIDGSTDAIVNPANERMLGGGGADGAIHRAAGPQLVEACRTVPEVRPGVRCPTGEARITRGFMLPASHVIHTVGPIYSSDSNPAASLASAYRNTLRVAKENNIQYIAFPAISCGVYGYPYDEAATVAISTIKEFPNDFKEVHFVLFSHDIYDIWLNKVDELLKD >Vigun04g088700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15502104:15502971:-1 gene:Vigun04g088700.v1.2 transcript:Vigun04g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEFEFPATDFSGAVANDVVFCGKVITRRTEPGPAPQSESKTRVLPRSESSGRTSGIMTWLRSPSAKEKRWRGSESCRKRKSEMFGTVLKFPLQMELSDIKMRQDRRECLPPPPLPPRVPAKDDDDDDGGESCWELVRPLRRGGTFKNLLFQCIPIL >Vigun03g426700.1.v1.2 pep primary_assembly:ASM411807v1:3:63187828:63191635:1 gene:Vigun03g426700.v1.2 transcript:Vigun03g426700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAVGAMFHKLTSDHASVVSMNIFVALLSACIIIGHLLEENRWINESITALLIGLCTGVFILLSTGGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCIISLGAIHFFQKLDIGSLKIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAIQNFDLSHIDSNTALQLIGNFLYLFIASTVLGIFAGLLSAYIIKKLYFGKLIRHSTDREVALMVLMAYLSYMLAELFSLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHVFATLSFIAEIFIFLYVGMDALDIEKWRIVSESPRKSIGVSSLLLALILVGRAAFVFPLSFLSNLLKKSQSEKIELKQQVTIWWAGLMRGAVSIALAYNQFTRLGHTKLRENAFMITSTISVVLFSTLAFGLMTKPLVRVLLPSSKHVMSFSSPPSTPKSFTVPLLGSGREDSSWTDGGTPNGAPPTTTFRMLLSSIPTRGVHHYWRKFDDSVMRPVFGGRGFVPYVPGSPLEQSVHQWHAS >Vigun03g426700.2.v1.2 pep primary_assembly:ASM411807v1:3:63187954:63193598:1 gene:Vigun03g426700.v1.2 transcript:Vigun03g426700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAVGAMFHKLTSDHASVVSMNIFVALLSACIIIGHLLEENRWINESITALLIGLCTGVFILLSTGGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCIISLGAIHFFQKLDIGSLKIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAIQNFDLSHIDSNTALQLIGNFLYLFIASTVLGIFAGLLSAYIIKKLYFGKLIRHSTDREVALMVLMAYLSYMLAELFSLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHVFATLSFIAEIFIFLYVGMDALDIEKWRIVSESPRKSIGVSSLLLALILVGRAAFVFPLSFLSNLLKKSQSEKIELKQQVTIWWAGLMRGAVSIALAYNQFTRLGHTKLRENAFMITSTISVVLFSTLAFGLMTKPLVRVLLPSSKHVMSFSSPPSTPKSFTVPLLGSGREDSSWTDGGTPNGAPPTTTFRMLLSSIPTRGVHHYWRKFDDSVMRPVFGGRGFVPYVPGSPLEQSVHQWHAS >Vigun03g426700.3.v1.2 pep primary_assembly:ASM411807v1:3:63187841:63193598:1 gene:Vigun03g426700.v1.2 transcript:Vigun03g426700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTLISFCIISLGAIHFFQKLDIGSLKIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFKAIQNFDLSHIDSNTALQLIGNFLYLFIASTVLGIFAGLLSAYIIKKLYFGKLIRHSTDREVALMVLMAYLSYMLAELFSLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHVFATLSFIAEIFIFLYVGMDALDIEKWRIVSESPRKSIGVSSLLLALILVGRAAFVFPLSFLSNLLKKSQSEKIELKQQVTIWWAGLMRGAVSIALAYNQFTRLGHTKLRENAFMITSTISVVLFSTLAFGLMTKPLVRVLLPSSKHVMSFSSPPSTPKSFTVPLLGSGREDSSWTDGGTPNGAPPTTTFRMLLSSIPTRGVHHYWRKFDDSVMRPVFGGRGFVPYVPGSPLEQSVHQWHAS >Vigun11g020800.1.v1.2 pep primary_assembly:ASM411807v1:11:2583193:2588927:1 gene:Vigun11g020800.v1.2 transcript:Vigun11g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTEILMSPIRVSLSFTFLLFTTASGQPPIYMYNFCENSTLVSSSYRSNVESLLSWVTTDSFKSDGYNFTTVNSNSHNNDDDVYGLYSCRYDMTGYFCQFCITTAASELSRRCPNAVRAIIWYDICIIRYSNQSFNAKVSLTPTWNITGPRIIKDSSESRKAVDSMNDLIWKATVETKKFWAVEEFDWVENEKRYGWVQCDRDIKNDECGDCLHALLDIFPDCCSTHAQWAIFGPSCGIRMDDEKFYQTSGNGGSTKSRKLIISFSVLGSVVLLCFSVYCFWYRKRVRRDKMMFDEEKLNGDLPIIPLIAVLHSTNNFSEESKLGEGGFGPVYKGILPDGRQIAVKRLSKFSGQGSQEFKNEVMFIAKLQHRNLVRLLGCCLEENENILVYEYMCNASLDSHLFGGIEKRKQLDWKLRLSIINGIAKGILYLHEDSRLKVIHRDLKGSNVLLDHEMNPKISDFGLARAFEIGQNQANTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEIICGRKNSGFHRSEHGQSLLLYAWNIWCEGRCLELMDPALIKSFIASEVVKCIHVGLLCVQQDAADRPTMSTVVLMLGSDTMTLPKPNHPAYSVGRLTSNDASTSRSSKNLSINDVTFSTVLAR >Vigun11g153200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36277433:36277876:1 gene:Vigun11g153200.v1.2 transcript:Vigun11g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKSTVGDKAPAEKKPKAGKKLPKEGGAGGDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun07g212900.1.v1.2 pep primary_assembly:ASM411807v1:7:33419708:33426375:1 gene:Vigun07g212900.v1.2 transcript:Vigun07g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVPSPDSIDALKSKMSVQKDTDKFFMSITEGEKKPFDFLRVLYDGCIAGGVAGVMVETALYPIDTIKTRLQVARDGGKIALKGLYTGLSGNLVGVLPASAIFIGVYEPTKQQLLRSLPENLSAVAHFAAGAIGGIASSLVRVPTEVVKQRMQIGQFRSAPDAVRLIVSNEGFKGLYAGYGSFLLRDLPFDAIELCIYEQLRIGYKLAAKRDPNDPENAMLGAVAGAITGAVTTPLDVVKTRLMVQGSQNHYKGISDCVRTIVKEEGTHALFKGIGPRVLWIGVGGSIFFCVLEKTKQILARNRHTKAEIQK >Vigun07g212900.2.v1.2 pep primary_assembly:ASM411807v1:7:33419675:33426364:1 gene:Vigun07g212900.v1.2 transcript:Vigun07g212900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVPSPDSIDALKSKMSVQKDTDKFFMSITEGEKKPFDFLRVLYDGCIAGGVAGVMVETALYPIDTIKTRLQVARDGGKIALKGLYTGLSGNLVGVLPASAIFIGVYEPTKQQLLRSLPENLSAVAHFAAGAIGGIASSLVRVPTEVVKQRMQIGQFRSAPDAVRLIVSNEGFKGLYAGYGSFLLRDLPFDAIELCIYEQLRIGYKLAAKRDPNDPENAMLGAVAGAITGAVTTPLDVVKTRLMVQGSQNHYKGISDCVRTIVKEEGTHALFKGIGPRVLWIGVGGSIFFCVLEKTKQILARNRHTKAEIQK >Vigun04g014000.1.v1.2 pep primary_assembly:ASM411807v1:4:1012177:1018565:1 gene:Vigun04g014000.v1.2 transcript:Vigun04g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPHQWSTFSLSFLILSVTLFQNLTPNSSPPPPNYIVAFRNYAAVDSHRAYLESALRPEGWRWIPRQNPAAQFPTDFGLVAIEDSGVLDEIRKLGSVKYVSLDVSYKRGLMTTDQRRNKKVGAFEDGTKKRPGKIFTAMSFCEAEEDEKSVGNHSSSVKWGRELMMQRSQVTSMFGAEDLWAKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGVDSECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRVKPDIVAYGRDIMGSKISAGCKSLSGTSVASPVVAGVVCLLVSVIPEPERKSILNPASMKQALVEGAAKLTGPNMYEQGAGRVDLLASYEILKSYKPRTSIFPSVLDYTDCPYTWPFCRQPLYAGAMPVIFNATILNGMGVIGYVESPPKWHPFDEDGNLLSIHFTYSEIIWPWTGHLALHMQIKEEGAQFSGKIEGNVTLKVSSPPAQGEKDPRVSICVLQLKLNVVPTPQRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYIETLGSPLTCFDSRHYGTLLLVDLEDEYFPEEIEKLRDDVVNTGLGLAVFAEWYNVDSMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSLLGEQNRYASGTNIVRFPRGGYVHSFPFLDSSESGATQSVLLTSGSTKADSPILGLMAMGEGRISVYGDSNCLDSSHMITNCFTLLRKILDFTSEDVRDPTLFSDSIKQDSPLYEADNRLPSRRTDVNFSAYSAIVGKELICGSDTRFEIWGTKGYNLQVRGRNKRLPGFPVIDLGRGFNSSSATSNIKRPRLTVRRKGDSLGNRYLGLFYGDEPDAPVLVVGHWLVPFVVAVTGILLLSFWRIRQKRRRRRKGSSSGRLANIV >Vigun04g014000.2.v1.2 pep primary_assembly:ASM411807v1:4:1012177:1018565:1 gene:Vigun04g014000.v1.2 transcript:Vigun04g014000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFECSLMHSLLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRVKPDIVAYGRDIMGSKISAGCKSLSGTSVASPVVAGVVCLLVSVIPEPERKSILNPASMKQALVEGAAKLTGPNMYEQGAGRVDLLASYEILKSYKPRTSIFPSVLDYTDCPYTWPFCRQPLYAGAMPVIFNATILNGMGVIGYVESPPKWHPFDEDGNLLSIHFTYSEIIWPWTGHLALHMQIKEEGAQFSGKIEGNVTLKVSSPPAQGEKDPRVSICVLQLKLNVVPTPQRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYIETLGSPLTCFDSRHYGTLLLVDLEDEYFPEEIEKLRDDVVNTGLGLAVFAEWYNVDSMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSLLGEQNRYASGTNIVRFPRGGYVHSFPFLDSSESGATQSVLLTSGSTKADSPILGLMAMGEGRISVYGDSNCLDSSHMITNCFTLLRKILDFTSEDVRDPTLFSDSIKQDSPLYEADNRLPSRRTDVNFSAYSAIVGKELICGSDTRFEIWGTKGYNLQVRGRNKRLPGFPVIDLGRGFNSSSATSNIKRPRLTVRRKGDSLGNRYLGLFYGDEPDAPVLVVGHWLVPFVVAVTGILLLSFWRIRQKRRRRRKGSSSGRLANIV >Vigun10g105000.1.v1.2 pep primary_assembly:ASM411807v1:10:30223171:30225929:1 gene:Vigun10g105000.v1.2 transcript:Vigun10g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSLLLSNHRSLWLFVIIVLKALIPSFIQKWSKKQKLKLPPGPKPWPMVGNLPEMLANKPAHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLSEHDATFASRSLTTCTDLVTSGYLTTILVPFGDQWKKMKKILTTALLSSQKHLWLHDRRTEEADNLIFYVYNKSKTVNNGVAAGLVNIRSVARHYCGNVIRKIVFGTRYFGKGRKDGGPSFEEKEHVDSIFVLLKYVYAFSISDYIPCLRRLDLDGHQKKVKEALKVIKKYHDPIVEGRVKQWNDLPKIEEEDWLDILISLKDANNNPLLTLEEINAQIVEIMIAAVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGNERLVQESDIPKLNFVKACAREAFRLHPMAPFNPPHVSISDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLQFKPERHLKCDNGSNVVLTEPNLRFVSFSTGRRGCPGVTLGTTMTVMLFARLLHGFTWTAPPNVSKINLVESNDDLNLAEPLMAVAKPRLAPQLYHL >Vigun05g179500.1.v1.2 pep primary_assembly:ASM411807v1:5:33944364:33957063:-1 gene:Vigun05g179500.v1.2 transcript:Vigun05g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLLFHLWFLAFSFISSMAWGATLPEDEVEVMKEIGKRVGKKDWDFNVDPCSGQRNWTSSIQVKGFENAVTCNCLFANATICHVVSIVLKSQNLSGTLPSELVRLPYLQEIDLTRNYLNGTIPPQWGSTNLVNISILGNRVTGPIPKELGNITTLKSLVLEFNQLSGELPPELGNLPQLERLLLTSNYFTGNLPATFARLTTLKQIRLGDNQFSGTLPDFIQRWRSLERLVMQGSGFSGPIPSGISFLNNLTDLRISDLKGPDSTFPQLKNLTNLQTLILRSCNLIGMVPEYLGDFTGLRSLDLSFNKLTGPIPRTLGGLNDINILYLTGNRFTGPLPNWIDRPDYTDLSYNNLSIQNPEQLTCQQGSVNLFASSLKGNNDLGIIPCLGKINCPKTWYSLHVNCGGKLISNGNIKYDDDSQEAGPARFRQTGSNWVFSNTGHFFDSGRVDYYTWSNTTKLDMNNGELYMDARVSALSLIYYAFCMGNGSYTISLHFAEIMFTHDQTYSSLGRRIFDIYVQRKLVLKDFNIAKEAGGVGKAIIKKFTTFVNSSTLEIRLQWAGKGTTGIPFGSVHGPLISAISVDPDFTPQEEDKDGVPKHYVVGIVVTGALVIIIIFGVAWWRGCFRRKVSLEKELMGVDFQTSLFSLRQIKAATKNFDINFKIGEGGFGPVYKGVLSDGTIIAVKQLSSKSRQGNREFINEIGMISALKHPSLVKLYGCCMEGDQLMLIYEYMENNSLARALFAPEKSQLKLDWSTRQRICVGIARGLAYLHGESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLDEEGSTHITTRVAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIISGKSNTMNWPKEGCFSLVDFVHLLREQGDLMNLVDERLGNDFKREEVVIMINVGLLCTQVSPIHRPTMASVVCMLEGKSDVEEVVLDTSEVLDGKKLEMKQYYNMREKNKTREIPEESISMGETSAFMSDTDLHSINMDSSFLKKKLIDI >Vigun05g179500.2.v1.2 pep primary_assembly:ASM411807v1:5:33944363:33957063:-1 gene:Vigun05g179500.v1.2 transcript:Vigun05g179500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLLFHLWFLAFSFISSMAWGATLPEDEVEVMKEIGKRVGKKDWDFNVDPCSGQRNWTSSIQVKGFENAVTCNCLFANATICHVVSIVLKSQNLSGTLPSELVRLPYLQEIDLTRNYLNGTIPPQWGSTNLVNISILGNRVTGPIPKELGNITTLKSLVLEFNQLSGELPPELGNLPQLERLLLTSNYFTGNLPATFARLTTLKQIRLGDNQFSGTLPDFIQRWRSLERLVMQGSGFSGPIPSGISFLNNLTDLRISDLKGPDSTFPQLKNLTNLQTLILRSCNLIGMVPEYLGDFTGLRSLDLSFNKLTGPIPRTLGGLNDINIFDLSYNNLSIQNPEQLTCQQGSVNLFASSLKGNNDLGIIPCLGKINCPKTWYSLHVNCGGKLISNGNIKYDDDSQEAGPARFRQTGSNWVFSNTGHFFDSGRVDYYTWSNTTKLDMNNGELYMDARVSALSLIYYAFCMGNGSYTISLHFAEIMFTHDQTYSSLGRRIFDIYVQRKLVLKDFNIAKEAGGVGKAIIKKFTTFVNSSTLEIRLQWAGKGTTGIPFGSVHGPLISAISVDPDFTPQEEDKDGVPKHYVVGIVVTGALVIIIIFGVAWWRGCFRRKVSLEKELMGVDFQTSLFSLRQIKAATKNFDINFKIGEGGFGPVYKGVLSDGTIIAVKQLSSKSRQGNREFINEIGMISALKHPSLVKLYGCCMEGDQLMLIYEYMENNSLARALFAPEKSQLKLDWSTRQRICVGIARGLAYLHGESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLDEEGSTHITTRVAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIISGKSNTMNWPKEGCFSLVDFVHLLREQGDLMNLVDERLGNDFKREEVVIMINVGLLCTQVSPIHRPTMASVVCMLEGKSDVEEVVLDTSEVLDGKKLEMKQYYNMREKNKTREIPEESISMGETSAFMSDTDLHSINMDSSFLKKKLIDI >Vigun04g012600.1.v1.2 pep primary_assembly:ASM411807v1:4:920112:922472:1 gene:Vigun04g012600.v1.2 transcript:Vigun04g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKSWSIHTRSEITAKYEVVGRVGSGAYADVYDGRRRSDGAAVALKEVHDSQSASREIEALRVLRGSQNVVVLHEFFWREDEDAVLVLEFLGTDLATVIGKGGVGAGEVKRWMLQALSAVDECHRNMIVHRDLKPANFLVSDDGVLKLADFGQARILVESGFDADQEDDDASNRESSLQQDPEATSQENLNQTEHGNPYQRTLSHEEYFRVLDEMKTKSYSYDTDKEANIHDGNTSCLATCTTSDIDDELCKGSFTYEAEEVGGNELGCLTSCVGTRWFRAPELLYGSTDYGLEVDLWSLGCVFAELLTSKPLFPGTSDVDQLSRIVSVLGNINEETWPGCSKLPDYGKISFLKVENPSGLEACLLNCTPDEVSLVKRLVCYDPDKRVTAMELLEDKYFSEVPVPGPVSELRVPLISIGQDKDRPGGYNEMGSDSDLEEFRTLNITTTDSDLSVQIP >Vigun03g046500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3733611:3734242:-1 gene:Vigun03g046500.v1.2 transcript:Vigun03g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLSTLITLSTTSSSTFIHSPSTTISTMDVFIPEDYVTKRRLEKKAKAGTTSASEHGKRLNSHSHSHRSTTNVSDPPNPPSISNGFSLVGDNVVFACLSA >Vigun11g062200.1.v1.2 pep primary_assembly:ASM411807v1:11:13998353:13999192:1 gene:Vigun11g062200.v1.2 transcript:Vigun11g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELSDKTEVSVGLEALWQALSKDLAVTIVKVIPNIVKDATVVEGDGGLGTIFLFNFFSGVSPVSYEKEKITELDETCHEIALQMVEGGYLEQGFSYYKTSFQLSAMGEEKTLVKVKISFDSESETAESVQPLKTAESVLSFVRCLETYLLNDA >VigunL059258.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:11333:11905:1 gene:VigunL059258.v1.2 transcript:VigunL059258.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWRFGIRVSSFGFGFWVWGFEFRVTGFESHFSECRISGFGLWISGLRFRVTDYELQVTGFGSHFSGVGFQVSGFGFSILGYGLRVSCYGFSVWSFGFRVSVSHLGFRISGFVFGFSGFGDFRLSISDFGFRLSGFEFRVSSFGFLVSGFGFRVSGLVFRVSGFDFQVLSFGFGVLSFGLQVSGLGFGI >Vigun07g227100.1.v1.2 pep primary_assembly:ASM411807v1:7:34915006:34917126:1 gene:Vigun07g227100.v1.2 transcript:Vigun07g227100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLEEIREKQRSRGTATILAIGTATPSNCIYQSDFTDYYFRVTKSEHMTELKAKLKRICEKSMIEKRYIHLTEKMLQENPNISAYEEPSLNARQDILVEEVPKLGEKAASKALKEWGKARSEITHLIVCSTSGVDMPGADYQLVRLLGLKPSTKRFMLYHQGCFAGGTVLRLAKDLAENNVGARVLVVCSEITAVTFRGPCETHLDSLVGQALFGDGASSVIVGADPDTTIERPLFHLVSASETILPNSEGAIEGHLREVGLTFHLKDNVPYLIGDNIEKSLEEAFHPHGISDWNSLFWVAHPGGPAILKQIEATLGLKPDKLRATKQVLKEYGNMSSACVLFILDEMRKWSLEEGKSSTGEGLKWGVLYGFGPGLTMETIVLHSANIDANN >Vigun03g095700.1.v1.2 pep primary_assembly:ASM411807v1:3:8094140:8099365:1 gene:Vigun03g095700.v1.2 transcript:Vigun03g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRLAGDMTHLLSILLLLLKIYATKSCSGISRKTQELYAIVFMARYLDLFTDFISVYNSFMKVIFIGSSVAIAWCMRFHPVVRRSYDRELDTFRYFFLVGASFALALIWHDKFTVLEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYLTEPRFTRWIAGISGVVQTALYADFFYYYFISWKNNSKLKLPA >Vigun06g119700.1.v1.2 pep primary_assembly:ASM411807v1:6:24771720:24773219:-1 gene:Vigun06g119700.v1.2 transcript:Vigun06g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSAKAPNPKIPISPKGLFLGYFSVTPTPQGTTFYSFTFVAEIPPITMSLLIHCSEQFLVTKIVHYLNPRPSKLYNFKPLTKGKTIGLKVSCKVKDYGVLGLDQNFSSYGYFSALVKRGNKEEEEEKEKQEYYVNLGHALRALREDFPALFHRELSFDIYRDDIVLKDPLNTFVGIESYKTIFWALRFHGRMFFKALWIDLSSVWQPAENVIMVRWTVHGISRGPWENRGRFDGTSEYKLDENGKIFQHRVDNIAPNTRPKFEVLRVEELLQSICCPSTARPTCFETSSSTKRT >Vigun04g160700.1.v1.2 pep primary_assembly:ASM411807v1:4:38293818:38295874:1 gene:Vigun04g160700.v1.2 transcript:Vigun04g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESENSKDSSYDRIVEVKAFDETKLGVKGLLDSGITKIPRMFYHAKVNDNNKTTPNDLMFNVPIIDLKDIDTNSSIRVEALDKIRRASKEWGFFQVVNHGIGVEVLDEMLCGIRRFHEQDAEVRKTFYSRDQSKKFRYFSNGSLFTNPAANWRDSIAFFSSSDPPNLEEIPAVCRDIVVEYTDKIRAFGLTMFELFSEALGLPTSYLNELDSIKGEFHLCHYYPPCPEPELTMGTSKHTDISFMTILLQDQIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFVSVYHRVLSKDIGPRISVASFFKSPFSKNASTIVGPIKELLSKDNPPIYRDTTIEDVTAHYFKKGLDGNSSLHPFRLRNG >Vigun08g120700.1.v1.2 pep primary_assembly:ASM411807v1:8:28907337:28909284:1 gene:Vigun08g120700.v1.2 transcript:Vigun08g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLLGLPLFLFCSDLFSLFTPPPPPPHHHHHHHHHPPHHHHHPPHHHHHPPHHHHHHPPHLHHHPPQHHYQPVTIEFPPKKTTSSIANAGLGNTVNINFCSSCSYRGTAVTMKNMLEIAFPGTEVVLANYPPSLPKRLLSKLVPVVQIGVIGMVVAGEHIFPVLGFAAPPPWYFNLRANKFGTVATTWLLGNALQSFLQSSGAFEIYFNGELVFSKLKEGRFPGEIELKDLITKKMTNSIGVNGVSELMS >Vigun01g021300.1.v1.2 pep primary_assembly:ASM411807v1:1:2246773:2253686:-1 gene:Vigun01g021300.v1.2 transcript:Vigun01g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRRMSKWDYICAVRGKGSTHTSKVNYIVRRGQPYLWVPENDMHNVNTIIDERGSFAVASPFPGPLGALLKSLKKLPPRIALSGDVLPLKEEKAKSLAEKLQEVKVSEQKAIKEFSYTVSGVLSSSASRTSRSDNLQGLLGDNERYTVYRFKTSFNPTDSYIWFELYGPPSDRDVNLIGNVIQSWYVMGRLGAFNSSNLQITNSSMEFHPLYDADKGFKVMPSSFHDINDIESHDNWGRVWIAAVATGLS >Vigun11g132000.1.v1.2 pep primary_assembly:ASM411807v1:11:34062163:34073633:1 gene:Vigun11g132000.v1.2 transcript:Vigun11g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVARPRGRPRKRRRNDEENENEAKKLASMGTRPVALVGRFVLKNFPRNGVFLGKVVYYESGLYRVCYEDGDSEDLDSGEVRSILVKEGEINGDLVGRKEKLEELVSKNGGKIGIGSKKGPVESTKEESGAGVCELKDGGLIEKDEEEGDEEDDEDVNSSSDSGTGLGMDSGAEAETLPPPPELPVSSGTIGVPEQCVSLVFSVYGFLRSFSIRLFLQPFTLDEFIGALNYQVTNSLFDAIHLSLMRVLRQHLEFLSSEGSERASRCLRCNEWSLLDPVTWPVFLIQYLVVSGHSNSHEWKAFYQEVSTVEYYVLPVSRKLMILQILCDDVLESEDILNEMNIRRESEVGIDDDGEDILPTEVGHRRVEPRYTFTSASEDKEATKFVSASNAVNQPGSFISYSRGTESTEDGGDDRNGDECRLCGMDGILLCCDGCPSAYHSRCIGVLKNHIPEGPWYCPECKINMLGPTIAKGTSLRGAEIFGRDLYGQLFMGTCEHLLVLNIGNAESCLRYYNQNDIPKVLQVLYASLQHRPIYHDICMAVLQYWSVPESLLFHSVSSGAIVNSANIKEETKPSPFLLPPVGEGNLMKEEYPLTSASTAYCDNMVPSIDASSVAPPSSALQCNGNGSSSEYPVVTTKLPEGTRIESILSADSASVSVSHHSNINHRNFDDRPTMVDPGKCSSVNGQFSYYGHANHTGFPINISFQTKESTQASFEKCERNVTNSFAYMGFSYKPLSYMNYYIHGEFAASAAAKFALLSSEESRSEGHVSDNQRKLASGNTYLQAKAFSLAASRFFWPSSEKKPVEVPRERCGWCISCKAPASSKRGCMLNHAALSATKSATKVLAGFSPIKNAEGVLPSIATYIMYMEECLRGLVVGPFLSSVHRRQWRKRVEQATTFSAIKPLLLELEENIRTISFCGDWVKLMDDWLVEFSMVQSATSTLGTAQKRAPGGRRYKKRSANDEATAEGCPENFVWWRGGKFTKFIFQKAILPKSMVRKAARQGGSRKISAIFYADGIEIPKRSRQLVWRAAVQMSRNASQLALQVRYLDFYLRWSDLIRPEQNIQDGKGQETEASAFRNANICDSKLVEGKNCYGIVFGSQKHLPSRVMKNVIEIEQDPKGKDKYWFYEARIPLYLVKEYEEGKGNMPYNEEQHLNTASGLHRKRLKTICKDIFFYLTCKRDNLDVVSCSVCQMGVLIRDATKCNACQGYCHEGCSTSQIVSANEVEYLITCKQCYHARLLAQKENSNESPTSPLLLRGRENNSGTVLKRSKPRTLDQVPKSSKTKANNPMKQVTPATSLKKTKAKRSELEPTTRINDNHLDMQQVASVETSAAKRHRKNCSWGIIWKKKHNEDSGDFMLRNILLKGSSNIPGLKPVCHLCRKPYTSDLMYICCETCQNWYHAEAVELEESKLSNVLSFKCCKCRRIKSPVCPYSDLKPKRQEGNGKRSRTKAKKEQHSGADTDSGAISDMRECEAATPVFPLYDDTSAFSAEDPSHVFPDEDPTPVFPVEDDPLLFSLTSVELVTEPKMEEDMEWNGVSAPGPQKLPVRRNVKNEGDDVSFEGVPLDAEFSTYPAEESTPLEYTSGVDFDNKLPNESQNVNYDDYMDFEPHTYFSVTELLQSDDGGQFEGVDMSDDLSGYMENSTTNTTLGPEPCDASLADKSEPMTYTGHSCMQCSQMEPVPDLRCEICGILIHSQCSPWVELPSLGSWRCGHCREYAGRMLSSAT >Vigun11g132000.2.v1.2 pep primary_assembly:ASM411807v1:11:34061994:34073643:1 gene:Vigun11g132000.v1.2 transcript:Vigun11g132000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVARPRGRPRKRRRNDEENENEAKKLASMGTRPVALVGRFVLKNFPRNGVFLGKVVYYESGLYRVCYEDGDSEDLDSGEVRSILVKEGEINGDLVGRKEKLEELVSKNGGKIGIGSKKGPVESTKEESGAGVCELKDGGLIEKDEEEGDEEDDEDVNSSSDSGTGLGMDSGAEAETLPPPPELPVSSGTIGVPEQCVSLVFSVYGFLRSFSIRLFLQPFTLDEFIGALNYQVTNSLFDAIHLSLMRVLRQHLEFLSSEGSERASRCLRCNEWSLLDPVTWPVFLIQYLVVSGHSNSHEWKAFYQEVSTVEYYVLPVSRKLMILQILCDDVLESEDILNEMNIRRESEVGIDDDGEDILPTEVGHRRVEPRYTFTSASEDKEATKFVSASNAVNQPGSFISYSRGTESTEDGGDDRNGDECRLCGMDGILLCCDGCPSAYHSRCIGVLKNHIPEGPWYCPECKINMLGPTIAKGTSLRGAEIFGRDLYGQLFMGTCEHLLVLNIGNAESCLRYYNQNDIPKVLQVLYASLQHRPIYHDICMAVLQYWSVPESLLFHSVSSGAIVNSANIKEETKPSPFLLPPVGEGNLMKEEYPLTSASTAYCDNMVPSIDASSVAPPSSALQCNGNGSSSEYPVVTTKLPEGTRIESILSADSASVSVSHHSNINHRNFDDRPTMVDPGKCSSVNGQFSYYGHANHTGFPINISFQTKESTQASFEKCERNVTNSFAYMGFSYKPLSYMNYYIHGEFAASAAAKFALLSSEESRSEGHVSDNQRKLASGNTYLQAKAFSLAASRFFWPSSEKKPVEVPRERCGWCISCKAPASSKRGCMLNHAALSATKSATKVLAGFSPIKNAEGVLPSIATYIMYMEECLRGLVVGPFLSSVHRRQWRKRVEQATTFSAIKPLLLELEENIRTISFCGDWVKLMDDWLVEFSMVQSATSTLGTAQKRAPGGRRYKKRSANDEATAEGCPENFVWWRGGKFTKFIFQKAILPKSMVRKAARQGGSRKISAIFYADGIEIPKRSRQLVWRAAVQMSRNASQLALQVRYLDFYLRWSDLIRPEQNIQDGKGQETEASAFRNANICDSKLVEGKNCYGIVFGSQKHLPSRVMKNVIEIEQDPKGKDKYWFYEARIPLYLVKEYEEGKGNMPYNEEQHLNTASGLHRKRLKTICKDIFFYLTCKRDNLDVVSCSVCQMGVLIRDATKCNACQGYCHEGCSTSQIVSANEVEYLITCKQCYHARLLAQKENSNESPTSPLLLRGRENNSGTVLKRSKPRTLDQVPKSSKTKANNPMKQVTPATSLKKTKAKRSELEPTTRINDNHLDMQQVASVETSAAKRHRKNCSWGIIWKKKHNEDSGDFMLRNILLKGSSNIPGLKPVCHLCRKPYTSDLMYICCETCQNWYHAEAVELEESKLSNVLSFKCCKCRRIKSPVCPYSDLKPKRQEGNGKRSRTKAKKEQHSGADTDSGAISDMRECEAATPVFPLYDDTSAFSAEDPSHVFPDEDPTPVFPVEDDPLLFSLTSVELVTEPKMEEDMEWNGVSAPGPQKLPVRRNVKNEGDDVSFEGVPLDAEFSTYPAEESTPLEYTSGVDFDNKLPNESQNVNYDDYMDFEPHTYFSVTELLQSDDGGQFEGVDMSDDLSGYMENSTTNTTLGPEPCDASLADKSEPMTYTGHSCMQCSQMEPVPDLRCEICGILIHSQCSPWVELPSLGSWRCGHCREYGNN >Vigun02g075500.1.v1.2 pep primary_assembly:ASM411807v1:2:22723851:22726808:1 gene:Vigun02g075500.v1.2 transcript:Vigun02g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLTPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWEGQGAYDRSRGNRRNWILVWEDGFCNFAASAAPEINSGDCPSSSVYGNCEFQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFLSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYKVEGGYAVPEQWHFQGNHLAPQAELYENNHFNLPLKITPSMSSLEALLSKLPSVVPPPHPTQPPQSHHHHHHHQLLASPQQRPLEFMGSMQKVAKEELEEEVYRPELDIGESSTSMSGYHHHQHHFHQDQNNVTRSGANDGF >Vigun02g039500.1.v1.2 pep primary_assembly:ASM411807v1:2:16356463:16368055:1 gene:Vigun02g039500.v1.2 transcript:Vigun02g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHRGNFSNRQTQFSARRQKIMQQRKSLPIASVEKRLVEEVRKNDVLIIVGETGSGKTTQIPQFLFDAGFCRDGRVIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSVRFDDTTCGSTRIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLMGLLKKVQLARSSSVGDGQGLNFGKKNLKKVMMSEKENDQSGRFHKMPRHEKYAPLKLIIMSASLDARAFSEYFGGAKAVHIQGRQFPVDIFYTRIAETDYLDASLITIFQIHLEEGPGDILVFLTGQEEIESVERLINEKLPQLPQENKKLLIVPIFAALPSEQQMRVFAPAPSGFRKVILATNIAETSVTIPGIKYVIDPGFVKARSYDPGKGMESLIIVPTSKSQALQRSGRAGREGPGKCFRLYPEKEFEKLEDSTMPEIMRCNLSNVILQLKALGVDDILGFDFIEKPSRAAIIKSLEELFLLGALTDECLLSDPVGHQMARLPLDPIYSKALILASEFNCLEEMLITVALLSVESIFYSPRDKLEEARTATKCFSSPEGDHITLINVYRASNDFLEKRSMEMGIAKTEKVFRKWCKDNYINSRSLRHARDIHKQIKGHVEQMGLNLSSCGDDMLQFRRCLAASFFLNAAVKQPEGTYRALASGQVVQIHPSSVLFRKKPECVIFNELVQTNNKYVRNLTRVDYLWLTELAPQYYAMQN >Vigun03g369400.1.v1.2 pep primary_assembly:ASM411807v1:3:57227727:57231384:1 gene:Vigun03g369400.v1.2 transcript:Vigun03g369400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDHFPVGLRVLAVDDDRTYLTVLENLLRKCQYNVTATTQSVKALEMLRKNRNKFDLVISDVNMPEMDGFKLLQHVGLETDLPVIMLSGYGDKERVMKGVIYGACDFLTKPVRIQELQNIWQHVVRRKIDSKDKNKTASEEKDCSMAHKFANEEEPCTVAGECSQALAPKTYANQNIKVDHKRKERCEAEEIEEDDKENDETSNQKKSRLVWDTELHNKFLAAINQLGIDKAFPKKILDLMNIDGLSRENVASHLQKFRLGLKKPNPYHRMGSVDCFRTSSESGAMLSTTLPSYAYAHGGRFSVLNSPSSLNTRGMNSSAFVQASESPNINSSSIKTHGNMHSSIFSANQASSLLQGIPNPMEANRFKPNNSTAGIRRFDLLDHSAALKDFSSFSENRATVRNAANISLPGLSNNHLLFQGISQHKHHSEAFRNHSSLGAAAVKTQSFDPNSCGSSKMGDDYDNKRWRGAAPISTFPSNTSAFSNVFSNDQLLQHNFKLPSSTFCSRNSPVGFSSSVPLEDDILGEMLHQEGLLGNILLASCHTQHNQGGCSKDMNQTFNSINPVISPNGGTSSLGHSYEQNSTIDNKRIDASLVGQMNGVNPSSITQCSEGENFYSMKSNDAYVLQSMKSDEALVQNSLDSLDDIMNEIDEQDLNAIMLMNGDIEFDAYHDGLCN >Vigun03g369400.2.v1.2 pep primary_assembly:ASM411807v1:3:57227727:57231384:1 gene:Vigun03g369400.v1.2 transcript:Vigun03g369400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDHFPVGLRVLAVDDDRTYLTVLENLLRKCQYNVTATTQSVKALEMLRKNRNKFDLVISDVNMPEMDGFKLLQHVGLETDLPVIMLSGYGDKERVMKGVIYGACDFLTKPVRIQELQNIWQHVVRRKIDSKDKNKTASEEKDCSMAHKFANEEEPCTVAGECSQALAPKTYANQNIKVDHKRKERCEAEEIEEDDKENDETSNQKKSRLVWDTELHNKFLAAINQLGIDKAFPKKILDLMNIDGLSRENVASHLQKFRLGLKKPNPYHRMGSVDCFRTSSESGAMLSTTLPSYAYAHGGRFSVLNSPSSLNTRGMNSSAFVQASESPNINSSSIKTHGNMHSSIFSANQASSLLQGIPNPMEANRFKPNNSTAGIRRFDLLDHSAALKDFSSFSENRATVRNAANISLPGLSNNHLLFQGISQHKHHSEAFRNHSSLGAAAVKTQSFDPNSCGSSKMGDDYDNKSRNSPVGFSSSVPLEDDILGEMLHQEGLLGNILLASCHTQHNQGGCSKDMNQTFNSINPVISPNGGTSSLGHSYEQNSTIDNKRIDASLVGQMNGVNPSSITQCSEGENFYSMKSNDAYVLQSMKSDEALVQNSLDSLDDIMNEIDEQDLNAIMLMNGDIEFDAYHDGLCN >Vigun03g369400.3.v1.2 pep primary_assembly:ASM411807v1:3:57227727:57231384:1 gene:Vigun03g369400.v1.2 transcript:Vigun03g369400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDHFPVGLRVLAVDDDRTYLTVLENLLRKCQYNVTATTQSVKALEMLRKNRNKFDLVISDVNMPEMDGFKLLQHVGLETDLPVIRYGDKERVMKGVIYGACDFLTKPVRIQELQNIWQHVVRRKIDSKDKNKTASEEKDCSMAHKFANEEEPCTVAGECSQALAPKTYANQNIKVDHKRKERCEAEEIEEDDKENDETSNQKKSRLVWDTELHNKFLAAINQLGIDKAFPKKILDLMNIDGLSRENVASHLQKFRLGLKKPNPYHRMGSVDCFRTSSESGAMLSTTLPSYAYAHGGRFSVLNSPSSLNTRGMNSSAFVQASESPNINSSSIKTHGNMHSSIFSANQASSLLQGIPNPMEANRFKPNNSTAGIRRFDLLDHSAALKDFSSFSENRATVRNAANISLPGLSNNHLLFQGISQHKHHSEAFRNHSSLGAAAVKTQSFDPNSCGSSKMGDDYDNKSRNSPVGFSSSVPLEDDILGEMLHQEGLLGNILLASCHTQHNQGGCSKDMNQTFNSINPVISPNGGTSSLGHSYEQNSTIDNKRIDASLVGQMNGVNPSSITQCSEGENFYSMKSNDAYVLQSMKSDEALVQNSLDSLDDIMNEIDEQDLNAIMLMNGDIEFDAYHDGLCN >Vigun04g024601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1905868:1906329:1 gene:Vigun04g024601.v1.2 transcript:Vigun04g024601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPISFHYLKPQLCFITTIFFLATTVLSSNPKFKACTPKSCGNGPSIRYPFWISYEQESFCGYPHFEITCMDENPILRTPSYDFLVKDISYSNSSFTVANMAAYEDNCPVPLYNYTFHQTPFTYSSENWNLSFFYNCTTEPIDYPTYKVDCA >Vigun03g121900.1.v1.2 pep primary_assembly:ASM411807v1:3:11395299:11398253:1 gene:Vigun03g121900.v1.2 transcript:Vigun03g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGRTGVKVSSVGFGASPLGNVFGTVSEEQANAAVRLAFQSGINFFDTSPYYGGTLSEKVLGKALKALEAPRSSYVVATKCGRYKEGFDFSAERVTRSVEESLERLQLDYVDILQCHDIEFGSLDQIVNETIPALVKLKEAGKTRLIGITGLPLGIFSYVLDKVPPGTLDVVLSYCHYSVNDSSLGDLIPYLKSKGVGIINASPLSMGLLTHAGPPPWHPASPELKSACQAAAAHCKEKGKNISKLALQYSLLNKEISSVLVGMKSVEQVEENVAAARELAISGIDEEALSEVEAILKPVKNQSWPSGIQQS >Vigun03g447300.1.v1.2 pep primary_assembly:ASM411807v1:3:64859114:64863834:1 gene:Vigun03g447300.v1.2 transcript:Vigun03g447300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVAASSMEWDGEDWSDLKSKKVCDESGANGGRGLCLGKVQKEKLMGAVRGSCPDANGKVKMVISKKELAQLLGKKSTSAEAVLLRLIKARYQDSRHELWRPVLETIPEETVASRYHQQWSGTERTGVI >Vigun07g267200.1.v1.2 pep primary_assembly:ASM411807v1:7:38265736:38271640:1 gene:Vigun07g267200.v1.2 transcript:Vigun07g267200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRHHDLVPLAALLKREMKSEKMEKPTVRFGHAAQSKKGEDYFLIKTDCQRVPGNPSSSFSVFAIFDGHNGNAAAIFTRENLLNHVLSALPRGLGRDEWLHALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCVLDAQGGAVSSLTVDHRLEENIEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSKAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAAMQVVKEALRTRGLKDDTTCIVVDIIPPDNELPPTPPPQKRNKLRDLLSFRKRSRDSASKLSKKLSAINIVEELFEEGSAMLAERLGNDDNLNTGQSTSGIFVCAVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCSDCRDKKDAMEGKRPSGVKVS >Vigun03g194200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27141513:27141920:-1 gene:Vigun03g194200.v1.2 transcript:Vigun03g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKLLEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAINTDHIEVDKETLDMLHSLGISDIPGITKVDPVAVQPSFLFTRRY >Vigun03g374900.1.v1.2 pep primary_assembly:ASM411807v1:3:57760302:57763254:1 gene:Vigun03g374900.v1.2 transcript:Vigun03g374900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSHSQLRTQKNNNATNIANNLNATNTVPTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKHCWNESQNKKGRQVYLGAYDNEVAAAHAYDLAALKYWGQDTILNFPLSNYLNELKEMGGQSREEYIGSLRRKSSGFSRGISKYRGVARHHHNGRWEARIGKVFGNKYLYLGTYATQEEAATAYDLAAIEYRGLNAVTNFDLSRYIKWLNPNNNNNNEQISINLSDMNNNNCSSSSNFTPNPDQEHEQKLSFLHNQDSLNSTVVEEATSVPHQPRAAGATSALELLLQSSKFKEMMEMTSVANMSTQMESELPQCAFPDHIQTYFEYEDSDRYEEVDDLMFKFNEFSSIVPFYHCDEFQS >Vigun08g080900.1.v1.2 pep primary_assembly:ASM411807v1:8:16925790:16932304:-1 gene:Vigun08g080900.v1.2 transcript:Vigun08g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSESKLVQELVLYAGSAALSCLVLFVGLRQLDPNREASKKALHHKKEIAKRLGRPLVNTNPYEDVIACDVINPDHINVEFNSIGGLKTTKQALYELVILPLRRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAIFSLAHKLQPAIIFIDEVDSFLGQRRQTDHEALLNMKTEFMALWDGFTTDQNAQVMVLAATNRPSELDEAILRRLPQAFEIGIPDQKERAEILNVILKGERIEENIDFDHIAFLCEGYTGSDLFDLCKKAAYFPIRELLDEEKKGRSVSAPRPLSQVDLEKALATSRKTTVAAFEYNGLSADTLRWARQREPGGDYQVQAAINELSKFVVSHMTSRQPDATDL >Vigun02g088800.1.v1.2 pep primary_assembly:ASM411807v1:2:24345424:24350590:1 gene:Vigun02g088800.v1.2 transcript:Vigun02g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQEMEWNEAQKIPLSVDLLVAAKRQLQFLAAVDRNRHLYDGPALERAIYRYNACWLPLLAKHSESQIFEGPLVVPLDCEWVWHCHRLNPVRYKSDCEELYGRVLDNFGVVSTVEGVCGRKTEEIWNKLYPDEPYTADLINILPGDISERISRLEKYTKYDLISAAKRQSPFFYQVSRPHMKNDLFIKEAVARYRGFLYLIKRNKEEGIKRFCVPTYDIDLIWHSHQLYPVSYCKDLNEALGKVLEHDDTDSDRTKGKKLDIGFSGTTKQWEVTFGTRYWKAGAMYRGNAPSPIENNPLSSNNTCKKVVSSNEYPQEISLPDRKVMEVFLEFVGIKNLPEEQEGDIFVLFSKSQPDAFFGAKRRLTILSQSRQKQVASFQCEPTGELLFELASYSSSKLSVGKSTKTMASASFSIKDYFNPVSKLHVEKWFESVPSSGTVSSKPILLRVAISFSVPVPAPYKLKMTLSPVRSQHANGWTHVTDENGNRIIRLQMRELENAKNIGNLGKKVVGVMKSGETRTLAEFIENGWSVLENLWFTHLPHKCKNDGHLFELTGAKTLKFFPGRKLDYEVGHHGKRGSEMDFLTAIEFSIEEPYGKAVALLDLRSKHVTAKEKWMVLPATILAFIAADVMKKEEYKGVIANANSKDLKVNGVYEENEKKVWNGMGLSPNVCSEDDGISKNSGLSSGGCGSGCGSGCGNAVGSAGCGGCGAGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGSIIRSGGCGGCGAGCGGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGNMIKSGGCGGCGGGCGGEVVNEEIEYVIEEAVTA >Vigun02g088800.2.v1.2 pep primary_assembly:ASM411807v1:2:24344748:24350590:1 gene:Vigun02g088800.v1.2 transcript:Vigun02g088800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQEMEWNEAQKIPLSVDLLVAAKRQLQFLAAVDRNRHLYDGPALERAIYRYNACWLPLLAKHSESQIFEGPLVVPLDCEWVWHCHRLNPVRYKSDCEELYGRVLDNFGVVSTVEGVCGRKTEEIWNKLYPDEPYTADLINILPGDISERISRLEKYTKYDLISAAKRQSPFFYQVSRPHMKNDLFIKEAVARYRGFLYLIKRNKEEGIKRFCVPTYDIDLIWHSHQLYPVSYCKDLNEALGKVLEHDDTDSDRTKGKKLDIGFSGTTKQWEVTFGTRYWKAGAMYRGNAPSPIENNPLSSNNTCKKVVSSNEYPQEISLPDRKVMEVFLEFVGIKNLPEEQEGDIFVLFSKSQPDAFFGAKRRLTILSQSRQKQVASFQCEPTGELLFELASYSSSKLSVGKSTKTMASASFSIKDYFNPVSKLHVEKWFESVPSSGTVSSKPILLRVAISFSVPVPAPYKLKMTLSPVRSQHANGWTHVTDENGNRIIRLQMRELENAKNIGNLGKKVVGVMKSGETRTLAEFIENGWSVLENLWFTHLPHKCKNDGHLFELTGAKTLKFFPGRKLDYEVGHHGKRGSEMDFLTAIEFSIEEPYGKAVALLDLRSKHVTAKEKWMVLPATILAFIAADVMKKEEYKGVIANANSKDLKVNGVYEENEKKVWNGMGLSPNVCSEDDGISKNSGLSSGGCGSGCGSGCGNAVGSAGCGGCGAGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGSIIRSGGCGGCGAGCGGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGAIIRSGGCGGCGAGCGGCGGGCGNMIKSGGCGGCGGGCGGEVVNEEIEYVIEEAVTA >Vigun03g413100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62035083:62036063:1 gene:Vigun03g413100.v1.2 transcript:Vigun03g413100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKEFEHFDVVSDDSDHHFLGSKNGKSFSDSKSAVYKTIAREWKILEQNLPESIYVRVYEQRIDLMRAVIVGAAGTPYHDGLFFFDIVFPSDYPRNPPKLYYHSFGYRQNPNLYTNGKVCLSLLNTWYGRKKEKWVPCESTMLQVLLSIQALVLNEKPFFNEPEAVPSEKKSRAYNENVFLSTCVTSFHLLRRPPRNFEAFVRDHFRRRAFSILAACGEYASGRVVVGYYGCDGLRFPMVRVSKSFKERMVVLYPRLFEAFRGNGASLEGLGEQLEVQSQEPENKRSGVFKKIVEKIKKAFRLRKLGKNKISEIEGRNNGLQSV >Vigun04g095200.2.v1.2 pep primary_assembly:ASM411807v1:4:19797748:19817083:-1 gene:Vigun04g095200.v1.2 transcript:Vigun04g095200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQFKLGKSCASLFILHSQNLFCKCQGRKMSKTLGNVVDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFILQNLPNENDTSAWEKILSYKFDSEGTVVNLPLPECWVVSKLHLLIESVSASYDKFYFGEVGREIYDFFWADFADWYIEASKGRLYHSGVGGNSVASVAQAVLLYTFENILKVLHPFMPFVTEELWQALPNRKHALIVSPWPETQLPRNIGSVKKFENLQALVRAIRNARAEYFVEPAKRISASVVANKEVIDYIAEEREVLALLSRLDLQNLEFTDSSPGNADQSVHLVAGEGLEAYLPLADMVDISAEIERLSKRLSKMQKEYDALKSKLNSPQFVEKAPEAVVRGVQEKATEAEEKINLTKKRLELLK >Vigun04g095200.1.v1.2 pep primary_assembly:ASM411807v1:4:19797748:19817083:-1 gene:Vigun04g095200.v1.2 transcript:Vigun04g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFILQNLPNENDTSAWEKILSYKFDSEGTVVNLPLPECWVVSKLHLLIESVSASYDKFYFGEVGREIYDFFWADFADWYIEASKGRLYHSGVGGNSVASVAQAVLLYTFENILKVLHPFMPFVTEELWQALPNRKHALIVSPWPETQLPRNIGSVKKFENLQALVRAIRNARAEYFVEPAKRISASVVANKEVIDYIAEEREVLALLSRLDLQNLEFTDSSPGNADQSVHLVAGEGLEAYLPLADMVDISAEIERLSKRLSKMQKEYDALKSKLNSPQFVEKAPEAVVRGVQEKATEAEEKINLTKKRLELLK >Vigun09g025600.1.v1.2 pep primary_assembly:ASM411807v1:9:1985443:1987087:-1 gene:Vigun09g025600.v1.2 transcript:Vigun09g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSANKLHYLFLFFQLVPHFHSTPANSSFFHVNHSYHRHWGLFCSILYFDMAKTQMPPGVLTPESRFMTLAMDRFLNDMEREKPTRFNDQHLRIATDNYSYLLGSGGFGSVYKGIFSDGTIVAVKVLHGRSDKRNEEQFMAEVGTIGKIHHFNLVRLYGFCFERNLIALVYEFMGNGSLDKYLFNQNNVIGFERLHEVAVGTAKGIAYLHEECQQRIIHYDIKPGNILLDGSFNPKVADFGLAKLCNRENTHLTMTRGRGTPGYAAPELWMPNFPVTHKCDVYSFGMLLFEIIGRRRNLDVEVVESQEWFPMFVWKKIDGGELKGLIIACGIEEKDEEIAERMVSVALLCVQYRPDSRPVMSDVVKMLEGSVEVPKPLNPFQHFMDGNFSAHTTDTGGSSVVLTDSSIVHATT >Vigun05g149601.1.v1.2 pep primary_assembly:ASM411807v1:5:21868025:21871602:1 gene:Vigun05g149601.v1.2 transcript:Vigun05g149601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGPSSTSTSTTIPAFAKPSASATITTVSSSSSGLSFASQPSFGFPNDASTTASSSASSAASGASASKPTGSFSFTTSSAPLFSTVTTTTASTVATGSTTLSSSVPAFGATIASSATASTLAVPAASTGAAPSASTGSSFTGFGVGSTASDVSLGTGFPFANKSTSVSTATTVFVVKNLSGCWYFFDNDKPKFPFYWTQHPFCYDEWSRFIMTTKDNRILSLLNELPRRLPARKIVVVLLSTHPCTDIYGVMSTIENNPRQKSRYHTLREQLLAKGRGEGTSNPTEEAEVDYKLNLLPVAERKKRHATGSSRYSCPKLARLDADKSYAQLMSIDLKMYEGISVNLTDAEAVAITSASHEVLLNAFVEHQSRALVIGRHLGSEPCKGGSSADFEKLKAENAELRKSMKGLTEEKNTWSSQVTGLCKQIINSQVEKNSWKTRCLDSEDKEKKATDALAEMTLSRDNERMAWLAIDKELWDLKASVVKEHEEGFRKALPQVALLFDISTDDERFDVNKDVYQKSLVPIEDIRLVEEFVENSPTTLSVEASGGEET >Vigun03g117300.1.v1.2 pep primary_assembly:ASM411807v1:3:10857351:10862667:-1 gene:Vigun03g117300.v1.2 transcript:Vigun03g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSAKEFLTPFLLLTLLYPSSHSLHNTITTGHPIKDGDVLVSDGLGNFALGFFSPSNSTSRYVGIWYNKISEQTVVWVANRDAPLNDTSGVLSMDNHGNLVLHSNNTRNLDPVWSSNASMPSTNVSAKLLDTGNLVLIQADKNVFRWQSFDYPSNTMLPFMKLGFNRQTGLDRFLTSWKSAVDPGTGNLTYKIDPTGFPQLFLYRGDAPLWRVGSWTGQRWSGVPEMTPNFIFTVSYVNDEKEVSIMYGVKDPTVFSRMVLEETGHVTRFTWQGRERRWFSIWDAPKEECDNFRRCGSNAKCDPYHADKFECECLPGFEPRSEREWFLRDGSGGCVRKSNVSTCGSGEGFVEVTHVKVPDTSKARVVAMIGMRECRERCLKDCTCVAYTSANESSESGCLTWHGDMEDTRTYTQAGQSLYVRVDALEWAKYAKHPYGSLGKKGMVAILTIAILMILFLAVTFVCWFVKARKQGIKRDRKYSFRLNLEDPTDLQEFESTKNSDLPFFDLSSIAAATDNFSDSNKLGQGGFGSVYKGLLSNGMEIAVKRLSKHSGQGIEEFKNEVVLISKLQHRNLVRILGCCIQGEEKMLIYEYLPNKSLDSLIFDESKRSQLDWKKRFDIICGIARGILYLHQDSRLRIIHRDLKASNVLLDSALNPKIADFGMARIFGGDQIEANTNRVVGTYGYMSPEYAMEGQFSIKSDVYSFGVLLLEIITGRKNSGQYEDITATNLVGHIWELWREGKTMEIVDPSLGESLCDLEVQRCIHIGLLCVQDYASDRPSMSAVVFMLGNDSTLPDPKQPAFIFKKTNYESSNRSTSEGIYSVNDASITMIEAR >Vigun01g200600.2.v1.2 pep primary_assembly:ASM411807v1:1:37693320:37694596:1 gene:Vigun01g200600.v1.2 transcript:Vigun01g200600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRSSSMLEGKVALITGAASGIGEETVRLFAEHGAVIVAADIQDEEGEKVAASIGSERVSYHHCDVRDENQVEETINFTLEKHGRIDILFSNAGIIGSLSGILDLDLNEFDNTMATNVRGVAATKSTRHVPWSPKASADPSYAPPVSLPQLAERVLTVIPHQNTLLRGW >Vigun01g200600.1.v1.2 pep primary_assembly:ASM411807v1:1:37693120:37694640:1 gene:Vigun01g200600.v1.2 transcript:Vigun01g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRLEGKVALITGAASGIGEETVRLFAEHGAVIVAADIQDEEGEKVAASIGSERVSYHHCDVRDENQVEETINFTLEKHGRIDILFSNAGIIGSLSGILDLDLNEFDNTMATNVRGVAATKSTRHVPWSPKASADPSYAPPVSLPQLAERVLTVIPHQNTLLRGW >Vigun11g132500.1.v1.2 pep primary_assembly:ASM411807v1:11:34113276:34114807:1 gene:Vigun11g132500.v1.2 transcript:Vigun11g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLLCSQLKQVGEVASQNSFTLGGLLFQRVWLQGVLVSVSDTGSLLLDDGTGLIELSLTGEFRQRSWQLGMYVMVVGGYVARAGELPMIKIHKIVDLSSSPDREAMWYLEVIEAYKMFYQPLVEEFT >Vigun05g198100.2.v1.2 pep primary_assembly:ASM411807v1:5:38352804:38356177:1 gene:Vigun05g198100.v1.2 transcript:Vigun05g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVELEAVEGLRWTWNSWAAEETNLVIPLSILCTPLMMSEVPILPYHPLLCSRCAAVLNPYARLDYQSRIWHCPFCSIRNPFPRAIADTNLPAELFPTYSTVEYSPPLPSSSPPPPPPAFVFLIDLSSPHDQLLSLKKELLLLFHHHFPNHALVALLTFDSMVYLHHLASSPCSSLHLFHGTRHLSSNQIRQFLNIGRTHPLHHGHTPKQDFLLPFSECEFSITSAIEEIHSTFDSSSATRPPRCTGTAISVALGLLESCLVTTGSRIMLFTSGPATIGPGIVVDSDLCQPIRTHHHIFNNQARHHANSCSFYNQLSKRLSDASVVLDLFACSLDQVGAAELRQPVEQSGGFMILSESFESDQFKKCLGHMFKSDDQGHLKMNFDATIEIVTTEDVHISGALGPCVSLHRKNSLVSDAEVGQGGTSLWKLNTLTHKTCIAFFFQVNQRQRIQPGSAFLIQFITRYRQGNMGCRKRVTTVARRWVANHSVDIAAGFDQEAAAAVMARLAILRAQKCHPRDVIRWLDDSLIRFTSKFGDYVPEDPSSFRLSSNFSLYPQFMFHLRRSQFIDVCNTTPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPVPVLLDIRSVSPDFILLFDSFFYVVIHHGSKIAQWRKLGYDKDPNHENFRKLLEAPELDAEQLVADRVPVPRIIRCDQHSSQARFLLAKLNPSVTQNSTYTDGSDIIFTDEMSLEVFLDQLQRQLLHAEILLLSAMNDVHYFRTMPVSGPKSYPNRIAVAGDLGHTHNTTSTVNHITSNHPNLIFLVGDVTYAILYLTNGTGAGCYSCEFPDTPIHETYQPRCDIHFLLYQPFSHHSSCLPLGLPYLHLCYKSTSLFI >Vigun05g011900.1.v1.2 pep primary_assembly:ASM411807v1:5:954354:956783:1 gene:Vigun05g011900.v1.2 transcript:Vigun05g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLIHEIKGQAFRFLKEKIKTARLVLTDVTPVQLMTEEATNENPWPPDTRSTSVISRAAFEVDEYERIMEILHHRFSKFDKGYWRASYKALILLEHLLTHGPKRVSEEFQSDIDVIEEIGKLQHIDEKGFNWGLSVRKLSERVLKLLRNKDFLKEERATARHLSHAIKGFGSFNPRSSAIDERLSDLPSKIYGRCNSYYDDRQYEKYDFTSLGKKLSVDGNNRELQIVEDMENSKLLKHENDSSSADTEEYHPFNDKEKLTVASLLSV >Vigun05g011900.2.v1.2 pep primary_assembly:ASM411807v1:5:954354:956783:1 gene:Vigun05g011900.v1.2 transcript:Vigun05g011900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLIHEIKGQAFRFLKEKIKTARLVLTDVTPVQFVSQRMTEEATNENPWPPDTRSTSVISRAAFEVDEYERIMEILHHRFSKFDKGYWRASYKALILLEHLLTHGPKRVSEEFQSDIDVIEEIGKLQHIDEKGFNWGLSVRKLSERVLKLLRNKDFLKEERATARHLSHAIKGFGSFNPRSSAIDERLSDLPSKIYGRCNSYYDDRQYEKYDFTSLGKKLSVDGNNRELQIVEDMENSKLLKHENDSSSADTEEYHPFNDKEKLTVASLLSV >Vigun10g111600.1.v1.2 pep primary_assembly:ASM411807v1:10:31200809:31202621:-1 gene:Vigun10g111600.v1.2 transcript:Vigun10g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSPENAKKAYLQALKMAKRDKEPDVAEFISALAAGNNAQLMVVAGAGVAGSATLALAAAAHQTGGRVICVCCDQTETKPLRKDLGVYADHVEFVEGDVRSLLLGDYKGADFVLVDCDIGNAREAFLAAFKGASRDGAVVVGYNVKHRASRWRQLRASFLPIGEGLLVAKIDPNNNHRIEQRRSRWIVQVDNCTGEEHIFRVTSPHKKVQIQV >Vigun02g109400.2.v1.2 pep primary_assembly:ASM411807v1:2:26350734:26353678:1 gene:Vigun02g109400.v1.2 transcript:Vigun02g109400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRWLTSVLFLIVATVNNAVGAFVGVNIGTGVTDLPSASNLVAILKAHQITHVRLYDANEHMLQALSKTGIEVIVSVTDEEILGIGKSASVAAAWISNNVAAYMPFTNITAISVGSEVLTSVPKVASVLVPAMNHLHKALVASNLNFRVKISTPQSMDIISRPFPPSTATFNSSWNSTIYQLLQFLQNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLSPVKQIVDPNTLFHYNSMFEAMVDATYYAIEAFNFNNIPIIITETGWPSFGAVNEPDATEKNAETYNNNLILRVLNGSGPPSQPKIALNTYLYELFNEDKRKGPVSEKNWGVFYANGSSVYPLSFSGSNLSNGNSLGSFCVAKDDSDTDKLQAGLSWACGQGQANCVAIQPGRPCYSPNNVKSHASYAYNDYYQKMHNAGGTCDFDGTATTTTEDPSYGSCIYAGSANSSIGGRSSSSTAIGLGPISPVGVGAGLNLQVSTFQYLISSISVLSALMMS >Vigun02g109400.3.v1.2 pep primary_assembly:ASM411807v1:2:26351015:26353554:1 gene:Vigun02g109400.v1.2 transcript:Vigun02g109400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPILAVKDVILFIAEAFIVEALLMQGMKLGRWLTSVLFLIVATVNNAVGAFVGVNIGTGVTDLPSASNLVAILKAHQITHVRLYDANEHMLQALSKTGIEVIVSVTDEEILGIGKSASVAAAWISNNVAAYMPFTNITAISVGSEVLTSVPKVASVLVPAMNHLHKALVASNLNFRVKISTPQSMDIISRPFPPSTATFNSSWNSTIYQLLQFLQNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLSPVKQIVDPNTLFHYNSMFEAMVDATYYAIEAFNFNNIPIIITETGWPSFGAVNEPDATEKNAETYNNNLILRVLNGSGPPSQPKIALNTYLYELFNEDKRKGPVSEKNWGVFYANGSSVYPLSFSGSNLSNGNSLGSFCVAKDDSDTDKLQAGLSWACGQGQANCVAIQPGRPCYSPNNVKSHASYAYNDYYQKMHNAGGTCDFDGTATTTTEDPSYGSCIYAGSANSSIGGRSSSSTAIGLGPISPVGVGAGLNLQVSTFQYLISSISVLSALMMS >Vigun02g109400.1.v1.2 pep primary_assembly:ASM411807v1:2:26350734:26353679:1 gene:Vigun02g109400.v1.2 transcript:Vigun02g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMKLGRWLTSVLFLIVATVNNAVGAFVGVNIGTGVTDLPSASNLVAILKAHQITHVRLYDANEHMLQALSKTGIEVIVSVTDEEILGIGKSASVAAAWISNNVAAYMPFTNITAISVGSEVLTSVPKVASVLVPAMNHLHKALVASNLNFRVKISTPQSMDIISRPFPPSTATFNSSWNSTIYQLLQFLQNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLSPVKQIVDPNTLFHYNSMFEAMVDATYYAIEAFNFNNIPIIITETGWPSFGAVNEPDATEKNAETYNNNLILRVLNGSGPPSQPKIALNTYLYELFNEDKRKGPVSEKNWGVFYANGSSVYPLSFSGSNLSNGNSLGSFCVAKDDSDTDKLQAGLSWACGQGQANCVAIQPGRPCYSPNNVKSHASYAYNDYYQKMHNAGGTCDFDGTATTTTEDPSYGSCIYAGSANSSIGGRSSSSTAIGLGPISPVGVGAGLNLQVSTFQYLISSISVLSALMMS >Vigun03g326700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52267503:52269119:-1 gene:Vigun03g326700.v1.2 transcript:Vigun03g326700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIEIETRKNGDVKYSYESYPQSPFSAYQAPNDGNTEESTTPSSLSRISPLILLVIIVLAVIFFVYGLVHLVLWFFMKTPTSSSTYNSNRFHDSTRSRVLQRQLQQLFRLHDSGIDQALIDALPVFHYQDLLGSKEPFDCAVCLCEFSEDDKLRLLPMCTHAFHMTCLDTWLLSNSTCPLCRASLSNYLENQNQNQNPILFNVGIGNSNSLAVGSGLRGEEENGFSESERSVGKRVFSVRLGKFRNNGMELESGDSCSLNERRCYSMGSYRYVVRDLNLQVVLSESGDVKGKRISDSTKGESFSVSKIWLWSKNPTLHASNAPFP >Vigun07g118900.1.v1.2 pep primary_assembly:ASM411807v1:7:22058139:22066957:-1 gene:Vigun07g118900.v1.2 transcript:Vigun07g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPHTCFTNAFILSFLLLNLAFGRVAGADTYSRDDFPVDFIFGSGTSAYQVEGAVNTEGRSSSIWDTFTHAGYMQGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLINHLVSNGIQPHVTLHNYDLPQVLEDQYGGWLSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNVFGIGGYDQGVSPPQRCSPPFCAIKSNKGNSTYEPYLAVHHILLAHSSVVKLYRRKYRNKQHGFVGTTVFLYAVSPQTNTEKDRAATERARDFLVGWVMEPLLYGDYPISMKTNAGVRIPAFTPRESEQLKGSFDFIGVNYYTHVNITDNSDSLKNQLRDYSADRAAMILGMDLFSNKEFPITPWIMLEELNKFKLLYGNPPIFIHENGQRTPSNSSLQDVSRVKYLHGHIGGVLDALRDGINIKGYFVWSFLDLFELLDGYKSSYGLYYVDREDPELKRYPKLSAKWYSRFLKNRSSSIVGSVELEKDPSLVSIGHLFE >Vigun03g209000.1.v1.2 pep primary_assembly:ASM411807v1:3:34387562:34390862:1 gene:Vigun03g209000.v1.2 transcript:Vigun03g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGITMNITMSVPSAKGITTAAPRDSHFLKANPLSSLSSLHSLQYGSSMIRVPVMIRMRPERFCQYVLTVRNEVPQNADFPRQYSRREKKPFPVPILELRRAARERMKKMKDEPRKPMSAPKNGLLVKNLIPTAYNVYNARITLINNLKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGAQANIRKGLHEWSNAYVEDILTPIEAYHLFDRLGRRITHDERFQIPRIPAVVELCIQAGVEIPEFPTKRRRKPIIRIGRKEFIDADESELPDEVPEGPLKPLLAEIPDEETVAPLDNELVALAEETLQAWERMRVGAKRLMRMYKVRVCGYCPEVHVGAQGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRFVWHVPDVNVPLDRELRNFYGQAPAIVEICIQAGAALPEQYKSTMRLDVGIPSTSKEADMVV >Vigun03g005000.1.v1.2 pep primary_assembly:ASM411807v1:3:344510:347757:-1 gene:Vigun03g005000.v1.2 transcript:Vigun03g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSDPPPPPTAVPFTAEPPNNNHVPTAADANSIPNHPPYDEMIYTAIGALKEKNGSSKRAIGKYIQQVYKNLPTTHSALLTHHLNRLRSVNLLVMVKKSYKLPGSDNLPPSPPSQVHKTRGRPPKPKPQPTADPVWASLGLSDDPNPASAPQSGKRGPGRPRKILGLSPGSAVPVRRGRPPGSTGKSKVSKRAGRPPKPKSVSGISSGLKRRGRPPKAKSNLSVIPFASPVAPGQPTMQPIIPTVSVPNGSPRPRGRPRKIVPAGVAPPLTLTLAADGGAARGRGRPRGVFSVMTSGRLQKLAVGRAKNPARRPVGRPKGSTAAAITAHKAANEDLRKKLEHFQTKVKESLGMLKPYFNHESPVTAIAAIQELEVLSTLDLKAALRDETQQQPEPLPLPQTQVYEQQYPPQPQAQPQLQEFFQTHTSAQS >Vigun06g224350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33287074:33289299:-1 gene:Vigun06g224350.v1.2 transcript:Vigun06g224350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIHLLYLIWGKTLCFICLRGTYGVGVDKTFGYDIFCTHYKVTLLWLYIN >Vigun11g180400.1.v1.2 pep primary_assembly:ASM411807v1:11:38432372:38439112:1 gene:Vigun11g180400.v1.2 transcript:Vigun11g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSILKHPDDFYPLMKLKMAARNAEKQIPPEPHWAFCYTMLHKVSRSFALVIQQLGTDLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIAFHRHIYDRDWHFSCGTKEYKVLMDQIHHVSTAFLELGKNYQEAIEDITKRMGAGMAKFICKEVETIEDYDEYCHYVAGLVGLGLSKLFHASGSEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYVNKLEDLKYEENSVKAVQCLNDMVTNALMHAEDCLIYMAALKDLAIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFACVLESKVDKNDPNATKTLSRLVAIQKTCRESGLLSKRKSYIVKDESGFGSTMIVILVILVSIIFAYLSANHHNN >Vigun08g106200.2.v1.2 pep primary_assembly:ASM411807v1:8:26329274:26334626:-1 gene:Vigun08g106200.v1.2 transcript:Vigun08g106200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDWGAHFTPWAAALAQAYPWLEKLHLKRMTVTDDDLDLIADSFSGFRELVLVCCEGFSTHGLASVAAKCRLLRVLELVESVVDSEDEEEIADWISCFPENQTNMESLVFDCVECPINFEALESLVARSPRLKKLRLNRYVSMAQLHRLLLRAPQLTHLGTGSFSAAEPGVVGELEPDYTAAFEACRSLVCLSGFREIWADYLPAIYPVCANLTSLNLSYADVNAQQLKAVIRHCHKLQIFWVLDSICDEGLQAVAATCKDLRELRVFPVDAREDTEGPVSEVGLEAISQGCRKLESILYFCQRMTNAAVVAMSKNCPDLVVFRLCIIGRYRPDPVTQEPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFEYIGRYGKLVRTLSVAFAGDTDMGLKYVLEGCPNLQKLEIRDSPFGDGALRSGLHHYYNMRFLWMSSCKLTRQACQEIAQALPNLVLEVISNSVVENAGDDIEILYMYRSLDGPRDDAPGFVNILH >Vigun03g366500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56979317:56980634:1 gene:Vigun03g366500.v1.2 transcript:Vigun03g366500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSETVPVTVVAAEETHRKGVTSSANIGSFEEHSTGFGSKMMAKMGYMEGGGLGKNGQGMAQPVEVIQRPKLLSLGVKFSNNPGEPVGSISLGVGAESFGLGVGLSSSSCEPARNKPSRVGAFEKHTKDFGSKMMAKMNFVEGKGSGKESHGITIPLTVLR >Vigun03g056800.1.v1.2 pep primary_assembly:ASM411807v1:3:4617011:4617506:-1 gene:Vigun03g056800.v1.2 transcript:Vigun03g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLRFTMFFLFLVLAADLTVKRTDAKECWQPSPTFKGVCLNNDKCEKECLKYEGYVAGKCKGSPPHCECKFPCSS >Vigun09g151100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31544546:31548286:-1 gene:Vigun09g151100.v1.2 transcript:Vigun09g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRNLWKAPRKHDANSEKVVIEVLAFEIASLMSKVVNLWQSLSDKQIVKLREEITNSVGIRKLVSDDDHFIERLICLETLENLAHVAEFVARLAKKCSEPILKGFGNAFYEFISTGIDPYGWEFTGKKMEKKIKRMEKFISTNASLYQEMEVLADLEQTFTRVKAHGESDGVTLMEYQKKVAMKRQEVKHLQDISLWNRTYDYTILLLARSLFTIFCKINRVFGIQEMVGVGGTNNSSVLNTDFIYRSQSVSTLLQSSYNPSQNSIARFSSGPLNAITARSGPIGRTTNKANVSNSGPLGDSSTKSGPISGKYTSVNFYSGPLGRKSNQSIPVTGRSKKNKIWRFYGKEAPTRPNRLTQVGPFKGCMAWDNALFTDCQSSTNGVHHGIQNPKDVNSIPLGTGKVVHHTQSVFKPLRKLLNPPPDTLGAAALALHYANVIIVIEKLAASSHLIGLDARDDLYNMLPVRVRAALKTKLKPFTKTIASSSSIYDPSLAEEWNDAMSSILEWLAPLAHNMIRWQSERSYEQQSFVSRTNVLLVQTLYFANQDKTEEVITELLVGLNYVWKYGRELNAKALAECGSFRVDSEYPTLNG >Vigun10g047200.1.v1.2 pep primary_assembly:ASM411807v1:10:7056743:7059582:1 gene:Vigun10g047200.v1.2 transcript:Vigun10g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATESQTDLQKRPGIFIIGSSNVGKRTIISRLTSVDVEDAFDSASEVNVHGWTINNKYYTADVSIWMAHLRDDFSAGNMSVFRRMTALVMVFDMNEPSSLAALREWVSHTDIQNFEILLCIGNKVDLVPGHPAHAEYRRRLLKLEDSAVDRYSEEYGISESEGTSLLGDEAPTWDIRRSCLEWCTDHNIEFIEACASNADFDKCLSIDGDLQGVERLHGALSAHMWPGMVLKSGDRINQPSFPEKEELSSEESDYEPEYEVLSAGSADPWDESEQVWVSASSLDAGGSASQNNHTAEGQQEDGIKPDKESAPTTSSAAIQNESERDVLHNTMDSDGDEKAEESKYLDLEDLEQLMSEIGNMRAGLRLMPDFQRRDMAAKLAMKMASIFGGESDDEKI >Vigun03g208800.3.v1.2 pep primary_assembly:ASM411807v1:3:34331881:34336213:1 gene:Vigun03g208800.v1.2 transcript:Vigun03g208800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSADGRTRSSVQIVIVVGLCCFFYILGAWQRSGFGKGDSIALEITKTNAECDIVPNLSFDSHHGGEVGEIDEAESKPKAFKPCAARYTDYTPCQHQKRAMTFPRENMIYRERHCPREEEKLKCMIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWIQYEGNVFKFPGGGTQFPQGADKYINQIASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAHCSRCLIPWGANNGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKAWQRPKEDLEEEQRKIEETAKKLCWVKRSEKAEIAIWQKVVDSESCQRRQDDDSVEFCKSSDADDVWYKKMEACITPSPKVSGGNLKPFPSRLYAIPPRIASGSVPGVSSETYQDDNKKWKKHVNAYKRINRLLDSGRYRNIMDMNAGLGSFAAAIHSSKLWVMNVVPTIAETNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAPGLFSLYKDKCNAEDILLEMDRILRPEGAVIFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVTGGNSTSTQ >Vigun03g208800.2.v1.2 pep primary_assembly:ASM411807v1:3:34331468:34336232:1 gene:Vigun03g208800.v1.2 transcript:Vigun03g208800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSADGRTRSSVQIVIVVGLCCFFYILGAWQRSGFGKGDSIALEITKTNAECDIVPNLSFDSHHGGEVGEIDEAESKPKAFKPCAARYTDYTPCQHQKRAMTFPRENMIYRERHCPREEEKLKCMIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWIQYEGNVFKFPGGGTQFPQGADKYINQIASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAHCSRCLIPWGANNGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKAWQRPKEDLEEEQRKIEETAKKLCWVKRSEKAEIAIWQKVVDSESCQRRQDDDSVEFCKSSDADDVWYKKMEACITPSPKVSGGNLKPFPSRLYAIPPRIASGSVPGVSSETYQDDNKKWKKHVNAYKRINRLLDSGRYRNIMDMNAGLGSFAAAIHSSKLWVMNVVPTIAETNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAPGLFSLYKDKCNAEDILLEMDRILRPEGAVIFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVTGGNSTSTQ >Vigun03g208800.1.v1.2 pep primary_assembly:ASM411807v1:3:34331415:34336234:1 gene:Vigun03g208800.v1.2 transcript:Vigun03g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSADGRTRSSVQIVIVVGLCCFFYILGAWQRSGFGKGDSIALEITKTNAECDIVPNLSFDSHHGGEVGEIDEAESKPKAFKPCAARYTDYTPCQHQKRAMTFPRENMIYRERHCPREEEKLKCMIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWIQYEGNVFKFPGGGTQFPQGADKYINQIASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAHCSRCLIPWGANNGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKAWQRPKEDLEEEQRKIEETAKKLCWVKRSEKAEIAIWQKVVDSESCQRRQDDDSVEFCKSSDADDVWYKKMEACITPSPKVSGGNLKPFPSRLYAIPPRIASGSVPGVSSETYQDDNKKWKKHVNAYKRINRLLDSGRYRNIMDMNAGLGSFAAAIHSSKLWVMNVVPTIAETNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAPGLFSLYKDKCNAEDILLEMDRILRPEGAVIFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVTGGNSTSTQ >Vigun03g208800.4.v1.2 pep primary_assembly:ASM411807v1:3:34331881:34336213:1 gene:Vigun03g208800.v1.2 transcript:Vigun03g208800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSADGRTRSSVQIVIVVGLCCFFYILGAWQRSGFGKGDSIALEITKTNAECDIVPNLSFDSHHGGEVGEIDEAESKPKAFKPCAARYTDYTPCQHQKRAMTFPRENMIYRERHCPREEEKLKCMIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWIQYEGNVFKFPGGGTQFPQGADKYINQIASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAHCSRCLIPWGANNGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKAWQRPKEDLEEEQRKIEETAKKLCWVKRSEKAEIAIWQKVVDSESCQRRQDDDSVEFCKSSDADDVWYKKMEACITPSPKVSGGNLKPFPSRLYAIPPRIASGSVPGVSSETYQDDNKKWKKHVNAYKRINRLLDSGRYRNIMDMNAGLGSFAAAIHSSKLWVMNVVPTIAETNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAPGLFSLYKDKCNAEDILLEMDRILRPEGAVIFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVTGGNSTSTQ >Vigun10g093800.1.v1.2 pep primary_assembly:ASM411807v1:10:27161542:27162425:-1 gene:Vigun10g093800.v1.2 transcript:Vigun10g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCKSQITHPSSPFSLKYITHHLCRCLSYLRTGIPSLILSKYFDFIQDEIFLTNNTLINSVTSEIAMGRTMSQMKTKRDK >Vigun04g196300.9.v1.2 pep primary_assembly:ASM411807v1:4:42108530:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGLDVKHLFTGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.6.v1.2 pep primary_assembly:ASM411807v1:4:42108514:42120395:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSQLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.11.v1.2 pep primary_assembly:ASM411807v1:4:42108530:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.8.v1.2 pep primary_assembly:ASM411807v1:4:42108509:42120387:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSQLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGLDVKHLFTGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.10.v1.2 pep primary_assembly:ASM411807v1:4:42108530:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.12.v1.2 pep primary_assembly:ASM411807v1:4:42112188:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.3.v1.2 pep primary_assembly:ASM411807v1:4:42108507:42120395:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSQLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.2.v1.2 pep primary_assembly:ASM411807v1:4:42108507:42120395:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.7.v1.2 pep primary_assembly:ASM411807v1:4:42108514:42120395:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.1.v1.2 pep primary_assembly:ASM411807v1:4:42108509:42120387:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGLDVKHLFTGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.4.v1.2 pep primary_assembly:ASM411807v1:4:42108530:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSQLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGLDVKHLFTGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g196300.5.v1.2 pep primary_assembly:ASM411807v1:4:42108530:42120362:1 gene:Vigun04g196300.v1.2 transcript:Vigun04g196300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGPLLEKTRVPQPGLQKLAVESIFSKLRSAPKHLDPESEPGRRAIFLCLTSPSPHVVDHSVRHLCRLAADSVVTVARASLELQAALEGSEPKLVPLFVKGLGFLARHDFRNNASSHSASSSSHTHPFVRVLLCRPEVQSELLQQVLLFMFQNKPVGMVRVCEFLRPLLDVSIVNLLGSESSSSLFAMQLVSSMLTFCCSFPHESMPVFRLLIECLKYLPHEGSEDYRKLIFIVEHMVEAYIVVLKSLAGKKSLVTEAQLCAVEFLETILSLSTCLQWHHLGGHEPICELFMRLLTVQKDIGLPWLPGLSSIILSLFIIIVQSELEHEQISILKLLLLILKWKYDSDAAISGTEFSLLFEETLFLLPILSLMSSPSKSVKGLATDLLHLLEKLIANMFVAPKNKPIVEDRVHYLSTPGIIILRLLRHLWYQDGEYSSRTSLLKALTGLNQSEIMYDRPSSWVSDLRGFCLSIVDQRKSSLPLSHSQEVFLNEMPLILAAVLNVLLIHPSMGAASVDSLSSIAIVDPKLGLPSLLTIMFYSNIFRRSDINCQDMLLKIFEMLPSIASHSAMVPLVVQTILPMLNKDAKVCNLALNTFPICSSLHSTATRLLCRTWETNDRAFGSLQGVLLPKGFTNYTSEREICISMAASIRDVCHKSADRGVDLILSVSSCIENQDHVVKALGLQSLAFLCEADVIDFYTAWDVIAKHVQGYQDDPILAYSLCLLLRWGAMDAEAYSEASKSVLPIVWDVVTSGQDRQWAKARISALESLSQYEVSQLENSIPDFKKMILELFFSETNPSVLKAMEDFHLKIIAYEHINRRRLVKAKRVTGSKIEKLMNVFPQVIFSSGLDVKHLFTGKINVARELPGAALVCFSFTPKDVNDHQTSKRLREVHTGYEIALVEVAASLQLSRNILLALMAVQSWKGFVRRWMKAYTLSYDSKAQLSVLDKTSKAASDILKSMMAMADEAIPRAAENIALAIGALCEVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKQRYHNITGLLEVLFDCRSSLVKGACGVGLGFSCQDLLTRVETSATSTVMKETEKVPESELLGRIITALATMIQQRTQCSSDILDNLCSCFPVGSYDISSKVYKQLSDNTEDLEEDIWGVAGLVLGLANSISAIYRAGELETVIKIKNLVISWLPYVHSLVEKNTFQGKESEIVLSLGSCIALPTIVAFCQRMELMDYAELDHIVIGFKEFISELISVKKSGILHHSMLMASCVGAGTVLSCILNEGVYSIEAERVKCLLELFRKCYLNPFPSLVHLGGMLGVVNAIGAGAEILVNMNFPKYSRQSDYQKESSSVMGPLLSSSDFEPYLTSLVQELFLVAQNSDNQQLQQFASWVLAFLRHHLWSRELLGVDSDSGVAETSSKSVSHSFSEDNVVFKLSMWLMDFKYTEPESAVHKDRVISVLRCLSRAPRLPSLDWGSIIRRCMRYDVKDVELLSKDSTCKNGTLREECTMFAMAHANQFDSLLTFLDELSDFSRFRTLEINLQSCLLNHLADLVKVFSNSRLEKLFGDVSNHLLSFTSHTKLGTYHKSLLCISCWKGLYECLDEVSADTSGHISHIERCMEVLFTLLPAVQSSASVVSGDVHTAEEWSEAVRCLGKAPESWLLDFLKVSHEEFVQSAGKSIEVKKKVCAKIKLVKIGSLSLSELGKMKSYILNSQSQGLWDVLFEVVAALYHAEGSIKKQWLIDAVEISCVSSFPSTALQFLGLLSAACCKYMPFMIVDQQMVVNDLPVTLVSLLADQNWNVVAETVVSHLFSSTERIYNWATQIADGSYIPGSQPIDESENQMAVFLLKVMHHTCVLLKSYLPLDKQLRLSNMVVAREGNYSSENKRL >Vigun04g187800.3.v1.2 pep primary_assembly:ASM411807v1:4:41231447:41233774:1 gene:Vigun04g187800.v1.2 transcript:Vigun04g187800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSHMKAWIYSEYGNIEEVLKFDPNVATPHLMENQVLIKVVAAAINPVDYKRALGDFKDIDSPLPTAPGYDVAGVVVSVGSEVKKFEVGDEVYGDINEITLDHPKSIGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSSGKSILVLGGAGGVGSLVIQIAKHVFGASKIAATASTGKLELLRNLGADLPIDYTKENFEELAEKFDVVYDASHRTDLRN >Vigun04g187800.2.v1.2 pep primary_assembly:ASM411807v1:4:41231447:41233774:1 gene:Vigun04g187800.v1.2 transcript:Vigun04g187800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSHMKAWIYSEYGNIEEVLKFDPNVATPHLMENQVLIKVVAAAINPVDYKRALGDFKDIDSPLPTAPGYDVAGVVVSVGSEVKKFEVGDEVYGDINEITLDHPKSIGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSSGKSILVLGGAGGVGSLVIQIAKHVFGASKIAATASTGKLELLRNLGADLPIDYTKENFEELAEKFDVVYDASHRTDLRN >Vigun04g187800.4.v1.2 pep primary_assembly:ASM411807v1:4:41231447:41233774:1 gene:Vigun04g187800.v1.2 transcript:Vigun04g187800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSHMKAWIYSEYGNIEEVLKFDPNVATPHLMENQVLIKVVAAAINPVDYKRALGDFKDIDSPLPTAPGYDVAGVVVSVGSEVKKFEVGDEVYGDINEITLDHPKSIGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSSGKSILVLGGAGGVGSLVIQIAKHVFGASKIAATASTGKLELLRNLGADLPIDYTKENFEELAEKFDVVYDASHRTDLN >Vigun03g374800.2.v1.2 pep primary_assembly:ASM411807v1:3:57747627:57750629:-1 gene:Vigun03g374800.v1.2 transcript:Vigun03g374800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRSGNKKHGGNMPAKSKRFERRLVKFEELPEYLKDSEFILDYYRSEWPVKEALWSIFAWHNETLNIWTHVGGFLIFAVLAVMSTTTELWIWLRSFTALGSPTMATEINSSDSDAFLDLHFRQVMDPSIPGGMRGFGVETVPRWPWFVFLAGGMGCLACSSLSHLLACHSKDFNLFFWRLDYAGISLMIVSFRQLMLFSCIGVSPIYLLHLDMSLLWPFYMPLEQDFMFLEYQNDGSLVRLTSQGKAIKFFMSLLFSARLPIVLPH >Vigun03g374800.1.v1.2 pep primary_assembly:ASM411807v1:3:57747627:57750577:-1 gene:Vigun03g374800.v1.2 transcript:Vigun03g374800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRSGNKKHGGNMPAKSKRFERRLVKFEELPEYLKDSEFILDYYRSEWPVKEALWSIFAWHNETLNIWTHVGGFLIFAVLAVMSTTTELWIWLRSFTALGSPTMATEINSSDSDAFLDLHFRQVMDPSIPGGMRGFGVETVPRWPWFVFLAGGMGCLACSSLSHLLACHSKDFNLFFWRLDYAGISLMIVCSFFAPIYYAFFCNPYSRLFYLASISLLGVLAIITLLAPSLSTPRFRPLRATLFLSMGFSGVIPAAHAVFLYWGQPHIFVALGYELAMAVLYATGAGFYVSRIPERWKPGAFDIAGQSHQIFHVFVVLGALAHSVATLVILDFRLRLPTCAD >Vigun03g374800.3.v1.2 pep primary_assembly:ASM411807v1:3:57747627:57750629:-1 gene:Vigun03g374800.v1.2 transcript:Vigun03g374800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARERSLVEHLRMAQRNPKHLDACRRLSHICCVGGYEHHHGALDLAQDLHFRQVMDPSIPGGMRGFGVETVPRWPWFVFLAGGMGCLACSSLSHLLACHSKDFNLFFWRLDYAGISLMIVSFRQLMLFSCIGVSPIYLLHLDMSLLWPFYMPLEQDFMFLEYQNDGSLVRLTSQGKAIKFFMSLLFSARLPIVLPH >Vigun08g175400.1.v1.2 pep primary_assembly:ASM411807v1:8:34544563:34546536:1 gene:Vigun08g175400.v1.2 transcript:Vigun08g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEETKWVVQIKEELKSNGISSEHEKEQWQRHSIYKIPSRVTALNKRAYRPQAVSFGPYHHGEEHLKDMEDHKHRALLHFLKRCKKPIELIFHCMDQVVEKLRGSYNPLDEIWMQDSPRFVQMMIVDGCFMLEIMRANDGVPDDYAENDPVFGQHGKLNVVPYIKRDMLMLENQLPFTVLRILIELENDTNQGEELLMKQMLKFFSAGTPEPRTLGKSMHVLDVYRKSLIYQGPTHRTRVSKPKRRRRFHGDDEIIRSATELQDAGIRFMKSRTHSLGDISFGGGVLRLPTLVVDDTTEYMFLNLIAFERLHAEAGNDVTSYIFFMDTIVDSEMDVALLHRNGILVNALGSDKAVAKLFNSLSRDIAVDPHGVLDVVRMSMSNYCKKPWNMWRANLIHTYFRNPWAIVSLVAAVFLFALTIVQTVYTIA >Vigun08g175400.2.v1.2 pep primary_assembly:ASM411807v1:8:34543923:34546514:1 gene:Vigun08g175400.v1.2 transcript:Vigun08g175400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEETKWVVQIKEELKSNGISSEHEKEQWQRHSIYKIPSRVTALNKRAYRPQAVSFGPYHHGEEHLKDMEDHKHRALLHFLKRCKKPIELIFHCMDQVVEKLRGSYNPLDEIWMQDSPRFVQMMIVDGCFMLEIMRANDGVPDDYAENDPVFGQHGKLNVVPYIKRDMLMLENQLPFTVLRILIELENDTNQGEELLMKQMLKFFSAGTPEPRTLGKSMHVLDVYRKSLIYQGPTHRTRVSKPKRRRRFHGDDEIIRSATELQDAGIRFMKSRTHSLGDISFGGGVLRLPTLVVDDTTEYMFLNLIAFERLHAEAGNDVTSYIFFMDTIVDSEMDVALLHRNGILVNALGSDKAVAKLFNSLSRDIAVDPHGVLDVVRMSMSNYCKKPWNMWRANLIHTYFRNPWAIVSLVAAVFLFALTIVQTVYTIA >Vigun02g177700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31926962:31927891:-1 gene:Vigun02g177700.v1.2 transcript:Vigun02g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFQGSLLYKLLPTLHFLLPSSSHKHHLLPPLKRSFLFYSKRMNNRMGRVSAAEFANRNRLRSQKPLPKRGQIKSKIAANAFHSIMSVISRASSSGFNSPRKI >Vigun01g064200.3.v1.2 pep primary_assembly:ASM411807v1:1:16735757:16752586:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVVSLLENSKELDRVRKEQEEILSEINKLHKKLQTTPEVVEKPGDTSLARLKVLYTQAKDLSESEASISNLMLSQIDGILPTGPQGQTRRRIGKEGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRRKTEDYVLEFDDDEEDGSLPQRTVPFHKVVLLPEGHRQ >Vigun01g064200.2.v1.2 pep primary_assembly:ASM411807v1:1:16735630:16752599:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVVSLLENSKELDRVRKEQEEILSEINKLHKKLQTTPEVVEKPGDTSLARLKVLYTQAKDLSESEASISNLMLSQIDGILPTGPQGQTRRRIGKEGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRLCVGI >Vigun01g064200.6.v1.2 pep primary_assembly:ASM411807v1:1:16736736:16752597:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIDGILPTGPQGQTRRRIGKEGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRRKTEDYVLEFDDDEEDGSLPQRTVPFHKVVLLPEGHRQ >Vigun01g064200.4.v1.2 pep primary_assembly:ASM411807v1:1:16735757:16752594:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVVSLLENSKELDRVRKEQEEILSEINKLHKKLQTTPEVVEKPGDTSLARLKVLYTQAKDLSESEASISNLMLSQIDGILPTGPQGQTRRRIGKGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRRKTEDYVLEFDDDEEDGSLPQRTVPFHKVVLLPEGHRQ >Vigun01g064200.5.v1.2 pep primary_assembly:ASM411807v1:1:16735757:16752586:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVVSLLENSKELDRVRKEQEEILSEINKLHKKLQTTPEVVEKPGDTSLARLKVLYTQAKDLSESEASISNLMLSQIDGILPTGPQGQTRRRIGKEGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRLCVGI >Vigun01g064200.1.v1.2 pep primary_assembly:ASM411807v1:1:16735567:16752600:1 gene:Vigun01g064200.v1.2 transcript:Vigun01g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVVSLLENSKELDRVRKEQEEILSEINKLHKKLQTTPEVVEKPGDTSLARLKVLYTQAKDLSESEASISNLMLSQIDGILPTGPQGQTRRRIGKEGNEPKRKRVKTESDISRLTATMRHHLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKESKEFEVLDEEPGDDEESSGQRQYKLPMGNIIAFPKSNDPSSAPDFPPGKHVLAVYPGTTALYKATVVQGPRRRKTEDYVLEFDDDEEDGSLPQRTVPFHKVVLLPEGHRQ >Vigun01g019000.1.v1.2 pep primary_assembly:ASM411807v1:1:2032047:2032559:-1 gene:Vigun01g019000.v1.2 transcript:Vigun01g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYTKMESSRKYSLTLAFLLAFFIISSDMMMKSEAELSEITDARCSKDSDCKKYCPKCVSCNCMKNICFCENPPLPDDTPPF >Vigun01g005000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:632096:633682:-1 gene:Vigun01g005000.v1.2 transcript:Vigun01g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSYLAVFLILLLPISSSASTILEKKFKECLITKLDGNSESIEKIILTKSSSLYTPVLEALEQNPRWLNSSRKPLLILTPFHESEIQAGIRCSKQLGLQLKVRSGGHDYEGLSYLSKVPFVMVDLINMRSIQVNIADETAWVQAGASLGELYYKISKESKVHGFPAGTCPSIGIGGHISGGGQGTIMRKHGLAADHVVDAYLVDADGKIHDRKSMGEDVFWAIRGGSATSYGVILAWKISLVRVPPIVTVFNVERTLGEGANNLIHRWQYIGHELHEDLFIRVVAQNGGDNSKTFKAVFNSVFLGGIDRLIPLMNESFPELGLKAKDCIEMNWIQSVMVIAGFNKDDPLELLLNRTTTFKSSFKAKSDFVKEAIPESGLQGAWKIVSEEDTLAMLILEPYGGRMSEISESEIPFPHRKGNLYNIQYLVKWEVNSKEASKKHEEWAKRIYKYMTPYVSKSPRASYFNYKDLDLGQNKHQNTSYSKASVWGKKYFKDNFRRLAQIKTKFDPQNFFWNEQSIPLLNSFHS >Vigun08g211100.1.v1.2 pep primary_assembly:ASM411807v1:8:37290920:37293969:1 gene:Vigun08g211100.v1.2 transcript:Vigun08g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNREREESLTFTIPSSSSHSSPITVSDQLDSYLADPRSASGSFQNDGLLSSADAAADPDFGFSRPDFRQSPLAGTVEFYQRHVFLCYKNPRVWPPRIEAAEFDRLPRLLHAAVVARKPHMKKETRLTICEGNDGTETSNGDVLIFPDMVRYRRLTHFDVETFVEEVLVKDGEWLPGTPEALRGSFVFVCSHGSRDRRCGVCGPVLVSRFREEIELHGLQGKVFVSPCSHIGGSQYAGNVIVFGPSMNGEVTGHWYGYVTPDEVPLLLQHHIMKGEVLDSLWRGQMGFSVDEQLSKQEQRLLLNGLRNLDESTEVSRSQENFVSCYQSNASCCQSNAGCCQSNGDSSFHQNHVLVENRLDPDVIEAEAKLSADNQNNESVFSRMNSGKGASRKFPSVTTWLDGWEQEDTYAALAVVCAAVSVAIAYNCYRQLR >Vigun07g087400.1.v1.2 pep primary_assembly:ASM411807v1:7:13487769:13492658:-1 gene:Vigun07g087400.v1.2 transcript:Vigun07g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTTIFITLILAVLTLFTTTNTAEGARTFLVFGDSLVDSGNNNYLPTTARADSPPYGIDYPTHRPTGRFSNGFNLPDLISQHIGSEPTLPYLSPQLTGQRLLIGANFASAGIGILNDTGFQFVGILRMFQQFALFQQYQGRLSAEVGAAQAQRVVNGALVLMTLGGNDFVNNYFLTPVSARSRQFTVPQYCRYLISEYRKILMRLYELGGRRVLVTGTGPLGCVPAQLATRSRNGECVAELQQAVQIFNPLLVQMTRDLNSQLGSDVFVAVNAFQMNMNFITNPQRFGFVTSKIACCGQGRFNGIGLCTVASNLCPNRDTYAFWDPYHPSERALGFIVRDIFSGTSDIMTPMNLTTIMAIDSNLY >Vigun02g096400.1.v1.2 pep primary_assembly:ASM411807v1:2:25212826:25217397:-1 gene:Vigun02g096400.v1.2 transcript:Vigun02g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRNGNNGVMVERRAVEAPKNLMEIITILAEAIKSLCHTDIPNLHRAIVHAVLDKGKKRIGSECRERSDCVEVKDTQMLKELYELKRLLRRTMLFSSPKRYLTFLFAAGFEHEDVLQRERTSGILKPAFTVIRDQESKCLLVFIRGTRSIKDIVTDALCAPVPFNHSMVSGHAHSGMAASADWIRERCVPLLLEALRQYPHYKIKIVGHSLGGGTAALLTYKLREIQQLSSTTCVTFGPAACMTLGLAEFGKPFITSVINGCDIVPTLSVSSFYDFIDEGRTKDKNIGISFAKAIAKHAVHSCTEVVKKHKHSLFHRENIRALSENLVEASGLSGTSFEPLLSEEHLLIESIDDNDEYNSSSEGSDNDDSDDDNENEDQLLNEMGNLELRKHVYIPDIHAKEKDISDETSARRRLYPPGKIMHMITSHMSENSNSNQSDADEKHVCLYRTPTQLYGKLRFSRGIILDHCTKRYLKKLQQLINTLEKE >Vigun02g096400.3.v1.2 pep primary_assembly:ASM411807v1:2:25212826:25217397:-1 gene:Vigun02g096400.v1.2 transcript:Vigun02g096400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRNGNNGVMVERRAVEAPKNLMEIITILAEAIKSLCHTDIPNLHRAIVHAVLDKGKKRIGSECRERSDCVEVKDTQMLKELYELKRLLRRTMLFSSPKRYLTFLFAAGFEHEDVLQRERTSGILKPAFTVIRDQESKCLLVFIRGTRSIKDIVTDALCAPVPFNHSMVSGHAHSGMAASADWIRERCVPLLLEALRQYPHYKIKIVGHSLGGGTAALLTYKLREIQQLSSTTCVTFGPAACMTLGLAEFGKPFITSVINGCDIVPTLSVSSFYDFIDEVVKKHKHSLFHRENIRALSENLVEASGLSGTSFEPLLSEEHLLIESIDDNDEYNSSSEGSDNDDSDDDNENEDQLLNEMGNLELRKHVYIPDIHAKEKDISDETSARRRLYPPGKIMHMITSHMSENSNSNQSDADEKHVCLYRTPTQLYGKLRFSRGIILDHCTKRYLKKLQQLINTLEKE >Vigun02g096400.2.v1.2 pep primary_assembly:ASM411807v1:2:25212826:25217397:-1 gene:Vigun02g096400.v1.2 transcript:Vigun02g096400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELYELKRLLRRTMLFSSPKRYLTFLFAAGFEHEDVLQRERTSGILKPAFTVIRDQESKCLLVFIRGTRSIKDIVTDALCAPVPFNHSMVSGHAHSGMAASADWIRERCVPLLLEALRQYPHYKIKIVGHSLGGGTAALLTYKLREIQQLSSTTCVTFGPAACMTLGLAEFGKPFITSVINGCDIVPTLSVSSFYDFIDEGRTKDKNIGISFAKAIAKHAVHSCTEVVKKHKHSLFHRENIRALSENLVEASGLSGTSFEPLLSEEHLLIESIDDNDEYNSSSEGSDNDDSDDDNENEDQLLNEMGNLELRKHVYIPDIHAKEKDISDETSARRRLYPPGKIMHMITSHMSENSNSNQSDADEKHVCLYRTPTQLYGKLRFSRGIILDHCTKRYLKKLQQLINTLEKE >Vigun09g260600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42584912:42587789:1 gene:Vigun09g260600.v1.2 transcript:Vigun09g260600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILNKENEKTFSFPIPFSNLKLFFLFPPQHLRPSHFDHNINNPSFPNNLSSSSLLSFFGETMRRRPRTTFIVSILSIITFASFSLYLSRNAISTWQPYSDIPKTKAINAFLLHTTTTTTTTTTNNNKNVVESNSNNNNELTRQTRRVSSVKDSLSTVSVLIPDWEILVIVVINTPFSPSNQYYCLFPNKARSLARFSGVLPFTNRSTFKCDLPESVRRHRLFSQPTLVTGTSETELPKPMPQLIKWNFLVFESFSTENDIVVFAKGVNHRNGYDRSPEELRCVFDFGNGVHSAVTSSVQEVFRCPHPDFEPVLDSYYGLPNRIGISLEIVAENIVVPSVAYYSPRSNPKRNAVAAVQGHPVEAQPKYELCACTMVYNVAKFLREWVMYHSKVGVDNFLLYDNGSDDDLYSLIRELRQEGYNISTVFWIWPKTQEAGFSHSVVYSKSKGLCKWIMYVDVDEFVFSPSWVHESNPSLKSLLPQNQTLEEGRKNKVGQVSMKCLEFGPSGRGRHPEEGVTQGYTCRMRTEQRHKSMVLVDAVDPSLRNVIHHFEVNEKEGFVSKPVSLEQGLVNHYKYQAWDEFKNKFRRRVSAYVVDWKQELNPTSKDRTPGLGFKPIEPNDWTHRFCEVRDLRLKFLTRKWFRLLTPNGYTMAWQNLR >Vigun08g131200.1.v1.2 pep primary_assembly:ASM411807v1:8:30159215:30162384:-1 gene:Vigun08g131200.v1.2 transcript:Vigun08g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTSESSYPGSGNWEIPIDLFGSKKHAGVPRGVLSFADESGNIVFRVNRHPLNPNSSPLPKDKKVLLDASGNALFSIYRYHNGSWKCYKGDSDGNRELVFRVQRTLKTFSRVELEVLFESGRSNGEGCDWKVKGSPFQRSCCIYKDTDLVAQSSLMYKLHQIFVSRGKFRLTIFPGTIDHALILAMFVIFLSGRK >Vigun01g226900.1.v1.2 pep primary_assembly:ASM411807v1:1:39970115:39973869:-1 gene:Vigun01g226900.v1.2 transcript:Vigun01g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPGTEDQYLKRRVTTGCYDVDVSNANEIWKSETVLFFYLPTLAAQVAFMLLSTRVLYYILKPLNQPRLVSEILMGILISPELFGSSALSEVLTPLKSILTTETISYVGLIYTVFLAGLDMNFDTILRGRKKATSIAIAGVLIPMLCGGIIHALALKLYKGPPEYWANFGTPKAYMFWTLTLSVTGFPMVSHILADLKLLYTGLGRVTLTTAMISDFYNWVMFAMLVPFAINGGSAIYSVLSTIVYVLFCFILIRPYLVEIIVRKTNQNEWDNNGLFIVVMGAYASAAVTDLLGTHPVVGALVYGIMIPRGKFTHLLIEKSEDFGVVYLAPLFFGSCGIRLRIIYVIKTQGLGLVLFILFLSILPKILSTVIATQFYGMSVLDGVSIGLLMNTKGLLPILMLNSAWDKQILSVESFSILTIAVLVMTMVVPLVINAIYKPRKLYKQNKLKTLQNLKAEAELRILACVHNPRQATGMINILEAYHTTKLPPLRVFALQLVELTGHTASLFVSHVNQHNPQQSVGAQALTKAQEDLESITNIFQTYAAINESNNVETIAAASSYSTIHEDIYNVSQEKQANLILLPFHKQSNIEGILETANTAFQEINRNVMRDAPCTVGIFVDRGLASLFKVNLRVVMVYVGGPDDREALAVAWRMSKHQGVQLSVVRILLFGDAAEIDVMSHVENHGLLSVIVDSEKQKELDDEYMSAFRLKAVSNEDSIKYSEKEVRSRDDIPEVLNEIDQVGYDLYILGQGGGRNCLILSELMKWTDCPELGVVGDIVASNNFGSSSSLLIIQQYGFGGMVFENNNTTQQPKEAVGSNIEDPGPVFVKVQWHQ >Vigun05g158250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25566464:25566571:1 gene:Vigun05g158250.v1.2 transcript:Vigun05g158250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVYTFLLVSTLGIIFFAIFFREPPKVPMKKVK >Vigun03g410000.1.v1.2 pep primary_assembly:ASM411807v1:3:61767429:61775608:-1 gene:Vigun03g410000.v1.2 transcript:Vigun03g410000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWYGGLLIIALGMMLFFLYNLKGIQPEKQSTKQSAYNFFNNHTPDDSIKQSSNIPVNSSKMEQKRVPKPTKRPYLVHIAGLDDLYGMKNLSKGVMNSVLVWDSLRTVLSRSDALDETAQGIKEASVAWKELLSTIEKDKASKVNGPENQNCPFSVASPGKAELDNEITLDLPCGLVVDSSITLIGIPNGQNGSFQIDLAGQELEAEPNPPVILHYNVSLPGENMTEEPYIVQNTWTSDLGWGKEERCPAHGSTNIQEVDGLVPCNVQAVRSNTKGNGNLAQPASIPSNISSESAHRNAIFPFAEGNPFTSTLWVGSEGFHMTVNGRHETSFAYREKLEPWLVSSVKVAGSLSLLSILAKGLPVTEDTDIVIDVENLKAPSIPRKRLILLIGVFSTGNNFERRMALRRSWMQYEAVRSGEVAVRFFIGLHKNNRVNFELWTEAQAYGDIQLMPFVDYYSLISLKTIAICIMGTKIIPSKYIMKTDDDAFVRIDEVLSSLKEKPSKGLLYGLISSKSSPQRDEGSKWYISEEEWPHDTYPLWAHGPGYVISRDIAKFVVNGHQERKLKLFKLEDVAMGIWIEQFANGGKEVRYMNDERFYNAGCESNYVLAHYQSPRMVLCLWEKLQKERQPVCCE >Vigun11g066700.1.v1.2 pep primary_assembly:ASM411807v1:11:16776892:16796039:1 gene:Vigun11g066700.v1.2 transcript:Vigun11g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVEEDHHDPSLFHDSNDRLLSSCSCSTSASDDDAPTPQNDTHALPQFPFPTMCKYDAWISEPSSLSERRSRLLRQFGLPTPPPPAATIPRSASSHQCTDTSTAAATIPRSKSDNTADRGTKHSKSSVVPIRYNDNGFLVNNNININCSVSGSHSVNNRICVGVSEEGGNGEGNVVDEVEQACTIRDLDNGKEFVVKRDGVWNEVKEVGTGRRLTVEEFEMTVGHSPIVQELMRRQSAEEVGDGDGVDGEGDGGSAGGVDDEGDGGKVRRKGGWFKFMSLKSVVVGQKERRSGDERDTSSSEKGGGRRSSSATDDSQDGGSGLVQGGERVRVRQYGKSCKEVTGLYKSQKIQAHDGSIWSIKFSLDGKYLASAGEDCVIHVWRVVESERKGELLVEKPDDGILNMLFVVNGSPEPSSPGMENNLEKKRRGRLSVSRKSLSVDQLVVPETVFALTEKSVCTFKGHLHDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKVFAHSDYVTCIQFNPADDRYFISGSLDAKVRIWSIPDRQVVDWTDIHEMVTAACYTPDGQQGALVGTYKGSCHSYNTSENKLQPKSQINLQNRRKRSNHKKITGFQFLPGSSSEVLITSSDSRIRLVDGVDLVHKFKGFRNANSQISAFLTANGKYVVSASEDSHVYIWRNEADCRPSRGKCVTVTSTYEHFHCKDVSVAIPWPGMDDSWEMHDPYFGEEPELDDTRDEEVSSANHPPTPVEETFAYLGSQFASGCNNSPLHGTIASATDSYFLDRISATLPEEKLLTTRDPSPQASVDLSYGVNHNMSAWGMVIVTAGLQGEIKIFQNFGLPLGI >Vigun04g160900.1.v1.2 pep primary_assembly:ASM411807v1:4:38304451:38307744:1 gene:Vigun04g160900.v1.2 transcript:Vigun04g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKTLLESESSKDSSYDRITEVKVFDETKLGVKGLFDSGVTKIPRMFHHAKVKDNTETTPNDLKFNVPIIDLKDVEKNSSMRVEALDKIKRACKEWGFFQVVNHGIGVEVLDEMLHGIRRFHEQDAKVRKTFYSRDMSKKVRYFSNGRLFTDSTADWRDSIAFFSSPDPPNPEEIPVVCRDIVVEYTEKIRAFGLTMFELFSEALGLPTSYLNELDSTKGEFHLCHFSPPCPEPELTMSSSNHTDMSFMTILLQDQIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDIGPRISVASFFSSLFLENASVVVGPIKELLSKDNPPIYRDTTMEEVSAHYFKKGLDGNCSLHPFRLCNG >Vigun04g160900.2.v1.2 pep primary_assembly:ASM411807v1:4:38304451:38307744:1 gene:Vigun04g160900.v1.2 transcript:Vigun04g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHCHGALGMKERLLMLNLLQRKIMTGKTCILYKVLGMATISKTLLESESSKDSSYDRITEVKVFDETKLGVKGLFDSGVTKIPRMFHHAKVKDNTETTPNDLKFNVPIIDLKDVEKNSSMRVEALDKIKRACKEWGFFQVVNHGIGVEVLDEMLHGIRRFHEQDAKVRKTFYSRDMSKKVRYFSNGRLFTDSTADWRDSIAFFSSPDPPNPEEIPVVCRDIVVEYTEKIRAFGLTMFELFSEALGLPTSYLNELDSTKGEFHLCHFSPPCPEPELTMSSSNHTDMSFMTILLQDQIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDIGPRISVASFFSSLFLENASVVVGPIKELLSKDNPPIYRDTTMEEVSAHYFKKGLDGNCSLHPFRLCNG >Vigun04g160900.3.v1.2 pep primary_assembly:ASM411807v1:4:38301875:38307744:1 gene:Vigun04g160900.v1.2 transcript:Vigun04g160900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKTLLESESSKDSSYDRITEVKVFDETKLGVKGLFDSGVTKIPRMFHHAKVKDNTETTPNDLKFNVPIIDLKDVEKNSSMRVEALDKIKRACKEWGFFQVVNHGIGVEVLDEMLHGIRRFHEQDAKVRKTFYSRDMSKKVRYFSNGRLFTDSTADWRDSIAFFSSPDPPNPEEIPVVCRDIVVEYTEKIRAFGLTMFELFSEALGLPTSYLNELDSTKGEFHLCHFSPPCPEPELTMSSSNHTDMSFMTILLQDQIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDIGPRISVASFFSSLFLENASVVVGPIKELLSKDNPPIYRDTTMEEVSAHYFKKGLDGNCSLHPFRLCNG >Vigun06g086300.1.v1.2 pep primary_assembly:ASM411807v1:6:21830421:21831651:-1 gene:Vigun06g086300.v1.2 transcript:Vigun06g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVDLTFITKTSKRKATFKKRKNGLIKKIGEISILCGIQACAIIYSPDEPDQPEVWPSEEGVESAITRFRSVSELEQSKKMFCQESFLRQRIVKVQEQLKKVRNENRKKEINHLISQYLTVGNKLESANIIDLNDISFLADQCLEDITKKIAARKAQMGTPVTENNGGQTVIRHGEPARVNHHVQVQGPYTTDVEAMQNLNWSAEIINGGAANEMLALDDVNVPSGWLNQYIP >Vigun08g019900.2.v1.2 pep primary_assembly:ASM411807v1:8:1719744:1722924:-1 gene:Vigun08g019900.v1.2 transcript:Vigun08g019900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGDTLYLACGWEQFVKDHCLKENDFLVFKYNGESQFDVLVFDGGSLCEKACSYFVRKCGHKEAEHVGGSLNKKRDTTEDPMKEGHIPLKAGVECASPGKSVHANGTKEPINVPFETPTPSEEAFNADVESAGAEQVTSVGVPLSAVPSETANGKRIRKLASVVKHVQTKRRGRGRPAKVSHVVDRALDWVSGLEAAEPVSAVRSAAQEVYISNRRPVTDDEIKNALALAQASRTDDSLVVVMRPSHVYKRFFVSMPNKWIGEHIAPMSQDVILRTEKGEWVARYSYHNIRHTGGLTGGWKHFVLDNNLEEYDVCVFKPAGQMNESLVLGMTIFRVVEEIVPLSAMSPTGKRGRKPAQNVNLTEP >Vigun08g019900.1.v1.2 pep primary_assembly:ASM411807v1:8:1719744:1722924:-1 gene:Vigun08g019900.v1.2 transcript:Vigun08g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQNCNGCRSWEEDIYWSHFQFQHFVQFLRTGYDQHLALPKTFSDNLKKKPPANVALKGPSGVTWNVAMVTRGDTLYLACGWEQFVKDHCLKENDFLVFKYNGESQFDVLVFDGGSLCEKACSYFVRKCGHKEAEHVGGSLNKKRDTTEDPMKEGHIPLKAGVECASPGKSVHANGTKEPINVPFETPTPSEEAFNADVESAGAEQVTSVGVPLSAVPSETANGKRIRKLASVVKHVQTKRRGRGRPAKVSHVVDRALDWVSGLEAAEPVSAVRSAAQEVYISNRRPVTDDEIKNALALAQASRTDDSLVVVMRPSHVYKRFFVSMPNKWIGEHIAPMSQDVILRTEKGEWVARYSYHNIRHTGGLTGGWKHFVLDNNLEEYDVCVFKPAGQMNESLVLGMTIFRVVEEIVPLSAMSPTGKRGRKPAQNVNLTEP >Vigun01g148400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32996021:32997487:-1 gene:Vigun01g148400.v1.2 transcript:Vigun01g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTCSASDLHPLLGGGANATAAAEYICGRFEAISNKFVDTTYAVDNTFLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFFYVFGFAFAFGTPSNGFIGKHFFGLDKIPSSGFDYGYFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSSVMTGLVYPIVAHWFWCSDGWGSAARGEGLLFGSGVIDFAGSGVVHLVGAVAGFWGALIEGPRIGRFDHSGKAVPMKGHSGTLVVLGTFLLWFGWYGFNPGSFLNILKAYGESGSYYGQWSAVGRTAVTTTLAGCSAALTTLFAKRMQTGHWNVTDVCNGLLGGFAAVTGGCAVVDPWAAIICGFVAAWVLIGFNVVAEKLKYDDPLEAAQLHGGCGTWGIIFTALFAKKEYVNEVYPGLPSRPYGLFMGGGGRLLGAHVVQILSIIGWVSVTMGAVFFVLLKLDLLRISHDEEMAGLDLTSHGGMAYEYDEKNGRNQVFEATV >Vigun04g108600.1.v1.2 pep primary_assembly:ASM411807v1:4:26576306:26579030:1 gene:Vigun04g108600.v1.2 transcript:Vigun04g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSHVNHNDPSLATDYDAPEDAGEDVKLRSRDQRLALQGEPKCVICGRYGEYICDETDDDVCSLECKQAVLGRIAKALAPYGNLLPPKKIPMVDECFYVSDADNKSGAESMASDLRTKLDIHVKGDVEAPVLSFSACNLPDKLLHNIEAAGYDMPTPVQMQAIPAALTGKNMLVLANTGSGKSASFLIPIVSRCVIHCRQYVSDKKKPLAMVLTPTRELCIQVEEHAKMLGKGLPFKTALVVGGEAMAGQLYRIQQGVELIVGTPGRLVDLLSKHEIELDDVMTFVVDEVDCMLQRGFRDQVMQIYSALSQPQVLMYSATMSNDLEKMINTLAKGTVVISVGEPNSANKAVKQVAIWVESKQKKQKLFDILTSVKHFKPPVVVYVGSRLGADLLANAITVATGIKAVSIHGEKSMKERREIMQSFFMGEVPVVVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGEGIVFVNEENKNVFADLIDVLKSGGAAVPRELANSRYATGFFYGGKASKKRKNTG >Vigun04g108600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26576226:26579030:1 gene:Vigun04g108600.v1.2 transcript:Vigun04g108600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECFYVSDADNKSGAESMASDLRTKLDIHVKGDVEAPVLSFSACNLPDKLLHNIEAAGYDMPTPVQMQAIPAALTGKNMLVLANTGSGKSASFLIPIVSRCVIHCRQYVSDKKKPLAMVLTPTRELCIQVEEHAKMLGKGLPFKTALVVGGEAMAGQLYRIQQGVELIVGTPGRLVDLLSKHEIELDDVMTFVVDEVDCMLQRGFRDQVMQIYSALSQPQVLMYSATMSNDLEKMINTLAKGTVVISVGEPNSANKAVKQVAIWVESKQKKQKLFDILTSVKHFKPPVVVYVGSRLGADLLANAITVATGIKAVSIHGEKSMKERREIMQSFFMGEVPVVVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGEGIVFVNEENKNVFADLIDVLKSGGAAVPRELANSRYATGFFYGGKASKKRKNTG >Vigun09g223700.1.v1.2 pep primary_assembly:ASM411807v1:9:39670772:39674115:1 gene:Vigun09g223700.v1.2 transcript:Vigun09g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPEGSVFDGRQYDAKMNELLGPDGQEFFTSYDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFFVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRNRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTSDDERMLVDIQKFYNVVVEELPANVADLI >Vigun03g003700.1.v1.2 pep primary_assembly:ASM411807v1:3:246596:249976:1 gene:Vigun03g003700.v1.2 transcript:Vigun03g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVYITFELGRAHDGPNQTEEKEVAGDFFSRAKEMEPWVALGVDDADLSAFLRPCNAQSSSSSLIPGPAGAVQAVMSNRCRDDPLPTQEFIRRVGLESHRDFSTNPWLCAIQFVRSQGMVEANDVAHGTPLNSIKTTGRVPLVVAVIKSCTPNGLGDMKITLKDPTTTLSASLHRKVLAHPEFAKDIAVGSVLVLHEVAVFCHTRTCYLNVTLRNVLQVFSKDCGPPSQQLVHPVRPVIRTTPSVERPERLLASGSSSSPPLKRNEEIMSALRFESSSRQVADIEWQRAEALASTPSHSETVWGRENLALSVDNAGHVEGNCVGELDSEMEDQPNPPRLDEEADSLAHIAQGNSSTSKSVHTSRAEKTEMKNHLESQSQMESQRSSVPHWTDEQLDELLAFD >Vigun09g084300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10591927:10593449:-1 gene:Vigun09g084300.v1.2 transcript:Vigun09g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLMMLGLCVLMLSPFPVALSELCHPQDKKALLRFKRELNNPYFLASWDPKEDCCGWYCVKCDDRNNRIFTIFLRSDQPDPNVTGQIPPSVGDLPYLRGLSLHNLPNLVGPIQPTLAKLPRLTDISISNTGISGPIPEFLAQIKTLEYIELSSNKLSGSIPSSLSQLPNLITLRLDRNKLTGPIPASFGSFKKPGLDLILSHNQLSGPIPASLGNLDLERIDLSGNNFLGDASFLFGSKKNTELVDLSRNAFSFDLSRLTFPKQTLTWLDISHNNIYGSIPTGLTQVRYFQQLNFSYNPGLKGQIPQGGRLQTFDKYSYFHTHLCGSPLPPCTK >Vigun05g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9821778:9823616:1 gene:Vigun05g100100.v1.2 transcript:Vigun05g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEDVLCKGILLEILSILPVKSLIRFMCMSKYFQSLILDPCLATMHLQNSCKSPNFLLKYYSNEYKNCWEVVPSRINSSLFVVNMIRGNKLKCNYQILGSCNGLVCLTERYNKGPNTFHLWNPTTKKELMYPYSTLKHPKEEILIMLGYGYDNSRHSYRVVAIFGHVISRVGPFRSLICSLNEKRGWREIQDFPAQPPWTMVGIYLNNTLNWLGAPKSIGRDLLNPRISFDELVIVSLDLETETYTQLLLPHELNGVVVGDICFIEGLHSNEAPLVGVLNGYLSLFIHNRKTKHLSIWQMKEFRNQRSWTLLLNIFLLDFGINDMQYDFLIPLCMTEKDRHIVIVKYLINIDRVNQIIIYNMRDKRVSFRNMVKNLCWIHPIEHVQSLVSPDVFSQP >Vigun04g138266.1.v1.2 pep primary_assembly:ASM411807v1:4:34449195:34450073:-1 gene:Vigun04g138266.v1.2 transcript:Vigun04g138266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGFARSMICFKNTTKVFFQMNDVQLFRWMKYLKNITKVETYVLLSARLK >Vigun10g016200.8.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797923:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.10.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797904:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.12.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.4.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.13.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797904:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.7.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.5.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.6.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797923:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.14.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESRRQTIRYPLPEGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.1.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797923:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.9.v1.2 pep primary_assembly:ASM411807v1:10:1788490:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.11.v1.2 pep primary_assembly:ASM411807v1:10:1788490:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYRFTGSTQPTLSTLYVSNLQIILHTYRHHLIMVPIFFFFYSLED >Vigun10g016200.2.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1797923:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g016200.3.v1.2 pep primary_assembly:ASM411807v1:10:1787530:1798074:-1 gene:Vigun10g016200.v1.2 transcript:Vigun10g016200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKVEKNDVVYRRNGRSSTFNQQRGSYGKAGGDGGGIAPSNNSLSTARSFNKKSNNAQGGQSRLNPSQGNSTESNNASAARIISNGIHLHGAPDGPITKSSESSAGHKSTGIVPKAETASLPPLISDPVLPTSLAKGDDESKAFPFQFGSIVPGVINGMAIPARTSSAPPSFDKQKPDQALDDSDKSVSSDPIPPVPKQQNSHEKDAGVNEQSNIEEIKANKDSEVSNLTSVNQISKPFVAPVTGISMSTSYHQSQAPLQFGGANPQIQSQGMTTTSIQMPIPMPLPIGNATQVQQPVFIPGLQPHPMHPQGIMHQGQNISYSPQMGNQLGNMGIGIGCQYPQQQGGKFAGPRKTTHVKITHPETHEELRLDKRMDAYSNGGSSGVRPHPIIPSQSQPVKSFPASHPMNYFSSNSYNTNSPYYPPNSLPLTSGPMTPNCQPPTFNFPLHHGPQGVSFMNLSSQGSLPTNKTSTPTGITSMTIKPNGTTTIVDSSLSNSCIADVQNGESPGSTISSETFSEVSPQRAKFSSDFVADVSSNEGGRESLGISNSLKDKNPGKKDQISQNQVSVRSPTMDTILSGVVDQDISDVGLSNALGIKKNNSAAITIEDLPRSDTTSTGVEVVTNGSVEASAYASVEGCGAQAIDKVHNQMPDKTYKLVEGNFGSSDLQSTDLPKATLKHVKNDSENVDVESGTKDRPIIEPNKMKNTSKGKKKRREILQKADAAGSTSDLYNAYKGPGEKRDVLSSENKESVTTSLSMKQFDVGQSDIKASEKCDHNKVELDDWEDVAEMSTPKLEVHDISQNVGDGHGSTTKKYSRDFLLKFVEQCIDLPEGFEITADIGALMNANVGGSHIFERDSHPSPGRAVGGLSRMDRRGDVVMEDDRWNKVSGSFRGRGPEGTGGNSGFRFGHGGNFGVLRSPRAQTPLQYGGGILSGPMQSGGNQGGRNNPNGERWQRSASYQQRGLIPSPNQTPLQIMHKAENKYEVGKVTDVEEVKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNAITLIGVISQIFEKALMEPTFCEMYANFCLHLASELPDFSEDNEKITFKRLLLNKCQEEFERGEREEEEANKADEGEVKQSTEEREERRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQDPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFERMKLLSINMNLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQSHGGRSNRGPGNNQSSRRNPIDFSPRVPSSNSQMGGLCGLPTQARGYGGSQDAQFEEQQSYEAKTLSVPLPQRSLGDDSITLVPQGGLARGMSMRGSTTISNLPMSDVVPLPRDPHIMTAGLNAQNNSSERTQYNSMEDLGSRHGTNRSLGPSTHDQSIAPEHNVNHGNRNLRSVSPAAQLQGSIISQNAYSDKILSEEQLRDMSLSAIREYYSARDENELVLCVKDLNSPSFYPSMVSLWVTDSFERKDTERDLLTKLLINLVKSQHHTLNQVQLIKGFESVLCTLEDVVNDAPRAAEFLGRIFAKIIIEKVATFTEIAQLIHDGGEEPGSLLEIGLAGDVLGSTLEVIQNEKGDVLLNEILSGSSNFQLKTFQPPNAKISRKLEKFI >Vigun10g129100.1.v1.2 pep primary_assembly:ASM411807v1:10:33708396:33717627:1 gene:Vigun10g129100.v1.2 transcript:Vigun10g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCGLPVLECVYCLACARWAWKKCLYSAGYESENWGLATAQEFEPVPRICRLILAVYEDDVRNPQWAPEGGYGINPDWVILRKDYGDNQGRVTPYMIYLDHDHAEIILAVSGLNLAKESDYVVLLDNKLGQAEFHGGYVHNGLLKAAGWVFDAEYEVLRKLVAENPTYMLIFTGHSLGAGVVALLTMLAVHNRDKLGIPRNKIRCFAIASPRCMSLNLAVRYADVINSVVLQDDFLPRTTAALEDVFKSLLCWPCLLCIMCLKDTCTLEEKKLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHLVLSCNATSDHAIIWIERESRRALSLMRDRDDHMEIPAKQRMERHESIAREHSEEYHAALQRAVALDIPQAYSPSSYGTFHEIDVGEDSGRSSEGSSFFHKKRTQSWNEFVGRLFDVDDSGHMVFKKTPP >Vigun02g068900.1.v1.2 pep primary_assembly:ASM411807v1:2:21906090:21907498:1 gene:Vigun02g068900.v1.2 transcript:Vigun02g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRASSSFFLPKFFRALLLCVLLLVSLGSGEGSRQPATQWSEERVKHGRVVAKDMPVDRAELDFNYMSKRRVPNGPDPIHNRRAGNSGRPPGQA >Vigun09g278000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43776195:43777961:-1 gene:Vigun09g278000.v1.2 transcript:Vigun09g278000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRMIWSVAVVVWTVLVGGSWGEQCGSQAGGALCPGGLCCSQFGWCGSTDDYCGKGCQSQCGGQPAPSDLSALIPRATFDQMLKHRNDGACPARGFYTYDAFIAAARAFPSFGNTGDTATRKREIAAFLGQTSHETTGGWPSAPDGPYAWGYCFVREQNPSAYCSPTPQFPCASGQQYYGRGPIQISWNYNYGQCGNAIGVDLINNPDLVATDPVVSFKSAIWFWMTPQSPKPSSHDVITSQWTPSAADVAAGRLPGYGTVTNIINGGLECGRGQDSRVEDRIGFFKRYCDLFGVGYGNNLDCYSQAPFGNSLLNLHPIV >Vigun01g235800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40719535:40720876:1 gene:Vigun01g235800.v1.2 transcript:Vigun01g235800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVMDMYDNSSIVLSDFLDPCNDQELMKALEPFMKTDSSITYPSLSSPNFSSGYDQKPLNQASSFKLNQLTPSQTFQIQAQIQVTRAQFLSPKPVPMKQVGGGRVVSRPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFETAEEAALAYDNAAFKLRGENARLNFPHLRHHGPSVYGEFGTYKPLPSAVDAKLQAICQSLAVTTHKEETATTSEFEDVKVGIQTASSPLTDEYSSSSSSSSSAGSSSPESDITFLDFSDSYEAMDNLGLDLEKYPSVEIDWAALSESS >Vigun06g053500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17926438:17927171:-1 gene:Vigun06g053500.v1.2 transcript:Vigun06g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKAIFIIATTMALSITLTMKTITKEESNPVFLHHDFPSSSSSSTTPQNTILLPSKRVNRFLAQVKNPNAADHCHKDHDVCSLEGVKNSTCCNNKCIDVGYDKHNCGACKKKCKYTETCCRGECVDTNLDKRHCGECNNRCELGEYCVYGMCGYA >Vigun06g016800.9.v1.2 pep primary_assembly:ASM411807v1:6:7934296:7935915:-1 gene:Vigun06g016800.v1.2 transcript:Vigun06g016800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLVNNYDDFGGKKQYLSGQRVRVSPHIMKMTSSQNFLSRDSTRTISRFQQSVAETCKIFSLVSDLYFHRFTAPFIRLITTCTLDFFVMFFY >Vigun05g095200.1.v1.2 pep primary_assembly:ASM411807v1:5:9238557:9243936:-1 gene:Vigun05g095200.v1.2 transcript:Vigun05g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLFIFLLFFFRPVVALSSDGIALLTLKSAVDASSAAAFSNWNDADATPCRWSGITCANISGQLEPRVVGIAISGRGLRGYLPSELGTLLYLRRLNLHTNALRGAIPEQLFNATALHSVFLHGNNLSGTLPASVCTLPRLENLDLSDNALSGAVPDTLRNCSQLQRLILARNKFSGVIPASPWPELKNLVQLDLSSNLLEGSIPDELGELKTLTGTLNLSFNHLSGKIPKSLGNLPVTVSFDLRNNDLNGEIPQTGSFSNQGPTAFLNNPNLCGFPLQKPCTGLAPSELGRGPSSRGPAAHRSGKGLSPGLIILISVADAAGVALIGLVVVYVYWKRKGKSNGCSCTLKRKFGGENEKASLCCWCNGMKSDDSEVEEVEKEEGEGGRGEGDLVAIDKGFNFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFAAEVQAIGKVKHPNIVKLRAYYWAPDEKLLISDFISNGNLATALRGRNGQPPPNFSWSTRLRIIKGTGRGLAYLHECSPRKFVHGDIKPSNILLDTDFQPHISDFGLNRLISITGNNPSSGGFMGGALPYLKPSQTERTNNYKAPEARVPGCRPTQKWDVYSFGVVLLELLTGKSPDSSLAASTSMEVPDLVRWVRKGFEQESPLSEMVDPSMLHEVQAKKEVLAVFHVALQCTEGDPEIRPRMKTVSENLEKIGS >Vigun05g095200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9238557:9244006:-1 gene:Vigun05g095200.v1.2 transcript:Vigun05g095200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLFIFLLFFFRPVVALSSDGIALLTLKSAVDASSAAAFSNWNDADATPCRWSGITCANISGQLEPRVVGIAISGRGLRGYLPSELGTLLYLRRLNLHTNALRGAIPEQLFNATALHSVFLHGNNLSGTLPASVCTLPRLENLDLSDNALSGAVPDTLRNCSQLQRLILARNKFSGVIPASPWPELKNLVQLDLSSNLLEGSIPDELGELKTLTGTLNLSFNHLSGKIPKSLGNLPVTVSFDLRNNDLNGEIPQTGSFSNQGPTAFLNNPNLCGFPLQKPCTGLAPSELGRGPSSRGPAAHRSGKGLSPGLIILISVADAAGVALIGLVVVYVYWKRKGKSNGCSCTLKRKFGGENEKASLCCWCNGMKSDDSEVEEVEKEEGEGGRGEGDLVAIDKGFNFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFAAEVQAIGKVKHPNIVKLRAYYWAPDEKLLISDFISNGNLATALRGECLLSHSIHLFIFSLCFRSLIFFVAFNDYAL >Vigun05g095200.2.v1.2 pep primary_assembly:ASM411807v1:5:9238557:9243936:-1 gene:Vigun05g095200.v1.2 transcript:Vigun05g095200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLFIFLLFFFRPVVALSSDGIALLTLKSAVDASSAAAFSNWNDADATPCRWSGITCANISGQLEPRVVGIAISGRGLRGYLPSELGTLLYLRRLNLHTNALRGAIPEQLFNATALHSVFLHGNNLSGTLPASVCTLPRLENLDLSDNALSGAVPDTLRNCSQLQRLILARNKFSGVIPASPWPELKNLVQLDLSSNLLEGSIPDELGELKTLTGTLNLSFNHLSGKIPKSLGNLPVTVSFDLRNNDLNGEIPQTGSFSNQGPTAFLNNPNLCGFPLQKPCTGLAPSELGRGPSSRGPAAHRSGKGLSPGLIILISVADAAGVALIGLVVVYVYWKRKGKSNGCSCTLKRKFGGENEKASLCCWCNGMKSDDSEVEEVEKEEGEGGRGEGDLVAIDKGFNFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFAAEVQAIGKVKHPNIVKLRAYYWAPDEKLLISDFISNGNLATALRGALDPRKCLVRQQC >Vigun05g201900.2.v1.2 pep primary_assembly:ASM411807v1:5:38987149:38988705:1 gene:Vigun05g201900.v1.2 transcript:Vigun05g201900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFMGGGVMSAEAKFTATGIRGVVPPVQSSVQSASEASPADGGEDSTAKVVSGSATTELKHAQHKIYVSSNSTEYQGCALPNKISVLSPSHSGLKNKDSVTEAISNTSAPNPAFQAFQLFSESSEAKSVVASIASDPNIWNARVQNPKLQDFFHAQQTGFEEERTFDEKVEDLPYSYSVYSLVNLLNILRNVKLTVVEMIAACEFTFRISLSS >Vigun05g201900.1.v1.2 pep primary_assembly:ASM411807v1:5:38987149:38988705:1 gene:Vigun05g201900.v1.2 transcript:Vigun05g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFMGGGVMSAEAKFTATGIRGVVPPVQSSVQSASEASPADGGEDSTAKVVSGSATTELKHAQHKIYVSSNSTEYQGCALPNKISVLSPSHSGLKNKDSVTEAISNTSAPNPAFQAFQLFSESSEAKSVVASIASDPNIWNARVQNPKLQDFFHAQQTVGFEEERTFDEKVEDLPYSYSVYSLVNLLNILRNVKLTVVEMIAACEFTFRISLSS >Vigun05g201900.3.v1.2 pep primary_assembly:ASM411807v1:5:38987149:38988705:1 gene:Vigun05g201900.v1.2 transcript:Vigun05g201900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFMGGGVMSAEAKFTATGIRGVVPPVQSSVQSASEASPADGGEDSTAKVVSGSATTELKHAQHKIYVSSNSTEYQGCALPNKISVLSPSHSGLKNKDSVTEAISNTSAPNPAFQAFQLFSESSEAKVSVVASIASDPNIWNARVQNPKLQDFFHAQQTVGFEEERTFDEKVEDLPYSYSVYSLVNLLNILRNVKLTVVEMIAACEFTFRISLSS >VigunL059033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000259.1:714:1276:-1 gene:VigunL059033.v1.2 transcript:VigunL059033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun08g003800.1.v1.2 pep primary_assembly:ASM411807v1:8:334785:336590:-1 gene:Vigun08g003800.v1.2 transcript:Vigun08g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRWLSSLPKTTGRSRKECEEDRLCKKRSVNEEYLEAFRTKSYIEICNKAKGGLGKTTTKILTSSSSSSPSSSSSSSSSSSSVPLCMQLTEYLLEPRQEMIANITQRLNVHRPLVDYFEATLEACRCCDTILEAIHSVRLAYRRITRVAKLRKIVLDANNDEQIHKDAIYRELSSFASQNNPFSAVGTAQFRDIHDKCVELLDRLKQTRRKMRRRLRMKSWCKKVGGIALVASHCVVLAGLLVFAFHSVVGVVVAPGILGGLVGLLVKRGGERVGCSSYERVCEELDLAAKGVYIVINDLDTMSRMVKRLEDEVEHRKVVAEVCVRNGKWEILKQVMREFHERESSFMEQLEELEGHIYLCFLTTNRSRRLVLQTITEKK >Vigun05g031300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2493846:2496858:-1 gene:Vigun05g031300.v1.2 transcript:Vigun05g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTCKQSALGTILLLFLLWCLPCLSIADVIYHPLDLFSISCGSSTNFSTLDTRNWTADINFLSQTHHSVAARSLTPTTIQGPYTDARLSHSPFTYLFPLTPGPKFIRFFFYSTSYQNFPRSQASFSVQAGPYTLLQHFNSSLNADADDNPRYPDILLREYCINPQDGENLNITFIPSTTAQHPDSYAFINGIEIVSMPFYLYYTNPDVEIDELPIPADIRHPFPIENSFALETVHRLRVGDKDIPPAEDTGMLRHWDLDKDYVTSPSVESLDIDTKTKLNFTEKTPNYTAPNELYRSLRNMGFDGPANMRFNLTWQLPVDLGFTYLLRLHFCQLDPEIHSPGDLTFYIYIQDRCVEEWADVLKWSGEQKGVPVVRDYTVFVPLNQDKANLSLKMHPKPQSKTWDAQINAIELFKINDSSANLAGPNPSPSPKISGVPIQISNKKSRGTKRPLVPAVAGAVSAVVLLSFIVAFFVIKRRRSVAIDKGSNKKDGTSRGGGSSSLPTNLCRHFSIADIKAATNNFDELFIVGVGGFGNVYKGYIDGSTPVAIKRLKPGSQQGLDEFMNEIEMLSQLRHLHLVSLIGYCYESNEMILVYDFMDRGTLRDHLYGTDNPPLSWNRRLQICVGAARGLHYLHTGAKQMIIHRDVKSTNILLDEKWVAKVSDFGLSRIGPTGSSMTHVSTQVKGSIGYLDPEYYKRQRLTEKSDVYSYGVVLLEVLCGRQPLLRMVEKQQVSLVDWAKHRYQKGSLGEIVDPALKGQIAPQSMRKFGEVALSCLLEDATQRPSMNDVVGVLEFVLQLQLQDSAHVNAVLESGGDYEDSGTENMFSSTHSSVHVSEYSNSSGLITTSYGSKDSDRLIPDPVFSEIKDPKGR >Vigun03g289700.2.v1.2 pep primary_assembly:ASM411807v1:3:47185362:47190136:1 gene:Vigun03g289700.v1.2 transcript:Vigun03g289700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQSLSPAFRPSVPAPNSHAFPAATLFNSSPKFIDSKGVSVSLRHSITSPRVSNSQYSPQIVETLGDVSIFTASGEPVRFSDLWDQNQGVAVVALLRHFGCICCWELALALKESKARFDSAGVKLIAVGVGPPNKARVLAERGGMFVFRGKELLYARKDEGTGDHAPLDDVLEVCLKAPVA >Vigun03g289700.1.v1.2 pep primary_assembly:ASM411807v1:3:47185362:47190136:1 gene:Vigun03g289700.v1.2 transcript:Vigun03g289700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQSLSPAFRPSVPAPNSHAFPAATLFNSSPKFIDSKGVSVSLRHSITSPRVSNSQYSPQIVETLGDVSIFTASGEPVRFSDLWDQNQGVAVVALLRHFGCICCWELALALKESKARFDSAGVKLIAVGVGPPNKARVLAERLPFPMDCLYADPERRAYNVLKLYHGLGRTFLNPASAKVFSRYDSLQKAAENYTIGATPNDISSVLQQGGMFVFRGKELLYARKDEGTGDHAPLDDVLEVCLKAPVA >Vigun03g418200.1.v1.2 pep primary_assembly:ASM411807v1:3:62484659:62485467:1 gene:Vigun03g418200.v1.2 transcript:Vigun03g418200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDETTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEAIDEANLGYSYSIVGGVALPDTAEKITIDTKLSDGSNGGSVVKLSIKYHSKGDAPPNEDELKVGKAKSDALFKVIEAYLLANP >Vigun05g196000.1.v1.2 pep primary_assembly:ASM411807v1:5:37868552:37871654:-1 gene:Vigun05g196000.v1.2 transcript:Vigun05g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLARDVSDLCLGKPPLRSLSTAATVADALAVLKNSDESFISVWLCCEHEKEKEQCRCVGKVCMVDVICYLCKEDNLLSPSSALNQPISVILPKDCSLVVHLQPSSSLLEAIDLILQGAQNLVVPILPTKRSGVSRRKQHLRASPTINSHNGCEFCWLTQEDVIRFLLGSIGVFTPLPALSLDSLGIISSDVLAIDYFSPASSAVGAISKSLTQQTSVAILDSDGTFIGEISPFTLASCDETVVAAIATLSAGDLMAYIDCGGPPEDLVRVVKARLKEKSLEKMLQEFTILSSCESLHSAFSSSSDEESPTRTMTRSGRYSRSSSYSARMVRKAEAIVCHPKSSLIAVMIQAIAHRVNYLWVIEDDCSLVGIATFSNMLKVFREHLETM >Vigun03g185700.1.v1.2 pep primary_assembly:ASM411807v1:3:24521760:24532978:-1 gene:Vigun03g185700.v1.2 transcript:Vigun03g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPGLSALVTGGASGIGKGLALALAEKGVFITIVDFSEANGRQVASLVEKINTKFHSKLEFPSAMFVKCDVTNARDLAAAFQKHFSTYRGLDICINSAGIGNYTPFRSDQTDGTRTWRHTVNVNFTAVIDSTRLAIQAMEAAKRPGVIINLGSASGLYPMFFDPIYSGSKGGVVMFSRALRLYKRQGIRVNVLCPEFVETDMGLKIDPKFINLMGGFVPMEMVVKGAFELITDESKAGHCLWITNRRGLEYWPTPSEEAKYIVRSSRFKRRSDYKAPSIKLPESFEKIVVHTLSHNFRNATSIVRTPLKLPIKPKHVLVKIIYAGVNASDVNFSSGRYFDGNNNDTASRLPFDAGFEAVGIIAAVGDSVTDLKIGMPCAFMTFGGYAEFIMIPSKHALPVPRPDPEVVAMLTSGLTASIALEKAGQMESGKVVLVTAAAGGTGQFAVQVAKLAGNKVVATCGSGAKAKLLKELGVDRVIDYRNEDIKSVLREEFPKGIDIIYESVGGDMLNLCLNALAVHGRLIIIGMISQYQGEKGWTPSKYPGLCEKLLAKSQTVSGFFLVQYGHLWQEHLDKLFDLHSTGKLKIAIDPKRFIGLHSVTDAVEYLHSGKSVGKVVVSVDPNFLPLEAKL >Vigun01g057701.1.v1.2 pep primary_assembly:ASM411807v1:1:11548362:11550559:-1 gene:Vigun01g057701.v1.2 transcript:Vigun01g057701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIMCFYCWKLGIHLVIEGIEVFVEINYTNGEDQFGDSRQIS >Vigun03g247566.1.v1.2 pep primary_assembly:ASM411807v1:3:41162619:41165027:1 gene:Vigun03g247566.v1.2 transcript:Vigun03g247566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFRLNRSLRYENMTTNMDKIEEILYFIKIRWITIIGDLIKVLKPIWIAVIGEVDCSKSHAKELSTE >Vigun09g121900.1.v1.2 pep primary_assembly:ASM411807v1:9:27224797:27228659:-1 gene:Vigun09g121900.v1.2 transcript:Vigun09g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVSRSPSRRRRYSPSPISHRHSRTSRRRSPSHKRRRRRRTSSSPSPSRSPTPKLKKDQKKRRQHEEELKLLEEETARRLEEAIRKNVEEKLKSEEVKLEIERRVAEGVKKLFDDVEAQLGKEKEDALTEARRKEEQARKEREELDKMLEENRRRVEEAQRREALEQQRKEEERQRELEMIQRQKEEAARRKKLEEEEEHANRINSLGKNKSRPKSYGF >Vigun06g062233.1.v1.2 pep primary_assembly:ASM411807v1:6:19018483:19020652:-1 gene:Vigun06g062233.v1.2 transcript:Vigun06g062233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKKTTKFLEKMSTGDQDLQVPSPPQCSQQPTPFQSSNNDSQSPLNPSTQTPSEGTLYSSN >Vigun03g168200.1.v1.2 pep primary_assembly:ASM411807v1:3:19701513:19707086:-1 gene:Vigun03g168200.v1.2 transcript:Vigun03g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSAPKSKILKSPALAQYILETSAYPKEHEQLKQLRETTVQKYGFKSLMSVAVDEAQFLSVLLKIMKAQKTLEIGVFTGYSLLSTALALPPHAKIIAIDVDREAYETGLPFIQKAGVEDKIDFIQADALSALRDLVEGNHGESFDFVFVDADKETMIEYHEVLLKLVKKGGTIAYDNTLWLGTVAMSEDEKMEDKFWKNRKPTLEFNSYIANDCRIESTIVSIGDGLTLCRRI >Vigun07g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32147741:32151396:-1 gene:Vigun07g200800.v1.2 transcript:Vigun07g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRINTLLQGYSGIRFEIMEAIAKFLNHNITPCLPLCGSITASGDIIPLSYVVGLLIGRPNSKSIGHNGEVLNAREAFQLAGIDGGFFELQPKEGLALVNGTAVGSGLASIVLFEANLLVILSEVLVAIFVEAMHGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSFVKEAQKLHEIDPLQKLKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHCGNFQGTPIGVSMDNTRLAISSIGKLMFAQFTELVNDLYNNGLPSSLAASHNPSLDYGFKGAEVAMASYCSELQYLANPVTTHAQSAEQHNQGVNSLGLISSRKTVEALDILKLMSSTFLVALCQAIDLRHLEENLKNTVKNVVSQVTKKVLTVDINQGLHHSRLNEDMLKIIDGEHVFTYIDDPCRTTYPLMQKLRLVLIDHALQNGDKVGSSSSSILQKISAFEEELKVLLPKEVESTRIEIENGNPNIPNRIKKCRSYSLYKFVRDTLGTILLTGEKVRSPGEECNKVFVALCEGKFIDPMLNCLKEWNGTPLPI >Vigun07g224900.1.v1.2 pep primary_assembly:ASM411807v1:7:34688488:34700893:1 gene:Vigun07g224900.v1.2 transcript:Vigun07g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILVALAFLCLAALAPWRVRSQQQRHLVNMTLVHQAKASGALCLDGSLPAYHLDRGFGAGENNWLLQFEGGGWCNDLQSCFERAKTRRGSTSYMAKLEVFSGILSNNATLNPDFYNWNRVKLRYCDGASFTGDAVFTNKTTTLHFKGQKIWEAIIDDLLPQGLGKARKALLSGCSAGGLATFHHCDDFAQRLPTDASVKCLSDAGFFLDERDISMNHTMRFFVKSLVQFQGIEQHLNRNCTKELYFPDLCFFPQYALKYISTPYFILNSAYDVYQFHHILAPPSADLHGHWNKCRLNPAACTADQIAILQGFRLDMLAVLRPFYMNSRRDGVFINSCFAHCQSEQQDTWFRDDSPRINNKTIAEAVGDWYFSRNISKEIDCPYPCDGTCHNIIP >Vigun07g224900.2.v1.2 pep primary_assembly:ASM411807v1:7:34688488:34700893:1 gene:Vigun07g224900.v1.2 transcript:Vigun07g224900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHQAKASGALCLDGSLPAYHLDRGFGAGENNWLLQFEGGGWCNDLQSCFERAKTRRGSTSYMAKLEVFSGILSNNATLNPDFYNWNRVKLRYCDGASFTGDAVFTNKTTTLHFKGQKIWEAIIDDLLPQGLGKARKALLSGCSAGGLATFHHCDDFAQRLPTDASVKCLSDAGFFLDERDISMNHTMRFFVKSLVQFQGIEQHLNRNCTKELYFPDLCFFPQYALKYISTPYFILNSAYDVYQFHHILAPPSADLHGHWNKCRLNPAACTADQIAILQGFRLDMLAVLRPFYMNSRRDGVFINSCFAHCQSEQQDTWFRDDSPRINNKTIAEAVGDWYFSRNISKEIDCPYPCDGTCHNIIP >Vigun01g070700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19134404:19135168:1 gene:Vigun01g070700.v1.2 transcript:Vigun01g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWGPVFVSVVLFILLTPGLLVQIPGRGSFIEFGNFQTSGLSILIHAILYFALVCIFMLAVGIHMYMG >Vigun09g211900.1.v1.2 pep primary_assembly:ASM411807v1:9:38613575:38618503:-1 gene:Vigun09g211900.v1.2 transcript:Vigun09g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSGKKKDIATPGAANSHAKHAKSSKAFDEDTAMFINMSQEFREEGNKLFQKKDHEGAMLKYEKALKLLPKNHIDVAHLHTNMATCYMQLGLGEYPRAIHECNLALEVSPRYSKAILKRATCYRELNRSDLALRDVNLVLSMEPNNLTALELLESLTKSTEEKGVSVDDKRMAFDTTVYHSPSRSSQKLKKKRGKKIVDKVVVEEKPGVIVEDKKVVSKTIGQEGKVDSETIGQEDKVVSKTIERVKEVDSKAIEQEKKVVEVKPVEEEKHATITRSVKLVFGEDIRWAQLPVNCSAKLVRDIARNRFPGLKGVLVKYKDKEGDLVTITTTDELRLAEKSAPEKASFRLYITEVSPDQEPSYDGTTTNEDEVQREGGNENGGVENRGTEEGRDEDAAKRMITVEDWLLQFARLFKNHVGFESDSYLDTHEFAMKLYGEAMEDTVASNDAQELFGIAADKFQEMAALALFNWGSVQMSRARNQGSFSEDGARESSLEHIKAAYELARKEYEKAELRYEEALKIKPDFYEGYLALGHQQFEQARLCWCYALACKDSNAGFSEEVLQLYNKAEDSMEKGILMWEEVEEQRLNGISKSDKYREQLEKMGLDGLFKDVSDDEASKQAAKMRSQIHLLWGTLLYERSVVEYKLGLPTWEECLEVAVEKFELAGTSGTDIAFIVKNHCSNETALEGFKIDEIVQAWNEMYDAQGWQFSDPSFRLEPLFRRRVPKLHSILEQF >Vigun09g211900.2.v1.2 pep primary_assembly:ASM411807v1:9:38614123:38618462:-1 gene:Vigun09g211900.v1.2 transcript:Vigun09g211900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSGKKKDIATPGAANSHAKHAKSSKAFDEDTAMFINMSQEFREEGNKLFQKKDHEGAMLKYEKALKLLPKNHIDVAHLHTNMATCYMQLGLGEYPRAIHECNLALEVSPRYSKAILKRATCYRELNRSDLALRDVNLVLSMEPNNLTALELLESLTKSTEEKGVSVDDKRMAFDTTVYHSPSRSSQKLKKKRGKKIVDKVVVEEKPGVIVEDKKVVSKTIGQEGKVDSETIGQEDKVVSKTIERVKEVDSKAIEQEKKVVEVKPVEEEKHATITRSVKLVFGEDIRWAQLPVNCSAKLVRDIARNRFPGLKGVLVKYKDKEGDLVTITTTDELRLAEKSAPEKASFRLYITEVSPDQEPSYDGTTTNEDEVQREGGNENGGVENRGTEEGRDEDAAKRMITVEDWLLQFARLFKNHVGFESDSYLDTHEFAMKLYGEAMEDTVASNDAQELFGIAADKFQEMAALALFNWGSVQMSRARNQGSFSEDGARESSLEHIKAAYELARKEYEKAELRYEEALKIKPDFYEGYLALGHQQFEQARLCWCYALACKDSNAGFSEEVLQLYNKAEDSMEKGILMWEEVEEQRLNGISKSDKYREQLEKMGLDGLFKDVSDDEASKQAAKMRSQIHLLWGTLLYERSVVEYKLGLPTWEECLEVAVEKFELAGTSGTDIAFIVKNHCSNETALEGFKIDEIVQAWNEMYDAQGWQFSDPSFRLEPLFRRRVPKLHSILEQF >Vigun05g128800.1.v1.2 pep primary_assembly:ASM411807v1:5:14871709:14874861:1 gene:Vigun05g128800.v1.2 transcript:Vigun05g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKSKIAGDVAALIMESPPPHSHLGVRTRAKTLALQNSPQTPDSAAYLQLRSRRLLKLPPPIPEKPRRGAPVDSASNSRLSQTALSKTPSSRSPEKFAPLDDDNAECSFGQNFLDVEGRDERSTRESTPCSLIRDPNVIHTPGSTTKQRTLQIKHDHIQRNIPPAHELEEFFAYAEKQQQKMFMEKYNFDIVNDVPLPGRYEWVPVLH >Vigun05g122200.2.v1.2 pep primary_assembly:ASM411807v1:5:13524418:13525756:1 gene:Vigun05g122200.v1.2 transcript:Vigun05g122200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSSGSCTCGGKQPSGGYDGGRSSHGGGSSHGCGARIFAIKPMCYCGQTAVLRTAKTPKNRGKQFWGCPKFKSGSQDLGGCNFFQWFIEQEIEERDMMQMEEKDVVKMEEKDFGGNKVLKVEKIEEKDVVNMRERDGGWIITRKLDETIVRLQKWMKLMLGMMVVVCVMNVIVISLLL >Vigun05g122200.1.v1.2 pep primary_assembly:ASM411807v1:5:13524418:13525756:1 gene:Vigun05g122200.v1.2 transcript:Vigun05g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSSGSCTCGGKQPSGGYDGGRSSHGGGSSHGCGARIFAIKPMCYCGQTAVLRTAKTPKNRGKQFWGCPKFKSGSQDLGGCNFFQWFIEQEIEERDMMQMEEKDVVKMEEKDFGGNKVLKVEKIEEKDVVNMRERDGGWIITRKLDETIVRLQKWMKLMLGMMVVVCVMNVIVISLLL >Vigun11g146600.1.v1.2 pep primary_assembly:ASM411807v1:11:35546031:35555211:-1 gene:Vigun11g146600.v1.2 transcript:Vigun11g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQKVVLPPELPPEIPDDEVEVSDEDLQFIKENRNYASLLSTLDTHSITKHVTRVADAKDDALEKLYEKRMQKNALKKEKEETGLQVDRVDALPIKTLDGKLHYRTASKTVSENDLSEEGTAEDTNMDEGMVKLTKAEKRAKLKKMKKEAKKQGKEMAKAEVEETPHAAVLAEVKEDLTAEQTFESKKCKLAELGNALLTDPESNIKLLKEMVQLSKDDDHTIVKLGLLSLLAVFKDIVPGYRIRLPTEKELEMKVSKTVRKMRYYESTLLSAYKAYLQRLVILEKKPLFQHVAVRCICSLLDANPHFNFRESLLEATIRNIGSTDEAIRKLCCSTIKSLFTNEGKHGGEVTVEAVRLIADYVKAYNCQMHPDSVDVFLSLSFNEDLLKSGKTEEDQKLKDKKSKKRKHSEASNQLTENDRKKSRQELISKTREEVEADYKAASFAPDVMERKQMQTETLSAVFETYFRILKHTMQSIGARPEANAGALSAAVEPLPLLAPCLKGLAKFSHLIDLDFMGDLMNHLKVLASGSSNSGNTSDKSSKCLTVSERLQCCIVAFKVMRNNLDALNVDLQDFFIHLYNLVLEYRPGRDQGEVLAEALKIMLCDDKHHDMQKTAAFIKRLATFSLSVGSADSMAALVTVKHLLQKNVKCRNLLENDIGGGSVSGTIPKYLPYSTDPNLSGALASVLWELNILSSHYHPSISTLASGISSMSTAHNQVLLSKSSPQLAFKEMSLDQELCFTQQSGSIKLKNKRRTNGPAASLSIGSTTVKSSFDDNELQRKLISHFMVHHDIKENERLRKELDRTASSLQLYEQYKKQKKLSKPRR >Vigun11g161050.1.v1.2 pep primary_assembly:ASM411807v1:11:36843828:36848770:1 gene:Vigun11g161050.v1.2 transcript:Vigun11g161050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHILTNFRSQISTSATKLGVRNIAFLGYGILLMNYIVSVLAAVYMPQGKKGIWLRLPLEKSDLVPIANKEGFGYHHAEPGYVMLTYWISEGPSMLQVNGSHIM >Vigun01g239500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41013866:41014027:-1 gene:Vigun01g239500.v1.2 transcript:Vigun01g239500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun06g039000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15779136:15779660:-1 gene:Vigun06g039000.v1.2 transcript:Vigun06g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTSQASPKISKDEPQKHQFKSLIKVLRPKVYITDSSSFKKLVQELTGNGSPTTLSPRPPPPPLEPNIMIQNCPFVGTQSHSSDDVSVSPDANSPELCYDALMNEEFNQVCNELSLDDLAFQESVANIDHLLAYQNFESLMFDVEQNPLYSYYEQMEQPDVSIYDYELSGLL >Vigun07g087200.8.v1.2 pep primary_assembly:ASM411807v1:7:13348991:13354860:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.2.v1.2 pep primary_assembly:ASM411807v1:7:13348409:13354962:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.1.v1.2 pep primary_assembly:ASM411807v1:7:13349134:13354595:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNRTKMNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.7.v1.2 pep primary_assembly:ASM411807v1:7:13348462:13354419:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQAMNQPNVSKPTTSRGQENPEKHQSVWSVGDIRRKKEKYTWNISN >Vigun07g087200.3.v1.2 pep primary_assembly:ASM411807v1:7:13349032:13354860:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNRTKMNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.11.v1.2 pep primary_assembly:ASM411807v1:7:13349135:13354594:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.5.v1.2 pep primary_assembly:ASM411807v1:7:13348410:13354961:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.10.v1.2 pep primary_assembly:ASM411807v1:7:13349134:13354595:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQAMNQPNVSKPTTSRGQENPEKHQSDET >Vigun07g087200.9.v1.2 pep primary_assembly:ASM411807v1:7:13348409:13354962:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQVNLSLMLCLISSVIFTSGRGFFGASS >Vigun07g087200.6.v1.2 pep primary_assembly:ASM411807v1:7:13348462:13354419:-1 gene:Vigun07g087200.v1.2 transcript:Vigun07g087200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFEIQIDDDEEFPIPVSKKPSMQNDEIMELLWQNGQVVMQSQNHRPVRKPPNTLVSGGVTPAREIRYSEAENYGNQHLFMQEDEMASWLHYPIQEDPPPFDHHDFCADILNPPTNVIASQNQNSAAVQSSVRTTELRHPAPRPPIPPSRRQVPAANRMQNFAHFSNHANASSSSKTTTAQPTVVDSCETPVATAEHAETGRASVTPADAGKAAESGGRETATCDVTVTSSPGGSSGSAEPVQREVDRKRKGREPDESEFQSEDVDFESPEAKKQGRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPPMGMGIGMGMGMEMGMNRPVMPFPNMLSSSTLPAATAAGHLGPRFPPMPPFHIPHVSAPDSSRMAAPNLSDNGMLNALGTQGPDQSRIPNFTDPYQQYVGLQQVQLQLMQAMNQPNVSKPTTSRGQENPEKHQSVWSVGDIRRKKEKYTWNISN >Vigun03g246301.1.v1.2 pep primary_assembly:ASM411807v1:3:40980755:40981890:-1 gene:Vigun03g246301.v1.2 transcript:Vigun03g246301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLLFYFFIQFSKGQLRVKFKPFSKYPPCYKDMSFWISESFTKQSV >Vigun07g176900.1.v1.2 pep primary_assembly:ASM411807v1:7:29216750:29220791:1 gene:Vigun07g176900.v1.2 transcript:Vigun07g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHSLKALFHRSSESDHNPLNMSDEQILEQIYSTHVHSHTKFDVDSLFVLVENTLRRSTLIVDNVVQGSKSSLEQLEDKIPQANFNSPLCTLKQISSEMSCKPAGEEIAHKTTMTILVKLANYEWDAKAVLTMAAFAMEYGEFWLLAQHQPTDPIAKSVAFLKGVPVLTRPAALQKHRQAIIELNNLVKTTLLVIELIFELEKLTTFDTKDVPALLPAIEQIPVDVYWAIITIVAIETQIDYLTTESGNKQDLSHYAQKINIILSKLRKQIMLCRQQIEEAEYHHRLRKFFQTPTEIMEVFKFLVFSKDAPHQLFDGATKTTVEITELRKKNVYLLISTLEITDEEISVLRPVYEYIKTNDQYKIVWIPIVEEWTEKLQKRFEVLKSKMPWYVVQHFGTIAGYKYIKEEWHFKKKPMVVVLNPQGKVQHANAYHLIHVYGMKAFPFTIADQERIDREIHWIGSVVGDSHPHISTWIREQKYILIYGGSDKEWIQQFTKYATTFANDGALKEANVQIELFCVEKEDKSFLRRFWSGIESLFVTKAHNTVDAVTQEVQRMLSYKNETGWAVLCKGSSVIMSGHGSTVLKTVSEFDKWKEAMLKKGFEPTFKEHHERIVRTTHRCVHLEIPNIAGKLPETIKCPECGRTMEIFISYKCCHTDSTSIAIH >Vigun01g115532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29219736:29222330:-1 gene:Vigun01g115532.v1.2 transcript:Vigun01g115532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLLAKLVSRAFEEASRVVGLYDNLRDLTKTLSLIKAVLLDAQQKQEHNHELREWLTQIKTVFSDAEDLLDEFECQTLRNKVVKAHGSTKDEVSNFFSTSNPLVFRYKMAQQIKDISSRLDKVAADRHKFSLQTIDVDTRVVHRRDMTHSRVSDSDVIGRKHDKEKIVELLLQQNPNDDDTSISVIPIVGIGGLGKTTLAKFVFNDSRIQEHFPLKMWVCVSDDFDIKQLTIKIINSANDSASADAPSHQMNLNMLDLEQLQNQLKNKLFGQKFLLVLDDVWNEDRVKWVELRNLIQVSAAGSKILVTTRSHSIASMMGTVPSHNLEGLSEEDSLSLFVKWAFKEGEEEKHPHLINIGRQIVKKCKEVPLAVRTLGSLLFLKFEVSEWEYVRDNEIWNLPQKRDDILPALKLSYDLMPSYLRQCFALFSLYPKDYEMDSYNITALWGALGLIALPTTNRAREDVANHYLHELLSRSFLQDFENFGTFYQFRIHDLVHDLALFVAKDECLHVTSNSQNISDNVRHLSFSESSLFENLVSKETAAVRTVLFPIGAAVTNSEALLNTCLSKFKCLRVLDLHGSTFKTLPRAITKLKHLRYLDISRNPYIKRLPDSICKLQSLQVLSVNGCMELEALPKGLRKLSSVWCFEFSTNQSILPVNEISNLESLEMLNVESCNNVESIFGGVKFPALKTLFVRDCQTLKSLLLNGQDFPELETLIVYHCRNLDLELWKGAHQEESPKLKLKFIGFLSLSQLVTLPRWLQEAANSLQCLYVSNCHNMERFPDWLTTLTHLKTLTVIYCPKLVSLPDNILHLSALENLRIEGCPDLCKKYAPHVGEFWPKISHIKNIFMDEPEG >Vigun07g080300.1.v1.2 pep primary_assembly:ASM411807v1:7:11242149:11245452:1 gene:Vigun07g080300.v1.2 transcript:Vigun07g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSWFSTVKKALSPESKERNDQKTSRPKKKWFGKQKLQTSASQSETDKAAPPLPPPEVILPHSEIETSHDRVKVATAVVVEEPVPAVHTEPVPAVQTEPVEVQATTIVQLHSKPTEGVAAIRIQKAFRGYLARRALRALRGLVRLRSLMEGPVVKRQAISTLRSMQTFAHLQTQIRSRRLRMLEENQALQKQLLQKHAKELESMKLGEEWDDSVQSKEQVEAKLLSKYEAAMRRERAMAYSFSHQQNWKSSSRSINPMFMDPTNPTWGWSWLERWTAARPGESHSLGEKEKNDNKSIRSSGRGITSAEISKSFAKFQLNSEKHSPTASQNPGSPNFESQSQQSHSNPPKSAPPAAAKKVKKVSPKDMLAIDDDTKSVLSVQSERPRRHSIGGSTVGDDESLASSPAIPSYMVPTKSAKAKSRMQSPLAAENVTPDKGSGSPAGTAKKRLSFPASPARPRRHSGPPKVESSFNAEITVGNGVSG >Vigun11g120000.1.v1.2 pep primary_assembly:ASM411807v1:11:32610299:32613821:1 gene:Vigun11g120000.v1.2 transcript:Vigun11g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRIYGVTILLFFLPTLAFSLSQGQINSNSILVALLDSHYTEVAELVEKAMLLQTLENTVMNHNITIFAPRNEALERDLDPDFKRFLLEPRNLQSLQTLLMSHIVPKRITKPEYQPGLSHPARPTRHKTLAPNHHLSLQTVNSTHWNVDSSRVTHPDSLTRPDGVIHGIDTLIIPRSVQDDFNRRRNLISIAAVKPESAPEVDPRTHRLKKPAPPTPAGSPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGSPEQIMYYHLIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVTAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLLPPQEEEAGPVTRAQPAKVVVKQRRGKLLETACWMLGSFGQDSRFISCQ >Vigun07g154600.1.v1.2 pep primary_assembly:ASM411807v1:7:26566839:26574657:1 gene:Vigun07g154600.v1.2 transcript:Vigun07g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTERSRRSAHLEMELISKLRNQFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVLFSEEKLCKWLVQLLMALEYLHMNHILHRDVKCSNIFLTKDHDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTAHKPAFKAFDIQALINKINKSIVAPLPTKYSSSFRGLVKSMLRKNPELRPSATELLGHPHLQSYVLKVHLKINSPRRNTLPVHWPESKYLKKTRFLVPEDDPVSLYRDKRHSFSNDRTLNPSVSGADQDSVCSTLEIDCTPDHLNQRLAELRVGDSHELKSIRKPAVSRTSSITKTPRFTSSKVAGTNKKTMKSSKNHKEMLPSHSTTKSANTNRRASFPLPTKGGIRQPPCGPNATMISHVSSPDISVNSPRIDKMAEFPLTSYVDSLFPINRTSSFVQGSSGLPSSGNHSTVIDKCTVEVHDRASNRPDCTDSWQGIKQSVLKEIDEDKSGSSDQNATAGASSHTSSDLRRRQFDPSSFQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPGKVSPRETAIWLSKSFKENTFNPEEFM >Vigun07g154600.2.v1.2 pep primary_assembly:ASM411807v1:7:26567634:26574296:1 gene:Vigun07g154600.v1.2 transcript:Vigun07g154600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTERSRRSAHLEMELISKLRNQFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVLFSEEKLCKWLVQLLMALEYLHMNHILHRDVKCSNIFLTKDHDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTAHKPAFKAFDIQALINKINKSIVAPLPTKYSSSFRGLVKSMLRKNPELRPSATELLGHPHLQSYVLKVHLKINSPRRNTLPVHWPESKYLKKTRFLVPEDDPVSLYRDKRHSFSNDRTLNPSVSGADQDSVCSTLEIDCTPDHLNQRLAELRVGDSHELKSIRKPAVSRTSSITKTPRFTSSKVAGTNKKTMKSSKNHKEMLPSHSTTKSANTNRRASFPLPTKGGIRQPPCGPNATMISHVSSPDISVNSPRIDKMAEFPLTSYVDSLFPINRTSSFVQGSSGLPSSGNHSTVIDKCTVEVHDRASNRPDCTDSWQGIKQSVLKEIDEDKSGSSDQNATAGASSHTSSDLRRRQFDPSSFQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPGKVSPRETAIWLSKSFKENTFNPEEFM >Vigun10g155400.2.v1.2 pep primary_assembly:ASM411807v1:10:37526880:37530209:-1 gene:Vigun10g155400.v1.2 transcript:Vigun10g155400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRNLKNAVIAFLVPLPSIFFYLSFLNHYDPPNSSSPWSSLWSWCYYHPLLLANTLFFLNVNVLFWVIGLIQSSHWMIDPYWTVIPVMLVHYYATHPLANYDLWRSKIVVLLTWVWSVRLTHNYFRREGWQWGAREDWRFTEMSEQYGKQWWWISFFAVYVSQQMFLIALSLPLYAVHTVNQPLSMWDLAATAVCLCGIVIAYFSDTQLYEFMNRNNKLKEVGKPVVPVLDSGLWYYCRHPNYFGEQLWWWGLAVFSWNLGLGWTFIGALVNTMCLAYVTKLVEDRMLKKESRAKAFRLYQKTTSVWIPWFKSSPSGVKNKNA >Vigun10g155400.1.v1.2 pep primary_assembly:ASM411807v1:10:37527175:37530209:-1 gene:Vigun10g155400.v1.2 transcript:Vigun10g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRNLKNAVIAFLVPLPSIFFYLSFLNHYDPPNSSSPWSSLWSWCYYHPLLLANTLFFLNVNVLFWVIGLIQSSHWMIDPYWTVIPVMLVHYYATHPLANYDLWRSKIVVLLTWVWSVRLTHNYFRREGWQWGAREDWRFTEMSEQYGKQWWWISFFAVYVSQQMFLIALSLPLYAVHTVNQPLSMWDLAATAVCLCGIVIAYFSDTQLYEFMNRNNKLKEVGKPVVPVLDSGLWYYCRHPNYFGEQLWWWGLAVFSWNLGLGWTFIGALVNTMCLAYVTKLVEDRMLKKESRAKAFRLYQKTTSVWIPWFKSSPSGVKNKNA >Vigun09g162400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33008543:33010936:1 gene:Vigun09g162400.v1.2 transcript:Vigun09g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRNHKPFPFVILLLLTLSWAAEEEASTTIIFTTLGRSFYAFDIYSLPLHQKPLNPDQELQLTDGRSVNFNGHFISNASAIQLPARSPPLQLVYVTERNGSPSIYYDAVYTSATATRSTQESVTAERNQIPLLPNKPTDPYPPSIKDRPSVTPDGEFLIYVSTHENPGVPRASWAAVYSTHLQSGLTKRLTPYAVADFSPALSPSGLWTAVASYGPDGWAGEVEDLTTDIYVFLTRDGTHRVKIVEHGGWPSWVDDRTLYFHRRGDDQWWSIYRAIIPSNGPVSVDSVTVERVTPPGLHAFTPATSPDNHNFIAVATRRAGSSFRHIELFNLVNNEFTELTRLVSPRSHHLNPFISPDASRVGYHKCRGEPNAHSSPQLLLENVRSPVPGLSLFRFVGSFPVFSPSGDRIAYVNLPGIYVVNQDGSNLRKVSNALAFSTAWDRVRPGVIYTAIGETFASVSSQVDIVSIDVDNENSVKRLTLDGKNNAFPSPSPDGKWIVFRSGRSGHKNLYIMDAVDGERKGLRRLTEGPWTDTMCNWSPDGEWIAFASDRHAPGSGSFELYVIHPDGSGVRKVIESGSRGRTNHPYFSPDGKSLTFTSDYAGISAEPISNPHHYQPYGEIFRVRLDGSGIERLTHNSYEDGTPAWSPKYIRPVNVEMAKGGPYCSFEDCHWLNTMPNSSSAFCSAL >Vigun09g031500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2690444:2690977:-1 gene:Vigun09g031500.v1.2 transcript:Vigun09g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSEPAAQPPSSSASGGASSGASLDPIFHLLRVLPFSFLRPPRLRLKLPSLTLPSPNAVFALLLLTYFMVVSGIVYDIIVEPPGIGSTQDPYTGSVRPVVFMSGRVNGQYIIEGLSSGFMFVLGGIGIILLDLALDRNRAKSVKVSYASAGVSSVVLAYVMSMLFIRIKIPAYLR >Vigun11g041500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5958527:5962413:1 gene:Vigun11g041500.v1.2 transcript:Vigun11g041500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTQHFRSQASLEFQKNRGFCFQDCVFLLQHLRDHKDVNCGRILHSLVVKSALFMDVFIQNNMIRFYGDIGEVHNARKLFDEIPQPSLVSWTSLVSCYVHAGQHEMGLSLFRGLCRSGVRPNEFGFSVALKACKEMCDAVMGKLIHGLVIKSGFDSHRFCSALILHMYADCGDIKNSRKVFDGVCYGERCEALWNTLLNAYVKVSDVEGSLKLFHEMGHSSVSRNHFTYTTIVKLCADVLDVELGRSLHGQIVKIGIENDVVVGGTLIDCYVKLQLLDEARKIFQVLDEKDNVAVCALLAGFNQVGKCKEGLALYVDFLSGGNKPDPFTCASAVSLCSNLETQLSGSQIHCGVIKLGFMLDSYLGSAFINMYGNFGMVSDAYRCFLEVCNKNEICVNAMMNTLIFNSYDLKAIELFCGMREVGIAQNSSSISYVLRACGNLFMLKDGRSFHSLVIKIPFEDDCRLGLENALLEMYIRCRAINDAKLVFKNMPIRNEFSWTTIISGCGESGHFVEALGIFCDMLLYSKPSQFTLVSVIQTCTEIKAIHVGKQVQSYIMKVGFEYHPFVGSALINLYAVFKHETLSALQVFLSMKEKDLISWSAMLTAWVQNGYHEEALKHFAEFQTVPIFQVDESILSSCISAAAGLAALDIGKCFHSWVIKVGLEVDFHVASSITDMYSKCGNIKDACKFFNTISDHNLVTLTAMIYGYAYHGFGREAIDLFNRAKEAGLEPDGVTFTGVLAACSHAGLVEEGCEYFRYMRSKYNSELTINHYACMVDLLGRAAKLEEAEAVIKEAPFQSKSLLWKTFLGACTKHENAEIAKRISNILADLELNEPSTYVLLSNIYASASMWKNCVELRNKMVEGSITKQPGSSWIQLAG >Vigun11g041500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5958525:5962413:1 gene:Vigun11g041500.v1.2 transcript:Vigun11g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPCFSPTNSTQTKHVVTGIYLFFRTQFSNWTIKPMLTRTQHFRSQASLEFQKNRGFCFQDCVFLLQHLRDHKDVNCGRILHSLVVKSALFMDVFIQNNMIRFYGDIGEVHNARKLFDEIPQPSLVSWTSLVSCYVHAGQHEMGLSLFRGLCRSGVRPNEFGFSVALKACKEMCDAVMGKLIHGLVIKSGFDSHRFCSALILHMYADCGDIKNSRKVFDGVCYGERCEALWNTLLNAYVKVSDVEGSLKLFHEMGHSSVSRNHFTYTTIVKLCADVLDVELGRSLHGQIVKIGIENDVVVGGTLIDCYVKLQLLDEARKIFQVLDEKDNVAVCALLAGFNQVGKCKEGLALYVDFLSGGNKPDPFTCASAVSLCSNLETQLSGSQIHCGVIKLGFMLDSYLGSAFINMYGNFGMVSDAYRCFLEVCNKNEICVNAMMNTLIFNSYDLKAIELFCGMREVGIAQNSSSISYVLRACGNLFMLKDGRSFHSLVIKIPFEDDCRLGLENALLEMYIRCRAINDAKLVFKNMPIRNEFSWTTIISGCGESGHFVEALGIFCDMLLYSKPSQFTLVSVIQTCTEIKAIHVGKQVQSYIMKVGFEYHPFVGSALINLYAVFKHETLSALQVFLSMKEKDLISWSAMLTAWVQNGYHEEALKHFAEFQTVPIFQVDESILSSCISAAAGLAALDIGKCFHSWVIKVGLEVDFHVASSITDMYSKCGNIKDACKFFNTISDHNLVTLTAMIYGYAYHGFGREAIDLFNRAKEAGLEPDGVTFTGVLAACSHAGLVEEGCEYFRYMRSKYNSELTINHYACMVDLLGRAAKLEEAEAVIKEAPFQSKSLLWKTFLGACTKHENAEIAKRISNILADLELNEPSTYVLLSNIYASASMWKNCVELRNKMVEGSITKQPGSSWIQLAG >Vigun11g041500.2.v1.2 pep primary_assembly:ASM411807v1:11:5958527:5962413:1 gene:Vigun11g041500.v1.2 transcript:Vigun11g041500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWKDRTQFSNWTIKPMLTRTQHFRSQASLEFQKNRGFCFQDCVFLLQHLRDHKDVNCGRILHSLVVKSALFMDVFIQNNMIRFYGDIGEVHNARKLFDEIPQPSLVSWTSLVSCYVHAGQHEMGLSLFRGLCRSGVRPNEFGFSVALKACKEMCDAVMGKLIHGLVIKSGFDSHRFCSALILHMYADCGDIKNSRKVFDGVCYGERCEALWNTLLNAYVKVSDVEGSLKLFHEMGHSSVSRNHFTYTTIVKLCADVLDVELGRSLHGQIVKIGIENDVVVGGTLIDCYVKLQLLDEARKIFQVLDEKDNVAVCALLAGFNQVGKCKEGLALYVDFLSGGNKPDPFTCASAVSLCSNLETQLSGSQIHCGVIKLGFMLDSYLGSAFINMYGNFGMVSDAYRCFLEVCNKNEICVNAMMNTLIFNSYDLKAIELFCGMREVGIAQNSSSISYVLRACGNLFMLKDGRSFHSLVIKIPFEDDCRLGLENALLEMYIRCRAINDAKLVFKNMPIRNEFSWTTIISGCGESGHFVEALGIFCDMLLYSKPSQFTLVSVIQTCTEIKAIHVGKQVQSYIMKVGFEYHPFVGSALINLYAVFKHETLSALQVFLSMKEKDLISWSAMLTAWVQNGYHEEALKHFAEFQTVPIFQVDESILSSCISAAAGLAALDIGKCFHSWVIKVGLEVDFHVASSITDMYSKCGNIKDACKFFNTISDHNLVTLTAMIYGYAYHGFGREAIDLFNRAKEAGLEPDGVTFTGVLAACSHAGLVEEGCEYFRYMRSKYNSELTINHYACMVDLLGRAAKLEEAEAVIKEAPFQSKSLLWKTFLGACTKHENAEIAKRISNILADLELNEPSTYVLLSNIYASASMWKNCVELRNKMVEGSITKQPGSSWIQLAG >Vigun11g149500.10.v1.2 pep primary_assembly:ASM411807v1:11:35960084:35971652:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.9.v1.2 pep primary_assembly:ASM411807v1:11:35960084:35971652:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.1.v1.2 pep primary_assembly:ASM411807v1:11:35961363:35971669:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRMEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.7.v1.2 pep primary_assembly:ASM411807v1:11:35962101:35971653:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVRRMEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.2.v1.2 pep primary_assembly:ASM411807v1:11:35959955:35971669:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.8.v1.2 pep primary_assembly:ASM411807v1:11:35962101:35971653:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVRRMEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.3.v1.2 pep primary_assembly:ASM411807v1:11:35959955:35971669:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun11g149500.6.v1.2 pep primary_assembly:ASM411807v1:11:35961363:35971669:1 gene:Vigun11g149500.v1.2 transcript:Vigun11g149500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRMEAFPRDQRGSLEVFNPSSSYSNEKPVNSPLRTQSTWKTWMESRAEEPPEEQQRGGSDEVTATSWMALKDSTPPLPAQTLAAVLGEPPAEVGNAAKRAAEWGLVLKTDTETGKPQGVAVRTSGGEEPSAKVTGGSRRDSGNSVRSSGESSDDGREYRGGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPDDVAKIREALQTGHTYCGRLLNYKKDGTPFWNLLTIAPIKDHDGRVLKFIGMQVEVSKHTEGTKEKMLRPNGLPESLIRYDSRQKEKANGTVSELLLAVRRPRALSESAGRPLIRKSASGDDVEEKPEKSSRRKSESVSSFRRKSHAGDTSSMERITELPEKKHKTSRRRSFMGFIRKSQSNFGSFNDEGVIEDSSENSDEDDDERSGSFDGKVKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAENTAKEGEQLVKETAENVDDALRELPDANLKPEDLWMNHSKVVHPKPHRRDEAAWKAIQKILESGEQIGLNHFRPVKPLGSGDTGSVYLVELGETGQYFAMKAMEKGIMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYCSGGELFLLLDRQPAKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHVSLTDFDLSCLTSCKPQLLVPSINEKKKAQKSQQPPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMFFGYTPFRGKTRQRTFTNILHKDLKFPKSKQVSFSAKQLMYRLLNRDPKSRLGSREGANEIKNHPFFRGVNWALVRCTKPPELDAPLFEITEEEKEAKFEDQVQEQMSVF >Vigun08g125100.1.v1.2 pep primary_assembly:ASM411807v1:8:29512431:29514230:-1 gene:Vigun08g125100.v1.2 transcript:Vigun08g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTKGFTYSLLQLRDYPCDTAEENLQLQEQNQFVIIQLDDTESDDIIKSQQDNSDVDSTLATSPEDEDLRLRDHLLTQSDSHPQDADLIIKQQLMNCMKELEKENERLVPMVCSHVEKYLKAAFDSREVPDPDFNLVMDALPSGIMRRLKENVKLMVDAGFMDECIDIYSKSRKEFVEQCLRPLGLQFEKPNNEDVEKWSKTWKAVGKILFPNERGLCSYIFSGIHDAAGVSAVEKVCKKLTTGLLSFADTTITADNYLPNLLSYMVPKMSETLGEVVREVISPTSDHELSIVDDIQDVRQSLGMLKQSRDSIYPNNDIQDVRQKLAMLNKIGGNLIYPNKKKAGLVDGGFHLMTNLLKKKIRKL >Vigun03g201701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31086924:31087475:1 gene:Vigun03g201701.v1.2 transcript:Vigun03g201701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TYFIFRGNYTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRKQGISGPL >Vigun08g205600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36883085:36886081:-1 gene:Vigun08g205600.v1.2 transcript:Vigun08g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVVWLVLVYSHMLVFQFSFSHSLCHPHDKIALLQFKTSLNYSHSYYHRNCGNVDRKIATWENETDCCSWVGVTCHPFSGRVIGIDVSCSGLFGAILPNSTFFHLSHLQSLNLAFNSFLTFQLSSLFGGFVSLTHLNLSSSLLIGEIPSQISQLSKLESLDLSDNYMLTWKESSWNKFLQNATILRELVLDNVDMTSSSMSSLNRSTSLVSLSLVRTRIQGNLKNDILCLPKLEKLLLSDNSDSLNGQHLPSLSCNGASLSILDLSFNHFEGSIPSFFSNLTHLTSLDLSNNLYLNGSIPSSLLTLPHLTLLDLGFNQFTGQISNVFPHSISFEKLDLSHNNIGGELPFTLSNLQHLSFLDLSKNKFTGQIPSSLSNLQHLVILDLSENELSGQIQSSISNLHHLTSLILAKNELRGQIPSSLVNLQHLNILDLAYNRLEGPLPNKIIGLPNLTNLYLNDNLLNETIPVWCLSLPSLLELDLSDNRFTGHISAISSQSLKSLYLCNNKLQGNIPPSIFTLVNLTGLCLSSNNFSGLHNSSFFTDVNYKFPHLDRLYLSSMGLTEFPKLSGKVPMLAQLDLSNNKLNGTLPEWLQQMNSLLLLNLSQNLLTAPILNQLSRNKGLFILDLSFNLLTGSISSSICNASSLLVLILSHNKLTGVIPPCLANLKFLVVLDLQRNELNGTLPSNFSMDSKVAVLNLNDNQLEGVLPKSLSNCSQLEILNIGNNQIEDKFPHWLQTLPMLAVLVLRVNKFYGSIPSLENNHGFSSLFIFDISSNYFTGPIPKSYLQNFQAMKNVTQYKVGELYMNQLLQEFVTVTTKAMSMTFNKIPKNLVTMDLSQNNFEGEIPYVIGELRALKGLNFSHNKLSGPIPKSIGNLTNLESLELSSNMLTGRIPAELANMNFLEVLNLSHNHLVGKIPQGKQLNTFSNDSYVGNSGLYGFPLSTNYNNTEQKSPSSLGFQGKERFGFGWEPVVIGYGCGVIFGIGLGFLYCRKA >Vigun05g237400.3.v1.2 pep primary_assembly:ASM411807v1:5:43046858:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEIPLWQIKLTRMHKGKCSTCAYRTQH >Vigun05g237400.9.v1.2 pep primary_assembly:ASM411807v1:5:43048125:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEVSSVATTLQEHTALPKLDHSTHLEKEQLGFPPTLTIDGTADTPMADQANKDA >Vigun05g237400.6.v1.2 pep primary_assembly:ASM411807v1:5:43047069:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEVSSVATTLQEHTALPKLDHSTHLEKEQLGFPPTLTIDGTADTPMADQANKDA >Vigun05g237400.1.v1.2 pep primary_assembly:ASM411807v1:5:43047069:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEVSSVATTLQEHTALPKLDHSTHLEKEQLGFPPTLTIDGTADTPMADQANKDA >Vigun05g237400.2.v1.2 pep primary_assembly:ASM411807v1:5:43046858:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEVSSVATTLQEHTALPKLDHSTHLEKEQLGFPPTLTIDGTADTPMADQANKDA >Vigun05g237400.8.v1.2 pep primary_assembly:ASM411807v1:5:43048125:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEIPLWQIKLTRMHKGKCSTCAYRTQH >Vigun05g237400.5.v1.2 pep primary_assembly:ASM411807v1:5:43047054:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEIPLWQIKLTRMHKGKCSTCAYRTQH >Vigun05g237400.10.v1.2 pep primary_assembly:ASM411807v1:5:43048125:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEIPLWQIKLTRMHKGKCSTCAYRTQH >Vigun05g237400.4.v1.2 pep primary_assembly:ASM411807v1:5:43047054:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEIPLWQIKLTRMHKGKCSTCAYRTQH >Vigun05g237400.7.v1.2 pep primary_assembly:ASM411807v1:5:43048125:43053970:1 gene:Vigun05g237400.v1.2 transcript:Vigun05g237400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSTDIENDASSQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSALASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLTNAQEMKSVPVGWSNGTSKTGSKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGQRLGDAELDELKRSRYGDVKGRKANLAESPAQLLLEAASAKLTTSKKVVSDVQQNQIKAKAYADAGVTTKNAEPQNDDSKKSVGPVGDASNKVTTSGRISSPVKQREYRRPDGRKRIIPEAVGVPVQQENISGAVQQSLDFPAVSSDHRKDTDRIVSNDDGVRVSTLGAAHGRNTDLKERSGVTSKTTISESLVIEKVPASTGDGSVNVDQSANLMTSSSSAASSGTLSIRVFDKKSGEDSSPILLEARSREHAVNDIVGLGNTSMMKETEIVCSKGSQILWSDWISEKVTVLAGNGNFWAVGCEDGCLLIYTKGGRRAMPTMMMGSAATFIDCDERWTLLLVTRDGSLYLWDLFNRTCLLQHSLASLVSSSPNSSAKDAGTIKVISVKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDCFPASNFSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRITDDGVQTRAHLETQLASSLALGSPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMFGETSSDSKNLAWDPVVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYDISDANQEQTNRTVPSSSPPATNPVEVSSVATTLQEHTALPKLDHSTHLEKEQLGFPPTLTIDGTADTPMADQANKDA >Vigun09g108000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:22479070:22486445:1 gene:Vigun09g108000.v1.2 transcript:Vigun09g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNETLFPQRCETKGKTAKMKDSAETDPIAESLNLLSTILDSELPSVTNFKGKWSLARVKLTHLQTHLTDFSAEFPYASATNPLSLHLLHSISRTLKDAVLLARTCQPQDLLNGKLKTQSDLDSLLATLDRHVTDCDILFRSGLLIESAAGSTSKREAIRSSSRNLITRLQIGSPESKTSAMDSLLDLLNEDDKNVTIAVAQGVVPMLVRLLDSPSDMKEKIVAAIAKVSTVESAKTVLIAEGLLLLNHLLRVLDSGSGFAIEKSCIALQALSLTKDNARAIGSRGGISSLLEICQAGTPGAQASAAAVLRNLAAFAEIRDNFAEENAVVVLVALASSGTASARENAIGCLSNLISEADSDGLSNLRVMVVKEGGIECLKNYWDSGTPIPSLEVAVTMLRHLAVSTAIGEVLIGEGFVRRLVGVLNCEVLAMRVAVARAVHAIGSNSGRARKEMGELGCVFALIKMLDGKGVEEKEVSAMALSVLLMHPANRRVFRKDERGVVSAVHLLNPSLQGLDKKYPVSLLVSLVHSKSCRKQMVAAGACVYTQKLVEMDVPGSKKLLESLGRGKIWGVFSRP >Vigun04g125450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31767862:31768176:1 gene:Vigun04g125450.v1.2 transcript:Vigun04g125450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRSMAATLTHNNHKPATLPRTCSVRTYNATTRNKNAHNRPLWNHATTPLSHHRRKTRSIATTRFGNKLQNNHREPFKSPSYTPQHAKNAPVMLTIERWLYNY >Vigun04g177001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40030483:40030839:1 gene:Vigun04g177001.v1.2 transcript:Vigun04g177001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSIIPLNFVPMATQRFGYDVFLSFRGEDTRYGFTGNLYKALRDRGIHAFIDDEELQRGDEITAALMKAIEESRIAIVVLSTNYASSSFCLNELATILHCQTKGLLVIPLFYKVNF >Vigun08g153201.1.v1.2 pep primary_assembly:ASM411807v1:8:32548500:32550419:1 gene:Vigun08g153201.v1.2 transcript:Vigun08g153201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLGTLFFGFDKDECPKDTIPIRRTTKEDLIQEKQLRNSSIFTKDVSGLHVAEIGVSSKFGPYYEVKGRTSIYNPRVSKGQMSLSHVWVQNGGDNKISAGWQVSSDLYGDNRTHFYASWTTDNFHKTGCYNVRCPGFVHTNSYAYLGESFQNSSVYGGPIYYFSTSISQEPVYKDWWLYINNIYIGYFRAKLFSNMNSAEKVGWGGRTLTPRGSSSPPMGSGHFPDTTRNG >Vigun03g342400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54110536:54114835:1 gene:Vigun03g342400.v1.2 transcript:Vigun03g342400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASNSVLQTLKRYIKKPWEITGPCADPEYRLAVPLATEYRLQCPATTKEKPCIPNSLPETVYDIKYFSRDQRRNRPPIRHTVLKKGDIEKLAKEQTFSVSDFPPVYLTSTVEEDINAIGGGYQS >Vigun06g002800.2.v1.2 pep primary_assembly:ASM411807v1:6:1283063:1285148:1 gene:Vigun06g002800.v1.2 transcript:Vigun06g002800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPQAAAAAASASASAASSPPPHLYPQELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSSPYLLPRTIPINPPTTTPYHSSPCQFLDKLPRVLFDEDLLARDSLCCVCLGEFEVKEEVVQIPYCKHVFHLDCIHHWLQSNSTCPLCRCSIIPTTTKFINPAPSINIISDPPQHAAIFSDSPSFPPHSQHHHQLPASSNSPNFPRE >Vigun06g002800.1.v1.2 pep primary_assembly:ASM411807v1:6:1283052:1285148:1 gene:Vigun06g002800.v1.2 transcript:Vigun06g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPQAAAAAASASASAASSPPPHLYPQELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSSPYLLPRTIPINPPTTTPYHSSPCQFLDKLPRVLFDEDLLARDSLCCVCLGEFEVKEEVVQIPYCKHVFHLDCIHHWLQSNSTCPLCRCSIIPTTTKFINPAPSINIISDPPQHAAIFSDSPSFPPHSQHHHQLPASSNSPNFPRE >VigunL053200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:71905:72621:1 gene:VigunL053200.v1.2 transcript:VigunL053200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSLWHLGQLEIKSEETITRPVSQKWGYIRFMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSMF >VigunL053200.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:72203:72621:1 gene:VigunL053200.v1.2 transcript:VigunL053200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSAEIKSEETITRPVSQKWGYIRFMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSMF >Vigun08g219100.1.v1.2 pep primary_assembly:ASM411807v1:8:37841153:37843181:1 gene:Vigun08g219100.v1.2 transcript:Vigun08g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDENKETGEHSEAKDHENETHKNEGEPVSRQVSEASIYGTEEEEEEGSKIQLGPQCTLKEHLEKDKDDESLRRWKEQLLGSVDVNNVAEILDPEVKISSLSIVSPDRDDIVLPIPEDGNPKGLWFTLKEGSQYRLKFTFQVNNNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQQEPYTHEMPEETTPSGLFARGSYSAKSKFLDDDNKCYLEINYTFDIRKEWA >Vigun08g219100.2.v1.2 pep primary_assembly:ASM411807v1:8:37841153:37843181:1 gene:Vigun08g219100.v1.2 transcript:Vigun08g219100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDENKETGEHSEAKDHENETHKNEGEPVSRQVSEASIYGTEEEEEEGSKIQLGPQCTLKEHLEKDKDDESLRRWKEQLLGSVDVNNVAEILDPEVKISSLSIVSPDRDDIVLPIPEDGNPKGLWFTLKEGSQYRLKFTFQVNNNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQQEPYTHEMPEETTPSGLFARGSYSAKSKFLDTILCYDIFLLQFLDDDNKCYLEINYTFDIRKEWA >Vigun08g187800.1.v1.2 pep primary_assembly:ASM411807v1:8:35648795:35649433:-1 gene:Vigun08g187800.v1.2 transcript:Vigun08g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFVSFFTLLVVLVFTVAAKEASKGLTCDQEKSLVAPCLDFLTKKTDTPSTPCCQGLTKLIESTPTKEEKKAACKCLKEGASQVPNLDKDRVNNLCKTCKISVHFLFSKDLECEKL >Vigun01g244700.1.v1.2 pep primary_assembly:ASM411807v1:1:41332484:41335323:1 gene:Vigun01g244700.v1.2 transcript:Vigun01g244700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKKIRIGINGFGRIGRLVARVALQRNDVELVAINDPFITTDYMTYMFKYDTVHGQWKHFDVKVKDSKTLLFGEKSVTVFGIRNPEDIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYEQIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGISLNENFVKLVSWYDNEWGYSTRVIDLIVHIASVA >Vigun03g134000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13138758:13141597:1 gene:Vigun03g134000.v1.2 transcript:Vigun03g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITLFSIPCTNLSFSSPPKRFRFNPQSSAQLSPTSSSPLQNPSLPKTGVIVIGAGLAGLAAATHLNSNNIPFLLLEASDAVGGRVRTDIVDGFLLDRGFQIFITAYPEAQKLLNYQSLNLQKFYSGARIFYNGQFHTVADPLRHFWDSAKSLTNPIGSPLDKLLIGSTRIRVLSKSNEEIFAAEEVPTIALLKKLGFSDSIIGRFFRPFFGGIFFDPNLETTSRLFDFIFKCLALGDNTLPARGISAIPEQLAARLPTGSILLNSRAVSVDLDGSKTPLVRLQNGDVLKSELGVIVAVEEPAAIELLAGRITQVPKKPVRSTVCLYFTANRDQIPVQDPVLFLNGSGKGIVNNMFFATNVAPSYGPPDKALVSVSLIGLFEGVSDDELVGKVIEELSGWFGGKWVREWKHLRTYRIGFAQPNQCPPTDLKKNPRVESGLYVCGDYLTSATFDGALVSGRRASESLLKDRALTPSS >Vigun05g282400.1.v1.2 pep primary_assembly:ASM411807v1:5:47121105:47125435:-1 gene:Vigun05g282400.v1.2 transcript:Vigun05g282400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVESYWRWRLIDSATSDEEKVTPVYKLDEICELLRSSHPVIVKELSEFILKRLDNKSPVVKHKALRLIKYAVGKCGVEFRREMQRHSVAIRLLLQYKGQLDPLKGDALNKAVRDTAQEAISAVFSADDNISKPPSSASASPDLNRRIEGFGNTNYQAPSHDKKSFLSEVVDIGSATIKQGLNAFTQGPSSLIKNEAASGTYKDRYEPTAYGSGITSALSQNQSGGPWNQDAGVTRTELSNGKTGADYAESKTQEERLLETVASSGGVRLQPNRDAIEVFLREASKLDAMALCHALEQKLQSPMWQVRVKAVCVLESILRNKDDDNFSRMATYFAENKDLVLRCSESPQASLREKANKVLGLLGGGQPNSSINSEKAMKMDSAAVAEFPDLLDTGDFNDYHGTGDATKRVNDQNIANLTPSVPPALADVLFGNFSNEIKNDDDPFADVSFHSNENKEHSDIFSRMTVGNDKQSHHVSNDIFATNSRHGNNGEFVGHLLAGLSVDENTSSAKQKATSPAMQSDYFFSGLNSHASHLRPDNGFGGMLGSQAVGFNVNSVFPSGHPSYAAQPGIMLNQPYSSQPLNYAMGNLLAQQQFLATMTNFQHLNNVTRHDTSSARNDGSNERTPLPDIFQSKFATQTPSSMINSSKKEETKAFDFISDHLATANDSRRVI >Vigun05g061900.1.v1.2 pep primary_assembly:ASM411807v1:5:5335013:5338670:-1 gene:Vigun05g061900.v1.2 transcript:Vigun05g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWKTHMRVFRTCFALLLVALLSSERVESRKGKVVSTSLEYNAINCRAHSASLTDFGGVGDGKTSNTKAFQSAISQLSQYASEGGSQLYVPPGKWLTGSFSLTSHFTLYLDKDAVLLASQDITEWPVLEPLPSYGRGRDAPAGRFTSLIFGTNLTDVIVTGENGTIDGQGEFWWQQFHRKKLKYTRPYLIELMFSDNIQISNLTLLNSPSWNVHPVYSSNIIVQGITIFAPVTSPNTDGINPDSCTNVRIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDITAIQTESGVRIKTAVGRGGFVKDIYVKRMTLHTMKWAFKMTGDYNSHADTHYDPNALPEIKNINYRDVVADNVTIAARFQGISNDPFTGICIANVTLRMAAKAKKQPWTCTDIEGVTSGVNPPPCGLLPDQGPEKITACDFPEDTLPIDMLELKKCSTSMTYVGDKLLF >Vigun09g192700.1.v1.2 pep primary_assembly:ASM411807v1:9:36766791:36770281:-1 gene:Vigun09g192700.v1.2 transcript:Vigun09g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFRFLCKAETTRLVSFVGIAVAIVLMFQYSELPTGKFVSSVTTKITSFRMDTSLVNSKVEGNDMHLNGSNLNSKDAVEENAVSSMDSLFNNGRDSITAPAPEKAIGLADSVVNFTTTNDGSPMSSAPEKQLSLKSQGAASPQPMVPSPNRTSLDSETDSRSPVASVTSAATSVKSNTTGSVSKDGKSGSLQGSSNMTVNNGKPVSAKNFKRRPSKVVSISEMNLLLQINHAYSQQEKPERFSGVDLEIFRAKSEILNAPIAVNDSRLYPPLYRNVSMFRRSYELMERKLKVFIYPDGDRPIFHEPLLDGIYASEGWFMKLMEANKQFVTGDPEKAHLFYIPFSSRLLQQTLYVRNSHRRSNLIEYMKNFVNQISGKYPFWNRTSGADHFVVACHDWAPAETRGRMLSCIRALCNADIEVGFKIGKDVSLPETYIRSSENPVKNIGGNPTSQKPILAFFAGGLHGYVRPILLEHWENKEPDMVISRTLPHVRGNKNYIRFMKSSKFCICARGHEVNSPRVVEAIFHECIPVIISDNFIPPLFEILDWESFAVFVTEEDIPNLRNILLSISEERYLEMHERVKRVQEHFMWHAEPVKYDLFHMLLHSIWYNRLFQINQT >Vigun06g118300.1.v1.2 pep primary_assembly:ASM411807v1:6:24640703:24643278:1 gene:Vigun06g118300.v1.2 transcript:Vigun06g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPLACCYIVVLGISMLLGLRGAEAQRAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTGRATGRFSNGRNIPDFISEAIGARESTLPYLDPELNGERLLVGANFASAGIGILNDTGIQFVNIIRIFRQLQYWEEYQQRVSALIGPEQTQSLIEQALVLITLGGNDFVNNYYLVPYSARSRQYNLPDYVKYIISEYKKVLRRLFEIGARRVLVTGTGPLGCVPAELAQRSVNGECSAELQRAASLFNPQLVQIIRELNSEIGSDVFVAVNTQQMHVDFISNPQRYGFVTSKVACCGQGRFNGIGLCTVASNLCPDRSVYAFWDPFHPSERANGFIVQQILSGTSDYMYPMNLSTILAMDSKKN >Vigun03g012700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:858501:861778:1 gene:Vigun03g012700.v1.2 transcript:Vigun03g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHSTYPISCRKKWRMAFTVISFVKALHHLSKKALHMKPQTLFRSFSYLAIDVAPPHVPSPRHKLSIADPKLLSHMVGDKRLDSLTQFGDIKELAALLETDLKRGISDDDNDDIDRRKRVFGVNVFTRPPSKGFLSFVLEAFKDTTIIILLFCAVLSLGFGIKQHGWKEGWYDGGSIILAVILVIVVSSVSNFNQSRQFKKLSAKSGNIGVEVVRGGRRQSVSISEVVVGDIVQLKIGDQVPADGLFLEGHSLKVDESSMSGESDHVNVNADTNPFLLSGTKVAEGFARMLVTSVGMNTAWGVMMGSITRELDEETPLQVRLQKLTSAIGKVGLFVAALVLVVSMIRYFMGSTRDDFGNREFVGRKTKFDDVMNAVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKKMMRDNAMVRRISACETMGSATTICTDKTGTLTMNEMKVSEVWVGKKLIAEGGYLAPSLVQLLKEGIGLNTTGSVYQPQEISLPEISGSPTEKALLSWAVMDLGMDIDEVKQHCEIIHVETFNSEKKRSGVLIREKRGSNRVHTHWKGAAEMILAMCSNYHDNTGEIMIMGDEERAQIGNIVKNMATKSLRCIAFAQKSSNEKVCEKLEETELTLLGILGLKDPCRPGVEAAVESCKNAGVKIKMITGDNVHTAKAIASECGILNPSEELDEDAVVEGFQFRNYSHEERMDKIERIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFSSVVTVLRWGRCVYINIQRFIQFQLTVNIAALVINFVAAVSSGKVPLTAVQLLWVNLIMDTLGALALATEEPTNDLMKMPPVGRVEPLITRAMWRNLISQASYQVFVLLILQFKGRSIFDVSEKVKNTLIFNIFVLCQVFNEFNARKVEKKNIFEGLGKNKLFIVIVGFTVVLQVVLVEFLKMFAGTERLSWGQWGVCVAIGALSWPIGLLVKCIPGRPSK >Vigun03g113400.1.v1.2 pep primary_assembly:ASM411807v1:3:10404632:10411423:1 gene:Vigun03g113400.v1.2 transcript:Vigun03g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFDEEEVNPFANPGSVPAATNSRLSPLKPEPVDYNYGFGATVDIPLDSSTDLKKKEKELQSKEAELRRREQDVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTLLGLVLCLFWNVIAVTAAWIKGEGVKIWFLAIIYFIAGVPGAYVLWYRPLYRAFRTESALKFGWFFMLYLLHIGFCILAAVAPPIVFKGKSLTGILSAIDVLGDHALIGIFYFIGFGLFCVETLISIWVIQQVYMYFRGSGKAAEMKREAARGALRAAV >Vigun03g011800.1.v1.2 pep primary_assembly:ASM411807v1:3:789664:793626:-1 gene:Vigun03g011800.v1.2 transcript:Vigun03g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSAPQPHAPLLIPRPDAATGRLPILALLLGRRGHSAVVRETAARELDERRADWTYSKPVVALDMTWNMAFVVVSAVMLACTVKENPNTPIRLWICGYALQCLLHVALVWVEYRRRSDAPRDEESSGHLEYDDVNDSDEEDAGTSGSSSSTGFTKRCASLNTMVSLLWWMVGFYWVVSGGDILLQDAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASESDLSVLPRYKYQMLCNEETPSKGGGSMIPVETIGGYSANERILSPDDAECCICISSYEDGAELHVLPCNHHFHSTCIVKWLKMNATCPLCKFNILKGNEQV >Vigun09g101200.1.v1.2 pep primary_assembly:ASM411807v1:9:16973715:16978871:-1 gene:Vigun09g101200.v1.2 transcript:Vigun09g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNQKHSLPNTTIDPNTGFCSSSRIFHSLRPNVPLPPPSQPLSLTDYALSLLPAAATATDKSAIIDATTGRHLSYSLFLRQVKSLASSIQSLTPLSKGHVALILTPTSVHVPVLYFSLLSLGVTVAPANPLSSPSELTHLVRLTKPAIAFVTSAVASNIPALKFGTVLTDSPLFLSMLDANVDSHSRAPGVEVSQSDSAAILFSSGTTGRVKGVLLTHRNFIAVTAGYYHPRTIQQDADETAKRAVSLFTMPLFHVFGFFMLVRCISLGETLVLMQRFDFEGMLKAVERYKISMMPVSPPLVVALVKSELVNKYDLSSLRIIGCGGAPLGEHVADEFKAKFPNVEIFQGYGLTESGGAAARPFGSKEFKRHGSVGRLSESMEAKIVDPVTGEALPPCQKGELWIRGPSIMKGYVGDEKASAETLDSGGWLKTGDLCYFDSEGFLYIVDRLKELIKYKAYQVPPAELEHILLTNPEIADAAVIPYPNEEAGQIPMAFVVRKPGSNISADQIMEFVAKQVSPYKKIRRVCFVNSIPKSPAGKILRRELVDYALSSGSSKL >Vigun01g140400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32117211:32117757:1 gene:Vigun01g140400.v1.2 transcript:Vigun01g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSSRKMVFTVLQLVLFILFIFVASPESGVACSRPLLLRGHWSRQNDLLWQLLPNAPAPPSAGFPIHT >Vigun09g228200.1.v1.2 pep primary_assembly:ASM411807v1:9:40023594:40028078:-1 gene:Vigun09g228200.v1.2 transcript:Vigun09g228200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKASEECHGEDSSYFVGWQEYNKNPYHLIRNPTGIIQMGLAENQLSFDVLESWVERNPDILGMKEDGISVFRELALFQDYHGLPALKKELVEFMSKIRGSRIKFDPKKLVLTAGATLANEIMMFCLAHPGEAFILPTPYYPGFDRDLKWRTGVEIVPLHCSSSNGFRITSSALKQAYKKAQKLNLKVKGVLVTNPSNPLGTTMTRAELNHLIDFAIEKNIHIISDEIYSGTVFDAPEFVSIMEVVNERTSSINDIRNRTHIIYSLSKDLGVPGFRVGMIYSENETVVAAATKMSSFGLVSSQTQHLVANVLKDKKFSFDYIEESRRRVKRRMEMLVCGLRNAGIRCLKSNAGLFCWVDMRHLLSSATFEAEKELWMMILCHVGLNISPGSSCHCSEPGWFRVCFANMSEATLKVAMRRMKAFIDSTVAVVNNNGCTCEQSVRVNC >Vigun03g025600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1906825:1908967:-1 gene:Vigun03g025600.v1.2 transcript:Vigun03g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNISKVQAFPFAAKISSQPKCFTSPNALRSLSLPLAYHQNHILMIPTSHKFGTSEVWKSANPYGFMLQIARRYYRSRSGEKQLPWLEVANEVQGEGKVVEKAKMTRISGSIQEGSAKKVSGKSSWEQSIKRLDKSHKTTIPEIKPRSATAATFSMKGGASTAASKERKSGLVEKAGEHRSDYGKRYVKYDDSDEEEVDDEMGEEIEDQRWDNIKNRFKGTVGAKVGMERPEFRRWNKQENWGRKTWKEASESTVPKIVGEGIYGVGPVLAALSADRREFYALYVQEGLDLSSNNRKKKDKKGFERVLKIAEKLDLSVKEASKHDLNMVVDNRPHQGLVLDASPLEMVKIKELDPVSVDEGKGSLWIALDEVTDPQNLGAIIRSSYYFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGGSVSSKAISLDEVVPGPPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPLDPNTSELDGESNDLNAQSSGREFLSFLAVESLNVSVAAGVLVHHLIGKKLVDSLPEVNKQIDVSE >Vigun01g166600.1.v1.2 pep primary_assembly:ASM411807v1:1:34864425:34869842:1 gene:Vigun01g166600.v1.2 transcript:Vigun01g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFWGSQEQQSQDGSSQSQSWYPPSVVSSPSSSRPATPSGSSSSLPHRPSSHVPPSEAAGVIAVLKDKSVDELRKLLSDKDAYQQFLHSLDQVKIQTNLKDELCKENLQLAEENLQKEPRIMELRNQCRIIRTTELAAAKEKLNELEKQKEEMLKLNSPASLVQRILESANKTEEESDDLHQHFLDREIDLAAFLQKYKKLRTTYHKKTLIHLAAKTSTV >Vigun03g288400.1.v1.2 pep primary_assembly:ASM411807v1:3:47064635:47067066:1 gene:Vigun03g288400.v1.2 transcript:Vigun03g288400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGAVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKEMKDTTESKNQYCKFDNELLTLFTSSLYLAALIASFFASTITRMLGRKVSMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFQMMITIGILVANLINYGTAKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGNYEKAKKMLQKIRGTEKIDEEYQDLVDASEEAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNEASLMSAVITGVVNVVATLVSIFTVDKFGRRVLFLEGGVQMFICQVVVGIMIGLKFGLNGQGAFSKSEADILLFFICAYVAAYAWSWGPLGWLVPSEICSLEIRPAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIALLLPETKNVPIEEMNLLWTSHWFWNKIVPKDVDSNSKNNKSVV >Vigun03g380500.1.v1.2 pep primary_assembly:ASM411807v1:3:58508675:58515828:-1 gene:Vigun03g380500.v1.2 transcript:Vigun03g380500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKGVQCLNECESEIDQGQEFFVMDSPIRSPAAGSGFGEDNRRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSVCRDISYEELMVKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSQSEQDGSSHFIDGDDSERRYVDALNSLNDVADFRRLQQGEYPMMSPVEDIHVAAEQFFNPMSVESGIHSHRSGDLSMPPYNMHHLSIQHPQNVGPRYTEMDSPWNPAYYSPRHHGLHDSRSLVEFPSSPSGRYRMPFPELPEKCIDRGPEEYARHHVNHHPMYDNQQQYADNVFWGPTGAAHSEKTSFPGNVVHGSHVVDGNNICEQCRMGFHRGHPHLEHSNISNGFLPVANHCGECPLPNRDAFPMNVDAKLHPAMYPNEPNSDHRSVYNDTQNHERGWGFQHPTTARVEESRGHLSVSGRVSDVPVANFSLGHGSVTDGHALSSNYLHQPVGPELGTEIFPDQTMTALPHVQLEECNVRYGNPPSPYGVDSNYAVPRGHPPGLWRNTPIPVHMGPPYEANTSPPPVNGMINAALVRGEGSPGFYVGADTQNQWVDSSQKLTGHDCKGIPEYSPAHALKLNPTLLGPENQPPVTVDTIHPPQDVSTGACLEPLQVPKSSFNMIHNQETLRNDTHLAKAKAFESITAKTFESITLLGDGIVVKIEDKVKSPDTQTVSCSEQNKVAENACPATASVDSNNSKSKPEGDCGHVEKLADEDHSVPQDYKHLGNQLNLLPELIASVKKAALEVAEEVKAAAKDDPANSHNQNLDAKEKTINEVEPANAHGDLELNSETDHVDTSKIEPTKAEEEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERARLITDFWKEALMLSSLHHPNVVSFYGIVRDGPDSSLATVTEFMINGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQNTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGVVMWELLTGDEPYADMHCASIIGGIVNNSLRPQIPSWCDPEWKSLMESCWASDPAERPSFSEISKKLRTMAASMNVK >Vigun05g163050.1.v1.2 pep primary_assembly:ASM411807v1:5:26202957:26204312:-1 gene:Vigun05g163050.v1.2 transcript:Vigun05g163050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSSCTFTFKVRTQPTTRCAFVIKMIDVPDIVKHIKSLIQPVQIGEFSDKSIIDIEGDNSIGIAKKILAGNMECCDSSQDIDSGQLSSTNSVKSIKKENN >Vigun01g250000.1.v1.2 pep primary_assembly:ASM411807v1:1:41666093:41672923:-1 gene:Vigun01g250000.v1.2 transcript:Vigun01g250000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFMLPRNPLRDAELASSATPAPSPSSAKTRPSRKHKHSKENDPPSDPNLAVPSPGKFKSPLPPRPPSSNPLKRKLAVAADALADNSLPASSDSGVKVIVRMRPLCPDKDEGDPTVQKVSNDSLSINGHNFTFDSVADTAATQLDIFEHVGVPLVEHCLAGFNSSVFAYGQTGSGKTYTMWGPANCLLEENDQQGLAPRVFQQLFARINEEQAKHSDKQLNYQCNCSFLEIYNEQIMDLLDPSQRNLQIREDVKSGVYVENLTEEPVSSVKDVTQLLIKGLSNRRTGATSINSESSRSHTVLICVVESRCKSAADGVSRFKSSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMICAISPAQSCRSETFSTLRFAQRAKAIKNKAVVNEVMEEDVKHLRQVIRQLRDELHRIKENGYNPMDSSGGHSAAWIRRSLNLLQSSLNRPQPLSRVDEDGDEEMEIDEEVVEDHDEASCNTNIPSNCNTAADNDNGMNTDDQVLAQPSEEKNMPGWPGSKSLSEEPSCAMVQNSLSCPMGESDVGSFTGFSAPDLPSESPSAAMNCVSPASLSIVQCDLSPNLKSPAPSVSPRISTSRKSLRTSTGFSPSESDLHVEKDLGIRTSNKKNSYSACSSQTAPNFISKTENLAASIRHGLEIIDSYQRNSTLRQSAYRFSLRPRESRLIFPVDKVDVGLQTSLDDNVGENSVSFTCSNCKSRAQLEANESDNNSNLQLVPFECPGSVDRPKKQVLKAVEKVLAESIRREMALEEFCAKQTSEILQLNRLVEQYKHERECNAIIAQTREDKILRLESLMDGVLPTEEFMAEELVALNHEHKLLKDKYENHPEVLEMKIELKKVQDELEKYQNFYKFGEREVLMEEIQSLRSQLQFYIDSSSTSSRKQYPLLQLTLSSDPSTTATLTAIPELTDESEETNEVLASSNNDIEAKESLESSKNTNEANEILASSNNDIEAQFEQERIKWTEAESRWICLSEELRGELESCRLLSEKRKRELDAERQCTQELQEAMHMAIEGHARLLEQYADLEEKHIHLLARHRQIQDGIEDVKKAASRAGVRGAESKFINALAAEISALKAEREKERRILRDENKGLQSQLKDTAEAVQAAGELLLRLKEAEETVTAAQKRAMDAEQEAAKAYKQIDKLKKKHEKEIITLNELLAEARLPKESVRPTYDDDVVMPSYDDSKEPNSFNDQFEPFHNNAEYGELAKLAEPSWFSGYDRCNI >Vigun11g016200.2.v1.2 pep primary_assembly:ASM411807v1:11:2028245:2029463:-1 gene:Vigun11g016200.v1.2 transcript:Vigun11g016200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEDLQAYEEENLLLSLPKEKGWVAQHLYLFQDFWYPSNFIEGVINFQKHFQAKDSDVIVATVPKSDKLSDLSNMTEPRVFGTHVPFPSLPKSIKESNCKIVYICRNPFDTIVSLWIFANKNNPLNELTIEETLEKYCKGILGFGPTWEHMLGYWKESIANPNKVLFLKYEELKENANFYVKRVAEFLDCPFTEEEESNGVIESIINLCSLEKMKNLEVNKAGTFCGNFEKKYLFRKGEIGDWVNYFSQAMIEKLSKTMEEKLGGSGLSFKTSS >Vigun02g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30780826:30782515:-1 gene:Vigun02g162800.v1.2 transcript:Vigun02g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEETTYESDLALLDSIRRHLLGDSEDLKFGPPIVNSGNTPLYSRSSSFGRLYPCLSNDWGELPLKEDDSEDMVLYGVLRDAVNVGWVPSLNADSPDSYSSGSIPEVTVKPEPDIFPAPEPVFTPPVVQDAPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRINSGEPEPVRVTSKRASPEPSSSSESGSCGKRRKKVVGPRVTAPSGLRTGQVGSQVAQCTRAEQLLVN >Vigun11g055600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10511403:10513630:-1 gene:Vigun11g055600.v1.2 transcript:Vigun11g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYFFLFIFLVFSHFLSISASNLYKIKQHTIDFPSELNSSDDPPPPTEYFEVTRPIELPKTKPFSHHILHHDFGFTYGQPPVLANYSPPSHYPFKTFSKIVLEWKATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGIVWSVHKDITRYHSLLLSPQNQTFAVFLGNVVDETYTGVYHVDVTIHFYPSVKKRFGDGSDSKVGALAFGGGIPADLVLPISRNLPLNDGLWFVIDNSTDGGFKEFRVPQNAYRAVLEVYVSFHERDEFWYTNPPEEYLNANNLTDVPGGGPFREVVVSLDGNVVGAVWPFTVIYTGGVNPLLWRPITGIGSFDLPSYDIEITPLLGTLLDGKSHSVGFTVTNALNVWFVDANLHIWLDGKSSRTEGGVLDIVNKPLALSLVTDFEGLNGIFWTSARRSILCTGWIRSSYGNVTISFAQDFVYNNSMVMANDGDMQTVKQLILSNDSVHANLPSPFVKDTHTEFSLYLNTYDVDQDDDTSLSVSNVTLGFNVDKSRSSAVGFSKSSVKNVQDGQGTMVIKRNLVVRGLGETQQDYSYASDHGHCYSREVGSSNYTILYDRVSHPCKRRSHPLLGSNSIKMLPVM >Vigun06g218600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32908998:32913261:1 gene:Vigun06g218600.v1.2 transcript:Vigun06g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVYAELDDARAEVEKLKAECRLKAQIFEGLKQDRAQEFLKFQESRKRVEEQARELDLKSEEIHELKKVLEELKSSLHEKEAHVERLSSENKRIQTSSADRLVKLEESNRELVLALDELRLRNESLEQNACASSQEILSLRAFLLAAEKKCSEAEEKVQHGIMLKGRDGVILQLEEENIRMQDKIKWRNEQFKHLEEAHEKLQVEFRLCKEEWEKERTALLEEMSSLQVRLDSQTRNVEGLQSRLEMCNHALAHEESKRKLLEAEISEFKTSFENVYAQCEKKNSEIQQLSILRNDEIAQLRNSLGEKEMVVRELDHKIVQLEHDNKELGDLLKELREAQINNGGANSLTSKLRNKLRRLEDVHKSCASILRSKESQWSDQLTKMEADIATYKSVLTNKEQEIWSLQVELENCYCTVEENRMGLLIFKSELVEAYSKSFSADSEKASFDVKGNENRILISIEQLKVEDNPPKSMALQHSRLVEELEQNKKKLEESSEGEVMLLEQLMQMEYTQQYERSAAFEALERLELEITCKNDEISRLHYEVQDWKSATATLKVSYEEIQGTIKVMETSLESKVENEEALKQANENLLCIVKDQERKTEDLLLQIGLLESCNAEKMKEVERCKQEKEGLIQIVEDKECCIKDLQKEIDIAYLKQESTENRLKVAIHAQQEAEQTLKQEKEIFLKIKDEKERTIEHFQELATTSEQDLLDALSFSFSKQVENWVEVSLLRDALKNAEYLAMLEIEEKNSRIVKLEDAFSHLKQEAEQLRASMEARKFENEKLMDKQQTMECVIAELKLQNGNLLQEIINLSKNGEDMLAHFEDIFGKIGELSCWDTQLVEMLGNVLNTSEDENETAMGSVVCDKSHESARDGTNGLHITPTTKKTEEIFDGRSPLREVNSLHM >Vigun08g108600.1.v1.2 pep primary_assembly:ASM411807v1:8:27016856:27025299:1 gene:Vigun08g108600.v1.2 transcript:Vigun08g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDAPQCVRVAVNVRPLITSELMLGCTDCITVVPGEPQVQIGSHAFTYDYVYGGSSESPSSTIYNDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEENAGGIIPKVMETIFKRVQTMKESSEFLIRVSFIEIFKEEVFDLLDPNQSKGDVASTAKYAAPSRVPIQIRETVNGGITLAGVTEAEVKTKEEMSSYLSRGSLARATGSTNMNSQSSRSHAIFTITMEQKSGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPVGAQMQRMRSQIEQLQTELLLYKGDTGGAFDELQILKHKISLLEASNAELQRELQDRRVTCESLAQRACDAQVEKDRLVMKIESIRNGKSWDEIESDSHEDYDLVKSYVSKIQDLEGELQRLKNSHAKSRLFDDLVDTYDSGFRSKNVLFACGNEYSSDCEDKSVDIADDMEDHAKEIEHSSLQEKLDRELKELDKKLEQKEAEMKMFNNADTSVLKHHYEKKVLELEQEKKFLQKEIEELRCNLANISSTSDDGAQKLKEEYLQKLNALEAQVSVLKKKQEAQAQLLRQKQKSDEAAKRLQDEIQRIKSHKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASLATKRLKELLESRKTSSRESAVGGNGPGIQALMQAIEHELEVTVRVHEVRSAHERQMEERAKMANEIARLKEEADMMKMNNSSDGVVSMSPGARNSRIHALEKMIASSSTTLLSMASHLSEAEERERVFSGKGRWNQVRSLAEAKNLMNHLFNLASSSRCLLRDREVTCREKDMEIRDLKEKVVRLSCSVRQLEMQKSELIHQLKLMNAMRYSESVGYHDMNVGGHKYDLRKMENRRSTILLEDMDLSISDTEDDYVPEDVTDDEWVQSVKKLHFKKRKSRSSVSMENNPSNISSEDGKDNSTEGNGGASGETASDMCCSCSKSSSCKTNKCKCRAMGGTCGSSCGCLVSKCANRSSISSEAQVEGSGNDSSIEESDKNRLLAAQGAELLQGALVEGPADAHPDTHGPRKPLSDIGNTLAKSNAQKANQRKKWRKSTVPTVVVVPDPPSAQSDNAEIPNKESNSISEPNISMNVPQKVLSSRPENVPAAPKVEKNVTETDIPLRIPRAMRKQVSSNGGGLPLGDVNGSSKADECFNKESEVSEGRSPLKQKGTLEKENSGRY >Vigun03g133300.1.v1.2 pep primary_assembly:ASM411807v1:3:13056867:13059018:-1 gene:Vigun03g133300.v1.2 transcript:Vigun03g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNSDWKMRRGFMCHSQASTAVCMSTRDPRSVVVPKRLQRTVFLDDTRLINYAKYSKLVEPPTSNPVPKIKLRMQDQDQPKNQPKDLLKTHTDNNVFQVVVMRVAIHCQGCAVKVKKHLSKMEGVTSFSIDLESKRVTVMGHISPVAVLESISKVKRAELWSAC >VigunL052633.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000338.1:31780:32610:1 gene:VigunL052633.v1.2 transcript:VigunL052633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLSGRTVLVTGVSSGLGEGVARVFAALGARVIGVARRADKGGRSPGTSSAAVVPCVSGVLAAVGDYPAADWSRTVDLNLTAAFHLCQLAVPLMSAQGDGVILNIASINARFGVTKMAAYCASKAGLVHLTKVIAAEGVANNVRANAIILGGVLSEMNVATTIAMAKSAAGADREPSAEALDRYAGLMMKPEEVAVSLAALCVPEARMITGSEIAIDRALTAGAAASALIHGGAAALLD >VigunL050500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:4335:5237:-1 gene:VigunL050500.v1.2 transcript:VigunL050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEFMSKWNDPKHRLAGMDALGQDAQVLSVPSHCYMYWAEPEFANRFSTTVNDTLAEYCSRSDGRLMMWATAALQDPVNAAKEIRRACTELGAKGLSAGGSNFGGLEFDDPRMDPIWETLCDLDLPMFVHGYNQSVTWGSKANTDKYETTAIVGMNYDETKCFWYLICGGVLDRFPKLKVYITHAGGFVPYQLGRLAQTIKNLDVVHNKKDLEEYLPNFWFDPELHELPMRQALVEVIGADRLVYGTNFGGSDAIRGDLTDGLRISPEDLEKIRWKNAVDLLNIDLDKMGRATKSIAA >Vigun04g190562.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41436190:41436489:-1 gene:Vigun04g190562.v1.2 transcript:Vigun04g190562.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEFFPDEVLLPHSITSLGIYHCPNLKKMKYKGLCHLSSLTRDDCPNLQCLPEDGLLKSLSSLEIWNCPLLEQRCQNPEGQDWKKIAHIQKLIVRSKV >Vigun03g119100.1.v1.2 pep primary_assembly:ASM411807v1:3:11101501:11102049:1 gene:Vigun03g119100.v1.2 transcript:Vigun03g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMCFFVLVLSADVGVKIAEGDCYVLSAHFKGPCFHDEDCNVQCISEGHPGGECRGFIPRPCKCIC >Vigun03g432300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63632655:63634497:-1 gene:Vigun03g432300.v1.2 transcript:Vigun03g432300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVSVSAVCKAVASFNPGLTMSPLTLFRQRNKEKVVVIMGATGTGKSKLGIDLATHFPPAEIVNSDKMQVYEGLDITTNKVTEDECRGVPHHLLGTVQPNIDFTADDFCRHATLAVASILKRDGLPIIAGGSNSFLDALVNHYPDFRLRYHCLFLWVDVSLPVLHSSLAARVDRMIRAGQVDEVRPFFQYHNRDYTVGIRKAIGVPEFHSFFQAEADGADERTREKLLQAAIASIKINNCNLATRQLHKIHRLHAIFKRNMHRLDATEVFLRSTPQEAEQAWEDHVLSKSRRILHNFLYDDAHVDVALASVIASSSPPMAAAAAATR >Vigun05g280400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46979311:46982685:1 gene:Vigun05g280400.v1.2 transcript:Vigun05g280400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLENPELITQQVFVILMRRFASSRMFQEAVEVFDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAVSLFEDTRYRWKPSVQHFTSLLYGWCKEGNVMEAKHVLVQMNEAGIEPDIVVYNSLLGGYAQAGKMGDSYDLLKEMRRKGCEPNATSYTVLIQSLRKHGRLEEATRVFVEMQRSGCQADVVTYSTLISAFCKWGKIKRGYELLDEMIQQGHSPNQIIYQHIMVAHEKKEELEECKELVNEMQKIGCPPDLSIYNIVIRLACKLGEVKEGVRLWNEMEPNGLSPGIDTFVIMINGFLEQGCLVEACQYFKEMVGRGLFTAPQYGTLKDLMNSLLRAERLEMAKDAWDCITSSKGCQLNVNAWTIWIHALFSKGHVKEACSFCIDMMDKDLMPHPDTFVKLMCGLRKLYNRRFAAEISEKVRKMAADRQISYEMYKRRGDRKRRKTMGGRGELDNANGVEPVTNYNFHR >Vigun06g088200.1.v1.2 pep primary_assembly:ASM411807v1:6:22056102:22066281:-1 gene:Vigun06g088200.v1.2 transcript:Vigun06g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVATSRKSTQKRQKQYFEQRKRKQQNLHMMGSDNCYDSPGISGQSLKEHRSLDILNLLNLSTKAQQCNPFFPEVKLSTSPHANCTTTKERDDGDINISTLPVNVSTDQPTMFTNMDTTVNSFRFEEARAPLCRQTETSPKSSSDHHNTAFNGPLNQWKTVSDQYSEFSVIDLVCDDEPNATAEKCPTCEDHVSFSLEGLGKVGTETPVHSPEQRARIPYSYSPLQKDGSKSKLKKLNHVLDDIELEVDTMVQDIKVSPISSSDFPFNKLRRSSAIVGNGNHFYDIDNRNGSADREEFFYKTENSDGDLWNVFLDETFDNEMGYDTSCKKTFQMGSKSPELLKSGTYKMENYAFEGLLPKKWSSSAVTKKQTDMGEPRSSFSKDELEEDFDFYVASRSRLDGNFNAQNFIPEDVRDNSSLLSEESSSCAAVRGESTAHSPAIILTGENRRKHRNAFASPRKHRNAFSSSRNRSSTKDEKYRSMPNSSKRVPSQFSNSILQEELGSRNSWHFEERNPSLDKSSVAASFCLDLETDFAVFGSKNRIEDPFSVFNTLELSDKASPSFSGFNKTAPRPDSPPCSFTSQKLAFDCSAAFPTVDSWPTSPSLSPDFHFKGKSEDAGGFHCATSSTDMSGQGSVSKSEKQVKLQKDRRKNFDQENVFMGDNELLSEKKLAEDAPSFKNHEQECEGTEDTNPKASATECLVTADSSGHVEEISSLLKKPDKEESQVDKRKSNCEAETPLRCKTSNEDIQLWLPEETKKSEKHNNDKISMSGQVMFESFIFQLLHVQKVLK >Vigun06g088200.3.v1.2 pep primary_assembly:ASM411807v1:6:22056102:22066281:-1 gene:Vigun06g088200.v1.2 transcript:Vigun06g088200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVATSRKSTQKRQKQYFEQRKRKQQNLHMMGSDNCYDSPGISGQSLKEHRSLDILNLLNLSTKAQQCNPFFPEVKLSTSPHANCTTTKERDDGDINISTLPVNVSTDQPTMFTNMDTTVNSFRFEEARAPLCRQTETSPKSSSDHHNTAFNGPLNQWKTVSDQYSEFSVIDLVCDDEPNATAEKCPTCEDHVSFSLEGLGKVGTETPVHSPEQRARIPYSYSPLQKDGSKSKLKKLNHVLDDIELEVDTMVQDIKVSPISSSDFPFNKLRRSSAIVGNGNHFYDIDNRNGSADREEFFYKTENSDGDLWNVFLDETFDNEMGYDTSCKKTFQMGSKSPELLKSGTYKMENYAFEGLLPKKWSSSAVTKKQTDMGEPRSSFSKDELEEDFDFYVASRSRLDGNFNAQNFIPEDVRDNSSLLSEESSSCAAVRGESTAHSPAIILTGENRRKHRNAFASPRKHRNAFSSSRNRSSTKDEKYRSMPNSSKRVPSQFSNSILQEELGSRNSWHFEERNPSLDKSSVAASFCLDLETDFAVFGSKNRIEDPFSVFNTLELSDKASPSFSGFNKTAPRPDSPPCSFTSQKLAFDCSAAFPTVDSWPTSPSLSPDFHFKGKSEDAGGFHCATSSTDMSGQGSVSKSEKQVKLQKDRRKNFDQENVFMGDNELLSEKKLAEDAPSFKNHEQECEGTEDTNPKASATECLVTADSSGHVEEISSLLKKPDKEESQVDKRKSNCEAETPLRCKTSNEDIQLWLPEETKKSEKHNNDKISMSGQVMFESFIFQLLHVQKVLK >Vigun06g088200.2.v1.2 pep primary_assembly:ASM411807v1:6:22057203:22066282:-1 gene:Vigun06g088200.v1.2 transcript:Vigun06g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVATSRKSTQKRQKQYFEQRKRKQQNLHMMGSDNCYDSPGISGQSLKEHRSLDILNLLNLSTKAQQCNPFFPEVKLSTSPHANCTTTKERDDGDINISTLPVNVSTDQPTMFTNMDTTVNSFRFEEARAPLCRQTETSPKSSSDHHNTAFNGPLNQWKTVSDQYSEFSVIDLVCDDEPNATAEKCPTCEDHVSFSLEGLGKVGTETPVHSPEQRARIPYSYSPLQKDGSKSKLKKLNHVLDDIELEVDTMVQDIKVSPISSSDFPFNKLRRSSAIVGNGNHFYDIDNRNGSADREEFFYKTENSDGDLWNVFLDETFDNEMGYDTSCKKTFQMGSKSPELLKSGTYKMENYAFEGLLPKKWSSSAVTKKQTDMGEPRSSFSKDELEEDFDFYVASRSRLDGNFNAQNFIPEDVRDNSSLLSEESSSCAAVRGESTAHSPAIILTGENRRKHRNAFASPRKHRNAFSSSRNRSSTKDEKYRSMPNSSKRVPSQFSNSILQEELGSRNSWHFEERNPSLDKSSVAASFCLDLETDFAVFGSKNRIEDPFSVFNTLELSDKASPSFSGFNKTAPRPDSPPCSFTSQKLAFDCSAAFPTVDSWPTSPSLSPDFHFKGKSEDAGGFHCATSSTDMSGQGSVSKSEKQVKLQKDRRKNFDQENVFMGDNELLSEKKLAEDAPSFKNHEQECEGTEDTNPKASATECLVTADSSGHVEEISSLLKKPDKEESQVDKRKSNCEAETPLRCKTSNEGMLINQTTK >Vigun06g088200.4.v1.2 pep primary_assembly:ASM411807v1:6:22057203:22066282:-1 gene:Vigun06g088200.v1.2 transcript:Vigun06g088200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVATSRKSTQKRQKQYFEQRKRKQQNLHMMGSDNCYDSPGISGQSLKEHRSLDILNLLNLSTKAQQCNPFFPEVKLSTSPHANCTTTKERDDGDINISTLPVNVSTDQPTMFTNMDTTVNSFRFEEARAPLCRQTETSPKSSSDHHNTAFNGPLNQWKTVSDQYSEFSVIDLVCDDEPNATAEKCPTCEDHVSFSLEGLGKVGTETPVHSPEQRARIPYSYSPLQKDGSKSKLKKLNHVLDDIELEVDTMVQDIKVSPISSSDFPFNKLRRSSAIVGNGNHFYDIDNRNGSADREEFFYKTENSDGDLWNVFLDETFDNEMGYDTSCKKTFQMGSKSPELLKSGTYKMENYAFEGLLPKKWSSSAVTKKQTDMGEPRSSFSKDELEEDFDFYVASRSRLDGNFNAQNFIPEDVRDNSSLLSEESSSCAAVRGESTAHSPAIILTGENRRKHRNAFASPRKHRNAFSSSRNRSSTKDEKYRSMPNSSKRVPSQFSNSILQEELGSRNSWHFEERNPSLDKSSVAASFCLDLETDFAVFGSKNRIEDPFSVFNTLELSDKASPSFSGFNKTAPRPDSPPCSFTSQKLAFDCSAAFPTVDSWPTSPSLSPDFHFKGKSEDAGGFHCATSSTDMSGQGSVSKSEKQVKLQKDRRKNFDQENVFMGDNELLSEKKLAEDAPSFKNHEQECEGTEDTNPKASATECLVTADSSGHVEEISSLLKKPDKEESQVDKRKSNCEAETPLRCKTSNEGMLINQTTK >Vigun07g282500.1.v1.2 pep primary_assembly:ASM411807v1:7:39673845:39676982:1 gene:Vigun07g282500.v1.2 transcript:Vigun07g282500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGAIQRPLVAAAAVAVASFSADVSDRLPFRGSSRDCSTSDLVHSTSCSNVQESDSLWVSHISDSKLANLSFVTRIPVHVPNVQFRVPSLGHNCVSNLPHSLVFSSPLLRSLYHSADLRKLSRPATSSDGASNSTSEGVYKWHLPDPNALCDSSCSLTKSRTVVVLLGWLGARQKHLKKYAEWYTSRGFHVITFTLSMSEILSYHPGGKAEENVQMLVDHLADWLEGENGKNLVFHTFSNTGWLTYGVMLEHFQKQNPNVMERIKGCIVDSAPVAYPDPQVWASGFSAAFLKKNSVATKGRVFSDESGIKVSIGSEDDLGLKPAVTEAALQLILKKFFGIILDLPSINRRLSDVMNMLSSKQPRCPQLYMYSTADRVIPADSVESFVEAQRRAGHDVRACNFVSSPHVDHFRNDPKLYTSQLSHFLEESVLSHCKSH >Vigun07g282500.2.v1.2 pep primary_assembly:ASM411807v1:7:39673662:39676982:1 gene:Vigun07g282500.v1.2 transcript:Vigun07g282500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGAIQRPLVAAAAVAVASFSADVSDRLPFRGSSRDCSTSDLVHSTSCSNVQESDSLWVSHISDSKLANLSFVTRIPVHVPNVQFRVPSLGHNCVSNLPHSLVFSSPLLRSLYHSADLRKLSRPATSSDGASNSTSEGVYKWHLPDPNALCDSSCSLTKSRTVVVLLGWLGARQKHLKKYAEWYTSRGFHVITFTLSMSEILSYHPGGKAEENVQMLVDHLADWLEGENGKNLVFHTFSNTGWLTYGVMLEHFQKQNPNVMERIKGCIVDSAPVAYPDPQVWASGFSAAFLKKNSVATKGRVFSDESGIKVSIGSEDDLGLKPAVTEAALQLILKKFFGIILDLPSINRRLSDVMNMLSSKQPRCPQLYMYSTADRVIPADSVESFVEAQRRAGHDVRACNFVSSPHVDHFRNDPKLYTSQLSHFLEESVLSHCKSH >Vigun10g126100.2.v1.2 pep primary_assembly:ASM411807v1:10:33415733:33426338:-1 gene:Vigun10g126100.v1.2 transcript:Vigun10g126100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFRLPQSNVVLDGLDSCAVFGGRGFRCAFLGNLRTISKARFSGTNKIGSWSSSRVDCFGELKVSSGKRSVCWKRNKLFRRSGKIWTRCQGNDSLSYVSGNGRNVDRGESADEDSGSSAESFESLGEDGQEGRKEAGGEVAEEQNVDELKELLQKAKKELEAARENSIAFEEKVKKISETAIFLQDEAASAWNNVTHTLDIIQDIVGQEFVAKEAVQKATMSLSLAEARLQVAIESFEVTKETYDSSQGSNNINDDKDIMEEAKELLDAEEDIKEGQTNLSNCENELRGLQCRKEELQNEVNNLHEVAEQAQLKAAKAEEDVASIMLLAEKAVAAEIDAAQHVNDAEIALQKLEKSASSFRADTKDSLQVQEVVAIPEDEEVGQGFSGDDVVKREANLSNDGELSLTETKSDNSRQSLEDMAQSDYLSDHENGKLSLDSSKEAEVETEKSKNVVQPKKQETQKDLTRDNSSFFPKTLLKKSSRFFPASFFSFTADETDYTPASVFHGLMESAQKQLAKLIVGLLLIGAGLVLYSNRAERGAQLLQQPEVIATTVEEVSSTTKPLVRKLQDLPMRIKKIIASLPDQEVNEEEASLFDMLWLLLASVVFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHYICGQAGPAVIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAVVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVVTGTLGLLIFGKTLLVSLIGRIFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAQGGQLLASRFELHDVRNLLPVESETDDLQNHIIICGFGRVGQIIAQLLSEQLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERASAAAITLDAPGANYRTVWALSKYFPKVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLSELTELSETNGGSFAFGYNKIASKPNSSDDTQFSEGKPAT >Vigun10g126100.1.v1.2 pep primary_assembly:ASM411807v1:10:33415733:33426338:-1 gene:Vigun10g126100.v1.2 transcript:Vigun10g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFRLPQSNVVLDGLDSCAVFGGRGFRCAFLGNLRTISKARFSGTNKIGSWSSSRVDCFGELKVSSGKRSVCWKRNKLFRRSGKIWTRCQGNDSLSYVSGNGRNVDRGESADEDSGSSAESFESLGEDGQEGRKEAGGEVAEEQNVDELKELLQKAKKELEAARENSIAFEEKVKKISETAIFLQDEAASAWNNVTHTLDIIQDIVGQEFVAKEAVQKATMSLSLAEARLQVAIESFEVTKETYDSSQGSNNINDDKDIMEEAKELLDAEEDIKEGQTNLSNCENELRGLQCRKEELQNEVNNLHEVAEQAQLKAAKAEEDVASIMLLAEKAVAAEIDAAQHVNDAEIALQKLEKSASSFRADTKDSLQVQEVVAIPEDEEVGQGFSGDDVVKREANLSNDGELSLTETKSDNSRQSLEDMAQSDYLSDHENGKLSLDSSKEAEVETEKSKNVVQPKKQETQKDLTRDNSSFFPKTLLKKSSRFFPASFFSFTADETDYTPASVFHGLMESAQKQLAKLIVGLLLIGAGLVLYSNRAERGAQLLQQPEVIATTVEEVSSTTKPLVRKLQDLPMRIKKIIASLPDQEVNEEEASLFDMLWLLLASVVFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHYICGQAGPAVIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAVVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVVTGTLGLLIFGKTLLVSLIGRIFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAQGGQLLASRFELHDVRNLLPVESETDDLQNHIIICGFGRVGQIIAQLLSEQLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERASAAAITLDAPGANYRTVWALSKYFPKVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLSELTELSETNGGSFAFGYNKIASKPNSSDDTQFSEGKPAT >Vigun10g126100.4.v1.2 pep primary_assembly:ASM411807v1:10:33415858:33425974:-1 gene:Vigun10g126100.v1.2 transcript:Vigun10g126100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFRLPQSNVVLDGLDSCAVFGGRGFRCAFLGNLRTISKARFSGTNKIGSWSSSRVDCFGELKVSSGKRSVCWKRNKLFRRSGKIWTRCQGNDSLSYVSGNGRNVDRGESADEDSGSSAESFESLGEDGQEGRKEAGGEVAEEQNVDELKELLQKAKKELEAARENSIAFEEKVKKISETAIFLQDEAASAWNNVTHTLDIIQDIVGQEFVAKEAVQKATMSLSLAEARLQVAIESFEVTKETYDSSQGSNNINDDKDIMEEAKELLDAEEDIKEGQTNLSNCENELRGLQCRKEELQNEVNNLHEVAEQAQLKAAKAEEDVASIMLLAEKAVAAEIDAAQHVNDAEIALQKLEKSASSFRADTKDSLQVQEVVAIPEDEEVGQGFSGDDVVKREANLSNDGELSLTETKSDNSRQSLEDMAQSDYLSDHENGKLSLDSSKEAEVETEKSKNVVQPKKQETQKDLTRDNSSFFPKTLLKKSSRFFPASFFSFTADETDYTPASVFHGLMESAQKQLAKLIVGLLLIGAGLVLYSNRAERGAQLLQQPEVIATTVEEVSSTTKPLVRKLQDLPMRIKKIIASLPDQEVNEEEASLFDMLWLLLASVVFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHYICGQAGPAVIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAVVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVVTGTLGLLIFGKTLLVSLIGRIFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAQGGQLLASRFELHDVRNLLPVESETDDLQNHIIICGFGRVGQIIAQLLSEQLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERASAAAITLDAPGANYRTVWALSKYFPKVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLSELTELSETNGGSFAFGYNKIASKPNSSDDTQFSEGKPAT >Vigun10g126100.3.v1.2 pep primary_assembly:ASM411807v1:10:33415858:33426125:-1 gene:Vigun10g126100.v1.2 transcript:Vigun10g126100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFRLPQSNVVLDGLDSCAVFGGRGFRCAFLGNLRTISKARFSGTNKIGSWSSSRVDCFGELKVSSGKRSVCWKRNKLFRRSGKIWTRCQGNDSLSYVSGNGRNVDRGESADEDSGSSAESFESLGEDGQEGRKEAGGEVAEEQNVDELKELLQKAKKELEAARENSIAFEEKVKKISETAIFLQDEAASAWNNVTHTLDIIQDIVGQEFVAKEAVQKATMSLSLAEARLQVAIESFEVTKETYDSSQGSNNINDDKDIMEEAKELLDAEEDIKEGQTNLSNCENELRGLQCRKEELQNEVNNLHEVAEQAQLKAAKAEEDVASIMLLAEKAVAAEIDAAQHVNDAEIALQKLEKSASSFRADTKDSLQVQEVVAIPEDEEVGQGFSGDDVVKREANLSNDGELSLTETKSDNSRQSLEDMAQSDYLSDHENGKLSLDSSKEAEVETEKSKNVVQPKKQETQKDLTRDNSSFFPKTLLKKSSRFFPASFFSFTADETDYTPASVFHGLMESAQKQLAKLIVGLLLIGAGLVLYSNRAERGAQLLQQPEVIATTVEEVSSTTKPLVRKLQDLPMRIKKIIASLPDQEVNEEEASLFDMLWLLLASVVFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHYICGQAGPAVIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAVVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVVTGTLGLLIFGKTLLVSLIGRIFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAQGGQLLASRFELHDVRNLLPVESETDDLQNHIIICGFGRVGQIIAQLLSEQLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERASAAAITLDAPGANYRTVWALSKYFPKVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLSELTELSETNGGSFAFGYNKIASKPNSSDDTQFSEGKPAT >Vigun03g347200.1.v1.2 pep primary_assembly:ASM411807v1:3:54637752:54638870:-1 gene:Vigun03g347200.v1.2 transcript:Vigun03g347200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFKASYPLFLCFILFCGVNCTEFEVGGHEGWVVPKTKDNAQMFNQWAAQNRFKIDDTLLFKYEKDSVMEVTEEEYEKCVSTRPLFFSNNGNTVFKVDRPGLFFFISGVRGHCERGQKMIIKVLDIEATPSPQYENGTAPKPHSKSGVAELTPMRIMTASTVFVMATFFLQLYV >Vigun05g059900.1.v1.2 pep primary_assembly:ASM411807v1:5:5135344:5136911:-1 gene:Vigun05g059900.v1.2 transcript:Vigun05g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDQPFLHMNGGKGEMSYANNSSIQRQMMLKAKFILEETITRLYRDSSPTCMKVADLGCSVGSNTLLVISNIINIVHTTCTLLNRDPPTFQFYLNDLFGNDFNTTIKLLPDFYTKLLQYKEHDSCFINVTPGSFYGRLFPSNSINLFHSSNGLHWLSQDPLLGCKDVASLNKGHCHIVSTSPPEVHKTYLKQYQQDFKLFLKSRSEELVPGGAMVLMHLGYHETPRRTSWEIVSLILNDMLLEGLIEEAKLEWFNIPVYEPTVEEVKNVIEEEESVFLERLEVVISGWDEGLNIEGGDGENVRAEIISKHARAALEPLLSEKFDAEVINEVFVRFQNKILQIMKVGKLESATFIMSITKKA >Vigun08g214300.2.v1.2 pep primary_assembly:ASM411807v1:8:37484216:37486763:1 gene:Vigun08g214300.v1.2 transcript:Vigun08g214300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVSVVVESHTCPCLCVEALSTTTTLSVVCNSLSLTLKRKHLQTRETMKLTTSFLQNHTVNTTLKNQSRHKKRTKTLTIVNELGGQYEDTFHDVKKQILNYFTYKAVRTVLNQLYEMNPPQYTWFYNFVATNDPADRKPFLRSLGKSVN >Vigun08g214300.1.v1.2 pep primary_assembly:ASM411807v1:8:37484216:37486763:1 gene:Vigun08g214300.v1.2 transcript:Vigun08g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVSVVVESHTCPCLCVEALSTTTTLSVVCNSLSLTLKRKHLQTRETMKLTTSFLQNHTVNTTLKNQSRHKKRTKTLTIVNELGGQYEDTFHDVKKQILNYFTYKAVRTVLNQLYEMNPPQYTWFYNFVATNDPADRKPFLRSLGKERHELAERVMITRLHLYGKWIKKCNHAEIYQEIHDENLELMRERLMETVIWPSDDSNT >Vigun09g272000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43373778:43374074:-1 gene:Vigun09g272000.v1.2 transcript:Vigun09g272000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPIFMAVHANKILKWQQHLHNRNNSNVPKGHVAVYVGEAQKKRFVVPISYLNHPSFLDLLNRAQEEFGYNHPMGGLTIPCKEEAFISLTSQLRS >Vigun02g139000.1.v1.2 pep primary_assembly:ASM411807v1:2:28826740:28830816:-1 gene:Vigun02g139000.v1.2 transcript:Vigun02g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLLKTLVSGIIAVLVCSLFYQFKKTLGGNTKICSAPQAAGAWPIIGHLHLFGSHQLTHKTLGVMAEKHGPIFTIKLGSYKVLVLSSWEMAKECFTVHDKAFSTRPCVAASKLMGYNYAMFGFTPYGPYWRQVRKLATIELLSNNRLEPLRNTRTFELDAAVRGLYKLWSKEGCPEGGVLVDMKQWFGDLTHNIAIRMVGGKPYCGVGDGCADGEARRYRRVMRDWVCLFGAFVLSDAFPFLGWLDINGYEKDMKRTASELDTLVEGWLEEHKRKRALSVNGKEERDDFMDVLLNVLQGTEISGYDSDTIIKATCLNLILAGTDPTMVTLTWVLSLLLNHPAELERTQHELDTLIGKERKVEESDIKKLVYLQAVVKETLRLYPPSPIITLRAAMEDCTFSCGYHIPAGTQLMVNAWKIHRDGRVWSDPHDFKPERFLTSHKDVDVKGQNYELVPFSSGRRACPGTSLALRVVHLTLSRLLHSFKVASPSNQVVDMTESFGLTNLKATPLEVLLTPRLDTKLYEN >Vigun07g276600.1.v1.2 pep primary_assembly:ASM411807v1:7:39201018:39203035:-1 gene:Vigun07g276600.v1.2 transcript:Vigun07g276600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQVVRPLRQWPWLHHHRTCVTLPLLLHHHHHHHLLSPPLPHTTTSKTPPISHRFSFAMLASSRPKLRTLNSPLPTTSDADLEAKKSRNELKREAKRAVKWGMDLASFSAPQIKRILRVASLDQVVFEAVMLVKRLGPDVREGRRRQFNYIGKLLRDVDPELMDRLVKATKDSDYKELQALTGLGSDDPEDDDEDGLVESESEENQEESNWHDEQVTRWFDGLISKDIEITNEIYSVQGVEFDRQELRKLVRRVHITQEMKAENEEEEKKIETATVGAKKALTRFLRGLTKRIPYEY >Vigun06g060550.1.v1.2 pep primary_assembly:ASM411807v1:6:18828127:18832861:1 gene:Vigun06g060550.v1.2 transcript:Vigun06g060550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSNASKKLTKRQMAEKYQNNGTVSNSHPTKKRGRPRKISQFLESHTTVSSSSGSSDASASTFDVAPPSPIQGVHTPCTPQPTNSDNSDAGHINEADVGLETQSNDDKPMLHLDGQGFLPSRLAASGIGDIITRYYTDPWPSWRKIPARTRDAMFEEFLTKFSISPPDYRWAKRNFQKRASILLTNKLVKARTTLDKPNWIEDGVWEKLCEHWKSEDFKSKSAQAKTNRASNYAASHTGGSISASQHRANMIKETGVVPTPLELYRRLHQHKDNTWVDSRSKNLNEAFTRTMKQLTKSALAQGKPPPNELDVWSDVARSKRGKVYGFGEKSAAFRGGQCSHVSSSSMLIIKQEFGELGLESTEVRGGQCHHGSSSPMELITKEEVDKLRKEMEEVRKERDELQTKVSNTERHNSSSSKELITKQGFDELRREMEEIRKERDGLKTKVSNTERYGSSSSMVLITKQEFDELRRELEELRKERDEIKTKVSNTERHGSSSSMELITKQGFDELRREMEEIRKERDGLKTKVSITERHGSSSSMELITKQEFDELRWEMEELRKERDEIKTKVSSTERHGSSSSMELITKQEFDELRRDMEEVRKERDELQIKVSNTEKLIEENNALIRQMMETINDQSMISMHSRGKSKLR >Vigun06g060550.2.v1.2 pep primary_assembly:ASM411807v1:6:18829365:18832861:1 gene:Vigun06g060550.v1.2 transcript:Vigun06g060550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYQNNGTVSNSHPTKKRGRPRKISQFLESHTTVSSSSGSSDASASTFDVAPPSPIQGVHTPCTPQPTNSDNSDAGHINEADVGLETQSNDDKPMLHLDGQGFLPSRLAASGIGDIITRYYTDPWPSWRKIPARTRDAMFEEFLTKFSISPPDYRWAKRNFQKRASILLTNKLVKARTTLDKPNWIEDGVWEKLCEHWKSEDFKSKSAQAKTNRASNYAASHTGGSISASQHRANMIKETGVVPTPLELYRRLHQHKDNTWVDSRSKNLNEAFTRTMKQLTKSALAQGKPPPNELDVWSDVARSKRGKVYGFGEKSAAFRGGQCSHVSSSSMLIIKQEFGELGLESTEVRGGQCHHGSSSPMELITKEEVDKLRKEMEEVRKERDELQTKVSNTERHNSSSSKELITKQGFDELRREMEEIRKERDGLKTKVSNTERYGSSSSMVLITKQEFDELRRELEELRKERDEIKTKVSNTERHGSSSSMELITKQGFDELRREMEEIRKERDGLKTKVSITERHGSSSSMELITKQEFDELRWEMEELRKERDEIKTKVSSTERHGSSSSMELITKQEFDELRRDMEEVRKERDELQIKVSNTEKLIEENNALIRQMMETINDQSMISMHSRGKSKLR >Vigun06g060550.3.v1.2 pep primary_assembly:ASM411807v1:6:18829422:18832861:1 gene:Vigun06g060550.v1.2 transcript:Vigun06g060550.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYQNNGTVSNSHPTKKRGRPRKISQFLESHTTVSSSSGSSDASASTFDVAPPSPIQGVHTPCTPQPTNSDNSDAGHINEADVGLETQSNDDKPMLHLDGQGFLPSRLAASGIGDIITRYYTDPWPSWRKIPARTRDAMFEEFLTKFSISPPDYRWAKRNFQKRASILLTNKLVKARTTLDKPNWIEDGVWEKLCEHWKSEDFKSKSAQAKTNRASNYAASHTGGSISASQHRANMIKETGVVPTPLELYRRLHQHKDNTWVDSRSKNLNEAFTRTMKQLTKSALAQGKPPPNELDVWSDVARSKRGKVYGFGEKSAAFRGGQCSHVSSSSMLIIKQEFGELGLESTEVRGGQCHHGSSSPMELITKEEVDKLRKEMEEVRKERDELQTKVSNTERHNSSSSKELITKQGFDELRREMEEIRKERDGLKTKVSNTERYGSSSSMVLITKQEFDELRRELEELRKERDEIKTKVSNTERHGSSSSMELITKQGFDELRREMEEIRKERDGLKTKVSITERHGSSSSMELITKQEFDELRWEMEELRKERDEIKTKVSSTERHGSSSSMELITKQEFDELRRDMEEVRKERDELQIKVSNTEKLIEENNALIRQMMETINDQSMISMHSRGKSKLR >Vigun09g070900.2.v1.2 pep primary_assembly:ASM411807v1:9:7733433:7737538:1 gene:Vigun09g070900.v1.2 transcript:Vigun09g070900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCQLTFMISCLTRNQADVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLFKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFYTKDGKYDLNFKKQPNDGTHVHSAQSLGQLYKDFVKDFPIVSIEDPFDQDDWGSWSSLLSSVDIQLVGDDLLVTNPVRIAEAIKKKACNGLLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEELGDVRYAGEAFRSP >Vigun09g070900.1.v1.2 pep primary_assembly:ASM411807v1:9:7733433:7737538:1 gene:Vigun09g070900.v1.2 transcript:Vigun09g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTYQPLTKIPSSSSPSSFCTHRTTQRVTLRTGRRPLTVRAAAETTVGRECKVKSVKARQIVDSRGNPTVEVDLVTDELFRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVRNINEVLAPKLVGVDVRNQADVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLFKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFYTKDGKYDLNFKKQPNDGTHVHSAQSLGQLYKDFVKDFPIVSIEDPFDQDDWGSWSSLLSSVDIQLVGDDLLVTNPVRIAEAIKKKACNGLLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEELGDVRYAGEAFRSP >Vigun03g233100.1.v1.2 pep primary_assembly:ASM411807v1:3:38820302:38823118:1 gene:Vigun03g233100.v1.2 transcript:Vigun03g233100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMQRYGRNKSVVKRSKKYLEEALYVKLFKDGGSQLSVRQSLNNFIKTGKRVYKWEVGDTLKKLRDRKLYQPALKLSETMAKRNMIKTVSDHAIHLDLLAKARGITTAENYFVNLPESSKNHLCYGALLNCYCKELMTEKAEGLMEKMKELSLPLSSMPYNSLMTLYTKVGQPEKIPSIIQEMKASNITLDSYTYNVWMRALAAVNDISGVERVHDEMKRDGQVTGDWTTYSNLASIFVDAGFLDKAEVALKELEKRNAFKDLSAYQFLITLYGRTGNLCEVYRVWRSLRLAFPKTANISYLNMIQVLVNLKDLPGAEKCFREWQSGCPKYDIRVANVLIGAYAKLDMLEKAEELKERARRRGAKPNAKSWEIFLDYHLQKGDFKLAVDCLDKAISIGRGNGEKWIPSSKVMDVMMGHFEQEKDVDGAEEFLEIVKKSVDSLGVEVFESLIRTYAAAGRTSSAMQRRLKMENVEVSEDTQKLLQAISVE >Vigun05g021500.2.v1.2 pep primary_assembly:ASM411807v1:5:1780473:1784294:-1 gene:Vigun05g021500.v1.2 transcript:Vigun05g021500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPLPYSSRRRDESEFNLREWNVKARISRENTNSRRYSGSYMRSFREDTRSFRSNIAISSTASSPGYPLKDEIDPSTYSFTTALKALQARAAYNSWECSSPDGFALNSKWNEAERYICNPLSGEVPLECLSAKTLSGRSFRNSISRIAMSAPLVYSSKHIPTKPATYTQEEVALQFPNPEKKKEGMTRDVGTQSTPPYLSSSSPSPASTPSIMERSKPQPEDSPNSNAKTKSEEEVEVKDEEIWETKETEREKKEWRKREEQLCKQQSGCFWWMRKKEKAERERERERRNNMFLTHFRVLC >Vigun05g021500.1.v1.2 pep primary_assembly:ASM411807v1:5:1780473:1784326:-1 gene:Vigun05g021500.v1.2 transcript:Vigun05g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPLPYSSRRRDESEFNLREWNVKARISRENTNSRRYSGSYMRSFREDTRSFRSNIAISSTASSPGYPLKDEIDPSTYSFTTALKALQARAAYNSWECSSPDGFALNSKWNEAERYICNPLSGEVPLECLSAKTLSGRSFRNSISRIAMSAPLVYSSKHIPTKPATYTQEEVALQFPNPEKKKEGMTRDVGTQSTPPYLSSSSPSPASTPSIMERSKPQPEDSPNSNAKTKSEEEVEVKDEEIWETKETEREKKEWRKREEQLCKQQSGCFWWMRKKEKAERERERERRNNMFLTHFRGTKR >Vigun08g202500.1.v1.2 pep primary_assembly:ASM411807v1:8:36656523:36663492:1 gene:Vigun08g202500.v1.2 transcript:Vigun08g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPIPIGSCSKQQQAIYKDWFNYADSDSDGRITGSDATKFFAMSNLSRQDLKQVWAIADTKRQGYLGFSEFIIAMQLVSLAQSGHSITPDLPTSNVDFTNLEPPVMDGLDALLTKKKHKHREVETNGSSQLQPSPSRNWFSSNSTKKVPLSSVTSIIDGLKRLYLNKLKPLEATYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVVMSGSDERTVPGNTVAVQADMPFSGLTTFGTAFLSKFVCSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFNRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLKTPEVMRVYIGSFNDMPVNDVATGPLGKELFEKEQDDLLSDLKDIPKAACDRRINEFVKRARAAKIHAYIISHLKKEMPAMIGKSKAQQKLIDNLAAEFGKVQREFHLPPGDFPNVEYFRERLSGYNIDKFEKLKPKMIQAVDDMLAYDIPNLLKNFRNPYD >Vigun03g185500.2.v1.2 pep primary_assembly:ASM411807v1:3:24479004:24481141:1 gene:Vigun03g185500.v1.2 transcript:Vigun03g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKRCALTIVPMEEASSSEPTISKKRKTAATANSASFQLRSSHAHHRFPDTTVSPEASVNSAGTVVSGEPCSDRSCCSSSHVKELHTAPLDLQTKGFETVHSTNRNFKSSSLLSEFSGDSEESTMFPAKSSAEVTEKRKVKEPPKAEIEEFFAMAEKYEQKRFVEKYNFDIVRDMPLEGRYQWVIWNILSIPSYDDSPIFCGDHVWLVPKK >Vigun03g185500.1.v1.2 pep primary_assembly:ASM411807v1:3:24478795:24481142:1 gene:Vigun03g185500.v1.2 transcript:Vigun03g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKRCALTIVPMEEASSSEPTISKKRKTAATANSASFQLRSSHAHHRFPDTTVSPEASVNSAGTVVSGEPCSDRSCCSSSHVKELHTAPLDLQTKGFETVHSTNRNFKSSSLLSEFSGDSEESTMFPAKSSAEVTEKRKVKEPPKAEIEEFFAMAEKYEQKRFVEKYNFDIVRDMPLEGRYQWVRLH >Vigun03g185500.3.v1.2 pep primary_assembly:ASM411807v1:3:24478806:24481813:1 gene:Vigun03g185500.v1.2 transcript:Vigun03g185500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKRCALTIVPMEEASSSEPTISKKRKTAATANSASFQLRSSHAHHRFPDTTVSPEASVNSAGTVVSGEPCSDRSCCSSSHVKELHTAPLDLQTKGFETVHSTNRNFKSSSLLSEFSGDSEESTMFPAKSSAEVTEKRKVKEPPKAEIEEFFAMAEKYEQKRFVEKYNFDIVRDMPLEGRYQWVRLH >Vigun05g296000.1.v1.2 pep primary_assembly:ASM411807v1:5:48097574:48102015:1 gene:Vigun05g296000.v1.2 transcript:Vigun05g296000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSISRALQQMSNATVIDEKVLNDCLNDITRALLQSDVQFKLVRDMQTNIKNIVNLDDLAAGHNKRRIIQQAVFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKEENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIQEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPISQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRIMRIARGAGRQIREVMEMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMGGLFGGGGD >VigunL059136.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000450.1:23942:24133:1 gene:VigunL059136.v1.2 transcript:VigunL059136.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPIYLLIVIVIVIVEACKYSSLSLCGDARSYAVETCFLLSKMSNSMSLDLSCLYLLLQFE >Vigun01g027900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3325869:3326297:-1 gene:Vigun01g027900.v1.2 transcript:Vigun01g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKRDYERVMKYFDEDGDGKISPWELRKKLGMMGDELVLKEVELLVQELDSDGDGCVSMEDLVKMMEGAGEEEKMRDLEEAFEMYNDSEMLGFITPNSLQTMLGRLGESKSIHQCKAMIHHFDLNGDGLLSFHEFTLMMH >Vigun08g054300.2.v1.2 pep primary_assembly:ASM411807v1:8:6535687:6542030:-1 gene:Vigun08g054300.v1.2 transcript:Vigun08g054300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVCWPYFDPDFDTLPERIYGPPCRVCIDNDSMKGCTVVKVDSVNKEGLLLEVVQVLTDMNLQICKSYISCDAGWFMDVFHVRDENGNKLTDQKVINEIQQALGKSRASPPSQQYSNNVNSVITTMTNYKTYSKKFIPLLSNSTEHYTAIEMTGTDRPGLFSEISAALVDLHCNIVEVHAWSHNARLACVAYISDQSTDSAIEDPSRLSSIEDHLTTVLRATTNPNGGGTGTCPDVKTSEFLGGEGNMTSVERRLHQLMLSVRDFEAPSSPKEMKGRKRMVTIESCEQKGYSIVNIECKDRPRLMFDTVCTLTDMQYVIFHASISSHGGYAFQEYFIRHVDGYALDTASEKERVIKCLEAAIERRVCEGVRLELCAENRVGLLSDITRVLRENGLVVVRADVETHGEKSVNAFYVKDISGNEVDVEYFSNSVKREMGPIVTLHVKNDTTRRKTPSPSLSFGGMLRSRIERLSHGFII >Vigun05g171400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30654876:30655569:-1 gene:Vigun05g171400.v1.2 transcript:Vigun05g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEANNVLLMSLMEETKEEEYYDGDDRLVSMIQSLEAEISDTEIAQMDDQDCSTSDSEPDHWDDINIISSLPFDEMNAWIPCGDEIMDHAPMEYEDATYIQDFQFSYGVFFDQHYLAQGPTDVVF >Vigun10g060000.1.v1.2 pep primary_assembly:ASM411807v1:10:12121755:12125307:1 gene:Vigun10g060000.v1.2 transcript:Vigun10g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWEGLLKWSIANSDGTAPNSNLSEEDRKWFMEAMQAQTIDVVKRMKEITLVMQTPEEVLKDQGVTVADLEEMLEELQEHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAADVVTTIVQNNPRSQQLVMEANGFEPLIHNFSSDPDVTVRTKALGAISSLIRHNKPGITAFRLANGYAALKDALTSENVRFQRKALNLIHYLLHENNSDCNIVNELGFPRMFMHLASSEDSDVREAALRSLLELAHNTKARSEEDSEKMKQLLQERINNISLMSAEDLGVVREERQLVDSLWSSCFNEPSSLREKGLLVLPGKTTPLQMLQASILSLLFDLHL >Vigun09g219700.1.v1.2 pep primary_assembly:ASM411807v1:9:39349943:39352150:1 gene:Vigun09g219700.v1.2 transcript:Vigun09g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFLSPVSAYGLYLRRCFTAAGLSSYTLDVDHQTTVHFWAPTNRTAQKPAVVLIHGFGPAAIWQWRQQVQFLAPHFNVYVPDLIFFGGSSSTSSERSETFQAASVGKLLDKLKVEKFQVVGTSYGGMVAYNLAKMFGEERVEKVVIASSGVNMKRSNNVALVQRAELEKIEDLMLPSTPQQLRKLMSLSINKSPQFLPHFFLNDFLHKLYSDNRKEKKELLKGLTIGKDDTTTLSPLEQEVLIVWGEQDQIFPVQMAHELKEIIGKKARLELIKEASHVPQMEKPREFNKILLNFLQAHS >Vigun07g191600.2.v1.2 pep primary_assembly:ASM411807v1:7:30992421:30998736:-1 gene:Vigun07g191600.v1.2 transcript:Vigun07g191600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAGSPQGPVTCGSWIRRPENLNLVVLGRSRRGNSCPALLEIFSFDPTTISLSTSPLTTYVLEAEEGDPDAIAVHPSGDDFVCAFSNGNCKLFELYGRETNMKLLAKELTPLQGIGPQKCISFSVDGSKFAAGGLDGHLRIMEWPSMGVILDEPRAHKSVRDMDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLSRRSDEKIELCRFSKDGTKPFLFCSVQKGDTSVTSVYDISTWNKIGHKRLIRKSASVMSISQDGKYLSLGSKDGDICVVEVKKMQIYHYSRRLHLGTDISSLEFCPGERVVLTTSVEWGALVTKLTVPKDWKEWQIYLVLLGLFLASIVAFYIFFENSDSFWKFPMGKDQPARPRFKPVLRDPQSYEDQNVWGPVDM >Vigun07g191600.1.v1.2 pep primary_assembly:ASM411807v1:7:30992382:30998736:-1 gene:Vigun07g191600.v1.2 transcript:Vigun07g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAGSPQGPVTCGSWIRRPENLNLVVLGRSRRGNSCPALLEIFSFDPTTISLSTSPLTTYVLEAEEGDPDAIAVHPSGDDFVCAFSNGNCKLFELYGRETNMKLLAKELTPLQGIGPQKCISFSVDGSKFAAGGLDGHLRIMEWPSMGVILDEPRAHKSVRDMDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLSRRSDEKIELCRFSKDGTKPFLFCSVQKGDTSVTSVYDISTWNKIGHKRLIRKSASVMSISQDGKYLSLGSKDGDICVVEVKKMQIYHYSRRLHLGTDISSLEFCPGERVVLTTSVEWGALVTKLTVPKDWKEWQIYLVLLGLFLASIVAFYIFFENSDSFWKFPMGKDQPARPRFKPVLRDPQSYEDQNVWGPVDM >Vigun07g191600.3.v1.2 pep primary_assembly:ASM411807v1:7:30992421:30998128:-1 gene:Vigun07g191600.v1.2 transcript:Vigun07g191600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAGSPQGPVTCGSWIRRPENLNLVVLGRSRRGNSCPALLEIFSFDPTTISLSTSPLTTYVLEAEEGDPDAIAVHPSGDDFVCAFSNGNCKLFELYGRETNMKLLAKELTPLQGIGPQKCISFSVDGSKFAAGGLDGHLRIMEWPSMGVILDEPRAHKSVRDMDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLSRRSDEKIELCRFSKDGTKPFLFCSVQKGDTSVTSVYDISTWNKIGHKRLIRKSASVMSISQDGKYLSLGSKDGDICVVEVKKMQIYHYSRRLHLGTDISSLEFCPGERVVLTTSVEWGALVTKLTVPKDWKEWQIYLVLLGLFLASIVAFYIFFENSDSFWKFPMGKDQPARPRFKPVLRDPQSYEDQNVWGPVDM >VigunL073500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:105319:105936:1 gene:VigunL073500.v1.2 transcript:VigunL073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVLSSPALVSGLMVARAKNPVHSVLFPIPVFRDTSGLLLLLGLDFSAMIFPVVHIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLILWWEMFFILDNETIPLLPTQINTTSLIYTVYAGKVRSWTNLETLGNLLYTYYFVWFLVPSLILLVAMIGAIVLTMHRTTQVKRQDVFRRNAIDFRRTIMRRTTDPLTID >Vigun01g071000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19214634:19214843:1 gene:Vigun01g071000.v1.2 transcript:Vigun01g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVLIGVVLFVLLQPGLLFSFPGNGKQLEFGSMKTNGKAIFIHTLIFFALYSILILAVKVHIYTG >Vigun03g161900.1.v1.2 pep primary_assembly:ASM411807v1:3:18033127:18046388:1 gene:Vigun03g161900.v1.2 transcript:Vigun03g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPVQCLLRKICKGSRSFGVATEVNASSSSSQTIMNKEYEHSAHNYHPLPIVFSQAKGTSVWDPEGKKYLDFLAGYSAVNQGHCHPEILKALQEQVERLTVSSRAFYNDRFPVFAEYVTAMFGYDMVLPMNTGAEGVETAMKLARKWGYEKKRIPKDEAIIVSCCGCFNGRTLGVISMSCDNEATRGFGPLLPGHVKVDFGDADALERIFKEKGERIAAFILEPIQGEAGIIIPPDGYLKAVRDLCSKYNILMIADEIQSGLGRTGKMLACEWEEVRPDVVVLGKALGGGVIPVSAVLADKDVMLCIQPGQHGSTFGGNPLASAVAIASLEVIKNEKLVERSIQMGEKLMGQLLKIQQQYPDYVKEVRGRGLFIGVEFRRKNLFPASVYELSEKLKERAVLAKPTHETIIRFTPPLCISVDEIQQGAKALADVLEIDVPKLQKEKPREAAPVASSACDRCGRVMYDD >Vigun03g051500.1.v1.2 pep primary_assembly:ASM411807v1:3:4159446:4164957:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWTLQGKGQERELELESDDSETEIEAPLPLTVTSRALYMLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.7.v1.2 pep primary_assembly:ASM411807v1:3:4159428:4165045:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.5.v1.2 pep primary_assembly:ASM411807v1:3:4159428:4165045:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFETASATAWIDHCVMQALYMLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.3.v1.2 pep primary_assembly:ASM411807v1:3:4159420:4166075:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQNQGKGQERELELESDDSETEIEAPLPLTVTSRALYMLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.8.v1.2 pep primary_assembly:ASM411807v1:3:4159446:4164957:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQNQGKGQERELELESDDSETEIEAPLPLTVTSRALYMLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEDKT >Vigun03g051500.6.v1.2 pep primary_assembly:ASM411807v1:3:4159420:4166075:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.2.v1.2 pep primary_assembly:ASM411807v1:3:4159061:4164957:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun03g051500.4.v1.2 pep primary_assembly:ASM411807v1:3:4159420:4164957:1 gene:Vigun03g051500.v1.2 transcript:Vigun03g051500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALYMLGDITAGPAFKFTQWLQLVRKRTAKHRPSGFPHRNSIRMSSNSCVIEPLEVVEDPKLELQPDQTEIRLWERLGKAAMLDIESSTFSWDMLSSLHHTEHGSSNEQSEDEMNKALEVTVNSGGVVFFAFFNLPGNADICPKEAAAVIKISPSRMATQSERLGYEFAKWLGVQTPQGRVIHNTSLEWQQIKEAGERAREAANSEGDEIGEVTCFELLEALELSRCLFFMSYVHGSPLLESSTAFNSKESAERTSEGLGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLAGKTICANTDMLEAAVDSAIDRYRPKMISAFQKERRSTSVDCRLDSHDPGFISHSPDLSDISESPISPHIKLVTQTSEESLSPDINIVAIDSGVPRRPPAGKRANDQVNYPKLIELLLNSSEFASNLIFDVTGGKLGCPPSEDTDKTDVSTNDVMFVVHAFRAGFRAALRDLQGFHIFLLTLHQRLDTLLRSFMNIMSKISLGEFDKEDAVVPDSPSVAVGGQFCSPSCKERVGNDNHHDLVDSESQKTASKASPSGNRDCTDFTPTPKESCHGKFYKGNGEPLRSLRLTTKLRDFHKFAKVDAESSRELEQWNEMLKSDAIKLCQDNNFNPGFFEGSDNNCVVDAYELKIRLEHILERIALISDAASTEKPSAVTSSLFIGGALAARSVYTLQYLGITNILCLCTNEIGQSETQYPDLFEYKNFSVCDSDDYNISGIFEEACDLIDHVEERGQKVLVHCFEGKSRSVTLVLAYLMLRKKNTLSEAWQALKRVHCRAQPNDGFAKILLDLDQKLHGKVSMEWHQRKPLMKICPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIEKALTALKINRGGSVSPTQRQSHPIIDQ >Vigun09g186900.1.v1.2 pep primary_assembly:ASM411807v1:9:36035107:36040422:1 gene:Vigun09g186900.v1.2 transcript:Vigun09g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGIGEEEGKSNVTLLVSSSTTMESVCLKTLELKERNYMGLSDCSSVDSSVPSFSEETKSNLNLKATELRLGLPGSQSPERDSDFCLRSSTQFDEKPLFPLRPLTDDHHSSTKTAILGNKRGFSDAMNGFSEGKFLVDSENNPILSPRPGSNLGLNPCSTLEKAGTQQNKMKEAAIAKEPHSVNETRPSIDCSANNNNSAPATKAQVVGWPPIRSFRKNSLATNSKNNEEVDGKVGVGALFVKVSMDGAPYLRKVDLNNYSAYPELSSALEKMFSCFTISQCGSHGILGREMLNETKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLRIMKSSDAIGLAPRAVEKSKSRS >Vigun05g007250.1.v1.2 pep primary_assembly:ASM411807v1:5:636679:636881:1 gene:Vigun05g007250.v1.2 transcript:Vigun05g007250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVMDKNDIMQAHVLGHKMTGNCCFRGLSLLPLLPCISLSLHR >Vigun11g212200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40712711:40716451:1 gene:Vigun11g212200.v1.2 transcript:Vigun11g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSFVLDHLAQLVAREANLLYGVEDRVQSLQYELQMMKELLSSTKRKKGMEHTVLNQIRDVSHLAEDLIDTFVAKVSIYKRRTILGRMLRSFGQARSLHHVAEKIDNIKTTLNEIRDNKDKYDAFKESSNQSAAEEEEEEKRAQSLHKLRKNVEEEDVVGFVQDSKDVINRLLEGDSNRKAVSIVGMGGLGKTTLARKVYNSSQVKQHFMCRAWVYVSNECRAKELLIGLLKHLMPNFEQQGRGKKKGKKSAGDINELREEELKKLVRNCLEWKRYLVVVDDLWKRQDWDEVQDAFPDNNRGSRILITSRLKEVALHAAHDVPHYLQFLSEEESWELFRRKVFRAENCPSDLEALGKQMVRSCRGLPLSIIVLAGLLANKEKSHREWSKVVGHVNWYLTRDETQVKDIVLRLSYDNLPRRLKPCFLYLGLFPEDFEIPVMPLLQKWVAEGFIQDTGSRDPDDVAEDYLYELIDRSLVQAARVDTNGDVLVIRVHDLLRDLCILESKEDKVFEVCTDHNILIPTKPRRLSIHSKMDHYISSSYNDHSCVRSMFFFGSIDYVGSRDWKWLFERLKLVRVLDFGLTPSSKIPSDIGNFIHLRYLKIQSHYVTFVVPDSILNLWNLETVELGPSRHNIPISFPAQIWKLKHLRHLNISWAIGLRGSCLGSDEKMWNVQTVSTLVLNSQATSLIKKGTFPNVKKLGLRLTSECEGELPKLLQSLQQLSYLNKLVIVLRDRDDAGVEHSSDESVKRNNGLKPQELLRSLGQFNCLTILTIDNVFDLLTCGLAFPPNVTELTLSEIKCISDEGMNGLGNHTKLKILRLLGDRASCGDSFVVNCGRGSFPELEVVEMEILKVREWKLENGAMSRLQNVLINRCERLDDLPNELWSLSGLRKVQVKYPSLQMAHMLRNLEINSGCQLVIEN >Vigun10g034900.5.v1.2 pep primary_assembly:ASM411807v1:10:4673677:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVIMILIGSATWVRVVLFFSPCLEIMT >Vigun10g034900.2.v1.2 pep primary_assembly:ASM411807v1:10:4673677:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVFYLSTPEIVAPVCLRSVLIVNYTKCTFQIHNHGTVISFNDIDWQGIISNLESGDKVEICVTSAHELVVKNTIVYLICDELNDLQKEPAPKKNSLVRFVKKVVM >Vigun10g034900.6.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVRDTSRLLRLSRDFGKLRWISLHGFSSENLPKDIYLHDAIAIDLKNSFLRFVWKEPQVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVIMILIGSATWVRVVLFFSPCLEIMT >Vigun10g034900.4.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVFYLSTPEIVAPVCLRSVLIVNYTKCTFQIHNHGTVISFNDIDWQGIISNLESGDKVEICVTSAHELVVKNTIVYLICDELNDLQKEPAPKKNSLVRFVKKVVM >Vigun10g034900.8.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVFYLSTPEIVAPVCLRSVLIVNYTKCTFQIHNHGTVISFNDIDWQGIISNLESGDKVEICVTSAHELVVKNTIVYLICDELNDLQKEPAPKKNSLVRFVKKVVM >Vigun10g034900.7.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVRDTSRLLRLSRDFGKLRWISLHGFSSENLPKDIYLHDAIAIDLKNSFLRFVWKEPQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVFYLSTPEIVAPVCLRSVLIVNYTKCTFQIHNHGTVISFNDIDWQGIISNLESGDKVEICVTSAHELVVKNTIVYLICDELNDLQKEPAPKKNSLVRFVKKVVM >Vigun10g034900.9.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVIMILIGSATWVRVVLFFSPCLEIMT >Vigun10g034900.3.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVIMILIGSATWVRVVLFFSPCLEIMT >Vigun10g034900.1.v1.2 pep primary_assembly:ASM411807v1:10:4673708:4677872:1 gene:Vigun10g034900.v1.2 transcript:Vigun10g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRFQKGDFGETFKATAQKTFSGQQLEHGMSRWSHALTKAANLFGWDESNYRSDAELVDKIVKGVLNLPVFSATKFPVGLQSCVKDVIQIIKNKSREVCIIGIWGEGGSGKTTLAKAIYHQLHGTFTQKSFIEDIAQVIQTRGHVHLQEQLLSDVLNTKMEIRSVEMGKKMIRVKLSGKKLLIVLDNTKYDPLLDLYDSHVWFAKGTVILITAREEHLLRIHQVDSIFRMNLLSTNESLELLSWHAFREEKPKEEYNDLAKRVVVYCGGLPLVLEVIGSCLYERTKEEWNRLLLQLDKSPQHEVSQTLKISYEGLLNQTEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGIRILIERNLIQVKKNNKLGMQPLLQKMGRKIIREISGKELGKNARLWFGQDAENDLLENTLFSSQQTKVIQRLSLKMFLIATRELLERYPSMVRDTSRLLRLSRDFGKLRWISLHGFSSENLPKDIYLHDAIAIDLKNSFLRFVWKEPQVLRSLRVLNLSHSMYLRETPDFSGLPRLEQLILKDCPSLRKVHHSIGCLNNLVLLNLKDCTSLSNLPRELYKLKSLNTLILSGCSKIDLLEKYIVQMESLIILIAENAAVKQVPISIVSSKNIGYIFLRGCEGFSFNLFPSIIRSWISPIMNPLSYIHSICMDIEDNGWNDFAPLLSTLANLRSVSVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVFYLSTPEIVAPVCLRSVLIVNYTKCTFQIHNHGTVISFNDIDWQGIISNLESGDKVEICVTSAHELVVKNTIVYLICDELNDLQKEPAPKKNSLVRFVKKVVM >Vigun07g179200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29578859:29580037:1 gene:Vigun07g179200.v1.2 transcript:Vigun07g179200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLPNVCVCTLFLYFFITYIKKKNQHNLHSIFNIITLLFCSRFFSGVPMSNPQTNNNRHQPSRLQRRAPSSLQINRPLKWNVAIPLLSPLASSPPPLEVKPPQEAPQHEPEKVSVSFKKWQHPAAPFCYEPAPMVPPFVPV >Vigun11g120100.1.v1.2 pep primary_assembly:ASM411807v1:11:32614761:32626977:-1 gene:Vigun11g120100.v1.2 transcript:Vigun11g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSDIRKWFMKSHDKGNNAAPSKPSNQSKPSSDKPQSDKNVAGGQESSGRRVTSKYFNTNKQKVKDEKETQELPAKRKNVKDSEDIPQPKKIHEDVGDDSVLPTNKKKLAEATPTKKLKSESGRGIPQKPAVLEESDEDDKDAVSAVKSAGRGGGGRGAAGRSSGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIGGRKSEKAKELGTSFLTEDGLFDMIRASKPAKAPSQAEKKPVNKAGAVASPSKVSPKSQVKVPLSSRSPAKQAKPKAATTVQSSVMWTEKYRPKDPKDVIGNQSLIVQLRNWLKAWHEQFLDTGNKKKGKKQNDSGLKKAVLLSGTPGIGKTTSAKLVCQELGFQAIEVNASDSRGKADNKIEKGISGSKTNSVKELVTNESIGANMERSKLSKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMDVAKSEGLQVNEIALEELAERVNGDMRMAVNQLQYMSLSMSTINYDDIRQRFLTNAKDEDISPFTAVDKLFGFNAGKLKMDERINLSMSDPDLVPLLIQENYINYRPSSTGKDDNGIKRMNLIARAAESIADGDIVNVQIRRYRQWQLSQASCTATCIIPASLLHGQREILEQGERNFNRFGGWLGKNSTMGKNYRLLDDLHVHILASRESSSGRDTIRMEYLTLILKRLTEPLRTLPKAEAVQQVVEFMNTYSISQEDFDTIVELSKFKGQPNPLDGIQPAVKSALTKAYKEQSKSRVVRIADQITLPGIKKAPKKRIAAILEPAEEGGEKGEDATLDESEEENTSDTEELEGGITKGEKLQSELQSLNSKAMQVELELKGTGKSSSKKASGGRGKGASASGKKAAQAPKRKR >Vigun11g120100.2.v1.2 pep primary_assembly:ASM411807v1:11:32614761:32626977:-1 gene:Vigun11g120100.v1.2 transcript:Vigun11g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSDIRKWFMKSHDKGNNAAPSKPSNQSKPSSDKPQSDKNVAGGQESSGRRVTSKYFNTNKQKVKDEKETQELPAKRKNVKDSEDIPQPKKIHEDVGDDSVLPTNKKKLAEATPTKKLKSESGRGIPQKPAVLEESDEDDKDAVSAVKSAGRGGGGRGAAGRSSGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIGGRKSEKAKELGTSFLTEDGLFDMIRASKPAKAPSQAEKKPVNKAGAVASPSKVSPKSQVKVPLSSRSPAKQAKPKAATTVQSSVMWTEKYRPKDPKDVIGNQSLIVQLRNWLKAWHEQFLDTGNKKKGKKQNDSGLKKAVLLSGTPGIGKTTSAKLVCQELGFQAIEVNASDSRGKADNKIEKGISGSKTNSVKELVTNESIGANMERSKLSKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMDVAKSEGLQVNEIALEELAERVNGDMRMAVNQLQYMSLSMSTINYDDIRQRFLTNAKDEDISPFTAVDKLFGFNAGKLKMDERINLSMSDPDLVPLLIQENYINYRPSSTGKDDNGIKRMNLIARAAESIADGDIVNVQIRRYRQWQLSQASCTATCIIPASLLHGQREILEQGERNFNRFGGWLGKNSTMGKNYRLLDDLHVHILASRESSSGRDTIRMEYLTLILKRLTEPLRTLPKAEAVQQVVEFMNTYSISQEDFDTIVELSKFKGQPNPLDGIQPAVKSALTKAYKEQSKSRVVRIADQITLPGIKKAPKKRIAAILEPAEEGGEKGEDATLDESEEENTSDTEELGGITKGEKLQSELQSLNSKAMQVELELKGTGKSSSKKASGGRGKGASASGKKAAQAPKRKR >Vigun02g196400.1.v1.2 pep primary_assembly:ASM411807v1:2:33172368:33173363:1 gene:Vigun02g196400.v1.2 transcript:Vigun02g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEKAVVFLLMMMAAFEVSDAAVHKVGDSSGWTIIGNIDYKKWAATKNFQVGDTIIFEYNAKFHNVMRVTHAMYKSCNASSPLTTMTTGNDTIKITNYGHHFFLCGIPGHCQAGQKVDINVVKVSAAAAPTPTSAMASPVPPAKVPAPSPNIASPFIVVKGGVAFLTLAMALLAFLALSTHV >Vigun03g228600.1.v1.2 pep primary_assembly:ASM411807v1:3:38057695:38062026:1 gene:Vigun03g228600.v1.2 transcript:Vigun03g228600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSGTLLKPNNCFSPTHKPKAPTPYYDSRKKFCVRVSANDSGDVEKTIIRKEKDGWKINYSGEKPQTPLLDTINYPIHMKNLSTQDLEQLAAELRADIVHSVSNTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESIHDAFGVGHSSTSISAGLGMAVARDLLGKKNSIISVIGDGALTAGQAYEAMNNAGFLDSNMIVILNDNKQVSLPTATLDGPATPVGALSSALSKIQASTEFRKLREAAKSITKQIGGQTHQVAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIEDLVTIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEKAADKMHGVVKFDPKTGKQFKAKASTLSYTQYFAESLIKEAEVDNKIVAIHAAMGGGTGLNYFHKRFPDRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAATIDDRPSCFRFPRGNGIGATLPLNNKGTPLEIGKGRILMEGSRVAILGYGSVVQQCRHASELLKEVGVSVTVADARFCKPLDTDLIRLLAKEHEILITVEEGSIGGFGSHVSQFLSLSGILDGPLKWRAMMLPDRYIDHGSPQDQVEEAGLSSKHIAATVMSLLARPKEALLFK >Vigun06g117100.1.v1.2 pep primary_assembly:ASM411807v1:6:24505971:24507010:-1 gene:Vigun06g117100.v1.2 transcript:Vigun06g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQDAQVPKTFKETETAEKTKIEETIPGKPGIEVPVPEATTTDGAVIVETTKEVVILKTEEKAREVMIEDPSTGKESAEDEKANGKK >Vigun02g190200.1.v1.2 pep primary_assembly:ASM411807v1:2:32794310:32802443:-1 gene:Vigun02g190200.v1.2 transcript:Vigun02g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDLEEAPVKATSRVSKFAPKSSKLKPKPKAEPGPKTEPQPQPQPEPSISKPEPQEFVATVKKNEDGVETVSPSHIKPELNTDVQMETEPKSEPEDEAETGRDDPMDEDTPEDTVVREIDVFFTPSIDAETQLYVFQYPLRPSWRPYDIEDRCEEVRLKPESSEVELDLPIEMESSNIDREFANKYNVTKQTYATSWKPPRAGGRAATAAGLLMGDKLHLHPIHAVVQLRPKLQHLNSGGSKRKNVTSAGANATVKTEGSNEEKPVATSKKQNKPTEPSIEQKNEDDESWLPLKYHGCKSDISSRYLEQMMAQESSPIDFTMKAFDYVTALCPGGTSNNLSKGPSKRDLLSLPVEERLKKMLETYSPQRFSAIKHFAPEYSEEEILKFLQQHALLLWGLWTAKSSLLYPNGGAESLARDYVLLMFSKNLKVQSSDLNVRGELTTHVKEFLKQFGLETANLDKSAGEPKPYWKFKERPDESFKKLYPDIVEKQERLFKGLEQHLPGLVSNAGKRKIGKSAVANQGVNNEALKTVSSDQGTTSLAGVSTGKMTMSNETRHALPIALKKLFQTNKVCSFKVICQGLREMAVSKAMLSKGDSKIAVDAAHSLDGPHSELMAVINEVASEIHGHYVLKSSQDDPFRDVVIDMLRGSGPNAKLRKAEIIEAARRKLGREVPNNEYNKVMSELCVSKGSVWVLRNGDGSNQ >Vigun09g248500.3.v1.2 pep primary_assembly:ASM411807v1:9:41599540:41603338:1 gene:Vigun09g248500.v1.2 transcript:Vigun09g248500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAAAKDQTIPNRTGGESLRRNAVCSPSWNFRWDSWGRVAREIENPSFHTSRGVSRNDSREFKGSLSSERGHFSDGGSTLENSVTPTSLKSPVREYLARSHTTPSSDMSMSSNCSAVVKNLIESPEIAECSIPNCSTSPVFSTPTIDLTPNHNYHTLPTSVASRWAHRSPGYPLSRQISDSRILGLKSPDPSISEGRPSFVLSNCSNDMASGSQCGSSDGWSMRTFSEMVVSSQKERWSFDSEYSGSGRHKMSGTSSRFSYSPSMDVQSCGACSKLLTERTAWGSQKFMGSSDLSVVAVLACGHVYHGECLETMTSEADSCDPTCPICMIGEKHLSKLSRKGLRNESESKGKNYRISRNRVVDSYLDGGLDVFDRLKDVVSKMEPSSSRRSSFGKPFLRRRFSLGSKWSRSLLDNDSVRKKGFWARYRKD >Vigun09g248500.2.v1.2 pep primary_assembly:ASM411807v1:9:41599540:41603338:1 gene:Vigun09g248500.v1.2 transcript:Vigun09g248500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAAAKDQTIPNRTGGESLRRNAVCSPSWNFRWDSWGRVAREIENPSFHTSRGVSRNDSREFKGSLSSERGHFSDGGSTLENSVTPTSLKSPVREYLARSHTTPSSDMSMSSNCSAVVKNLIESPEIAECSIPNCSTSPVFSTPTIDLTPNHNYHTLPTSVASRWAHRSPGYPLSRQISDSRILGLKSPDPSISEGRPSFVLSNCSNDMASGSQCGSSDGWSMRTFSEMVVSSQKERWSFDSEYSGSGRHKMSGTSSRFSYSPSMDVQSCGACSKLLTERTAWGSQKFMGSSDLSVVAVLACGHVYHGECLETMTSEADSCDPTCPICMIGEKHLSKLSRKGLRNESESKGKNYRISRNRVVDSYLDGGLDVFDRLKDVVSKMEPSSSRRSSFGKPFLRRRFSLGSKWSRSLLDNDSVRKKGFWARYRKD >Vigun09g248500.1.v1.2 pep primary_assembly:ASM411807v1:9:41599780:41603338:1 gene:Vigun09g248500.v1.2 transcript:Vigun09g248500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAAAKDQTIPNRTGGESLRRNAVCSPSWNFRWDSWGRVAREIENPSFHTSRGVSRNDSREFKGSLSSERGHFSDGGSTLENSVTPTSLKSPVREYLARSHTTPSSDMSMSSNCSAVVKNLIESPEIAECSIPNCSTSPVFSTPTIDLTPNHNYHTLPTSVASRWAHRSPGYPLSRQISDSRILGLKSPDPSISEGRPSFVLSNCSNDMASGSQCGSSDGWSMRTFSEMVVSSQKERWSFDSEYSGSGRHKMSGTSSRFSYSPSMDVQSCGACSKLLTERTAWGSQKFMGSSDLSVVAVLACGHVYHGECLETMTSEADSCDPTCPICMIGEKHLSKLSRKGLRNESESKGKNYRISRNRVVDSYLDGGLDVFDRLKDVVSKMEPSSSRRSSFGKPFLRRRFSLGSKWSRSLLDNDSVRKKGFWARYRKD >Vigun07g279900.1.v1.2 pep primary_assembly:ASM411807v1:7:39476278:39478586:-1 gene:Vigun07g279900.v1.2 transcript:Vigun07g279900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLATSSSAALPSSSSPFSIFPSRRTSLYPPKTLQFRLPSKRDDARDSDAKDNTLPIISDRCLSLSPLSKDAAMGLVLSAAVGRGWTTGSGMEGPSVPAVGRGNESGNISTFPWSLFTKSPRRRMLVAFTCTICGQRTTRAINPHAYTDGTVFVQCCGCNAYHKLVDHLNLFQETNCYLNSSFKFKGEGWDDLKFRYMDDNDDDNDIFPIS >Vigun10g083200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23548916:23552888:-1 gene:Vigun10g083200.v1.2 transcript:Vigun10g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDTLLWLFLIPFYLLNYSANIFPAYGYFLGHQCSMLLHLKNNLIFNPAVSKKLILWNQSEDCCQWPGVTCNEGRVIALDLSEESISEGLVNSSILFNLQYLQSLNLAFNNLSSVIPSELYKLSDLRYLNLSNAGFEGQIPHEISQLRRLVTLDLSSSFSSSHRLILEKPNLQMLLLPNVTNITELYLDGVAISAKGQQWCRALSSLHKLRVLSMSSCNLSGPIDSSFAKLQSLTVLNLSHNNMSSTVPESFAKLSKLVQLQLRSCGLNGSFPKDIFQIPTLRILDISDNKGLRGSLPNFRSHGSLYDMNLSNTNFSGMLPGEISNLKLLSRIDLSSCQFNGTLPSSISELSQLVYIDLSYNNFIGSLPSFNMSKNLTYLSLYHNHLAGVLSPNHFEVHKNLVSIDLGFNLFRGKLPSSLLKLPCLRELKVPFNQFNGSLDEFVIASPLLEMLNLGNNNFHGTIPVSIFNTTTLRLIQLNANKLNGTIQLDKIRKLGNLTYLGLSNNNLSVDINYRDVHDPSNYPNLKFLMLSSCKLRGMPSFLRNQSTLLHLDLADNEIEGSIPHWIWQNEYLTHLNLSKNFLTSFEGSFWNLSSNLFLLDLSFNQLQGSFPFIPTLVNCLDYSNNRFNSVIPVDIGNRLPFLSLLSFSNNSFQGQIPESFCNASSLDLLDLSHNNFVGTIPKCFATMSNLRVLNFGANKLRGYIPDSMPTSCILKLLDLNDNLLEGTIPKSLAHCQNLQVLNLRKNSLTDRFPCFLSNISTLRIMDLGLNKLHGSIRCPRSSGDWKMLHMVNLASNDFNGAIPGELLNSWKAMMRDDANGVPESGHLFIDLSDHGDPKNFEELLSNVDKYLLVRIAKLITNVSLSILDQLSTEFFNSVDISRLQNSITITNKGRQMELVRIQKIFKYLDMSSNNFEGPIPNELMQLKALNALNLSNNALSGHIPSSIGNLKNLESLDLSENYFDGLIPTELASLSFLAYLNLSFNHLVGEIPKGTQIQSFDADSFEGNEELCGVPLIHSCSNDEGTTPETLNAHSHTESAIDWNILSAELGCIFGFGIFILPLIFSRRWKLWYSEHVDEMLHRIIPQLEFVYEHSGGNRYKTLRWKN >Vigun01g025800.1.v1.2 pep primary_assembly:ASM411807v1:1:2996611:2999227:1 gene:Vigun01g025800.v1.2 transcript:Vigun01g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILSSALLLTLLCAASVVAAIEPRVPEAYLQNGNFEEQPNPKYLKKTKLVGKFSLPKWEINGLVEYVSGGPQPGGMFFPVTHGIHAVRLGNEASISQTIKVKQGQLYALILGASRTCAQDEVLRISVPPQTGDVPLQTLYSLNGDVIAWGFKATSNVVKVTFHNPGVQEDPACGPLLDAIAIREFYPPMPTRVNLVKNPGFEEGPFPIFNSTNGVLLPPQQQDRFSPLPGWIIESLKAVKFIDSKHFNVPFGLGAVELVAGRESAIAQVIRTVTNKVYNITFSVGDAKNGCHGSMMVEAFAAKDTFKVPFKSEGKGTFRTVSFKFKAIAPRTRLTFYSSFYHTRIDDYGSLCGPVVDQVIVFPVA >Vigun04g134200.1.v1.2 pep primary_assembly:ASM411807v1:4:33728484:33731909:1 gene:Vigun04g134200.v1.2 transcript:Vigun04g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYFLKILYALLLLLHSSGFALGFTKPIRSGDAKCREKEREALLNFKKSLIDDYGILSTWTHHHNNTDCCKWKGIQCNHQTGHVILLDLRSLDTDTQFLKGAINLTSLIHLQYIQHLDFSNNYFMSNHIPEAIGSFTNLKYLNLSSSGFSWRIPFQLGNLSLLRYLDLGGNLLQGPVPFQIGNLRQLQYIDLEGNYLSGEIPFQLSNLKRLQYLDLGDNALSGSIPFRNGNLPNLQTLRISGDLDIEAEDSEWLSNLHSLTTLELRSLHNLSTSRHWLQAINTLIPNLIELRLVDCSLSDTNIKSLFRTRSNFSTSLTVLDLSFNVLTSSAFQLLFNFSIHLQELYLTDNDIALSSFLYPNFPSLHILDLSRNNLTSSVFQDDFKFGSNLRDLRLYNCSLTDRSFPVSYASTISSSSSLVILDLSSNLLKSSTVFYWLMNCTKNLQTLNLYDNMLEGPIPDGFGKVMNSLESFSAPKNKLQGKIPSFFGNMCRLQTLYLSNNKLNGEISHFFQNSSWCNRHIFQNLDLSYNQITGKIPKSITMLSELEYLYLDGNSLEGDVTESHLSNFSKLQTLSLSYNTLSLRFVSSWVPPFQVTTLSLASCKLGPSFPGWLQTQNSLMWLDISDNELNDSVPAWFWNKLQNLEWLNMSHNNLNDVIPDIPLKLPYRPSIILNSNKFEGALPPFLLQASGLLFSKNTFSDLYSFLCNQIIAPNLATLDLSNNHITGQIPDCWQSLDQLLFLDLSKNKLSGTIPISMGKLVKLEALVLRKNNLTGGLHSSLKNCTNLIMVDVSENNLSGRIPSWIGESMQQLKILNMQGNHFSGNFPIQLCYLRHIQLLNLSGNKLSKAIPACLKNFTAMSEKSINRTETQRLIYGYNITYNEIYGPAMVFDYRLDITWVWKGVEQVFRDPQLNLKSIDLSSNNFTGEIPKEVVYLLGIVSLNLSRNNLSREIPSEIGNLSSLESLDLSRNHLYGRIPSSLSEMDFLQKLDLSHNSLSGRIPLGRHMDTFEASCFEGNIDLCGEQLNKSCPGDHTIAKPEEAAGGDGDDSVFYEALYMSMGLGFFVGFWGFIGPILIWKPWRIAFLKFLTD >Vigun07g062100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7083897:7084764:1 gene:Vigun07g062100.v1.2 transcript:Vigun07g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTLLMSHQPSSSTESDHNQELKKPDRKMGQEHQEKSEKSCKPQKNKVETALDSVSEGKSVEGEASGRERLKRHRVEVSGRVWIPEIWGQEDLLKDWIDCTAFDAPLVPSRIVMARTALVEEGRRATSGGIRIENRC >Vigun01g202500.1.v1.2 pep primary_assembly:ASM411807v1:1:37855192:37860392:1 gene:Vigun01g202500.v1.2 transcript:Vigun01g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTQPNVGFTPERESATPEKSPIPLPPNFVPSPPGFPPSKLPSQQDQPSSRSVKTPNVVSPANGVAAGSSVPHLSTPPGPPVFTSPVRPAAVPFRTSPASPQPHAFSSVSSLPTSSSPLQFSNGSFELQHQLSDSIDDKVPVGESSFVLFSARKVLKQKKQANVPSLGFGALVSPGREVSMGPQVIQRDPHRCQSCGAYANIYCNILLGSGQWQCVICRKLNGSDGEYIAHSKEDLRRFLELSSTVFDYAQNENKRPGFVPVSDSRMSAPIVLVIDECLDEPHLHHLQSSLHAFVDSLSPTTRLGIILYGRTVSVYDLSEESMASADVLPGEKSPSQESLKALIYGTGIYLSPMHASLAVAHSIFSSLRAYKLNIPEASRDRCLGTAVEGVVKRSGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPYMEKTAIKWMENLGREAHRHNTIIDVLCAGTCPVRVPILHPLAKASGGVFVLHDDFGEAFGVNLQRASARSAGSHGLLELRTSDNIVITQVIGPGEESHVDTHETFKNDTALYIQMLSVEETQSFSLSMETEGDIKSDFVFFQFAIQYSNVYQADVSRVITVRLPTVDSISAYLESVQDEVASVLIAKRTLLRAINHSDAIDMRTTIDERIKDIALKFGSQLPKSKLHSFPKELALLPELLFHLRRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTEVFIWLGAELAADEGRSAAALAACRTLAEELTECRFPAPRILAFKEGSSQARFFVSRLIPAHKDPPYEQARFPQLRSLTSEQRTKLKASFVHFDDPSFCEWMRSLKVVPPQPS >Vigun11g072700.1.v1.2 pep primary_assembly:ASM411807v1:11:20709796:20715867:-1 gene:Vigun11g072700.v1.2 transcript:Vigun11g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVFSLTLVLITIEKAESISRADFPKGFIFGTASSAHQFEGAADEGNKGDSIWDTFSRIPGRIVDFSNADMAVDQYHRFQNDVNLMKDLGMDSYRFSISWTRIFPKGTGEPNKDGIKYYNSLIDALLEKGIQPFVTLYHWDLPQTLEDEYEGWLSTQIIKDFEHYAYTCFKAFGDRVKHWITFNEPHNFALHGYDLGIQAPGRCSLLGRLLCKKGKSSTEPYIVAHNILLSHAAAYRTYQLNFKEEQGGQIGIALDVIWYEPITELDEDKDAASRAMDFSLGWFLDPLFFGKYPLWMKKLVGGRLPEISSATSKFLVGSLDFIGINHYTSVYTRNDRTRIHKLVMQDAISDAAVITTAYRRGAAIGEKAASSWLHIVPWGIRKLVKHVKDKYGDTPVIITENGMDDPSKPFMTLQKALKDDKRIRYHRDYLSNLSTVIREDDCNVRGYFVWSLLDNWEWNMGYTVRFGLYYVDFKNNLTRIPKDSVQWFKNILKIDTEGIVKFE >Vigun07g028200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2567075:2570363:-1 gene:Vigun07g028200.v1.2 transcript:Vigun07g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAAAKGNQEDYKLKDTKPELGERWPHGGQRGGSGWIYSERATSTYDLVEQMFYLYVRVVKAKDLPPNPVTSNVDPYVEVKVGNYKGKTRHFEKKTNPEWKQVFAFSKEKIQSSVVEVFVRDKEMVARDDYIGKVEFDMHEVPTRVPPDSPLAPQWYRLENLRGEARSRGEIMLAVWMGTQADEAFPEAWHSDSASVKGEGVYNIRSKVYVNPKLWYLRVNVIEAQDVEPQDKSQPPQVFVKGQVGQQVLKTKLCPTKTPNPMWNEDLVFVAAEPFEEKLVLTVENKASPGKDEVVAKIALPLNKFEIRLDHRTVHSHWYNLERFGFGVLEGDKRNETKFSSRIHLRVCLEGAYHVLDEFTMYISDTRPTARQLWKQPIGILEVGILSAQGLQSMKTNNGKGSTDAYCVAKYGQKWVRTRTITESFNPKWNEQYTWEVYDPCTVITFGVFDNCHLGGGGQTQGSGAKIDSRIGKVRIRLSTLEMDRIYTNSYPLLVLKPSGLKKMGELQLAIRFTCLSMAHIIYLYGHPFLPKMHYLHPFTVNQLESLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHIWSLRRSKANFFRIVSLFSGAISMSKWLGEVQQWKNPVTTILVHVLFFILICYPELILPTMFLYMFLIGIWNFRFRPRHPPDMDTKLSWAEAARPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDIATQGERFHTLLSWRDPRATSLFVIFCLIAAVALYVTPFKVVASVAGIFWLRHPKFRSKLPSVPSNFFKRLPSRADNML >Vigun07g028200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2566947:2570408:-1 gene:Vigun07g028200.v1.2 transcript:Vigun07g028200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAAAKGNQEDYKLKDTKPELGERWPHGGQRGGSGWIYSERATSTYDLVEQMFYLYVRVVKAKDLPPNPVTSNVDPYVEVKVGNYKGKTRHFEKKTNPEWKQVFAFSKEKIQSSVVEVFVRDKEMVARDDYIGKVEFDMHEVPTRVPPDSPLAPQWYRLENLRGEARSRGEIMLAVWMGTQADEAFPEAWHSDSASVKGEGVYNIRSKVYVNPKLWYLRVNVIEAQDVEPQDKSQPPQVFVKGQVGQQVLKTKLCPTKTPNPMWNEDLVFVAAEPFEEKLVLTVENKASPGKDEVVAKIALPLNKFEIRLDHRTVHSHWYNLERFGFGVLEGDKRNETKFSSRIHLRVCLEGAYHVLDEFTMYISDTRPTARQLWKQPIGILEVGILSAQGLQSMKTNNGKGSTDAYCVAKYGQKWVRTRTITESFNPKWNEQYTWEVYDPCTVITFGVFDNCHLGGGGQTQGSGAKIDSRIGKVRIRLSTLEMDRIYTNSYPLLVLKPSGLKKMGELQLAIRFTCLSMAHIIYLYGHPFLPKMHYLHPFTVNQLESLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHIWSLRRSKANFFRIVSLFSGAISMSKWLGEVQQWKNPVTTILVHVLFFILICYPELILPTMFLYMFLIGIWNFRFRPRHPPDMDTKLSWAEAARPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDIATQGERFHTLLSWRDPRATSLFVIFCLIAAVALYVTPFKVVASVAGIFWLRHPKFRSKLPSVPSNFFKRLPSRADNML >VigunL059339.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000279.1:26862:27029:-1 gene:VigunL059339.v1.2 transcript:VigunL059339.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHRGIAKWLGGEFCEKMKFVVFEM >Vigun06g186700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30585479:30586324:-1 gene:Vigun06g186700.v1.2 transcript:Vigun06g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIIFYFHLLLLVFIFSTPTLAQSPAAAPKPVRATPAPATAPAKPLVPSLPQSPLSDSSSNQDIIKILRKAKSFNTLIRLLKTTQIINQVNAQLVTTKSGGGLTFLAPDDGAFAQLKAGYFNSLDDRQQKALIQFHILPFYVSSSNFDSLSNPVMTLASDSPNGYQINVTAYGNSVNISTGVVNATLTGIVYTDKTLAIYHVDKVLVPLDFSKPKPPAPAPTLANAPKADKDNSSAEDDDKGKTNRATSGANAINLITIRGTIFHSLGLALVAAVTISI >Vigun07g212800.3.v1.2 pep primary_assembly:ASM411807v1:7:33407695:33412247:-1 gene:Vigun07g212800.v1.2 transcript:Vigun07g212800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSREEEVKTFECMSKHGQGPRLLGRFTSGRVEEFIHARTLSAADLRDPEVSALVASKMREFHNLHMPGAKKAQIWHRLRNWLAQAKSLCSPKDAKNFGLDNLDEEINILEKKLSEEYQEIGFCHNDLQYGNIMMEEETKLITIIDYEYASYNPIAYDIANHFCEMVADYHSDTPHVLDYKKYPGLEERQRFIRIYLSSEGNKPSNAKVNQLVKATENYTAANHIFWGLWGLISSYVNKIDFDYKEYARQRFQQYWLRKANLLDSPSIVSQEHQTLNESLPSFT >Vigun07g212800.1.v1.2 pep primary_assembly:ASM411807v1:7:33407728:33412096:-1 gene:Vigun07g212800.v1.2 transcript:Vigun07g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHAKRKVRHNQNLFQLPLFSGTNRVVLFSRMAIKTIELLKGCGSQEEIMEVLAAVASDMGDAIDDVSSLQVIPLKGAMTNEVFQVKWPSKNGGEVRKVLVRLYGEGVEVFFDREEEVKTFECMSKHGQGPRLLGRFTSGRVEEFIHARTLSAADLRDPEVSALVASKMREFHNLHMPGAKKAQIWHRLRNWLAQAKSLCSPKDAKNFGLDNLDEEINILEKKLSEEYQEIGFCHNDLQYGNIMMEEETKLITIIDYEYASYNPIAYDIANHFCEMVADYHSDTPHVLDYKKYPGLEERQRFIRIYLSSEGNKPSNAKVNQLVKATENYTAANHIFWGLWGLISSYVNKIDFDYKEYARQRFQQYWLRKANLLDSPSIVSQEHQTLNESLPSFT >Vigun07g212800.2.v1.2 pep primary_assembly:ASM411807v1:7:33407703:33412290:-1 gene:Vigun07g212800.v1.2 transcript:Vigun07g212800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTIELLKGCGSQEEIMEVLAAVASDMGDAIDDVSSLQVIPLKGAMTNEVFQVKWPSKNGGEVRKVLVRLYGEGVEVFFDREEEVKTFECMSKHGQGPRLLGRFTSGRVEEFIHARTLSAADLRDPEVSALVASKMREFHNLHMPGAKKAQIWHRLRNWLAQAKSLCSPKDAKNFGLDNLDEEINILEKKLSEEYQEIGFCHNDLQYGNIMMEEETKLITIIDYEYASYNPIAYDIANHFCEMVADYHSDTPHVLDYKKYPGLEERQRFIRIYLSSEGNKPSNAKVNQLVKATENYTAANHIFWGLWGLISSYVNKIDFDYKEYARQRFQQYWLRKANLLDSPSIVSQEHQTLNESLPSFT >Vigun07g089775.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14067821:14068297:1 gene:Vigun07g089775.v1.2 transcript:Vigun07g089775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITTIITTPTSPPHHHHDHHPSTTINTTNTTIRLPPLHHHDHPHHHHHHHYNHQHQHHPHQHEHHHYHRNHLHHRTTIPTTTIIITTMTTIPPPPPQPSPPLHNHNHYPSITQPHHNHHSTVITITITTTTPPSPPPPPPPPLPHHHHQYTTTTTI >Vigun05g041300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3345560:3346875:1 gene:Vigun05g041300.v1.2 transcript:Vigun05g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFYLVTMDQEMGVKVYNATPPAEEGGRNKNPQQNKRRAMMAKGVQKTLSKTSLLGNFLPTGTLLTFEMVLPSIYKNGECSHVQTLMINFLLSVCALSCFFFHFTDSFHGPDGTLYYGFVTTRGLSVFKPSLPAVPVPSDDKFKVGFTDFVHAVMSVMIFVAIAVSDHRVTNCLFPGHEKDLEQVRESFPLLVGLLCSGLFLVFPTSRHGIGCMSA >Vigun08g172100.1.v1.2 pep primary_assembly:ASM411807v1:8:34299609:34307750:1 gene:Vigun08g172100.v1.2 transcript:Vigun08g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSFEEELSSNPSDQVAEYILEGKWQKIVNMYNQFPACHTAMIYPSVGTALHVAVDLEEEAVVDDLVNAIIRHKTMKALKMRNYRGDTALHVAASRGFAKICELIIGTKKERIYLMRLENKEGETPLFQAALNWKKLVFAYLSNLSGHTAPLQDLVRDNGDTILHCAIRREYFDLAVIILHYYDFLRGHLNNEGFTPLKLLATRPSAFRSATKLSWWKQILYHFTLVEELDPKRQMETILEKVKKQPKSDEPYYPKSYVTLCDFIAGFKCLDALTDNFFTKRKQQDPENPSTEEREIESKASGNAFVLTLRFLGVGFKEIRNIKRRHQWSGQLLLALLERPYAAFTGSGGVPTDMKAETDMYNVYSEYIQGEPSEPSWLKEEEEEKGEKEEEKEEEEEEDNETPFLVAARNGIVEMVNELLDRIPNVIHDRNSMKDNVLLVAVINRQPLLIENLKTKIRPEVWNSLILEVDRDERTILHCAAYAPMVYHRLKILQMMWDIKWFQYIKSLVPKHFNISIDKRGRTAWEIFEETHQELIKESSDWLKETFESCFVVATLVAGVSFATASSIPGGTDEEGRPHFEGNPAFDVFAVASLVGLCSSVTGIIMFLIILTSLKQSKDFRRILPFKILLALTSPFVSIAAMLVSFCSGHYFLLSHRYKTALYPIYAAAAFPIIFYAVAQFPLYFDLIIAIFSKVPRATVTETSYSS >Vigun06g004800.2.v1.2 pep primary_assembly:ASM411807v1:6:2464176:2467788:1 gene:Vigun06g004800.v1.2 transcript:Vigun06g004800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQPLAHYRVVAFADQIACAVGDINFVLLISRHGKVRLTKWYSPYSQKERSKVIREISGMILARAPKQSNFVEWRGYKVVYKRYCSRSFFCMCIDQDDNELEILEIIHYFVEILDRCFGSVCELDLIFNFHKIPDEILIAGELQESSKRIVARLIAAQDSLAETAKEEASSLSNIIAQATK >Vigun04g066800.1.v1.2 pep primary_assembly:ASM411807v1:4:7504479:7509189:1 gene:Vigun04g066800.v1.2 transcript:Vigun04g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLTIKLHLASSSSSHLTLNTHHHHAKKVKTFETFCYNTRIRPNPIYSTNPLIIPACRIRQRVQCGAMVKSSLIEPDGGALVDLVVPESVRSTKIVEAETLPKVQLTRIDLEWVHVVGEGWASPLRGFMREDEYLQSLHFNSLRVKDGSFVNMSLPIVLAIDDDTKGRIGSSTHVALLGPDGDFVAILRSVEIYKHNKEERIARTWGTTAPGLPYVEEVITRAGNWLIGGDLEVLKPIKYNDGLDDYRLSPKQLREEFDKREADAVFAFQLRNPVHNGHALLMNDTRKRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDNKVNKMAFFDPTRAKDFLFISGTKMRAFAKSGENPPDGFMCPSGWKVLVKYYESMQAEEPSEQPVLST >Vigun07g179000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29558424:29561488:-1 gene:Vigun07g179000.v1.2 transcript:Vigun07g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAFSSKKSSSLPPPPTPSTVASSCGKKKRSPRSPLQDLNRISTSSNSSYASSSVSTEAPKGCLRFLSSSSFRTPVHRPKNLTKTPSSAPHAAALKLSKSKSSKENIPKGDAGLKTKTLALDKPRNHRKRPPCLNQWQSGKKSGSRTGQNQMSKTCSTFKDRGKCLPRLPSSSDQVKEKENILVDRNDNAGEHDHVILCHGDLNLTPSSKNATGSCLKDVRVFGDLEENPNASISRTPPIHNSVSPEIQCGSSLVPKTVTPACYGAGYVVSGVVDKRKCRPRGILTVEKKYLGSGKVADSSFDDDEKKVIDSIDHASPSVLPLPTEAVVHWLSSPSKKGKNILSQKFENGLNKSQGLASSITLASSTSPSSGSKTFWDVSDNSDLSGGANGIMRKISSSISPNGLAEFQVPSDYMLSPSYSSLLFSPNPTPICRAGISGKGKSDRYNLIDENSPFSLNSFGSGNVIQTPQSDSSSELHVRSSLVHADNQKENNSIRNALLSESFLLNSSMPPVDSVNSSFQFDCLDMPCESIDLSKLPKFLDDQDPWLSSSTTVNASQSQMRISWREGSLSQPYDLDECDCCRCLSDEEENVNDSDINKFSGPQVIIETKDGKKLSSDIAITETEDNEQEIDGLGKEKFRALMSCSGAESLSIDGGGLIASGDDLDWTLCREKKLFEV >Vigun11g028700.1.v1.2 pep primary_assembly:ASM411807v1:11:3731592:3732101:1 gene:Vigun11g028700.v1.2 transcript:Vigun11g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITSILFLLLVLSMEIENEGAMKMVEAEVCYQEFYWSDCGFFVCKPECIKRYGKSANGMCYDSLTCMCSFYCK >Vigun07g219000.1.v1.2 pep primary_assembly:ASM411807v1:7:34162214:34165522:1 gene:Vigun07g219000.v1.2 transcript:Vigun07g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVCLLCGDKGFEVALVFCSGCQVYALHRYCLKGPVIFTDPVTWFCDDCVKKLSLPPSLDQSTPLSSLTRSHTTLEKNAIQTTRVLANCKERVKKRNKLLEKKIKRKHEKGKVNSAFVDETKGVLSSSHELQHPQRMISSEEECEVTNECEAAPRDVTNSDLGLQSDPFSEGATCNDLSCIELDGRVYAQPLIDPIWRGSIYFSNETIGAVSGLLAHVSNLACSKAVEETGHFPEVLHAELVPRSIVWPESFKSREPTDQDIALFFFPDSEGTEKVFDALVDDVICHEHAIRFVARNTELLIFPSTDLPISYWRFEAKYYLWGVFKKANLGTEK >Vigun04g106800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:25710999:25712336:1 gene:Vigun04g106800.v1.2 transcript:Vigun04g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKSSPSSSKTKKKQTATQDHQQHETVWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALTYDRAARSMRGSRARTNFVYPDTPPGSSVTTILSPDEQTQTQIQIHQVQEDLSSILNPNLFSQPDPNPQFSLGGYSGVTNTPTLSTNEVVSCGGYSYSYGYTEGGSNVEDSHSHSHSHFNLFDDGETQLPPLPPDITSSMAYETGNGFYGDGVGFSDPSFSMTDTGSGYPFSGFESGDYVVHSPLFSAMPPVSDNVAMGHEGFDLGSSSYFFRN >Vigun05g020500.1.v1.2 pep primary_assembly:ASM411807v1:5:1715893:1719512:1 gene:Vigun05g020500.v1.2 transcript:Vigun05g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLWSSIVMCVTIQLLQLGIVHPSPSHIRITHLPGQPQVEFHQFSGYVNVDDRNQQALFFYFAEAEKDAASKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGEGLVRNQFSWNREANMLYLETPIGVGFSYSTDTSAYEGVNDKITARDNLVFLKNWFIKFPEYRNRSLFIVGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDTTYKVFSSVCNYSRYVREYYNGAVSPVCSSVMSQVSTETSRFVDKYDVTLDVCLSSVFSQTKVLNPQQVTETIDVCVEDETVNYLNRKDVQSALHARLVGVQRWSACSNVLDYELRDLEIPTITVVGKLVQEGIPVLVYSGDQDSVIPLTGSRTLVHKLAKKLGLKTTVPYRVWFEKQQVGGWTQVYGDILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPMPQEF >Vigun05g163133.1.v1.2 pep primary_assembly:ASM411807v1:5:26234765:26235270:1 gene:Vigun05g163133.v1.2 transcript:Vigun05g163133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPSSSSCSCNASTIQNDSIPSGGSRSRCSNPNLTVKNGGKHFRVVLTISGSSDFVGCNYFKWCNEDVSDERDCTILRQRKKICNIEKDLKVLKKWVKLLKGLVFIFGIMNVILLTMVLRIP >Vigun04g116400.2.v1.2 pep primary_assembly:ASM411807v1:4:29210910:29213293:-1 gene:Vigun04g116400.v1.2 transcript:Vigun04g116400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLFFFLCLCSVISHNVDGIQDTLKEDLEYETKPNLINKTPIKTIYTKFGDIIDCVDIYKQPALDHPLLKNHKLQIKPNFENLIEKTFVNNSQIGSMFGLDGEECPPGTVPIHRVRSVLSEEKILKNHILSKNLPGLQHAETYITRKYGPFYKVSGTTSIYNPKVEKGQVSMVHIWVENGHVKSSNRIIAGWHSDDFQKTGCYNTKCRGFVQVDTKNFPGKYFADISKIGGPTYETYMAITQDNQTKNWWISLGNVSVGYYPAALFSNLGSASIVGWGGRTRANTGGISPPMGSGHFPDGNKARECYFSSPKVQDASRKDYTPASKKTKIRTDNTSCYSIYYYNKPLGVIFDEGIIHFGGPGGMCGI >Vigun04g116400.1.v1.2 pep primary_assembly:ASM411807v1:4:29210910:29213293:-1 gene:Vigun04g116400.v1.2 transcript:Vigun04g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLFFFLCLCSVISHNVDGIQDTLKEDLEYETKPNLINKTPIKTIYTKFGDIIDCVDIYKQPALDHPLLKNHKLQIKPNFENLIEKTFVNNSQIGSMFGLDGEECPPGTVPIHRVRSVLSEEKILKNHILSKNLPGLQHAETYITRKYGPFYKVSGTTSIYNPKVEKGQVSMVHIWVENGHVKSSNRIIAGWHIIPKLYGDARTHFYTGWTSDDFQKTGCYNTKCRGFVQVDTKNFPGKYFADISKIGGPTYETYMAITQDNQTKNWWISLGNVSVGYYPAALFSNLGSASIVGWGGRTRANTGGISPPMGSGHFPDGNKARECYFSSPKVQDASRKDYTPASKKTKIRTDNTSCYSIYYYNKPLGVIFDEGIIHFGGPGGMCGI >Vigun06g156800.1.v1.2 pep primary_assembly:ASM411807v1:6:28010173:28012595:-1 gene:Vigun06g156800.v1.2 transcript:Vigun06g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVVDMGKLNTEERSAAMEMIKDACENWGFFELVNHGISIELMDTVERLTKEHYKKTMEHRFKEMVANKGLESVQSEINDLDWESTFFLRHLPVSNVSENTDLDENYRKIMKQFAVELEKLAEHLLDLLCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPTPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDEQWIDVPPMRHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGTRMSLASFYNPGDDAVISPAPALVKESDETSQVYPKFVFNDYMKLYAGLKFQAKEPRFEAMKAVSSVDVGAIATV >Vigun06g007715.1.v1.2 pep primary_assembly:ASM411807v1:6:3789980:3790496:-1 gene:Vigun06g007715.v1.2 transcript:Vigun06g007715.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HFCECYFDLSGPILCPVLASIIPLFIPNSRIRPIRLIGLLRWLPYENINLNLGIDVGWSGMRSYGKEYITASLIREFLMIAVFRMLDPLLFYVLPESVLIPMLCGAEHLLFAGIKLFLCRGLVQ >Vigun11g174200.1.v1.2 pep primary_assembly:ASM411807v1:11:37930568:37933593:-1 gene:Vigun11g174200.v1.2 transcript:Vigun11g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKYKPWIIFLHLLIITCCRARELVGSGTENKIMEAISDENHETVHKEDAHVHTHMDHMHPSVMVFLTFKDLKVGKTMAVYFPKRDPATSPKLWPREEAESLPFSLNQLPNLLKVFSFTPNSPQAKAMEDTLKECETKPIKGEVKFCATSLESMLDFAQTILGFTNELQVFTTSHQTKSSVTFQNYTLEKIVEIPASKMVACHTMPYPYAVFYCHSQESENKMYKVALGGENGDRVEAMVVCHMDTSHWGHAHVSFQVLKVEPGTTSVCHFFPADNLIFVPKPQHHGLATM >Vigun03g330800.1.v1.2 pep primary_assembly:ASM411807v1:3:52803266:52806775:-1 gene:Vigun03g330800.v1.2 transcript:Vigun03g330800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEKKTNLAKLIIPSSSSSPSPSPSITSPHVIHSRSHSFVGPSPRIDPSSTPLFFLQEVDDDDDEDEDEEKEPLSSDPVKIGPSDFHILRVVGQGAFGKVFLVRKKGNGFDGDADADGDGVFAMKVMRKDIIIKKNHVDYMKAERDILTKVLHPFIVQLRYSFQTKSKLYLVLDFVNGGHLFFHLYRQGIFSEDQARLYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIDELGRSNSLCGTVEYMAPEILQAKGHNKDADWWSVGILLYEMLTGKAPFTHTNRKKLQEKIIKEKVKLPPFLTSEAHSLLKGLLQKDPTTRLGHGPNGDEQIKSHKWFRSINWKKLEAREVEPKFKPDVSGKDCTANFDKCWTAMPLMTHQQPHLQQVTISRAILMWHPTLGFLLVHYNEQGNLYMDQCFKIRPQ >Vigun06g209700.1.v1.2 pep primary_assembly:ASM411807v1:6:32292855:32296119:-1 gene:Vigun06g209700.v1.2 transcript:Vigun06g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKSLIDPMSCQKGHLFCKECILQCLLSQKKDIQRKLAAHTAQQKQEKEEEEEKLMLQKAKELDAFDQQNHGAVPQYSDRNYSRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEASVKVEAPSTSTICPEGNEKLKLKTLFPVQFTEDTSEQKKSKALDKTYICPSCKVTLTNTMSLVALSSCGHVFCKKCADRFMAVDKVCLVCNKACKERNLVTLEKGGTGFAAHGDHLQATDFKHLGSGTGLGLVRPAMKT >Vigun09g003500.1.v1.2 pep primary_assembly:ASM411807v1:9:233823:235731:1 gene:Vigun09g003500.v1.2 transcript:Vigun09g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACASSAIAAVSISTPSSQKNGSVLGTPKASFLGGRKLRVKKYTAAVGGGSSTTVCAVADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGVLNTPSWYSAGEQEYFTDTTTLFIVELFFIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKLKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >Vigun03g136200.1.v1.2 pep primary_assembly:ASM411807v1:3:13343390:13344964:-1 gene:Vigun03g136200.v1.2 transcript:Vigun03g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSNPKTDEGPLDIPKPVTREVQLENKESEANVDTKTEETLTDAENKSLRTLLDEKEKEAQKTEEVKAEAKAEEAQSGVAKVEEEKPKAEVTKIEA >Vigun06g068800.3.v1.2 pep primary_assembly:ASM411807v1:6:19831156:19833942:1 gene:Vigun06g068800.v1.2 transcript:Vigun06g068800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQISNCYHGQDDETKCGFDGAYLMLIFGAIQVVLSQTPNFHNIQWLSIVAAITSFFYAFIGMWLSAGQITENGRADGSISGIPTSSRVDKIWLVAQALGDIAFSYPFSVILIEIQDTLKSPPPEHLTMKKASTISVIVTTFFYLCCGCLGYAAFGNDTPGNLLTGFTSNKQHWIVDFANACIVIHLVGAYQVYSQPLFANVENWLRFKFPDSEFVNHVYMLKLPLLPAFQLSFLRLSFRTAYVLSTTVIAMLFPYFNQILGVLAGIIYYPLSIYFPVEMYLSQSNIEPWSSQWVLLRAYSIVGFVVGLFTLVGSIEGIVSAKLN >Vigun06g068800.1.v1.2 pep primary_assembly:ASM411807v1:6:19829038:19833942:1 gene:Vigun06g068800.v1.2 transcript:Vigun06g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDREGSATDSTPLLETQYLNAHHVERTGTVWTAVAHIVTGVIGSGVLSLAWSIAQLGWIGGPLTIIFFACITLLSAFLLSNTYRGPDPEHGPHRSSSYLDAVNLHKGEGNSRFCGVFVNVSLYGFGIAYVITAAISMRAIQISNCYHGQDDETKCGFDGAYLMLIFGAIQVVLSQTPNFHNIQWLSIVAAITSFFYAFIGMWLSAGQITENGRADGSISGIPTSSRVDKIWLVAQALGDIAFSYPFSVILIEIQDTLKSPPPEHLTMKKASTISVIVTTFFYLCCGCLGYAAFGNDTPGNLLTGFTSNKQHWIVDFANACIVIHLVGAYQVYSQPLFANVENWLRFKFPDSEFVNHVYMLKLPLLPAFQLSFLRLSFRTAYVLSTTVIAMLFPYFNQILGVLAGIIYYPLSIYFPVEMYLSQSNIEPWSSQWVLLRAYSIVGFVVGLFTLVGSIEGIVSAKLN >Vigun06g068800.4.v1.2 pep primary_assembly:ASM411807v1:6:19829108:19833942:1 gene:Vigun06g068800.v1.2 transcript:Vigun06g068800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFGAIQVVLSQTPNFHNIQWLSIVAAITSFFYAFIGMWLSAGQITENGRADGSISGIPTSSRVDKIWLVAQALGDIAFSYPFSVILIEIQDTLKSPPPEHLTMKKASTISVIVTTFFYLCCGCLGYAAFGNDTPGNLLTGFTSNKQHWIVDFANACIVIHLVGAYQVYSQPLFANVENWLRFKFPDSEFVNHVYMLKLPLLPAFQLSFLRLSFRTAYVLSTTVIAMLFPYFNQILGVLAGIIYYPLSIYFPVEMYLSQSNIEPWSSQWVLLRAYSIVGFVVGLFTLVGSIEGIVSAKLN >Vigun06g068800.2.v1.2 pep primary_assembly:ASM411807v1:6:19829038:19833942:1 gene:Vigun06g068800.v1.2 transcript:Vigun06g068800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELIVIHQSEIDEFTEFCLGSGTVWTAVAHIVTGVIGSGVLSLAWSIAQLGWIGGPLTIIFFACITLLSAFLLSNTYRGPDPEHGPHRSSSYLDAVNLHKGEGNSRFCGVFVNVSLYGFGIAYVITAAISMRAIQISNCYHGQDDETKCGFDGAYLMLIFGAIQVVLSQTPNFHNIQWLSIVAAITSFFYAFIGMWLSAGQITENGRADGSISGIPTSSRVDKIWLVAQALGDIAFSYPFSVILIEIQDTLKSPPPEHLTMKKASTISVIVTTFFYLCCGCLGYAAFGNDTPGNLLTGFTSNKQHWIVDFANACIVIHLVGAYQVYSQPLFANVENWLRFKFPDSEFVNHVYMLKLPLLPAFQLSFLRLSFRTAYVLSTTVIAMLFPYFNQILGVLAGIIYYPLSIYFPVEMYLSQSNIEPWSSQWVLLRAYSIVGFVVGLFTLVGSIEGIVSAKLN >Vigun06g026550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12012460:12012833:1 gene:Vigun06g026550.v1.2 transcript:Vigun06g026550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEAEKVLIPEMVKVIKETREPFLNFIYDSDPLEKMFWERVVLVGDAAHPTTPHCVRSTNMSILDATVLGKCMEEWGPQNLQSALEEYELIRLPMTSKASSPC >VigunL075433.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:3910:4488:-1 gene:VigunL075433.v1.2 transcript:VigunL075433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIYHHYGPYGQIDVVRIRVCPFSKDECPTHVISAKGFVSDNFSPISPSGFNLLKRSAGIIEKRKALYVLVLGAPVKVNSLLVFTFGHQESRTDSLALLCKGLTSYVWKYVVEVNSSEMQPEGNLSFDPVWYVFCASFLSYWLRFSCLGHLILWFQHMAMVQIQTKDFLSCFDLSWCQSSGYCSLPFHSK >Vigun05g107000.1.v1.2 pep primary_assembly:ASM411807v1:5:10884607:10892344:1 gene:Vigun05g107000.v1.2 transcript:Vigun05g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSENERFKWPTNLQSLRLRANNLSNNFLSSLSGLECLQFLDLSKNQLGGALNISGLSTLSNLTNLYLSDNNIHNFVVHQGSKSLSRLDVLNLDGNMIDGNKLRESLRALSSSIRELSISNNNFKGTIVAEHFHDLSNLEYLTLYGNNNIESKFFKSIGNLTSLKVLFVSYCHINDTFPAAGWSKMKKLEALILIDNGFEGFLPNSFVNMTSLRNLELSQNNFVGRFDSNIATLSSLEYFSFEENQFEVPISFFPFANHSNLKGIWGEGNTIILDSQHNLHTWIPKFQLNVLSLSSNIQTRSFPLPKFLLYQKHLDTLRFSSLKLEGRFPHWLLENNTKLVEVNFINCSLTGTMQLPLRPLLGLWNIDVSNNIIIGEIPRKNISSIYPNLQYLNMSRNYFQGSIPRELGRMKLLRELDLSNNQLSGEISGDIFEAGQELIFLILSNNKLEGPIFPIPTNLESLSLNDNNFSGKLLSNIFNTSIISLSELRMSNNHFEGFIPLEFYNKISGNIQDMMQDLSYTKLNFLLLKGNHINGDIPKQLCQLIDLTMLDLSDNKFSGEIPHCLGKMPFDNKNLDPLLKASKGSFIVEEYTSQAPLASEHKKEKASFTSKRNTYSYRSILGYMSGIDLSLNKLKENIPHELGNLTRIRALNLSHNDLIGQIPYSFSNLVQTESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSSSIPEWTNQFAIFDESSYEGNPFLCGPPLLKICHPSSTIFPKNLSTDKDNGSLVDMYVFCVSFIVSYILAFLNCYYFIVDILF >Vigun08g150900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32355040:32357777:-1 gene:Vigun08g150900.v1.2 transcript:Vigun08g150900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAVRPNDPNSSLQPREQDSGDNANNATPTNSGNSNTNVNPGEDDDNPANNNDGDEQNLSSGRRPRGRPPGSKNKPKPPVVITKESPNALRSHILEISGGSDVAECIATFATRRHRGVSVLSGNGVVTNVTLRQPAAPGGVITLQGRFEILSLSGAFLPAPSPPEATGLTVYLAGGQGQVVGGSVVGPLVASGAVMVVAATFANATYERLPLEDEQGEEDMQEVNEGGGGGTPPPSQGDQPPQVPMPVYNLVPNNGDVFWGPPPRPPPPSNY >Vigun08g150900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32353673:32357777:-1 gene:Vigun08g150900.v1.2 transcript:Vigun08g150900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAVRPNDPNSSLQPREQDSGDNANNATPTNSGNSNTNVNPGEDDDNPANNNDGDEQNLSSGRRPRGRPPGSKNKPKPPVVITKESPNALRSHILEISGGSDVAECIATFATRRHRGVSVLSGNGVVTNVTLRQPAAPGGVITLQGRFEILSLSGAFLPAPSPPEATGLTVYLAGGQGQVVGGSVVGPLVASGAVMVVAATFANATYERLPLEDEQGEEDMQEVNEGGGGGTPPPSQGDQPPQVPMPVYNLVPNNGDVFWGPPPRPPPPSNY >Vigun08g150900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32353673:32357777:-1 gene:Vigun08g150900.v1.2 transcript:Vigun08g150900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAVRPNDPNSSLQPREQDSGDNANNATPTNSGNSNTNVNPGEDDDNPANNNDGDEQNLSSGRRPRGRPPGSKNKPKPPVVITKESPNALRSHILEISGGSDVAECIATFATRRHRGVSVLSGNGVVTNVTLRQPAAPGGVITLQGRFEILSLSGAFLPAPSPPEATGLTVYLAGGQGQVVGGSVVGPLVASGAVMVVAATFANATYERLPLEDEQGEEDMQEVNEGGGGGTPPPSQGDQPPQVPMPVYNLVPNNGDVFWGPPPRPPPPSNY >Vigun08g150900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32355039:32357777:-1 gene:Vigun08g150900.v1.2 transcript:Vigun08g150900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAVRPNDPNSSLQPREQDSGDNANNATPTNSGNSNTNVNPGEDDDNPANNNDGDEQNLSSGRRPRGRPPGSKNKPKPPVVITKESPNALRSHILEISGGSDVAECIATFATRRHRGVSVLSGNGVVTNVTLRQPAAPGGVITLQGRFEILSLSGAFLPAPSPPEATGLTVYLAGGQGQVVGGSVVGPLVASGAVMVVAATFANATYERLPLEDEQGEEDMQEVNEGGGGGTPPPSQGDQPPQVPMPVYNLVPNNGDVFWGPPPRPPPPSNY >Vigun08g150900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32353673:32357777:-1 gene:Vigun08g150900.v1.2 transcript:Vigun08g150900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAVRPNDPNSSLQPREQDSGDNANNATPTNSGNSNTNVNPGEDDDNPANNNDGDEQNLSSGRRPRGRPPGSKNKPKPPVVITKESPNALRSHILEISGGSDVAECIATFATRRHRGVSVLSGNGVVTNVTLRQPAAPGGVITLQGRFEILSLSGAFLPAPSPPEATGLTVYLAGGQGQVVGGSVVGPLVASGAVMVVAATFANATYERLPLEDEQGEEDMQEVNEGGGGGTPPPSQGDQPPQVPMPVYNLVPNNGDVFWGPPPRPPPPSNY >Vigun11g221700.1.v1.2 pep primary_assembly:ASM411807v1:11:41345716:41349415:-1 gene:Vigun11g221700.v1.2 transcript:Vigun11g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATKSSLLLLPPLLQLPKHNASLSQRFTTGFPFHSPFQERGGISPKQVAPVITFVLGGPGSGKGTQCAKIVETFGFKHLSAGDLLRREMSSDSEYGSMILNTIKDGKIVPSEVTVKLILREMKSSDNQKFLIDGFPRSEENRIAFEQIIGSEPRFVLFFDCPEEEMVKRVLGRNEGRIDDNIDTMRNRLKVFEALNRPVIDYYAKNGKLYRINAVGTVDEIFEQVRPVFEACEHEAK >VigunL043801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:449106:450410:1 gene:VigunL043801.v1.2 transcript:VigunL043801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun05g234000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42782050:42783192:-1 gene:Vigun05g234000.v1.2 transcript:Vigun05g234000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERGRSAKLEFELSLSPPTTANSSLANPSSSSPLCLYSSDPESCVSSETNEEIRSMLLVGCLRCLMYVLFSKDDPNPKCPRCKSTVLLHFLNNNQNTNQTTTKF >Vigun05g234000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42782020:42783320:-1 gene:Vigun05g234000.v1.2 transcript:Vigun05g234000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERGRSAKLEFELSLSPPTTANSSLANPSSSSPLCLYSSDPESCVSSETNEEIRSMLLVGCLRCLMYVLFSKDDPNPKCPRCKSTVLLHFLNNNQNTNQTTTKF >Vigun09g268500.2.v1.2 pep primary_assembly:ASM411807v1:9:43127349:43132697:1 gene:Vigun09g268500.v1.2 transcript:Vigun09g268500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKAPRRTLESYSVKHISKTIRAGDCVLMRPSDPAKPSYVARIERIEADGRGANVKIHVRWYYRPEESIGGRRQFHGSKEVFLSDHFDVQSTDTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTVEEAKRLDHFFCESCSAEGQKKLQNSHSASRLSDTKWTLNAVVGNSVIVYKA >Vigun09g268500.1.v1.2 pep primary_assembly:ASM411807v1:9:43127311:43132741:1 gene:Vigun09g268500.v1.2 transcript:Vigun09g268500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKAPRRTLESYSVKHISKTIRAGDCVLMRPSDPAKPSYVARIERIEADGRGANVKIHVRWYYRPEESIGGRRQFHGSKEVFLSDHFDVQSTDTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTVEEAKRLDHFFCESCSAEGQKKLQNSHSASRLSDTKVDTKRRRRQ >Vigun09g052150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5161971:5162261:-1 gene:Vigun09g052150.v1.2 transcript:Vigun09g052150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAPSIPKSRSHTKRVCLCSPTTHPGSFRCSLHKRKPLRTSLPRNSSKISHLLHSSLPPKPHSFKAFLQQLVKPSIRVFHRRKTFHPKPTRFH >Vigun09g162100.2.v1.2 pep primary_assembly:ASM411807v1:9:32979131:32984187:1 gene:Vigun09g162100.v1.2 transcript:Vigun09g162100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTERFGLKPQGKSAPMARASTKRPPTVSDSQTRSNPKSTLSGPPSPQSSSFDFNFNYGVFSNSSSDSKTQHFDDVFGGNAKSNGASFDYDSIFAGSNKPVSMSSHVDDVFGGTHAKSVGVDDLLDKIGGLNTNTNSRNYKTPDSDDLISGFGVFNNGAGMNKPSVTPNKPAPVSHDDPFLIFETASSSASSESFLDSLGQKTKLNNSKGSKGSSPILKSPPKPMSKVNKSNVSPIDEFESFAMGGARTNASSRKANVNAAETKPNSSVKINNGKRVPDVKNQSNAVDDLESIFSMSSRSSSVPKSRTPTMSNSKGKPEVSPRVPSGSPASAMKPPTMTSFDDLTLIFGGSPSSEFQEVEGETEERRKARLGRHQRTQERALKAVADMNQRDIQTKMEQEERRRIADSADVQIKRWSAGKEGNMRALLSTLQYVLWPECGWQPVSLTDMITSAAVKKVYRKATLCIHPDKVQQKGATLEQKYIAEKVFDILKESWTKFNAEELS >Vigun09g162100.1.v1.2 pep primary_assembly:ASM411807v1:9:32979131:32984187:1 gene:Vigun09g162100.v1.2 transcript:Vigun09g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTERFGLKPQGKSAPMARASTKRPPTVSDSQTRSNPKSTLSGPPSPQSSSFDFNFNYGVFSNSSSDSKTQHFDDVFGGNAKSNGASFDYDSIFAGSNKPVSMSSHVDDVFGGTHAKSVGVDDLLDKIGGLNTNTNSRNYKTPDSDDLISGFGVFNNGAGMNKPSVTPNKPAPVSHDDPFLIFETASSSASSESFLDSLGQKTKLNNSKGSKGSSPILKSPPKPMSKVNKSNVSPIDEFESFAMGGARTNASSRKANVNAAETKPNSSVKINNGKRVPDVKNQSNAVDDLESIFSMSSRSSSVPKSRTPTMDHVYNNQSNSKGKPEVSPRVPSGSPASAMKPPTMTSFDDLTLIFGGSPSSEFQEVEGETEERRKARLGRHQRTQERALKAVADMNQRDIQTKMEQEERRRIADSADVQIKRWSAGKEGNMRALLSTLQYVLWPECGWQPVSLTDMITSAAVKKVYRKATLCIHPDKVQQKGATLEQKYIAEKVFDILKESWTKFNAEELS >VigunL022600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:11925:13577:1 gene:VigunL022600.v1.2 transcript:VigunL022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Vigun05g288400.1.v1.2 pep primary_assembly:ASM411807v1:5:47527601:47531329:-1 gene:Vigun05g288400.v1.2 transcript:Vigun05g288400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNLFSQPWQTTISPSFCCSNSNNNISYAYQNHNIISNNSKLHFFSNSRHFSVLSQFSTHSSKSGSGSSWLQNSSFAAGIEYRKRPLYSVFPTKAAEVSSVEDLYQFICSGPLLDKIGITQEKVAESIDNWILYGRYLCRLFQLNELYLTEPQKARIYHYYVPVFLWCEQQIEEHQSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFEVIGRKSATISIDDFYLTAEGQTKLREANPGNALLEFRGNAGSHDLALSVETLASLTKMTREGVKMKLPRYDKSAFSGRGDRADPSTWPEVEGPLKVVLFEGWMLGFRPLPVEAVKAVDPQLETVNKNLEAYYDAWDKYIKSWIVIKIKDPNCVFQWRLQAEIAMREAGNPGMTDEEVRDFVSRYLPAYYAYLPTLYSEGPNGSDPQRLLTIEIDEGRNPILASI >Vigun11g105700.1.v1.2 pep primary_assembly:ASM411807v1:11:30421170:30422165:1 gene:Vigun11g105700.v1.2 transcript:Vigun11g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQSTLLYLILLHSCKIFAYKDQEWKKATATYAKDSEGSLVTEGACGYGDLHKESYGKRSAGLSTMLFSRGSTCGACYEIRCVDHILWCVMGSPSVVVTVTDFCAPNYGLSVDYGGWCNFPREHFEMSKPAFAEIAKNRADIVPVQYRRVKCERSGGMRFTMSGGSHFYQVLISNVGMDGEVIAVKVKGSRTGWIPMARNWGQNWHCNVNFQNQPLSFEVTISSGKTLTSYNVAPAKWMFGQTFEGKQFQNE >VigunL038300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:322351:322770:1 gene:VigunL038300.v1.2 transcript:VigunL038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLF >Vigun10g132250.1.v1.2 pep primary_assembly:ASM411807v1:10:34196838:34198288:-1 gene:Vigun10g132250.v1.2 transcript:Vigun10g132250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDGILPPLQTFTFIYATLFERHGIQPTPAMFLYFFELKSSSSRQLWASLSGVSGRGLFTFQMALSQKVLRRIKQQGVKVGTSSRARSLPSKVILESDHETTQSDPRLKRRKVGHKGGDHLTEFAKSQQALKDKEIELPALKVKVEELAPKVQTYEVQVSDLSTRCTALEGEKEELTDQLCSTFKQGFQLALDQVKILCPTVDVSEADITKKVVDG >Vigun09g046500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4408223:4408627:-1 gene:Vigun09g046500.v1.2 transcript:Vigun09g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFINLQPIIFGLVLLFTNIQISFASRLLLHKNKVPTDPPSFAPLFSKPKTKTVPNPLLPTIPSFQQPVGELLNPPAFSLPMLPPLPKLPPLPPLPLLTLPPTLSCSPFSPSRSSSLALLQPIPHEKIIAKP >Vigun07g205800.2.v1.2 pep primary_assembly:ASM411807v1:7:32744103:32749078:1 gene:Vigun07g205800.v1.2 transcript:Vigun07g205800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENINHSERITEQWWFVRYFQVPAIILFLFLIPPFMDERFCIPDSASSSSAYWLLLQVLSLIPLCHYLLLAAFIFFSVLYNFLELHFFQDLFSGFAGSPVLLSYNSSSQIYHDVVSKCEVLRGRYFATPWLCSPHLQTLFLNYFGTPPLLKYTRELFRTADAGTIALDWLFSSHVIPGLTSDSSSQYIKHLAYHTAKRGWNVVVCNHRGFGGVSITSDISYNAGWTEDVRTVVNYLHKERSKAPLFVVGTSIGANILVKYLGEDGENIPVAGAVAVCSPWDLLIEDRYLRRGRVQKIYDKALAFGLKDYAKLHRSHFSRNANWEGIEKSLSVRDFDDHATRVVGKYESVDTYYRRCSSSTYVHSVSVPLLCISALDDPICTTEAIPWDECKANKNIVLATLKHGGHLAFFEGLTASRLWWVRALNEFLGVLHSSNYMQGERFVNQKFH >Vigun07g205800.1.v1.2 pep primary_assembly:ASM411807v1:7:32744103:32749077:1 gene:Vigun07g205800.v1.2 transcript:Vigun07g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENINHSERITEQWWFVRYFQVPAIILFLFLIPPFMDERFCIPDSASSSSAYWLLLQVLSLIPLCHYLLLAAFIFFSVLYNFLELHFFQDLFSGFAGSPVLLSYNSSSQIYHDVVSKCEVLRGRYFATPWLCSPHLQTLFLNYFGTPPLLKYTRELFRTADAGTIALDWLFSSHVSDNVDYEDESVPIVVVIPGLTSDSSSQYIKHLAYHTAKRGWNVVVCNHRGFGGVSITSDISYNAGWTEDVRTVVNYLHKERSKAPLFVVGTSIGANILVKYLGEDGENIPVAGAVAVCSPWDLLIEDRYLRRGRVQKIYDKALAFGLKDYAKLHRSHFSRNANWEGIEKSLSVRDFDDHATRVVGKYESVDTYYRRCSSSTYVHSVSVPLLCISALDDPICTTEAIPWDECKANKNIVLATLKHGGHLAFFEGLTASRLWWVRALNEFLGVLHSSNYMQGERFVNQKFH >Vigun03g020800.1.v1.2 pep primary_assembly:ASM411807v1:3:1516968:1520000:1 gene:Vigun03g020800.v1.2 transcript:Vigun03g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKEVEGKRVHDYVEVKMGKMRRVVGVGGAVIVGAGPSGLAAAACLKQKGIPSLILEKSDCIASMWQFKTYDRLCLHLPKQFCELPLMPFPQHLPSYPTKQQFLAYLKAYANHFHIKPTFSRTVVSANYDHRCGCWRVKTQDFKKEETEYVCEWLIVATGENAEEFVPQIQGMGEFEGPILHTSTYKSGTMFCGKKVLVVGCGNSGMEVCLDLCNHNALPSLVVRDTVHILPQQMFGKSTFGLSMFLLKWFPVRLVDKFLLLMSHLILGDTAQFGLHRPKIGPLELKNLYGKTPVLDVGTLAHIKSGKIKVCRGIKQLAQHKVEFVDGKTENFDAIIMATGYKSNVPTWLKGSEMFCEKDGLPRKAFPNGWKGENGLYAVGFSNRGLLGTSIDAKRIAEDIEHCWKASKTT >Vigun11g119300.8.v1.2 pep primary_assembly:ASM411807v1:11:32532232:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.1.v1.2 pep primary_assembly:ASM411807v1:11:32533332:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNFLPLYEKNWYKLNYKRVTESLLLILLLLLLCYRVISVKNYSFPWFVAFLCESWFTISWFFTLTTQWSPAVIKTYPDRLLQSVQELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.4.v1.2 pep primary_assembly:ASM411807v1:11:32533332:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLLVFHSHHSVESSSNQNLPRSSLAKELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.3.v1.2 pep primary_assembly:ASM411807v1:11:32533332:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNFLPLYEKNWYKLNYKRVTESLLLILLLLLLCYRVISVKNYSFPWFVAFLCESWFTISWFFTLTTQWSPAVIKTYPDRLLQSVQELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.6.v1.2 pep primary_assembly:ASM411807v1:11:32533332:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNFLPLYEKNWYKLNYKRVTESLLLILLLLLLCYRVISVKNYSFPWFVAFLCESWFTISWFFTLTTQWSPAVIKTYPDRLLQSVQELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.7.v1.2 pep primary_assembly:ASM411807v1:11:32532232:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun11g119300.2.v1.2 pep primary_assembly:ASM411807v1:11:32533331:32538346:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNFLPLYEKNWYKLNYKRVTESLLLILLLLLLCYRVISVKNYSFPWFVAFLCESWFTISWFFTLTTQWSPAVIKTYPDRLLQSVQELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQVIWENKECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLEHGGTIKGCRELHA >Vigun11g119300.5.v1.2 pep primary_assembly:ASM411807v1:11:32533332:32538345:1 gene:Vigun11g119300.v1.2 transcript:Vigun11g119300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLLVFHSHHSVESSSNQNLPRSSLAKELPAVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEAFKFAKFWVPFCKKYNVQVRAPLRYFSGKPEGSTDSNNTPEFKQEWLQMKDMYDNLRQKIELDEFQKSNPCHGEFDIFSNTEKTNHPTIIQECLADGLPHLIYISREKKPKQSHHFKAGAMNVLTRVSGLMTNAPFILNVDCDMIVNNPKVVLHALCILLDSKGEKEVAFAQFPQQFYATLKDDPFGNQMAILIKYLTAGIAGLQGPFYGGTNCFHRRKVIYGLFPDSMEKGNRLSKDELEQKFGDSKEIMKTVHDALEGKTYLPNHTNISMAIDIAAQVASCGYEHGTGWGKYVGWIYGSIAEDKLLGLTIHEKGWRSELCTPSPIAFTGFAPGGGPIAMAQQKRWAIGLLEIFFSKHCPIFGTIFHKLTLRQCLAYMWIINYWGLKPLFELCYACLLSYCIITNSNFLPMDLGICISIAFFVSYKIYTISEYLSAGLSYRAWWNNQRMSRITRMNAGFCAFVCILLKLLRISDTVFDITKKDVPVRDDAEDDKDAGRFTFDESLVFLSGTTILLLQLTIMFIKLLGLQPLMPTHNGSGVGEIFCSIYLIICLWPFFRGLFEKGKYRIPFSLVSKSIILTWCFIHLCKRTMHD >Vigun07g266500.1.v1.2 pep primary_assembly:ASM411807v1:7:38211411:38215701:1 gene:Vigun07g266500.v1.2 transcript:Vigun07g266500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPNSQFFILKPLYFRITNSFIIIFYLLAQITSSQVDPKFTACAPKTCPNNNQSISFPFYIQGTQESYCGSPGFEISCAPDGSPTLNLSHTQYFIHQIFYQDQSLRVSNAAFSTLQSNATGGCLVPTQNLTLPPSNEFRVAPNQTDMVLFYGCDAPSLHEHRVGCSAENQTSSVLALDKRDELISFVAANCKGEVVDTVVEDGIGGVGEALRKGFLLSWTASNCSVCNSTGGRCGFDSDLYTFRCYCTDRVHSASCGAVDPVITNKNKATMVKIASAVGVVGIVVVVVLACWLRTKIFPSSLLFGRDNQTHHVIQKFLKEHGPLPITRYNYSEIKKITNSFKNKLGQGGFGSVYKGKLHDGCVVAVKILGESRGKGEDFINEVASISTTSHVNIVRLLGFCFDGSKRALIYEFMSNGSLDKFIYEDKNPLQVAHELDCELMYNIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFSPKISDFGLAKICPMRESAISITGARGTAGYIAPEVFCRNFGAVSHKSDVYSYGMMIMEMVGRRKNIKVEIECSSELYFPYWIYDRLESNQELGLQIVKNEGDDKMMRKMALVGLWCIQTHPSSRPTISSVVEMLEKKVELLQLPPKPFLSSPSPSSLHFSGDTIAL >Vigun07g118800.1.v1.2 pep primary_assembly:ASM411807v1:7:22043315:22049127:-1 gene:Vigun07g118800.v1.2 transcript:Vigun07g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGETMEAPHTCFSNAIILGFLLLNLVLGRGIGADTYNRDDFPADFVFGSGTSAYQVEGAPNEDGRSDSIWDTFAHAGYMRGENGDVACDGYHKYKEDVRLMVETGLEAYRFSISWSRLLPNGRGAVNPKGLQYYNNLINQLISNGIQPHVTLHNYDLPQVLEDEYGGWVSRDIIRDFTYYADVCFREFGDRVLYWTTVNEPNIFALGGYDQGTCPPQRCSPPFCAIKSNRGNSTYEPYLAVHHILLAHSSAARLYRRKYLNKQHGFVGISIFVFGLLPQTNTEKDRAATQRARDFLVGWVMEPLQYGDYPISMKKNAGVRIPAFTTRESEQLKGSFDFIGVIHYTSVNITDNSDVLKNQLRDYAADWAAMILGSHVYTNEEYPITPWIMLEELNKFKLLYGNPPIFIHENGQRTASNSSLQDVSRVKYLHEYIGGVLDGLRDGVNIKGYFVWSFLDLFELLDGYKASFGLYYVDRDDPELKRHPKLSAKWYSRFLKNINSSIVGSVELEKDPSLVSIGHLLE >Vigun06g098666.1.v1.2 pep primary_assembly:ASM411807v1:6:22932085:22933145:-1 gene:Vigun06g098666.v1.2 transcript:Vigun06g098666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQEMYNSGRNRTAYAPYFVQLPVDKMAVITVHKLMGLLMTGTEHATVGTARVVQAACGIGDAIENQVRIYKFLEKTKKKRVIEARKMKQSSLLGTSKKNKSYGKNSLI >Vigun06g237800.3.v1.2 pep primary_assembly:ASM411807v1:6:34248901:34252485:1 gene:Vigun06g237800.v1.2 transcript:Vigun06g237800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIARNRSLSLILLAFSLHFVIGFSDDSAVSKDLTKIEHFATRSPSTIIVLIIIGIVLFSLFCFALFKLWRKKKREEQYARLLKLFEEDDELELELGLRD >Vigun06g237800.2.v1.2 pep primary_assembly:ASM411807v1:6:34248901:34252492:1 gene:Vigun06g237800.v1.2 transcript:Vigun06g237800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIARNRSLSLILLAFSLHFVIGFSDDSAVSKDLTKIEHFATRSPSTIIVLIIIGIVLFSLFCFALFKLWRKKKREEQYARLLKLFEEDDELELELGLRD >Vigun08g014600.2.v1.2 pep primary_assembly:ASM411807v1:8:1258970:1262563:1 gene:Vigun08g014600.v1.2 transcript:Vigun08g014600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRGGEGKHVHRHGKSHIAPECSLPPSSSSSSSSSSSSSSSSSSQSRWANLPPELLLDIIQRLEASETSWPARRALVACSSVCRLWREITKDVVKTPEQCGLLTFPISLKQPGPRDSPIQCFIRRERVSSTYCLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVADDFSRTSNTYIGKLRSNFLGTKFMILDGESPHDSSLPLNCKLQQRVHLKQVLPKVAAAAANYKVATVSYELNVLRTRGPRRMRCTMHLIPISAIQEGGSAPTPLKFTNYLNEHVSVTTPTTTTTSDSKGSKSEVVEFDTNETESTQESSQRAREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPCQNVSAAEHEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Vigun08g014600.1.v1.2 pep primary_assembly:ASM411807v1:8:1258970:1262563:1 gene:Vigun08g014600.v1.2 transcript:Vigun08g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSILRELKEIGEGISNMYRRGGEGKHVHRHGKSHIAPECSLPPSSSSSSSSSSSSSSSSSSQSRWANLPPELLLDIIQRLEASETSWPARRALVACSSVCRLWREITKDVVKTPEQCGLLTFPISLKQPGPRDSPIQCFIRRERVSSTYCLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVADDFSRTSNTYIGKLRSNFLGTKFMILDGESPHDSSLPLNCKLQQRVHLKQVLPKVAAAAANYKVATVSYELNVLRTRGPRRMRCTMHLIPISAIQEGGSAPTPLKFTNYLNEHVSVTTPTTTTTSDSKGSKSEVVEFDTNETESTQESSQRAREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPCQNVSAAEHEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Vigun08g014600.4.v1.2 pep primary_assembly:ASM411807v1:8:1258970:1262540:1 gene:Vigun08g014600.v1.2 transcript:Vigun08g014600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRGGEGKHVHRHGKSHIAPECSLPPSSSSSSSSSSSSSSSSSSQSRWANLPPELLLDIIQRLEASETSWPARRALVACSSVCRLWREITKDVVKTPEQCGLLTFPISLKQPGPRDSPIQCFIRRERVSSTYCLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVADDFSRTSNTYIGKLRSNFLGTKFMILDGESPHDSSLPLNCKLQQRVHLKQVLPKVAAAAANYKVATVSYELNVLRTRGPRRMRCTMHLIPISAIQEGGSAPTPLKFTNYLNEHVSVTTPTTTTTSDSKGSKSEVVEFDTNETESTQESSQRAREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPCQNVSAAEHEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Vigun08g014600.3.v1.2 pep primary_assembly:ASM411807v1:8:1258970:1262540:1 gene:Vigun08g014600.v1.2 transcript:Vigun08g014600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSILRELKEIGEGISNMYRRGGEGKHVHRHGKSHIAPECSLPPSSSSSSSSSSSSSSSSSSQSRWANLPPELLLDIIQRLEASETSWPARRALVACSSVCRLWREITKDVVKTPEQCGLLTFPISLKQPGPRDSPIQCFIRRERVSSTYCLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVADDFSRTSNTYIGKLRSNFLGTKFMILDGESPHDSSLPLNCKLQQRVHLKQVLPKVAAAAANYKVATVSYELNVLRTRGPRRMRCTMHLIPISAIQEGGSAPTPLKFTNYLNEHVSVTTPTTTTTSDSKGSKSEVVEFDTNETESTQESSQRAREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPCQNVSAAEHEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Vigun10g175500.1.v1.2 pep primary_assembly:ASM411807v1:10:39389070:39391094:-1 gene:Vigun10g175500.v1.2 transcript:Vigun10g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVVVFDFDKTIVDVDSDNWVIDELGFTDLFNQLLPTMPWNTLMDTMMMELHSKGKTINDIEEVLQKIPLHPRVIPAIKAAHDSGCDLRIVSDANTFFIETILKHLGIREYFSEINTNPGYVNEEGRLRILPYHDFNKASHGCSLCPPNMCKGLVIERIQDSISEENKRFIYLGDGVGDYCPSLRLKEKDFMMPRKNFPVWDLICKDPSLVKAEIHGWSDGEELEQVLVYLINKISTDQNSPFISSDCKLQTLSVSAMEALPKVLPVRP >Vigun10g190400.1.v1.2 pep primary_assembly:ASM411807v1:10:40509585:40512659:1 gene:Vigun10g190400.v1.2 transcript:Vigun10g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSAPILTSLVLYSRDSSPEPENIFQLPKTTSALSLSQILVEIDLKNSRSPMRKNRVPLGNQHSIKIKERDEVKSPQQKTHMKSQPSIQEMFSSLDLDKGVMDHEEESCGGEKDGTLQTSVMGGGMGCEGGWICGGCNGSGRGSNGGHGRGWNFHEGNDRGRDRTDAYYQNMIEANPGDALLLGNYAKFLKEVCEDYPKAKEYLERAILANPDDGVVLSLYADLIWQTEKDADRAEGYFDQAIRSAPDDCHVVASYAKFLWDAEDEEEDKECQIKSDDKHSYPIDLFHGSNHHLHITEASKALPLFLK >Vigun10g190400.2.v1.2 pep primary_assembly:ASM411807v1:10:40509585:40511256:1 gene:Vigun10g190400.v1.2 transcript:Vigun10g190400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSAPILTSLVLYSRDSSPEPENIFQLPKTTSALSLSQILVEIDLKNSRSPMRKNRVPLGNQHSIKIKERDEVKSPQQKTHMKSQPSIQEMFSSLDLDKGVMDHEEESCGGEKDGTLQTSVMGGGMGCEGGWICGGCNGSGRGSNGGHGRGWNFHEGNDRGRDRTDAYYQNMIEANPGDALLLGNYAKFLKEVCEDYPKAKEYLERAILANPDDGVVLSLYADLIWQTEKDADRAEGYFDQAIRSAPDDW >Vigun06g058100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18455282:18459866:1 gene:Vigun06g058100.v1.2 transcript:Vigun06g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSLASQHVFPKLLEAVKMLRDLPKNVAEVTDELENFQDFIHDANKMADAEEDSNRRDRIRKRLMRLREASFRMEDVIDDYVMICDENQPEEGPRCAALLCEAVEFIKTQIHHLQIAYQIQDVKSLVRTEREGFINHCPIEPRSHGSRGKENFTWHKFRMDPLFIKEHEVVGLEGPTQTLKKWLTLTQGREERTVISIVGMAGLGKTTLSKQVFDRVRTDFECHALITVSRSYTVEELLRTMTNELCKERKEDPPRDVSTMNQLSLIKEVRNRLRNKRYVVLFDDVWNETFWDDVELAMIDDKNGSRIIITTREEKVAEFCKSCLLYRLQPLSEEKSLELLCKKAFGYGFHGCCLEDYEKAGLGIVRKCGYLPLAIVAIGSLLYRKCKSPYEWRLFSQNLSLEMESNSELDSVKKILSLSYDDLSQNLRSCLLYFGMYPEDYEVKCGRLIQQWIAEGFVKHERGRNLEEVAQQQLMELISRSLVLVASFTTDGKVKACRVHDSMHEMIRGKMKNTGFCEYIDEHNHLESSGITRRLTIATSSNINGLSGCIEGSHVRSILILTNEVSSVDFNSRLLAKHTRLKVLDLEFTPLHDVPENLGCLIHLKYLSFRETFIRSLPKSIGKLQNLETLDVRTYMEIEVPKEITKLRKLRHLLGSTISSSSLKDSLGSMRSLEKMHELGIDEDGVVLRELGKLKKLRDLRLVSLRRDHAETLCSSLNEMPLLERLHISFAEYLRLSNFTEDPAALSSSIRKIQVRRPLESGVIDLHITSSLSKLRKLYLYANLKEFPNWISRLQNLVKLSLVESKLTNIPLTDLGSMPNLLLLSFDSNSFEGETLHFENGGFQKLKELEFKCLYNLSFILIDSGALPSLEKLQIVAIPQLKIVPSGIQHLKKLQVLYIVHMPTEFLQRIDPEGGDEHWMIKHVPYVHFSHYIS >Vigun06g058100.2.v1.2 pep primary_assembly:ASM411807v1:6:18455281:18459866:1 gene:Vigun06g058100.v1.2 transcript:Vigun06g058100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSLASQHVFPKLLEAVKMLRDLPKNVAEVTDELENFQDFIHDANKMADAEEDSNRRDRIRKRLMRLREASFRMEDVIDDYVMICDENQPEEGPRCAALLCEAVEFIKTQIHHLQIAYQIQDVKSLVRTEREGFINHCPIEPRSHGSRGKENFTWHKFRMDPLFIKEHEVVGLEGPTQTLKKWLTLTQGREERTVISIVGMAGLGKTTLSKQVFDRVRTDFECHALITVSRSYTVEELLRTMTNELCKERKEDPPRDVSTMNQLSLIKEVRNRLRNKRLIQQWIAEGFVKHERGRNLEEVAQQQLMELISRSLVLVASFTTDGKVKACRVHDSMHEMIRGKMKNTGFCEYIDEHNHLESSGITRRLTIATSSNINGLSGCIEGSHVRSILILTNEVSSVDFNSRLLAKHTRLKVLDLEFTPLHDVPENLGCLIHLKYLSFRETFIRSLPKSIGKLQNLETLDVRTYMEIEVPKEITKLRKLRHLLGSTISSSSLKDSLGSMRSLEKMHELGIDEDGVVLRELGKLKKLRDLRLVSLRRDHAETLCSSLNEMPLLERLHISFAEYLRLSNFTEDPAALSSSIRKIQVRRPLESGVIDLHITSSLSKLRKLYLYANLKEFPNWISRLQNLVKLSLVESKLTNIPLTDLGSMPNLLLLSFDSNSFEGETLHFENGGFQKLKELEFKCLYNLSFILIDSGALPSLEKLQIVAIPQLKIVPSGIQHLKKLQVLYIVHMPTEFLQRIDPEGGDEHWMIKHVPYVHFSHYIS >Vigun10g050900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7908615:7909510:-1 gene:Vigun10g050900.v1.2 transcript:Vigun10g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIMQYKNGRQGPIGSWLCDSPSYSYMKLPGDSGRFQTSASSLFGSEYVLSSSSSSSKPGSSATQVMVSSSDRSPSCNLNLPRVPT >Vigun06g030300.5.v1.2 pep primary_assembly:ASM411807v1:6:13359537:13362975:-1 gene:Vigun06g030300.v1.2 transcript:Vigun06g030300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLYGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGAKLDVLETKIASDTAHTIKAICIVHNETATGVTNNLAKVRQILDSYRHPALLIVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGIVVAGPRAIEASKTAKSLRVFFDWKDYLKFYQLGTYWPYTPSIHLLYGLRAALDLVFEEGLENVIARHNRLGTATRLAVEAWGLKNCTQKEEWHSDTVTAVVVPSYIDSAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGYLNELQLLGCLAGVEMILQDVGYPVKLGSGVAAASAYFKNTIPLIPSRI >Vigun06g030300.3.v1.2 pep primary_assembly:ASM411807v1:6:13359537:13364835:-1 gene:Vigun06g030300.v1.2 transcript:Vigun06g030300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNAPGRNHLFVPGPVNIPDQIIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGAKLDVLETKIASDTAHTIKAICIVHNETATGVTNNLAKVRQILDSYRHPALLIVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGIVVAGPRAIEASKTAKSLRVFFDWKDYLKFYQLGTYWPYTPSIHLLYGLRAALDLVFEEGLENVIARHNRLGTATRLAVEAWGLKNCTQKEEWHSDTVTAVVVPSYIDSAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGYLNELQLLGCLAGVEMILQDVGYPVKLGSGVAAASAYFKNTIPLIPSRI >Vigun06g030300.4.v1.2 pep primary_assembly:ASM411807v1:6:13359537:13365406:-1 gene:Vigun06g030300.v1.2 transcript:Vigun06g030300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNAPGRNHLFVPGPVNIPDQIIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGAKLDVLETKIASDTAHTIKAICIVHNETATGVTNNLAKVRQILDSYRHPALLIVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGIVVAGPRAIEASKTAKSLRVFFDWKDYLKFYQLGTYWPYTPSIHLLYGLRAALDLVFEEGLENVIARHNRLGTATRLAVEAWGLKNCTQKEEWHSDTVTAVVVPSYIDSAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGYLNELQLLGCLAGVEMILQDVGYPVKLGSGVAAASAYFKNTIPLIPSRI >Vigun06g030300.1.v1.2 pep primary_assembly:ASM411807v1:6:13359537:13365459:-1 gene:Vigun06g030300.v1.2 transcript:Vigun06g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNAPGRNHLFVPGPVNIPDQIIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGAKLDVLETKIASDTAHTIKAICIVHNETATGVTNNLAKVRQILDSYRHPALLIVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGIVVAGPRAIEASKTAKSLRVFFDWKDYLKFYQLGTYWPYTPSIHLLYGLRAALDLVFEEGLENVIARHNRLGTATRLAVEAWGLKNCTQKEEWHSDTVTAVVVPSYIDSAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGYLNELQLLGCLAGVEMILQDVGYPVKLGSGVAAASAYFKNTIPLIPSRI >Vigun01g247800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41539697:41540545:-1 gene:Vigun01g247800.v1.2 transcript:Vigun01g247800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRYPLQGKRWLVGLAVVGLVVVGLFLSTTGRSSETAYLCPGTLGIRTRKEFSYDPAPMQMRAILHYATSRVVPQQSVAEIKVSLDVIKSLGRPCNFLVFGLGHDSLMWASFNPGGTTVFLEEDPKWVHSILKDAPGLRAHTVHYRTQLRDANTLLTSYRTEATCNPTTAYLRGNKACKLALDNLPDEVYETEWDMIMIDAPKGYFAEAPGRMSAVFSAAVMARNRKEPGVTHVFLHDVDRKVEKLYAEEFLCRKNLVNGAGRLWHFKMPPSNDTFASRFC >Vigun05g086600.1.v1.2 pep primary_assembly:ASM411807v1:5:8211565:8217027:-1 gene:Vigun05g086600.v1.2 transcript:Vigun05g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKSDPITYVKRVSHNEHLPAVLPEPQTRTRSLQSAPPSFKTRVKPIQPISKVNNNRTRTLSAPSTLDAAEQDALSSIEYEEQEESKHRTGSMKERSSSPQPLPLPSPQGGAVLKAIGSFKSVTASGPLSASGPLPLPPTGSLRNFLYDEIAAACHNFSSDRCMSECLSSTIYKASFGDDVSSSKKFEATVTRLHPSSQGLKEFINEVNTLASLQHPNLCKLLGFHAREASEHRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRMKIAICAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLADNYRLSLIMDPQLKGRFPSKAARTIADIAQRCLQKEPSERPTMRTVVEHLKMIQDLKYSCRFPLQEPAASNSGKHMSRSPSLNGMICPVPRLNFSPSPPSGVPAVAVSPPRWSGGVPLLPPRACSSSLSLEELDRQESRKSSSSASRRASVEGF >Vigun08g082000.1.v1.2 pep primary_assembly:ASM411807v1:8:17171767:17174093:-1 gene:Vigun08g082000.v1.2 transcript:Vigun08g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFQNLALLLTLLFLLWKPAICKNGCTEKCGGIHIQFPFHLRNSKFNHTTGYPRGFDLLCTDAGETVLDLPSVPINLLVKDIDYKSQQIQLYDPQNCLPRELMKLGNSSISPFKFESYGDRNVSFFRCNSVSCPILQLDSGDDFLNPEIVSCTKLSEVYSVQWYVRDYLKNIVVAEWTNPNCSSCEAQGSKCRYKNGTQSEIECFVCPTNGLRTSTIVLIVAGGIAGFILVLLLAKALFHVHDHYKMKGEDQARIEKFLEDYKAMKPTRFTYADIKRITNGFSESLGEGAHGAVFKGMLSRDILVAVKILNDAVGDGMDFINEVGTMGKIHHVNVVRLLGFCADGFHRALVYDFFPNGSLQRFLAPPDNKDVFLGWEKLQQIALGVAKGIEYLHLGCDHRILHFDINPHNVLIDDHFNPKITDFGLAKLCPKNQSTVSVTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTNMSVEESFQVLYPEWIHNLVESRDVEVTIEEEGDVKIARKLAIIGLWCIQWNPVDRPSMKTVVQMLEGDGDKLIAPPTPFDKSGSSRTNAVVQARHQNFELEIIHEMEE >Vigun10g125300.1.v1.2 pep primary_assembly:ASM411807v1:10:33360306:33362965:1 gene:Vigun10g125300.v1.2 transcript:Vigun10g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATERYAVVTGANKGIGLEIVKQLASAGIKVVLTARNEERGLHAMEKIKASGLSHLVMFHLLDVADATSVASLADFIKSKFGKLDILVNNAGIGGTVTKDVGLLPSVLLKRGAVSEEEGTKAMTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSILGQLESFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSFCINSVCPGYVKTDITSNTGLLTVEEGAASPVRLALLPNGSPSGLFYYRTDVASF >Vigun10g110500.3.v1.2 pep primary_assembly:ASM411807v1:10:31068632:31073340:1 gene:Vigun10g110500.v1.2 transcript:Vigun10g110500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYMMGELSSVFGAINQEREALLNSGWWNDYRNISDHCRWVSIDCNEAGSVIAIDSWYMKTPSSQELLWIDKLNFTAFPNLVTLYLTGMGLRGSIPTAIGSLTNLTYIDLSKNILQGSIPPEFGNLTQLQTLSFFHNSLSGSIPSTLGQLMNLKFMYLDSNKLEGRIPTEFGNLTKLVKLYLSDNLLTGSIPPNLGQLESLTYFFFQSNQITGPIPVEFGNLKSLQRLYLSNNSLNGSIPPTLGRLDNLVHLFLDSNQIEGYIPEELGNLFQLEVLRLNHNKISGLIPAKLFQMDKMFSLYLSSNQLCGSIPFETMKCPYATIVDLSHNLFNGSITSQIGCVSDLSLSHNFLVGEIPFPFRRSSVLTRLDLSYNNLSGKLHKELASLSYINLSYNSFDFSQDLDSKSGVPDYCYFKEDSLINDHHMPNFSYCHLLNQTNPQTRKSKPVIMLILLPIIFFILLLLLSILYFSRCKPKKKCEGIATKNGNLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHHVESQNPSFERSFQNEVKMLTKIRHKNIVKLHGYCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWNKRVNVIKGMAEALSYMHHDCIPPIVHRDVTSSNVLLNSQLEAHVSDFGTARLLDPDSSNQTLAVGTCGYIAPELAYTMSVTEKCDVYSFGVVILETLMGKHPGELIASLFNPTTQSMLLKDLLDSRLPLPLQKDAKDIHLVVNVALSCLCSKPDLRPSMQQVVKKLSSLKIPFYLPLHEVFIHQLMSQEIGLVSSEFHEYTEP >Vigun10g110500.2.v1.2 pep primary_assembly:ASM411807v1:10:31070031:31073340:1 gene:Vigun10g110500.v1.2 transcript:Vigun10g110500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESIMANCFCSLLVMALYMMGELSSVFGAINQEREALLNSGWWNDYRNISDHCRWVSIDCNEAGSVIAIDSWYMKTPSSQELLWIDKLNFTAFPNLVTLYLTGMGLRGSIPTAIGSLTNLTYIDLSKNILQGSIPPEFGNLTQLQTLSFFHNSLSGSIPSTLGQLMNLKFMYLDSNKLEGRIPTEFGNLTKLVKLYLSDNLLTGSIPPNLGQLESLTYFFFQSNQITGPIPVEFGNLKSLQRLYLSNNSLNGSIPPTLGRLDNLVHLFLDSNQIEGYIPEELGNLFQLEVLRLNHNKISGLIPAKLFQMDKMFSLYLSSNQLCGSIPFETMKCPYATIVDLSHNLFNGSITSQIGCVSDLSLSHNFLVGEIPFPFRRSSVLTRLDLSYNNLSGKLHKELASLSYINLSYNSFDFSQDLDSKSGVPDYCYFKEDSLINDHHMPNFSYCHLLNQTNPQTRKSKPVIMLILLPIIFFILLLLLSILYFSRCKPKKKCEGIATKNGNLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHHVESQNPSFERSFQNEVKMLTKIRHKNIVKLHGYCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWNKRVNVIKGMAEALSYMHHDCIPPIVHRDVTSSNVLLNSQLEAHVSDFGTARLLDPDSSNQTLAVGTCGYIAPELAYTMSVTEKCDVYSFGVVILETLMGKHPGELIASLFNPTTQSMLLKDLLDSRLPLPLQKDAKDIHLVVNVALSCLCSKPDLRPSMQQVVKKLSSLKIPFYLPLHEVFIHQLMSQEIGLVSSEFHEYTEP >Vigun10g110500.4.v1.2 pep primary_assembly:ASM411807v1:10:31068631:31073340:1 gene:Vigun10g110500.v1.2 transcript:Vigun10g110500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSSQELLWIDKLNFTAFPNLVTLYLTGMGLRGSIPTAIGSLTNLTYIDLSKNILQGSIPPEFGNLTQLQTLSFFHNSLSGSIPSTLGQLMNLKFMYLDSNKLEGRIPTEFGNLTKLVKLYLSDNLLTGSIPPNLGQLESLTYFFFQSNQITGPIPVEFGNLKSLQRLYLSNNSLNGSIPPTLGRLDNLVHLFLDSNQIEGYIPEELGNLFQLEVLRLNHNKISGLIPAKLFQMDKMFSLYLSSNQLCGSIPFETMKCPYATIVDLSHNLFNGSITSQIGCVSDLSLSHNFLVGEIPFPFRRSSVLTRLDLSYNNLSGKLHKELASLSYINLSYNSFDFSQDLDSKSGVPDYCYFKEDSLINDHHMPNFSYCHLLNQTNPQTRKSKPVIMLILLPIIFFILLLLLSILYFSRCKPKKKCEGIATKNGNLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHHVESQNPSFERSFQNEVKMLTKIRHKNIVKLHGYCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWNKRVNVIKGMAEALSYMHHDCIPPIVHRDVTSSNVLLNSQLEAHVSDFGTARLLDPDSSNQTLAVGTCGYIAPELAYTMSVTEKCDVYSFGVVILETLMGKHPGELIASLFNPTTQSMLLKDLLDSRLPLPLQKDAKDIHLVVNVALSCLCSKPDLRPSMQQVVKKLSSLKIPFYLPLHEVFIHQLMSQEIGLVSSEFHEYTEP >Vigun05g107350.1.v1.2 pep primary_assembly:ASM411807v1:5:10989196:10991106:1 gene:Vigun05g107350.v1.2 transcript:Vigun05g107350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREMKSGVRLCFLIFLLLEALCSEGCRKEEREALLGLRSHFDNANWNVHTDCCEWEGVHCNSSTRRVTQLYLSYGWWKSTEQYINYSDFSVFKDLKELHLEDSNIVGCEGNGELPNLELLDMIHNNLDTAAGILSCLDGLPSLKSLYLRYNSFNTSSLTHAFERVSPKLRSNLEVIDLSWNYLTNDILPSLEGFTSLKELHLSYNQLDSDLNIEALCSSFKNLEVLDLSWNNSNNHTDIGSALSGLSSLNSLYLINSQLSWKSIYNISKLSSLEVLHLDENDLNKSGSILRPLKENETFKWPTNLQELHLQNNRLTNRFLSSLRDLSHLQYLDLSYNYLEGSLNISVPYS >Vigun08g208450.1.v1.2 pep primary_assembly:ASM411807v1:8:37128083:37134873:1 gene:Vigun08g208450.v1.2 transcript:Vigun08g208450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPKTEPGSLPRSIPTANKKEYGEMSISELVSVLRIAYKIEDFDKIEQELVTRESKLRAEIGSLGEKIELERLKRIEVERPKIREVQCEKGKRAQENYEQLLKEVKTGGLVENHAIEELRGKNVALEREVCELKEFRKIMLDEMKSVAELRDTIRVLKEEKVGDKNALDVLNMKNIELEEAFKKNLTVIEGLRNEIGKLTDEKHGLKTLFESMERRYSKFCVHDVKLEESTMPLISEDASHRGNTEVEPNLGVSFAVKDEKDVSDYELENDTGGPVLLQGDEDTHYSVDIGNAQSPKKGNKEDAVGALGVKFKLEKEIVDLSDDDDDDDKYTSQGLHGEKVISQIIEENEHPQRVEMIKRKRASDIQASTSTSTSSADLFEKENLPVKRST >Vigun07g179700.4.v1.2 pep primary_assembly:ASM411807v1:7:29687323:29699777:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVSEESGVGKSVEGISNEQRCQSGEALAEWRSSEQVENGITSTSPPYWDSDDGDDGPKPSELFGRYTWKIEKFSQINKRELRSSPFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun07g179700.6.v1.2 pep primary_assembly:ASM411807v1:7:29687327:29699761:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVSEESGVGKSVEGISNEQRCQSGEALAEWRSSEQVENGITSTSPPYWDSDDGDDGPKPSELFGRYTWKIEKFSQINKRELRSSPFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun07g179700.1.v1.2 pep primary_assembly:ASM411807v1:7:29687323:29699777:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVSEESGVGKSVEGISNEQRCQSGEALAEWRSSEQVENGITSTSPPYWDSDDGDDGPKPSELFGRYTWKIEKFSQINKRELRSSPFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun07g179700.2.v1.2 pep primary_assembly:ASM411807v1:7:29687327:29699778:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYISLDLHSFCCDLELNYHEGEPGAAVKLCLVDRLVMGSNLETASLHMQGYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun07g179700.3.v1.2 pep primary_assembly:ASM411807v1:7:29687327:29699778:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYISLDLHSFCCDLELNYHEGEPGAAVKLCLVDRLVMGSNLETASLHMQGYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun07g179700.5.v1.2 pep primary_assembly:ASM411807v1:7:29687327:29699761:1 gene:Vigun07g179700.v1.2 transcript:Vigun07g179700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVSEESGVGKSVEGISNEQRCQSGEALAEWRSSEQVENGITSTSPPYWDSDDGDDGPKPSELFGRYTWKIEKFSQINKRELRSSPFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDTSDNLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFSFWREIDQTSKRHMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLHSGLNALEGQTQNQKAKVKLLDAEEIPAPLVHVEKDMFVLVDDVLLLLERAAIEPLPPKDEKCPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEAAWQAESDQKAKRGSEREKKSKKKQAKQKRNNRKGKDKGREERSTVSVPEKNQDNAADDKNDSNIEEGVAVSEKADAVEDVSDVSDSVDGVAETLQPDSEDRDAGPVNWDTDASEVHPPSEDRNNGIGGASTIRNGMSEKRSSSVIDDSSSTCSTDSLPSVVMSDPHKGNSFSNYKVQKSPSRGKNRGKTLSDVSSWTNEIDSQPSRSAADAGDSNNESGSGKTGKSESEVVVLSLRDQLKWAEQHVVRKEEEFLSVKKPGIRDVVEAERSVDNDSLRKEKISAVPSSPISPPRNLSSSIQMKLEHKTSPTVYPVHIRKTSLSGSPQTDKDPSSPFTPASPVPAVSKTDIQKTSTARLTERSEAQVPMMSRPSSAPLVPGPRPTAPVASMVQTAPLLARSVSAAGRLGPDPLPATTHRRAPQSYRNVILGNPVASTAASLTHSSSSSSGVNPSSPGYSQPSLHSSVFLSQSSDRLDKSSGQSGVHFSLIARDVLQNGSQWIESSQRESNRMPFDQPSRLDDAQNHDLYRPAHSRSMGNMSTEFPACASGRHNQGLMVDEFPHLDIINDLLDDEHVIGKSAKSSSAFQSPNNGSQLLNRQLTFPGDLVANDDLGSSTSSCRFERSRSYHNDHRFQGGYSLSGGHYDSARDYIPAMSSVHCVNGQVDGLIPNQWQVGGSDLLYLGMRNTENGNYAYYPDYSNMTCGVNGYTVFRPSSGP >Vigun03g309400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50132276:50139438:-1 gene:Vigun03g309400.v1.2 transcript:Vigun03g309400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNQLVDDGMPKKKTRKSRRKVARDTEKSQSNETRKPESAVIPAWPVQPPLHVPGTFPAQPLQSELESIRSTLQESEKVLERLQKQEENMLQEVTQKAKDLHDKEYKLPNPKPEPCTAERFATLSCYKEHIKDPLKCASLVTSFADCLRRFGRLGDK >Vigun03g380700.4.v1.2 pep primary_assembly:ASM411807v1:3:58533095:58543094:1 gene:Vigun03g380700.v1.2 transcript:Vigun03g380700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPSVLLYRRLHRRKLSTTPSRPPSLPLSHPIYLIWGSNTGVGKTLVSAGIAAASLLSSATTPSQFHYLKPLQTGFPADSDSRFVLNKLRQLSLRCNPSVHLSASHRVLNVSPAVPEINPPAGEEGRGPSELHCKTLYAWEEAVSPHLAAEREGLAVKDSVVLETLGKCFEEVVERGACRERSDVLCVVETAGGVASPGPSGTLQCDLYRPFRIPAVLVGDGRLGGISGTISAYESLTLRGYDVVAVAFEDHGLLNEGPLMSYMRNKVPVLVLPPIPRDLSNDLIEWFENSHHIFSNLKEIMLSAYFERIKKLQDMPREAMDIIWWPFTQHQLIPDGGVTVIDSRCGENFSVFKKTEVIAPQFDACASWWTQGPDAIMQAELAREMGYTAARYGHVMFPENVHEPALNCAELLLKGVGKGWASRAYFSDNGSTAIEIALKMAFRKFSVDHGLIVDCHEDTTNERPTELMVLALQRSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPSVFMHNNTWNISIPEGYNWESLKGESIAFSSRDEVFHKGRDKSELATVYSSYISEVLSRFKGSKNVGALIMEPVIQGAGGMHMVDPLFQRVLVNECRSRKIPVIFDEVFTGFWRLGVETAAELIHCVPDIACFGKLLTGGIIPLAVTLATNAVFDSFIGDSKYRAMA >Vigun03g380700.3.v1.2 pep primary_assembly:ASM411807v1:3:58533095:58543094:1 gene:Vigun03g380700.v1.2 transcript:Vigun03g380700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPSVLLYRRLHRRKLSTTPSRPPSLPLSHPIYLIWGSNTGVGKTLVSAGIAAASLLSSATTPSQFHYLKPLQTGFPADSDSRFVLNKLRQLSLRCNPSVHLSASHRVLNVSPAVPEINPPAGEEGRGPSELHCKTLYAWEEAVSPHLAAEREGLAVKDSVVLETLGKCFEEVVERGACRERSDVLCVVETAGGVASPGPSGTLQCDLYRPFRIPAVLVGDGRLGGISGTISAYESLTLRGYDVVAVAFEDHGLLNEGPLMSYMRNKVPVLVLPPIPRDLSNDLIEWFENSHHIFSNLKEIMLSAYFERIKKLQDMPREAMDIIWWPFTQHQLIPDGGVTVIDSRCGENFSVFKVQKTEVIAPQFDACASWWTQGPDAIMQAELAREMGYTAARYGHVMFPENVHEPALNCAELLLKGVGKGWASRAYFSDNGSTAIEIALKMAFRKFSVDHGLIVDCHEDTTNERPTELMVLALQRSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPSVFMHNNTWNISIPEGYNWESLKGESIAFSSRDEVFHKGRDKSELATVYSSYISEVLSRFKGSKNVGALIMEPVIQGAGGMHMVDPLFQRVLVNECRSRKIPVIFDEVFTGFWRLGVETAAELIHCVPDIACFGKLLTGGIIPLAVTLATNAVFDSFIGDSKYRAMA >Vigun03g380700.2.v1.2 pep primary_assembly:ASM411807v1:3:58533095:58543094:1 gene:Vigun03g380700.v1.2 transcript:Vigun03g380700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPSVLLYRRLHRRKLSTTPSRPPSLPLSHPIYLIWGSNTGVGKTLVSAGIAAASLLSSATTPSQFHYLKPLQTGFPADSDSRFVLNKLRQLSLRCNPSVHLSASHRVLNVSPAVPEINPPAGEEGRGPSELHCKTLYAWEEAVSPHLAAEREGLAVKDSVVLETLGKCFEEVVERGACRERSDVLCVVETAGGVASPGPSGTLQCDLYRPFRIPAVLVGDGRLGGISGTISAYESLTLRGYDVVAVAFEDHGLLNEGPLMSYMRNKVPVLVLPPIPRDLSNDLIEWFENSHHIFSNLKEIMLSAYFERIKKLQDMPREAMDIIWWPFTQHQLIPDGGVTVIDSRCGENFSVFKKTEVIAPQFDACASWWTQGPDAIMQAELAREMGYTAARYGHVMFPENVHEPALNCAELLLKGVGKGWASRAYFSDNGSTAIEIALKMAFRKFSVDHGLIVDCHEDTTNERPTELMVLALQRSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPSVFMHNNTWNISIPEGYNWESLKGESIAFSSRDEVFHKGRDKSELATVYSSYISEVLSRFKGSKNVGALIMEPVIQGAGGMHMVDPLFQRVLVNECRSRKIPVIFDEVFTGFWRLGVETAAELIHCVPDIACFGKLLTGGIIPLAVTLATNAVFDSFIGDSKLKALLHGHSYSAHAMGCAAAVKSIQWFKDPSSNPNITSEGRLLRELWDDKMVRRISSHPVIQRVVTLGTLCALELKAEGTSAGYGSLYARPLLEKLREDGIYMRPLGNVIYLLCGPCTSPQVCNQLLAKLHRQLDEFDGFKN >Vigun03g380700.1.v1.2 pep primary_assembly:ASM411807v1:3:58533095:58543094:1 gene:Vigun03g380700.v1.2 transcript:Vigun03g380700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPSVLLYRRLHRRKLSTTPSRPPSLPLSHPIYLIWGSNTGVGKTLVSAGIAAASLLSSATTPSQFHYLKPLQTGFPADSDSRFVLNKLRQLSLRCNPSVHLSASHRVLNVSPAVPEINPPAGEEGRGPSELHCKTLYAWEEAVSPHLAAEREGLAVKDSVVLETLGKCFEEVVERGACRERSDVLCVVETAGGVASPGPSGTLQCDLYRPFRIPAVLVGDGRLGGISGTISAYESLTLRGYDVVAVAFEDHGLLNEGPLMSYMRNKVPVLVLPPIPRDLSNDLIEWFENSHHIFSNLKEIMLSAYFERIKKLQDMPREAMDIIWWPFTQHQLIPDGGVTVIDSRCGENFSVFKVQKTEVIAPQFDACASWWTQGPDAIMQAELAREMGYTAARYGHVMFPENVHEPALNCAELLLKGVGKGWASRAYFSDNGSTAIEIALKMAFRKFSVDHGLIVDCHEDTTNERPTELMVLALQRSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPSVFMHNNTWNISIPEGYNWESLKGESIAFSSRDEVFHKGRDKSELATVYSSYISEVLSRFKGSKNVGALIMEPVIQGAGGMHMVDPLFQRVLVNECRSRKIPVIFDEVFTGFWRLGVETAAELIHCVPDIACFGKLLTGGIIPLAVTLATNAVFDSFIGDSKLKALLHGHSYSAHAMGCAAAVKSIQWFKDPSSNPNITSEGRLLRELWDDKMVRRISSHPVIQRVVTLGTLCALELKAEGTSAGYGSLYARPLLEKLREDGIYMRPLGNVIYLLCGPCTSPQVCNQLLAKLHRQLDEFDGFKN >Vigun03g377500.3.v1.2 pep primary_assembly:ASM411807v1:3:58054881:58060939:-1 gene:Vigun03g377500.v1.2 transcript:Vigun03g377500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLIESGVDINLRNYRGQTALMQACQHGHWEVVQTLTIFRANIHKADYLNGGTALHLAALNGHTRCIRLILADYIPSIHNFWNALQTGHHKSIAEFDHSGLCEVINRTSDGGITALHMAALNAHVESVQLLLDLGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGADVTAKNANGWTPLMVARSWHRNWLEDILKTPPAEPLQVLPSPYLSLPLKSIVRIARECGWRTNELAPACLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSSTTSSPPGSIACPLCRHGIVSFVKLPDARPLQKEIQRPSNLSLAFCTCSSEVLEDSTDMTTPFCKPTSRGSKTSSVARKYRSKSCQAIPSFKINPSLCLGAEVSPSLVPCTASRTVRSRLARCSSLRRSSSQTERRKSWFCSFNQSVATGSGC >Vigun03g377500.1.v1.2 pep primary_assembly:ASM411807v1:3:58054398:58061145:-1 gene:Vigun03g377500.v1.2 transcript:Vigun03g377500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLIESGVDINLRNYRGQTALMQACQHGHWEVVQTLTIFRANIHKADYLNGGTALHLAALNGHTRCIRLILADYIPSIHNFWNALQTGHHKSIAEFDHSGLCEVINRTSDGGITALHMAALNAHVESVQLLLDLGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGADVTAKNANGWTPLMVARSWHRNWLEDILKTPPAEPLQVLPSPYLSLPLKSIVRIARECGWRTNELAPACLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSSTTSSPPGSIACPLCRHGIVSFVKLPDARPLQKEIQRPSNLSLAFCTCSSEVLEDSTDMTTPFCKPTSRGSKTSSVARKYRSKSCQAIPSFKINPSLCLGAEVSPSLVPCTASRTVRSRLARCSSLRRSSSQTERRKSWFCSFNQSVATGSGC >Vigun03g377500.4.v1.2 pep primary_assembly:ASM411807v1:3:58054881:58060939:-1 gene:Vigun03g377500.v1.2 transcript:Vigun03g377500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLIESGVDINLRNYRGQTALMQACQHGHWEVVQTLTIFRANIHKADYLNGGTALHLAALNGHTRCIRLILADYIPSIHNFWNALQTGHHKSIAEFDHSGLCEVINRTSDGGITALHMAALNAHVESVQLLLDLGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGADVTAKNANGWTPLMVARSWHRNWLEDILKTPPAEPLQVLPSPYLSLPLKSIVRIARECGWRTNELAPACLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSSTTSSPPGSIACPLCRHGIVSFVKLPDARPLQKEIQRPSNLSLAFCTCSSEVLEDSTDMTTPFCKPTSRGSKTSSVARKYRSKSCQAIPSFKINPSLCLGAEVSPSLVPCTASRTVRSRLARCSSLRRSSSQTERRKSWFCSFNQSVATGSGC >Vigun03g377500.2.v1.2 pep primary_assembly:ASM411807v1:3:58054881:58060963:-1 gene:Vigun03g377500.v1.2 transcript:Vigun03g377500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSLVGNSFGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLIESGVDINLRNYRGQTALMQACQHGHWEVVQTLTIFRANIHKADYLNGGTALHLAALNGHTRCIRLILADYIPSIHNFWNALQTGHHKSIAEFDHSGLCEVINRTSDGGITALHMAALNAHVESVQLLLDLGASVSEVTVEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGADVTAKNANGWTPLMVARSWHRNWLEDILKTPPAEPLQVLPSPYLSLPLKSIVRIARECGWRTNELAPACLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSSTTSSPPGSIACPLCRHGIVSFVKLPDARPLQKEIQRPSNLSLAFCTCSSEVLEDSTDMTTPFCKPTSRGSKTSSVARKYRSKSCQAIPSFKINPSLCLGAEVSPSLVPCTASRTVRSRLARCSSLRRSSSQTERRKSWFCSFNQSVATGSGC >Vigun05g083000.1.v1.2 pep primary_assembly:ASM411807v1:5:7838739:7843742:-1 gene:Vigun05g083000.v1.2 transcript:Vigun05g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLRLRLCFPLLFLLLSFLLNSNGELDHQVSHKVIAAPRKDVGTNVIDGTGVENAFNFENDNSAVGSRKGAGNKVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQEYGAGNWVVTGILAGGIFIWLCKKFLEQYGEVSMLDLKGADAAKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSIITSLPQPIVAVPSFICADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASASQVASAATLSVAFMEALSTFFQNFSHDYNAEDASGFFVSLLFGLGPLLGGIILVVFALALRLQHALLMGTACGIAFVLGAWRPIQLILSSKLGFIPILLLLAMGAAFIQVSTSGVLKMATSKKASVNDLPTLTGFPLSVHTLQSFISCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGVTDSWHGSLATAAIIGFMGPISAIAAILTGIDYSGLDHIMVIACGGLIPSFGKVVKRALSLDKRKSTCGLIMGIGFATLCLTFTRLVCLHTPYCNSAPEAVR >Vigun09g068201.1.v1.2 pep primary_assembly:ASM411807v1:9:7280832:7282333:-1 gene:Vigun09g068201.v1.2 transcript:Vigun09g068201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVQGFCLMHCQGSAFQLEFKARMGAMVLVQARHHLLLLILIIDHKRELHNAHITYVFYKYHEHTIPSAANPTTTNPGAAATAATPETSAAVTSTTAAPNACSASTAAANTLKAVRAKAAKSQAARTGQ >Vigun06g028000.1.v1.2 pep primary_assembly:ASM411807v1:6:12353713:12357379:-1 gene:Vigun06g028000.v1.2 transcript:Vigun06g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLVKIIQGEQVLTNDFQDLTIKDLSEKGSETVIHEVGNGSHGGICAICLDEIVLQETALVKGCEHAYCVTCILRWATYREKVTCPQCKHPFEFLNIHRMLDGSIQDYMFEESVCLLLRASWFKPLSVEEHVVHEDAYEELGDYYQYEYEDEDVDDDMDEAYYGGSSSFRIGNRRWGDNGYVRAGRQEARPANRSNFQDSGASSSREPKKKEVGKSSTGRRAKRAQKREAADKAAEAKHQQHLVRLGRK >Vigun07g157500.1.v1.2 pep primary_assembly:ASM411807v1:7:26874098:26903227:-1 gene:Vigun07g157500.v1.2 transcript:Vigun07g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIIASSPLQFPHRPLFTSIRPIPIRTLISNSRRTPRTPLSLVPIAFTPPITSASKSTTLASSPSDDVFLKQLVRALFCFAVGFSALGAFRTPPPALAIAVPWNVFGTRGAEKEKAKSHKYSDCTDKLLETVSLLLGAVDEARKGNGDVSEVEAALKAVKSKKQEMKKAIDRKLYPALRKLRNEKKALWKRSGEILGDILKATAEYDRLKTKAVANEKEKARMMELEEIVRKMENEYNEGWERVGEIEDQISREETVALSYGAREINFIEKECEQLVERFKQEIRRKDFESLPTGPVTRLSKSVIQKDLETVHRKHAEQIILPSILDVEDLGPFFHQESINFAQRLKRVLKDSREKQRNLEAQIRKKMKKFGKEKRNIIYSPHEDVLKGFPEVELKWMFGNKEVVLPKAVGLHLYHGWKKWREEAKANLKQSLIKDAEFGRQYVAERQERILLDRDRVVSRTWYNEEKKRWEMDPVAVPYAVSKRLIEYVRIRHDWGAMYIVLKGEDKQFYVDIREFEMVFEDLGGFDGLYMKMLACGIPTAVHLMWIPFSELNIRQQLLLILRVCNGIVSGLWNSRVVTQAKNWTFTQIKDTTDDIMVLMVFPIVEFLVPYPVRIQLGMAWPEEIYQTVDTTWYLKWQSEAESNFKSRQPAVEDGESSWSFKFLVRAAIYGFVLFHVVKFMRRKLPRLLGFGPLRRNPNRRKLRRVKYYIGQKLKKIKQRRKDGFDPIKTAFEQMKRVKKPPIPLKNFASVESMKEEINEVVAFLQNPRAFQEMGAQAPRGVLIVGERGTGKTSLALAIAAEAKVPVVEIKAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGTYIHTKNQDHETFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRIFHLQRPTQAEREKILYLAAKETMDDQLIDYVDWKKVAEKTALLRPIELKVVPMALEGSAFRGKVLDTDELMGYCGFFATFSSMVPSWLRKTKVFNKFSKAMVNHLGLTLTKEDLQNVVDLMEPYGQINNGIEFLSPPLDWTRETKFPHAVWAAGRGLTALLLPNFDVVDNLWLEPQSWQGIGCTKITKARNEGSINGNSESRSYLEKKLVFCFGSYVASQMLLPFGEENLLSIYEIQQAQEIATRMVIQYGWGPDDSPAIYYHSNAVTALSMGDDHEYVMAAKVEKMFDLAYLKAREMLLKNRPVLEKIVEELLEFEILTGKDLERITKNNGVIREKEPFTLGEIQASEPTSVSLLERRSAPGSALLAS >Vigun01g190200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36907298:36907642:1 gene:Vigun01g190200.v1.2 transcript:Vigun01g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASFLLAMLLVAMSIIPSIVGAIGEQRVRWVPKTTAPCQGSIEECMEEGEFGMDSESHRRILATSQYISYKALQRNTVPCSRRGASYYNCKPGAEANPYTRGCPTITRCRNS >Vigun05g127700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14558418:14568254:1 gene:Vigun05g127700.v1.2 transcript:Vigun05g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSISLFFLLLPLSFQFSSSLFSLIKGSSLSVEKQAHDFILSPNKMFCAGFFQVGENAFSFAIWFNEPDTHNPTTVVWMASRDQPVNGKLSKLSLLNSGNIVLVDAGQITTWSSNTASHAPVKLHLQDDGNLVLDDPQGTILWKSFDFPTDTLLPGQPLTRYTQLVSSRSESNHSSGFYKFFFDDDNVLGLQYDGPDVSSSYWPQPWLISWDAGRTNFNSSRIGVLNSLGLFSSTDNFSFVTSDYGTVLQRRLKVECDGNVRVYSRNNVLQNWYVSWQAISSGCVPHGICGPNSFCVYVHASGRKCLCLPGHRLKNHSDWSQGCEPLFQLTCHRNESMFLEIPNVEFYGYDNHYVEISNYSACESLCLQDCSCKGFQHSYSDDKRFYRCYTKTQFLNGRRSQVFQGTTYLRLPRSNSFSHKEHDRESLNDHVCSVQLQRAYIKTQANHYVKIFLWFSITLGAFEVICIVLIWCLVRTRQKSNREGYHLAGTGFRKYSYSELKKATKGFSEEIGRGAGGVVYKGILSDERHAAIKRLNEAKQGEGEFLAEASLIGRLNHMNLIEMWGYCAEGKHRLLVYEYMEKGSLAENLSSNTLDWSKRFNIALGTARVLAYLHEECLEWILHCDIKPQNILLDANYQPKVADFGLSKLQNRNNLNNSSFSMIRGTRGYMAPEWVLNLAITSKVDVYSYGIVVLEMITGKSPTTGVQNIDGEESYNGRVVAWVREKKSGRSWLQHIIDPALQTNYNESKMELLAKVALDCVEEDKDIRPTMSQVVEMLQSVP >VigunL028800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:137747:137851:-1 gene:VigunL028800.v1.2 transcript:VigunL028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun01g098100.1.v1.2 pep primary_assembly:ASM411807v1:1:26446208:26455697:1 gene:Vigun01g098100.v1.2 transcript:Vigun01g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGETEESLAEGKTVQATVLRVHAHKAICGLESGITGILMKEDYTDDWRDVMELSDRLQEGDMLTCKIKSIQKNRHQVFLVCKDSEMRINRLQNNHDIDPYYHEDRSCFQSDQDKAQKQKELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDTGESIIRPSSLGPSYLTLTLKISDGVYAHKDIVEGGKKHKDFISLLRMGKTLKIGDDTFEDLDEVVDRYVDPLVAHLKTMLIYRKFRKGTRSEVDKLLRMEKAEYPMRIAYSFGISHEHPGTFILTYLRSQNPHHEYIGLYPKGFMFRKKMFQDTDRLVAHFQRYIDDPKQDSVPSITSVAAMVPMPCSATGGSAGPPSVGSGWDGGSNSEVARRGHSYDRDRSSTPVCRTGRGEYRNNGNRDEHPSERRRGLGRGSYKKRRHNFNNERQDSWLWRQQVGF >Vigun06g076200.1.v1.2 pep primary_assembly:ASM411807v1:6:20658925:20666269:1 gene:Vigun06g076200.v1.2 transcript:Vigun06g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRKKKRPKPPKKGEERKGAEGVVEGEEEERKKRVLEALVDAFSLSSIREASIAYDIAGCDLERASEILRKGLSEDSFSCCSSSCSGGSSGGGTSSSSSSGMDLGSKEEAERNCGEGVVVGGFKGGKQKKKVVASTGTVSTVLGKEYVRRNKGSNKGFSANDEVFDMEKAEQFLCSMLGEDCDLNLAVVRDVLCQCGYDIERASNVLLDLAGSTIEKSSTGRHPNYRVDNVDDGRVFVDPNDSLIERRSESTSISSDGDMSDNIWSLGSFGRKYAEVLSNSMVDSSISSECAKSDIPQKVLESLFNFPKSAEHDKDSMNWRNVVKKIQSLGPGFNVSPLVAESQQRTYAKRDEYHVFREDSNQQWDSVKSYYKKAATAYSKGDRSYAAYLSDQGKEQTKKAQKADTRASHDIFVARNKGIENVITIDLHGQHVKPAMRMLKLHLLFGSYVPSVQRLRVITGCGSHGFGKSKLKQSVIELLQREAIEWREENQGTVLIKLSGWREYRFVDTNSDSDSTD >Vigun06g076200.2.v1.2 pep primary_assembly:ASM411807v1:6:20658925:20666269:1 gene:Vigun06g076200.v1.2 transcript:Vigun06g076200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRKKKRPKPPKKGEERKGAEGVVEGEEEERKKRVLEALVDAFSLSSIREASIAYDIAGCDLERASEILRKGLSEDSFSCCSSSCSGGSSGGGTSSSSSSGMDLGSKEEAERNCGEGVVVGGFKGGKQKKKVVASTGTVSTVLGKEYVRRNKGSNKGFSANDEVFDMEKAEQFLCSMLGEDCDLNLAVVRDVLCQCGYDIERASNVLLDLAGSTIEKSSTGRHPNYRVDNVDDGRVFVDPNDSLIERRSESTSISSDGDMSDNIWSLGSFGRKYAEVLSNSMVDSSISSECAKSDIPQKVLESLFNFPKSAEHDKDSMNWRNVVKKIQSLGPGFNVSPLVAESQQRTYAKRDEYHVFREDSNQQWDSVKSYYKKAATAYSKGDRSYAAYLSDQGKEQTKKAQKADTRASHDIFVARFVDLFKI >Vigun03g313100.1.v1.2 pep primary_assembly:ASM411807v1:3:50595173:50601139:-1 gene:Vigun03g313100.v1.2 transcript:Vigun03g313100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTISHVLLSLIFGVVLAEPTQAIKQSYIVYLGSHSFGPNPSLVDLETVTNSHYDFLGSYVGSIEKAKESIFYSYNRYINGFAAVLEEDEAANVAKHPSVISVFLNKERKLHTTHSWNFLGLERNGEFPEASAWRKTLGEDVIIGNLDTGVWPESKSFSDEGLGPIPKRWRGICQTHKKAQDKFQCNRKLIGARYFYKGYEAKFNTKLNESLLSARDNEGHGSHTLSTAGGSFVPGASVFGIGNGTASGGSPKARVAAYKVCWPSLAFSGGCFDADVMAAFEAAIGDGVDVLSVSLGTEAQDYFEDVIAIGSFHAVANGIVVVASAGNSGPFPESVSNIGPWMLTVAASTVDRDFASYVTLGDNKIIKGGSLSEHGLSSDDAYPLISSVEAKYFNASISEALNCQDGTLDPEKVKGKILVCFGDKLATNVEAIRVGAVGLLLLNERNAGNEVLPQPYLLPAANVGFDDGNYIYNYISSTKSPTAYISRVKTELGVKPAPNVASFSARGPNHIDPAILKPDITAPGFNIIAAYTEAVSATGLESDTRITPYFLFSGTSMSCPHVAGLAGLLKALHPDWSPAAIKSAIMTSATSTDNSRKPIQDSSLNEATPFDYGAGHIKPNSAVDPGLVYDLNITDYLNYLCGRGYNSSQLKMFNHKPYTCPESFSLADFNYPAITISEFGPGHSVNVSRTVTNVGSGSTYRVRIKAPPHVEVSVEPRKLRFKKTGEKKEFRVALTLKKKTESTTDFVFGWLTWTDKKHHVRSPIAVNMTQVR >Vigun08g202300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36646399:36648107:1 gene:Vigun08g202300.v1.2 transcript:Vigun08g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRGGAASVVTAAAAAANGSLLKEPRYRGVRKRPWGRFAAEIRDPLKKARVWLGTFDSAEEAARAYDAAARTLRGPKAKTNFPLSHPFCYPHPTTSPLFYAGFNDTNHTSNVNNPQRPTSSGMSSTVESFSGPRPPIAAAAGTSATPFLTTTRRYPRTPPLVPEDCHSDCDSSSSVVDDGDDNIVSSSFRPPLPFDLNAPPFDDAATDDDLRCTALCL >Vigun03g136900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13402408:13406039:-1 gene:Vigun03g136900.v1.2 transcript:Vigun03g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSKRVTLNASRFLFFSSFPCLHHMIGTFSHTLSIVTTTNTDDAERHSNGTQFLIFMRHLCRTGQVKNFDEALDLFQRMARMKPVPSVKDFTLLLGVIVRLRHYTTAISLVKHMYSALRIEPDTITLNIVINCLCRLKLISFGFSVLGTMFKLGLEPTVMTLTNLINGLCVQGNVAQAVVLADHMEKIGYPLDVYTYGVLVNGLCKTGDTLVAVRWLRKMEERNWKPNVVVYSSVMDGLCKDGLVYEALNLFCEMGGKGVLPNLVTYTCLIQGLCNFGRWKEVGSLLDDMMKMGMMPDVQTLNILVDSFCKEGKVMQAKSVIGFMIIMGEEPDVFTYNSLIHVYCLQNQMNEAMRVFNVMVNGGCLPDIVVFTSLIHGWCKNKNINKAMYLLEEMGKMGYVPDVVTWTTLIGGFCQAGQPLAAKDLFFNMHKHGQVPNIQTCAVMLDGLCKGNLLSEAVSLVEAMEKSNLDLNIVIYTILLDGICSAGKLNAAWELFSSLPAKGLQINVYTYTIMIKGLCKQGLLDKAEDLLMNMEDNDCLPNNCTYNVFVQGLLTKKEIARSIKYLAIMRDKGFSVDAATTKMIINYLSTNKGDTEIQEFLFPKG >Vigun03g423000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62863722:62868118:-1 gene:Vigun03g423000.v1.2 transcript:Vigun03g423000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRWMNRKRGMGMLKGSGWALVMLMMLSVWKMAASSEEEECENRWIHIRKLPSRFNLDLLANCSEYPMLDDLCPYLGNHGLGQKTHNRSRSWYRTDPSMLELVFHRRMLEYPCLTQDPLRANAIYLPYYAGLDAIRYLYGPESNFSALHGLHLFHFLQQDNPQIWARHMAHDHFLVMARPAWDFSQPLSNDPPIWGTSFLELPQFFNLTALTLEARAWPWQEHAVPYPTSFHPLNLGLLESWITRGRRSKRSILALFAGGGGGSSSPNIRRSIRSECENATSDPSYEKLCEIVDCSNGVCEHDPIRFMRPMLQASFCLQPPGDTPTRRSTFDAILAGCIPVFFEDLSAKSQYGWHLPENEFEDFSVFIPKEEVVFRGLRILNVLQRIPRAKVRSLRQRVLALIPNVLYRKHDSSPGLKAKKDAFDLAIDGTLDKIRSRVRELDFVI >Vigun01g031600.2.v1.2 pep primary_assembly:ASM411807v1:1:3969050:3973560:-1 gene:Vigun01g031600.v1.2 transcript:Vigun01g031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICGVSGIGKTTLAQVLYNYISQQFEGSCFLNDVRGNSAKYGLAYLQEAIISDIAGDSTKVVNENQGIPILIRKLQGKRVLLILDNVDKLEQLEYLAGECNWFGLGSRIVITSSCKDVLASHGVKNVYDVPKLDNYEAIQLLSSMVTVGPVPGYYYGVWKRVVDCSNGLPLALKYIGSDLLEKMKAVDCYLSETSVDELEIALERYEGVCDGGEIQSLHKVIYFSLNECEKRIFLDIACFFIGETLSYVEEILSACGFDPKYSISRLIDRSLLSVTPSGNLMMHDNIKDMAMKIVEQESPLHPGKRSRLWYPQDVIHVLNENEGTDQIEVMMLVDLPQGNEVLKLSDKAFKDMKNLRILIIKDAIYSGVPQYLSNSLRVLIWSGYPSDCLPLDFLNLPSDCLILNNFKNMQCLTKLDFTDCEFLTEVPDISGISDLRVLNVDNCINLIKIHDSVGFLGNLEVLTASGCSCLEIIPSTFKLASLRELTFSECLRLVRFPKILCEIQNLCYLNLWQTAIEELPFSIGNLRGLESLNLMDCARLDKLPSSLFTLPRLMEIQADSCRRFDISVECENHEQLKSTASSNTVYLYLSSCNLTTEHLVTCLSGFAGVVYLDISYNNFTVLPACIKECVHLKTILLSNCKQLQHISVIPPKLKDVNALNCTSLTSQSSNVLLNQTFHATRQSTVILPGSRIPEWFDHFSSERSIIFWGRERFPRICVCVSFGMVGNPLHHFRVRIIINGYKSILSQHCYDWSIETDHVWLFDLTAFVNHNDLIGTFVKSDWNSVEIEVERNACMLDENARIMATVKWYGIHVYREESKMEDISFTKPKNLQENSTSSKRVGSQVLDSLKRQKGNLEVISLWD >Vigun01g031600.1.v1.2 pep primary_assembly:ASM411807v1:1:3969050:3973560:-1 gene:Vigun01g031600.v1.2 transcript:Vigun01g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPCSMKKYTYDVFLSFRGDTRFGFTGHLYNALRQRGISTFMDHEALERGEQISATIFKAIEESRMAIVVFSKSYASSTWCLEELLQILDCKKTKKLKVYPIFYNVDPSEIRHQTGSYGQQLANHENKMRYKKEKVENWRLALHEASNLVGWSFKDGYGYEYELITQIVDTVGIPKRKLLTVDENLVGVELRIPQIEFRLQISDPAIIMMGICGVSGIGKTTLAQVLYNYISQQFEGSCFLNDVRGNSAKYGLAYLQEAIISDIAGDSTKVVNENQGIPILIRKLQGKRVLLILDNVDKLEQLEYLAGECNWFGLGSRIVITSSCKDVLASHGVKNVYDVPKLDNYEAIQLLSSMVTVGPVPGYYYGVWKRVVDCSNGLPLALKYIGSDLLEKMKAVDCYLSETSVDELEIALERYEGVCDGGEIQSLHKVIYFSLNECEKRIFLDIACFFIGETLSYVEEILSACGFDPKYSISRLIDRSLLSVTPSGNLMMHDNIKDMAMKIVEQESPLHPGKRSRLWYPQDVIHVLNENEGTDQIEVMMLVDLPQGNEVLKLSDKAFKDMKNLRILIIKDAIYSGVPQYLSNSLRVLIWSGYPSDCLPLDFLNLPSDCLILNNFKNMQCLTKLDFTDCEFLTEVPDISGISDLRVLNVDNCINLIKIHDSVGFLGNLEVLTASGCSCLEIIPSTFKLASLRELTFSECLRLVRFPKILCEIQNLCYLNLWQTAIEELPFSIGNLRGLESLNLMDCARLDKLPSSLFTLPRLMEIQADSCRRFDISVECENHEQLKSTASSNTVYLYLSSCNLTTEHLVTCLSGFAGVVYLDISYNNFTVLPACIKECVHLKTILLSNCKQLQHISVIPPKLKDVNALNCTSLTSQSSNVLLNQTFHATRQSTVILPGSRIPEWFDHFSSERSIIFWGRERFPRICVCVSFGMVGNPLHHFRVRIIINGYKSILSQHCYDWSIETDHVWLFDLTAFVNHNDLIGTFVKSDWNSVEIEVERNACMLDENARIMATVKWYGIHVYREESKMEDISFTKPKNLQENSTSSKRVGSQVLDSLKRQKGNLEVISLWD >VigunL060015.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000660.1:60509:62580:1 gene:VigunL060015.v1.2 transcript:VigunL060015.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun11g217950.1.v1.2 pep primary_assembly:ASM411807v1:11:41120349:41122465:1 gene:Vigun11g217950.v1.2 transcript:Vigun11g217950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRGTIILQENKLSIDLVLMEVHMPDMDGYEFLLANQEIDVPKIMMSLDDNTKSVMKTIKLGACDYMIKPLHEDRLRNIWTHVVRKSLSEKNKMRKNIGNSLEDDNQNSKSVFSSGVEIPREVHDNVGESPSSKKKPRIVWTTELHGKFVKAVNQIGLANAVPNKILELMNTPTLTRNNVASHLQKYRNVLKTKSSREKERQAEPKREYQSVSDIQMDNHHEEQVFGLELSDPLAIYPNIAISQNFSQALDSGYGVWSPYNAVLAENQVMQQQQQQQKNMQSSLMQNQVSSINFQPSSMMISENPYLVTQNDNFGRNIDHRTIQ >Vigun06g112700.1.v1.2 pep primary_assembly:ASM411807v1:6:24120687:24123595:1 gene:Vigun06g112700.v1.2 transcript:Vigun06g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLSVDEISEQYWVAAKKESCKSKSKMNRSESEWAFQQFLQEAASPSSSSSSDVKPEKDPDINMNITLNVDSHDYQTILKTKLNLACAAVALTRGSLVKSQNPTTFPDSGSVPSEVGALKDVKGATGICFSPFLQKKGVVAVRPTMSGSSREQSEDEEAEEEINMTGNMNPTDAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRGENSSLLKRLTDVSQRYNSASIDNRVLKADVETLRAKVKMAEETVKRISGLNPMFHAMTEMSSMEIPLFDESPSDTSAGAAVPVQEDPNHQLCQATGGANSLHRVASLEHLQKRIRGDEDSRQEQ >Vigun06g112700.2.v1.2 pep primary_assembly:ASM411807v1:6:24120687:24123595:1 gene:Vigun06g112700.v1.2 transcript:Vigun06g112700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLSVDEISEQYWVAAKKESCKSKSKMNRSESEWAFQQFLQEAASPSSSSSSDVKPEKDPDINMNITLNVDSHDYQTILKTKLNLACAAVALTRGSLVKSQNPTTFPDSGSVPSEVGALKDVKGATGICFSPFLQKKGVVAVRPTMSGSSREQSEDEEAEEEINMTGNMNPTDAKRVRRESARRSRRRKQAHLTELETQVSQLRGENSSLLKRLTDVSQRYNSASIDNRVLKADVETLRAKVKMAEETVKRISGLNPMFHAMTEMSSMEIPLFDESPSDTSAGAAVPVQEDPNHQLCQATGGANSLHRVASLEHLQKRIRGDEDSRQEQ >Vigun03g269300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44122073:44123550:-1 gene:Vigun03g269300.v1.2 transcript:Vigun03g269300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVPPPLIYYLSGHPSIVGFRWSHAQSWGATWSFLFSSIASYLLLSLFLHLSLALFRRHRPIPIGPLAALHSLSMSLTSVTIFAGILISTAAEIRDTRWFWQRSKTPLEWLLCFPLGTRPSGRVFFWSYVYYLSRFLHMFRTPLIILRRRRLPFFHLLNHSISAFASFLWLEFSQSFQVLAILFATLVYALVYAYRFWTAIGLPGACFPFVLNCQIALLACNVACHVGVFFLHFFFKGGCNGIGAWLFNCVLNLGLLTLFLNFYVRMYVGKRRMLRSVTPSLS >Vigun04g171900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39602588:39603070:-1 gene:Vigun04g171900.v1.2 transcript:Vigun04g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCMCATFHTQCRKQQTDNHSLFHLQILYKQLSRGSSISSLQKQKTLKFENKMMVLKVCVLVLFLVGVTTAAMDLNHLGSNHHDDSSDEPSESSEPCCDSCICTKSIPPQCHCTDIRLNSCHSACKSCMCTRSMPGKCRCLDIADFCYKPCKSRDEDDE >Vigun11g181201.1.v1.2 pep primary_assembly:ASM411807v1:11:38478450:38482329:1 gene:Vigun11g181201.v1.2 transcript:Vigun11g181201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFQSCETQPRHFQIGRYGRNLFGLIRHLLGFHSCSSAAPHWFVPSSEPLAVESQTVVLGHRHESRAVAVVVYGKLGGEAAPSPCTVLFQSHGFQPHTLYTTFFFFFFFHFTPFSCSYSFKTSFSYTLQNLNLSNLQNPLSLCFTIQHHPTFTNPIFLPYLQQEHEPEEQEVIETIEEVEEEARDPDDPIYKFFKTRTRISFQDPGKEGRLSLQKNRRISWHLASDTSDLVEEESVTGLEDGSLVEEKKERVCEKKGLPLPEGIVGEIVQIARNLPQNLTLEEGLVEYEGRVSEKDCWEVLKSLGEEHLLVSCLYFFQWMRSQEPSLVTPRACTVLFPLLGKARMADKLIVLFSNLPSTKEFRDAHVYNAAISGLLSSGRYEDAWKVYESMEADNVLPDQVTCSIMVIVMRKLGHSAKDAWQFFEKMNGKGVKWGEEVLGALIKSFCVEGLMREALIIVSEMEKKGVSPNAIMYNTLMDAYCKSNRVEEAEGLLVEMKDKGIKPTEATFNILMYAYSRKMQPEIVEKLIAEMLDVGLKPNAKSYTCLVSAYGKQKKMSDMAADTFLKMRKDGIKPTSHSYTALIHAYSVSGWHEKAYAAFENMQREGVKPSIETYTALLDAFRRAGDTETLMKIWKLMRREKVEGTRVTFNTLVDGFAKHGHYKEARDVISQFGKVGLHPTLLTYNMLMNAYARGGRHSKLPELLEEMADRNLKPDSVTYSTIIYAFLRVRDFAQAFFYHQEMVKNGQVMDANSYQKLRAILDVKASMKNRTDRRSLIGVVRNKMGVVKAKRKKDEFWKFRKRHVRNQ >Vigun05g273300.1.v1.2 pep primary_assembly:ASM411807v1:5:46400498:46403623:1 gene:Vigun05g273300.v1.2 transcript:Vigun05g273300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPGLVSNLQEVLLSRKGESNSNANAEEEKSTETVEPVEFDESKPTVLVTNSDGVDSPGLTHLVQALVQQGLYNVHVCVPQSDKSASGHSVTLRETVEAASAKVSGATGFEISGTPADCVSLALSGALFSWSKPTLVVSGINRGSNSGHHMLYSGVVAGAREALLWGVSALSISLNWKKDESQENDFKDAVSVCLPLVNAAIKDVEKGTFPKSCFLNIEIPTSPLNNKGFKLTKQSMRRSTLNWLAVSSSRYPTGHFMANQGGLGLQFAQLGRDASAAGAARRLATQKKNLEIIESTGAAGKSDPNKVKKYFRLEFLDKEQEEGDEDLDYRALENGYVAVTPLFLSPHIESDIQVACSDWVSTVVLDGQ >VigunL059095.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000606.1:56631:57568:-1 gene:VigunL059095.v1.2 transcript:VigunL059095.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQVEVVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADKPLFNGPKLHFLIFLNPNQCNASHLDFPADFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSRKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >VigunL059095.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000606.1:56631:57371:-1 gene:VigunL059095.v1.2 transcript:VigunL059095.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADKPLFNGPKLHFLIFLNPNQCNASHLDFPADFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSRKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun08g034400.1.v1.2 pep primary_assembly:ASM411807v1:8:3209506:3211703:-1 gene:Vigun08g034400.v1.2 transcript:Vigun08g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKSYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLRHGGFSEEEDNIICSLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGKHRREPRNRGNYNSVKQEISDANRRSSDGDSSSLSMVQENSNTSQQHQQHQQQHQQLCWPQNINMPVLPLPIVPLPYTTNQGPGFNDQDSIKKLLIKLGGRFSGDYYQPTLDGLNNLQFPSEGQQVYQEQVHVGSSSSSACIVANNNEVQFAHTGQYSGVDDNMVQGQGGNFTPSFEEMVPSSHYSDGLEFLYNEGMIHHKITDSTITTTCDQNTTATTTAATNWGETTIYHHDSLASHFEGEECGLQEFNYPGAQ >Vigun04g169500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39384955:39385599:-1 gene:Vigun04g169500.v1.2 transcript:Vigun04g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAVSLTFHLFLFALASGFTDPPVPIIDSKGVALSATEEYYVTQLNGGPTAGGLQAGVERDVKCPLIVLQNYNPAFPGEKVKITMRGSNSKRIMTETPLDIEFVKKPACASSSKWVVVNEKTYPGEWLGIGGAADLGAGKKIVEGVFKIEKYLFLEGYKFVFCPKGSTRCFDFARREEKNGKRLILVNITTTPLIFEAEFVKAVKPNSSGVLF >Vigun03g382100.1.v1.2 pep primary_assembly:ASM411807v1:3:58673994:58683800:1 gene:Vigun03g382100.v1.2 transcript:Vigun03g382100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGPAPFSDIGKRARDLLYKDYNFDHKFSLSIPNSTGLGLIATGLKKDQVFVGDISTLYKSGNTTVDVKVDTYSNVSTKVTVNDILHGTKAALSFNIPDHKSGKLDVQYLHPHAAIDSSIGLNPSPLLELSAAIGSKDLCLGAEIGFNTTSASFTKYNAGVTFNKPDFSAALMLADKGQTVKTSYIHYVDRPDAFTVAAEMTHRFSTFENRFTIGSSQLIDPKTVLKTRFSDDGKAAFQCQRAWRPNSLITLSAEYDSTKIFSSSTKFGLALSLKP >Vigun03g188700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25654695:25658570:1 gene:Vigun03g188700.v1.2 transcript:Vigun03g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLEPLDLEVHIPYHFRCPISLELMRDPVTVCTGQTYDRPSIESWVTAGNTTCPVTRATLTDFTLIPNHTLRRLIQDWCVANRAFGVERIPTPKQPADPALVRSLLNQTSSESAPTHLRLSSLRRLRQLARDSDKNRSLISSHNVRQILLPIIFSGADELKHESLALLVLFPLAESDCAALASDSDKVSYLSRLLSHSSLEVRVNSAALIEIVVAGTHSPELRAQVSDVDEIYDGVVDLLRSPISYPRALKIGIKALFALCLVKQTRHKAVAAGAPAVLVDRLADFEKCDAERALATVELLCRIPTGCAAFASHALTVPMLVKIILKISDRATEYAAGALLSLCSESERCQREAVTAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPQDCIGNSDDFACSQVVVVPF >Vigun07g135600.1.v1.2 pep primary_assembly:ASM411807v1:7:24560298:24563825:1 gene:Vigun07g135600.v1.2 transcript:Vigun07g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLGFSDGEVMRSDAKPCSRLMRHTAGICSVGGALGFWILCRLHYGPRITNPRSLRWAACGAVTVSSSTALLVRLFSPECEPQNIAAYDNKK >Vigun02g127900.1.v1.2 pep primary_assembly:ASM411807v1:2:27987684:27990392:-1 gene:Vigun02g127900.v1.2 transcript:Vigun02g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVLHMNGGTGETSYASNSLVQQKAICLTRVMREEAISSLYRSMQQPKRLAIADLGCSCGPNTLFVMSEAIKLVEKLCRELKHESPEYEIYLNDLPGNDFNSIFKSLERFKERLRNEIEDEHGMGSCFFNGVPGSFYGRIFPRKTLHFVHSSYSLMWLSKVPEGVENNKGNIYMSSTSPSNVLKAYYEQYQKDLSLFLKCRGEEMVEGGRMVLTILGRRSHDPSSKECCYIWELLARALNHMVSKGIIKEEQMEGFNIPQYTPSPSEVEFEVEKEGSFTITGMEVSVVPWNANDDGNLSESLRNGGYNVAKCMRAVAEPLLISHFGEAIVEEVFDRYQQILTKTMSEEKNHFVNVTISLIRKPRSA >Vigun08g148300.1.v1.2 pep primary_assembly:ASM411807v1:8:32088311:32096143:1 gene:Vigun08g148300.v1.2 transcript:Vigun08g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSASQRKRQPSTSEDQTGAQQNSEDGNKFQSPSDNGALTEISREAVGKLLRRANKVGTSRRKKTAEFEPEQNGTQVLDPMLQPKTSEVGHCSRNPSGNASAGEKCSSSGEDHLDNKEELDDSDWEDGVVARDDHPVTIELNMTPNSTVKKQVRRASAEDKELAELVHKVHLLCLLARGRLIDNACDDPLIQASLLSLLPAHLLQLSNVEKLTSKDLYPLISWFHNNFHVKNSTSRETSPCFGLASALELHEGSPEEIAALSVALLRALNLTARFVSVLNVSPLKPFQVASGSSCGIFKTSTPMISKRKLDFKSPQEALSCSERENACESSLAHSQKSKKCRVTKHMDQSRDPPIVEVRNDSAAKSKASETQDSNLESCLTDKSRKSKRKGDLEFDMQLEMALSATAVESEESKNKSAANPESSCFSCPSKRVKRVTVEESSTSSQVISTAIGSMKVGSPLYWAEVYCSEENLTGKWVHVDAVNLIIDGEDKVEAMVAACKTSLRYVVAFAGQGAKDVTRRYCMKWYKIASHRVNSTWWDPVLAPLRDLESGATGGVNDLRKSQIISKQSDTRDSFVPTRSSIEDIELETRAFTEPLPTNQQAYKSHPLYAIEKWLTRYQVLHPKGPILGFCSGHPVYPRTCVQTVKTKERWLREGLQVKPNEYPVKELQRSIKPQKVQDSEADDYGCSDSMDKIKLYGKWQLEPLNLPHAVNGIVPRNERGQVDVWSEKCLPPGTVHLRFPKAFSVAKRLEIDYAPAMVGFEFKNGRSYPVFDGIVVCSEFKDVLLEAYAEEEERRQAEEKKRDETQALSRWYQLLSSIVTRQRLNNRYISNSLPSEMPTSGQCMNNESITIVGESYDKNHNVRHQQQVEQCDTTSVVDASLSTPVKDHEHVFLKEFESFDSETSLLTKRCQCGFSVQVEEL >Vigun06g114800.5.v1.2 pep primary_assembly:ASM411807v1:6:24305528:24315443:1 gene:Vigun06g114800.v1.2 transcript:Vigun06g114800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSNKLYQTFKTHKRFIKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVAEHQDLLSASNCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYVRCVKPNNLLKPAIFENSNVMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEITEAHHDEKTVCQKILEKAGLKGYQIGQTKVFLRAGQMAELDARRAQMLGTAAKTIQRRIRTHQARKHYLALRKNTTILQSLWRGRLAFKFYNKLRKDAAAVKIQKNIRRYQSMKSYTKLKASVLSVQTALRAIASLKEFRFRKQAKASIIIQAQWRCHKAASYYKKLKKVSIVTQCRWRGHMARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKSLRSNLEESKAQEIAKLQNSLQELQNKADETNALLIKEREAAKKAIEEAPPVVQETQVIVEDTEKIESLTVEIENLKTSLESEKQKADDFEMKYKESQAYCEEKSKLLEDTEKKVRQLQESLTRLEEKVANSESENQVLRQQAVSMTPNKFLSGRSRSVIQRPDGGHIGTEAKSTLDMHSTSMNHREHPEVEDKPQKSLNEKQQENQELLIRCIQQNLGFSGNRPVATCIVYKCLLHWRSFEVDRTSVFDRLIQTIGHAVETQDNNEVLAYWLSNASTLVLLLQRTLKASGAAGMAPQRRRSSSGSLFGRVTHSFRGTPAGVTLPLINGSMSGVDTLKVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLTTLKANHVPPYLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVRSGLSELEDWCCSATDEYCGSAWDELRHIRQAIGFLVIHQKPRKTLQEISHDLCPVLSIQQLYRIGTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLAKSKELIDVSDLDPPQLLRENTGFSFLLPRPDGQIS >Vigun06g114800.2.v1.2 pep primary_assembly:ASM411807v1:6:24297658:24315443:1 gene:Vigun06g114800.v1.2 transcript:Vigun06g114800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDSHMMLQYKGAPFGELSPHVFAIADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYFLCAAPQEEIDKYKLGSPATFHYLNQSKCYDLADISDAREYLATRRAMDIVGISQKEQEAIFRVVAAILHIGNIEFAKGKDVDSSVPKDDKAKFHLKTTAELLMCDAGSLEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVNKINNSIGQDASSKSLIGVLDIYGFESFKSNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSNKLYQTFKTHKRFIKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVAEHQDLLSASNCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYVRCVKPNNLLKPAIFENSNVMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEITEAHHDEKTVCQKILEKAGLKGYQIGQTKVFLRAGQMAELDARRAQMLGTAAKTIQRRIRTHQARKHYLALRKNTTILQSLWRGRLAFKFYNKLRKDAAAVKIQKNIRRYQSMKSYTKLKASVLSVQTALRAIASLKEFRFRKQAKASIIIQAQWRCHKAASYYKKLKKVSIVTQCRWRGHMARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKSLRSNLEESKAQEIAKLQNSLQELQNKADETNALLIKEREAAKKAIEEAPPVVQETQVIVEDTEKIESLTVEIENLKTSLESEKQKADDFEMKYKESQAYCEEKSKLLEDTEKKVRQLQESLTRLEEKVANSESENQVLRQQAVSMTPNKFLSGRSRSVIQRPDGGHIGTEAKSTLDMHSTSMNHREHPEVEDKPQKSLNEKQQENQELLIRCIQQNLGFSGNRPVATCIVYKCLLHWRSFEVDRTSVFDRLIQTIGHAVETQDNNEVLAYWLSNASTLVLLLQRTLKASGAAGMAPQRRRSSSGSLFGRVTHSFRGTPAGVTLPLINGSMSGVDTLKVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLTTLKANHVPPYLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVRSGLSELEDWCCSATDEYCGSAWDELRHIRQAIGFLVIHQKPRKTLQEISHDLCPVLSIQQLYRIGTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLAKSKELIDVSDLDPPQLLRENTGFSFLLPRPDGQIS >Vigun06g114800.4.v1.2 pep primary_assembly:ASM411807v1:6:24299113:24315443:1 gene:Vigun06g114800.v1.2 transcript:Vigun06g114800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISQKEQEAIFRVVAAILHIGNIEFAKGKDVDSSVPKDDKAKFHLKTTAELLMCDAGSLEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVNKINNSIGQDASSKSLIGVLDIYGFESFKSNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSNKLYQTFKTHKRFIKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVAEHQDLLSASNCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYVRCVKPNNLLKPAIFENSNVMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEITEAHHDEKTVCQKILEKAGLKGYQIGQTKVFLRAGQMAELDARRAQMLGTAAKTIQRRIRTHQARKHYLALRKNTTILQSLWRGRLAFKFYNKLRKDAAAVKIQKNIRRYQSMKSYTKLKASVLSVQTALRAIASLKEFRFRKQAKASIIIQAQWRCHKAASYYKKLKKVSIVTQCRWRGHMARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKSLRSNLEESKAQEIAKLQNSLQELQNKADETNALLIKEREAAKKAIEEAPPVVQETQVIVEDTEKIESLTVEIENLKTSLESEKQKADDFEMKYKESQAYCEEKSKLLEDTEKKVRQLQESLTRLEEKVANSESENQVLRQQAVSMTPNKFLSGRSRSVIQRPDGGHIGTEAKSTLDMHSTSMNHREHPEVEDKPQKSLNEKQQENQELLIRCIQQNLGFSGNRPVATCIVYKCLLHWRSFEVDRTSVFDRLIQTIGHAVETQDNNEVLAYWLSNASTLVLLLQRTLKASGAAGMAPQRRRSSSGSLFGRVTHSFRGTPAGVTLPLINGSMSGVDTLKVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLTTLKANHVPPYLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVRSGLSELEDWCCSATDEYCGSAWDELRHIRQAIGFLVIHQKPRKTLQEISHDLCPVLSIQQLYRIGTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLAKSKELIDVSDLDPPQLLRENTGFSFLLPRPDGQIS >Vigun06g114800.1.v1.2 pep primary_assembly:ASM411807v1:6:24296114:24315443:1 gene:Vigun06g114800.v1.2 transcript:Vigun06g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNISVGSHVWVEDPDVSWIDGQVSNIKGKDAEIDTTNGKKVVTKLSKLYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDSHMMLQYKGAPFGELSPHVFAIADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYFLCAAPQEEIDKYKLGSPATFHYLNQSKCYDLADISDAREYLATRRAMDIVGISQKEQEAIFRVVAAILHIGNIEFAKGKDVDSSVPKDDKAKFHLKTTAELLMCDAGSLEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVNKINNSIGQDASSKSLIGVLDIYGFESFKSNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSNKLYQTFKTHKRFIKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVAEHQDLLSASNCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYVRCVKPNNLLKPAIFENSNVMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEITEAHHDEKTVCQKILEKAGLKGYQIGQTKVFLRAGQMAELDARRAQMLGTAAKTIQRRIRTHQARKHYLALRKNTTILQSLWRGRLAFKFYNKLRKDAAAVKIQKNIRRYQSMKSYTKLKASVLSVQTALRAIASLKEFRFRKQAKASIIIQAQWRCHKAASYYKKLKKVSIVTQCRWRGHMARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKSLRSNLEESKAQEIAKLQNSLQELQNKADETNALLIKEREAAKKAIEEAPPVVQETQVIVEDTEKIESLTVEIENLKTSLESEKQKADDFEMKYKESQAYCEEKSKLLEDTEKKVRQLQESLTRLEEKVANSESENQVLRQQAVSMTPNKFLSGRSRSVIQRPDGGHIGTEAKSTLDMHSTSMNHREHPEVEDKPQKSLNEKQQENQELLIRCIQQNLGFSGNRPVATCIVYKCLLHWRSFEVDRTSVFDRLIQTIGHAVETQDNNEVLAYWLSNASTLVLLLQRTLKASGAAGMAPQRRRSSSGSLFGRVTHSFRGTPAGVTLPLINGSMSGVDTLKVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLTTLKANHVPPYLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVRSGLSELEDWCCSATDEYCGSAWDELRHIRQAIGFLVIHQKPRKTLQEISHDLCPVLSIQQLYRIGTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLAKSKELIDVSDLDPPQLLRENTGFSFLLPRPDGQIS >Vigun06g114800.3.v1.2 pep primary_assembly:ASM411807v1:6:24299113:24315443:1 gene:Vigun06g114800.v1.2 transcript:Vigun06g114800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISQKEQEAIFRVVAAILHIGNIEFAKGKDVDSSVPKDDKAKFHLKTTAELLMCDAGSLEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVNKINNSIGQDASSKSLIGVLDIYGFESFKSNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSNKLYQTFKTHKRFIKPKLSRTDFIISHYAGEVHYQSDQFLDKNKDYVVAEHQDLLSASNCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYVRCVKPNNLLKPAIFENSNVMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEITEAHHDEKTVCQKILEKAGLKGYQIGQTKVFLRAGQMAELDARRAQMLGTAAKTIQRRIRTHQARKHYLALRKNTTILQSLWRGRLAFKFYNKLRKDAAAVKIQKNIRRYQSMKSYTKLKASVLSVQTALRAIASLKEFRFRKQAKASIIIQAQWRCHKAASYYKKLKKVSIVTQCRWRGHMARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKSLRSNLEESKAQEIAKLQNSLQELQNKADETNALLIKEREAAKKAIEEAPPVVQETQVIVEDTEKIESLTVEIENLKTSLESEKQKADDFEMKYKESQAYCEEKSKLLEDTEKKVRQLQESLTRLEEKVANSESENQVLRQQAVSMTPNKFLSGRSRSVIQRPDGGHIGTEAKSTLDMHSTSMNHREHPEVEDKPQKSLNEKQQENQELLIRCIQQNLGFSGNRPVATCIVYKCLLHWRSFEVDRTSVFDRLIQTIGHAVETQDNNEVLAYWLSNASTLVLLLQRTLKASGAAGMAPQRRRSSSGSLFGRVTHSFRGTPAGVTLPLINGSMSGVDTLKVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLTTLKANHVPPYLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVRSGLSELEDWCCSATDEYCGSAWDELRHIRQAIGFLVIHQKPRKTLQEISHDLCPVLSIQQLYRIGTMYWDDKYGTHSVSPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIFCSIPFSVDDLAKSKELIDVSDLDPPQLLRENTGFSFLLPRPDGQIS >Vigun11g149700.1.v1.2 pep primary_assembly:ASM411807v1:11:35981617:36002916:-1 gene:Vigun11g149700.v1.2 transcript:Vigun11g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRTEGITFTKEPFMEDAGPRKIKNMKFSTLSDSDISKLGEVQVWKGSYYDSFKKPIHGGLLDPRMGPANKSLGCATCHGNFHDCPGHYGYLNLALPVFNVGYLASIVEILKCICKGCARILLDEDTRKKHLKKMRSTKKNELDKIDFVKVHVIKDCSKVVNCPRCGYINGSVKKLPASLTIVHDCSKCNNNIVEELESTLSRIKDSRTTANVSNRILNPFQVLSLFRKMLDEDCELIYVDERPEKLIITNIIVPPIAIRPSVVMDESLSNENDITERLKNIIQANAVLRQELQESSVSSKLLDGWDILQMEVAQFINSEVRGIPFYMQSTKQLAGFVQRLKGKHGRFRGNLSGKRVEYTGRTVISPDPNLKISEVAIPILMAHILTYPERVTHHNIEKLRQCVRNGPDKYPGARMLRRDGGHSWSLKVLCRKRAADELRIGDIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSAFTTICSYLGDGLDPIDLPTPAIVKPVELWSGKQLFSLLLRPHANVKVYVNLTVKEKTYTKLDDKKRELKTLCPNDGFVYFRNTELISGQIGKVTLGNGNKDGLFSVLLRDYKAHAAGSCMNRLAKLSARWIGNHGFSIGIDDVQPKEILINKKDETLLEGYKKCDNHIQAFNKGKLELLAGCDAAQTLETRITGVLNGLRDMAGKVCMQTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFLDRSLPHFPLNAKTPAAKGFVANSFYTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRQLMKSMEDLFLHYDYTVRNAGGSIVQFCYGDDGMDPSGMEGKNGKPLNFERMLLKSKAICPIKDDDEILSSSNVCKVVQEKLSEFGVSREVEKGVLEVGFSADFVESLQSFINGSTKLTEEAFSDDHSQILKNFGQRISGITRRQLEVFLNICLSRYHLKKMDAGAPVGATGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRVKEIMNGNKKISTPIITAILEKDDSANTARIVKGRIEKTNLGQVAKSIKVVLTSRIASVVITLDMERIQDAHLNIDANIVKESILQTKKAKLKPEHIKILDIKKLRVVPQDADRSKLHFQLHYLKNLLPTVVVKGIKTADRVVISKEEDKITKAEKFKLLVEGMGFREVMGVEGVEGRKTLSNHILEVRDTLGIEAARECIVKEIKYTMVDTHGMNIDTRHMMLLADVMTATGHILGINRFGISKMGKSVLMLASFERTADILFQASVRGRDDSIGGVSESIIMGIPITIGTGMIKVKQRLDPPELLHGASPILS >Vigun11g149700.3.v1.2 pep primary_assembly:ASM411807v1:11:35981617:36002916:-1 gene:Vigun11g149700.v1.2 transcript:Vigun11g149700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRTEGITFTKEPFMEDAGPRKIKNMKFSTLSDSDISKLGEVQVWKGSYYDSFKKPIHGGLLDPRMGPANKSLGCATCHGNFHDCPGHYGYLNLALPVFNVGYLASIVEILKCICKGCARILLDEDTRKKHLKKMRSTKKNELDKIDFVKVHVIKDCSKVVNCPRCGYINGSVKKLPASLTIVHDCSKCNNNIVEELESTLSRIKDSRTTANVSNRILNPFQVLSLFRKMLDEDCELIYVDERPEKLIITNIIVPPIAIRPSVVMDESLSNENDITERLKNIIQANAVLRQELQESSVSSKLLDGWDILQMEVAQFINSEVRGIPFYMQSTKQLAGFVQRLKGKHGRFRGNLSGKRVEYTGRTVISPDPNLKISEVAIPILMAHILTYPERVTHHNIEKLRQCVRNGPDKYPGARMLRRDGGHSWSLKVLCRKRAADELRIGDIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSAFTTICSYLGDGLDPIDLPTPAIVKPVELWSGKQLFSLLLRPHANVKVYVNLTVKEKTYTKLDDKKRELKTLCPNDGFVYFRNTELISGQIGKVTLGNGNKDGLFSVLLRDYKAHAAGSCMNRLAKLSARWIGNHGFSIGIDDVQPKEILINKKDETLLEGYKKCDNHIQAFNKGKLELLAGCDAAQTLETRITGVLNGLRDMAGKVCMQTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFLDRSLPHFPLNAKTPAAKGFVANSFYTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRQLMKSMEDLFLHYDYTVRNAGGSIVQFCYGDDGMDPSGMEGKNGKPLNFERMLLKSKAICPIKDDDEILSSSNVCKVVQEKLSEFGVSREVEKGVLEVGFSADFVESLQSFINGSTKLTEEAFSDDHSQILKNFGQRISGITRRQLEVFLNICLSRYHLKKMDAGAPVGATGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRVKEIMNGNKKISTPIITAILEKDDSANTARIVKGRIEKTNLGQVAKSIKVVLTSRIASVVITLDMERIQDAHLNIDANIVKESILQTKKAKLKPEHIKILDIKKLRVVPQDADRSKLHFQLHYLKNLLPTVVVKGIKTADRVVISKEEDKITKAEKFKLLVEGMGFREVMGVEGVEGRKTLSNHILEVRDTLGIEAARECIVKEIKYTMVDTHGMNIDTRHMMLLADVMTATGHILGINRFGISKMGKSVLMLASFERTADILFQASVRGRDDSIGGVSESIIMGIPITIGTGMIKVKQRLDPPELLHGASPILS >Vigun11g149700.4.v1.2 pep primary_assembly:ASM411807v1:11:35981608:36002916:-1 gene:Vigun11g149700.v1.2 transcript:Vigun11g149700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRTEGITFTKEPFMEDAGPRKIKNMKFSTLSDSDISKLGEVQVWKGSYYDSFKKPIHGGLLDPRMGPANKSLGCATCHGNFHDCPGHYGYLNLALPVFNVGYLASIVEILKCICKGCARILLDEDTRKKHLKKMRSTKKNELDKIDFVKVHVIKDCSKVVNCPRCGYINGSVKKLPASLTIVHDCSKCNNNIVEELESTLSRIKDSRTTANVSNRILNPFQVLSLFRKMLDEDCELIYVDERPEKLIITNIIVPPIAIRPSVVMDESLSNENDITERLKNIIQANAVLRQELQESSVSSKLLDGWDILQMEVAQFINSEVRGIPFYMQSTKQLAGFVQRLKGKHGRFRGNLSGKRVEYTGRTVISPDPNLKISEVAIPILMAHILTYPERVTHHNIEKLRQCVRNGPDKYPGARMLRRDGGHSWSLKVLCRKRAADELRIGDIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSAFTTICSYLGDGLDPIDLPTPAIVKPVELWSGKQLFSLLLRPHANVKVYVNLTVKEKTYTKLDDKKRELKTLCPNDGFVYFRNTELISGQIGKVTLGNGNKDGLFSVLLRDYKAHAAGSCMNRLAKLSARWIGNHGFSIGIDDVQPKEILINKKDETLLEGYKKCDNHIQAFNKGKLELLAGCDAAQTLETRITGVLNGLRDMAGKVCMQTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFLDRSLPHFPLNAKTPAAKGFVANSFYTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRQLMKSMEDLFLHYDYTVRNAGGSIVQFCYGDDGMDPSGMEGKNGKPLNFERMLLKSKAICPIKDDDEILSSSNVCKVVQEKLSEFGVSREVEKGVLEVGFSADFVESLQSFINGSTKLTEEAFSDDHSQILKNFGQRISGITRRQLEVFLNICLSRYHLKKMDAGAPVGATGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRVKEIMNGNKKISTPIITAILEKDDSANTARIVKGRIEKTNLGQVAKSIKVVLTSRIASVVITLDMERIQDAHLNIDANIVKESILQTKKAKLKPEHIKILDIKKLRVVPQDADRSKLHFQLHYLKNLLPTVVVKGIKTADRVVISKEEDKITKAEKFKLLVEGMGFREVMGVEGVEGRKTLSNHILEVRDTLGIEAARECIVKEIKYTMVDTHGMNIDTRHMMLLADVMTATGHILGINRFGISKMGKSVLMLASFERTADILFQASVRGRDDSIGGVSESIIMGIPITIGTGMIKVKQRLDPPELLHGASPILS >Vigun11g149700.2.v1.2 pep primary_assembly:ASM411807v1:11:35981607:36002916:-1 gene:Vigun11g149700.v1.2 transcript:Vigun11g149700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRTEGITFTKEPFMEDAGPRKIKNMKFSTLSDSDISKLGEVQVWKGSYYDSFKKPIHGGLLDPRMGPANKSLGCATCHGNFHDCPGHYGYLNLALPVFNVGYLASIVEILKCICKGCARILLDEDTRKKHLKKMRSTKKNELDKIDFVKVHVIKDCSKVVNCPRCGYINGSVKKLPASLTIVHDCSKCNNNIVEELESTLSRIKDSRTTANVSNRILNPFQVLSLFRKMLDEDCELIYVDERPEKLIITNIIVPPIAIRPSVVMDESLSNENDITERLKNIIQANAVLRQELQESSVSSKLLDGWDILQMEVAQFINSEVRGIPFYMQSTKQLAGFVQRLKGKHGRFRGNLSGKRVEYTGRTVISPDPNLKISEVAIPILMAHILTYPERVTHHNIEKLRQCVRNGPDKYPGARMLRRDGGHSWSLKVLCRKRAADELRIGDIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAILLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSAFTTICSYLGDGLDPIDLPTPAIVKPVELWSGKQLFSLLLRPHANVKVYVNLTVKEKTYTKLDDKKRELKTLCPNDGFVYFRNTELISGQIGKVTLGNGNKDGLFSVLLRDYKAHAAGSCMNRLAKLSARWIGNHGFSIGIDDVQPKEILINKKDETLLEGYKKCDNHIQAFNKGKLELLAGCDAAQTLETRITGVLNGLRDMAGKVCMQTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFLDRSLPHFPLNAKTPAAKGFVANSFYTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRQLMKSMEDLFLHYDYTVRNAGGSIVQFCYGDDGMDPSGMEGKNGKPLNFERMLLKSKAICPIKDDDEILSSSNVCKVVQEKLSEFGVSREVEKGVLEVGFSADFVESLQSFINGSTKLTEEAFSDDHSQILKNFGQRISGITRRQLEVFLNICLSRYHLKKMDAGAPVGATGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRVKEIMNGNKKISTPIITAILEKDDSANTARIVKGRIEKTNLGQVAKSIKVVLTSRIASVVITLDMERIQDAHLNIDANIVKESILQTKKAKLKPEHIKILDIKKLRVVPQDADRSKLHFQLHYLKNLLPTVVVKGIKTADRVVISKEEDKITKAEKFKLLVEGMGFREVMGVEGVEGRKTLSNHILEVRDTLGIEAARECIVKEIKYTMVDTHGMNIDTRHMMLLADVMTATGHILGINRFGISKMGKSVLMLASFERTADILFQASVRGRDDSIGGVSESIIMGIPITIGTGMIKVKQRLDPPELLHGASPILS >Vigun09g066900.1.v1.2 pep primary_assembly:ASM411807v1:9:7074668:7078125:1 gene:Vigun09g066900.v1.2 transcript:Vigun09g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMKNSGKAETISCGDAKNKNTVKLEIVEDPLEEEHAPLNKRHKPSSQQWSASSDASVSSPSNLNILDEPSPLGLRLRKSPSLLDLIQMKLSQGTAQQNENLSSAVKRESRAATAAADKLKASNFPASLLRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIAALKAHCPDNGPSTLTVVLARPPLYFRETNPQPRKHTLWQATADFTDGQCGKHRLHFLQCPQGLLAKHFEKLIQCDMRLNFLSQQPEIILESPHFDPQPSAFEDPENSKDRDQLQVSGKGSSASCFQDSGSPVASLSSSLKIEHNDPPGITMDSLPQDAHSPSSVMDCSSIEGSTSSETDSKAPRNRDQIKLPGLRPSMSVSDFIGQIELCLSEQISSGNPPFSDGGAEYKEMLEDIAQHLLNDNQVAATSDEKSLMSRVNSLCCLLQKEPVTVQNSHFAEDSTIEGADEGKDLKPAEEELRDVSGGKQALGMSRKDSFSDLLLHLPRIASLPKFLFNISEEDGDSHAS >Vigun07g255800.2.v1.2 pep primary_assembly:ASM411807v1:7:37374233:37376715:-1 gene:Vigun07g255800.v1.2 transcript:Vigun07g255800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSLVSTARASLRPAAPSALPRIRPPPVTAPRIQSRRFSMPATRNLGQLGCTQSLLPLQSTMAITCMTSHIVVSARACCELSHGT >Vigun07g255800.3.v1.2 pep primary_assembly:ASM411807v1:7:37374233:37376715:-1 gene:Vigun07g255800.v1.2 transcript:Vigun07g255800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSLVSTARASLRPAAPSALPRIRPPPVTAPRIQSRRFSMPATRNLGQLGCTQSLLPLQSTMAITCMTSHIVVSARACCELSHGDPDS >Vigun07g255800.4.v1.2 pep primary_assembly:ASM411807v1:7:37374233:37376715:-1 gene:Vigun07g255800.v1.2 transcript:Vigun07g255800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSLVSTARASLRPAAPSALPRIRPPPVTAPRIQSRRFSMPATRNLGQLGCTQSLLPLQSTMAITCMTSHIVVSARACCELSHGMNGKDG >Vigun07g255800.1.v1.2 pep primary_assembly:ASM411807v1:7:37374233:37376715:-1 gene:Vigun07g255800.v1.2 transcript:Vigun07g255800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSLVSTARASLRPAAPSALPRIRPPPVTAPRIQSRRFSMPATRNLGQLGCTQSLLPLQSTMAITCMTSHIVVSARACCELSHGMNGKDG >VigunL059326.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:6797:7791:-1 gene:VigunL059326.v1.2 transcript:VigunL059326.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun01g182400.2.v1.2 pep primary_assembly:ASM411807v1:1:36304274:36309957:-1 gene:Vigun01g182400.v1.2 transcript:Vigun01g182400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKKSKMISAVAFVEGGIQDSCDDACSICLEEFSASDPPTLTNCKHEFHLHCILEWCQRSSQCPMCWQSISLKDPTSQESFKAVEQERKLRVTTSRNASLFRHRTFNDFEVQHLRMNVNDIELEDRIIQHLAVAAAMRRAEQLGRRVGRQARSSSHGHPHSSGLSNEEKNDQTGSPNTSPSTPIKSDRYGVLQQMLRVRTQSSSSASGSTNDRGSTTPSSSVDKAESSEFQSHSDSLRSRLTAVSARYKESISKGARGWKERFFCRSCSMSELGSETRRREMNADIASVSRLKESLESSENSKAVGTSLPSHMEDCSIGEVSNHNDVEVSGETSSHDDNTPPACSATSHLN >Vigun01g182400.3.v1.2 pep primary_assembly:ASM411807v1:1:36304434:36309906:-1 gene:Vigun01g182400.v1.2 transcript:Vigun01g182400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKKSKMISAVAFVEGGIQDSCDDACSICLEEFSASDPPTLTNCKHEFHLHCILEWCQRSSQCPMCWQSISLKDPTSQESFKAVEQERKLRVTTSRNASLFRHRTFNDFEVQHLRMNVNDIELEDRIIQHLAVAAAMRRAEQLGRRVGRQARSSSHGHPHSSGLSNEEKNDQTGSPNTSPSTPIKSDRYGVLQQMLRVRTQSSSSASGSTNDRGSTTPSSSVDKAESSEFQSHSDSLRSRLTAVSARYKESISKGARGWKERFFCRSCSMSELGSETRRREMNADIASVSRLKESLESSENSKAVGTSLPSHMEDCSIGEVSNHNDVEVSGETSSHDDNTPPACSATSHLN >Vigun01g182400.1.v1.2 pep primary_assembly:ASM411807v1:1:36304274:36309957:-1 gene:Vigun01g182400.v1.2 transcript:Vigun01g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKKSKMISAVAFVEGGIQDSCDDACSICLEEFSASDPPTLTNCKHEFHLHCILEWCQRSSQCPMCWQSISLKDPTSQESFKAVEQERKLRVTTSRNASLFRHRTFNDFEVQHLRMNVNDIELEDRIIQHLAVAAAMRRAEQLGRRVGRQARSSSHGHPHSSGLSNEEKNDQTGSPNTSPSTPIKSDRYGVLQQMLRVRTQSSSSASGSTNDRGSTTPSSSVDKAESSEFQSHSDSLRSRLTAVSARYKESISKGARGWKERFFCRSCSMSELGSETRRREMNADIASVSRLKESLESSENSKAVGTSLPSHMEDCSIGEVSNHNDVEVSGETSSHDDNTPPACSATSHLN >Vigun09g154800.2.v1.2 pep primary_assembly:ASM411807v1:9:32020867:32022809:1 gene:Vigun09g154800.v1.2 transcript:Vigun09g154800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDMEKRSEINSAIEELSLMAIVKPGGNHETAHIPTKPFLSLCYMVLQVLDKIGPTMAVLRQDVHQNIMRLEMMHELNPSMNSNLVEILKSEASKGNTRKRFSCSKAFLWLTRSLDFSSALLRALEMDPKKNMEQIVQESYDATLSPWHGWISSAAFRVAIKLVPDTQTFMDLLKERDESCDTLKDQMQILVSLLVPFLEDVHCILCITWTGLNQLEDRRICTAFV >Vigun09g154800.1.v1.2 pep primary_assembly:ASM411807v1:9:32020744:32022809:1 gene:Vigun09g154800.v1.2 transcript:Vigun09g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDMEKRSEINSAIEELSLMAIVKPGGNHETAHIPTKPFLSLCYMVLQVLDKIGPTMAVLRQDVHQNIMRLEMMHELNPSMNSNLVEILKSEASKGNTRKRFSCSKAFLWLTRSLDFSSALLRALEMDPKKNMEQIVQESYDATLSPWHGWISSAAFRVAIKLVPDTQTFMDLLKERDESCDTLKDQMQILVSLLVPFLEDVHCILKVYNLDRIKST >Vigun03g394500.3.v1.2 pep primary_assembly:ASM411807v1:3:60072303:60076326:1 gene:Vigun03g394500.v1.2 transcript:Vigun03g394500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSSVAVPFTLGVGNLIQKESAVTTHMEITGLKIMANTAAAALMLNPAVECCQSYSVGSESHADVTLQHQIMVSAEVKENQVGDALVSEMVIECESNWVLNETHHKARKEDELMLAVDFHCLHSSSSQSVANGQSDPCREEAVPLKTSYSEIESPITMNVDDVHGKSGVNSVENTVSVAMDITSEDQSGSDESDPKSSAVLLDQLPEENKAWRTSNKNALELNSGPLWGYSSICGMRQEMEDVISVQPQLFQVPSQMLMKDHVNENEKQSLAHFFAVYDGHGGLQVANYCQERLHSVLIEEIEAAQSSLAETNGRDDWQDHWKKAFTNCFQRVDDEVGGTDASNNGNNSGGSESIIEPVAAETAGSTAVVAILSQTLIIVANCGDSRTVLYRGKEAMPLSSDHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNIVRREKNDECLILASDGLWDVMTNEEACEVARKRILLWHKKFGENGATGHGEGADPAAQSAAEYLTKLAIHRGSQDNISVIVIDLKAQRRIKRKP >Vigun03g394500.1.v1.2 pep primary_assembly:ASM411807v1:3:60072192:60076328:1 gene:Vigun03g394500.v1.2 transcript:Vigun03g394500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSSVAVPFTLGVGNLIQKESAVTTHMEITGLKIMANTAAAALMLNPAVECCQSYSVGSESHADVTLQHQIMVSAEVKENQVGDALVSEMVIECESNWVLNETHHKARKEDELMLAVDFHCLHSSSSQSVANGQSDPCREEAVPLKTSYSEIESPITMNVDDVHGKSGVNSVENTVSVAMDITSEDQSGSDESDPKSSAVLLDQLPEENKAWRTSNKNALELNSGPLWGYSSICGMRQEMEDVISVQPQLFQVPSQMLMKDHVNENEKQSLAHFFAVYDGHGGLQVANYCQERLHSVLIEEIEAAQSSLAETNGRDDWQDHWKKAFTNCFQRVDDEVGGTDASNNGNNSGGSESIIEPVAAETAGSTAVVAILSQTLIIVANCGDSRTVLYRGKEAMPLSSDHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNIVRREKNDECLILASDGLWDVMTNEEACEVARKRILLWHKKFGENGATGHGEGADPAAQSAAEYLTKLAIHRGSQDNISVIVIDLKAQRRIKRKP >Vigun03g394500.2.v1.2 pep primary_assembly:ASM411807v1:3:60072192:60076330:1 gene:Vigun03g394500.v1.2 transcript:Vigun03g394500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSSVAVPFTLGVGNLIQKESAVTTHMEITGLKIMANTAAAALMLNPAVECCQSYSVGSESHADVTLQHQIMVSAEVKENQVGDALVSEMVIECESNWVLNETHHKARKEDELMLAVDFHCLHSSSSQSVANGQSDPCREEAVPLKTSYSEIESPITMNVDDVHGKSGVNSVENTVSVAMDITSEDQSGSDESDPKSSAVLLDQLPEENKAWRTSNKNALELNSGPLWGYSSICGMRQEMEDVISVQPQLFQVPSQMLMKDHVNENEKQSLAHFFAVYDGHGGLQVANYCQERLHSVLIEEIEAAQSSLAETNGRDDWQDHWKKAFTNCFQRVDDEVGGTDASNNGNNSGGSESIIEPVAAETAGSTAVVAILSQTLIIVANCGDSRTVLYRGKEAMPLSSDHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNIVRREKNDECLILASDGLWDVMTNEEACEVARKRILLWHKKFGENGATGHGEGADPAAQSAAEYLTKLAIHRGSQDNISVIVIDLKAQRRIKRKP >Vigun03g394500.4.v1.2 pep primary_assembly:ASM411807v1:3:60068914:60076326:1 gene:Vigun03g394500.v1.2 transcript:Vigun03g394500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSSVAVPFTLGVGNLIQKESAVTTHMEITGLKIMANTAAAALMLNPAVECCQSYSVGSESHADVTLQHQIMVSAEVKENQVGDALVSEMVIECESNWVLNETHHKARKEDELMLAVDFHCLHSSSSQSVANGQSDPCREEAVPLKTSYSEIESPITMNVDDVHGKSGVNSVENTVSVAMDITSEDQSGSDESDPKSSAVLLDQLPEENKAWRTSNKNALELNSGPLWGYSSICGMRQEMEDVISVQPQLFQVPSQMLMKDHVNENEKQSLAHFFAVYDGHGGLQVANYCQERLHSVLIEEIEAAQSSLAETNGRDDWQDHWKKAFTNCFQRVDDEVGGTDASNNGNNSGGSESIIEPVAAETAGSTAVVAILSQTLIIVANCGDSRTVLYRGKEAMPLSSDHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNIVRREKNDECLILASDGLWDVMTNEEACEVARKRILLWHKKFGENGATGHGEGADPAAQSAAEYLTKLAIHRGSQDNISVIVIDLKAQRRIKRKP >Vigun10g115300.1.v1.2 pep primary_assembly:ASM411807v1:10:31935297:31937657:-1 gene:Vigun10g115300.v1.2 transcript:Vigun10g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQYEKLEREDSITDSSSEDEEEEIEKELADVTFEELQKARSNGAHAFFQKPKDDKKLKRANKNRPMEASSKKPVSAFREVIQAPKKVVRDPRFESLCGTLDPDGFKKRYNFLYENDLPAERQGLKKQLKKYKDPKRISEIEERISWIDKQMKSDSTKNIDTEILANHKKKEREATKQGKRPFYLKKSEIRKQRLIEKYNQLKSSGKLEAFVEKRRRRNAAKDHRYMPYRRSGDAE >Vigun07g274300.1.v1.2 pep primary_assembly:ASM411807v1:7:39007251:39009831:1 gene:Vigun07g274300.v1.2 transcript:Vigun07g274300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGKSEKLTAEIVPRDGARVYPTVRLHGSPKSILAAYIRFALLHREVSLDFVETERVGGNDPEGTVTLQVGSEVVSGSSETLLRFIDSRFPGPWVRGENGREDETAPLLTSLTRVQHTSMLWHVERMVRWAEDLTTRGGRKTVDPSMGTPRMEIRKFARSYSELLEIMMEHAQMEETVLFPIFDHADRGLAKAAKEEHARDLPLMNGIKEVIKSVGVLDSGSPDYQEALYSLFTRLKSLLGKCKQHFAEEEVEILPLMEALELSKEQELSALEQCFDVMQGTHSRLLKLLLEGLPSRDAMKYLDLINKCRDKEKMESMLKMIVK >Vigun03g174900.1.v1.2 pep primary_assembly:ASM411807v1:3:21553233:21556869:1 gene:Vigun03g174900.v1.2 transcript:Vigun03g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATSFAQRERTFFMETSALESLNVESAFTEVLTQIYHVVSKKALEIGDDPAALPKGQTISVGSRDDVSAVKQGGCCSA >Vigun09g074100.1.v1.2 pep primary_assembly:ASM411807v1:9:8300500:8302299:1 gene:Vigun09g074100.v1.2 transcript:Vigun09g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRLVPSNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKMQSEEGDDEGNNYDKGNSCSQLKGQWERRLQTDIHMAKQALCEALSLDKPTQIFPETKLPSTSSSSQCFHPASPNKTATSLYASSTENIARLLDNWMKKSPNKTETNCSISNNNMVTTGSSSSEGTQSTVTCTQDHLDSLWSFKSERSQSEENTNLVESKPIVETQVPLMLLENWLFDDGAPQCNEDLINMSLEESTDGLF >Vigun06g062700.1.v1.2 pep primary_assembly:ASM411807v1:6:19114558:19121774:-1 gene:Vigun06g062700.v1.2 transcript:Vigun06g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAVTLIALLAEKLLKLVKDEGRILRGVHSDVKHIENLLNQIKPLTKDAVEKVLNEGVKHWMNDLRNVVFRMEDVVDLYLFKVAKRDGVRFGMTKLKGKIRSVKHRHRISSEIGDIKQTLSNIFILSTSLGLQPFHGQTLPDEIPRLGAHLVEESQLVSIEHNMQNLTAWLRQNNSPVLVVVGPPGIGKTTIVKNVYNKQTKLNQQKKKHKKDFDFFVWITMPRTQADSLYPIRQIKENILLADPHGSTSLRNATMEDLAQRLREYLTGKTCLIVLDDVRELKIWNVIKFAIPQHRVIITTQSANFPNNIGTDTTVEEFRLGPLSLEHALMLFHQKVKHVKFPELSDLSKKFMEKCNGVPLAIVAISSLLSTKKSAIEWKRVLENLGSLLKSNPHLEMVQHVKLQSYQELPFRLKQCFLYFGLFPQGYSISCKRLIRLWIAEDFVEGDTQNQNTSMEEFGDEYLAELICRGLVHGSRLDFDGRPRSCHVYNLMHETIARICEDQMFCHVMEDASTPVNSNMDFILRRLSVIRKNGSATMERDHKWGKVRSCFVFDDAKKFQVNNHFFSSFEFLIRLDLSDACLSVDVLPEQVGNLFNLKYLSLRNTNIKSLPKSIGNLENLQTLDLKQTKVHEVKINKLVKLRHLLAYYVSDQSSELYCLEGLRLSEGVQNLESLQNLSYLDVSGGSIITGLQKLTKLRKLGIIKLEAQHGEALCNSIEHMMNLCSLSIGALGKEGMLKLESLRYPPLSLKRLYLYGRLGTLPTWISNLPNLIRLYLKWSDLKQDPLDYLKELPQLLHLELYDAYKGEKLHFRNGWLKLKVLYLGLLPKLKSIEIGKGKVPCLEVLKIGRCHQMIRLPRDIQNLKHLEKLYLYDMHEQFVERLCDERSEDYWIINKIPLVEYSNNDHFAFFS >Vigun03g221500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36807404:36808274:1 gene:Vigun03g221500.v1.2 transcript:Vigun03g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQETDQIKQLGEIFKRFDMDSDGSLTHLELAALLRSLGVKPTGDELYALLSNMDENGNGYIEFDELLHAIMPDLNEQVLMNQEQLLDVFRSFDRDGNGYITASELAGSMSKMGHPLTYHELTSMMTEADSNGDGVISFNEFAALMAKSAAKFLGLHGA >Vigun05g067700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5850416:5854701:1 gene:Vigun05g067700.v1.2 transcript:Vigun05g067700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTEGLLKVASLILLLGFSATTTVDARFDPSSLITRLLSKDDVNLYAKSTEKACCDSCPCTKSLPPQCRCNDVGKTCHSACKSCVCTRSIPPQCRCEDITDFCYKPCHTQMN >Vigun05g067700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5850416:5854701:1 gene:Vigun05g067700.v1.2 transcript:Vigun05g067700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTEGLLKVASLILLLGFSATTTVDARFDPSSLITRLLSKDDVNLYAKSTEKACCDSCPCTKSLPPQCRCNDVGKTCHSACKSCVCTRSIPPQCRCEDITDFCYKPCHTQMN >Vigun02g078000.1.v1.2 pep primary_assembly:ASM411807v1:2:23021503:23025523:-1 gene:Vigun02g078000.v1.2 transcript:Vigun02g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTAKLWNVQSGQQLFTFNFDSPARSVDFSVGDKLAVITTDPFMELPSAIHVKRIAKDPSEQTGESVLLIKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDKESGHKKTVTSLVKSADGSHFLTGSLDKSARLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >Vigun02g078000.3.v1.2 pep primary_assembly:ASM411807v1:2:23021650:23025487:-1 gene:Vigun02g078000.v1.2 transcript:Vigun02g078000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTAKLWNVQSGQQLFTFNFDSPARSVDFSVGDKLAVITTDPFMELPSAIHVKRIAKDPSEQTGESVLLIKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDKESGHKKTVTSLVKSADGSHFLTGSLDKSARLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >Vigun10g014750.1.v1.2 pep primary_assembly:ASM411807v1:10:1634367:1635403:1 gene:Vigun10g014750.v1.2 transcript:Vigun10g014750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSFLLEHGNSYAKTTNGKLKINKREKHDEIGQVLESLRRIKSLSPCGSMLEIGNGVEEEHISCDGKRRTSKMMGRTASWWATFGISLCSSSLKYSKPVLQAQQPHPLWLSIIAMLSFILFASAVTVMVLVGARFTHMQMIVMLIAFFTLVLA >Vigun06g182000.1.v1.2 pep primary_assembly:ASM411807v1:6:30157103:30162794:-1 gene:Vigun06g182000.v1.2 transcript:Vigun06g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNDNQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSRMSSRGQAAYAEAGTVVEQTVGAIRTVASFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNGVVMEDIRGDIELKDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFQVRWIREQIGLVSQEPILFAASIKENIMYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEEGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQREVSLSRDSSSRHSHGFTLSHRSGVHESVEIEDGDVEKSKGDAKKVSLRRLAYLNKPEVPMLVLGSVAAIINGLVFPMFGFLFSSAISMFFEPPEKQRKDSRFWALLYVGLGLITLVVIPVQNYFFGVAGGKLVERIRSLTFEKVVHQEISWFDDPANSSGAVGARLSSDASTVKSLVGDTLALIVQNLSTIIAGLVISFTANWILAFIILAVSPLVLMQGFLQMKFLQGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTNKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPTRPHIQIFKDLCLNIPAGKTVALVGGSGSGKSTVISLLERFYNPHTGRILLNGMDIKEFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGIATEEEITAAAKASNAHQFICALPEGYDTHVGERGTQLSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDRVSVSRTTVVVAHRLTTIRGADIIAVMKDGAVAEKGTHNELMKIIDGVYASLVALHTTAS >Vigun07g052000.5.v1.2 pep primary_assembly:ASM411807v1:7:5445128:5448130:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTKEETDETMGNENDSRREREREQQNLLVGRQSVLMEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.6.v1.2 pep primary_assembly:ASM411807v1:7:5445128:5448130:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTKEETDETMGNENDSRREREREQQNLLVGRQSVLMEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5445128:5448130:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5445151:5448122:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.1.v1.2 pep primary_assembly:ASM411807v1:7:5445151:5448122:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFIAFRNLQSEARNIERETRTMFGTKEETDETMGNENDSRREREREQQNLLVGRQSVLMEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5445151:5448122:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.7.v1.2 pep primary_assembly:ASM411807v1:7:5445151:5448123:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTKEETDETMGNENDSRREREREQQNLLVGRQSVLMEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.8.v1.2 pep primary_assembly:ASM411807v1:7:5445151:5448122:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTKEETDETMGNENDSRREREREQQNLLVGRQSVLMEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun07g052000.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5445151:5448122:-1 gene:Vigun07g052000.v1.2 transcript:Vigun07g052000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNILMAQQNILTEQQNVLMAQQSILMGQQKILTEQQNALVAQQKIHTEQQNVADEQQKVEEHTEQQNSSSADHHAMEQSSSEEDPWKIKKVLQDFDLTLRLLVAPSLARNFMLPVLNATDYEIEKGFDVEIWDVDTHTKHSLFFTKKSHAYILVDNWINDFVHRRALHRGDEIGLCWDPTRKCFNFSVLRRPQT >Vigun08g142700.3.v1.2 pep primary_assembly:ASM411807v1:8:31506002:31513655:-1 gene:Vigun08g142700.v1.2 transcript:Vigun08g142700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFRSLYLSFSFAACSSTLSSSNTTNSEDMMSASSSRQVDKDEQEVEERKIHKPRWLEAFLRKIFFNSCSTHPFRKNELNKYCINCNLSVCQYCIASGPHRHHKILKIYRHVYKDVVSLATMEKYIDTSQIQPYKCNKRLVISLNPLPHSGSTANNETSCNTCKRKLTEPDLYRYCSISCKVRAVLNNPDDSTPPFISIQTPRPPPQQEKKEETVSEPQKPQKRKRKGSPHRAPFF >Vigun08g142700.2.v1.2 pep primary_assembly:ASM411807v1:8:31506002:31513502:-1 gene:Vigun08g142700.v1.2 transcript:Vigun08g142700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASSSRQVDKDEQEVEERKIHKPRWLEAFLRKIFFNSCSTHPFRKNELNKYCINCNLSVCQYCIASGPHRHHKILKIYRHVYKDVVSLATMEKYIDTSQIQPYKCNKRLVISLNPLPHSGSTANNETSCNTCKRKLTEPDLYRYCSISCKVRAVLNNPDDSTPPFISIQTPRPPPQQEKKEETVSEPQKPQKRKRKGSPHRAPFF >Vigun08g142700.1.v1.2 pep primary_assembly:ASM411807v1:8:31506002:31513500:-1 gene:Vigun08g142700.v1.2 transcript:Vigun08g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASSSRQVDKDEQEVEERKIHKPRWLEAFLRKIFFNSCSTHPFRKNELNKYCINCNLSVCQYCIASGPHRHHKILKIYRHVYKDVVSLATMEKYIDTSQIQPYKCNKRLVISLNPLPHSGSTANNETSCNTCKRKLTEPDLYRYCSISCKVRAVLNNPDDSTPPFISIQTPRPPPQQEKKEETVSEPQKPQKRKRKGSPHRAPFF >Vigun10g046700.1.v1.2 pep primary_assembly:ASM411807v1:10:6903849:6905688:-1 gene:Vigun10g046700.v1.2 transcript:Vigun10g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRCLLLFSLGIFIQVSYCHSSITTCLPQNHTALFILGDSLFDNGNNNYINTTTSYQANYYPYGQTFFKYPSGRFSDGRMIPDIVAELAKLPILPPYLHPGRVEHVYGVNFASGGAGALRETAQGFVIDLKTQVSYLKNLKNVFSKRLGKAIAEEIVSKSVFLISIGSNDYGSLLNPDSNPVFPPGNHQGFVDSVIGNLTDAITEIYNVGGRKFGFVNVGAIGCSPGIRVLVNNGSTCFEEVLAIARLHNTALSERIPELEKQLKGFKYSITDFYSASLEVLNNPTKYGFKETIVACCGGGPYRGDGSCGGRKGIKEYELCNNVDEHVYFDSIHLTDRASQHFGELIWNGNHTVTSPYNLKQLFEF >Vigun10g046700.2.v1.2 pep primary_assembly:ASM411807v1:10:6903849:6905689:-1 gene:Vigun10g046700.v1.2 transcript:Vigun10g046700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRCLLLFSLGIFIQVSYCHSSITTCLPQNHTALFILGDSLFDNGNNNYINTTTSYQANYYPYGQTFFKYPSGRFSDGRMIPDIVGGAGALRETAQGFVIDLKTQVSYLKNLKNVFSKRLGKAIAEEIVSKSVFLISIGSNDYGSLLNPDSNPVFPPGNHQGFVDSVIGNLTDAITEIYNVGGRKFGFVNVGAIGCSPGIRVLVNNGSTCFEEVLAIARLHNTALSERIPELEKQLKGFKYSITDFYSASLEVLNNPTKYGFKETIVACCGGGPYRGDGSCGGRKGIKEYELCNNVDEHVYFDSIHLTDRASQHFGELIWNGNHTVTSPYNLKQLFEF >Vigun02g043800.1.v1.2 pep primary_assembly:ASM411807v1:2:17571812:17578694:-1 gene:Vigun02g043800.v1.2 transcript:Vigun02g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTPLPHTIRCRIPVKQIHQSSSPTVSVFLLLQFLSLFTERPMEDLWKRAKTFAEEAAKKSQTLTPSSSRIADLVSETAKKSKELAAEASKKADILKSAALRQADQIKSFSDTIAIPPQFAAIASAATTASSSPTAPTPEDLEKFGVSDDLRSFVRGLTSTTFQNFPLSSDETEVSDVATVGSNVRKDLNEFQEKHATLVLTTVKEISRLRYELCPRTMKERHFWRIYFTLVNTHVAPYEKQYMEEVQLRASAEKNEETKVEQTPVTEGTGKAETTGKNVKSRSSKSPSNEQDLDTFLLGDLEDSDEGPDDGEGSFDDDFDKIGNSDVEDEKHAKKTAATV >Vigun03g367000.1.v1.2 pep primary_assembly:ASM411807v1:3:57041349:57042347:-1 gene:Vigun03g367000.v1.2 transcript:Vigun03g367000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTEISFTMIHENFVPLSILILQVMIMTLTLRRFLAGGVLES >Vigun11g213800.2.v1.2 pep primary_assembly:ASM411807v1:11:40842790:40847293:1 gene:Vigun11g213800.v1.2 transcript:Vigun11g213800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPTATPSNDTQSCVFLRTVTLYDWWLIKATNDFQGKRLAVAGISSRKDEAMRVFVSAPVIERYDVFSLMTADGIYLIISGFINEQRTIENGFDPQILNRFLFGFPPDWKTCALDFSREESTTANDLGSGFLDDVPASCSEILSDGVENCTPTFLASPEKAQGDQEKAFPENECNVSKEVAGVNVACSRGRKRRSARLHDVKVYQRKKQSASGLPPKNPNNKNHISEAALDNCDVVGPKSPETPIQSQSWRQLRTSSEQIVKKSASRISRTLSPKTEGCQKKKVGRPKGTLNKSASAGKNSRSRDLSHLTKGSRQKNSTVSPESSSFRTLSPETEGCHKKKVGRHKGSLNKSASAEKNSRSRDLSHLTKESRQKNSIVSPESWSFRTSRSGRLLVRPLEFWRNQIPIYDADHVLKEIKDGASLISPCKVSSSSSSRKD >Vigun11g213800.1.v1.2 pep primary_assembly:ASM411807v1:11:40842790:40847293:1 gene:Vigun11g213800.v1.2 transcript:Vigun11g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPTATPSNDTQSCVFLRTVTLYDWWLIKATNDFQGKRLAVAGISSRKDEAMRVFVSAPVIERYDVFSLMTADGIYLIISGFINEQRTIENGFDPQILNRFLFGFPPDWKTCALDFSREESTTANDLGSGFLDDVPASCSEILSDGVENCTPTFLASPEKAQGDQEKAFPENECNVSKEVAGVNVACSRGRKRRSARLHDVKVYQRKKQSASGLPPKNPNNKNHISEAALDNCDVVGPKSPETPIQSQSWRQLRTSSEQIVKKSASRISRTLSPKTEGCQKKKVGRPKGTLNKSASAGKNSRSRDLSHLTKGSRQKNSTVSPESSSFRTLSPETEGCHKKKVGRHKGSLNKSASAEKNSRSRDLSHLTKESRQKNSIVSPESWSFRTSRSGRLLVRPLEFWRNQIPIYDADHVLKEIKDGASLISPCKVSSSSSRRTDFD >Vigun10g171100.1.v1.2 pep primary_assembly:ASM411807v1:10:38993657:39000680:1 gene:Vigun10g171100.v1.2 transcript:Vigun10g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKKHDVFVSFRGEETRANFTSHLYKALEDKSIGAYIDCQLDRGVDVWRGLAKAIQDSHVSIVVFSENYACSKWCLDELVEILECRKKLGLVVIPIFYNVDPSDIRNQKGTFEKELTELLESNEEKGPKWKAALTEAANISGWDARTHRDEAHVIENVVNDVLQKLHLRCPTELKGLVGNEENCRNVELLLKSCRVIGIWGMGGIGKSTIAKILFAKHFPQYDHVCFVTNAKEYSLDKFFSTILKEEVSAKNVVGSSFHMRRLRSKKVFIVLDDVDMDSFEPLEYLCGEYEGQHGDSKLVITTRDRQLLVGRVDAIYEVQKWKKTESLKLFCSEAFKKSYPEGGYESLSESAVEYAGGVPLALKVLGSYLRSKGICFWESTIRKLSFYPNERIQKVLEVSYTALHDLEKNIFLDIVFFFREKQKDHVTRILDACGFEATSGIEVLVDKAMLTISYRNIQMHDLLQQMGLEIVRQECTADPGRRSRLKDNEARQVIEENKGTDAVQGIELDLSQVQNLRLRSDTMAKMKSLRFLRFYNSSGQSSRNTYLDLPTTLEPFSDQLRYIEWIGYPFECLPSPFCAKFLVEIHMKHGKVKQLWQGIQELDNLVGIDLSGCKQFEELPDLSKAPRLKWVNLSCCESLRYLHSSVLSSAALVTLILNGCTKLQSVKGEKRLKSLEKISVNGCSSLEEFAVSLDLFKMFNLSHKGIQMPGTPVRRKGKRKSHNLEEDLRPLTSLKEIKLSYCLQRDMDWIKYNLPSLQHMYMLKLDQCKVTTLPENIKNLGNLRVLSLENCDELLRLPKLPSSINYFSAINCTSLVSVSDLVNLANEMWGSTRFLTFKNCLKLDEHSCKLIMKSVQLVMVCAAFDNMVRKSSDLHDYSYNSVQLCLPGSKVPQEIKYRSTESFITIDLPKLSNLRGFIYSVVLSPSGETKKHGTKIICKRHLRENTRESWVSSDIEGLNTDHVYIWYDPFHCDGILKYNAPSVCFEFCVTNDKGEVDDSMCIKECGVGLISVSELPSVLEELDWDSDKKKDLVKRVELITGQRITLTSIEQSDEEENNGMKNQMGNQQGNLSEHSHKIVGTELDSKMVDSDSGEEGNERKNHYSAIEEIINSTQKEVKTDSVSNYRQNTESANVVKYEGNTERPIKQDATLHDTVESELDKENESKEKSNSVEDNRGSKEYPSSVEESIEYSTCSATKTTAKRGPKEKSKKSTEIVANEHSQNAPLQASSEGDSEDLHNRLEESIKQVVETHDTGNFATKYSSLDLENCLQQLNENPFAILDLLSYELYPSLKQLETCVQKVAQANDATTVLNEFRTLVFSNSLLKKLQDQSYRQQIAESLQKLHTYRREITKEQEAGLDKFLELYNKAVDISQDKMLTEDKQAKLAYEKRDLYNKLQNSKLKVQQFDTTISTCKSQRENLKKRQREIQEAIKKLQLENEALEKESTTLEVLYSEQQTKKNETLESVKCISISVVQTTKQLEELEEKSLSLASAYEGLKEPYERMKTKPPF >Vigun10g171100.2.v1.2 pep primary_assembly:ASM411807v1:10:38993657:39000680:1 gene:Vigun10g171100.v1.2 transcript:Vigun10g171100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKKHDVFVSFRGEETRANFTSHLYKALEDKSIGAYIDCQLDRGVDVWRGLAKAIQDSHVSIVVFSENYACSKWCLDELVEILECRKKLGLVVIPIFYNVDPSDIRNQKGTFEKELTELLESNEEKGPKWKAALTEAANISGWDARTHRDEAHVIENVVNDVLQKLHLRCPTELKGLVGNEENCRNVELLLKSCRVIGIWGMGGIGKSTIAKILFAKHFPQYDHVCFVTNAKEYSLDKFFSTILKEEVSAKNVVGSSFHMRRLRSKKVFIVLDDVDMDSFEPLEYLCGEYEGQHGDSKLVITTRDRQLLVGRVDAIYEVQKWKKTESLKLFCSEAFKKSYPEGGYESLSESAVEYAGGVPLALKVLGSYLRSKGICFWESTIRKLSFYPNERIQKVLEVSYTALHDLEKNIFLDIVFFFREKQKDHVTRILDACGFEATSGIEVLVDKAMLTISYRNIQMHDLLQQMGLEIVRQECTADPGRRSRLKDNEARQVIEENKGTDAVQGIELDLSQVQNLRLRSDTMAKMKSLRFLRFYNSSGQSSRNTYLDLPTTLEPFSDQLRYIEWIGYPFECLPSPFCAKFLVEIHMKHGKVKQLWQGIQELDNLVGIDLSGCKQFEELPDLSKAPRLKWVNLSCCESLRYLHSSVLSSAALVTLILNGCTKLQSVKGEKRLKSLEKISVNGCSSLEEFAVSLDLFKMFNLSHKGIQMPGTPVRRKGKRKSHNLEEDLRPLTSLKEIKLSYCLQRDMDWIKYNLPSLQHMYMLKLDQCKVTTLPENIKNLGNLRVLSLENCDELLRLPKLPSSINYFSAINCTSLVSVSDLVNLANEMWGSTRFLTFKNCLKLDEHSCKLIMKSVQLVMVCAAFDNMVRKSSDLHDYSYNSVQLCLPGSKVPQEIKYRSTESFITIDLPKLSNLRGFIYSVVLSPSGETKKHGTKIICKRHLRENTRESWVSSDIEGLNTDHVYIWYDPFHCDGILKYNAPSVCFEFCVTNDKGEVDDSMCIKECGVGLISVSELPSVLEELDWDSDKKKDLVKRVELITGQRITLTSIEQSDEEENNGMKNQMGNQQGNLSEHSHKIVGTELDSKMVDSDSGEEGNERKNHYSAIEEIINSTQKEVKTDSVSNYRQNTESANVVKYEGNTERPIKQDATLHDTVESELDKENESKEKSIEDNRGSKEYPSSVEESIEYSTCSATKTTAKRGPKEKSKKSTEIVANEHSQNAPLQASSEGDSEDLHNRLEESIKQVVETHDTGNFATKYSSLDLENCLQQLNENPFAILDLLSYELYPSLKQLETCVQKVAQANDATTVLNEFRTLVFSNSLLKKLQDQSYRQQIAESLQKLHTYRREITKEQEAGLDKFLELYNKAVDISQDKMLTEDKQAKLAYEKRDLYNKLQNSKLKVQQFDTTISTCKSQRENLKKRQREIQEAIKKLQLENEALEKESTTLEVLYSEQQTKKNETLESVKCISISVVQTTKQLEELEEKSLSLASAYEGLKEPYERMKTKPPF >Vigun09g070300.1.v1.2 pep primary_assembly:ASM411807v1:9:7648196:7655674:1 gene:Vigun09g070300.v1.2 transcript:Vigun09g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPKENIKLEQCDICGARGLIEKVVTCSKCSVIRHAYCVQINTTIIPKDWLCETCQSKHDSTSPYKVNQDVSSWACKRKPSVKRAKVKYLDLDEVIRLSSGRTSAGSKNVISKIPSLTPKPNPPILPPKVLGKLPRNDEVHKRPMTNQHASCSLFKVGSTQECIEENQQPFGGVVADKNVQAHDPQKEKATKDAPFGDLSATKSLAIVAGEPSDDAQSIQQNLDLYRKFLPSSIHAWRGQIQILQAAASSIIYDGFEAQTPCMVNKKAYKLSREMPSVLQLESLPALNVLTDIFPDDSPNLQDIALYFFPSEHTDRLRKDLNDILKFMNDEKAMLRSFIDGVELLVFTSNQLDIDSRGVIAEVKAGHFLWGVFRQFNMEPVDMEIDMIGGKDVEGKVDRILKVKPKRVL >Vigun09g070300.2.v1.2 pep primary_assembly:ASM411807v1:9:7648196:7655674:1 gene:Vigun09g070300.v1.2 transcript:Vigun09g070300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPKENIKLEQCDICGARGLIEKVVTCSKCSVIRHAYCVQINTTIIPKDWLCETCQSKHDSTSPYKVNQDVSSWACKRKPSVKRAKVKYLDLDEVIRLSSGRTSAGSKNVISKIPSLTPKPNPPILPPKVLGKLPRNDEVHKRPMTNQHASCSLFKGSTQECIEENQQPFGGVVADKNVQAHDPQKEKATKDAPFGDLSATKSLAIVAGEPSDDAQSIQQNLDLYRKFLPSSIHAWRGQIQILQAAASSIIYDGFEAQTPCMVNKKAYKLSREMPSVLQLESLPALNVLTDIFPDDSPNLQDIALYFFPSEHTDRLRKDLNDILKFMNDEKAMLRSFIDGVELLVFTSNQLDIDSRGVIAEVKAGHFLWGVFRQFNMEPVDMEIDMIGGKDVEGKVDRILKVKPKRVL >Vigun03g055000.4.v1.2 pep primary_assembly:ASM411807v1:3:4488118:4497361:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVVSTQFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >Vigun03g055000.3.v1.2 pep primary_assembly:ASM411807v1:3:4487962:4497375:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVVSTQFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >Vigun03g055000.1.v1.2 pep primary_assembly:ASM411807v1:3:4487961:4497373:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVVSTQFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >Vigun03g055000.6.v1.2 pep primary_assembly:ASM411807v1:3:4488118:4497361:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >Vigun03g055000.5.v1.2 pep primary_assembly:ASM411807v1:3:4487962:4497375:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >Vigun03g055000.2.v1.2 pep primary_assembly:ASM411807v1:3:4487961:4497373:1 gene:Vigun03g055000.v1.2 transcript:Vigun03g055000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTMINPNSNHKRPFAHDFSSSSSFDNNPKTISLFVRNFDVALLSSTQNGAVSPCDFMRLRADHPYSIGRRPRDCSFVFRDRRVSKRHCQLLFDSSLRKLYILNGVLLTDDCTAAWRLVHEFRKRARTSSHENNDGFVLREASNGVFVNGVEMEKGTAVELSVGDRVSLVCGNQNGSCGVGNGVGFVVERIDFEGCDSEIDGLKTFSEHSQSGKRSKRVFALKANVSRYEGVVGRGRFLLDRCRDILLSSDPVLCVVRDGSVCAPCNTEVQSRLGLFGESKRMGLDAKVGVDVADNVPNTKVLLDSAGKDNLDHSSVGGDCQGQLGSGNDNVYPQPGKNFYLNRLEFMNHGSSACHRSISLPELFHPLENISRIFIATFTSDIKWFLTYCKIPFHLPVTIACHNTERCWSSKPEERVSVPYQDYPNLVVVYPQFPETIAFGNDRKRQGIACHHPKLIVLQRKGSIRIVITSANLVEKQWNSVTNTIWWQDFPHATSVDFSSLFQKIGNADIHQSKCDFAAQLAGFMASLVINVPSQAHWITQLTKYDFGGATGHLVASVPGIHFYRTSVLSESFQASPVFLGSVVASVVGVSHLFRTVVDSNSARLKSLASFLGKSCKNAYGKLEIVLRRKPIASVDENAVIVLVPNPDQAFEGDCVQLGFLPRDVAKWVSPLWDAGFFTFSGYVCAKEALAAAMGENSKKVQLILNVSEGHYFKDMSKMMQSEHMVAFCSLIASTERCYGLWRLQEILNRYKWPEALKSEIICSASSIGSSINSKFLAAFSSAVGKKSLQHFDSEESDPEWGCWSASEELKNPSVRILFPTIERVKNAYNGILPSRYILCFTEKTWQRLKTLDILRDAIPHPPERIGHPMHIKVVRRCFWSGRDAPSVGWVYCGSHNFSAAAWGRQISNPFRIKAEGPKKEDPSVNCGLHICNYELGIIFTFPPTENNGRPKVKSTELKDIILPFVVPAPKYGSSDRPATKQAMREVMVELAERENEKRTEEEMMEELDDEEEFVELPEELEATNYVEQEKEEEKEYADILWSQVDS >VigunL031700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:200542:202716:-1 gene:VigunL031700.v1.2 transcript:VigunL031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRRIWAFPSILLLTIVMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGYLIDSLTSIMSILITTVGILVLIYSDNYMSHDQGYLRFFAYLTLFNISMLGLVTSSNLIQIYFFWELIGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITGSLEFRHLFQIINNLISKNEMNIFFFTLFALLLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLFPLFIVLPKIMNAIAFIGIITVILGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRGALFHLITHAYSKALLFLGSGSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPITKTFFLVGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSAAGLTAFYMFRIYLLVFEGYLNVHFLNFNGKKNSSFYSISLWGKKQVKLKRKNENFFLVLLKIKKNEITSFFIRKRYLHRVNQNIKNIKHLVFGIMHFGTKKTACLYPNESNNTMRFSMLILALFTLFVGAVGISFSQDGIHLDILSKLLIPFIDLLHKDSENFMNYYEFFTNATFSLSFTFWGIFLASFFYKSVYSYLKNLNLLNLFEKSFLKKNVADHFQNIIYNWSYNHGYIDLFYEKSLIASIRRLVKLNSFFDKKRIDGITNGIGITSFFLGEAIKYVGGGE >Vigun08g003400.1.v1.2 pep primary_assembly:ASM411807v1:8:318166:322670:1 gene:Vigun08g003400.v1.2 transcript:Vigun08g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRAETPIDENEIRITSQGRMRNYITYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTAIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKNELDTSSVGYQPPLPADQVKAATDFDYEGDGSPNGRVRGRGGRGRGRGRGNGFISADYEDGGWDRNRGSVRGRGRGRGRGFRGRGRGGYNGPQFDVQQDGGYNQDVPQGRGRGRGRGGYRGRGRGFRSNGPIQAAA >Vigun09g071500.2.v1.2 pep primary_assembly:ASM411807v1:9:7815973:7843994:-1 gene:Vigun09g071500.v1.2 transcript:Vigun09g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTEEQQRQVEANRAAAIAKRKAFLESRAQQQEQSHREGENIPNPNPWHLFKCQKFPKPQPPKFLARLEICSPDSFSVTPMPLPPFPFPGHHHCLSTLNSILSQVMLSHFTQTSGGVKVCVFKLTEYHAVVRQLKAEAEALQVEEIPWATFNVVERLSHSVAAGRWTPVRPEHFPDEEVERLIAKLPRTLLDVLLPFQHDGLRFALRRGARCLIADDMGLGKTLQAIAIAGCFLDEGSILVVCPAVLRFTWAEELERWLPFCLPADIHLVFGHQDNPIYLTRKPRVVVISYTMLHRLRKNMLELQWALLIVDESHHVRCTKKTEPGEIQAVLDVASKVNRIVLLSGTPSLSRPYDIYNQINILWPGLLGKTKYEFAKTYCDFKYIKGNQGKYFADYSKGVRLEELNVLLKQTVMIRRLKEHVMLQLPPKRRQIIRLLIKRSDIVAAKTAIGALSIDATERESEDIAFENLDETDGKLSYQELGIAKLSGFREWLALHPIVAGSENASKMIIFAHHHKVLDGVQEFVCEKGINFVRIDGNTLARDRQSAVVSFRSSPEVKIAIIGILAAGFGLDFSTAQHVVFLELPQSPTLMLQAEDRAHRRGQTNAVNVYIFCAKDTLDESHWKNLNKSLQRVSCTTDGKYDAKKEIEVEGISYLDSSFKRDNCEEQSTCKDAVGETQLDLQPSAVNSNEPEANKDDKSGEGTSFLNKSIQSFNVLADDVSCQDLGTASVLDGNCDADVFEDVERYPGKSLEDTHPDMKTVSTTEADDNQSAQVVEADSHCSSQVDFLRFEVSPYTGRIHLYTCILGTDKRPQPLYENFRPEELELLCPVAPEEKQVHNEKQKIEFVSVKDNPSYRHVLLAFVEEWKNLRSIERRKLIGKPLQLPLAVELCYLSESINYNNNGLLNGGSKRRKTPIIEVSYPLPPDAVWKKVYLRSGLGKKEREYTQGWSETDEPLCKLCQKQCKGKNAKGPEFLEDLFCNLVCYEEYRMRTSSRFLREELFKIEHGVCTNCQLDCHKLVKDTRPLSLERRREFIQKIAPNVAKRKNMFEKLVNEPTEGNAWHADHIVPVYQGGGECKLENMRTLCVACHYDVTAAQCAERSKARANAKKKLKELMGSIKNGVKFSVITNIKEHRAIDERGSSIIEDELLVEVPGSAYSLADS >Vigun09g071500.1.v1.2 pep primary_assembly:ASM411807v1:9:7815953:7843994:-1 gene:Vigun09g071500.v1.2 transcript:Vigun09g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTEEQQRQVEANRAAAIAKRKAFLESRAQQQEQSHREGENIPNPNPWHLFKCQKFPKPQPPKFLARLEICSPDSFSVTPMPLPPFPFPGHHHCLSTLNSILSQVMLSHFTQTSGGVKVCVFKLTEYHAVVRQLKAEAEALQVEEIPWATFNVVERLSHSVAAGRWTPVRPEHFPDEEVERLIAKLPRTLLDVLLPFQHDGLRFALRRGARCLIADDMGLGKTLQAIAIAGCFLDEGSILVVCPAVLRFTWAEELERWLPFCLPADIHLVFGHQDNPIYLTRKPRVVVISYTMLHRLRKNMLELQWALLIVDESHHVRCTKKTEPGEIQAVLDVASKVNRIVLLSGTPSLSRPYDIYNQINILWPGLLGKTKYEFAKTYCDFKYIKGNQGKYFADYSKGVRLEELNVLLKQTVMLPPKRRQIIRLLIKRSDIVAAKTAIGALSIDATERESEDIAFENLDETDGKLSYQELGIAKLSGFREWLALHPIVAGSENASKMIIFAHHHKVLDGVQEFVCEKGINFVRIDGNTLARDRQSAVVSFRSSPEVKIAIIGILAAGFGLDFSTAQHVVFLELPQSPTLMLQAEDRAHRRGQTNAVNVYIFCAKDTLDESHWKNLNKSLQRVSCTTDGKYDAKKEIEVEGISYLDSSFKRDNCEEQSTCKDAVGETQLDLQPSAVNSNEPEANKDDKSGEGTSFLNKSIQSFNVLADDVSCQDLGTASVLDGNCDADVFEDVERYPGKSLEDTHPLQDMKTVSTTEADDNQSAQVVEADSHCSSQVDFLRFEVSPYTGRIHLYTCILGTDKRPQPLYENFRPEELELLCPVAPEEKQVHNEKQKIEFVSVKDNPSYRHVLLAFVEEWKNLRSIERRKLIGKPLQLPLAVELCYLSESINYNNNGLLNGGSKRRKTPIIEVSYPLPPDAVWKKVYLRSGLGKKEREYTQGWSETDEPLCKLCQKQCKGKNAKGPEFLEDLFCNLVCYEEYRMRTSSRFLREELFKIEHGVCTNCQLDCHKLVKDTRPLSLERRREFIQKIAPNVAKRKNMFEKLVNEPTEGNAWHADHIVPVYQGGGECKLENMRTLCVACHYDVTAAQCAERSKARANAKKKLKELMGSIKNGVKFSVITNIKEHRAIDERGSSIIEDELLVEVPGSAYSLADS >Vigun03g227600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37796474:37797294:1 gene:Vigun03g227600.v1.2 transcript:Vigun03g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNSRTQTQNLLSLFTIIVSFLGLTKNVNSASFSFSSFGSYTNAIALEGDASFSEGTIKLTPLTQNSAGRASYAAPVHLWDAKTGNLAGFTTNFSFVVAPNGPGLFADGIAFFLAPFNSNIPQNSSGGFLGLFTPDTALNVYQNQIVAVEFDSFGGNPWDPPSAHVGIDVNSIASVTTEEWETDIAGNVLVAFASVSYDPVEKSLDVLLTYPGSNVDETSLSFVIDLRTVLPEWVRVGFSGATGQLVEIHNILSWTFTSSYY >Vigun07g046400.4.v1.2 pep primary_assembly:ASM411807v1:7:4733589:4739438:1 gene:Vigun07g046400.v1.2 transcript:Vigun07g046400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVAQVLKSSQISGQETSNETANRILDHAKTMGLVDHLCLCLATSGSSLISGSSNMLRAASEACRAIWSLINALDILFMKKSAILFPINALRSHSLHRMEVVQHEQNLFDKADSTKIVDAMTRAFLRSKAVQVAVYYCFHQRLESAMNCCLQLLSRCCLHNEIVPALLCGLPSSLPVTTVVSGGGDGTIVSEVFTVLSLCSSSVNKDAQSIEPSNVKFKLTNPSALVRHSCLVLAIIAQCLKSTGRNSAMFMLTTAPKKQLARLSVLSNHITSDDKIKASIEPQSASAMLALASILSLESGALVESQISEIALPLIPRTSTLSDLLKFSSGNENELDPCNFSGKLSYWQGVRDGYVGLLDSRLKLGGPLAVQQLCASGIPLLLMGLLGNDVLNASHGTEHQNDRVGLSPIGVVWTISLLCHCLSGGALIYRQILIRNEHIKLISNLICDVHIKLVKCWIGPGGGKAGVRDLINAVIDILAFPFVALQNAPGLPSATASVSSGFLLNMGSPGQRICMEDKGIVKAIEEDMGKYIKILVEVGVPSIILRCVDHMDLNDLGRPVAFLAKMVCHRPLAIQLVSKGLLDPNRMRKLFDCSGPKEVTLDALMIISDLARMDKAFYEYIKGATILEFLKDFLSHEDPNVRAKACSALGNMCRHSAYFYSSLARHQIVGILIERCSDPDKRTRKFACFAIGNAAYHNDLLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDKLCEDIVAKGAVQSLLKLISDCAVSALNPGRNDSGNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIIGKVAEP >Vigun07g046400.3.v1.2 pep primary_assembly:ASM411807v1:7:4728313:4739438:1 gene:Vigun07g046400.v1.2 transcript:Vigun07g046400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDRMSPNFKSFLKGLLNKAPESRLTWPALLEHPFVKETSDEHEARELREINGSRMRSEAERMVEGKTIQTPTGKNNHMAGMEGHIASPLQSEAQLNGHNIDRTNSSVLDDSPGFSNQNIGESGCQRLDRLENNSRTVKSAKIIGQDNEALGHILLPLKKWSKGSQNICSDQDVPQSNQSLRILSNLVAAGAFNSSGRIDELIRELLVFTGSIIAIKSSEVTDMIAKGFSITKILLDNGGSCSSSSYLSHWVEFVEIYSQVVASNNDASGRVLYESSACITVMLSRVAQVLKSSQISGQETSNETANRILDHAKTMGLVDHLCLCLATSGSSLISGSSNMLRAASEACRAIWSLINALDILFMKKSAILFPINALRSHSLHRMEVVQHEQNLFDKADSTKIVDAMTRAFLRSKAVQVAVYYCFHQRLESAMNCCLQLLSRCCLHNEIVPALLCGLPSSLPVTTVVSGGGDGTIVSEVFTVLSLCSSSVNKDAQSIEPSNVKFKLTNPSALVRHSCLVLAIIAQCLKSTGRNSAMFMLTTAPKKQLARLSVLSNHITSDDKIKASIEPQSASAMLALASILSLESGALVESQISEIALPLIPRTSTLSDLLKFSSGNENELDPCNFSGKLSYWQGVRDGYVGLLDSRLKLGGPLAVQQLCASGIPLLLMGLLGNDVLNASHGTEHQNDRVGLSPIGVVWTISLLCHCLSGGALIYRQILIRNEHIKLISNLICDVHIKLVKCWIGPGGGKAGVRDLINAVIDILAFPFVALQNAPGLPSATASVSSGFLLNMGSPGQRICMEDKGIVKAIEEDMGKYIKILVEVGVPSIILRCVDHMDLNDLGRPVAFLAKMVCHRPLAIQLVSKGLLDPNRMRKLFDCSGPKEVTLDALMIISDLARMDKAFYEYIKGATILEFLKDFLSHEDPNVRAKACSALGNMCRHSAYFYSSLARHQIVGILIERCSDPDKRTRKFACFAIGNAAYHNDLLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDKLCEDIVAKGAVQSLLKLISDCAVSALNPGRNDSGNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIIGKVAEP >Vigun07g046400.1.v1.2 pep primary_assembly:ASM411807v1:7:4726602:4739438:1 gene:Vigun07g046400.v1.2 transcript:Vigun07g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHGNIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEDQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDRMSPNFKSFLKGLLNKAPESRLTWPALLEHPFVKETSDEHEARELREINGSRMRSEAERMVEGKTIQTPTGKNNHMAGMEGHIASPLQSEAQLNGHNIDRTNSSVLDDSPGFSNQNIGESGCQRLDRLENNSRTVKSAKIIGQDNEALGHILLPLKKWSKGSQNICSDQDVPQSNQSLRILSNLVAAGAFNSSGRIDELIRELLVFTGSIIAIKSSEVTDMIAKGFSITKILLDNGGSCSSSSYLSHWVEFVEIYSQVVASNNDASGRVLYESSACITVMLSRVAQVLKSSQISGQETSNETANRILDHAKTMGLVDHLCLCLATSGSSLISGSSNMLRAASEACRAIWSLINALDILFMKKSAILFPINALRSHSLHRMEVVQHEQNLFDKADSTKIVDAMTRAFLRSKAVQVAVYYCFHQRLESAMNCCLQLLSRCCLHNEIVPALLCGLPSSLPVTTVVSGGGDGTIVSEVFTVLSLCSSSVNKDAQSIEPSNVKFKLTNPSALVRHSCLVLAIIAQCLKSTGRNSAMFMLTTAPKKQLARLSVLSNHITSDDKIKASIEPQSASAMLALASILSLESGALVESQISEIALPLIPRTSTLSDLLKFSSGNENELDPCNFSGKLSYWQGVRDGYVGLLDSRLKLGGPLAVQQLCASGIPLLLMGLLGNDVLNASHGTEHQNDRVGLSPIGVVWTISLLCHCLSGGALIYRQILIRNEHIKLISNLICDVHIKLVKCWIGPGGGKAGVRDLINAVIDILAFPFVALQNAPGLPSATASVSSGFLLNMGSPGQRICMEDKGIVKAIEEDMGKYIKILVEVGVPSIILRCVDHMDLNDLGRPVAFLAKMVCHRPLAIQLVSKGLLDPNRMRKLFDCSGPKEVTLDALMIISDLARMDKAFYEYIKGATILEFLKDFLSHEDPNVRAKACSALGNMCRHSAYFYSSLARHQIVGILIERCSDPDKRTRKFACFAIGNAAYHNDLLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDKLCEDIVAKGAVQSLLKLISDCAVSALNPGRNDSGNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIIGKVAEP >Vigun07g046400.5.v1.2 pep primary_assembly:ASM411807v1:7:4733613:4739438:1 gene:Vigun07g046400.v1.2 transcript:Vigun07g046400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVAQVLKSSQISGQETSNETANRILDHAKTMGLVDHLCLCLATSGSSLISGSSNMLRAASEACRAIWSLINALDILFMKKSAILFPINALRSHSLHRMEVVQHEQNLFDKADSTKIVDAMTRAFLRSKAVQVAVYYCFHQRLESAMNCCLQLLSRCCLHNEIVPALLCGLPSSLPVTTVVSGGGDGTIVSEVFTVLSLCSSSVNKDAQSIEPSNVKFKLTNPSALVRHSCLVLAIIAQCLKSTGRNSAMFMLTTAPKKQLARLSVLSNHITSDDKIKASIEPQSASAMLALASILSLESGALVESQISEIALPLIPRTSTLSDLLKFSSGNENELDPCNFSGKLSYWQGVRDGYVGLLDSRLKLGGPLAVQQLCASGIPLLLMGLLGNDVLNASHGTEHQNDRVGLSPIGVVWTISLLCHCLSGGALIYRQILIRNEHIKLISNLICDVHIKLVKCWIGPGGGKAGVRDLINAVIDILAFPFVALQNAPGLPSATASVSSGFLLNMGSPGQRICMEDKGIVKAIEEDMGKYIKILVEVGVPSIILRCVDHMDLNDLGRPVAFLAKMVCHRPLAIQLVSKGLLDPNRMRKLFDCSGPKEVTLDALMIISDLARMDKAFYEYIKGATILEFLKDFLSHEDPNVRAKACSALGNMCRHSAYFYSSLARHQIVGILIERCSDPDKRTRKFACFAIGNAAYHNDLLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDKLCEDIVAKGAVQSLLKLISDCAVSALNPGRNDSGNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIIGKVAEP >Vigun07g046400.2.v1.2 pep primary_assembly:ASM411807v1:7:4726602:4739438:1 gene:Vigun07g046400.v1.2 transcript:Vigun07g046400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHGNIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEDQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDRMSPNFKSFLKGLLNKAPESRLTWPALLEHPFVKETSDEHEARELREINGSRMRSEAERMVEGKTIQTPTGMEGHIASPLQSEAQLNGHNIDRTNSSVLDDSPGFSNQNIGESGCQRLDRLENNSRTVKSAKIIGQDNEALGHILLPLKKWSKGSQNICSDQDVPQSNQSLRILSNLVAAGAFNSSGRIDELIRELLVFTGSIIAIKSSEVTDMIAKGFSITKILLDNGGSCSSSSYLSHWVEFVEIYSQVVASNNDASGRVLYESSACITVMLSRVAQVLKSSQISGQETSNETANRILDHAKTMGLVDHLCLCLATSGSSLISGSSNMLRAASEACRAIWSLINALDILFMKKSAILFPINALRSHSLHRMEVVQHEQNLFDKADSTKIVDAMTRAFLRSKAVQVAVYYCFHQRLESAMNCCLQLLSRCCLHNEIVPALLCGLPSSLPVTTVVSGGGDGTIVSEVFTVLSLCSSSVNKDAQSIEPSNVKFKLTNPSALVRHSCLVLAIIAQCLKSTGRNSAMFMLTTAPKKQLARLSVLSNHITSDDKIKASIEPQSASAMLALASILSLESGALVESQISEIALPLIPRTSTLSDLLKFSSGNENELDPCNFSGKLSYWQGVRDGYVGLLDSRLKLGGPLAVQQLCASGIPLLLMGLLGNDVLNASHGTEHQNDRVGLSPIGVVWTISLLCHCLSGGALIYRQILIRNEHIKLISNLICDVHIKLVKCWIGPGGGKAGVRDLINAVIDILAFPFVALQNAPGLPSATASVSSGFLLNMGSPGQRICMEDKGIVKAIEEDMGKYIKILVEVGVPSIILRCVDHMDLNDLGRPVAFLAKMVCHRPLAIQLVSKGLLDPNRMRKLFDCSGPKEVTLDALMIISDLARMDKAFYEYIKGATILEFLKDFLSHEDPNVRAKACSALGNMCRHSAYFYSSLARHQIVGILIERCSDPDKRTRKFACFAIGNAAYHNDLLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDKLCEDIVAKGAVQSLLKLISDCAVSALNPGRNDSGNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIIGKVAEP >Vigun01g242400.1.v1.2 pep primary_assembly:ASM411807v1:1:41173754:41175262:-1 gene:Vigun01g242400.v1.2 transcript:Vigun01g242400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYCRSINGSRRNLGYLSCGTGNPIDDCWRCDPNWERNRKRLASCGIGFGKNAIGGRDGKVYVVSDPSDNPMNPKPGTLRHGVIQEEPLWIIFKRDMVIKLHMDLLVNSYKTIDGRGATIHIAGGPCIKVHNKRNIIIHGIHIHDCKRGGSGYVTDFPNHRSWSARSDGDGITISGASHVWVDHCSLSNCFDGLIDVVHGSTAITISNNYMTHHNKVMLLGHSDSYKDDKNMQVTIAFNHFGEGLGGRMPRCRFGYFHVVNNDYTHWQHYAIGGSSSPTIFSQGNRFLAPNDEDHKEVTKHFKSSEREWKKWNWRSEGDLMLNGAFFTPSGAGATARYDRASSMAARPPLLVPSLTAGAGALRCKNGHLCGH >Vigun01g242400.2.v1.2 pep primary_assembly:ASM411807v1:1:41173755:41175684:-1 gene:Vigun01g242400.v1.2 transcript:Vigun01g242400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPNIMAFSFTFTFHLLLLVPSVVYVSTSHVQDPELVVQEVEKSINGSRRNLGYLSCGTGNPIDDCWRCDPNWERNRKRLASCGIGFGKNAIGGRDGKVYVVSDPSDNPMNPKPGTLRHGVIQEEPLWIIFKRDMVIKLHMDLLVNSYKTIDGRGATIHIAGGPCIKVHNKRNIIIHGIHIHDCKRGGSGYVTDFPNHRSWSARSDGDGITISGASHVWVDHCSLSNCFDGLIDVVHGSTAITISNNYMTHHNKVMLLGHSDSYKDDKNMQVTIAFNHFGEGLGGRMPRCRFGYFHVVNNDYTHWQHYAIGGSSSPTIFSQGNRFLAPNDEDHKEVTKHFKSSEREWKKWNWRSEGDLMLNGAFFTPSGAGATARYDRASSMAARPPLLVPSLTAGAGALRCKNGHLCGH >VigunL059077.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000493.1:25948:26924:-1 gene:VigunL059077.v1.2 transcript:VigunL059077.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKNMTERSNDETRSYFSWNLEMERVLAEVLRDQRNMGNKSDGAWKRVAYNAAAVVLSNNFKVQVTWENVKNRIKLWRSWYGVVSDILGQSGFDWDGTKHMITVAIPNWDDIVDLCAKDRATGHGAETAMDADEVMSKEVTEVNFVGLEDLNATIDLEEPNSNLKRKAQSTSSSTRVLDEVRLMPNLTKEQWAKVVKWLADMPKQLAIVKALPIEQKEDYVLIHISTT >Vigun04g075100.1.v1.2 pep primary_assembly:ASM411807v1:4:9182994:9186462:-1 gene:Vigun04g075100.v1.2 transcript:Vigun04g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKILVVSFTLTSLLFFLVFIPRKLTIPSSSMNASMNSFNTTKANNSYPVTFAYLISASKGDSGKLKRLVRALYHPGNYYLIHMDSGAPEAEHRDVVQHVAKDPVYGALGNVWVVEKRNLVTYKGPTMLSTTLHAMAMLLRTCQWDWFINLSASDYPLVTQDDMIQAFSQVPKHINFIHHSSQLGWKLNKRGKPIIIDPGLYSLKKSQIWWVTKQRSLPTSFKLYTGSAWTILSRSFAEYCIVGWENLPRTLLLYYTNFVSSPEGYFQTVICNSKDYKNTTANTDMHYITWDYPPKQHPRTLALKDYRKMVLTNRPFARKFKKNDPLLDKIDRELLKRNRGEFSFGGWCSEGERHSRACSGFKPHNYGVLKPGPASKKLKFLLSYILSRKVFHKRQCR >Vigun08g133750.1.v1.2 pep primary_assembly:ASM411807v1:8:30515681:30517518:-1 gene:Vigun08g133750.v1.2 transcript:Vigun08g133750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVLDIFYLFILVMKWILIWVYGSLLLSTQNLKAMASPWPWKLPLIGSLHHLVGFLSHHRLRELAKKYGPLMHLKLGEASTMWFHHQKWPKMS >Vigun08g063200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8693981:8694931:-1 gene:Vigun08g063200.v1.2 transcript:Vigun08g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSCSLLCIAILLALSSAIDGFNITELLEKYPDLSSFNRYITEAKLADQINSRNTITVLAVENGAISSIAGKPPAVIKAIISTHIILDYYDEKKLVEVHPSHPQLTTLFQSTGLAVKQQGFLNVSLIGEGEIAFSPAGTSDYSELVKTVVTEPYNISVLQIAKPIIAPGIDNETQLAQPPQAAKASPPTGTAKAPAPSKDSAKTPAPSTQSAKAPAPSGAKAPAPASKGGAKAPAPAGDAKSPSPTTTEAPAPSDTAAAPSPAESHAPVAADAPAADGPAADDGDAADTASSSSIIKMSLVGSVMAFASLLIVL >Vigun10g046000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:6760992:6761463:-1 gene:Vigun10g046000.v1.2 transcript:Vigun10g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTSSMKVWFFCFLLLSMVAFSHVKAEDEMKITETNGESSVRKLLDAGNSKLPPVANPYNRGCSPITRCRRENS >Vigun05g135520.1.v1.2 pep primary_assembly:ASM411807v1:5:16183480:16185188:1 gene:Vigun05g135520.v1.2 transcript:Vigun05g135520.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFILSRNLEQPIQRFRLTNTSFGQMTDCDCYQIKSFVRAVGSTGRVQHLDLTLCYALIDISSDFLFCKTLRVLKLNMVTLKSLPWVDFPLLKVLHLHDIKILDGKANLVPQILSACPKVEDLEVEDAFDDYEAIDEYKRLPKLFRAVIEKYVVPLKVVKNVQLLIIRNKDLNRRINDPIPLFHNLTHLEVNSDCFCEVNPTIFWHDVFSLVKHCPKLQNLSIEMGNFEFPSGVDDCPFSQGRVPRCLSSHLQTCLLENYTGIHWEFKFAKYIMENARFLKDMIICYNTDEEVDMFDRTIELSFCSRLSPTCNLSINKF >Vigun03g089400.1.v1.2 pep primary_assembly:ASM411807v1:3:7506762:7513052:-1 gene:Vigun03g089400.v1.2 transcript:Vigun03g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCMQRDSVLNRLMDCGKYVRYTPEQVEALERVYAECPKPSSSRRQQLIRDCPILSNIEQKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYDNGYMKQQIHTASATTTDNSCESVVVSGQNQQQNPKTQHPQWDANNPAGLFAIAQETLAAFLSKATGTAVNWVQMIGMKPGPDSIGIVAVSSNCSGVAARACGLVSLEPAKVAEILKDRPSWYRDCRCLNVVNVFPTGNGGTIELMYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTSSTGGPTGPSASNFVRAEMLPSGYLIRSCEGGGSIIHIVDHIDLDVWSVPEVLRPLYESSKFLAQKLTTAALRHVKQIAQESSGEVHYGGGRQPAVLRTFSQRLCKGFNDAVNGFVDDGWSPIGNDGVEDVTIAINSSPNKFFGSHYNTSMLPTFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKASPYAVPCARPSGFPSSQVILPLAHTIEHEEFLEVVRIEGNAFPPDDVALACDMYLLQLCSGVDENAIGACAQLVFAPIDESFADDALLLPSGFRIIPLDPKTDVPASTRTLDLASALDTGSGNARAAGETDPNNYNLRSVLTIAFQFTFENHVRDNVAVMARQYVRNVVRSVQRVAMAIAPSRLNTELGSKSLTGPPEALTLARWICRSYRLHTCTELFRVESTSGDAILKQLWHHSDAILCCSVKTNASPVFTFANQAGLDMLETTLVALQDIMLDKVLDESGRKLLCIEFSKIMQQGFAYLPGGICVSSMNRPVSYEQAIACKVLDDNDSNHCLAFVFMNWSFV >Vigun06g191700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30909453:30910379:-1 gene:Vigun06g191700.v1.2 transcript:Vigun06g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSIIILASALCLLSLLGSACAKDRFFVEGVVYCDTCRVQFLTRMSEFLEGATVRVMCSQVDNAKNVTFDKETTTNALGAYKMEVDGDHEEDTCEVTLVKSPRADCSEVDKESHLEQAARISITKNSGIVSNLRQPNPLGFLKKDRLPGCADLFKELGINEDGTPIP >Vigun04g044900.3.v1.2 pep primary_assembly:ASM411807v1:4:3879098:3889060:1 gene:Vigun04g044900.v1.2 transcript:Vigun04g044900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKAKKKEEEDTEVINPETLERKKLKSLAFSNNILSETPASSSVLLKPSSVVAKHHGKDIIKKSHRKSSRYLFSFPGLIAPLAGGKIGDLKDLGTKNPVLYLDFPQGQMKLFGTIVYPKNRYLTLQFPKGGKSVMCEDYFDNMIVFSDAWWIGKKEENPEEAKLDFPKEFYEGHQAEYDFKGGAGAGAASVVNQGVPRTRIQHAEPESPKTPSENELSESEINLEDIKESGPSRHSTRTAGKSYKFAEISSGDDSGENSPDLSDHEEKVEEVDTAVNNHNSSKKKTVVFDLDNEDDAPVHPLKQENNESVSQSASVSASTKVKSSNRGSLVQATISTLFKKVEENKTTRNSRKSPSSKASGQKLQPACSKRKIDLDEGPKKRGRKAKDKTTGRR >Vigun04g044900.2.v1.2 pep primary_assembly:ASM411807v1:4:3879098:3889060:1 gene:Vigun04g044900.v1.2 transcript:Vigun04g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKAKKKEEEDTEVINPETLERKKLKSLAFSNNILSETPASSSVLLKPSSVVAKHHGKDIIKKSHRKSSRYLFSFPGLIAPLAGGKIGDLKDLGTKNPVLYLDFPQGQMKLFGTIVYPKNRYLTLQFPKGGKSVMCEDYFDNMIVFSDAWWIGKKEENPEEAKLDFPKEFYEGHQAEYDFKGGAGAGAASVVNQGVPRTRIQHAEPESPKTPSENELSESEINLEDIKESGPSRHSTRTAGKSYKFAEISSGDDSGENSPDLSDHEEKVEEVDTAVNNHNSSKKKTVVFDLDNEDDAPVHPLKQENNESVSQSASVSASTKVKSSNRGSLVQATISTLFKKVEENKTTRNSRKSPSSKASGQKLQPACSKRKIDLDEGPKKRGRKAKDKTTGKNIKAKTKQSDDNGSDEDWTA >Vigun04g044900.1.v1.2 pep primary_assembly:ASM411807v1:4:3879098:3889060:1 gene:Vigun04g044900.v1.2 transcript:Vigun04g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKAKKKEEEDTEVINPETLERKKLKSLAFSNNILSETPASSSVLLKPSSVVAKHHGKDIIKKSHRKSSRYLFSFPGLIAPLAGGKIGDLKDLGTKNPVLYLDFPQGQMKLFGTIVYPKNRYLTLQFPKGGKSVMCEDYFDNMIVFSDAWWIGKKEENPEEAKLDFPKEFYEGHQAEYDFKGGAGAGAASVVNQGVPRTRIQHAEPESPKTPSENELSESEINLEDIKESGPSRHSTRTAGKSYKFAEISSGDDSGENSPDLSDHEEKVEEVDTAVNNHNSSKKKTVVFDLDNEDDAPVHPLKQENNESVSQSASVSASTKVKSSNRGSLVQATISTLFKKVEENKTTRNSRKSPSSKASGQKLQPACSKRKIDLDEGPKKRGRKAKDKTTGKNIKAKTKQSDDEDDDDIEEFSNASQDNGSDEDWTA >Vigun02g089250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24398817:24399023:-1 gene:Vigun02g089250.v1.2 transcript:Vigun02g089250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTCHFFSFLRFLFSVSSGQVVLSLCIVATCLLYPTLHSSSSNFEISHPLFIFQYKICQVKHCCVLL >Vigun02g103000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25770520:25773309:-1 gene:Vigun02g103000.v1.2 transcript:Vigun02g103000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAQDEYVAVSESTSTRANHSSKVSVLPLVFLIFYEVSGGPFGVEDTVQAAGPLLALLGFLFFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGLPRVAATWGLTIVLTYLNYRGMVIVGWVAVCLGIFSLLPFVVMGFLSIPDLEPSRWVVANLNDVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPRALFYAVILVVLGYFFPLLIGTGAVPLNRDLWSDGYFSDVAMIIGGAWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLVGILFSASGVIILSWMSFQEIVAAENFLYCFGMILEFIAFILLRIRHPNASRPYKVAGGTVGAILLCIPPTILIGVVLFFSSLKVMFVSLIAMAIGLVLQPCLKYVEKKRWMKFSHSSDLPDFGNLEVNRSLVY >Vigun02g103000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25770520:25773309:-1 gene:Vigun02g103000.v1.2 transcript:Vigun02g103000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAQDEYVAVSESTSTRANHSSKVSVLPLVFLIFYEVSGGPFGVEDTVQAAGPLLALLGFLFFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGLPRVAATWGLTIVLTYLNYRGMVIVGWVAVCLGIFSLLPFVVMGFLSIPDLEPSRWVVANLNDVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPRALFYAVILVVLGYFFPLLIGTGAVPLNRDLWSDGYFSDVAMIIGGAWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLVGILFSASGVIILSWMSFQEIVAAENFLYCFGMILEFIAFILLRIRHPNASRPYKVAGGTVGAILLCIPPTILIGVVLFFSSLKVMFVSLIAMAIGLVLQPCLKYVEKKRWMKFSHSSDLPDFGNLEVNRSLVY >Vigun02g103000.3.v1.2 pep primary_assembly:ASM411807v1:2:25770520:25773333:-1 gene:Vigun02g103000.v1.2 transcript:Vigun02g103000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAQDEYVAVSESTSTRANHSSKVSVLPLVFLIFYEVSGGPFGVEDTVQAAGPLLALLGFLFFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGLPRVAATWGLTIVLTYLNYRGMVIVGWVAVCLGIFSLLPFVVMGFLSIPDLEPSRWVVANLNDVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPRALFYAVILVVLGYFFPLLIGTGAVPLNRDLWSDGYFSDVAMIIGGAWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLVGILFSASGVIILSWMSFQEIVAAENFLYCFGMILEFIAFILLRIRHPNASRPYKALCCSLASNMWRKRDG >Vigun02g103000.2.v1.2 pep primary_assembly:ASM411807v1:2:25770520:25773333:-1 gene:Vigun02g103000.v1.2 transcript:Vigun02g103000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIAAANRQQASIQMGEFAQDEYVAVSESTSTRANHSSKVSVLPLVFLIFYEVSGGPFGVEDTVQAAGPLLALLGFLFFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGLPRVAATWGLTIVLTYLNYRGMVIVGWVAVCLGIFSLLPFVVMGFLSIPDLEPSRWVVANLNDVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPRALFYAVILVVLGYFFPLLIGTGAVPLNRDLWSDGYFSDVAMIIGGAWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLVGILFSASGVIILSWMSFQEIVAAENFLYCFGMILEFIAFILLRIRHPNASRPYKALCCSLASNMWRKRDG >Vigun02g103000.1.v1.2 pep primary_assembly:ASM411807v1:2:25770520:25773309:-1 gene:Vigun02g103000.v1.2 transcript:Vigun02g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIAAANRQQASIQMGEFAQDEYVAVSESTSTRANHSSKVSVLPLVFLIFYEVSGGPFGVEDTVQAAGPLLALLGFLFFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGLPRVAATWGLTIVLTYLNYRGMVIVGWVAVCLGIFSLLPFVVMGFLSIPDLEPSRWVVANLNDVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPRALFYAVILVVLGYFFPLLIGTGAVPLNRDLWSDGYFSDVAMIIGGAWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFTKRSRHGTPLVGILFSASGVIILSWMSFQEIVAAENFLYCFGMILEFIAFILLRIRHPNASRPYKVAGGTVGAILLCIPPTILIGVVLFFSSLKVMFVSLIAMAIGLVLQPCLKYVEKKRWMKFSHSSDLPDFGNLEVNRSLVY >Vigun04g079700.4.v1.2 pep primary_assembly:ASM411807v1:4:11252721:11254991:-1 gene:Vigun04g079700.v1.2 transcript:Vigun04g079700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGQEGQEQQQQQQQQQQKQPSERTEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQIQATLNNGGGASTNNPFQHHHQNNPALVANMGFASATSSFLNPSYIPPGSSSSSSSPPCGAEESFDDFFPPSTQMGFPGIDHHASAASSSSVLYPLEASNLNYHSGVITVFINGVPTEVPRGPMDMKTAFGEDVMLVHSSGISVPTNEFGFLMQGLQHGESYFVVSKQTQV >Vigun04g079700.2.v1.2 pep primary_assembly:ASM411807v1:4:11252721:11254981:-1 gene:Vigun04g079700.v1.2 transcript:Vigun04g079700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGQEGQEQQQQQQQQQQKQPSERTEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQIQATLNNGGGASTNNPFQHHHQNNPALVANMGFASATSSFLNPSYIPPGSSSSSSSPPCGAEESFDDFFPPSTQMGFPGIDHHASAASSSSVLYPLEASNLNYHSGFGGISGVITVFINGVPTEVPRGPMDMKTAFGEDVMLVHSSGISVPTNEFGFLMQGLQHGESYFVVSKQTQV >Vigun04g079700.3.v1.2 pep primary_assembly:ASM411807v1:4:11252721:11254981:-1 gene:Vigun04g079700.v1.2 transcript:Vigun04g079700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGQEGQEQQQQQQQQQQKQPSERTEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQIQATLNNGGGASTNNPFQHHHQNNPALVANMGFASATSSFLNPSYIPPGSSSSSSSPPCGAEESFDDFFPPSTQMGFPGIDHHASAASSSSVLYPLEASNLNYHSGFGGISGVITVFINGVPTEVPRGPMDMKTAFGEDVMLVHSSGISVPTNEFGFLMQGLQHGESYFVVVEFWLQVSKQTQV >Vigun04g079700.1.v1.2 pep primary_assembly:ASM411807v1:4:11252721:11254981:-1 gene:Vigun04g079700.v1.2 transcript:Vigun04g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGQEGQEQQQQQQQQQQKQPSERTEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQIQATLNNGGGASTNNPFQHHHQNNPALVANMGFASATSSFLNPSYIPPGSSSSSSSPPCGAEESFDDFFPPSTQMGFPGIDHHASAASSSSVLYPLEASNLNYHSDIGFGGISGVITVFINGVPTEVPRGPMDMKTAFGEDVMLVHSSGISVPTNEFGFLMQGLQHGESYFVVSKQTQV >Vigun01g064040.1.v1.2 pep primary_assembly:ASM411807v1:1:16158584:16159830:-1 gene:Vigun01g064040.v1.2 transcript:Vigun01g064040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQRYIQRWIHEFKKRIYIAPYIEGSHWQLIIICPIDRIVVWFCSLHKKPSKEMKTLIQRAINVSGVLDGKSTASSSHLDWIYPKCNRQRGSFECGYYVMHWMWTIARATIVDSWADVFDGVEPLGKDKIEDIRKEWARYFLWLKH >Vigun03g267900.1.v1.2 pep primary_assembly:ASM411807v1:3:43881122:43884635:-1 gene:Vigun03g267900.v1.2 transcript:Vigun03g267900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRISYLMDEIWERAVETALDGEKDHASARTLTLDGAVKCVQGRLPPPSLLERFQNLQHLSIANVGVSTLEQFPRLRNLQKLNLSDNRIAGGLEFLVQAGLDSLRDLDLSNNRIQYIEDLAPLAQVKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEDEDEEEEEDDPGSGEIDGEEDRPHGINNGHSEGVDGVVDADEEEESDADEEETETSRRVTNGDNHRENGFRVVPVEGDDVEEDDEEDDSGEEIDEEEGDDDDVVEVHEIEDSDDEDGVEYDEDDDDDEDDEEEVDNDEGDFAEPESTGRLTSTEGEIDGHEQGEEDGDEDDNGETGEDEMGVEDDGDFEDDDEEEDYGAGYLVQPVGQSDALNSGVVADVDGGNDEGEEEDEVDDDDEDVQVLPPDSSHLKRKRNDEEEDDEESDDEDVVAFTKSSKKHH >Vigun01g119900.2.v1.2 pep primary_assembly:ASM411807v1:1:29630073:29633810:1 gene:Vigun01g119900.v1.2 transcript:Vigun01g119900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYSMLQNAPTMEPTMLNTQEGTLRNWNQDPLLLHQHLLTPQMESTSQGSINNSTCSSSLQYHVLSLLEGIINEKHIEETLIQSKLLSSNVGSIAATATATATRIINERERNDCGENDRRQHETCLDLVAKTIGSKSSVYRGVVKCNEDRFEAFVWDKSDPGEKGRTVYIGAYSTEVDAAKAHDLVSIRIGGLKALTNFHVRCYSKDMDEMRRMSKWDYICAVRGLGKGYTDGDSPFRGVYRVPTSRKWEARLGREGSPTIHLGTYYTAEDAARAYDIISIKLKGGEAITNFDWNSYETEGIMESVISQSTDGSIILQKEEKNKETEASPQNSSSVQCHSQPPSIPSICRCCHNQILTPTNPHALGAIADPAGNSGSNNSIVNDAVQFRNENVTQQQPRPLQTQRQVCFNDASNQNLVNNNQLPNHNAAQQQSLQTPMQVSFNNGFNQTLVNNNYSNNTNTNTNTNTNNQFLPQGSNLALPATGIRNLELEKCVRFPDWRSGFGKKLLGGNLELESLSNIAALSLQALPQVTLNGSTGATQLHSPALQQSYEHQNLNSCSSDSFQNPVSEPNNNGFQSQLDLDMIDYLNRSYIEDTDFTCDYDMFSALNGGR >Vigun01g119900.1.v1.2 pep primary_assembly:ASM411807v1:1:29630073:29633810:1 gene:Vigun01g119900.v1.2 transcript:Vigun01g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYSMLQNAPTMEPTMLNTQEGTLRNWNQDPLLLHQHLLTPQMESTSQGSINNSTCSSSLQYHVLSLLEGIINEKHIEETLIQSKLLSSNVGSIAATATATATIINERERNDCGENDRRQHETCLDLVAKTIGSKSSVYRGVVKCNEDRFEAFVWDKSDPGEKGRTVYIGAYSTEVDAAKAHDLVSIRIGGLKALTNFHVRCYSKDMDEMRRMSKWDYICAVRGLGKGYTDGDSPFRGVYRVPTSRKWEARLGREGSPTIHLGTYYTAEDAARAYDIISIKLKGGEAITNFDWNSYETEGIMESVISQSTDGSIILQKEEKNKETEASPQNSSSVQCHSQPPSIPSICRCCHNQILTPTNPHALGAIADPAGNSGSNNSIVNDAVQFRNENVTQQQPRPLQTQRQVCFNDASNQNLVNNNQLPNHNAAQQQSLQTPMQVSFNNGFNQTLVNNNYSNNTNTNTNTNTNNQFLPQGSNLALPATGIRNLELEKCVRFPDWRSGFGKKLLGGNLELESLSNIAALSLQALPQVTLNGSTGATQLHSPALQQSYEHQNLNSCSSDSFQNPVSEPNNNGFQSQLDLDMIDYLNRSYIEDTDFTCDYDMFSALNGGR >Vigun01g218500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39246499:39249182:1 gene:Vigun01g218500.v1.2 transcript:Vigun01g218500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPPSSSSSSTCTATTTTAATTTLYLNSTENCEVSKKEGSSRSTSSTTSPLIKNSTTQGKCAFARKCARLVKEQRARFYIMRRCVIMLICWHEYSDS >Vigun01g218500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39246499:39249182:1 gene:Vigun01g218500.v1.2 transcript:Vigun01g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPPSSSSSSTCTATTTTAATTTLYLNSTENCEVSKKEGSSRSTSSTTSPLIKNSTTQGKCAFARKCARLVKEQRARFYIMRRCVIMLICWHEYSDS >Vigun09g100501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16443937:16444086:1 gene:Vigun09g100501.v1.2 transcript:Vigun09g100501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILVVCGVVFGFWLGSLAGEGDEGKMMVAREIEIEDEVIFQHSLGQH >Vigun02g121000.2.v1.2 pep primary_assembly:ASM411807v1:2:27336615:27339437:1 gene:Vigun02g121000.v1.2 transcript:Vigun02g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIADAEYVKEIEKARRDLRALISSRNCAPLMLRLAWHDAGTYDVKTGTGGPNGSIRNAPELNHAANKGLQTAVLFCEEVKAKHPKVSYADLYQLAGVVAVEITGGPTIDFVPGRKDSLESPAEGRLPDAKQGASHLREIFYRMGLSDRDIVALSGGHTLGKAHRDRSDFEGQWTKDPLKFDNSYFVMRMLFSKIMQPRTKNSQSLASF >Vigun02g121000.1.v1.2 pep primary_assembly:ASM411807v1:2:27336615:27339437:1 gene:Vigun02g121000.v1.2 transcript:Vigun02g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIADAEYVKEIEKARRDLRALISSRNCAPLMLRLAWHDAGTYDVKTGTGGPNGSIRNAPELNHAANKGLQTAVLFCEEVKAKHPKVSYADLYQLAGVVAVEITGGPTIDFVPGRKDSLESPAEGRLPDAKQGASHLREIFYRMGLSDRDIVALSGGHTLGKAHRDRSDFEGQWTKDPLKFDNSYFVELLKGESKDLLKLPTDKALVEDPIFRKYVELYAKDEDAFFKDYATSHKKLSELGFILKNHRSILAKGIIGFGIALTAVILGYLHELNKPTN >Vigun08g018100.1.v1.2 pep primary_assembly:ASM411807v1:8:1535611:1544198:1 gene:Vigun08g018100.v1.2 transcript:Vigun08g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVRCYSSCALFGFRCNLRFAVVVFEIILILAWLEVYNAKLPEHRFHGGGLEGRSENIASHSCIHDQILEQRKRPGRKVYSVTPQVYKPGLSKHLQLKGRALLGISTSSELLGIEKQPIRIYLNYDAVGHSPDRDCQKIGDIVKLGEPAITSLPGLPSCNPLADPPVFGDCWYNCTSEDISGEDKKRRLRKALGQTADWFRRVLSVEPVKGNLRLSGYSACGQDGGVQLPHAYVEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRLDKVTEQVMDEKIGRMVTRVVLPRVVMHSRHHYAAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADQLDWGRNQGTEFVTSPCNLWKGAYHCNTTQFSGCTYNREAEGYCPILTYSGDLPQSARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTSSARAPDSMLGEVRGSNSRCMASSLVRTGFVRGSLTQGNGCYQHRCINNSLEVAVDGVWKVCPRAGGPIQFPGFNGELICPAYHELCNTDPVVVSGQCPSACNFNGDCVDGRCHCFLGFHGHDCSGRYCPSNCTGKGVCLTSGICECKTGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSRLISSLSICRNVLGNDISGQHCAPSEPSIQQQLEEVVVMPNYHRLFPGGARKLFNIFGSTYCDEAAKRLACWISIQKCEKDGDNRLRVCHSACQAYNLACGASLDCGDQTLFSSEGERQGQCTGSGEMKLSWFNRLRNSFSLRNSSSKVISVRYRQL >Vigun08g018100.2.v1.2 pep primary_assembly:ASM411807v1:8:1535611:1544198:1 gene:Vigun08g018100.v1.2 transcript:Vigun08g018100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVRCYSSCALFGFRCNLRFAVVVFEIILILAWLEVYNAKLPEHRFHGGGLEGRSENIASHSCIHDQILEQRKRPGRKVYSVTPQVYKPGLSKHLQLKGRALLGISTSSELLGIEKQPIRIYLNYDAVGHSPDRDCQKIGDIVKLGEPAITSLPGLPSCNPLADPPVFGDCWYNCTSEDISGEDKKRRLRKALGQTADWFRRVLSVEPVKGNLRLSGYSACGQDGGVQLPHAYVEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRLDKVTEQVMDEKIGRMVTRVVLPRVVMHSRHHYAAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADQLDWGRNQGTEFVTSPCNLWKGAYHCNTTQFSGCTYNREAEGYCPILTYSGDLPQSARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTSSARAPDSMLGEVRGSNSRCMASSLVRTGFVRGSLTQGNGCYQHRCINNSLEVAVDGVWKVCPRAGGPIQFPGFNGELICPAYHELCNTDPVVVSGQCPSACNFNGDCVDGRCHCFLGFHGHDCSGRYCPSNCTGKGVCLTSGICECKTGYTGIDCSTGTFQVPYLLFAMSSAVFMVEFVIMEFVNSVVLTMRDILARTAPGSSPVFQFAEMCWEMIFPDNIVHPVNLAYNSS >Vigun07g010400.1.v1.2 pep primary_assembly:ASM411807v1:7:894515:910124:-1 gene:Vigun07g010400.v1.2 transcript:Vigun07g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTSEESGVGKSSEGTFSGQHSQSGEAVAEWRSSEQVENGSPSTSPPYWDTDEDDEGPKPSELFGRYTWKIEKFSQITRRELRSSPFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVDASDNLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFCTFWREIDQTSRRRMSREKTNVILKVVVKHFFIEKEVTSTLVMDSLYSGLRALEGQTKCKKGRAKLLDAEEMPAPIVRAEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTLEIFVLAHIFSNKIEVSYQEAIALKRQEELIREEEAAWLAESEQKAKRGNEREKKSKKKQAKQKRNNRKGKDKVKEERPAVALHDKQQNNAVDEKKHSNMEEVQTLDEKLDTLEVASDVSDSVDRVGEVLQPDSEDRDVSPVNWDTDASEVHPPTEASSNGIGSMSSVQNGMAEKRSSSVMDDSSSTCSTDSLPSVVLNDSHKGNSFSNFEVRKFPSRGKNQVKASCNAGSWTNEMDCQPSGSIGDTVDVNESESRKLGESEPEGAVLSLQDRLKWLDQHVVRKEEATPSLQNKQSIKDRVITERTVNNESLQKEKKSSVPSSSSSPPRNLPIQKELENQTKVMGDPVHARKTSFSASQPTDKELSSSSASVSQVATGPKAEIQKTSPPRLSERSMAQVAMMSRPSSAPLVPGGPRPAAAVVSMVQTAPLLARSVSATGRLGPDPSPATHSYVPQSYRNAMMGNPAVSTAASLPHCSSSSGVNSSPGYSQPQLVSSPLFLSRSSDKLDSNASQSGVPFSMISRDVLQNGPNWIDSSHREASRNLHYEPPSRLNDVQNLDLYKPVDSRSLGNVSSEFPACTSRRQNQGSGLVDEFPHLDIINDLLDEEHVTGKAARASPAFHSLNDGPQLLNRQFTFPGDLGTNDDLGSSTSSCRFERSRSYQDARFEQGYSSSGRQFDMRPDYLPPASTLSSYGNGKVDGLTPNQWQVAGSDLSYLGMRNTENSYSYYQDYPNMANVNGVNGYTVFRPSNGP >VigunL011600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:28106:28642:-1 gene:VigunL011600.v1.2 transcript:VigunL011600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KNTRLDKKFYTKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun07g257500.1.v1.2 pep primary_assembly:ASM411807v1:7:37479400:37481451:-1 gene:Vigun07g257500.v1.2 transcript:Vigun07g257500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEERTTVGWAARDPSGILSPYTYNLRHTGPDDVYIKVHYCGICHSDLHQIKNDLGMSNYPMVPGHEVVGEVLEVGSNVSRFRVGEVVGVGLLVGCCKNCGPCQSDIEQYCSKKIWSYNDVYVDGKPTQGGFAETMIVEQKFVVKIPEGLAPEQAAPLLCAGVTVYSPLSHFGLKEKGLRGGILGLGGVGHMGVKIAKAFGHHVTVISSSDKKKQEALEHLGADQYLVSSDATAMQEAADSLDYIIDTVPVGHPLEPYLSLLKLDGKLILMGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEMLEFWKEKELSSMIEVVNMDYINKAFERLEKNDVRYRFVVDVKGSKLEE >VigunL059335.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000262.1:20860:21168:1 gene:VigunL059335.v1.2 transcript:VigunL059335.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun03g449400.4.v1.2 pep primary_assembly:ASM411807v1:3:65049679:65052369:1 gene:Vigun03g449400.v1.2 transcript:Vigun03g449400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIMRFEGDEIPERRFSGKIVGVGDNKSSVWPDSEWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKYPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYLSNRMENSLESISPIALKEAGEKRKDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDGESDQQSEPSNANRCDIPSVSCDAEKSCLSPQEMQSKQIRSCTKVVWIRWFSISLQRSRKKCTVFEIIYLLPEYRAMILLTCELVKCQSIGQ >Vigun03g449400.2.v1.2 pep primary_assembly:ASM411807v1:3:65049287:65052369:1 gene:Vigun03g449400.v1.2 transcript:Vigun03g449400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIMRFEGDEIPERRFSGKIVGVGDNKSSVWPDSEWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKYPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYLSNRMENSLESISPIALKEAGEKRKDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDGESDQQSEPSNANRCDIPSVSCDAEKSCLSPQEMQSKQIRSCTKVVWIRWFSISLQRSRKKCTVFEIIYLLPEYRAMILLTCELVKCQSIGQ >Vigun03g449400.3.v1.2 pep primary_assembly:ASM411807v1:3:65049679:65052369:1 gene:Vigun03g449400.v1.2 transcript:Vigun03g449400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIMRFEGDEIPERRFSGKIVGVGDNKSSVWPDSEWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKYPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYLSNRMENSLESISPIALKEAGEKRKDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDGESDQQSEPSNANRCDIPSVSCDAEKSCLSPQEMQSKQIRSCTKVVWIRWFSISLQRSRKKCTVFEIIYLLPEYRAMILLTCELVKCQSIGQ >Vigun03g449400.1.v1.2 pep primary_assembly:ASM411807v1:3:65049679:65052369:1 gene:Vigun03g449400.v1.2 transcript:Vigun03g449400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGVLATASHAIATGTLFSVFYKPRTSRSEFIASVNKYLEAQSHKLSVGMRFIMRFEGDEIPERRFSGKIVGVGDNKSSVWPDSEWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKYPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYLSNRMENSLESISPIALKEAGEKRKDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDGESDQQSEPSNANRCDIPSVSCDAEKSCLSPQEMQSKQIRSCTKVVWIRWFSISLQRSRKKCTVFEIIYLLPEYRAMILLTCELVKCQSIGQ >Vigun01g236500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40800303:40801136:1 gene:Vigun01g236500.v1.2 transcript:Vigun01g236500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSHKVSSFSCELRIIQAKNVETLKSTKNLFVRVYLPSGTDKRVQLCTKRVSSNMKHHVPFWNESFSLDCSCPQELLERLRKERVVLELRQSKVWGSHVVGKGEIPWKEIVESPKMELKEWVKMSGSDEDDAAEVEVEIKVVVEKKKSVGRKRLNKKWNECGCRHGHDVYACCSAEDYDMFALGAVLEAF >Vigun05g131000.1.v1.2 pep primary_assembly:ASM411807v1:5:15235471:15238638:1 gene:Vigun05g131000.v1.2 transcript:Vigun05g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSYPNLSFVLFMLCSYVLDVGIATQIITSSQSIKDSETLSSKDGNFTLGFFTPPNSTHRYVGIWWKSQSTVVWVANRNQPLNDSSGVITFSEDGNLVVLNGQNQVLWSTFVSNTSTTNTSAQISDEGKLELTETTTGKSLWDSFQQPSDTILPQLKISSNRTGRGVRLTSWKNPSNPSVGNFSLGVVERGKIVEVFIWNETRPYWRSGPWSDGVFTGVDHTVSAYRNSFSLVDGEIYYNPQSVSEFDIYVLNSQGQGEKKYWDDEKKELQVLWTSKQSDCDVYGVCGSFAICDAESQGTNGSPICSCLKGFVPNNKEEWDAQNWTSGCFRSTPLQCERVKDQNTTIDTNEDQFLELQMVKVPDFSEWSRGEPETCRSQCLENCSCVAYSHDGGIGCMSWTDNLLDIKQLSDRGLHIYVRLDSSELEHDEGKNTTIIISVTVIIGIVIIVTCACVMWRRSYCRPTKIWSSIKSAMKRGKKGFLCFKNDEPLEQTGNKVFEQLSRVKLQELLLFDFEKLAKATNNFHQTNKLGQGGFGPVYKGKLQDGQEIAVKRLSRASGQGLEEFMNEIVVISKLQHRNLVRLLGCCIEGDEKMLLYEYMPNKSMDVLIFDPTKHKHLDWRKRCSIIEGIARGLLYLHRDSRLKIIHRDLKASNILLDDELNPKISDFGMARIFGRTEDRANTNRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDDEHSLTLLGFTWAQWKEGNTLSLIDSEIYDPNDDEDMLRVIHIGLLCVQELASDRPTMATVVSMLNSDVVFLPPPSQPAFILVQNVVNSEPSEENQRLCSVNTISITEIYGR >Vigun03g099300.1.v1.2 pep primary_assembly:ASM411807v1:3:8504098:8505515:-1 gene:Vigun03g099300.v1.2 transcript:Vigun03g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMDCPGCETKIKKALKKLRGVDDVDIDMRLQKVTVMGWAEEKKVLKTVRKTGRRAELWPYPYNPEYHYNHCGNNSYFASSYSYYKHGYSYGENFGYYHKPMIDEKLISMFSDDNPHACSIM >Vigun03g364400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56795214:56798254:-1 gene:Vigun03g364400.v1.2 transcript:Vigun03g364400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKSRSAVIGSLSSTPRFYNSHFRSHTSSSSSPSGFASSTSSFSSGPTTFLNRSSSPTRVNLCGSSAPSVRFSLDHRSVSPNRSIAVAPRNAASRQGSINPHQPKRTCMCSPTTHPGSFRCSLHKKSHATAPAPYSPNRLNARRSAMTNSLVRIRGVEGDLVKRALAALIRPSSHQQRRRGDFHPRPSRLSVMTKAEDL >Vigun04g195800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42061421:42062596:-1 gene:Vigun04g195800.v1.2 transcript:Vigun04g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHSDLESESKKWVIAGITVRSLKPINTKRVNGGDNKDDNGEELSTTPTAKESRIPDNLPCPPAPRKCRPPTRCGGGGSGGVREFFTPPDLESVFKLHKAK >Vigun03g332900.1.v1.2 pep primary_assembly:ASM411807v1:3:53098637:53102154:1 gene:Vigun03g332900.v1.2 transcript:Vigun03g332900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQEPVWEGYVDWRNRPAIKGRHGGMLAASFVLAAEVLENLAYLANASNLVLYLSKFMHFSPSTSANIVTEFMGTSFLLAILGGFLADAFITTYSIYLISAAIEFMGLLMLTIQAHKPSLKPPKCVMGITDSPCHKIYGGDSVMLFAGLYLVALGVGGIKGSLPPHGAEQFDENTPEGRKQRSAFFNYFVFSLSCGALIAVTFVVWIEDNKGWQWGLGVSTASILLSIPVFLLGSLKYRTKIPMGSPITSMFKVLVAAICNSCKSRNSSNVVISMATIPSHATEREDGEECKNRKEVAGETLTKSLKFLNKAVIEPVHPMLECTVKEVEEVKIVIRILPIFMSTIMLNCCLAQLSTFSVQQSATMNTMLGSFKVPPASLPVFPVVFIMILAPLYNHIIVPFARKVTSTEMGITHLQRIGTGLFLSIVAMAVAALVETKRKKTAAKFGLLDTTKPLPITFLWVALQYIFLGSADLFTLAGMMEFFFTEAAWSMRSLATALSWASLAMGYFLSTVLVSTINKVTGAFGHTPWLLGANLNHYHLERFYWLMCVLSLLNFIHFLFWANSYKYKHSPKPGY >Vigun03g332900.2.v1.2 pep primary_assembly:ASM411807v1:3:53098793:53102130:1 gene:Vigun03g332900.v1.2 transcript:Vigun03g332900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSQEEAQEPVWEGYVDWRNRPAIKGRHGGMLAASFVLAAEVLENLAYLANASNLVLYLSKFMHFSPSTSANIVTEFMGTSFLLAILGGFLADAFITTYSIYLISAAIEFMGLLMLTIQAHKPSLKPPKCVMGITDSPCHKIYGGDSVMLFAGLYLVALGVGGIKGSLPPHGAEQFDENTPEGRKQRSAFFNYFVFSLSCGALIAVTFVVWIEDNKGWQWGLGVSTASILLSIPVFLLGSLKYRTKIPMGSPITSMFKVLVAAICNSCKSRNSSNVVISMATIPSHATEREDGEECKNRKEVAGETLTKSLKFLNKAVIEPVHPMLECTVKEVEEVKIVIRILPIFMSTIMLNCCLAQLSTFSVQQSATMNTMLGSFKVPPASLPVFPVVFIMILAPLYNHIIVPFARKVTSTEMGITHLQRIGTGLFLSIVAMAVAALVETKRKKTAAKFGLLDTTKPLPITFLWVALQYIFLGSADLFTLAGMMEFFFTEAAWSMRSLATALSWASLAMGYFLSTVLVSTINKVTGAFGHTPWLLGANLNHYHLERFYWLMCVLSLLNFIHFLFWANSYKYKHSPKPGY >VigunL016500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:884973:885936:-1 gene:VigunL016500.v1.2 transcript:VigunL016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAHQGYENPREATGRIVCANCHLANKAVDIEVPQAVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGNVLILPEGFELAPPIKYNEIMFPILAPDPASKRDIHFLKYPIYVGENRGKGHIYLDGSKSNNNVYNATTTGIVKKIIQKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESNKFDQPLTSNPNVSGFGQGDVEIVLQDPLRVQGLLFFLASITLAQIFLVLKKKQFEKVQLFEMNF >Vigun10g125000.1.v1.2 pep primary_assembly:ASM411807v1:10:33338852:33343666:1 gene:Vigun10g125000.v1.2 transcript:Vigun10g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATERYAVVTGANKGIGLEIVKQLASKGIKVVLTARNEERGLQALQTLKASGLSHLVLFHQLDVADAASVASLAHFIKSKFGKLDILVNNAGISGIVIEDSDLISTLILNRGVVPDEEGTKTLTQTYELGEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSFLGQLESFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGFLTVEEGAASPVRLALLPNGSPSGLFYFRTDVASF >Vigun09g249300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41657993:41658862:1 gene:Vigun09g249300.v1.2 transcript:Vigun09g249300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQLIPSPSSSSLPHKPSLNPTKLSLNPKSPNSLHNLNLAVLRHRCRKPNSTLRCSASSFSEKHHTNSPNSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLQTDLRFGVIYTDAVSGTAAVGCVGEVIKHERLVDDRFFLICKGQERFRVNSVVRTKPYLVAQVTWLEDRPSPSTDLDLDGLATEVETYMKDVIRLSNRLGGKPEKEVGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLKNTLNYLTAASAVKDVFPSSSNSS >Vigun03g111200.1.v1.2 pep primary_assembly:ASM411807v1:3:10050420:10052651:-1 gene:Vigun03g111200.v1.2 transcript:Vigun03g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQHKFVKVGDGLNLHVAEIGSGGNAVVFLHGFPEIWYSWRHQMIALADAGFRAIAFDYRGYGLSDPPSQPEKATWSDILNDLLHILQAFHIPKVFLVGKDFGARPAHLFSILHPERVLGVVTLGVPFIPPGPSLFHKLLPEGFYILRWKEPGRAEADFGRFDTKTVVRNIYILFSRSEIPIANEKQEIMDLVEPDTPLPSWFTEEDLATYGALYEKSGFQNALQVPYRSFDEVFNLPDNVVRVPALLIMGGKDYFLKFPGIEDLLTKGEKAREHAPNLEVTFIAEGTHFVQEQFPEQVNRLILDFLTKHT >Vigun05g230400.2.v1.2 pep primary_assembly:ASM411807v1:5:42387044:42404397:-1 gene:Vigun05g230400.v1.2 transcript:Vigun05g230400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCASEDSSDNSQRPDSHKDQRVYFVPHRWWKDAQDSMPADSDKKKGIAYASIPGSSYAGPMKIINTIFSSDLAFSLRREEDLQHIRENGEVGVSGRDFALVSGDMWLQALKWHSDSKNVVKDDKGFSATDSDMSDVYPLQLRLSVQRETNSFGVRISKKDNAVELFKRACKMFSVDSEMLCIWDYSGQITFLMNDKNQTPVDCQRQSDQEILLELQVYGLTDSIRCKDGKKDEMANFSGSTSLKMNGSIDSANSDCTHANSLTFSLGPGEAGSLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDYFLEDYVKEINHENPLGMNGEIALAFGDLLRKLWAPGASPVAPRVFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRPDEEVADEYWHNHLARNDSVIVDGQYKSTLVCPICRKVSVTFDPFMYLSLPLPSTTMRTMTITVVSGSGDKMSPLSSYTISVPKNGRFEDLTRALSIACSLGTDETLLVAEVYNNCIIRFLEDPTDSLSLIRDADKLVAYRFYKNNADAPLVVFINQQMEEQFVYGKRTLNWKAFGIPVVARLCNVTNGSDLRNLYLKWFHPFQNPIEEALENCAMSKETEVAEMEVTTPSVGSNLNELDTSSDGGMEFYHTDEKGTIKNSEILMNEPLAISGELRLLHVLVCWSEEQIKKYDTQLCSSLPEVFKSGFLAKRSQESVSLYKCLEAFLQEEPLGPEDMWYCPGCKKHRQASKKLDLWRLPKILVIHLKRFQYSRYLKNKLETCVDFPVDNLDLTAYISHGNGESYHYTLYAVSNHYGSMGGGHYTAFVHRGGDQWYDFDDSHVNPISKEKIKSSAAYVLFYRRSFEVST >Vigun05g230400.1.v1.2 pep primary_assembly:ASM411807v1:5:42387044:42404396:-1 gene:Vigun05g230400.v1.2 transcript:Vigun05g230400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCASEDSSDNSQRPDSHKDQRVYFVPHRWWKDAQDSMPADSDKKKGIAYASIPGSSYAGPMKIINTIFSSDLAFSLRREEDLQHIRENGEVGVSGRDFALVSGDMWLQALKWHSDSKNVVKDDKGFSATDSDMSDVYPLQLRLSVQRETNSFGVRISKKDNAVELFKRACKMFSVDSEMLCIWDYSGQITFLMNDKNQTPVDCQRQSDQEILLELQVYGLTDSIRCKDGKKDEMANFSGSTSLKMNGSIDSANSDCTHANSLTFSLGPGEAGSLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDYFLEDYVKEINHENPLGMNGEIALAFGDLLRKLWAPGASPVAPRVFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRPDEEVADEYWHNHLARNDSVIVDVCQGQYKSTLVCPICRKVSVTFDPFMYLSLPLPSTTMRTMTITVVSGSGDKMSPLSSYTISVPKNGRFEDLTRALSIACSLGTDETLLVAEVYNNCIIRFLEDPTDSLSLIRDADKLVAYRFYKNNADAPLVVFINQQMEEQFVYGKRTLNWKAFGIPVVARLCNVTNGSDLRNLYLKWFHPFQNPIEEALENCAMSKETEVAEMEVTTPSVGSNLNELDTSSDGGMEFYHTDEKGTIKNSEILMNEPLAISGELRLLHVLVCWSEEQIKKYDTQLCSSLPEVFKSGFLAKRSQESVSLYKCLEAFLQEEPLGPEDMWYCPGCKKHRQASKKLDLWRLPKILVIHLKRFQYSRYLKNKLETCVDFPVDNLDLTAYISHGNGESYHYTLYAVSNHYGSMGGGHYTAFVHRGGDQWYDFDDSHVNPISKEKIKSSAAYVLFYRRSFEVST >Vigun09g152100.2.v1.2 pep primary_assembly:ASM411807v1:9:31636688:31641806:1 gene:Vigun09g152100.v1.2 transcript:Vigun09g152100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDIFRVWDRHRHERKSRAEHQLNNAKPKMKLVSYPRCSPFTSNFRPVCIVTGATSGLGLAAAYKLSKEGYVVAIVGRSQQLLSETIRKIKDSNEDAHLKAFQVDLSSIESIIKFKVSLRQWLLDSDLHCSLQLLINNAGILATSPRVTAEGYDQMIGTNYVGAFALTKLLLPLLESSPVSSKIVNVTSFTHRAVTDVQVDEGTVSGKRFLRSIQYPYAQIYEYSKLYLILFSTELHRQLCLMGKSHQIFVNVADPGVVQTNLMREVPTILSSLALRVLKFLHLLQFPESGVDSIIDAALAPPGTSGAYYFGGKGRTIKPSALSHNAKLARELWESTSKLLSVTPFGAEGNSW >Vigun09g152100.3.v1.2 pep primary_assembly:ASM411807v1:9:31636688:31641806:1 gene:Vigun09g152100.v1.2 transcript:Vigun09g152100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISLVKELLMAFFFVCSVQFWRMGLFWSFSILFSYYQLFKASLSQWLVFKPVILEKCPFLSQKLVSYPRCSPFTSNFRPVCIVTGATSGLGLAAAYKLSKEGYVVAIVGRSQQLLSETIRKIKDSNEDAHLKAFQVDLSSIESIIKFKVSLRQWLLDSDLHCSLQLLINNAGILATSPRVTAEGYDHPVSSKIVNVTSFTHRAVTDVQVDEGTVSGKRFLRSIQYPYAQIYEYSKLYLILFSTELHRQLCLMGKSHQIFVNVADPGVVQTNLMREVPTILSSLALRVLKFLHLLQFPESGVDSIIDAALAPPGTSGAYYFGGKGRTIKPSALSHNAKLARELWESTSKLLSVTPFGAEGNSW >Vigun09g152100.1.v1.2 pep primary_assembly:ASM411807v1:9:31636688:31641806:1 gene:Vigun09g152100.v1.2 transcript:Vigun09g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISLVKELLMAFFFVCSVQFWRMGLFWSFSILFSYYQLFKASLSQWLVFKPVILEKCPFLSQKLVSYPRCSPFTSNFRPVCIVTGATSGLGLAAAYKLSKEGYVVAIVGRSQQLLSETIRKIKDSNEDAHLKAFQVDLSSIESIIKFKVSLRQWLLDSDLHCSLQLLINNAGILATSPRVTAEGYDQMIGTNYVGAFALTKLLLPLLESSPVSSKIVNVTSFTHRAVTDVQVDEGTVSGKRFLRSIQYPYAQIYEYSKLYLILFSTELHRQLCLMGKSHQIFVNVADPGVVQTNLMREVPTILSSLALRVLKFLHLLQFPESGVDSIIDAALAPPGTSGAYYFGGKGRTIKPSALSHNAKLARELWESTSKLLSVTPFGAEGNSW >Vigun02g004350.1.v1.2 pep primary_assembly:ASM411807v1:2:2158273:2161145:-1 gene:Vigun02g004350.v1.2 transcript:Vigun02g004350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGTTGLYKGRVKVVPSGDCLVIVAISSAKPAICMESREFLRKLCIGKEVTFRVDYNVPTINRDFKIVFLEDKNVVIREQGQQKGEASPYLAELLCPEEQAKQEGLGRWSKLPGAAEASIRNLPRSAIRDPSNLDAMGLLAANKGLPMEAIVEQIRDGIFVAGIQSPQMGRRAAPESVVKSELAFDDTNGDVSGEPRVVLTFAQRLAVSTTATETVVDPFAHEAKFFTEMRFSNLIGSVYYPDGESAKYLALELLENGYAKYVLKAKKKRLRMWTNYVPPPSNSKAIHNQNFSSKVVEVVSGDFNLSSIRCPKMSNPRRDEKPAPYAHEAKEFLRTRLIGRHVNVQMEYSRKVSPTDGSVVPSASADSRQNVVNVAELVVGRGFGIVIRHRDFEERSNYYDALLAAESRATAGRKGIHYAKDLSVMHITDLTTVHKNVVKKAKDFCPFLKRSGRVPVVVKYMLNGHRFNVLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVDIEVEIVDRTGTFLGSLWESRINVAATLLEQAEQYAKRQEQEVLKVIVIEVMGGGTFYVQTGGDQKIASIQQQLAALNLKDAPVIGAFNPKRGDIVFCYFHADKSWYWAMVVNSPRGPVESPTDISQLRPVDPFVSVAPGLAQLCSLAYIKIPNLEEDFGQEAAEYLSELTLNSGKEFRAKVEEKDTSGGKVKGQGTGTILVVILVVVDAEINVNAAMLQEGLARTEKRNRWDRKERQSGIDNLENFQEEAKSRRRGMWQYKDIQSDD >Vigun03g242500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40490031:40490459:1 gene:Vigun03g242500.v1.2 transcript:Vigun03g242500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYKALLNVVIVIGMVLAGFVVAMYYFMTNILYNPKYSITAYQKALAKAASMEKRSSVSHQIQPHNYEKKKKQHYYDVTQGREDGTCPCFRKRSVTLYFPGSSRPQYNHLHQQFFMTSRITGKQQPIPHFTTLKLAPGKL >Vigun03g211500.5.v1.2 pep primary_assembly:ASM411807v1:3:34986491:34989544:-1 gene:Vigun03g211500.v1.2 transcript:Vigun03g211500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNVVVLDNGGGLIKAGLGGERDPSAVVPNCLYRPPASKKWLHLTSGDEDLTSAAVRRPMDRGYLINPELQREIWSHLFSSVLRITPSQSSLLLTEPLFTLPSIQRSVDEIVFEEFNFRALYVAHPPALVHLHEATRRPSGLLSRAQCSLVVDAGFSFIHASPVFHNFPLNYAVKRIDLGGKALTNYLKELVSFRSVNVMEETFIIDDVKEKLCFVSLDVDRDLSIARKSGKENLFRCTYVLPDGVTHTKGFVKYPDQARRYLALRESSLPSSLPVEADGVMNSSEIAEQPEDRKRVDLSKNEFDLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNACHPHLHPVLYESIILTGGSTLFPQFAERLEKELRPLVPDDYNVEITTQEE >Vigun03g211500.6.v1.2 pep primary_assembly:ASM411807v1:3:34986304:34989572:-1 gene:Vigun03g211500.v1.2 transcript:Vigun03g211500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNVVVLDNGGGLIKAGLGGERDPSAVVPNCLYRPPASKKWLHLTSGDEDLTSAAVRRPMDRGYLINPELQREIWSHLFSSVLRITPSQSSLLLTEPLFTLPSIQRSVDEIVFEEFNFRALYVAHPPALVHLHEATRRPSGLLSRAQCSLVVDAGFSFIHASPVFHNFPLNYAVKRIDLGGKALTNYLKELVSFRSVNVMEETFIIDDVKEKLCFVSLDVDRDLSIARKSGKENLFRCTYVLPDGVTHTKGFVKYPDQARRYLALRESSLPSSLPVEADGVMNSSEIAEQPEDRKRVDLSKNEFDLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNACHPHLHPVLYESIILTGGSTLFPQFAERL >Vigun03g211500.4.v1.2 pep primary_assembly:ASM411807v1:3:34986567:34989544:-1 gene:Vigun03g211500.v1.2 transcript:Vigun03g211500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNVVVLDNGGGLIKAGLGGERDPSAVVPNCLYRPPASKKWLHLTSGDEDLTSAAVRRPMDRGYLINPELQREIWSHLFSSVLRITPSQSSLLLTEPLFTLPSIQRSVDEIVFEEFNFRALYVAHPPALVHLHEATRRPSGLLSRAQCSLVVDAGFSFIHASPVFHNFPLNYAVKRIDLGGKALTNYLKELVSFRSVNVMEETFIIDDVKEKLCFVSLDVDRDLSIARKSGKENLFRCTYVLPDGVTHTKGFVKYPDQARRYLALRESSLPSSLPVEADGVMNSSEIAEQPEDRKRVDLSKNEFDLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNACHPHLHPVLYESIILTGGSTLFPQFAERLEKELRPLVPDDYNVEITTQEDPILGVWRGGSLLASSPDFEAMCVTKAEYEELGSARCRKRFFH >Vigun03g211500.3.v1.2 pep primary_assembly:ASM411807v1:3:34986304:34989631:-1 gene:Vigun03g211500.v1.2 transcript:Vigun03g211500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNVVVLDNGGGLIKAGLGGERDPSAVVPNCLYRPPASKKWLHLTSGDEDLTSAAVRRPMDRGYLINPELQREIWSHLFSSVLRITPSQSSLLLTEPLFTLPSIQRSVDEIVFEEFNFRALYVAHPPALVHLHEATRRPSGLLSRAQCSLVVDAGFSFIHASPVFHNFPLNYAVKRIDLGGKALTNYLKELVSFRSVNVMEETFIIDDVKEKLCFVSLDVDRDLSIARKSGKENLFRCTYVLPDGVTHTKGFVKYPDQARRYLALRESSLPSSLPVEADGVMNSSEIAEQPEDRKRVDLSKNEFDLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNACHPHLHPVLYESIILTGGSTLFPQFAERLEKELRPLVPDDYNVEITTQEDPILGVWRGGSLLASSPDFEAMCVTKAEYEELGSARCRKRFFH >Vigun03g211500.2.v1.2 pep primary_assembly:ASM411807v1:3:34984102:34989544:-1 gene:Vigun03g211500.v1.2 transcript:Vigun03g211500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTNVVVLDNGGGLIKAGLGGERDPSAVVPNCLYRPPASKKWLHLTSGDEDLTSAAVRRPMDRGYLINPELQREIWSHLFSSVLRITPSQSSLLLTEPLFTLPSIQRSVDEIVFEEFNFRALYVAHPPALVHLHEATRRPSGLLSRAQCSLVVDAGFSFIHASPVFHNFPLNYAVKRIDLGGKALTNYLKELVSFRSVNVMEETFIIDDVKEKLCFVSLDVDRDLSIARKSGKENLFRCTYVLPDGVTHTKGFVKYPDQARRYLALRESSLPSSLPVEADGVMNSSEIAEQPEDRKRVDLSKNEFDLTNERFLVPEMIFRPADLGMNQAGLAECIVRAVNACHPHLHPVLYESIILTGGSTLFPQFAERLEKELRPLVPDDYNVEITTQEDPILGVWRGGSLLASSPDFEAMCVTKAEYEELGSARCRKRFFH >Vigun03g268100.1.v1.2 pep primary_assembly:ASM411807v1:3:43902735:43905251:-1 gene:Vigun03g268100.v1.2 transcript:Vigun03g268100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGREDQTTMTFNKYAFACAIVASMVSIISGYDTGVMSGAIIFIKDDIGISDTQQEVLAGILNLCALVGSLAAGRTSDYIGRRYTILLASVLFMVGAILMGYGPNYAILVVGRCVGGVGVGFALMIAPVYSAEISSASSRGFLTSLPELCIGIGILLGYVSNFFLGKLTLKLGWRVMLGVAALPSLALALVILAMPESPRWLVMQGRLEGAKKVLMKVSNTTQEAELRFSEIKVAVGFDENSGKLPQKSQGEGVWKELLVRPTPEVRWMLIAAVGIHFFEHATGIEAVMLYSPRIFKKAGVRSKDKLLLATVGIGLTKIIFLVMALFLLDKVGRRRLLQVSTGGMICGLTLLGFSLTMVDESSEKVLWALSLSIAATYAYVAFFNIGLGPVTWVYSTEIFPLKLRAQGASIGVAVNRTMNAVVSMSFLSISKAFTIGGSFFMFAGVSVLAWLFFYFFLPETKGVPLEEMEMVFTKKSSAKNVVPKSDLR >Vigun03g228400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37933319:37935260:1 gene:Vigun03g228400.v1.2 transcript:Vigun03g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPEGMPPTPNEHEVTEGRKTTRPPPEQGVKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTNGGALRNVPIGGGCRKNKKVKPSSSASPSSRLSCDPKDFNFHGLTVPPSVDFNLGGIPFPPRLTAFNTQNLPTPPTGVFNQFSSFGDVASSSTTSLSALSAFQLDPPAAAAAAVSNPLLGLVPPNPDLWALRRSERASLSDPLNSAMQTLQTMEAMNVHGSLASSIESLSNINQDLHLKLQQQRMATMMFGGDSQKGESSVALNGFGNQKLLQPVSFQNLAISKPENLPAGSSINGGPSGETVTAAPTEWFFGNSFPSVSPSTSNGGANVNHISNDANNNNNNWTGALAWGDLPHQPYTALP >Vigun06g115400.1.v1.2 pep primary_assembly:ASM411807v1:6:24342612:24360264:1 gene:Vigun06g115400.v1.2 transcript:Vigun06g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSARAEKAFSYMNATLGISKEQVKPVLINLLRVYEGNWELIEDENYRTLIEAYLDSEKDKAVEGERKPNGSGEGGQKSTGEPFLVDVDGDLVLSIMDSSSKMFSAEDKKPSSKVFEQKNIKRSQTFTEDDRPQTSSQALHSTASEAKKISSLPRGSTKGRESDHEKASSAGHRGRFEMNPVKPRAKKPKLLNSTNNAGDLCVKPPSNQDLQSKNLVPACINDTRAYNGSITIGSSSRLEEVKISLNCDSALAGLNFSIPDLAVVMKFMDRKYLSSSKTADPQFSTAKLLNDLCSIFLKLGIRKGSNSNLANSNTLQYASEEERKPFNFISDMTKGSEKVKISLIDEFGGETLPKFNYIPCNIIYQSAIVSISLARISDEGCCSDCSGNCLSSSLPCACARETGGEFAYTPQGLLKEEFLTACATMKTEPKDHHFVYCQECPLEKSKNEYMPERCKGHMVRKFIKECWRKCGCDMLCGNRIVQRGIACKLQVFLTREGKGWGLRPLEDLPKGTFVCEYVGEILTNMELYDRIMHESGNDRHTYPVTLDADWGSEKGLKDEEALCLDATYNGNVGRFINHRCYDANLIDIPVEIESPDHHYYHLAFFTNRNVSAYEELTWDYGIDFDDEDHPIKAFRCSCGSAFCYDKKQKGKGKIKKSGS >Vigun08g024501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2120234:2122552:-1 gene:Vigun08g024501.v1.2 transcript:Vigun08g024501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPLKMLHKVPSQLSFKHGFKFFHALKHEHHLFDLIHQPNAASVNCSMLNHLHNHLPFQALAAFTDQFRLHSLQNVDEVTLGLSLKACKGEVKLGCQIHGLLVSSGFVSLVSVSNSLMKMYCKSGNFWKALLVFENLSHPDIVSWNTVLSGFEESLDALHFARSMHFRGIVFDQVTYTTALSFCWGDYGFLFGWQLHSLVVKCGFGCEVFVGNALVTMYSRGVMLDEARRVFDEMPKRDLVSWNAMISGYAQEGECYGLEAVLLFVNMLSNHILIDHISLTGAVSACGHMKNLKLGRQIHGLAQKVGYGTHVSICNVLMSTYSKCEVPNDAKVVFESISNRNVVSWTTMISIDEEDAMSLFNAMRIDGVYPNDVTFIGLIHSVTVRNLVTEGLMIHGLCIKSCFLSEQTVSNSLITMYAKFECIQESKKIFEELNCRETVSWNALISGYAQNGLYKEAFCTFLSAIKEITPSQYTFSSVLNAIAAAEDISLKHGQRCHSYLLKLGLNTDPTVSGALLDMYGKRGHIIESQRVFDETLERTQYAWTAIISAYARHGDFEAVMSLYTEMEREGISPDSITFLSVLAACCRKGMVDVGHRVFECMVKKHSIEPTSEHYSIMVDMLGRVGRLDEAKKLMHQIPGGPGLSVLQSLLGSCRIHGNLKMAEKVVATLVEMDPASSGPYVLMANMYAEKGKWEKVAEVRKKMRGRGVKKEVGFSWVDVSDVDSLYLHSFSSGDKSHPEYENICKMAEFLGLQMNFLKESREREGEWCHE >Vigun05g123600.2.v1.2 pep primary_assembly:ASM411807v1:5:13742466:13744469:-1 gene:Vigun05g123600.v1.2 transcript:Vigun05g123600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTSIPAYIDPANWQQQPNHQHQGNNTAVTSHLILPPPIQPPPPPPPSQPHGLGATATAASIRPGSMADRARMANIPMQEAPQKCPRCESTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRSRGSSGGSARSPANSDRQTASAGSASTTSGSSSADMVAGLGGTGVPPSLRFMAPLHHLGDHHLGGGGGGGGEIGLNYGLNYGAISGPMGGIGDLNFHIGNALNGGGGGSVLSGLDQWRMPQTHQFPFLSGLEASSSHGLYPFDGASGSDGYGGTPIKVSTSGVMSQFASVKMEDNHHQELGLQRQFLGVNNNPNTNEQYWSAGGGGGATSAWTDLSAFSSSSTTSNPL >Vigun05g123600.1.v1.2 pep primary_assembly:ASM411807v1:5:13742466:13744469:-1 gene:Vigun05g123600.v1.2 transcript:Vigun05g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTSIPAYIDPANWQQQQPNHQHQGNNTAVTSHLILPPPIQPPPPPPPSQPHGLGATATAASIRPGSMADRARMANIPMQEAPQKCPRCESTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRSRGSSGGSARSPANSDRQTASAGSASTTSGSSSADMVAGLGGTGVPPSLRFMAPLHHLGDHHLGGGGGGGGEIGLNYGLNYGAISGPMGGIGDLNFHIGNALNGGGGGSVLSGLDQWRMPQTHQFPFLSGLEASSSHGLYPFDGASGSDGYGGTPIKVSTSGVMSQFASVKMEDNHHQELGLQRQFLGVNNNPNTNEQYWSAGGGGGATSAWTDLSAFSSSSTTSNPL >Vigun01g048300.1.v1.2 pep primary_assembly:ASM411807v1:1:7220468:7223288:1 gene:Vigun01g048300.v1.2 transcript:Vigun01g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGAAGLGGGAGAGSGPTAAAASAAAQKQKTLLQRVEGDIANIVDNFSHLVNVARVNDPPVRNSQEAFMMEMRSARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRRIEFNQLAEKTDHTLSKVGEEAAANLKELESHYSSSAQKTMQNLQP >Vigun10g032400.1.v1.2 pep primary_assembly:ASM411807v1:10:4258927:4262797:-1 gene:Vigun10g032400.v1.2 transcript:Vigun10g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPHMYDVLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIEWHKTYYRHVLPVYYEIQPSDVRLQTEDFGKDLKATAQQTFSAQQLEHGRSMWSHALSKTAEFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQIIKNKSNGVCTVGICGIGGSGKTTLAKAIYNQIHAKFLEKSFIEDISEVSRTRMHAHLQQRLLSDLLKTKVEIRRVEMGRNMIRERLYGKKVLIVLDDVNEYGPLDLWESSARFGEGTVIIITSRDESLLRTHQVDSIFRMNLMNPNKSLELLSWHAFRDAKPKEECHFLAKMVVDYCGGLPLALEVIGSFLYERTKEEWNKVLSRLECLPQHEVIEILKISFDGLPNEIERNLFLDICCFFVGNDRVYVTKILNDCGVDPDRGIRVLIKRNLIKVRKNNKFGMHPLLRQMARQIISEILSKEPGKISGLWLDEDMEHALSWYTLFSSQQTKVIERLPSGRDFFERYPYPSEVREPSRTPVNLAGVSWSLYEKVRWVSLKGFSSEYLPNDFYLHDAIVIDLKHNLLRLVWKEPQVLARLKVLNLSHSIYLTETPDFSRLPALQQLILKNCQRLREVHRSIGFLYNLTLLNLKGCTGLSNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVVKQVPFSIASSKSIGYISLCGLEEVSHNLFPFIIRSQMSPTMNPLSYIHTFSDMEDNSWDDIVPFFNSLAILRSVLVQCDPEFQLSKQLKAILVDYCVNITESRISKHHLRSCLVGVGRYEEFFNSVNDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSASFTVPRDCVMKGMILCVVCLSTPEIIEPELTTVLIGNYTRCTLQIHNHGTVISFNDEDWHHIISNLGSGDRVEIFVSSAYGLVVKETAVYLMHGEPKKNSLIRSIKKIIM >Vigun07g162400.1.v1.2 pep primary_assembly:ASM411807v1:7:27368119:27369163:-1 gene:Vigun07g162400.v1.2 transcript:Vigun07g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHGLIISLIILSIFLPNVNANGGAASMQMMMMMNDNRRKLGSFQICALCTCCGGAKGICLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFQCHL >Vigun07g162400.2.v1.2 pep primary_assembly:ASM411807v1:7:27368126:27369157:-1 gene:Vigun07g162400.v1.2 transcript:Vigun07g162400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHGLIISLIILSIFLPNVNANGGAASMQMMMMMNDNRRKLGSFQICALCTCCGGAKGICLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFQCHL >Vigun03g196100.1.v1.2 pep primary_assembly:ASM411807v1:3:27646396:27667956:-1 gene:Vigun03g196100.v1.2 transcript:Vigun03g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRQKLSNQDSSLSPTAGRSRDWDGPSRWTDYLGREMTSPLSSSSSRNTYHDGQSQGTTPSQSHKGINMQWVVQLTEVAEGLMAKMYRLNQLLDYPDPLNHVFSEGFWKAGVFPNHPRICVLLSKKFPEHFSKLQLERIDKTAWDSLQDNAELHLQSLEPWVQLLLDLMVFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMLLQTYNLLHAMSRNERDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTAYREWVLFGYLVCPDELRRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYNVAKQVEKMISEVHEQAIFSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGVASSRSKTTRVVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYSLSYLSSCAGRIRFLLGTPGMVALDIDASLKGLFQQIVHHLENLPKPQGENISAITCDLSDFRKDWLSILLIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESVLSKHGSLRRLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGIASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALENQLLPEQAASYLNQTARVSIPSYKSPKGTAGFPLPGHESFPENNGSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLGVLKTDNDLQRPTVLESLIKRHISIVHLAEQHISMDITQGIREVLLSEAFSGPVSSLHLFEKSTDQHTGSATETVCNWYIENIIKDVSGAGILFVPIHKCFRSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNRDVLEAVASSLHAGDRIEREASVKQIVDLETVIGFCVQAGLALAFDRLLAEASGAILEEGAPLIHSLLAGVVKHLPDGVPEKEEIRRMRTVANTVGVVNDHDSVWVRSILEEVGGASDGSWGLLPYLFATFMMSNIWSSTAFNVDTEGFSNNIHCLARCISAVIAGSEFVRLEREHQHRQSLRNGHASEGMDPELSSHMSAEASIKSTLQLFVKLSADIILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLESHVPYAILRSVYGQYYADTQSTPLAILNASPRHSPAVLLAHASPVLRHPRGDSPQYYGHDSGYFKGSSSSHSQEHLYDADIGSLRNMENKQRNYRSSGPLDYSASRSRVKSVEGSTSGSTGPSPLPRFAVSRSGPLAYK >Vigun03g196100.2.v1.2 pep primary_assembly:ASM411807v1:3:27646396:27667956:-1 gene:Vigun03g196100.v1.2 transcript:Vigun03g196100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQKDFGKLVCSPTILESVSCSLRNSRNTSANCNLNVKWMQIDKTAWDSLQDNAELHLQSLEPWVQLLLDLMVFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMLLQTYNLLHAMSRNERDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTAYREWVLFGYLVCPDELRRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYNVAKQVEKMISEVHEQAIFSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGVASSRSKTTRVVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYSLSYLSSCAGRIRFLLGTPGMVALDIDASLKGLFQQIVHHLENLPKPQGENISAITCDLSDFRKDWLSILLIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESVLSKHGSLRRLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGIASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALENQLLPEQAASYLNQTARVSIPSYKSPKGTAGFPLPGHESFPENNGSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLGVLKTDNDLQRPTVLESLIKRHISIVHLAEQHISMDITQGIREVLLSEAFSGPVSSLHLFEKSTDQHTGSATETVCNWYIENIIKDVSGAGILFVPIHKCFRSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNRDVLEAVASSLHAGDRIEREASVKQIVDLETVIGFCVQAGLALAFDRLLAEASGAILEEGAPLIHSLLAGVVKHLPDGVPEKEEIRRMRTVANTVGVVNDHDSVWVRSILEEVGGASDGSWGLLPYLFATFMMSNIWSSTAFNVDTEGFSNNIHCLARCISAVIAGSEFVRLEREHQHRQSLRNGHASEGMDPELSSHMSAEASIKSTLQLFVKLSADIILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLESHVPYAILRSVYGQYYADTQSTPLAILNASPRHSPAVLLAHASPVLRHPRGDSPQYYGHDSGYFKGSSSSHSQEHLYDADIGSLRNMENKQRNYRSSGPLDYSASRSRVKSVEGSTSGSTGPSPLPRFAVSRSGPLAYK >Vigun05g042200.2.v1.2 pep primary_assembly:ASM411807v1:5:3402337:3413911:-1 gene:Vigun05g042200.v1.2 transcript:Vigun05g042200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHRQLNHNLNFPLIQDQTLRCHESNQNPQTDSANTTSFLNTCLNELNALSGVGILSVPYALATGGWLSLALLFSIAAAAFYTGLLIKRCMDKDSNIRSYPDIGELAFGKTGRLIVSVSMYTELYLVSIGFLILEGDNLSNMFPIGEVQIAGLTIGGKQIFVIMVALIILPTVWLDNLSLLSYVSASGVFASVLIIVSITWTATFDGVGFHHKGTLVHWNGLPTAVSLYAFCYCAHPVFPTLYNSMANKHQFSNVLIVCFVLTTVGYASMAIVGYLMFGDGAESQITLNLPLDKVSSKLAIYTTLVNPISKFALMATPITNALKDLLPKTYKNRVTSILLSTVLVMSTTVVALAVPFFGSLMSLIGAFLSVTASILLPCLCYLKISGTYKKFGCETVAIVMIIMIAIVMAISGTYISLVEIAHNL >Vigun05g042200.1.v1.2 pep primary_assembly:ASM411807v1:5:3402294:3404352:-1 gene:Vigun05g042200.v1.2 transcript:Vigun05g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKISYNPSLSVPFLNDEEKAIASYSSAKNTVSFFRTCLNGLNTIAGVGILSVPYALATGGWLSLALLFSIAAAAFYTGLLIKRCMDKDSNIRSYPDIGELAFGKTGRLIVSVSMYTELYLVSIGFLILEGDNLSNMFPIGEVQIAGLTIGGKQIFVIMVALIILPTVWLDNLSLLSYVSASGVFASVLIIVSITWTATFDGVGFHHKGTLVHWNGLPTAVSLYAFCYCAHPVFPTLYNSMANKHQFSNVLIVCFVLTTVGYASMAIVGYLMFGDGAESQITLNLPLDKVSSKLAIYTTLVNPISKFALMATPITNALKDLLPKTYKNRVTSILLSTVLVMSTTVVALAVPFFGSLMSLIGAFLSVTASILLPCLCYLKISGTYKKFGCETVAIVMIIMIAIVMAISGTYISLVEIAHNL >Vigun10g058500.1.v1.2 pep primary_assembly:ASM411807v1:10:11099035:11103512:-1 gene:Vigun10g058500.v1.2 transcript:Vigun10g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGTCCLSTIPSTTPAYPSLPLGSYFDLHKPLLYSKPSKFTSLVINGHRRICDLFDLHQEHVPYEVAWSWQKEIVRDKRAQIEKEGDCNDTLIVLQHPPVYTLGTASTMENLKFDMKNAPFNIYRTERGGEVTYHGPGQLVMYPIINLRTHKMDLHWYLRTLEEVVIRVLSSTFSIQASTVEGLTGVWVGNEKLAAVGIRVSSWITYHGLALNVTTDLSPFKWIIPCGIRDRQVGSIKELVREGVGRGRADLHHLNDASLIHITHESLLEEFSQAFQLEYSYKSISSSMLYERK >Vigun06g007680.1.v1.2 pep primary_assembly:ASM411807v1:6:3788879:3789748:1 gene:Vigun06g007680.v1.2 transcript:Vigun06g007680.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPLLARNNRSLASRTRGGPIWRHTENHAFRTECNPVFQIQNKKGLVGKKDGVRPVEHIGRGGFFFHNKTWTIIPTLGSLRVYVHPWLGTVSFRFIPCSRYPNSSKCVHTPQTDLTRNPFSRTNTAPTHT >Vigun05g220400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41167020:41168843:1 gene:Vigun05g220400.v1.2 transcript:Vigun05g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMDNQINHHKPVKVLEECQIAPPPSLPSTTLPLTFFDLPWFCCRVPIQRIFFYEFPHPTHHFLQTTLPILKHSLSLSLQHFFPFASNLIVPPQPHLSHIRYLHGDSLSFIVAESTADFTLLASHSPQDVRNWHPLIPTLPPPHVHKDGTRVFPLMTIQVTVFPNSGFTICLTFNHVVGDGKSLHHFIKFWASLCKARGDLDPSETSMSLPSHERTRVKDPKGLKLFYSQAFAIMEPKITEFTGLRYRFTVVLSRKHTEKLKNWVSLKLSVYDSGALHISTFVVTCSLIWVSLVGSEESTQDSDKLCFLIFAADSRDCPEFSLTSTYFGNCVATCMVAMKRSEIVGENGIVAAAKAIEREIRDFKSDALRKFENLISDSRELEKLGKSALIIASSPKFGVYQSDFGWGKPKKCEVAHVESSAFMSLSECRDEKEGIEVGLALERTQMNKFNNNLEKELHNINKC >Vigun03g181100.2.v1.2 pep primary_assembly:ASM411807v1:3:23067655:23070416:1 gene:Vigun03g181100.v1.2 transcript:Vigun03g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFKIVKNDGQYRVCALPFKLLFIGATFVRPQAIANVPKKVYQFRSIKEVVVGNLSPNLLIVSCTLWDEYCKKFLERYNENLNTDKLVMILTQAKVKAAIGEWPVYVSNTWNETKLLMDDDIPEIIQFKQRLNEIYVDELTIMSQSGSQLTQSSQYSDAERFVYKCLVKSISEIPLIKKEIICVIVATTIKFSLDNDGWYYFVCNHCNKRTNETGPFKCTYYDQENNIPMFKYKLQLQVCDDAFNYANFVVWDQERRNVIGISVEELQKEMIKVGEDDPKCFLDDLDVMLGCTLAFKVRTQPRNRCAFVIKVSDLPEIINYIKKLIQPVKLAECSHKNIIDL >Vigun07g216300.1.v1.2 pep primary_assembly:ASM411807v1:7:33804162:33813825:1 gene:Vigun07g216300.v1.2 transcript:Vigun07g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNEIECLTQEVSDGVLDGRQKNDHKKMEIIKSQGEDEKFGGTSSEDDESVQVSPSSRRGLEHCITAPVGAERNPLIVDEEFMISRSMTENRGFPKRDTILDRLSERAKQLITNLVKIQNDGTVEVDLERSASVASELLELQSFEESTVSGGLGSESKKTVPRLQIVILVVGTRGDVQPFLAIAKRLQEYGHHVRLATHANFKTFVKSAGVDFYPLGGDPRVLAGYMARNKGLIPSGPAEISVQRKQLKAIIDSIPLACTAPDMETGVSFRAQSIIANPPAYGHVHVAEALGVPLHIFFTMPWTPTYQFPHPLVRVPQSAGYWLSYIIVDLLIWWGMRRIINNFRKSTLKLAPIAYFSMYRGSISHLPTSYMWSPHVVPKPNDWGPLVDVVGYCFLSLASKYQPREDFVQWIKKGPPPLYFGFGSMPLEDPKGTTDVILEALRDTEQRGIIDRGWGNLGNLTELSDDVFLLEECPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGERIYQKELGPAPIPISQLNVENLSNAIRFMLQPEVKSRAMEISRLIENEDGVAAAVDAFHRHLPDELPLPSPSPSPVEDENLNPLQWFFLQLGRFCCAPCGGV >Vigun03g349300.1.v1.2 pep primary_assembly:ASM411807v1:3:54965332:54971550:1 gene:Vigun03g349300.v1.2 transcript:Vigun03g349300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFANRVMESEALEMNCVKNGESENSPNKLASQAHALDNLLCVDNDAVADREKLPKPHLDESKFDVQFKLWALQIPCQHCKVATRILNGYMFDKPRVKPIIEDPTCDKTRYLILSDKVQNQDLSDIPKQKVDELNGLCKIEVVPYSLTLGYSYWSADHVLKQILPTGVEVPSSFETIGQIAHLNLHDELLPYKDVIAKVIYDKNYPRIKTIVNKVGTITNEFRVPEFEILAGEHSMITEVKQYGATFRLDYSLVYWNSRLEHEHKRLVSMFQAGETICDMFAGIGPFAIPAAQKGCTVYANDLNPDSIHYLRINAKINKVDDRIYAYNMDARKFVSQLMEVPNTEATSQLSSEVPILDTCHTCRIQDNAESNSENELLTVHTKDNNSGLGDVKGSTRHSATSVIAGKRSSSYHEGDVEAHETGILEGVKRKGSTNKRMRSSEMSVTKTWEHIDHIIMNLPASAVQFLDSFRGLIQKKYWKGCLPWIHCYCFIRATETPETIIAVAESALNAPIHDSKFHRVRDVAPNKAMFCLSFRLPEGCVKEDVQ >Vigun11g073700.1.v1.2 pep primary_assembly:ASM411807v1:11:21088749:21093158:-1 gene:Vigun11g073700.v1.2 transcript:Vigun11g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGGLALPVYASGAKPPFTRPTANFHPSVWGDRFLSYVPSSAENDSPIQQAKLLKEHVRKKLVSPIDDNNFSSKLNFIDSVQRLGVSYHFEHEIDSALCRIYEISTKDNNIIAHNDDLYHTALLFRLLRQHGYRISPSVFFKFEDKTGKFKESLVDDIEGMLSLYEAAQLRCHGEYVLEEAHNFILEQLTKFITTQLNCSLSTRVQHCLRQSLHKGLPRLETTYFMSFYKEYPSHDQKLLTLAKIDFNELQELHLKEVSNITKWWAKDLDVSSNLPFTRDRIIECYFWALGVYFEPQYSRWITTKLAALGTIIDDIYDAYGTIEELELFTNAIDRWDICCLADLPKYMQVCYKAILDVYEEIEQEMRKQGKVYCIKYVKKEMKRLVEAHMAEARWCHSNHIPTLEEYMQVRITSAGYPILITSSFLGMEDTTEEILLWATNKPVIIAACTLMFRIADDISGDEFEQDRQHVVSSIQCYMKEHKISRKCAIKELLKLVENAWKDINDACLAPTQVPMKFLMRVVNFSRVAHVFYKDQDTYTNAGGIMKDHIETVLVKKMSI >Vigun09g095050.1.v1.2 pep primary_assembly:ASM411807v1:9:14032673:14034286:1 gene:Vigun09g095050.v1.2 transcript:Vigun09g095050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEWYICTCNHVISSRCLINTDEDIDYLARTYHEDIGDERHGVRSIGSNHNHLVVGNYKEQFLIECVFNDSKGNCLSSIYWYIHSFCIAYLLYILTICIS >Vigun10g064450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:14021525:14023611:1 gene:Vigun10g064450.v1.2 transcript:Vigun10g064450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSFAEHQNFNELCRCPQLQYLFTSSVVKKLVNLEEIIVEECESLKEIVAKEEDEDENEMIFMKLEYLTLISLDKFESFYTGNSTLNFSSLREVMVNQCLSTKIFRPRDKVPPRFRVVIDGFPYKGDKKTSYHATV >Vigun07g295500.3.v1.2 pep primary_assembly:ASM411807v1:7:40587348:40593521:-1 gene:Vigun07g295500.v1.2 transcript:Vigun07g295500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATNNEAWGPHGSLLADIAQATRNYYECQMIMTIIWKRINDTGKNWRHVYKALTVLEYIVANGSERVIEEIREHAHQISTLSNFQYIDSSGRDQGNNVRRKSQNLILLVSDKERIIEVRQKAAANRDKFRASSTGGMYRPGSHSSSGSYGDRYEDDRYGSREEDRSDHGYGREREWGYRDDDRYSREGDRYGKDNEERYRRDGYRDDEYRRRSVDDDQYGSKSRSSDRDHDHNFDDDGQRSSRLERKLSEQNVGAPPSYEEAVSKSPVHNERDGGISAASAPKGSSPVSNNPPQTTAAATTATSGNREVEAFDEFDPRGHPVSASRAPANNVEMDLFGSLSESLSSNALALVPSASETTTSQGNANLDSTVAFAPPPSASNNINPVFEDPFGNSPFKAIPSAETPPPQPQIHQSPSQPSGSNTESVSNFGFGDSFSAPPQARDTHFLSPSQETDILADILPLPPLPGTTSQQNISAHPDAQASSSFSASSGQMAAQSFFAESGQHAQQGFSAGTSQPATEAVSVPGGQLLQTLSSNPTGQQHTQQPFPSHTHQPVQSGSHVYGGFPPQAGSMTEGASGTFPQTQGGHVNQTYVQGSMALIPAHTAPQVSMGQSANYLSSQSPIDPASQFNSGSLMAQHQVHALQNAVSQPSSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLANIGVDFDAINRKEKRMEKPTTTSVTSTVTMGKAMGSGSGMGRVGSGALRPPTSNPLGMGMGMRSYGGMNMSMGMGMNMRGMGQMQPPAAPPGVPPGSNMPGNYNSMMGTGGGYPQYPYGLGGYR >Vigun07g295500.1.v1.2 pep primary_assembly:ASM411807v1:7:40587348:40593582:-1 gene:Vigun07g295500.v1.2 transcript:Vigun07g295500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATNNEAWGPHGSLLADIAQATRNYYECQMIMTIIWKRINDTGKNWRHVYKALTVLEYIVANGSERVIEEIREHAHQISTLSNFQYIDSSGRDQGNNVRRKSQNLILLVSDKERIIEVRQKAAANRDKFRASSTGGMYRPGSHSSSGSYGDRYEDDRYGSREEDRSDHGYGREREWGYRDDDRYSREGDRYGKDNEERYRRDGYRDDEYRRRSVDDDQYGSKSRSSDRDHDHNFDDDGQRSSRLERKLSEQNVGAPPSYEEAVSKSPVHNERDGGISAASAPKGSSPVSNNPPQTTAAATTATSGNREVEAFDEFDPRGHPVSASRAPANNVEMDLFGSLSESLSSNALALVPSASETTTSQGNANLDSTVAFAPPPSASNNINPVFEDPFGNSPFKAIPSAETPPPQPQIHQSPSQPSGSNTESVSNFGFGDSFSAPPQARDTHFLSPSQETDILADILPLPPLPGTTSQQNISAHPDAQASSSFSASSGQMAAQSFFAESGQHAQQGFSAGTSQPATEAVSVPGGQLLQTLSSNPTGQQHTQQPFPSHTHQPVQSGSHVYGGFPPQAGSMTEGASGTFPQTQGGHVNQTYVQGSMALIPAHTAPQVSMGQSANYLSSQSPIDPASQFNSGSLMAQHQVHALQNAVSQPSSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLANIGVDFDAINRKEKRMEKPTTTSVTSTVTMGKAMGSGSGMGRVGSGALRPPTSNPLGMGMGMRSYGGMNMSMGMGMNMRGMGQMQPPAAPPGVPPGSNMPGNYNSMMGTGGGYPQYPYGLGGYR >Vigun07g295500.5.v1.2 pep primary_assembly:ASM411807v1:7:40587558:40593500:-1 gene:Vigun07g295500.v1.2 transcript:Vigun07g295500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATNNEAWGPHGSLLADIAQATRNYYECQMIMTIIWKRINDTGKNWRHVYKALTVLEYIVANGSERVIEEIREHAHQISTLSNFQYIDSSGRDQGNNVRRKSQNLILLVSDKERIIEVRQKAAANRDKFRASSTGGMYRPGSHSSSGSYGDRYEDDRYGSREEDRSDHGYGREREWGYRDDDRYSREGDRYGKDNEERYRRDGYRDDEYRRRSVDDDQYGSKSRSSDRDHDHNFDDDGQRSSRLERKLSEQNVGAPPSYEEAVSKSPVHNERDGGISAASAPKGSSPVSNNPPQTTAAATTATSGNREVEAFDEFDPRGHPVSASRAPANNVEMDLFGSLSESLSSNALALVPSASETTTSQGNANLDSTVAFAPPPSASNNINPVFEDPFGNSPFKAIPSAETPPPQPQIHQSPSQPSGSNTESVSNFGFGDSFSAPPQARDTHFLSPSQETDILADILPLPPLPGTTSQQNISAHPDAQASSSFSASSGQMAAQSFFAESGQHAQQGFSAGTSQPATEAVSVPGGQLLQTLSSNPTGQQHTQQPFPSHTHQPVQSGSHVYGGFPPQAGSMTEGASGTFPQTQGGHVNQTYVQGSMALIPAHTAPQVSMGQSANYLSSQSPIDPASQFNSGSLMAQHQVHALQNAVSQPSSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLANIGVDFDAINRKEKRMEKPTTTSVTSTVTMGKAMGSGSGMGRVGSGALRPPTSNPLGMGMGMRSYGGMNMSMGMGMNMRGMGQMQPPAAPPGVPPGSNMPGNYNSMMGTGGGYPQYPYGLGGYR >Vigun07g295500.4.v1.2 pep primary_assembly:ASM411807v1:7:40587323:40593500:-1 gene:Vigun07g295500.v1.2 transcript:Vigun07g295500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATNNEAWGPHGSLLADIAQATRNYYECQMIMTIIWKRINDTGKNWRHVYKALTVLEYIVANGSERVIEEIREHAHQISTLSNFQYIDSSGRDQGNNVRRKSQNLILLVSDKERIIEVRQKAAANRDKFRASSTGGMYRPGSHSSSGSYGDRYEDDRYGSREEDRSDHGYGREREWGYRDDDRYSREGDRYGKDNEERYRRDGYRDDEYRRRSVDDDQYGSKSRSSDRDHDHNFDDDGQRSSRLERKLSEQNVGAPPSYEEAVSKSPVHNERDGGISAASAPKGSSPVSNNPPQTTAAATTATSGNREVEAFDEFDPRGHPVSASRAPANNVEMDLFGSLSESLSSNALALVPSASETTTSQGNANLDSTVAFAPPPSASNNINPVFEDPFGNSPFKAIPSAETPPPQPQIHQSPSQPSGSNTESVSNFGFGDSFSAPPQARDTHFLSPSQETDILADILPLPPLPGTTSQQNISAHPDAQASSSFSASSGQMAAQSFFAESGQHAQQGFSAGTSQPATEAVSVPGGQLLQTLSSNPTGQQHTQQPFPSHTHQPVQSGSHVYGGFPPQAGSMTEGASGTFPQTQGGHVNQTYVQGSMALIPAHTAPQVSMGQSANYLSSQSPIDPASQFNSGSLMAQHQVHALQNAVSQPSSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLANIGVDFDAINRKEKRMEKPTTTSVTSTVTMGKAMGSGSGMGRVGSGALRPPTSNPLGMGMGMRSYGGMNMSMGMGMNMRGMGQMQPPAAPPGVPPGSNMPGNYNSMMGTGGGYPQYPYGLGGYR >Vigun07g295500.2.v1.2 pep primary_assembly:ASM411807v1:7:40587430:40593546:-1 gene:Vigun07g295500.v1.2 transcript:Vigun07g295500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATNNEAWGPHGSLLADIAQATRNYYECQMIMTIIWKRINDTGKNWRHVYKALTVLEYIVANGSERVIEEIREHAHQISTLSNFQYIDSSGRDQGNNVRRKSQNLILLVSDKERIIEVRQKAAANRDKFRASSTGGMYRPGSHSSSGSYGDRYEDDRYGSREEDRSDHGYGREREWGYRDDDRYSREGDRYGKDNEERYRRDGYRDDEYRRRSVDDDQYGSKSRSSDRDHDHNFDDDGQRSSRLERKLSEQNVGAPPSYEEAVSKSPVHNERDGGISAASAPKGSSPVSNNPPQTTAAATTATSGNREVEAFDEFDPRGHPVSASRAPANNVEMDLFGSLSESLSSNALALVPSASETTTSQGNANLDSTVAFAPPPSASNNINPVFEDPFGNSPFKAIPSAETPPPQPQIHQSPSQPSGSNTESVSNFGFGDSFSAPPQARDTHFLSPSQETDILADILPLPPLPGTTSQQNISAHPDAQASSSFSASSGQMAAQSFFAESGQHAQQGFSAGTSQPATEAVSVPGGQLLQTLSSNPTGQQHTQQPFPSHTHQPVQSGSHVYGGFPPQAGSMTEGASGTFPQTQGGHVNQTYVQGSMALIPAHTAPQVSMGQSANYLSSQSPIDPASQFNSGSLMAQHQVHALQNAVSQPSSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLANIGVDFDAINRKEKRMEKPTTTSVTSTVTMGKAMGSGSGMGRVGSGALRPPTSNPLGMGMGMRSYGGMNMSMGMGMNMRGMGQMQPPAAPPGVPPGSNMPGNYNSMMGTGGGYPQYPYGLGGYR >VigunL059032.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000252.1:15977:16309:1 gene:VigunL059032.v1.2 transcript:VigunL059032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELQNPVNHRVFERKLRLKPLG >Vigun10g006600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:645264:648922:1 gene:Vigun10g006600.v1.2 transcript:Vigun10g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHADPSSSELKSLVKVKHVINIGVPENLRLSERSIYKVPCNLREVNKDAYTPLCISIGPIHFEKPELNTMQEHKLRYYQCFWIRVSNDEAMESYEHYLLNKEQEIRQCYSEKFELPKEKFVAMMLLDAMFIMELFLRNRELKSQSFPERNIVDDDDLIMTQSWLARNIARDMILLENQIPFFILSELYEKVVPDYQKKEDTDFVDLAFKYFAFYDPQICPSENIAKKENCFGSKKLKGGSFENLNLNQNQNQNLKPEHKHFTDLIRFYYLPTDKKKGQASDHVPRTATKLQDSGVYFEKGGEKKKLLDITFEKKQILSLCLCFGCLKCLNHFKARFRIPQLKVDHNTECLFRNLIALEQCHYPEEAFICNYVSLIDSLIHTQLDVELLVEKEVIVHELGSHKEVASLVNGLCKHVVTNSTCYYETIKGLNDHYKNKWYHTMASLRLVYFRDLWRASSTVVGIAVLIFAVFQFLRAIRALFKM >Vigun08g083500.1.v1.2 pep primary_assembly:ASM411807v1:8:17883734:17886048:-1 gene:Vigun08g083500.v1.2 transcript:Vigun08g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHPMNSPLFNISTSSQVGTITIRHLKFLYPPNNSGYQISEQPGAIYLRYLIITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDHDLDMELELLTMMNTLTMDMIPEIDRFYITFHFKLAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSIRNILGIASTYIPQKVDPALIAPNKFNTCIKIQRLLIPQQRKHFFTLSYTRGFHLEKKKSHTNGFGSTTMGSNVRSVQDHGILFYQIGMVVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQLDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEERGGVLDPQQIEEDFFNHIVWAPRIWCPWGFLFDCIERPNNLEFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTNEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLKINSSSSKRFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun10g011500.1.v1.2 pep primary_assembly:ASM411807v1:10:1205598:1207684:-1 gene:Vigun10g011500.v1.2 transcript:Vigun10g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFALVFAGQLIGGFSTQKLPPPNNRDILTVLSVDGGGIKGILPATVLDYLDKALKARKPNADLAHYFDVIGGTSTGGLITAMLATPSPDDPTRGAFTPAQIVDFYKTNGPHIFNESRPGNGPEFDGEFLHNITRELLKDTRLSQTLTNVVIPSFDMKTQKPVIFSNYKLGDAPYLNALLSDICISTSAAPTQLPPYYFVNDGVEFNMIDGGIEAGNPTEATVSEVLQHVEYSEILVLSVGTGTEKNNETFDAEKAASWSSANWSGPGFGFIGSESAAMTEYNLASLFVGFQPGHIYLRIQEYDLNHDFSGPVNVTQESMNGLEETGKQLLQENVVKLNLDTFDQEKLGITNAEALDRIADILVGERQRRLKRKSMEKRGRPFVETLRVLSDKTQASGAILRNLLI >Vigun09g036100.1.v1.2 pep primary_assembly:ASM411807v1:9:3183751:3188540:1 gene:Vigun09g036100.v1.2 transcript:Vigun09g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRMEAGKRSGGGSSAPKKLLKDVETINKALYLDRGSSRSSIPGANSRSKFTGKPQLPDPKSKSKTSVNNNNDDGVQKDKKSIWNWRPLRALSHIRNKRFNCSFYLQVHLIEGLPQSFDNASLAVYWKRRDGVLVTGPAKVIQSVAEFEEKLTYTCSVYGSRSGPHHSAKYEAKHFLLYASLLSAQEMDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGVAKGAVMNVSFGYTVVGDNAGTTRDSHNASNVLSSRQNGFAPMKQDVKPRQFDGSSRMRRTTSLQLSPRASDEVKDLHEVLPLTRSALASSIDILYKKFDEEKASSLLSDAELDSFSENLGSIKPDAYASDLGKETFDEHVSNVSKAEDKCLMHEEPELSVFQEKLEIFKPDDDPLPDSANENPEQFQGNDFFVVDKGIELSSNEPVITEEFTVKAPEDASTVDTAYTLGISGIQPFEDSVKHDFLDEVNDSYKDQVVIEEFASKEDDCDSDTNELLLQELESALNSVSNLERVALESPKTAEFKSEHKMTKSHSLDDVTESVASEFLSMLGLDRSPMALSSEGEPESPRELLLRQFEKEALDGDFSSLFDFEMNHDNEEDGGYDGSAASEQWNFSEDVRSTSLLQELQEEHLAESRDVRGKQRAQILEDLETEALMRQWGLNEEAFHRSPPKDFTGFGSPIPLPPEEPPMLPPLDDGLGPFLQTKDGGFLRSMNPSLFKNSKSGGSLIMQVSNPVVVPAEMGSGIMEVLQCLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPVLEGAERQSHLQHNPITRQDSAHVQRDLKGMPSVQKTGKFSSRTVANQPSSEFVSVEDLAPLAMDKIEALSMEGLRIQSGMSEEEAPSNIIAQSIGDISALQGKGVDISGSLGLDGAAGLQLMDVKDGSGGGSDGVDGIMGLSLTLDEWMRLDSGEIDDIDNISEHTSKLLAAHHANSFDFIRGSSKGEKRRGKSRRCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVEREFMLPKQKIYNSVSLIMKNNDEDDDEDREIIAKVDMKNTKNEENSSEEEEGIPQFRITEVHVAGLKPEPQKKKLWGSSNQQQSGSRWLVANGMAKNNNNKLPLMKSKGSSKPNAPVTSKVQPGDSLWSISSRLFGNRGKSHVRNPDVVMPNDTTRLS >Vigun09g036100.3.v1.2 pep primary_assembly:ASM411807v1:9:3183797:3188406:1 gene:Vigun09g036100.v1.2 transcript:Vigun09g036100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRMEAGKRSGGGSSAPKKLLKDVETINKALYLDRGSSRSSIPGANSRSKFTGKPQLPDPKSKSKTSVNNNNDDGVQKDKKSIWNWRPLRALSHIRNKRFNCSFYLQVHLIEGLPQSFDNASLAVYWKRRDGVLVTGPAKVIQSVAEFEEKLTYTCSVYGSRSGPHHSAKYEAKHFLLYASLLSAQEMDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGVAKGAVMNVSFGYTVVGDNAGTTRDSHNASNVLSSRQNGFAPMKQDVKPRQFDGSSRMRRTTSLQLSPRASDEVKDLHEVLPLTRSALASSIDILYKKFDEEKASSLLSDAELDSFSENLGSIKPDAYASDLGKETFDEHVSNVSKAEDKCLMHEEPELSVFQEKLEIFKPDDDPLPDSANENPEQFQGNDFFVVDKGIELSSNEPVITEEFTVKAPEDASTVDTAYTLGISGIQPFEDSVKHDFLDEVNDSYKDQVVIEEFASKEDDCDSDTNELLLQELESALNSVSNLERVALESPKTAEFKSEHKMTKSHSLDDVTESVASEFLSMLGLDRSPMALSSEGEPESPRELLLRQFEKEALDGDFSSLFDFEMNHDNEEDGGYDGSAASEQWNFSEDVRSTSLLQELQEEHLAESRDVRGKQRAQILEDLETEALMRQWGLNEEAFHRSPPKDFTGFGSPIPLPPEEPPMLPPLDDGLGPFLQTKDGGFLRSMNPSLFKNSKSGGSLIMQVSNPVVVPAEMGSGIMEVLQCLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPVLEGAERQSHLQHNPITRQDSAHVQRDLKGMPSVQKTGKFSSRTVANQPSSEFVSVEDLAPLAMDKIEALSMEGLRIQSGMSEEEAPSNIIAQSIGDISALQGKGVDISGSLGLDGAAGLQLMDVKDGSGGGSDGVDGIMGLSLTLDEWMRLDSGEIDDIDNISEHTSKLLAAHHANSFDFIRGSSKGEKRRGKSRRCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVEREFMLPKQKIYNSVSLIMKNNDEDDDEDREIIAKVDMKNTKNEENSSEEEEGIPQFRITEVHVAGLKPEPQKKKLWGSSNQQQSGSRWLVANGMAKNNNNKLPLMKSKGSSKPNAPVTSKVQPGDSLWSISSRLFGNRGKSHVRNPDVVMPNDTTRLS >Vigun09g036100.2.v1.2 pep primary_assembly:ASM411807v1:9:3183751:3188540:1 gene:Vigun09g036100.v1.2 transcript:Vigun09g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRMEAGKRSGGGSSAPKKLLKDVETINKALYLDRGSSRSSIPGANSRSKFTGKPQLPDPKSKSKTSVNNNNDDGVQKDKKSIWNWRPLRALSHIRNKRFNCSFYLQVHLIEGLPQSFDNASLAVYWKRRDGVLVTGPAKVIQSVAEFEEKLTYTCSVYGSRSGPHHSAKYEAKHFLLYASLLSAQEMDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGVAKGAVMNVSFGYTVVGDNAGTTRDSHNASNVLSSRQNGFAPMKQDVKPRQFDGSSRMRRTTSLQLSPRASDEVKDLHEVLPLTRSALASSIDILYKKFDEEKASSLLSDAELDSFSENLGSIKPDAYASDLGKETFDEHVSNVSKAEDKCLMHEEPELSVFQEKLEIFKPDDDPLPDSANENPEQFQGNDFFVVDKGIELSSNEPVITEEFTVKAPEDASTVDTAYTLGISGIQPFEDSVKHDFLDEVNDSYKDQVVIEEFASKEDDCDSDTNELLLQELESALNSVSNLERVALESPKTAEFKSEHKMTKSHSLDDVTESVASEFLSMLGLDRSPMALSSEGEPESPRELLLRQFEKEALDGDFSSLFDFEMNHDNEEDGGYDGSAASEQWNFSEDVRSTSLLQELQEEHLAESRDVRGKQRAQILEDLETEALMRQWGLNEEAFHRSPPKDFTGFGSPIPLPPEEPPMLPPLDDGLGPFLQTKDGGFLRSMNPSLFKNSKSGGSLIMQVSNPVVVPAEMGSGIMEVLQCLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPVLEGAERQSHLQHNPITRQDSAHVQRDLKGMPSVQKTGKFSSRTVANQPSSEFVSVEDLAPLAMDKIEALSMEGLRIQSGMSEEEAPSNIIAQSIGDISALQGKGVDISGSLGLDGAAGLQLMDVKDGSGGGSDGVDGIMGLSLTLDEWMRLDSGEIDDIDNISEHTSKLLAAHHANSFDFIRGSSKGEKRRGKSRRCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVEREFMLPKQKIYNSVSLIMKNNDEDDDEDREIIAKVDMKNTKNEENSSEEEEGIPQFRITEVHVAGLKPEPQKKKLWGSSNQQQSGSRWLVANGMAKNNNNKLPLMKSKGSSKPNAPVTSKVQPGDSLWSISSRLFGNRGKSHVRNPDVVMPNDTTRLS >Vigun03g435100.1.v1.2 pep primary_assembly:ASM411807v1:3:63907403:63910419:-1 gene:Vigun03g435100.v1.2 transcript:Vigun03g435100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAANSSPTMSLSQSQSQEDVTTASTTNDNTNNSNNNNTTTDNKPDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERYQKAEISLCRVYKRAGVEDHPSLPRCLPTRPSSSRASSHSETKKHNEMVHHNMGFVGQSKPTEHIVEKMNETEASSDVNTALGLSKYNAYRAAMGALSSTMALPVVPMDEEGLMMMQHQQQSKQAAAHAGVSGIGTIFSAGPSSSNNNGIVNMDDLNRLMSYQQQYYNVQSHPNQFSTLLMQPSPVVSLTSLPNPLPTTFSDRLWEWNPIPEPPNQEYSNMSFK >Vigun05g288700.1.v1.2 pep primary_assembly:ASM411807v1:5:47557373:47559561:1 gene:Vigun05g288700.v1.2 transcript:Vigun05g288700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSEVYRAHPVHVQHKHPDLNSLQELPESYTWTTTQPQDQTNNNNLNHTVPVIDLNHPNASNLIGHACRTWGVYQVLNHGVPITLLHDIQRLGETLFSLPSHHKHRAARSPDGVDGYGLARISSFFPKLMWSEGFTIVGSPLHRFRQLWPQDCHKYCDIVMQYDETMKKLAEKLMWLMLESLGITEEEDLKWAGAKGQFKKTCAALQLNSYPTCPDPDRAMGLAAHTDSTLLTILYQNNISGLQVHRKGTGWVTVAPVPGGLVINVGDLLHILSNGLYPSVLHRVLVNRVKQRLSVAYLCGPPPNVEICPHENLVGPNQPRLYKSVTWNEYLGMKAKHFNKALSTVRVCGGMNEEMD >Vigun05g176600.1.v1.2 pep primary_assembly:ASM411807v1:5:32755726:32761273:-1 gene:Vigun05g176600.v1.2 transcript:Vigun05g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGMEKLFKESGTSVLVPSVQELSKESVSNVPQRYFQPQHQQQRLLISQQSHATLQIPVIDMHNLLSQESGSSELAKLHLACKEWGFFQIINHGVSSSLVEKIKLEIKEFFNLPMSEKKKFWQTSENMEGFGQLFVVSEDQKLDWNDMFYMNSLPRKSRMPHLFPQLPHPFRDNLELYSQKMKDVAMIIIGQMEKALEVKEREMIELFEDGIQRMRMNYYPPFPQPEKVIGFSNHSDGDALTILLQLNEIEGLQIRKDGMWVPVKPLPNAFVVNVGDIMEIITNGTYRSVEHRATVNSEKERLSFATFYSPREDAVLGPSPCLITHQTPPQFKSTSANQYFKDFFARKLEGKSNRDNMKIEHPN >Vigun09g203800.1.v1.2 pep primary_assembly:ASM411807v1:9:37837503:37840564:1 gene:Vigun09g203800.v1.2 transcript:Vigun09g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDEGSLMLNNTNVFAALDTLKKKKKSDKEKSKGKGSSTKSQGQSTKTEAQVFWAPAPLNAKSWADVDDDDDYYATTAPPQSGWGISETHHSKDDKHENFEDSESEEDILDEGDDEVEEEHDHEQEESVKSEPEVKKDAEASVPPPKEAERQLSKKERKKKELAELEALLADFGVAPKQSNDGQDESQGGPQDKKGVETDGDGEKKENINVESKTSKKKKKKDKSSKEVKESQDQPNSSDTNNGPDLATGADNVEEDTSTVDVKERLKKVASAKKKKSSKEMDGAARAAAQEAAARSARLAAAKKKEKNHYNQQPVR >Vigun03g306500.1.v1.2 pep primary_assembly:ASM411807v1:3:49736222:49738243:1 gene:Vigun03g306500.v1.2 transcript:Vigun03g306500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKCLVWLVVVLALTMSLAEAQSGTTASCAQDLIPCGEYLNSNSTPPSSCCDPLKRTVENELPCLCNLFYNSNLLQGLNITVESALALSRSCGVTSDLSSCNGAPAPGSRAPPATPGGSTPPSGAAKVTFTGISFLLLFWVSMLFN >Vigun09g018300.1.v1.2 pep primary_assembly:ASM411807v1:9:1380930:1388510:-1 gene:Vigun09g018300.v1.2 transcript:Vigun09g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARELPGFYYDPEKNRYFPIKGPIPGSSSKPKNPTPNAPQTPSNQLKQSGRSCCRKLRNRTLSKLLQARELDGRHVIYSHYCKCNFAEEYGKIQASKPVVWRYRGTDKIGVCALEHLRVDVQTLQGQTETDVLLTGSINGSLSFSEVGGVGQYFDDGTKWMADCLRNYVKGKTFEHDEVHKPVFRPNRAALLMPSRISCIRLGPKCSPQAENDGSLAGRVLFTTLGSETSGGSVYTLGLLEPLNLGPGILNTWSGLEEIASFRCTLWTAEYDYNRHRAVIGTNLGGASVDLETRMETWFLRCKSDVFAQQIINSGNVILCGLRNGAIVTADFRERRESLSDRLITHRIPYNSDTKVGSSKKEWFKLKGDIYPSHTIRMPSSISSLVSLQFDEQYFVASSMDGSIRLYDHRLLQRGAVQCYEGHVNSHTRIQLGVDPAERFVMSGGEDCKLRLWSLKSGELLFEDKFSDSILSTMCYKTYSSCKAEEENQYKRDSSQGAWLGSHEGLFYMRW >Vigun09g018300.2.v1.2 pep primary_assembly:ASM411807v1:9:1380930:1388510:-1 gene:Vigun09g018300.v1.2 transcript:Vigun09g018300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRTDISPSKAPFLALLLSPRTLLPMHPKPLPIRKLRNRTLSKLLQARELDGRHVIYSHYCKCNFAEEYGKIQASKPVVWRYRGTDKIGVCALEHLRVDVQTLQGQTETDVLLTGSINGSLSFSEVGGVGQYFDDGTKWMADCLRNYVKGKTFEHDEVHKPVFRPNRAALLMPSRISCIRLGPKCSPQAENDGSLAGRVLFTTLGSETSGGSVYTLGLLEPLNLGPGILNTWSGLEEIASFRCTLWTAEYDYNRHRAVIGTNLGGASVDLETRMETWFLRCKSDVFAQQIINSGNVILCGLRNGAIVTADFRERRESLSDRLITHRIPYNSDTKVGSSKKEWFKLKGDIYPSHTIRMPSSISSLVSLQFDEQYFVASSMDGSIRLYDHRLLQRGAVQCYEGHVNSHTRIQLGVDPAERFVMSGGEDCKLRLWSLKSGELLFEDKFSDSILSTMCYKTYSSCKAEEENQYKRDSSQGAWLGSHEGLFYMRW >Vigun08g129800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30028469:30031627:1 gene:Vigun08g129800.v1.2 transcript:Vigun08g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEIHSRVLQPKVWRFVCFVSSIVGLVCYALSSSFNHLCGAWKWWKIFLFSGFSLFISLSILFAKAWEGSNSRCLEAHTAFLVLLITSVYSFFFDKQVTEKPDAYTLVSCASFAIMSLGLSRLSHFGFEVDLLYFFSGFLTVQLMKIKLWLVIVGGSFSYFLLILRAALDASARGGYVGLHVNDHVVIEIGSHSQGTSRNFSQVGSPQTITTFVGNSLVMPQDAGVSEGDEDSGFMVTQGRNDSNKSVKARFMECVDVLEKENETLIDTISKHVDGYLKANVINKIPVVELHADNNLVVDSLPAGMINDLHETVRLMLAEGFEEDCCRAYYTCRREFLKECLWTFGLQMQEFSTEEIQCWLTKVLNIVDCVLLPSERTLCDRVFKGVASPGDFVFNVVCRELNICLLRIANHLATFLECEKFTYYEGAEVHPNICELMLKIRFVCRQRDNRCRQGLEGYTMLDKEGKLSPSVNVARIIATALLERILEVESKNYHNPSLGYVFLLNNLSFIEQEAKFYGLVPIFSHDWLRKITTKFQQNLELYLRSSWTKIVDFLKLDISESENSVGVELVKDRMNSFNEHFDEICKVQSTWFVFDEELKSHIIKSIENMLLPAYGNFIERLQNFLGQHSYYYIKYGMFDVQDRVRNLFVVMKNKNLFLNRSERHPRPLS >Vigun09g029550.1.v1.2 pep primary_assembly:ASM411807v1:9:2438254:2439428:1 gene:Vigun09g029550.v1.2 transcript:Vigun09g029550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSKIQYIRSFKNPSVVVWSCSIQRAWSCSIQRRRSVAWLFFNGEDRKRRRSFKGLTRTILHHRSSLTHETSTLTMP >Vigun05g274450.1.v1.2 pep primary_assembly:ASM411807v1:5:46451946:46454807:1 gene:Vigun05g274450.v1.2 transcript:Vigun05g274450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSHSPSHSDVLTPDDESTDTMSDNPQLSPEQSVSPKVAKRVEFLEELQKEFEAVQAEFFEERSQLMAKYEKLYEPIFNKRYEIVNGIKDVEDTDEADQVTEENSEKGIPKFWLTAMKANEKLAELITEDDEGALQYLEDIKCRLEDSKAFKLGFFFSANPYFRNSVLEKTYMVDKVGSVSVKAEGTEIEWLPGKCLTVKVLQMMPRKRSSSDIDNKPLTNTEKCESFFNFFNPPLVQDEDDEDDAPIEEIQKDYELGCVIRDQIIPQAVSWFKGECTDADFFDL >VigunL002428.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000192.1:144:4335:-1 gene:VigunL002428.v1.2 transcript:VigunL002428.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRKSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRL >Vigun04g103500.2.v1.2 pep primary_assembly:ASM411807v1:4:24229108:24231212:1 gene:Vigun04g103500.v1.2 transcript:Vigun04g103500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHQRGPIACFSQHHRPMAIHFWICLYPHHSPIFTTLVFIPTLSFPQFTHSSPILTTLQSQTMAANTLMSSAITAFPSLLSSSKSRFASAVPISSFATNASSRFSMTADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLAISFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKAFHEYKVKELKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGNIIIPQSILP >Vigun04g103500.3.v1.2 pep primary_assembly:ASM411807v1:4:24229108:24231212:1 gene:Vigun04g103500.v1.2 transcript:Vigun04g103500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHQRGPIACFSQHHRPMAIHFWICLYPHHSPIFTTLVFIPTLSFPQFTHSSPILTTLQSQTMAANTLMSSAITAFPSLLSSSKSRFASAVPISSFATNASSRFSMTADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLAISFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKAFHEYKVKELKNGKNPKPYHNISIIWCY >Vigun01g031100.6.v1.2 pep primary_assembly:ASM411807v1:1:3898074:3904852:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.2.v1.2 pep primary_assembly:ASM411807v1:1:3898074:3904849:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.1.v1.2 pep primary_assembly:ASM411807v1:1:3898066:3904902:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.4.v1.2 pep primary_assembly:ASM411807v1:1:3898074:3904852:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.3.v1.2 pep primary_assembly:ASM411807v1:1:3898067:3904852:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.7.v1.2 pep primary_assembly:ASM411807v1:1:3898074:3904849:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g031100.5.v1.2 pep primary_assembly:ASM411807v1:1:3898074:3904852:1 gene:Vigun01g031100.v1.2 transcript:Vigun01g031100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESAPSAPTSAPPAVFTPLPPPPISLLDPSTFLDMQTGQTTRVRSFPHVDGNYALHVYIPINISSPSKKELSAFLKKVSSRVPNLNVVDVDVPLNILCQHDEKLEQVALGREFHISLGRTVPIRVHQIDSVISMLKQKLHIQRQYWIDFNKWEVFVNDDHTRTFLSVEVVQRGLIEITKQIEAVNTIYRLHNLPEF >Vigun01g023300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2494047:2498531:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2486973:2498531:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2491775:2498532:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2486973:2498531:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2491775:2498532:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2491789:2498531:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2491789:2498532:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun01g023300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2486973:2498531:-1 gene:Vigun01g023300.v1.2 transcript:Vigun01g023300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGPEKSKSLSTPSSSAVERSTRAEDMKDLTVCAEDSFSCLLELASNNDFEDFKLALDSDISLINKVGLWYVRQIGSKQIVQEHRTPLMVASMYGSVDVLKLILSCPEVDVNFSCGTDRSTALHCAASSGSVNAVDVVKLLLSSGADIRCVDANGNRPVDVIVVPPKLEGFKATLEDLLSDSGCDGSVGDCFIPVAVNSSCADSATHFLSPENGLPSSPMASKFTDATVNSASEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASTPNVMDMAAAMSLFPGSPSSLSAMSPSPFAQQMSPSTGGISHSSSAWPQPNVPALHLPGSNIQTSRLRSSLNARDMPPEDLDVLQDFDGQQHLLNDLSCFSQPRPGAISVSRSGRSKTLTPSNLDDLFSAEISSSPRYSDPAAACVFSPTHKSAVFNQFQQLQSSLSPINTSVSSPRNVEHTLLQASFGVSSPGRMSPRSLEPISPMSARLSAFAQRDKQQQQQQLRSLSSRDLGAHNPVSIVGSPGNSWSNWGSPHGNGKVDWSVNGNELGRLQRSSSFELGNNGEEPDLSWVQSLVKESPSEIKEKLAGSGGPVVSADGQNSNPQVESIDHSVLGAWLEQMQLDQLVV >Vigun11g093400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27585610:27595985:-1 gene:Vigun11g093400.v1.2 transcript:Vigun11g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHRQGCRHGQLLLHLLKRCSTILSFDTIKCLHALSITIGPIPKQSIFIHNNILSSYISLGEVLHSRKMFNCMPHRTVVSYNTLIIAYCRLGDVDNAWDLLSHMRRSGFVPTQYTLTGLLSCEFLNLSLGAQLQALSIRNGLFDADSFVGTALLGLFARHGCWDEVFSAFEYMPQKSLVTWNSMMSLLGRNGFVEECKILFHDLVRMGKSLSEGSFVAVLSGLVYSEEDLEYGEQIHGLMVKCGFGCEITALNSLISAYVRCKAMFAVERLFEQVPVQNVVSWNIVMDALVKGERPMTTLELFLNILSRGLMPTQVTFVVVIESCSCLRILVFGESVHAKVIRSGFETDVIVGTALIDFYAKCDKIISAHKCFDQIEEKNLVSWNALIVGYSNICSSIAILLLQEMLQLGYSPNEFSFSAVLKSSSASSLHQLHGLIIRAGYDSNEYVLSSLVLSYTRNGLINEALSFVDEFENLLPVVSSNIIAGIYNRTCQYYEAIKLLSLLEEPDVVSWNIVISAWARSNDYDKVFALFKHMRSTCILPDSYTFMSVLSGCTKLCRLDLGTSLHGLAIKTNLGNFDTFFGNVLIDMYGKCGSIDSAVKVFEEIMHKNMITWTTLITALGLNGHAYESVMRFRNMEMIGLKPDALALRAVLSSCRYGGLMEEAMEIFRQMRATNGMTLEHDHYHIMVDLLAKNGQIREVELIIASMPFPPNASIWRSFLEGYSMQ >Vigun03g421700.2.v1.2 pep primary_assembly:ASM411807v1:3:62726626:62735308:-1 gene:Vigun03g421700.v1.2 transcript:Vigun03g421700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAATALYDHAGAGSLHNAAGPANDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNLNGESGSEPYTPTSQNLSGVAVSDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGGARAFEDDFNPINRKQERGEADADASVFVPTNEKENNTRENNVAKIKVVVRKRPLNKKELAKKEDDIVTAYDSAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDENVTNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRQLHRPVYRSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHNEIKESKRNNNDGNEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQAPNPVPPVNKEASPTSSLLAGMGAEDSNGQRQEIKATDLGRKAVEKERSLYSSSTDVDKQLSSFSSSYSYNGREDKSLASAPMDRERFEVKNSYGDSTSQKMNSYSLNVTDEKVQKVSPPRRKGTKEDKSERSINWVKRDSNGSNNTTSSKQQNTGNYNTVSTGSGQSETESSSDVNISAILEVCQMFGAILFQFKSLL >Vigun03g421700.1.v1.2 pep primary_assembly:ASM411807v1:3:62726626:62735308:-1 gene:Vigun03g421700.v1.2 transcript:Vigun03g421700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAATALYDHAGAGSLHNAAGPANDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNLNGESGSEPYTPTSQNLSGVAVSDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGGARAFEDDFNPINRKQERGEADADASVFVPTNEKENNTRENNVAKIKVVVRKRPLNKKELAKKEDDIVTAYDSAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDENVTNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRQLHRPVYRSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHNEIKESKRNNNDGNEAKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQAPNPVPPVNKEASPTSSLLAGMGAEDSNGQRQEIKATDLGRKAVEKERSLYSSSTDVDKQLSSFSSSYSYNGREDKSLASAPMDRERFEVKNSYGDSTSQKMNSYSLNVTDEKVQKVSPPRRKGTKEDKSERSINWVKRDSNGSNNTTSSKQQNTGNYNTVSTGSGQSETESSSDVNISAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRVPR >Vigun02g019900.1.v1.2 pep primary_assembly:ASM411807v1:2:7038880:7057013:1 gene:Vigun02g019900.v1.2 transcript:Vigun02g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPPAVLRNLADKLYEKRKNAALEVEGIVKQLAFAGDHDRIVAVISLLTTEFTYSPQANHRKGGLISLAAATVGLTTEAAQHLELIVPPVLNSFADQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEDKIRVVACETNEELRAIKADPADAFDVGAILSIARRQLSSEWEATRIEALHWMSTLLSRHRSEVLIFLNDIFDTLLKALSDSSDKVVLLVLDVHACIARDPLHFRQLVAFLVHSFQLDNSLLEKRGALIIRRLCVLLNAERVYRELSAILEGESDLDFASIMVQALNLILLTSSELSEIRDLLKQSLVTPSGKDLYDSLYASWCHSPMAIISLCFLAQTYQHASTVIQSLVEEDINVKLLVQLDKLIRLMETPIFAYLRLQLLEPGRYMWLFKALYGLLMLLPQQSAAFKILKTRLKAVPSYSNSGEQWKRTPSGDLYQFHNVPDGSQTIEDGVIAEDGGSSRNGINFAARLQQFQQMQHQHRVLAKTQAKSRNISSSSTKEPKREEEPIKPQSVERNVTPSRSSKRGLGKLRL >Vigun02g025151.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8366271:8366477:-1 gene:Vigun02g025151.v1.2 transcript:Vigun02g025151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILVCCLCVLFAGWNGVLMLKEDEIMVKIGSGGNVYSFEYSIGYGEWRERMVLRMEMLEMLACIEL >Vigun01g170500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35251950:35253419:1 gene:Vigun01g170500.v1.2 transcript:Vigun01g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTNNTTCAASSTGASNGSNTGRHPVYRGVRRRSSGKWVSEIREPRKPNRIWLGTFATPEMAAIAYDVAALALKGKDAELNFPDSASSLPVPASSAARDIQMAAASAAAAVGAANDALSEGSRGGNVSVSMAQEFSGGNLNHFVDEDLIFDMPNILVNMAEGMLLSPPRFDNFSATDYDYMDENPNLWGFPY >VigunL059017.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000189.1:65098:68217:1 gene:VigunL059017.v1.2 transcript:VigunL059017.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQLRWKKLWKCLRTLRPKYMDRTYFVQIIFQLKLQFAKK >Vigun07g121900.1.v1.2 pep primary_assembly:ASM411807v1:7:22490533:22492569:-1 gene:Vigun07g121900.v1.2 transcript:Vigun07g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSTFATSTLLLAFLAIAFASDYYGYDPTPEVQKPKAKTDYYNNDYGSTPKVEKVENKVDYNPHLPKPDYGEESKPKTDYYDNAYGPAPTVEKVEDKVDYNPHFTKPYYGGESTPTPYYYDNGYGPAPKVEKVEAKVDYNPHLTKPAYGDEFKPKTDYYNNDYGSTQKVENVETKVDYNPHLPKPDYGEESKPKTDYYDNGYGSAPKVEKVAGKVDYNPHLTKPDYGEEPKPKTDYYNNGYGSTPKVEKDEDKVDYNSHLTKPNYGEESKPKTNYHDNEYGPAPKVEKVEAKVDYNPHFTKPNYGEESKPKTVYYNNGYGLAPTVQKLQDESSYKPHPANPDYDEESKSKTYNFENGYGPSPKVENPKPKTDYQVEKHDPTKPNYYEVPKPKGKDEQHLFPTTIGVQGVVLCKSGSTYSPIQGAVTRITCGCKDEHGYEASSISVLSHVTDNKGYFYATVSLEELESKLKVTECKAYLESSPLETCKVPTDVNYGISGAHLSSYRILENKVKLYTVGPFFCTSQPAKPLPNGY >Vigun01g032000.1.v1.2 pep primary_assembly:ASM411807v1:1:4044819:4046696:1 gene:Vigun01g032000.v1.2 transcript:Vigun01g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIKVVALSGSLRKGSYNTALIRSGIELSKGEVEGIEIEYIDISDLPFLNTDLEKDGSYPSEVEAFRQKIVAADSVLFASPEYNYSVTGPLKNAIDWASRPPNVWAGKAGAIVSAGGGHGGAKSHYHLRQIGVFLDLHFINKPEFFLNVFQPPPKFNSDGDLIDEDAKNRLKEILLSLKAFTLRLQGKN >Vigun01g053400.1.v1.2 pep primary_assembly:ASM411807v1:1:9951874:9955543:1 gene:Vigun01g053400.v1.2 transcript:Vigun01g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKEADLKGKRVFVRVDLNVPLDDDLKITDDTRIRAAVPTIKYLTGHGAKVILSSHLGRPKGVTPKYSLKPLVPRLSQLLELEVKIADDCIGEEVQKLVAGLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADIYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLEKVNILLLGGGMIFTFYKAQGHSVGSSLVEEDKLNLATSLLEKAKAKGVSLLLPTDVVIADKFAADANSKTVPASSIPDGWMGLDIGPDAIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALDDA >Vigun09g163800.1.v1.2 pep primary_assembly:ASM411807v1:9:33172463:33179520:-1 gene:Vigun09g163800.v1.2 transcript:Vigun09g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPNAPSAVNCPSYVGLRAPQRTFNFCVPQPATKSFPPRLFVVRASDSDFEAAVVAGKVPSAPPVPPKPASPVGTPVVPSLPLHRRPRRNRKSPALRSAFQETSISPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKIPDALKSPTGDEAYNENGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQAQAGADVVSPSDMMDGRVGALRAALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALTEMREDESEGADILLVKPGLPYLDIVRLLRDNSPLPIAAYQVSGEYAMIKAAGALKMIDEEKVMMESLMCLRRAGADIILTYSALQAARSLCGEKR >Vigun03g429500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63390988:63392527:1 gene:Vigun03g429500.v1.2 transcript:Vigun03g429500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSSTSLIGLLSLPIIILLLLLRPISAIRLGLTRRHSPDLPLFREAPAFRNGHECGSTPDHVINVAMTLDANYLRGTMAAVLSMLQHSTCPENLSFHFLSAHHDAPELFSSIKSTFPYLNMKIYRFDSNRVRGKISKSIRQALDQPLNYARIYLADTIPEDVKRVIYLDSDLVVVDDIAKLWGVDMEGKVVAAPEYCHANFTLYFTDTFWSDPALAETFKGRMPCYFNTGVMVMDVDTWRKERYTEKVESWMAVQKQQKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNFEGKCRCLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSRHFFEE >Vigun06g222700.1.v1.2 pep primary_assembly:ASM411807v1:6:33161537:33164035:-1 gene:Vigun06g222700.v1.2 transcript:Vigun06g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMSDRKPHAVVTPYPAQGHVTPLLKIAKLLHLRGFHITFVHTEYNYKRLLRSRGANALDGLPDFRFEAIPDGLPPLENDDVTQPVPALFDSIRKNFLQPFCDLLHKLNHSSSQGLIPPLTCLVSDGCMPFTAQAAQRFALPNVICWPASACSLLSVMNFPTMVQKGLTPLKDESYRTNGFLDSKVDWIPGMENFRLKDMIDFLWTTDPNDVILQFFIELANTVDKNSIFLFNTFDDLEEDVLNALSSMFPSLYPIGPFTLLLNQSPHQHLEPLGYNLWKENTECVDWLESKNPGSVIYVNFGSITVMSAEQMLEFAWGLANSKKPFLWIIRPDLVVGGSVILSSEYVNETRDRSLIASWCPQEKVLNHPSVGGFLTHCGWNSTMESVCAGVPTLCWPFFAEQPTNCRYMCSEWEIGIQIDSNAKREEVEKVVNELMVGEKGNKAREKTMHLKKKAEEATRPGGRSYMNFDKVIKEVLLKQKTSLD >Vigun06g222700.3.v1.2 pep primary_assembly:ASM411807v1:6:33161537:33163955:-1 gene:Vigun06g222700.v1.2 transcript:Vigun06g222700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMSDRKPHAVVTPYPAQGHVTPLLKIAKLLHLRGFHITFVHTEYNYKRLLRSRGANALDGLPDFRFEAIPDGLPPLENDDVTQPVPALFDSIRKNFLQPFCDLLHKLNHSSSQGLIPPLTCLVSDGCMPFTAQAAQRFALPNVICWPASACSLLSVMNFPTMVQKGLTPLKDESYRTNGFLDSKVDWIPGMENFRLKDMIDFLWTTDPNDVILQFFIELANTVDKNSIFLFNTFDDLEEDVLNALSSMFPSLYPIGPFTLLLNQSPHQHLEPLGYNLWKENTECVDWLESKNPGSVIYVNFGSITVMSAEQMLEFAWGLANSKKPFLWIIRPDLVVGGSVILSSEYVNETRDRSLIASWCPQEKVLNHPSVGGFLTHCGWNSTMESVCAGVPTLCWPFFAEQPTNCRYMCSEWEIGIQIDSNAKREEVEKVVNELMVGEKGNKAREKTMHLKKKAEEATRPGGRSYMNFDKVIKEVLLKQKTSLD >Vigun06g222700.2.v1.2 pep primary_assembly:ASM411807v1:6:33161537:33164043:-1 gene:Vigun06g222700.v1.2 transcript:Vigun06g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMSDRKPHAVVTPYPAQGHVTPLLKIAKLLHLRGFHITFVHTEYNYKRLLRSRGANALDGLPDFRFEAIPDGLPPLENDDVTQPGLIPPLTCLVSDGCMPFTAQAAQRFALPNVICWPASACSLLSVMNFPTMVQKGLTPLKDESYRTNGFLDSKVDWIPGMENFRLKDMIDFLWTTDPNDVILQFFIELANTVDKNSIFLFNTFDDLEEDVLNALSSMFPSLYPIGPFTLLLNQSPHQHLEPLGYNLWKENTECVDWLESKNPGSVIYVNFGSITVMSAEQMLEFAWGLANSKKPFLWIIRPDLVVGGSVILSSEYVNETRDRSLIASWCPQEKVLNHPSVGGFLTHCGWNSTMESVCAGVPTLCWPFFAEQPTNCRYMCSEWEIGIQIDSNAKREEVEKVVNELMVGEKGNKAREKTMHLKKKAEEATRPGGRSYMNFDKVIKEVLLKQKTSLD >Vigun06g222700.5.v1.2 pep primary_assembly:ASM411807v1:6:33161537:33163912:-1 gene:Vigun06g222700.v1.2 transcript:Vigun06g222700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMSDRKPHAVVTPYPAQGHVTPLLKIAKLLHLRGFHITFVHTEYNYKRLLRSRGANALDGLPDFRFEAIPDGLPPLENDDVTQPVPALFDSIRKNFLQPFCDLLHKLNHSSSQGLIPPLTCLVSDGCMPFTAQAAQRFALPNVICWPASACSLLSVMNFPTMVQKGLTPLKDESYRTNGFLDSKVDWIPGMENFRLKDMIDFLWTTDPNDVILQFFIELANTVDKNSIFLFNTFDDLEEDVLNALSSMFPSLYPIGPFTLLLNQSPHQHLEPLGYNLWKENTECVDWLESKNPGSVIYVNFGSITVMSAEQMLEFAWGLANSKKPFLWIIRPDLVVGGSVILSSEYVNETRDRSLIASWCPQEKVLNHPSVGGFLTHCGWNSTMESVCAGVPTLCWPFFAEQPTNCRYMCSEWEIGIQIDSNAKREEVEKVVNELMVGEKGNKAREKTMHLKKKAEEATRPGGRSYMNFDKVIKEVLLKQKTSLD >Vigun09g205100.1.v1.2 pep primary_assembly:ASM411807v1:9:37964466:37965744:-1 gene:Vigun09g205100.v1.2 transcript:Vigun09g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENMTIPCFDLCKGGMRLEEGSEEWKEMSKKVREACENHGCFLLICDDEIIPNTVCKDMFHNMKALFDLPEETKQQYVTAKPYRNYNGKNSPIPLSESFGIDDTFLSDNAEAFANFLWPQGNPPFSETLKTMTSKLVELSFLVLKMIVEGYGLPQHYISDAENMNSSSFSRLMKYRVDDESDNEHETVLPAHTDSSTLTIICQKDVQGLEVLSKTDKWIELEIPHDGVVVLVGDILQAWSNGRLHSVKHRVVLKGEKERYSFGLFANPKDDINIKVPEEFVDNQIHPLRYRPFKYGEYFDSYVSNALEALEVFECI >Vigun03g133900.1.v1.2 pep primary_assembly:ASM411807v1:3:13136346:13139203:1 gene:Vigun03g133900.v1.2 transcript:Vigun03g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAWSNGKMKVLKPRTSNENIPPMQIDSEERRGRYLQPSAMSPRFQPYAENIDAGSPLARYLFAGSPLSGKVAASGEAFSPPSFSAWSTKGLPYCGNSSGRSSSFGSRGRLSPSPLSSIENMEVASFMSPPMYRTAATGDDDVLVMDDILVRPMSGGKSGRSSSSSGRGSSSSSSASKSVFKTDICKAWEDSASCRYNSKCQLHLKEEPQSGRPSSKSKSRAETGTSSSSSSVRAESSTPGLSSDIVEQQHAAAESDQQGATSQPTSPESANDWSPLDDGIEVVLPGSDEAPSREQIQAYISSFKHSRIAKRRLSVFEAITKGA >Vigun09g240800.1.v1.2 pep primary_assembly:ASM411807v1:9:41070298:41071716:-1 gene:Vigun09g240800.v1.2 transcript:Vigun09g240800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSIRMLAQGVFLFLVLSTMAEGTKPRTILVGDSQGWRAGTNYTQWAIQNSPFHINDTLVFKYPPPGNSTVTQSVYLLPNLWSYITCEFRGAKVLGNASEGDDEGFKVALNESKPYYFASAEGNSYDCLAGLTKFIAVPSTRSTPS >Vigun02g102500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25733263:25737804:1 gene:Vigun02g102500.v1.2 transcript:Vigun02g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEVVIQNLGSFVQDQLATYWGVDEQIQKLSSNLTAIRAVLRDAEKKQITSHAVKDWLQKLTDAAYVLDDILDECSIHFTKMHSHDGHTSCLSRLHPKDIHFRFHIGKRIKDITERFHDIHTERLTFELRVDLTKKQAVDDDGWRETSSVITEPILYGRDGDREKIVKFLLEDASSSDELTIYAIVGMGGLGKTTLAKQVFHDDEISKHFDLKIWICVSDDFKVKEILHSIIECTLGPNQNVDNLEARRKKVEEALQSKRYLVVLDDVWNDNREKWNELKGMLECARGAKGATVLVTTRLQEVVSVMGAHFSYPLKALSEEDSWSLFKQHAFGPNRQERKELSVIGEDIVRKCVGSPLAIKTLGSCLRDENEVTQWKNVKESEIWGIREESNSATGEENSIMRALKLSYSNLEPYMRRCFSLCAIYPKDFEIEKEEIIHLWMANGFIKCEGNVEVEDVGNKVWKKLYSRSFFQEAEYDKFGMITTFKMHDLFHDLAQSIMGEECVVIMKKLLTPSSRIHYLNLKNYGVSVDASSIKLAFKKAESLRTLFYFGHMGLMLSNHCCLRALDTNFTKLSSLKSLTLLRYLSLSGGMHVSLNNSICELTKLQILKLRDWYRLYGLPKNLTQLQDLRHVVIDNCPSMVEMSPNISKLRHLKTLSIFIVGSKPGYGLAELHSLKLGGTLRIKGLENVSSEWDAKQVNLIGKNLNMLCLSWDGRSSSEGSNVSVERVLEALEPPSTLKSFQMNGYQGRHLSSWMRSLVALRDLVKVKLWNCENCEELPPFGKLPHLKRLEVSGMKNVKCIDGETYEGVEEMAFPSLEELILKNLPNLERLLRDEGVEMLPRLSQLTIKKVSNFKFPRLPSVEKLDVESIDDVEGVVGNTPCLKTLNISSIKGVKTLPDQLGILDALEDLDIVGWYDLEYFPEHILEGLTSLRILRIRYCEKLKSLSEGVRHLACLERLTIWYCPELVVLPSNMSQLTALRAVSIFVCSTLPDGLQRVPSLRSLHISDCKSTSLPDWLGDITSLQELDISYCKELRSLPSSIQRLTNLSSLGIYNCSHLEKRCKRETGEDWQYINHIPNIEWETF >Vigun07g167100.2.v1.2 pep primary_assembly:ASM411807v1:7:28148486:28151978:1 gene:Vigun07g167100.v1.2 transcript:Vigun07g167100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGFQWSDTLEFVDTPDEGIAMRALCQLEEGDVVAKMPKEACLTTKTSGACEIIEEAGLDGHLGLAFAIMYERSLGEDSPWYGYLQLLPYQECVPIVWTLNEVNELLCGTELHQTVQEDKALIYEDWKENILPLLDLAPLKLNPKFFDLEQYFAAKSLISSRSFEIDDYHGFGMVPLADLFNHKTGAEDVHFTAMSSNYESGSDVDGSNNDEGIVKEEEALAQNSSTDMIASIDPNVGNSIDSDLESSSVSEDDTSMLEMIMIKDVSSGTEVFNTYGLLGNAALLHRYGFTEQDNSYDIVNIDMELVLQWCSSAFSDRHSRARVSLWRRLGYSACGSQDSEYFEISFDGEPQIELLILLHIMLLLDDAYQKLDLSVSVAGNCPESNGGTTHLNGNIFPNEAFNMSKKSLLPKRVCDALLSLADMRESLYGLNSLEDDIEALERCSLARERKLYHSLVLRINERKILQKLRNYASQPFEINEHSSPRKKLKRTTKKTG >Vigun07g167100.1.v1.2 pep primary_assembly:ASM411807v1:7:28148172:28151978:1 gene:Vigun07g167100.v1.2 transcript:Vigun07g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLRTFKRWMKSKGFQWSDTLEFVDTPDEGIAMRALCQLEEGDVVAKMPKEACLTTKTSGACEIIEEAGLDGHLGLAFAIMYERSLGEDSPWYGYLQLLPYQECVPIVWTLNEVNELLCGTELHQTVQEDKALIYEDWKENILPLLDLAPLKLNPKFFDLEQYFAAKSLISSRSFEIDDYHGFGMVPLADLFNHKTGAEDVHFTAMSSNYESGSDVDGSNNDEGIVKEEEALAQNSSTDMIASIDPNVGNSIDSDLESSSVSEDDTSMLEMIMIKDVSSGTEVFNTYGLLGNAALLHRYGFTEQDNSYDIVNIDMELVLQWCSSAFSDRHSRARVSLWRRLGYSACGSQDSEYFEISFDGEPQIELLILLHIMLLLDDAYQKLDLSVSVAGNCPESNGGTTHLNGNIFPNEAFNMSKKSLLPKRVCDALLSLADMRESLYGLNSLEDDIEALERCSLARERKLYHSLVLRINERKILQKLRNYASQPFEINEHSSPRKKLKRTTKKTG >Vigun10g193500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40767467:40768786:1 gene:Vigun10g193500.v1.2 transcript:Vigun10g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNDEIYLHAPTTTVAAANFFPPKPETVSLRTVGSSRELRYETGLEGIAAVVGEHVLFGTSSSSTLHAPETPKKTAGVSASKSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGAKARTNFKIPSVLPLSPSSEGGVVKPKAARNNARKCSSVEQLFSGVPQVRRNDGGGENVNVNVEVEVNLKLGVRNSRMVI >Vigun01g056150.1.v1.2 pep primary_assembly:ASM411807v1:1:11030367:11031917:1 gene:Vigun01g056150.v1.2 transcript:Vigun01g056150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFCENLECLAGMDGVVSIIPNRIHSIQTSRSWDFLGFPENVQRTKEESNVIVGVLDTGIWPNSTSFTDGGVGPPPQKWKGTCQNFTCNKLTNNILSIGQQVWHQNLGGSKNPVRQVLDDIIKNDRSQV >Vigun08g141500.1.v1.2 pep primary_assembly:ASM411807v1:8:31382686:31384001:1 gene:Vigun08g141500.v1.2 transcript:Vigun08g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHLNNWFSDCSSSMEFEIGATDADQTLEPWFQSDTSSGYLQDAIAGKGIWCKEQNLPSCYQKVEQFPMFSTTAPPLHDCGIAHKKRSMSTRLSSTTLGDAHEAAIKQESAQNSYASGQRKKIAYPFKLVKCGGVGGETTLKDINYQILSTPSTSKAIPHPVTDSVTLPCKLVKGSSFGLSGKTVTSLTRIHTRGRGSITIIRTKDS >Vigun01g236700.1.v1.2 pep primary_assembly:ASM411807v1:1:40808171:40812192:1 gene:Vigun01g236700.v1.2 transcript:Vigun01g236700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQSLRNKATQFVSVLLNPISDSDAPKPSKIPPLTPTPTPPSGEVGGSENDEEIIRGLADGPDTSSFTAFLYSLLSSSDPGDNASSHAQSDDSKGAAPDHNPLPDSLLKENGGRKSLFSKSKQSLGRAIRKIGGFRYHDRRDNLEMKSEDGSGSKISGVVEMRRFEPVKESVTAPLDDLPEISEPSVLLSDSMKNVLYVSLPPLIHGRKWLLLYSTWRHGISLSTLYRRSMIWPGLSLLIVGDKKGAVFGSIVEAPLRSSSKKKYQGTNNTFVFTNISGHPVIYRPTGVNRYFTLCNTDYLAIGGGGHFALYLDGDLLNGSSSVSETYGNPCLANSQDFEVKEVELWGFVYASKYEEVLAMSRTEAPGICRW >Vigun01g059400.1.v1.2 pep primary_assembly:ASM411807v1:1:12414315:12416724:-1 gene:Vigun01g059400.v1.2 transcript:Vigun01g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLRFNAFAKTTPKEFLFRLLVLWSNHSLIGSLIWCGLWIVESTCLTKLRIIICIGNSWCWKLQPFSMSCICSCFKG >Vigun03g325900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52228450:52229076:1 gene:Vigun03g325900.v1.2 transcript:Vigun03g325900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRVYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLSGCPPKPEAIIDAITKLRKKISREIYEDPMSFQRENRCFTTNHKFHVGYSTLYWQLWSRIFLSTAIYFRDIV >Vigun09g276500.1.v1.2 pep primary_assembly:ASM411807v1:9:43675614:43678079:1 gene:Vigun09g276500.v1.2 transcript:Vigun09g276500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAVSAITGARLTLGMPLSSPALTRRTTSPSLVMAVSTDHKVDNKLTLTKSEEAFAAAKELLPGGVNSPVRAFKSVGGQPIVIDSVKGCRMWDIDGNEYIDYVGSWGPAIIGHADDQVLAALGETMKKGTSFGAPCLLENTLAELVIDAVPSIEMVRFVNSGTEACMGALRLARAYTGQEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPYNDILAVEKLFENNKGEIAAVFLEPVVGNAGFIVPTPEFLNFLRKITKENNTLLVFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLQRIKEPGTYEYLDKVTGELVQGIIEAGKRAGHAICGGHISGMFGFFFTEGPVYNFADAKKSDTAKFARFFWGMLAEGVYLAPSQFEAGFTSLAHTSDDIKKTIAAAEKVFREI >Vigun06g186400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30558333:30559897:-1 gene:Vigun06g186400.v1.2 transcript:Vigun06g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGGRNHSSTSSSFISHASPFSWFSKFKNMRINSEPSAAKMKHKAKPSSSPQYFCEIGDRCCVKEDGDFCRQPLNEESCEDKKNKDIDESVIPSSSCFAATTNAKETKLLDDGKVSTNRVKEYENLRKRFERKAKEAFQDQLLTLERQVEELKFGSSKAVEKDVLQFESPRTICTPRTHAFSSSAISRNPILGNVIENFEKNEKLSHKKTSSERQNLKQTEETKVKTNRNKQSHNLNREHQRRKPKPSSRVKIHSPRMVSKVEICKIKALEDVKKAKLKMKKEKEEIVEVPELESFAVIKSSIDPKQDFRDSMIEMIIENQIIQPEEMEDLLACYLTLNADEYHDLIIKVFRKVWFDMSQGILGIKLNMQCGYYE >Vigun11g215000.1.v1.2 pep primary_assembly:ASM411807v1:11:40936483:40940411:1 gene:Vigun11g215000.v1.2 transcript:Vigun11g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCLTKKSKSCTSLFHSTTKVLPLTVTLSLNTHPPTSTATAMAVASFSNFSGARSFSLQNGYSLPLPSFTLSLRTTNSYAYAPLFTSLRSPALSIIASAHGGGTGGGHGGGGGGGGGGGSGGDGESEDRDRNREEALLVLAEAGRPLEKLPADLAAAVEAGRVPGSILKRFFELEKSAVLRWLLNFGGFKERLLGDDLFLAKVAMECGVGIFTKTAAELEKRKENFSKELDFVCADVIMAIVADFMLVWLPAPTVSLRPPPAVSAGTVAKFFYGCPENAFQVALAGTSYTLIQRIGAIVRNGAKLFAVGTSASLIGTGITNALINARKLVDKSFAAEAEDLPVLSTSVTYGVYMSVSSNLRYQLIAGVIEQRILEPLLHQHKLVLSAICFAVRTGNTFLGSLLWVDYARWVGVQRSRE >Vigun06g058300.1.v1.2 pep primary_assembly:ASM411807v1:6:18473306:18476295:1 gene:Vigun06g058300.v1.2 transcript:Vigun06g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVVSFASQHLLSKFLDAVKMVRDLPKEVAEVTDELESFQDFIHDANKVAEAEEDNNKRDRIRKRLTRLTEAAFRMEDVIDDYVLCDNKQPEEDPRCADLLCEAVQIIKTQILRLQIAYQIQDVKSVVRGEKDAFQKHFPIEPRSDGSRENENFTWHKLRVDPLFKQDEEVVGLEGPIQTLKKGLTEGREERTVISIVGMAGLVERLLRDLTKELCEERNEQPPQDVETMNQMSLIKEVRKRLCNKRYVVLFDDVWNEKFWDDIELALIDNKNGSRILITTRDERVVEFCKKALSFEVHKLQPLSKEKSLELLCRRAFGYDFDGRCPEDYEEVGLDIVRKCECLPLAIVAIGSLLYRKCKSSSEWHLFSQNLSSELQGNSELHSVTKILSLSYDALPQNLRSCLLYFGMYPEDYEVKCDRLIWQWIAEGFVKHESRKNLEEVAQQYLMELISRSLVLVSSFSTDGKVKACRVHDSMHEMIRLKIKNTEFCEYIDEHNHLEPSGTIRRLAIATSSNGLIGSIEESQHVRSILFFTNKVLSEDFTIRLLAIAKYMRLKVLDFEFAPLYNVPESLGSLINLKYLSFRDTYIRSLPKSICKLQNLETLDVRANREIAMQNLEILDVRANREIEVPKEITKLRKLRCFLGNRISSIALKDSLGSITSLEKMHVLEIDPDGEVIREIGKLKQLRDLRVVSLRGDDADTLCSSINEMPLLERLHISTKYGTEAIDLQIRSSLSKLRKLHLYGNLKEFPIWIPRLQNLVKLSLVQSRLTNIPLISLGNMPNVLILSLSLNSYEGETLHFQKGGFQKLKELELQRLHQLSSIFIDSGTLQSLEKLLIINIPKLKTVPSGIQHLKKLRLLHMLYMPSEFKQRIDPNGGKEHWMIKHVPDVRFVPLLLAEGFAKMFSLRL >Vigun06g058300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18473303:18476295:1 gene:Vigun06g058300.v1.2 transcript:Vigun06g058300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVVSFASQHLLSKFLDAVKMVRDLPKEVAEVTDELESFQDFIHDANKVAEAEEDNNKRDRIRKRLTRLTEAAFRMEDVIDDYVLCDNKQPEEDPRCADLLCEAVQIIKTQILRLQIAYQIQDVKSVVRGEKDAFQKHFPIEPRSDGSRENENFTWHKLRVDPLFKQDEEVVGLEGPIQTLKKGLTEGREERTVISIVGMAGLGKTTLSKQVFDRVHKHFECHALITVSRPYTVERLLRDLTKELCEERNEQPPQDVETMNQMSLIKEVRKRLCNKRYVVLFDDVWNEKFWDDIELALIDNKNGSRILITTRDERVVEFCKKALSFEVHKLQPLSKEKSLELLCRRAFGYDFDGRCPEDYEEVGLDIVRKCECLPLAIVAIGSLLYRKCKSSSEWHLFSQNLSSELQGNSELHSVTKILSLSYDALPQNLRSCLLYFGMYPEDYEVKCDRLIWQWIAEGFVKHESRKNLEEVAQQYLMELISRSLVLVSSFSTDGKVKACRVHDSMHEMIRLKIKNTEFCEYIDEHNHLEPSGTIRRLAIATSSNGLIGSIEESQHVRSILFFTNKVLSEDFTIRLLAIAKYMRLKVLDFEFAPLYNVPESLGSLINLKYLSFRDTYIRSLPKSICKLQNLETLDVRANREIAMQNLEILDVRANREIEVPKEITKLRKLRCFLGNRISSIALKDSLGSITSLEKMHVLEIDPDGEVIREIGKLKQLRDLRVVSLRGDDADTLCSSINEMPLLERLHISTKYGTEAIDLQIRSSLSKLRKLHLYGNLKEFPIWIPRLQNLVKLSLVQSRLTNIPLISLGNMPNVLILSLSLNSYEGETLHFQKGGFQKLKELELQRLHQLSSIFIDSGTLQSLEKLLIINIPKLKTVPSGIQHLKKLRLLHMLYMPSEFKQRIDPNGGKEHWMIKHVPDVRFVPLLLAEGFAKMFSLRL >Vigun10g170900.1.v1.2 pep primary_assembly:ASM411807v1:10:38977548:38982109:-1 gene:Vigun10g170900.v1.2 transcript:Vigun10g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRVGNKFRLGRKIGSGSFGEIYLGTNFQTNEEVAIKLESVKTKHPQLLYESKLYKILQGGNGIPNVKWYGVEGEYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLLRDLFIREGFQFDYVFDWTILKYQQSQSATAPARAIGPAAGPSSGVPPLAANTDGQGGEDGRHNNWSSSDPTRRRNPVPFANDGVLSRQKGPAIYDSTQPKDVMLSSSNFFRPSGSARRGAVSGSRESRDVVVGSETEASRPLPLDSSQGVLRKMSVPGAQRSSAITSSEHNRTSSGRNTSNIKNLETTLRGIESLHFNEERVHY >Vigun05g230000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42335716:42337045:1 gene:Vigun05g230000.v1.2 transcript:Vigun05g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSFQSPPPLPMARQQKVLRRSPPVTCRERWRAGEVAGNATAACAAVCCCVPCSVMDVVVLAAYKVPAGLVRKAMHKRKRRLLQKKNEKNEALLDHRPDDFSGPTPGPWPSLDEDLSEDEAEETSKLEEEMWAQFNGTGFWRSDSQRLEQQQEQHHPTAEWHCQAQ >Vigun01g163500.1.v1.2 pep primary_assembly:ASM411807v1:1:34522232:34528636:1 gene:Vigun01g163500.v1.2 transcript:Vigun01g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRIMKGGQKKPSKTDPNDPSAFGSPATADAPAVVVPPPPGTIEPLPLFRDVPVSERQTLFIRKLQICCHLLDFSDTLKNIREKEIKRQTLMELVDFIQSGSGRITEICQEEMIKMVSVNIFRCLPPAAHENTGQEATDPEEEEPCLDPAWPHLQLVYELLLRYIVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFFRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLSRALLPLHKPKPVGVYHQQLSYCIAQFVEKDFKLADAVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRQVARCLNSSHFQVAERTLFLWNNEHIVSLIAQNRTVVLPIIFEALEKNIQSHWNQAVHGLTVNVQKMFIEMDAELFEECQRQYAERQAKAKDLEEQRELNWKRLTDAAAQNGVDMVTA >Vigun07g225400.1.v1.2 pep primary_assembly:ASM411807v1:7:34761760:34769808:-1 gene:Vigun07g225400.v1.2 transcript:Vigun07g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQFGIKLAVHLITNHFGNLVAKVCENLFSHGVLTLDQLVRYSELTKDQVKNSLLVLVQHNCCQAFVSAPEDDDDGADRLRVRTQYLVLFDNIIHRLRFPKFLETVSRKLDEECVELLDGLLRDGRLTLKQMVDRASQGKENAVDTKVVREILSKLLTARLVERCPAPEPVVSTSFKETTTRKRGAKAAKIFEPPETLEQRVVEAAVPGDAIRFSFTADMKSKVDRETNSDDDEIASVQENDEKEEEILWRANFEEFIRHLRHKALIENIRTQHDDGTATVLSAVLEATKTVEKKVKMENSVPLSLDTITAEVMKTDTGRTMTIDRIRASLSQLGCSQRMIVDDAYSIDLKKIIERAQNEEVESIVLKRYGRDAYRMFRLLSKDGCFHDTDQIAASTLVEKKEAPKLLYRLWKDNYLYMEKVVATGVKQITILMWKVNKPLLWEHVLDEMYHAALNLSLRMAFEQEKDEELLNVPKDKLKEPGPLQKKYKRLLNVWLLLGSSLTKLDEALMLFHDF >Vigun02g030550.1.v1.2 pep primary_assembly:ASM411807v1:2:12754993:12755368:1 gene:Vigun02g030550.v1.2 transcript:Vigun02g030550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLLSLIFLELIIAVMFIVQFSSGVSSNLMCVGSCTQIPDCASHCQNIGYTTGLCFPDICCCKK >Vigun01g100900.2.v1.2 pep primary_assembly:ASM411807v1:1:26849027:26853677:1 gene:Vigun01g100900.v1.2 transcript:Vigun01g100900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGQRHVSATSATDNPVLRCTTVCTLPFARLVAASRRRLFLSDCADGRSDDDDGAECGYSRAVLVLDMVWNLAFVVVAASVLLSTLRERPSTPLRLWLCGYAFECVLHMAFVFFEFRLGVRDSFGHTTYRLTVVFLAFDIFFIIFCIGMTCVVFFALFCIIPIIALAYAMKIREGASEEDIRSLPMYMFSQSNSLVMVDDKKQLVNARVDLCNGSHMSELSLNLDDSECCICLCPYADGAELYRLPCTHHFHCECIGRWLRTKATCPLCKFNILRGDTLV >Vigun01g100900.1.v1.2 pep primary_assembly:ASM411807v1:1:26849027:26853677:1 gene:Vigun01g100900.v1.2 transcript:Vigun01g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGQRHVSATSATDNPVLRCTTVCTLPFARLVAASRRRLFLSDCADGRSDDDDGAECGYSRAVLVLDMVWNLAFVVVAASVLLSTLRERPSTPLRLWLCGYAFECVLHMAFVFFEFRLGVRDSFGHTTYSIVKKLEPINTLASSVWWVFGFYWIVVGGQALLEDSPRLYWLTVVFLAFDIFFIIFCIGMTCVVFFALFCIIPIIALAYAMKIREGASEEDIRSLPMYMFSQSNSLVMVDDKKQLVNARVDLCNGSHMSELSLNLDDSECCICLCPYADGAELYRLPCTHHFHCECIGRWLRTKATCPLCKFNILRGDTLV >Vigun07g251900.1.v1.2 pep primary_assembly:ASM411807v1:7:37111775:37114977:1 gene:Vigun07g251900.v1.2 transcript:Vigun07g251900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDSDSKRRHSKFDQEPSPKRYRRDGKQERERDRNRVTSDGGDKRNPPLPHHSRREPFDASAPKKSNSNDHGQPSKHSSQPSRSRSYYQHEERGSTGQAGRSNGQREAGGKVFPQSKDNNERVETGQNREQTSMKSQVKLDDKLQKRDSFAERKDDLPPTMRKRRAFREKKIPVDSTDANPAPVVAVKSSHSDHFMESNERKEERSSNPHHLDRPEKQITEDRALNKSEARRDSFSSRARFGGSGGDSNYRGRDKLNGRQVHRPVKSRVEKWKHDLYQEVNKDPVPKNEDDQIAKLEALLAS >VigunL017300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000193.1:47594:48216:1 gene:VigunL017300.v1.2 transcript:VigunL017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNIPIMSMPIESMLLAVNLNFLVFSISSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINNIQG >Vigun03g293200.3.v1.2 pep primary_assembly:ASM411807v1:3:47844942:47846650:1 gene:Vigun03g293200.v1.2 transcript:Vigun03g293200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCIPNSPSLQGWKTSTNGLFGWNIGKKNVDDKPQIKYHDIDLTFSTSLVDKTFLRGKELKCCYRASIDGFSATNFHECCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWVDNETKPIILPKVGGNGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDGKESIFGDESRATLQEVEVFCSPQIASLY >Vigun03g293200.1.v1.2 pep primary_assembly:ASM411807v1:3:47844533:47846512:1 gene:Vigun03g293200.v1.2 transcript:Vigun03g293200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCIPNSPSLQGWKTSTNGLFGWNIGKKNVDDKPQIKYHDIDLTFSTSLVDKTFLRGKELKCCYRASIDGFSATNFHECCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWVDNETKPIILPKVGGNGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDGKESIFGDESRATLQEVEVFCSPQIASLY >Vigun03g293200.4.v1.2 pep primary_assembly:ASM411807v1:3:47842130:47846466:1 gene:Vigun03g293200.v1.2 transcript:Vigun03g293200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCIPNSPSLQGWKTSTNGLFGWNIGKKNVDDKPQIKYHDIDLTFSTSLVDKTFLRGKELKCCYRASIDGFSATNFHECCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWVDNETKPIILPKVGGNGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDGKESIFGDESRATLQEVEVFCSPQIASLY >Vigun11g051800.1.v1.2 pep primary_assembly:ASM411807v1:11:8795804:8798604:-1 gene:Vigun11g051800.v1.2 transcript:Vigun11g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGESSKSKNVGGGAGAGAGGSRKGAGRPCKKPKPKKVPQRGLGVAQLEKIRLEEEEKEKKAAAAAAAVAVAGANANGSSKNSPCDLRLQFANFPRCNHPSSPNSLPSSTVSLANSGGGGGSEACWHGGVPPQGRVSGPHLWAHHNFELGHKNLGMDPKLTLASSLPCQSNPLGHPFNWVQRTQQQHHSSSMVSASSGTSSTTVPKSLIEIPSNQTYSGCYVSKRQEERMTGVKRPGSFSLQTPPVTSSNFRPLTFPSPMKASETIPCRRGREFNLDFSNSTIREVPSLAASNSEPNSKKKKKENENIGGDFLRLAPPIPSNFKLNSTSAFQPFHHLANMKNQVPPPSGYNQFNQQQQRGFDSIPPSANAALTGQQSDRFQNRNVVGRSANLDLTLKL >Vigun10g113700.1.v1.2 pep primary_assembly:ASM411807v1:10:31597681:31598946:-1 gene:Vigun10g113700.v1.2 transcript:Vigun10g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSSQQPPYLPLLFALHPPCSSKTHHHHHRHNLRFSLQKRRRAQVQEARRRKKMVLKREMKMRNLKLYMENKSIIEENEKLRKKALLLHKENQTLLFQLQEKFPNKVTSKPNNS >Vigun11g001200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:174488:176442:-1 gene:Vigun11g001200.v1.2 transcript:Vigun11g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVPVVQENKIQYSEEMIENGLRRFGWSGMIQCILVSAAMYFDAQQSFMAIYSDEYPTWHCADPTTCTSHSDICKLPRSSWAWDGPSSKTIISQFGLQCATSFITGLPQSSFFLGCFLGSFLLATLADTSLGRKNLLVMSCFFMSLASATIVFSTNVWTYSAFKFLIGFWRSSIGTCVLVLLTEKVSTEWRFTVGIVEYFCFTLGYMTLPGIAYLNRNSSWKSLYVWTSVPAVCYSVIAYLFVAESPRWLLMQGREQEAMAMLTGISESAVENGSNITAGLLKVPAVKQKSILGLYSSIAELFERSWVGKRVVAMMVLGLGIGMVYFGMPLAVGNLGFDIYLGVVFNAFMEIPSCVATYFLANCRRKPSILAFSVASGICCMLCAVVSNGVKVAKVGISLVAFFSTVTAYNVFLIYVVEVFPTSVRNTTTSLVRQAVVFGNIFSPFLISAGRKNEFFSYGVFGVVIISSCFTLVCLPETIGVALSDTMDQQEKKDNLSA >Vigun08g158500.2.v1.2 pep primary_assembly:ASM411807v1:8:33092241:33103289:1 gene:Vigun08g158500.v1.2 transcript:Vigun08g158500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVLHLENQTWGGTLGPSLGNLSFLTTLILYNIDLYGEIPTQIGQLKRLQLLDLSHNNLNGQIPTHLTNCSKLEVISLLENKLTGKIPSWFGSGSMTRLKQLFLGANDLVGTIPPSLGNLSSLQNISVKGNHLVGSIPHVLGQLSNLKKLDLGSNSLSGVVPYSLYNLSGIQSLALDENQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSVFNITGLEKFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGDLPRLIGNFSTNLNILSMGSNQISGTIPEGIWQLIGLADITMQTNYLVGTVPDSIVRLKNLVRLDLAENKLSGNIPTAIGNLTMLSELYLYRNRFVGDIPLTLKYCMRMQTIGVSTNNLNGDIPNQTFGNFEGLTKLDLSYNSFTGSIPSDIGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGLVTSTLFISIYLFRKRPITPKTPSTSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLVNILTCCSSIDYNGKDFKAIVFEFMPNGTLESLLHDKVEPKSKNLSLNLDLVVNIALDVANALDYLHHDFEEAVVHCDIKPSNVLLDDEMVAHLGDFGLARLLHVATGHSSRDEVSSSAIRGTFGYIPPEYGTGCRVSAKGDMYSYGILVLEMVTGRKPTDSMFGEGLSLHKFCEMAIPEGITEIVDSRLLVPNAAEGRKVMESKIRKCLVALARIGVGCSAELPVERMDIKDVVLELHTIKQSLSLSH >Vigun08g158500.1.v1.2 pep primary_assembly:ASM411807v1:8:33099821:33103289:1 gene:Vigun08g158500.v1.2 transcript:Vigun08g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTMAVILFMFILSVVSQNLVHMMPRTVALSLSSESDKLALLALKLKLTKGVPNALPSWNSSLHVCEWKGVACGQRHMRVSVLHLENQTWGGTLGPSLGNLSFLTTLILYNIDLYGEIPTQIGQLKRLQLLDLSHNNLNGQIPTHLTNCSKLEVISLLENKLTGKIPSWFGSGSMTRLKQLFLGANDLVGTIPPSLGNLSSLQNISVKGNHLVGSIPHVLGQLSNLKKLDLGSNSLSGVVPYSLYNLSGIQSLALDENQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSVFNITGLEKFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGDLPRLIGNFSTNLNILSMGSNQISGTIPEGIWQLIGLADITMQTNYLVGTVPDSIVRLKNLVRLDLAENKLSGNIPTAIGNLTMLSELYLYRNRFVGDIPLTLKYCMRMQTIGVSTNNLNGDIPNQTFGNFEGLTKLDLSYNSFTGSIPSDIGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGLVTSTLFISIYLFRKRPITPKTPSTSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLVNILTCCSSIDYNGKDFKAIVFEFMPNGTLESLLHDKVEPKSKNLSLNLDLVVNIALDVANALDYLHHDFEEAVVHCDIKPSNVLLDDEMVAHLGDFGLARLLHVATGHSSRDEVSSSAIRGTFGYIPPEYGTGCRVSAKGDMYSYGILVLEMVTGRKPTDSMFGEGLSLHKFCEMAIPEGITEIVDSRLLVPNAAEGRKVMESKIRKCLVALARIGVGCSAELPVERMDIKDVVLELHTIKQSLSLSH >Vigun09g255000.1.v1.2 pep primary_assembly:ASM411807v1:9:42145634:42149991:1 gene:Vigun09g255000.v1.2 transcript:Vigun09g255000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLMLPLLLMMILCKTIGAVQLPPNFTVPAVLVFGDSIMDTGNNNNITQTLAKCNFPPYGRDFQGGIPTGRFGNGKVPSDLIAEELGIKDLLPPYFDPNLQPNDLLTGVCFASGGSGYDPLTAKMATAISLSGQIDMFKDYIRKLEKLVGEERTNFILANSIVLVVEGSNDISNTYFLTHARELQYDIPTYTDLMVSSASSFLKEIYQLGARRIGVLSAPPIGCVPFQRTILGGLLRKCAEKYNDAAKLFNIKLAKELDSLNQNLPNARMVYLDVYNPLLDIILNYQNYGFKVGDRGCCGTGKIETAVFCNSLDPTCQNVGDYVFWDGFHPSESVYRKLVPPILQKYLVQFV >Vigun01g052400.2.v1.2 pep primary_assembly:ASM411807v1:1:8835474:8840764:1 gene:Vigun01g052400.v1.2 transcript:Vigun01g052400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVSEYEAIAKQKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWQDVKWLQTITTLPILVKGVLTAEDTRIAVQSGAAGIIVSNHGARQLDYVPATISALEEVVKAAEGRLPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVTDWDQPRVQPRVRALL >Vigun01g052400.3.v1.2 pep primary_assembly:ASM411807v1:1:8835017:8840764:1 gene:Vigun01g052400.v1.2 transcript:Vigun01g052400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVSEYEAIAKQKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWQDVKWLQTITTLPILVKGVLTAEDTRIAVQSGAAGIIVSNHGARQLDYVPATISALEEVVKAAEGRLPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVTDWDQPRVQPRVRALL >Vigun01g052400.1.v1.2 pep primary_assembly:ASM411807v1:1:8835020:8840764:1 gene:Vigun01g052400.v1.2 transcript:Vigun01g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVSEYEAIAKQKLPKMVFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWQDVKWLQTITTLPILVKGVLTAEDTRIAVQSGAAGIIVSNHGARQLDYVPATISALEEVVKAAEGRLPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVTDWDQPRVQPRVRALL >Vigun04g041000.1.v1.2 pep primary_assembly:ASM411807v1:4:3561661:3564263:1 gene:Vigun04g041000.v1.2 transcript:Vigun04g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKISSLPPPIQQTTMEATAGAGVEKAAGDGTKAWDSGGTNILVRRVMYVAMLLGGVGVLWMSFYHFGSPLECSTFSHYSTDESSQEGDNDQNLESVLRRASMKDKTVILTTLNDAWATPGSIFDLFLESFRVGNETEWLLNHLVVITYEQKTQERCLTVHKYCHQFISKGDNFTGEQRYMTPNYLHMMWKRLEFLGSILDMGYSFVFTDCDIMWLRDPFKQFDKDADFQIACDDFNGNPSDIHNSPNAGFKYARSNYRTNWFYKFWINSRSSYPKLNEQVVLNRIKGHPSISDMKVKIKFLSTSYFGGFCQISKDFNKVTTMHANCCVGLENKSNDLKLVLEDWKKYMALPEQNKTESHHSWRVPKNCKF >Vigun02g048650.1.v1.2 pep primary_assembly:ASM411807v1:2:18871583:18873715:-1 gene:Vigun02g048650.v1.2 transcript:Vigun02g048650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALVSKSYTEGRTSLEEYISSIKSTVGLGILMGAVGIGKEKDDLTTLVLEPVKNNKVFSVPTCKAWSSLEPSDILNFLTGFRLSKARSNDLFWEAVWPRLLARGWHSEHPKNRGYVSSKDYYLVFLIPGVKTFSRRKLVKGDHYFDSASDVLNKVVAEPNLLDLEEAKVGSCDDEEPARGSDKDDDSDYHRQSYLKPRASTYNTDQIKFTVIDTSLVHGGKPSDLREFKPVPVNPVGEVEVNVADTHE >Vigun07g244200.1.v1.2 pep primary_assembly:ASM411807v1:7:36549387:36551250:1 gene:Vigun07g244200.v1.2 transcript:Vigun07g244200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTCSSVSIIILLAAIVVAHFHSSEAAVSKGSFEDNFSIMWSEDHFTTSKDGQIWYLSLDKDTGCGFQTKQRYRFGWFSMKLKLVAGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGEPYLIQTNVYKNGTGGREMRHMLWFDPTEDYHTYSILWNNHQIVFFVDRVPVRVFKNNGEANNFFPNEKPMYLFSSIWNADEWATRGGLEKTNWKLAPFVSSYKDFSVDGCQWEDPYPACVSTTTKNWWDQYDAWHLSGDQKMDYAWVQRNLVIYDYCNDAQRYPTTPEECSLSPWD >Vigun03g261200.2.v1.2 pep primary_assembly:ASM411807v1:3:42797559:42801124:-1 gene:Vigun03g261200.v1.2 transcript:Vigun03g261200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGLSSCPSRCPQLPFSHLGSRHVRVMAFSVAGRSKQGREFLQVERTRFFSPILKGNRRQVQLVRSAMDASYGDMANDSAAVFPRINVKDPYKRLGISREASEDEIQGARNFLIQKYAGHKPSVDAIESAHDKIIMQKFYERKNPKIDIKKKMREVNQSRFVQAVRGRFQTPSTKFIIKTSLTFLVLGVLTVLFPTEEGPTLQVAISLIATMYFIHERLKSKIRTVLYGFGGFAISWLLGTFLMVSVIPPITILKGPRAFEVISSLITYVLLWVSSTYLR >Vigun03g261200.1.v1.2 pep primary_assembly:ASM411807v1:3:42797559:42801124:-1 gene:Vigun03g261200.v1.2 transcript:Vigun03g261200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGLSSCPSRCPQLPFSHLGSRHVRVMAFSVAGRSKQGREFLQVERTRFFSPILKGNRRQVQLVRSAMDASYGDMANDSAAVFPRINVKDPYKRLGISREASEDEIQGARNFLIQKYAGHKPSVDAIESAHDKIIMQKFYERKNPKIDIKKKMREVNQSRFVQAVRGRFQTPSTKFIIKTSLTFLVLGVLTVLFPTEEGPTLQVAISLIATMYFIHERLKSKIRTVLYGFGGFAISWLLGTFLMVSVIPPITILKGPRAFEVISSLITYVLLWVSSTYLR >Vigun04g030000.1.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364065:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYIEPIHIKEYAGKRVGIDAYSWLHKGAYSCSMELCLDSESVRKLRYIEYFMHRVNLLRFYKITPVVVFDGGNVPCKAATEEERNRKRRANRELAMTKLKEGNVAAASELFQRAVNITPVMAHKLIQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun04g030000.5.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364093:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLQSSSRVNTKQVSLFCVQRAVNITPVMAHKLIQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun04g030000.2.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364092:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLDSESVRKLRYIEYFMHRVNLLRFYKITPVVVFDGGNVPCKAATEEERNRKRRANRELAMTKLKEGNVAAASELFQRAVNITPVMAHKLIQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun04g030000.4.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364065:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLDSESVRKLRYIEYFMHRVNLLRFYKITPVVVFDGGNVPCKAATEEERNRKRRANRELAMTKLKEGNVAAASELFQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun04g030000.6.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364065:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLQSSSRVNTKQVSLFCVQRAVNITPVMAHKLIQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun04g030000.3.v1.2 pep primary_assembly:ASM411807v1:4:2359932:2364065:-1 gene:Vigun04g030000.v1.2 transcript:Vigun04g030000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLDSESVRKLRYIEYFMHRVNLLRFYKITPVVVFDGGNVPCKAATEEERNRKRRANRELAMTKLKEGNVAAASELFQRAVNITPVMAHKLIQTLKAEKIEFVVAPYEADAQLAYLSHLGVKNGGVAAVITEDSDLIAYGCPDIIFKMDRNGNGERIQLKKVFSAEFGRPSFRSFNMELFTGMCVLAGCDFLPSVPGIGIARAHALVSKYRNLDRILSVLKLEKGNQMPEDYAKTFQEAVAVFQHARIYDTTAKELKHVKPLPQNFLESHDGNLDFLGPEIPPSIVKSIAEGNLNPSTKKAFDKSETSRFPLHPTDLQSFDQVQKVEVPTPSRPKNSFSIIASQYTRENSTVTRLLDEDKYSNEALALEKLIMPLGTVGTEEKTIVSDNTPLKVPDNNPFRIRKNEERYLTKENVEKISVASSMEYTDLCMSPDNFQEEGSDGNLLKKRKFLNTLSDKVEATYEPVSVVTEAEKSDVCLTVESPESVTSEPKIIADLKGRSESKKKSNRRSNSKKTGSNNNRTLLHFFSRV >Vigun10g199400.2.v1.2 pep primary_assembly:ASM411807v1:10:41161837:41171088:-1 gene:Vigun10g199400.v1.2 transcript:Vigun10g199400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENDMESGTLGLGAYQDKPRVFPNMRTKPYTPLIFRILLSINVRVLFVILLLGLGAIFYVGASTSPIIVFVISVCILSFLVAIYLTKWVLAKDEGPPEMVQIADAIRDGAEGFFKTQYGSISKMAMLLAVVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQVATRAGGLSAIIVVGMAVIGIAVLYATFYVWLGVDTPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGSMAQRCKIADPSGFILFPLVVHSFDLVVSSVGIFSIRGTRETGVMAPMEDPMTILQKGYSYTIVLAVLAFGLSTRWLLYTDQAPSAWFNFALCGLIGIITAYIFVWIAKYYTDYKHEPVRILALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGRTSGLVDESGTPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFAHEPFKQVDIAIPEVFVGGLLGSMLIFVFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFVFRILGYYTGQPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >Vigun10g199400.1.v1.2 pep primary_assembly:ASM411807v1:10:41161837:41171118:-1 gene:Vigun10g199400.v1.2 transcript:Vigun10g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENDMESGTLGLGAYQDKPRVFPNMRTKPYTPLIFRILLSINVRVLFVILLLGLGAIFYVGASTSPIIVFVISVCILSFLVAIYLTKWVLAKDEGPPEMVQIADAIRDGAEGFFKTQYGSISKMAMLLAVVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQVATRAGGLSAIIVVGMAVIGIAVLYATFYVWLGVDTPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGSMAQRCKIADPSGFILFPLVVHSFDLVVSSVGIFSIRGTRETGVMAPMEDPMTILQKGYSYTIVLAVLAFGLSTRWLLYTDQAPSAWFNFALCGLIGIITAYIFVWIAKYYTDYKHEPVRILALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGRTSGLVDESGTPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFAHEPFKQVDIAIPEVFVGGLLGSMLIFVFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFVFRILGYYTGQPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >Vigun02g182400.1.v1.2 pep primary_assembly:ASM411807v1:2:32275949:32278936:-1 gene:Vigun02g182400.v1.2 transcript:Vigun02g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLFTLPRLSWSSSNGGQEKVVLTVAEVESLRSELADIEEREAQLRARLDNIDETLRSARLCGYLYIRKRWAALPGELPPIDDIDIDDWLPRFVVLYGACLYIYLLCTDLSPHDSTLLSDVVEIDQLPSFKREDDETRHGFYILTRHGLRYECSSSSKIQVDSWLSALDVDCKLESDSSKSDSSIHL >Vigun04g180700.1.v1.2 pep primary_assembly:ASM411807v1:4:40475002:40484618:1 gene:Vigun04g180700.v1.2 transcript:Vigun04g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAAHFHFFHIFPLSSSSLHFFPLQFPITKTKFFSRCSATNYETGSRGSSAIEHMAEKLRRLGITESPTSNSSGGEIRVLFPHELPKQRGEEMFEPSWSTPLNPVPVPGSGIAVLSAREVERRRKRRKEELMRRKEPLPTLAELSLPDSEIRRLTTLGFAARRKVRLAKAGVTERMVNVIHELWKHTEVVRVFCEEFSRFDMRRTHDVLERKTGGLVVWRSGTKVILYRGTDYKYPNFLSDKVSRQDNTSDDASQLVNSDDKYFYKSESHLSESNSAACALENSNAKTAKPALILGVGTPNKVRFQLPDEAELAEDTDCLLMGLGPRFTDWWGSDPLPVDADLLPAVDPGYRKPFRLLPYGVNPKLTADEMTTLKRLGRPLPCHFALGRNRNLQGLAAAIIKLWERCEIAKIAVKRGVQNTSSKIMAAELKRLTGGILLSRDREFFALYRGKDYLSATMSSVIKKRAKNKMHEPKARNSSSAKVTPEQKGGTIECDSEVKVRNFQKDTKRPMLTKAELAIKRISIKLSMALEKKAKAEKILADLINAESPREQELDKEGITKEEKYMLRRIGLMMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIICNGSLEDVHQISLTLEAESGGILVAVERVRKGFAIIVYRGKNYSAPPCLRVRKLLNKRQALKRSIEAQRRESYKRRILMLEKKINELKLQMVEGNEANSKQIVEAWRFDMATDKQEAFSNFINWNSPKEASVDNQQAIQEQPVELIDSGGINQGEQDNSVSWKSPREASVDNQQAIQEQPIELIDSGESHQSEQENSINWNSPTEASVDNQQALQEQPIELIDGCGAHHGVPKHSINWNSPKEVSLENLQVIQEYSVQLINGLGARQDEPKNSINWNSPKEASVDNLEGIHDQPDELIDSSGAHQGEPENFTSWNSSIKASISNLQAVQHKPVELIDGSGARRDEPESWPSLIHKETQLDEMSDSDNEHCISNSKAMEPSSSSSSKNDPEPSVPVINMSFPSRSLHLSTKERLLLRKQALKMKQPVVVIGKSNIVSSVVQAIKLHFEQYPLAIVNVKGRSKETTVQELVFKLEQETGSLLVSREPSNIILYRGWPADEPINAKNVNKMSKDRRITSNVSTGYTHRSRNTKRRISMIGRRRIGTRIGKRSIGRTSSRSNFNFRRNSSPIFSPSS >Vigun01g246000.1.v1.2 pep primary_assembly:ASM411807v1:1:41437516:41441141:-1 gene:Vigun01g246000.v1.2 transcript:Vigun01g246000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSAQPSSSPFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSFATPSSTPAFGTPSSTPAFGASSTPAFGSSLLSTPFSSQPQQQQQQQQLTPLFQQQPTSSPFGFQSSLAAPQPSPFPNAQLTTQMANVAPVPFSLADRDVQTIVDAYKEDPGNPKYAFKHLLFSVTEPQFRVKPAGVSDIMWAEAMGKLEGMDSADRERLWPQLVQGFKDLSQRLKIQDEVIVSDAERLRITQSNVKMLQRHFQADTLPWIQRLKQKEQILQQRLLRVMRVVEALEGKGCRIPLTKGEAELAEKLATVTRQLKGSGAELSRRVQNLLTVSRVKANSNGFGGSVYLPGSTKIHDQSLADLQEVLQQQMEAIARLGGVLKRDIRDMEIMITDDTGRIENENLI >Vigun01g094200.1.v1.2 pep primary_assembly:ASM411807v1:1:25739682:25741153:1 gene:Vigun01g094200.v1.2 transcript:Vigun01g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLITIVMLFVFPFAFGDLRVGFYGSSCPKAEENVRQIVQRRFNRDRSITAALLRMHFHDCFVRGCDASILIDSTKGNQSEKASGANGTVRGFELIDEIKKELETTCPSTVSCADIITLATRDSVAMAGGPRYDVATGRRDGLVSRASDVALPGPGSTVAGAQRAFAANGLSLDEMVTLLGAHTIGVAHCSFFRNRLNDPNMEAGLRAKLGRVCRPNGDPTAFLDQNTSMVFDNEFYNQIILKKGLLFIDQQLALDPLSSKLVSDFAGNSAAFARSFVNAIVKMGNIKVLVGTDGEIRKNCRVFNK >Vigun03g047800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3808197:3810335:1 gene:Vigun03g047800.v1.2 transcript:Vigun03g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNTASQHLATLTGHQGPVWQVVWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDTAMIDQAHPVGVTSVSWAPSTAPGALVGAGLLDPVQKLCSGGCDNTVKVWKLNNGLWKMDCFPALHMHTDWVRDVAWAPNLGLPKSTIASASQDGKVVIWTVAKEGDQWEGKVLNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVEP >Vigun07g022800.1.v1.2 pep primary_assembly:ASM411807v1:7:1933502:1937738:1 gene:Vigun07g022800.v1.2 transcript:Vigun07g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSIGYSYSSSFLSKLIAVPQNSVWYSSRRKTNVVYCQGGGNVIKSSGFSSVLTERSSLVGTDNNAAATLMEAGNLVLSQNVKNQAEIVVKDLMPYGAPTTLIGLEDGIGIVKFLRGKKFFITGATGFLAKVFIEKILRTEPEVGKMYLLIKAKNKQAAMDRLQNEIINTELFRSLQQVHGKSYQAFMLSKLVPVVGNICEHNLGLDEDISNLIEEEVDVIVNSAANTTFDERYDTAININTIGPCRLMNIAKKCKRLKLFLHVSTAYVNGQRQGRIMERPFSIGECIAKEKFISEVSPKYLPTLDVEGEINLVSNYKGDVEDNILAQKMKEIGLERARRYGWQDTYVFTKAMGEMMIDKLRGDIPVVVMRPSVIESTFSEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAMARHGVTQKPDINVYQIASSVVNPLVFQDLAKLLYEHYSSSPCIDSKGRPIQVPLMKLFSSTEEFSGHLWRDATQKRGLKNMSQKLENMCRKSVEQAKYLANIYEPYTFYGGRFDNSNTQRLMESMSEEEKREFGFDVKSIDWKEYITNVHIPGLRRHVMKGRGMSNQ >Vigun06g040800.2.v1.2 pep primary_assembly:ASM411807v1:6:16143268:16156471:1 gene:Vigun06g040800.v1.2 transcript:Vigun06g040800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIANGVVSKMEALERDNASKKDEIQSLKMEIESLRREAEELESEMNAAEEAGSEAVVLSSVLEDRERMLRFLERETKTLKQMNAEGEMKVRDLERRIGVLEVRESEERCKRVRMEEEMREKVCEKEKQIERLKQRIIYLEEAKGVEMEQNSEIEDEEEKKGLGFMWPLVAAGGAAVVAVFYFYFRKRTVKKRSTRFVEYQIRQLVPITG >Vigun06g040800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16143268:16152880:1 gene:Vigun06g040800.v1.2 transcript:Vigun06g040800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIANGVVSKMEALERDNASKKDEIQSLKMEIESLRREAEELESEMNAAEEAGSEAVVLSSVLEDRERMLRFLERETKTLKQMNAEGEMKVRDLERRIGVLEVRESEERCKRVRMEEEMREKVCEKEKQIERLKQRIIYLEEAKGVEMEQNSEIEDEEEKKGLGFMWPLVAAGGAAVVAVFYFYFRKRR >Vigun06g040800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16143268:16156471:1 gene:Vigun06g040800.v1.2 transcript:Vigun06g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIANGVVSKMEALERDNASKKDEIQSLKMEIESLRREAEELESEMNAAEEAGSEAVVLSSVLEDRERMLRFLERETKTLKQMNAEGEMKVRDLERRIGVLEVRESEERCKRVRMEEEMREKVCEKEKQIERLKQRIIYLEEAKGVEMEQNSEIEDEEEKKGLGFMWPLVAAGGAAVVAVFYFYFRKRR >Vigun06g040800.3.v1.2 pep primary_assembly:ASM411807v1:6:16143268:16152880:1 gene:Vigun06g040800.v1.2 transcript:Vigun06g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIANGVVSKMEALERDNASKKDEIQSLKMEIESLRREAEELESEMNAAEEAGSEAVVLSSVLEDRERMLRFLERETKTLKQMNAEGEMKVRDLERRIGVLEVRESEERCKRVRMEEEMREKVCEKEKQIERLKQRIIYLEEAKGVEMEQNSEIEDEEEKKGLGFMWPLVAAGGAAVVAVFYFYFRKPSSNSR >Vigun07g209800.1.v1.2 pep primary_assembly:ASM411807v1:7:33146187:33148744:1 gene:Vigun07g209800.v1.2 transcript:Vigun07g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMCLMNLNTTPHPHSGFLLATQRFILTMPKLHCFFNKAFKASKCATLLKLTIPRIKLLRNRREVQLKQMRNDVAILLEAGQETKAIVKVEHVMREENMMAAQDIIQIFCELIIARLPMIQSQRECPLDLKEAISSVCFAAPRCADLPELLQVQSLFASKYGKGFVSSAAHVTPDCSVNRQLIELLSVQAPSEEKKLNFLKEIAVEHNLDWDPTASETKILKKHEDLLNGPVKYCSQCESKLPLPAEKHSEVDLHSSSQFESFDKDKPITSFGKKESKQCTSRTKTEANLESQDVLAAAETAEIRSTKHTKKNRANVSDSSFENPFCTSVANKSETEKGHFTDQNTAIDHDGDVINDVEHEHAFSCSHSSSFSSFDTPKEDSGSSLHDKSPHQHNRLPSVDACSDFSYPNLFTSQNSNFGFHSSDNRYSSHDCDKNSNTTTHFSL >Vigun07g209800.3.v1.2 pep primary_assembly:ASM411807v1:7:33146550:33148744:1 gene:Vigun07g209800.v1.2 transcript:Vigun07g209800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDVAILLEAGQETKAIVKVEHVMREENMMAAQDIIQIFCELIIARLPMIQSQRECPLDLKEAISSVCFAAPRCADLPELLQVQSLFASKYGKGFVSSAAHVTPDCSVNRQLIELLSVQAPSEEKKLNFLKEIAVEHNLDWDPTASETKILKKHEDLLNGPVKYCSQCESKLPLPAEKHSEVDLHSSSQFESFDKDKPITSFGKKESKQCTSRTKTEANLESQDVLAAAETAEIRSTKHTKKNRANVSDSSFENPFCTSVANKSETEKGHFTDQNTAIDHDGDVINDVEHEHAFSCSHSSSFSSFDTPKEDSGSSLHDKSPHQHNRLPSVDACSDFSYPNLFTSQNSNFGFHSSDNRYSSHDCDKNSNTTTHFSL >Vigun07g209800.2.v1.2 pep primary_assembly:ASM411807v1:7:33146409:33148744:1 gene:Vigun07g209800.v1.2 transcript:Vigun07g209800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLHCFFNKAFKASKCATLLKLTIPRIKLLRNRREVQLKQMRNDVAILLEAGQETKAIVKVEHVMREENMMAAQDIIQIFCELIIARLPMIQSQRECPLDLKEAISSVCFAAPRCADLPELLQVQSLFASKYGKGFVSSAAHVTPDCSVNRQLIELLSVQAPSEEKKLNFLKEIAVEHNLDWDPTASETKILKKHEDLLNGPVKYCSQCESKLPLPAEKHSEVDLHSSSQFESFDKDKPITSFGKKESKQCTSRTKTEANLESQDVLAAAETAEIRSTKHTKKNRANVSDSSFENPFCTSVANKSETEKGHFTDQNTAIDHDGDVINDVEHEHAFSCSHSSSFSSFDTPKEDSGSSLHDKSPHQHNRLPSVDACSDFSYPNLFTSQNSNFGFHSSDNRYSSHDCDKNSNTTTHFSL >VigunL059846.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:64935:66032:1 gene:VigunL059846.v1.2 transcript:VigunL059846.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYGGCRGGCGDGGARGGGSGGGHGGGVHRNGGGAGIGYGGGGCGGCRGIGCVDGGGGGGDGCRGCSGIFYGGGGGVRGDGGSGGGDCRGSGGGGRDGVGCGDGGGCGGGGYSDGSQCKGVAVVMAVVVGLVAVVVVVVGCGSGGDGGGGGGRGGGCRGGRGCCGSSGDGGGGDGGGKSGGRRVGGHGGGCGGGCGCGGGVIGHGGRCGGCGGGGGGGHGGGCSSHGGGGGCRGCLMVVMDVDGGGGGVGGGGGGGGGGGSGGVGGGGDCVRGYGSGGGCSGGDHGDDFGSCGDSGGGGGGACGCGGGGCGGGLGGPGGGGGGAGRNGGSGGGGDGCGGGDGGGAGCGCGGDSGRGVVGVGMMV >Vigun03g312500.1.v1.2 pep primary_assembly:ASM411807v1:3:50537624:50539756:-1 gene:Vigun03g312500.v1.2 transcript:Vigun03g312500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNREEHGGSGVTYQEMAEEDEAKDIQNVSVGPIHKLIAENPKSNSMVIKKPHVVIPPHIIAEAISRIRDIDIRWSGPITQKEMEYVEQYVLAKYPEYAGLIEGEGNGIDLSSFIINEEPSEGMSDDRKKSPRGTFREPLFGSNLPEMDRTQLEASRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLPDHEYLVLFTPSYKDAMMLVGESYPFVRGNYYMTILREEEDYIREFASFKESKVILAPKTWLDLRIRGSQLSQNFRRKCKVSLKGLFAYEADVNGTNHWVSEAHRNYWHVLLDASALVLGKDRFCLGLHRPDFLVCCLDNTHSNPSRITCLLVRKKSFDTSNASSQAN >Vigun03g312500.2.v1.2 pep primary_assembly:ASM411807v1:3:50537624:50539739:-1 gene:Vigun03g312500.v1.2 transcript:Vigun03g312500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNREEHGGSGVTYQEMAEEDEAKDIQNVSVGPIHKLIAENPKSNSMVIKKPHVVIPPHIIAEAISRIRDIDIRWSGPITQKEMEYVEQYVLAKYPEYAGLIEGEGNGIDLSSFIINEEPSEGMSDDRKKSPRGTFREPLFGSNLPEMDRTQLEASRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLPDHEYLVLFTPSYKDAMMLVGESYPFVRGNYYMTILREEEDYIREFASFKESKVILAPKTWLDLRIRGSQLSQNFRRKCKVSLKGLFAYEADVNGTNHWVSEAHRNYWHVLLDASALVLGKDRFCLGLHRPDFLVCCLDNTHSNPSRITCLLVRKKSFDTSNASSQAN >Vigun03g312500.3.v1.2 pep primary_assembly:ASM411807v1:3:50537624:50539769:-1 gene:Vigun03g312500.v1.2 transcript:Vigun03g312500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNREEHGGSGVTYQEMAEEDEAKDIQNVSVGPIHKLIAENPKSNSMVIKKPHVVIPPHIIAEAISRIRDIDIRWSGPITQKEMEYVEQYVLAKYPEYAGLIEGEGNGIDLSSFIINEEPSEGMSDDRKKSPRGTFREPLFGSNLPEMDRTQLEASRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLPDHEYLVLFTPSYKDAMMLVGESYPFVRGNYYMTILREEEDYIREFASFKESKVILAPKTWLDLRIRGSQLSQNFRRKCKVSLKGLFAYEADVNGTNHWVSEAHRNYWHVLLDASALVLGKDRFCLGLHRPDFLVCCLDNTHSNPSRITCLLVRKKSFDTSNASSQAN >Vigun09g264500.1.v1.2 pep primary_assembly:ASM411807v1:9:42867800:42869678:-1 gene:Vigun09g264500.v1.2 transcript:Vigun09g264500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFASWSFILCTLVIFLILQEGGARKVTKTSSREIELKEASYNLQNFATEGLVLDSIIQLEGAGINLKKKKKKMKKHVHDEDHDEDDDDDDDKAKGKKRKGDDKGKDKKKEKKHKSEKIIESYKTSHDDHKHMDPELNVFFTPNDLRVGKIMPIYFSKKNSSNSPKFLTREEAENVPFSSKHLPYLLKFFSIPKHSPQAKAMKYTLKQCEFENMEGETKFCATSLESLFDFAHYLFGSDAQFKVLTTVHLTNSTTLLQNYSISEVKVISVPNVIGCHPMPYPYAVFYCHSQQSDTKLYEVLVEGENGGRVQAAAICHMDTSKWDRDHVSFRVLKVEPGTSPVCHFFPSDNLVWVPLSVAP >Vigun02g017500.1.v1.2 pep primary_assembly:ASM411807v1:2:6201118:6202447:-1 gene:Vigun02g017500.v1.2 transcript:Vigun02g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATHVREKGLVEGSEHIAGGKQNEEVLKNIIATHPLYEVLIQSHINCLKVGLSEAEEFDDDALKKLVNSKSKATAGANTSELDQFMEAYCMALSKLKEAIEEPTKETNAFIRATYHELKQLEEVKHP >Vigun07g239700.13.v1.2 pep primary_assembly:ASM411807v1:7:36104417:36106548:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEITLL >Vigun07g239700.7.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.11.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEITLL >Vigun07g239700.1.v1.2 pep primary_assembly:ASM411807v1:7:36104531:36106548:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKNKEPGKISMNICNCVMGGFSMIPFDKSAKEIVSQDSGEIVVAAKHRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.10.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106557:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.8.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.9.v1.2 pep primary_assembly:ASM411807v1:7:36104417:36106548:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.12.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEITLL >Vigun07g239700.6.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun07g239700.4.v1.2 pep primary_assembly:ASM411807v1:7:36104415:36106556:1 gene:Vigun07g239700.v1.2 transcript:Vigun07g239700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAANMCSITNSKTVEGIKRFANEDKVQSRSNIALPHLEASSSRRHLLVGVGPSLATLACGLSPSMVWAEESSGEKEEEDKGVIGAIKSLFDPNEKTKYGKVLPKAYLKSAREVVKTLRESLNETSDDVAKFRRTADSAKESIRKYLGSWRGNQTLVQEESYVILEKVIRSLANFYSKAGPSAPLSQEVKSEILDYLNTAEDFL >Vigun08g152500.1.v1.2 pep primary_assembly:ASM411807v1:8:32490919:32492984:-1 gene:Vigun08g152500.v1.2 transcript:Vigun08g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGQGIDEAVLDDIIRRLTEVRLARPGKQVQLSESEIKQLCVASRDIFINQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTECFNCLPVAALIDDKILCMHGGLSPELTNLDEIRNIIRPTAIPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVAEFLTKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDDNLMCSFQILKPAEKKTKFVMSNKI >Vigun11g019600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2470376:2473291:1 gene:Vigun11g019600.v1.2 transcript:Vigun11g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQVLFDKLDSDQVLGFFRGRNLEEKLLKKLKRKLMDVNAVIDDAEQKQFSNSLVREWLDELRDVLYDAEDLLEQIDYEYSKTKLEAEFHTSSSKVHSFESKIIALRDDLKSLLKQKIVEDFKICISVRSGLGNKVSEKRNESSSLVAEEVIFGREEEKEMIFSWLTSETNDNNVSILSIVGMGGIGKTTLAQHVYDDPKTKEAKFDEKAWVCVSDEFDVLKVSKAIIGAFTESKDDSEDLQMAHGKLKNKLSGRKFLLVLDNVWNEDRNQWKSLQTPLKYGAKGSKILVTTRSNKVASAMGSNNTHELKQLGEDHSWQVFAKHAFQDENCELKEIGMEIVEKCKGLPLALETLGCLLRTKSSVSDWEGVLRSNIWDLPIENSKIMPALLLSYYHLPSYLKRCFAYCALYPKDYKFDKESFILLWMAQNFLHCSQESKSLEDVGEHYFNDLLSRSFFQQIITDIETYFVMHDLLNDLAKYVSGVICYNLVDREERISRKTRHFVMVFDDVQYHESLHDAKGLRTFISISEYYMISIQELISSFKFLRVLSLSECSEVPDTIGDLIHLCSLDFSGARISRLPDSISSLYNLQVLKLNECSYLTELPSSLYELTNLRRLELIGTTLRKVPPLLGDLKNFQVWMDKFHVGKSCEFSIHHLQEVDHHGELSVRNLENMVNCCALVADFKNKTHLAGLRLEWDLERNIEDSTTEREVLENLQPSRHLEQLSINGYGGTQFPHWLCDNSLLNMVSLTLKHCKHCLWLPSLGLLTFLKHLTIEDLDWIESIDVDFYGNSSWAFASLEMLSFTNMKEWKEWRCMLGAFCWISWQAYQKSTLV >Vigun10g144800.3.v1.2 pep primary_assembly:ASM411807v1:10:36218813:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSAVSVTSSATMDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVKLLYSPLGKMFILQPDEKTSPSHPLLPSGSGFYVVDSSRCGYSPIVLRTFLNQPHPIETLSNPKAYGSDGTVLRDHDCNNYLIAVNGVLAQNSKIVVRTRRSRQQRKIFKRKMRK >Vigun10g144800.6.v1.2 pep primary_assembly:ASM411807v1:10:36218813:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSAVSVTSSATMDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVRHCS >Vigun10g144800.1.v1.2 pep primary_assembly:ASM411807v1:10:36218813:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSAVSVTSSATMDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVVSPSTLEPVVTFGSPFVLCGGQKLLNELGLDDSFVHCVMMHRDIVPRIFSCSFPNHVITVLKRLKGSFVSHPCLIEKKLLYSPLGKMFILQPDEKTSPSHPLLPSGSGFYVVDSSRCGYSPIVLRTFLNQPHPIETLSNPKAYGSDGTVLRDHDCNNYLIAVNGVLAQNSKIVVRTRRSRQQRKIFKRKMRK >Vigun10g144800.4.v1.2 pep primary_assembly:ASM411807v1:10:36218813:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSAVSVTSSATMDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVVSPSTLEPVVTFGSPFVLCGGQKLLNELGLDDSFVHCVMMHRDIVPRIFSCSFPNHVITVLKRLKGSFVSHPCLIEKVNIIVV >Vigun10g144800.5.v1.2 pep primary_assembly:ASM411807v1:10:36218665:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVVSPSTLEPVVTFGSPFVLCGGQKLLNELGLDDSFVHCVMMHRDIVPRIFSCSFPNHVITVLKRLKGSFVSHPCLIEKVNIIVV >Vigun10g144800.7.v1.2 pep primary_assembly:ASM411807v1:10:36218665:36222161:1 gene:Vigun10g144800.v1.2 transcript:Vigun10g144800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTEKKVFLHSLFSNKGLCNHSPITRSHSSNLLCCSSNNFRAASMQPKHKDMNSIVVFPLQLSVSILPNQLRSFFFGPEKKREMKMGQKGINFKENTVESTEEETIHRSNWVQKLRGIKTYWRGKGPKENMDLDTVSEHDNECDCDAEDTVCVAGDEEGNGKEEDGEEVTFYRDSFSKFLVPVPWSDTKLFSKLVFLCHMAYVIPQIKAKDLGRYYGLQFVTSSLKKKKKRKGDVTEIKAKLDQDSICVPMDNASVASENGSEKGDDCEQQKHQMKLIAYDITASAASYVQSRAKDLLSLASKPKQHSGDEDFNGRKDSPHEEADETPQVDKFKCGVNVAALTMTVVAAAGSAMNLQMLRSSSCEWFVCDDPKTHTRCFAIQGSYSVASWQANLSFEPTTFEDTDVLVHSGIYEAAKGIYEQFMPEIMEHLQRHGESAKLQFTGHSLGGSLSVLVYLMLLTRKVRHCS >Vigun04g016900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1227138:1228193:1 gene:Vigun04g016900.v1.2 transcript:Vigun04g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSIEDGIRSANNTRYGLAAGIITKNLDTANTVSRSVRADIVWINCYFAFGGDSPYGGYKMSGFGRDSGLEALHKYLQVKSVVTFIILHHTLCLVQRCVYYANNLPLMAFIKL >Vigun02g194500.1.v1.2 pep primary_assembly:ASM411807v1:2:33051267:33056639:-1 gene:Vigun02g194500.v1.2 transcript:Vigun02g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERSGIAKDVTELIGKTPLVYLNKLADGCVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGQSVLIEPTSGNTGIGLAFMAAARGYKLIITMPASMSLERRTILLAFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGTDGKIDAFVSGIGTGGTITGTGKYLKEQNSNVKLIGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLDVNLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRPENDGKLIVAVFPSFGERYLSSVLFESVRREAENMTFET >Vigun02g194500.2.v1.2 pep primary_assembly:ASM411807v1:2:33051267:33056354:-1 gene:Vigun02g194500.v1.2 transcript:Vigun02g194500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERSGIAKDVTELIGKTPLVYLNKLADGCVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGQSVLIEPTSGNTGIGLAFMAAARGYKLIITMPASMSLERRTILLAFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGTDGKIDAFVSGIGTGGTITGTGKYLKEQNSNVKLIGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLDVNLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRPENDGKLIVAVFPSFGERYLSSVLFESVRREAENMTFET >Vigun03g038100.1.v1.2 pep primary_assembly:ASM411807v1:3:2919089:2919438:1 gene:Vigun03g038100.v1.2 transcript:Vigun03g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCKHSLGLGVMAMVATLILALSMPAAVQAQTAAPALAPSSDGSSIDQGIAYTLMLLALVLTYIIHSA >Vigun01g120800.1.v1.2 pep primary_assembly:ASM411807v1:1:29761831:29765767:-1 gene:Vigun01g120800.v1.2 transcript:Vigun01g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELFFWLIICFPFHIAFLASTFYQVLMLTDLEADFINPYDASSRINYFIVPEFIGQGLLCAFCLLTGHWIMFLMTVPVTCYHVMLYMKQQHLIDVTEVFRVLNSEKKFRLAKLAYYLTIIIITVFRLVLMLVNYLDFEDE >Vigun01g120800.2.v1.2 pep primary_assembly:ASM411807v1:1:29761981:29765944:-1 gene:Vigun01g120800.v1.2 transcript:Vigun01g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELFFWLIICFPFHIAFLASTFYQVLMLTDLEADFINPYDASSRINYFIVPEFIGQGLLCAFCLLTGHWIMFLMTVPVTCYHVMLYMKQQHLIDVTEVFRVLNSEKKFRLAKLAYYLTIIIITVFRLVLMLVNYLDFEDE >Vigun02g027500.2.v1.2 pep primary_assembly:ASM411807v1:2:9463779:9466915:-1 gene:Vigun02g027500.v1.2 transcript:Vigun02g027500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSFSFPPLIGTSISRSSNSRLVVAASEGGASSSATKLLTFLGKGGSGKTTAAILAAQHYALAGLNTCLVIHGQDTTADYLLNCKIGTSHMACNKNLSAVRLETTKMLLEPLKLLKQADAQLNMTQGTLGGIVGEELGILPGMDSILLVLALERLVGFLGIAASKSQEDKFDLIIYDGISSEETLRIIGGSSKARLYLKYLRTLAEKTELGRLAAPSLLRLVDEAMLISSSRSYFNGKMSSEIWDTLDQMLERGSSAFLNPQKFACFLVMDPNSPTSINSALRYWGCTIQAGAQISGALGITSQQENLEILERAKKEFSPLPSAFISRLLMNNPIDWSRVLLDTDNEDARHLLNSLTSQVVVMPSPVRFDIKRRSVTLFMPGFDKSEIKLYQVCNVLLFL >Vigun02g027500.1.v1.2 pep primary_assembly:ASM411807v1:2:9462413:9466915:-1 gene:Vigun02g027500.v1.2 transcript:Vigun02g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSFSFPPLIGTSISRSSNSRLVVAASEGGASSSATKLLTFLGKGGSGKTTAAILAAQHYALAGLNTCLVIHGQDTTADYLLNCKIGTSHMACNKNLSAVRLETTKMLLEPLKLLKQADAQLNMTQGTLGGIVGEELGILPGMDSILLVLALERLVGFLGIAASKSQEDKFDLIIYDGISSEETLRIIGGSSKARLYLKYLRTLAEKTELGRLAAPSLLRLVDEAMLISSSRSYFNGKMSSEIWDTLDQMLERGSSAFLNPQKFACFLVMDPNSPTSINSALRYWGCTIQAGAQISGALGITSQQENLEILERAKKEFSPLPSAFISRLLMNNPIDWSRVLLDTDNEDARHLLNSLTSQVVVMPSPVRFDIKRRSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIPLPPEIQGKVGGAKFEDRSVVITLL >Vigun02g011300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4413310:4414087:-1 gene:Vigun02g011300.v1.2 transcript:Vigun02g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVEVWKGELTKLGDKVMASKSLFFKGKKVEEDESAVENEVLQKGIMAVQKDTNISEATLCLLLDRFVPC >Vigun03g211600.2.v1.2 pep primary_assembly:ASM411807v1:3:35030105:35032838:-1 gene:Vigun03g211600.v1.2 transcript:Vigun03g211600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRVVECNEDDYISKLPNSILCNILSSLKVREGNVNKYLSNVEHVEKIYRLSVCFTFGNGSTDLDEWIRFALKKKVEEIDLCLSEEENHLTAPNDASLHVFPCDIVGNNEGASEGASGFKSFLKCLRLAHCVLAPHMSYNHGFSTLTTLDLFRVDLKSEVHLQFLLSSCSNVEWLGLCECYNMETLRIEDPFCEKLKYLNVSLCQQLKKLVLHSNSLESLEYKGREVEFVFDAPRLTTFYSPVSDTAACHKKLWPILKLPTVLPQMETLILQCSCFMGEVMKNRLPALTFPWLRHLEVIKVATVRQDLGWVAIILKTCPVLRTLELHLRTYCCIDDEVSESEWPEEFSHEHLKEVVITGVRGHSSEIEIAIYLLRIASALEKMTIDPSAKVYLGKGKWGHEDILEDWRNKGREKVHKHLNQEANSSAVELLIK >Vigun03g018200.1.v1.2 pep primary_assembly:ASM411807v1:3:1308706:1312279:1 gene:Vigun03g018200.v1.2 transcript:Vigun03g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLVKSGSFRHGLAEKKERLLSEKSFGNISQMGIGVAMMQEEEQSWMVKRWNTAKQVAEKAWEMGRSDPRKIVFSAKMGLALTLISFLIYLKEPFKDLIRYSVWAILTVVVVFEFSIGATLSKGFNRGLGTFSAAGLALAMAELSKLAEDWQRLMIIVNIFTVGFCATYAKIYPTLKPYEYGFRVFLITYCFITVSGYRTGEFLNTAINRFLLIALGASVSLGVNICIYPIWAGEDLHNLVTKNFIGVATSLEGVVNHYLQCVEYKKVPSKILIYQASDDPVYSGYRSAVESKSKEDALMGFAVWEPPHGRYNMFRYPWKNYVKVSGALRHCAFTVMAMHGCILSEIQAPAEKRLVFRSEVQRVGCEGARVLRELGSKVKKMEKLGPGDLLFEVHVAAEQLQRKIDQKSYLLVNSESWEIGNRPIEDEESDPQQEGKFLEHKSRSEAVLDLRMVEVPHSWEGNVTLADNPPTDASPNMFRKELSWPDQIYQKSNPVAKEGQESKTYESATSLSLATFISLLIEFVARLQNLVDSFEELGETANFFDPLDQRPPVVASPGFWSRLRNCLTFEN >Vigun03g197000.2.v1.2 pep primary_assembly:ASM411807v1:3:27935187:27946862:1 gene:Vigun03g197000.v1.2 transcript:Vigun03g197000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLDLRAHHSGSTQSEESALDLERSYYGHLNPSSSPSHLQPFAGGTQHSESNAAYFSWPTLSRWNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAQTSKEQLYTELADGLRGSDSCVGSGSQVASQETYGTLGAIVKSRTGNREVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVKGVGEIGDVNIIDLQSPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGKNGEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNEALQGAVLEQRNGPAAGIDSTVAESSPTVTIKEKVEESFEPICLNIPHAQVEDEPSERMNPSLRPCGFDIRNEIETVANVEHQFIPSYGGKSPVRHSYLKEDMELKSLAELRSGPGEDNFVSLHLGEPEMKRRKHSDPSFCIKELK >Vigun03g197000.1.v1.2 pep primary_assembly:ASM411807v1:3:27934086:27946868:1 gene:Vigun03g197000.v1.2 transcript:Vigun03g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLDLRAHHSGSTQSEESALDLERSYYGHLNPSSSPSHLQPFAGGTQHSESNAAYFSWPTLSRWNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAQTSKEQLYTELADGLRGSDSCVGSGSQVASQETYGTLGAIVKSRTGNREVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVKGVGEIGDVNIIDLQSPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGKNGEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNEALQGAVLEQRNGPAAGIDSTVAESSPTVTIKEKVEESFEPICLNIPHAQVEDEPSERMNPSLRPCGFDIRNEIETVANVEHQFIPSYGGKSPVRHSYLKEDMELKSLAELRSGPGEDNFVSLHLGEPEMKRRKHSDPSFCIKELK >Vigun08g073400.2.v1.2 pep primary_assembly:ASM411807v1:8:12500145:12503315:1 gene:Vigun08g073400.v1.2 transcript:Vigun08g073400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPGLVKLGQCIAYFILRNIVGTTLFIVLLVYKWRRRHSSAYENIESYLEQNSLMPIRYSYKEIKKMTTGFNEKLGEGGYGSVFKGKLRSGSYVAIKMLRKSKGNGQDFINEVATIGRIYHQNVVHLIGYCAEGSKRALVYEFISNGSLDKFIFSKEGHMQLTYDTIHDIAIGAARGISYLHHGCEMQILHFDIKPHNILLDENFVPKISDFGLAKLYSKDKSLMTMTAARGTIGYMAPELFYKNVGRISHKSDVYSFGMFLMEIASKRKNLNPHAEHSSQLYFPFWIYEQLDKGKDIEMEDVTEDENKIVKKMIIVSLWLVEMLEEDIENLKLPPKPSLYPHETLEDSQRMCSHQTTLLPLMFH >Vigun07g165100.1.v1.2 pep primary_assembly:ASM411807v1:7:27746421:27749847:-1 gene:Vigun07g165100.v1.2 transcript:Vigun07g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDSIEQSVASRRERLLALRAAQELSSVPEPKDNDDAEEEEDQQQEMKFRNYVPHDKNLQEGKLAPAVLPKFEDPVDVPPPEPQAQEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKALYQLMVEQEKQKQLTEGDDTNGTAE >Vigun05g129200.1.v1.2 pep primary_assembly:ASM411807v1:5:14918443:14924004:-1 gene:Vigun05g129200.v1.2 transcript:Vigun05g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNSRVNVSNSRQSSKQLLFDRRYGWVIDEWKDPAEEALDGGRGMFCILPLAKALVQKASQSINFAVISVKKASEKPELFSPQMLQSNLDDGVKSFMTFFKNIGSKGFILNKNSESHASNSSSLSHTESNG >Vigun03g018500.1.v1.2 pep primary_assembly:ASM411807v1:3:1335935:1339585:1 gene:Vigun03g018500.v1.2 transcript:Vigun03g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNICSFWVSSMKIPFHGCRFSRPFFSLSSPSRNRIMTIQSSSSSSASISTESKEKKKENLPWLIVGLGNPGKKYAATRHNVGFEMVDAIAEAEGISMNTVSFKALFGKGLIGDVPVILAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMKSVINHFKGNNGFPRLRIGIGRPPGKMDPVAFVLRTFTKQEREELNFTLQDGIEALRILLLEGFDKSATFVNSAKKIEQTG >Vigun08g103100.1.v1.2 pep primary_assembly:ASM411807v1:8:25606177:25607797:-1 gene:Vigun08g103100.v1.2 transcript:Vigun08g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKIQMLYDASHALFSQSELPTFQQIHYVKNLLDKIEAIDVGIDQFGLCDSPVSDASSDSSKGLLCGQGFSEITYIHIHECDNFSMGVFCLPAGKVFPLHDHPEMTVLSKLLYGSAYVKAYDWIALDCSGTQTVGLGGKVVDEVMKAPHEPSILFPRSGGNIHSFTALTPCAILDVLSPPYSEDFGRPSTYFSDFPIPSLNGYAILEERPVPSDLVVQGASYLGPPIVTMTEYKF >Vigun02g198500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33343869:33345750:1 gene:Vigun02g198500.v1.2 transcript:Vigun02g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >Vigun11g134300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34384634:34386582:-1 gene:Vigun11g134300.v1.2 transcript:Vigun11g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKATSSSTNDFQAPQMFPVNEYLASSSVELSSSSTSHSSTSLLCYLSLLKDKLGQLQNLVDVLVSPQHNLPHSTMAISTMNATLQEIIVAATSMRFTCQQMGLGSSSGTSNIANELHRNQNQQQLDHDRVLSSSPHHPSNFGNRGMVSMSSISMSSDMIRGQSDFLSGIEGGALDWFAESYNNNSNNIGDCNNVKDEEGDMNMMGESDIIELDAADLLAKYSYFCQVCGKGFKRDANLRMHMRAHGEEYKTSAALSNPMKSKDSLLLGEGGSSKKYSCPQEGCRWNQKHAKFQPLKSMICAKNHYKRSHCPKMYVCNRCNQKQFSVLSDLRTHEKHCGDPKWQCSCGTTFSRKDKLMGHVALFVGHTPAVHGFSRYVR >Vigun08g045700.1.v1.2 pep primary_assembly:ASM411807v1:8:4879973:4884404:-1 gene:Vigun08g045700.v1.2 transcript:Vigun08g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGVMGSGGRRWAVDLSDNSTSRDIPDPPGFSRASPDQDDSTLSRQKKDAESNWKAQKAWEVAQAPLKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQSVGKIFEPYKDNKVELLGPKLLFIALNLGGLALGVWKLNALGLLPTHTSDWVSSLAPAQEVEFSGGGVNLG >Vigun11g221500.1.v1.2 pep primary_assembly:ASM411807v1:11:41339554:41341915:-1 gene:Vigun11g221500.v1.2 transcript:Vigun11g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMRKTLLMTLLLVSSAFSTATSTRRHSNSNIDWWCSQTPYPEPCKYYMQQSHYHHRLKLKHKSEFREILIHLALERAVIMRKKARDLGHNGITRKEKSVFRDCLKLYDNTVFHLNRTLQGLHEKRSCSPFDAQTWLSTARTNIETCQHGALELGVQDFVAPTERCNVTEIISNGLFVNWAFLKYEAVHYTEDAEAEFPSWFSVHERKLLQSSSIRAHLVVAKDGSGHFKSVQAAINAAARRRTKSRFIIHVKRGVYRENIEIDKTNDNIMLFGDGMRNTIITSGRSVNAGYTTYSSATAGVDGLHFIARDITFRNTAGPLKGQAVALRSASDLSVFYRCSIEGYQDTLMVHAQRQFYRGCYIYGTVDFIFGNAAVVFQNCVILVRRPLNGQANMITAQGRDDPFQNTGFSIHNSQIRAAPDLRPVIGRFNTFLGRPWQRYSRVVVMKSFLDSMVNPMGWSPWDDSNFALNTLYYGEYMNFGPGSSTRNRVRWPGFHSITSPTEASRFTVANLLAGRTWLPATGVPFTSGL >Vigun01g031700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3980896:3981099:1 gene:Vigun01g031700.v1.2 transcript:Vigun01g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVTFFLLKIIVLMGEGEGERSDCTPKNLVPNDIVANVILSSDVPAKKLARQLNSSASAGCHQSR >Vigun05g131600.2.v1.2 pep primary_assembly:ASM411807v1:5:15325814:15328552:-1 gene:Vigun05g131600.v1.2 transcript:Vigun05g131600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSEPPSKRRSPPAGDDRISQLPDVLLLQILSLLPTKQAVVTGILAKRWRPLWPAVSVLDLDDESSPESRHGPAGFAEFVYSVLLLHDAPAIQRFRLRCANPNSSARDIATWLCHVARRRVERVELSLSLSRYVALPRRLFNCDTVSAMKLNGVFLNALASFSVRLPLLRALHVGDRVLFGCHDYVVKLLAGCPALEDLVLESTYNDACGGVVCAEGNFELSLKHLTRAKIGFSWKERCLKSMLLIFQALSHSFDVPACAPRSYATYLSKHCQPAVVCPPSFLLVLTRAEFAGSPLILYCILGSPLFGVTSSVSCRCFSLSYYCFLPLSCARASTSVRLESSIFN >Vigun08g130000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30038123:30041282:1 gene:Vigun08g130000.v1.2 transcript:Vigun08g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGEEKLLAVARHIAKTLGHNNTMSDDIFQILSNFDGRFSRENLSEKGADADSRACAALDHSLKSLDHRISHHVSSDRPIWADAAHAAAFLDAVDELVAVVAEWNHLASDKAVAACLVRAEDMLQHAMFRLEDEFRTLMERGGESFGLTRSYRNGESTENMPFESEEEDEEEDEARNGGEEEQIPVALPVTDFDIVIDALPAGTINDLHEIAKRMVAGGFGKECSHVYSSCRREFLEESISRLGLQKLSIEDVHKMVWQDLEDEIEKWIKASNVALKILFPSERRLCDRVFFGFASAADFSFMEVCRGSAIQLLNFADAVAIGSRSPERLFRILDVFETLRDLIPEFEALFSDQFSVSLRNEVITIWKRLGESIRGIFMELENLIRRDPAKTAVPGGGLHPITRYVMNYLRAACRSRQSLEQVFEDYGLKEYPKLDDRVHSSSSLSVQMDWIMELLESNLEAKSKIYKDPALCYVFLMNNGRYIVQKAKDSELGTLLGDDWIRKHAAKVRQFHVHYQRSSWSRVLGSLKQDSTVSLPPNALAKSMKEKLKSFNTMFDDICKEQSSWFVFDEQLREEIRISLEKILLPAYGNFVGRFKNVPEIGKHADKYIKYGTEDIQAKLNELFQGSSGGRK >Vigun10g194001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40797457:40798581:-1 gene:Vigun10g194001.v1.2 transcript:Vigun10g194001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANAVTTAVFALVFFILCFSAETKSSSSRTYRRSRHSGGGGRRTRGSKCDPFYLYLYGTCGHWPFPTCSSPQNPFDQTPTPSYSFPPPITYTPRLPPPVTYTPRIPPPLRYFPPPFLPPVHYPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPACSPPPVKPCCPLPPPCCPLPPLPPLPPLPPAPPLVPSPPPPAPTLPPLVIPPPMPTYPWLSPPDPFFFDPTPAPPLVPITTSPPFFNDPNFPDPSLPPPLLPGPFLSTPPFSPMPQTPDSGLFPPPLAAEVPPTFTLPETPVMPDNPPSFTTPADSLPPPEMPENPPDVSSGSPDTTDQPPDTTDQPPDTTDQPPENSDPDPEQPPSKLINNSH >Vigun03g081600.1.v1.2 pep primary_assembly:ASM411807v1:3:6788667:6790237:1 gene:Vigun03g081600.v1.2 transcript:Vigun03g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPACFSNHSNSSQFLPCLSLFFSFSSSCCLFLFPRNWVTTVRTKASILMASYEQEGRPLPKFGEWDVNDPASAEGFTVIFNKARDDKKTGAGSVRVQSQRRNNSRKDEDKTAKAQKKWFCFKP >Vigun08g077500.1.v1.2 pep primary_assembly:ASM411807v1:8:14409184:14414684:1 gene:Vigun08g077500.v1.2 transcript:Vigun08g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMGRCWLVGVIIVVGSVGVEGYPAEDLVVKLPGQPNVGFKQFAGYVDVDAKHGRSLFYYFVEAEQDPDKKPLTLWLNGGPGCSSVGGGAFSELGPFYPNGDGLGLRRNSMSWNKASNLIFVESPAGVGWSYSNTTSDYKTGDLSTANDMYLFMLKWYKKFPSYRTRELFLTGESYAGHYVPQLANVLLDHNAGSSGFKFNIKGVAIGNPLLRLDRDAPATYEYFWSHGMISDEVGLAIMNDCDFDDYSYETPHNVSNSCNNAIYEANVIVGNYINNYDVILDVCYPSIAEQELRLKKMATKVSLGVDVCMTLERRFYFNLPEVQKALHANRTNLPYRWSMCSNFLNYSDTDGNINILPILKRIVQNHIPVWVFSGDQDSVVPLLGSRTLIRELANELHFKITVPYGAWFHKGQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPNTTHSSIDD >Vigun04g050950.1.v1.2 pep primary_assembly:ASM411807v1:4:4519419:4519735:1 gene:Vigun04g050950.v1.2 transcript:Vigun04g050950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSCLFHMTPNHLWFQNFTKLEGSSILPKDNKSCKIIRVGITKICLNDDGSELEDVRLIPSLKCNLISLSKFMKKGCFFKGGRTMLMVMRDPF >Vigun04g130950.1.v1.2 pep primary_assembly:ASM411807v1:4:32815429:32816234:1 gene:Vigun04g130950.v1.2 transcript:Vigun04g130950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHQCKKILSKQRAQILEDLETEALMRQWGLNEEAFHRSPPKDFTGFGSPIPLPPEEPPMLPLLDDGLGPFLQTKDGGFLRSMNPSLFKNSKSGGRLIMQVSNPIVVPAKMGSGIMEVLQSLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPVLEGAERQSHLQHNPITRQDSAHVQRDLKGMPSVQKTGNS >Vigun05g189300.1.v1.2 pep primary_assembly:ASM411807v1:5:36777452:36780746:-1 gene:Vigun05g189300.v1.2 transcript:Vigun05g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSHGSGGVAARIKNAASTFCSDSQPLIADIRKTVLLMKDIAIQLEKDNLPDKVKELEDAVIELVGLSELSVQFSSAVQVFSNRYQPGEEFTNFSKLFEDELSEFKANQSSDLPKHPLIRQFKEAVWNVHHAGQPMPGEEQEDIIMTSTQSNILNVSCPLTGKPITELVEPVRSMDCRHIYEKKVIMQYLKSNQQRGRCPISGCPRILQADKLVQDPLLLIEIDEMRKTNKETEVEDYTMLDED >Vigun07g286700.2.v1.2 pep primary_assembly:ASM411807v1:7:39964836:39966678:1 gene:Vigun07g286700.v1.2 transcript:Vigun07g286700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLEGADNGNRFARRKRAKFHSPSSPSKKVKTNPRKEPHSYPTQDVGLKKFSGDNVDKTGRRSKMRCSRSKEDTESGTALERANSFHYENPYFIREMHRSYICSNFLNMPVNFITEEPGKGNNRVRLWISEEQSWNMNFCLSTDLRRVRLVSGWANFVKDNNLKTGNVCVFERIKKPEIISFRVIIFRDTQESGPSNFPANGSKQTRLQNTSADGGSDGTPKKHPIDSKFRRCDNEISGNHFSLFVKPNDCIPEQFIRNHNMGDAAKVMLKVGKRTFPVRLEHDPRQKYHKLCFGWSYFMGQCKLNEGDVCNFELVDEDRFIFQVRVATCVD >Vigun08g109150.1.v1.2 pep primary_assembly:ASM411807v1:8:27112759:27113182:-1 gene:Vigun08g109150.v1.2 transcript:Vigun08g109150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding YTLFNPHHSWCILLATAPSYGSYFTWLDFHGGMHPIVRRLDRAFCNFSCLENCYSSHVNVLCRNYSNHHPLLLSLSHSVKVFKPSSFRFLKMWMSHMDICSILNSS >Vigun02g055950.1.v1.2 pep primary_assembly:ASM411807v1:2:19982006:19983856:1 gene:Vigun02g055950.v1.2 transcript:Vigun02g055950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNIENLEKNSVTSLRTLVNLGSEVYLQEEVPDTQRKFVDVGYGFHVEFTLSEALNYIEKGKKRYGRQI >Vigun03g093600.1.v1.2 pep primary_assembly:ASM411807v1:3:7920194:7926534:-1 gene:Vigun03g093600.v1.2 transcript:Vigun03g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNQSMSSVSPERKMGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKASIERYKKASSDSSNGGSASEANAQFYQQEAAKLRVQISNLQNHNRQMMGESLSNMNAKDLKNLETKLEKGISRIRSKKNELLFAEIEYMQKRETELHNNNQLLRAKMAERERSEHNVNVLSGSTSYESMQSQFDSRGFFQVTGLQPNNNQYAGQDHMSLQFV >Vigun03g093600.2.v1.2 pep primary_assembly:ASM411807v1:3:7920194:7926534:-1 gene:Vigun03g093600.v1.2 transcript:Vigun03g093600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNQSMSSVSPERKMGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKASIERYKKASSDSSNGGSASEANAQFYQQEAAKLRVQISNLQNHNRQMMGESLSNMNAKDLKNLETKLEKGISRIRSKKNELLFAEIEYMQKRMAERERSEHNVNVLSGSTSYESMQSQFDSRGFFQVTGLQPNNNQYAGQDHMSLQFV >Vigun06g134800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26107549:26110798:-1 gene:Vigun06g134800.v1.2 transcript:Vigun06g134800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNFKKSAVKAVKLSYKYKVQSLPFLYFQRYSYVNVYMKWKKDSYFDSIEHIHESIQLKPIIALKDCIVQDPNGCIPISAVTKRGLELGVPMKVARFLRQYPSIFEEFTGPKYNLPWFRLTPEAAEIDKDEKRVYEECSRELKSRLRKMILMTRENVLPLKIIQGMQWYLGLPNDFLQHPEQNLDESFKFVEMEDGLKGLTVDSGEKIYSVMEKTAMKRGLYTGGPMEAIEFPLYPSKGLRLRTKIENWLHEFQKVPYISPYDDFSSFDRNSDIVEKRLVGVLHELLSLFVEHSAERKKLFCLKKYLGLPQKVHKAFERHPHMFYLSFRNKTCTVILKEAYSNNSGIEKHPLLRVRKKYIKLMKESEVILRNRRVNNRLYNCNAELDLDSNNLNERGHDMTSCSLEQ >Vigun06g134800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26107549:26110913:-1 gene:Vigun06g134800.v1.2 transcript:Vigun06g134800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNFKKSAVKAVKLSYKYKVQSLPFLYFQRYSYVNVYMKWKKDSYFDSIEHIHESIQLKPIIALKDCIVQDPNGCIPISAVTKRGLELGVPMKVARFLRQYPSIFEEFTGPKYNLPWFRLTPEAAEIDKDEKRVYEECSRELKSRLRKMILMTRENVLPLKIIQGMQWYLGLPNDFLQHPEQNLDESFKFVEMEDGLKGLTVDSGEKIYSVMEKTAMKRGLYTGGPMEAIEFPLYPSKGLRLRTKIENWLHEFQKVPYISPYDDFSSFDRNSDIVEKRLVGVLHELLSLFVEHSAERKKLFCLKKYLGLPQKVHKAFERHPHMFYLSFRNKTCTVILKEAYSNNSGIEKHPLLRVRKKYIKLMKESEVILRNRRVNNRLYNCNAELDLDSNNLNERGHDMTSCSLEQ >Vigun06g134800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26107549:26110798:-1 gene:Vigun06g134800.v1.2 transcript:Vigun06g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNFKKSAVKAVKLSYKYKVQSLPFLYFQRYSYVNVYMKWKKDSYFDSIEHIHESIQLKPIIALKDCIVQDPNGCIPISAVTKRGLELGVPMKVARFLRQYPSIFEEFTGPKYNLPWFRLTPEAAEIDKDEKRVYEECSRELKSRLRKMILMTRENVLPLKIIQGMQWYLGLPNDFLQHPEQNLDESFKFVEMEDGLKGLTVDSGEKIYSVMEKTAMKRGLYTGGPMEAIEFPLYPSKGLRLRTKIENWLHEFQKVPYISPYDDFSSFDRNSDIVEKRLVGVLHELLSLFVEHSAERKKLFCLKKYLGLPQKVHKAFERHPHMFYLSFRNKTCTVILKEAYSNNSGIEKHPLLRVRKKYIKLMKESEVILRNRRVNNRLYNCNAELDLDSNNLNERGHDMTSCSLEQ >Vigun06g134800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26107549:26110798:-1 gene:Vigun06g134800.v1.2 transcript:Vigun06g134800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNFKKSAVKAVKLSYKYKVQSLPFLYFQRYSYVNVYMKWKKDSYFDSIEHIHESIQLKPIIALKDCIVQDPNGCIPISAVTKRGLELGVPMKVARFLRQYPSIFEEFTGPKYNLPWFRLTPEAAEIDKDEKRVYEECSRELKSRLRKMILMTRENVLPLKIIQGMQWYLGLPNDFLQHPEQNLDESFKFVEMEDGLKGLTVDSGEKIYSVMEKTAMKRGLYTGGPMEAIEFPLYPSKGLRLRTKIENWLHEFQKVPYISPYDDFSSFDRNSDIVEKRLVGVLHELLSLFVEHSAERKKLFCLKKYLGLPQKVHKAFERHPHMFYLSFRNKTCTVILKEAYSNNSGIEKHPLLRVRKKYIKLMKESEVILRNRRVNNRLYNCNAELDLDSNNLNERGHDMTSCSLEQ >Vigun06g088100.7.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046027:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMVIDLSAVLFCESDPTTEHEYMNQNDPRSYNNELQNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.4.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046027:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMVIDLSAVLFCESDPTTEHEYMNQNDPRSYNNELQNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.2.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046172:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.6.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046171:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.5.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046171:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.1.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046027:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMVIDLSAVLFCESDPTTEHEYMNQNDPRSYNNELQNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.8.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046027:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMVIDLSAVLFCESDPTTEHEYMNQNDPRSYNNELQNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun06g088100.3.v1.2 pep primary_assembly:ASM411807v1:6:22039163:22046171:-1 gene:Vigun06g088100.v1.2 transcript:Vigun06g088100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDICVVNGSCTHEWRKGWPLRSGGFAQLCCKCGFMEEWKGDIHEPLSAYENSVFCSKFHHQQTGWRECNFCNKPIHCGCTASRSLFEHLDFGGIGCVSCVNTSQLSTMRNIENPNGPVSLIKNNASDRPSRSEGRMFARGVDEGKLMQFCKIIEASESSRWNNAQRDGIVSRHGHKNQEAKCSFGEGDIGFSNVVKPSVPSLTFGTLENNRSPWEIKNIHEANAQPSLSMYLGNASGNNSVMPSAGEAVEGRLEGKTSPFHQGQRSRPIFPKPLKSSGLTMNVEIEKGALSQSRVARPPADGRGKNQLLPRYWPRITDQELERLSGDLKSAVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPTISQSEGVPLRMQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMQLRAGDTVTFSRIDPGGKLVMGFRRASNSPDTQDTSTSAQSNSAKGTTSAGTENPPSGSNHANLLLSMKGNVEPNFNGQREHPVLGTGTSGLLITETNGMMTNNTSLQRKVSVLEKKKTRNIGPKNKRLLIDNEDARELKLTWEEAQDLLRPPPCVKPSIVTIEDQVFEEYDEPPVFGKRTIFSTCSSGVKAQWAQCDDCSKWRKLPVDVLLPANWTCFDNIWDSRRCSCTVPEELSSGELENLLKPDKDFKKRRSDEKSKSIQEHEASGLEALASAAALGDNLVDTAESSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCLTVKRRFKTLMLRKKKRQSEREAEAEAAQKDQVLPKEESDTNETSRDDATQLEKEVVGLSRSQAEAGESSAAGQIDLNSDPNRDDMNGENSSLHTPQSNGEGQRHFSDLRSFASLMWNQERRDEEDNEPNQNHNNLC >Vigun03g248300.1.v1.2 pep primary_assembly:ASM411807v1:3:41308359:41311944:1 gene:Vigun03g248300.v1.2 transcript:Vigun03g248300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVIVAIAATVGNLLVGWDSSTIAAGMTYIKKEFKLETNPTLEGLIMSTSFITGTVVTIFSGTVSDMLGRRPMLIASSIMFFFSGLVMLWAPNVTVVLLSRLLDGIALALALTLTPLYISEIAPPDIRGTLNTLPQFSCSSGMFLAYIMVFSLSLVDSPSWRAMLAVVSIPSVVYFLLAVFYLPESPPWLVSKGRVTEAKKVLQRLRSNEDVSGELALLAEGMSPAGENATMEEYIVTPASDLMANKEAGRDCIKLYGPNQGGVSMVAQQVSGQSSMVSRSTLTLSRHGSIVAQAENLKDPLVNLFGSIHDINPPTLDSGGSRAMLMGGDTLHSNENLNAPLLSAQGSGVERDKGFGSKDALGTGNNDANQLPKSSDIGGGWKLVYKSGDGGRKEKGLQRVYLRADPNAGSTQGSFYDGYDMNAEPSESFQAAALVSHSVLCPKNINMRPENAGKRTGFGGLSDIGVKRALGVGIGLQVLQQAAGINGFLYYAPQILDQAGVGALLSHFGISSTSASLLVNIVTTFAMLPCISLSMRLMDVAGRRAIMLYTIPILIVSLMVLILRNLFNMSANANAIVTALSVMVYESCFCMGLGAIPNIVCSEIFPTSVRGICISICSLTFWISILIVTSSFPFLLQILGLSGVIGLFVLGCIGAWIFVYLKVPETKGMPLEVIIDFFAIGARPE >Vigun03g227500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37792067:37792894:1 gene:Vigun03g227500.v1.2 transcript:Vigun03g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTPLPLLMISFCFVLFVNNVKSDSLSFSFSKFEPGTQFDIGFLGDARAVDGAIQLTRIDSGSYGAPIIRKHSVGRAVYIPPVRLWDKSTGKVADFETVFTFVVDSAGSQIHADGLSFFIIPFDADPSIPKNSSGGYLGLFTPETAFNADKNQIVAVEFDSFGNEWDPEPVAIAPHIGVDINSLESVETIDWPINSVPEGSIGKGSIIYDSGKKELSVGVSYNTHPPIVALLSKTIDLSGVLSEWVRIGFSAATGDSVETHDILSWFFASRI >Vigun04g068300.1.v1.2 pep primary_assembly:ASM411807v1:4:7772271:7774797:1 gene:Vigun04g068300.v1.2 transcript:Vigun04g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFSAPPKIKAESPPRNGLNSKDGGKEENDLSVTVSSSSMLLTPQNEVEILEASNLKDFAFNELRTATRNFRPDSMVGEGGFGSVFKGWIDEQTLAPTKAGTGMVIAVKRLNQESNQGHIEWLTEINYLGQLSHPNLVKLIGYSLEDDHRILVYEFVAKGSLDNHLFRTPHSDEVDVIFRDFKTSNILLDSNYNAKLSDFGLAKYGPEGDKSHVSTRVMGTFGYAAPEYIATGHLTKRSDIYSFGVVLLELMSGKRALDNNRPSGEHNLVEWAKPLLINKHKISQVMDARIEGQYSKREAKRIAHLAIQCLSTDPKLRPNMGGVVRSLEHLQDSNDTQINGHSSSSGGTPISSLSPSPLRT >Vigun03g168300.1.v1.2 pep primary_assembly:ASM411807v1:3:19717884:19721109:-1 gene:Vigun03g168300.v1.2 transcript:Vigun03g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKLLLTFIFVIILLLSYSIFIGNVDVRSYFFPHLKLSTSAPAPCAPDSSLRVFMYDLPRRFNIGMIDRRNTAETPVTVDNWPSWPANWGLKKQHSVEYWMMGSLLHEVEGREVVRVSDPELANAFFVPFFSSLSFNTHGHTMKDPATETDHQLQIDLMKFLKESKYWQRSGGRDHVFPVTHPNAFRFLRDQLNDSIQVVVDFGRYPKRMSNLNKDVVSPYVHVVESFTVDEPQDPYESRSTLLFFRGRTYRKDEGIVRVKLAKILSGYDDVHYERSVATEENIKLSSKGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVVVSDQIELPFEDEIDYSQFSIFFSTKEALQPGYMVDQLRKFPKEKWTEMWKQLKNVSHHYEFQYPPKREDAVSMLWRQVKHKLPGVSLSVHRSRRLKIPDWLLRK >Vigun03g168300.3.v1.2 pep primary_assembly:ASM411807v1:3:19717884:19721109:-1 gene:Vigun03g168300.v1.2 transcript:Vigun03g168300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKLLLTFIFVIILLLSYSIFIGNVDVRSYFFPHLKLSTSAPAPCAPDSSLRVFMYDLPRRFNIGMIDRRNTAETPVTVDNWPSWPANWGLKKQHSVEYWMMGSLLHEVEGREVVRVSDPELANAFFVPFFSSLSFNTHGHTMKDPATETDHQLQIDLMKFLKESKYWQRSGGRDHVFPVTHPNAFRFLRDQLNDSIQVVVDFGRYPKRMSNLNKDVVSPYVHVVESFTVDEPQDPYESRSTLLFFRGRTYRKDEGIVRVKLAKILSGYDDVHYERSVATEENIKLSSKGMRSSKFCLHPAGDTPSSCRLFDAIPGYMVDQLRKFPKEKWTEMWKQLKNVSHHYEFQYPPKREDAVSMLWRQVKHKLPGVSLSVHRSRRLKIPDWLLRK >Vigun03g168300.2.v1.2 pep primary_assembly:ASM411807v1:3:19717884:19721109:-1 gene:Vigun03g168300.v1.2 transcript:Vigun03g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKLLLTFIFVIILLLSYSIFIGNVDVRSYFFPHLKLSTSAPAPCAPDSSLRVFMYDLPRRFNIGMIDRRNTAETPVTVDNWPSWPANWGLKKQHSVEYWMMGSLLHEVEGREVVRVSDPELANAFFVPFFSSLSFNTHGHTMKDPATETDHQLQIDLMKFLKESKYWQRSGGRDHVFPVTHPNAFRFLRDQLNDSIQVVVDFGRYPKRMSNLNKDVVSPYVHVVESFTVDEPQDPYESRSTLLFFRGRTYRKDEGIVRVKLAKILSGYDDVHYERSVATEENIKLSSKGMRSSKFCLHPAGDTPSSCRLFDAIRHYSLATWSINFVNFQKRNGLKCGSN >Vigun03g296100.2.v1.2 pep primary_assembly:ASM411807v1:3:48280307:48284247:1 gene:Vigun03g296100.v1.2 transcript:Vigun03g296100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVDVAIGGADHNDGQEEHGERSWADLVSGDQDQDHPRPQPHRQQQHHQQQTQWSNSPQKEERWEEDSHSYTNRPHQAVYRPQHEESQSQNFSTGHKVNEDQDGWQTVGKPPRRTQKVPKETWQNYKRPSHEQEYSDEVQVGDSLEPSEDELADLSKACEKLWDLDFNRLVPGKDYEIDCGEGKKVYQKVDMAEGSLFTWVSDDVFRKPTFGRFLSLLDNYNPHEGCKEVVTSEEREEQASFIEEISRTAPIKYLHKYLASKGIAPGSYQDFKQMMTNLWFDLYGRGGTSGSSSAFEHVFVGEIKQSGEVSGFHNWLQFYLEEEKGRVDYQGYIFPRRRGQTPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFYVGREDNHIQLGPYAVNIKCYRFSNKIGSVFPIADS >Vigun03g296100.1.v1.2 pep primary_assembly:ASM411807v1:3:48280307:48284247:1 gene:Vigun03g296100.v1.2 transcript:Vigun03g296100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVDVAIGGADHNDGQEEHGERSWADLVSGDQDQDHPRPQPHRQQQHHQQQTQWSNSPQKEERWEEDSHSYTNRPHQAVYRPQHEESQSQNFSTGHKVNEDQDGWQTVGKPQRRTEKVNEDQDGWQTVGKPPRRTQKVPKETWQNYKRPSHEQEYSDEVQVGDSLEPSEDELADLSKACEKLWDLDFNRLVPGKDYEIDCGEGKKVYQKVDMAEGSLFTWVSDDVFRKPTFGRFLSLLDNYNPHEGCKEVVTSEEREEQASFIEEISRTAPIKYLHKYLASKGIAPGSYQDFKQMMTNLWFDLYGRGGTSGSSSAFEHVFVGEIKQSGEVSGFHNWLQFYLEEEKGRVDYQGYIFPRRRGQTPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFYVGREDNHIQLGPYAVNIKCYRFSNKIGSVFPIADS >Vigun05g004300.1.v1.2 pep primary_assembly:ASM411807v1:5:351780:356355:-1 gene:Vigun05g004300.v1.2 transcript:Vigun05g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTNPLVVGRVIGDVVEPFACSIPLRVVYNHNKEVINSGELKPSQIIIPPRVEVGGDDLRTLYTLVMVDPDAPSPSNPTMREYLHWMVINIPATTGTNFGEEIVSYESPRPTSGIHRLVFVLFKQPGRQSIHAPGWRQNFITRDFAEYYNLGPPVAAIYFNCQRQSGSGGRRLIL >Vigun01g138500.1.v1.2 pep primary_assembly:ASM411807v1:1:31874085:31880653:-1 gene:Vigun01g138500.v1.2 transcript:Vigun01g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALKSSCFLQLKKPEVGFHVCFSSKKALISVKRYTPVAAMRTMEVPGLSETFTRLRKEGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFNAIISMLKEVVPELSCPIALFTYYNPILKRGTEKFMSIVRETGVHGLVVPDVPLEETETLRVEAKKNGIELVLLTTPTTPKDRMKAIVDAAEGFVYLVSSVGVTGARSSVSGNVQSLLQEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKVLGEAKSPQEGLKELEAFARTLKEALP >Vigun01g138500.4.v1.2 pep primary_assembly:ASM411807v1:1:31875715:31880760:-1 gene:Vigun01g138500.v1.2 transcript:Vigun01g138500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALKSSCFLQLKKPEVGFHVCFSSKKALISVKRYTPVAAMRTMEVPGLSETFTRLRKEGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFNAIISMLKEVVPELSCPIALFTYYNPILKRGTEKFMSIVRETGVHGLVVPDVPLEETETLRVEAKKNGIELVLLTTPTTPKDRMKAIVDAAEGFVYLVSSVGVTGARSSVSGNVQSLLQEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKVLGEAKSPQEGLKELEAFARTLKEALP >Vigun01g138500.2.v1.2 pep primary_assembly:ASM411807v1:1:31874085:31880653:-1 gene:Vigun01g138500.v1.2 transcript:Vigun01g138500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMEVPGLSETFTRLRKEGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFNAIISMLKEVVPELSCPIALFTYYNPILKRGTEKFMSIVRETGVHGLVVPDVPLEETETLRVEAKKNGIELVLLTTPTTPKDRMKAIVDAAEGFVYLVSSVGVTGARSSVSGNVQSLLQEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKVLGEAKSPQEGLKELEAFARTLKEALP >Vigun01g138500.3.v1.2 pep primary_assembly:ASM411807v1:1:31875715:31880760:-1 gene:Vigun01g138500.v1.2 transcript:Vigun01g138500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMEVPGLSETFTRLRKEGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFNAIISMLKEVVPELSCPIALFTYYNPILKRGTEKFMSIVRETGVHGLVVPDVPLEETETLRVEAKKNGIELVLLTTPTTPKDRMKAIVDAAEGFVYLVSSVGVTGARSSVSGNVQSLLQEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKVLGEAKSPQEGLKELEAFARTLKEALP >Vigun09g091900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12841053:12841370:1 gene:Vigun09g091900.v1.2 transcript:Vigun09g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKPISAFIFNHRFWTNIFSFKHHHLRPVIRKSSRCFTTPLRVLLHPEQHQTLTFFPITYIQSKQRRKRNRRRVGVGVGESPAASITSGPATVGLGIVAPKTW >Vigun09g102400.2.v1.2 pep primary_assembly:ASM411807v1:9:17565392:17568210:1 gene:Vigun09g102400.v1.2 transcript:Vigun09g102400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQAKNVAASSLFGHSGMGTQAHHPNPIQQWNHSISTPLHSQTQPQSQSRPQTQFPGLFQLSESQSHVLAQAQYAQTQLQSQIARAHPQPQTQPFAHLHSVNTNAANGTSSPATGKANRPTPKPLLRSHNSSNMNQSMPGARQQKKQSPGKGAMFLPESALYTQLLDFEAQVDTALARRKFDIQEARLPPHVQKTLRVYVFNTFSNHAKMDSENKKANESSWSLRITGRILEDGMDSMSGISQRSSPSYPKFSAFFKKITIHLDQSIYPDNHVIVWDSARSPAQQDGFEVKRKGNKEFTALIAMEMNYTPDKFMVSQQLSKLLGIEVETRPRIIASIFNYVKSRKLQSPNDPSSFICDPSLQRVFGEEKMDFTTVFQKLAQHLSQPQPIHLEHNIKLSGYAPAVTACYDIQVDVPFPLEKDKSTFLSSLESQKEIEAYDEAICASLKKIQEHRRRRAFFLSFSQSPVEFIDTLITSQSKDLKLVAGDARHNIEKELRSEFYNQPWVEDAAIRYLNRKTAGSDALGRN >Vigun09g102400.1.v1.2 pep primary_assembly:ASM411807v1:9:17565277:17570665:1 gene:Vigun09g102400.v1.2 transcript:Vigun09g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQAKNVAASSLFGHSGMGTQAHHPNPIQQWNHSISTPLHSQTQPQSQSRPQTQFPGLFQLSESQSHVLAQAQYAQTQLQSQIARAHPQPQTQPFAHLHSVNTNAANGTSSPATGKANRPTPKPLLRSHNSSNMNQSMPGARQQKKQSPGKGAMFLPESALYTQLLDFEAQVDTALARRKFDIQEARLPPHVQKTLRVYVFNTFSNHAKMDSENKKANESSWSLRITGRILEDGMDSMSGISQRSSPSYPKFSAFFKKITIHLDQSIYPDNHVIVWDSARSPAQQDGFEVKRKGNKEFTALIAMEMNYTPDKFMVSQQLSKLLGIEVETRPRIIASIFNYVKSRKLQSPNDPSSFICDPSLQRVFGEEKMDFTTVFQKLAQHLSQPQPIHLEHNIKLSGYAPAVTACYDIQVDVPFPLEKDKSTFLSSLESQKEIEAYDEAICASLKKIQEHRRRRAFFLSFSQSPVEFIDTLITSQSKDLKLVAGDARHNIEKELRSEFYNQPWVEDAAIRYLNRKTAGSDALGRN >Vigun10g089900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25950491:25950937:1 gene:Vigun10g089900.v1.2 transcript:Vigun10g089900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLVLMVLAFMVMLLAITFVQSVIKIISPNQRVVSLEYEMRSKSFYLEISSSCTSESESSTITIVDITSLSATNSKATSEKERKRCKSCNKKIGLLGFQCRCGDVFCGTHRYPDMHACKIDFKKIDREVLIKQNHVCVTDKLKDRV >Vigun07g074500.1.v1.2 pep primary_assembly:ASM411807v1:7:9589164:9589739:1 gene:Vigun07g074500.v1.2 transcript:Vigun07g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQSRKKLIIKIYSSCPENNEGVCELKNKKRYYAKAIWVDVKNASKSCESNQKVGYEECDKTKDKEIMQKEWKKREKKRKCWTMMKRLMVGKYAWIFKKNDQNKKVMSLRNIELKLKRSEYSKVDDFGDDMRKVFSYPLGYPFKSEVYKIAREISQAFELNWNNMKKKWISQEK >Vigun02g000056.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14731:15993:1 gene:Vigun02g000056.v1.2 transcript:Vigun02g000056.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun01g120700.1.v1.2 pep primary_assembly:ASM411807v1:1:29726022:29735968:-1 gene:Vigun01g120700.v1.2 transcript:Vigun01g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPVGLRGSVHDGATLESIQFNEEIQGIMAPAPAPENASSFTALLELPPTQAVELLHSPDSDGARKPPNCHISTNHKPYLLNSFSGNLTFPSNAALIERAAKFSVFAGENSTSPGEVCVLPAGSGSNLDRVKNEPQETDSNPCSTQGCVSDPAVENKNEKTTVKRKEREKKVTVKGSSKKNKSVADETSCDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCDKISGTAMVLDEIINHVQSLQRQVEILSMKLAAVNPRIDFSLDSLLPTDGASLMDSNIPSMMTPLMWPEIPVNGNRQHYQQQWQFDAFHQSLWEREEVNHNFMTPENSLLSYDSSANSASLHSNQLKMEL >Vigun01g120700.3.v1.2 pep primary_assembly:ASM411807v1:1:29731988:29735968:-1 gene:Vigun01g120700.v1.2 transcript:Vigun01g120700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPVGLRGSVHDGATLESIQFNEEIQGIMAPAPAPENASSFTALLELPPTQAVELLHSPDSDGARKPPNCHISTNHKPYLLNSFSGNLTFPSNAALIERAAKFSVFAGENSTSPGEVCVLPAGSGSNLDRVKNEPQETDSNPCSTQGCVSDPAVENKNEKTTVKRKEREKKVTVKGSSKKNKSVADETSCDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCDKELSGSGESASNNWIWISVYVKVSHKGKRKKEEK >Vigun01g120700.2.v1.2 pep primary_assembly:ASM411807v1:1:29726022:29735968:-1 gene:Vigun01g120700.v1.2 transcript:Vigun01g120700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPVGLRGSVHDGATLESIQFNEEIQGIMAPAPAPENASSFTALLELPPTQAVELLHSPDSDGARKPPNCHISTNHKPYLLNSFSGNLTFPSNAALIERAAKFSVFAGENSTSPGEVCVLPAGSGSNLDRVKNEPQETDSNPCSTQGCVSDPAVENKNEKTTVKRKEREKKVKGSSKKNKSVADETSCDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCDKISGTAMVLDEIINHVQSLQRQVEILSMKLAAVNPRIDFSLDSLLPTDGASLMDSNIPSMMTPLMWPEIPVNGNRQHYQQQWQFDAFHQSLWEREEVNHNFMTPENSLLSYDSSANSASLHSNQLKMEL >Vigun05g097600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9506632:9510566:-1 gene:Vigun05g097600.v1.2 transcript:Vigun05g097600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISHVPFLFIILCYYFWIHHTVYFTVVSGQIVEDQQQSLLQLKNSLRFEQEKSHKLVFWNSSTNCCKWTGVTCDQEGHVIGLDLNGESINGGFDNSSTLFNLQSLRILNLSVNNFSSQIPSGFSKLKNLTYLNLSHAGFVGQIPIDISSLARLVTLDISSLSFLYKQPLKLESLNLHMLVQNFTMIRQLYMDGVSVSAEGKEWINALLQLPTLQELSMSNCNLSGPLDPSLTRLQNLSIIRLDQNNLSSPVPQTFADFPNLTTLHLSSCELTGIFPEKIFQVATLSDIDLSFNYDLNGSLPELPPNGSLQKLIVSHTRFSGALPASIGNLRQLSVLDLSNCNFSGTLPRSMSGLKELTSLLFSFNNFTGPIPSLNMSRNLKHLDFSHNYFTGSITYVHLEGLRKQVQIDFQDNLLDGNIPSSIFALPLVQSIYLSNNHFRGQLDDFSNISSSMLETLDLRSNNLEGPIPPSIFNLTSLKVLELSFNKLNGRIKLDVLQKLSNLTTLALSHNNLSVDTNLTNVGLISSFHNMSSLGLASCYLTEFPSFLKNHSRITTLDLSSNHIRGSIPAWIWQLNSLVQLNLSHNFLSSLEGSVQNTSSHLSVLDLHDNQLQGKLQIFPVHATYLDYSSNNFSFTIPTDAATRLSSIIFLSLSKNNLSGSIPQFLCNSSQLLVLDVSYNHFNGMIPECLTQSGSIAVLNLQHNKFNGSIPDKFPVSCALRTLDLNSNLLKGPIPKSLENCSSLEVLDLGNNEVDDGFPYFLNSISTFRVLVLRGNKFHGHIQCPDTNSSWPMLQIFDVAFNKFSGLLPGKCFKTWKAMKLDEYHDASKLNHITSAVLTFGGIYYQDSVTLTIKGLQLEFVKVLNLITAVDFSSNNFNGTVPEELIDFTGLYALNLSHNGLTGQIPSLGNLISLESLDLSSNNLGGEIPSSIANLSFLSYLDLSHNFLEGKIPVGTQLQSFDASSFVGNVELCGPPLTKICSDTSNGKEEAPDVHRDYADKFDWIYVLIGVGFGVGAGIVVAPSLFLERTKKWSNRKIDKALIVVLPLFGWTWIPIHDDEDNDDEEEAEKDTKENNSDMEEDCDYREMQNNLVHQRFRGRYCAFCSKLHISNKKVIHDPRCTCFPSPPISTSTYLDSYSSHSHS >Vigun05g097600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9506634:9510579:-1 gene:Vigun05g097600.v1.2 transcript:Vigun05g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCNLSGPLDPSLTRLQNLSIIRLDQNNLSSPVPQTFADFPNLTTLHLSSCELTGIFPEKIFQVATLSDIDLSFNYDLNGSLPELPPNGSLQKLIVSHTRFSGALPASIGNLRQLSVLDLSNCNFSGTLPRSMSGLKELTSLLFSFNNFTGPIPSLNMSRNLKHLDFSHNYFTGSITYVHLEGLRKQVQIDFQDNLLDGNIPSSIFALPLVQSIYLSNNHFRGQLDDFSNISSSMLETLDLRSNNLEGPIPPSIFNLTSLKVLELSFNKLNGRIKLDVLQKLSNLTTLALSHNNLSVDTNLTNVGLISSFHNMSSLGLASCYLTEFPSFLKNHSRITTLDLSSNHIRGSIPAWIWQLNSLVQLNLSHNFLSSLEGSVQNTSSHLSVLDLHDNQLQGKLQIFPVHATYLDYSSNNFSFTIPTDAATRLSSIIFLSLSKNNLSGSIPQFLCNSSQLLVLDVSYNHFNGMIPECLTQSGSIAVLNLQHNKFNGSIPDKFPVSCALRTLDLNSNLLKGPIPKSLENCSSLEVLDLGNNEVDDGFPYFLNSISTFRVLVLRGNKFHGHIQCPDTNSSWPMLQIFDVAFNKFSGLLPGKCFKTWKAMKLDEYHDASKLNHITSAVLTFGGIYYQDSVTLTIKGLQLEFVKVLNLITAVDFSSNNFNGTVPEELIDFTGLYALNLSHNGLTGQIPSLGNLISLESLDLSSNNLGGEIPSSIANLSFLSYLDLSHNFLEGKIPVGTQLQSFDASSFVGNVELCGPPLTKICSDTSNGKEEAPDVHRDYADKFDWIYVLIGVGFGVGAGIVVAPSLFLERTKKWSNRKIDKALIVVLPLFGWTWIPIHDDEDNDDEEEAEKDTKENNSDMEEDCDYREMQNNLVHQRFRGRYCAFCSKLHISNKKVIHDPRCTCFPSPPISTSTYLDSYSSHSHS >Vigun06g016966.1.v1.2 pep primary_assembly:ASM411807v1:6:8023537:8024340:-1 gene:Vigun06g016966.v1.2 transcript:Vigun06g016966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMLKFQLPTPTTCPYCHARLFYHESCNMCCSGGKVLLPRVPPPHELLQIFSDQTSESRHFRQYIRSYNHVFSFTSLGVHMDETIVANGRGIYSFRAQGAIYHRIRGFYPNDGSRPWFLQLYIYDTEHELQNRMLENPQLHQTILAQEPNIQICSLLIKERPSNQSQYNLPIASQVAAVIVTADTESMARGRDIKIVGHDENLINIQEIVGYYDPLQYLLLFPFGTYGWDTNTKNIWPKYLLPRIL >Vigun04g070800.1.v1.2 pep primary_assembly:ASM411807v1:4:8198480:8200656:1 gene:Vigun04g070800.v1.2 transcript:Vigun04g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELESLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYTEPSSISKKKTRV >Vigun08g043150.1.v1.2 pep primary_assembly:ASM411807v1:8:4508951:4511806:-1 gene:Vigun08g043150.v1.2 transcript:Vigun08g043150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYKELEHRRSRTGAPSMQNQSTVDTESEHRRRKTRAPPTQNRSTVDAESEHHLRRHGAPLTQTRRTTNTDADGHRENKMKVSVCGLREEKAVCVWCGQRRRENLYCREEGKGKMKKIRVSNSFQVVERTPSD >Vigun01g139150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31949433:31949786:1 gene:Vigun01g139150.v1.2 transcript:Vigun01g139150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IAASFSFCVPNIKRWQRKHLAAEKLKMVSEALEAAEERAVRFQERHRKILSHICSTYLTDAKLVEAIAGARTTMNQALDFALQLRKIQFRIITSFPDAIDVRLDLDASKQTPANSFR >Vigun03g430100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63440074:63441843:1 gene:Vigun03g430100.v1.2 transcript:Vigun03g430100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNYKVGARECCVELSSGRMDVKDYPLKLYFIPYLAAGHMIPLCDIAQFFASRGHHVTVITTPSNTQHLKPSHNFRLHTLQFPSQEVGLHDGIENATAVTEKYNSYKIYTATMLLRQPIESFLEQDPPDCVVADFLYPWVHDLANKLRIPRLVFNGFSLFSICAMESVKTLSIHATGPFAIPDFPHHITLSSSPPKNAREFLEPLLAMALKSDGFIINNFVELDGEEYISHYKRTTGHKAWHLGPACLARRTTLEKAERGAKSVVSVQECVSWLDSKQVNSVVYISFGSVCYFPDKQLFEIACAIEAAGYGFIWVVPEKKGKEEEKEEEKEKWLPKGFEERNGEKGIIIRGWAPQVLILNHPAVGAFLTHCGWNSTLESVAAGVPMITWPVHSEQFYNEKLITRVRGIGVEVGADEWNFSAFFESKKLVDRACIEKAMRRIMDGADESLLIRRRAKEFGKTAIQAVQEGGSSYNNLTALIDHLKRLRNSKLE >Vigun01g077300.1.v1.2 pep primary_assembly:ASM411807v1:1:21825806:21829146:-1 gene:Vigun01g077300.v1.2 transcript:Vigun01g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDSSSGRNSLIPSFLYSSSSKTLPLHRMLHSTAAAAAAAPSAPPANLDAGSRGIMIPSPSESRKIELYSPAFYAACTAGGILSCGLTHMAVTPLDLVKCNMQIDPSKYKNITSGFGVLLKEQGFRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYASKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLADGLPKFVRSEGTLGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAIPTPKNECSKNLQLGVSFAGGYIAGVLCAIVSHPADNLVSFLNNAKGATVGDAVSKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGPAPAAAPAAAPDSGLAKA >Vigun01g081900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23242337:23243726:1 gene:Vigun01g081900.v1.2 transcript:Vigun01g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVYQVISSAALLTLGMYHLISTTRNYLKSPHAYVAKPFHPFPYPRRLQLYFLIFALLVAIAHHLLISADADPLLRGATPVHLLLSLQSAATLFLFLLLALALLLADASPSILPLPAELAFALASGLFLLHSALASARAALQTSAIEAKCLSVSGSLSSISALLCLLLAALPRLFPADAALAATFCLRGLWSLQTGLSLYADAFIPDGCHRLLDVKSGVEGSTQCDLDESKFRAVAILDLAFLIHVIFVVILLLITYALVARSVSLRRLGSYEALPTATSSVDANHSHIQMKALTGTQA >Vigun04g112200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28256733:28257008:-1 gene:Vigun04g112200.v1.2 transcript:Vigun04g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALIEAIALFALMMAFLILFIF >Vigun07g249700.1.v1.2 pep primary_assembly:ASM411807v1:7:36910717:36914040:1 gene:Vigun07g249700.v1.2 transcript:Vigun07g249700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPTLSQLSLSQYNFPRNSTWNNHLPCYFHKRIAKLHTFTSSKSSAFRVCCSSQESNSQNNGEEPPESLFMKELKRRGMTPTSLLDDYKQSNYELDEEVFVNEEDRGFPKRKSVSTNVERSLENQRERSMALNSEGLEGLIPRAKLLLTIGGTFFLGFGPLILIIVATFSALYFYFGPTFVHDASKMATSPPQYVDPYALLEDERISQIAPRLN >Vigun07g249700.5.v1.2 pep primary_assembly:ASM411807v1:7:36910716:36914040:1 gene:Vigun07g249700.v1.2 transcript:Vigun07g249700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPTLSQLSLSQYNFPRNSTWNNHLPCYFHKRIAKLHTFTSSKSSAFRVCCSSQESNSQNNGEEPPESLFMKELKRRGMTPTSLLDDYKQSNYELDEEVFVNEEDRGFPKRKSVSTNVERSLENQRERSMALNSEGLEKQESGNGEGGQRKDRKDEHFNKY >Vigun07g249700.4.v1.2 pep primary_assembly:ASM411807v1:7:36910717:36914040:1 gene:Vigun07g249700.v1.2 transcript:Vigun07g249700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPTLSQLSLSQYNFPRNSTWNNHLPCYFHKRIAKLHTFTSSKSSAFRVCCSSQESNSQNNGEEPPESLFMKELKRRGMTPTSLLDDYKQSNYELDEEVFVNEEDRGFPKRKSVSTNVERSLENQRERSMALNSEGLEYFGPTFVHDASKMATSPPQYVDPYALLEDERISQIAPRLN >Vigun07g249700.3.v1.2 pep primary_assembly:ASM411807v1:7:36910717:36914040:1 gene:Vigun07g249700.v1.2 transcript:Vigun07g249700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPTLSQLSLSQYNFPRNSTWNNHLPCYFHKRIAKLHTFTSSKSSAFRVCCSSQESNSQNNGEEPPESLFMKELKRRGMTPTSLLDDYKQSNYELDEEVFVNEEDRGFPKRKSVSTNVERSLENQRERSMALNSEGLEQESGNGEGGQRKDRKDEHFNKY >Vigun07g249700.2.v1.2 pep primary_assembly:ASM411807v1:7:36910717:36914040:1 gene:Vigun07g249700.v1.2 transcript:Vigun07g249700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPTLSQLSLSQYNFPRNSTWNNHLPCYFHKRIAKLHTFTSSKSSAFRVCCSSQESNSQNNGEEPPESLFMKELKRRGMTPTSLLDDYKQSNYELDEEVFVNEEDRGFPKRKSVSTNVERSLENQRERSMALNSEGLEGLIPRAKLLLTIGGTFFLGFGPLILIIVATFSALYFFTVAVFWTNICP >Vigun04g169100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39350782:39351590:-1 gene:Vigun04g169100.v1.2 transcript:Vigun04g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAPSLTLSFFFLFGITTLNFTPSFSEAPEKVVDSQERPVSSAAKYYLLPFFPGPEGGAITLGQAENSACPVAVLQPTDETDPGLAVKFGTGGTSSGDIFTETPLDVAFVDKPSCASSFNWVVVSDDFPGIWVGIGGEGDHPGKKVVPGKFIVQKFGLGYKLVFCFDSSDSSTCYGIGRHDDVQERRLVLNNEPFEFSIINGS >Vigun05g060600.2.v1.2 pep primary_assembly:ASM411807v1:5:5184257:5186061:-1 gene:Vigun05g060600.v1.2 transcript:Vigun05g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWDLHAVVRGCSTVTSSAVSSSSSSSSGFASCYFHPEGVSSSSSSSTFSIFRGEQGSQVLSLSAYPFEARSPIEELHELCRPFFCKSQPLSLQASSPLSSLSSYSSAPPKTVSSQEKQHQQRNKQPLSVTTPRSKRRKNQLKKVCQVPFENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPTMFIVTYTAEHNHPAPTHRNSLAGSTRQKPLVPQTVTTEQDSEKSKSLTKPSSPATSGAEEETPAQVEKSESREEKEDVMDDEEEDEFVLSDMVLTDDFFESLDELSQLTAPSVVTGDCFSDPFSATAIPSWVASAGGCI >Vigun05g060600.1.v1.2 pep primary_assembly:ASM411807v1:5:5184180:5186136:-1 gene:Vigun05g060600.v1.2 transcript:Vigun05g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWDLHAVVRGCSTVTSSAVSSSSSSSSGFASCYFHPEGVSSSSSSSTFSIFRGEQGSQVLSLSAYPFEARSPIEELHELCRPFFCKSQPLSLQASSPLSSLSSYSSAPPKTVSSQEKQHQQRNKQPLSVTTPRSKRRKNQLKKVCQVPFENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPTMFIVTYTAEHNHPAPTHRNSLAGSTRQKPLVPQTVTTEQDSEKSKSLTKPSSPATSGAEEETPAQVEKSESREEKEDVMDDEEEDEFVLSDMVLTDDFFESLDELSQLTAPSVVTGDCFSDPFSATAIPSWVASAGGCI >Vigun09g258700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42412199:42417840:1 gene:Vigun09g258700.v1.2 transcript:Vigun09g258700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMAEAWFVKIACTIFVRSSSVDPFLGYFSKHLTPSLVYEVVNKLNIPNLGFKFVEFSRHKLHMSHPYLTYNLLLRSLCRSNLHSAAKLVYDWMRCDGQIPDNWLLGFLVSSYAAVGRIDISKELLADVQCNCNVGVNAAVYNDLFNVLIRQSKALDAVFLFRELVRLRYKPVTYTVNILMRGLCRAGEISEAFKLLEDIRSFGCLPDLITYNTLIHGLCRISEVDRARSLLKEVCLNGEFDPDVVSYTTIIWGYCKLGMIGEGTLLFDEMIRSGTLPNTYTFNAIIDGFGKLGDMASAQAMYEKMPVNGCPPDVATFTSLINGYFRVGKVHQAMDMWHKMNDKNICASLYTYSVLVSGLCNNNRLHEARDILALLNGKDIVAQPFIYNPVVDGYCKSGNVEEANKVVAEMEMNRCKPDKLTYTILIIGHCMKGRMLEAISIFDKMLAVGCAPDEITVNTLRSCLLKAGMAREAARVTEVIGQNLLCSTSSLKKSYNKSTHEDVPVAVIEVILQTSG >Vigun08g153900.1.v1.2 pep primary_assembly:ASM411807v1:8:32617132:32620604:1 gene:Vigun08g153900.v1.2 transcript:Vigun08g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHPKGMERIESLHTNMVQSVISSKVAADTLVHSYKSFNGFVARLTKEESERMKGMDDVVSVIPNRVHSIQTSRSWDFIDFPENVQRSKVESNIIVGVLDTGIWPNSSSFTDGAKYFRIDGDFDKEDIISPIDTIGHGSHTASTAAGNSVKNANLFGLGAGTARGGFPSARIAMYKVCWKSGCKTADILAGFDAAIIDGVDIISVSMGPSDVTFINYFEDEFAIGAFHAMKRGILTSKSAGNAGPKPSTTTNTAPWMISVAATTIDRKFFTKLHLGNGQMFQGTGLLIPSIDSSMMGCWTSVTDEGMLTKVKRKIVLCDGYSSSPSVGFASGAAGLIFSSTLSLLTGAIFALPAIHISASDGSSVSSYLKSTRNPEATIFKSFEEKDSSAPYVAAFSSRGPNIVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIISGTSMACPHVTGAAAYVKSNHPNWSPAAIKSALMTTATPMNPARNPDAEFAYGAGQINPLKAANPGVVYDAGENDYIRFLCGQGYNSSSLQKVTGDNSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKARSPVVVYVP >Vigun08g136500.2.v1.2 pep primary_assembly:ASM411807v1:8:30853531:30864126:-1 gene:Vigun08g136500.v1.2 transcript:Vigun08g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIATKIAEYAVFPILDHAQYLCCFNKFALKLPIAKEQLELTRDSVKERIKEAINKTEKVEPSVEKWVKDVEKVLEEVKMLEERISSVSKSYFRRQCKYSLVKEIETKTTEMIQLVGNSKFEPFSRITELPGMKYYSSDDFFMFNSTEESYKQLIEALKNKSVSMIGLVGLGGSGKTTLATEVGKKAEEMKLFEKVVMATVSQPLKIRSIQDQIVDQLGFKLIEESDIGRAQRLSERLRKGTTLVILDDVWEKVNFQALGIPLDASNKTCCIFITTRTREVCTFMQCQSIVELNLLSDEEAWTLFRNYANITDDSSEGLKGVARKIVNECKGLPIAIVTVGSTLKDKTIANFELALSRLENSKPLDVTKGFTSPYDCLELSYNNLTNPLAQSLLLLCSMFPEDCEIDLEDLFRFGRGFDTIGRFGTMENARREMDEAIDMLKNCFLLMHVKEKKRVKMHDLVRDVALWIASKSGQAIFTRTEVDPKELADDEVMKDMKAIVVWGLNSYHLLNYKINCQILEILLLSFNVSGGVKVLDGCLQSLEKLKTLAIINLAEWNKGPLPLQESLKSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGMVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVPFSRLQRYVIMSGYFFSHKHHFCRGDLMIMKKYAQSRSLLIDGFDVGAQNFISSPIKDLFIRAEYLNLRNLEGDYKNIIPSMDPQGMNELIALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTLVYLSLVGLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMRSKLCNLKVLTISECPMLTSLFTPSVVQTLELLEVLQIDECNSLRHIIEEENDVLPSTQSHFSLTLQKLRTLKISSCHNLEYIFSVFLVEGLVSLERVRIQWNEKLKYVFGSEKEHNLAGYPSFQHTNSERNLPNLKSMELRSLPNLIDIWPEYCRAHLPSLNDLYFKGCPKLSNSSIHKVMTVSDIQQHKTPTENDILWLISNTLKQLEDDPLSNPQLKVFLKFRSLWLSDLRIKGIFKFQMGEEGGTTQPLPLNLDMTWLKLLNLAELNFIWKGPTAFLSFQNLRYITVKECPKLITIFSSTVVTSLPQLTYLDISTCDELEQIFDLGDGQQLKTLSSTQQLCFPTLSSITLEHCHKLKYIFYNLSVSHFTSVKKLVIKNCSQLHKAFGFEHEADDDGLEEMGKDGKQLLLHNLKDITLLFLPNFQEIHHGFKLKEDVKQIIAECPKYSPSLYLHPDM >Vigun08g136500.3.v1.2 pep primary_assembly:ASM411807v1:8:30853531:30864126:-1 gene:Vigun08g136500.v1.2 transcript:Vigun08g136500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIATKIAEYAVFPILDHAQYLCCFNKFALKLPIAKEQLELTRDSVKERIKEAINKTEKVEPSVEKWVKDVEKVLEEVKMLEERISSVSKSYFRRQCKYSLVKEIETKTTEMIQLVGNSKFEPFSRITELPGMKYYSSDDFFMFNSTEESYKQLIEALKNKSVSMIGLVGLGGSGKTTLATEVGKKAEEMKLFEKVVMATVSQPLKIRSIQDQIVDQLGFKLIEESDIGRAQRLSERLRKGTTLVILDDVWEKVNFQALGIPLDASNKTCCIFITTRTREVCTFMQCQSIVELNLLSDEEAWTLFRNYANITDDSSEGLKGVARKIVNECKGLPIAIVTVGSTLKDKTIANFELALSRLENSKPLDVTKGFTSPYDCLELSYNNLTNPLAQSLLLLCSMFPEDCEIDLEDLFRFGRGFDTIGRFGTMENARREMDEAIDMLKNCFLLMHVKEKKRVKMHDLVRDVALWIASKSGQAIFTRTEVDPKELADDEVMKDMKAIVVWGLNSYHLLNYKINCQILEILLLSFNVSGGVKVLDGCLQSLEKLKTLAIINLAEWNKGPLPLQESLKSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGMVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVPFSRLQRYVIMSGYFFSHKHHFCRGDLMIMKKYAQSRSLLIDGFDVGAQNFISSPIKDLFIRAEYLNLRNLEGDYKNIIPSMDPQGMNELIALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTLVYLSLVGLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMRSKLCNLKVLTISECPMLTSLFTPSVVQTLELLEVLQIDECNSLRHIIEEENDVLPSTQSHFSLTLQKLRTLKISSCHNLEYIFSVFLVEGLVSLERVRIQWNEKLKYVFGSEKEHNLAGYPSFQHTNSERNLPNLKSMELRSLPNLIDIWPEYCRAHLPSLNDLYFKGCPKLSNSSIHKVMTVSDIQQHKTPTENDILWLISNTLKQLEDDPLSNPQLKVFLKFRSLWLSDLRIKGIFKFQMGEEGGTTQPLPLNLDMTWLKLLNLAELNFIWKGPTAFLSFQNLRYITVKECPKLITIFSSTVVTSLPQLTYLDISTCDELEQIFDLGDGQQLKTLSSTQQLCFPTLSSITLEHCHKLKYIFYNLSVSHFTSVKKLVIKNCSQLHKAFGFEHEADDDGLEEMGKDGKQLLLHNLKDITLLFLPNFQEIHHGFKLKEDVKQIIAECPKYSPSLYLHPDM >Vigun08g136500.4.v1.2 pep primary_assembly:ASM411807v1:8:30853531:30864126:-1 gene:Vigun08g136500.v1.2 transcript:Vigun08g136500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIATKIAEYAVFPILDHAQYLCCFNKFALKLPIAKEQLELTRDSVKERIKEAINKTEKVEPSVEKWVKDVEKVLEEVKMLEERISSVSKSYFRRQCKYSLVKEIETKTTEMIQLVGNSKFEPFSRITELPGMKYYSSDDFFMFNSTEESYKQLIEALKNKSVSMIGLVGLGGSGKTTLATEVGKKAEEMKLFEKVVMATVSQPLKIRSIQDQIVDQLGFKLIEESDIGRAQRLSERLRKGTTLVILDDVWEKVNFQALGIPLDASNKTCCIFITTRTREVCTFMQCQSIVELNLLSDEEAWTLFRNYANITDDSSEGLKGVARKIVNECKGLPIAIVTVGSTLKDKTIANFELALSRLENSKPLDVTKGFTSPYDCLELSYNNLTNPLAQSLLLLCSMFPEDCEIDLEDLFRFGRGFDTIGRFGTMENARREMDEAIDMLKNCFLLMHVKEKKRVKMHDLVRDVALWIASKSGQAIFTRTEVDPKELADDEVMKDMKAIVVWGLNSYHLLNYKINCQILEILLLSFNVSGGVKVLDGCLQSLEKLKTLAIINLAEWNKGPLPLQESLKSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGMVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVPFSRLQRYVIMSGYFFSHKHHFCRGDLMIMKKYAQSRSLLIDGFDVGAQNFISSPIKDLFIRAEYLNLRNLEGDYKNIIPSMDPQGMNELIALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTLVYLSLVGLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMRSKLCNLKVLTISECPMLTSLFTPSVVQTLELLEVLQIDECNSLRHIIEEENDVLPSTQSHFSLTLQKLRTLKISSCHNLEYIFSVFLVEGLVSLERVRIQWNEKLKYVFGSEKEHNLAGYPSFQHTNSERNLPNLKSMELRSLPNLIDIWPEYCRAHLPSLNDLYFKGCPKLSNSSIHKVMTVSDIQQHKTPTENDILWLISNTLKQLEDDPLSNPQLKVFLKFRSLWLSDLRIKGIFKFQMGEEGGTTQPLPLNLDMTWLKLLNLAELNFIWKGPTAFLSFQNLRYITVKECPKLITIFSSTVVTSLPQLTYLDISTCDELEQIFDLGDGQQLKTLSSTQQLCFPTLSSITLEHCHKLKYIFYNLSVSHFTSVKKLVIKNCSQLHKAFGFEHEADDDGLEEMGKDGKQLLLHNLKDITLLFLPNFQEIHHGFKLKEDVKQIIAECPKYSPSLYLHPDM >Vigun08g136500.1.v1.2 pep primary_assembly:ASM411807v1:8:30853531:30864126:-1 gene:Vigun08g136500.v1.2 transcript:Vigun08g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIATKIAEYAVFPILDHAQYLCCFNKFALKLPIAKEQLELTRDSVKERIKEAINKTEKVEPSVEKWVKDVEKVLEEVKMLEERISSVSKSYFRRQCKYSLVKEIETKTTEMIQLVGNSKFEPFSRITELPGMKYYSSDDFFMFNSTEESYKQLIEALKNKSVSMIGLVGLGGSGKTTLATEVGKKAEEMKLFEKVVMATVSQPLKIRSIQDQIVDQLGFKLIEESDIGRAQRLSERLRKGTTLVILDDVWEKVNFQALGIPLDASNKTCCIFITTRTREVCTFMQCQSIVELNLLSDEEAWTLFRNYANITDDSSEGLKGVARKIVNECKGLPIAIVTVGSTLKDKTIANFELALSRLENSKPLDVTKGFTSPYDCLELSYNNLTNPLAQSLLLLCSMFPEDCEIDLEDLFRFGRGFDTIGRFGTMENARREMDEAIDMLKNCFLLMHVKEKKRVKMHDLVRDVALWIASKSGQAIFTRTEVDPKELADDEVMKDMKAIVVWGLNSYHLLNYKINCQILEILLLSFNVSGGVKVLDGCLQSLEKLKTLAIINLAEWNKGPLPLQESLKSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGMVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVPFSRLQRYVIMSGYFFSHKHHFCRGDLMIMKKYAQSRSLLIDGFDVGAQNFISSPIKDLFIRAEYLNLRNLEGDYKNIIPSMDPQGMNELIALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTLVYLSLVGLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMRSKLCNLKVLTISECPMLTSLFTPSVVQTLELLEVLQIDECNSLRHIIEEENDVLPSTQSHFSLTLQKLRTLKISSCHNLEYIFSVFLVEGLVSLERVRIQWNEKLKYVFGSEKEHNLAGYPSFQHTNSERNLPNLKSMELRSLPNLIDIWPEYCRAHLPSLNDLYFKGCPKLSNSSIHKVMTVSDIQQHKTPTENDILWLISNTLKQLEDDPLSNPQLKVFLKFRSLWLSDLRIKGIFKFQMGEEGGTTQPLPLNLDMTWLKLLNLAELNFIWKGPTAFLSFQNLRYITVKECPKLITIFSSTVVTSLPQLTYLDISTCDELEQIFDLGDGQQLKTLSSTQQLCFPTLSSITLEHCHKLKYIFYNLSVSHFTSVKKLVIKNCSQLHKAFGFEHEADDDGLEEMGKDGKQLLLHNLKDITLLFLPNFQEIHHGFKLKEDVKQIIAECPKYSPSLYLHPGDT >Vigun04g095500.1.v1.2 pep primary_assembly:ASM411807v1:4:19940722:19942876:-1 gene:Vigun04g095500.v1.2 transcript:Vigun04g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNGPSSFKVGFRKSLFSFFTMTSLLFVLSWFFVMQSTYRPNPMEYNLMPNSKHLSALDESEYEMLKQNVQSSFGNIKLVKHGGKCHQNDELVIKVYMYDLPPEFHFGLLDWKPNGSSVWPDVRNNIPGYPGGLNLQHSIEFWLTLDILASEFPQAPKARTVIRVKNSSEADIIYVPFFSSLSYNRYSKGKKKEKKNGNKILQEKLVKHLTTQEQWKRSGGKDHLILAHHPNSMLEARMKLWPATFILSDFGRYPPNIANVEKDVIAPYKHLIPSYLNDNSTFDSRPTLLYFQGAIYRKDGGLARQELFYLLKNEKDVHFSFGSIQKDGIKKATEGMRASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDAIELPYEDVIDYSEFCIFVPTVDAIKENFLINFIRGISKQEWTRMWNMLKEIEHFFEFHFPSKENDAVQMIWQAVARKVPAIRLKLNRHGRFSRSPPSTDKGLMSIPTPRNFW >Vigun08g071450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11598960:11599415:1 gene:Vigun08g071450.v1.2 transcript:Vigun08g071450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHQHLTYSGRPGTPATTPTTINDHLHRCITTPPRRLLTPETSPSFPAAQRLPFAHASNPRYRLPAATTQRRRQRRHHRPRREPRIRDLHSPSSQARLERPLLRRPKPLPTMLSSPRPRPPSQRRCWELGTPLEILVQFPSPRVNAAQP >Vigun01g205700.1.v1.2 pep primary_assembly:ASM411807v1:1:38147117:38151679:1 gene:Vigun01g205700.v1.2 transcript:Vigun01g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDAKEEQRPLNQWKRRKGGFMASMFIFVLSALDNMGFVANMVSIVLYFYGVMHFDLASSANTLTNFMGSTYLLSLVGGFISDTYLNRFTTCLLFGSLEVLALAMLTVQAASNHLHPEACGKSSCVKGGIAGMFYTSLCLLALGMGGVRGSMTAFGADQFDEKEPTEAKALASFFNWLLLSSTVGAITGVTGVVWVSTQKSWHWGFFIITIASSVGFVTLALGKPFYRIKTPGDSPTLRIAQVIVVAFKNRKLSLPESHGELYEISDKDATTEKIAHTNQMRFLDKAAIIQENSKPQAWKVCTVTQVEEVKILTRMLPIVASTIILNTCMAQLQTFSVQQGNIMNLKLGSLTVPAPSIPVIPLVFISVLVPLYELFFVPIARKITHHPSGITQLQRVGVGLVLSAISMAVAGIVEVKRREQGRKDPSRPISLFWLSFQYGIFGIADMFTLVGLLEFFYRESPSNMKSLSTSFTWLSTALGYFLSTVFVNVINAVTRRITPSKQGWLHGFDLNQNNLNLFYWFLATLSCLNFFNYLYWASKYKYKCEDSGPGFKALGEMPLKRVERKEDYGSGRE >Vigun01g221100.2.v1.2 pep primary_assembly:ASM411807v1:1:39477456:39483488:1 gene:Vigun01g221100.v1.2 transcript:Vigun01g221100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGSEAMQVEKEMLVYMWGYLPGALPQRTPLLTPVLVRVPPSGYSWSDVCGGGCGFAMAISEPGKLITWGSSDDLGQSYVTSGKHGETPEPFPLPTEVTIVKAASGWAHCVAVTDCGEVYTWGWRECVPSGKVFGESSTGVKPEKDVPARQSSFLTEQRTASSTSGEESTKRRRVSSSKQTAETSSSSDENLTALPCLVTLNPGIRIASVAAGGRHTLALSDIGQVWGWGYGGEDMSLSLARGSMSSDGQNFRVPGSYIKAIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRTEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETIPRLLDCPSLENVNVKRISCGARHSALVTDTGKVFCWGWNKYGQLGLGDVIDRNIPSEVTLEGCVAKNVACGWWHTLLLAESPI >Vigun01g221100.1.v1.2 pep primary_assembly:ASM411807v1:1:39477419:39483507:1 gene:Vigun01g221100.v1.2 transcript:Vigun01g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGSEAMQVEKEMLVYMWGYLPGALPQRTPLLTPVLVRVPPSGYSWSDVCGGGCGFAMAISEPGKLITWGSSDDLGQSYVTSGKHGETPEPFPLPTEVTIVKAASGWAHCVAVTDCGEVYTWGWRECVPSGKVFGESSTGVKPEKDVPARQSSFLTEQRTASSTSGEESTKRRRVSSSKQTAETSSSSDENLTALPCLVTLNPGIRIASVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHLVPCINTSSYGKDMSLSLARGSMSSDGQNFRVPGSYIKAIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRTEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETIPRLLDCPSLENVNVKRISCGARHSALVTDTGKVFCWGWNKYGQLGLGDVIDRNIPSEVTLEGCVAKNVACGWWHTLLLAESPI >Vigun01g221100.5.v1.2 pep primary_assembly:ASM411807v1:1:39477600:39483489:1 gene:Vigun01g221100.v1.2 transcript:Vigun01g221100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGSEAMQVEKEMLVYMWGYLPGALPQRTPLLTPVLVRVPPSGYSWSDVCGGGCGFAMAISEPGKLITWGSSDDLGQSYVTSGKHGETPEPFPLPTEVTIVKAASGWAHCVAVTDCGEVYTWGWRECVPSGKVFGESSTGVKPEKDVPARQSSFLTEQRTASSTSGEESTKRRRVSSSKQTAETSSSSDENLTALPCLVTLNPGIRIASVAAGGRHTLALSDIGQVWGWGYGGEDMSLSLARGSMSSDGQNFRVPGSYIKAIACGGRHSAVITDAGAVLTFGWGLYGQVIKCGQGSTDDELSPTCVSSLLGIRTEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETIPRLLDCPSLENVNVKRISCGARHSALVTDTGKVFCWGWNKYGQLGLGDVIDRNIPSEVTLEGCVAKNVACGWWHTLLLAESPI >Vigun01g221100.4.v1.2 pep primary_assembly:ASM411807v1:1:39477506:39483488:1 gene:Vigun01g221100.v1.2 transcript:Vigun01g221100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGSEAMQVEKEMLVYMWGYLPGALPQRTPLLTPVLVRVPPSGYSWSDVCGGGCGFAMAISEPGKLITWGSSDDLGQSYVTSGKHGETPEPFPLPTEVTIVKAASGWAHCVAVTDCGEVYTWGWRECVPSGKVFGESSTGVKPEKDVPARQSSFLTEQRTASSTSGEESTKRRRVSSSKQTAETSSSSDENLTALPCLVTLNPGIRIASVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHLVPCINTSSYGKDMSLSLARGSMSSDGQNFRVPGSYIKAIACGGRHSAVITDAGAVLTFGWGLYGQVIKCGQGSTDDELSPTCVSSLLGIRTEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETIPRLLDCPSLENVNVKRISCGARHSALVTDTGKVFCWGWNKYGQLGLGDVIDRNIPSEVTLEGCVAKNVACGWWHTLLLAESPI >Vigun01g221100.3.v1.2 pep primary_assembly:ASM411807v1:1:39477600:39483451:1 gene:Vigun01g221100.v1.2 transcript:Vigun01g221100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGSEAMQVEKEMLVYMWGYLPGALPQRTPLLTPVLVRVPPSGYSWSDVCGGGCGFAMAISEPGKLITWGSSDDLGQSYVTSGKHGETPEPFPLPTEVTIVKAASGWAHCVAVTDCGEVYTWGWRECVPSGKVFGESSTGVKPEKDVPARQSSFLTEQISPHSQGSKSTAGTASSTSGEESTKRRRVSSSKQTAETSSSSDENLTALPCLVTLNPGIRIASVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHLVPCINTSSYGKDMSLSLARGSMSSDGQNFRVPGSYIKAIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRTEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETIPRLLDCPSLENVNVKRISCGARHSALVTDTGKVFCWGWNKYGQLGLGDVIDRNIPSEVTLEGCVAKNVACGWWHTLLLAESPI >Vigun06g003300.1.v1.2 pep primary_assembly:ASM411807v1:6:1501973:1504433:1 gene:Vigun06g003300.v1.2 transcript:Vigun06g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNDFWKFSDQLRLESGLANLSLNDFSIWSNSYSSKRPDQRRNFDVSSTDLINNNNNNNSSKSHDFNDGWNITNSNGTLFSVPQNNNNLGLGGFNKGGIYSNNNTTSSYPNLNNNTLGGFNKGIYSNTPSSPYLNLNLNSNNNNHHLNTNLKGYKTFFKAEDQFHTPKTSKKNNNNTTNKKHGDNNTNNDATKTASEKKFKTLPPAESLPKNETIGGYIFVCNNDTMAENLKRQLFGLPPRYRDSVRTITPGLPLFLYNYSTHQLHGIFEAAGFGGTNIDPVAWEDKKCPGESRFPAQVQVITRKVCEPLEEDSFRPILHHYDGPKFRLELSVPEALSLLDIFADQNSFDDIFKAIPA >Vigun10g068000.1.v1.2 pep primary_assembly:ASM411807v1:10:15909457:15918145:-1 gene:Vigun10g068000.v1.2 transcript:Vigun10g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFLFLCLSLPLFFSFFLRYLKTLNNPSLPPGPRGLPIIGNLYQLNNSSLYLQLWQLSKKYGPIFSLKLGLRSAIVVSSPKLAKEVMKDHDLEFCGRPRLLGQQKLSYNGTDIVFCPYNSNWREIRKLCVVHILSSIRVSNFSSIRHFEVKQMIRKISMHASSSKVTNLSDALMSLTSTIICRIAFGRRYEDEGIERSKFHGLLNECQAMMGMFFFSDYIPFLGWIDRITGLRARLEQNFKELDTFYQEVIDEHMDPNRNTPENEDLIDVLLQLKKQRSFSVDLENDHIKAVFMNVLVGATDTTAATTIWAMTLLLKNPRVMKKVQEEIRNLAGEKDFLSEDDVQKLPYFKAVIKETLRLHLPAPLLVPRETNEACNLEGYVIPAKTIVYVNGWAIHRDPNNWKDPDEFLPERFLGNTIDFRGQDFEFIPFGAGRRICPGIIMAIASLDIILANLLMSFDWELPAGMTKEDIDTDVLPGITQHKKNPLCVLAKFRV >Vigun02g174100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31670251:31671141:-1 gene:Vigun02g174100.v1.2 transcript:Vigun02g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPEGKALELLPAKHVSSADDAMHVGSAAGFAPCTTANAAEAEVPKLFGVSIGLKRCRTECETEPEGEEQNQMQTRVQTQPQTQTQSSQEPDGGPDVKSEPLDGDESDDQDPRWLELGK >Vigun03g113900.1.v1.2 pep primary_assembly:ASM411807v1:3:10439120:10442428:-1 gene:Vigun03g113900.v1.2 transcript:Vigun03g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGALFVGFFFLSCVVPKTSPTCTFPAIYNFGDSNSDTGGISASFVPIPAPYGEGFFHKPSGRDCDGRLIVDFIAEKLNLPYLSAYLNSLGTNYRHGANFATGGSTIRKQNETIFQYGISPFSLDIQIVQFNQFKARTKQLYEEAKTPLERSKLPVPEEFSKALYTFDIGQNDLSVGFRKMNFDQIRESMPDIVNQLANAVKNIYDQGGRSFWIHNTSPFGCMPVQLFYKHNIPDGYLDEYGCVKDQNEMATEFNKHLKDRIIKLRTELPEAAITYVDVYAAKYALISNTKTEGFVDPMKICCGYHVNDTHIWCGNLGSANGKDVFGSACENPSQYISWDSVHYAEAANHWVANRILNGSFTDPPTPIIQACYKKTKL >Vigun09g080300.1.v1.2 pep primary_assembly:ASM411807v1:9:9478328:9481776:-1 gene:Vigun09g080300.v1.2 transcript:Vigun09g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSFSTFVEQEMGRFTHFVIYVLLEWVLIFILFLDGFLAFAANEYARFFELHIPCWLCTRFDHVLVHRNHDFYYNESVCEAHKKDMSSLAFCHNHKKLSDIRKMCEGCLLSFATEKESDCDTYKSLVGILHKDLECFVQDGQPIQLSLKDDGFMQVDRLNNQRCSCCGEPLKMRTTNAKVKHSSSFARAPNPSPRAFPFSSKNDDSHSLELPHMRYKELKFMSYHDSELQEDDFNINSPNVKLRDDHKSISMPPVLSELDDLNDESSKFTPTFTRGNKFFGIPLTDSANNSPRWTYRISRKSPLEKTEFASESNEVTQSDFDDAVLSNLNRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDEEALQASTEEVQALQAELEVYKKQYGCLAEGVISSLRNSSSFRFNEGNDNQVASSQTENGGIRHSESVKAFKAERAHLLGRIKKVESRNPFEESGVYSSLLSSSDSVNNLDNEKGKGGEIFLPKELSFLAERVKALEANSGFLDLVSNKEEKDGEGTKILTEISQNLEKLSHLVMNSSEVDSA >Vigun06g089300.2.v1.2 pep primary_assembly:ASM411807v1:6:22141184:22144223:-1 gene:Vigun06g089300.v1.2 transcript:Vigun06g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNRLSLAMERTGQWVFSQDIPTDVIVQVGETTFSLHKFMLVAKSNYIRKLILESNESELTRIDLSDMPGGPAIFEKTAKFCYGVNFEITVNNVAVLRCAAEFLEMTDQFCENNLAGRTEEFLTKVAFFTLTGAVTVLKSCRNLLPYADDINIVKRCVEAVSAKACSEANFPSCSPPNWWTEELAVLDMDFFTRVIAAMKQRGAKTLTVAAALITYAERALRDLVRDHTGNGIRSSDSGDSDSRSKQRKLLESIVDLFPAEKGAFPIHFLCCILRCAIHLRASTACKTELEKRISSTFEHVTVDDLLVLSFTYDGERLFDLESVRRIISGFAENQRSNGVFTTGDSKEPCSSAMQRVAKTVDAYLGEIAEHGDLSISKFNGIAVLIPKSARKVDDDLYRAVDIYLKAHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLRLRSGGEEREVAKEKNELHMDVSLVRENEELRTELLKMKMYISDLQNKNVNNDENTQGNGTTSSGTTTTTTKKTTFFSSVSKTLGKLNPFRNGSKDTTHLEDGNVDLSKPRRRRFSVS >Vigun06g089300.3.v1.2 pep primary_assembly:ASM411807v1:6:22141184:22144223:-1 gene:Vigun06g089300.v1.2 transcript:Vigun06g089300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKSNYIRKLILESNESELTRIDLSDMPGGPAIFEKTAKFCYGVNFEITVNNVAVLRCAAEFLEMTDQFCENNLAGRTEEFLTKVAFFTLTGAVTVLKSCRNLLPYADDINIVKRCVEAVSAKACSEANFPSCSPPNWWTEELAVLDMDFFTRVIAAMKQRGAKTLTVAAALITYAERALRDLVRDHTGNGIRSSDSGDSDSRSKQRKLLESIVDLFPAEKGAFPIHFLCCILRCAIHLRASTACKTELEKRISSTFEHVTVDDLLVLSFTYDGERLFDLESVRRIISGFAENQRSNGVFTTGDSKEPCSSAMQRVAKTVDAYLGEIAEHGDLSISKFNGIAVLIPKSARKVDDDLYRAVDIYLKQAHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLRLRSGGEEREVAKEKNELHMDVSLVRENEELRTELLKMKMYISDLQNKNVNNDENTQGNGTTSSGTTTTTTKKTTFFSSVSKTLGKLNPFRNGSKDTTHLEDGNVDLSKPRRRRFSVS >Vigun06g089300.1.v1.2 pep primary_assembly:ASM411807v1:6:22141184:22144223:-1 gene:Vigun06g089300.v1.2 transcript:Vigun06g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNRLSLAMERTGQWVFSQDIPTDVIVQVGETTFSLHKFMLVAKSNYIRKLILESNESELTRIDLSDMPGGPAIFEKTAKFCYGVNFEITVNNVAVLRCAAEFLEMTDQFCENNLAGRTEEFLTKVAFFTLTGAVTVLKSCRNLLPYADDINIVKRCVEAVSAKACSEANFPSCSPPNWWTEELAVLDMDFFTRVIAAMKQRGAKTLTVAAALITYAERALRDLVRDHTGNGIRSSDSGDSDSRSKQRKLLESIVDLFPAEKGAFPIHFLCCILRCAIHLRASTACKTELEKRISSTFEHVTVDDLLVLSFTYDGERLFDLESVRRIISGFAENQRSNGVFTTGDSKEPCSSAMQRVAKTVDAYLGEIAEHGDLSISKFNGIAVLIPKSARKVDDDLYRAVDIYLKQAHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLRLRSGGEEREVAKEKNELHMDVSLVRENEELRTELLKMKMYISDLQNKNVNNDENTQGNGTTSSGTTTTTTKKTTFFSSVSKTLGKLNPFRNGSKDTTHLEDGNVDLSKPRRRRFSVS >Vigun06g089300.4.v1.2 pep primary_assembly:ASM411807v1:6:22141184:22144223:-1 gene:Vigun06g089300.v1.2 transcript:Vigun06g089300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKSNYIRKLILESNESELTRIDLSDMPGGPAIFEKTAKFCYGVNFEITVNNVAVLRCAAEFLEMTDQFCENNLAGRTEEFLTKVAFFTLTGAVTVLKSCRNLLPYADDINIVKRCVEAVSAKACSEANFPSCSPPNWWTEELAVLDMDFFTRVIAAMKQRGAKTLTVAAALITYAERALRDLVRDHTGNGIRSSDSGDSDSRSKQRKLLESIVDLFPAEKGAFPIHFLCCILRCAIHLRASTACKTELEKRISSTFEHVTVDDLLVLSFTYDGERLFDLESVRRIISGFAENQRSNGVFTTGDSKEPCSSAMQRVAKTVDAYLGEIAEHGDLSISKFNGIAVLIPKSARKVDDDLYRAVDIYLKAHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLRLRSGGEEREVAKEKNELHMDVSLVRENEELRTELLKMKMYISDLQNKNVNNDENTQGNGTTSSGTTTTTTKKTTFFSSVSKTLGKLNPFRNGSKDTTHLEDGNVDLSKPRRRRFSVS >Vigun08g120200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28852062:28855394:1 gene:Vigun08g120200.v1.2 transcript:Vigun08g120200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSKGKPSEMGLAMEDDCRKEEMHHRISALLEFSAADDLIGFKDAVEKEGHDVDGVGLWYGRRAGSKKIRYEERTALMVAATFGSVDVSAYIIGTGLVDVNRASRSDGATALHCAVAGGSAASVEVVKLLLDASADVGAVDADGNRPIDLIVSVAYSIFSPRARVLQALLDGTCDAAAAADQTCFSPPQVMDQIEEQRQEMTTPRVSKDYPIDLSLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCSKGDACEYAHGIFECWLHPAQYRTRLCKDESGCTRRVCFFAHKPEELRPLYASTGSAIPSPRSYSAGASALEMGAVSPIALGSPSVLIPTSSTPPMTPSGGSSPITGSIWSQSNVSVPTLQLPKSRLQTASTARDIDLDFELLGLEAHRRRQQLMMDDMSGLSSPNWKNSMPNSPSFRVSMSDHIGELNRLSGVKPSNLEDAFGSLEPSILSKFHGISLDAAGPQLQSPSGIQMRSNMNQQLGGYSSGLATSNMVGSRSFRVDPSAEGASVALNPRAAAFAKRSHSFIERSMVNHHSELPSPKVSTFSNWGSPDGKLDWAINGDELNKLRKSASFGFRSSNSPPTKDSTKMPANVDDEPDVSWVNSLVKDASPESGVEDQPKQCHNGTEAIPAWLEQMYLDQEQMVA >Vigun08g120200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28852142:28855304:1 gene:Vigun08g120200.v1.2 transcript:Vigun08g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSKGKPSEMGLAMEDDCRKEEMHHRISALLEFSAADDLIGFKDAVEKEGHDVDGVGLWYGRRAGSKKIRYEERTALMVAATFGSVDVSAYIIGTGLVDVNRASRSDGATALHCAVAGGSAASVEVVKLLLDASADVGAVDADGNRPIDLIVSVAYSIFSPRARVLQALLDGTCDAAAAADQTCFSPPQVMDQIEEQRQEMTTPRVSKDYPIDLSLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCSKGDACEYAHGIFECWLHPAQYRTRLCKDESGCTRRVCFFAHKPEELRPLYASTGSAIPSPRSYSAGASALEMGAVSPIALGSPSVLIPTSSTPPMTPSGGSSPITGSIWSQSNVSVPTLQLPKSRLQTASTARDIDLDFELLGLEAHRRRQQLMMDDMSGLSSPNWKNSMPNSPSFRVSMSDHIGELNRLSGVKPSNLEDAFGSLEPSILSKFHGISLDAAGPQLQSPSGIQMRSNMNQQLGGYSSGLATSNMVGSRSFRVDPSAEGASVALNPRAAAFAKRSHSFIERSMVNHHSELPSPKVSTFSNWGSPDGKLDWAINGDELNKLRKSASFGFRSSNSPPTKDSTKMPANVDDEPDVSWVNSLVKDASPESGVEDQPKQCHNGTEAIPAWLEQMYLDQEQMVA >Vigun07g034700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3330621:3332168:1 gene:Vigun07g034700.v1.2 transcript:Vigun07g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGKILPLQTQVLVVLIPFPAQGHLNQLLHLSHLISSHDIPVHYVGTVTHIRQATLRHQNSTSNIHFHAFQVPPFVSPPPNPNNQETDFPSHLIPSFEASTHLRETVGKLLQSLSSKAKRVIVIHDSLMASVAQDATNMPNVENYTFHSTCAFTVFVFFWERMGRPPLEGFRVPEIPVFEGCFATQFIGFIIAQYELHKFNDGNVYNTSRVIEDPFLELLERIGGDKKVWALGPFNPLSIEKKDSIGFRHSCMEWLDKQEQSSVIYISFGTTTTLTDEQIQEIAIGLEQSEQKFIWVLRDADKGDIFDEKEAKIHDLLNGFEERVKGMGVVVRDWAPQLEILRHPSTGGFMSHCGWNSCLESITMGVPIAAWPMHSDQPKNAVLITEVLKVGLVVKDWARRKALVSASDVENGVRRLMETKEGDEMRERAARLKNDIHRSMEEGGVSRIEMDSFIAHITK >Vigun08g021000.3.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842014:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.6.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842014:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.8.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842301:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSHSGSLSWQMMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.5.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842014:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.1.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842301:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.2.v1.2 pep primary_assembly:ASM411807v1:8:1838017:1842381:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.9.v1.2 pep primary_assembly:ASM411807v1:8:1838086:1842039:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQMMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.4.v1.2 pep primary_assembly:ASM411807v1:8:1838084:1842301:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun08g021000.7.v1.2 pep primary_assembly:ASM411807v1:8:1838103:1842301:-1 gene:Vigun08g021000.v1.2 transcript:Vigun08g021000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIIESEKLAVSYSASRTRQMMQGSSRIANKCGKASSRERKLALKQDVDRLKKKLRHEENIHRALERAFNRPLGALPRLPPYLPPCILALLAEVAVLEEEIVRLEEKVVHFRQDLYQEAVYMSSSMRKLEHSVSAPPKKSNSTMDSPKLEKLKFQSQTVGNSAASSATMPTTTLIEDKENQTCRNSSKSRNQSSNHMNKYPIKKLHNKSPQKRLDHPKIKQEPRLNGQQIADLRNHSTHKSSSEAERPNIISENIVKCLSSILLRMSAVKNPGSACDIPHLCDLRTKNCDEGTEFVDPYGICLEFGKRDIGPYKQLCEIDAKSFNPKRTANTLFLQHRLKLLFRKLASVNLENLNHQEKLAFWINIYNSCMMNAFIENGIPESPEMAVALMRKATINVGGHVLSATTIEHFILRLPYHWRFTFSKGTKNHEMTVRSMYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREFLQAAIGFSTSKFVLPKLLDWYLLNFAKDLESLLDWICLQLPSELGKQAIKLIEERKTEPLSQFVQIMPYEFSFRYLICT >Vigun07g257400.1.v1.2 pep primary_assembly:ASM411807v1:7:37468501:37474479:1 gene:Vigun07g257400.v1.2 transcript:Vigun07g257400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTASKGRSSSSSSSSRPNNPMLLPYLRRIIKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATLAYCAAYDHSTAHTLLVIFSVLFFHFILTGVFLATFCWFLTNSYLREEAPNSYVVEQRVEWMYAFDVHCNSFFPLFVLLYVIHYFVSPLLVAHGFIPVLLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGIVIVLSPILILSGFNPSRYFMNMYFSRQI >Vigun09g161800.1.v1.2 pep primary_assembly:ASM411807v1:9:32949787:32960404:-1 gene:Vigun09g161800.v1.2 transcript:Vigun09g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPECPVCLQSFDDRDAIPRVLSCGHSVCEVCLVELPQRYPDTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLSLQHFPSSSNRSHNKRSTVNSGYDHSSFWSPEFYDAWKNWILPRDAVLTDDHCHGRFSSSKGRVCFGVNRCVSLAPIVCFSPGNDSKFRFSYVAWVIKCLEGMSEAAREELAWILEASVRQSRVCRVFGLWSEGVEGPLYMVFERQSGNLLDKFGELRNGFVGGNEGGLELDTGGIFSFLTIGRGICEAVLSLHLEGLVAGCLGLSCFSFDELGGICVDLNEVLGMGRRLHAVFSKHEKEAMSKDCLENEIFVSPEVLYELLHKRRSGPDGGRFRYPIGYGSDVWSLARVLLWLLIGNVLPRNTLEMNEESDGDSTASYVCWVEKVSSVLEDKLGSEYLSLGKTLCKCLDVNPGNRPDVVDVRKCIQDMLVKPQFEILGNLEVTISKDSTGRCLVLGELSLLPKEWSDEPREFELREKQIGGQPNFAQDGQDKSDEDSAAGLSGGLTELKHLRGHLDFVSGLAVGGGYLFSSSFDKTVCVWSLKDLSPLHRFSGHENKIMALVCVDGKEPLCISGDSGGGIFIWGTAPFRRDPLRKWYEKQDWRFSGIHSLAVSKNYSLYTGSGDRTIKAWSLEDETLICTMTGHKSVVSTLAICDEVLYSGSWDGTVRLWSLNDHCPLTVLGEDRIADMHSILAITVDRHLLVAAHENGCIKVWRNDVFMKSKTLHNGAIFAMSMQGKCLYTGGSDTNVNIQELSGDEFELDVKPYGSIPYRSTVTTILYSQGKLYVGYTDKSIKVYQ >Vigun09g161800.2.v1.2 pep primary_assembly:ASM411807v1:9:32949787:32960406:-1 gene:Vigun09g161800.v1.2 transcript:Vigun09g161800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPECPVCLQSFDDRDAIPRVLSCGHSVCEVCLVELPQRYPDTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLSLQHFPSSSNRSHNKRSTVNSGYDHSSFWSPEFYDAWKNWILPRDAVLTDDHCHGRFSSSKGRVCFGVNRCVSLAPIVCFSPGNDSKFRFSYVAWVIKCLEGMSEAAREELAWILEASVRQSRVCRVFGLWSEGVEGPLYMVFERQSGNLLDKFGELRNGFVGGNEGGLELDTGGIFSFLTIGRGICEAVLSLHLEGLVAGCLGLSCFSFDELGGICVDLNEVLGMGRRLHAVFSKHEKEAMSKDCLENEIFVSPEVLYELLHKRRSGPDGGRFRYPIGYGSDVWSLARVLLWLLIGNVLPRNTLEMNEESDGDSTASYVCWVEKVSSVLEDKLGSEYLSLGKTLCKCLDVNPGNRPDVVDVRKCIQDMLVKPQFEILGNLEVTISKDSTGRCLVLGELSLLPKEWSDEPREFELREKQIGGQPNFAQDGQDKSDEDSAAGLSGGLTELKHLRGHLDFVSGLAVGGGYLFSSSFDKTVCVWSLKVWRNDVFMKSKTLHNGAIFAMSMQGKCLYTGGSDTNVNIQELSGDEFELDVKPYGSIPYRSTVTTILYSQGKLYVGYTDKSIKVYQ >Vigun09g161800.3.v1.2 pep primary_assembly:ASM411807v1:9:32958160:32960339:-1 gene:Vigun09g161800.v1.2 transcript:Vigun09g161800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPECPVCLQSFDDRDAIPRVLSCGHSVCEVCLVELPQRYPDTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLSLQHFPSSSNRSHNKRSTVNSGYDHSSFWSPEFYDAWKNWILPRDAVLTDDHCHGRFSSSKGRVCFGVNRCVSLAPIVCFSPGNDSKFRFSYVAWVIKCLEGMSEAAREELAWILEASVRQSRVCRVFGLWSEGVEGPLYMVFERQSGNLLDKFGELRNGFVGGNEGGLELDTGGIFSFLTIGRGICEAVLSLHLEGLVAGCLGLSCFSFDELGGICVDLNEVLGMGRRLHAVFSKHEKEAMSKDCLENEIFVSPEVLYELLHKRRSGPDGGRFRYPIGYGSDVWSLARVLLWLLIGNVLPRNTLEMNEESDGDSTASYVCWVEKVSSVLEDKLGSEYLSLGKTLCKCLDVNPGNRPDVVDVRKCIQDMLVKPQFEILGNLEVTISKDSTGRCLVLGELSLLPKEWSDEPREFELREKQIGGQPNFAQDGQDKSDEDSAAGLSGGLTELKHLRGHLDFVSGLAVGGGYLFSSSFDKTVCVWSLKVTILKQNDVSHSTRHIPNSMISFIIFA >Vigun03g215900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35875506:35876250:1 gene:Vigun03g215900.v1.2 transcript:Vigun03g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVVTIWFTIIISIISSIPLFVMLLKQSFKLASSIYTLFAMTNKDYNKRSICETSILVVANIIRLSSLRFCGQSFRKDLAPTKGKECSMSKIYKSEITQEMKRRIMKPPKEDPTILEDVDINAENYINHVRGKIRDSANIQH >Vigun09g071900.1.v1.2 pep primary_assembly:ASM411807v1:9:7905848:7912404:-1 gene:Vigun09g071900.v1.2 transcript:Vigun09g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLTGLPLVLQQFRALLKKNLLLSWRNKRASLLQLLSPLIFIFLIFAIDKAIKAQTSTSSAYKTVTDPPTQPSPPITPCEDKFFIKLPCYDFVWSGDQNPNFQTIVTRIMNNNPGRPIPPSKVKSFKDKTEVDAWLFSNPMSCPGALHFSQLNDTVISYGLQTNSTSLQWRGKYQDPTMSFQLPLQLAAEREIARHLIGDPSFSWNVFLREFAHPSMTPFSAVGTIGPAFFLAIAMFNFVLQISSLVTEKELKLRQSMTMMGLYDFAYWSSWLIWETVVTILSSLLIVLFGMMFQFSFFLKNSFAVLFIFFFLFELNMTGLAFMLSAFIKKSSSATTMGFSIFIVGFLTQLVVQAGFPYTNSFSKTLRNLWSLFPPNPFSQGIQVLSEAVDTSEDNGVSWSRRGECPHTDSDCVLTIDDIYKWLAATFVLWFVLAIYFDNIIPNASGVRKSIFYFLNPNYWMGRGGQKVKEGGLCSCVGSNPRQEISTPDDEDVLDEESKVKQQLAEGLVDANIAVQIHGLAKTYAGTRNIGCCCKCTKTAPYTAVKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGITPVTSGDALIYGHSIRSSIGMSNIRKLIGVCPQFDILWDALSGQEHLQLFATIKGLSPASIKSIIQASLSEVKLTDAAKVRAGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIENAKRGRAIVLTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANISFHGNNIERSPTNGDAISTEHREEVKKFFKNYLNVEPKEETNNFITFVIPHDREALLTNFFSELQDREEEFGISDIQLGLTTLEEVFLNIARQAELENATAEGRLTTLTLTSGESVQIPIGARFVGIPGTETEENPTGFMVEVYWEQDDTGTLCIAGHSQKVPSPHGLQLPSSPSARNRRYLNRPGPINGIVIDPSQVNPGDFQR >Vigun08g141200.1.v1.2 pep primary_assembly:ASM411807v1:8:31356401:31361798:1 gene:Vigun08g141200.v1.2 transcript:Vigun08g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASQTDVSVHSTFASRYVRTVLPRFKMGELSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRRWQNRRKEEGKPCDSPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYFVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLLEKNQATGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKMVMENCTDNMAVLKEGLEKTEKFTIVSKDIGVPLVAFTLKDHSHFNEFQISDLLRRYGWIVPAYTMPPDAEHVTVLRVVVREDFSRTLAERLVTDLTKVVRELELLPARVISSTVTTTAGEDGEVTEGKVLIAKKSELETQRAITSAWKKFVMEKKKTNGVC >Vigun08g141200.2.v1.2 pep primary_assembly:ASM411807v1:8:31359719:31361798:1 gene:Vigun08g141200.v1.2 transcript:Vigun08g141200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRRWQNRRKEEGKPCDSPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYFVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLLEKNQATGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKMVMENCTDNMAVLKEGLEKTEKFTIVSKDIGVPLVAFTLKDHSHFNEFQISDLLRRYGWIVPAYTMPPDAEHVTVLRVVVREDFSRTLAERLVTDLTKVVRELELLPARVISSTVTTTAGEDGEVTEGKVLIAKKSELETQRAITSAWKKFVMEKKKTNGVC >Vigun09g198700.1.v1.2 pep primary_assembly:ASM411807v1:9:37352887:37353881:-1 gene:Vigun09g198700.v1.2 transcript:Vigun09g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLTFAVAAPSLSLPSTPSLTKLHNRVLHIKPLNCTPIHQQHVDADAAIMCEPCNGKGWLVCDFCKGQKTNIKAENKRIYRRCPSCKAVGYVLCSNCKVFKCVTFPNFEDSQN >Vigun09g198700.2.v1.2 pep primary_assembly:ASM411807v1:9:37352887:37353881:-1 gene:Vigun09g198700.v1.2 transcript:Vigun09g198700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLTFAVAAPSLSLPSTPSLTKLHNRVLHIKPLNCTPIHQQHVDADAAIMCEPCNGKGWLVCDFCKGQKTNIKAENKRIYRRCPSCKAKTSGWVCLVF >Vigun04g154920.1.v1.2 pep primary_assembly:ASM411807v1:4:37502668:37504740:-1 gene:Vigun04g154920.v1.2 transcript:Vigun04g154920.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVLLDLSDNQLKGFIPSSLGNLASLVTVNLSCNLLEGPIPTSLGNVTSLFTLDLSHNELEGPILTFSRNVTSLIVLDLSYNRLSSLTNQFEVNKSIITINFCSNKIGRKIPRSIGKLSSLRYLTLSNNQLSENPFENLRSLSELSFLDIGDNRFEGVVMEDHLSNLTSLSEFYASENYFTLKVDPNWNPTFQLTDLDMSTGKLGPNFPSWIHSQSNLHHLSMSNTGILDSIPSWFWETFSQVSFVNLSHNYIHGNLGATLTIPISMDVVDLSANNLCGQLPPISRGVAFLDLSSNSFYKSMDNFLCNNDDNVMWFLNLASNNLWGEIPNCWMFWPNLVYINLQSNNFVGNLPPSMGALESMEFLQLGNNSLSGTFPTSLMENELLTLLDMRGNKLVGTIPKWVGEKFLNMRILCLSSNICSGHIPNEICKMSLLQVLDLAQNNLSGGIPTCFNKFSAMTLMNKIGVLLLLKGRIVEHKNILGLVTSIDLSNNQLTGEVPIEITYLNGLIFLNLSHNQLIGHIPRSIGNMGSLLSIDFSKNQLSGEIPQTISHLSFLSLLNLSYNHLKGKIPTGTQLQTFDASDFIGNDLCDSPLPINCSTITKIDNFDHIEKGKDKHGINWFFVSMTFGFVVGFWTVIGPLLICRSWCYTYFHFLNYVWFKLQSLF >Vigun05g280200.1.v1.2 pep primary_assembly:ASM411807v1:5:46957076:46957401:-1 gene:Vigun05g280200.v1.2 transcript:Vigun05g280200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEKRTHPKLFVRFRIDKTNPYGNRYKSNGKERVMCRSDESERERECRTEQNRGDKIMGSACFYCRSPANMDHANPLPPK >Vigun08g085800.1.v1.2 pep primary_assembly:ASM411807v1:8:18975589:18978763:1 gene:Vigun08g085800.v1.2 transcript:Vigun08g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHILPIVTLFMLTVATNAALSPEFYWKSMLPTTPMPKAITDLLHPDWKEEKERCLDVGNGGVEKGNQGEGGGTDVNVGGGNGGVSVHTAPKGKPVHVEVGPHSPFDYNYAASETQLHDDPNVVLFFLEKNLHHGTKLDLHFSQTSTVEATFLPRPLADSIPFSSNNVNDILHRFSIKEGSDEAQVVKNTISECEGPIIRGEEKRCVTSLESMVDFATSTLGNNIDAISTRVGKEREWQQYKIAPGVKKLGEKGVVCHKEKYPYAVFYCHKSDNTNAYSVPLEGADGSRAKAVAVCHTDTSNWNPKHLAFQVLKVQPGTVPVCHFLPQDHVVFIPK >Vigun08g085800.2.v1.2 pep primary_assembly:ASM411807v1:8:18975589:18978763:1 gene:Vigun08g085800.v1.2 transcript:Vigun08g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTVATNAALSPEFYWKSMLPTTPMPKAITDLLHPDWKEEKERCLDVGNGGVEKGNQGEGGGTDVNVGGGNGGVSVHTAPKGKPVHVEVGPHSPFDYNYAASETQLHDDPNVVLFFLEKNLHHGTKLDLHFSQTSTVEATFLPRPLADSIPFSSNNVNDILHRFSIKEGSDEAQVVKNTISECEGPIIRGEEKRCVTSLESMVDFATSTLGNNIDAISTRVGKEREWQQYKIAPGVKKLGEKGVVCHKEKYPYAVFYCHKSDNTNAYSVPLEGADGSRAKAVAVCHTDTSNWNPKHLAFQVLKVQPGTVPVCHFLPQDHVVFIPK >Vigun10g001100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:149717:152136:1 gene:Vigun10g001100.v1.2 transcript:Vigun10g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSMRLHLHHLLLLLPLLLCSRVGAQSGEARSLDAILQEYAYRALVRPKTGTIYNATSLLPSNLTGVKVAALRLRSGSLRRRGVVPSYNEFEIPIGIIEKPYVKRLVLVYQNLGNWSKRYYPLPNYTYLAPVLGLLAYNGSNLSATNLPTLNVSASAHPIRVRFVDVRDPPLGAVARCVWFDLQGSSNFSNVTGGNTCSTSTQGHLSIVAETSVLPPPPPSLPPPVSPPPSPPPPPPPPPPVAESNSSNKVGVVVGSVLGGVVFLVLLCLLVLWVLKYKRNKRIQQMERAADAGEALHMANVGDTKAPAATVTRTQPALEHEYAP >Vigun05g030700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2435324:2437061:1 gene:Vigun05g030700.v1.2 transcript:Vigun05g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVKVIDQCEVSPPPGSVPSTSLPLTFFDLPWLCCPPLKRIFFYNFPYSTQHFFQTLLPTLKNSLSLTLQHFFPFSSNLVFPPKPNPPHILFTQPNSLSFTVAESSADFTTLVSDSPKNVTALHPFVPVLPPPQTLEDGTFLIPLMSIQVTVMPHSGFAICITFRHAAADGRAFHHFMKFWASVCKSNGDLTLASLALPLHNRDIIQDPKGLKHIFLQELLNFLPENVESTGEIRDVPTDMLRHTFVLSHDHVEKLKKWVSVKCKSHGLELPHLTTFVVTCSLIWVCKVKSEEIKAGTVLFNNDESYILAFMADCRNRPEFSIPLEYFGNCLVCGNAEVKRSKLAGGNGILEAAIAIGSEVRHLQRRTFEGAETLMSNFTEFATLGKHMTIIAGSPSLKAYETDFGWGKPEKSEVVQVDNSGSISLSDCRDKEGRIEVGLALQKIQMKKFSTILEEHLTEISVLD >Vigun01g047900.2.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKID >Vigun01g047900.13.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151578:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIE >Vigun01g047900.8.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIDVVRLRQRLVETRPHIEMKPHRKHQ >Vigun01g047900.10.v1.2 pep primary_assembly:ASM411807v1:1:7142435:7151732:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKID >Vigun01g047900.4.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIDVVRLRQRLVETRPHIEMKPHRKHQ >Vigun01g047900.5.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKID >Vigun01g047900.11.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIE >Vigun01g047900.12.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIE >Vigun01g047900.9.v1.2 pep primary_assembly:ASM411807v1:1:7142436:7151731:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIDVVRLRQRLVETRPHIEMKPHRKHQ >Vigun01g047900.7.v1.2 pep primary_assembly:ASM411807v1:1:7142435:7151732:-1 gene:Vigun01g047900.v1.2 transcript:Vigun01g047900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDIRSLLVFSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAAALMATGDSPYKRTTYRYSPLLAFLLIPNSFIHRSWGKFLFSASDILVGYFIYYILKLRKAPENMCNISVMAWLFNPFTFTIGTRGNCEPIVCAVILWIMICLLKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSDQKPVLRNWSSVQGERPKDESGWHTLHNLFKNIFTWNRLIFGLVSGLVFLFCTGLFYCLYEWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGHDTSVVEKLISFLPQFLVQLVLIFSFAQDLPFCIFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLRWDGLSCILLWIGAQTHWLMWGYLLEFKGKNVFLQLWSASLLFLAANIYILVMIICNHRYASVFKALEYANSKIAAKIDVVRLRQRLVETRPHIEMKPHRKHQ >Vigun11g168100.1.v1.2 pep primary_assembly:ASM411807v1:11:37493058:37496335:1 gene:Vigun11g168100.v1.2 transcript:Vigun11g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASAPPKPWEKVASSSGTAPFKPPSAGRTSDVVESSGTAKPGEIVSASNNTAAVNRNSLGRPLPTRPWEQSYGTTSYGGYGSTMNYNSGYGSYGGVGGLSGGGMYGNSMYRGGYGGLYGSSGMYGGGMYNGGGFGGPMGGGYGLGGGPYGAEDPNNPYGAPSSPPGFWISFIRVMQGVVNFFGRISILVDQNTQAFHMFMNALLQLFDRSGVLYGELARFVLRLLGIKAKPKKINPPGPDGLPPHSSSVNHNYIEGPKAAPSGSWDSAWEYDTNK >Vigun03g397700.1.v1.2 pep primary_assembly:ASM411807v1:3:60404229:60407054:-1 gene:Vigun03g397700.v1.2 transcript:Vigun03g397700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPNAEEPTSWDELYNINLMPSELFFKFRKELQGIRVGLNMEFYNAPVNEYQAKLVLKPLTPEWKWKIIYEPLHHDVRVLSKKIPITKFLNLQVGIGHNFQMHATGWKWKLTTCLGGDGVSRIRNKTSVGLFPGFDLRFGWRADYVLPEITGALGTDEPLFNMHSGRLQASLDRVEAILTHSDDA >Vigun01g001400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:243137:244357:-1 gene:Vigun01g001400.v1.2 transcript:Vigun01g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQFTCSLKVAILSIAFLCLFILLFRSSIFSLSPQLTSQTNLSNSNSNSNANAVAVAVEESEAAESAATPSSCPPIHENPTCNKAPPSLSNALIHYATTNVTPQQTLREISVSAKVLQKKSPCNFLVFGLGHDSLMWTSLNYGGRTVFLEEDKSWIDQIQRKIPSLESYHVMYDTQVHQADELLKVGMEAECKKVSDPRFSQCQLAHKGFPSEVYDIDWDVIMVDAPTGFFEGHLGE >Vigun04g110800.2.v1.2 pep primary_assembly:ASM411807v1:4:27776968:27780551:1 gene:Vigun04g110800.v1.2 transcript:Vigun04g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQQQQQQQQARNMHALRMHSPTERHMMMQGGNGAGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVLKLMGIPGLTLYHLKSHLQKYRISKNMHGQTNTGNNKIEATGRISEASGIQMKHLSIGLQTNKNSEINDALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNIGAEGVEAAKVQLSELASRVSSQSLDTKFSELKELQVLWPQQTQECSMGSFLTYSEESQRDRETHNMSLNLIAYNGPPFSVSKDCVEESMQLKPDLTLSEDMKQNMMFVSSSSDGKVVKGDFLQERPSSLLSMNVGVVEEEKFGRTTLSETGRMAIKLNNHEKISSDYRLPNFEVKLDLNSHDDNDASSHCQQFDLNGFSWNC >Vigun04g110800.1.v1.2 pep primary_assembly:ASM411807v1:4:27776968:27780551:1 gene:Vigun04g110800.v1.2 transcript:Vigun04g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQQQQQQQQARNMHALRMHSPTERHMMMQGGNGAGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVLKLMGIPGLTLYHLKSHLQKYRISKNMHGQTNTGNNKIEATGRISEASGIQMKHLSIGLQTNKNSEINDALQMQIEVQRRLHEQLEQVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNIGAEGVEAAKVQLSELASRVSSQSLDTKFSELKELQVLWPQQTQECSMGSFLTYSEESQRDRETHNMSLNLIAYNGPPFSVSKDCVEESMQLKPDLTLSEDMKQNMMFVSSSSDGKVVKGDFLQERPSSLLSMNVGVVEEEKFGRTTLSETGRMAIKLNNHEKISSDYRLPNFEVKLDLNSHDDNDASSHCQQFDLNGFSWNC >Vigun04g190331.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41426104:41427249:-1 gene:Vigun04g190331.v1.2 transcript:Vigun04g190331.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFEKLASTQFADFFRGRKLDEKLLGNLNIMLHSINALAHDAEQKQFTDPHIKAWLFLSKRLSLMQRISWMK >Vigun07g196100.1.v1.2 pep primary_assembly:ASM411807v1:7:31589427:31592367:1 gene:Vigun07g196100.v1.2 transcript:Vigun07g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKTVVARAIFLTFILSLSARCRGQEDLDIAPMEKAEQEALYSTIQGFVGDSWNGSDLYPDPCGWTPIQGVSCDLFNGFWYVTVLNIGPVHDNSLSCAQDLEFRPQLFELKHLKSLSFFNCFQSKNSFPATIPAGNWEKLASSLESLEFRSNTGLIGNIPSGFGVLKNLRSLVLLENGVTGEIPSSIGNLVKLKKLVLAENHLNGRIPDVFDGMNELLIFDLSSNSLTGSLPSTLGSLTSALKLDVSHNQLEGSLLKEFGNLKNVTLMDLRNNKLSGGLSLSLQEMQSLEELVVSNNPLGGDMRALEWEKLKNLAILELSNTGLTGEIPESISELKRLRFLGLSENKLSGNLSPKLETLPCLNALYLSGNNMTGEIKFSKEFLEKMGRRFGAWRNPNLCYQVGVTSTNHVPYGVKPCQREVNLLESNSKTQLTNGDSNQTFHFIASKGFSSCASNGLWWTLMEEIFMMGLVLILI >Vigun09g278200.7.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHDKGKNRV >Vigun09g278200.9.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEIRGRIEFNSTLYSSSTDGNCFHSSPVCVSSQAL >Vigun09g278200.5.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHDGNCFHSSPVCVSSQAL >Vigun09g278200.10.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEIRGRIEFNSTLYSSSTDGNCFHSSPVCVSSQAL >Vigun09g278200.4.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHDGNCFHSSPVCVSSQAL >Vigun09g278200.8.v1.2 pep primary_assembly:ASM411807v1:9:43787622:43792618:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVSVLGDYSADCPLDPDEIRDSERPTKLRLPTPDDDTKSGMTIRESVRDVVIGGGGYIVKDVKFTVHQAVEPVEKGITKFNEKLHRISENIKKHDKDGRRTKDDSCIASSLPARRVIRGIDDPLLNGSVSDSGILRGKKHRKKSEYASGESGGESSSDQSFGGYQIRGRRWVTKE >Vigun09g278200.2.v1.2 pep primary_assembly:ASM411807v1:9:43787599:43792698:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVSVLGDYSADCPLDPDEIRDSERPTKLRLPTPDDDTKSGMTIRESVRDVVIGGGGYIVKDVKFTVHQAVEPVEKGITKFNEKLHRISENIKKHDKDGRRTKDDSCIASSLPARRVIRGIDDPLLNGSVSDSGILRGKKHRKKSEYASGESGGESSSDQSFGGYQIRGRRWVTKE >Vigun09g278200.14.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792618:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHGLGNEFSLLIIALVGSKLCNLSMKNLSRWFILVCC >Vigun09g278200.6.v1.2 pep primary_assembly:ASM411807v1:9:43787577:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHDKGKNRV >Vigun09g278200.1.v1.2 pep primary_assembly:ASM411807v1:9:43787580:43792698:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVSVLGDYSADCPLDPDEIRDSERPTKLRLPTPDDDTKSGMTIRESVRDVVIGGGGYIVKDVKFTVHQAVEPVEKGITKFNEKLHRISENIKKHDKDGRRTKDDSCIASSLPARRVIRGIDDPLLNGSVSDSGILRGKKHRKKSEYASGESGGESSSDQSFGGYQIRGRRWVTKE >Vigun09g278200.13.v1.2 pep primary_assembly:ASM411807v1:9:43787598:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHGLGNEFSLLIIALVGSKLCNLSMKNLSRWFILVCC >Vigun09g278200.3.v1.2 pep primary_assembly:ASM411807v1:9:43787580:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIEIRGRIEFNSTLYSSSTDGNCFHSSPVCVSSQAL >Vigun09g278200.15.v1.2 pep primary_assembly:ASM411807v1:9:43787577:43792643:-1 gene:Vigun09g278200.v1.2 transcript:Vigun09g278200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTSILIQLVSSPPTWATVIAAAFLLITLALSMYLLFEHLSAYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSIGVDCEILRDCYESFAMYCFGRYLVACLGGEERTIQFMERQSRLSVKTPLLQHSSDKATVNHPFPLNYFFKPWKLGHRFYQIIKFGIVQYMLIKAFTAILAVILEAFGVYCEGEFKPGCGYPYTAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLCTFGLFKSPIAQGLQFKSSVQDFIICIELTVVRKHFVQPLTMTNSVLGPPWSRMPGEHDKGKNRV >Vigun04g074000.1.v1.2 pep primary_assembly:ASM411807v1:4:8810570:8816208:-1 gene:Vigun04g074000.v1.2 transcript:Vigun04g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAVGGGAGKEAEDDSIIPILFSEDQQRYVIELDQKAASLRRLIHDLRLRLPPQDISQSLPHLHAHSLASNAALALQLNSHSATRQQAQLREVTLKEENAAYENAISDFENKIKEKLQEADILRTKLQEMDETEKKLKAELDNMKQQVSDGWDEMKANSKAGFDADAEASRSALLDELEEKKKQLNSMEDAVKELEKKWARVQENALKQPSPAQREKTLDKQLHGLIEQLAVKQAQAEGLLGDIHLKEMELERLNGLWRQTENSNLEANTAARNRFGKSSSDKLQSLSDYEGHQRLPYHSAARTETQQRLMLFRSAFVLYILALHILVFIRISF >Vigun02g028139.1.v1.2 pep primary_assembly:ASM411807v1:2:10299012:10302796:-1 gene:Vigun02g028139.v1.2 transcript:Vigun02g028139.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLVMVGVVVVGVVVVAVVVVVRVIVLVVMVVVSGGRGGGGGGYGCGVGDGAGGGCGGGGDSGRGVVGVGMIVPGGGRGGCGGGGDGLQFKGLRSKFQGLGFRVSGLVLRMVYSLRV >Vigun05g270000.1.v1.2 pep primary_assembly:ASM411807v1:5:46143239:46147905:-1 gene:Vigun05g270000.v1.2 transcript:Vigun05g270000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRVEGASPVPILRGVRPVPGRHPCVGTPEDIVATHSLAFLDNNKDKNGVSSGVSDMDRSKSPRKGYSGGVGEKEKKERVRSNGGGLSGKEEVQSSKTRGKSQPQPVKPPLRIEVKKESLTRLRSTNSRSIPSSPSSCYSLPTSFEKFANGVRQHQTKVGARVGVVETGKMVRGASISAAGKKIANPVRNLVQGIELGTKALRKSWEGSIEVKTRESSKTKVATKFDPKPELSTPRRRSVSIEKGPSKEEERIQASTKSTKEQLKTQTSAKKASGNGTTAEPDKSSKSRTSIGKKAEVSSLPGNLVKVSLNNRKVTDANVQWASLPSSISKLGREVMMQRDAAQIAATEAMQEAAAAESLLQCLRTYSEVTNSAKEHNPQPAVEQFLTLHASLNSTRTIAESLTKHIPDCSSPDYDKGTAEDILKVKSDRQKQAASWVQAALTTNLSSFAVFTRESQTSKPPASSSSQNKKTVVGNQPMLVLHNSSEDTSSKVHAKTRLTANSKHASQGTPRKPGDGLLNGQKHLVQPQPLPEWVRGNGLNEVVNLVEMLQLQSRDWFLSFVERFLDSDGDTTLSGNDQIAGMLTQLKSVNDWLDEIVSSKDEGESWQISAETIDRLRKKIYEYLLTHVESAAAALSGGSQSSPQIQTTTQIKAKR >Vigun10g049300.1.v1.2 pep primary_assembly:ASM411807v1:10:7581209:7586919:1 gene:Vigun10g049300.v1.2 transcript:Vigun10g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPSSEEKTLSHLKEGGAASSSSAPTPPPPPPPPSSSSSWNRLHTFPPLNLHNKTSKIEDSDEDMFTVPDVETTAINVHSAVALPNSNLNQRNLTEPQFQTAFPGKRRRGRNPADKEHRRLKRLLRNRVSAQQARERKKVYVNDLEARAKELQDKNAILEERISTLINENTMLRKVLMNARPKVDDSNEQKQEQLSKS >Vigun10g049300.3.v1.2 pep primary_assembly:ASM411807v1:10:7581209:7586919:1 gene:Vigun10g049300.v1.2 transcript:Vigun10g049300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPSSEEKTLSHLKEGGAASSSSAPTPPPPPPPPSSSSSWNRLHTFPPLNLHNKTSKIDSDEDMFTVPDVETTAINVHSAVALPNSNLNQRNLTEPQFQTAFPGKRRRGRNPADKEHRRLKRLLRNRVSAQQARERKKVYVNDLEARAKELQDKNAILEERISTLINENTMLRKVLMNARPKVDDSNEQKQEQLSKS >Vigun10g049300.2.v1.2 pep primary_assembly:ASM411807v1:10:7581209:7586919:1 gene:Vigun10g049300.v1.2 transcript:Vigun10g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPSSEEKTLSHLKEGGAASSSSAPTPPPPPPPPSSSSSWNRLHTFPPLNLHNKTSKIVLSDTEDSDEDMFTVPDVETTAINVHSAVALPNSNLNQRNLTEPQFQTAFPGKRRRGRNPADKEHRRLKRLLRNRVSAQQARERKKVYVNDLEARAKELQDKNAILEERISTLINENTMLRKVLMNARPKVDDSNEQKQEQLSKS >Vigun06g012000.1.v1.2 pep primary_assembly:ASM411807v1:6:5289110:5293462:1 gene:Vigun06g012000.v1.2 transcript:Vigun06g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIFVPSLSLAKFPKSQTLWNSPLLLHSKSTSLAFSSVTHNHLPKCFLLHPQAGVRSKAKEKQNIGVVHASEGASSTTTPTTNANNAERWLLEPVGDGDTRHIGYKVEMPGAYEIASSEVTVGRVPDKADLVIPVATVSGVHARIQKKERNLVVTDLDSTNGTFINDKRLRPGVAATLSPGSSITFGDTHLAMFRVSKVKNAKVADTVEETETELDTDKKSDNTETS >Vigun06g012000.2.v1.2 pep primary_assembly:ASM411807v1:6:5289110:5292383:1 gene:Vigun06g012000.v1.2 transcript:Vigun06g012000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIFVPSLSLAKFPKSQTLWNSPLLLHSKSTSLAFSSVTHNHLPKCFLLHPQAGVRSKAKEKQNIGVVHASEGASSTTTPTTNANNAERWLLEPVGDGDTRHIGYKVEMPGAYEIASSEVTVGRVPDKADLVIPVATVSGVHARIQKKERNLVVTDLDSTNGTFINDKRLRPGVAATLSPGSSITFGNFTNF >Vigun10g090600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26137523:26137891:1 gene:Vigun10g090600.v1.2 transcript:Vigun10g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVVVNKQAVPNMNLEKSEVIRAVIVRTCKQLKHSNGIIIQYDDNAAVIIDQEGNPKGTRIFCIIARELRQLNFTKIVSLAPEVL >Vigun04g155500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37612473:37616068:-1 gene:Vigun04g155500.v1.2 transcript:Vigun04g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSFLGSMTTLIHLDLSFSRFMGNIPPQICNLSNLVYLDLSDVANGTIPSQIGNLSNLLYLNLHSGNSIDKTLFIGNVDWLSSLTKLEYLNLGGANLSQSFHLLHSLPALSSLVHLDLSSCTLPHYNQQSFLNFSSLLTLDLFGVSYHSGISFVPKWVFGLKKLVSLVSSFNYFEGPIPDGLRNLTLLEYLDLHSNSFSSSIPHWFYSSFPHLKFLDLSGNNLQGNIYDALGNMTSLVTLDLSYNQLEGPIPTSLGKVTSLVILDLSHNKLEGSIPTSLGKLTSLVRLDLSHNQLSGNVTLDYCGNKGDGKHTKSLGELSSLRVLILSSNQLGGNPFESLRSLSKLSSLDIDYNCFEGVVTEDHLTNLTSLYKFSAPENNLTLKVGSKWYPTFQLTYLDMSSWQLGPKFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSQASFLNLSHNHIHGELQTTLKNPISIIAVDLSANKLSGKFPSLSNGVGFLDLSSNSFSKSMDDFLCKGKEKPMKLEFLNLASNNLSGEIPDCWDIWPYLLDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGERFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDLAQNNLTGNIPTCFNSLKTMTQMNKSTKALIYCEAVNSTSEYSSYTIVSVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREITYLNGLIFLNLSHNQLIGHIPQNIGNMGSLMSMDFSRNKLSGEIPPTISNLSFLSMLDLSYNGLNGKIPTGTQLQTFDASNFIGNNLCGPPLPISCSSNDKSYDHNGKGSDRHGVNLFYVGMTFGFVVGFWIVVGPLIICRSWRYAYFHFLDHVWFKLQYFF >Vigun07g237700.1.v1.2 pep primary_assembly:ASM411807v1:7:35946714:35947764:-1 gene:Vigun07g237700.v1.2 transcript:Vigun07g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKYIALLLLLCHVVAASVQAETFEEKRRGCYNYCIQACIYPQTFCKWWCNGRCKNPTLCDSLAKDNGSNSTEYPVPTEENYQNYLSTPPPSPPSNKNEVHPKLIAVDEPNNDL >Vigun03g205300.7.v1.2 pep primary_assembly:ASM411807v1:3:33202756:33205513:-1 gene:Vigun03g205300.v1.2 transcript:Vigun03g205300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKYLCKYCSKRFPCGKSLGGHIRTHITEERTNADADIFIKSSDAVKKKRDLWCEDVVGNPIYGLRENPKKTMRFVHSSARGGGAATGAAGANYEKEERFCKECGKGFPSLKALCGHMACHSDKEKRTIKFEDSDKKLKLVMDSQSDTETCAPSHPRRSKRMRVKTINLSNHPFSSSSPSSSSVPFANGSSTVSEVEQEQEEVARCLMLLSRDSTFKGRFASVTECSDNNSVVLEAKSSSPDTRIAVTNGSRYFKHGPKTKMVDSDVSTDEFKWPKMGDKSSKYAATLVKKLVMEDLDYDGTEGTARKFDSRKRNNYESLDNNPVGGSSKKIASGFGNEVYGNGTKGWKYESLEGERDYDSYDSIEESHENSSETESYPAPRSHNSKVLNGKKSTSKAKKKLKSKKSRDHECPICYKIFRSGQALGGHKRSHFIGGSEENTLVIKQAAPVVPCLIDLNLPAPVEE >Vigun03g205300.6.v1.2 pep primary_assembly:ASM411807v1:3:33202755:33205513:-1 gene:Vigun03g205300.v1.2 transcript:Vigun03g205300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKYLCKYCSKRFPCGKSLGGHIRTHITEERTNADADIFIKSSDAVKKKRDLWCEDVVGNPIYGLRENPKKTMRFVHSSARGGGAATGAAGANYEKEERFCKECGKGFPSLKALCGHMACHSDKEKRTIKFEDSDKKLKLVMDSQSDTETCAPSHPRRSKRMRVKTINLSNHPFSSSSPSSSSVPFANGSSTVSEVEQEQEEVARCLMLLSRDSTFKGRFASVTECSDNNSVVLEAKSSSPDTRIAVTNGSRYFKHGPKTKMVDSDVSTDEFKWPKMGDKSSKYAATLVKKLVMEDLDYDGTEGTARKFDSRKRNNYESLDNNPVGGSSKKIASGFGNEVYGNGTKGWKYESLEGERDYDSYDSIEESHENSSETESYPAPRSHNSKVLNGKKSTSKAKKKLKSKKSRDHECPICYKIFRSGQALGGHKRSHFIGGSEENTLVIKQAAPVVPCLIDLNLPAPVEE >Vigun03g205300.3.v1.2 pep primary_assembly:ASM411807v1:3:33201834:33205513:-1 gene:Vigun03g205300.v1.2 transcript:Vigun03g205300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKYLCKYCSKRFPCGKSLGGHIRTHITEERTNADADIFIKSSDAVKKKRDLWCEDVVGNPIYGLRENPKKTMRFVHSSARGGGAATGAAGANYEKEERFCKECGKGFPSLKALCGHMACHSDKEKRTIKFEDSDKKLKLVMDSQSDTETCAPSHPRRSKRMRVKTINLSNHPFSSSSPSSSSVPFANGSSTVSEVEQEQEEVARCLMLLSRDSTFKGRFASVTECSDNNSVVLEAKSSSPDTRIAVTNGSRYFKHGPKTKMVDSDVSTDEFKWPKMGDKSSKYAATLVKKLVMEDLDYDGTEGTARKFDSRKRNNYESLDNNPVGGSSKKIASGFGNEVYGNGTKGWKYESLEGERDYDSYDSIEESHENSSETESYPAPRSHNSKVLNGKKSTSKAKKKLKSKKSRDHECPICYKIFRSGQALGGHKRSHFIGGSEENTLVIKQAAPVVPCLIDLNLPAPVEE >Vigun03g205300.4.v1.2 pep primary_assembly:ASM411807v1:3:33201834:33205513:-1 gene:Vigun03g205300.v1.2 transcript:Vigun03g205300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKYLCKYCSKRFPCGKSLGGHIRTHITEERTNADADIFIKSSDAVKKKRDLWCEDVVGNPIYGLRENPKKTMRFVHSSARGGGAATGAAGANYEKEERFCKECGKGFPSLKALCGHMACHSDKEKRTIKFEDSDKKLKLVMDSQSDTETCAPSHPRRSKRMRVKTINLSNHPFSSSSPSSSSVPFANGSSTVSEVEQEQEEVARCLMLLSRDSTFKGRFASVTECSDNNSVVLEAKSSSPDTRIAVTNGSRYFKHGPKTKMVDSDVSTDEFKWPKMGDKSSKYAATLVKKLVMEDLDYDGTEGTARKFDSRKRNNYESLDNNPVGGSSKKIASGFGNEVYGNGTKGWKYESLEGERDYDSYDSIEESHENSSETESYPAPRSHNSKVLNGKKSTSKAKKKLKSKKSRDHECPICYKIFRSGQALGGHKRSHFIGGSEENTLVIKQAAPVVPCLIDLNLPAPVEE >Vigun03g205300.5.v1.2 pep primary_assembly:ASM411807v1:3:33202754:33205513:-1 gene:Vigun03g205300.v1.2 transcript:Vigun03g205300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKYLCKYCSKRFPCGKSLGGHIRTHITEERTNADADIFIKSSDAVKKKRDLWCEDVVGNPIYGLRENPKKTMRFVHSSARGGGAATGAAGANYEKEERFCKECGKGFPSLKALCGHMACHSDKEKRTIKFEDSDKKLKLVMDSQSDTETCAPSHPRRSKRMRVKTINLSNHPFSSSSPSSSSVPFANGSSTVSEVEQEQEEVARCLMLLSRDSTFKGRFASVTECSDNNSVVLEAKSSSPDTRIAVTNGSRYFKHGPKTKMVDSDVSTDEFKWPKMGDKSSKYAATLVKKLVMEDLDYDGTEGTARKFDSRKRNNYESLDNNPVGGSSKKIASGFGNEVYGNGTKGWKYESLEGERDYDSYDSIEESHENSSETESYPAPRSHNSKVLNGKKSTSKAKKKLKSKKSRDHECPICYKIFRSGQALGGHKRSHFIGGSEENTLVIKQAAPVVPCLIDLNLPAPVEE >Vigun07g233300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35498120:35499580:-1 gene:Vigun07g233300.v1.2 transcript:Vigun07g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKSIHPSLEPQPKTLHTKPTLTSSITSLLKPLFSPQIPRSWIFFTVLFIQILLLCNLRIFSSSIPSPLPAPIVHHSSHALDQCGSGKVFVYDLPQTFNYEILQNCDNLNPWGSRCNSLSNNGFGLNAAALAGIVPEDLLPAWHWTDQFVTEVIFHNRLLNHRCRVKEPESATAFYIPFYAGLAVGKYLWLNSTADERDRHCDMMLRWVMDQPYFKRSNGWDHFITMGRITWDFRRSTDHDWGSSCIYKPGIRNVTRLLIERNPWDYFDVGVPYPTGFHPRSKSDVTRWQNFVQERQRNALFCFAGAPRRAFRQDFRGILLSQCRDAGESCRTVNCTGTRCSNGTSAILETFLDSEFCLQPRGDSFTRRSIFDCMVAGSIPVFFWRRSAYLQYELFLPNEPGSYSVYIDRNAVKNGTVTVKNVLEKFSREEVRQMREKVIEYIPRLIYANTKDGLEDMKDAFDVAIEGVLKRFKEQEQPEFQKWK >Vigun03g416800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62363284:62364898:-1 gene:Vigun03g416800.v1.2 transcript:Vigun03g416800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAFVALVAGVTGMAGLSLAQALKQPNCPGGPWKVYGAARRPPPSWFPPSTVDHFITFDAVDSSDTRAKLSPIASEVTHLFWITFQIRAEEEVNIRINKTMLLNVLSALKSCASSKLAHVTVQTGTKQYMGPIFDPVHSTQLISHDPPFRENMARLPYPNFYYALEDLVASYAPSLTYSMHRSSLIIGASSRSVHNTLLTLATYAVICRHLGLAFRYPGTKYTWEHFCDMTDAGVLAQQHVWAAVTPEAKNEAFNCTNGDVFTWKSIWKLLCELFNVEFVPFDETQRFDVVEVMRDKGSVWKEIAEKYELHNTVLEEITCYGALQAVLRFKFQHVCSMNKSREYGFFGHVDTFKSIRFWVEKLREMKIIPSYQQ >Vigun11g166100.2.v1.2 pep primary_assembly:ASM411807v1:11:37329921:37341478:1 gene:Vigun11g166100.v1.2 transcript:Vigun11g166100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDISDWRGGMQQESRQRIVNRIMDTLKRHLPISGQEGLHELQKIAQRFEEKIFSAATSQSDYLRKISLKMLTMETKSQGNMANAPNQGGPSNKPPNPVHWRMCKRCGNMRRLACSTCKGTKSIREGGLLAIGKCIGLAKHRDDRWELAIAPGVSPSSRYQHAANFDDVLIPEDHEMFIVGIQLFAATDLKKCLEGLASHLFVFQGSIGSQI >Vigun11g166100.1.v1.2 pep primary_assembly:ASM411807v1:11:37329921:37341478:1 gene:Vigun11g166100.v1.2 transcript:Vigun11g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDISDWRGGMQQESRQRIVNRIMDTLKRHLPISGQEGLHELQKIAQRFEEKIFSAATSQSDYLRKISLKMLTMETKSQGNMANAPNQGGPSNKPPNPVHWRMCKRCGNMRRLACSTCKGTKSIREGGLLAIGKCIGLAKHRDDRWELAIAPGVSPSSRYQHAANFDDVLIPEDHEMFIVGIQLFAATDLKKCLEGLASHLFGILKCFPRVNWESNLSHFQRCGEKQ >Vigun11g092300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27403859:27404890:-1 gene:Vigun11g092300.v1.2 transcript:Vigun11g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTQCYHICLTLVFCMAIWAFEATSRTLQDDSMHERHEEWMSRYGKVYKEPQEREKRLRIFRENVNYIDAFNNAANKPYKLGVNQFADLTNEEFIGTRNRFKGHMCSSITRTTSFKYQNNTAVPSTVDWRKKGAVTPVKDQGQCGCCWAFSAVASAEGIHQLSTGKLISLSEQELVDCDSKSVDQGCEGGLMDDAFKFIIQNHGLNTEANYPYQGVDGKCNANAAGNDAATITGYEDVPANNEKALQKAVANQPVSVAIDASGSDFQFYESGVFTGSCGTDLDHGVTAVGYGVSDDGTEYWLVKNSWGTQWGEEGYIRMQRGVASQQGLCGIAMQASYPTA >Vigun10g114500.9.v1.2 pep primary_assembly:ASM411807v1:10:31736170:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYEDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQDW >Vigun10g114500.6.v1.2 pep primary_assembly:ASM411807v1:10:31736170:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQDW >Vigun10g114500.3.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.5.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.4.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYEDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.12.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31755980:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKQQFIVQNGFQLLVDLAQENSELGVKSHYLHLREDSLVACWTSLLVSCRSRLSLLQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.8.v1.2 pep primary_assembly:ASM411807v1:10:31736170:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYEDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQDW >Vigun10g114500.11.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31755980:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWPEWILEVLISNYEDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.10.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31755980:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.2.v1.2 pep primary_assembly:ASM411807v1:10:31717819:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQVTSKRINFIVDHNETSTVMDGLKFGCETQEQEKNRSWLISTLHQIYSRRYLLRRSALEIFMVDRSNFFFDFGSRDGRRNAYQAIVHTRPLYLNNIYLATQRPGLLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSNPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQSGGKLDTIKLPAWAENPVDFVHKHRMALESEYVSSHLHEWIDLIFGYKQRGKEAIAANNVFFYITYEGTVDIDKISDPVQQHATQDQIAYFGQTPSQLLTIPHLKKKPLSEVLHLQTIFRNPKAIKSYPIPSPEHCNLPAAAIHASSDMVVVVGLNAPAAHVAQHKWQPNTPDGQGTPFLFHHGKATAGSAGGIIRMLKGPAGTAEEWQYPQALAFPVSGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYAHCAPVTCLGLSPNSDYLVTGSRDTTVLLWRIHKALASHSSVTTESSTANGTGTGTRPSTSSSSSSHLLMEKNRRRRIEGPIQVLRGHQSEILSCCVNSDLRMVVSCSHSSDVLLHSIRKGRLVRRLDGVVAHTVSLSSEGVVVVWNESKHILSTFTLNGLLIAKSELPFSSSISCMEISLDGWSALIGINSQENGRAYNSSWNSQSSKSGNEDFYSDSEETHDTNRINAPSPSICFLDLHTLEVFHVLKLREGQDITALALNKDNTNLLVSTWDKQLIVFTDPTLSLKVVDQMLKLGWEGDGLKHLIK >Vigun10g114500.7.v1.2 pep primary_assembly:ASM411807v1:10:31736170:31778357:-1 gene:Vigun10g114500.v1.2 transcript:Vigun10g114500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEPKETKISDNGLHGHRVNSLKYSSGVYSTEGNLYPVPDMSHDRLISSPGSEGHFGHTDKEYPPSVNFGSPTYSSVSSPQKSWEKNAGQNSSAELLHLIDSAIMGKPEGMEKLKNIASGVESFGNGEEMDSVSFLIVDSLLATMGGVESFEEDGDNPPSVMLNSRAAIVSGELIPWLPYVGDSDVVMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRTAEKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLTVSDLRRWFQVIPRTLTTIWASRLMLALEKAISEKESSGPACTFEFDGESSGLLGPGEGRWPFIHGYAFATWIYIESFADALNTATVAAAIAAAAFAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVEIGCGKAKKSALHFTYAFRPQCWYFIGLEHTSKTGILGNAENEIRLYVDGSLHEVRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLATRGGDVVPSFGNGAGLPWLATNAHVQSKADESVLLDAEIGDFIHLLYHPSLLSGRFCPDASPSGAAGVLRRPAEVLGQVHVATRIRPVDALWALAYGGPLSLLPLAISNVHEDTLEPRKGNISVSEATSCLAGPIFRIISMAIQYPRNNEELARCKGPEILSKILNHLLETLASLCEGTYDGVGDEELVVAVVSLCLSQNINHTLKVRLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESVAMREANAIQMLLDGCRRSYWTVPEKDSVNNFYLTGSTRPIGEVNALVDELLVVIELLIVAASPTLASDDVRCLLGFIIDCRQPGQIARVLHLFYRLVVQPNTARAHSFAKAFLACGGIETLLVLLQREVKAGESDALESVAKNPEFKKNDNDGSSEIAETYQDDEGSQSADSCSNIGPSSPDVYIEEMSRTSETPSVKNLGGISLSISADSARKNVYNADKSDGIVVGIVGILGALMVSGHLGHGSRSGLDTASNLLGVGLQDGGSTMFEDKVSFLFYALQKAFQAAPGRLMTNNVYIALLTASINASSTENGLDFNDSSHRFEHSQLLIALLHSLPFAPRPLQSIALQDLQFLACSHPENKGILTNMEEWPEWILEVLISNYELGPGKSSDSTSLGDIEDIIYKFLVIMLERSMRQKDGWKDIEATIHCAEWLSIIGGSSTGEQRIRREESLPTFKRRLFGGLLDFASSELQIQTQIIAMAAAGVASEGLSPDAAKAEAENATQLSVALVENVIVILMLVEDHIRSQSKQSSSLRAADGSPSPLSLFYPVHYNLTSSLTSSELTDVRGDRTSASSNSGGVSLDVLSAMADANGQISTAVIEKLAAAAAADPYESVSSAFVSYGSCAKDLAIGWKYRSRLWYGVGLPSNTTSFGGGASGWEFWKSLLEKDDNGNWVELPLIKKSVAMLQALLLDESGQGGGLGIGGGSGTGMGAMTGLYQLLDSDQPFLCMLRMVLLSMREDDDGIDNEMGEGSNLQSALLWSVLSPILNMPISDAKKQRVLVACCVIYSEVYHAVGIDRKLLRKKYVEAVIPPFIAVLRRWRPLLAGIHELAAADGFNPLMVDDHELAAYGQSVEASLDMISPAWAAAFASPPAALALSMIAAGTSDSENHAPSTNAQLRRETSLLERKQARLQTFSSFQKPSTIPSKTPPIPKNKAAAKDAALAAARDVQRFSRIGSGRGLSAVAMATSAQRRSAGDMERVQRWNITEAMGVAWMECLQPVDTKSIYEKDFNALSYKFIAVLVASFALARNMQRSEMDRHVRACIISRHRISSGIHAWRKLIRQLIEMKSLFGPFADYLYNPIRVFWTLDYMESSSRMRRCMRRNYRGSDHLGSAANYEDYSRENNDPSTRVLSAEAITIEGINEDEEHIETENLDLTGDDIGDDVENQPKFSKGAEKTVQKSSDSNAIQPESDEGVVQSSSAFAPGYVPSELDERIVIELPSTMVQPLRVVQGTFQDW >Vigun11g015900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1975271:1976376:1 gene:Vigun11g015900.v1.2 transcript:Vigun11g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKQANEEINELMLSLPKEMGLNAGPYLHLFQDFWCPSFYFQGVINFQKHFHAKDSDVFVASFPKSGTTWLKALTFLILNHQRFPSFENHPLLSSNPHELVSSLEFIFSHDLHDQILNLSNMSEPRLFSTHLPFSSLPKTMTESKCKIIYICRNPFDTFVSAWEFSTKIKSVFSPALTFEEAFEKYCNGIAGFGPWWSHMLGYWNESITKPDKVLFLKYEDLKEDAVFHVKRIVEFLDSPIAQEGESSAVIENIINLCRFEKMKDLEVNKSGYIHNIAEKNNFFRKGKIGDWKNYFSPSMVEKLSKIIEEKLSGSGLSFKVHS >Vigun10g031433.1.v1.2 pep primary_assembly:ASM411807v1:10:4111249:4113308:-1 gene:Vigun10g031433.v1.2 transcript:Vigun10g031433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRITNEFLDEVRVEQGNDQELQQIISELGTDKRKDFRMGRDGILRFRERVRAYVTKILNGCGIDADSGIRVLIESRLITVEKNNKFGMHPLLRDMGREIIRESSNDNRRWIDEFAEYVLTDNTVLRWLKFLNLSHSTYLIETPDFSGLPRLEKLILKDCPRLRDVHQSIGCLCYLMLLNLKDCISLTNLPIEIYKLKSLNTLILSGCSKIELMEKDILQMESLITLMAESTVVKQLPFSILSSKSIGYISLRGFEGLSHTLFPSIVRSRMSPTMNLLSYVHSFMDTENNNWDDIAPLLSTLANLRSVLVQCDTEFQLSKQVKTILVEFGRNIAESRTSKQHLRSSLIGVVRCKEFFNAVSDNIYEILGSSESCDGSFSGDNDANWLAHKGEGHSVSFTVSQDRVIKGMALCVVYLSTSKIMKPELTTVLIVNYTKCTLHMHNHGTVISFKDEDSFGIISNLGYGDKVEIFVTFGQELVVKNTTVYLIYGESKGLEIEPEPVTILSSV >Vigun06g094500.1.v1.2 pep primary_assembly:ASM411807v1:6:22592006:22595196:1 gene:Vigun06g094500.v1.2 transcript:Vigun06g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKLLALTLIWWSCSVEHADAATPKTRLINTGCSPFNASNAHSFFANINDTFSEMRAEVTNQTKHFATSQKSRGGILTYTMFQCRNYLSKNDCLSCFDTASTQIRNCSKANGARVIYNDCFLRYESERFYQQTNELGGGVTCGNTSLKTTRDFKVVGQQALAEIQTATPKTKGFYAATKTQVDDGSAIYAIAQCVETATEDKCLSCMQVAYNNLLSCLPNTDGTAYDAGCFMRYSTTPFFAANQTIDIGSYLKTGSSRKKWGIIGGVVGGFLLLLLLFVWRMSRKRNKVHRGDILGATELRGPVNYKYNDLKAATKNFSVENKLGEGGFGAVYKGTLKNGKIVAIKKLVLGKSSKMEDDFEGEVKLISNVHHRNLVRLLGCCSKGEERILVYEYMANSSLDRFLFGAKGSLNWQQRYDIILGTARGLAYLHEEFHVSIIHRDIKTGNILLDDDLQPKIADFGLARLLPGDRSHLSTKFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIISGHKSTDVKNEEEGREYLLQRAWKLYERGMQLELVDEAIDPNEYDAEEVKKIIEIALLCTQASAATRPTMSEVVVLLKSKSLMENMRPTMPVFVDTNMINREGNSATSSNATVSISVLSAR >Vigun02g176400.1.v1.2 pep primary_assembly:ASM411807v1:2:31837614:31839244:1 gene:Vigun02g176400.v1.2 transcript:Vigun02g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRRFIRVAVSDEEDEASRPRRTRKRLRLFEEEEDDDNDNKNEEQQLQKKEEVPESPQPPEDATPIGKPIRFSGKGKGRRSHYESFMFNGIEYTLEDSVLFFPEGKGQKPYAAIIKDIKQGSNGHVVVTGQWFYRPEEAEKKGGGNWKLHDTRELFYSFHRDDVPAEAIMHKCVVHFAPIHKQLPKRKDHPGFIVQKVYDNVGKKLWRVGDKVYEHTKQREIDVLIQKTLQRIGELPHIEFAETTDQMKSKKEENH >Vigun06g019100.1.v1.2 pep primary_assembly:ASM411807v1:6:8758917:8773477:1 gene:Vigun06g019100.v1.2 transcript:Vigun06g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREKKPTKMSVPQFGGWEHKAPGVPTDYSIVFGQARENKKTQKTNLAEVKRLSPGNERDNLNGNHRHGNGHGRGHGHGHDHAHGHVHGHGHGHGAGPSHGHDKEDSPSTRRKKALMNCFTS >Vigun02g097733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25327898:25328296:-1 gene:Vigun02g097733.v1.2 transcript:Vigun02g097733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKYEVEKVTSLNDFRMCQMKMHALLLQGLLDALEGETKFDASMMEKDKKILLENAHNGIILCLDEKVLRQVSKEKTAIRLYTKLEGLYMIKENKMLELKAFSVGDDGLVARGIHILVLTEEVEGGKSRG >Vigun03g113500.1.v1.2 pep primary_assembly:ASM411807v1:3:10411573:10413849:-1 gene:Vigun03g113500.v1.2 transcript:Vigun03g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCSTLCANMAHTLKLGKRSENFTCSHAQTKDSCFMSQYYKPTNTLQTHIASVSKSDQSFSSPVMEEDRKVFLVSEETEEHCGELDVAVKAVQMSCFLCQKLQDTWISNSQTNHPYSPFTVADWSVNTIVSWILSKCLGSENVSIVTEKDAQTLSNTNTSELLEAVVKTVNECLAEALRFGVEEPKSALGTSEVLEIINCSNSIGSPSGRFWALSPLDGKMGSACGDQYAVGLSLIEDGEVVVGVLGCPNYPMRKDWFSYHLSYQRMISMLTPPTSETWNKGCIIYAKRGSRKAWIQPLLHVNKKYVWPNQAKQVSVSSVDNLALATFCQPIEKANSSYTFTEGLAQSVGLSNQPLRVQNIMKYAAIACGHAEVFMKFARAGYKEKIWDHAAGSVIIQEAGGMVTDARGHPLDFSKGLNLESLDRGIVACAGTTLHEKIIDAVDASWVSSCL >Vigun05g072800.3.v1.2 pep primary_assembly:ASM411807v1:5:6411715:6416428:1 gene:Vigun05g072800.v1.2 transcript:Vigun05g072800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEHIAIFTTASLPWLTGTAVNPLFRAAYLCKGGERDVTLVIPWLTLKDQGLVYPKNVTFASPKEHEEYICQWLEERVGFSPGFSIRFYPAKFSIDKRSILAVGDISEIIPDEVADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKRENNGMLQAFLLKHLNNWVVGIYCHKVIRLSAATQEYTESIICNVHGVNPKFLEIGEKKKEQQQEGVKAFTKGAYFIGKMIWSKGYKELLQLLQNHQQALSELEIDLFGNGEDSDEVQKAAEKLQMTVRVNPGRDHADALFHDYKLFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKRFSNCWTFNNSDEFVKLILKALDEEPAQPTNAERRELSWEAATNRFLKAAGMNNPLDKTLSRNSSIFMAASLNLQQTVDGASAYVHHVASGFEVSRRFFGAIPHTLQPDEELRKELGLTNAVTKEKN >Vigun05g072800.1.v1.2 pep primary_assembly:ASM411807v1:5:6411715:6416428:1 gene:Vigun05g072800.v1.2 transcript:Vigun05g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEHIAIFTTASLPWLTGTAVNPLFRAAYLCKGGERDVTLVIPWLTLKDQGLVYPKNVTFASPKEHEEYICQWLEERVGFSPGFSIRFYPAKFSIDKRSILAVGDISEIIPDEVADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKRENNGMLQAFLLKHLNNWVVGIYCHKVIRLSAATQEYTESIICNVHGVNPKFLEIGEKKKEQQQEGVKAFTKGAYFIGKMIWSKGYKELLQLLQNHQQALSELEIDLFGNGEDSDEVQKAAEKLQMTVRVNPGRDHADALFHDYKLFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKRFSNCWTFNNSDEFVKLILKALDEEPAQPTNAERRELSWEAATNRFLKAAGMNNPLDKTLSRNSSIFMAASLNLQQTVDGASAYVHHVASGFEVSRRFFGAIPHTLQPDEELRKELGLTNAVTKEKN >Vigun05g072800.4.v1.2 pep primary_assembly:ASM411807v1:5:6411834:6416428:1 gene:Vigun05g072800.v1.2 transcript:Vigun05g072800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEHIAIFTTASLPWLTGTAVNPLFRAAYLCKGGERDVTLVIPWLTLKDQGLVYPKNVTFASPKEHEEYICQWLEERVGFSPGFSIRFYPAKFSIDKRSILAVGDISEIIPDEVADIAVLEEPEHLTWYHHGKRWKTKFRLVIGIIHTNYLEYVKRENNGMLQAFLLKHLNNWVVGIYCHKVIRLSAATQEYTESIICNVHGVNPKFLEIGEKKKEQQQEGVKAFTKGAYFIGKMIWSKGYKELLQLLQNHQQALSELEIDLFGNGEDSDEVQKAAEKLQMTVRVNPGRDHADALFHDYKLFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKRFSNCWTFNNSDEFVKLILKALDEEPAQPTNAERRELSWEAATNRFLKAAGMNNPLDKTLSRNSSIFMAASLNLQQTVDGASAYVHHVASGFEVSRRFFGAIPHTLQPDEELRKELGLTNAVTKEKN >Vigun10g189500.1.v1.2 pep primary_assembly:ASM411807v1:10:40451274:40456127:-1 gene:Vigun10g189500.v1.2 transcript:Vigun10g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKDAETYEEELLDYEEEDEKAPDSAKPAESGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQVDPVPGQVAALVLCHTRELAYQICHEFERFSTYLPDIKAAVFYGGVNIKVHKELLKNECPHIVVGTPGRILALARDKDLGLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLQESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSAMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSTADSEVLNQVQSRFEVDIKELPEQIDTSTYMPN >Vigun08g038000.6.v1.2 pep primary_assembly:ASM411807v1:8:3660895:3666011:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun08g038000.5.v1.2 pep primary_assembly:ASM411807v1:8:3660534:3666019:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSSQRRRSLSLHLSAPTTVHTHGTCSPCSNQSHLLISFSFPPKQNSHNRLNSHTLPFSMFLIQDLFSLPRLHHVFSESVLQSLSESVTSSSCKSTELEQCRDESAALVLKFVAMASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun08g038000.2.v1.2 pep primary_assembly:ASM411807v1:8:3659648:3666010:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun08g038000.1.v1.2 pep primary_assembly:ASM411807v1:8:3660644:3666019:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSSQRRRSLSLHLSAPTTVHTHGTCSPCSNQSHLLISFSFPPKQNSHNRLNSHTLPFSMFLIQDLFSLPRLHHVFSESVLQSLSESVTSSSCKSTELEQCRDESAALVLKFVAMASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun08g038000.3.v1.2 pep primary_assembly:ASM411807v1:8:3659648:3666010:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSSQRRRSLSLHLSAPTTVHTHGTCSPCSNQSHLLISFSFPPKQNSHNRLNSHTLPFSMFLIQDLFSLPRLHHVFSESVLQSLSESVTSSSCKSTELEQCRDESAALVLKFVAMASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun08g038000.4.v1.2 pep primary_assembly:ASM411807v1:8:3660534:3666019:-1 gene:Vigun08g038000.v1.2 transcript:Vigun08g038000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLAGFGGVAIPLAGKSRRLLRPDGDAFTAAKAFAAGVILATGFVHMLRDSWEALGDPCLRTYWGAWAKFPFTGFFAMVSALCTLLVDFMATAYYERKEELGRVERAKVVECGSDEEALLETGIVEVKDVGGEGSHAVQQTHSHSHHRDDVESTVRHVVVSQVLELGIVSHSMIIGLSLGVSQSPCTMRPLIVALSFHQFFEGFALGGCISQAQFKTLSATLMSCFFALTTPLGVAIGAAIASVFNPYSPGALITEGILDSLSAGILMYMALVDLIAADFLSKKMHCNFRLQITCYCLLFLGAGLMSSLAIWA >Vigun01g236900.7.v1.2 pep primary_assembly:ASM411807v1:1:40817745:40820684:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun01g236900.10.v1.2 pep primary_assembly:ASM411807v1:1:40817745:40819827:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRFLTYLLII >Vigun01g236900.8.v1.2 pep primary_assembly:ASM411807v1:1:40817745:40820684:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun01g236900.1.v1.2 pep primary_assembly:ASM411807v1:1:40817745:40820684:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRLNDWFAALDTVGKKDLEVSCQATQDILLLRLLYIMEALVMEYRYTKIEQLGGCVSLFLQCRRKETTC >Vigun01g236900.4.v1.2 pep primary_assembly:ASM411807v1:1:40817697:40820745:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRFWK >Vigun01g236900.6.v1.2 pep primary_assembly:ASM411807v1:1:40817597:40820729:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun01g236900.11.v1.2 pep primary_assembly:ASM411807v1:1:40817697:40820745:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRFWK >Vigun01g236900.14.v1.2 pep primary_assembly:ASM411807v1:1:40817597:40820684:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun01g236900.12.v1.2 pep primary_assembly:ASM411807v1:1:40817597:40820729:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun01g236900.3.v1.2 pep primary_assembly:ASM411807v1:1:40817697:40820745:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRFWK >Vigun01g236900.5.v1.2 pep primary_assembly:ASM411807v1:1:40817597:40820745:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRLNDWFAALALLFVRQKCTVFELHRIL >Vigun01g236900.13.v1.2 pep primary_assembly:ASM411807v1:1:40817745:40820709:1 gene:Vigun01g236900.v1.2 transcript:Vigun01g236900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMGRRVVQFANLPIKLLMPNSFTNIREIALKTIPSASKIEIKRVLESLYGFQVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPDLYPIRIVEEDKKRVNKQTMSSVVQQEAHTRSHWLDDRKEAQQPFRAQQHRRLGRPESAANAKFPWSSMRC >Vigun11g114750.1.v1.2 pep primary_assembly:ASM411807v1:11:31887456:31888063:-1 gene:Vigun11g114750.v1.2 transcript:Vigun11g114750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGTAIQQVMQGIKNLRKENEAIHQRTKEDNAKQQVKQEFEQLRKEVKESRQRLGEALRQQELLQKTNKEIRSWKKPHNFVLPKVSSFSGESDPKIHLKPFRAQMLIAGGSDVVYCKNVCIVFSRLKCSYNGLRQSGQSP >Vigun11g052350.1.v1.2 pep primary_assembly:ASM411807v1:11:9021124:9028575:1 gene:Vigun11g052350.v1.2 transcript:Vigun11g052350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFFAALCSKLRNLDVLDLSWNNFNQIDIASALSGLSSLKSLYLGNSALSWKSIYNISKLSSLELLHLDRNDLNESHESMLSRLKAENDTFKWPTKLQELDLCFNSLSNEFLSCLSGLLLHLQFLDLSSNQLQGVVDISDYNGVQNLVGHEGSKSLSKLDVLVLDENRIYRNKLRESLLLPSSIKELSMSYTNLNGTAGGSNIYDFAKNLEELYLNQNSFVGSLPTSFLNMTSLRKLELSQNQFSGHFDSSIVSFTSLEYFSFKQNQFEVPISFTPFANQSNLKFIYGEGNKAILDLQPSLQTWIPKFQLQVLSLPSTTKNDSLPLPRFLLHQKNLTYLDFTNCRLEGVFPHWLLENNTKLTEFYVINCSFTSNMQLPLRPLLYLQSIDMSGNYITCEIPSKNISSIYPNLKHLNIFGNHIQGSIPPNHQLLFLKLSNNKLKGPMFKIPIDLVVLSLNDNYFSGYIPLELTQLEYLCHLDLPQNNLSGLVPSFLNSSIKYIHLSNNHFTGLSKKMFKKNSHLMMLDLSHSEISSNIRYMIQDLGHSKLNFLLLKGNSSFNEQKKEKASFTSKKRIDTYLGSILIYIIKSLNLSHNDLSGHIPDSFTNLVQIESLDISFNKLHGQIPPKLNILTSLEVLSVAHNNLLGPIPEGTNQFATFDENNVDIDKVNDMLVEIYIFCVSFETLYINPYWKQAWFYYMELVTLNCYYFIMDNFYRFCNIKNM >Vigun03g268000.1.v1.2 pep primary_assembly:ASM411807v1:3:43898058:43901343:-1 gene:Vigun03g268000.v1.2 transcript:Vigun03g268000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEETLPIAKKETLASDSGLFGRGSYKFWALAAILLLALWSMFTGTVSLRWSGTLNTFSHDLHVPLHDQLDVLEMEEREKVVRHMWDVYTNNRRIRLPRFWQEAFEAAYEDLSSDVAEVRDAAIAEIANMSLSSFDIQLPPVTHSTRAQELDKNLEQFEKGKGATSERA >Vigun05g161500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25667427:25667912:1 gene:Vigun05g161500.v1.2 transcript:Vigun05g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI MFLMVTEFINYSEQIIRAARYIGQGLMITLSHANRLPVTIQYPYEKIISSERFRGRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun02g182900.2.v1.2 pep primary_assembly:ASM411807v1:2:32299850:32303526:-1 gene:Vigun02g182900.v1.2 transcript:Vigun02g182900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFDDEAEPAVTISEYLDEVEERELEADLVLGGDEGKECTFNKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKIFPSKDVENAGNSYNHNFKGSYCTCGRPYPDPDAEEQVEMIQCCLCEDWFHEEHLGLESSTEIPKDEEGEPMYDEFICKACSEVCFFLKLYPEDIWAAGKQTDPTIQKDKAVLEDMPSTRRTENPICDTSYNSPKIDEVQATVDSESTSLGKSLSQGENTSGGIVPNQCPKSTDLHDNCLLGVNIVDASPVLSNKAMFLSKNWRDALCKCKNCLEFYNQKRIAFLLDKEDSIAEYEQMAKKRREEKLQQQEGAELSFFSKLGHVEKVEILKGIEDMKDGLRAFLESADSSKPITAADVHQFFDEIKNKRRRVQ >Vigun02g182900.1.v1.2 pep primary_assembly:ASM411807v1:2:32299890:32303526:-1 gene:Vigun02g182900.v1.2 transcript:Vigun02g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFDDEAEPAVTISEYLDEVEERELEADLVLGGDEGKECTFNKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKIFPSKDVENAGNSYNHNFKGSYCTCGRPYPDPDAEEQVEMIQCCLCEDWFHEEHLGLESSTEIPKDEEGEPMYDEFICKACSEVCFFLKLYPEDIWAAGKQTDPTIQKDKAVLEDMPSTRRTENPICDTSYNSPKIDEVQATVDSESTSLGKSLSQGENTSGGIVPNQCPKSTDLHDNCLLGVNIVDASPVLSNKAMFLSKNWRDALCKCKNCLEFYNQKRIAFLLDKEDSIAEYEQMAKKRREEKLQQQEGAELSFFSKLGHVEKVEILKGIEDMKDGLRAFLESADSSKPITAADVHQFFDEIKNKRRRVQ >Vigun02g182900.3.v1.2 pep primary_assembly:ASM411807v1:2:32298861:32303526:-1 gene:Vigun02g182900.v1.2 transcript:Vigun02g182900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFDDEAEPAVTISEYLDEVEERELEADLVLGGDEGKECTFNKGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKIFPSKDVENAGNSYNHNFKGSYCTCGRPYPDPDAEEQVEMIQCCLCEDWFHEEHLGLESSTEIPKDEEGEPMYDEFICKACSEVCFFLKLYPEDIWAAGKQTDPTIQKDKAVLEDMPSTRRTENPICDTSYNSPKIDEVQATVDSESTSLGKSLSQGENTSGGIVPNQCPKSTDLHDNCLLGVNIVDASPVLSNKAMFLSKNWRDALCKCKNCLEFYNQKRIAFLLDKEDSIAEYEQMAKKRREEKLQQQEGAELSFFSKLGHVEKVEILKGIEDMKDGLRAFLESADSSKPITAADVHQFFDEIKNKRRRVHNHRS >Vigun03g433301.1.v1.2 pep primary_assembly:ASM411807v1:3:63723946:63728576:-1 gene:Vigun03g433301.v1.2 transcript:Vigun03g433301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLHLKEVHFEITENTQRIDRALEETPFIASKVVVELAKSRLIQAAVLLQPSFVSVDDFKGVDIPTAVLGAETDKMSPPELVKKFEQVLSAKPGVDSFVKIFHKVSHGWTENTDLAIDLGGENLWHDCSTKRYNSSSKHKVVCKSKKCPKNASCSATGCIGPYKPGCAINDCDITMSNPLAEFTTSNSMVEDLISLPPTYVPGFLAGCVDLDVDISDRALQGLPKRTRGIVGFSRSKLSFPSQLVLANKLLPIFSLCFPSSNNLKGYGKIFIGAAAGGHSQVESKFLQTTALVVNPVATTAVSIYGAPSIEYFIDVRSIKIDGHVVNLNPSLPSIDKKGNGGTKISSVTPWTELHSSLYKPFVQDFVNKAAGRRMKRVASVAPFDACFDSSTIENSVTGLVVPVIDLVLAGGVQWSIHGANSMVMAGKNVACLAFVDGGMKPKMSSVETSIVIGGHQLEDNLLVFDEASSRLSFSSSLLLRNTTCSHV >Vigun03g297400.1.v1.2 pep primary_assembly:ASM411807v1:3:48409418:48413799:-1 gene:Vigun03g297400.v1.2 transcript:Vigun03g297400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSMKKLSSYLHSLSQTPHRLRKRMLATWTPDQEFNQVRHRSGADMKRKLNWYDLVALGVGGMLGVGVFVTTGSVSLHHSGPSVFISYIIAGISALLSSLCYTEFAVQVPVAGGAFSYLRLTFGEFFGYFAGANILMEYVFSNAAVARSFTEYLSIAFGQNDPNVWRVEVHGLPKDYNMLDFPAVAVILILTLFLCHSTKESSVLNLVMTVFHVIFFGFIIIAGYCNGSAKNLVNPKGIAPFGVRGVLDGAAIVYFSYIGYDSASTMAEEVKDPFKSLPIGIVGSVLITTLLYCLMSLSLCMMVPYNKISEKASFSIAFLKIGWSWASNLVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTGTPLNATVFLGICTACIALFTELDIIIELISIGTLMVFYMVANALIYRRYVITGHAPPSHTLLFLFLLSLSALCFSIAWKFKQQWWGLVLFGGFMIAITAFYHHVMLNTTIAQQQQQQQHWSVPFMPWPPSISIFLNVFLITTLKTLSFQRFAIWACLITIFYVLYGVHNTYEAEEIQMVGDQVNSSVHNLQTKVEIQVY >Vigun09g248200.1.v1.2 pep primary_assembly:ASM411807v1:9:41585585:41588285:1 gene:Vigun09g248200.v1.2 transcript:Vigun09g248200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVDPPNGIRNEGKHYFSMWQALFEIDSKYVPIKPIGRGAYGIVCSSVNKDTNEKVAIKKIQNAFENRVDALRTLRELKLLRHLHHENVIALKDIMMPVHRNSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARINCSKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGSECLNQLKLIINTLGSQREEDIEFIDNPKAKKYIKSLPSSPGTPLSRLYPNAHPLAIDLLEKMLVFDPTKRISVTEALQHPFMAPLYDPNCDPPAVIPIDLDIDEDLGEEMIREMMWKEMLHYHPEAAVESAGLC >Vigun03g279200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45714251:45714808:-1 gene:Vigun03g279200.v1.2 transcript:Vigun03g279200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NTDKISSLQALLTLLWRCVIRCRQVEPEEEVGYVLLVGVGPRMVPPLAEGYFGNSVMVASVVSMKAGELLEGGLGKGALEMNKMVALHSHERVKNYYESWVRNPRLFGSLFSGSLVTSSSPRFNLYGNDFGWGKPLAVRSGSANKIRGKISVFGGAEEGSIDIEVCLPFEILEAMGNHPDFMDAVS >Vigun03g030800.1.v1.2 pep primary_assembly:ASM411807v1:3:2334887:2345199:1 gene:Vigun03g030800.v1.2 transcript:Vigun03g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSLPSLSVPTLAILPQCAVTVRVSLANRFSQLHESDSVIRSVAKTRAARNGGAFDTETAVVEKPQLESLFQVSTGYPSPFGATVRDGGVNFAIYSLNALSATLCLFTLSDFQNNRVTESIPLDPLVNKTGGVWHVFLKGDFSDMLYGYKFDGKFSPLEGHYYDSSRILLDPYAKAVISRGEFGALGPNGNCWPQMAGMVPSDHDEFDWEGDLPLKYPQKDLVVYEMHVRGFTKHESSNTKFPGTYLGVVEKLDHLKELGVNCLELMPCHEFNELEYSSYNSVQGDYRVNFWGYSTVNFFSPMIRYSSAGIRNCGRDGINEIKLLIKEAHKRGIEVIMDVVFNHTAEGNENGPIISFRGVDNSIYYMVAPKGEFYNYSGCGNTFNCSHPVVRQFIVDCLRYWVTEMHVDGFRFDLASIMTRSSSLWDATNVFGAPIEGELLTTGTPLGSPPLIDLISNDPILRGVKLIAEAWDAGGLYQVGTFPHWGIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPNLYQGGGRKPWNSINFICAHDGFTLADLVTYNSKHNLSNGEDNNDGENHNNSWNCGQEGEFVSPLVKKLRKRQMRNFFLSLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYLNYFQWDKKEESSSDFFRFCCLVTKFRQECESLGLDDFPTSERLQWHGRFPGRPDWSDTSRFVAFTMVDSVKGEIYVAFNTSHLPFTITLPERPGYRWEPLVDTSKPVPYDFLTPDLPGREIAIQQYAHFLDANMYPMLSYSSVILLRTPDQNA >Vigun08g198900.2.v1.2 pep primary_assembly:ASM411807v1:8:36413730:36418860:1 gene:Vigun08g198900.v1.2 transcript:Vigun08g198900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERVNELNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKKELNAIISQLPKRRQTLLFSATQTKSIQDLARLSLKDPEYLSVHEESVTATPSLLKQIVMVVPLEQKLDMLWSFIKSHLQSKILVFLSSCKQVKFVFEAFKKLHPGIPLKCLHGRMKQERRMAIYSEFCEKRSVLFSTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYKSDGKSVLFLLPSEMQMLEKLKAAKVPVHFTKPRQERLQPVSTLLASLLVKYPDLQHRAQRAFITYMRSIHLQKDKDIFDVMKLPVNEYSASLGLPMTPKIRFLNQKIKSKVVSTKSILVEPEDSSKKNVLEVSRNKIDTDPFKDEEIENDLFQLADPATNEDKVKSSEIEEIIPGTRVLKKKKLKINVHRPVGTRVVFDDEGNTLPPLARIADTQSGKEALLLDPEQKAEYYKRMRDDLKKADKEDKIIERQRLREKRIKQKMKWKAGNDEEEEDQDDNSGSEGDETVKRRHKKNKVYFDSDSDEGERNEIAGNARTSSGAATLEEQEALALKLLNSMHS >Vigun08g198900.1.v1.2 pep primary_assembly:ASM411807v1:8:36413730:36418860:1 gene:Vigun08g198900.v1.2 transcript:Vigun08g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPKSKEFRKQQRVSEQEEIDLLNSWIQFQPPDSGSNPMSLPPLTKSSPVGRLQDNTYSRYAGASRFEQFPLSKKTKDALRQAKFVVTTDIQRAALPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYRERWGPEDGVGSIIISPTRELAGQIFDVLKDVGKHHNFSAGLLIGGRKDVEMEKERVNELNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKKELNAIISQLPKRRQTLLFSATQTKSIQDLARLSLKDPEYLSVHEESVTATPSLLKQIVMVVPLEQKLDMLWSFIKSHLQSKILVFLSSCKQVKFVFEAFKKLHPGIPLKCLHGRMKQERRMAIYSEFCEKRSVLFSTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYKSDGKSVLFLLPSEMQMLEKLKAAKVPVHFTKPRQERLQPVSTLLASLLVKYPDLQHRAQRAFITYMRSIHLQKDKDIFDVMKLPVNEYSASLGLPMTPKIRFLNQKIKSKVVSTKSILVEPEDSSKKNVLEVSRNKIDTDPFKDEEIENDLFQLADPATNEDKVKSSEIEEIIPGTRVLKKKKLKINVHRPVGTRVVFDDEGNTLPPLARIADTQSGKEALLLDPEQKAEYYKRMRDDLKKADKEDKIIERQRLREKRIKQKMKWKAGNDEEEEDQDDNSGSEGDETVKRRHKKNKVYFDSDSDEGERNEIAGNARTSSGAATLEEQEALALKLLNSMHS >Vigun03g127000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12255722:12256670:1 gene:Vigun03g127000.v1.2 transcript:Vigun03g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVDLDILAYQAYQVDQADQADLGIEVDMGIQAYQVYQVDQADQADLGIEVDMGIQAYQAYQADQADLEIEVDMGIQAYQAYQAYQADQVDMEIEVDMRIQVYQEYQAYQADQVDLGIGTDMEIQAYQGYQAGLGIERPWTVEFQLVM >Vigun10g043200.2.v1.2 pep primary_assembly:ASM411807v1:10:6073314:6074347:1 gene:Vigun10g043200.v1.2 transcript:Vigun10g043200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKNMTERSNDETRNYFSWNLEMERVLAEVLRDQRNMGNKSVGAWKRVAYNVAAAVLSNNFKVQVTWENVKNRIKLWRSWYGVVSDILAIPNWDDIVNLCAKDRATGHGAETAMDADEVMSKEVIEVNFVGLEDLNATIDLEEPNSNLKRKAQSTSSSTSTQSQRRKISEKELMVASMKDVAESFKRLTHVYGKKVDESEIKEVLDEVRLMPNLTKEQCAKAVKWLADMPKQLAIVKALPIEHKEDYVLMHISTT >Vigun01g017400.1.v1.2 pep primary_assembly:ASM411807v1:1:1886825:1897338:1 gene:Vigun01g017400.v1.2 transcript:Vigun01g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSMATLAFRPPHFSEEVAWLPCWLQSLGTNGSIEFLKESQAPSYKEAKDPGPSEETGNAGEYFNAISREEGRYRSCHLFLSGDDSSPLSVASSPENVFHFSLRLSSDNGSPFFPTQDLNESQDIVAPSAVFSLQPIQPSIDFRENMHSIMDHLTCESDFPAAFIPETVENDASKSLVDTTDSVKGADVSNAIELSIAASEALVIHDLVKLDSVLETMHTEAVLEVALQVKQARLEGLEDGFQSSNEESDYSDSLSDLNDFIMEDAYEDIGLLIGVPVEDILCSSTIFESKGVSNVEKGSGCNNKNSDGKHTSQLHNFDDTSKQKQLEVNVGKEMQQNTDSFHHSSCCEKEMHFDDPSLGENTLKHFDNSPPISHQLIGRSTDVLVPDQTVGLPMLDLTSIKLQNNVNSSHVDISGNFKKENWATYPAPERFRSRWLGGWTCKELDSSSLNRKNAEGIPNLLVRETSFLTESVDIVPDENSRVLNHDPNCAIGSQLSVPSEGSHNKPNESILQSQDVIRCFSPSLTDPLCSFVPCSLSLEHANYNTHIDKGNDSEDFVPSVSEFEVDNFQRILEKNVNFDRSDDKVMSMLDDKDLLITEARMDEQVTRKLARVEHSSLKTYSTILPNQYLNLNYNLTALPIDESMGSAASLGTKIPESLSAPTHADGNKNKEDNQHLVDHKSIIEITNGKCYNELKPADENDISAEPTQDTPLILNHRIRRCLLGPVSVANDVTAEKIRKEHLVPETVVQNQKNNTVNELQFESNKFHSEHVRIRKKVHFSEKVEGLHPKRKLSNLESSHKRCSFVRAKRQRVSKSSTNSVPSMRHPLTNSCRNRVNGYIFQGIEFLLTGLSSEKERDMEALIRSSGGVVLYDIPSQNSLGKRHSTLSHFPIVLCMRKLQTTKFLYGCAIGASILKVDWLIDCLSSGTILKPEKYMILPNRNDMKWSRIGTAIHPINQKHIFERVRILLHGKPSFCTKLACIIKHGGGHVFKTLQGLVRIIDEEGTWVEAIVVEDKATISRHLKHCAQERNIPMMPFSWIIKSLYSGKLLPFTEKKTIHPLPFVKVSELPSSSDMSPEI >Vigun08g126000.1.v1.2 pep primary_assembly:ASM411807v1:8:29606043:29615092:-1 gene:Vigun08g126000.v1.2 transcript:Vigun08g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKTTRSRSSVTSAKENGPKLEEGLNPFKTEKFDAESYVQSNCSLNDKEIKQLCTYLVDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQAALIHGLAEGVHIESLSISNSDGFSVNATSDSEDKEISDLDKWLVEFPDLLDVLLAERRVEEALAALDEGERVVSEAKEMKFISPLVLLSLQNSIAERRQKLADQLTEAACQPSTRGAELRASVSALKRLGDGPNAHSLLLNAHQQRYQYNMQSLRPSSTSYGGAYTAALAQLVFSAVAQAASDSLAIFGEEPAYTSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIQESTAALAAADDWVLTYPPTASRQSSRPSSLSISNSTAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQALEGLFQVFNSYVNTLIKALPGSMEEEASFEDSGNKIVRMAETEDQQIALLANASLLADELLPRAAMKLSPINQAAYNDDNRRRNSERQNRHPEQREWRRRLVGSVDRLKDSFCRQHALDLIFTEEGDSHLTADMYINMDGNAEEIEWLPSLIFQELFVKLNRMANIAADMFVGRERFATLLLMRLTETVMLWLSEDQSFWDDIEEGPRPLGPLGLQQFYLDMKFVVCFASHGRYLSRNLQRIVNEIITKAMAAFSATGMDPYRELPEDEWFNDICQDVMERLSGKPKEINGEREVSSPTASVSAQSISSVRSHNSS >Vigun04g190639.1.v1.2 pep primary_assembly:ASM411807v1:4:41452180:41454822:-1 gene:Vigun04g190639.v1.2 transcript:Vigun04g190639.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSSSLMVESVIYGRDADKKIILDWLTSEIDNHNHPSILSIVGMGGLGKTTLAQHVYNDPKIDVAKFDIKAWVCVSDHFDVLTVTKTILESIADKKYDSGNLNMVHKNLKEKLSGKKFLLVLDDVWNEKREEWEVVQTPLNDGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHALKDDDLELNDEKKKIGRSIVEKCKGLPLALKTIGCLLYTKASISDWKSVLESDIWNLPKELGIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLRHSQHIENVEEVGEQYFDDLLTRSFFLQSSIKMRFVMHDLLNDLAKYVGGNFCFMFKFDKGVRIPKTTRHFSFSIDNEVYDDGMGSLIDAKRLRSFIPMTNNIDGLPCALILIDELFSKFKFLRVLSLSGFGDLITEVPDSVGALKQLRSLDLSYTNIQKLPDSICLLFNLLILKLNYCSDLEELPSNIHKLTKLHCLEFENTKVTKMPMHFGELKNLHLLDAFRVHSESSIKQLGGLNLHGSLSIYQVQNIVNPLDALEANLKDKQLVELGLIWNSNHVPNDAREEKEVLENLQPSIHLEHLSIWSYHELSSLKNLEIEGLDGIVSIGVSDGFYGSNSSSFASMERLSFRNMKEWEEWECPQVEMFEEGSLPSNLKEVSLSSFRLITSLREALGAEPCLERLYVENVDTEYFPDEGLLPPLLPF >Vigun11g175200.1.v1.2 pep primary_assembly:ASM411807v1:11:38020346:38024485:-1 gene:Vigun11g175200.v1.2 transcript:Vigun11g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGETTSVSLKLLVLVAVLVVFAVVILVLVFFLCLRGGRSWKRRKLAAKHSSGSIPLVSKEIMVVKTSDLTPATTSEIGDVEGDPKKETGMKVEIDAVLKSEVSGGGAHRSEVSVEDPNIGWGRWYSMKEVELATRGFAEGNVIGEGGYGVVYRGILHDASVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVRLVGYCAEGARRMLVYEYVDNGNLEQWLHGDVGSVSPLTWDIRMRIAIGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKNWNAKVSDFGLAKLLGSEKTHVTTRVMGTFGYVAPEYASSGMLNERSDVYSFGVLLMEIITGRSPIDYSRPPGEMNLVDWFKAMVASRRSEELVDPLIEIPPSPRSLKRVLLICLRCIDMDVVKRPKMGQIVHMLETDDFPFRSELRTVREKDPLPSHADVSIKVPYPPPKHAESVENSRWR >Vigun03g008500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:580142:580417:1 gene:Vigun03g008500.v1.2 transcript:Vigun03g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQYQNQYGAATISKDPVQQEMETTGDTTGVGFGGGGGGGSTQVIAEDYDTRNSNYDNSNSNNSGEKQKKGIIEKIKEKLPGTGTHQHK >Vigun09g153700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31904513:31905532:-1 gene:Vigun09g153700.v1.2 transcript:Vigun09g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESILCKHTLHHTVTKKLLSPKKSQTNSNTDRRVVRISYTDPDATDSSSDEESFPFTRQRIKRYVNQIEIETATTAAPNVLSNARKRPAAEPCRRQVKLHSGKKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIRLRGPDALTNFVTPPQKETPPVEAAEVPELKVGVAEEASGSGYDSGDDHCHHNLSSPTSVLHFRSNSSEENSEKTEEGLRECQGETETSWFDEKGEFMAMENLPEWDDVFNFSAPEYPLVFEEERVVDESHMFGESMAFSPEEVLSDTSSILLADSLIDFDKACSPSTFCQVDDYFQDILQASDPLVLL >Vigun07g089725.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14065067:14065897:1 gene:Vigun07g089725.v1.2 transcript:Vigun07g089725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPPPPTHHHHTTIITTITTTTTITTPPPPPLPPYHNHHHYYNATTTNMPPPPVLTLPWHPYHHYHFHKHHNHHNYNHCHHQHHHHTTIIITTSTTTSSPAPPHHHHHHTTTSTATTPTITTITTRQPTSKTTTTTTTTPPQKSPPLELPPPYNHNHNHHHHQHTPPSQPPPPHHTITTTTPPLQPPRQLPPPPPSSPPPRHQPHHATTPSPRPPPEAYNYHHRHDHYHPALTITTTTTTMTTTTTLAKPPAPPSLPQQHHHHRTTITTTSSP >Vigun06g176900.1.v1.2 pep primary_assembly:ASM411807v1:6:29702438:29711086:-1 gene:Vigun06g176900.v1.2 transcript:Vigun06g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSRIFNHRHLSLPLHLHLSRNKHLSLPPTTSLACSSRSRFGCATLQLHPLIIQQYECGATRNVVPTRAFDDDSFDISMLDDWNSAEESSAYVFSSSDGEDNDGEVFLTPVNDIDLPSVSASNDDAVTVATHRFATLGRGQKKPRAKLGIFITMGLIIVLTLLLLYVDWCAWRIVRLPLSPFYLTRPFLVSAFLVSFAGYVGIPIFRLFKFIHVIKQQGPASHRTKKLTPTLGGLLFVPIGIIVAHVYASSSSTAVSGAAGATIAFAAVGLLSDILSLTNHRRVLPALTEVLLQVAVGTWFSFWLDITSISSPYGMKMLVPLPLGLVYLGRCYQLLTSFSFVSMGHGVKLADAIDGLAGGTAALAFTGMSIAVLPVCSDLAIFGASMAGSCVGFLLHNRYRASIIMGHTGSLALGGGLAAMASCTGMFFPLLISSGIFVVESLSVIIQVLYLKITKSFRGAGWRVLRIPPFHYRLQLRGFREPNIVLGAYLISSILALLGGYVGLVSA >Vigun01g169200.1.v1.2 pep primary_assembly:ASM411807v1:1:35069791:35079502:-1 gene:Vigun01g169200.v1.2 transcript:Vigun01g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKSGESLKVDPGDDKIIHLSNACLGDVTKAKGGESVALNVKFGNQKLVLGTLSTAKFPQISYDLIFEKEFELSHSWKNGSVFFTGFKAQSQSESDNDEDSDDLDEDIPVSAANGKPEFEVKHGVKPDEAKQKKTTDPKKNEKVKEKDANGEDEDSSESDSDGDSSEDKPTANGDIESSEGDEDSDDEDDDEDEDDEESDEETPKKIEAGKKRNIDSANKTTVPVKKAKFVTPQKPDSKNVVHVATPHPSKQAGKAIADNKRQTPKSGGDYSCKPCNRSFKTEDGLNSHNKAKHSAK >Vigun08g059600.1.v1.2 pep primary_assembly:ASM411807v1:8:7864091:7864783:-1 gene:Vigun08g059600.v1.2 transcript:Vigun08g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun01g247300.1.v1.2 pep primary_assembly:ASM411807v1:1:41501752:41504092:1 gene:Vigun01g247300.v1.2 transcript:Vigun01g247300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTELEVTVDRKNKDLKHLGFVRIAAIQTFVFVSNLYEYAKQNSGPLRSAVGTVENTVTTVLGPVCNKFKGFPDDVLVFVDKKVDEATQKFDEHAPPVAKQLANQAKGLIQKVANEAGKVAGEAQSGGPRAAVVYVATESKHFVLINSVKLWNGLNHYPPFHAIAEMAVPTAAHWSEKYNHVIKAMTQKGYCFIGYLPLIPIDEIAKAFKQGQGNLKGDDAPYVEKSSESSSDSD >Vigun01g247300.2.v1.2 pep primary_assembly:ASM411807v1:1:41501653:41504092:1 gene:Vigun01g247300.v1.2 transcript:Vigun01g247300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTELEVTVDRKNKDLKHLGFVRIAAIQTFVFVSNLYEYAKQNSGPLRSAVGTVENTVTTVLGPVCNKFKGFPDDVLVFVDKKVDEATQKFDEHAPPVAKQLANQAKGLIQKVANEAGKVAGEAQSGGPRAAVVYVATESKHFVLINSVKLWNGLNHYPPFHAIAEMAVPTAAHWSEKYNHVIKAMTQKGYCFIGYLPLIPIDEIAKAFKQGQGNLKGDDAPYVEKSSESSSDSD >Vigun03g190200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25987304:25989046:1 gene:Vigun03g190200.v1.2 transcript:Vigun03g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEHHTLHIFFFPFLAYGHIIPTVDMAKLFAEKGVKATIITTPGNAPFISKAIGKAKTNNNQIQIQTINFSSAEVGLPDGLETTDSITNPCMIEPFFMAISLLQEPLEQLLLKQRPNCVVADMFFPWATDSAAKFGIPRLVFHGTSLFSLCATTCMRFMDPNNDVSSDSESVTIPNLPGEIKIARTSLASYGTGTDMNNLLKEAKESELRSYGIVVNTFYALEKDYADLYSKVLGRKAWHIGPLSLCNRDIEEKAHRGKDASVHEHECLKWLDTKKPNSVVYVCFGSTTRLPDSRLREIAIGLEASGQPFMWVVRKSKEDGVEWLPDGFERRMEGKGVIIRGWAPQVLILEHNAIGAFVTHCGWNSTLESVTAGVPMITWPIFADQFFNEKLVTEVLKIGVPVGAKKWIGLEGDSVSWDAVEKAVKRIMNGGEAIVMRNSAMELSKLARRAMEEGGSSHSDLIALIEELSSITF >Vigun06g229800.1.v1.2 pep primary_assembly:ASM411807v1:6:33676053:33679404:-1 gene:Vigun06g229800.v1.2 transcript:Vigun06g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTARRQPKDSFIPPPPTPVDFHHRQYPSRDSDVSSRPSSVGVGGRPTLDFYKERQFQQTVVSTINSFLSSHNFPITFKTTFPSAKDILETLKFLLSLLDFPSSKLEEDLPFLLKRLNYPFKINKSILRSPAAPHQWPSFLALIHWLVQIAKFHFHIASSPKQHNAINQYTVNSYMHYIRGDDDAVEEVDRSIQEKIQHQAAAAKERLDEARRSVAELNAELERLRTSPSPKEALEKEKAILENDVNKFHKMIDELSSRIEQAENVLAEKEKQLKAQEAEREKILEENEELKRRVETQTINPRDVERMKRELQTVERETAELEHARNAWEEKMWEIDTTISHKINDLEALALDCNQALRRLKIGNGIQYVLNGKGTTPAEIMGIDHKIMLKPALISFADEIKKVSMEKREECTSTRQKSDQNAARLEHKKNQLEAVQLRIDEMKAQLNKKKKETQEYTSACYAEANKMLEEVKLKDHEMDIMEKEAAEVLKTSQLKLQKAIKQSEEEIQVRAGELFKLVDSVSKFKEHVGSKISEMRRDLSETAAAVSIAVGGHLEKKMRLHQ >Vigun03g150800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15827721:15828245:1 gene:Vigun03g150800.v1.2 transcript:Vigun03g150800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEVMDLAELMDIKDIQTNVKCCYDNPVRSCNPGTNDDKRCNSLCLKHPCEKGGHCKAFGRKHPKQVCHCLC >Vigun08g194700.1.v1.2 pep primary_assembly:ASM411807v1:8:36101110:36106270:1 gene:Vigun08g194700.v1.2 transcript:Vigun08g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVSAKEIKRVVAVTKSAGKTTAPADTIVGRRVSNRTVVALGIVLLLGFVRVAVLVVESSAACSTFDCVGSTFFGGGDANLKLREELTRALTEANDGNNANEGGTLSFNELVKVLASKQDLKAFAFKTKATLSQMEREVQSARKKESLNWHIASHGVPKGLHCLCLKLAEEYTVNAMARSRLPSPLHVSRLVDPTLHHIVLLTDNVLAASVVVASTVENSANPGRFVFHVVTDKKTYTPMHTWFAINSINSAVVEVRGLHQYDWSKEVNDGVTEMLETNDLIWKHYYNNYKEKELEDNEEDNRYLEALTPSTLSLLNHLRFYIPELFPDLNKVVVVDDDVVVQKDISFLWEVDLNGKVSGSVFKSWCENSCCHGNKYVNFLNFSHPIISSNFDCDKCAWLFGVNIFDLEAWRRSDITNTYHYWLKLNMKSGLTLWNPGVVAPALIAFEGEVQPVDSSWLVTDLGYEHRSEEEMSNSLERVEGAAVVHFNGPAKPWLEIGLPEVRTLWNRYVNFSHNFIRKCSIIE >Vigun08g194700.2.v1.2 pep primary_assembly:ASM411807v1:8:36101110:36106270:1 gene:Vigun08g194700.v1.2 transcript:Vigun08g194700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYVSAKEIKRVVAVTKSAGKTTAPADTIVGRRVSNRTVVALGIVLLLGFVRVAVLVVESSAACSTFDCVGSTFFGGGDANLKLREELTRALTEANDGNNANEGGTLSFNELVKVLASKQDLKAFAFKTKATLSQMEREVQSARKKESLNWHIASHGVPKASVVVASTVENSANPGRFVFHVVTDKKTYTPMHTWFAINSINSAVVEVRGLHQYDWSKEVNDGVTEMLETNDLIWKHYYNNYKEKELEDNEEDNRYLEALTPSTLSLLNHLRFYIPELFPDLNKVVVVDDDVVVQKDISFLWEVDLNGKVSGSVFKSWCENSCCHGNKYVNFLNFSHPIISSNFDCDKCAWLFGVNIFDLEAWRRSDITNTYHYWLKLNMKSGLTLWNPGVVAPALIAFEGEVQPVDSSWLVTDLGYEHRSEEEMSNSLERVEGAAVVHFNGPAKPWLEIGLPEVRTLWNRYVNFSHNFIRKCSIIE >Vigun07g016800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1446862:1447146:1 gene:Vigun07g016800.v1.2 transcript:Vigun07g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIVRQGSFSSSKATRKGVEVPKGYLAVYVGFNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTIPCDEDEFLSLTSRLNELL >Vigun02g165800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31011803:31014758:-1 gene:Vigun02g165800.v1.2 transcript:Vigun02g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTYSRIRYVLEHLCSMLELKRVQAIITKAGFQAHIPFIDKLVFFSALSPTGDLSHAHSLFLQTSMNNSFLCNTMIRAFAKSSFPLQALYIYSHMQTSDVVSDHFTYNFVLKACSRAYKFAQEFGECDEITIVSKGGEVHCTVFKLGFDQDPSIQNSLLYMYSQCGLVHVAQQLFDEISDKSLVSWNIMISAYHRVNNSKSADYLLESMPLKNVVSWNTVIGRYIKLGDIEGARRVFQVMPERDVVSWNSMIAGFVSVKDYAGALTLFSEMQNAEVRPTEVTFISVLGACAETGALEMGSKIHESLKACKHKIEGYLGNALLNMYSKCGNLSSAWEVFRAMRIKTTSCWNAMVVGLAVHGYGEEALTLFSEMESGLGTVRPNRVTFVGVLIACSHKGWVDKARWYFDHMVKNYKIMPEIKHYGCMVDLFSRFGLLEEAHQMITTSPFQNRAILWRTLLGACKTQGNMELAKVSFQQLAKLKSLTDGDYVLLSNMYAEAERWDEVERVRSEMIDLHVSKQVGHSQIDMTECDKLS >Vigun06g192400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30947327:30948250:-1 gene:Vigun06g192400.v1.2 transcript:Vigun06g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKWSDLPGDLLSKIANGLGLIDFLSFRCVCKDWNTASLKVSPYDKSVLCDPWFLMYGREGSKCSLLSHQNKCYSINLPEIDGATCLASYQGWLLLFGQGSLFFFSPFSRAKIDLPNCPLTDACDHVAAFSAAPTSEECIVVVLNCTIPTELQLFKLCKGKDEWAKSSFSGHEFRKIETALFYEKKEFHFLDGDNGLITFNASKKSKQWNMYTLSVSTGKSPSSTRLRYTTRKNIFQLKNEQREKGLLEANDSISTCGTIVPHSSGLCDMIIRSESIEAKTQPQSRHLKGVWIQPRYFPVPSTQTW >Vigun11g024100.3.v1.2 pep primary_assembly:ASM411807v1:11:3076567:3082465:1 gene:Vigun11g024100.v1.2 transcript:Vigun11g024100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQDKELSEGQGVPIRNLFIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWGTGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESIDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWSVSPRKLGGLNFSTNDVGNVLSITGVALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALTIVIVIASVLKNILSATIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGALLTWSQKRMDASFLPGTNMVFFVLNIVEAIGIMMMFKPFLAEKKKTQSDQLH >Vigun11g024100.1.v1.2 pep primary_assembly:ASM411807v1:11:3076567:3082465:1 gene:Vigun11g024100.v1.2 transcript:Vigun11g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQDKELSEGQGVPIRNLFIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWGTGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESIDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWSVSPRKLGGLNFSTNDVGNVLSITGVALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALTIVIVIASVLKNILSATIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGALLTWSQKRMDASFLPGTNMVFFVLNIVEAIGIMMMFKPFLAEKKKTQSDQLH >Vigun11g024100.4.v1.2 pep primary_assembly:ASM411807v1:11:3076869:3082465:1 gene:Vigun11g024100.v1.2 transcript:Vigun11g024100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQDKELSEGQGVPIRNLFIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWGTGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESIDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWSVSPRKLGGLNFSTNDVGNVLSITGVALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALTIVIVIASVLKNILSATIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGALLTWSQKRMDASFLPGTNMVFFVLNIVEAIGIMMMFKPFLAEKKKTQSDQLH >Vigun11g024100.5.v1.2 pep primary_assembly:ASM411807v1:11:3077586:3082465:1 gene:Vigun11g024100.v1.2 transcript:Vigun11g024100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWGTGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESIDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWSVSPRKLGGLNFSTNDVGNVLSITGVALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALTIVIVIASVLKNILSATIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGALLTWSQKRMDASFLPGTNMVFFVLNIVEAIGIMMMFKPFLAEKKKTQSDQLH >Vigun11g024100.2.v1.2 pep primary_assembly:ASM411807v1:11:3075678:3082465:1 gene:Vigun11g024100.v1.2 transcript:Vigun11g024100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQDKELSEGQGVPIRNLFIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWGTGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESIDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWSVSPRKLGGLNFSTNDVGNVLSITGVALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALTIVIVIASVLKNILSATIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGALLTWSQKRMDASFLPGTNMVFFVLNIVEAIGIMMMFKPFLAEKKKTQSDQLH >Vigun03g013700.1.v1.2 pep primary_assembly:ASM411807v1:3:924507:926216:-1 gene:Vigun03g013700.v1.2 transcript:Vigun03g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSKAVSLTVEQEQPEAKPPHEAMFLVLTYLPVYEVVLMSQLCTSLRDAVNNDILPWLNVTVERPLSWRLNDEILLKVTSKANGRLKILTLISCTRVTDEGLQRVVQQNPLINKLRIPGCTGITPEGVLRAVKTLCQKSNCLKTLSINGIYNVQKDHLDMLMMNLRKNQSTEEQQKQQPVYYHERGSLSVFKDEESQRLIDLDICPRCSEVRMVYDCPREHCMRREWPPSPCRGCKFCIPRCENCGGCIECGEVEEGACEDIFCLECWLQLPKCSFCNKPYCKQHSNWWCTSSDSSLICKVCDENSHGYTYTDVL >Vigun06g236300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34162071:34165861:-1 gene:Vigun06g236300.v1.2 transcript:Vigun06g236300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDLQNNNQSNTNTKTNTQSAVASAAAAVVGKHHNHQQSSSSHLPSHFISSTNSSSSSSSSSSSNSSSSASASSHIIDASLAIATRSDDSANKTQQLPATVAAPSPAANPPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSFRSSGSTLSAPPSKSAPHTFHGALALAHHPYEEAFQHTALLGFHPHAHAHQQHQQQHQQHQQQQLLSADQIAEALPSGGGDSGDSYLRKRYREDLFKDDNINTQSQNESGDGSSPKTPNLQLPKQQQQPQQQNEAGSGLLRPTNLLPATAMWAVAPAPASGPPGSTIWMLPVTAASSGSAAAAASASASSGGGGGGGGSSAGSESQMWPFPQSGFMPRFNLPSALEFQGARAGSLQLGSMLMPQQPSQHLGLAMSDSNFGMLAALNAYSRPGFNINSDNHHPHHHHHHHHHHPLEHQHQHQHQPQPSESGEDAPNSSQ >Vigun05g061600.1.v1.2 pep primary_assembly:ASM411807v1:5:5315338:5319896:1 gene:Vigun05g061600.v1.2 transcript:Vigun05g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDGAFMNTQLSKHTSIFGLRLWVVIGILIGVFIVFTLFLLSLCLVSRRNRRRSVAGYKVAGSAQAAKEIHTIVHIPGPHMLRPPAKAPEIQVEMGKAEHRVVVHCDRVSSEESKGTMGSGCETTSSFGSGSVGGLGLGPEVSHLGWGRWYTLRELEDATGGLCPENVVGEGGYGIVYHGVLNDGTKIAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGPVSPLTWNIRMNVILGTARGLAYLHEGLEPKVVHRDVKSSNILLDRQWNSKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLTEKSDIYSFGILIMEIITGRSPVDYGRPQGEVNLIEWLKTMVGNRKSEEVVDPKLPEMPSSKALKRALLIALRCVDPDATKRPKMGHVIHMLEADDMLFHNEHKTDGESSRSYQNEHKDSNLNKRTDGVSDQSEDGSSSRWR >Vigun03g075900.1.v1.2 pep primary_assembly:ASM411807v1:3:6316526:6317208:1 gene:Vigun03g075900.v1.2 transcript:Vigun03g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPPNFPTPSEDSEQLRKAFQVGIGFQNSDFCHSGSLSGTLSLASPILDEVTGKIVILVFVLLLPFRSLCLT >Vigun03g075900.2.v1.2 pep primary_assembly:ASM411807v1:3:6316526:6317207:1 gene:Vigun03g075900.v1.2 transcript:Vigun03g075900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPPNFPTPSEDSEQLRKAFQGIGFQNSDFCHSGSLSGTLSLASPILDEVTGKIVILVFVLLLPFRSLCLT >Vigun08g118300.1.v1.2 pep primary_assembly:ASM411807v1:8:28580293:28582171:-1 gene:Vigun08g118300.v1.2 transcript:Vigun08g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLFLTLTATLSAYLLWFLLLARTLTGPTPFPFLGSLPQLFHNRHRVHDWIAANLRAAGSSATYQTCIIPFPFLARRQGFYTVTCHPKNLEHVLRTRFDNYPKGPRWHAAFHDLLGHGIFNSDGDTWLMQRKTAALEFTTRTLKHAMSRWVNRSIKNRLWCIMDKAEKDRVSVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPENPFAVAFDTATEATMHRFLYPGIVWRFQKLLCIGSEKRLKESLRVVETYMNDAVADRRETPSDDLLSRFMKKRDAAGNPFSSAALQRIVLNFVLAGRDTSSVALTWFFWLLMNHPHVEEKLMQEITTVLSDTRGGDRRRWTEDPLDFGEADRLVYLKAALAETLRLYPSVPQDFKQAVDDDVLPDGTVVPAGSTVTYSIYSAGRVETIWGEDCMDFKPERWLSVRGDRFEPPKDGFKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLLRYRLSLVPGHRVEQKMSLTLFMKNGLKVFLHPRKLQGGPGVPTSS >Vigun01g103300.1.v1.2 pep primary_assembly:ASM411807v1:1:27252763:27254596:1 gene:Vigun01g103300.v1.2 transcript:Vigun01g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFTSFFPLTLSSPSSSSSSKLSTLHAFRASTSQYFSTQHTPRREFLKGLALMPLPLVVLREPPPSHAREVEVGSFLPPSPSDPSFVLFTASPKDTPALRAGNVQPYKFLLPPTWKQARVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGTPEKLIASLGPFVTGNTFDPEELLETSVEKLGDQTYYKYVLETPYALTGTHNLAKATAKGNTVVLFVVSANDKQWQTSEETLKTVLDSFQV >Vigun01g103300.2.v1.2 pep primary_assembly:ASM411807v1:1:27252761:27254596:1 gene:Vigun01g103300.v1.2 transcript:Vigun01g103300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLRLDPSSHPLPPTLLSFFSRPLPRTLPPFEQFFAGNVQPYKFLLPPTWKQARVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGTPEKLIASLGPFVTGNTFDPEELLETSVEKLGDQTYYKYVLETPYALTGTHNLAKATAKGNTVVLFVVSANDKQWQTSEETLKTVLDSFQV >Vigun01g242700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41194652:41195644:1 gene:Vigun01g242700.v1.2 transcript:Vigun01g242700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIAATMAIVNTKSLNVQLPPSKPTLSLFSHLNLPKGLTSTVNATVSATTSSIAGTAIAGAVFSTLGCCDAAFAASQIAQIAEGDNRGLALLLPVVPALAWVLFNILQPALNQVNRMRSSRGVMVGLGLGLGASGLVWGPEASASEMGLIADAADAADAAAGSDNRGQLLLFVVAPAIVWVLYNILQPALNQINRMRSK >Vigun02g142500.1.v1.2 pep primary_assembly:ASM411807v1:2:29106444:29111317:-1 gene:Vigun02g142500.v1.2 transcript:Vigun02g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDMCLFYKEILVMKPPKRSPLLLRTAVVMFSMVCGVFIFSACLKQISTQARTTFVDFKVIDNHSHGRLKLMNTPHLLHYPKPLSFSRNECAHNPVLFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVRERRKNVSSILQTLDKVYNLDWFNSASKNECSAATGLKWMLNQGLMEHHKEIAEYFNRRRVSVIFLFRRNLLRRMVSMLANSYDRYAKLLNGTHKAHVHSAEEADILSKYKPIINSTSLLDDLKDMEIRSAKALEYFNSTRHMIVYYEDLMRNHTKLRDVQGFLGLPQMELTSRHVKIHRGPLSDHIQNWDDVNKTLKGTVYESFLEADY >Vigun01g095200.1.v1.2 pep primary_assembly:ASM411807v1:1:25926290:25933436:1 gene:Vigun01g095200.v1.2 transcript:Vigun01g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALSSSSPCWCSSPPPLPPFTQRHRFVTLKPYTRSQPHHTLAAAATTRPSTPLVHSTRQGFDTVNIAEDVTQLIGNTPMVYLNKVTEGCVANIAAKLESMQPCRSVKDRIGYSMLSNAEEIGAISPGKTVLVEPTTGNTGLGIAFVAATKGYKLIVTMPASVNVERRILLRAFGAEVILTDSEKGLKGAVDKAEEIVRSTPDAYMFRQFDNMTNAKIHFETTGPEIWEDTLGNVDVLVAGIGTGGTITGTGRYLKMMNQNIEVVGVEPADRSVVSGDNPGFLPSILDIKLLDEVIKVTNVEAIEMARRLALKEGLLVGISSGAAAAAAIKLARRPENSGKLIVVIFPSFGERYISTALFQSIYEEVQNM >Vigun01g095200.3.v1.2 pep primary_assembly:ASM411807v1:1:25926137:25933436:1 gene:Vigun01g095200.v1.2 transcript:Vigun01g095200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALSSSSPCWCSSPPPLPPFTQRHRFVTLKPYTRSQPHHTLAAAATTRPSTPLVHSTRQGFDTVNIAEDVTQLIGNTPMVYLNKVTEGCVANIAAKLESMQPCRSVKDRIGYSMLSNAEEIGAISPGKTVLVEPTTGNTGLGIAFVAATKGYKLIVTMPASVNVERRILLRAFGAEVILTDSEKGLKGAVDKAEEIVRSTPDAYMFRQFDNMTNAKIHFETTGPEIWEDTLGNVDVLVAGIGTGGTITGTGRYLKMMNQNIEVVGVEPADRSVVSGDNPGFLPSILDIKLLDEVIKVTNVEAIEMARRLALKEGLLVGISSGAAAAAAIKLARRPENSGKLIVVIFPSFGERYISTALFQSIYEEVQNM >Vigun01g095200.2.v1.2 pep primary_assembly:ASM411807v1:1:25926137:25933436:1 gene:Vigun01g095200.v1.2 transcript:Vigun01g095200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALSSSSPCWCSSPPPLPPFTQRHRFVTLKPYTRSQPHHTLAAAATTRPSTPLVHSTRQGFDTVNIAEDVTQLIGNTPMVYLNKVTEGCVANIAAKLESMQPCRSVKDRIGYSMLSNAEEIGAISPGKTVLVEPTTGNTGLGIAFVAATKGYKLIVTMPASVNVERRILLRAFGAEVILTDSEKGLKGAVDKAEEIVRSTPDAYMFRQFDNMTNAKIHFETTGPEIWEDTLGNVDVLVAGIGTGGTITGTGRYLKMMNQNIEVTNVEAIEMARRLALKEGLLVGISSGAAAAAAIKLARRPENSGKLIVVIFPSFGERYISTALFQSIYEEVQNM >Vigun07g059800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6728631:6728984:-1 gene:Vigun07g059800.v1.2 transcript:Vigun07g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSLRKHHGKVSQDSEPEEEEDLFEIDLEAVNCVSPPRFWESCCYTSTENVALLANCLLPKSDVSSAVPAVCSSAGNTNVVLIAEPTSLGEYLRLPFLGIIHQKMEPKFHFQFQR >Vigun07g132200.1.v1.2 pep primary_assembly:ASM411807v1:7:24190229:24197408:-1 gene:Vigun07g132200.v1.2 transcript:Vigun07g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRMKLLLVAVEVACLVSGIFSFGSQTLSQLSFLENGVVSTRPSSTQPQPLMVDLTLIQEALSKGAVCLDGTLPGYHLDRGFGSGADSWLVHLEGGGWCDTIRNCVYRKHTRRGSSKFMENQIPFTGILSNKPEENPDFFNWNRVKLRYCDGASFSGDAEDESAQLQFRGQKIWLAAMEELMSKGMQKANQALLSGCSAGGLASIIHCDEFRSLFPESSKVKCLSDAGFFLDAIDVSGGRTLRNLFGGVVQLQDVQKNLPKSCLNQLDPTSCFFPQNLIDHIETPLFLLNTAYDVWQVQASLAPPSADRLGSWNECKSNHANCSSSQIQFLQDFRNQMLSDITDFSRSSQTGLFINSCFAHCQSERQETWFADDSPLIEDKPIAVAVGDWYFDREVVKAIDCAYPCDNSCHNLVFNFK >Vigun11g013851.1.v1.2 pep primary_assembly:ASM411807v1:11:1670719:1672410:-1 gene:Vigun11g013851.v1.2 transcript:Vigun11g013851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGHLPELLVPLRCLEVFCCNQLEAYTISALQVQLRDYGKLQELGIQRLGELNNIHEDLSIGGLQNIDNSLDALEADLKNKTHIVKLKLQWDLRRNGNSVDSKKEEDVIENLQPSKNLKELSIFGYGGTQFPNWLQKNSLLNMVFLKLSGCKSCQHLPPLGLLPFLKHLHISQFDEIVSIDADFYGNSSSSFESLETLSFSYMTQWEKWACKGLIGVFPCLKHLSISFCPKLKGHLPEQLFPLKTLEITECQQLEVSAPKALDLNLKNGGNVLFDWATVKRLELGGDNMEASFLEMVGRIIPDNSIKQLRIDSNTFSDSPKSDDSVSLWTFPLHFFPTLKKLTLDGFSNLHMISHNHPHNHLKCLKIVRCHKFESLPETCICCFHLSRN >Vigun06g002950.1.v1.2 pep primary_assembly:ASM411807v1:6:1353189:1354317:1 gene:Vigun06g002950.v1.2 transcript:Vigun06g002950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFVPSFASSTSNTSRQRRNDSWWLFPAPSLISSILRRRSSLPSSAVAHLFHPPPSDLPLLLSVQIHTILSFFFSFSFSIATHSTLGVDGT >Vigun05g186700.2.v1.2 pep primary_assembly:ASM411807v1:5:36124598:36129098:-1 gene:Vigun05g186700.v1.2 transcript:Vigun05g186700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFRPISCTRKTPYRIETRNLSYRLCSQVDEYRSLCFGSSPGRGAKFILKDVNCEARPGELTAIAGPSGAGKTTLLEILAGRIPPCNKVSGHVLVNHKPMDVNWFRRASGYVTQDDALFPSLTVRETLMYSAMLRLPGGRKVAAVRVEELMKELGLEHIADSRIGGGTDHGISGGERRRVSIGVDLVHDPAVILIDEPTSGLDSASALNVVSLLRLVAFNQGKTIILTIHQPGFRILELFDGLILLSDGFVMHNGSLSLLEARLKLAGHHIPDHVNVLEFALDVMESLVIHTSESGNNQFLVRENQDHKMRMQHSKVVKVKAIAYSNSPTEEIAILGQRFCCNIFRTKQLFVSRIIQAIVAGFILGSIFLNVGNEKSHVALQTRSGFFAFSLTFLLSSTTEGLPIFLDERRSFMRETSGGAYRVCSYVLANALVFLPFLLLVGLLYSTPVYWLVGLRKDIDGFLYFSLVVWLVLLMSNSLVACFSALVPNFILGTSVIAGLMGSFFLFSGYFISQEKIPSYWIFMHYLSLFKYPFECLMINEYGGERGKTRCLEISNGKCILHGVEFLRQQGLKDSQKWSNLPVMLSFIVGYRRERENGRKKEVKEREKTKFCHTLARVAGDTEGAKDSNPLEHCGQKVKERETPKYREFGAVVETETERERVLQRKKRGTTIEDNERVREVRHCRLVVESSTHCSRLGGLPETAGSGSRRRQPFHREYKMDSKRGGYVDCTEEKKCVVGMAVSDEELRHDVVKEAFEELSVKMASKQRT >Vigun07g057300.1.v1.2 pep primary_assembly:ASM411807v1:7:6307835:6309282:1 gene:Vigun07g057300.v1.2 transcript:Vigun07g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPHPQHASNISPNSVSQKLQEKETIFLQEQTWNPNCMYWGKVLGGGGVMMEEELWRKGPWTAEEDRLLVEYVRLHGEGRWNSVSRLAGLKRNGKSCRLRWVNYLRPDLKRGQITPQEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKSPSDAAEKARNRVMKKQQFQQQQQLQQQQQQYEAQKQLQFNFDMKDIMALLEDNNTCRTPYAAASQSRQEMNTMHQNNTEEQGFFYSMLNNGNISAPETSSEEIFLDGLWNLDHGNFTVANAASKAGLYNLVAPFC >Vigun03g239800.1.v1.2 pep primary_assembly:ASM411807v1:3:39995019:39998505:-1 gene:Vigun03g239800.v1.2 transcript:Vigun03g239800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSSSPFTITFYHTKPFSSNSLNLHHSFPRPLSPSSSLCLSAQRIFTNSFTCALTHSPSQKYVYPDPIPQFAESESQKFKVELFQKLSEDVDEFGDDLDEVVAVCTQIFSEFLHKDYGGPGTLLVEPFTDMMVALKKKKLPGAALAARSSLLWAQKYVDKDWDVLNSTLK >Vigun03g239800.4.v1.2 pep primary_assembly:ASM411807v1:3:39996697:39998515:-1 gene:Vigun03g239800.v1.2 transcript:Vigun03g239800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSSSPFTITFYHTKPFSSNSLNLHHSFPRPLSPSSSLCLSAQRIFTNSFTCALTHSPSQKYVYPDPIPQFAESESQKFKVELFQKLSEDVDEFGDDLDEVVAVCTQIDYCNVTGMDGFS >Vigun03g239800.3.v1.2 pep primary_assembly:ASM411807v1:3:39996405:39998520:-1 gene:Vigun03g239800.v1.2 transcript:Vigun03g239800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSSSPFTITFYHTKPFSSNSLNLHHSFPRPLSPSSSLCLSAQRIFTNSFTCALTHSPSQKYVYPDPIPQFAESESQKFKVELFQKLSEDVDEFGDDLDEVVAVCTQVNVVFLFKNLTLWRKLLWVLLVLVLF >Vigun03g239800.2.v1.2 pep primary_assembly:ASM411807v1:3:39996405:39998544:-1 gene:Vigun03g239800.v1.2 transcript:Vigun03g239800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSSSPFTITFYHTKPFSSNSLNLHHSFPRPLSPSSSLCLSAQRIFTNSFTCALTHSPSQKYVYPDPIPQFAESESQKFKVELFQKLSEDVDEFGDDLDEVVAVCTQITAMLLEWMDLVKDEAESNGSSCIWTKFPHE >Vigun04g120600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30948635:30951208:1 gene:Vigun04g120600.v1.2 transcript:Vigun04g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTGRGDDLSWGRSIKGFSLQVLTGRWFMMFSSFMLMSVSGASYMFSLFSQDIKLVLGYDQSTLNLLSFFQELGASIGIHAGLIYEVTPPWFILTIGGVLNFAGYFVIWLVVSSKIAKPQLWQMCFYLFIGANSHCATNTGALVTSVKNFPSSRGVVLGLLTGYTGLSAVIITQLYYAFYGNDSKLLIFLMAWLPTAAVFLFLPVIRIHNTVQQPNDTKALYRFLYLTLVLAGFLMFVTVVQQFFTFSQFHYHVTTTVMLLLLILPLSVVIVEEHKIWNSKHENINREDSQKLLANHPNIARENYYQEKSSQVPQEVSFWENIFNPPERGEDHTILQAAFSLDMVLLLLTSICSLGSNMTMVNNLSQIGISLGYPSHTITTFVSLTSIWIYLGKIVQGVVSEFIILKYRVPRPLMLTSLLLLSCVGHLLVAFNVPNGLYVASIVIGFCFGANWPLIYSVISELFGLKHYSTLYNFGSLAGPIGSYLLSVRVAGYLYDREAMRQMEAKGQKTSPGEELNCNGEECFKLAFIIITAVCLLGALLSLILVFRTKKLYRSDLYKRFKEAKSDETETGSCCNRIESSAANED >Vigun03g180500.1.v1.2 pep primary_assembly:ASM411807v1:3:22811109:22813613:-1 gene:Vigun03g180500.v1.2 transcript:Vigun03g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHYFPSPSLLLTRWWTTETVAVVTGGNKGIGFALVKRFAELGVSVVLTARNKQRGEAAVETLREEGFGDYVHFLLLDVSDPVSVSTFASSFKTKFGSTLDILVNNAGVSYNELEENSVDHAESVMKTNFYGPKLLIQALLPLFRRSSSSITRVLNVSSRLGSLDKVRNAEIRAVLEREELMEEEIEGVVGMFLRDVREGRWKKEGWPSYWTEYAVSKVALNAYSRVLAKRYSYEGSGLSVNCFCPGFTQTSMTKGKGTHTAEAAAACAATLALLPPHLLPTGKFFSLGRAATFLNATSKL >Vigun11g014500.3.v1.2 pep primary_assembly:ASM411807v1:11:1787685:1795179:1 gene:Vigun11g014500.v1.2 transcript:Vigun11g014500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENSENMQINCEASLKCLPGKVFPCNFQSNGSSMEGFTELKNEPGTHPAGDVTEPNCHLGSEFLEPSNEFHSKPTYHQNYSTWTPCHFSAHKVQQCQMNGFDGHFYPYPVENQLQYVPINMVAQGYPREQYQEFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSITGQLEACFQTYVRPTCNHLLSDFCKDLTGIQQIQVDRGVSLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRYKKIRKPPYFNRWINLRVPFREVFGAVRCNLKEAVEIAGLVWQGRQHCGLDDAKNTARLLALLMHRGFKFSITNTIMWQGPSDLSLVWKQSPEQPIIFPHCPYKAKDVSSPMGQYGPFCYCGVKSSRGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWAAN >Vigun11g014500.1.v1.2 pep primary_assembly:ASM411807v1:11:1787541:1795179:1 gene:Vigun11g014500.v1.2 transcript:Vigun11g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENSENMQINCEASLKCLPGKVFPCNFQSNGSSMEGFTELKNEPGTHPAGDVTEPNCHLGSEFLEPSNEFHSKPTYHQNYSTWTPCHFSAHKVQQCQMNGFDGHFYPYPVENQLQYVPINMVAQGYPREQYQEFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSITGQLEACFQTYVRPTCNHLLSDFCKDLTGIQQIQVDRGVSLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRYKKIRKPPYFNRWINLRVPFREVFGAVRCNLKEAVEIAGLVWQGRQHCGLDDAKNTARLLALLMHRGFKFSITNTIMWQGPSDLSLVWKQSPEQPIIFPHCPYKAKDVSSPMGQYGPFCYCGVKSSRGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWAAN >Vigun11g014500.2.v1.2 pep primary_assembly:ASM411807v1:11:1787548:1795179:1 gene:Vigun11g014500.v1.2 transcript:Vigun11g014500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENSENMQINCEASLKCLPGKVFPCNFQSNGSSMEGFTELKNEPGTHPAGDVTEPNCHLGSEFLEPSNEFHSKPTYHQNYSTWTPCHFSAHKVQQCQMNGFDGHFYPYPVENQLQYVPINMVAQGYPREQYQEFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSITGQLEACFQTYVRPTCNHLLSDFCKDLTGIQQIQVDRGVSLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRYKKIRKPPYFNRWINLRVPFREVFGAVRCNLKEAVEIAGLVWQGRQHCGLDDAKNTARLLALLMHRGFKFSITNTIMWQGPSDLSLVWKQSPEQPIIFPHCPYKAKDVSSPMGQYGPFCYCGVKSSRGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWAAN >Vigun09g137900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29965710:29966639:1 gene:Vigun09g137900.v1.2 transcript:Vigun09g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIFKTFHFSAVFIAVFALCVLPPATADDGHSTVLRLPSQVAGDEGQKVCGGVAPSSCPVKCFRTDPVCGVDGVTYWCGCSEAACAGAQVAKMGFCEVGNGGSVPLSGQALLLVHIVWLIVLGFSVFFGLF >Vigun06g145400.1.v1.2 pep primary_assembly:ASM411807v1:6:27080806:27093095:-1 gene:Vigun06g145400.v1.2 transcript:Vigun06g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPNEASLSGVEPLMQKIQNEIRTVDAGILTAVRQQSNSGTKAKEDLAAATRAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIMELREKFKNIKQILKSHVFSDFSSLGTGKESEETNLLQQLSDACLVVDALEPSVREELVNNFCNRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPASWHVSYRLCILFCKKTRKLLEDILANLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIANEIEEIGRGTNSSNSALDIRKKYEKKLAAHQGGDTEEKDGTKDLAVPGAGFNFRGIISSCFEPHLTVYVELEEKTLMESLEKLVQEETWDIEEGSQSNVLSSSMQLFLIIKRSLKRCSALTKNQTLFNLLKVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDKDERVICYIVNSAEYCHKTAGELAESVSKIIDPQYAEGVDMSEVQDEFSAVITKSLVTLVHGLETKFDIEMAAMTRVPWGTLESVGDQSEYVNAINSILTTSIPALGSLLSPIYFQFFLDKLASSLGPRFYSNIFKCKQISETGAQQMLLDTQAVKTILLEVPSLGRQTSGAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKLGPGIKQTQVAPTVVPAAPPVAPVVPSPSAVGLIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Vigun10g153800.2.v1.2 pep primary_assembly:ASM411807v1:10:37412154:37415865:-1 gene:Vigun10g153800.v1.2 transcript:Vigun10g153800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPKPTEIVGYGFRPTDEELVDFYLKHKLLGDDPRVHVLTHIDLCDVEPWEVPALLAKSEVQFKDPEWFFFSPVDFKYSNSKRVNRRTKCGFWKPTGKDRDVRTWDTNTVIGTKKTLVFHNGSASKGVKANWVIHEYHALNFHQSQAFVLCRLIKKPGKITEGKTDAVICDEVESSINLVSDYENQTRTERVPSGGMFTGVETICEATDQTDKGISPIEASIIDVEQYETSSLNSSSINAYFKNENNNTKISFKSMQNAYDNIQILNENNQISSPNLKTSLRNFHTPSESTWIPYEMTDISQIPFKSIQNSYEISQISYEILQTLLKSIGNSNEISQIPFDNTQISHEKFQTSCENNQIPFQITQNPCEITHTSFEVTQIPNEDSQAPFKIIETPFENNSITFKTTQIPYKNSQITSETVQHSFETTQTPFETIPKEELPNSHESLTIDEENMFGFLNCFTQSESLKRAYYESTCTDEEVVPNQDANIEDIPTMSTEYLISDKYHSLKWFKTSYDIGHGDTCLLSSNQEPNQESIWQDDFWGSGDIML >Vigun10g153800.1.v1.2 pep primary_assembly:ASM411807v1:10:37412154:37415865:-1 gene:Vigun10g153800.v1.2 transcript:Vigun10g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPKPTEIVGYGFRPTDEELVDFYLKHKLLGDDPRVHVLTHIDLCDVEPWEVPALLAKSEVQFKDPEWFFFSPVDFKYSNSKRVNRRTKCGFWKPTGKDRDVRTWDTNTVIGTKKTLVFHNGSASKGVKANWVIHEYHALNFHQSQKAFVLCRLIKKPGKITEGKTDAVICDEVESSINLVSDYENQTRTERVPSGGMFTGVETICEATDQTDKGISPIEASIIDVEQYETSSLNSSSINAYFKNENNNTKISFKSMQNAYDNIQILNENNQISSPNLKTSLRNFHTPSESTWIPYEMTDISQIPFKSIQNSYEISQISYEILQTLLKSIGNSNEISQIPFDNTQISHEKFQTSCENNQIPFQITQNPCEITHTSFEVTQIPNEDSQAPFKIIETPFENNSITFKTTQIPYKNSQITSETVQHSFETTQTPFETIPKEELPNSHESLTIDEENMFGFLNCFTQSESLKRAYYESTCTDEEVVPNQDANIEDIPTMSTEYLISDKYHSLKWFKTSYDIGHGDTCLLSSNQEPNQESIWQDDFWGSGDIML >Vigun05g122700.1.v1.2 pep primary_assembly:ASM411807v1:5:13569448:13572348:1 gene:Vigun05g122700.v1.2 transcript:Vigun05g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCIVLRRLKMGMLMKIIGGQFIFCCVLLHVLVAGGESLEAPELKKNCTYVITIETTCTWGAETSNLVSLRFGDTNSNGILVRHLNSKHLRKVDPLYPVVLDDIPRKPFQACMVDQFEVTAPCVESPICYLYLKLIGNDDWRPGFAHIQVLEGSHLNSNYFYFRRFVPRHVWHGSDVCDSEVTPFGLKKKTKVYVNTP >Vigun09g277100.1.v1.2 pep primary_assembly:ASM411807v1:9:43714917:43720280:1 gene:Vigun09g277100.v1.2 transcript:Vigun09g277100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEEGETLEFTPTWVVAAVCTVIVAISLAAERFLHFGGKFLKAKDQKPLYEALQKIKEELMLLGFISLLLTVTQNGITKICVPPDFTRHMLPCSLDLAPHHESHFQTFYFPGTPRRLLAAAAGEHAPPHSETEAVTETGTETGFCARKNKVPLLSVEALHHLHIFIFVLAVVHVTFSVLTVVFGGARIRQWKHWEDSIAKQNYETDRVLKPKVTVVQQHDFIRGRFSGFGKDSAIVGWMISFSKQFYGSVTKSDYVTLRHGFIMTHCKSNPKFNFHKYMIRALEDDFKQVVGISWYLWLFVVIFLLLNINGWHTYFWIAFVPVILLLAVGTKLEHVITQLAHEVAEKHAAIEGDLVVQPSDEHFWFHRPRVVLFLIHFILFQNAFEIAFFFWIWLTYGFDSCIMGQVRYIIPRLVIGVFIQVLCSYSTLPLYAIVTQMGTHYKRAIFNEHLQHNIVGWAQKAKKRKELKADGRPGQGSSQESGNTGIQLGSIFTKKASAPGDTSSAPKDDGTT >Vigun11g065800.1.v1.2 pep primary_assembly:ASM411807v1:11:15889614:15894269:-1 gene:Vigun11g065800.v1.2 transcript:Vigun11g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYFSFSYLVKKFHNKLLIPSTIVPSCAAINTMAIPLPSTLVIIASLFLLSSKISSETNTITQLQPLIYGTNLVSEGGTFELGFFSLGTSTNLYLGIWFKNIPQKTIVWVANRNNPINNTMNRNNSTELTLTKEGNLVLLSSNNTVHWSTNATTKSKSVNAVARLLETGNFVLKDENDNNSENYLWQSFDYPSDTLLPGMKLGWEVATGLNRFITSWNNWEDPSSGHYSYGVSRGNIPEMQIWNGSSVSYRSGPWSGFRFSATPALKRRPLVNINFVDNPRESYYQVFPRNSSMLLRTVINQTANALLRFIWDEDNQNWRLDLVIPRDGFCGYNYCGSFGYCAVAGNTSTCECLRGFRPKSPEEWSAKIWNEGCVNSTDTWRCRVKNKDGFVKVSNMKIPDTKTSWMNRTMTIDECKAKCWANCSCTAYANSDITENGSGYSGCIIWFGDLLDLRLLPDAGQDLYVRLDISKIENKNDSKKVVIGVISSVSLILAVLVIFTFLYQRRKDKDKKAKINGSEDDLELPLFDFDTIACATNDFSDDHMLGQGGFGPVYKGTLSDGKNIAVKRLSDTSAQGLKEFKNEVIFCSKLQHRNLVKVLGYCIEEQEKLLIYEYMPNKSLNFFLFDNSQSKLLDWSTRLNIITGIARGLLYLHQDSRLRIIHRDLKSSNILLDDDMNPKISDFGLARGGRGDQIEGNTRRVVGTYGYMAPEYAIGGVFSVKSDVYSFGVLLLEVLSGKKNKGFSYSIHNYNLIEHAWRCWKESIPIEFIDTCLRDSYILSEALRCIHIGLLCIQHQPNDRPNMTSVLTMLTSESTLPQPEKPVFLMERVLVEEDVGQNMYCPTNEVTISEMEPR >Vigun11g038650.1.v1.2 pep primary_assembly:ASM411807v1:11:5376589:5377589:-1 gene:Vigun11g038650.v1.2 transcript:Vigun11g038650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFKLFLSSIILCTMLQPYTEALRKTYIVYLGEHSHGQTPSLRDLESATNSHHHLLAPVLGSHEKAKEVVIYSYNKHINGFAALLEEEEASEIAKNPSVISVFLSKEYKLHTTRSWDFLGMEKYGGIPTESAWLKGKFGEDTVIANLDSGKSYPTL >Vigun02g038800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16240479:16241347:1 gene:Vigun02g038800.v1.2 transcript:Vigun02g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMLDAVATTANPSYTAAELAKQLDVSNAKLIVTRFSNSGGQENAIFLQYRLQHSYCSFFFSGFHC >Vigun11g094200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27689144:27692410:-1 gene:Vigun11g094200.v1.2 transcript:Vigun11g094200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGYEDNLFLCSALIDFYAKCFAVLDAKKVFSGIRTHDQVSWTSLITGLSINGQGINAFLLFKEMLCTQIKPNCLTFVSVISACVGQNGGLQHCSALHTHIIKQGCDTNNFVVSSLIDCYANQGQIDDVVLLFVETSEKDIVVYNSMISGYSKNLCSDDALKLFVEMRGRNLGITDHTLCTILNACSSLALLLQGRQVHSLVIKMGSERNVFVASALIDMYSKGGDIDEAQRVLDQTSEKNNVLWTSMIMGYAQCGRSSEALELFDCLPTKQELVPDHICFTAVLTACNHAGLLDKGVEYFNKMTTNYGLSPDIDQYACLIDLYARKGSLSKARDLMQEMPYDPNYVIWSSFLSSCNIYGNVELGKEAADQLIKMEPCNAAPYLTLAHVYARKGLWNEVAEVRRVMQQKRMRKRAGWSWVEVDKL >Vigun11g094200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27689144:27692410:-1 gene:Vigun11g094200.v1.2 transcript:Vigun11g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCSSSFAYGFTHRLFGKPCSKPASICGAIESLSTVNGPTRKPVKYALCNALSSYAKTRNWRLGIQIHAFMIRSGYEDNLFLCSALIDFYAKCFAVLDAKKVFSGIRTHDQVSWTSLITGLSINGQGINAFLLFKEMLCTQIKPNCLTFVSVISACVGQNGGLQHCSALHTHIIKQGCDTNNFVVSSLIDCYANQGQIDDVVLLFVETSEKDIVVYNSMISGYSKNLCSDDALKLFVEMRGRNLGITDHTLCTILNACSSLALLLQGRQVHSLVIKMGSERNVFVASALIDMYSKGGDIDEAQRVLDQTSEKNNVLWTSMIMGYAQCGRSSEALELFDCLPTKQELVPDHICFTAVLTACNHAGLLDKGVEYFNKMTTNYGLSPDIDQYACLIDLYARKGSLSKARDLMQEMPYDPNYVIWSSFLSSCNIYGNVELGKEAADQLIKMEPCNAAPYLTLAHVYARKGLWNEVAEVRRVMQQKRMRKRAGWSWVEVDKL >Vigun08g111400.1.v1.2 pep primary_assembly:ASM411807v1:8:27608224:27619924:1 gene:Vigun08g111400.v1.2 transcript:Vigun08g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSVVTPLINYSTEFRTYEDDAWYTVYVLFDGDTLRVKYLDFSPENDVVFHSSNFQNWTDLEAFKQRFRPLSKQLQDEECGLLNHGTRVCASHVFNQEDIRFYDAVVDGVQQREHSWKTGEEQCFCTFILFWLHGPNARKLTATSIENICVVQPKWELDRAVASFVDNCSSFLMPKEDSGFGMVPYRGNRSNSARQITYFGRMIKETQCARRSIVSAYSPEVSCHDRRMEDRDLGGTKNVCMIMITNMDKKLCSSTVAEFLRRHTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRPWVVLEKLVGLENIKASIGELVHISEQNILQEKQRGTMNNLKVVYSGSKEFKIASIMRDLFWAFSEHQERLKKRLAFEERKIFAAKEELA >Vigun08g073900.1.v1.2 pep primary_assembly:ASM411807v1:8:12656465:12657699:-1 gene:Vigun08g073900.v1.2 transcript:Vigun08g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSSTSTTKSKKSGGGANSTTTSYNSTNTTNNNIGSTSNNNGSNTNTPCCSKVGLKRGPWTPEEDEVLANYIKKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGHIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPPSMNMNIPSSSTVPPPSMPPPMTITTHNPLHDFTVVNQGIHAIHHLGQQHHQPPVSNPFDNNNINNQVAAVADDVSAMGFMDSEDCNDDININYYSDDVFSSFLNSLINEDAFASQQHHVQTELPSERCIPLPCDDRVDDPLVSITTASTSQGYDDDLGVLWESPLVPSTTFTHHVNDPIIKMAHDHHHHNQ >Vigun06g071000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20176074:20176902:-1 gene:Vigun06g071000.v1.2 transcript:Vigun06g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKAAMGALMTFLLSCIGIKYGASKTNPFQDSILSILVTAVFSHAIASAADMDQPNTIIIFYLSGIVACETLLWILIAHLYWFCLINFLLLLILQFLFFHLLKHLLLRSFNSITHWFTGTPPNTELQGVASQIPDFSTCSF >Vigun08g116400.2.v1.2 pep primary_assembly:ASM411807v1:8:28370450:28378160:1 gene:Vigun08g116400.v1.2 transcript:Vigun08g116400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQETTSKEKNPGTSSVPINANGPTSVISGTISGSSHSSSSAGTGDRLGPSSGDINNLNSASPSDSSSKVAGVASGSGSIPSSSIHPGSGPASSSAAYFSSSDPVLVPSDDLWFPGAAGAIKREMGNLHPPGESSVVNSAKNKLTAASESGGSSVQGKIQGKSQVAAKNHVAEMSPTSSTVTHSSPSISRPSSNYSTRSQQLIGPQKAGTNKEWKPKPTYSNNQGSRPASAPEAPVSVDPIGQSQSASSVLNSEEATSKLQRKLEDFHLPQRQHVILPNHIIVPDSEKNKFSFGSLGVAFGVNTTYVSGLESEKSSTPVSETSQTIEETVEEQESSQNAVVNSEVGDYPDHTQSPTNGVDNLSSIEVDGSSSSIQEYNESKQDTALPSGGHQYSGVLTSPNYSFGFVPPMLGTQLTPFDNSESQTRDASRLPSFIVHQQLDPTSYYAQFYRTGADSDGRLSPFSSAGANTKYNGNVTVLPAPTSQSPQEGGVLSTAGPAPLVTQAAGLMQSSIAVTQQPVPVFRPSGVHISHYPPNYIPYGPYFSPFYVSPPAIHQFLGNGAFPQQPQAGTVYPPPPAPTGMKYPLPQFKPGANAANPTHLVMPSAYGVYGSSAAGYNHNSAAAAGNSTSNEDLGSSQFKESNVYLSGQQTEGSAVWVAAPGRDITSMPTSTFYNLPPQGQHVTFAPTQAGHGTFAGIYHPAQGVTAATVHPLLQQSQTMAGGVDMVGPGGNVYQQPQHAQINWPSNY >Vigun08g116400.1.v1.2 pep primary_assembly:ASM411807v1:8:28360548:28378160:1 gene:Vigun08g116400.v1.2 transcript:Vigun08g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGFRASIPTSVRRTIQNIKEITGNHSEEDIYAMLKECSMDPNETAQKLLLQDTFHEVKRKKDRRKENLNNRESVEPRWRPGTQGRGARGGRGNFSPHNVSHDAGGGKNSGAGKDNGTHQATVKVVPPMAVSQETTSKEKNPGTSSVPINANGPTSVISGTISGSSHSSSSAGTGDRLGPSSGDINNLNSASPSDSSSKVAGVASGSGSIPSSSIHPGSGPASSSAAYFSSSDPVLVPSDDLWFPGAAGAIKREMGNLHPPGESSVVNSAKNKLTAASESGGSSVQGKIQGKSQVAAKNHVAEMSPTSSTVTHSSPSISRPSSNYSTRSQQLIGPQKAGTNKEWKPKPTYSNNQGSRPASAPEAPVSVDPIGQSQSASSVLNSEEATSKLQRKLEDFHLPQRQHVILPNHIIVPDSEKNKFSFGSLGVAFGVNTTYVSGLESEKSSTPVSETSQTIEETVEEQESSQNAVVNSEVGDYPDHTQSPTNGVDNLSSIEVDGSSSSIQEYNESKQDTALPSGGHQYSGVLTSPNYSFGFVPPMLGTQLTPFDNSESQTRDASRLPSFIVHQQLDPTSYYAQFYRTGADSDGRLSPFSSAGANTKYNGNVTVLPAPTSQSPQEGGVLSTAGPAPLVTQAAGLMQSSIAVTQQPVPVFRPSGVHISHYPPNYIPYGPYFSPFYVSPPAIHQFLGNGAFPQQPQAGTVYPPPPAPTGMKYPLPQFKPGANAANPTHLVMPSAYGVYGSSAAGYNHNSAAAAGNSTSNEDLGSSQFKESNVYLSGQQTEGSAVWVAAPGRDITSMPTSTFYNLPPQGQHVTFAPTQAGHGTFAGIYHPAQGVTAATVHPLLQQSQTMAGGVDMVGPGGNVYQQPQHAQINWPSNY >Vigun03g275900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45208598:45209286:-1 gene:Vigun03g275900.v1.2 transcript:Vigun03g275900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQSLQKHLFIFVTLVACYGSLVAHGRKINIKALKQHLPALETNFESPHYEESRKMEDSGAGSTNAFRPTTPGGSPGVGHEMITSSMAEVSKSEGPGHSPGVGHAYHQNKIGEGI >Vigun01g206600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38244446:38248866:1 gene:Vigun01g206600.v1.2 transcript:Vigun01g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDNHIHESNHHNGSHIAKKPKLFSSVITASEIESEFGHHDTAVARINNGSFGCCPASIIDAQHRWQLRYLRQPDHFYFNDLKTGILQSRTLIKDLVNADHVDEISIVDNATTAAAIVLQHTAWNFREGRFQKGDVVLMLHYAYGAVKKSMEAYVTRAGGNVVEVSLPFPVNSNDEIVSEFRKALERGKSNGNRVRLAVIDHVTSMPCVVIPVKELIQICREEGVDQVFVDAAHSIGCTDVDMKEIGADFYTSNLHKWFFCPPSIAFLYTRRNPKGSGSDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAAVEFVNRFEGGIEGIKKRNHEAVVEMGEMLAKAWGTRLGSPPHMCASMVMVGLPACLGIGSDSDALELRTHLRDVFGVEVPLYYRPPREGEVGVITGYARISHQVYNKVDDYYKFRDAVNQLVQNGFTCAHLSG >Vigun01g206600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38244215:38248866:1 gene:Vigun01g206600.v1.2 transcript:Vigun01g206600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDNHIHESNHHNGSHIAKKPKLFSSVITASEIESEFGHHDTAVARINNGSFGCCPASIIDAQHRWQLRYLRQPDHFYFNDLKTGILQSRTLIKDLVNADHVDEISIVDNATTAAAIVLQHTAWNFREGRFQKGDVVLMLHYAYGAVKKSMEAYVTRAGGNVVEVSLPFPVNSNDEIVSEFRKALERGKSNGNRVRLAVIDHVTSMPCVVIPVKELIQICREEGVDQVFVDAAHSIGCTDVDMKEIGADFYTSNLHKWFFCPPSIAFLYTRRNPKGSGSDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAAVEFVNRFEGGIEGIKKRNHEAVVEMGEMLAKAWGTRLGSPPHMCASMVMVGLPACLGIGSDSDALELRTHLRDVFGVEVPLYYRPPREGEVGVITGYARISHQVYNKVDDYYKFRDAVNQLVQNGFTCAHLSG >Vigun07g222800.2.v1.2 pep primary_assembly:ASM411807v1:7:34492739:34497080:1 gene:Vigun07g222800.v1.2 transcript:Vigun07g222800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPTLVKIIFLLVFVGWLMVWILLPTKVYRNTWTPQLKQKLNSTYFREQGTNLLLFTFPVVFMGALGCIYLHLHLKKPENLSSSQRGRLRLLRRPVLVMSPIGIVTSMELIFAVMFGALLIWSLSNYLHTSFEHLHMHKEGEKVWEAKFRSVSLRVGYIGNICWAFLFFPVTRASSILPLVGLTSESSIKYHVWLGHLSMLLFALHTIGFFIYWAITDQMKESLEWSKTYVSNVAGVIASSIALAMWVPSFPRLRRKMFELFFYTHHLYTLYILFYAIHVGVEYLCMIAPGIFLFLVDRHLRFLQSRQNARLLSARILPSAVVELNFSKTPDLLYNPSSMVYINVPKISKLQWHPFTVISSCNMEKDILSVAIKTEGSWTNKLYQQLSSSALDHLNVSVEGPYGPTTSHFLRYEELVLVSGGSGITPFISIIRELIFQSQQESHVPRVLLVCAFKNYVDLTMLDLMLSVFGSSDQISNLRFQIEAYITREKEEPPIDSQKPIQTKRFKPTLSDTPISVVLGPNNWLWLGAIISSSFLMFLLLLGIITRYYIYPIENNSDDVYHWTFKVLWFMFLLFAVVCVCSSAVFLWWRRQNTLESKQIMNVEVPTPTTSQGSWIYGSERELESLPHQSLVQATNVHFGARPDLKKILFDCKGKDVGVLVCGPRNMRHEVARICASGLADNLHFESISFTW >Vigun07g222800.1.v1.2 pep primary_assembly:ASM411807v1:7:34492739:34497080:1 gene:Vigun07g222800.v1.2 transcript:Vigun07g222800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPTLVKIIFLLVFVGWLMVWILLPTKVYRNTWTPQLKQKLNSTYFREQGTNLLLFTFPVVFMGALGCIYLHLHLKKPENLSSSQSRGRLRLLRRPVLVMSPIGIVTSMELIFAVMFGALLIWSLSNYLHTSFEHLHMHKEGEKVWEAKFRSVSLRVGYIGNICWAFLFFPVTRASSILPLVGLTSESSIKYHVWLGHLSMLLFALHTIGFFIYWAITDQMKESLEWSKTYVSNVAGVIASSIALAMWVPSFPRLRRKMFELFFYTHHLYTLYILFYAIHVGVEYLCMIAPGIFLFLVDRHLRFLQSRQNARLLSARILPSAVVELNFSKTPDLLYNPSSMVYINVPKISKLQWHPFTVISSCNMEKDILSVAIKTEGSWTNKLYQQLSSSALDHLNVSVEGPYGPTTSHFLRYEELVLVSGGSGITPFISIIRELIFQSQQESHVPRVLLVCAFKNYVDLTMLDLMLSVFGSSDQISNLRFQIEAYITREKEEPPIDSQKPIQTKRFKPTLSDTPISVVLGPNNWLWLGAIISSSFLMFLLLLGIITRYYIYPIENNSDDVYHWTFKVLWFMFLLFAVVCVCSSAVFLWWRRQNTLESKQIMNVEVPTPTTSQGSWIYGSERELESLPHQSLVQATNVHFGARPDLKKILFDCKGKDVGVLVCGPRNMRHEVARICASGLADNLHFESISFTW >Vigun11g190600.1.v1.2 pep primary_assembly:ASM411807v1:11:39003400:39009817:-1 gene:Vigun11g190600.v1.2 transcript:Vigun11g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEIEKWIEGDESAAEMLSRVSSVRPFVFPPPLHRVPLRVGNVLELVGPSPSAKTQILIHAAITSVLPKPYGGLDHLVLFLDLDCRFDITRFSQLLIHRITQHGTDSYDQALYRLCMARFLYVRCYDSFEFLHTLRTLHCRLEKEKEVHGVGVHVLMIDSIGAFHWMDRASMFLSQRQTSKKKLFLQTVSEAVVQNIRKLLQVHPMLIMATKSVIFGNRYSTASNEVKGNMEERCSKNMTRNHQNFQYREYMPSVWQSFVTHRILIHSSDEHPGTTNHQNSAYSLEWLLPKLSFPDRIVVKDAGVFVQS >Vigun11g190600.3.v1.2 pep primary_assembly:ASM411807v1:11:39004525:39009908:-1 gene:Vigun11g190600.v1.2 transcript:Vigun11g190600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEIEKWIEGDESAAEMLSRVSSVRPFVFPPPLHRVPLRVGNVLELVGPSPSAKTQILIHAAITSVLPKPYGGLDHLVLFLDLDCRFDITRFSQLLIHRITQHGTDSYDQALYRLCMARFLYVRCYDSFEFLHTLRTLHCRLEKEKEVHGVGVHVLMIDSIGAFHWMDRASMFLSQRQTSKKKLFLQTVSEAVVQNIRKLLQVHPMLIMATKSVIFGNRYSTASNEVKGNMEERCSKNMTRNHQNFQYREYMPSVWQSFVTHRILIHSSDEHPGTTNHQNSAYSLEWLLPKLSFPDRIVVKDAGVFVQS >Vigun11g190600.5.v1.2 pep primary_assembly:ASM411807v1:11:39004022:39009844:-1 gene:Vigun11g190600.v1.2 transcript:Vigun11g190600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEIEKWIEGDESAAEMLSRVSSVRPFVFPPPLHRVPLRVGNVLELVGPSPSAKTQILIHAAITSVLPKPYGGLDHLVLFLDLDCRFDITRFSQLLIHRITQHGTDSYDQALYRLCMARFLYVRCYDSFEFLHTLRTLHCRLEKEKEVHGVGVHVLMIDSIGAFHWMDRASMFLSQRQTSKNMEERCSKNMTRNHQNFQYREYMPSVWQSFVTHRILIHSSDEHPGTTNHQNSAYSLEWLLPKLSFPDRIVVKDAGVFVQS >Vigun11g190600.4.v1.2 pep primary_assembly:ASM411807v1:11:39004525:39009908:-1 gene:Vigun11g190600.v1.2 transcript:Vigun11g190600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEIEKWIEGDESAAEMLSRVSSVRPFVFPPPLHRVPLRVGNVLELVGPSPSAKTQILIHAAITSVLPKPYGGLDHLVLFLDLDCRFDITRFSQLLIHRITQHGTDSYDQALYRLCMARFLYVRCYDSFEFLHTLRTLHCRLEKEKEVHGVGVHVLMIDSIGAFHWMDRASMFLSQRQTSKNMEERCSKNMTRNHQNFQYREYMPSVWQSFVTHRILIHSSDEHPGTTNHQNSAYSLEWLLPKLSFPDRIVVKDAGVFVQS >Vigun11g190600.2.v1.2 pep primary_assembly:ASM411807v1:11:39004022:39009844:-1 gene:Vigun11g190600.v1.2 transcript:Vigun11g190600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEEIEKWIEGDESAAEMLSRVSSVRPFVFPPPLHRVPLRVGNVLELVGPSPSAKTQILIHAAITSVLPKPYGGLDHLVLFLDLDCRFDITRFSQLLIHRITQHGTDSYDQALYRLCMARFLYVRCYDSFEFLHTLRTLHCRLEKEKEVHGVGVHVLMIDSIGAFHWMDRASMFLSQRQTSKKKLFLQTVSEAVVQNIRKLLQVHPMLIMATKSVIFGNRYSTASNEVKGNMEERCSKNMTRNHQNFQYREYMPSVWQSFVTHRILIHSSDEHPGTTNHQNSAYSLEWLLPKLSFPDRIVVKDAGVFVQS >Vigun06g047800.1.v1.2 pep primary_assembly:ASM411807v1:6:17222220:17226123:-1 gene:Vigun06g047800.v1.2 transcript:Vigun06g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLLLTVLLIHSATVAADYIRPPPRKALHLPWHSQPSSYPQQVHISLAGDQHMRVTWITDDNSAPSIVEYGTLPGQYGSVAEGETTSYSYVLYNSGKIHHAVIGPLEHDSVYYYRCGGQGPEFQLRTPPAQLPITFAVAGDLGQTEWTKSTLDHIDQCKYNVHLLPGDLAYADYIQHRWDSFGRLVQPLASARPWMVTQGNHEVESIPLLKDGFVSYNSRWKMPFEESGSNSNLFYSFEVAGAHIIMLGSYADYDEYSEQYRWLKEDLSKVDRKRTPWLLVLFHVPWYNSNKAHQGEGADMMAAMEPLLNAASVDLVLAGHVHAYERSKRVYNGRVDPCGSVHITIGDGGNNEGLAHRYINPQPIWSEFREASFGHGELKIVNSTHAFWSWHRNDDDEPVKSDDIWITSLISSGCVDQKRNELRNKLMTP >Vigun03g309801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50193344:50195593:1 gene:Vigun03g309801.v1.2 transcript:Vigun03g309801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFFVALTFVLSFHIHFAQANEQPSTASTSKTYIIHVKGPKGTTLTQSEDVESWHNSFMPPTTMSSEDQPRMIYSYRNVISGFAATLTEEELREVEKKDGFISAHPERMLRRQTTHTPQFLGLQQQTGVWKESNFGKGVIVGVLDSGITLNHPSFSDAGMPPPPPKWKGRCELYGTACNNKLIGARSFNLAAEATKEAETPIDEDGHGTHTASTAAGAFVDNAEVLGNAEGTAAGIAPHAHLAIYKVCFGEDCPESSVLAALDAAVEDGVDVLSISLGLNEPPTFFNDSTAIGTFAAMQKGIFVSCAAGNSGPVHGSLVNGAPWILTVGASNIDRSLVARVKLGNGQEFDGESVFQPSDFSPTPLFLTYAGKNGKQQAAFCANGSLNDADFRGKVVLCERGGGIGRIAKGEEVKRAGGAAMILMNDETDGFSLPADVHALPATHVSYDAGVKIKGYINSTATPTATILFKGTVIGNSLSPAVSSFSSRGPNLPSSGILKPDIIGPGVNILAAWPFPLNNSTDSKPTFNIMSGTSMSCPHLSGVAALLKSSHPHWSPAAIKSAIMTSADILNFEHELIVDETFHTADVFAAGSGHVNPSRANEPGLVYDITPDDYIPYLCGLGYGDTEVGIIAHRTVKCSETSSIPEGQLNYPSFSVSLGSPKTFTRTVTNVGEANSSYEVTVKPPERVNVKVQPNKLYFSEANQKQTYSVSFSRIDSGNETVQYAEGFLKWVSYNHTVRSPILVNFV >Vigun06g173500.2.v1.2 pep primary_assembly:ASM411807v1:6:29452644:29456201:1 gene:Vigun06g173500.v1.2 transcript:Vigun06g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDVESLFNYAMKGQWRETLEAYNKNPGALEAKITKAEDTVLHLAVYLGQTKFVRKVLDGINEEVCLNVLHMQNSKGNTTLHLAAEVGNVDMCHNMAKRDSKLISARNNEGETPLFLAAVHGEREAFFCLHHLKENHDDDEEEDDSLVRKNNGNTILHCTISSEHFGLALQIIKLYPKLVNSVNRDGLSPLQVLAGKPNCFKSSTRMELLQSIIYNCSIVDEIEETDYVRYNGEHKTHHYPMNYETCATFFSLLKSTAIGKDDQAASNDEQSNVPQKSEEEQEKKSEKKRYAFPPNWAAVIRFLTHMMKFLLIIFGVGSNWIGKIQRKKVKHIQAMQVMNELIERASSSLYKHDYTSMSVHGSVKSRRMAAPILIAAKMGVREMVEKILDTEPVAIHEVDSENKNVVLLAIENRRPHVYSLLNKRSLIRETAFRQVDKYGNSALHLAATYRSHRPWRVPGAAMQMQWEYKWYKLVKDSMPPNFFERYNENGETAKQLFIKTHEGLAKEGGKWLTKTSESYTLVAALVATVAFATSTAIPGGPDQRTGYPLFEGRPAFNIFAMTSLVALCSSVTALVLFLSILTSRFQEKDFALDLPRKLLLGLTTLFTSIASVLVSFCAGHFFIVENELKFAVYPIYAATCLPVSFFALVQLPLYLDLSLAMIRKVPQRSYKVHSHGMHHHY >Vigun06g173500.1.v1.2 pep primary_assembly:ASM411807v1:6:29452620:29456240:1 gene:Vigun06g173500.v1.2 transcript:Vigun06g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDVESLFNYAMKGQWRETLEAYNKNPGALEAKITKAEDTVLHLAVYLGQTKFVRKVLDGINEEVCLNVLHMQNSKGNTTLHLAAEVGNVDMCHNMAKRDSKLISARNNEGETPLFLAAVHGEREAFFCLHHLKENHDDDEEEDDSLVRKNNGNTILHCTISSEHFGLALQIIKLYPKLVNSVNRDGLSPLQVLAGKPNCFKSSTRMELLQSIIYNCSIVDEIEETDYVRYNGEHKTHHYPMNYETCATFFSLLKSTAIGKDDQAASNDEQKEEQEKKSEKKRYAFPPNWAAVIRFLTHMMKFLLIIFGVGSNWIGKIQRKKVKHIQAMQVMNELIERASSSLYKHDYTSMSVHGSVKSRRMAAPILIAAKMGVREMVEKILDTEPVAIHEVDSENKNVVLLAIENRRPHVYSLLNKRSLIRETAFRQVDKYGNSALHLAATYRSHRPWRVPGAAMQMQWEYKWYKLVKDSMPPNFFERYNENGETAKQLFIKTHEGLAKEGGKWLTKTSESYTLVAALVATVAFATSTAIPGGPDQRTGYPLFEGRPAFNIFAMTSLVALCSSVTALVLFLSILTSRFQEKDFALDLPRKLLLGLTTLFTSIASVLVSFCAGHFFIVENELKFAVYPIYAATCLPVSFFALVQLPLYLDLSLAMIRKVPQRSYKVHSHGMHHHY >Vigun01g199200.4.v1.2 pep primary_assembly:ASM411807v1:1:37570278:37577305:-1 gene:Vigun01g199200.v1.2 transcript:Vigun01g199200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSFPSLEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIEASQLKKKPPPLEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKAVDVIKYTDEEYDKYLTNPMWTKEETDQLFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVSRAILVARAPSSGDVAAHPLVKELYNVSQEMERKRALSMVLSQTRQQERRDEEVLVEAKRIAESRIPPKVAEESHLAAPNAGAEATERAVPGETVSPSNVQLPPMVAPPTLSDNASTLASLRMLRVYLRTYALEQMVQAANSSAGLRTIKRVEQTLQDLGVNLKPRVPTKAVCAEHLELRKEILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKDRTFIPDAMNFGVERVGKKDQKRKAPGAPSAHKRPRKLKATDL >Vigun01g199200.3.v1.2 pep primary_assembly:ASM411807v1:1:37570278:37577305:-1 gene:Vigun01g199200.v1.2 transcript:Vigun01g199200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSFPSLEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIEASQLKKKPPPLEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKAVDVIKYTDEEYDKYLTNPMWTKEETDQLFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVSRAILVARAPSSGDVAAHPLVKELYNVSQEMERKRALSMVLSQTRQQERRDEEVLVEAKRIAESRIPPKVAEESHLAAPNAGAEATERAVPGETVSPSNVQLPPMVAPPTLSDNASTLASLRMLRVYLRTYALEQMVQAANSSAGLRTIKRVEQTLQDLGVNLKPRVPTKAVCAEHLELRKEILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKDRTFIPDAMNFGVERVGKKDQKRKAPGAPSAHKRPRKLKATDL >Vigun01g199200.2.v1.2 pep primary_assembly:ASM411807v1:1:37570278:37577305:-1 gene:Vigun01g199200.v1.2 transcript:Vigun01g199200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSFPSLEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIEASQLKKKPPPLEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKAVDVIKYTDEEYDKYLTNPMWTKEETDQLFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVSRAILVARAPSSGDVAAHPLVKELYNVSQEMERKRALSMVLSQTRQQERRDEEVLVEAKRIAESRIPPKVAEESHLAAPNAGAEATERAVPGETVSPSNVQLPPMVAPPTLSDNASTLASLRMLRVYLRTYALEQMVQAANSSAGLRTIKRVEQTLQDLGVNLKPRVPTKAVCAEHLELRKEILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKHLHRAGDQDRTFIPDAMNFGVERVGKKDQKRKAPGAPSAHKRPRKLKATDL >Vigun01g199200.1.v1.2 pep primary_assembly:ASM411807v1:1:37570207:37577349:-1 gene:Vigun01g199200.v1.2 transcript:Vigun01g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSFPSLEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIEASQLKKKPPPLEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKAVDVIKYTDEEYDKYLTNPMWTKEETDQLFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVSRAILVARAPSSGDVAAHPLVKELYNVSQEMERKRALSMVLSQTRQQERRDEEVLVEAKRIAESRIPPKVAEESHLAAPNAGAEATERAVPGETVSPSNVQLPPMVAPPTLSDNASTLASLRMLRVYLRTYALEQMVQAANSSAGLRTIKRVEQTLQDLGVNLKPRVPTKAVCAEHLELRKEILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKHLHRAGDQDRTFIPDAMNFGVERVGKKDQKRKAPGAPSAHKRPRKLKATDL >Vigun07g209600.7.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.8.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.3.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.1.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.10.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.4.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.2.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >Vigun07g209600.9.v1.2 pep primary_assembly:ASM411807v1:7:33097206:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDRNSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRCC >Vigun07g209600.5.v1.2 pep primary_assembly:ASM411807v1:7:33098896:33109871:-1 gene:Vigun07g209600.v1.2 transcript:Vigun07g209600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMCHYCGAGLSESNFDNKKEGNESSLKCNAKVSIRPCKSCGEKLERANAKWRSNSPYVTPYVSPTTSLQSTDSCVSTCSDFSVDVNSCDSQEESTVERGVEDLGYRVNGSQKVMESHSEESNNNNEGYTVRDVEIAQGHNFQEEKAYHSEDPIESSVEETEYSLPDDLEVQTWEPPEPENPQDDMDNSVTCNDDDEDHSMGIANLGEPISINSSEDELSGIYRFKEEKLRAMEEMMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTSLSWEAASFLKPDAVGGSTMNPDGYVKVKCIAAGSRGQSQLIRGLVFKKHAAHKHMPTKYKNPRLLLISGVLGHSIDGLSSFDSMDQEKDDLKSKMDRIEMCHPNVILVEKTVSRDIQESILAKGMTLVLDMKLHRLERVARCTGSPILSCDNLNGQKLRHCDFIYFEKFVEEHDGVGEGGKKPVKTLMFIDGCPTRLGCTILLKGTHSDELKRIKCVLRCAVVMAYHLILETSFLVDQKAMFSTIPSLRVTDILPTNKDSCDSTSTNSSIPSLEYSGENGVVSTDIVISNGLQEKNTNGNNLGSEEFSPFSCEPYNPAVFSGFSAISSSLKKVMGDSFPFASSAPYQSLSAYFGFNGRKPDGQVDESISVLNSPEADGITMIEGKNHSNEVKSLNDGQSLSSPVYLDSSGNISKDGHNDRKELQSKDDINSVLDSQSILVLMSSRNALRGTVCKQSHFSHIMFYNNFDIPLGKFLEDNLLNQTRLCDACQELPDAHFYYYAHHNKQLTIQVKRLPLEKRLPGEAEGKIWMWSRCRKCNSGSTKRVLISTTARSLSFGKFLELGLSRYSSSRKLSCGHSLDRDFLYFFGLGHMVAMFRYASVTTYTVSMPPQKLEFNGAIRQEWLLKETKNVYMKGISLFREVAKCLKTIQFDGLGGSIRDFSEVEKMLKQEQEEFEENVKTAIAKKGDPDQASFKLLNLNRLMWDLLIQSYVWVQRLYPLRSADSLRLESDVSEKVMQDGDIEIMFDTSVPAHELSIKEIPIEGPLLECNEQDDPSNTKDVKVPVVDDLISRRSSDQKLKLSLDVSTRLPDHLEVRKNSPVSTDIPANHPVADLKLLNKSASNSPVSNLLDSNDWFWKPFTDIRQIGIREFQKRLLPKFESVSSSIAEYIPTANQLITEEGTRLHIPLKTDNHVVSDFEGEPSSIIACALALLKDTYDVSEVDDEDDRNEVGITSNSTESLHSLTNGTLTSFQSFSRSSSDSESVHSAASSSEESRTSRATENHSIEIAMGGAKSLGREKYSVICHYFKQFRELRNWCCPSELDFIASLSRCRNWDAKGGKSKSYFAKTLDDRFIIKEIKRTELDSFLGFSSLYFKHMRESFESGSQTCLAKVLGIYQVTKRHVKSGKEVKYDLMVMENLTYNRNITRQYDLKGALFARYNSAADGDGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPRNVLPTVISPKEYKKRFRKFMSTYFFSIPDHWCSQKSSNPCKLCCSGEEDDDHASPDKP >VigunL001400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:21385:21516:-1 gene:VigunL001400.v1.2 transcript:VigunL001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun11g205300.1.v1.2 pep primary_assembly:ASM411807v1:11:40231021:40231557:1 gene:Vigun11g205300.v1.2 transcript:Vigun11g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAKSEAALRRCAKAAFLLYSFNSSNSNHQEKWEREIHDLKIQLARERFMKKKMKLCAMAELFLQLLLCLSVWSFFLFYFL >VigunL035100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:278802:278906:1 gene:VigunL035100.v1.2 transcript:VigunL035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun03g372100.1.v1.2 pep primary_assembly:ASM411807v1:3:57477947:57479106:-1 gene:Vigun03g372100.v1.2 transcript:Vigun03g372100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFHHCHAVIHKKPYLFTTNQQHKTHCHYHYCYCYQSFAHLSHYSMIMASCLANNASPFLVMLSMCLLICFSEAKQYVVGGSANSWKTPLSSPDSLNHWANSHHFKIGDTLVFKYDERTESVHEVNETDYERCNTVGQEHVVFNDGNTKVLLTKSGFRHFISGNQSHCRMGLKLAVVVITGKTKNNPTSPTPTPTPSPSPSPSPSPLSSTPSPSPSPLPNNHGVNGSSSAGFIMMWLLGVMMLLV >VigunL059329.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000244.1:36650:36958:-1 gene:VigunL059329.v1.2 transcript:VigunL059329.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun02g100450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25544717:25545192:1 gene:Vigun02g100450.v1.2 transcript:Vigun02g100450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYIINLTNQIIELPIFHLINMKLTDSLLKLWNESMFCRGWLRSRSLSFCFWIYDSSHPPHHCTGSRVCHLEPLLDECHSFFWHVKTFSLSTPYSKSFLCDISISCPCQG >VigunL007050.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:17087:18095:-1 gene:VigunL007050.v1.2 transcript:VigunL007050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFWALERNPFLP >Vigun10g123050.1.v1.2 pep primary_assembly:ASM411807v1:10:33103778:33104209:-1 gene:Vigun10g123050.v1.2 transcript:Vigun10g123050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNSPLLNLASPPSSLTLILPQLYRRTRFNPLIFSFFSSCSSNIYDAKISTVQMHLFHGMAFLKRSSQVRLGVRGGKRKLWWCYGFET >VigunL002600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:1292:4640:1 gene:VigunL002600.v1.2 transcript:VigunL002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFFERIFFYEKIKHLVEVSTKNCSYTFFFFKDTFIHYVRYQGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVRIYLKKLSSEKLLQEFFTEEDLFSLIFPRTSFTLRRFYRGRIWYLDILLRNDFVNYL >Vigun09g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36754424:36755409:-1 gene:Vigun09g192500.v1.2 transcript:Vigun09g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAEKTMSLETEAGRESKKIKRIRDSSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGSAAILNFPHLANSLPRPASLAARDVQAAAAKAAHMEPSSLSSLVSAMDLSSASDELTEIVELPSLESTDDGCVELEKEFVFVDSVDTWMYQPPPFDFDAERDIALEGLVWNY >Vigun05g084800.1.v1.2 pep primary_assembly:ASM411807v1:5:8038110:8041993:-1 gene:Vigun05g084800.v1.2 transcript:Vigun05g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRNGYEVLVAMLTLLLFSLICATASSSDPAQLHDHVEQHKRNLLANGLGRTPPMGWNSWNHFSCQINEKIIRETADALVSTGLSKLGYTYVNIDDCWAELNRDAHGNLVAKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKQMPGSLGHEFQDAKTFASWGIDYLKYDNCNNDESKPTDRYPVMTRALSMAGRPIFFSLCEWGDLHPALWGAKVGNSWRTTNDINDSWESMISKADMNEVYAEYARPGGWNDPDMLEVGNGGMTKNEYIVHFSLWALSKAPLLLGCDVRNMTKETVEIVTNKEVIAVNQDSLGVQGKKVRMEGDSEIWAGPLSSYRVAVVLLNRGPWNVSITAYWDDIGLPSKSVVQARDLWEHKTVVGRFQGKLSAEVEPHACKMYVLKPVA >Vigun05g084800.2.v1.2 pep primary_assembly:ASM411807v1:5:8038110:8042125:-1 gene:Vigun05g084800.v1.2 transcript:Vigun05g084800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRNGYEVLVAMLTLLLFSLICATASSSDPAQLHDHVEQHKRNLLANGLGRTPPMGWNSWNHFSCQINEKIIRETADALVSTGLSKLGYTYVNIDDCWAELNRDAHGNLVAKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKQMPGSLGHEFQDAKTFASWGIDYLKYDNCNNDESKPTDRYPVMTRALSMAGRPIFFSLCEWGDLHPALWGAKVGNSWRTTNDINDSWESMISKADMNEVYAEYARPGGWNDPDMLEVGNGGMTKNEYIVHFSLWALSKAPLLLGCDVRNMTKETVEIVTNKEVIAVNQDSLGVQGKKVRMEGDSEIWAGPLSSYRVAVVLLNRGPWNVSITAYWDDIGLPSKSVVQARDLWEHKTVVGRFQGKLSAEVEPHACKMYVLKPVA >Vigun06g031600.1.v1.2 pep primary_assembly:ASM411807v1:6:13730193:13754309:1 gene:Vigun06g031600.v1.2 transcript:Vigun06g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRMKVSSKGLGGLSNGSSSVEPVGGSSSDLMARPLSSEGDGEVVGSRGVIKREEFVRIITKALYSLGYKKSGARLEEESGIPLHSSVVNLFMQQILDGHWDESIVTLNKIGLADENVLRAASFLILEQKFFELLDGEKVMDALKTLRTEITPLSSDSNRIRQLSSCMLSPCGQVGSSKRDIVQVRTRSKLLEELQNLLPPTVLIPEKRLEHLVEQALILQREACLFHNSLDREMSLYSDHHCGKTQIPSRTLQILEAHDDEVWYVQFSHNGKYLASASNDRSAIIWEVDMNGGVSMKHKLSGHLKSVSSVSWSPNDQELLTCGVEEAVRRWDVSTGKCLQVYEKNGPGLVSCAWFPSGKYILSGLSDKSICMWDLDGKEVESWKGQRTLKISDLEITGDGEHFLSICKDNAILFFNKETKEERFIEEEQTITSFSLSKDSRFLLANLLNQEIHLWNIEGDPKLVGKYRSHKRTRFIVRSCFGGLKQSFIASGSEDSQVYIWHRNSGDLVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLKRLNVKYPPNLLRTNGVHYCNGGAELR >Vigun03g080400.1.v1.2 pep primary_assembly:ASM411807v1:3:6709093:6711345:1 gene:Vigun03g080400.v1.2 transcript:Vigun03g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFYILQLLRIAPPSTVKPPTATVHRHRHLQGSSYMIMPSLTLYFTKFHELKKMRKYSVQTLLLAFASFLLLFFLSPSIPQPQRYHHFADNRNFFGIPNALNVISNFPFMVIGLIGLVLCHRRSYFNISLEGELWGWKCFYAGVTSVAFGSSYYHLHPDNASLLWDRIPMTIAFASLMATLIIERIDPKKGTHAIVPLIMIGIISNVYWRFFGDIRLYILAQSAACIAIPLMATLLPPMYTHSPYWLWASGFYLLAMLQETTDRVIYALTFHTVSGHTLKHLSAAMVPVILTLMLAKRSVYSGKLLHAKSA >Vigun08g222100.1.v1.2 pep primary_assembly:ASM411807v1:8:38017384:38019645:-1 gene:Vigun08g222100.v1.2 transcript:Vigun08g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYSQKERNKAIREISGVVLTRAPKLCNFVEWRGHKIVYKRYASLYFCMCIDEEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTIARLIAAQDSLVETAKEEASSISNIIAQATK >VigunL040684.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:371635:372687:1 gene:VigunL040684.v1.2 transcript:VigunL040684.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun03g041300.1.v1.2 pep primary_assembly:ASM411807v1:3:3240949:3246248:-1 gene:Vigun03g041300.v1.2 transcript:Vigun03g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAAVGWNLDTTIIFPEDQLLQRLFTPSLSRRYEELYQKNGVKILKGASIKNLEAGSNGLVAGVKLGDGSVVEADTVIIGIGAKPAVSPFERVGLNTDVGGIQVDGLFRTSIPGIFAVGDVAAFPLKIYDRISRVEHVDHARRSAQHCVKALLSAQTQTYDYLPYFYSRIFEYEGSPRKVWWQFFGDNVGETVEVGNFDPKIATFWIESGKLKGVLLESGSPEEFQLLPKLARNQPLIDKAKLQNATTVEEALEIARASLQGKAAV >Vigun07g098433.1.v1.2 pep primary_assembly:ASM411807v1:7:17195700:17198268:1 gene:Vigun07g098433.v1.2 transcript:Vigun07g098433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFQYAHAPYLSKKNTLHYSPKFGACAGQAWIVINYVLPEKSFSFTMSDIKYSSCIGEEVDGLRGRYILRLTTVIVHHIPAF >Vigun11g222000.1.v1.2 pep primary_assembly:ASM411807v1:11:41364639:41366321:1 gene:Vigun11g222000.v1.2 transcript:Vigun11g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLQTRFLSSVQHTFLVFSPILTKPTFRITVRSQKSSSESSDDDSAPKGFGLSDTATTANSKKKQKQKQKGQRERASVIRRTPLEKPAFVSEKQEGGKAKEEGKNESAFLLAMLGFGIVIFIEGIGLAASGFLPQEWDQFLVKYLYPSFTPTVFLFVAGTVAYGVFKYLQNEKITEQK >Vigun09g011400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:850217:850777:-1 gene:Vigun09g011400.v1.2 transcript:Vigun09g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESDLVKIGLEGFDLIERFYGAAAPMRRPGNMKQRHKEEHAVINSKEAASKFGGIMIVNYFPNKTKPHNRWGKFIQAFK >Vigun06g173600.2.v1.2 pep primary_assembly:ASM411807v1:6:29456463:29462640:-1 gene:Vigun06g173600.v1.2 transcript:Vigun06g173600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNHSTGKDDHGIHADFDYVDTQPFDADGVEDDDCGDNEWQYFEDTVPFDDDGVLDTEAVVLAGETQVFEDDDDILVNEAMNLATETQALGDGETQLLEEECESDKTQVLENVDDDEHSVDSDNGEVDSRKGKSWQRNSSGSMPPRFTFLRAESLRQAALAIHKVDSKENQDVTNSVKASQKYQESLVVKDKGDSFLSCSKKVGGVDQENDSGKYSVEVGGFKSQAAKSTVRKLFNDDVPIEANGTSLNEGNDLDKLPIYHGDLEGLSYVNSQEPGVLSQVNALDFVDRFLKDNITEFDQETNCFKSMEQKSKSVPSTKRQHSLARTVNDRGKAGRTGIYEWDDSREDEGGGDIYLRRKNDFFKGETHRPRSLPGFQKKRVCRLNINDDKKDESIPNKRKTAVHSDSKLGMNILKARDNVIPEATKKLNRNLAKELDKQFNTDCSRGDMEPDANAGEQEMLDVGPDTQMAAEVMETLCNAKDIVDNDTAHVTSSGLTCKLNNSSIGKVGLVSSKEHLGEYDRKRKVGVKSMLQASGISKRNTKEVRQHTEDSVMTRSKRSKLNAQGNQTSSGNENGRVSLSPKNVRRKSARSLKRGQLDELNNPDGNNEESKGSSVIKRQLQDGVWHISPIAYRTRRRLSVNVSINLDISPKSLRDGNIGIDSHEKSSGIGLPASKALDSKSITGSSGHFEVGDNSKLSQFGNSALKVSVVSVSDGVELDIVDCPKRRRSLRIRKLSMHDKGSEILDCSSKPSAKPIDTGKSTSGKRKMRTDSDVKSHVNSKDQSSSNDGSVISSVDRKQGKISELNLDKTDPRDNVSNSEATSSDESPRDRSKLSDLAFSTPSKYKRPVNGASPVCMGDEYYKQSCNKSLIRELQSLSAIRPELLTPSKDSRKRRDMTDVRVLYSRHLDEDIIKHQKKILARLGVSVVSSIADATHFIADEFVRTRNMLEAIAFGKLVVTHLWIESCGQANCFIDERNHILRDAKKEKELGFSLPVSLARAVQHPLLRGRRVLITPNTKPSKEIISNLARAVQGQVVEKVGKSVLKGHTVPDDLLILSCEEDYAFSVPFLEKEFHVFHLQGQWCTVQNCC >Vigun06g173600.1.v1.2 pep primary_assembly:ASM411807v1:6:29456463:29462640:-1 gene:Vigun06g173600.v1.2 transcript:Vigun06g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNHSTGKDDHGIHADFDYVDTQPFDADGVEDDDCGDNEWQYFEDTVPFDDDGVLDTEAVVLAGETQVFEDDDDILVNEAMNLATETQALGDGETQLLEEECESDKTQVLENVDDDEHSVDSDNGEVDSRKGKSWQRNSSGSMPPRFTFLRAESLRQAALAIHKVDSKENQDVTNSVKASQKYQESLVVKDKGDSFLSCSKKVGGVDQENDSGKYSVEVGGFKSQAAKSTVRKLFNDDVPIEANGTSLNEGNDLDKLPIYHGDLEGLSYVNSQEPGVLSQVNALDFVDRFLKDNITEFDQETNCFKSMEQKSKSVPSTKRQHSLARTVNDRGKAGRTGIYEWDDSREDEGGGDIYLRRKNDFFKGETHRPRSLPGFQKKRVCRLNINDDKKDESIPNKRKTAVHSDSKLGMNILKARDNVIPEATKKLNRNLAKELDKQFNTDCSRGDMEPDANAGEQEMLDVGPDTQMAAEVMETLCNAKDIVDNDTAHVTSSGLTCKLNNSSIGKVGLVSSKEHLGEYDRKRKVGVKSMLQASGISKRNTKEVRQHTEDSVMTRSKRSKLNAQGNQTSSGNENGRVSLSPKNVRRKSARSLKRGQLDELNNPDGNNEESKGSSVIKRQLQDGVWHISPIAYRTRRRLSVNVSINLDISPKSLRDGNIGIDSHEKSSGIGLPASKALDSKSITGSSGHFEVGDNSKLSQFGNSALKVSVVSVSDGVELDIVDCPKRRRSLRIRKLSMHDKGSEILDCSSKPSAKPIDTGKSTSGKRKMRTDSDVKSHVNSKDQSSSNDGSVISSVDRKQGKISELNLDKTDPRDNVSNSEATSSDESPRDRSKLSDLAFSTPSKYKRPVNGASPVCMGDEYYKQSCNKSLIRELQSLSAIRPELLTPSKDSRKRRDMTDVRVLYSRHLDEDIIKHQKKILARLGVSVVSSIADATHFIADEFVRTRNMLEAIAFGKLVVTHLWIESCGQANCFIDERNHILRDAKKEKELGFSLPVSLARAVQHPLLRGRRVLITPNTKPSKEIISNLARAVQGQVVEKVGKSVLKGHTVPDDLLILSCEEDYAFSVPFLEKGAMVYSSELLLNGIVTQKLEYQRHRLFADNVKKTRSTVWLKRDNRTFTPVTKCD >Vigun07g028100.4.v1.2 pep primary_assembly:ASM411807v1:7:2562980:2564588:1 gene:Vigun07g028100.v1.2 transcript:Vigun07g028100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYSAVLRAFKAQSDTLTWEKEDLITELRKELRVSDDEHRELLTRVNSDEIIHCIRFGNYLNSCNSSEEHYFWPFFCFFYNVM >Vigun07g028100.6.v1.2 pep primary_assembly:ASM411807v1:7:2563088:2564464:1 gene:Vigun07g028100.v1.2 transcript:Vigun07g028100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYSAVLRAFKAQSDTLTWEKEDLITELRKELRVSDDEHRELLTRVNSDEIIHCIRFGNYLNSCNSSEEHYFWPFFCFFYNVM >Vigun07g028100.5.v1.2 pep primary_assembly:ASM411807v1:7:2562980:2564588:1 gene:Vigun07g028100.v1.2 transcript:Vigun07g028100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYSAVLRAFKAQSDTLTWEKEDLITELRKELRVSDDEHRELLTRVNSDEIIHCIRFGNYLNSCNSSEEHYFWPFFCFFYNVM >Vigun07g028100.1.v1.2 pep primary_assembly:ASM411807v1:7:2563006:2564553:1 gene:Vigun07g028100.v1.2 transcript:Vigun07g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYSAVLRAFKAQSDTLTWEKEDLITELRKELRVSDDEHRELLTRVNSDEIIHCIRFGNYLNSCNSSEEHYFWPFFCFFYNVM >Vigun01g203000.2.v1.2 pep primary_assembly:ASM411807v1:1:37903112:37904857:-1 gene:Vigun01g203000.v1.2 transcript:Vigun01g203000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSSSSSSSISSSSNHHHLLISTASSLTQELPTDLSLGLSISAAHRGGSSLSRGHWRQPQHPLVNISQGAEVNDCNDHSSFFVKVYMEGIPIGRKLNILAHGGYYELVRTLEHMFDTTILWEQR >Vigun04g091250.1.v1.2 pep primary_assembly:ASM411807v1:4:16652084:16653440:1 gene:Vigun04g091250.v1.2 transcript:Vigun04g091250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIARSLPSLIFLKLIFAFMFIILFSSGVSSKSVCQTRCIEFYDCINNCRNLGYTRGICNDGLCCCSK >Vigun09g274700.2.v1.2 pep primary_assembly:ASM411807v1:9:43528618:43534048:-1 gene:Vigun09g274700.v1.2 transcript:Vigun09g274700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGTIFGFFGFGFGISMGLAIGYYLFIYVQPSDVKDPKIKPLTEEDSETVDRMIPEIPLWIKNPDFDRAICKTAETIAKPIIEEQIPQYKIDAVEFEELTLGSLPPTFQGMKVYETEEKELIMELSVKWAANPNIIVAVKKFGLKATVQVMDLHVFAIPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLVGADLMSIPVLYKFVQEIIKDQVANMYLWPKTLDIPVIDPSKAQKRPVGILNTKVIRAMKLKKKDLLGASDPYVQLTLTEDNLTSKKTTVKHKNLNPEWNEEFSLVVKDPETQALEFQVFDWEQVGKHDKMGMNMIHLKDLKVDEPKVFTLELLKNMNPKDAQNEKSRGQIVIELTYKPFRDEELAKSFKEPHPTKSPPQGTPPGGGLLVVIIHEAQDVEGKYHTNPHVRLIFRGEERRTKIIKKNRDPRWEEEFQFLVEDPPTNDKLHVEVVSTSSRSLLRQKESLGYADIRLADVVTNNRINERHHLIDSKNGRIQIELQWRASPAK >Vigun09g274700.1.v1.2 pep primary_assembly:ASM411807v1:9:43528618:43534048:-1 gene:Vigun09g274700.v1.2 transcript:Vigun09g274700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGTIFGFFGFGFGISMGLAIGYYLFIYVQPSDVKDPKIKPLTEEDSETVDRMIPEIPLWIKNPDFDRVDWLNKLIEYMWPYLNKAICKTAETIAKPIIEEQIPQYKIDAVEFEELTLGSLPPTFQGMKVYETEEKELIMELSVKWAANPNIIVAVKKFGLKATVQVMDLHVFAIPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLVGADLMSIPVLYKFVQEIIKDQVANMYLWPKTLDIPVIDPSKAQKRPVGILNTKVIRAMKLKKKDLLGASDPYVQLTLTEDNLTSKKTTVKHKNLNPEWNEEFSLVVKDPETQALEFQVFDWEQVGKHDKMGMNMIHLKDLKVDEPKVFTLELLKNMNPKDAQNEKSRGQIVIELTYKPFRDEELAKSFKEPHPTKSPPQGTPPGGGLLVVIIHEAQDVEGKYHTNPHVRLIFRGEERRTKIIKKNRDPRWEEEFQFLVEDPPTNDKLHVEVVSTSSRSLLRQKESLGYADIRLADVVTNNRINERHHLIDSKNGRIQIELQWRASPAK >Vigun11g206700.1.v1.2 pep primary_assembly:ASM411807v1:11:40310791:40316124:-1 gene:Vigun11g206700.v1.2 transcript:Vigun11g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDICRNFQRGSCQYGERCRYLHVNNQQRKPNVQGFGGQNGSHQQQSTNPFGFGSGSGSQQQQKSNPFGFGTQNNSQLNGGPRSEYKPNQYKPFENKWNRQSSKPQNGGKSDNNPQPVDHKCTDPENCKRQIAEDFEKEKPIWILTCYGHFRGAPCDIVGDISFEELRASAYEDAKRGMSLQSIVEKERNILKSKMLEFQKLLSEPYQKPINSSLDIQRPQSMGANANLFSGTSQSNGPLSVSSFSQLGTSMNMGFGRPSAPPTNTLAQPSFFGIGGNSLASNTGNLTTSGSFGIQSNPHSTPVDMTMFPGSTQQTPATFNNSGPNTMFQTTSDVLLSKKLQSENVSGDVSIWLKEKWNPGEIPEEAPPDSLVR >Vigun04g081000.4.v1.2 pep primary_assembly:ASM411807v1:4:12170656:12175048:-1 gene:Vigun04g081000.v1.2 transcript:Vigun04g081000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINIRQDLKKLFDIRVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >Vigun04g081000.3.v1.2 pep primary_assembly:ASM411807v1:4:12170656:12175048:-1 gene:Vigun04g081000.v1.2 transcript:Vigun04g081000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMQKIIIYYLDPLAKDINIRQDLKKLFDMVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >Vigun04g081000.5.v1.2 pep primary_assembly:ASM411807v1:4:12170656:12175048:-1 gene:Vigun04g081000.v1.2 transcript:Vigun04g081000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINIRQDLKKLFDMVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >Vigun04g081000.2.v1.2 pep primary_assembly:ASM411807v1:4:12170656:12175048:-1 gene:Vigun04g081000.v1.2 transcript:Vigun04g081000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMQKIIIYYLDPLAKDINIRQDLKKLFDIRVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >Vigun09g009100.4.v1.2 pep primary_assembly:ASM411807v1:9:703982:707645:-1 gene:Vigun09g009100.v1.2 transcript:Vigun09g009100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSGKGSTSSSPMKTGDAVSDQFPAGLRVMVVDDDPTCLRILERMLRACLYEEAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQGNMSNSFMSSQEAPFGATSISGIDLQTLSVAAQLPAQSLAKLQAAALGRTTAKAGVSMPLSDQKNLFSFESPRLRFAEGSLHHLSNSKPINLLHGIPTNMEPKQLVNLHQSTQTLGNLNMRVNAPAAQNNPLLMQMAQSQPRGQILSENADSHATRFPSSLVQPTVPNDVLGNRITGSSNITTAYSTVPQNSSLLSFPINQTNEMSFGNFPLRSTPGITSIIPTKSMFHEEGTSGIKGPGGFVSSYDMFNELHHQKSHDWDLTNTGMTYDSSQHANPLQGNIDVSPSVLVHQGFPCMQQLSVQTRDSTSIGKPLFSSTGEAIHQSNLQNVGQHLNTLVDNSVRVKAETFPDPSSQINNLFSDQYGQEDLVTAFLKQEGVGPSDHDLDFDGYSLDNIPV >Vigun09g009100.1.v1.2 pep primary_assembly:ASM411807v1:9:703982:707645:-1 gene:Vigun09g009100.v1.2 transcript:Vigun09g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSGKGSTSSSPMKTGDAVSDQFPAGLRVMVVDDDPTCLRILERMLRACLYEVTKCKRAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVIRKRKNGLKDAEQSGSVEEGDRVLKGCDDGDFSSSVNEGKGSKKRRDEEDEGDERDDSSTLKKPRVVWSVELHQQFMAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQGNMSNSFMSSQEAPFGATSISGIDLQTLSVAAQLPAQSLAKLQAAALGRTTAKAGVSMPLSDQKNLFSFESPRLRFAEGSLHHLSNSKPINLLHGIPTNMEPKQLVNLHQSTQTLGNLNMRVNAPAAQNNPLLMQMAQSQPRGQILSENADSHATRFPSSLVQPTVPNDVLGNRITGSSNITTAYSTVPQNSSLLSFPINQTNEMSFGNFPLRSTPGITSIIPTKSMFHEEGTSGIKGPGGFVSSYDMFNELHHQKSHDWDLTNTGMTYDSSQHANPLQGNIDVSPSVLVHQGFPCMQQLSVQTRDSTSIGKPLFSSTGEAIHQSNLQNVGQHLNTLVDNSVRVKAETFPDPSSQINNLFSDQYGQEDLVTAFLKQQEGVGPSDHDLDFDGYSLDNIPV >Vigun09g009100.3.v1.2 pep primary_assembly:ASM411807v1:9:703982:707645:-1 gene:Vigun09g009100.v1.2 transcript:Vigun09g009100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSGKGSTSSSPMKTGDAVSDQFPAGLRVMVVDDDPTCLRILERMLRACLYEEAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQGNMSNSFMSSQEAPFGATSISGIDLQTLSVAAQLPAQSLAKLQAAALGRTTAKAGVSMPLSDQKNLFSFESPRLRFAEGSLHHLSNSKPINLLHGIPTNMEPKQLVNLHQSTQTLGNLNMRVNAPAAQNNPLLMQMAQSQPRGQILSENADSHATRFPSSLVQPTVPNDVLGNRITGSSNITTAYSTVPQNSSLLSFPINQTNEMSFGNFPLRSTPGITSIIPTKSMFHEEGTSGIKGPGGFVSSYDMFNELHHQKSHDWDLTNTGMTYDSSQHANPLQGNIDVSPSVLVHQGFPCMQQLSVQTRDSTSIGKPLFSSTGEAIHQSNLQNVGQHLNTLVDNSVRVKAETFPDPSSQINNLFSDQYGQEDLVTAFLKQQEGVGPSDHDLDFDGYSLDNIPV >Vigun09g009100.2.v1.2 pep primary_assembly:ASM411807v1:9:703982:707645:-1 gene:Vigun09g009100.v1.2 transcript:Vigun09g009100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSGKGSTSSSPMKTGDAVSDQFPAGLRVMVVDDDPTCLRILERMLRACLYEVTKCKRAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVIRKRKNGLKDAEQSGSVEEGDRVLKGCDDGDFSSSVNEGKGSKKRRDEEDEGDERDDSSTLKKPRVVWSVELHQQFMAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQGNMSNSFMSSQEAPFGATSISGIDLQTLSVAAQLPAQSLAKLQAAALGRTTAKAGVSMPLSDQKNLFSFESPRLRFAEGSLHHLSNSKPINLLHGIPTNMEPKQLVNLHQSTQTLGNLNMRVNAPAAQNNPLLMQMAQSQPRGQILSENADSHATRFPSSLVQPTVPNDVLGNRITGSSNITTAYSTVPQNSSLLSFPINQTNEMSFGNFPLRSTPGITSIIPTKSMFHEEGTSGIKGPGGFVSSYDMFNELHHQKSHDWDLTNTGMTYDSSQHANPLQGNIDVSPSVLVHQGFPCMQQLSVQTRDSTSIGKPLFSSTGEAIHQSNLQNVGQHLNTLVDNSVRVKAETFPDPSSQINNLFSDQYGQEDLVTAFLKQEGVGPSDHDLDFDGYSLDNIPV >Vigun09g208700.1.v1.2 pep primary_assembly:ASM411807v1:9:38288980:38293966:-1 gene:Vigun09g208700.v1.2 transcript:Vigun09g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKDDAYEEELIDYEEEEDKAPDSIGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEVDIKQLPEQIDTSTYSMCFSSVRLNLKNYIFYSNYFKF >Vigun09g208700.2.v1.2 pep primary_assembly:ASM411807v1:9:38287265:38294029:-1 gene:Vigun09g208700.v1.2 transcript:Vigun09g208700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKDDAYEEELIDYEEEEDKAPDSIGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEVDIKQLPEQIDTSTYMPS >Vigun09g208700.3.v1.2 pep primary_assembly:ASM411807v1:9:38287265:38293980:-1 gene:Vigun09g208700.v1.2 transcript:Vigun09g208700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKDDAYEEELIDYEEEEDKAPDSIGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEVDIKQLPEQIDTSTYMPS >Vigun06g126700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25380035:25381208:-1 gene:Vigun06g126700.v1.2 transcript:Vigun06g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSTSVSTLKVHPFPSSPTLTNRDFSPFKATPSRPQQPHHVFSTSTPTFLPKTRSFSHKSSPSLPVSLSPLKPRASSSICNSNPATGYAAALVDVAQNSHSLHSVHRDVERLLKLLQSVKSESPAVEEGNFHRHVVALLKMLMKRNKVGIVKEVLQEFERIYDELCGTQMVLVSSKNKMGEDELFGIAKSVHQLSGAVRVKVRNFVQEGVPSFAV >Vigun05g151400.1.v1.2 pep primary_assembly:ASM411807v1:5:22988904:22994233:-1 gene:Vigun05g151400.v1.2 transcript:Vigun05g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFVKKGVSHGELCIISDEPVAPYERPALSKGFLLPEAAARLPSFHTCVGANEERLTPKWYKENGIEVVLGTGVKSADVKRKTLLTTTGETISYKFLIVATGARALKLEEFGVSGSDAENVCYLRDIADANRLVNVIQSCPEGNAVVIGGGYIGMECAASLVINKINVTMVFPEEHCMARLFTTKIANYYEEYYKSRGVNFVKGTVLSSFDFDSNGKVTAVNLRDGSTLSVDMVVVGIGIRPNTGLFEGQLTLEKGGIKVNGMLQSSNSSVYAIGDVAAFPVKAFGETRRLEHVDSARKSAKHVVAAIMEPDKTGEFDYIPFFYSRIFTLSWQFYGDNVGEVIYFGDMSGSAFGAYWVSKGHLVGAFLEGGNKEEYEAIAKVTRLRPAIEDLTELERQGLGYAVTVSQKPAVSPPVEVRASDLLLEKPLYAWHATAGVIIAASIAAFAYFYGKKRRRW >Vigun08g007300.4.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.16.v1.2 pep primary_assembly:ASM411807v1:8:638931:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.11.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQEVMG >Vigun08g007300.14.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.10.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQEVMG >Vigun08g007300.17.v1.2 pep primary_assembly:ASM411807v1:8:638931:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.2.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.13.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.12.v1.2 pep primary_assembly:ASM411807v1:8:638644:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.6.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.7.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPEFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.15.v1.2 pep primary_assembly:ASM411807v1:8:638644:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun08g007300.8.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQEVMG >Vigun08g007300.9.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPELSLAMGFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQEVMG >Vigun08g007300.3.v1.2 pep primary_assembly:ASM411807v1:8:638129:643304:1 gene:Vigun08g007300.v1.2 transcript:Vigun08g007300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARNVRRGSSVFSSTNKRRHKRKHKHNLLAVFSRGDLRFTEKLKKEKVRSLSAVGTASSSFQMSCHLSQPQQQQQTRDSSSALIATAKRFKLHKNFFNDCNGASVPRKLRSATKKRGRESMLLDSEKVKNKVDGIESLKKDSVKKSKVSVKQGIRRQWTPREVVSGPITKDEEEVAETLYALAGMFPDNASNHNNKELEGESLPDNSSVLKNLEDNASAARALEASATIHESSPVEASKKSCLNEDFGQEQQPDFPESTTPFMPSHGTSRTINSQVMPSVIVKSENGNRVALHDPEFSMPRQSRISQVERKPPVEFEAKDVDCKQQHMIKEQKGNEGLALWPGLSPLAPTGQPYLQSSSIKAPDWLEAAIRASKLDLMETSACSSSGRVFIPKRSWKKCAAHIHISHIIKSLEMPKRQVTKETRLFDCHQPRAHEGSKRGVLLQAHSLNGMKNGITSTVRNPNESKNIILQQQCHYREISQSASTPVVYGPQKQNFNFLSLSAGSNGLKVDNNNNNNKIGNRLEPLSKLQMPYFQSLAQQHGVVMPIPVAQSQYASTSFLDQLSVAGPQVRLQQPHYFGNPLCGTNYSSTLSHKQDHRSFWGLQQAEQSRSTVNFNITRTQFPNWQSGRHDSSAMSPCSQAILPCSSASQDTLGSKISSIPGQQKQLLPPFQDKWTRPSSSPFII >Vigun11g119700.6.v1.2 pep primary_assembly:ASM411807v1:11:32579935:32585386:1 gene:Vigun11g119700.v1.2 transcript:Vigun11g119700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPDLIRIAQEHMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKLAAEQLKHTRPEEMAEIGEKMANASPDEVAAMRSRVDAQIKYQLSAAEMLKKQGNELHSQGKFSEALKKYMLAKENIKEIPSFQSRKLLLACSLNLMSCYLKTRQYNECIKEGSEVLAYDAKNLKALYRRGQAYKELGRLNDAVSDLSKAHEVSPDDDTVTELLRDTKEKLTMGGGEQSTSGLVIEEITEEVEDVPPKSSLEQTVVQKKSGDTTKSTSTVNNGNSTSNADSLDALRKDPEAVRSFQNFIQNTNPATLASLNAGQFKDVSPDMIKTTSDVISKMSPDELQKMLDMASSFQGDNQFFRGGPPDSSFNPGSMPPNVTPDMFKAASDMISKMPADDLKKMFEMASSLKGKESIPSAAAVDEIQRNVYQSNMPSSSTNETTNYGESSSSHNVFSNMRSGSQSNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSPEEAARAQKAVSSMSPESLDKMMLWADRIQRGVESAKKTKNWLLGKPGMIFAICMLILAIILHRLGFIGG >Vigun11g119700.2.v1.2 pep primary_assembly:ASM411807v1:11:32579935:32585385:1 gene:Vigun11g119700.v1.2 transcript:Vigun11g119700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPDLIRIAQEHMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKLAAEQLKHTRPEEMAEIGEKMANASPDEVAAMRSRVDAQIKYQLSAAEMLKKQGNELHSQGKFSEALKKYMLAKENIKEIPSFQSRKLLLACSLNLMSCYLKTRQYNECIKEGSEVLAYDAKNLKALYRRGQAYKELGRLNDAVSDLSKAHEVSPDDDTVTELLRDTKEKLTMGGGEQSTSGLVIEEITEEVEDVPPKSSLEQTVVQKKSGDTTKSTSTVNNGNSTSNADSLDALRKDPEAVRSFQNFIQNTNPATLASLNAGQFKDVSPDMIKTTSDVISKMSPDELQKMLDMASSFQGDNQFFRGGPPDSSFNPGSMPPNVTPDMFKAASDMISKMPADDLKKMFEMASSLKGKESIPSAAAVDEIQRNVYQSNMPSSSTNETTNYGESSSSHNVFSNMRSGSQSNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSPEEAARAQKAVSSMSPESLDKMMLWADRIQRGVESAKKTKNWLLGKPGMIFAICMLILAIILHRLGFIGG >Vigun11g119700.7.v1.2 pep primary_assembly:ASM411807v1:11:32579935:32585385:1 gene:Vigun11g119700.v1.2 transcript:Vigun11g119700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPDLIRIAQEHMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKLAAEQLKHTRPEEMAEIGEKMANASPDEVAAMRSRVDAQIKYQLSAAEMLKKQGNELHSQGKFSEALKKYMLAKENIKEIPSFQSRKLLLACSLNLMSCYLKTRQYNECIKEGSEVLAYDAKNLKALYRRGQAYKELGRLNDAVSDLSKAHEVSPDDDTVTELLRDTKEKLTMGGGEQSTSGLVIEEITEEVEDVPPKSSLEQTVVQKKSGDTTKSTSTVNNGNSTSNADSLDALRKDPEAVRSFQNFIQNTNPATLASLNAGQFKDVSPDMIKTTSDVISKMSPDELQKMLDMASSFQGDNQFFRGGPPDSSFNPGSMPPNVTPDMFKAASDMISKMPADDLKKMFEMASSLKGKESIPSAAAVDEIQRNVYQSNMPSSSTNETTNYGESSSSHNVFSNMRSGSQSNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSPEEAARAQKAVSSMSPESLDKMMLWADRIQRGVESAKKTKNWLLGKPGMIFAICMLILAIILHRLGFIGG >Vigun11g119700.8.v1.2 pep primary_assembly:ASM411807v1:11:32579935:32585385:1 gene:Vigun11g119700.v1.2 transcript:Vigun11g119700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPDLIRIAQEHMSRMSPAELARIQQQMMSNPELMRMASESMKNMSSEDFKLAAEQLKHTRPEEMAEIGEKMANASPDEVAAMRSRVDAQIKYQLSAAEMLKKQGNELHSQGKFSEALKKYMLAKENIKEIPSFQSRKLLLACSLNLMSCYLKTRQYNECIKEGSEVLAYDAKNLKALYRRGQAYKELGRLNDAVSDLSKAHEVSPDDDTVTELLRDTKEKLTMGGGEQSTSGLVIEEITEEVEDVPPKSSLEQTVVQKKSGDTTKSTSTVNNGNSTSNADSLDALRKDPEAVRSFQNFIQNTNPATLASLNAGQFKDVSPDMIKTTSDVISKMSPDELQKMLDMASSFQGDNQFFRGGPPDSSFNPGSMPPNVTPDMFKAASDMISKMPADDLKKMFEMASSLKGKESIPSAAAVDEIQRNVYQSNMPSSSTNETTNYGESSSSHNVFSNMRSGSQSNFPSSSTDLQEQMRNQMKDPAMRQMFTSMIKNMSPEMMANMGEQFGVKLSPEEAARAQKAVSSMSPESLDKMMLWADRIQRGVESAKKTKNWLLGKPGMIFAICMLILAIILHRLGFIGG >VigunL015430.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:819953:820618:1 gene:VigunL015430.v1.2 transcript:VigunL015430.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKKQIIQILFDAITTDLNEKRKVNRKNSTFIKRNEICKKVPRWSYKLMDELEQLGGKIEADNSQIRSRKGKRVIILTNKDKFFKKYKTYRDRGDTENTEQKTKLALRRYSQQSDFRRDIIKGSICAQRRKTVTWNFFQKRVHSPLFLDKIEKSIFFSFHSLKSMKRFFMLNIWIRKKTEFQILGSIEEKTKKKVQKKRGGSKKGKCRKKTYRNSRSLG >Vigun10g043700.1.v1.2 pep primary_assembly:ASM411807v1:10:6133643:6136771:1 gene:Vigun10g043700.v1.2 transcript:Vigun10g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKRENGVRMCDGKECFENESSTTSIEDAVKVLLMGLGEDINREGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEAGLDHTEFAGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFSDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIEKDKIHDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLINFKCSSIERALNCWLGIRTNGALNTNEGLGFDEKLHSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKTLLQSIVHFYGIKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDKYISLR >Vigun09g134100.1.v1.2 pep primary_assembly:ASM411807v1:9:29362209:29366207:1 gene:Vigun09g134100.v1.2 transcript:Vigun09g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELVELYDAAKKAADAALSGDAEHEESRCIDALEQLKKFPVNYKILVNTQVGKHLKVLTKHPRQTIRAFAVDLIEIWKGIIIKETSKNKNGGVDSKVESANGERSKPGKMQKSASVKVEKSETVKAERNDRNGTSKSESMRKAQNDVKIEKTDRAASVKVEKSAKEVKPFSGTKKFSSSSATPPKLATMIKCNDATRDKIRELLHEALSKVSGEADEDLVEIVNATDPIRVAVTVESVLFEKWGPSNGAQKVKYRSLMFNLKDSNNPDFRRKVLLGVVEPEQLINMSSSEMASEQRKQENQKITEKALFECERGMQPKATTDQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVVCNNRWKFC >Vigun10g196100.1.v1.2 pep primary_assembly:ASM411807v1:10:40953609:40957755:1 gene:Vigun10g196100.v1.2 transcript:Vigun10g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIAAEIYPKSPSETVMEPLLVVNEYDEDRHKVAVEKLERLCEVGQSGKPSLVTDLMGDPICRIRHFQLHVMLVAEYGEEGEVVGVIRGCVKTVTRGNSVYVKLAYILGLRVSPRHRRFGIGTKLVQHLEEWCKQKGAKYAYMATDCTNEPSVNLFTKKCGYSKFRTLTILVQPVHAHYKPISSNVAVLRLPPRLAGSMYDKMFANSEFYPKDKDSILCNKLNLGTFMAIPKKHLSKCDPKRGILPPSYAILSVWNTKDVFKLQVKGVSPLAHACCVGTRLLDEWMPWLRLPSFPDVFRPFGVYFVYGLHMEGKCGAQLMKCLCAFVHNMGRDGGGCGAIVAELGQRDPVRDAVPHWRKFSWAEDMWCIKNLHKASSQNSDWFTSRSSSPVIFVDPRDF >Vigun05g062200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5351795:5353629:1 gene:Vigun05g062200.v1.2 transcript:Vigun05g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLFSLFFLLLLPFPTFSHLFSPPDNYLINCGSAAPSTLVDHRPFAGDLVDHRSPFPRAVSLRNNNPLPDLPSIYHTARVFTSPARYSFPVTDKGTHIVRLHFHPFSSSNIDLGRAQFHVLVNGHVVLSNFTRLASASRNPRIVEYLIWVDADSLVVVFVPNKDSKLAFVNAIEVISAPKDLVPETAQYLSPSKVEKFEGLNKQSLEVVYRVTVGGVKVTPFNDSLWRTWIPDDGFFKTSVGSEKYYFGGRIKYRAGGASREVGPDNVYNSARLIRSKNDSVPNVNMTWVFPVVGGYKYLIRLHFCDIASISLGLLYFNVYVNGYVAYEDLDLSYITNSLASPFYADFVVDGGDGALSVGVGPSQRSMAHVIDGILNAVEVMKLNNSVSSLDGEVCADFVMKRWSTGNNTGMLFTLVAAVCIVLSLSIVIRRKLLGSRESVSWSRLASNSSDDSVKS >Vigun01g074550.1.v1.2 pep primary_assembly:ASM411807v1:1:20864561:20865533:-1 gene:Vigun01g074550.v1.2 transcript:Vigun01g074550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVGVNITFPTTRGAPDLIDCSSIFCDGTSEYIGVFGSFICVAVALQAKLMGAIICIEIEFVRGWTFL >Vigun01g038150.1.v1.2 pep primary_assembly:ASM411807v1:1:5097340:5098060:-1 gene:Vigun01g038150.v1.2 transcript:Vigun01g038150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLIEPRPYTLIRASPLLLPRAPLLHLSLRQHSPHSSPLRTLSSLFHHHPTLTVPLCAHSPHSPHGRTGLRRGEQRNAESPSPSEPPPLQSSRHRHWVREHQGNQPSGRHDNDHSWKRRRRRRRRKKRRRMRRMTVVTRRSVKPIIKNVQRDMSRENFN >Vigun03g065750.1.v1.2 pep primary_assembly:ASM411807v1:3:5460618:5461620:-1 gene:Vigun03g065750.v1.2 transcript:Vigun03g065750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFSKWFCFFSCRQFRSVFHVDVPCGAAATNLMVLWWMWQMLEKLVFLAGPSKCAPIYYNQKRTNINVGFLSTLNSIV >VigunL051101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:28877:32311:1 gene:VigunL051101.v1.2 transcript:VigunL051101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRQRLFKTALLAATALTLAAPVAAAAQAAWGPYNADFPAGGGGLSRPLAGKTEGDILPAGGPWSIHGWVKASEVVSAPTLVAGLGDPAAGGRFLMIDGGAFGVWTGGRPLSVKTGIKPGDWRFVAAVSDGAKVTLYVDGASAGEAPVAAAATPAIIALAPRKVAGFSPFAGRIADFAAEGRALSASEIKTAAARKPDPLTVYESGSPNWPVQVRQMYGQVTPQEAWTRPKGKGAISAPVAKPAYAGPALVADGPDAWTLKRWSLVEAPKVAQDGAVVSTPTYDARAWYAATVPGTVLTTLVDRGVYPDPDYGLNNTAIPESLNKQAYWYRSSFETPASAAGKRLLLTFKGVNYAAEIWLNGEKLGELKGAFIRGQFDVTGKLKPGQNALAVKVSPPPHPGLAHEESLTAGVGENGGMMALDGPTFIASEGWDWIPSVRDRNTGLWQDVVLTASGEARLGDAHVVTTLPKADNSQADIEITAPVENLTGHPVQATVTAAFDGFNVSKTVTLAPGAGSVVLSPAEFPQLSVAHPKLWWPNGYGDPALHDLKLSVAVDGQASDQKTIRFGIRQITYDLSLMSPSGHLRRVEVDFSKARQLGQDITDGSHEGIRKVADGWATSLTAQGDASPAVRDADDASLTPYLVIKVNGVKIAARGGNWGTDDWRKRVDRARLEPYFRLHKDAHLNIIRNWVGQNTEDAFFDLADEYGLLVLNDFWDSTQDYNLEAQDVPLFLANAADVISRYRNHPSIVLWFGRNEGVPQPILNEGLEKLVHDLDGTRWYTGSSNRVNLQNSGPYSYKEPQTYFTDHAKGFSVEVGTPSFPTLEAFEAAVPAPDRWPISDSWAYHDWHPTGNGATKSFLDALTAKLGAPTSVEDFERKAQLLNYETHRAIFEGMNQELWTKSSGRLLWMTQPAWPSTMWQILSHDYDTHAAFYGTQKGAEIIHVQMSQPDHRLALVNNGLTPIIGASLRARVLGLDGKLLADRTSRIDAGANSTALGETLDLSGPLAQGAVVVRLDLTAADGAPLSSNLYWTAKDAEGERKLSAMAAQPVSLAAAAGKSGAETLVTVTLANTGSAPALNGKLTLVDAKGARILPAYYADNYVSLLPGERRTVEIRYSGEAMAGAKVELRGWNVIPVAAKVR >Vigun05g014000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1105084:1108635:1 gene:Vigun05g014000.v1.2 transcript:Vigun05g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRTLVLFLAFMVTNSTALADQHTYIVHIDKTKIRASIHSQDNSKPWFESIIDFISEASMQEDGEEEILAPQLLYAYETTMFGFAAHLSKKHLKYLNQVEGFLSAIPDELSTLHTTYSPQFLGLKSRRALWSASNLANDVIIGILDSGIWPEHSSFQDSGFSPVPSHWKGVCEKGTKFSPSNCNKKLIGARAYFKGYEKYFGRKINETVDYLSARDSQGHGTHTASTAAGDVVKNANFLGQARGTATGMRYTSRIAAYKVCWSSGCTNSDVLAAMNQAVSDGVDVLSLSLGSIPKPFYTDSIAIASFGATQKGVLVACSAGNSGPFPSTLGNGAPWIMTVAASSTDRTFPTKVKLGNGQIFKGSSLYEGKKTTQLPLVYGKSAGPTKEAQYCTGGSLDPKLVHGKMVACERGINGRTEKGEEVKVAGGAGMILLNNEYQGEELFADPHVLPATSLGASASKIVRSYSESVKKRPTASISFMGTRFRDPAPVMAAFSSRGPSLVGPEVIKPDVTAPGVNILAAWPPKISPSFLKSDKRKVSFNILSGTSMSCPHVSGIAALLKSLHKDWSPAAIKSALMTTAYTLNNKGAPISDMASNNSPLATPFVFGSGHVNPVSASDPGLVYDISTKDYFNYFCSINYTSSQIALLSRSNFVCSKKAVPQAGDLNYPSFSVLFGRSAFNSSVTYRRVVTNVGKSQSSYAVKVEQPNGVSVSVEPRKLKFEKLGQKLRYSVTFLATGGGKVGGSSSFGSLIWVSERYKVRSPIAITWQ >Vigun05g014000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1105674:1108635:1 gene:Vigun05g014000.v1.2 transcript:Vigun05g014000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRTLVLFLAFMVTNSTALADQHTYIVHIDKTKIRASIHSQDNSKPWFESIIDFISEASMQEDGEEEILAPQLLYAYETTMFGFAAHLSKKHLKYLNQVEGFLSAIPDELSTLHTTYSPQFLGLKSRRALWSASNLANDVIIGILDSGIWPEHSSFQDSGFSPVPSHWKGVCEKGTKFSPSNCNKKLIGARAYFKGYEKYFGRKINETVDYLSARDSQGHGTHTASTAAGDVVKNANFLGQARGTATGMRYTSRIAAYKVCWSSGCTNSDVLAAMNQAVSDGVDVLSLSLGSIPKPFYTDSIAIASFGATQKGVLVACSAGNSGPFPSTLGNGAPWIMTVAASSTDRTFPTKVKLGNGQIFKGSSLYEGKKTTQLPLVYGKSAGPTKEAQYCTGGSLDPKLVHGKMVACERGINGRTEKGEEVKVAGGAGMILLNNEYQGEELFADPHVLPATSLGASASKIVRSYSESVKKRPTASISFMGTRFRDPAPVMAAFSSRGPSLVGPEVIKPDVTAPGVNILAAWPPKISPSFLKSDKRKVSFNILSGTSMSCPHVSGIAALLKSLHKDWSPAAIKSALMTTAYTLNNKGAPISDMASNNSPLATPFVFGSGHVNPVSASDPGLVYDISTKDYFNYFCSINYTSSQIALLSRSNFVCSKKAVPQAGDLNYPSFSVLFGRSAFNSSVTYRRVVTNVGKSQSSYAVKVEQPNGVSVSVEPRKLKFEKLGQKLRYSVTFLATGGGKVGGSSSFGSLIWVSERYKVRSPIAITWQ >Vigun09g209900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38422217:38425489:-1 gene:Vigun09g209900.v1.2 transcript:Vigun09g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHWFFLHIVGTLFLLCNVCLAANQYTGRISAGGIKGSQMNWIDRNGQFLVSNEGQFSFGFVTTANDTTLFLLSIIHVDTSRVVWTANRAVPVANSDNFVFDDKGNALLQKDGTVVWSTNTSGRGVSSMELRDTGNLVLLGSDNSTVIWQSFGHPTDTLLPTQEFTEGMKLVSDPSTNHLTHVLEIKSGIVVLSASFQTPQPYWTMQTDSRRIINQGGDEVASASISGNSWRFYDKSKSLLSQFIFSSGQDSNSTWIAVLGSDGFITFYTLSNGGSNAASETIPEDSCSTPEPCDAYSICTGNKRCSCPSVIPSCKPGFDSPCGGDSGKSIQLVKADDGLDYFALQFLQPFSKTDLAGCQTSCRGNCSCLAMFFQRSSGNCFLLDSVGSFEKPASDPGYVSYIKVSSEGGSGSGSGGGGSGNKHTIVVVIIVLVTFFVICGLVFWGVRYQRRKQRVPESPSDGSEEANFLENLTGMPIRYSYKDLETATNNFSVKLGQGGFGSVYKGVVADGTQIAVKKLESIGQGKKEFRAEVSIIGSIHHLHLVRLKGFCADGTHRLLAYEYMPNGSLDKWIFKKNKGEFLLDWDTRFNIALGTAKGLAYLHEDCDSKIVHCDIKPENVLLDEHFMAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDPGESSEKSHFPTFAFKMMEEGKVRDIFDSELRIDENDERFQCAIKVALWCIQEDMSMRPSMSRVVQMLEGLCPVPNPPTTSFLGSRLYATVFKSSSEGATSSAPSDCNSDAYLSAVRLSGPR >Vigun07g296500.1.v1.2 pep primary_assembly:ASM411807v1:7:40673846:40683829:1 gene:Vigun07g296500.v1.2 transcript:Vigun07g296500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSILQAALSPNPDERKGAEQRLEEMQYAPQHPVSLFQIIVDTNRDMAVRQVAAINFKNFIAKNWSPDHQTEISIAPADKLLLRNHILLFLPQLPPLLRVQLGECLKTVIHSDYPEHFPHLLDWIKQNLQDQQQLHAALFVLRILSRKYEFKSDEERAPVYGVVQQTFPLLLTIFNALVQIANPSIEVADLIKLICKIFWSSIYLEVPKLLFDQNVFNAWMVLFLNVLESPVPMEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNLENKAFAQMFQKHYAGKILDCYLNLLNIVRLGGYLPDRVINLVLQYLSNSISKNSMYAALQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPKTASMDFVSELIRKRGKDNLHKFIQFIVEIFKRYDEAPPEYKSYRQKDGALLAIGALCDKLKHTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVCGMRDPELPVRIDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEIENEDLVFTLETIVDKFGEEMAPYALGLCQHLAAAFWRCMNTAEADDEVDDPGALAAVGCLRAISTILESVSSLPQLFVQFEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWTLWPLMMEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNMEDTDIEPAPKLVEVVFLNCKGQVDQWVEPYLRVTVERMQRTENSHLKCLLMQVISDALYYNAALTLSILQKLGVASEIFNLWFNMMQGVKKNGTRANFKREHDKKVCCLGLTSLLALPAGQLPGDALGQVLRATLDLLVAYKDQVAEAAKEEEAEDDDDMDDFQTDDEDEDGNGSEKEMGIDAEDGDEADSSKFRKLAEQTKTFRPNDEDEDDDSDDDFTDDEELHSPIDDVDPFVFFVDTMKVMQLSDPLGFQNLTQSLEFSYQALANGVTQHAELRRGEIEKEKLEKSSGVTNS >Vigun07g296500.2.v1.2 pep primary_assembly:ASM411807v1:7:40673846:40683829:1 gene:Vigun07g296500.v1.2 transcript:Vigun07g296500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSILQAALSPNPDERKGAEQRLEEMQYAPQHPVSLFQIIVDTNRDMAVRQVAAINFKNFIAKNWSPDHQTEISIAPADKLLLRNHILLFLPQLPPLLRVQLGECLKTVIHSDYPEHFPHLLDWIKQNLQDQQQLHAALFVLRILSRKYEFKSDEERAPVYGVVQQTFPLLLTIFNALVQIANPSIEVADLIKLICKIFWSSIYLEVPKLLFDQNVFNAWMVLFLNVLESPVPMEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNLENKAFAQMFQKHYAGKILDCYLNLLNIVRLGGYLPDRVINLVLQYLSNSISKNSMYAALQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPKTASMDFVSELIRKRGKDNLHKFIQFIVEIFKRYDEAPPEYKSYRQKDGALLAIGALCDKLKHTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVCGMRDPELPVRIDSVFALRSFVEACKDLDEIRPILPQLLDDLVFTLETIVDKFGEEMAPYALGLCQHLAAAFWRCMNTAEADDEVDDPGALAAVGCLRAISTILESVSSLPQLFVQFEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWTLWPLMMEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNMEDTDIEPAPKLVEVVFLNCKGQVDQWVEPYLRVTVERMQRTENSHLKCLLMQVISDALYYNAALTLSILQKLGVASEIFNLWFNMMQGVKKNGTRANFKREHDKKVCCLGLTSLLALPAGQLPGDALGQVLRATLDLLVAYKDQVAEAAKEEEAEDDDDMDDFQTDDEDEDGNGSEKEMGIDAEDGDEADSSKFRKLAEQTKTFRPNDEDEDDDSDDDFTDDEELHSPIDDVDPFVFFVDTMKVMQLSDPLGFQNLTQSLEFSYQALANGVTQHAELRRGEIEKEKLEKSSGVTNS >Vigun04g080700.1.v1.2 pep primary_assembly:ASM411807v1:4:11555803:11557988:1 gene:Vigun04g080700.v1.2 transcript:Vigun04g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVVNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYLYGIYGFAAYLINITTNRRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERCTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKIIVKLIWGPQGQGKQKQKQLAHYYLPSSSGNAEHLLVPQLMWLCWK >Vigun05g188400.2.v1.2 pep primary_assembly:ASM411807v1:5:36546489:36550282:1 gene:Vigun05g188400.v1.2 transcript:Vigun05g188400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGIMGSLHVLVLLLCLMSTALGKTTVDDGMHVKMKGTIGVIADNNSRNGKEEIVAVKMAMEDFYHYSNQSFGLQIRDSHADPLQAALAARDLIDTHKVEAIIGPETWKVTTLVAEICSQNMTPVLSLADATPNWSTLKWPYLVQISPNQFKQMKAVADIVLSFEWYNVNIIYDDTDSSSTRMFSHLYRDLSVAGVLISNVLTIPPLSSSSLSQELEKLRDGYCRVFIVNLSLPLAINLFLTAKELRMMEKGYVWIVTDPLTSLVHSLNSSIISSMQGIVGVKSYFPEIGLQYEDFYFKFRKKFSSENPHELNNEPGIFAARAYDAAWTLALSMITANKKKDQTLLDKILLNNFTGLSGKIHFSDQRLDPSDTFQIINVMGKDDKEIGFWTHELGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWTLPSSDNPLRIGVSVLGTLKQFITVNQDQTENTTTFHGFTIDLFRATMELLPYPFPYKFYPFNDTYDNLVKQVYLKYTEFTQPYTDPGVVMVVPLKSKVDHRAWLFLKPFTKSMWVLILAMVIYNGFILWMLERRHSPEITGSVLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVAWLFVALIITQTYTANLASMLTAERLQPTVDDIDQLRNRNIRVGYSSGSFLMNYVQEVLQFHPESMRNYGELEEYAEALRRKEIGAAFLEVPAAKIFVAKYCKEFIQAGPLYKIGGFGFAFARGSPFIPDVNKALLDLVETGKVHELENKMLASEECEDTEVNGETASLSPNSFWVLFIFTAGTSTFSLFVYVFRMNYANGEEKTIQRLTTMIIQQCKNAKRRMSRKVSDVAESPTTSSTTHATPTQI >Vigun05g188400.1.v1.2 pep primary_assembly:ASM411807v1:5:36546489:36550281:1 gene:Vigun05g188400.v1.2 transcript:Vigun05g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGIMGSLHVLVLLLCLMSTALGKTTVDDGMHVKMKGTIGVIADNNSRNGKEEIVAVKMAMEDFYHYSNQSFGLQIRDSHADPLQAALAARDLIDTHKVEAIIGPETWKVTTLVAEICSQNMTPVLSLADATPNWSTLKWPYLVQISPNQFKQMKAVADIVLSFEWYNVNIIYDDTDSSSTRMFSHLYRDLSVAGVLISNVLTIPPLSSSSLSQELEKLRDGYCRVFIVNLSLPLAINLFLTAKELRMMEKGYVWIVTDPLTSLVHSLNSSIISSMQGIVGVKSYFPEIGLQYEDFYFKFRKKFSSENPHELNNEPGIFAARAYDAAWTLALSMITANKKKDQTLLDKILLNNFTGLSGKIHFSDQRLDPSDTFQIINVMGKDDKEIGFWTHELGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWTLPSSDNPLRIGVSVLGTLKQFITVNQDQTENTTTFHGFTIDLFRATMELLPYPFPYKFYPFNDTYDNLVKQVYLKNFDAVIDVTIISYRYQYTEFTQPYTDPGVVMVVPLKSKVDHRAWLFLKPFTKSMWVLILAMVIYNGFILWMLERRHSPEITGSVLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVAWLFVALIITQTYTANLASMLTAERLQPTVDDIDQLRNRNIRVGYSSGSFLMNYVQEVLQFHPESMRNYGELEEYAEALRRKEIGAAFLEVPAAKIFVAKYCKEFIQAGPLYKIGGFGFAFARGSPFIPDVNKALLDLVETGKVHELENKMLASEECEDTEVNGETASLSPNSFWVLFIFTAGTSTFSLFVYVFRMNYANGEEKTIQRLTTMIIQQCKNAKRRMSRKVSDVAESPTTSSTTHATPTQI >Vigun05g188400.3.v1.2 pep primary_assembly:ASM411807v1:5:36546489:36550281:1 gene:Vigun05g188400.v1.2 transcript:Vigun05g188400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVLSLADATPNWSTLKWPYLVQISPNQFKQMKAVADIVLSFEWYNVNIIYDDTDSSSTRMFSHLYRDLSVAGVLISNVLTIPPLSSSSLSQELEKLRDGYCRVFIVNLSLPLAINLFLTAKELRMMEKGYVWIVTDPLTSLVHSLNSSIISSMQGIVGVKSYFPEIGLQYEDFYFKFRKKFSSENPHELNNEPGIFAARAYDAAWTLALSMITANKKKDQTLLDKILLNNFTGLSGKIHFSDQRLDPSDTFQIINVMGKDDKEIGFWTHELGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWTLPSSDNPLRIGVSVLGTLKQFITVNQDQTENTTTFHGFTIDLFRATMELLPYPFPYKFYPFNDTYDNLVKQVYLKNFDAVIDVTIISYRYQYTEFTQPYTDPGVVMVVPLKSKVDHRAWLFLKPFTKSMWVLILAMVIYNGFILWMLERRHSPEITGSVLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVAWLFVALIITQTYTANLASMLTAERLQPTVDDIDQLRNRNIRVGYSSGSFLMNYVQEVLQFHPESMRNYGELEEYAEALRRKEIGAAFLEVPAAKIFVAKYCKEFIQAGPLYKIGGFGFAFARGSPFIPDVNKALLDLVETGKVHELENKMLASEECEDTEVNGETASLSPNSFWVLFIFTAGTSTFSLFVYVFRMNYANGEEKTIQRLTTMIIQQCKNAKRRMSRKVSDVAESPTTSSTTHATPTQI >Vigun02g190300.1.v1.2 pep primary_assembly:ASM411807v1:2:32803335:32807003:-1 gene:Vigun02g190300.v1.2 transcript:Vigun02g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASWNSSVCVAPFLSKTYDMVDDPTTDSVVSWGENNNTFVVWNVPQFATDILPKHFKHNNFSSFVRQLNTYGFRKVDPDQWEFANEGFLRGEKQLLKSISRRKSAHVNGSQQQPSQVQKSAVRACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQKQQVTDNQMQNVGQRVQSMEQRQQQMMSFLAKAMHSPGFLAQFVQQQNESNKHITGANKKRRLHSQEKDNLATNSLHSGLDGHIVKYQSSINNAAKSLFRQILQINNSTTTQSSIKNPDVFLIDDIPSAIASDSSSSSTQVSNVTLSTVPPVSELTSMEVDSQFPVNCMPSISEVQSSPAVLDFCQSQGVETESSLVNHNLNGVEAGSRSMAEIDVSSVLDGAHTVEAGYSSADADGISQLPDIDDEFWELFFRPSPLTGDAEEIKFSSLGCGLTEEQGLPLERENEKKNVDKMQHVDNLTKQMGLLASKS >Vigun02g190300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32803335:32807006:-1 gene:Vigun02g190300.v1.2 transcript:Vigun02g190300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELVRLRQKQQVTDNQMQNVGQRVQSMEQRQQQMMSFLAKAMHSPGFLAQFVQQQNESNKHITGANKKRRLHSQEKDNLATNSLHSGLDGHIVKYQSSINNAAKSLFRQILQINNSTTTQSSIKNPDVFLIDDIPSAIASDSSSSSTQVSNVTLSTVPPVSELTSMEVDSQFPVNCMPSISEVQSSPAVLDFCQSQGVETESSLVNHNLNGVEAGSRSMAEIDVSSVLDGAHTVEAGYSSADADGISQLPDIDDEFWELFFRPSPLTGDAEEIKFSSLGCGLTEEQGLPLERENEKKNVDKMQHVDNLTKQMGLLASKS >Vigun02g190300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32803335:32807003:-1 gene:Vigun02g190300.v1.2 transcript:Vigun02g190300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELVRLRQKQQVTDNQMQNVGQRVQSMEQRQQQMMSFLAKAMHSPGFLAQFVQQQNESNKHITGANKKRRLHSQEKDNLATNSLHSGLDGHIVKYQSSINNAAKSLFRQILQINNSTTTQSSIKNPDVFLIDDIPSAIASDSSSSSTQVSNVTLSTVPPVSELTSMEVDSQFPVNCMPSISEVQSSPAVLDFCQSQGVETESSLVNHNLNGVEAGSRSMAEIDVSSVLDGAHTVEAGYSSADADGISQLPDIDDEFWELFFRPSPLTGDAEEIKFSSLGCGLTEEQGLPLERENEKKNVDKMQHVDNLTKQMGLLASKS >Vigun02g190300.2.v1.2 pep primary_assembly:ASM411807v1:2:32804076:32807003:-1 gene:Vigun02g190300.v1.2 transcript:Vigun02g190300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASWNSSVCVAPFLSKTYDMVDDPTTDSVVSWGENNNTFVVWNVPQFATDILPKHFKHNNFSSFVRQLNTYGFRKVDPDQWEFANEGFLRGEKQLLKSISRRKSAHVNGSQQQPSQVQKSAVRACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQKQQVTDNQMQNVGQRVQSMEQRQQQMMSFLAKAMHSPGFLAQFVQQQNESNKHITGANKKRRLHSQEKDNLATNSLHSGLDGHIVKYQSSINNAAKSLFRQILQINNSTTTQSSIKNPDVFLIDDIPSAIASDSSSSSTQVSNVTLSTVPPVSELTSMEVDSQFPVNCMPSISEVQSSPAVLDFCQSQGVETESSLVNHNLNGVEAGSRSMAEIDVSSVLDGAHTVEAGYSSADADGISQLPDIDDEFWELFFRPSPLTGDAEEIKFSSLGCGLTEEQGLPLERENEKKNVDKMQHVDNLTKQMGLLASKS >Vigun02g190300.3.v1.2 pep primary_assembly:ASM411807v1:2:32803335:32807003:-1 gene:Vigun02g190300.v1.2 transcript:Vigun02g190300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASWNSSVCVAPFLSKTYDMVDDPTTDSVVSWGENNNTFVVWNVPQFATDILPKHFKHNNFSSFVRQLNTYGFRKVDPDQWEFANEGFLRGEKQLLKSISRRKSAHVNGSQQQPSQVQKSAVRACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQKQQVTDNQMQNVGQRVQSMEQRQQQMMSFLAKAMHSPGFLAQFVQQQNESNKHITGANKKRRLHSQEKDNLATNSLHSGLDGHIVKYQSSINNAAKSLFRQILQINNSTTTQSSIKNPDVFLIDDIPSAIASDSSSSSTQSQGVETESSLVNHNLNGVEAGSRSMAEIDVSSVLDGAHTVEAGYSSADADGISQLPDIDDEFWELFFRPSPLTGDAEEIKFSSLGCGLTEEQGLPLERENEKKNVDKMQHVDNLTKQMGLLASKS >Vigun09g214900.1.v1.2 pep primary_assembly:ASM411807v1:9:38926765:38938329:1 gene:Vigun09g214900.v1.2 transcript:Vigun09g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKELEEQLLEAGNKLADPPSSVEELLSLLDQVESFLSKVEQSPSNSMQIALSPSLKALIADKLLRHSDDDVKVAVASCISEITRITAPDAPYDDDQMKEVFQLIVSSFENLHDTLSRSYAKRTSILETVAKVRSCVVMLDLECDALILEMFQHFFKAIREYHPENVFSSMETIMTLVVEESEDISLDLLSTLLTRVKKDDEEAFPIAKKLGERVLESCATKLKPYLVQAVKSLGVPMGDYSLVLSSICQDTSDALEKNDTCVTSEHTEDKGELAKRPREESAHVVEKDPREVSPSHQENPDVNISPKSVMSNGVACVEEGTALTNSKSVKKQEEAECSNHSEGLNISGHEVCNDVDSEKVDNSKSKPEQSTKRRRKKSSSATKSAKLSKSQVAASDKETEKKLDNENNSKKVPSSPHEDHFVEAAGPSENDKEVDAKTLSPKADNNESEVASPPSESLHDENRSKKLGRTKRKDGPVKDVAGEDVSKVSGGGASDSEAKPTRRSMKKALGQNSVKKASVVDSVKKGSGAANDADAKKHSAKKLDENKKGSGGSSSKQIGDKKKGGRTKANPETDLAKSTAMDVDKEVVSSPRPGTKSTKDESSEETPKANVKRKRGSGKENESDVKDYGENLVGLRVKVWWPEDREFYKGVIHSFYSGKKKHKVLYDDGDEETLNLLKEKWKLIEAGSDADEEGQSDRESLDASTDMPPKKKGKTSAGESTKQGKVDASSKSGGAAASSRSKGASMKSSQKSKDGNKSKDSKTISKSEDRVSRKSKDSTPKSGNSKTVAAAAKKMSNKSKNSDTSKAGESKDDDTSKPKSAAKSKQETPKSGKSKQETLKSAISKGKPLKGGGKSDVNGTGKVKSSLLKRKHAEDENSDA >VigunL060315.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:78333:78641:-1 gene:VigunL060315.v1.2 transcript:VigunL060315.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun10g135966.1.v1.2 pep primary_assembly:ASM411807v1:10:34849762:34851061:-1 gene:Vigun10g135966.v1.2 transcript:Vigun10g135966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSVKISRKLLSLLCYNWVERREGFRIGGQVVEFNLLDVCLGLGLRVLGERIDLNETISDITVELIYYYLLKYDYDVGGVELFCRIYILLGISEFLLPNKKGIVFPIIFKLVDDIDNIGKYNWGTLVYEYLVSSLCIASLGLKNESAAKHFDVVGCVYLLQCVVDVSVSDEELRHDVVKEAFKRFGTAYRNEEKKEKEEVLRLLEYEEGEIASMEHSISELEEIVAKCEGEVGNEDPPNDGCADDVFDDDGDEDDEMFHDPPVKPHLDEEVVVNHDGGQHSNMYDRMKAQPRRRFKSVATRTPFSVYGNKKNAKRK >Vigun05g208400.1.v1.2 pep primary_assembly:ASM411807v1:5:39905103:39910942:1 gene:Vigun05g208400.v1.2 transcript:Vigun05g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRIFKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGFRMAAECARNALLEKVVDNKADSEKFRSDLLNIAMTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMARVAQIETAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCDLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDALAKKTPGKRSLAIEAFSRSLLAIPTIIADNAGLDSAELISQLRAEHHQEGCTAGIDVISGSVGDMAERGICEAFKVKQAVLLSSTEAAEMILRVDEIITCAPRRREDRM >Vigun02g044500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17924076:17926520:-1 gene:Vigun02g044500.v1.2 transcript:Vigun02g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESHMIDSSRNGIISCVLLHLFLILPFIHLITCDPISVPDKILLNCGSSEASSFNGENWIGDSGSDFLPPEYDKSSSTVLFSNMNDTVAPKVPYSTARITHSPLTYSFPSSPGLKFIRLYFLSSLYLKTKPYNKAYFSVKAGPYTLVSDFNPFDFAEELNLKFFSKDFFVNVREEKLIITFTPSRIISKAFAFINGIEIFPVPKSIYFPSSKVPLPYLGHQQMLLTNDEYALETLYRVSIESDETNCPNAFGIWLDDCRYISGSHYGSVLNIKSLTVSLNYTTLSSKDYNYSAPGELYWTARTMGRNGDANMKYNLTWSFPVDSGFKYLVRLHFCEISVEVTQPNQRVFKVYINNQTAEESMDVVASAGAPFTPLHKDYVVMVPTESGRRKDLWISLHPNLKSKPNYADAILNGIEIMKISDSNHSLAAMFQLIMEKRKKKVQHVIIVAAATLGTIFGLLFTLFILIHRAGKKLKWRTSHILPSNSSSTHKNTQPTVTSDLCHQFTLEEISIATSNFSEDLVIGEGGFGKVYKGIMHHDGVTAVAVKRSNRRSGQGYKEFQNEINFFSFCHMNLVSLLGYCQEGNELILVYEYMVEGPLSDHLYKKRKQPLSWIQRIKICIGAARGLHYLHTSTRHPVIHRDVKSANILLDQNLVAKIADFGLCRTVPSLYDSHVSTEVKGTLGYLDPEYYKRRKLTQKSDVYSFGVVLFEVLCGRAAVNPVAVEEESEKVGLATWAMQCYKCGSIDELVDPHLAGNVRPQCLMAFVDLGIQCLADRSADRPTMGEVLNTLERILSLQDSLEEQEPNTTQFLQ >Vigun08g118200.1.v1.2 pep primary_assembly:ASM411807v1:8:28574557:28578621:1 gene:Vigun08g118200.v1.2 transcript:Vigun08g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLRGESHGGNGFQIYGCDVMNPVLTSTDTDQFLFGHFPPHHHQQQQQAKNNQNLTLCLDDMQVSCKNTKVVGRRRKKQCSVSWIKGQWNKEEDRKLIRLVKQHGDKKWAEIAEKLEGRVGKQCRERWNNHLRPDIKKDSWTEEEERIVVDMHARLGNRWCEMAKCLPGRSENAIKNHWNATKRRQNSKRKHKKTKTSNGKPHSSILEDYIKSKTVITTNPTPVVVTAPTPSQDSKVPLNHVLPLNHVFYEPFGNEPLLMQQIFTENVEASKHSKMCPTSYLDHWNMNVDDVDESDVVYSLQYPDNVHFNDSFFPRETHLPSDFYVSPLFNVAAGYGNQNLNIDFRHQGCSQVKGEEIW >Vigun09g172800.1.v1.2 pep primary_assembly:ASM411807v1:9:34291438:34304211:1 gene:Vigun09g172800.v1.2 transcript:Vigun09g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTRWKIEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPADTGKATSKTTKANVRNGTCKWADPIYETTRLLQDIKSRQYEEKFYKFVVGMGSSRSSILGEATINLADFVDALKPTAVSLPLNGTEPGVTLHVTVQLLTSKTGFREFEQQRELRERGLQTTSDQGTHDESADSKESSPDQNVNNHMNKVNSRVKLKRESKDLPHLSSLEGESRINEEYADSAAGFDGSSSTSESIYTEKHDISSAHEVDSLKSTVSGDLGGLSLNQSPQPDKGEAPDNQFPAQGSDRVHGWSIDYSAADSLAAASEDRSSSRLMGNLEAVESSILDLKLKVSSLQNHADEIGVETHKFSEQLATEISSGEELVKEVAVLKSECSKFRDEFEQLKNSKLSLPFPHKDPTGTDQDKLFQNLQHKWMKGLLLMEDKLRDIQKVSVGFPERDFRFLNLELEALAEILQNLKQESGEPIFGAKIVNERENKKMDLRKSEQFLTDIGSDAGLLQPESMTHYLSIPGLVSHEFDSVDPTLAMKEKIFELLREIDESKTERESLVRKMDQMECYYEALIQELEQNQRQMMAELQNLRNEHSTCLYTISAGKTEIERMHQNMNEQMMKFSEDKRILETLNNEFERRAISAEAALKRARLNYSIAVGQLQKDLELLSCQVLSMHETNENLIKQTLSDSSVPDTDDSPEQVVYPKISEAHTSNRLLYQNHSSSLQRQHLGEDILLNDLKRSLQAQEGLYIQVEEEISQMHFANIYSDVFSKALQETLLEASIDIQLMKEKIVQLSQQLALANESNELLVLRLQNAMNDILSLNEYKEICTAKSNDIALQNQILEANSKNLAHENSLLTEKVDELDVLLTEYRSYKGKYLACSTENSELKSLLKKERLENNHLHDEISILQEELKSVRTKIDEEVSMKNNLQSDVTILSHRLQKLLASYEERHSQLSLCSKSVCLDSKCEDFEGLLLRLEELQQSAFHRILQLAEEKEILVHDKQKTQLSLNTAESNALVMKQKFEHDLQEMLRKITVSDALFQKLQLDFEVIIDRTSASFEAEELYSQQHKEFLSGLDRLEAELQQLNSRNQDLSHKITKLDALSVEFQTWKQLTLAANEEEKKYLESSLQEKTQESARTSSELDSMKNNLNSLHSELQIQKTAREMMEKTYSKLITELNEKQIQLQEMRDLELSLQEKTEESATISSDLDSLKVNLHSLHNELHAEKAVREKLEKTISDLNTELNEKQSQLQGKKDLESSLLEKTEESAKISSELNFLEKNLHSLHNDLHAEKTVREILEKAVSDLTTELNEKQCQLQDSDLNRKELVNLKRMVSDLEFENSRISDLLEKSEKYLKEALKESSSITFLETLLSEMHEFCIATDIVMTFTRAQFEDHLEELTEKLHSTCRQLDVLHTKNFDVESELNRCLCRELTCIEENTRLLTSLDFLKSELEVLTAQNRELIDQNSGIMSEVKDHKNRTEEVSYTSYVHERENVLEVARLEQLLASCHREAEELFLSKEEAELKCIVLQDKLVELETAFTLLKQSDDELIRLQTQCNELTKRFAEQVLKTEEFKNLSIHLKELKDKAEAECSNAHDRRGHEGPPVAMQESLRIAFIKEQYESKLQELRQQLSLSKKHSEEMLWKLQDAVDETENRKKSEASQIKINEELGMKILELEAELQAVLSDKRNLLNAYDLLKAEKECSAISLECCKQEKQELEASLVKCNLEKSKIEAELTLAKELVETSRSHANSLNKVNGTLSSSLNPQQNYNHETESASLLINLQPEDPTAFSVMNGGQTLGSEKDLQQEEVMKHVASTESLKSSIDHLSKELERMKNENMLPSVDGHSHDEPSFPGLQRELIQLHEANQELGNIFPVFDKFSVSGNALERVLALEIELAEALRTKKSNMQFQSSFLKHHGDQEAVFRSFRDINELIKDMLELKARHSAVETELKEMHDRYSQLSLQFAEVEGERQKLMMTIKNTRASKKASS >Vigun05g279800.2.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.7.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun05g279800.3.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.9.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.4.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun05g279800.1.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun05g279800.15.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46902820:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.14.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.12.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46902820:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.10.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.13.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.11.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46902820:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.6.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun05g279800.16.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46902820:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGLAPISLTTWPILTLLWPN >Vigun05g279800.8.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun05g279800.5.v1.2 pep primary_assembly:ASM411807v1:5:46900810:46903531:-1 gene:Vigun05g279800.v1.2 transcript:Vigun05g279800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKERDCCGYSGSLASDKREKKQSEKNEESHITPSTIDHRRRFYVAKKEGVKNKQVTGVAVERSKRSNRYTLMIGSKEGWAAVSIQSIFRGYLARKALRALKGLVKIQALVRGYLVRKRVAATLHSVQAMIRAQAVARSVRARRSMDKENRFHPESPSRKYVQQQFDETRHYQSRNRRARIYCKTPLNGFDESSKVFEVDTGMAHSRSRSINTAMSECGEELHYQAMSSSLPCPVQGRISLHERHQHPQEFEWFFNLDEGNNFSSAHNTPRLSKCMMPATPLKSVCGDTFRPCSDFPNYMANTHSSMAKLRSHSAPKQRPELRKRLSISDIMASRNSVSGVGKQWLSNPKTQDYYVFDKVI >Vigun06g209900.1.v1.2 pep primary_assembly:ASM411807v1:6:32307088:32311385:1 gene:Vigun06g209900.v1.2 transcript:Vigun06g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKDAVVEDGEITSSVTAADSEFDEWNNFGDDDIMQQQYTIQADEAKKIPFVGDKEPLSSLAAEYKSGSPILLEKIKVLDEQYAAIRRTRGDGNCFFRSFMFSYLEHIMECQDQAEVDRIQPNVEKSRKALQTLGYADLTFEDFFALFLEQLECVIQGKETSISHEELVIRSRDQSISDYVVMFFRFVTSAEIQMRTEFFEPFILGLTNTTVDQFCKLSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGVSVNHHDFMPAAGDLPNASCSSVKNSPFITLLYRPGHYDILYPK >Vigun07g289400.1.v1.2 pep primary_assembly:ASM411807v1:7:40166611:40167096:1 gene:Vigun07g289400.v1.2 transcript:Vigun07g289400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQSSSSYCNNCVQRSVPASRTQALRPICDCGQAAVVRTARTPRNVSRKFCGCANYKRQSEGGGVSCNFFQWWYEDVDEEKEVLIVNQNMKIEDLENVVKDLKKYFNVLAVVVSIVGLIIVVMLALMLKD >Vigun09g118800.1.v1.2 pep primary_assembly:ASM411807v1:9:26190788:26192034:-1 gene:Vigun09g118800.v1.2 transcript:Vigun09g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSVAMSRPPAPTGEQRVSSGGSKYKRRSEEGAQGGGMMGSLRMIELQLVAFILVFSASGLIPLLDLVFPVLASAYIVALSYFAFPTKSSSSASSGSGTELFRGSRMFRMYVVVGTTVGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENIIGGLSLFSPPVRAMVPMLYTIRRIFVDIDWIHDVWLNKTLPVNARFQDSAWHMFGKFLAVANLVYFSINLFVFLIPCFLPRAFERYFEDKEEIFSKSAEEKRSMVHNKSQFPEKKTD >Vigun03g122100.1.v1.2 pep primary_assembly:ASM411807v1:3:11425244:11426466:-1 gene:Vigun03g122100.v1.2 transcript:Vigun03g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAMLMLSHNGKPHTPSSSSSSFTTTTFSMLKDSALKWVAKNKPLSKPGSEKNIIQTQKCFVFHPSAVEKRNLNSVACFCYLCESNFVVIQNRECHSFCSSLRCRTMTRLYDCV >Vigun05g187500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36279985:36284612:1 gene:Vigun05g187500.v1.2 transcript:Vigun05g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGGGGGGGGGEIVEVEGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLINKAKAAIDQLAHLPPWKPTLPTPNHLNDDESDKTNPNNPNSTTNPDAEILNQFNENLENDGGGGGGGGGGSSYLPLDNDAIRSFFPTTTSPLIQFQSYPPDLLSRTSSQDLRLSLQSLQDPIMLHHNQNEHVLFAGAGFDNMGAWNNSGNATNDTHGAGGGGGFVLNAPSPLPGPATVASPAMVFGYGNGNGQGQYFSQRGPLQSSNTPSVRAWIDAPSFVAAADHYHRHHYLSPAAAAAALVYQSSPSSAFAPGGFSGFRVPARIQGEEEHDGVSDKPSSASSDSRR >VigunL059516.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:23308:23508:-1 gene:VigunL059516.v1.2 transcript:VigunL059516.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >VigunL001100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:13530:13643:1 gene:VigunL001100.v1.2 transcript:VigunL001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLFGIVLGLIPITLVGLFVTAYLQYRRGDQLDL >Vigun05g103100.3.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQVVATKKFTYNSLRSATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWVYFFYSRV >Vigun05g103100.2.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWAWKLKEENRLLDLVDSELSEYDESEVYRFLVVALFCTQSASQHRPSMKQVLEMLSREVHLNEKALTEPGIYKWQSTGKRGGSLNETSSQAIKHRKTENPHEAASAHFSGSDIVTEMLPR >Vigun05g103100.1.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQVVATKKFTYNSLRSATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWAWKLKEENRLLDLVDSELSEYDESEVYRFLVVALFCTQSASQHRPSMKQVLEMLSREVHLNEKALTEPGIYKWQSTGKRGGSLNETSSQAIKHRKTENPHEAASAHFSGSDIVTEMLPR >Vigun05g103100.4.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQVVATKKFTYNSLRSATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWTGN >Vigun05g103100.6.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWTGN >Vigun05g103100.5.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWVYFFYSRV >Vigun05g103100.7.v1.2 pep primary_assembly:ASM411807v1:5:10291207:10295564:1 gene:Vigun05g103100.v1.2 transcript:Vigun05g103100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNCFGALNCFGRRDDPADQPHEQVVATKKFTYNSLRSATNDFHPSSKIGGGGYGVVHKGVLRDGTHVAIKSLSVESKQGAREFMTEIDLISNIRHPNLVELIGCCIEGSNRILVYEFMENNSLASSLLGSKSKYVALDWPKRAAICRGTASGLLFLHEEAQPNIVHRDIKASNILLDGNFNPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYALLGQLTKKADIYSFGILMLEIISGKSSSIAAFDEDYLVLVEWVIDKPRKSEA >Vigun05g224300.1.v1.2 pep primary_assembly:ASM411807v1:5:41684659:41687069:1 gene:Vigun05g224300.v1.2 transcript:Vigun05g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSSSGASGWLYDYGFDIPVAGADFMVAADSGGFSWGPQNHTLKGPSHTSLEMEYSLDSTVLENSPSKRLRTESCASGAKACREKMRRDKLNDRFLELSSILEPGRPPKTDKVAILSDAARVVVQLRNETERLKEMNDELQGKVKELKAEKNELRDEKNMLKDEKEKLEQQVKLTNIHNSFVPQAQAAKGQVGSHKLIPFIGYPGIAMWQFMPPAAVDTSKDHLLRPPVA >Vigun06g092000.1.v1.2 pep primary_assembly:ASM411807v1:6:22398436:22405588:-1 gene:Vigun06g092000.v1.2 transcript:Vigun06g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVFDAVWHFQQVDWMGFTFYFGSLVGSVSSYDVATPTKEIQWLGSVFTGIFFCVVVYRLTAILSSLSFAGYGKLNRAEKIEWNNRGFSTVHALFVSFASFYFVVLSDLFKTDSQEELVINRSSTFSNSVLGISIGYFLADVAMILWHFPALGGLEYVLHHGLSMVSIIQSLLSGQAQIYILMILFSESTTPFVNLRWHLDVAGLKNSKLYVWNGAALFFGWLIARIFLFIFFFIHMWTHFDEVKEIFPLGFYTLLVVPPVLTIMNLFWFWKIAKGLVKTLSKAKHSE >Vigun06g092000.3.v1.2 pep primary_assembly:ASM411807v1:6:22398436:22405030:-1 gene:Vigun06g092000.v1.2 transcript:Vigun06g092000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVFDAVWHFQQVDWMGFTFYFGSLVGSVSSYDVATPTKEIQWLGSVFTGIFFCVVVYRLTAILSSLSFAGYGKLNRAEKIEWNNRGFSTVHALFVSFASFYFVVLSDLFKTDSQEELVINRSSTFSNSVLGISIGYFLADVAMILWHFPALGGLEYVLHHGLSMVSIIQSLLSGQAQIYILMILFSESTTPFVNLRWHLDVAGLKNSKLYVWNGAALFFGWLIARIFLFIFFFIHMWTHFDEVKEIFPLGFYTLLVVPPVLTIMNLFWFWKIAKGLVKTLSKAKHSE >Vigun06g092000.4.v1.2 pep primary_assembly:ASM411807v1:6:22398403:22406697:-1 gene:Vigun06g092000.v1.2 transcript:Vigun06g092000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTFYFGSLVGSVSSYDVATPTKEIQWLGSVFTGIFFCVVVYRLTAILSSLSFAGYGKLNRAEKIEWNNRGFSTVHALFVSFASFYFVVLSDLFKTDSQEELVINRSSTFSNSVLGISIGYFLADVAMILWHFPALGGLEYVLHHGLSMVSIIQSLLSGQAQIYILMILFSESTTPFVNLRWHLDVAGLKNSKLYVWNGAALFFGWLIARIFLFIFFFIHMWTHFDEVKEIFPLGFYTLLVVPPVLTIMNLFWFWKIAKGLVKTLSKAKHSE >Vigun06g092000.6.v1.2 pep primary_assembly:ASM411807v1:6:22398436:22405588:-1 gene:Vigun06g092000.v1.2 transcript:Vigun06g092000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTFYFGSLVGSVSSYDVATPTKEIQWLGSVFTGIFFCVVVYRLTAILSSLSFAGYGKLNRAEKIEWNNRGFSTVHALFVSFASFYFVVLSDLFKTDSQEELVINRSSTFSNSVLGISIGYFLADVAMILWHFPALGGLEYVLHHGLSMVSIIQSLLSGQAQIYILMILFSESTTPFVNLRWHLDVAGLKNSKLYVWNGAALFFG >Vigun06g092000.5.v1.2 pep primary_assembly:ASM411807v1:6:22398389:22405684:-1 gene:Vigun06g092000.v1.2 transcript:Vigun06g092000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTFYFGSLVGSVSSYDVATPTKEIQWLGSVFTGIFFCVVVYRLTAILSSLSFAGYGKLNRAEKIEWNNRGFSTVHALFVSFASFYFVVLSDLFKTDSQEELVINRSSTFSNSVLGISIGYFLADVAMILWHFPALGGLEYVLHHGLSMVSIIQSLLSGQAQIYILMILFSESTTPFVNLRWHLDVAGLKNSKLYVWNGAALFFGWLIARIFLFIFFFIHMWTHFDEVKEIFPLGFYTLLVVPPVLTIMNLFWFWKIAKGLVKTLSKAKHSE >Vigun10g056750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:10460142:10460303:1 gene:Vigun10g056750.v1.2 transcript:Vigun10g056750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLIVLLIHNTHIIRNSYIITHSLSVGLFKLFGSKFRSCLNSSIMLPRCH >Vigun03g417400.1.v1.2 pep primary_assembly:ASM411807v1:3:62415630:62416695:1 gene:Vigun03g417400.v1.2 transcript:Vigun03g417400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSGKGSEGEDSNPNAPSSGNSPSSNNEQKKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKKRAILGISKGSNEDGRKGKKSGGAGAAALGGGALGREVLLHRSHWKKLGEEEKAAVLLMSLSYGSVYA >Vigun08g087000.1.v1.2 pep primary_assembly:ASM411807v1:8:19550499:19553741:1 gene:Vigun08g087000.v1.2 transcript:Vigun08g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFSHRSCEAPQPPFTTATLFLSCPATAHRCNTASVAPCHHFFVVVAHCTSHPKPMSVLHLLLPEISVVLLYNRRC >Vigun03g080100.1.v1.2 pep primary_assembly:ASM411807v1:3:6681809:6688088:-1 gene:Vigun03g080100.v1.2 transcript:Vigun03g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGNIGAWAADSERAEAEEREAQAAAAAAETQNFPSLKEAVNSKPKKKKGTTITLSEFNRGGFASAGEYQGLTRDEMMALPTGPKERSAEEMQFNRLGGGFSSYDRSGGRSRDRDGGNNDGSWGGGGRRSYGGFDEERRGPNPRVSELDQPSRADEVDNWASVKKSVPAFDSGRQNRYGGGGGGFGGGGGGFGSGGGGGFGGGGGGGGGGGFGGASRADGVDNWAVGKKAVPVRSSNFGSGFRDSGVEPDRWSRGGPPRETERMERPRLVLDPPRGDGSVNDSPAKATNKGNPFGAARPREEVLAEKGLDWKKMDSEIESKKTSRPTSSHSSRPSSAQSNRSEGPGLQGVDAVPKSRPKLNPFGDAKPREVLLGERGMDWRKIDLDLEHRSVDRPETMEEKLLKEEIDNLKKELEKETPINSNKESEGEAGIDQTSRYEILQQKEKELEVLVRDLDDKVRFGQKAVERPGSSAGRSSGFSDRPPSRSGSFEDSRSVDYTDRPRSRGTGTGDVWTRPNDDRRQFQGSRERGWFSGSRDLNRSNSRERW >Vigun01g059350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12410124:12410387:1 gene:Vigun01g059350.v1.2 transcript:Vigun01g059350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTERVSTRAAVARRAPSTKTRLVRRVCNELLSPFSSVTKWWLFTPFSANTKAASFYTTEWWLGGAIGRPLSGKIWNCKARGFRSH >Vigun07g038900.1.v1.2 pep primary_assembly:ASM411807v1:7:3769320:3772123:1 gene:Vigun07g038900.v1.2 transcript:Vigun07g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTVVLKVGMSCQGCAGAVNRVLGKMEGVESFDIDMKEQKVTVKGNVQPDEVLQAVSKTGKKTAFWVDEAPPENKPSETAPVASSAENDTKPSETAPVASSAENDTKPSEPAPVASAETENKPSETAAIETVA >Vigun05g119900.2.v1.2 pep primary_assembly:ASM411807v1:5:13148365:13149914:-1 gene:Vigun05g119900.v1.2 transcript:Vigun05g119900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSESSTKPNNNNNSPKPKPPFKPAKDDTKPVLQDPILRSDPIETEEAVLRLRPFSVPTSTSPPGKA >Vigun05g119900.1.v1.2 pep primary_assembly:ASM411807v1:5:13149167:13149914:-1 gene:Vigun05g119900.v1.2 transcript:Vigun05g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSESSTKPNNNNNSPKPKPPFKPAKDDTKPVLQDPILRSDPIETEEAVLRLRPFSVPTSTSPPGKA >Vigun05g068700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5933582:5938535:-1 gene:Vigun05g068700.v1.2 transcript:Vigun05g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKLGVEVVNAHDLVPKDGQGSSSTFVELHFDGQRFRTTTKDKDLSPVWNESFYFTITDPSKLPNLTLDACIFHYNKTNASKILLGKVRLTGTSFVTYSDAALLHYPLEKKSVFSRSKGEIGLKVFVTDDPSIRASNPLPAVESFSDKVRDIAQDEPPPPVSFTNSIPKNGSRKKTESRHTFHNVAKSSNEQKQQSKPAADAKPSVTFGIHEMKSSPAPPKVVQAFPGAQDFGVKETSPTLGGGKVVGGRVIRGSKPITSSSHDLVESMKYLFVRVVKARDLPSMDITGSLDPYVEVKMGNFRGTTNHFEKNQNPEWNKVFAFAEENQQSSILEVTVKDKDRISDDTVGKVWFDMYEVPRRIPPDSPLAPQWYRIENKNGEKKGELMLAVWRGTQADEAFQDAWHSDAVVIPDGSTISNYSQIRSKVYMSPRLWYVRVKVVEAQDLVASDKSKLPDASVKVQIGNQISKTKPVKGVNPQWNHSALFVAAEPFEESLIFTVEDRVGNKDETIGNVVLPISKIEKRIDDKDVRSGWFLLEKSMTSAMEEQGKKKESEKDKDKFFSRIHVIAYLDGGYHVLDESTYYSSDLRPSTRMLWKKPIGVLELGILNVDVLLPPKTRDGRGTSDTYCVAKYGLKWVRTRTIVNSLTPKFHEQYTWEVYDTATVLTLGVFDNAQIHNSSNGNKDSKIGKVRIRISTLETDRVYTHKYPLLSLQNSGLKKYGEVHLAIRFSCNSMFNMMSLYFKPHLPKMHYTKPLSIIDQERLRLQAVLIVAARLGRAEPPLRKEVVEYMSDTDSHLWSMRRSKANFNRLRDVFSFVFEIADWFGEVAKWKNSFVTVLVHILYSMFVCLPELILPTIFLYLFVYGMWKWRSRSRYPPHMNAKLSCADITTPEEFDEEMDTFPTTKSADIVRWRYDRLRSLAGKVQSVVGQIATQGERVQALLNWRDPRATSIFMVVCLVTAIVLYVIPPKLLFIVSGLYLIRHPKLRGKTPGAPVNFFRRLPALTDTML >Vigun05g068700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5933582:5938535:-1 gene:Vigun05g068700.v1.2 transcript:Vigun05g068700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKLGVEVVNAHDLVPKDGQGSSSTFVELHFDGQRFRTTTKDKDLSPVWNESFYFTITDPSKLPNLTLDACIFHYNKTNASKILLGKVRLTGTSFVTYSDAALLHYPLEKKSVFSRSKGEIGLKVFVTDDPSIRASNPLPAVESFSDKVRDIAQDEPPPPVSFTNSIPKNGSRKKTESRHTFHNVAKSSNEQKQQSKPAADAKPSVTFGIHEMKSSPAPPKVVQAFPGAQDFGVKETSPTLGGGKVVGGRVIRGSKPITSSSHDLVESMKYLFVRVVKARDLPSMDITGSLDPYVEVKMGNFRGTTNHFEKNQNPEWNKVFAFAEENQQSSILEVTVKDKDRISDDTVGKVWFDMYEVPRRIPPDSPLAPQWYRIENKNGEKKGELMLAVWRGTQADEAFQDAWHSDAVVIPDGSTISNYSQIRSKVYMSPRLWYVRVKVVEAQDLVASDKSKLPDASVKVQIGNQISKTKPVKGVNPQWNHSALFVAAEPFEESLIFTVEDRVGNKDETIGNVVLPISKIEKRIDDKDVRSGWFLLEKSMTSAMEEQGKKKESEKDKDKFFSRIHVIAYLDGGYHVLDESTYYSSDLRPSTRMLWKKPIGVLELGILNVDVLLPPKTRDGRGTSDTYCVAKYGLKWVRTRTIVNSLTPKFHEQYTWEVYDTATVLTLGVFDNAQIHNSSNGNKDSKIGKVRIRISTLETDRVYTHKYPLLSLQNSGLKKYGEVHLAIRFSCNSMFNMMSLYFKPHLPKMHYTKPLSIIDQERLRLQAVLIVAARLGRAEPPLRKEVVEYMSDTDSHLWSMRRSKANFNRLRDVFSFVFEIADWFGEVAKWKNSFVTVLVHILYSMFVCLPELILPTIFLYLFVYGMWKWRSRSRYPPHMNAKLSCADITTPEEFDEEMDTFPTTKSADIVRWRYDRLRSLAGKVQSVVGQIATQGERVQALLNWRDPRATSIFMVVCLVTAIVLYVIPPKLLFIVSGLYLIRHPKLRGKTPGAPVNFFRRLPALTDTML >Vigun11g204700.1.v1.2 pep primary_assembly:ASM411807v1:11:40189013:40191150:-1 gene:Vigun11g204700.v1.2 transcript:Vigun11g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKDDQNVVTIDVHAAKNLLNSSDYRYLDVRSVEEFNKSHVENAYNVPYMFITEAGRVKNPEFVEQVAAICKNEDHLIVACNSGGRSLRASVDLLDSGFKHVVNMGGGYSAWVDAGFAGDKPPEELKTSCKIRR >VigunL080101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000604.1:18364:18896:-1 gene:VigunL080101.v1.2 transcript:VigunL080101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRAILVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRSK >Vigun10g092800.2.v1.2 pep primary_assembly:ASM411807v1:10:26608768:26611571:1 gene:Vigun10g092800.v1.2 transcript:Vigun10g092800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIMATERVLHMKGGDGETSYTKNSLLQRKVASMVKPILEDTVKRLMSNFSSERCWKVADLGCSSGPNSLFFVSNMLSIMDNVSMSINHRKPRVFQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDNHGRCFIHATPGDFYGRLFPDDYIHFFHSSYSLHWLSQGLVEEKKLDFFDLPIYGATAEEVRQVIEEEGSFAIQTLRTFNIGWDANLHEDVDDSILDSKMKGEFVAKSIRAVFDPLLSVEFGEDTMDEFFTRYAKKVASLIELETLEYTNVVVSMSKVS >Vigun10g092800.1.v1.2 pep primary_assembly:ASM411807v1:10:26608768:26611571:1 gene:Vigun10g092800.v1.2 transcript:Vigun10g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIMATERVLHMKGGDGETSYTKNSLLQRKVASMVKPILEDTVKRLMSNFSSERCWKVADLGCSSGPNSLFFVSNMLSIMDNVSMSINHRKPRVFQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDNHGRCFIHATPGDFYGRLFPDDYIHFFHSSYSLHWLSQAPKSSTNIDEPLNKGNVYITNTSSPSIREAYFNQFEKNFKLFLKSRSVELKSDGVMLLTLIGRDETHKIGNPVEVIGMVLNDMVQEGLVEEKKLDFFDLPIYGATAEEVRQVIEEEGSFAIQTLRTFNIGWDANLHEDVDDSILDSKMKGEFVAKSIRAVFDPLLSVEFGEDTMDEFFTRYAKKVASLIELETLEYTNVVVSMSKVS >Vigun04g047900.1.v1.2 pep primary_assembly:ASM411807v1:4:4133221:4135251:1 gene:Vigun04g047900.v1.2 transcript:Vigun04g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGELWSQLGSLMASIMFVYAMYEQFFPHHLRVYAKKYTKRFTGLMYPYIQINFPECSGERLKRSEAYTAIRTYLSANSSQKAQRLKAEVDQGRQDSVVLSMDDNEEITDEFHGVKLWWSANYTLPRTQSFSFYPSSEEKRFLTLTFHRRHRDLITTSYIQHVLKRGRAIAVDNRKLKLYTNNPSNDWYGWKRTKWSHVNFEHPSRFETLAMDPKKKEEILSDLERFKNGEKYYAKVGKAWKRGYLLHGPPGTGKSSMIAAMANYMNYDVYDLELTAVKENTELRKLLIETSSKSIIVIEDIDCSLDLTGQRKKKKKEKEEDDEGEKKKNPVKKAEEEEKKESKVTLSGLLNFIDGIWSACGGERIIIFTTNFVEKLDPALIRRGRMDKHIEMSYCGYEAFKVLAKNYLEVDSHTLFPTIEKLMGETNISPADVAENLMPKSVNEDPEICLKSLIESLEEAKKKAEEEAERKAKEDEEARLKEENGKKELEKGEATGKSGEEVKENGSVH >Vigun03g185900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24570218:24571673:-1 gene:Vigun03g185900.v1.2 transcript:Vigun03g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRISFSYDFVVSQQAMKHENIYTEDPVSSDFEFSVKNNSMISADEAFFQGVLLPLKSSDCSRKVTLRDELLANDEYEEELPRLPKSSSRWKERLGLRRVSSKKDKNKNDGFPQRVGTEKGFTSGQGDKTVT >Vigun05g281200.5.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQVISEIPYVFVQTIYFAFIVYAMVSFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVEITIFVPGENNQTIKHYIEDHYGFKPNFMGPVAIVLVAFPVFFAFVFAFSIKTLNFQTR >Vigun05g281200.9.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRLPTVEVRFQNLTVEADSYVGSRALPTLPNVALNIAESALGLCGISTAKRTKLTILKDVSGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQHQSLEQHSMDSSISFLVSSSQDQKFQNGGSGIIGFAQ >Vigun05g281200.3.v1.2 pep primary_assembly:ASM411807v1:5:47039156:47047969:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNLSRSISRSLSRSSWRMEGVFASGRYSRRTSNIDEDEEALKWAAIERLPTYDRLRTSILQTFAEGGDHARPSTLQHREVDVRKLDVNERQEFIDRIFKVAEEDNEKYLSKFRNRLEKVGIRLPTVEVRFQNLTVEADSYVGSRALPTLPNVALNIAESALGLCGISTAKRTKLTILKDVSGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQVISEIPYVFVQTIYFAFIVYAMVSFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPVSRVQVWFLQYKNHSYDFH >Vigun05g281200.6.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQHQSLEQHSMDSSISFLVSSSQDQKFQNGGSGIIGFAQ >Vigun05g281200.7.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQHQSLEQHSMDSSISFLVSSSQDQKFQNGGSGIIGFAQ >Vigun05g281200.8.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRLPTVEVRFQNLTVEADSYVGSRALPTLPNVALNIAESALGLCGISTAKRTKLTILKDVSGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQVISEIPYVFVQTIYFAFIVYAMVSFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVEITIFVPGENNQTIKHYIEDHYGFKPNFMGPVAIVLVAFPVFFAFVFAFSIKTLNFQTR >Vigun05g281200.4.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47046764:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQVISEIPYVFVQTIYFAFIVYAMVSFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVEITIFVPGENNQTIKHYIEDHYGFKPNFMGPVAIVLVAFPVFFAFVFAFSIKTLNFQTR >Vigun05g281200.1.v1.2 pep primary_assembly:ASM411807v1:5:47039156:47047969:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNLSRSISRSLSRSSWRMEGVFASGRYSRRTSNIDEDEEALKWAAIERLPTYDRLRTSILQTFAEGGDHARPSTLQHREVDVRKLDVNERQEFIDRIFKVAEEDNEKYLSKFRNRLEKVGIRLPTVEVRFQNLTVEADSYVGSRALPTLPNVALNIAESALGLCGISTAKRTKLTILKDVSGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQVISEIPYVFVQTIYFAFIVYAMVSFEWKVEKVLWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVEITIFVPGENNQTIKHYIEDHYGFKPNFMGPVAIVLVAFPVFFAFVFAFSIKTLNFQTR >Vigun05g281200.2.v1.2 pep primary_assembly:ASM411807v1:5:47039155:47047970:-1 gene:Vigun05g281200.v1.2 transcript:Vigun05g281200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNLSRSISRSLSRSSWRMEGVFASGRYSRRTSNIDEDEEALKWAAIERLPTYDRLRTSILQTFAEGGDHARPSTLQHREVDVRKLDVNERQEFIDRIFKVAEEDNEKYLSKFRNRLEKVGIRLPTVEVRFQNLTVEADSYVGSRALPTLPNVALNIAESALGLCGISTAKRTKLTILKDVSGIIKPSRMALLLGPPSSGKTTLLLALAGKLDNDLRVRGEISYNGYKLNEFVPRKTSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLAELARREKEAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCFQQIVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGPREHIIEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWINRNIPYHYITVSEFANRFKQFHVGRQLENELSAPFDKSKGHRAALVFKKYTVPTRGLLKACWDKEWLLIKRNSFVYIFKTGQICIIGIIAATVFFRTKMHQRDESDAAVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLLTYYPIGFAPEASRFFKHLLLVFLVQQMAAGMFRLISGVCRTMIIANTGGALMLLLVFLLGGFILPKRDIRDWWIWGYWISPLTYAYNGLTVNELFAPRWNKPSTDGVTPIGLATLNNFDVFAEKGWYWIAVATLFGFTVLYNVLFTFALMYLNPIGKKQAIISEEEASEMATGGNSREEPRLVRPEPNREIAPQPLYSTGEVAMQQMSGRMRNVDSMRDSAIGVSPKKGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARISGYCEQTDIHSPQVTIRESLIYSAFLRLPREVNNEEKMKFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLGMDFAEYYKTSSLYQRNKALIRELSTPPPGTKDLYFPTQYSQSTWEQFKSCLWKQWLTYWRSPDYNLVRFFFTLAVAFVVGTVFWRVGKKRGSSSDLNTIIGALYGSIFFVGVNNCQTVQPVVAVERTVFYRERAAGMYSALPYAIAQHQSLEQHSMDSSISFLVSSSQDQKFQNGGSGIIGFAQ >Vigun09g123000.1.v1.2 pep primary_assembly:ASM411807v1:9:27461251:27464124:1 gene:Vigun09g123000.v1.2 transcript:Vigun09g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHHRRRHRRRTWCCSFAVPPASPDNLTASKPLPPRKPEPNSKPTFSLPTSPKSRIPARIDPRRILSPGRVSPIDSDPVAATSAPSPSPEPPLPRSPAPVAGDRDVRMSLRGKNGGCMVLEVNSGVLCANSEVFAGLIEDYKKGVASWSGSNKMCRIEVPEVQNLGVFRETIELMFENDDCLTKRLLNIGVFRCIDVLEVSAGIMFTKGVLSCLQYLEAVPWTEEEEEKLRSLFTRFKFDEATTRDILGRLYLHDSADCSYQPNVARQLVWSITTCVDANARNELKSLVKGLLCKSSVYEKNHLDLNKEDLYSVGHTCLDSLLSLFEEASDTVPPEKLTKKDKNKPLIERISRQVDNINWLLEIMLDGQIAEEFVNIWADQQQLVKMHDSASPMVRYELSRVSAILFIAMATRKLQCRSEARSGLFQAWFRPMLLDFGWLQRCRRGLDIKVLQEAMGQTLLTLPLNQQYMLFMEWFRHFSSHGTECPNLSKAFQIWWRRSFLRGSETHAIESR >Vigun01g076950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21662003:21663348:1 gene:Vigun01g076950.v1.2 transcript:Vigun01g076950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRLDAAELVKIRQVLKDKEIELGDKETELTNLRVKVDELTPKMEAYETQVQGLVEKCKKLENEKEEMADQLCTTLNQGFQLALNQVKILCPEADISGADITKEVVDGQLVEITDD >Vigun08g027200.1.v1.2 pep primary_assembly:ASM411807v1:8:2436729:2445157:1 gene:Vigun08g027200.v1.2 transcript:Vigun08g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGIDLSCAVGSLRHGHFPEKDCLLPLISKLLGYAIVAASTTVKLPQIMKILKHKSVRGLSMISFELEVIGYTIALAYCLHKGLSFSAYGELLFLLIQAIILVAVIYYYSRPLSTITCIRALLYCAVAPTIFAGQIDPFLFEALYASQHAIFLFARIPQIWQNFSNKSTGELSFITSFMNFAGSMVRVFTTIQENAPNSVLLGYAIGVATNFTILSQIILYRKPQVEKEKKTK >Vigun05g233900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42758094:42759176:1 gene:Vigun05g233900.v1.2 transcript:Vigun05g233900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRKNRGSELRHQSRSTSPEFSSSSSCSSVSYSPKFATEEEEKVHGENGEETKAMDLVGCPRCFMFVMLSEEDPKCPKCKSTVFLEFFKHENFKTDN >Vigun05g233900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42758094:42759176:1 gene:Vigun05g233900.v1.2 transcript:Vigun05g233900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRKNRGSELRHQSRSTSPEFSSSSSCSSVSYSPKFATEEEEKVHGENGEETKAMDLVGCPRCFMFVMLSEEDPKCPKCKSTVFLEFFKHENFKTDN >Vigun04g068600.1.v1.2 pep primary_assembly:ASM411807v1:4:7831448:7835866:-1 gene:Vigun04g068600.v1.2 transcript:Vigun04g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGGEEAALCFLVFFWFWSSSNALLSPKGVNFEVQALMGIKAFLVDPHGILDNWDGDAVDPCSWNMVTCSPENLVVSLGIPSQNLSGILSPSIGNLTYLQTVVLQNNNITGSIPSEIGKLSKLQTLDLSDNFFNGEIPPSLGHLRSLQYLRLNNNSFDGECPVSLANMAQLAFLDLSYNNLSGPIPRILAKSFSVVGNPLVCTTEKEKNCHGMTLMPMSMNLNSSENALPSGRTKAHKMVIAFGLSLGFLCMIVFGLGLILWRRHKHKQKSFFDVKDQHHEEVYLGNLKRFQLRELQIATNNFSNKNILGKGGFGHVYKGILPDGTLVAVKRLKDGSATGGDIQFQTEVEMISLAVHRNLLKLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHQEKKLELLVDKDLMNNYDRIELEEIVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAEKWEASQSADNTKCNKPHELSSSDRYSDLTDDSSLLVQAMELSGPR >Vigun04g068600.2.v1.2 pep primary_assembly:ASM411807v1:4:7831448:7835866:-1 gene:Vigun04g068600.v1.2 transcript:Vigun04g068600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGGEEAALCFLVFFWFWSSSNALLSPKGVNFEVQALMGIKAFLVDPHGILDNWDGDAVDPCSWNMVTCSPENLVVSLGIPSQNLSGILSPSIGNLTYLQTVVLQNNNITGSIPSEIGKLSKLQTLDLSDNFFNGEIPPSLGHLRSLQYLRLNNNSFDGECPVSLANMAQLAFLDLSYNNLSGPIPRILAKSFSVVGNPLVCTTEKEKNCHGMTLMPMSMNLNSSEIFGLGLILWRRHKHKQKSFFDVKDQHHEEVYLGNLKRFQLRELQIATNNFSNKNILGKGGFGHVYKGILPDGTLVAVKRLKDGSATGGDIQFQTEVEMISLAVHRNLLKLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKQIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHQEKKLELLVDKDLMNNYDRIELEEIVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAEKWEASQSADNTKCNKPHELSSSDRYSDLTDDSSLLVQAMELSGPR >Vigun09g028300.12.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2329566:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVMAFVMQDCKIEGYK >Vigun09g028300.15.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSYMAVLFSRMVFFNQGL >Vigun09g028300.10.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331278:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVMAFVMQDCKIEGYK >Vigun09g028300.4.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSYMAVLFSRMVFFNQGL >Vigun09g028300.7.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSSYMAVLFSRMVFFNQGL >Vigun09g028300.3.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVMAFVMQDCKIEGYK >Vigun09g028300.5.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSYMAVLFSRMVFFNQGL >Vigun09g028300.9.v1.2 pep primary_assembly:ASM411807v1:9:2326818:2331278:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSSYMAVLFSRMVFFNQGL >Vigun09g028300.1.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331278:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVRVIYDAQEVLSGLETPKLKDSESVWPTESAHVWGELSEAIVKKDWEKAREAKLEIEERQRKLLRERDSKGETWVSKHFVVSNNKEGWECSPIHKTVPAAPITAQ >Vigun09g028300.13.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331278:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVMAFVMQDCKIEGYK >Vigun09g028300.11.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2329566:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVRVIYDAQEVLSGLETPKLKDSESVWPTESAHVWGELSEAIVKKDWEKAREAKLEIEERQRKLLRERDSKGETWVSKHFVVSNNKEGWECSPIHKTVPAAPITAQ >Vigun09g028300.14.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331278:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSSYMAVLFSRMVFFNQGL >Vigun09g028300.2.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDRIVKLKDTNNKEVRVIYDAQEVLSGLETPKLKDSESVWPTESAHVWGELSEAIVKKDWEKAREAKLEIEERQRKLLRERDSKGETWVSKHFVVSNNKEGWECSPIHKTVPAAPITAQ >Vigun09g028300.16.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2329541:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSSYMAVLFSRMVFFNQGL >Vigun09g028300.8.v1.2 pep primary_assembly:ASM411807v1:9:2326819:2331279:-1 gene:Vigun09g028300.v1.2 transcript:Vigun09g028300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKEENERKIVLTKPLTIDSESDSDAYKAPNLMQRILSLFKNVRPGSDLTRFQLPPLFNLPKSQLQCYGESVYCTGSDLLSMCNNGESPIDRFISVVAWSISTTRPVNFGVAPYNPILGETHHVSKGNLNVLLEQISHHPPVAALHATDEKENIEMIWCQRPDPKFNGRSIEAKVHGIRQLKLLNHGETYEMNCPHLLLKIFPVASADWSGTVTIRCQETGLVAELSYRSSSSFLGIGGNHRMIKGKILDSSSLKVLYEVDGHWDSYMAVLFSRMVFFNQGL >Vigun05g048200.1.v1.2 pep primary_assembly:ASM411807v1:5:4141517:4146610:1 gene:Vigun05g048200.v1.2 transcript:Vigun05g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDAEDPSQLIAAEQLKAATAAAAAAAAPKKAPVQQNKPAQLPTKPPPPAQAVRESRNEPVRGGRGGGRGGARGFGRGRGFGRDSSNDENSFPATGAPYNSGSFEEGDAGKSSERRGYGGPRAPYRGGRRGGFSNGEVGEGEEGRPRRTFDRRSGTGRGNEFKRDGAGRGNWGTQDDEITQATEEVVNETGKNLGDEKPAAEEVADGNKDNVNDTEEKEPEDKEMTLEEYEKVLEERRKAFQALKTEGRKVDTKEFESMQPLSSKKDNHDIFIKLGSEKDKRKEAFEKEEKSKKSVSITEFLKPAEGETYYSPGGRGRGRGRGTRGGFRGGVTNSAPAPSIEDPGHFPTLGGK >Vigun03g284800.2.v1.2 pep primary_assembly:ASM411807v1:3:46590578:46595097:1 gene:Vigun03g284800.v1.2 transcript:Vigun03g284800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASAWAFSHEIPSDVTVKVGEVSFSLHKFPLVSKSGYIEKLVSASSGDDVSFIELFDVPGGAEAFELATKFCYGINFEISVENIAVLRCVAEYLEMTEDYSVGNLVGRADSYLNEVALKTISGAVSILHVSERLLPIAEKAKVVSRCIDAIAFIASKETQLCSSMRSDISSDGMASHQRPVVQWWAEDFTVLRIDIFQRVVIAMMARGFKQFSLGPIIMLYAQKSLRGLEIFGKGRKKIEIEAQEEHEKRVVLETLVSLLPREKNAMSVSFLSMLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRIMMNYLQSENEKHSAYNADDEYFSPPQSDIYGIGKLMENYLAEVATDRNLAVSKFITLAELIPQQSRSTEDGMYRAIDIYLKIAGGKVKICKSQAHPILGDTEKKKVCSVMDCQKLSRDACAHAAQNDRLPVQTVVQVLYYEQQRLRDSMDGSVGWDSPNNFRDKANLSPNELNPMSNEFSILRRENEDLKLEIVKLRMKLKEVDRSSLRSASSSPVIYGSPSADKPPLPRKSFINSVSKKLGRLSPFSRGGSATNPLKSRVKPDKNRRHSIS >Vigun03g284800.1.v1.2 pep primary_assembly:ASM411807v1:3:46590578:46595097:1 gene:Vigun03g284800.v1.2 transcript:Vigun03g284800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASAWAFSHEIPSDVTVKVGEVSFSLHKFPLVSKSGYIEKLVSASSGDDVSFIELFDVPGGAEAFELATKFCYGINFEISVENIAVLRCVAEYLEMTEDYSVGNLVGRADSYLNEVALKTISGAVSILHVSERLLPIAEKAKVVSRCIDAIAFIASKETQLCSSMRSDISSDGMASHQRPVVQWWAEDFTVLRIDIFQRVVIAMMARGFKQFSLGPIIMLYAQKSLRGLEIFGKGRKKIEIEAQEEHEKRVVLETLVSLLPREKNAMSVSFLSMLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRIMMNYLQSENEKHSAYNADDEYFSPPQSDIYGIGKLMENYLAEVATDRNLAVSKFITLAELIPQQSRSTEDGMYRAIDIYLKAHPILGDTEKKKVCSVMDCQKLSRDACAHAAQNDRLPVQTVVQVLYYEQQRLRDSMDGSVGWDSPNNFRDKANLSPNELNPMSNEFSILRRENEDLKLEIVKLRMKLKEVDRSSLRSASSSPVIYGSPSADKPPLPRKSFINSVSKKLGRLSPFSRGGSATNPLKSRVKPDKNRRHSIS >Vigun03g280200.1.v1.2 pep primary_assembly:ASM411807v1:3:45828903:45833624:-1 gene:Vigun03g280200.v1.2 transcript:Vigun03g280200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLSLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAAEYKRRVRQQAKQYPALL >Vigun03g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2542755:2543405:-1 gene:Vigun03g033000.v1.2 transcript:Vigun03g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGSDWKFDQVAMWLGSTVSSAFFSSLERFSCVNVATSDPDNDDDDDDYYSTTAATPTAATTPTASNSNPPSAPVNAEKTNDVSNLPV >Vigun08g016000.4.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354947:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.10.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354944:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.6.v1.2 pep primary_assembly:ASM411807v1:8:1351388:1354945:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.14.v1.2 pep primary_assembly:ASM411807v1:8:1351252:1354946:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVKFH >Vigun08g016000.9.v1.2 pep primary_assembly:ASM411807v1:8:1351390:1354944:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.3.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354946:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVKFH >Vigun08g016000.1.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354968:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.12.v1.2 pep primary_assembly:ASM411807v1:8:1351393:1354944:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.11.v1.2 pep primary_assembly:ASM411807v1:8:1351255:1354944:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun08g016000.13.v1.2 pep primary_assembly:ASM411807v1:8:1351252:1354946:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVKFH >Vigun08g016000.2.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354946:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVKFH >Vigun08g016000.5.v1.2 pep primary_assembly:ASM411807v1:8:1351164:1354944:1 gene:Vigun08g016000.v1.2 transcript:Vigun08g016000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYDGEAGNRSLQIIKYSPYKPFTMMIPSPWFDLRVFYVRVSGIQVDESTPEFLSLHHIPLSHDTLLEVNGVRSSIYCDAESSVLRRDRVDKKSEEATFVNTDSIRFTGSMKFEVYDKELCILSGVLEMSNTNGFVGESKTSVKRWSMSCQTEMAPGCGFFKGKYVGVTEFPCPEIEVYVTGSFSGTPIILTKTLQLNCRKKHNRKCALDAIPEYETTECHKDVSDHGHDLQHVDYRRSFKTEQEEDYSSMYWQRTAYADGEDGELSWFNAGVRVGVGIGLGICVGVGIGVSLLVRSYQTTTRNFKRRFI >Vigun06g108500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23770197:23770469:1 gene:Vigun06g108500.v1.2 transcript:Vigun06g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVAIVTQSYMALIASVIVLFSTLSSCSCPSNAPVNDVVRGSVSENDNAVLITAAEDVAWVQDQIQLNGLHVHNNIPRKGINPRTRAH >Vigun10g123366.1.v1.2 pep primary_assembly:ASM411807v1:10:33145114:33146557:1 gene:Vigun10g123366.v1.2 transcript:Vigun10g123366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGDAFSEVIKFERLHTIHLQSLTSLVCFYSGSDTLQLLSLKTVAVHGVFLPSNSIPYGSYVILGATDLVSLKLQNCTPPCAISSIILALLKSLKELEVRDSTIEVLFYMNDTEITKIASQLRILTLKGLSKLTHVWEKKKNGVLMFPNLQQFQEIVEKEESTEAKFVLPCLERLILSFLPQVSCFYPQTFTLECPALNIVSVLRCEGLELFQSEHSIGEGISVKRQPLISRLEDISNLRELKLDWKHILALRSRLRSEKFTGVFKFVNKMSLLLDGDVSEMLKVVNEILHRAPNLIEMVIHILNCKNSEIFFAQNPKIGEDGMLLQLRILTLFQVAAIRSI >VigunL007000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:14573:17068:-1 gene:VigunL007000.v1.2 transcript:VigunL007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGLPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMCWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHIWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun09g135700.4.v1.2 pep primary_assembly:ASM411807v1:9:29645760:29649372:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun09g135700.6.v1.2 pep primary_assembly:ASM411807v1:9:29646247:29649310:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun09g135700.3.v1.2 pep primary_assembly:ASM411807v1:9:29645590:29649371:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVFCAEPSFLTPSTLYISHGKNSTSLYTHKHPSPRISPNYATTNKRLVVSASSAPSLSGSGAEYSEQLADMEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun09g135700.2.v1.2 pep primary_assembly:ASM411807v1:9:29645103:29649311:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun09g135700.5.v1.2 pep primary_assembly:ASM411807v1:9:29645760:29649331:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun09g135700.1.v1.2 pep primary_assembly:ASM411807v1:9:29645103:29649310:1 gene:Vigun09g135700.v1.2 transcript:Vigun09g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVFCAEPSFLTPSTLYISHGKNSTSLYTHKHPSPRISPNYATTNKRLVVSASSAPSLSGSGAEYSEQLADMEAKKKTKVIAGIDQGELVDPKILADPDSCFCEFNGVHIHHKICDAESQPQSMLQSHTVSHQIKKLGYPMILLHGFGASVFSWKQVMKPLAEVAGSKVLAFDRPAFGLTSRVNLSKHPSSEMEDTKPLNAYSMAFSVLATLHFIKLLNAEKVILMGHSAGSLVAVNTYFEAPERVAALILVAPAIFAPLTAPKIVKENQSRKDNQKKEEDSSIRKNPILGLYKMMSKITKYIAEAITQMMKWTVDILNSLYRKFLSAILRSSLAIMLVRMAIDKFGTAAVRSAWYDPKQVSEHVLSGYTRPLRSKDWDRALVEYTAAMLLDEEYKTKTPLSKRLHEISCPVLIVTGDTDRIVPSWNAERLSRVIPGSSFKVIKQCGHLPHEEKMEEFISIVENFLRSLVSDSNEQFLQQAI >Vigun04g123100.1.v1.2 pep primary_assembly:ASM411807v1:4:31415279:31422265:1 gene:Vigun04g123100.v1.2 transcript:Vigun04g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVMGAVREMSIEVPTEIQGIGIPAVLEEKSVVLGSHTGSGKTLAYLLPLVQLLRRDEQLNGIMLKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRCTMVSGGGRIRPQEDSLNNPIDMVVGTPGRVLQHIDEGNMVYGEIKYLVLDEADTMFDRGFGPDIRKFLGPLKSRASKPDGLGFQTILVTATMTKAVQKLIDEEFQGIVHLRTSTLHKKISSARHDFIRLSGSENKLEALLQVLEPTLAKGNRVMVFCNTLDSSRAVDHFLGENQISTVNYHGEVPAEQRVENLRKFKSDGDDCPTLVCTDLAARGLDLDVDHVVMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKDLDLASKIEEAIRKNESLEAITKESVRRDTARTQNTEQKGKGRKMVQVSKVKGKFGSRSSSGNNRSGIKSGKASPVKSMKKGINVSKSGKSSSASSTIRKGSSERRQTTKVVSATKSTNSKLNVVGFRGKNSSSNNNGSVL >Vigun10g097600.1.v1.2 pep primary_assembly:ASM411807v1:10:28612138:28615878:-1 gene:Vigun10g097600.v1.2 transcript:Vigun10g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGRLGGKALLGYVETDPSGRYGRFRDILGKGAVKIVYRAFDEVLGIEVAWNQVKLGDVFHSPDLLQRLYSEVHLLKDLEHDSIMTFHDSWIDVNYRTFNFITELFTSGTLREYRKKYQRVDIRAVKNWARQILTGLEYLHSHDPPVIHRDLKCDNIFINGHLGQVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCMIEMFTSDFPYSECSNPAQIYKKVTSGKLPEAFYRIHDLEAQRFVGKCLANVSERLSAKELLLDSFLAKDQLDSPLSSPTLPRKQTPTLNFIAPLAKGIPPMSDQTKDTHMTITGSINEDDDTIFLKVQISNKSGEKRNIFFPFDTINDTAIDVAVEMVKELEISDLEPLEIAEMIEEEISALVPTWRDWGTSKYERQHSFSYEDECDMSNHHSYISPSSRSSSHGSSHKNNSHLCGNHYPFAQDWPQDELFMNDDASSQSSMNSFKCFNLKYCDPGNEDGYDPAAVVGAEALCYSPKGNEKCTRFCPREEVMDADCTKQFCNMKMDSSQRYHGVQRLTRIRSFVDLRRQQLQRSLMEEIHKRRIFKTVGAIENIGFQNPEGAGSFLF >Vigun04g134800.1.v1.2 pep primary_assembly:ASM411807v1:4:33794789:33798857:-1 gene:Vigun04g134800.v1.2 transcript:Vigun04g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHSLKYALSLTSSVALNILLVGMYMCNRGKQNWTEEAMAEAEAVSSISCSGHGKAFLDGLVLDGKPVCECNMCYGGSDCSQLLPDCMVDADSGDPTFLEPFWMKNAASSAVVVAGWHRMSYEYSDGSLISEELKAHIQKVHASVGNAVTDGKYIIFGAGATHLLNAAVHALSSNNASSSSAKVVASVPYYPVYREQTEFFNSEDYEFSEDTSMWKNDSSNSTFIELVTSPNNPDGDMKKSVLQGQFVKTIHDLAYYWPHFTPITAPADEDLMIFTLSKLTGHAGSRFGWAIIKDEAVYKRMLKYMDLSTYGVSRETQLRVLKLLKVALSGNGRAMYEFGYNTMRNRWTKLSKVLSQSKRFSTQNLKPQHCSFSQHVRTPSPAFAWLKCEASVVQDRSCYEVLKEVNITGREGSLFGADKHYVRLSLVRSKDDFDLLLRQIKKLVSVETNDEGVAKVSTGLGQSNDSTLMLRGECCGNGSLDFRMELEALNYNGLYNIVDLFSYQEIKV >Vigun06g015100.1.v1.2 pep primary_assembly:ASM411807v1:6:7103423:7120822:1 gene:Vigun06g015100.v1.2 transcript:Vigun06g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIPLILMGCGGVGRQLLEHIVSCRSLHSTQGLCLRVVGVGDSKSLVVSDDLLNKGLDDSFLLQLCRVKSAGESLSKLCDFGECRAFGHPESEGKILEIASQLGRKTGLVLVDCSASFDTVVVLKQAIDMGCCAVLANKKPLTSSMEDFKKLFMYPRHIRHESTVGAGLPVIASLNRIICSGDPVHQIIGSLSGTLGYVMSEVEDGKPLSQVVKDAKSLGYTEPDPRDDLGGMDVARKALILARILGHQINLDSIKIESLYPKEMGPDIMTVEDFLDRGLSLLDKDIQARVEKAASNGNVLRYVCVIEGSRCEVGIQELPKNSPLGRLRGSDNVLEIYTRCYSKQPLVIQGAGAGNDTTAAGVLADIVDVQDLFS >Vigun10g086150.1.v1.2 pep primary_assembly:ASM411807v1:10:24615177:24618020:-1 gene:Vigun10g086150.v1.2 transcript:Vigun10g086150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFFVIVVLEGLQKLWIFFLLFFFFFFFRLLSSSILFISSSSYEVIFFLTSCLLFFMHNKTFGSSRRLKCYNVEERKIRLMDKDLRPFANQLDFC >Vigun02g008200.1.v1.2 pep primary_assembly:ASM411807v1:2:3499570:3515636:1 gene:Vigun02g008200.v1.2 transcript:Vigun02g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLFGFASSVARDLVCGALNQLRYPCCFNNFVKKLEQEKDDLIVTRDSVQKFVTHTKRQARKTSEVVDKWLQDAISDNVDQMLEEARTKKGCCFGHCPNWIWRYRVGKKLANKTVDLEKFIEEGRKYVPFDRIATLPLGTLDVLSEKCMNFESRQSAYKQLLDAVKSNDVAMIGLYGMGGCGKTTLAMDIRKSVEAEHLFDKVLSVPISRTVEVRRIQEKIASSLQFVFPESEEMERAQRLCLRLTQKEKFLIILDDVWQKLDFGAIGIPSPEHRSSCKILIITRLEDVCISMDCQRKIFLRVLTDEEAWTLFQSKAFISENTPDTIKHLGRLISNECKGLPVAIAAVASSLKEKAETIWRVALTRLRSSKPINIQKGLADPYECLQLSYDNLDTKEAKSLFLLCSVFPEDSAIQVEHLARCAMGLGLVEEAQSYEEARNEVLAAKIKLISCCLLLDADDECVKMHDLLRDVAHLIAKNENKIIRCELEKYVTVEQNSVRYLWCAKFPNDLDCSNLEFLCLYTKLEELDGIFERMGMLKVLILIDKEEGEIPLSTMFLKTLTNLRYLLIDGYELNDFSFLSYMKNLQSLSLHRCSLSSLPELQTDAAITQLTTLKLLELYKCDIKVKNFEVIKRIPLLEELYIVDIKGEWDTKSEDTVEFFNTFSVPQTLQRYGIVLGSHNFAFSHHRTLVFNHFDISNEVIKGLAKKAENLYVANIHGGVKNIIPDIFQIEGECLDELNKFEIRDSKEIECLIDTNNNSSEEITLLSKLHMLIIDNMENLRVIWHCFQPVNGPFGNLEKLYLSDCPQLTSLFTYVVARSLVQLKILVISKCDGLKHILAGDDKMEKIQDEYSNENPIQIFQSLQKVKINRCKELKHIFSANIVGGLGQLKVLKIEKCHKLDQIIGDIVPLEDQDQKEEVDEIIEEGTISSLASLKKNKANLNGIFPNLRKLSVRDCGQLKYMLGQYDVANQDSKEIHIQFSALERLSLHNLPNFVSICSTNTLIVTCPSLKDFDCYRCFYRFYDSDPKWIQNHLPTLQTLSVRYSEVECIFCLNGHGMIGQQVNLRLSHLSFENLPQMTYIWVAFKNSVTLQHLTTLEITRCAKLEVIFPPSVLRSLPELKFLTIIECMELKQIIEEDEDDGKLSNLISTQPCFPKLESLYVEECHKLKCLSSSSNNLPDLRILTIIGASELKEIIGCEQGASDNSSTFPNLGKKMSNLLSTQPCFPKLESLYIQDCHKLKCLSSSSNDLRNLRFLTINGASELEEIIICEQGASRNSLTFSNLGTLKLSGCAKLEVIFPKSVLGCLPELKSLIITECTNLKQIVGCEQGASKYSFTFPSLERLEIIGCAKLEVIFSWSVLRCLPELNLLKIINCTELRQIIEDDVEDKKLSNLLSRQPCFPKLEAMHVDHCQKLKRFFPGSTSNYLPNLHLLIINGASELEELVGCKQGKCDEIRNTEVELPRLKLLIFFHLSSFCQEIELPNLNNSIVYECPNFSFTSTTTIGKLCQNFPHEDFKNTALYMWDIVRVIRNCDEDSTVGGSNEFTSSEESHSEGGLADQHALEETTEIGDIGNGSIKDGPASECAKTKSSSTGVEDISIGGGVATHIESSEDSKLVEQDDTAQTQIDENSKRSSSMDMVRHYEVVEQTDGPNEKWERPSLHLKVEHVNSTFGEGSNLVDKEGEIGVVSGDCIVPARNEEPKREFVAKVSTSETSRIAPPLTNSQPVERPTPSCSDISLRHTLSNSIERVVVEETTAKNTNMTTSSIHFSEIKSSQTEALNAKESEEHPNKIIQDFGANDMMSLFAPVVVGKEGEDNLVGKTLAELEKYLKMPLKDIVSSETNALRLLSALNFLSNLPFKDQTVSDGLQHIIGTMHQEFPTILCSFKQCFATTNKLAELEARANEVTIKRNLYEEAQRKEVVLKEQIIRLKEEIRVCEVALSSLEEEKNKCIAETVGYKTELQNVRKYESQMLEVAYKWSVLCSQYQLNRMPARNPS >Vigun02g008200.2.v1.2 pep primary_assembly:ASM411807v1:2:3499570:3508739:1 gene:Vigun02g008200.v1.2 transcript:Vigun02g008200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLFGFASSVARDLVCGALNQLRYPCCFNNFVKKLEQEKDDLIVTRDSVQKFVTHTKRQARKTSEVVDKWLQDAISDNVDQMLEEARTKKGCCFGHCPNWIWRYRVGKKLANKTVDLEKFIEEGRKYVPFDRIATLPLGTLDVLSEKCMNFESRQSAYKQLLDAVKSNDVAMIGLYGMGGCGKTTLAMDIRKSVEAEHLFDKVLSVPISRTVEVRRIQEKIASSLQFVFPESEEMERAQRLCLRLTQKEKFLIILDDVWQKLDFGAIGIPSPEHRSSCKILIITRLEDVCISMDCQRKIFLRVLTDEEAWTLFQSKAFISENTPDTIKHLGRLISNECKGLPVAIAAVASSLKEKAETIWRVALTRLRSSKPINIQKGLADPYECLQLSYDNLDTKEAKSLFLLCSVFPEDSAIQVEHLARCAMGLGLVEEAQSYEEARNEVLAAKIKLISCCLLLDADDECVKMHDLLRDVAHLIAKNENKIIRCELEKYVTVEQNSVRYLWCAKFPNDLDCSNLEFLCLYTKLEELDGIFERMGMLKVLILIDKEEGEIPLSTMFLKTLTNLRYLLIDGYELNDFSFLSYMKNLQSLSLHRCSLSSLPELQTDAAITQLTTLKLLELYKCDIKVKNFEVIKRIPLLEELYIVDIKGEWDTKSEDTVEFFNTFSVPQTLQRYGIVLGSHNFAFSHHRTLVFNHFDISNEVIKGLAKKAENLYVANIHGGVKNIIPDIFQIEGECLDELNKFEIRDSKEIECLIDTNNNSSEEITLLSKLHMLIIDNMENLRVIWHCFQPVNGPFGNLEKLYLSDCPQLTSLFTYVVARSLVQLKILVISKCDGLKHILAGDDKMEKIQDEYSNENPIQIFQSLQKVKINRCKELKHIFSANIVGGLGQLKVLKIEKCHKLDQIIGDIVPLEDQDQKEEVDEIIEEGTISSLASLKKNKANLNGIFPNLRKLSVRDCGQLKYMLGQYDVANQDSKEIHIQFSALERLSLHNLPNFVSICSTNTLIVTCPSLKDFDCYRCFYRFYDSDPKWIQNHLPTLQTLSVRYSEVECIFCLNGHGMIGQQVNLRLSHLSFENLPQMTYIWVAFKNSVTLQHLTTLEITRCAKLEVIFPPSVLRSLPELKFLTIIECMELKQIIEEDEDDGKLSNLISTQPCFPKLESLYVEECHKLKCLSSSSNNLPDLRILTIIGASELKEIIGCEQGASDNSSTFPNLGKKMSNLLSTQPCFPKLESLYIQDCHKLKCLSSSSNDLRNLRFLTINGASELEEIIICEQGASRNSLTFSNLGTLKLSGCAKLEVIFPKSVLGCLPELKSLIITECTNLKQIVGCEQGASKYSFTFPSLERLEIIGCAKLEVIFSWSVLRCLPELNLLKIINCTELRQIIEDDVEDKKLSNLLSRQPCFPKLEAMHVDHCQKLKRFFPGSTSNYLPNLHLLIINGASELEELVGCKQGKCDEIRNTEVELPRLKLLIFFHLSSFCQEIELPNLNNSIVYECPNFSFTSTTTIGKLCQNFPHEDFKNTALYMWDIVRVIRNCDEDSTVGGSNEFTSSEILVIHTPR >Vigun05g101900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10112340:10114450:-1 gene:Vigun05g101900.v1.2 transcript:Vigun05g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGKSQSNNGLEHAEKEVVAILVPLPAQGHLNPFLHLARLIESHNIPVHFVGTITHIRQVTHRYHSSTANSNIHFHRFEVPPIVSPPPNLNDNAESNGFPSHLLPFFDATFQLREPFRELLQSLSSQAKRVVVIHDAVMAYVAQDATHMPNVENYTFHSSCAFYTALRLWEEIGRPKGLHVPEPIPSREGCFPRRFGDFMNAQSEFHQFSDGIIFNTNRAIEGDYIEFVEGVNGGKKVWALWPFKSLAVEKKDSEGVRHSCVEWLDKQEANSVIYVSFGSTTTLTEEQIQELARGLEQSKQKFIWVLRDADKVDIFDCNAAKRHDLPTGFEERVEGMGLVVRDWAPQLEILSHSSTGGFMSHCGWNSCLESLTMGKPIAAWPVHCDQPRNTILITQVLKVGLVVKDWAKRNVVVSASVVENAVRRLMETKEGDEMRERAVRLKNAIHRSMDEGAVTSTEVDAFIAHITK >Vigun08g056400.1.v1.2 pep primary_assembly:ASM411807v1:8:7031183:7039344:1 gene:Vigun08g056400.v1.2 transcript:Vigun08g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRLVTVESTASRISRLLRKSHFLPNQSPLQQWFETLLEGAKERWVYAIYWKYSSAASLLYKAKALKATSSEEQAQRNSVFRLLNSLISLPSATPDDLDQDVTDTEWFFFLSIAHDFVNSSGLLGQAFFNSNPVWATRPNLLSEPACQRAQRLHEFGLQTMVCISCPNGVVELASIDVVLPNPDLMIKVRDLIIFNGPLSDLTSAEIIQPREDKWLHERADSEMQSHEDENLSKKSGGKEKISDSKCSLVLLSESSEGASHSTRSHSYDYSGPS >Vigun07g161200.4.v1.2 pep primary_assembly:ASM411807v1:7:27279808:27282335:-1 gene:Vigun07g161200.v1.2 transcript:Vigun07g161200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTLVKSRPHFLKFSHSRSFTFTNREEERLVLGHRADLRYLHSGEKKNFVCSFLTHSPLLDIALIWECYSVPVGTR >Vigun07g161200.3.v1.2 pep primary_assembly:ASM411807v1:7:27279809:27282335:-1 gene:Vigun07g161200.v1.2 transcript:Vigun07g161200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTLVKSRPHFLKFSHSRSFTFTNREEERLVLGHRADLRYLHSGVTEKKNFVCSFLTHSPLLDIALIWECYSVPVGTR >Vigun07g161200.2.v1.2 pep primary_assembly:ASM411807v1:7:27281717:27282425:-1 gene:Vigun07g161200.v1.2 transcript:Vigun07g161200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTLVKSRPHFLKFSHSRSFTFTNREEERLVLGHRADLRYLHSGEKKNFVCSFLTHSPLLDIALIWECYSVG >Vigun07g161200.1.v1.2 pep primary_assembly:ASM411807v1:7:27281717:27282425:-1 gene:Vigun07g161200.v1.2 transcript:Vigun07g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTLVKSRPHFLKFSHSRSFTFTNREEERLVLGHRADLRYLHSGVTEKKNFVCSFLTHSPLLDIALIWECYSVG >Vigun09g216200.3.v1.2 pep primary_assembly:ASM411807v1:9:39043800:39048482:-1 gene:Vigun09g216200.v1.2 transcript:Vigun09g216200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASESGAVPEPVMDAANTTLSNLQLLRTHFNDFLSLSDPQILSQMPPLQRAHALFILAKITSTLLELNLRCSGVHPDDHPIKSELDRMSLYEDKLERMIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTSEQRQNMRNISRGERTKTNLPGRAGQKRKYQSSEKQSVKAAAKDFLEKAAREILGDTSGGIKGPLQVDMSEDDDNNQPLS >Vigun09g216200.1.v1.2 pep primary_assembly:ASM411807v1:9:39043616:39048482:-1 gene:Vigun09g216200.v1.2 transcript:Vigun09g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASESGAVPEPVMDAANTTLSNLQLLRTHFNDFLSLSDPQILSQMPPLQRAHALFILAKITSTLLELNLRCSGVHPDDHPIKSELDRMSLYEDKLERMIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTSEQRQNMRNISRGERTKTNLPGRAGQKRKYQSSEKQSVKAAAKDFLEKAAREILGDTSGGIKGPLQVDMSEDDDNNQPLS >Vigun09g216200.2.v1.2 pep primary_assembly:ASM411807v1:9:39043139:39048482:-1 gene:Vigun09g216200.v1.2 transcript:Vigun09g216200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASESGAVPEPVMDAANTTLSNLQLLRTHFNDFLSLSDPQILSQMPPLQRAHALFILAKITSTLLELNLRCSGVHPDDHPIKSELDRMSLYEDKLERMIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTSEQRQNMRNISRGERTKTNLPGRAGQKRKYQSSEKQSVKAAAKDFLEKAAREILGDTSGGIKGPLQVDMSEDDDNNQPLS >Vigun10g094100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:27232336:27233552:1 gene:Vigun10g094100.v1.2 transcript:Vigun10g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSPRQCPDRYAFRAGRNLPDKEFRYLRTVIVTAAVHRGFGRRLPCHQVTNFLDLPALGRRQPPYMVLRLCGDLCFW >Vigun02g144100.1.v1.2 pep primary_assembly:ASM411807v1:2:29232894:29237424:-1 gene:Vigun02g144100.v1.2 transcript:Vigun02g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKLVVLGIPWDVDTEGLREYMSKFGELEDCIVMKERSTGRSRGFGYVTFASVDDAKEVLSSEHILGNRTLEVKVATPKEEMRAPVKKVTRIFVARIPQSVTEAAFRSHFEKYGDITDLYMPKDQGSKTHRGIGFITFASADSVENLMSETHELGGSAVVVDRATPKDEDFKPIGSRMPPQGGGYGAYNAYISAATRYAALGTPTLYDHPGPIYGRGEPARRTSKKIFVGRLPPEASSEDLRQYFGRFGRILDVYVPRDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPVDDAGPSGNFMMESFGGYGGPVRSYGRMYGSLDFDDWGYGIGRPSRAADWRYRPY >Vigun03g374000.4.v1.2 pep primary_assembly:ASM411807v1:3:57679480:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALAWVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.2.v1.2 pep primary_assembly:ASM411807v1:3:57672612:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATFFPTPLPHRGTPPTKSQSLNPFFPSSFIPVTIKPSRSQFHILFDSFNHNFVQELENCHEPVNGVYQTESPDPPQRVFIQDSPWILNDLLMKDIEEEKHNLLRRRQIQMETEALENMVEEYREIERQMREKNLIPNLPHVKALFLGWFDPLKEAIEAEQKSKRTKKHKAAFAPHIDSLPAAKLAVIVMHKLMALVSMEYHHAGCVLLVDAAVQIGMAVEQEVRIHNFLEKTRTRKSKKTEADREDCTDNDTQKLRKHVNGLIKRRRLKQVQMLLKEEECGPWGRDTQAKLGCRLIDLLIQTAFVHSPINQSADTPPDIRPAFRHRFKVISRNPEQKILKNYGVIECDPLILAGMDKSVKHMLMPYMPMLVPPKKWKGYENGGYLFLTSYIMRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALAWVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.1.v1.2 pep primary_assembly:ASM411807v1:3:57672612:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATFFPTPLPHRGTPPTKSQSLNPFFPSSFIPVTIKPSRSQFHILFDSFNHNFVQELENCHEPVNGVYQTESPDPPQRVFIQDSPWILNDLLMKDIEEEKHNLLRRRQIQMETEALENMVEEYREIERQMREKNLIPNLPHVKALFLGWFDPLKEAIEAEQKSKRTKKHKAAFAPHIDSLPAAKLAVIVMHKLMALVSMEYHHAGCVLLVDAAVQIGMAVEQEVRIHNFLEKTRTRKSKKTEADREDCTDNDTQKLRKHVNGLIKRRRLKQVQMLLKEEECGPWGRDTQAKLGCRLIDLLIQTAFVHSPINQSADTPPDIRPAFRHRFKVISRNPEQKILKNYGVIECDPLILAGMDKSVKHMLMPYMPMLVPPKKWKGYENGGYLFLTSYIMRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.6.v1.2 pep primary_assembly:ASM411807v1:3:57672611:57696706:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATFFPTPLPHRGTPPTKSQSLNPFFPSSFIPVTIKPSRSQFHILFDSFNHNFVQELENCHEPVNGVYQTESPDPPQRVFIQDSPWILNDLLMKDIEEEKHNLLRRRQIQMETEALENMVEEYREIERQMREKNLIPNLPHVKALFLGWFDPLKEAIEAEQKSKRTKKHKAAFAPHIDSLPAAKLAVIVMHKLMALVSMEYHHAGCVLLVDAAVQIGMAVEQEVRIHNFLEKTRTRKSKKTEADREDCTDNDTQKLRKHVNGLIKRRRLKQVQMLLKEEECGPWGRDTQAKLGCRLIDLLIQTAFVHSPINQSADTPPDIRPAFRHRFKVISRNPEQKILKNYGVIECDPLILAGMDKSVKHMLMPYMPMLVPPKKWKGYENGGYLFLTSYIMRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGTRKRIIVRTKEILKLYGIVMHCVSSHFHEIYHII >Vigun03g374000.7.v1.2 pep primary_assembly:ASM411807v1:3:57677368:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.9.v1.2 pep primary_assembly:ASM411807v1:3:57684241:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIIYLALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.8.v1.2 pep primary_assembly:ASM411807v1:3:57680504:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.5.v1.2 pep primary_assembly:ASM411807v1:3:57680504:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun03g374000.3.v1.2 pep primary_assembly:ASM411807v1:3:57674315:57703036:1 gene:Vigun03g374000.v1.2 transcript:Vigun03g374000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEEECGPWGRDTQAKLGCRLIDLLIQTAFVHSPINQSADTPPDIRPAFRHRFKVISRNPEQKILKNYGVIECDPLILAGMDKSVKHMLMPYMPMLVPPKKWKGYENGGYLFLTSYIMRTHGSKKQQDVMKNVGRKQLRKVFEALDTLGSTKWRVNGRLLGVVEHLWAAGGNVAGLIDRKDVPIPERPPIEELKQIQEWKWSVRKAEKINLERHSLRCDTELKLSVAQKMKEEEGFHYPHNIDFRGRAYPMHSHLNHLSCDLCRGLLEFSEGRPLGKSGLHWLKIHLANLYAGGIEKLSYDERLAFVENHLRDIFDSADNPINGNRWWLRAEDPFQCLAACINLSEALRSSSPNSVLSHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVASERPADVYSEIAVRVHDIMRRDSNKDPAVYPNALLARVLIDQIDRKLVKQTVMTSVYGVTFVGAREQMKRRLQEKGLINDEQLLFTAACYAAKVTLTALGEIFGAARVIMGWLGDCAKVITSENHPVSWTTPLGLPVIQPYCKTERHLIKTSLQFLALRREGNTVDAKKQRSAFPPNFIHSLDSSHMMMTALACRDAGLCFAGVHDSFWTHACDVEKMSHILREKFVELYNMPILENLLEGFETSHPRLAFPPVPERGDFDLGKVLESPYFFN >Vigun09g228100.5.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFRLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKDDAEYMCKMLSSGCGSMEQTCKPSFTQCYFCGGSTNSFKIISNETDSIHGRTGYSDVHLNARKKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQILNVYIKKYDSGGQLWPIAHNTTVLSLLVAQVIALGVFGIKESPVASGFTIPLLICTVLFHQYCRQRFLPIFKNNATQVLIDMDRRDERCQRMDQIYEHLNSAYCQFSSSSQSECFSSHKGEREDVGTPQDMEKAKEMSQEIAWPPVLHSFGQGGK >Vigun09g228100.1.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFSIRVFSVAAVICTILVLPVNYYGRDRIHKNIPFESLEVFTIENVKEGSEWLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKDDAEYMCKMLSSGCGSMEQTCKPSFTQCYFCGGSTNSFKIISNETDSIHGRTGYSDVHLNARKKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQILNVYIKKYDSGGQLWPIAHNTTVLSLLVAQVIALGVFGIKESPVASGFTIPLLICTVLFHQYCRQRFLPIFKNNATQVLIDMDRRDERCQRMDQIYEHLNSAYCQFSSSSQSECFSSHKGEREDVGTPQDMEKAKEMSQEIAWPPVLHSFGQGGK >Vigun09g228100.3.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFSIRVFSVAAVICTILVLPVNYYGRDRIHKNIPFESLEVFTIENVKEGSEWLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQRGTVMAHCSQHNGPFVARRSSYCTWGVWHKRVTSCIRVYHSIADLHCTISPIL >Vigun09g228100.6.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFRLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKDDAEYMCKMLSSGCGSMEQTCKPSFTQCYFCGGSTNSFKIISNETDSIHGRTGYSDVHLNARKKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQRGTVMAHCSQHNGPFVARRSSYCTWGVWHKRVTSCIRVYHSIADLHCTISPIL >Vigun09g228100.2.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFSIRVFSVAAVICTILVLPVNYYGRDRIHKNIPFESLEVFTIENVKEGSEWLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQILNVYIKKYDSGGQLWPIAHNTTVLSLLVAQVIALGVFGIKESPVASGFTIPLLICTVLFHQYCRQRFLPIFKNNATQVLIDMDRRDERCQRMDQIYEHLNSAYCQFSSSSQSECFSSHKGEREDVGTPQDMEKAKEMSQEIAWPPVLHSFGQGGK >Vigun09g228100.4.v1.2 pep primary_assembly:ASM411807v1:9:40006176:40021917:-1 gene:Vigun09g228100.v1.2 transcript:Vigun09g228100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIAVCVVLFSLYSILRKQPSNVNVYFGKRLASRCSKSRDLCLDRFVPSPTWVMKAWETTQEEMLTTGGLDAVVFSRMVVFSIRVFSVAAVICTILVLPVNYYGRDRIHKNIPFESLEVFTIENVKEGSEWLWAHCLALYIITLTACTLLYFEYKSITNLRLLHITSSQPNPSHFAILVRGIPWSPDQSYCETVKKFFSFYHPTTYLSHQIVYKSGAVQKLKDDAEYMCKMLSSGCGSMEQTCKPSFTQCYFCGGSTNSFKIISNETDSIHGRTGYSDVHLNARKKECAAAFVFFKSRYAALTVAQSLQTSNPMQWVTDLAPEPEDVYWSNLCIPYKQLWIRKITTFAASVTFVLVFLIPVTFAQGLTQLEKLEKMLPFLSGMLQKKFVVQLVTGYLPSVILVLFLYAVPPVVMLFSTMEGCVSRSERKKSACSKVLYFQIWNVFFVNVFAGSVISQLAVFSSITELPSQLAKAVPAQATYFTTYVLSSGWASLAFEIMQLFPLFCNLFQRFILGYNEDTMNGFLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFVLAYLVYRNQRGTVMAHCSQHNGPFVARRSSYCTWGVWHKRVTSCIRVYHSIADLHCTISPIL >Vigun01g175000.1.v1.2 pep primary_assembly:ASM411807v1:1:35644795:35651413:-1 gene:Vigun01g175000.v1.2 transcript:Vigun01g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGSRHFSTLSLSFSHNRTLRSSSAKPVKLSPSSTNASLSSRNWFAKEVWGWVNSNSKGVAVRRDLRGVVVRAEMFGQLTSGLETAWNKLKGEEVLSKENIVEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGVGVIRGVKPDQQLVKIVHDELVQLMGGEVSELVFAKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLSILGKQVDVPVYTAGTDVKPSEIAKQGLEEAKKKKIDVVIVDTAGRLQIDKTMMDELKEVKRALNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRKEDAEELQKKIMSAKFDFNDFLKQTRTVAKMGSVSRVIGMIPGMGKVTPSQIRDAEKNLQNMEAMIEAMTPEEREKPELLAESPVRRKRVAVDSGKTEQQVSQLVAQLFQMRVRMKNLMGVMESGSLPTLSNLEEALKAEEKAPPGTARRRKRSESRSVFMDSTTGRPSPRGFGSKS >Vigun03g066300.1.v1.2 pep primary_assembly:ASM411807v1:3:5478437:5481365:1 gene:Vigun03g066300.v1.2 transcript:Vigun03g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKENVEATLKAKLNPSHLEVVDTSGGCGASFVVEIVSEQFEGKRLLERHRMVNAALEEELKEIHALSIKKTVTPEQWKQQQEANQSNAAA >Vigun03g148700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15258338:15258550:-1 gene:Vigun03g148700.v1.2 transcript:Vigun03g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKMESCRTAIVLTFCIMAMLVFGHCCVAENVVEDVAAIPPAPMESAGVHLCAQAAFVVFAFVVARFT >Vigun07g102900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:18500827:18501286:1 gene:Vigun07g102900.v1.2 transcript:Vigun07g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHIKNFSHLSLSHILFFLFPTSHTFPVCHKYIKKLRGMSSGSRSFM >Vigun07g178700.3.v1.2 pep primary_assembly:ASM411807v1:7:29531799:29538336:-1 gene:Vigun07g178700.v1.2 transcript:Vigun07g178700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMEQQKRGSDVFVFRELKPHLFMVIVQVGYTLLYFITEASFNHGMSPHVYVTYRHIVATLVMFPFAYFLERDVRPKLTFALLMEFFLLSLLGVSVTLNMYFASLNYTNPTFVASMVNTIASLTFIIAVAIRFEVVDVWNPRGIAKVIGTMISLAGVLIMTLYKGPVMRNLWRPLIHIRPKSAAITEDWLKGSILTVASCVAWSLWYIMQASTLKRYPAQLSLTTWMCFVGAAQSAVFTVIIEHDSSAWTMGLNIDLWSTIYGGVVVAGLIIYIQLWCTEKRGPVFVTMFNPLSTILVAILAYFVFGEKLYLGSIIGSLIVIIGLYFLLWGKERDQVVCMKSTDKSQCSSADPECRM >Vigun07g178700.1.v1.2 pep primary_assembly:ASM411807v1:7:29531799:29538333:-1 gene:Vigun07g178700.v1.2 transcript:Vigun07g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMEQQKRGSDVFVFRELKPHLFMVIVQVGYTLLYFITEASFNHGMSPHVYVTYRHIVATLVMFPFAYFLERDVRPKLTFALLMEFFLLSLLGVSVTLNMYFASLNYTNPTFVASMVNTIASLTFIIAVAIRFEVVDVWNPRGIAKVIGTMISLAGVLIMTLYKGPVMRNLWRPLIHIRPKSAAITEDWLKGSILTVASCVAWSLWYIMQASTLKRYPAQLSLTTWMCFVGAAQSAVFTVIIEHDSSAWTMGLNIDLWSTIYGGVVVAGLIIYIQLWCTEKRGPVFVTMFNPLSTILVAILAYFVFGEKLYLGSIIGSLIVIIGLYFLLWGKERDQVVCMKSTDKSQCSSADPECRM >Vigun07g178700.2.v1.2 pep primary_assembly:ASM411807v1:7:29531776:29534602:-1 gene:Vigun07g178700.v1.2 transcript:Vigun07g178700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMEQQKRGSDVFVFRELKPHLFMVIVQVGYTLLYFITEASFNHGMSPHVYVTYRHIVATLVMFPFAYFLERDVRPKLTFALLMEFFLLSLLGVSVTLNMYFASLNYTNPTFVASMVNTIASLTFIIAVAIRFEVVDVWNPRGIAKVIGTMISLAGVLIMTLYKGPVMRNLWRPLIHIRPKSAAITEDWLKGSILTVASCVAWSLWYIMQASTLKRYPAQLSLTTWMCFVGAAQSAVFTVIIEHDSSAWTMGLNIDLWSTIYGGVVVAGLIIYIQLWCTEKRGPVFVTMFNPLSTILVAILAYFVFGEKLYLGSIIGSLIVIIGLYFLLWGKERDQVVCMKSTDKSQCSSADPECRM >Vigun05g143600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19299466:19300256:1 gene:Vigun05g143600.v1.2 transcript:Vigun05g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTFFSLFLLCGFTSYLPSATAIVLDSDGNFLDNGGTYFIRQFILQNGGGVHSAITGNETCPLSVVQNPRSSANGLPVRISSPIKFPYIQESFILDIGFTFVAPCAPTPSTWTVVKGEGDRHPVKLTGYNNTIVGGFRIKRVSVDIGGYNLLFCPLDSPCGYVAVDLDSRRNRILVVTQEEKAAMWIQFQRVNPLPPTATATAHASA >Vigun09g206700.1.v1.2 pep primary_assembly:ASM411807v1:9:38154937:38156933:1 gene:Vigun09g206700.v1.2 transcript:Vigun09g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPFLLALFCCFHGVAFSYGDESVVNGEFELGPKAGDMKGTVVTGGAHAIPGWEISGLVEYIKSGQKQDDMLLVVPNGAYAVRLGNEASIKQKIKVVKGMFYSITFVVARTCAQEEKLNVSVAPDWVVRPMQTVYGGNGWDAYAWSFQADYALSDMVFHNPGKEDDPACGPIIDSIAVHALYPPRLTTKNVLKNGGFEEGPYVFPNISSGVLIPPKIVDVSDHSPLPGWVVESLKAVKYIDSEHFS >Vigun03g253800.3.v1.2 pep primary_assembly:ASM411807v1:3:42024100:42025926:-1 gene:Vigun03g253800.v1.2 transcript:Vigun03g253800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSRRVQQILRPKPHKDSFYYTDLLHLCKTTGNLKKAHAQVVVDGHEKDPFIATKLIDKYFLFSNLELACKVFDNLSEPDAFCWNVVIKGYANVGPFGEALNIYNAMRWRGISPNKYTYPFVLKACGAEGASRKGQVIHGHTVKCGLDLDLFVGNSLVAFYVKCQEIEVSRKVFDEIPQRDIVTWNSMISGHAGLVEQGWQLFHSMETYGVGKREAHYACIVDMLGRAGDLEKAVEFIQSMPVQPGKNVYGALLGACRTHKHMELAEMAAEKLFVLDPNNAGCYVILAQMYEEAGRWQDASKLRKVVKEKEMKKPIGYSSVELESGRKRFAVNGNVDKNAMRISDHGKGTFFGNYDPPHQKISIQHWLFEYFNINFRTGFK >Vigun03g253800.2.v1.2 pep primary_assembly:ASM411807v1:3:42024100:42025912:-1 gene:Vigun03g253800.v1.2 transcript:Vigun03g253800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSRRVQQILRPKPHKDSFYYTDLLHLCKTTGNLKKAHAQVVVDGHEKDPFIATKLIDKYFLFSNLELACKVFDNLSEPDAFCWNVVIKGYANVGPFGEALNIYNAMRWRGISPNKYTYPFVLKACGAEGASRKGQVIHGHTVKCGLDLDLFVGNSLVAFYVKCQEIEVSRKVFDEIPQRDIVTWNSMISGYVVNGYVNDAIQLFYDMLRDEGVVGPDQATFATVLPAFAQVADIHAGYWIHRYIVKTGMELDSAVGTGLILLYSNCGYVSQARAIFDMISVRSVVAWSAIISHAGLVEQGWQLFHSMETYGVGKREAHYACIVDMLGRAGDLEKAVEFIQSMPVQPGKNVYGALLGACRTHKHMELAEMAAEKLFVLDPNNAGCYVILAQMYEEAGRWQDASKLRKVVKEKEMKKPIGYSSVELESGRKRFAVNGNVDKNAMRISDHGKGTFFGNYDPPHQKISIQHWLFEYFNINFRTGFK >Vigun03g253800.1.v1.2 pep primary_assembly:ASM411807v1:3:42024100:42025912:-1 gene:Vigun03g253800.v1.2 transcript:Vigun03g253800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSRRVQQILRPKPHKDSFYYTDLLHLCKTTGNLKKAHAQVVVDGHEKDPFIATKLIDKYFLFSNLELACKVFDNLSEPDAFCWNVVIKGYANVGPFGEALNIYNAMRWRGISPNKYTYPFVLKACGAEGASRKGQVIHGHTVKCGLDLDLFVGNSLVAFYVKCQEIEVSRKVFDEIPQRDIVTWNSMISGYVVNGYVNDAIQLFYDMLRDEGVVGPDQATFATVLPAFAQVADIHAGYWIHRYIVKTGMELDSAVGTGLILLYSNCGYVSQARAIFDMISVRSVVAWSAIIRCYGTHGLVMDALTLFRQLVEAGLIPDDIVFLCLLSACSHAGLVEQGWQLFHSMETYGVGKREAHYACIVDMLGRAGDLEKAVEFIQSMPVQPGKNVYGALLGACRTHKHMELAEMAAEKLFVLDPNNAGCYVILAQMYEEAGRWQDASKLRKVVKEKEMKKPIGYSSVELESGRKRFAVNGNVDKNAMRISDHGKGTFFGNYDPPHQKISIQHWLFEYFNINFRTGFK >Vigun03g253800.4.v1.2 pep primary_assembly:ASM411807v1:3:42024100:42025926:-1 gene:Vigun03g253800.v1.2 transcript:Vigun03g253800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSAVGTGLILLYSNCGYVSQARAIFDMISVRSVVAWSAIIRCYGTHGLVMDALTLFRQLVEAGLIPDDIVFLCLLSACSHAGLVEQGWQLFHSMETYGVGKREAHYACIVDMLGRAGDLEKAVEFIQSMPVQPGKNVYGALLGACRTHKHMELAEMAAEKLFVLDPNNAGCYVILAQMYEEAGRWQDASKLRKVVKEKEMKKPIGYSSVELESGRKRFAVNGNVDKNAMRISDHGKGTFFGNYDPPHQKISIQHWLFEYFNINFRTGFK >Vigun10g033700.1.v1.2 pep primary_assembly:ASM411807v1:10:4507075:4517440:-1 gene:Vigun10g033700.v1.2 transcript:Vigun10g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSVVSSKAFLEASFDWNWKLPRILQYISEMYDLLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIEWHKTYSRHVLPVYYEIQPSDVRLQKGDFGKTLKATAQKSFSAQQMEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQVIKNKSTGVCTVGICGMEGSGKTTLAKAIYNQIHDLLKTKLEIHKVEMGRRMIGERLYGKKVLIVLDDVNEYGPLDLWESSAWFGEGTVIIITTTDARLLRIYQVDYIFQMNVMNPNKSLELFSWHAFREAKPKKEYHFLARRVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSAQHEVDQILKISYEDLLNQMEKDLFLDVCCFFIGKCKFYVTKILNDCGVDPDSGIRVLIKRNLVKIRKNNKVGMHPLLRQMGREISHEILRKEPEKISGLWLDEDMEHALSRNSQTNVIQRFSRRLVNLAGFSWSLCEKLRWVSLKGFSSQYLPNDFYLRDAIGIDLKHSLLRLVWKESQVLARLKVLNLSHSIYLTETPDFSRLPALEQLILKNCQSLRQVHQSIGFLYNLTLLNLKDCTGLTNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVLKQVPFSIASSKSIGYISLCGLEERSNNLFPSIIRSRMSPTTNPLSYIHTFSDTEDNSWDDVVPFFSSLAILRSVLVQCDPEFQLSVQLKAILMDYCVNITKSRISKHHFRSCLIGVGRYEEFFNTVSDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSVSFTVPRDCVMKGLILCVVCLSTPEIIEPELTTVLIVNYTRCTLQIHNHGTVISFNDEDWDHIVSNLGSGDRVEIFVSSAYGLVVKETAVYLMYGEPKKNSLIRSIKKIIM >Vigun10g084900.2.v1.2 pep primary_assembly:ASM411807v1:10:24170361:24172645:1 gene:Vigun10g084900.v1.2 transcript:Vigun10g084900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKKIIISLLITMTMWGYKVGGREPILHRVGGGRYTWTPKTNFTQWASYEHFYKGDWLYFGFDKRLYNVLEVNKTNYENCIDTNFIQNITKGGRDVVQLLEARTYYFLCGRGFCYEGMKIAINVEEPTFNLSSSPRADTCYKSL >Vigun04g009500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:722434:722907:1 gene:Vigun04g009500.v1.2 transcript:Vigun04g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSNAGRAKSVVEGNKHAREIQFNDELHLEFILDAGIVKDCELSLPYGVQLTNDKWNLFLPLQGFEETLIGFLKEEDDVKEGTEVNVYDRGGHEFEMMLKKWVKDSNQFYVLNRGWFGFCNQHGLGQGDLVALRAFRHSITDVLSFVVTFRRMR >Vigun05g293600.1.v1.2 pep primary_assembly:ASM411807v1:5:47959885:47963446:-1 gene:Vigun05g293600.v1.2 transcript:Vigun05g293600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGETMDSVKSLQIRQVLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHEREDTGEVDVLTKGDNNYGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >Vigun02g091300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24621765:24622283:-1 gene:Vigun02g091300.v1.2 transcript:Vigun02g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIEKAKLKTHLIFAYGTLKRGFPNHYLMEELMRQDDAVLVGVYLTEDYYPLVCGPHGIPYLINLPGSGHRVKGEVYAVSESAVAKLDEFEGVSVGCYERLSVTVAAAAEEEGGGRVEAEAYWGHRRFAEVLWKTKGEVGLKEYGEKEAKEYVRKENRPGGRNTILDLVP >Vigun09g124200.1.v1.2 pep primary_assembly:ASM411807v1:9:27694753:27697987:1 gene:Vigun09g124200.v1.2 transcript:Vigun09g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTKPPPTFHPFSILRSTLLLLLLSMASIFFLSLFFFLNLQPHSSAAVEINRACNATRYPLQCHTSLIPKLLTNPTPLQIIQSTIRASTSNLVTARSMLHSVRDASRSNRTRTTNVKSCLQVLHYSRHRNTLSLAALRRGATKDARAWMSASLGYQYGCWNGLKYINHTALVAQTITFLDSLVIISSNALSMMVSYDLFGNDTASWRPPITERDGFWEPSGTQGFGTGPKIPEKLEKSTANAVVCKSAGCYQTVQAAVRAAPDRAEERFVIYIKEGVYVERVRVPLKKRNLVFLGDGIGKTVITGSANVGQLGITTYNSATVGVAGDGFMAKDLTIQNTAGADAHQAVAFRSDSDLSVIENCEFIGNQDTLYAHSLRQFYKSCRIQGNVDFIFGNSASIFQDCEILVQPRQGRPEKGESNAVTAQGRTDPAQSTGFVFQNCTVNGTAEYMALYYSSPTTHINYLGRPWKEYSRTLFIHTFMESLITPQGWMPWTGDFALNTLYYGEFENSGPGSNLSQRVPWSNQIPSEHVFSYSVQSFIQGNDWDHVVVSH >Vigun09g264300.1.v1.2 pep primary_assembly:ASM411807v1:9:42843263:42846343:-1 gene:Vigun09g264300.v1.2 transcript:Vigun09g264300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQSFVHLLAWVSFIWSPGIAHSLSPPVVDSPHAKQFSPINKFLMQSYYDRYTNLHDSDFENFVSQEITSGLCEHDLVWRLSDLKRNLTGEGSHRSVSTLIKFQSQQLKSLSELLSHSCELIIIERLPSGVFADPFELQHFVQRGVFSDIAVFGDTNLELPSFLSNRSAVEIHLVVDPNILQDPTDIKTELPLHARYQSLNESGYSTVEFGAPDMLVRCSTKDKVENRCFLKLEKGDANVYGSRIVWRIPSGIKTHAGLVSTVTFTAALLSTLAIVAASLFQIDDFKKI >Vigun05g193800.2.v1.2 pep primary_assembly:ASM411807v1:5:37583229:37589080:1 gene:Vigun05g193800.v1.2 transcript:Vigun05g193800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVFEIPPPIHHPSKFYLNNKVFLHDVIDIDNDDDDSDDVMIIGEKVNNSNKGKTIDAVHGDLQNMSDYPFPVPDAAKFGSVGGIASSNNPPSVSNNVISVDGHGSDLSYDDDDYVGILSAEDYMDADDYTLLQKHFDHVDIPPGIEAPFTWLPLGYDLGFKKTENSMFSPWDHMQSNAALSSMTSSSQPSMSLDPFNSKIQAALMGGTDTQIKMEDVAYSSGTSQFFSQSGPSKKKSATSKPRGRTSNASVGVESSKSHWLSGSFHSKKKSSSAYLSLFDSMVADKQPHAGQPSNGGKFKTAMMADGSSVSSHSNFIGQHGTAMMADGSSVSSHSNFIGQHGSSHTLGTDPGKSWWNISQNYKSFSTPQKNVSNNIYYPFDPFVTQTEHGFNNSVPNFAGDGFNGKAVDSPIVTISDEAKDEIMRKFRSFKQFDTVEDTSDHYFVRNSSSTKQPPKNWAKKIQDEWKILQKDLPDSIFVRVHESNMDLLRAVIIGAEGTPYHDGLFFFDVFFPSGYPNVPPQVHYHSGGLRLNPNLYHCGKVCLSLLNTWSGSKNEKWLPGTSTILQVLVSIQGLILNTKPYFNEPGYERMSGSASGEKMSFQYNEDTFILSLRTMMYMIRRPPKNFEDFVKGHFCSRASDILVACKAYMEGAQVGCLVKGGVQDVDEGDRSCSQNFKQSLAGYMNMLVKEFAQTGAKDIEKFLTLPPPPPPTVPVKKPSGGVLLKAAT >Vigun05g193800.3.v1.2 pep primary_assembly:ASM411807v1:5:37583190:37589080:1 gene:Vigun05g193800.v1.2 transcript:Vigun05g193800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVFEIPPPIHHPSKFYLNNKVFLHDVIDIDNDDDDSDDVMIIGEKVNNSNKGKTIDAVHGDLQVVNMSDYPFPVPDAAKFGSVGGIASSNNPPSVSNNVISVDGHGSDLSYDDDDYVGILSAEDYMDADDYTLLQKHFDHVDIPPGIEAPFTWLPLGYDLGFKKTENSMFSPWDHMQSNAALSSMTSSSQPSMSLDPFNSKIQAALMGGTDTQIKMEDVAYSSGTSQFFSQSGPSKKKSATSKPRGRTSNASVGVESSKSHWLSGSFHSKKKSSSAYLSLFDSMVADKQPHAGQPSNGGKFKTAMMADGSSVSSHSNFIGQHGTAMMADGSSVSSHSNFIGQHGSSHTLGTDPGKSWWNISQNYKSFSTPQKNVSNNIYYPFDPFVTQTEHGFNNSVPNFAGDGFNGKAVDSPIVTISDEAKDEIMRKFRSFKQFDTVEDTSDHYFVRNSSSTKQPPKNWAKKIQDEWKILQKDLPDSIFVRVHESNMDLLRAVIIGAEGTPYHDGLFFFDVFFPSGYPNVPPQVHYHSGGLRLNPNLYHCGKVCLSLLNTWSGSKNEKWLPGTSTILQVLVSIQGLILNTKPYFNEPGYERMSGSASGEKMSFQYNEDTFILSLRTMMYMIRRPPKNFEDFVKGHFCSRASDILVACKAYMEGAQVGCLVKGGVQDVDEGDRSCSQNFKQSLAGYMNMLVKEFAQTGAKDIEKFLTLPPPPPPTVPVKKPSGGVLLKAAT >Vigun05g193800.1.v1.2 pep primary_assembly:ASM411807v1:5:37583034:37589080:1 gene:Vigun05g193800.v1.2 transcript:Vigun05g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVFEIPPPIHHPSKFYLNNKVFLHDVIDIDNDDDDSDDVMIIGEKVNNSNKGKTIDAVHGDLQVVNMSDYPFPVPDAAKFGSVGGIASSNNPPSVSNNVISVDGHGSDLSYDDDDYVGILSAEDYMDADDYTLLQKHFDHVDIPPGIEAPFTWLPLGYDLGFKKTENSMFSPWDHMQSNAALSSMTSSSQPSMSLDPFNSKIQAALMGGTDTQIKMEDVAYSSGTSQFFSQSGPSKKKSATSKPRGRTSNASVGVESSKSHWLSGSFHSKKKSSSAYLSLFDSMVADKQPHAGQPSNGGKFKTAMMADGSSVSSHSNFIGQHGTAMMADGSSVSSHSNFIGQHGSSHTLGTDPGKSWWNISQNYKSFSTPQKNVSNNIYYPFDPFVTQTEHGFNNSVPNFAGDGFNGKAVDSPIVTISDEAKDEIMRKFRSFKQFDTVEDTSDHYFVRNSSSTKQPPKNWAKKIQDEWKILQKDLPDSIFVRVHESNMDLLRAVIIGAEGTPYHDGLFFFDVFFPSGYPNVPPQVHYHSGGLRLNPNLYHCGKVCLSLLNTWSGSKNEKWLPGTSTILQVLVSIQGLILNTKPYFNEPGYERMSGSASGEKMSFQYNEDTFILSLRTMMYMIRRPPKNFEDFVKGHFCSRASDILVACKAYMEGAQVGCLVKGGVQDVDEGDRSCSQNFKQSLAGYMNMLVKEFAQTGAKDIEKFLTLPPPPPPTVPVKKPSGGVLLKAAT >Vigun10g138900.1.v1.2 pep primary_assembly:ASM411807v1:10:35507966:35510315:1 gene:Vigun10g138900.v1.2 transcript:Vigun10g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESEHTAAPATGESLLDKIADKLHGHDSSSSSDSDSDSGKKESSSIKDTSSIKDKVFRLFGREKPVHSVLGGGKPADVLLWRNKKISASVLSVATAVWIFFELLEYHLLTLVGHILILLLAVLFLWSNAHTFIHKAPPRIPVVHLPEEPFLQFASALTIELNRGFAALHAIGSGRDLKTFLAVIVGTWILSIVGSWVNFLTLFYIVFVLLHTVPVFYDKYEDKIDPLAEKALIEFKKQYAVFDEKVLSKIPKGPLKGKKLA >Vigun01g205000.1.v1.2 pep primary_assembly:ASM411807v1:1:38086842:38090093:1 gene:Vigun01g205000.v1.2 transcript:Vigun01g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSWSTSRSASGCIVLIVLFALTCAWRVLNLLWLRPKRLERLLRDQGLQGNSYSLFNGDLKQIMKMQKEVTSKPMKLSSHDLVPRVFSYHQHSVIKHGKNPFIWFGRKPRVIITEPELIKDVLNKTQAFPKPDTNPLVKLLASGLINYEGEKWHKHRRIVSPAFNLEKLKNMVPIFFNSCNDLIIKWEEMSSEGSCEIDLWPFLQNLTCDIIARAAFGSSSEEGRRIFQLLKEQAGLAAQVMMKDYIPGWRFLPTPTRRRMKEIDREIKASLTNLINNKENALKVGETAGNDLLCLLLESNHKEIEERANSKTIGMSLEEVIDECKLFYAAGQETTSSLLVWTMVMLSMHPDWQARAREEVLQVFGKQKPNFLGLSHLKIVTMILNEVLRLYSPVIGLDRNVHEDVKLGNLSLPAGVQVSLPTIMVHHNPELWGDDAHNFNPERFSEGVLKATNGRVSFFPFGWGPRVCIGQNFSMLEAKMALLVILQHFSFELSPVYAHAPVTVITLQPQYGAHVILRKLQV >Vigun05g219300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41102630:41103287:-1 gene:Vigun05g219300.v1.2 transcript:Vigun05g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAGRTWAVAASVGVVEALKDQGLCRWNNALRSAQHQVKNNVRSLSQAKNLCSSSSAMVSGRSKEEAKQSEESLRTVMYLSCWGPN >Vigun07g279100.3.v1.2 pep primary_assembly:ASM411807v1:7:39409141:39416841:1 gene:Vigun07g279100.v1.2 transcript:Vigun07g279100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNVHDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLESGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGN >Vigun07g279100.2.v1.2 pep primary_assembly:ASM411807v1:7:39409137:39416841:1 gene:Vigun07g279100.v1.2 transcript:Vigun07g279100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNVHDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLESGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGN >Vigun07g279100.1.v1.2 pep primary_assembly:ASM411807v1:7:39409141:39416841:1 gene:Vigun07g279100.v1.2 transcript:Vigun07g279100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNVHDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLESGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGN >Vigun07g279100.4.v1.2 pep primary_assembly:ASM411807v1:7:39409137:39416841:1 gene:Vigun07g279100.v1.2 transcript:Vigun07g279100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNVHDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLESGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGN >Vigun08g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3013473:3014467:-1 gene:Vigun08g033000.v1.2 transcript:Vigun08g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKDHSARKITFSWEKKPGVSKVTSETLIPEEEEFLAKLPPPPCTPEEATPKNHVHNFQIPLPPCAFQPPFYRTSSKRGLWFQDRDPFLAAFKECTKNQKSGNKVNKKFIKHGIESRVRKSMSFFSCTRSCTVHDTNLLRISHLDDD >Vigun04g125900.1.v1.2 pep primary_assembly:ASM411807v1:4:31861999:31864052:-1 gene:Vigun04g125900.v1.2 transcript:Vigun04g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQTLSPLTVALLVLIPPFLYLFLVLHRTRNKEPPTIPGAWPIIGHLPLLARSPATHYLLGELADRHGPIFSIKIGTVKTVVISNWEMAKECFTTNDVAVSYRPYLVACQNMTYNLAMLGFAPYGQFWRDMRKNVAFVFLADHRIEALSHVRISEVRTSAKELYHKWRRGKTEKEFFMVDMTQWLKEVAFNMVLRMVAGKRYFGETAVIEEEEARICLAAFKEYMRLLGVFAVGDAVPWLRRFDFGGHEKAMKENFKELDAVVAEWLEEHKRKRNLNAEKGDKGEDFMELMLSEINGTNIHGFDSDTIIKATAMALILGGTDTSSATNIWTLSLLLNNPHTLKKVMEEIDTHIGKERLVTESDINKLVYLHAVVKESLRLYPPTPLNAPREFREDCKLGEYHVKKGTRLITNLWKIQTDPSIWPEPLEFKPERFLTTHKDVDVKGKHFELIPFGSGRRVCPGITFGLRSSYLTLANFLHCFDVSKTSSEPIDMTAAVELTNVRVTPLEVLIKPRLAPSLYETM >Vigun03g447600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64875207:64877977:1 gene:Vigun03g447600.v1.2 transcript:Vigun03g447600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQNQGLGIPLNVPVKRKRGRPRKEGSAVQGENVPVIPASDNVLHSNQTTGTTNDCEDEMVGKLVTGVIEGTFNAGYLLNVKVANTDAVLRGLVFVPGHFSPVTIENDVAPHAKMIQRKEIPIPVVNPHAGIRASSVPSSVQFNKQSFEPEFRVPVSEEQVPPTEIHSSISQVPENQSASTLAPISISSGGIPQGTSELRHVNQSTAIMSEFDHDKTIEQGETLHELDASTQVKESDADGGETKDSEAASELINLVPSIDNTNKEIRTGQQVVPCVHHLNEVVRGEPNISNIEFNLIPLCTEPEVLPSEQTSKIVNYFEEKQELPKTDVLEDTKTELAIQTLSNVDTSNSSGRPNTVVVNIPVLGSNHALVASEPESMPSEGIGKFVPSESKFSSEVHDLWGRSGPQNYSSFGDINTVDFNQPTEPLANPMEYEKQIGSGT >Vigun03g315200.1.v1.2 pep primary_assembly:ASM411807v1:3:50914555:50917245:-1 gene:Vigun03g315200.v1.2 transcript:Vigun03g315200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSYGSYYDVPEGVDIRGRYDAEFAKILTKDALKFVAELQREFRNHIKYALERRREAKRRYNEGALPGFDPATRYIREQEWVCAPVPPAVADRKVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNMKDAVDGTISFHDKGRNRVYKLNDQTAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLFFYHNHSEFRRTQGAGFGPFFYLPKMEHSREAKIWNSVFEKAENVAGIERGSIRATVLIETLPAVFQMNEILYELKDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMKSYSDLLIRTCHRRGVHAMGGMAAQIPIKEDPVANELALVLVRKDKLREVKAGHDGTWAAHPALIPACMEVFDNIMGNVPNQIETVKREDAANITEQDLLQTPGGGRTMKGLRLNTRVGIQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLKYGVELNGDEVGVRVNRELFGRVVEEEMKRIEEEVGTEKFKKGKYKEACKIFIRQCTSPTLDDFLTLDAYNYIVLHHPRESSKL >Vigun06g146200.1.v1.2 pep primary_assembly:ASM411807v1:6:27149495:27153760:1 gene:Vigun06g146200.v1.2 transcript:Vigun06g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGRRGTSKLKEAARKVAVAAAYACGSFSRRKVLVDPVSIDTSCSLSATASVSSFVSPSRTKNSPGEVMEETDSGISTNINNELHGKNLCAICLDPLSYHSKGSSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNNLGGPFTSSNQSDPILRILDDSIATFRVHRRSLLRSARYDDDDPVEPDDTPDSPKLSFSLVPIPPNAPTSYHPALQVTKHASCPCHLSLHPLTCSSSSHVQSSPMPMQTPYIMCPSSNRAYLSVKLTHERATDLVLVASPNGPHLRLLKQAMALVVFSLRQIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDRVHKNPESCILHLSDNPTRPYHAVSMELPSTPIHRFHVGFGFGTSSGFVIQEFEEFLAKMLGGIVREIQLRICGAGEEVGSGRVIRIGEIRGGEERRILLDLGDCTHVYVEYSYIEGEIDECVRRTGETVVGVGEHKGDASEDGEETVRDMNTGGGGGGGRSSSVESWDFHDPYMARRWAKHLHGYRL >Vigun06g146200.2.v1.2 pep primary_assembly:ASM411807v1:6:27149495:27153760:1 gene:Vigun06g146200.v1.2 transcript:Vigun06g146200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDSGISTNINNELHGKNLCAICLDPLSYHSKGSSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNNLGGPFTSSNQSDPILRILDDSIATFRVHRRSLLRSARYDDDDPVEPDDTPDSPKLSFSLVPIPPNAPTSYHPALQVTKHASCPCHLSLHPLTCSSSSHVQSSPMPMQTPYIMCPSSNRAYLSVKLTHERATDLVLVASPNGPHLRLLKQAMALVVFSLRQIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDRVHKNPESCILHLSDNPTRPYHAVSMELPSTPIHRFHVGFGFGTSSGFVIQEFEEFLAKMLGGIVREIQLRICGAGEEVGSGRVIRIGEIRGGEERRILLDLGDCTHVYVEYSYIEGEIDECVRRTGETVVGVGEHKGDASEDGEETVRDMNTGGGGGGGRSSSVESWDFHDPYMARRWAKHLHGYRL >Vigun03g309200.1.v1.2 pep primary_assembly:ASM411807v1:3:50110817:50113791:-1 gene:Vigun03g309200.v1.2 transcript:Vigun03g309200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSTLLLLFWVLSTVIYYAWLYFFKPNTQRLPPGPSGLPFLGNLLSLDPDLHTYFAALAKIHGPIYKLRLGSKLAIVIASPAVAHEILKDHDTVFANRDVPVAGRVATYGGFDIAWSPYGAEWRMLRKVCTVKMLSNTTLDSVYDLRRNEVRKTVSYLYGRAGSAVNVGEQVFLTVMNVITSMMWGGAVEEAERETLGAEFRELVAETTRLLGKPNVSDFFPMLARFDLQGVEKEMHVLVSRFDGIFEKMIGERVKVGEEKDGNKKERKDFLQFLLDLKDSQDFDSKTPFTITHLKALLMDMVTGATDTSSNTIEFAMAEIIQKAEVMKRVEEELEDVVGKDKMVEETHIRKLPYLQAVMKETLRLHPALPLLIPHCPSQTTNVGGYTIPKGSRVFINVWAIHRDPSIWERPLEFDPSRFLDANWDFSGNDFSYFPFGSGRRICAGIAMAERTFLYFLATLLHLFDWRIPEGENLDLSEKFGIVLKKKTPLVAIPTPRFSNPHLYN >Vigun07g253950.1.v1.2 pep primary_assembly:ASM411807v1:7:37239678:37244653:-1 gene:Vigun07g253950.v1.2 transcript:Vigun07g253950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALNEVQHRGGVLDSSPKRDIALFEGDKDFEPHNGIEFESHEAAYLFYQEYAKSMGFTTSIKNSRRSKKTKEFIDAKFACSRYGVTPESDGGSSRRPSVKKTDCKACMHVKRKPDGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTKKMYVEMSRQSGGCQNIGSYVSDINYQFDRGQYLALEEGDAQIMLEYFKHVQKESPNFFYSIDLNEEQRLRNLFWIDAKSINDYLSFNDVVSFDTTYIKSNDKLPFAPFVGVNHHSQPILLGCALVADETKPTFVWLMKTWLRAMGGQAPKVIITDQDKALKAAIEEVFPNVRHCFSLCHILERIPENLSFVIKQHNNFLQKFNKCIFKSWTDEQFDMRWWKMVSICELQDDIWFQSLYEDRKKWVPTYMGDTFLAGMSTPQRSESTSSFFDKYIHKKITLKEFVKQYGIILQNRYDEEAIADFESLHKQPALKSPSPWEKQMSTIYTHAIFKKFQVEVLGVAGCQSRIEVEDGTLAKFIVQDYEKDEEFLVTWNEMSSEVSCFCRLFEYKGFLCRHALSVLQRCGCSSVPSQYILKRWTKDAKIKESMADRTRRIQTRVQRYNDLCKRAIDLSEEGSLSEENYNVVFRALVDALKNCVLVNNSNNNGAETSNNAYGLREAEENQGPLALKPNKKRNAARKRKAQLEQDVILVNAQDSLQQMDNLSSDAMTLNGYYGTQQNVQGLQVQLNLMEPPHDGYYVNQQSMQGLGPLNSMAPSHDGFFGTQQGIHGLGGQLEFRPATTFGYSLQDEPDPQFHGNSSRNT >Vigun03g370200.2.v1.2 pep primary_assembly:ASM411807v1:3:57278090:57283490:1 gene:Vigun03g370200.v1.2 transcript:Vigun03g370200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKTSCISFKSGNSLVLNKVPRKKSENIFVLGQHANCMRSTLNSRCSAVKEILDGDVYKYYADGEWKKASSGKSVAIINPTTRKTQYKVQACSQEEVNKVMDLAKSAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVSGLHMIHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIGISKKAGMIPLQMELGGKDACIVLEDADLDLVAINIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKANVAKLTVGAPEDDSDITPVVSESSANYIEGLVLDAKEKGATFCQEYKREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Vigun03g370200.1.v1.2 pep primary_assembly:ASM411807v1:3:57279281:57283628:1 gene:Vigun03g370200.v1.2 transcript:Vigun03g370200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTGVFAEILDGDVYKYYADGEWKKASSGKSVAIINPTTRKTQYKVQACSQEEVNKVMDLAKSAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVSGLHMIHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIGISKKAGMIPLQMELGGKDACIVLEDADLDLVAINIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKANVAKLTVGAPEDDSDITPVVSESSANYIEGLVLDAKEKGATFCQEYKREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Vigun11g142900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35245441:35246379:1 gene:Vigun11g142900.v1.2 transcript:Vigun11g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTPAAFSALLLLLSFLVNIASAAESPAPAPPPDISPSSPPHSHSPHIAPSSHNSPSGSPQSPSPAPSHRDSPPAPPPQNNQSPSPASSPSPNGADDGGVNHADVAGDRGKSSSEGMSSGKKAGIAVGVIVAAGVVVLGALVYKKRRQNMQRSQYGYAARRELL >Vigun07g292700.3.v1.2 pep primary_assembly:ASM411807v1:7:40399233:40426704:1 gene:Vigun07g292700.v1.2 transcript:Vigun07g292700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWERLVRAALRRERTGEDAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDDDPSVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREAGTIDRSQDVARLQEFYKSYREKNNVDKLREEEMKLRESGAFSRDLGELERKTVKRKRVFANLKVLGTVLEQLSEEIPDELRRVMDSDSALTEDLIAYNIIPLDTSSSTNAIVSLPEVQAAMSALKYFSGLPELPRGYFIPSSRNTNVFDFLQCIFGFQKDNVANQHEHIVHLLANEQSRLRIPDEAEPKLDEAAVQAVFLKSLQNYINWCNYLCIQPVWSSLEALSKEKKILYVSLYFLIWGEAANVRFLAECLCYIFHHMAREMDEILRQQIAQPANSCTFDSVEGVSFLDNVIFPLYDIISAEAANNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRKTSPFFQKPHPRSKKMLISGSSRHQGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAILAFNDGQFNGKTLREVLSLGPTFFVMKFFESVLDIFMMYGAYSTTRRLAISRIFLRFLWFSLASVFLTFIYVKALQEESKANGNTVIFRLYVIIIGIYAGVQVFISFLMRIPACHRLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSSDFIKYMLFWLVVLSAKFAFAYFLQIKPLVGPTKDIIKETNIVYSWHDFVSKNNHNALTVVSVWAPVVAMYLLDIYIFYTLVSAVWGFLLGARDRLGEIRSLEALHKLFEQFPGAFMSTLHVPLPNRSSHQSSVQVVENSKVDAARFAPFWNEIISNLREEDYVTNFEMELLLMPKNSGDVPMIQWPLFLLSSKIFLARDIAVESKDTQDELWDRISRDDYMMYAVQECYYAIKFILTEILDDVGRKWVERIYEDINSSITKRSIHLDINLNKLALVISRVTALMGILRETETPELERGAVRAIQDLYDVMRLDVLSINMRENYDTWSLLTKARDEGRLFEKLKWPKNTDLKMQVKRLYSLLTIKESASSIPKNLEARRRLQFFTNSLFMKMPSAKPVREMLSFSVFTPYYSEVVLYSMSELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSLESELYDNPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTTGDLEAAVGCDEVTDTRGFELSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGETIAERAAITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVALLLIVYLAYGYNEGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELVISINIS >Vigun07g292700.2.v1.2 pep primary_assembly:ASM411807v1:7:40398926:40431743:1 gene:Vigun07g292700.v1.2 transcript:Vigun07g292700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWERLVRAALRRERTGEDAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDDDPSVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREAGTIDRSQDVARLQEFYKSYREKNNVDKLREEEMKLRESGAFSRDLGELERKTVKRKRVFANLKVLGTVLEQLSEEIPDELRRVMDSDSALTEDLIAYNIIPLDTSSSTNAIVSLPEVQAAMSALKYFSGLPELPRGYFIPSSRNTNVFDFLQCIFGFQKDNVANQHEHIVHLLANEQSRLRIPDEAEPKLDEAAVQAVFLKSLQNYINWCNYLCIQPVWSSLEALSKEKKILYVSLYFLIWGEAANVRFLAECLCYIFHHMAREMDEILRQQIAQPANSCTFDSVEGVSFLDNVIFPLYDIISAEAANNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRKTSPFFQKPHPRSKKMLISGSSRHQGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAILAFNDGQFNGKTLREVLSLGPTFFVMKFFESVLDIFMMYGAYSTTRRLAISRIFLRFLWFSLASVFLTFIYVKALQEESKANGNTVIFRLYVIIIGIYAGVQVFISFLMRIPACHRLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSSDFIKYMLFWLVVLSAKFAFAYFLQIKPLVGPTKDIIKETNIVYSWHDFVSKNNHNALTVVSVWAPVVAMYLLDIYIFYTLVSAVWGFLLGARDRLGEIRSLEALHKLFEQFPGAFMSTLHVPLPNRSSHQSSVQVVENSKVDAARFAPFWNEIISNLREEDYVTNFEMELLLMPKNSGDVPMIQWPLFLLSSKIFLARDIAVESKDTQDELWDRISRDDYMMYAVQECYYAIKFILTEILDDVGRKWVERIYEDINSSITKRSIHLDINLNKLALVISRVTALMGILRETETPELERGAVRAIQDLYDVMRLDVLSINMRENYDTWSLLTKARDEGRLFEKLKWPKNTDLKMQVKRLYSLLTIKESASSIPKNLEARRRLQFFTNSLFMKMPSAKPVREMLSFSVFTPYYSEVVLYSMSELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSLESELYDNPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTTGDLEAAVGCDEVTDTRGFELSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGETIAERAAITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVALLLIVYLAYGYNEGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELSHIRSLGSRIAETVLSLRFFIFQYGIVYKLNVKGTSTSLTVYGLSWVVLAVLIILFKVFTFSQKISVNFQLLLRFIQGVSLLLALAGLVVAVILTELSLPDIFASMLAFIPTGWGILSIAAAWKPLMKKLGLWKSVRSIARLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Vigun07g292700.1.v1.2 pep primary_assembly:ASM411807v1:7:40398924:40431743:1 gene:Vigun07g292700.v1.2 transcript:Vigun07g292700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWERLVRAALRRERTGEDAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDDDPSVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREAGTIDRSQDVARLQEFYKSYREKNNVDKLREEEMKLRESGAFSRDLGELERKTVKRKRVFANLKVLGTVLEQLSEEIPDELRRVMDSDSALTEDLIAYNIIPLDTSSSTNAIVSLPEVQAAMSALKYFSGLPELPRGYFIPSSRNTNVFDFLQCIFGFQKDNVANQHEHIVHLLANEQSRLRIPDEAEPKLDEAAVQAVFLKSLQNYINWCNYLCIQPVWSSLEALSKEKKILYVSLYFLIWGEAANVRFLAECLCYIFHHMAREMDEILRQQIAQPANSCTFDSVEGVSFLDNVIFPLYDIISAEAANNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRKTSPFFQKPHPRSKKMLISGSSRHQGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAILAFNDGQFNGKTLREVLSLGPTFFVMKFFESVLDIFMMYGAYSTTRRLAISRIFLRFLWFSLASVFLTFIYVKALQEESKANGNTVIFRLYVIIIGIYAGVQVFISFLMRIPACHRLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSSDFIKYMLFWLVVLSAKFAFAYFLQIKPLVGPTKDIIKETNIVYSWHDFVSKNNHNALTVVSVWAPVVAMYLLDIYIFYTLVSAVWGFLLGARDRLGEIRSLEALHKLFEQFPGAFMSTLHVPLPNRSSHQSSVQVVENSKVDAARFAPFWNEIISNLREEDYVTNFEMELLLMPKNSGDVPMIQWPLFLLSSKIFLARDIAVESKDTQDELWDRISRDDYMMYAVQECYYAIKFILTEILDDVGRKWVERIYEDINSSITKRSIHLDINLNKLALVISRVTALMGILRETETPELERGAVRAIQDLYDVMRLDVLSINMRENYDTWSLLTKARDEGRLFEKLKWPKNTDLKMQVKRLYSLLTIKESASSIPKNLEARRRLQFFTNSLFMKMPSAKPVREMLSFSVFTPYYSEVVLYSMSELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSLESELYDNPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTTGDLEAAVGCDEVTDTRGFELSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGETIAERAAITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVALLLIVYLAYGYNEGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELSHIRSLGSRIAETVLSLRFFIFQYGIVYKLNVKGTSTSLTVYGLSWVVLAVLIILFKVFTFSQKISVNFQLLLRFIQGVSLLLALAGLVVAVILTELSLPDIFASMLAFIPTGWGILSIAAAWKPLMKKLGLWKSVRSIARLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Vigun07g292700.4.v1.2 pep primary_assembly:ASM411807v1:7:40399233:40431727:1 gene:Vigun07g292700.v1.2 transcript:Vigun07g292700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWERLVRAALRRERTGEDAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDDDPSVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREAGTIDRSQDVARLQEFYKSYREKNNVDKLREEEMKLRESGAFSRDLGELERKTVKRKRVFANLKVLGTVLEQLSEEIPDELRRVMDSDSALTEDLIAYNIIPLDTSSSTNAIVSLPEVQAAMSALKYFSGLPELPRGYFIPSSRNTNVFDFLQCIFGFQKDNVANQHEHIVHLLANEQSRLRIPDEAEPKLDEAAVQAVFLKSLQNYINWCNYLCIQPVWSSLEALSKEKKILYVSLYFLIWGEAANVRFLAECLCYIFHHMAREMDEILRQQIAQPANSCTFDSVEGVSFLDNVIFPLYDIISAEAANNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRKTSPFFQKPHPRSKKMLISGSSRHQGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAILAFNDGQFNGKTLREVLSLGPTFFVMKFFESVLDIFMMYGAYSTTRRLAISRIFLRFLWFSLASVFLTFIYVKALQEESKANGNTVIFRLYVIIIGIYAGVQVFISFLMRIPACHRLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSSDFIKYMLFWLVVLSAKFAFAYFLQIKPLVGPTKDIIKETNIVYSWHDFVSKNNHNALTVVSVWAPVVAMYLLDIYIFYTLVSAVWGFLLGARDRLGEIRSLEALHKLFEQFPGAFMSTLHVPLPNRSSHQSSVQVVENSKVDAARFAPFWNEIISNLREEDYVTNFEMELLLMPKNSGDVPMIQWPLFLLSSKIFLARDIAVESKDTQDELWDRISRDDYMMYAVQECYYAIKFILTEILDDVGRKWVERIYEDINSSITKRSIHLDINLNKLALVISRVTALMGILRETETPELERGAVRAIQDLYDVMRLDVLSINMRENYDTWSLLTKARDEGRLFEKLKWPKNTDLKMQVKRLYSLLTIKESASSIPKNLEARRRLQFFTNSLFMKMPSAKPVREMLSFSVFTPYYSEVVLYSMSELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSLESELYDNPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTTGDLEAAVGCDEVTDTRGFELSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGETIAERAAITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVALLLIVYLAYGYNEGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELSHIRSLGSRIAETVLSLRFFIFQYGIVYKLNVKGTSTSLTVYGLSWVVLAVLIILFKVFTFSQKISVNFQLLLRFIQGVSLLLALAGLVVAVILTELSLPDIFASMLAFIPTGWGILSIAAAWKPLMKKLGLWKSVRSIARLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Vigun07g292700.5.v1.2 pep primary_assembly:ASM411807v1:7:40399233:40431727:1 gene:Vigun07g292700.v1.2 transcript:Vigun07g292700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEELWERLVRAALRRERTGEDAYGRPVGGIAGNVPSALAKNRDIDEILRVADEIQDDDPSVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREAGTIDRSQDVARLQEFYKSYREKNNVDKLREEEMKLRESGAFSRDLGELERKTVKRKRVFANLKVLGTVLEQLSEEIPDELRRVMDSDSALTEDLIAYNIIPLDTSSSTNAIVSLPEVQAAMSALKYFSGLPELPRGYFIPSSRNTNVFDFLQCIFGFQKDNVANQHEHIVHLLANEQSRLRIPDEAEPKLDEAAVQAVFLKSLQNYINWCNYLCIQPVWSSLEALSKEKKILYVSLYFLIWGEAANVRFLAECLCYIFHHMAREMDEILRQQIAQPANSCTFDSVEGVSFLDNVIFPLYDIISAEAANNDNGKAPHSSWRNYDDFNEYFWSLHCFELSWPWRKTSPFFQKPHPRSKKMLISGSSRHQGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAILAFNDGQFNGKTLREVLSLGPTFFVMKFFESVLDIFMMYGAYSTTRRLAISRIFLRFLWFSLASVFLTFIYVKALQEESKANGNTVIFRLYVIIIGIYAGVQVFISFLMRIPACHRLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSSDFIKYMLFWLVVLSAKFAFAYFLQIKPLVGPTKDIIKETNIVYSWHDFVSKNNHNALTVVSVWAPVVAMYLLDIYIFYTLVSAVWGFLLGARDRLGEIRSLEALHKLFEQFPGAFMSTLHVPLPNRSSHQSSVQVVENSKVDAARFAPFWNEIISNLREEDYVTNFEMELLLMPKNSGDVPMIQWPLFLLSSKIFLARDIAVESKDTQDELWDRISRDDYMMYAVQECYYAIKFILTEILDDVGRKWVERIYEDINSSITKRSIHLDINLNKLALVISRVTALMGILRETETPELERGAVRAIQDLYDVMRLDVLSINMRENYDTWSLLTKARDEGRLFEKLKWPKNTDLKMQVKRLYSLLTIKESASSIPKNLEARRRLQFFTNSLFMKMPSAKPVREMLSFSVFTPYYSEVVLYSMSELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSLESELYDNPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTTGDLEAAVGCDEVTDTRGFELSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGETIAERAAITKNTALSAALNTQFLFQIGIFTAVPMVLGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVALLLIVYLAYGYNEGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELSHIRSLGSRIAETVLSLRFFIFQYGIVYKLNVKGTSTSLTVYGLSWVVLAVLIILFKVFTFSQKISVNFQLLLRFIQGVSLLLALAGLVVAVILTELSLPDIFASMLAFIPTGWGILSIAAAWKPLMKKLGLWKSVRSIARLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Vigun11g054500.1.v1.2 pep primary_assembly:ASM411807v1:11:9997140:9998495:-1 gene:Vigun11g054500.v1.2 transcript:Vigun11g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNPLYFFFCLLLTLLSSSQAKKFNVGESQGWVPNPSESYNNWAERNRFQINDTIVFNYKKGSDSVLEVKKEDYDKCNTTNPIKKFENGDTEFKFDRSGPFYFISGKDGNCEKGQKLIVVVLSPRKSPSPSSSPLLPPKYLPSPSPKPNPPTVSPSSPPSPPPPPAVQPPSSSISPKPARGPSAAAPGPENWHPASAPAPQTMYPVTSPPAPPPETGTPPPYLGPASSPQSPEGPTSPPQSPAGPTSPPQSQPSNSTAPSPTSGGFAVAPSNALVYFVTIVVGAALLRY >Vigun06g127200.1.v1.2 pep primary_assembly:ASM411807v1:6:25408673:25410860:1 gene:Vigun06g127200.v1.2 transcript:Vigun06g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPKTLTFEEVSKHNHKKDCWIIVNGKVYDITPFMDDHPGGDEVLVTSTAKDATIDFEDVGHSDSAVEIMEKYFIGNFDTSTFPAGVNHGQPPPPTKAHGGGNQSSEFVVKILQFLLPLLILGLAFALQFYGKKKQAAPPES >Vigun06g168400.1.v1.2 pep primary_assembly:ASM411807v1:6:29015388:29016940:1 gene:Vigun06g168400.v1.2 transcript:Vigun06g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLEATLMIDGMGLIFDELYESLQKNCRGEYIKVHVKNVLCSRDIESFNEALSGIKRSCILDPSCEWLDSETSWRRSLIKKYPTTNFLNTRLKLPSLNCRSYVYFLCGFWANDDNVRTALHIRQGSIGKWRRCTFDIPHKRDIPSSFEYHVNMSRICLEAFPNK >Vigun11g088600.1.v1.2 pep primary_assembly:ASM411807v1:11:26641164:26647724:1 gene:Vigun11g088600.v1.2 transcript:Vigun11g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNLEKMASIDAQLRLLAPSKVSDDDKLVEYDALLLDRFLDILQDLHGSDIRETVQDCYELSAEYEGQNNPQKLEELGNMLTGLDAGDSIVVSKSFAHMLNLANLAEEVQIAYRRRIKLLKKGDFADENSAITESDIEETFKRLVNQLKKTPQEIFDALKSQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRLRSDELLTSSRRDAKHYIEFWKQIPPSEPYRVVLGDVRDKLYNTRERARQLLANGSSEIPEETTFTNVEQFLEPLELCYRSLCACGDQPIADGSLLDFLRQVSTFGLSFVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTDEIADVLETFHVVAELPSDSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWALYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVAPKPEWRALMDEMAVIATEEYRSVVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHVVKKDPKNLQMLQDMYNQWPFFRVSLDLVEMVFAKGDPGIAALYDKLLVTEELWPFGEKLRSMYEETKSLLLQVAGHRDLLEGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPDYHVNLKPHLSKDYMESSNPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >VigunL060137.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:14905:15213:-1 gene:VigunL060137.v1.2 transcript:VigunL060137.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun08g101266.1.v1.2 pep primary_assembly:ASM411807v1:8:25055870:25058129:1 gene:Vigun08g101266.v1.2 transcript:Vigun08g101266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSKPDCGYCEAQGQNCKWKNGTNGATECYACQTKRIPTSTILLIAGGGLVGLMLLLLLVKTLLYAYDYCEKRGEYQARIEKFLEDYKAMKPTRFTYADIKRITNGFSESLGEGAHGAVFKGMLSREILVAVKILKDTVGDGKDFINEVRAIGKIHHVNIVRLLGFWQMSSTVLSSTISFQMGRCRDSWLHRTRRMFSLVGRSCNKLLLVLAEELSISILAVIIEFFTLTRMFC >Vigun06g082500.1.v1.2 pep primary_assembly:ASM411807v1:6:21424121:21427668:-1 gene:Vigun06g082500.v1.2 transcript:Vigun06g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGHENLIHSTLHVATKSPGQPFICHSAVNQINSKGIWFGDDPLAYSLPIFLCQLFLMFIFTHVFYIILKPVGQPTFVSQILQGGMTLGPSVLGHSATFNERVFPLKERSVLDTMTFFGFMLFIFLCGVKIDPTITFRLGRRNCVIGITTYFVPYILGKVVYYILTHSVSFDSDTYNILYIVIEIQCIAAFPVITRFLSEFEILNSEIGRLAASSSIVAEVCFIVNMTITFFIKLSFTKSIVVSFGSLLSSLVLFSFVIFVVHPAALWAIQQSPEGKPVEEIYICGVLVTVMVGGLLGEIIGINALVVSFFIGLAIPDGPPLGAALVDKLDCFVSVVCIPMLFIVVGLRTNVYAIQTMKNILGIQLIICTIFCGKVLGALLPLLYLRMPIRDAFALGFIMNIKGTIEMALLITLKLKDMMNDECFTVMVLNLLLVSGIASPIVKNLYDPSRRFLAYKRRTLVHHNSDEPLRLLACIHKQENVLAILNLLSASNPTKESPIDLVLLQHIKLEGRSSSVLVAHVPCKLGAHSTLTEQIFNSFDKFEDAYKGKISIHSYKGISPYATMHNDVCYLALEKRTTFIILPFHKRWIFGRTTESFFPFQQLNKNVLEKAPCSVGVLIDRGNQKMFWCGHTKESTYQVAVFFFGGADDRETLAYARRMLDQPYVHITLFRFSSSTDIVGGTETSKMLDSEILSEFRLKAFRNERVSLKDEMIITGRDLLSVVEYMESCYDLIMVGRKHGDSKVMSELNKWKNGELGVIGEILISLNIGSQTSILVVQQQTRYWGSRNPEDSVRKV >Vigun06g082500.2.v1.2 pep primary_assembly:ASM411807v1:6:21424121:21427668:-1 gene:Vigun06g082500.v1.2 transcript:Vigun06g082500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGHENLIHSTLHVATKSPGQPFICHSAVNQINSKGIWFGDDPLAYSLPIFLCQLFLMFIFTHVFYIILKPVGQPTFVSQILGGMTLGPSVLGHSATFNERVFPLKERSVLDTMTFFGFMLFIFLCGVKIDPTITFRLGRRNCVIGITTYFVPYILGKVVYYILTHSVSFDSDTYNILYIVIEIQCIAAFPVITRFLSEFEILNSEIGRLAASSSIVAEVCFIVNMTITFFIKLSFTKSIVVSFGSLLSSLVLFSFVIFVVHPAALWAIQQSPEGKPVEEIYICGVLVTVMVGGLLGEIIGINALVVSFFIGLAIPDGPPLGAALVDKLDCFVSVVCIPMLFIVVGLRTNVYAIQTMKNILGIQLIICTIFCGKVLGALLPLLYLRMPIRDAFALGFIMNIKGTIEMALLITLKLKDMMNDECFTVMVLNLLLVSGIASPIVKNLYDPSRRFLAYKRRTLVHHNSDEPLRLLACIHKQENVLAILNLLSASNPTKESPIDLVLLQHIKLEGRSSSVLVAHVPCKLGAHSTLTEQIFNSFDKFEDAYKGKISIHSYKGISPYATMHNDVCYLALEKRTTFIILPFHKRWIFGRTTESFFPFQQLNKNVLEKAPCSVGVLIDRGNQKMFWCGHTKESTYQVAVFFFGGADDRETLAYARRMLDQPYVHITLFRFSSSTDIVGGTETSKMLDSEILSEFRLKAFRNERVSLKDEMIITGRDLLSVVEYMESCYDLIMVGRKHGDSKVMSELNKWKNGELGVIGEILISLNIGSQTSILVVQQQTRYWGSRNPEDSVRKV >Vigun03g379000.1.v1.2 pep primary_assembly:ASM411807v1:3:58294661:58295545:-1 gene:Vigun03g379000.v1.2 transcript:Vigun03g379000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCFSTLFSLFLIFLFVLQRAPTGNASNATSKYEEIKLRVGVPRKNGFQQFVHVVGDASQKNYNISGYCIEVFNAVITHLPFNVSLHVQPHIIDSSEGSGYDALLKLIPSKYDVVVGDMTILANRSSYVDFTVPYIGSGVKMVVPVQHGRDQNMWTFVKPFSWDLWLSIIIISTFIGIAILIMERNVNALPNEEGSTNQKSFALQPSYGFHSHKPFFLKDK >Vigun05g197400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38189319:38190709:1 gene:Vigun05g197400.v1.2 transcript:Vigun05g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTLQQQTAESSELSPKMSFSGHKVGDLSDGQHKGGYLAVVPRSRPACTCANRPGSVRCARHGYVVPGEKKKRVASKEILRRALTPPKRLGLRWLNFRPTPSRLSNMSMA >Vigun02g198800.1.v1.2 pep primary_assembly:ASM411807v1:2:33376721:33380864:-1 gene:Vigun02g198800.v1.2 transcript:Vigun02g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEIPKLDLSSSCSQDLISEEKNRGSAILSSDHINAFQYSAEKSDSFVIDMDAFSSANHKDPTNANSKITLQRSLSRKGSLRLGDRKVNSSATLYEKDTICSPKGTLVGPCTLEKTAGMSLGSMDHSINPLVYQQITMTTNNIIGNPTENKCSITRRNSFRRPSSWALDPKRVLLFFATLSSMGTMLLIYFILSSSKQSADEYGG >Vigun02g198800.2.v1.2 pep primary_assembly:ASM411807v1:2:33376721:33380864:-1 gene:Vigun02g198800.v1.2 transcript:Vigun02g198800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEIPKLDLSSSCSQDLISEEKNRGSAILSSDHINAFQYSAEKSDSFVIDMDAFSSANHKDPTNANSKITRSLSRKGSLRLGDRKVNSSATLYEKDTICSPKGTLVGPCTLEKTAGMSLGSMDHSINPLVYQQITMTTNNIIGNPTENKCSITRRNSFRRPSSWALDPKRVLLFFATLSSMGTMLLIYFILSSSKQSADEYGG >Vigun04g182400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40671278:40672841:1 gene:Vigun04g182400.v1.2 transcript:Vigun04g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFAIELYLDPALENQVLKAWNILARRQITTHLIEMESRPHITLFSAPFLEPSKLESLLRTFASKHDPLFLSFSSVGTFPNHADNLLFLAPTPSLSLLQFQSHLCDAIRKEGIEISDDFAFNSWIPYCSVAHHVPKNRMPEAFSLLRELKLPVSGYATEIALVQFSPVRELFSFVLSNNVDS >Vigun01g207700.1.v1.2 pep primary_assembly:ASM411807v1:1:38333484:38334687:1 gene:Vigun01g207700.v1.2 transcript:Vigun01g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNLQSMEGERRKRKIENEEENEEQKMERFFALIKRTKDVRDRLYKENKKMDGEREKSIWNPKFEVEDFIDCGQIMPESGKASGSHHHDLAGPSNKELRDEKKEDLQDATQLVPTEEQNGEEKDKRSEHLDLNLSL >Vigun03g023400.1.v1.2 pep primary_assembly:ASM411807v1:3:1733509:1738269:1 gene:Vigun03g023400.v1.2 transcript:Vigun03g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSMETRKQNSKKRKQVFPYGNYRSYYGYRIDQGTDEDPRLKVLRKEWFEGKECLDIGCNNGIITIQIAKKFCCRSILGIDIDSDRVQDAYWNLRKSARLNSSGNKPMKASKLQDKDLADDSGNRVTALSSVETKEILKKHSSSEQIDLFTIVSFKRENFVQSRHPPGKNYDTILCLSVTKWIHLNWGDDGLITLFSEIWKLLRPGGIFVVEPQPWKSYESNRNVSETTAANYRNITIRPEQFQEILLDKIGFRTVEDITSGLTLSKTGFNRPILVFRK >Vigun03g140450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13975591:13976406:1 gene:Vigun03g140450.v1.2 transcript:Vigun03g140450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGWWVNYDRAAISEFLGNPLPLQPGQRCDFITRRRSHEPYDENEVALFICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIITEEIHKFVRYEVNTRNDKAKGALGFLALITAFCQEQGVEVDLTAKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEGQPAKDQSAMEEQQTGPTQQPQLNMNNELLEQMRYLRL >Vigun06g134300.1.v1.2 pep primary_assembly:ASM411807v1:6:26049290:26052795:-1 gene:Vigun06g134300.v1.2 transcript:Vigun06g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRTRSQPRSNRSMSLGGMDYVDPKRKGNYVGKIFLAAALTALCIIMIKRSPSLNPPSPFSIHEPGVTHVLVTGGAGYIGSHATLRLLKDFYRVTIVDNLSRGNLGAVRVLQELYPEPGRLQFIYADLGDKESVNKIFSENKFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLLVLESMAKYGVKKLIYSSTCATYGEPEKMPITEGTEQKPINPYGKAKKMSEDIILDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITTGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALEKAQPGKVGIYNVGTGKGRSVKEFVDACKKATGVNIEVEFLPRRPGDYAEVYSDPSKINLELNWTAQYTDLEKSLQTAWKWQKSHHNGYGISSAI >Vigun05g191800.4.v1.2 pep primary_assembly:ASM411807v1:5:37289220:37292967:1 gene:Vigun05g191800.v1.2 transcript:Vigun05g191800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKKRLLQVGHLGELQLAGATLANSWFNVTGSAVMVGLSGALETLCGQGFGAKEYHVLGIYLQASCIISLIFSIIVSIIWFYTERVLVFLHQSQDIARITALYMKFLIPGLFAYSFLQNILRFLQTQSVWSGLSFKGAPVAASISQWISMLLLAFYVIYAKKFKQTWQGFSTHSFQYVFTNIKLALPSAAMVCLEYWAFEVLVFLSGLLPNSQITTSLVAICLNTQFIAYTIPIGLGAAASTRVSNELGAGNPEGAKHAMNVTVKLSFLLSFCFALALGFGHDIWIQLFSDSSKIKDEFTSVTPLLAISIVLDAVQGVMQGAARGCGLQHSTAYINLANFYLVGLPISCLLGFMTNLQYKGLWIGLICGLLCQAGTLFFFLRRAKWTKLDLLRDKDKDHHPLV >Vigun05g191800.3.v1.2 pep primary_assembly:ASM411807v1:5:37289220:37292967:1 gene:Vigun05g191800.v1.2 transcript:Vigun05g191800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKKRLLQGHLGELQLAGATLANSWFNVTGSAVMVGLSGALETLCGQGFGAKEYHVLGIYLQASCIISLIFSIIVSIIWFYTERVLVFLHQSQDIARITALYMKFLIPGLFAYSFLQNILRFLQTQSVVMPLVVLSALPLLVHIGIAYALVQWSGLSFKGAPVAASISQWISMLLLAFYVIYAKKFKQTWQGFSTHSFQYVFTNIKLALPSAAMVCLEYWAFEVLVFLSGLLPNSQITTSLVAICLNTQFIAYTIPIGLGAAASTRVSNELGAGNPEGAKHAMNVTVKLSFLLSFCFALALGFGHDIWIQLFSDSSKIKDEFTSVTPLLAISIVLDAVQGVMQGAARGCGLQHSTAYINLANFYLVGLPISCLLGFMTNLQYKGLWIGLICGLLCQAGTLFFFLRRAKWTKLDLLRDKDKDHHPLV >Vigun05g191800.5.v1.2 pep primary_assembly:ASM411807v1:5:37289220:37292967:1 gene:Vigun05g191800.v1.2 transcript:Vigun05g191800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKKRLLQGHLGELQLAGATLANSWFNVTGSAVMVGLSGALETLCGQGFGAKEYHVLGIYLQASCIISLIFSIIVSIIWFYTERVLVFLHQSQDIARITALYMKFLIPGLFAYSFLQNILRFLQTQSVWSGLSFKGAPVAASISQWISMLLLAFYVIYAKKFKQTWQGFSTHSFQYVFTNIKLALPSAAMVCLEYWAFEVLVFLSGLLPNSQITTSLVAICLNTQFIAYTIPIGLGAAASTRVSNELGAGNPEGAKHAMNVTVKLSFLLSFCFALALGFGHDIWIQLFSDSSKIKDEFTSVTPLLAISIVLDAVQGVMQGAARGCGLQHSTAYINLANFYLVGLPISCLLGFMTNLQYKGLWIGLICGLLCQAGTLFFFLRRAKWTKLDLLRDKDKDHHPLV >Vigun05g191800.2.v1.2 pep primary_assembly:ASM411807v1:5:37289220:37292967:1 gene:Vigun05g191800.v1.2 transcript:Vigun05g191800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIEKMEESRSSDSTARPLLRVTDDDGEEKTLARWWNRVLDMEEAKHQLLFSLPMILTNIFYYLITSISVMLVGHLGELQLAGATLANSWFNVTGSAVMVGLSGALETLCGQGFGAKEYHVLGIYLQASCIISLIFSIIVSIIWFYTERVLVFLHQSQDIARITALYMKFLIPGLFAYSFLQNILRFLQTQSVVMPLVVLSALPLLVHIGIAYALVQWSGLSFKGAPVAASISQWISMLLLAFYVIYAKKFKQTWQGFSTHSFQYVFTNIKLALPSAAMVCLEYWAFEVLVFLSGLLPNSQITTSLVAICLNTQFIAYTIPIGLGAAARQHKSIQ >Vigun05g191800.1.v1.2 pep primary_assembly:ASM411807v1:5:37289220:37292967:1 gene:Vigun05g191800.v1.2 transcript:Vigun05g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIEKMEESRSSDSTARPLLRVTDDDGEEKTLARWWNRVLDMEEAKHQLLFSLPMILTNIFYYLITSISVMLVGHLGELQLAGATLANSWFNVTGSAVMVGLSGALETLCGQGFGAKEYHVLGIYLQASCIISLIFSIIVSIIWFYTERVLVFLHQSQDIARITALYMKFLIPGLFAYSFLQNILRFLQTQSVVMPLVVLSALPLLVHIGIAYALVQWSGLSFKGAPVAASISQWISMLLLAFYVIYAKKFKQTWQGFSTHSFQYVFTNIKLALPSAAMVCLEYWAFEVLVFLSGLLPNSQITTSLVAICLNTQFIAYTIPIGLGAAASTRVSNELGAGNPEGAKHAMNVTVKLSFLLSFCFALALGFGHDIWIQLFSDSSKIKDEFTSVTPLLAISIVLDAVQGVMQGAARGCGLQHSTAYINLANFYLVGLPISCLLGFMTNLQYKGLWIGLICGLLCQAGTLFFFLRRAKWTKLDLLRDKDKDHHPLV >Vigun09g260300.2.v1.2 pep primary_assembly:ASM411807v1:9:42545552:42556528:-1 gene:Vigun09g260300.v1.2 transcript:Vigun09g260300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRGFFTLLVLLQFVSISSSVEADNLSTRLLLTSNANTAGEKHSQDYCAMYDICGTRSDGKVVNCPFGSPAVKPDDLFSSKIQSLCPTITGNVCCTEAQFDTLKTQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSVDNVDGNLTVGGIDYLIADAYGEGLYESCKEVKFGTMNSRALQFIGAGAQNFKDWFAFIGRKAAPHGLGSPYAITFRSNAIESSGMKPMNVSTYSCGDISLGCSCGDCPSSSVCSVSSSTTTSKKDSCSVKVGTLVVKCVDFILAVLYIILICVFLGWGLYHRIRERKPTYRTKSVSNVISDGALYTRNREKDENLPMQIHMMEDARENRNEVRLSAVQGYMSNFYRKYGSYVARHPIVMLASSVAIILLLCVGLIQFKVETRPEKLWVGPGSKAAQEKQFFDSHLAPFYRIEQLILATIPDHVNSTSPRIVSDDNIKFLFEIQKKVDAIRANYSGSMVSLQDICMKPLDKDCATQSVLQYFKMDPKNLDNYGGVDHLNYCFEHYSSADLCMSAFKAPLDPSTVLGGFSGNDYSGASAFVVTYPVNNAVDKEGNGTRKAIAWEKTFIQLVKDELLPMVQSRNLTLAFSSESSVEEELKRESTADAITILVSYLVMFAYISLTLGDTLHPSFFYISSKVLLGLSGVILVMLSVLGSVGFFSVLGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQRLELPLDERISNALVEVGPSITLASVSEVLAFAVGSFISMPAIRVFSMFAALAVLLDFLLQVTAFVALIVLDSLRAEDKRVDCFPCIKVHSDPDIGIGQRKPGLLARYMKEVHAPILSIWGVKIVVIAVFVGFTFASIALSTRVEPGLEQEIVLPRDSYLQGYFSNVSEYLRIGPPLYFVVKNYNYSSESPQTNQLCSISRCNSDSLLNENICYYKSIIS >Vigun09g260300.1.v1.2 pep primary_assembly:ASM411807v1:9:42541416:42556528:-1 gene:Vigun09g260300.v1.2 transcript:Vigun09g260300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRGFFTLLVLLQFVSISSSVEADNLSTRLLLTSNANTAGEKHSQDYCAMYDICGTRSDGKVVNCPFGSPAVKPDDLFSSKIQSLCPTITGNVCCTEAQFDTLKTQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSVDNVDGNLTVGGIDYLIADAYGEGLYESCKEVKFGTMNSRALQFIGAGAQNFKDWFAFIGRKAAPHGLGSPYAITFRSNAIESSGMKPMNVSTYSCGDISLGCSCGDCPSSSVCSVSSSTTTSKKDSCSVKVGTLVVKCVDFILAVLYIILICVFLGWGLYHRIRERKPTYRTKSVSNVISDGALYTRNREKDENLPMQIHMMEDARENRNEVRLSAVQGYMSNFYRKYGSYVARHPIVMLASSVAIILLLCVGLIQFKVETRPEKLWVGPGSKAAQEKQFFDSHLAPFYRIEQLILATIPDHVNSTSPRIVSDDNIKFLFEIQKKVDAIRANYSGSMVSLQDICMKPLDKDCATQSVLQYFKMDPKNLDNYGGVDHLNYCFEHYSSADLCMSAFKAPLDPSTVLGGFSGNDYSGASAFVVTYPVNNAVDKEGNGTRKAIAWEKTFIQLVKDELLPMVQSRNLTLAFSSESSVEEELKRESTADAITILVSYLVMFAYISLTLGDTLHPSFFYISSKVLLGLSGVILVMLSVLGSVGFFSVLGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQRLELPLDERISNALVEVGPSITLASVSEVLAFAVGSFISMPAIRVFSMFAALAVLLDFLLQVTAFVALIVLDSLRAEDKRVDCFPCIKVHSDPDIGIGQRKPGLLARYMKEVHAPILSIWGVKIVVIAVFVGFTFASIALSTRVEPGLEQEIVLPRDSYLQGYFSNVSEYLRIGPPLYFVVKNYNYSSESPQTNQLCSISRCNSDSLLNEIAKVALVPDTSYIAKPAASWLDDFLVWVSPEAFGCCRKFTNGSYCPPDDQPPCCAPGDNSCVSVGTCKDCTTCFRHSDLQNDRPSTTQFREKLPWFLSSLPSADCAKGGHGAYTSSVELTGYNNSIIPASSFRTYHTPLNKQIDYVNSMRAAREFSSRVSESLKIEIFPYSVFYMFFEQYLHIWKTALVNLAIAIGAVFIVCLVITGSLWSSSIILLVLAMVVVDLMGVMTILNIQLNALSVVNLVMSVGIAVEFCVHMTHSFTVASGDRDQRAKEALSTMGASVFSGITLTKLVGVIVLCFSRTEVFVIYYFRMYLSLVLLGFLHGLVFLPVVLSIFGPPSRCSITEQGEIRSSTSSRQ >Vigun08g007500.1.v1.2 pep primary_assembly:ASM411807v1:8:646055:649947:-1 gene:Vigun08g007500.v1.2 transcript:Vigun08g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTVAAATSFAAGSYLSRPPRRSFSVALRSDVSTTPTRILTELKRQCATPLPLLQQVAKAMSDDMRAGLGLGLGLEPGLPMIPTYVENLPTGNEKGLFYALDLGGTNFRVMRVQLGGKDERVIDTEFDQVSIPQDLMSATSEELFDFIASGLAKFVSKEDGRFHISPGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGRDVVACLNEAMERQGIDMRVSALVNDAVGTLAGAEYWDSDVVAAVILGTGTNACYVEQISAIPKLQGHVSSSGKMIISTEWGAFSNSLPSTEFDREMEAATINPGEQIFEKTISGLYLGEIVRRVLLKMTEEGGLFGKFVPQKLSTPFILGTPDLCAMQQDYSGDLHAVGSLLYDILGAESNLSERKTVLEVCETIVRRGGRLAGAGIVGILQKMEEDQRGLIFGNGNRSVVAIDGGLYEKYSQYRTYLQDSVKELLGTEKSNNVVIEHTKDGSGIGAALLAASNSIYKQDL >Vigun10g077404.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21011741:21012402:-1 gene:Vigun10g077404.v1.2 transcript:Vigun10g077404.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGGNRTSTGVPVVPNIPRRPPSWANFGFETIVNDEIASRSVEPRPSDIGSKTIVDEKLGSKDRSRQSSWEDFSFETIVNGKIASRRAEPIPSDLGSETIIDEKLASKEPR >Vigun02g069200.1.v1.2 pep primary_assembly:ASM411807v1:2:21932565:21934848:-1 gene:Vigun02g069200.v1.2 transcript:Vigun02g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFANPKLFLPIFLFFCAISPFPALGQQTDWDSEEPQHRNRIGRRVLLSFKEKPSGTNVTFECAPSGPCVPCLYSEKGDSKYRCSETGYRIPFKCVEIKDSTKDAKKTKSRKGRSSLEIGDGTAESQKVSYVTREYTHSQSDRRLAEDSSSSDNSSQAYITYRSCITPVNEEKLSVLNFEGVVIFLLLISGSIIYLRKRKAASMSGYVAGRGQNNSRF >Vigun05g195300.1.v1.2 pep primary_assembly:ASM411807v1:5:37806199:37806637:1 gene:Vigun05g195300.v1.2 transcript:Vigun05g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRFESFAEGKIQVIVTYFDNLRRRKTFSMEWCWISKVDCETVEGMDLQSHIKEHKNMTMNMVKTIKFLIIIDF >Vigun11g017500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2187441:2188938:1 gene:Vigun11g017500.v1.2 transcript:Vigun11g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGMKAYEEDNLLSLPKQKGWAAGYFYLFQDFWCPSIFIEGVINFQKHFQAKDSDVIVASFPKSGTTWLKALTFSILNRHRFSSSDNHPLLTSNSHELVPFLDFIFHGDNIQHKLSHLSNTTEPRLFSTHVPFPSLSNSIKDSNCKIVYICRNPFDTFVSAWVFFNKIMSDSLNEVTIEEALENYCKGIIGFGPIWEHMLGYWKESIATPNKVLFLKYEELKENANFYVKRVAEFLDCPFTEEEESNGVIENIINLCSFEKMKNLEVNKSGTIGKNLVKKYLFRKGEVGDWVNYFSPAMIDKLSKTMEEKLGGSGLSFKTCP >Vigun03g323500.1.v1.2 pep primary_assembly:ASM411807v1:3:51926796:51928626:1 gene:Vigun03g323500.v1.2 transcript:Vigun03g323500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEANLTENYGSQPMYVQENCLKPSMGDQLPFGASSSQGFLQDFHHIDHFPANNGSSSNPIFGVHTPNFDPFDHNVNCYSLNLPRTNQMDMVVANQRYLPFGALETKPLNFVAPDEVSCISPPNNYYKKVGLNKNLRESPSTRRTFKARKKSNIVKGQWTSDEDRLLIQLVDQYGVRKWSHIAQALPGRIGKQCRERWHNHLRPDIKKDIWTEEEDKILIQTHAEIGNKWAEIAKKLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGSLLQEYIKSLNLDQNPPMDYRKKSVKNGNAKTNNNGKAAAQILCGDQFCPNGQLVAKYDFNEVPDFCLDENLFEEGCSIDSLLDDIQSASTMDDKDFDGKLQCAPTVEGKQSHHHVHIDEHRETKMMAPTMGIQVKKELDLVEMMSHHVNESSDI >Vigun04g017700.4.v1.2 pep primary_assembly:ASM411807v1:4:1311658:1315156:1 gene:Vigun04g017700.v1.2 transcript:Vigun04g017700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGESNSSNQRCEVSKDSSWYSQFRNVSNPWLARYVYALIFLVANLLAWAARDELSSLTALTQLKGVKGCKVGKDCLGADGVLRVNMGCFLFYMIMFWSTAGTSKLKEGRDEWHSGWWLVKTVLLILVTIFPFLLPSELIELYGEIAHFGAGVFLLIQLISIISFITWLTDCFGSEKYAERCQIQVMLFATVSYFICLVGIILMYLWYAPLPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILSPGLMGLYVVFLCWCAIRSEPAGADCIRKSESGSKTDWQSIISFVVAILALVVATFSTGIDSKCFQFRKSDPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMKKWTIDIGWTSAWVRIVNEWLAVCVYLWMLVAPIVWKNRHSDST >Vigun04g017700.5.v1.2 pep primary_assembly:ASM411807v1:4:1310394:1315156:1 gene:Vigun04g017700.v1.2 transcript:Vigun04g017700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGESNSSNQRCEVSKDSSWYSQFRNVSNPWLARYVYALIFLVANLLAWAARDELSSLTALTQLKGVKGCKVGKDCLGADGVLRVNMGCFLFYMIMFWSTAGTSKLKEGRDEWHSGWWLVKTVLLILVTIFPFLLPSELIELYGEIAHFGAGVFLLIQLISIISFITWLTDCFGSEKYAERCQIQVMLFATVSYFICLVGIILMYLWYAPLPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILSPGLMGLYVVFLCWCAIRSEPAGADCIRKSESGSKTDWQSIISFVVAILALVVATFSTGIDSKCFQFRKSDPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMKKWTIDIGWTSAWVRIVNEWLAVCVYCNVDAGGSNSMEEQT >Vigun04g017700.2.v1.2 pep primary_assembly:ASM411807v1:4:1311609:1315221:1 gene:Vigun04g017700.v1.2 transcript:Vigun04g017700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGESNSSNQRCEVSKDSSWYSQFRNVSNPWLARYVYALIFLVANLLAWAARDELSSLTALTQLKGVKGCKVGKDCLGADGVLRVNMGCFLFYMIMFWSTAGTSKLKEGRDEWHSGWWLVKTVLLILVTIFPFLLPSELIELYGEIAHFGAGVFLLIQLISIISFITWLTDCFGSEKYAERCQIQVMLFATVSYFICLVGIILMYLWYAPLPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILSPGLMGLYVVFLCWCAIRSEPAGADCIRKSESGSKTDWQSIISFVVAILALVVATFSTGIDSKCFQFRKSDPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMKKWTIDIGWTSAWVRIVNEWLAVCVYLWMLVAPIVWKNRHSDST >Vigun04g017700.3.v1.2 pep primary_assembly:ASM411807v1:4:1311413:1315164:1 gene:Vigun04g017700.v1.2 transcript:Vigun04g017700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGESNSSNQRCEVSKDSSWYSQFRNVSNPWLARYVYALIFLVANLLAWAARDELSSLTALTQLKGVKGCKVGKDCLGADGVLRVNMGCFLFYMIMFWSTAGTSKLKEGRDEWHSGWWLVKTVLLILVTIFPFLLPSELIELYGEIAHFGAGVFLLIQLISIISFITWLTDCFGSEKYAERCQIQVMLFATVSYFICLVGIILMYLWYAPLPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILSPGLMGLYVVFLCWCAIRSEPAGADCIRKSESGSKTDWQSIISFVVAILALVVATFSTGIDSKCFQFRKSDPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMKKWTIDIGWTSAWVRIVNEWLAVCVYLWMLVAPIVWKNRHSDST >Vigun04g017700.1.v1.2 pep primary_assembly:ASM411807v1:4:1309969:1315220:1 gene:Vigun04g017700.v1.2 transcript:Vigun04g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGESNSSNQRCEVSKDSSWYSQFRNVSNPWLARYVYALIFLVANLLAWAARDELSSLTALTQLKGVKGCKVGKDCLGADGVLRVNMGCFLFYMIMFWSTAGTSKLKEGRDEWHSGWWLVKTVLLILVTIFPFLLPSELIELYGEIAHFGAGVFLLIQLISIISFITWLTDCFGSEKYAERCQIQVMLFATVSYFICLVGIILMYLWYAPLPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILSPGLMGLYVVFLCWCAIRSEPAGADCIRKSESGSKTDWQSIISFVVAILALVVATFSTGIDSKCFQFRKSDPPAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMKKWTIDIGWTSAWVRIVNEWLAVCVYLWMLVAPIVWKNRHSDST >Vigun10g000400.4.v1.2 pep primary_assembly:ASM411807v1:10:97780:106867:1 gene:Vigun10g000400.v1.2 transcript:Vigun10g000400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAVTWTNYLRKRLPQTIRSLHTLKGNELDQILMSPPLASLDLPDIWSPNSSSRIIPAIHKSFDDHTTVVLDGKLISTEIRSRIAAKVREMKTCLGKVPGLAVILVGQRRDSQTYVRNKIMACEEVGMKSLVAELPTDCAEMDVRNAIMRFNKDPSIHGILVQLPLPQHLDEEKVLNALSLEKDVDGFHPVNMGNLAINGREPLFTPCTPKGCIELLIRSGVEIMGKEAVVIGRSNIVGLPTSLLLQRHHATVTVIHPFTENPEQITAKADIIVSAAGVPNLVRGNWIKPGATVIDVGTTPVEDSGCDDGYRLVGDVCHEEAIKVASAITPVPGGVGPMTVAMLLYNTLVCAKRILNFN >VigunL059235.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000262.1:11161:11624:1 gene:VigunL059235.v1.2 transcript:VigunL059235.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKSELGRTAPKATWGDDAGKEFLGSWNRLKRILNSKYDRPFGCWLPGKRCETPNTTDSWVVGNNGRPRENSEYYGLLGCWKQGEAPLELRILWTLGLLEARGGPARTPNTTDSWVVGSKGRPR >Vigun10g150700.1.v1.2 pep primary_assembly:ASM411807v1:10:36995643:37000057:1 gene:Vigun10g150700.v1.2 transcript:Vigun10g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPVRPRTRLGKYELGKTIGEGSFAKVKFAKDVENGNHVAIKILDRNHVLSHKMTEQLKKEISAMKMINHPNVVKIYEVMASKTKIYIVLELINGGELFDKIAQSGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSNGVLKITDFGLSTYAQKEDELLRTACGTPNYVAPEVLNDRGYVGSTSDIWSCGVILFVLMAGYLPFDEPSQMALYRKIGKAQFTCPSWFSSEAKKLLKRILDPNPLTRIKIPEILEDEWFRKGYKPAIFTEEEDVNVDDVAAAFNDSKESFVTETKEKPVSMNAFELISRSQSFNLENLFEKQTNGIVKRETHFTSQRPANEIMSKIEEAAKPLGFNVHKRNYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKTFSSGLQDVVWHSEAKI >Vigun05g147320.1.v1.2 pep primary_assembly:ASM411807v1:5:20954663:20959258:1 gene:Vigun05g147320.v1.2 transcript:Vigun05g147320.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQGKGSLKQQLDTIKLVLEDLRSKKDERIKEFLKIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKAFLDATECLHKTQKLAGKPAGIISSTRYQGGQEETTISPWNGICSIRNKIYIQP >Vigun08g072400.1.v1.2 pep primary_assembly:ASM411807v1:8:11891665:11894151:1 gene:Vigun08g072400.v1.2 transcript:Vigun08g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLLLQRDCVLMKLLLMLILIRSGSAHNECHENLSCGPDEPLIRFPFQLMKEMEEPCTYPRLCLSCTEKNVTHLVHSTIKLQVTDIDYQNQEIRLTDPENCFTNKFMEVINFIRSYQLESPYDGPENNLIFFNCTSAGLPYLRNNYMRQDMVSCPIYMANSFESVLRLDLTSCTKMFNITAPIAAVHWNLLILRWTKPNCAECEAKGKRCKWKTNKDTQGDIECLECKRKGIHVPKSFIFATTGSIFLGLLVIAVFKGILYFRKKQEDQERVDKFLEDYRAEKPARFTYADLKRITNGFKENLGEGAHGAVFRGKLSSEIQVAVKILNNTDVEGKEFINEVGIMGKIHHINVVRLLGFCAEGFHRALVYNFFPNGSLQSFIFPPEDKDHFLGWEKLQHISLGIAKGIEYLHQGCNHPIIHFDINPHNVLLDDNFTPKISDFGLAKLCSKNPSVVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDMSSPEDFHVLYPDWIHNLVDGDVHIHVEDEDDVKIAKKLAIIGLWCIQWQPGNRPSIKSVIHMLETQEENQIAVPPNPFHSATSTTVKGPTSTRRPLHLEVIEE >Vigun05g284000.1.v1.2 pep primary_assembly:ASM411807v1:5:47216322:47217463:-1 gene:Vigun05g284000.v1.2 transcript:Vigun05g284000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKKSWGNFPDLAGAVNKLQESVKNIEKNFDTALGFEEKAESSSEDAGSWPVSTDTKALFNPVMAFMGNKSEESTEEMSEKDESSERDSETKKLLEVPESLDHTPVEEGNKALETDNEVHVGDEEITAQEENKILKKEEDGEHTESAADETIEYNLDHGKEEHHLLEMPVELTESSVEKIESLDAIDHSKEKEITDEGTSESPVSMQLTPPSLADDVVEAVTSEFGESHGISDGNANSQAETQQESKEERVQADESVKRVSSAQHEASGEGEKEMILTLLFYSLWLLMKPVTLINQVLNSCPVVLQMNLLRWKL >Vigun11g091566.1.v1.2 pep primary_assembly:ASM411807v1:11:27252071:27252486:1 gene:Vigun11g091566.v1.2 transcript:Vigun11g091566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNLRKHIVLHIIPAWIFCTSAMRISLLGVRFAVLSWLDVAFMRKRVCLPFPWAKLIKPG >Vigun11g068600.1.v1.2 pep primary_assembly:ASM411807v1:11:20027406:20028031:1 gene:Vigun11g068600.v1.2 transcript:Vigun11g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFTLIFTLTLIITAISRTSGAMPEKQCMQVLDPNHCNHNSCRLNCEEQYHGIVYCVDVNPYKCVCIYDCPR >Vigun07g097820.1.v1.2 pep primary_assembly:ASM411807v1:7:17054639:17063942:-1 gene:Vigun07g097820.v1.2 transcript:Vigun07g097820.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLNPNCSKKASSPSLSTPTRCHRPRQRVPLPTNTPPSPLLHRAGGSGTPATTPITTHRSNKRRCRHKKKGVYEVKEMWHMRHLNPNCSKKASSPSLSTPTRCHRPRQRVPLPTNTPPSPLLHRAGGSGTPATTPITTHRRHASFFLLLLCARPFLHCLEPPRFQEPMPLPQLPRPARHAAVDTSHQRKHRRREPNSANRLLSRFSSRARDGCHSLCDSPPPRQPHCD >Vigun09g024900.1.v1.2 pep primary_assembly:ASM411807v1:9:1959975:1965207:1 gene:Vigun09g024900.v1.2 transcript:Vigun09g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIKRSSFEVLGMCCATEAALVERIVKPLHGVKHVTVIVPTRTVTVVHDVLFISDSQIADALNAARLEASLRLQGETDNEKKWPDLTTMVCGLFLALSFLKYIYHPLEWLALVSVVIGFPKVLLRAIASIKALTLNINILVLLAVCSTAALQDFWEAGVIIFLFSIAQWLETRATHKAKVAMSSLTSMAPQKAVIAETGERVDVNDVNINTILAVKSGDAIPLDGIVVEGKCEVDEKMLTGESLPVIKEFDSVVWAGTINVNGYISVKTTVLAKDTVVARMSKLVEEASSRKSRTQRFIDNFAKYYIPAVVLISASIAVVPAALEVPDIKPWFHLAIVVLLSACPCALILSTPVAIFCALTKAATNGLLLKGGDYIETFSGIKTVAFDKTGTITRGEFTVTDFSLVDDISIETLLYWVSSIESKSSHPMAAALVEYGMSNSVKPIPENVENFENFAGEGVFGTIDGKDIYIGNRRIGARADSERVDCHLQSQSHEISTQKRYCGPTLVGVFSLVDTCRSGALEAIEELNLLGVRSVMLTGDSTQAAMYVQSQLNHALDIVHAELLPAEKAVIIENLKKEGLTAMIGDGINDAPALATADIGISMGISGSALANETGNAILMSNDIQKIPETIRLARKTTRKLIENVIISVGFKSAILALAIAGYPIVWLAVLTDVGTCLLVILNSMLILQEKPKYARKSASSKYGTFSEDLTMTLLDNESSSIKKQGLLTGEKCGKGCCKNDTYQVETTSKNESSGLLKLSKRNQSGNSVSIEVHIVKPCNGCLRKVKTFDDSACRTNNGSGCCQEQSKTEKCVTGSDAREDASIASLESDCLKDKSEDILELSETEAIPKCCNKTCCNDSFKNMTSLTQPEIIIE >Vigun10g194700.1.v1.2 pep primary_assembly:ASM411807v1:10:40844962:40848707:-1 gene:Vigun10g194700.v1.2 transcript:Vigun10g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSSWDALRKQARKLEAQLDEQMNSYRKMVSANASSKADASESDLESWIERLLKQLQQVNAQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLLAKQEHASLLEDFKEFDRTRLDLEQGVDTEQHALLKERASISRNTGHMDNVISQAQATLGALVFQRSTFGGINSKLGNVSSRLPTVNTILSAIKRKKSMDTIILSLVAAVCTFLIFIYWLSK >Vigun02g078300.3.v1.2 pep primary_assembly:ASM411807v1:2:23056626:23066679:1 gene:Vigun02g078300.v1.2 transcript:Vigun02g078300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGSFPFSKFPNSENNAPAENRTSEIYVECALFIDGAPFGLPTRTRLESAGPPFCWNELITLTTKYRDLTAQSQLTFTVWDLSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTSPTTTPGKVPKLERGELERLEKLVNKYERGQIQRVDWLDRLTFKAMERIKERESLKNGSSHYLVVDFCSFEHRVVFQESGSNFLLPSPIASTNDIVIVWDQEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVSDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALCNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKMAAGVNGEEDGFKQWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLSPGVLIIGIVPSESSIFKSALHPLRLTFRTTNGGTSKIIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLALILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRNDGGLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >Vigun02g078300.4.v1.2 pep primary_assembly:ASM411807v1:2:23056626:23066679:1 gene:Vigun02g078300.v1.2 transcript:Vigun02g078300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGSFPFSKFPNSENNAPAENRTSEIYVECALFIDGAPFGLPTRTRLESAGPPFCWNELITLTTKYRDLTAQSQLTFTVWDLSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTSPTTTPGKVPKLERGELERLEKLVNKYERGQIQRVDWLDRLTFKAMERIKERESLKNGSSHYLVVDFCSFEHRVVFQESGSNFLLPSPIASTNDIVIVWDQEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVSDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALCNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKMAAGVNGEEDGFKQWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLSPGVLIIGIVPSESSIFKSALHPLRLTFRTTNGGTSKIIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLALILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRNDGGLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >Vigun02g078300.1.v1.2 pep primary_assembly:ASM411807v1:2:23056626:23066679:1 gene:Vigun02g078300.v1.2 transcript:Vigun02g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGSFPFSKFPNSENNAPAENRTSEIYVECALFIDGAPFGLPTRTRLESAGPPFCWNELITLTTKYRDLTAQSQLTFTVWDLSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTSPTTTPGKVPKLERGELERLEKLVNKYERGQIQRVDWLDRLTFKAMERIKERESLKNGSSHYLVVDFCSFEHRVVFQESGSNFLLPSPIASTNDIVIVWDQEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVSDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALCNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKMAAGVNGEEDGFKQWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLSPGVLIIGIVPSESSIFKSALHPLRLTFRTTNGGTSKIIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLALILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRNDGGLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >Vigun02g078300.2.v1.2 pep primary_assembly:ASM411807v1:2:23056626:23066679:1 gene:Vigun02g078300.v1.2 transcript:Vigun02g078300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEFRFFLSCDINLPVTFRIERLEGSFPFSKFPNSENNAPAENRTSEIYVECALFIDGAPFGLPTRTRLESAGPPFCWNELITLTTKYRDLTAQSQLTFTVWDLSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTSPTTTPGKVPKLERGELERLEKLVNKYERGQIQRVDWLDRLTFKAMERIKERESLKNGSSHYLVVDFCSFEHRVVFQESGSNFLLPSPIASTNDIVIVWDQEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVSDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALCNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKMAAGVNGEEDGFKQWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLSPGVLIIGIVPSESSIFKSALHPLRLTFRTTNGGTSKIIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLALILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRNDGGLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEASIHFFQDLINESVSALFPQMVETIHRWAQYWR >Vigun09g243200.12.v1.2 pep primary_assembly:ASM411807v1:9:41227037:41237605:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQTSVLLWIGHTRLILCAAYQCMELQSAISQVRKVTQQDMYAFCLMTWRLAYPFSSVDLWTMLPIKLKEMSAMLAKQVSYHTFLGVQEVTYGGAGRNCPKSYVVLSNLSVYGGPMTKLLKAGTSALLGVVMG >Vigun09g243200.8.v1.2 pep primary_assembly:ASM411807v1:9:41224330:41237605:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRSSRSNIWRCRKKLSKILRSFVQLICIWRPNDKVAESRHISFIRGRHGLATKRKTVKQCGKQLNRNQPAKQCQNGRRTKNPKPNQQGESCCKKDLLESSLEVIDNEEYYRRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.11.v1.2 pep primary_assembly:ASM411807v1:9:41224369:41237605:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.7.v1.2 pep primary_assembly:ASM411807v1:9:41224330:41237605:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRSSRSNIWRCRKKLSKILRSFVQLICIWRPNDKVAESRHISFIRGRHGLATKRKTVKQCGKQLNRNQPAKQCQNGRRTKNPKPNQQGESCCKKDLLESSLEVIDNEEYYRRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.1.v1.2 pep primary_assembly:ASM411807v1:9:41224330:41239642:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACQLAVEGTKQKVILCIRTVKTHGTWGKSTKIRQMAKPRVLALCTKAKGQRTKAFLRVLKYLSGGVLEPAKLYKLKHLSKMEVVTNDPSGCTFTLGFDNLRNQSVAPSQWTMRNTDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRSSRSNIWRCRKKLSKILRSFVQLICIWRPNDKVAESRHISFIRGRHGLATKRKTVKQCGKQLNRNQPAKQCQNGRRTKNPKPNQQGESCCKKDLLESSLEVIDNEEYYRRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.10.v1.2 pep primary_assembly:ASM411807v1:9:41228845:41239633:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACQLAVEGTKQKVILCIRTVKTHGTWGKSTKIRQMAKPRVLALCTKAKGQRTKAFLRVLKYLSGGVLEPAKLYKLKHLSKMEVVTNDPSGCTFTLGFDNLRNQSVAPSQWTMRNTDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRI >Vigun09g243200.5.v1.2 pep primary_assembly:ASM411807v1:9:41224369:41235257:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFALIIYALQVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.4.v1.2 pep primary_assembly:ASM411807v1:9:41224369:41235653:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.6.v1.2 pep primary_assembly:ASM411807v1:9:41224369:41239631:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACQLAVEGTKQKVILCIRTVKTHGTWGKSTKIRQMAKPRVLALCTKAKGQRTKAFLRVLKYLSGGVLEPAKLYKLKHLSKMEVVTNDPSGCTFTLGFDNLRNQSVAPSQWTMRNTDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.9.v1.2 pep primary_assembly:ASM411807v1:9:41224369:41237605:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun09g243200.2.v1.2 pep primary_assembly:ASM411807v1:9:41224331:41239641:-1 gene:Vigun09g243200.v1.2 transcript:Vigun09g243200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACQLAVEGTKQKVILCIRTVKTHGTWGKSTKIRQMAKPRVLALCTKAKGQRTKAFLRVLKYLSGGVLEPAKLYKLKHLSKMEVVTNDPSGCTFTLGFDNLRNQSVAPSQWTMRNTDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPAVSAQSNEHGGASIASSVNESELKVYVEKELVSQAEEEDIEALLGTYIMGVGEAEAFSERLKRELMALEAANVHAILESEPLIDEVLHGLESATNCLEDMNEWLGMFNVKLRHMREDIESIETRNNKLEMQNVNNKSLIEELDKLLEQLSIPAEYSACLTGDSFDEAEMQQNIEACEWLTTAMRGLEKHIDPTYVKMRGVKEKRGELQIIKSTFVGKASEYMRNYFATFVDFMLNDKNYFSQRGQLKRPDHADLRFKCRTYARLLKHLKILDKNCLGPLRKAYCSSLNLLLRKEAREFANELRAGVKTSKTPSVWLDVFTGSGQNVNAADTATVSDAYAKMLTIFIPLLVDESVFFAHFLCFEVPSTVNGNKTTHNNEDDDGVASGRMAVDLASLNEALQDLLDGLQEDFGSVVDWAYKIDPLCCISMHGTTERYLSGQKGDAAGYVRVLLDDLEARISFQFGRFVDDASHQIERNERNARQTGVLPYIPRFAALATRMEQFVAGQSRDLVDQAYTKFVSIMFVTLEKNAQADPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISVIIYYQFERLFQFARKIEDLILNNVAPEEIPFQVGLSKADLRKTLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKDFLDKYETFVQLVAKIYPAESVPSVAELRDLLASM >Vigun03g401500.1.v1.2 pep primary_assembly:ASM411807v1:3:60860698:60868248:1 gene:Vigun03g401500.v1.2 transcript:Vigun03g401500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTPAAVATPLSKMQRNLSATPGGSKVREEKIRVTVRMRPLNRKEQAMYDLIAWDCLDEHTLVFKNPNQERPATPYTFDKVFAPACSTQKVYEEGAKDVALSALSGINATIFAYGQTSSGKTFTMRGVTESAIKDIYEYIKNTPERHFILRISALEIYNETVIDLLKRESGPLRLLDDPEKGTIVEKLNEEVAKDGQHLRHLIGICEAQRQVGETALNDKSSRSHQIIRLTVESSLRESSGHVKSYIASLNFVDLAGSERISQTNTCGARMKEGSHINRSLLTLASVIRKLSGGKRGHIPYRDSKLTRILQSSLGGNARTAIICTISPSLSHVEQTRNTLAFANSAKEVINTARVNMVVSDKTLVRQLQKEIARLEGELRSPDLSSSSCLRSLLVEKELKIQQMEKDMENLRRQRDLVQSQLDLERRANKVPKGSNDYGPSSQVVRCLSFPEEKESANGKCTPEKREAVGRQAMLKSLLASPDPSILVGEIRKLENRQLQLCEDANRALEVLHKDFATHKLGDKETAETMSKVLSEIKDLVAASSTPEEIVAADKADLMEKITQLKNQGNTIASLERKLENVQKSIDKLVSAFSSEETPENKTPLRRKKILPFSLTNSPHMQHIIRAPCSPLSSSRKTMEHEIENRAPESNIGVTGSDTFPKLNKDTPRKHDESCDSILSREGSPATRQSKSVNVKKIQKMFKNAAEENIRSFRVYVTELKELVAKLHYQKQLLVCQVLELEANKSLNEGCGTPDRSSPLPWHILFEQQRKQIIMLWHLCHISLVHRTQFYLLLGGDPSDQIYMEVELRRLTWLEQHLAELGNASPALLGDEPASTVSASIRALRQEREYLAKKVNKLTAEEREVLYAKWEVPPVGKQRRLQLVNKLWTDPYNMQHVQESAEIVAKLIDFSVSDENSREMIELNFSSPFNKKSWAGWNFISNLLNF >Vigun03g320600.2.v1.2 pep primary_assembly:ASM411807v1:3:51498434:51501941:-1 gene:Vigun03g320600.v1.2 transcript:Vigun03g320600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTLLAAILKLLIIFLFAGWVVLWLLKPTQIWTRKWKQLEDSANNTIFGYYGLSFAVYTFPVIAIAIIGLLLLDLKAGYQSSARSPSETNFFSNPLVVNSTLGILSSIEILAAFLFIVFLAWTYYSRISSDFKKLVPYKSLKLNTWQLKYHRVATRFGLLSEACLALLLLPILRGLAVFRILGIQFEASVRYHTWVGTAMILFATIHGASTLLVWGVSHHIQDEIWKWQKTGRIYLAGEIALLVGLVIWVTSLPQIRRRKFEIFYYTHHFYVIFLVFFLFHGGDRHFYTVFPGIFLFSLDKLIRIIQSSPKTCMVSARIFPGKAVELILPKDPGLKYNPTSVIFLKIPTISHLQWHSFSIISSSRADNHILSVIVKCEGWWTNSLYDLINAELDKTEDKRKGIPVAIEGPYGPASLDFLRYDTLLLVAGGSGITPFLSILTEANSAASKSRFPSRIHLVHVIKKAQDFCLLQSISHLLLDQSTKSFHLNLKLFITRETQTGVGMRELLNEFSKARTLRVNSVCSNYAAYGPESPSWMAAIAGFCSITFLIFLICFNHIIIPSGKRSKMAKEKTPSWVVDLLLIAAFVLALACSASVAGFLRWRRLRKGIPQISHREIEALDLYSDEARNALEAHEVYFGGRPNFKEIFGKLRNESDGSNIGVLVCGPESMKESVALACQQESECFNAKRTESCFTFHTLNFTL >Vigun03g320600.3.v1.2 pep primary_assembly:ASM411807v1:3:51498434:51501941:-1 gene:Vigun03g320600.v1.2 transcript:Vigun03g320600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTLLAAILKLLIIFLFAGWVVLWLLKPTQIWTRKWKQLEDSANNTIFGYYDGSYFSAGLSFAVYTFPVIAIAIIGLLLLDLKAGYQSRSARSPSETNFFSNPLVVNSTLGILSSIEILAAFLFIVFLAWTYYSRISSDFKKLVPYKSLKLNTWQLKYHRVATRFGLLSEACLALLLLPILRGLAVFRILGIQFEASVRYHTWVGTAMILFATIHGASTLLVWGVSHHIQDEIWKWQKTGRIYLAGEIALLVGLVIWVTSLPQIRRRKFEIFYYTHHFYVIFLVFFLFHGGDRHFYTVFPGIFLFSLDKLIRIIQSSPKTCMVSARIFPGKAVELILPKDPGLKYNPTSVIFLKIPTISHLQWHSFSIISSSRADNHILSVIVKCEGWWTNSLYDLINAELDKTEDKRKGIPVAIEGPYGPASLDFLRYDTLLLVAGGSGITPFLSILTEANSAASKSRFPSRIHLVHVIKKAQDFCLLQSISHLLLDQSTKSFHLNLKLFITRETQTGVGMRELLNEFSKARTLRVNSVCSNYAAYGPESPSWMAAIAGFCSITFLIFLICFNHIIIPSGKRSKMAKEKTPSWVVDLLLIAAFVLALACSASVAGFLRWRRLRKGIPQISHREIEALDLYSDEARNALEAHEVYFGGRPNFKEIFGKLRNESDGSNIGVLVCGPESMKESVALACQQESECFNAKRTESCFTFHTLNFTL >Vigun03g320600.1.v1.2 pep primary_assembly:ASM411807v1:3:51498434:51501941:-1 gene:Vigun03g320600.v1.2 transcript:Vigun03g320600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTLLAAILKLLIIFLFAGWVVLWLLKPTQIWTRKWKQLEDSANNTIFGYYGLSFAVYTFPVIAIAIIGLLLLDLKAGYQSRSARSPSETNFFSNPLVVNSTLGILSSIEILAAFLFIVFLAWTYYSRISSDFKKLVPYKSLKLNTWQLKYHRVATRFGLLSEACLALLLLPILRGLAVFRILGIQFEASVRYHTWVGTAMILFATIHGASTLLVWGVSHHIQDEIWKWQKTGRIYLAGEIALLVGLVIWVTSLPQIRRRKFEIFYYTHHFYVIFLVFFLFHGGDRHFYTVFPGIFLFSLDKLIRIIQSSPKTCMVSARIFPGKAVELILPKDPGLKYNPTSVIFLKIPTISHLQWHSFSIISSSRADNHILSVIVKCEGWWTNSLYDLINAELDKTEDKRKGIPVAIEGPYGPASLDFLRYDTLLLVAGGSGITPFLSILTEANSAASKSRFPSRIHLVHVIKKAQDFCLLQSISHLLLDQSTKSFHLNLKLFITRETQTGVGMRELLNEFSKARTLRVNSVCSNYAAYGPESPSWMAAIAGFCSITFLIFLICFNHIIIPSGKRSKMAKEKTPSWVVDLLLIAAFVLALACSASVAGFLRWRRLRKGIPQISHREIEALDLYSDEARNALEAHEVYFGGRPNFKEIFGKLRNESDGSNIGVLVCGPESMKESVALACQQESECFNAKRTESCFTFHTLNFTL >Vigun05g124300.1.v1.2 pep primary_assembly:ASM411807v1:5:13866268:13866724:1 gene:Vigun05g124300.v1.2 transcript:Vigun05g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCCHPFLFGVLFVALVLTAGTGTVAGSGGVAKGVVCIKNCFPDCYYDCLRKGFVEGFCVRKGADSSCCCK >Vigun05g047900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4059409:4060674:-1 gene:Vigun05g047900.v1.2 transcript:Vigun05g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVTPTSQPNTSPSFSKPNFNPNFSHATTPTLPFNKSQLAWDRLKTDSVECNSPRLGHKWTQYQGINHWEGLLDPLDDNLRAEILRYGHFVESAYRAFDFDTNSETYATCRFPETSLLPQTGMRKSGYRVTRNLHATCGVQLPNWVSSVSQLPRVQSSWIGYVAVCEDEKEITRLGRRDVVIALRGTATCLEWLENLRVTLTKLPNHMGCGSENCMVENGFLSLYVSKTGACPSLQDMVREEVARVIQSYGQEPLSISITGHSLGAALAILSAYDITTTFKNSPMVSVVSFGGPRVGNDKFRAQLEKSGTRILRIVNSDDVITKVPGLVVRDDDMSCNGHVHVAGLQSWFRKIVQDMQLVYADVGQELRVSSRESSYLKKGDVATCHDLKTYLHLVDGFVSSSCPYMSKTTRYPAEASL >Vigun04g131700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32980980:32982437:1 gene:Vigun04g131700.v1.2 transcript:Vigun04g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun07g027300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2486368:2487312:1 gene:Vigun07g027300.v1.2 transcript:Vigun07g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSATSKALRAKVASFEEPQAQYVVVSMQRKHSTNPEVVSVRAYPTEEQGEKPLCDDHTFSDYIQRTKYKIRSNSNIGCNEDQRDPAHADHVAPATNKNQNEDPFSDYIQNARKKLRMRTLSRNVYSFKRG >Vigun09g097201.1.v1.2 pep primary_assembly:ASM411807v1:9:14839182:14841357:1 gene:Vigun09g097201.v1.2 transcript:Vigun09g097201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRVSDDKTNRSGRRGMVLPFQPLSLTFDEIKYSINMPREMKQQGVFEERLELLKVVSGVFRPGVLTALMGVSGAGKTT >Vigun03g130500.1.v1.2 pep primary_assembly:ASM411807v1:3:12692985:12698708:1 gene:Vigun03g130500.v1.2 transcript:Vigun03g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLRTKSFAFIEGEAEFVSALQWWNRIDDSDQWQRGTYYALCAAYTLVSFIALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGLRAVLFGLYKSVFSIRPKALEQVLMELPGLLFFSTYTLLVLFWAEIYHQARSEPAQKLRPAYFIINGFIYLVQICLWIYMSASKTATGLEAAELFLSVSSFFAALGFLLYGGRLFFLLRRFPIESRGRQKKLYEVGSVTSICCTCFLIRCALLAFSVFGKNTDLDVLNHPILNLVYYLMVEIVPSALVLFILRKLPPRRVSDQYHPIR >Vigun04g175200.1.v1.2 pep primary_assembly:ASM411807v1:4:39937803:39942088:1 gene:Vigun04g175200.v1.2 transcript:Vigun04g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSRSSSFTYDVFLSFRGEDTRHGFTGHLYKALHDRGIYTFIDDEELQRGEEITPALLKAIQESKIAIIVLSMNYASSSFCLDELDCILECYNKKDMLVLPVFFKVDPSDVRDQKGSYGEALVKHEQRFNHNMEKLEKWKKALRQVADVSGFHLKHGDGYEHEFIGRIVELVSSEINHAALPVVDYPVGLESQALEVSKLLEVGSDDDVCMVGIHGIGGIGKSTLALAVYNLIAHHFDCSCFLQNVRQKSNKHGLQHLQSILLREMLGEKEVNFASIEQGASVIHHRLQRKKFILILDDVDKYGQLQAIVGRPDLLGPGSRVIITTRDKQLLSSYGVTKTYEVRVLNKNNALDLLSWKAFKTKNIDASYKEVLNDVVIHASGLPLALEVIGSNLFGKTIEEWKSAIKQYKRIPNNQIMEILRVSFDYLEEEEKSVFLDICCLNIYALSKLENLLHAHYGYCMKYHIGVLVDKSLIKFNCYRQETRISLHSLIEDMGKEIVRQESPKYPGKRSRLWLPEDIIQVLEDDKGSSEIEIICLDLPEFVEEAIVELNSKAFKKMENLKTLILRNANFSKGPKYLPNSLRVLEWWGYPSHCLPSDFHPKKLVICKLPRSSISTLELSKSFVNLRELNFDGCKCLTEIPDISVLQNLEELSFEDCVNLITVHNSVGFLDKLKTLSANGCRKLRTFPPIKLTSLEKLELSHCSSLESFPEILIKMENIRELHLCYSLVTELPLSCQNLTRLRTLEMFSLNSAIVKVPSSIIMMPELTDIFVCGLKGLQWLKQEEGEEQMGQVVVPSKVERLSVLSCNLNDDFFSIDFTRFALVKELALPENNFTILPECLKQCQFLWILDVSGCKHLQEIRGIPPNLRHFFAIKCISLASSSKRMLLNQELHEARNTVFCLPGAELPKWFNHQNRGTSISFWFRNKFPDKVLCVLVAPIENDFFRSRVFINGKAYTGYYSHHHLTGEHHVYLFDMREELRFRDSPYEVPFESEWNHAKVTFPEGIDTSINHAKIGIHIVKQKNSMEDVKFTDPCSKTKSDEDINSSDSQSTSY >Vigun03g222032.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36859182:36863620:1 gene:Vigun03g222032.v1.2 transcript:Vigun03g222032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGVEVRVIRGMKYEGSASASGKVYVYDGVYKITGYWFEVGRSGFGVYKFKLSRIQGQAKMGTAIFMEARSIRKGEMDSNTVHCLSADISNKKENVPVRLFNDIDDDRDPLNYEYLARTSFPQFVFHQSGKANGCECVNGCDDGCLCARKNGGDFPYTLQGHLVKGKPLIFECGPFCACPPQCRNRVSQKGLKYRLEVFRSLQTSWGVRSLDLIQAGTFICEFAGVVLTREQAQLFAMNGDSSIYPNRFSERWAEWGNLSQVDPKYVRPSHPSIPPLDFSLDVSTMRNVACYISHSSSPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun05g189001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36726098:36731250:1 gene:Vigun05g189001.v1.2 transcript:Vigun05g189001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDRERPHRSTSSNSSSTSELFVCFTSRLSSSSMKLSSKSILSPSRSRDPPQISLSSSVSRRLKSNGSMKGGQASPMFPTAGKRRGCGFENPEPSSPKVTCIGQVRVKTKKQGKKIRARSKRRGEASFRKGEQGGANANGNANPNADLTRQNSQGFQHHQNCLKHRNQRWVHLPLTICEALREFSCFFPCRSSCMSSEKEKEKGGGVEGGGLVREGSCGNGLGRWLVALQDGDGKGRGIELVMEEEMEDGRERGERSRSQRRHVFEDIDVDLVVGEEEEKKNDEVVGEEEEKARVSICIPPKNALLLMRCRSDPVKMAALANRFWESPVHKTKCQEEEKEGEEHDEEDIVDEDEQEVEDDDTQQPIKVKEDEQQVEVEEEEEEEVERETIEDTICQRETETMVVVSEEEEEEAGKESYEVESVGNIESREFHEVKEEEEKSDQEANENAIEKGETLTHSEAHSDLHNLKTEGKEVNLQEAIEVRENNESSELSSTPETFTASEPTPETFTASEPENDDGEPETEAVTTETSEGSTEEEEEKVTTETETQEPTRDPNSDPQSKERENGSKCEDRERETLPECLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERPAAAGGGKRLNGETFTKTKPKPKPSPPLMQPPRSSCSFPAMGGAAGVSMATMIEQKLVGSKSGNGYEPFVLTRCKSEPMRSSAKLAPEACFWNNRKLEPHPPAAQLGVGAPAGVGF >Vigun04g000600.1.v1.2 pep primary_assembly:ASM411807v1:4:73935:79209:-1 gene:Vigun04g000600.v1.2 transcript:Vigun04g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGQGGGGGGGLELKQRIVCALNKVGDRDTQQIGMEELDRVAQGLRPEGIWSFLSCILETEWEQKASIRKECVRLMGTLVTHHDTLLLPHLPKMVASIVKRLRDPDSVVRDVCVNTVALLASKLGHALSHKDKVFLLLVKPIFEALGEQNRHVQSASALCLARIIHNTHHPPLSLLHKMLLRTLKLLKNPHFMAKPALLDLTKTLIQAGGAPTQNILSAAIGSIQDALKHSDWTTRKAASVALAEIALGGASFLGCFRASCVQSLESCRFDKVKPVRDVVLQALKYWTSLPAPDTPDPSETGSSLKENIYRGDSSDLSSTTESRQKDVGLQKVNMKSTMGRIPLSVKKTCQNYTRNTQHKPDDWHIEVAVPRPHPVVEFQNEESESCSVSKPLETMSADVSSMQDVGYEYVPMDDNQECSSVSNLATDNFETKFLTAHDCFINSGVQKPIARSQRYGEEICSNEQVYSVKMQHPSSSDSTITEPCRQTTHECCMQMANEMTCIQNQLSDIEIKQANMIHQLQMFSTGIMDALSTIQSRMTGLENAFDRLSRESLKGGRHSYSETSKLGKHNEIVASPRFSICTPRPSVEINNKQSGSVSVKNSESWEKKTFSRSQPGIHSGDSSDIWKSYKVKAGRKGTEKDVLNSSVKDATRIGLVKNDGIISASRTTNARNGWSESNTNCWKHVKRLVCEGDLNSAYMEALCFNDEFILVELLNTTGPVVESLSVKTINALLSTLASHLLEGKLFSMIIPWLQQIVEMSTIHGPNCIAISIEAKEHLLSAIQEAVNLHIFNHSERRRAAELAMKLHHIWGKTAED >Vigun04g014700.1.v1.2 pep primary_assembly:ASM411807v1:4:1057989:1062917:-1 gene:Vigun04g014700.v1.2 transcript:Vigun04g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAPSSPPSNSTAPPPSTPSAPPPATPSAPPPATPSSPPPSPPSSPPPATPSAPPPATPSSPPPSTPSTPSAPPPSTPSTPSPPATTPPSSSPPSPPSGSPPSPPSGSPPSPPSGGGGGGSTPSPPSRSSPSPPSGSSPTTPSPPPSSSSSGISTGVVVGIAVGAVAVLVVLSILCICCRKKKRRRDEEYYAPPPQQPPRGPKDDYGGPPRQWQHNVPPPQDHVVSMMPPKPSPPPVPAYAAQPPPPPLPFISSSGGSGSNYSGGEPLPPPSPGISLGFSKSTFTYEELARATDGFSDANLLGQGGFGYVHRGILPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCITGSQRLLVYEFVPNNTLEFHLHGRGRPTMDWPTRLRIALGSAKGLAYLHEDCHPKIIHRDIKSANILLDFKFEAKVADFGLAKFSSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELITGRRPVDKTQTFMEDSLVDWARPLLTRALEEDDFDSIIDPRLQNDYEPNEMARMVACAAACTRHSAKRRPRMSQVVRALEGDVSLADLNEGIKPGHSTMYSSHESSDYDTVQYKEDMKKFRKMALGTQEYGASSEYSAATSEYGLNPSGSSSEAQSRQTTREMEMRKMKNSQGFSGSS >Vigun09g032900.2.v1.2 pep primary_assembly:ASM411807v1:9:2832261:2838110:1 gene:Vigun09g032900.v1.2 transcript:Vigun09g032900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEFHGGVLMLLFLWVSGVTASVTYDHKAIVIDGKRRILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVRFVKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTAKIVSLMKAEGLFQSQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWVMCKQEDAPDPVIDTCNGFYCENFKPNKNTKPKMWTENWTGWYTDFGGAVPVRPAEDLAFSVARFIQNGGSFVNYYMYHGGTNFGRTSGGLFIATSYDYDAPLDEYGLQNEPKWGHLRALHKAIKQSEPALVSTDPKVTSLGYNLEAHVFSTPGACAAFIANYDTKSSAKATFGSGQYDLPPWSISILPDCKTVVYNTARVGNGWVKKMTPVNSAFAWQSYNEEPASSSQDDSIAAQALFEQVNVTRDSSDYLWYMTDVYINGNDLKNGQSPVLTVMSAGHLLHVFINGQLSGTVYGGLGNPKLTFSDNVNLRVGNNKLSLLSVAVGLPNVGVHFETWNAGVLGPVTLKGLNEGTRDLSRQKWSYKVGLKGEALNLHTESGSSSVEWIRGSLVAKKQPLTWYKATFSAPAGNDPLALDLGSMGKGEVWVNGRSIGRHWPAYIAHGSCNACNYAGYYTDQKCRTNCGKPSQRWYHVPRSWLNSGGNSLVVFEEWGGDPNGIALVKRT >Vigun09g032900.1.v1.2 pep primary_assembly:ASM411807v1:9:2832261:2838110:1 gene:Vigun09g032900.v1.2 transcript:Vigun09g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEFHGGVLMLLFLWVSGVTASVTYDHKAIVIDGKRRILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVRFVKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTAKIVSLMKAEGLFQSQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWVMCKQEDAPDPVIDTCNGFYCENFKPNKNTKPKMWTENWTGWYTDFGGAVPVRPAEDLAFSVARFIQNGGSFVNYYMYHGGTNFGRTSGGLFIATSYDYDAPLDEYGLQNEPKWGHLRALHKAIKQSEPALVSTDPKVTSLGYNLEAHVFSTPGACAAFIANYDTKSSAKATFGSGQYDLPPWSISILPDCKTVVYNTARVGNGWVKKMTPVNSAFAWQSYNEEPASSSQDDSIAAQALFEQVNVTRDSSDYLWYMTDVYINGNDLKNGQSPVLTVMSAGHLLHVFINGQLSGTVYGGLGNPKLTFSDNVNLRVGNNKLSLLSVAVGLPNVGVHFETWNAGVLGPVTLKGLNEGTRDLSRQKWSYKVGLKGEALNLHTESGSSSVEWIRGSLVAKKQPLTWYKATFSAPAGNDPLALDLGSMGKGEVWVNGRSIGRHWPAYIAHGSCNACNYAGYYTDQKCRTNCGKPSQRWYHVPRSWLNSGGNSLVVFEEWGGDPNGIALVKRT >Vigun06g159600.1.v1.2 pep primary_assembly:ASM411807v1:6:28233867:28236819:1 gene:Vigun06g159600.v1.2 transcript:Vigun06g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGLTLDTSSSQSLSLLPSKQPSTSLTKLTGDTMFPLLGFPVNLSRPAAKDDEHNRKVLGEVDFFSDRLTKPTSPPSHDHHVKPNIVKKEIVETPLHVNTGLQLLTANTGSDQSTVDDGVSSDAEDKRAKTTELAQMQVELQRMNAENKKLKEMLSHVTGNYTALQMHLMTLMHQNQRTVNTENEVVQGKAGDKNDGVGGGKVPRQFLDIGPSGAAEADDQVSDSSSDERTRSSTPQNNNIEVGTRDGARNVNAKRELGREESPDSESQGWATNKLQKVNPSNAIDQSTTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILVTTYEGTHNHPLPPAAMAMASTTTAAASMLLSGSMSSADGIMNPNLLARAIIPCNSSMATLSASAPFPTVTLDLTHNPNPLQFQRAATPFQVPFLQGQPQNFGSGATPIAQAQALYNQSKFSGLQLSQEVGSSQLAAQASRPPLQPSQQPSSADTVSAAAAAITADPNFTAVLAAAISSIIGGAHNANNNTNNNNSTSRTTISSFSGN >Vigun10g070984.2.v1.2 pep primary_assembly:ASM411807v1:10:17231615:17243961:-1 gene:Vigun10g070984.v1.2 transcript:Vigun10g070984.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPEMYDVLINFTGEDIRIKFVSHLDSALTSVGLTTLLHHDNVVKSMHIQQPILNRCRVTIVVFTKTYSESVWCLHQLQQIIEWHQTYCRHVLPVYYEIQPSDVRLQKGDFGKAFKATAQQTFSPQQMEHGMSGWRAITGKNPEVDFILSFSSEQLIFFLYFVRSNFFHALFYRIATCLIWFTATDLTSGFKSRFQTDSRVFFAVQLNWLQIKISKSCTSLFRFTT >Vigun10g070984.1.v1.2 pep primary_assembly:ASM411807v1:10:17231615:17243961:-1 gene:Vigun10g070984.v1.2 transcript:Vigun10g070984.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPEMYDVLINFTGEDIRIKFVSHLDSALTSVGLTTLLHHDNVVKSMHIQQPILNRCRVTIVVFTKTYSESVWCLHQLQQIIEWHQTYCRHVLPVYYEIQPSDVRLQKGDFGKAFKATAQQTFSPQQMEHGMSGWRAITGKNPEVDFILSFSSEQLIFFLYFVRSNFFHALFYRIATCLIWFTATDLTSGFKSRFQTDSRVFFAVQLNWLQIKISKSCTSLFRFTT >Vigun01g108800.1.v1.2 pep primary_assembly:ASM411807v1:1:28128707:28131066:-1 gene:Vigun01g108800.v1.2 transcript:Vigun01g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAESQFHVLAVDDSIIDRKLIERLLRTSSYQVTTVDSGSKALEFLGLRENQNTNPSTPSVSPNYNRHQEVEVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDLNKLKPHMKKTKLKDERQGTAQEIENSEVLQQQDQQQEAPKPQDPQPESESHPQPTIEQQQQSLQQPNNNKRKTMEQGLSPETDRTRPRYSGIATVV >Vigun07g280700.2.v1.2 pep primary_assembly:ASM411807v1:7:39557721:39566541:1 gene:Vigun07g280700.v1.2 transcript:Vigun07g280700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSSLEKGGAGDENRGENGGVEVSTSVQGVKKKRGRKSKQEKYEMEMKLLGENLLEKNGENSRVGCSSVQGAEKKRGRRIKKENEDMDSKISDGSLLEKNGDGDVSHGERDGVGGSSSLQEGVRDGGNGGVHVKRPGRKRKVVKEGDAEFEMSVVLSGSGSGNGKQHNLRTRKVKIEAVKPEKSKRDKKSVEQSLMCHQCQRNDKGRVVRCSKCKRKRFCIPCILNWYPHLKEDYIAEACPVCRGNCNCKACLRSDVLIKEMKNKTSTNENEKVEVSTCLLQVLLPYLRLLDEEQMIENETEAKIQGISVSELKVVHADYCKDERVYCDNCKTSIFDYHRSCTECSFDLCLICCRELRSGQLVGGADPIMLEFSFRGREYLHGGEETVNQTELNDAAKPLIREWSRAGWHAESNGSIPCPKASDKCCHGFLELRSVLGQHFITDLLCKANGLAQTFKHGTPDKICLCSRPDRNANYDMRKAASRADSSDNYLYCPKAVQLQDEDLGHFQWHWEKGEPVIVSHVIDCTSGLSWEPLVMWRAFRQMTNTKHEQHLDVKAIDCLDFCEVEINIHQFFIGYTKAFGDWLNWPRILKLKDWPPSNLFEERLPRHCAEFISSLPFKEYTDPLGGCLNLAVKLPKECLKPDMGPKTYIAYGFPEEFGRGDSVTKLHCDMSDAVNVLTHIAEVKLEPGHLSAIEKLKQKHFEQDKRELHSDHDRETNVDKVKTMPESDLSFAGDGSEGALWDIFRRQDVPKLQEYLWKHFREFRHIHCRPLEQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPISHGSAEDKLEVKKMTIYAMQDVITKLEKARMEVNIPLTDKEEEVEE >Vigun07g280700.1.v1.2 pep primary_assembly:ASM411807v1:7:39557721:39566541:1 gene:Vigun07g280700.v1.2 transcript:Vigun07g280700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRRRGNNTVTIPEDSNPTQQNTQSLDFNGECEADQSKAVNFTETIDDGAVRFSSIAEGVKGKGGRRSEKEEGEKTMLEGSSLEKGGAGDENRGENGGVEVSTSVQGVKKKRGRKSKQEKYEMEMKLLGENLLEKNGENSRVGCSSVQGAEKKRGRRIKKENEDMDSKISDGSLLEKNGDGDVSHGERDGVGGSSSLQEGVRDGGNGGVHVKRPGRKRKVVKEGDAEFEMSVVLSGSGSGNGKQHNLRTRKVKIEAVKPEKSKRDKKSVEQSLMCHQCQRNDKGRVVRCSKCKRKRFCIPCILNWYPHLKEDYIAEACPVCRGNCNCKACLRSDVLIKEMKNKTSTNENEKVEVSTCLLQVLLPYLRLLDEEQMIENETEAKIQGISVSELKVVHADYCKDERVYCDNCKTSIFDYHRSCTECSFDLCLICCRELRSGQLVGGADPIMLEFSFRGREYLHGGEETVNQTELNDAAKPLIREWSRAGWHAESNGSIPCPKASDKCCHGFLELRSVLGQHFITDLLCKANGLAQTFKHGTPDKICLCSRPDRNANYDMRKAASRADSSDNYLYCPKAVQLQDEDLGHFQWHWEKGEPVIVSHVIDCTSGLSWEPLVMWRAFRQMTNTKHEQHLDVKAIDCLDFCEVEINIHQFFIGYTKAFGDWLNWPRILKLKDWPPSNLFEERLPRHCAEFISSLPFKEYTDPLGGCLNLAVKLPKECLKPDMGPKTYIAYGFPEEFGRGDSVTKLHCDMSDAVNVLTHIAEVKLEPGHLSAIEKLKQKHFEQDKRELHSDHDRETNVDKVKTMPESDLSFAGDGSEGALWDIFRRQDVPKLQEYLWKHFREFRHIHCRPLEQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPISHGSAEDKLEVKKMTIYAMQDVITKLEKARMEVNIPLTDKEEEVEE >Vigun10g133300.1.v1.2 pep primary_assembly:ASM411807v1:10:34312118:34316614:1 gene:Vigun10g133300.v1.2 transcript:Vigun10g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWKAHMRVIRLVCGLLLVTLLNSEVAESRKSKTVGTSFEYKAINCRAYSASLTDFGGVGDGKTSNTKAFKSAISHLSEHASKGGSQLYVPAGKWLTGSFSLTSHFTLYLHKDAVLLASQDISEWPVIEPLPSYGRGRDAAAGRYTSLIFGTNLTDVIVTGDNGTIDGQGAFWWQKFHKKQLKYTRPYLIELMFSDKIQISNLTLLNSPSWNVHPVYSSNIIIKGLTIIAPVPSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDQYGIKFGLPTKQLVIRRLTCISPESAAIALGSEMSGGIQDVRAEDITAIHTESGVRIKTAVGRGGYVKDIYVKGMTLHTMKWVFWMTGSYGSHADSHYDPKALPEIKGINYRDVVADNVTMAARLEGISNDPFTGICISNVTINMAAKAKKQPWTCTDIEGTTSGVTPKPCSSLPDQGQQNINPCDFPTDDLPIDSLQLKKCVYLIKHA >Vigun03g337200.1.v1.2 pep primary_assembly:ASM411807v1:3:53536798:53538803:1 gene:Vigun03g337200.v1.2 transcript:Vigun03g337200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLLSKQDDHMDLPPGFRFHPTDEELITHYLYNKVIHTNFCARAIGEVDLNKSEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFRGKSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFSVHNLPKTAKNEWVICRVFQKSSAGKKTHISGIMRLDSFANELGSSALPPLSDSSPSIGNTKPLNESAYVPCFSNPINVQRNQEGVFDSLNNSIYGVSSNPLDFLPRMPPSASFYSSQGVQAVPNLTFPGSVYSLQDHTILRAMCENNGNGYKAERDMISVSQETGLTTDINPETSSNFDMGRRHFDNHNHPSVSVAPVDLDGLWNY >Vigun08g012100.2.v1.2 pep primary_assembly:ASM411807v1:8:1072287:1076416:1 gene:Vigun08g012100.v1.2 transcript:Vigun08g012100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHSQALMEMRSKKCALTLLALFALSTLTILFIRTSPDSCNTALLQLETSQTLTESSPEQNSDPLQFMKSKLVLMVSHELSLSGGPLLLMELAFLLRAARSDVVWITFQKPPQTDDVVYALENKMLDRGVQVLDGKDEKGVDVACKADMVILNTAVAGKWLDSVLKEKVSEVLPKVLWWIHEMRGHYFKREYVKHLPFVAGAMIDSYTTAEYWKNRTREKLGIKMPETYVVHLGNSKDLMEVAEDSVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLRAFYESLLLIKEKKLQVPSLHAVVVGSDMNAHTKLETELRQFVMEKNIQDRVHFVNKTLAVAPYLASIDVLVQNSQGTAAGGTMEIVVNETTGLLHPIGKAGVTPLAKNMMNLATHVERRLTMGKKGYERVKERFLEPHMA >Vigun08g012100.1.v1.2 pep primary_assembly:ASM411807v1:8:1072287:1076416:1 gene:Vigun08g012100.v1.2 transcript:Vigun08g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHSQALMEMRSKKCALTLLALFALSTLTILFIRTSPDSCNTALLQLETSQTLTESSPEQNSDPLQFMKSKLVLMVSHELSLSGGPLLLMELAFLLRAARSDVVWITFQKPPQTDDVVYALENKMLDRGVQVLDGKDEKGVDVACKADMVILNTAVAGKWLDSVLKEKVSEVLPKVLWWIHEMRGHYFKREYVKHLPFVAGAMIDSYTTAEYWKNRTREKLGIKMPETYVVHLGNSKDLMEVAEDSVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLRAFYESLLLIKEKKLQVPSLHAVVVGSDMNAHTKLETELRQFVMEKNIQDRVHFVNKTLAVAPYLASIDVLVQNSQGRGECFGRITIEAMAFRLPVLGTAAGGTMEIVVNETTGLLHPIGKAGVTPLAKNMMNLATHVERRLTMGKKGYERVKERFLEPHMA >Vigun09g161700.1.v1.2 pep primary_assembly:ASM411807v1:9:32920885:32947614:-1 gene:Vigun09g161700.v1.2 transcript:Vigun09g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTYPPFFALQRITSTDANANAFFVRSRPSVPPWPRLRRRRCAPALVAVASVKENLGRVQKRFTDLTSLNYWVVRDYYRLVNSVNAFEPQIQVLSDEQLAGKTSEFRRRLARGATLADIQAEAFAVVREAAWRKLRMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMNAEERRINYRCDITYTNNSELGFDYLRDNLAGNRDQLVMRWPKPFHFGIVDEVDSVLIDEGRNPLLISGEASKDAARFPVAAKVAELLKQGIHYKVELKDNSVELTEEGIALAEMALETNDLWDENDPWARFVINAIKAKEFYRRDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSIVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFRMPVIEVPTNLPNIRKDLPIQAFATARGKWEQVRREVEYMFRQGRPVLVGTTSVENSELLSGMLWEWNIPHNVLNARPKYAAKEAEVVAQAGRKHAITLSTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREDPNVELAGEAISQMVLPKITVGSSSMALLARTTLMAKYVSKSEGKSWTYQKAKSFILEAIEMNLSYSLEELEKLANEESETYPLGPTVALTYLSVLKDCEEHCLNEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISRITNDEDLPIEGDAIVKQLLALQINAEKFFFGIRKNLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHILQYMQAVVDEIVFNNIDPVKHPRSWGLSKLLKEFVTVGGKLLHESFEGISDHTLLNSLGLLNDVSSVDIVNFSLPNLPAPPNAFRGIRRKSSSLRRWLAICTDDLIGNGKYQTTSNLLRKYLGDFLIASYLNVVEESGYDERHAKEIERAVLLQTLDCFWRDHLVNMNRLSSAVNIRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLRYWTSPMESDELFLS >Vigun10g011600.1.v1.2 pep primary_assembly:ASM411807v1:10:1214981:1217403:1 gene:Vigun10g011600.v1.2 transcript:Vigun10g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVFFVLLFAGQVIGGFSTQKLPPSDNGNTLTILSIDGGGIRGIIPATVLDYLDQALKARDPNADLAHFFDVIGGSGTGALITAMLATPSPHDPTRAAFTPAQIVEFYKQNGPHVFNSSRPGNGPQFDGKFLHDITRELLKDTRLSQTLTNVVIPTFDIKTQKPVIFSNYKLEKYPYFNALMSDISISASAAPTLLPPYYFENDGVDFNLISGADLSMISNDLVNGNPTRATVSEVLRHNKYSKIVVLSLGTGTPETQQIFNVEMAATWNSQTWLIPLIIFLDRATTSMNEYYHGSLFRKPTINYLRIQEYELDPDLTDMINVAKENMEGLEETGKELLEEKVKKINLNTFCVEEGVGTNAEALDRLADILYGERQHRLKQKSMEKGGRPFLRVPSDKSEVKWAFLKNKVM >Vigun05g203200.2.v1.2 pep primary_assembly:ASM411807v1:5:39182204:39183456:1 gene:Vigun05g203200.v1.2 transcript:Vigun05g203200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYRCPKSKLHRNASYLYMLIWIEDVHQDNTHPLLTRHEKSRFLPHNFYVWMKNLEVMPWIWLITQLKGWKGLVFF >Vigun08g151600.1.v1.2 pep primary_assembly:ASM411807v1:8:32434441:32439858:1 gene:Vigun08g151600.v1.2 transcript:Vigun08g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNNGEGSEGGALRRVLYMWGYLPGALPQRTPLLTPVAVRFPPCSHSWNDVCGGGCGFAIAISGAGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASIVKAAAGWAHCVAVTEHGEVYTWGWKECIPSGRVFGEPSTGVGLEKDVPGIHSQLSTEQVSPRSQGSRSTGGTASNNSGEESTKRRRVSATKQTAESSSSSNDSLTAFPCLVTLNPGIRIASVAAGGRHTLALSDTGLVWAWGYGGEGQLGLGSRIRMVSSPHLVPCIDSSYYGKDRSATLVRGSMGSEGQSFRVPGSYIKRIACGGRHSAVITDAGALLAFGWGLYGQCGQGSTDDELSPTCVSSLLGIHIEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGADQAETIPRLVDSPSLENVHAKNISCGARHTALVTEGGRVFCWGWNKYGQLGLGDVIDRNVPSEVTIEGCVPKNVACGWWHTLLLAESPT >Vigun08g146600.1.v1.2 pep primary_assembly:ASM411807v1:8:31948788:31952362:1 gene:Vigun08g146600.v1.2 transcript:Vigun08g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCGVFSFRSVASHPPSSVMLSQPTVKASTFSSPLAPPIVKFNVRGKAFPGDGTPETKESPLVVCFGEMLIDFVPTVSGLSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGEDEFGYMLVDILKENNVNHEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEDELDLDLIRKAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSEDSAREGILSIWETADIIKVSEEEISFLTKGEDPYDDAVVRNLFHENLKLLLVTEGAEGCRYYTKEFSGRVKGLKVDAVDTTGAGDAFVAGILSQLAVNLSLIQKEEELRDSLKFANVCGALTVTERGAIPALPTKKAVLDAMLKPVS >Vigun08g183800.1.v1.2 pep primary_assembly:ASM411807v1:8:35322667:35324115:-1 gene:Vigun08g183800.v1.2 transcript:Vigun08g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHEKDVTIIPVPNFEGEWNQETRRATNYSMVFAQAQQNKKHKKMSMSKTKRRSLENEEEFAIAKHGQAPFHHAYAQEDLMRKKRIITYINCCIRPTIAIP >Vigun09g067700.1.v1.2 pep primary_assembly:ASM411807v1:9:7207498:7216349:1 gene:Vigun09g067700.v1.2 transcript:Vigun09g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVAASSAFSSILTAQFLIPSSSSSFFLRTLTRRSTAPSLRLSFTSRRPLHNLMAHASLGLTRPSNTETPKISFASKDVDVVQWKGDLLAIGVMEKDLARNAEARFENAILSSVDLKLGGLLAEASSEEDFTGKPGQSVVLRIAGGLGSKRVALLGLGASASTFAAFKSLGEAVAAAAKSAQAGHVAVSLASPDAFSVESKLNTASAIASGTVLGTFEDNRYKSESKKSALRSVDIIGLGSGPELEKKLKFAADLSSGIIFGRELVNSPANVLTPGVLAEEASNIAAAYSDVFTAKILDAEQCKELKMGSYLGVAAASANPPHFIHLCYKPPTGPVNVKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVFGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGAEKIVDLATLTGACIIGLGSSIAGVFTPSDDLAKEVFEASEASGEKLWRLPLEESYWESMKSGVADMVNTGGRPGGAITAALFLKQFVDEKVQWMHIDMAGPVWNEKQRSATGFGVATLVQWVLRNAS >Vigun07g209400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33089630:33090551:1 gene:Vigun07g209400.v1.2 transcript:Vigun07g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCHLKDLSPSIQYANFTALKVLSLPGNYFHSMLPKWLFNLSSGISFINLGSNSLQGQLPKALLNLQHLEVLNLQDNNFNGPIPHWLGEFEHLKHLNLRKNMFSGPIPTSLGNLSSLVFLAVNSNRLAGVVSDKTFARLSKLKELGLFISPPLIFDFDPLWVPPFQLKRLNLAFSGTKFPEWLYTQKSLESLSIYESSFEANATFWNFLSRMKEVNLEQNSIDGNLSNVLLNSTFISLSSNDLKGRLPRLSSNVVVVRLSNNSLSGNLSSLLCDDEM >Vigun01g085200.1.v1.2 pep primary_assembly:ASM411807v1:1:24067515:24070029:1 gene:Vigun01g085200.v1.2 transcript:Vigun01g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSHTHHRRDDDDDDERRTAYPPPGNTFPNPHQQPPPPFYGAPQPPPPEPETNVFHTSHVPPPGHVSQDFGYSAPPSHHHHHHHHHEADHGYSHGYSPALDAADYPPPGTTSTVHHVAHESHHHTPHFSSHNAPSSTTTVTHVSHQTNTGLRSKPTVRVVTKAAPDFSLTIRHGQVILAPSDPSNEYQHWYKDEKYSTRVKDEEGCPAFSLVNKATGEALKHSIGGSHPVRLIAYNPEYLDESILWTESRDLGDGHRAIRMVNNVHLNVDAFQGDKKSGGVHDGTTIVLWDWNKGDNQQWKILPY >Vigun05g037300.1.v1.2 pep primary_assembly:ASM411807v1:5:3024000:3029880:1 gene:Vigun05g037300.v1.2 transcript:Vigun05g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLTKQEPPKAEDLSIHHHMINYLTKNFIRNLVSKQRRRMLIAGYDLDMSYITDRVLAMSFPSQRMRAMYRNPLWQVKSVLDMRHYEHYKIYNLCIEESYDPAHFYGRVEAYPFDDNHVPSLQMIRDFCESVDSWLSSDPKNIAVIHCMAGKGRTGLMVCAYLTYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVAYWDSLLSNCVPRGTGNGTPQVKLPQPFGRELRRIRLYDTVNIDTIFFVISELQEIPDQVYRPPVEAYRSSCRQIKKGYQRNNSPRYYISVLEEDSDGKQSETEEPRIVVQMDTESPVIYQKSCLDHYFDKPIQVTGDVRVIFYEKMIGGRLFYCCFNTAFIKNSLLQLTVQELDKVGKKGSSICGPDFCLELLFGPANTGYSSSNISNDEHSSDDSLL >Vigun09g212500.1.v1.2 pep primary_assembly:ASM411807v1:9:38661231:38665546:-1 gene:Vigun09g212500.v1.2 transcript:Vigun09g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHNPQDLPLHHFTDQQQHHNQTLTDASLLSDPTSKPPSDPHQPAPNWLNNALLRTHYTNSTTTNNNNDNTNSDTNVNAANNSATNFLNLHTAATDSGQWLARPILHRNQSEVIDDVTAAGDSAMTGAGSGDLKSEAAAVEGGGDGLMNWQNARFKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVLAKYSAFGQAIVDDKELDQFLSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQVDSDANLFDGGLDGPDSMGFGPLIPTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRSNAGDNSADHHM >Vigun09g212500.2.v1.2 pep primary_assembly:ASM411807v1:9:38661231:38665546:-1 gene:Vigun09g212500.v1.2 transcript:Vigun09g212500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHNPQDLPLHHFTDQQQHHNQTLTDASLLSDPTSKPPSDPHQPAPNWLNNALLRTHYTNSTTTNNNNDNTNSDTNVNAANNSATNFLNLHTAATDSGQWLARPILHRNQSEVIDDVTAAGDSAMTGAGSGDLKSEAAAVEGGGDGLMNWQNARFKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVLAKYSAFGQAIVDDKELDQFLSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQVDSDANLFDGGLDGPDSMGFGPLIPTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Vigun08g037700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3620949:3623057:-1 gene:Vigun08g037700.v1.2 transcript:Vigun08g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISGHCASVKDVSLSKAAKILSKFVSAENGASHIISAYLHRASASFSELNQIHKELDPSHSHKKHKKHRTETGTDSGRVVENSVRSVNINQELSLEHVKSIESRRQQTGNENADLDDDKSNQTIVKSNQELNGSVGYETENVGGSEMLKKKKKKKKLEVESLQNGDSTVKFGEGIDDSKLPNGTQIEIESGREQGNEGDFKPEMEEGRKQKSAKKKHKASYEDEVENEKGIEQQKEIEKKLSNGIDGENGGLGGSQDSQIKKKKKYEKGKVNTLYAEEEGNLEQSKNGKSDDVEEKPEHPSGGLTKKKMKRKHSGDITT >Vigun08g037700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3620949:3623081:-1 gene:Vigun08g037700.v1.2 transcript:Vigun08g037700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISGHCASVKDVSLSKAAKILSKFVSAENGASHIISAYLHRASASFSELNQIHKELDPSHSHKKHKKHRTETGTDSGRVVENSVRSVNINQELSLEHVKSIESRRQQTGNENADLDDDKSNQTIVKSNQELNGSVGYETENVGGSEMLKKKKKKKKLEVESLQNGDSTVKFGEGIDDSKLPNGTQIEIESGREQGNEGDFKPEMEEGRKQKSAKKKHKASYEDEVENEKGIEQQKEIEKKLSNGIDGENGGLGGSQDSQIKKKKKYEKGKVNTLYAEEEGNLEQSKNGKSDDVEEKPEHPSGGLTKKKMKRKHSGDITT >Vigun07g178300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29490102:29490530:-1 gene:Vigun07g178300.v1.2 transcript:Vigun07g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQKGKQGKEEVRYRGVRRRPWGKYAAEIRDPSKQGSRLWLGTFDTAEEAARAYDRAAFNLRGHLAILNFPSEYYSQIRGSPPYPPHLAPPSSSSSHGGGSASSSGAYHRPVFEIPCLDDKVLEDLLGQSEEHKKKKKGE >Vigun09g078900.1.v1.2 pep primary_assembly:ASM411807v1:9:9179399:9185679:-1 gene:Vigun09g078900.v1.2 transcript:Vigun09g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSQVLEAVLKETVDLENIPVEEVFENLRCSKEGLSSVAAEERLVIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDASVLVPGDIVSIKLGDIIPADSRLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDENLIEVFAKGVDVDTVVLMAAQASRLENQDAIDTAIVGMLADPKEARIGIREVHFLPFNPTDKRTALTYIDRDGKMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRTLAVAFQDVPDGKKESPGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESISALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSTLEKTAHDDIRKLASAIYLQVSIISQALIFVTRSRGWSYVERPGILLVTAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDPIKFLIRYALSGRAWELVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFNELNQMAEEAKRRAEIARLRELHTLKGHVESVLKLKGIDVDTIQQAYTV >Vigun02g118750.1.v1.2 pep primary_assembly:ASM411807v1:2:27135317:27137878:-1 gene:Vigun02g118750.v1.2 transcript:Vigun02g118750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEEYLKEIEIARRELRSFIANNKCAPLMLQLAWNDAATYDARSKTGAPNGSIRFSTKHEAIKELEKAIQYCVKHKLKLKKVSYADLYQFDYINSYNWIICVSTCWCCCNRGHSGFNYFDFVPGRKVYSFQAHFLNGEEDARSLRKKFCGMGLSEDKDIVVLCGDHALIRTMHPKVPIKETPKGETHEDRNKFEERNWTNEPLKFDNSYFKELLSKGSSFSRLPMDYALVEDQRFLHYVERYAKDDDIFFKEYTISRKKLLELSFDPKNLNKSKGLYQKLNQHKELVGIGIISLVVAGILDYLHKKKKIQLKD >Vigun01g072200.1.v1.2 pep primary_assembly:ASM411807v1:1:19945089:19958977:-1 gene:Vigun01g072200.v1.2 transcript:Vigun01g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAILLSNKCFGHEQLWATRSFPTMQQFINGKKIDLKGKAVASLNSNSKRANLFAAKKERIRLPTYSDDFGGKKYHISEFLSHPSGIAAVLNTKALQSFQSIDGNTYRCELPKLQFLNFEAAPLLDLRVTSTDEDCLVEMLSCKFEGSEVVKEQNRHFSAFMVNHMKWGGAGAESYLEVDVKLNLTLEIYTQPFTMMPTSAVEGPGNIMMQALVDKLVPLLLQQMLQDYDAWVEKQSYSLKSGF >Vigun01g072200.2.v1.2 pep primary_assembly:ASM411807v1:1:19945089:19958977:-1 gene:Vigun01g072200.v1.2 transcript:Vigun01g072200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAILLSNKCFGHEQLWATRSFPTMQQFINGKKIDLKGKAVASLNSNSKRANLFAAKKERIRLPTYSDDFGGKKYHISEFLSHPSGIAAVLNTKALQSFQSIDGNTYRCELPKLQFLNFEAAPLLDLRVTSTDEDCLVEMLSCKFEGSEVVKEQNRHFSAFMVNHMKWGGAGAESYLEVDVKLNLTLENDAGFGGQVGATATTADATRL >Vigun06g040500.2.v1.2 pep primary_assembly:ASM411807v1:6:16097486:16102210:1 gene:Vigun06g040500.v1.2 transcript:Vigun06g040500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSPKKQVNLFYSLDCEELAHKVALLSPHIILQNIKWRSFADGFPNLYINNAEELRGQHVAFLASFSSPAHVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSLVIYDIHALQERFYFGDEVLPLFETGIPLLRQRLSQLPDADNVVIAFPDDGAWKRFHKLFDNFSVVVCTKVREGDKRIVRLKEGHVSGHHVVIVDDLVQSGGTLIECQKVLAANGAAKVSAYVTHGVFPNKSWERFIHKNGSLEKAFSYFWITDSCPLTVKAIANKAPFEVLSLAGSIADALQI >Vigun06g040500.1.v1.2 pep primary_assembly:ASM411807v1:6:16097486:16102219:1 gene:Vigun06g040500.v1.2 transcript:Vigun06g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSPKKQVNLFYSLDCEELAHKVALLSPHIILQNIKWRSFADGFPNLYINNAEELRGQHVAFLASFSSPAHVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSLVIYDIHALQERFYFGDEVLPLFETGIPLLRQRLSQLPDADNVVIAFPDDGAWKRFHKLFDNFSVVVCTKVREGDKRIVRLKEGHVSGHHVVIVDDLVQSGGTLIECQKVLAANGAAKVSAYVTHGVFPNKSWERFIHKNGSLEKAFSYFWITDSCPLTVKAIANKAPFEVLSLAGSIADALQI >Vigun08g217200.1.v1.2 pep primary_assembly:ASM411807v1:8:37736592:37737890:1 gene:Vigun08g217200.v1.2 transcript:Vigun08g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMVKLMLPLFFLFIIPPKAAYAVFEQWCVADAQAPEKELRATMNWVCRKGGADCRKIQVKQPCYLPNTLKDHASYVFNSYYQKFKHRGASCNFRGAAMTTEMDPSHGSCHYDFIR >Vigun06g144100.1.v1.2 pep primary_assembly:ASM411807v1:6:26996389:26998439:-1 gene:Vigun06g144100.v1.2 transcript:Vigun06g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDDCKLRFMELKAKRTHRFIVFKIEEQQKQVIVEKLGEPKEGYEEFAKCLPADECRYAVYDFEFMTEGNVPKSRIFFIAWSPDTSRVRSKMIYASSKDKFKRELDGIQIELQATDPSEMDLDVFKSRAN >Vigun05g223200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41537369:41538095:-1 gene:Vigun05g223200.v1.2 transcript:Vigun05g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVGLVFLLVTKIIVLHAVSLDSEAPMPGLSPLEVKIQLSDEKSGEIVDQPLAESGGSSSQKERDSKAEEAEAPGIRRLGKHHSTDKSVAGGGVILGGLVTVTFAAVFCYIRVTRKSVGEQ >Vigun09g040800.4.v1.2 pep primary_assembly:ASM411807v1:9:3711502:3719191:-1 gene:Vigun09g040800.v1.2 transcript:Vigun09g040800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPNGYLPNSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVTKYDKEAILASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPLLDFSMQPPAQEIVAKDLHDSTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPAEFVIPLAKYNKALYNQVSLGMRFRMMFETEESGVRRHMGTITGISDLDPVRWKSSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDDIGMKDASSSIFPGLSLVQWMSMQQNNQFSAAQSGFMPPSMLSSNTLHGNLPTDDPSKLLNFQAPVLSAPNLQFNKPNLPNQVNQLQQPQTSWPPQQQQQQKVQSLMPTTLNPLQQQQQRQQQMPVLQNLSQPQQQQPQMPQQRAQQPQQQQQQPCQQTIMNNGAVSSNQIPNQCVQQPVTYSQLQQQQLLSGNMPPQQSFQSPNKNALLMTSLPQESQFQQQIDQQASLLQRQQQQTQLQSSPLQLLQQSMSQRAPQQPQMTQMSQQNSSEQQPQLQLLQKLQQQQQQQQQQQQQQQLLSTSSPLLQSQLLQQQNQQLPQLPLSQCHQPQQLGNNAFSMEKLFNGNNYSSSALMQTQQLPMNQSHNTQKSLTNTRAPSTLTDGDAPSCSTSPSTNNCQISPSNLIKRNQQVPTPLGGPSVVEPTNHLIQELHRKSEMQIKHELPGVRGPDQLKCKGTIADQMEASSGTSYSIDPNNIHQNFQLPSFCMDGDVQSHPRNNLPFASNLDGLTPDTLLSRGYDSQKDLQNLLSNYGGAPRDIETELSTAAISSQPFGVPDMPFKPGCSSDIAINDPGVLNNGLWASQTQRMRTYTKVQKCGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGHVPVPNQACSGTDNGNAWRGQYDDNSAASFNR >Vigun09g040800.1.v1.2 pep primary_assembly:ASM411807v1:9:3711451:3719303:-1 gene:Vigun09g040800.v1.2 transcript:Vigun09g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPNGYLPNSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVTKYDKEAILASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPLLDFSMQPPAQEIVAKDLHDSTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPAEFVIPLAKYNKALYNQVSLGMRFRMMFETEESGVRRHMGTITGISDLDPVRWKSSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDDIGMKDASSSIFPGLSLVQWMSMQQNNQFSAAQSGFMPPSMLSSNTLHGNLPTDDPSKLLNFQAPVLSAPNLQFNKPNLPNQVNQLQQPQTSWPPQQQQQQKVQSLMPTTLNPLQQQQQRQQQMPVLQNLSQPQQQQPQMPQQRAQQPQQQQQQPCQQTIMNNGAVSSNQIPNQCVQQPVTYSQLQQQQLLSGNMPPQQSFQSPNKNALLMTSLPQESQFQQQIDQQASLLQRQQQQTQLQSSPLQLLQQSMSQRAPQQPQMTQMSQQNSSEQQPQLQLLQKLQQQQQQQQQQQQQQQLLSTSSPLLQSQLLQQQNQQLPQLPLSQCHQPQQLGNNAFSMEKLFNGNNYSSSALMQTQQLPMNQSHNTQKSLTNTRAPSTLTDGDAPSCSTSPSTNNCQISPSNLIKRNQQVPTPLGGPSVVEPTNHLIQELHRKSEMQIKHELPGVRGPDQLKCKGTIADQMEASSGTSYSIDPNNIHQNFQLPSFCMDGDVQSHPRNNLPFASNLDGLTPDTLLSRGYDSQKDLQNLLSNYGGAPRDIETELSTAAISSQPFGVPDMPFKPGCSSDIAINDPGVLNNGLWASQTQRMRTYTKVQKCGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGHVPVPNQACSGTDNGNAWRGQYDDNSAASFNR >Vigun09g040800.3.v1.2 pep primary_assembly:ASM411807v1:9:3711502:3719191:-1 gene:Vigun09g040800.v1.2 transcript:Vigun09g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPNGYLPNSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVTKYDKEAILASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPLLDFSMQPPAQEIVAKDLHDSTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPAEFVIPLAKYNKALYNQVSLGMRFRMMFETEESGVRRHMGTITGISDLDPVRWKSSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDDIGMKDASSSIFPGLSLVQWMSMQQNNQFSAAQSGFMPPSMLSSNTLHGNLPTDDPSKLLNFQAPVLSAPNLQFNKPNLPNQVNQLQQPQTSWPPQQQQQQKVQSLMPTTLNPLQQQQQRQQQMPVLQNLSQPQQQQPQMPQQRAQQPQQQQQQPCQQTIMNNGAVSSNQIPNQCVQQPVTYSQLQQQQLLSGNMPPQQSFQSPNKNALLMTSLPQESQFQQQIDQQASLLQRQQQQTQLQSSPLQLLQQSMSQRAPQQPQMTQMSQQNSSEQQPQLQLLQKLQQQQQQQQQQQQQQQLLSTSSPLLQSQLLQQQNQQLPQLPLSQCHQPQQLGNNAFSMEKLFNGNNYSSSALMQTQQLPMNQSHNTQKSLTNTRAPSTLTDGDAPSCSTSPSTNNCQISPSNLIKRNQQVPTPLGGPSVVEPTNHLIQELHRKSEMQIKHELPGVRGPDQLKCKGTIADQMEASSGTSYSIDPNNIHQNFQLPSFCMDGDVQSHPRNNLPFASNLDGLTPDTLLSRGYDSQKDLQNLLSNYGGAPRDIETELSTAAISSQPFGVPDMPFKPGCSSDIAINDPGVLNNGLWASQTQRMRTYTKVQKCGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGHVPVPNQACSGTDNGNAWRGQYDDNSAASFNR >Vigun09g040800.2.v1.2 pep primary_assembly:ASM411807v1:9:3711451:3719303:-1 gene:Vigun09g040800.v1.2 transcript:Vigun09g040800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPNGYLPNSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVTKYDKEAILASDMGLKQNQQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPLLDFSMQPPAQEIVAKDLHDSTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRASPAEFVIPLAKYNKALYNQVSLGMRFRMMFETEESGVRRHMGTITGISDLDPVRWKSSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDDIGMKDASSSIFPGLSLVQWMSMQQNNQFSAAQSGFMPPSMLSSNTLHGNLPTDDPSKLLNFQAPVLSAPNLQFNKPNLPNQVNQLQQPQTSWPPQQQQQQKVQSLMPTTLNPLQQQQQRQQQMPVLQNLSQPQQQQPQMPQQRAQQPQQQQQQPCQQTIMNNGAVSSNQIPNQCVQQPVTYSQLQQQQLLSGNMPPQQSFQSPNKNALLMTSLPQESQFQQQIDQQASLLQRQQQQTQLQSSPLQLLQQSMSQRAPQQPQMTQMSQQNSSEQQPQLQLLQKLQQQQQQQQQQQQQQQLLSTSSPLLQSQLLQQQNQQLPQLPLSQCHQPQQLGNNAFSMEKLFNGNNYSSSALMQTQQLPMNQSHNTQKSLTNTRAPSTLTDGDAPSCSTSPSTNNCQISPSNLIKRNQQVPTPLGGPSVVEPTNHLIQELHRKSEMQIKHELPGVRGPDQLKCKGTIADQMEASSGTSYSIDPNNIHQNFQLPSFCMDGDVQSHPRNNLPFASNLDGLTPDTLLSRGYDSQKDLQNLLSNYGGAPRDIETELSTAAISSQPFGVPDMPFKPGCSSDIAINDPGVLNNGLWASQTQRMRTYTKVQKCGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGHVPVPNQACSGTDNGNAWRGQYDDNSAASFNR >Vigun11g091900.1.v1.2 pep primary_assembly:ASM411807v1:11:27376273:27377354:1 gene:Vigun11g091900.v1.2 transcript:Vigun11g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDECTIVRGSNIDSHYIVNAYDYGMTEYERVGHQRLPLSYTSSVAPRDARHTATPIPLVPRFGFQDPTPPLENVRTGPPRYDEFSHPIYPTSFYYYSIHFDYPLRLVPSYTLPTNPSTSSSMPIQHAPFTHHSQPLRHNMDIQDMSYEDIQNMSYEASIYELNELAERIGKVNTGLPDEIIARQMKTEIYQLPNHSEEQEVDLCIICQDEYKNKDQIGILQCGHRYHSDCITTWLHEKNVCPICKSQALTIQ >VigunL078200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000572.1:3890:15681:1 gene:VigunL078200.v1.2 transcript:VigunL078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVPIYFSAGLTVQVNVYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHNVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >VigunL078200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000572.1:6802:15681:1 gene:VigunL078200.v1.2 transcript:VigunL078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHNVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun01g139300.1.v1.2 pep primary_assembly:ASM411807v1:1:31954942:31961070:-1 gene:Vigun01g139300.v1.2 transcript:Vigun01g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRWWQRTLILALLSVSVVAPVFLVYHRLNLTPYARREFQEDISSVTFRTDPLPLNAIEQEGAEELEEPKEVVYKEKDFGSRNSSIQQKINDCEKSKIHGCRNSILERNVSEFNQDTRQDQKAQQKELFSIIGDENILNTTVTHNQSMHAHSQKTTDDNVEVTDKKSMPRATQNHNHQSSRPQPRRVLNHKVLEIKDQIIRARAYLGFASPGSTSRLMKELKLRIKEMERALGTATKDSDLSRSALQKMRHMEASLSKADRAFPDCAAMATKLRAMNLNAEGEIRHQKRETTYLVHLAARTTPRGLHCLSMQLTADYFALRPEDRKLPNENKINDPKLHHYVVFSDNVLACAVVVNSTISNAKKQGKLVFHVVTNSLNFPAIWMWFLLNPPGKATVHIQSIENFEWLLKYNALKEQSSSDPRFTSELNYLRFYLPDIFPTLNKVVLFDHDVVVQQDLSRLWNVITKGKVNAAVGTCQEGETSFHRMDMFINFSDPFIANRFDVNACTWAFGMNLFDLQQWRRQNLTALYHSYLQMGFKRPLLKTGSLPLGWLTFYNKTMILDRRWQVLGLGYDSDVDKNQIERAAVIHFDGIRKPWLDIAVGRYKSYWTKFVEFGHPILQRCNLQA >Vigun03g185300.2.v1.2 pep primary_assembly:ASM411807v1:3:24452239:24456000:1 gene:Vigun03g185300.v1.2 transcript:Vigun03g185300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGHQELLLKYLHVPTKPNFPSVKKFITYSYLPSLPSKPCNPLPFSSHKLKPLHSSTTMDNSASTDSEVAYDIPPILKVYKNGRVERLEGVQVLPPGLHPETNVESKDVIISEQHGISARFYIPKTTYPPPQKLPLLVYFHGGAFIIETPFSPNYHNLLNKVVSEANVIGVSVHYRRAPEHPVPVAHEDSWAALKWVASHAGGDGPEEWLKEHADFGKVFFAGDSAGANIASYLGIRVGTEGLPGVKVVGIALVHPYFWGVEPLECEAKRPEDAAKVPQLWRFSCPTTSGSDDPIINPSKDPNLGKLGCERVLVCVAEKDLLKDRGWYYKETLDKSAWSGVVEVVETKDENHVFHLLDPSCENAKVKVSSRWLCLLVMVEVIVAQARRVVFFTRGFHTYLSLVLVFCRWCTLFLAVLDVCLRWSPLMSTVVS >Vigun01g253600.1.v1.2 pep primary_assembly:ASM411807v1:1:41912023:41914300:1 gene:Vigun01g253600.v1.2 transcript:Vigun01g253600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTTHDNVVGLVLAVSSSVFIGSSFIVKKMGLIKAASNGKRAATGGHSYLYEPFWWLGMISMIGGEIANFAAYAFAPAILVTPLGALSIIFSSVLAHFILKERLHIFGVLGCALCVVGSTTIVLHAPHEKDIHSVKEVWELATEPGFLVYIGVVAAAVFVLIFRFVKSHGQKNMMVYIGICSLTGSITVMGVKAVGIALKLTFEGLNQFMFFETWLFTVVVIGCCLTQINYLNKALDTFNTAVVSPVYYVMFTSFTIIASVIMFKEWAKQDASQIATELCGFVTILSGTFLLHRTKDMGNRPSEATVHSSPDPIHYSDNNTKTPPQTSGEI >Vigun08g204800.1.v1.2 pep primary_assembly:ASM411807v1:8:36837876:36841530:-1 gene:Vigun08g204800.v1.2 transcript:Vigun08g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTCFLLLSLLFLSSSTSGVQNHEEGFISITISDKGLDFAKDILIDQAVDSITLSQLPEIEKTVQVPLVGKAKVVLSEITINDIQVNSSSVNTGETGIALVVSGATANLSLKWRYSVSSWLVPIGISDSGTATARVNDLQVGLTVNLTNQGGTLKLLLLDSGCHVRDLSIKLHGGAAWLYQVLVDAFAGSIASAVEEAVSDQINEGISTLDVLLQSLPKTFPIDETAALNVSFVSNPKLSDSAIELEINGLFTERNEILAPQGYHRGSDISVSRGDPLPKMITISLHENVFKSGSLVYFSADTLKWSVDELPDQNLLNTAEWRFLIPQLYKQYPNDDMNLDIFVSSPPDIQVTDKDVGVQISIDIILNVLEAGEVVPVACISVDFSASCTAEIIGNNLAGWLKLRKFSTYLKWSKIGKLHLNLIQSVTSAVLKTVLIPYLNSQLVRGIPLPILNDFALENARILYAPPWITVSSDVSFLGHYYHKHLPAYVS >Vigun07g039140.2.v1.2 pep primary_assembly:ASM411807v1:7:3800998:3803837:-1 gene:Vigun07g039140.v1.2 transcript:Vigun07g039140.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASERMTLIRFTSVSTVISLIKIDRNHEEKPCTPKINMPNGSNVDTEMQVLAVIGFHENIGRYYSSWIENEHLHIQMELCDQMKTVRTINITSELSGELVW >Vigun07g039140.1.v1.2 pep primary_assembly:ASM411807v1:7:3799768:3803837:-1 gene:Vigun07g039140.v1.2 transcript:Vigun07g039140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASERMTLIRFTSVSTVISLIKIDRNHEEKPCTPKINMPNGSNVDTEMQVLAVIGFHENIGRYYSSWIENEHLHIQMELCDQMKTVRTINITSELSGSIFGETIEDAIADFVVDEEVVIFEEKDFLEYLTNTNK >Vigun07g039140.3.v1.2 pep primary_assembly:ASM411807v1:7:3799768:3803837:-1 gene:Vigun07g039140.v1.2 transcript:Vigun07g039140.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASERMTLIRFTSVSTVISLIKIDRNHEEKPCTPKINMPNGSNVDTEMQVLAVIGFHENIGRYYSSWIENEHLHIQMELCDQMKTVRTINITSELSGSFS >Vigun01g054500.2.v1.2 pep primary_assembly:ASM411807v1:1:10295174:10301662:1 gene:Vigun01g054500.v1.2 transcript:Vigun01g054500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLGDASHHGTSQGVSQRYSQEKSEDGSRWYFSRKEIEEYSPSKQDGVDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAIMRIKQKEVYEQHKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNDVEGGGGTSNRATAKAPANNDETSAAKSNPQTGATRIETSKPVSSVAAFDSSVPNHVGRPPSNHSRIGDYGSTEMKHRVEGDAKGNQHPEWENLHETQEMVKSQSDNGEKELENNAGGTETKEPTDLKDKHSSRNLDNREGAFSRPPQEAIKKIDREKVKAALEKRRKAAGHITKKTDVMDDDDLIERELEDGIELAPQSDKNRDKRQSWSKPSDRSDYENMHGRHQDYAEEQNHGVKGVSSYEQDLSAVEEGEVSALDDIGLPSPKSSNRKRKPVSSPERAMEGKQRHNYGPGPHQNSRYGYVEDRNKVSRLGHTERDSKRHVQENHV >Vigun01g054500.3.v1.2 pep primary_assembly:ASM411807v1:1:10295174:10301662:1 gene:Vigun01g054500.v1.2 transcript:Vigun01g054500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGKVEETPRPLKDVILISYEIIHKKDPAAIMRIKQKEVYEQHKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNDVEGGGGTSNRATAKAPANNDETSAAKSNPQTGATRIETSKPVSSVAAFDSSVPNHVGRPPSNHSRIGDYGSTEMKHRVEGDAKGNQHPEWENLHETQEMVKSQSDNGEKELENNAGGTETKEPTDLKDKHSSRNLDNREGAFSRPPQEAIKKIDREKVKAALEKRRKAAGHITKKTDVMDDDDLIERELEDGIELAPQSDKNRDKRQSWSKPSDRSDYENMHGRHQDYAEEQNHGVKGVSSYEQDLSAVEEGEVSALDDIGLPSPKSSNRKRKPVSSPERAMEGKQRHNYGPGPHQNSRYGYVEDRNKVSRLGHTERDSKRHVQENHV >Vigun01g054500.1.v1.2 pep primary_assembly:ASM411807v1:1:10295174:10301662:1 gene:Vigun01g054500.v1.2 transcript:Vigun01g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLGDASHHGTSQGVSQRYSQEKSEDGSRWYFSRKEIEEYSPSKQDGVDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAIMRIKQKEVYEQHKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNDVEGGGGTSNRATAKAPANNDETSAAKSNPQTGATRIETSKPVSSVAAFDSSVPNHVGRPPSNHSRIGDYGSTEMKHRVEGDAKGNQHPEWENLHETQEMVKSQSDNGEKELENNAGGTETKEPTDLKDKHSSRNLDNREGAFSRPPQEAIKKIDREKVKAALEKRRKAAGHITKKTDVMDDDDLIERELEDGIELAPQSDKNRDKRQSWSKPSDRSDYENMHGRHQDYAEEQNHGVKGVSSYEQDLSAVEEGEVSALDDIGLPSPKSSNRKRKPVSSPERAMEGKQRHNYGPGPHQNSRYGYVEDRNKVSRLGHTERDSKRHVQENHV >Vigun05g248600.2.v1.2 pep primary_assembly:ASM411807v1:5:44235433:44237375:1 gene:Vigun05g248600.v1.2 transcript:Vigun05g248600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEQVTEQPEYSAKDYHDPPPAPLIDPDELTKWSLYRAAIAEFIATLLFLYITVLTIIGYKRQSDTSIAGNTECDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLVRALLYMVAQCAGAICGAGLAKGFQKSFYNRYGGGANSVSDGYNKGTAVGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGPAVIFNNDKVWDDQVS >Vigun05g248600.1.v1.2 pep primary_assembly:ASM411807v1:5:44235433:44237375:1 gene:Vigun05g248600.v1.2 transcript:Vigun05g248600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEQVTEQPEYSAKDYHDPPPAPLIDPDELTKWSLYRAAIAEFIATLLFLYITVLTIIGYKRQSDTSIAGNTECDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLVRALLYMVAQCAGAICGAGLAKGFQKSFYNRYGGGANSVSDGYNKGTAVGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGPAVIFNNDKVWDDQWIYWVGPFVGAAVAAFYHQYILRGSAIKALGSFRSNA >Vigun02g098700.1.v1.2 pep primary_assembly:ASM411807v1:2:25401258:25406155:-1 gene:Vigun02g098700.v1.2 transcript:Vigun02g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLTKLRCLAVQSRQILPPPSSRRLLHHLPPQPLHSASTFRTSSPPSLIFSSWHLHASHPSPSLVQVRHVSSRERKLRRKPMTPTISKVKKTKMKSYSSLKSRFRTMNDGNIRRWKEGKRHNAHLKSKKSKRRLRKPAIVPAAYAKVMKKLNFAA >Vigun02g098700.2.v1.2 pep primary_assembly:ASM411807v1:2:25402500:25406232:-1 gene:Vigun02g098700.v1.2 transcript:Vigun02g098700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLTKLRCLAVQSRQILPPPSSRRLLHHLPPQPLHSASTFRTSSPPSLIFSSWHLHASHPSPSLVQVRHVSSRERKLRRKPMTPTISKVKKTKMKSYSSLKSRFRTMNDGNIRRWKEGKRHNAHLKSKKSKRRLRKPAIVPAAYAKVMKKLNFAA >Vigun03g034300.1.v1.2 pep primary_assembly:ASM411807v1:3:2634522:2638271:-1 gene:Vigun03g034300.v1.2 transcript:Vigun03g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGTCPLGTLLRNSVSENNRKNSVVHYEGLRLPQRTQIPSSLTTSPSHYISASSSPRCRTIKAMASSSVAAPKREKDPKKRVVITGMGLVSVFGSDIETFYNKLLEGESGISLIDRFDASSFSVRFGGQIRDFSSEGYIDGKNDRRLDDCWRYCIVAGKRALDDANLGQQVLDTMDKTRIGVLVGTGMGGLTAFSSGVESLIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVVGGTEAAIMPTGVGGFIACRALSQRNEHPKKASRPWDKDRDGFVMGEGSGVLIMESLESATKRGATIIAEYLGGAITCDAHHMTDPRSDGLGVSSCITKSLEDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSELKMNATKSMIGHGLGAAGGLEAIATIKAITTGWVHPTINQDNLEPSVTIDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFRP >Vigun09g269000.1.v1.2 pep primary_assembly:ASM411807v1:9:43174098:43179912:-1 gene:Vigun09g269000.v1.2 transcript:Vigun09g269000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVLKQFGAGGYGGSDNMVTDEVELQQHQKLEKLYISTRAGKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGADNTCTSGSTLSRAALNYSRARAQMEKERGSLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQAKVRETPGNTENAMKLEAAEVKLQDLKTNMAILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERAYHQRVLQILDQLEGEMISERQRIEAPPPPSVDNMPPPPSYEEVNGVYASQAHNGSTDSMGYFLGEVLFPYHAESEVELNLSVGDYIVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVF >Vigun06g076600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20691898:20695001:-1 gene:Vigun06g076600.v1.2 transcript:Vigun06g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFTLFLSLFAVFSITAVHGLGSAATVAITYGTATVCGIVAGELHHRIQCSRDGRRVPLTLPNSSFEAISGGRSFFCGLRSGGRSLHCWDTAAADGSLWPKRVFHSDVVQLADVAVGDSQVCAREVHSGVVRCWRGNGRVEFVSPSESLRFRSVTCGRGFSCGILRESGRVVCWGGGGGGEEGAYISDEIQRKFENFSMSSLVAGVSHACGLTLHGALVCGGNNGSGQLGNSVFLGSLDFSGLALGEGFTCGIRRRNGVVVCWGNDFDSDVVKGVSFESLVAGLDYVCGLMTGNLSVVCWGGKGVANEIPLGEILPAPCVEGGCGSSCSTYPDSDALCHGSGSICYACQTEVPLAVPLFPPPPSSSSSSSQVPSSHEGRNLKEFLVFLVVGSVGAFAGLCAIVYFLWFGAKRVLVRRELSNSSVKPTSSESDAYVDIIPMPNVGSNGTTFRTFSSKSHGSRRLRRHRSGSSSKHVDRTESFSLRELATATDNFSMYNKIGAGSFGSVYKGTLGDGREVAIKRGDTSTVKKKFQEKETAFDSELAMLSRLHHKHLVRLIGFCEENEERLLVYEYMSNGSLYDHLHDKSNTEKSSSILNSWKMRIKVALGAARGIEYIHNYAVPPIIHRDIKSSNILLDSNWNARVSDFGLSLIWQESEQELMSTKAVGTVGYIDPEYYVLNVLTTKSDVYGLGVVMLELLTGKRAVFKSEDDSSPIGVVEHTGPKIASGELWSVLDYRVGQPEVNEVESLEIMAYTAMHCVNMEGKERPEMTDIVANLERALAFIEGSPTSISLASFSAPLE >Vigun09g074800.2.v1.2 pep primary_assembly:ASM411807v1:9:8422520:8423729:1 gene:Vigun09g074800.v1.2 transcript:Vigun09g074800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQLTFWNSNYEIDENSFAPTCQFSSYDYLRGNAIGSDGFHWPYEFPLQDSYFDVVPFMKCYYPHDILYETMPLEPTPLSFQDYDFSDVKNVLSAWNEVDGSDHRPAFSSSNDGGSVNEMRDEVKGKQRREERISSSARMLSRKTVSQYFYMPISQAAKELNVGLTHLKKRCRELGIQRWPHRKLMSLQTLIKNMQEQGEAEGPENDEKIRIAIEMLEKEKSMVEERPDLELEDKTRRLRQACFKANYKKRKLMSMRFMEHNLPLVPYPTSIDKRGE >Vigun09g074800.1.v1.2 pep primary_assembly:ASM411807v1:9:8422520:8423729:1 gene:Vigun09g074800.v1.2 transcript:Vigun09g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQLTFWNSNYEIDENSFAPTCQFSSYDYLRGNAIGSDGFHWPYEFPLQDSYFDVVPFMKCYYPHDILYETMPLEPTPLSFQGYYDFSDVKNVLSAWNEVDGSDHRPAFSSSNDGGSVNEMRDEVKGKQRREERISSSARMLSRKTVSQYFYMPISQAAKELNVGLTHLKKRCRELGIQRWPHRKLMSLQTLIKNMQEQGEAEGPENDEKIRIAIEMLEKEKSMVEERPDLELEDKTRRLRQACFKANYKKRKLMSMRFMEHNLPLVPYPTSIDKRGE >Vigun04g116350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29169189:29169341:-1 gene:Vigun04g116350.v1.2 transcript:Vigun04g116350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLGILTRLMLKLKNVSFARTPIKSFSLYYHPLQSKLLVNINYLPMT >Vigun09g229100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40103947:40104663:1 gene:Vigun09g229100.v1.2 transcript:Vigun09g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPITHSPGRVPFSWERKPGIPKVSVAESFQRERKFVQKLQPPPYTSFQRNYDHALEIPLPPCTFQPHYKDRIRMQDHDPFLEAYKKCSKSRKTVERNKKLQIKTSIETRLRNSMSFISCKQSCVVRDDHLVRISYLLPNNVHEH >Vigun03g108500.2.v1.2 pep primary_assembly:ASM411807v1:3:9712230:9719473:1 gene:Vigun03g108500.v1.2 transcript:Vigun03g108500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIISDSDWEISSDSNSSEDQEEIDFLYGGKAQSILSSLEETIGRIDDFLSFERAFVHGDVVCSLSDPSGQMGRVTSVDVFVDLENVKGKVIKNVNSRKLLRIRSISEGDYVIKGPWLGRVQRVVDKVTVLFDDGDKCDITALEREKILPLTGNFTEDSQYPYYPGQRVEVKSSNASKPTRWLCDTWKRNHDEGTVCAVEAGMVYVNWISSVLMSCNLSVSTPQCWQASENLTVLSCFSHANWHLGDWCMLSVVDKEEEAIQNAPICDLTMEQGVSREYKRNNFNSHIGELFIIGKIKTKVDVVWQNGEHTLGLDPENLLPVNVINNHEFWPHQFVQEKGASDYPLKTRSQRWGVVQCMDAKERTVKVQWKTISISNPDNLTGEKLEETVSAYELVEHPDYSCFFGDIMFKAAQKQLGNQADKETAKSVTDLNAETFPKDGNQMGYQDDFPDNYFMSCIGNVTGFKDGNVEVTWATGFTTKVAPYEILRIEKHEDSTVTTTPYETNVEELTQEMIEHETLYSNQKGNDLLNGDVSRENCEKNLGECSSFSLPRAAFELFSSIKASIFQTFSGALLSRAVSSLPTFENENGYDFVDKKDLETCDIFAEHHPMTELQSTEDKSSYPETIKTHDKNDFPFSLDSNSPNQFKQFDVIENCPDHHFFDEGKGLSISQVKRGWVKKVQQEWSILERNLPETIYVRVFEERMDLMRAAIVGASGTPYQEGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGRICLSLLNTWTGTGTEVWNPGASTILQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTTKSMMYVLRKPPKHFEALVEEHFRNRSQNILLACKACLEGASIGCAFGSGKTEHENQRGTSAGFKIMLAKLFPKLIEALSDKGIDCSQFVNLQTTKVTLLTKM >Vigun03g108500.4.v1.2 pep primary_assembly:ASM411807v1:3:9713401:9719473:1 gene:Vigun03g108500.v1.2 transcript:Vigun03g108500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIISDSDWEISSDSNSSEDQEEIDFLYGGKAQSILSSLEETIGRIDDFLSFERAFVHGDVVCSLSDPSGQMGRVTSVDVFVDLENVKGKVIKNVNSRKLLRIRSISEGDYVIKGPWLGRVQRVVDKVTVLFDDGDKCDITALEREKILPLTGNFTEDSQYPYYPGQRVEVKSSNASKPTRWLCDTWKRNHDEGTVCAVEAGMVYVNWISSVLMSCNLSVSTPQCWQASENLTVLSCFSHANWHLGDWCMLSVVDKEEEAIQNAPICDLTMEQGVSREYKRNNFNSHIGELFIIGKIKTKVDVVWQNGEHTLGLDPENLLPVNVINNHEFWPHQFVQEKGASDYPLKTRSQRWGVVQCMDAKERTVKVQWKTISISNPDNLTGEKLEETVSAYELVEHPDYSCFFGDIMFKAAQKQLGNQADKETAKSVTDLNAETFPKDGNQMGYQDDFPDNYFMSCIGNVTGFKDGNVEVTWATGFTTKVAPYEILRIEKHEDSTVTTTPYETNVEELTQEMIEHETLYSNQKGNDLLNGDVSRENCEKNLGECSSFSLPRAAFELFSSIKASIFQTFSGALLSRAVSSLPTFENENGYDFVDKKDLETCDIFAEHHPMTELQSTEDKSSYPETIKTHDKNDFPFSLDSNSPNQFKQFDVIENCPDHHFFDEGKGLSISQVKRGWVKKVQQEWSILERNLPETIYVRVFEERMDLMRAAIVGASGTPYQEGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGRICLSLLNTWTGTGTEVWNPGASTILQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTTKSMMYVLRKPPKHFEALVEEHFRNRSQNILLACKACLEGASIGCAFGSGKTEHENQRGTSAGFKIMLAKLFPKLIEALSDKGIDCSQFVNLQTTKVTLLTKM >Vigun03g108500.1.v1.2 pep primary_assembly:ASM411807v1:3:9712341:9719473:1 gene:Vigun03g108500.v1.2 transcript:Vigun03g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIISDSDWEISSDSNSSEDQEEIDFLYGGKAQSILSSLEETIGRIDDFLSFERAFVHGDVVCSLSDPSGQMGRVTSVDVFVDLENVKGKVIKNVNSRKLLRIRSISEGDYVIKGPWLGRVQRVVDKVTVLFDDGDKCDITALEREKILPLTGNFTEDSQYPYYPGQRVEVKSSNASKPTRWLCDTWKRNHDEGTVCAVEAGMVYVNWISSVLMSCNLSVSTPQCWQASENLTVLSCFSHANWHLGDWCMLSVVDKEEEAIQNAPICDLTMEQGVSREYKRNNFNSHIGELFIIGKIKTKVDVVWQNGEHTLGLDPENLLPVNVINNHEFWPHQFVQEKGASDYPLKTRSQRWGVVQCMDAKERTVKVQWKTISISNPDNLTGEKLEETVSAYELVEHPDYSCFFGDIMFKAAQKQLGNQADKETAKSVTDLNAETFPKDGNQMGYQDDFPDNYFMSCIGNVTGFKDGNVEVTWATGFTTKVAPYEILRIEKHEDSTVTTTPYETNVEELTQEMIEHETLYSNQKGNDLLNGDVSRENCEKNLGECSSFSLPRAAFELFSSIKASIFQTFSGALLSRAVSSLPTFENENGYDFVDKKDLETCDIFAEHHPMTELQSTEDKSSYPETIKTHDKNDFPFSLDSNSPNQFKQFDVIENCPDHHFFDEGKGLSISQVKRGWVKKVQQEWSILERNLPETIYVRVFEERMDLMRAAIVGASGTPYQEGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGRICLSLLNTWTGTGTEVWNPGASTILQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTTKSMMYVLRKPPKHFEALVEEHFRNRSQNILLACKACLEGASIGCAFGSGKTEHENQRGTSAGFKIMLAKLFPKLIEALSDKGIDCSQFVNLQTTKVTLLTKM >Vigun03g108500.3.v1.2 pep primary_assembly:ASM411807v1:3:9713315:9719473:1 gene:Vigun03g108500.v1.2 transcript:Vigun03g108500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIISDSDWEISSDSNSSEDQEEIDFLYGGKAQSILSSLEETIGRIDDFLSFERAFVHGDVVCSLSDPSGQMGRVTSVDVFVDLENVKGKVIKNVNSRKLLRIRSISEGDYVIKGPWLGRVQRVVDKVTVLFDDGDKCDITALEREKILPLTGNFTEDSQYPYYPGQRVEVKSSNASKPTRWLCDTWKRNHDEGTVCAVEAGMVYVNWISSVLMSCNLSVSTPQCWQASENLTVLSCFSHANWHLGDWCMLSVVDKEEEAIQNAPICDLTMEQGVSREYKRNNFNSHIGELFIIGKIKTKVDVVWQNGEHTLGLDPENLLPVNVINNHEFWPHQFVQEKGASDYPLKTRSQRWGVVQCMDAKERTVKVQWKTISISNPDNLTGEKLEETVSAYELVEHPDYSCFFGDIMFKAAQKQLGNQADKETAKSVTDLNAETFPKDGNQMGYQDDFPDNYFMSCIGNVTGFKDGNVEVTWATGFTTKVAPYEILRIEKHEDSTVTTTPYETNVEELTQEMIEHETLYSNQKGNDLLNGDVSRENCEKNLGECSSFSLPRAAFELFSSIKASIFQTFSGALLSRAVSSLPTFENENGYDFVDKKDLETCDIFAEHHPMTELQSTEDKSSYPETIKTHDKNDFPFSLDSNSPNQFKQFDVIENCPDHHFFDEGKGLSISQVKRGWVKKVQQEWSILERNLPETIYVRVFEERMDLMRAAIVGASGTPYQEGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGRICLSLLNTWTGTGTEVWNPGASTILQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTTKSMMYVLRKPPKHFEALVEEHFRNRSQNILLACKACLEGASIGCAFGSGKTEHENQRGTSAGFKIMLAKLFPKLIEALSDKGIDCSQFVNLQTTKVTLLTKM >Vigun03g108500.5.v1.2 pep primary_assembly:ASM411807v1:3:9712341:9719473:1 gene:Vigun03g108500.v1.2 transcript:Vigun03g108500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIISDSDWEISSDSNSSEDQEEIDFLYGGKAQSILSSLEETIGRIDDFLSFERAFVHGDVVCSLSDPSGQMGRVTSVDVFVDLENVKGKVIKNVNSRKLLRIRSISEGDYVIKGPWLGRVQRVVDKVTVLFDDGDKCDITALEREKILPLTGNFTEDSQYPYYPGQRVEVKSSNASKPTRWLCDTWKRNHDEGTVCAVEAGMVYVNWISSVLMSCNLSVSTPQCWQASENLTVLSCFSHANWHLGDWCMLSVVDKEEEAIQNAPICDLTMEQGVSREYKRNNFNSHIGELFIIGKIKTKVDVVWQNGEHTLGLDPENLLPVNVINNHEFWPHQFVQEKGASDYPLKTRSQRWGVVQCMDAKERTVKVQWKTISISNPDNLTGEKLEETVSAYELVEHPDYSCFFGDIMFKAAQKQLGNQADKETAKSVTDLNAETFPKDGNQMGYQDDFPDNYFMSCIGNVTGFKDGNVEVTWATGFTTKVAPYEILRIEKHEDSTVTTTPYETNVEELTQEMIEHETLYSNQKGNDLLNGDVSRENCEKNLGECSSFSLPRAAFELFSSIKASIFQTFSGALLSRAVSSLPTFENENGYDFVDKKDLETCDIFAEHHPMTELQSTEDKSSYPETIKTHDKNDFPFSLDSNSPNQFKQFDVIENCPDHHFFDEGKGLSISQRLGEKGSARMEHPREKSSRNYLCSCF >Vigun11g147750.1.v1.2 pep primary_assembly:ASM411807v1:11:35677401:35679991:1 gene:Vigun11g147750.v1.2 transcript:Vigun11g147750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLQVNLKYILEVLCNVAPLLNEQVKKQRWLLVTMKLESDSAVSGNDEFETGIGANNVWVRDYNWGQQWVLVTLHN >Vigun04g142168.1.v1.2 pep primary_assembly:ASM411807v1:4:35410186:35414817:1 gene:Vigun04g142168.v1.2 transcript:Vigun04g142168.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKFKIQNLIEFSKVMQDKNPIMKYKAI >Vigun09g106600.1.v1.2 pep primary_assembly:ASM411807v1:9:20575444:20576175:-1 gene:Vigun09g106600.v1.2 transcript:Vigun09g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMMNVLIGEFGISVRGNRMKSIKDFLPLLSRCQLPSRMKLCLYMCSSWNLYK >Vigun03g103500.1.v1.2 pep primary_assembly:ASM411807v1:3:8919865:8923216:-1 gene:Vigun03g103500.v1.2 transcript:Vigun03g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEERGIQLLAPTITPATNNNTKQTENRTITCPSCGHNIEFQDQTGINDLPGLPAGVKFDPNDQEILEHLEAKVLSDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGSETRWHKTGKTRPVFVGGAVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGTSIIKQDLYDEERLTNQSVVDDDNNNIVGRSGTLMDYYNNPSFINYEHVGGRNNRETSPQLIPNLVMQADTSSFIRLAMDATKARLNTK >Vigun03g103500.3.v1.2 pep primary_assembly:ASM411807v1:3:8919887:8923057:-1 gene:Vigun03g103500.v1.2 transcript:Vigun03g103500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEERGIQLLAPTITPATNNNTKQTENRTITCPSCGHNIEFQDQTGINDLPGLPAGVKFDPNDQEILEHLEAKVLSDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGSETRWHKTGKTRPVFVGGAVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGTSIIKQDLYDEERLTNQSVVDDDNNNIVGRSGTLMDYYNNPSFINYEHVGGRNNRETSPQLIPNLVMQADTSSFIRLAMDATKARLNTK >Vigun03g103500.2.v1.2 pep primary_assembly:ASM411807v1:3:8919858:8923245:-1 gene:Vigun03g103500.v1.2 transcript:Vigun03g103500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEERGIQLLAPTITPATNNNTKQTENRTITCPSCGHNIEFQDQTGINDLPGLPAGVKFDPNDQEILEHLEAKVLSDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGSETRWHKTGKTRPVFVGGAVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGTSIIKQDLYDEERLTNQSVVDDDNNNIVGRSGTLMDYYNNPSFINYEHVGGRNNRETSPQLIPNLVMQADTSSFIRLAMDATKARLNTK >Vigun03g002400.2.v1.2 pep primary_assembly:ASM411807v1:3:177092:181757:1 gene:Vigun03g002400.v1.2 transcript:Vigun03g002400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSITPPQPIPFLLQGLSPQPRCSHPSPTQNGDFYETKPDPPLSAQDDLVESDIELDNADVVEPDNDPPQKMGDPSVEVTEENRNAAQLAKSKAVDAISEGNLDEALDQLTEAILLNPQSAILYATRASVFVKLKKPNAAIRDADTALKINPDSAKGYKIRGMSRAMLGLWEEAASDLHVASKLDYDEELSTVLKKVEPNARKIEEHRRKYDRLRKQKQQKGDPVKTEASVKKEQETETQVQEALSALKDGQVIGIHSTGELEKKLSAASKTSRLAILYFTATWCGPCRFISPIYTSLAEKHPKVVFLKIDIDEARDVAASWNISSVPTFFFVKNGKEVDSVVGADKSTLERKIAQHAGSH >Vigun03g002400.1.v1.2 pep primary_assembly:ASM411807v1:3:177092:181757:1 gene:Vigun03g002400.v1.2 transcript:Vigun03g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKLRELKQFIESCRSNPSLLHNPSLSFFKAYLLSLGARIPPQPKTEPGDFYETKPDPPLSAQDDLVESDIELDNADVVEPDNDPPQKMGDPSVEVTEENRNAAQLAKSKAVDAISEGNLDEALDQLTEAILLNPQSAILYATRASVFVKLKKPNAAIRDADTALKINPDSAKGYKIRGMSRAMLGLWEEAASDLHVASKLDYDEELSTVLKKVEPNARKIEEHRRKYDRLRKQKQQKGDPVKTEASVKKEQETETQVQEALSALKDGQVIGIHSTGELEKKLSAASKTSRLAILYFTATWCGPCRFISPIYTSLAEKHPKVVFLKIDIDEARDVAASWNISSVPTFFFVKNGKEVDSVVGADKSTLERKIAQHAGSH >Vigun08g079100.1.v1.2 pep primary_assembly:ASM411807v1:8:15642483:15645914:1 gene:Vigun08g079100.v1.2 transcript:Vigun08g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELLSLFQLETKRMLWLIGITYAVILTFHYLEFPYGTVLVSVFSADNRTFKSSDVPSKSKLVTNVTLFKPSNFALDHAFEIDNKTLIFGQNETTPRTSFVLEPGRTSNKSLGFNGSDHSSTVESIARADRKSESHQAEDSQTCSFNKTISLNFSANRFQEDTLLSPEQKSESTYSTNVSTDITTVVISNDSTISLFQKDNITNSIKEMSVSSSLNGAKVRVPSENKDYHTPVPEVTTVFQMKKLLHQRHTSYRSMRPRWFSAVDEELLHARSEIENAPIVKKDPNFYGPIYHNVSMFKRSYELMEKTLKVYVYTEGARPIMHSPFFTGLYASEGWFMKQMEANERFVTRDPKKAHLFYLPFSSRMLEEALYVQGSHSHKNLIQYLHNYVEMIAEKYTFWNRTGGADHFLVGCHDWAPGETKVEMANCIRALCNADVKEGFVFGKDASLPETYVRNAQIPTKDLGGNSASKRPILAFFAGSMHGYVRPILLKHWENKDPDMKIFGRLPKSKGNRNYIQYMKSSKYCICAKGYEVNSPRVVEALFYECVPVIISDNFVPPFFEVLNWESFAVIVQEKDIPNLKNILLSIPEKEYLRLQMRIRKVQHHFLWHRNPVRYDIFHMILHSVWYNRIFSAPTR >VigunL055100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:12282:14141:-1 gene:VigunL055100.v1.2 transcript:VigunL055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun07g296000.1.v1.2 pep primary_assembly:ASM411807v1:7:40641707:40644356:1 gene:Vigun07g296000.v1.2 transcript:Vigun07g296000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQILWLSNPTQTLTTRVTTNKKLRQPPFLAYPKPRTLISANTSQTPHHNTRRSANYQPNLWNFQLLHSLGNDLKEKKLNERATELEEEVRHMINRVDTEPLSLLELIDNVQRLGLTYKFEEDIVKALDDKIALLNENEKHKSGLHATALRFRLLRQHGFHVSQDVFERFKDKGGFNIEHKDDVQGLLSLYEASYLGFEGENLLDEARLFSTTQLKQILEEGVNTKVAEQVKHALELPYHRRLHRLEARWYLDRYEAKEPHHRLLQELAKLDFNMVQSQHQKELQELSRWWREMGLTKKLDFVRDRLMEVYFWALGMAPHPHQSECRKAVTKMFGLVTIIDDVYDVYGTLDELQLFTDAVERWDVNAINTLPDYMKLCYLALYNTVNDTTYSILKETGHDNVFYLAKSWGELCKAFLQEAKWSNNKIIPGFSKYLENASVSSSGVTLLVPSYFLVCQQQEDFSDKALHHLTNFGGLVRSSCTIFRLCNDLATSAAELERGETTNSITSYMHENESNEEEAREELRNLIDEEWKKMNEERVLDGRIPKGFMEICVNMARVSHCTYQYGDGLGRPDHMVENIIKLLLIDPLPVN >Vigun03g426100.1.v1.2 pep primary_assembly:ASM411807v1:3:63145626:63147927:-1 gene:Vigun03g426100.v1.2 transcript:Vigun03g426100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDYYKRSSYEVEGAESKEMGKAVSMSAYDLVMRVLAFVLTLSAAIVIAADKQTKVIPIQLSDSLPPLYVPLTAKWNQMSAILYFLVTNAIACTYGALSLVVALVNRGKSKGLWTLMTVLDAIMVALLFSGNGAAAAVGVLGYKGNSHVNWKKVCNVFDKFCGQMAVSIGVSLIGSLAFLLLVVIPVVRLHRRA >Vigun06g078100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20953275:20954821:1 gene:Vigun06g078100.v1.2 transcript:Vigun06g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWVRGKCIGKGAFGTISVALRKLEAQTQIFAVKSVDIKTGLPGQLEALENEIRILRRMSSPHVVTFLGEDTTCEKRNLHMEYMPCGTLADLDPDVDEYIVRQYTWCLVSALKHVHENGVVHCDVKGKNVLVGDGANGRNCKLADFGSAMEFDGEGLPAASPRGSPLWMAPEVIRRECQGLASDVWSLGCTVIEMITGRPPWEGNAVDALSRIGFSGEVPGFPRRLSELGRDFLEKCLRREPWRRWSCDQLLEHPFLIPCGVIVESSPRCVLDRVDSEFAGFDDEEEEEEEEMITESENSARDRIGRLAMSVGVNWEAQGWVMVRELAVVAESSEDVREGTILQNFEITRAERGIQVGTNSECAGLKNEMVKLGMCSLGGRRWLTRYNRRSECECGWENVDKRKRMLLKINIYKLYFKLLKSHCLFLKYLMIYLCFGWIRIDGIMTKVC >Vigun10g136333.1.v1.2 pep primary_assembly:ASM411807v1:10:35004754:35009829:-1 gene:Vigun10g136333.v1.2 transcript:Vigun10g136333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGSGTPVSVSKIPYFSFRYLKTFIVDRCQFLSCVLPFTLLPLLPKLETLKVGKCDSVKTIFDVQCAQGTPTFPLKTLVLWKLPNLETVWDEDPDEIVTQSNPADPKQTNTKLTLPGLMTLTLWDLPKFKHNSISCIRHPTPTFELITPNLQRLIVDENELKMIEDGEFQRNLLSKLKVLGLCFDIECGEFPEYGFLQQLPNVKKLMVCSSSFKAIFCHQRPNNSELLLQLKELRLEFLAELVFIGLENSWTEPFIRNLETFEVICCCSLKHLVTCTVSFSNLIYLQIENCDSLSYLFTSSTAKSLAQLQRMEIKWCKSIEEIVSKEEEESDDDEIIFPQLRCLNLDNLLNLRSLYRGSLSFPSLKELSITHCIEMVTLCPSTLKADELTQVTIDYENIPLETDLDSTMRKKFEREISQLKELDLKRRPKLQEIWHDSLHISYLCFSELANLFVEDCQFLSDAVLPFHLLPLLPKLETLEVKYCDYVKTIFDVKCTKHTFITFPLKKLVLSNLRNLENVWNEDPCGILSLHHLQEVFVDTCKSLKSVFPASVARDLGKLENLIVEDCEGLMAIVEEESKEDEIIFPQLLYLKVQSCNSLPYLFTSSTAKSLGELIIMKIKECKSIEEIVSKEGEESDEDVEIIFEQLQDLYLEKLDELRCFYDGSFTLSFPSLEEVHIIKCSSMKTFSASNKIDNPWYYSEYARPRKETHLNSALHRTSEEEAPDASGAIISVLQ >Vigun10g136333.2.v1.2 pep primary_assembly:ASM411807v1:10:35004775:35009829:-1 gene:Vigun10g136333.v1.2 transcript:Vigun10g136333.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGSGTPVSVSKIPYFSFRYLKTFIVDRCQFLSCVLPFTLLPLLPKLETLKVGKCDSVKTIFDVQCAQGTPTFPLKTLVLWKLPNLETVWDEDPDEIVTQSNPADPKQTNTKLTLPGLMTLTLWDLPKFKHNSISCIRHPTPTFELITPNLQRLIVDENELKMIEDGEFQRNLLSKLKVLGLCFDIECGEFPEYGFLQQLPNVKKLMVCSSSFKAIFCHQRPNNSELLLQLKELRLEFLAELVFIGLENSWTEPFIRNLETFEVICCCSLKHLVTCTVSFSNLIYLQIENCDSLSYLFTSSTAKSLAQLQRMEIKWCKSIEEIVSKEEEESDDDEIIFPQLRCLNLDNLLNLRSLYRGSLSFPSLKELSITHCIEMVTLCPSTLKADELTQVTIDYENIPLETDLDSTMRKKFEREISQLKELDLKRRPKLQEIWHDSLHISYLCFSELANLFVEDCQFLSDAVLPFHLLPLLPKLETLEVKYCDYVKTIFDVKCTKHTFITFPLKKLVLSNLRNLENVWNEDPCGILSLHHLQEVFVDTCKSLKSVFPASVARDLGKLENLIVEDCEGLMAIVEEESKEDEIIFPQLLYLKVQSCNSLPYLFTSSTAKSLGELIIMKIKECKSIEEIVSKEGEESDEDVEIIFEQLQDLYLEKLDELRCFYDGSFTLSFPSLEEVHIIKCSSMKTFSASNKIDNPWYYSEYARPRKETHLNSALHRTSEEEAPDASGAIISVLQ >Vigun05g131700.1.v1.2 pep primary_assembly:ASM411807v1:5:15340577:15344634:-1 gene:Vigun05g131700.v1.2 transcript:Vigun05g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFACRYLDIFTNYVSLYNTVMKLIFLGSSFSIVWYMRYHKVVRRSYDKDQDTFRHYFLVLPCLVLALLINERFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYILNWIYRYFTEPHFVHWITWISGLVQTLLYADFFYYYFQSWKNNQKLHLPA >Vigun01g040533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5650222:5650889:1 gene:Vigun01g040533.v1.2 transcript:Vigun01g040533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNDQVRAVAMSATDGLMRGMEVIDTGTPLSVPVGGATLGQIFNVLREPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFET >VigunL059074.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000043.1:35654:39248:1 gene:VigunL059074.v1.2 transcript:VigunL059074.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRDIKVVGHDGNLINIQETVGYYDPLQYPLLFPFGTYGWDTNTKNHNGQSISCREYYSFMLQIRPNDQSVILQAGRLLQQYVVDNYVKIETGRLRWIRNHQNNIRAEVYQGLQDALHEGQTHADTVGKRTILPSSFIGSRRDLTQRYQDGMAIVAHNGKPDIFLTMTCNPSWSEISSELQNQQTPQDRPDLLTRIFRVKFEQLKEDVVNKGVLGKVNSYMYVTEFQKRGLPHLRDPQDYDSIVRAEIPNKAEEPQLHEAVLKHMIHGHCGTLNPRSPCMKRNQCQKRFPKDFLEETRQGNDSYPQYRRRFDEPISINRNVTVDNRWVVPYNPWLLLKYDCHINVEVCSNIKRPDRVAMEIHKGPIIDEVQQYLDARWICAPEALWKIFRFTIYRMNPAVERLQIHLPNRQQVRFYKHQNINDVLNDDNNSKTMLTQFFALNQRDPQSRTFLYREIPEHYCWNNRHKEWYPRRSNKKVIGRMYTVSPSEGDKFFLRVLLSHIRGPTSWEYLLSPNETYCHTFNKATEKWGFLESDNSIHECLVEASTLQMPYALRRLFVTILLFCEPTDIRSLWNHFHTYMLEDYTSTNTSVNENLIPMLLRDLNDFLIQHGKTIKDFDLPPLSYDALATTSVPRIIQEELSIQIPNEDVDNVHRLNHDQLIAFNTILDVINRNQSQVFFVDGPGGTGKTFLYRTLIAHCRSNGQIILATASSGIAATLLPGGRTAHSRFKIPINIEADRTLKDILDSDAPFGGKVIILGGDFRQVLPVVQKGTKAQMISACIINSHLWSNTKILHLQQNMRSLQDHNFAEYLMRIGDGIEPTQVDDMVKIPQQLAISWEGETSIQHLIHQTFSQLQFHTWDASYMAERAILTPKNEDVEKLNDIIIDLFPGEDRNLLSFDEVEGDTYHLYQHEYLHTICPGGLPPHNLKVKKGSPLMLLRNIDPKSGLCNGTRLLCRGFYMNMLDVEILTGHHAGKRAFLPRIKHKTTESAGLPFVLIRKQFPVRLSFAITINKSQGQTIPTVGIYLPRHVFSHGHLEGQEGIFTKNVVYKEILLSQN >Vigun11g086550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25929638:25930111:1 gene:Vigun11g086550.v1.2 transcript:Vigun11g086550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDQGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKYDVYESLTYSAELYISAGLIWKSSRDIQKQTIFVGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYSSELDPNGISVYIGTIISDWGVD >Vigun03g060000.1.v1.2 pep primary_assembly:ASM411807v1:3:4918943:4920541:1 gene:Vigun03g060000.v1.2 transcript:Vigun03g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPCDCNRTTENGAETESFHQFFECWISEQNQHLKELLVAESSQLTGEELQALSEKVVEHYEYYYKAKSRCAKQDVLAMLSPPWMSSLEEAFLWIGGWRPSMAFHLLYSKSGLQFEARFNELIQGLKTHDLGDLSASQIAELDEMQRRTISEEREITDLMASHQETLADASMVELSHVASEMIRGNEGGEVEESKVIEEKVESALVPKEKGLEKILQKADELRLGTVKGIVNVLTPKQAIHFLIAAAELHLRLHEWGKKIDARKGNLGTAGEVSNSQVEKSKEDVIRIAFFLVG >Vigun08g218300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37801899:37803958:1 gene:Vigun08g218300.v1.2 transcript:Vigun08g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRIINFLKPYVLKMHFTNKYVSAQVIHTPTATVASSASSQEKALRSSLETTRDVAAAAKIGKILAERLLLKDIPAVSVHLKREQKYHGKVKAVIDSLRDAGVKFL >Vigun03g175200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21667192:21668866:1 gene:Vigun03g175200.v1.2 transcript:Vigun03g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun06g036700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15178143:15179259:1 gene:Vigun06g036700.v1.2 transcript:Vigun06g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGSRRGYVPVLVGTGKGDLEKIWVTIKAIQSLTIVELLEQSANEYGYQNGLLKIICETHKFKTILLNISKK >Vigun02g027800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:9701459:9703273:1 gene:Vigun02g027800.v1.2 transcript:Vigun02g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYPRHPKTRSIDRLLISFLFHFHSSATSPLYLSSLSLSLSLSLSRSTMSSSVYSFLCLVFFFTILTLTHSSTEYLKLPLLPRTTLSNVSNILAADLHRLSGRRTLPQSPLTSGAAMGSGQYFADLRIGSPPQRLLLVADTGSDLVWVKCSACRNCSTKRPGSAFLPRHSRSFSSFHCYDSPCRFVPHPTPTHCNNHTKLHTPCRYEYSYADGSTTTGFFSKETTTFNTSSNKQGKIKNLAFGCGFKTSGPSVTGSSFNGAQGVMGLGRGPISFTSQLGRKFGNTFSYCLLDYTLSPPPKSYLTIGASSNDAVSRKLFSYTPFVTNPLSPSFYYIIIQSVSVDGVRLPISPSVWGIDDNGNGGTVLDSGTTLSFLAEPAYKQVLAAFRRRVRLPAAEGAAALGFDLCVNVSGTARPRLPKLRFVLAGKAVLSPPAGNYFIEPVDGVKCLAVQPVRPGSGFSVVGNLMQQGFLFEFDLDRSRIGFSRHGCAVR >Vigun07g205200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32664259:32665278:-1 gene:Vigun07g205200.v1.2 transcript:Vigun07g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHNHSLKHFLLFLFFFVTSTTAATGGRKLLFDMSTGGAPSSGNPTGGSGSGRGANWEYSWGWGSGPGTGYGFGSGSGRSPTGFGRGYGYGYGSGSGSGTGYGYGWGSGGARGGGYGSGSGAGNSGGGGYGGGNGGGDNNRMPSASKSKNSHG >Vigun05g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38806031:38806363:-1 gene:Vigun05g200800.v1.2 transcript:Vigun05g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFTLLLFSLAMLKSTAAATLRDTIDTVQTISDGEIIVSAGKVFSLGFFSPGNSKNRYVGIWYYRIPIQTVVWVANRNNPLTDSSGVLKLNETGLLVLLNHNKSVMVSH >Vigun01g012800.1.v1.2 pep primary_assembly:ASM411807v1:1:1434500:1437773:-1 gene:Vigun01g012800.v1.2 transcript:Vigun01g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKSSSVQKKKMKKGDMVWVRFPFYPLKWLPALVLSSDNLGVNVSFSFSYTQNDAVFPSSYIPHPQILPFEEAFPFVAKRGEVDLPQLHSALRFFGLSIVSGLRCRCLTGLNGLDCSSVFDPTEVLGFVLDAAVSPSVELPSFARAIRVVAQVHAFRSCYSLKHKKLYKQTKIAGDNVKMVPSSILGQKTHLVTQESVALESQAKCQIVPKNQQSKKVLGALKILNSTVPVWEVSTAHLFKNRHPIISETFIDCPSLDPLYMVLERLKSSRQSLLEFKKISDRGLVDICFENFDTMSKTHILVPSNFMIHLRNYIDEREDNGHELCWRLPDKDIIIYLNRKRRRLGNSASCHVFPQISGVQESEGDAYIPKHTRPRISDIKMLEPEGNIQKDSEASTHVCDTHMNFTDKVQKVDSSRSQYCESLSNSVFDFHSDMWEVDASAAKGKGILGSDSFLYQESLQMICNSVTTTRRSEKSAGTELFSEGCLVEDKDRFQGVASCSISNSEVGQLSRTHVPYSCKTLHMKFPKDFNFPPKEELVKKFSVFGSVDSFRTRVFFDGGSAQVCFLHEGDAVAAYKYAKRKALFGMAKVQFWLDPFEYKRRGFQRFAHVPPLKSCLRNSNALRKESIKKERRVRFECSAHVPPVASKQTVPPLKSCLKSSNTLGNESRKKKTQSTIHNSDLVDMDLLNEEFHNVFN >Vigun01g012800.2.v1.2 pep primary_assembly:ASM411807v1:1:1434500:1437773:-1 gene:Vigun01g012800.v1.2 transcript:Vigun01g012800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDMVWVRFPFYPLKWLPALVLSSDNLGVNVSFSFSYTQNDAVFPSSYIPHPQILPFEEAFPFVAKRGEVDLPQLHSALRFFGLSIVSGLRCRCLTGLNGLDCSSVFDPTEVLGFVLDAAVSPSVELPSFARAIRVVAQVHAFRSCYSLKHKKLYKQTKIAGDNVKMVPSSILGQKTHLVTQESVALESQAKCQIVPKNQQSKKVLGALKILNSTVPVWEVSTAHLFKNRHPIISETFIDCPSLDPLYMVLERLKSSRQSLLEFKKISDRGLVDICFENFDTMSKTHILVPSNFMIHLRNYIDEREDNGHELCWRLPDKDIIIYLNRKRRRLGNSASCHVFPQISGVQESEGDAYIPKHTRPRISDIKMLEPEGNIQKDSEASTHVCDTHMNFTDKVQKVDSSRSQYCESLSNSVFDFHSDMWEVDASAAKGKGILGSDSFLYQESLQMICNSVTTTRRSEKSAGTELFSEGCLVEDKDRFQGVASCSISNSEVGQLSRTHVPYSCKTLHMKFPKDFNFPPKEELVKKFSVFGSVDSFRTRVFFDGGSAQVCFLHEGDAVAAYKYAKRKALFGMAKVQFWLDPFEYKRRGFQRFAHVPPLKSCLRNSNALRKESIKKERRVRFECSAHVPPVASKQTVPPLKSCLKSSNTLGNESRKKKTQSTIHNSDLVDMDLLNEEFHNVFN >Vigun03g049600.3.v1.2 pep primary_assembly:ASM411807v1:3:3976967:3991146:1 gene:Vigun03g049600.v1.2 transcript:Vigun03g049600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCVKEEVSETPTVTQRKPRTGAVSVPPPDSVIELSSSDSDSESDIDLDDVVASALQDGGSPSKKPKTDSGAVLPVGFLSPLPPAPASPPASLLALPAPEWASISASLSKDYSGPGVNSCKQFWKAGDYDGAVCGGSGSSTVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDMLINKKDGSRMLLIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRFPGKDGTSSTQSIGLLSYTFLRSTGKEDIVVPMLDYERRGRQWNKIIRTTLDDWNKNVETMVQWSPFSDEADLLRQFNLVKDHGTRVIIYNLWEDDQGQLELDFDADPHDIQIRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPSGFRIILRGEDILHHNIVNDMMMSQEVTYKPQAGVDGLLPKDSYMVAVVTIGFVKDAVHHVDVSGFNVYHKNRLIKPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTLVLSRLESKLIQMQKKYWGTNCHKIGYASNRNKIQIRDSGDKDTTPEYVPEPSQSKRKYSTMDGKVTPSTSDKLHSQPNQKRMQKETERESAFNNKRMQKQTEKDSAFINGRSPVSPPKHIIQSSSEESSSDDDLSEDLLPKRTKKTSAAEKSFENENGGTTSNRKASQYTRASASKIKGKDVNNGEQPLSDNGLLTLEQLKKENRELKERLQRKEEEILEVSQALLHDQDKCKSLETQLNESEKKIEELNRDQETLIDVFSEERDRRDAEEKNLRKKLQEASNTIQELLDKIRVLEKKSTSA >Vigun03g049600.2.v1.2 pep primary_assembly:ASM411807v1:3:3976967:3991146:1 gene:Vigun03g049600.v1.2 transcript:Vigun03g049600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCVKEEVSETPTVTQRKPRTGAVSVPPPDSVIELSSSDSDSESDIDLDDVVASALQDGGSPSKKPKTDSGAVLPVGFLSPLPPAPASPPASLLALPAPEWASISASLSKDYSGPGVNSCKQFWKAGDYDGAVCGGSGSSTVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDMLINKKDGSRMLLIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRFPGKDGTSSTQSIGLLSYTFLRSTGKEDIVVPMLDYERRGRQWNKIIRTTLDDWNKNVETMVQWSPFSDEADLLRQFNLVKDHGTRVIIYNLWEDDQGQLELDFDADPHDIQIRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPSGFRIILRGEDILHHNIVNDMMMSQEVTYKPQAGVDGLLPKDSYMVAVVTIGFVKDAVHHVDVSGFNVYHKNRLIKPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTLVLSRLESKLIQMQKKYWGTNCHKIGYASNRNKIQIRDSGDKDTTPEYVPEPSQSKRKYSTMDGKVTPSTSDKLHSQPNQKRMQKETERESAFNNKRMQKQTEKDSAFINGRSPVSPPKHIIQSSSEESSSDDDLSEDLLPKRTKKTSAAEKSFENENGGTTSNRKASQYTRASASKIKGKDVNNGEQPLSDNGLLTLEQLKKENRELKERLQRKEEEILEVSQALLHDQDKCKSLETQLNESEKKIEELNRDQETLIDVFSEERDRRDAEEKNLRKKLQEASNTIQELLDKIRHECRTGLKNSVLGEILGVKLIGG >Vigun03g049600.1.v1.2 pep primary_assembly:ASM411807v1:3:3976943:3991203:1 gene:Vigun03g049600.v1.2 transcript:Vigun03g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCVKEEVSETPTVTQRKPRTGAVSVPPPDSVIELSSSDSDSESDIDLDDVVASALQDGGSPSKKPKTDSGAVLPVGFLSPLPPAPASPPASLLALPAPEWASISASLSKDYSGPGVNSCKQFWKAGDYDGAVCGGSGSSTVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDMLINKKDGSRMLLIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRFPGKDGTSSTQSIGLLSYTFLRSTGKEDIVVPMLDYERRGRQWNKIIRTTLDDWNKNVETMVQWSPFSDEADLLRQFNLVKDHGTRVIIYNLWEDDQGQLELDFDADPHDIQIRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPSGFRIILRGEDILHHNIVNDMMMSQEVTYKPQAGVDGLLPKDSYMVAVVTIGFVKDAVHHVDVSGFNVYHKNRLIKPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTLVLSRLESKLIQMQKKYWGTNCHKIGYASNRNKIQIRDSGDKDTTPEYVPEPSQSKRKYSTMDGKVTPSTSDKLHSQPNQKRMQKETERESAFNNKRMQKQTEKDSAFINGRSPVSPPKHIIQSSSEESSSDDDLSEDLLPKRTKKTSAAEKSFENENGGTTSNRKASQYTRASASKIKGKDVNNGEQPLSDNGLLTLEQLKKENRELKERLQRKEEEILEVSQALLHDQDKCKSLETQLNESEKKIEELNRDQETLIDVFSEERDRRDAEEKNLRKKLQEASNTIQELLDKIRVLEKKSTSGKLER >Vigun11g181500.2.v1.2 pep primary_assembly:ASM411807v1:11:38494705:38497647:-1 gene:Vigun11g181500.v1.2 transcript:Vigun11g181500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCSACDQKIHMCNKLASRHIRVGLADPTDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHERYLLLRQRVEFPGDKPAQMEELGLQPLDQNEFRRDENQSLKLNTRDSQQNHSILPVPRQENNIDGHRKMDKKLIDLNTRPLRLNGPAPNNQEQGMDILRGSNHEFASVPPVESYKQGAEK >Vigun11g181500.1.v1.2 pep primary_assembly:ASM411807v1:11:38494705:38497647:-1 gene:Vigun11g181500.v1.2 transcript:Vigun11g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCSACDQKIHMCNKLASRHIRVGLADPTDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHERYLLLRQRVEFPGDKPAQMEELGLQPLDQNEFRRDENQSLKLNTRDSQQNHSILPVPRQENNIDGHRKMDKKLIDLNTRPLRLNGPAPNNQNKSMRGNSYFHMNELHNGGRLKSMVYTKFS >Vigun01g086000.2.v1.2 pep primary_assembly:ASM411807v1:1:24249090:24250912:-1 gene:Vigun01g086000.v1.2 transcript:Vigun01g086000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCQNVSVTTERHQDQVFAPSCSSSLDDLFSAQNTEVDVELEWLSEFVEDCFSSPPSFVLGGGGTKSTSISISTSSSNPCCSGTLKRPQQQNESPLQSFSVPGKARSKRKRLSAPRRKDPLSIWSQHLNPQNEALSCDPPLLKQAYWLADSELMMPKPKEEKEVSEVVEKSKESFGECEVEGSSSGEQAAAMARRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMTQHH >Vigun01g086000.1.v1.2 pep primary_assembly:ASM411807v1:1:24249061:24251015:-1 gene:Vigun01g086000.v1.2 transcript:Vigun01g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCQNVSVTTERHQDQVFAPSCSSSLDDLFSAQNTEVDVELEWLSEFVEDCFSSPPSFVLGGGGTKSTSISISTSSSNPCCSGTLKRPQQQNESPLQSFSVPGKARSKRKRLSAPRRKDPLSIWSQHLNPQNEALSCDPPLLKQAYWLADSELMMPKPKEEKEVSEVVEKSKESFGECEVEGSSSGEQAAAMARRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMTQHH >Vigun03g323750.1.v1.2 pep primary_assembly:ASM411807v1:3:51950196:51951430:1 gene:Vigun03g323750.v1.2 transcript:Vigun03g323750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLIKLKLLKYIRICCSPIPSNMEEKFRS >Vigun10g008400.2.v1.2 pep primary_assembly:ASM411807v1:10:788002:796310:-1 gene:Vigun10g008400.v1.2 transcript:Vigun10g008400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSVELEAIIKEAVDLENIPLEEVFDNLKCTREGLSSQQVQERLDLFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIGMAHGGHERADYQDFIGIVLLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEEASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVSKHPGEGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIAVGMVIEIIVIYAIHQKGYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNIIEVFVKDVDSDMVVLMAARASRLENQDAIDGAIVSMLADPKEARAGIKEVHFLPFNPTDKRTALTYLDAAGKMHRVSKGAPEQILNLAHNKSEIQQKVHAIIDKFAERGLRSLAVARQEVPEGTKDSSGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGENKDGLGAVAVDDLIENADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAISITIRIVLGFMLLNSFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFATGIVLGSYLALMTVIFFYIVVETDFFPNHFGVKHFHYNPNAEDSDPTKRMLGSAVYLQVSTISQALIFVTRSRGWSYTERPGLLLVTAFIIAQAIATVISATLTWSVAGIRSIGWGWTGAIWLYNTVTYLLLDPLKFAVRYALSGRAWNLVVNQRTAFINKNDFGREAREAAWATEQRTLHGLHSAESKGFTDKHTFREINTLAEEARRRAEIARLRELHTLKGRVESFAKLRGLDIDAMNGHYTV >Vigun10g008400.3.v1.2 pep primary_assembly:ASM411807v1:10:788002:796615:-1 gene:Vigun10g008400.v1.2 transcript:Vigun10g008400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSVELEAIIKEAVDLENIPLEEVFDNLKCTREGLSSQQVQERLDLFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIGMAHGGHERADYQDFIGIVLLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEEASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVSKHPGEGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIAVGMVIEIIVIYAIHQKGYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNIIEVFVKDVDSDMVVLMAARASRLENQDAIDGAIVSMLADPKEARAGIKEVHFLPFNPTDKRTALTYLDAAGKMHRVSKGAPEQILNLAHNKSEIQQKVHAIIDKFAERGLRSLAVARQEVPEGTKDSSGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGENKDGLGAVAVDDLIENADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAISITIRIVLGFMLLNSFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFATGIVLGSYLALMTVIFFYIVVETDFFPNHFGVKHFHYNPNAEDSDPTKRMLGSAVYLQVSTISQALIFVTRSRGWSYTERPGLLLVTAFIIAQAIATVISATLTWSVAGIRSIGWGWTGAIWLYNTVTYLLLDPLKFAVRYALSGRAWNLVVNQRTAFINKNDFGREAREAAWATEQRTLHGLHSAESKGFTDKHTFREINTLAEEARRRAEIARLRELHTLKGRVESFAKLRGLDIDAMNGHYTV >Vigun10g008400.4.v1.2 pep primary_assembly:ASM411807v1:10:788002:796615:-1 gene:Vigun10g008400.v1.2 transcript:Vigun10g008400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSVELEAIIKEAVDLENIPLEEVFDNLKCTREGLSSQQVQERLDLFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIGMAHGGHERADYQDFIGIVLLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEEASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVSKHPGEGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIAVGMVIEIIVIYAIHQKGYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNIIEVFVKDVDSDMVVLMAARASRLENQDAIDGAIVSMLADPKEARAGIKEVHFLPFNPTDKRTALTYLDAAGKMHRVSKGAPEQILNLAHNKSEIQQKVHAIIDKFAERGLRSLAVARQEVPEGTKDSSGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGENKDGLGAVAVDDLIENADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAISITIRIVLGFMLLNSFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFATGIVLGSYLALMTVIFFYIVVETDFFPNHFGVKHFHYNPNAEDSDPTKRMLGSAVYLQVSTISQALIFVTRSRGWSYTERPGLLLVTAFIIAQAIATVISATLTWSVAGIRSIGWGWTGAIWLYNTVTYLLLDPLKFAVRYALSGRAWNLVVNQRTAFINKNDFGREAREAAWATEQRTLHGLHSAESKGFTDKHTFREINTLAEEARRRAEIARLRELHTLKGRVESFAKLRGLDIDAMNGHYTV >Vigun04g017300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1249496:1253083:-1 gene:Vigun04g017300.v1.2 transcript:Vigun04g017300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPPKSFSLNRQNPSHRHKHPARAAPYHRPMPTRYDQPMVDDDDDDDENEPEDFNDDNDEGENGYDENDVVGYPRIPKKRKVVVAPGSYEFAPRFKVSYGSRGSGSSGEEWSEHETFVLLEVWGDKFLQLGRNSLRSEEWHEVAEKVSEELKTERSVTQCRSVLDKLKRKYKKEKTKMDEMGMGSCKWPYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESDDDEEEEEFGGGGAAAAEEEEDETSFRVLADSIQKFGKIYEKIENSKRQQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEGDEEDTDTSTENLSE >Vigun04g017300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1249496:1253083:-1 gene:Vigun04g017300.v1.2 transcript:Vigun04g017300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPPKSFSLNRQNPSHRHKHPARAAPYHRPMPTRYDQPMVDDDDDDDENEPEDFNDDNDEGENGYDENDVVGYPRIPKKRKVVVAPGSYEFAPRFKVSYGSRGSGSSGEEWSEHETFVLLEVWGDKFLQLGRNSLRSEEWHEVAEKVSEELKTERSVTQCRSVLDKLKRKYKKEKTKMDEMGMGSCKWPYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESDDDEEEEEFGGGGAAAAEEEEDETSFRVLADSIQKFGKIYEKIENSKRQQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEGDEEDTDTSTENLSE >Vigun04g017300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1249507:1253076:-1 gene:Vigun04g017300.v1.2 transcript:Vigun04g017300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPPKSFSLNRQNPSHRHKHPARAAPYHRPMPTRYDQPMVDDDDDDDENEPEDFNDDNDEGENGYDENDVVGYPRIPKKRKVVVAPGSYEFAPRFKVSYGSRGSGSSGEEWSEHETFVLLEVWGDKFLQLGRNSLRSEEWHEVAEKVSEELKTERSVTQCRSVLDKLKRKYKKEKTKMDEMGMGSCKWPYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESDDDEEEEEFGGGGAAAAEEEEDETSFRVLADSIQKFGKIYEKIENSKRQQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEGDEEDTDTSTENLSE >Vigun04g017300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1249507:1253076:-1 gene:Vigun04g017300.v1.2 transcript:Vigun04g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPPKSFSLNRQNPSHRHKHPARAAPYHRPMPTRYDQPMVDDDDDDDENEPEDFNDDNDEGENGYDENDVVGYPRIPKKRKVVVAPGSYEFAPRFKVSYGSRGSGSSGEEWSEHETFVLLEVWGDKFLQLGRNSLRSEEWHEVAEKVSEELKTERSVTQCRSVLDKLKRKYKKEKTKMDEMGMGSCKWPYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESDDDEEEEEFGGGGAAAAEEEEDETSFRVLADSIQKFGKIYEKIENSKRQQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEGDEEDTDTSTENLSE >Vigun04g017300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1249496:1253083:-1 gene:Vigun04g017300.v1.2 transcript:Vigun04g017300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEDDARYPPKSFSLNRQNPSHRHKHPARAAPYHRPMPTRYDQPMVDDDDDDDENEPEDFNDDNDEGENGYDENDVVGYPRIPKKRKVVVAPGSYEFAPRFKVSYGSRGSGSSGEEWSEHETFVLLEVWGDKFLQLGRNSLRSEEWHEVAEKVSEELKTERSVTQCRSVLDKLKRKYKKEKTKMDEMGMGSCKWPYFKKMDMLMASSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESDDDEEEEEFGGGGAAAAEEEEDETSFRVLADSIQKFGKIYEKIENSKRQQMMELEKMRLDFNRELELQKKQILERAQAEIAKIQEGDEEDTDTSTENLSE >Vigun08g135500.1.v1.2 pep primary_assembly:ASM411807v1:8:30686315:30691242:-1 gene:Vigun08g135500.v1.2 transcript:Vigun08g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADETQNELKEEIPEIVPFDPTKKKKKKKITIVDPADDPVEKLAEKTENLTVSDGVEAAFTGLKKKKKKPVEMSNLNDESGDAIEDLDDHAEDDEGEMVAPQARYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICLGCKSPDTILTKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNTGT >Vigun01g157700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33986019:33987860:1 gene:Vigun01g157700.v1.2 transcript:Vigun01g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSKPVSSPGRTDKFPPPLMRFLRSNAGSKSRRSRSSPMFLRKKTTTNLQTQTTQEPSSPKVTCMGQVRVKRSSNTKRDVPPTRCRCRWVPNPNPCRYPPFCLTWPFFRRKPKQHSRPNHHQESESASEERTNVDKNIVSFASNPGTPPKNALLLTRCRSAPYRSSSLACRFWSSPVKDQETEFPNTEPASEEPQTDPKLGFLGTKIASLTEEEEKVDELLSDEKGVEMASAIASRPSVLTRCKSEPARTGHRIDPLVNNLWKKRLKVD >Vigun02g002900.1.v1.2 pep primary_assembly:ASM411807v1:2:1498196:1503084:1 gene:Vigun02g002900.v1.2 transcript:Vigun02g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVEEPITTTSQFTAPETMSLSSPQKIQNNLTVTSTLPIVQPHALLPKPVPPTASLRNDVTVSSAFGKFFHQRRHELSSAISRGISTLKPQNDDALKKNKNEGVTEFNLSGLKVFVAVKKNESFSQRRISFFSRSNCRECGAVRTFFRERAVRFVEINVDVFTEREKELRERTGSTTVPKIFFGEKLIGGLVELNALRKDGNKELERQLKEAEGEGPAAPVYGFDEAAEEAVKEEAAEETLKVVKVLRQRLPIQDRLLKMKIAKNCFAGSELVELLVRNHGYAPTKAVEIGKQLCKKHFIHHVFGENDFEEGNHFYRFIEHEPLISKCFNFRGATNDSEPKSAAAVCDRLTKIMCAILESYASEDRQRVDYVGISKSEEFRRYVNMTQDLQRVNLLELSENEALAFFLNLYNAMIIHAVIRVGCPEGVINRRSFSEFHYLIGGHPYSLSAIKNGILRSNRRSPYSLIKPFGSGDRRLELALVKLNPLVHFGLCNGTKSSPKVKFFSPYRVAEELRCAAREFFENDGIEVDLEKRTIHLNIIFKWYSGDFGQEKNMLKWIINYLDANKAGLLTHLLGDGGHVNVSYMSYDWSINS >VigunL056000.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10450:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYETYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.14.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.11.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10390:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.9.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.15.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10449:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.8.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.12.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >VigunL056000.10.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:6170:10382:-1 gene:VigunL056000.v1.2 transcript:VigunL056000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPKFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLNCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKKPL >Vigun03g262500.3.v1.2 pep primary_assembly:ASM411807v1:3:43130680:43134166:-1 gene:Vigun03g262500.v1.2 transcript:Vigun03g262500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGRGSNNNQQDNTTNNEIPAAETLFWYSKTDDVSSYRGFELWNQQQEQHVMQPHARPLLQRDLYSSGVGPSRGVSDDHSSSRSAFVAMRAAEGGISCQDCGNQAKKDCPHMRCRTCCKSRGYECQTHVKSTWVPASKRRERQQQLAALQEQQQQQRDLSKRPRDPTACTRLPSSGLEEGHFPSVVSSPAEFRCVRVSCVEDADDRYAYQTAVNIGGHVFKGILYDYGPENSNNNNSNNSNNYMAGETSAGVGVAGAHQPLNLTGSGVVTSSGALVDPSSLYSAPVNTFMGGSVPSYFGWGWSNHARAYSSFKAACSHLLMNGHPVV >Vigun03g262500.1.v1.2 pep primary_assembly:ASM411807v1:3:43130197:43134701:-1 gene:Vigun03g262500.v1.2 transcript:Vigun03g262500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGRGSNNNQQDNTTNNEIPAAETLFWYSKTDDVSSYRGFELWNQQQEQHVMQPHARPLLQRDLYSSGVGPSRGVSDDHSSSRSAFVAMRAAEGGISCQDCGNQAKKDCPHMRCRTCCKSRGYECQTHVKSTWVPASKRRERQQQLAALQEQQQQQRDLSKRPRDPTACTRLPSSEGLEEGHFPSVVSSPAEFRCVRVSCVEDADDRYAYQTAVNIGGHVFKGILYDYGPENSNNNNSNNSNNYMAGETSAGVGVAGAHQPLNLTGSGVVTSSGALVDPSSLYSAPVNTFMGGSGTQFFPHTRS >Vigun03g262500.2.v1.2 pep primary_assembly:ASM411807v1:3:43131540:43134506:-1 gene:Vigun03g262500.v1.2 transcript:Vigun03g262500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGRGSNNNQQDNTTNNEIPAAETLFWYSKTDDVSSYRGFELWNQQQEQHVMQPHARPLLQRDLYSSGVGPSRGVSDDHSSSRSAFVAMRAAEGGISCQDCGNQAKKDCPHMRCRTCCKSRGYECQTHVKSTWVPASKRRERQQQLAALQEQQQQQRDLSKRPRDPTACTRLPSSGLEEGHFPSVVSSPAEFRCVRVSCVEDADDSNNSNNYMAGETSAGVGVAGAHQPLNLTGSGVVTSSGALVDPSSLYSAPVNTFMGGSGTQFFPHTRS >Vigun04g024900.2.v1.2 pep primary_assembly:ASM411807v1:4:1915793:1919387:1 gene:Vigun04g024900.v1.2 transcript:Vigun04g024900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHNLVLAFAVTVSWLLSIGLPPSHSQTPPPQLCGEQSYLCRKNISAIFDPPWEQIPASQCNGADPSSLLSCHAPYDSIKVPTESQNFTVKDIDSATRTMKLMLRPPVNHVCSPNFFHSYQYFNNTLLLYNAPVHQITIFEHCSTIIFVDFPSMRNFTCGDVLYYFVEGYPYGDLESGCKGRLQVPAVAPLDRYYDTDDGASVLEQALNETFMVYYGIPDGCRRCGQSDGSCWPYVDDEHVVSCKYYCPNQHCSSPKTSGTSSGNWDQRRKLIILGVIGSTIAIVLISISIMYVRYKSPNWRANFGLASKSNQNIEAFLKIHGQLALKRYKLSYVKKITNNFKEKLGQGGFGAVYKGKLFCGSLVAVKMLSMFKKDGEEFMNEVASISRTSHINVVSLLGFCLEGHKRALIYEFMSNGSLDKFIYKTRDETLSSLSWDIIYKIAKDIARGLEYLHKGCNTRIFHFDIKPHNILLDENFCAKIADFGLAKLCPRNESIVSLSDARGTMGYVAPEMWSRNFGRVSHKSDVYSYGMMLLEMVGGRKNINGEASHTSEVYFPHWAYNKLELESDLRPDMEMTTEENEIAKRLAMVGLWCTQTFPNERPTMSRVIDMLEGSMDSLQIPPKPLLSSPTRSLSEHSIS >Vigun04g024900.1.v1.2 pep primary_assembly:ASM411807v1:4:1915793:1919387:1 gene:Vigun04g024900.v1.2 transcript:Vigun04g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHNLVLAFAVTVSWLLSIGLPPSHSQTPPPQLCGEQSYLCRKNISAIFDPPWEQIPASQCNGADPSSLLSCHAPYDSIKVPTESQNFTVKDIDSATRTMKLMLRPPVNHVCSPNFFHSYQYFNNTLLLYNAPVHQITIFEHCSTIIFVDFPSMRNFTCGDVLYYFVEGYPYGDLESGCKGRLQVPAVAPLDRYYDTDDGASVLEQALNETFMVYYGIPDGCRRCGQSDGSCWPYVDDEHVVSCKYYCPNQHCSSPKTSGTSSGNWDQRRKLIILGVIGSTIAIVLISISIMYVRYKSPNWRANFGLASKSNQNIEAFLKIHGQLALKRYKLSYVKKITNNFKEKLGQGGFGAVYKGKLFCGSLVAVKMLSMFKKDGEEFMNEVASISRTSHINVVSLLGFCLEGHKRALIYEFMSNGSLDKFIYKTRDETLSSLSWDIIYKIAKDIARGLEYLHKGCNTRIFHFDIKPHNILLDENFCAKIADFGLAKLCPRNESIVSLSDARGTMGYVAPEMWSRNFGRVSHKSDVYSYGMMLLEMVGGRKNINGEASHTSEVYFPHWAYNKLELESDLRPDMEMTTEENEIAKRLAMVGLWCTQTFPNERPTMSRVIDMLEGSMDSLQIPPKPLLSSPTRSLSEHSIS >Vigun04g062251.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6485973:6486481:1 gene:Vigun04g062251.v1.2 transcript:Vigun04g062251.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAVGFEKGIDRDFEPVLSMTPLN >Vigun09g115100.2.v1.2 pep primary_assembly:ASM411807v1:9:25246232:25248569:-1 gene:Vigun09g115100.v1.2 transcript:Vigun09g115100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPKKRKALVLQMLPRNRTWDCEYDIYSHLQEKNRVLKCGTKKFDYFNTLLQCFIVEDPKGDPELVQFMDSLHSIVKSQMLHHLEHSNIENTAEEKGVIVDPQHKYFMDHVRPHGKSYVLDIPEDGVCVKYEPESSLSPERMKNQSICSEILVIKDSDDDDDAACQIVEHCWQEHHKGKETTLFKEKLMEELKAPFCEEEYTRLLHNITVRKPVQRHRELRGGFKIYDESRIGKSYLDCNVDLAEKIKAAHGDHPRVLNLLRGFFYWLKNLSHEGAFLPWKDPSWLDVLPQQLEG >Vigun09g115100.1.v1.2 pep primary_assembly:ASM411807v1:9:25246190:25248591:-1 gene:Vigun09g115100.v1.2 transcript:Vigun09g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPKKRKALVLQMLPRNRTWDCEYDIYSHLQEKNRVLKCGTKKFDYFNTLLQCFIVEDPKGDPELVQFMDSLHSIVKSQMLHHLEHSNIENTAEEKGVIVDPQHKYFMDHVRPHGKSYVLDIPEDGVCVKYEPESSLSPERMKNQSICSEILVIKDSDDDDDAACQIVEHCWQEHHKGKETTLFKEKLMEELKAPFCEEEYTRLLHNITVRKPVQRHRELRGGFKIYDESRIGKSYLDCNVDLAEKIKAAHGDHPRVLNLLRGFFYWLKNLSHEGAFLPWKDPSWLDVLPQQLEG >Vigun07g086200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13034500:13036953:-1 gene:Vigun07g086200.v1.2 transcript:Vigun07g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLLTCCLSMELENHHPSTLLSMDSSAPSSHQELDLDMNGKITLSCPPDINLPLSVERSPPPQPWNPDPSDTVLDVGLGGAQGYEAESFLQLPKVGRKCAKRLDSIWGAWFFFRFYFKPALLEKSKAKVVRDSNGVSGFDKSDIKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADRGFVRSHRMQRKHYRGLSNPQCLHGIEVVPAPNLMNLDKDEQKKWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPLPLIKSVPNPHPKKLLNGPVLNLSTHLSNLSNGDGINLSSSISSKKRKDFFFYGNDEECCLAVNPPSDRIPDLVMHPSESLWLNDFSGVMKNVSGPVTASKTIYEDEQGYLIIISLPFVDLPSVKVTWRNTVTHGIIKVSCVSISRKPFITRNDRTFKLTEPLSEHCPPGEFVREIPLPTRIPEDANIEAYYDGPGSVLEITVPKLLPGSEEHEVRVCLRPNVGNDLMLT >Vigun07g086200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13034283:13036960:-1 gene:Vigun07g086200.v1.2 transcript:Vigun07g086200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLLTCCLSMELENHHPSTLLSMDSSAPSSHQELDLDMNGKITLSCPPDINLPLSVERSPPPQPWNPDPSDTVLDVGLGGAQGYEAESFLQLPKVGRKCAKRLDSIWGAWFFFRFYFKPALLEKSKAKVVRDSNGVSGFDKSDIKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADRGFVRSHRMQRKHYRGLSNPQCLHGIEVVPAPNLMNLDKDEQKKWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPLPLIKSVPNPHPKKLLNGPVLNLSTHLSNLSNGDGINLSSSISSKKRKDFFFYGNDEECCLAVNPPSDRIPDLVMHPSESLWLNDFSGVMKNVSGPVTASKTIYEDEQGYLIIISLPFVDLPSVKVTWRNTVTHGIIKVSCVSISRKPFITRNDRTFKLTEPLSEHCPPGEFVREIPLPTRIPEDANIEAYYDGPGSVLEITVPKLLPGSEEHEVRVCLRPNVGNDLMLT >Vigun07g086200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13034283:13036953:-1 gene:Vigun07g086200.v1.2 transcript:Vigun07g086200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLLTCCLSMELENHHPSTLLSMDSSAPSSHQELDLDMNGKITLSCPPDINLPLSVERSPPPQPWNPDPSDTVLDVGLGGAQGYEAESFLQLPKVGRKCAKRLDSIWGAWFFFRFYFKPALLEKSKAKVVRDSNGVSGFDKSDIKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADRGFVRSHRMQRKHYRGLSNPQCLHGIEVVPAPNLMNLDKDEQKKWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPLPLIKSVPNPHPKKLLNGPVLNLSTHLSNLSNGDGINLSSSISSKKRKDFFFYGNDEECCLAVNPPSDRIPDLVMHPSESLWLNDFSGVMKNVSGPVTASKTIYEDEQGYLIIISLPFVDLPSVKVTWRNTVTHGIIKVSCVSISRKPFITRNDRTFKLTEPLSEHCPPGEFVREIPLPTRIPEDANIEAYYDGPGSVLEITVPKLLPGSEEHEVRVCLRPNVGNDLMLT >Vigun09g149800.1.v1.2 pep primary_assembly:ASM411807v1:9:31373026:31374937:-1 gene:Vigun09g149800.v1.2 transcript:Vigun09g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASIPSSSQPEPNIFSIKEFAKSNGDFSLIPSTYHSISQIHDDDDDVAEDLASSIPVIDFSHLTSHHPQTHAKAVHLLGEACAEWGVFMLTNHGIPENLVEKLMKKSREFHDLPIEEKNEYGDNKSPFQPIRHGTSFCPQVEQVHYWRDYLKATTFPQFNFPHKPPGYREVAYEYSQKIRGLARKLLEGISESLGLGSNSIVESTEFDSGHQLFVVNLYPPCPQPHLALGLPPHSDIGLLTLLTQNGIGGLQVKHHGKWVKVNPLLNSITVLLSDQLEVMSNGRYESVLHRAILNNEETRISVVLANGPALDKEIGPLAELLEKEKPLFESMKYQDYFQFQQRSRLNDKSRLDEIRLN >Vigun07g187300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30415264:30415692:-1 gene:Vigun07g187300.v1.2 transcript:Vigun07g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEESVLLLVSFIILTLIVTLAAYLCSTDIPRTAPSVPAAVTHSNHTTITVEAPEPCIDQSVCNFPSLQFSKAKLCGSTSSSSSSCSICLMDYKDRDSLRVLPACGHFFHVKCVDPWLRINMTCPVCRTTIALSDVSKTL >Vigun10g200601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41313236:41315197:1 gene:Vigun10g200601.v1.2 transcript:Vigun10g200601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVSQTPRRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILQLFRYLKKPLVYSSCRTCYNQGILFESILHQLFLHRKNAANGYANEKRCDRLSDFVNFLREALTNIKISKRSQGSWSQIR >Vigun04g128000.1.v1.2 pep primary_assembly:ASM411807v1:4:32211955:32213528:-1 gene:Vigun04g128000.v1.2 transcript:Vigun04g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCSLFHSLLFISSLLFASETLVSSRTLEKRPPLVPGLSWNYYFPLECPTLEWIIRNNLEKAFKKNSGLAPGILRLFFHDCFANGCDASILLNAPDGNDEKSHPANVGIRQEALLAIEELRRVIYKRCLPVVSCSDILVVAAREAVRQMGGPDFDVPLGRKDSLTFDLDGPNNLPPPFFRTQQLLEVFGKRDFDATDVVALSGAHTYGRAHCSSLVNRTIESDPPIDPDFKNKLVATCPTPQSSNTVNLDARTPTTFDNMYYINLLNRQGVFTSDQDLASHPQTKEIVNLFASNRKEFFDSFADAFVKVSQIDVITSRQGKGEIRDRCFVANKKSSVEAVAEELVELAEAI >Vigun03g313000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50593768:50595036:1 gene:Vigun03g313000.v1.2 transcript:Vigun03g313000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQHPTASLQSKHVLIPGKSLSQKPALTVSFPRSTFSALKLKLAAATRRSNGAAGARMSATVASSYAQALADVASGNSTLDATAADIEKISELFSDSTVSDFFADPTLDVEKKRQLVDDIAESSAFQPHTRNFLYILVDAQRIDLINEIAKEFELVYNKLTDTELAVVTSVVKLESQHLAQIAKQVQKLTGAKNVRIKTLLDPSLVAGFTVRYGNSGSKLIDMSVRKQLEDIAAQLELSDITLAV >Vigun09g244200.2.v1.2 pep primary_assembly:ASM411807v1:9:41301707:41305883:-1 gene:Vigun09g244200.v1.2 transcript:Vigun09g244200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVNGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTQKSHKPRHRMHRTLGSSHHKTMSRSFSGDSHSKGSVSMPHGSAVDLSKLEMAALWRYWRHFNLVDAVPNPSKEQLVDIVQRHFMSQQIDELQVIMGFVQAAKRLKTVCK >Vigun03g040200.1.v1.2 pep primary_assembly:ASM411807v1:3:3072706:3075440:1 gene:Vigun03g040200.v1.2 transcript:Vigun03g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLQSTKTKPTLHFSSHSLPSMEPFNFLKYWKGAANATPNDPFFDLELALTDEDDSQDDTNATQNDVDHDDDQHHSHQSEPDSDSDSDSDSEKEFNFTLSPSASSDHPTISLSPSHHLLFNGDILLNSQPNSKPHFTASFFKSATKLRVFMLGLKKPKPNAGDKQPNTHPKKPRHRKLFTVNFKVEEVPIVSFFTRDNSSRAKPSNTQNAEETEPSHSQIEDKRSMPKYLKMVKPLYVRVSKRYAEKLRFSDQVDATSPDSAPPCSTAPEKSPTEAEGSPTEGAAPANVKGQKQGNVPLPAGLRKHLGKGRAPPPPFESSKRRDDSLLQQHDWIQGAILHCKRSFNAASTECESSEQPRSASDPLGDVSGELSEKCTTEKCL >Vigun03g040200.2.v1.2 pep primary_assembly:ASM411807v1:3:3072706:3075440:1 gene:Vigun03g040200.v1.2 transcript:Vigun03g040200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLQSTKTKPTLHFSSHSLPSMEPFNFLKYWKGAANATPNDPFFDLELALTDEDDSQDDTNATQNDVDHDDDQHHSHQSEPDSDSDSDSDSEKEFNFTLSPSASSDHPTISLSPSHHLLFNGDILLNSQPNSKPHFTASFFKSATKLRVFMLGLKKPKPNAGDKQPNTHPKKPRHRKLFTVNFKVEEVPIVSFFTRDNSSRAKPSNTQNAEETEPSHSQIEDKRSMPKYLKMVKPLYVRVSKRYAEKLRFSDQVDATSPDSAPPCSTAPEKSPTEAEGSPTEGAAPANVKGQKQGNVPLPAGLRKHLGKGRAPPPPFESSKRRDDSLLQQHDWIQGAILHCKRSFNAASTV >Vigun06g080600.2.v1.2 pep primary_assembly:ASM411807v1:6:21257090:21259396:-1 gene:Vigun06g080600.v1.2 transcript:Vigun06g080600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSTTLFVSLLLHLLFFTFFSQSESKSQPPNVSLELYYESLCPYSANFIVNHLPKIFTTDLIPVVDLKFVPWGNAKLRPNATINCQHGPNECLLNTVEACAIDTWPELGMHFLFIYCVEDMVHQRRVNEWESCFETLHLDSEPIKQCYNSEHGKQLELQYAAETDALQPPHKYVPWVVVDGEPLYECLQNFVVAGL >Vigun06g080600.1.v1.2 pep primary_assembly:ASM411807v1:6:21257090:21259396:-1 gene:Vigun06g080600.v1.2 transcript:Vigun06g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSTTLFVSLLLHLLFFTFFSQSESKSQPPNVSLELYYESLCPYSANFIVNHLPKIFTTDLIPVVDLKFVPWGNAKLRPNATINCQHGPNECLLNTVEACAIDTWPELGMHFLFIYCVEDMVHQRRVNEWESCFETLHLDSEPIKQCYNSEHGKQLELQYAAETDALQPPHKYVPWVVVDGEPLYEDYENFLSYICKAYKGTDTPKSCTKVSYLTEVKAKNEHSVCDKEGKEPTWRKLSSTMSSWLHKMNLGDAF >Vigun09g001500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:138291:141475:-1 gene:Vigun09g001500.v1.2 transcript:Vigun09g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYHLRSSILVLLLVTASAWEEEKKTFIVQVQHDAKPSIFPTHRHWYESSLGEATSIIHTYETVFHGFSATLSAAEVENLESLSHVIALIPEQVRQLHTTRSPHFLGLNTADRAGLLKETDFGSDLVIGLIDTGISPESQSFNDRDLGLPPPNWKGQCVAGKGFPPNSCNRKLIGARYFCGGYEATNGKMNETLEYRSPRDTDGHGTHTASIAAGRYVFPASIMGYAKGMAAGMAPKARLAVYKVCWNAGCYDSDILAAFDAAVADGVHVVSLSVGGVVVPYHLDVIAVGAFGASEAGVFVSASAGNGGPGGLTVTNVAPWMTTVGAGTIDRDFPADVILGNGKVIGGVSVYGGPGLSPGRLYQLVYAGSDGYSSSLCLEDSLDPKSVRGKIVVCDRGVNSRPAKGQVVKNAGGVAMILANGPFDGEGLVADCHVLPATSVGAAGGDQIRRYISLASQLRSPPTATILFRGTRVGVKPAPKVASFSARGPNPVSPEILKPDVIAPGLNILAAWPSTIPPSSLPSDHRTTDFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIRSALITTAYTLDNGGDGGTLLDESTGNASSVFDYGAGHVHPEKAINPGLVYDISTYDYVDFLCNSNYTAHNIRVITRKVADCSGAKRAGHAANLNYPSLSAAFQQYGKQGSRMSTHFIRTVTNVGDPNSIYRVTVAAPADTDVTVEPDTLAFRRLGQKLNFLVRVQTREVKLSPGSSTVKTGSIVWSDAKHTVTTPLVVTMHQPL >Vigun03g275000.1.v1.2 pep primary_assembly:ASM411807v1:3:45070709:45074801:1 gene:Vigun03g275000.v1.2 transcript:Vigun03g275000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSKPRANPTLSSSPNSDTHFTDTNANQQLPKNPIPTPKKSPLFPFYTPSPAHHFFSGKSPAPGTPRRFFKPPSPAKHIRAVLARRHGSVKPNEASIPEDEVVALDKNFGFSKQFEQKFEVGDEVGRGHFGYTCAAKFLKGQLKGQHVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHKNLIQFHDAYEDSDNVYIVMELCEGGELLDRILSRGGKYSEEDAKAVLIQILNVVAFCHLQGVVHRDLKPENFLFTSKEENSELKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRAYSTEADVWSVGVIAYILLCGSRPFWARTESGIFRAVLRATPSFDEPPWPSLSDDAKDFVKRLLNKDPRKRMTAAQALSHPWIKNYKDVKVPLDILVFKLMKTYMRSSSLRKAALRALSKTLATEELQHLKEQFALLEPNKTNTISLENIKAALMKNATDAMKESRISDFLASLNALQYRRMAFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSLAKPH >Vigun09g276300.2.v1.2 pep primary_assembly:ASM411807v1:9:43652856:43672152:1 gene:Vigun09g276300.v1.2 transcript:Vigun09g276300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTEYISQCQYILDHALTPYALMLASSSLLKQVTEHSLALKLRLDIWTYLINYLATRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILSQLISEMNQANAGMPATIHRRVACSFRDQYLFQIFQISLTSLGQLKNDVVNQMQELALALSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEESSTLQIFFDYYGITKPPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKVILQTGQGLADHDNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITENFITSRFNSVQAGLPDDLSENPLDNAELLQDQLDCFPYLCRFQYESSSLFIINVMEPVLQIYTERARLHVPDNSDLSVIEDKLAWIVHIIAAILKIKQCTGCSLESQEVLDAELSARVLQLINVTDSGIHSQRYSEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKLYTRLSELLGLHDHLLLLNVIIGKIVTNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEAKRCTRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLQQVFLSLESTPDAVFRTDAVKFALVGLMRDLRGIAMATSSRRTYGFLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVQNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRVLSLPNPADIYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFNSHITFVLNLDTNTFMHMVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPHLPASANLARHIAECPNLFPEILKTLFEIILFEDCGNQWSLSRPMLSLILINEQIFSDLKAQILSSQPMDQHQRLSSCFDKLMADVTLTIDSKNRDKFTQNLTVFRHEFRAK >Vigun09g276300.1.v1.2 pep primary_assembly:ASM411807v1:9:43652856:43672152:1 gene:Vigun09g276300.v1.2 transcript:Vigun09g276300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTEYISQCQYILDHALTPYALMLASSSLLKQVTEHSLALKLRLDIWTYLINYLATRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESMNFLSQATPGHYAIGLKILSQLISEMNQANAGMPATIHRRVACSFRDQYLFQIFQISLTSLGQLKNDVVNQMQELALALSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEESSTLQIFFDYYGITKPPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKVILQTGQGLADHDNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITENFITSRFNSVQAGLPDDLSENPLDNAELLQDQLDCFPYLCRFQYESSSLFIINVMEPVLQIYTERARLHVPDNSDLSVIEDKLAWIVHIIAAILKIKQCTGCSLESQEVLDAELSARVLQLINVTDSGIHSQRYSEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKQLYTRLSELLGLHDHLLLLNVIIGKIVTNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEAKRCTRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLQQVFLSLESTPDAVFRTDAVKFALVGLMRDLRGIAMATSSRRTYGFLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVQNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRVLSLPNPADIYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFNSHITFVLNLDTNTFMHMVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPHLPASANLARHIAECPNLFPEILKTLFEIILFEDCGNQWSLSRPMLSLILINEQIFSDLKAQILSSQPMDQHQRLSSCFDKLMADVTLTIDSKNRDKFTQNLTVFRHEFRAK >Vigun09g276300.3.v1.2 pep primary_assembly:ASM411807v1:9:43654263:43672152:1 gene:Vigun09g276300.v1.2 transcript:Vigun09g276300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATIHRRVACSFRDQYLFQIFQISLTSLGQLKNDVVNQMQELALALSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEESSTLQIFFDYYGITKPPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKVILQTGQGLADHDNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITENFITSRFNSVQAGLPDDLSENPLDNAELLQDQLDCFPYLCRFQYESSSLFIINVMEPVLQIYTERARLHVPDNSDLSVIEDKLAWIVHIIAAILKIKQCTGCSLESQEVLDAELSARVLQLINVTDSGIHSQRYSEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKQLYTRLSELLGLHDHLLLLNVIIGKIVTNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEAKRCTRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLQQVFLSLESTPDAVFRTDAVKFALVGLMRDLRGIAMATSSRRTYGFLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVQNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRVLSLPNPADIYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFNSHITFVLNLDTNTFMHMVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPHLPASANLARHIAECPNLFPEILKTLFEIILFEDCGNQWSLSRPMLSLILINEQIFSDLKAQILSSQPMDQHQRLSSCFDKLMADVTLTIDSKNRDKFTQNLTVFRHEFRAK >Vigun09g276300.4.v1.2 pep primary_assembly:ASM411807v1:9:43654263:43672152:1 gene:Vigun09g276300.v1.2 transcript:Vigun09g276300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATIHRRVACSFRDQYLFQIFQISLTSLGQLKNDVVNQMQELALALSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKPVLEESSTLQIFFDYYGITKPPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKVILQTGQGLADHDNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITENFITSRFNSVQAGLPDDLSENPLDNAELLQDQLDCFPYLCRFQYESSSLFIINVMEPVLQIYTERARLHVPDNSDLSVIEDKLAWIVHIIAAILKIKQCTGCSLESQEVLDAELSARVLQLINVTDSGIHSQRYSEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKLYTRLSELLGLHDHLLLLNVIIGKIVTNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEAKRCTRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLQQVFLSLESTPDAVFRTDAVKFALVGLMRDLRGIAMATSSRRTYGFLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVQNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRVLSLPNPADIYTYKYKGIWICLTILSRALSGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTKAYFAFLEVLFNSHITFVLNLDTNTFMHMVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPHLPASANLARHIAECPNLFPEILKTLFEIILFEDCGNQWSLSRPMLSLILINEQIFSDLKAQILSSQPMDQHQRLSSCFDKLMADVTLTIDSKNRDKFTQNLTVFRHEFRAK >VigunL059243.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000296.1:48709:49169:-1 gene:VigunL059243.v1.2 transcript:VigunL059243.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDHDAEHESGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun07g256600.1.v1.2 pep primary_assembly:ASM411807v1:7:37421240:37427414:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMEKLLVGSSVCIILVLANSVESFEHQKQRHSLCELLILPSVYVCSQYTVETMDGDVNDGEALLLLLLLHGLFMDLAMHDFAEMTNYIHSGTNSKLFVLECSQGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVNFCWYLRKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun07g256600.3.v1.2 pep primary_assembly:ASM411807v1:7:37421239:37427414:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMEKLLVGSSVCIILVLANSVESFEHQKQRHSLCELLILPSVYVCSQYTVETMDGDVNDGEALLLLLLLHGLFMGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVNFCWYLRKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun07g256600.6.v1.2 pep primary_assembly:ASM411807v1:7:37422895:37427415:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFAEMTNYIHSGTNSKLFVLECSQGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun07g256600.5.v1.2 pep primary_assembly:ASM411807v1:7:37422895:37427415:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFAEMTNYIHSGTNSKLFVLECSQGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVNFCWYLRKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun07g256600.2.v1.2 pep primary_assembly:ASM411807v1:7:37421240:37427414:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMEKLLVGSSVCIILVLANSVESFEHQKQRHSLCELLILPSVYVCSQYTVETMDGDVNDGEALLLLLLLHGLFMDLAMHDFAEMTNYIHSGTNSKLFVLECSQGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun07g256600.4.v1.2 pep primary_assembly:ASM411807v1:7:37421239:37427414:1 gene:Vigun07g256600.v1.2 transcript:Vigun07g256600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMEKLLVGSSVCIILVLANSVESFEHQKQRHSLCELLILPSVYVCSQYTVETMDGDVNDGEALLLLLLLHGLFMGIVFCCVAFTQLEIAEKLEAANHNVLSRAENKARVSTVSSFQSQPSSWKRFQDLAMALVFVIGYAVIMFEEYLAFNKSGVGLLMAVSLWVIRSIGAPSTDIAVSELTHASAQVSEIVFFLVGVMTIVEIIDAHRGFKLVTDNITTQNPRLLLWVIGFVTFFLSSVLDSLASTVVMISLLRKLVPLSELRKILGAVVVIAANAGGAWSPIGAVTTTMLWIHGQVSVVQTMKDLFIPSVISLAVPLVLMSLTSVVNDGKGQNSLDVFASEESVPQGLFVFSLSLGALLFVPVFRALTGLPPYMGMLLGLGMLWIVTDVIHYGDSERQKLKVPHALSRIDTQGALFFLGILLSVSSLEVAGILQEIANYFDAHVPSSELIASAIGLISAVIDNVPLVAATMGMYDVSSFPQDSEFWQLIALCASTGGSILIVGSAAGVAFMGIEKVSGFALAGYAAGIAAYLVLHNLNMSQQTLGGGSFLSAS >Vigun01g172500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35482176:35482514:1 gene:Vigun01g172500.v1.2 transcript:Vigun01g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAPEGHRLCANNCGFFGSPATMNLCSKCYRDIRLKEEEQAKTKSTIETALSSSSSAATATVASATAVVSSPAPVESLPQPAVVTAPDACAPLQANRCGACRKRVG >Vigun07g096700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:16004566:16006113:-1 gene:Vigun07g096700.v1.2 transcript:Vigun07g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQKFCVNEEDKGVLESVLGAEAVAFFISALSNNVFSSVVAPSVGAEPSHRQRLCQLVEGSKWNYAVFWQVAGLKSGGSALIWGDGHCSDAKGKRSGIGKEDEQELRKMVLQKLDACFGGYVSKEANYARLDRLSDLLMFYLSSMCYIFGFDSPCGPGSSFKSGKLIWTSDAAGCLNQLESRSFLGKVAGLQTVAFVPLKSGVVELGSNEVVSEEQGFVEMVKTAFGESSPGQTKVFPKIFGHELSLGDTKSQSITISFSPKVEDDPGFTSDSFEVQALGVNHAYGNSSNGTLGDSSEGKMFPQLNQMMGGNFNAQARVPCLDLGNEDTSSIHADERKPEKEVENLPMGERNH >Vigun03g345600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54490612:54491373:-1 gene:Vigun03g345600.v1.2 transcript:Vigun03g345600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVQRDNRRQRLGHDKSTFVPIKSLPMDLLVNVVARVSSESCIDHYNMKVCCRDFLHASKDNYMWQQISLEKFPLHSWSCKEKARVFDTFMQSCKECGNIEAFYRKGLEEIIRYEGNIEKGIKDLKMAAEKGHLEAKYVYGLILLCSYDDDLRKEGVEHMQFLRNVKCVVSCRNRVVNLLGKIWRKPYGTLIRNPSPLCSKRQCNGWSMKKCHSWEIVDNEDDDDNIKNSCESCRWDVELDFFYDVLFHHV >Vigun02g204600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33803697:33805448:-1 gene:Vigun02g204600.v1.2 transcript:Vigun02g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSDSLKAKKGFHLQLQACFVSTATTGEVYSNLLRRYAQDSDLLRGRAIHAKSITGSIPSTRFLQNHLLNMYVKIGDLSSGLKMFDEMPSRNVVSWSAVMSGCVQNGCAREALSLFSRMHQEGVTKPNEFTFVSALHACSLTEAENYGTLPHQIYSLVVRSGFMSNIFLLNAFLASLIRHGKLSEAIMVFKTSPDKDTVSWNTMIGGYLQFSCGQIPEFWCSMNREGIRPDNFTFATALTGLAALSYLQMGTQVHAHLVKSGYGDDLCVGNSLADMYIKNHKLVEAFRAFHETPNKDVCSWSQIAAGCLHSGEPRKALALVADMKRMGVKPNKFTLTTALNACASLASLEEGKQIHGLRIKLEGDIDIDVCVDNATLDMYAKCGCMDSAWDVFRSMNSRSVISWTTMIMACAQNGQSREAIQIFEEMRETSVLPNHITFVCVLYACSQGGFVDDGWKYFSSMTPDYGIFPGEDHYACMVNILGKAGLIKEAKELILRMPVQPSAIVWQTLLSACQLHGDVPTGKLAAERALRRDRKDPSTYLLLSNMFAEYSNWDGVLILRELMEMKDVKKVPGSSWIEIT >Vigun02g021300.1.v1.2 pep primary_assembly:ASM411807v1:2:7396842:7397526:-1 gene:Vigun02g021300.v1.2 transcript:Vigun02g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTLLFRSIVRFVTCFVGEPTTSISTLLYYSGLLPHNVILLRMVRHELLDQENHLFHLLISMMSCWW >Vigun06g024600.1.v1.2 pep primary_assembly:ASM411807v1:6:11392318:11395444:1 gene:Vigun06g024600.v1.2 transcript:Vigun06g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSINDNEELRKAIDDIRPSHFRNLLKLSIKYCDQRLANFLSILMKRANKLQNISIEKCQNLEHLFDLNEFTPDNDGHDKYFTQIKTLILIELHQLKCIWNKDPVGILGFENLQMVHITSCSSLDKLFTPSTPKKLSQLNELKLETCQMLQKVIDSGNIETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLIIEKCPELEEFTTGLATTNASQITNDRSFSELGDLKLDNCHKLVCVVSSKALHELKSLKKLIVSHCNTLEVVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFIRLCESMQSLQVKQCKLLKWLPVSLMLISVEISNCEALEKIMTTNKEEGTRGENTFPKLKFVSLQNLTNLYSLFPFTYEFPSLEELKIINCPNLTTFVEESKELKDLSKSTTSNVFFPNSLSLETLKMLYMKNQNFEKLWQNNCHSKSFYELEYLTLSSNKKLLNVISSNIIIRLNKLKKLTLEQCEVLTEIFYLEDDKPTGNIQELLPQLQELALSYLRSFTCIWNMEPSVSFFPNLLSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVISIDNREDMTVSFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIMRARNIPSMKFFSVGIVITPLLRSIHVTFARKLWLGNLNETLSYISNNPGKFHFAKLFGFPS >Vigun03g041500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3252710:3253879:-1 gene:Vigun03g041500.v1.2 transcript:Vigun03g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTENQGSFLGRISIRRNQVMSMDGTHDQEMEDLELFQKHVGDRFSELLSTTTEDSSGDALLSISWLRRLLDELLCIEAEFKAVVLMGRDPSQIAKPPLDKLLPEFLDRFVKSLDLCNAVALGLDAVKNLQRLAEIVVDALEVTPLGDGQVRRAKKALSALIAAMLHEDNANAKGTERNRSFGRRTNNSGSNSKDRVRALSWTMARNWSASKQIHAMMSNLTAPRGAESSGLAQPVYIMSTVLMFVMWAFVAAVPCQERNGLGTHFPLPRQLNWAQPLIGLQEKIAEEWKKKEKKGNVGLLEEMQRMEKLAQSLVEFADSFQFPPETERLDEMKRNVEDLAEICKKMDQGLEPMQQQIREVFHRIVRSRAEFLVVLDQAGKLSAPAV >Vigun03g168350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19742007:19742684:1 gene:Vigun03g168350.v1.2 transcript:Vigun03g168350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVNVVARVSSQSCIDHYNIKVCCRVFLHASKDNYMWQQISLEKFPLHSWSCKEKVRVFDTFMQSCKECGNIEALYRKGLEEIIRYEGSIEKGIKDLNMAAKKGHLEAKYVYGLILLCSYDDDLRKEGVEYMQFLRNVKCVVSCRNKVVNLLGNLWRKPYGTLVRNPSPLGSKRQCNGWNMKKCRSWKIVNNKDDDDNIKNSCENCRWDVELDFLYDVLFHHV >Vigun05g157600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25552818:25553978:-1 gene:Vigun05g157600.v1.2 transcript:Vigun05g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMYDVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTHWDYLATMFTETITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVAKDKCYDFCNYLLTSIAEYQKLITRNPIFLERVEGLDVLDVKEVINWGLSGPMLRASRIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLLGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELQKQELYVRVEAPKGELGIFLVGDQNGFAWRWKIHSPDFINLQILPQLVKRMKLANIMTILGSINIIMGEVDR >Vigun08g107450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26708213:26710373:-1 gene:Vigun08g107450.v1.2 transcript:Vigun08g107450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLQLLPLSLSPLHPLPPTLWPTSTSSGQCNHHASERPPFRISLCRSLSRMLSLYRALSLSLSLRCTHCHHTLQPLSTTSGHLRPPLASATTTRASPLHFASLSRVVSLSCALSLSTTPTATTPSDHRRPPPATCDHFSVFLRQL >Vigun05g118100.1.v1.2 pep primary_assembly:ASM411807v1:5:12709896:12713260:1 gene:Vigun05g118100.v1.2 transcript:Vigun05g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRFRQNQRPKYDCLLFDLDDTLYPLSTGIAKACGQNIKDYMVEKLGIEKSKIDDLSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRNLLLSLPYRKLIFTNSDKVHAVKALSRLGLEDCFEGIICFETLNRIHKSTVSDDEDDIEFVGVSNTTNPTTKKDAGASQIFDIIAHFSQPNPNTILPKTPIICKPSENAIELALKIANLNPQRTLFFEDSVRNIQAGKRVGLHTVLVGKSQRIKGADYALESIHNLREAVPELWEDDIKSEVAYPGNLAVETSVTA >Vigun05g118100.2.v1.2 pep primary_assembly:ASM411807v1:5:12709896:12713260:1 gene:Vigun05g118100.v1.2 transcript:Vigun05g118100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLGIEKSKIDDLSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRNLLLSLPYRKLIFTNSDKVHAVKALSRLGLEDCFEGIICFETLNRIHKSTVSDDEDDIEFVGVSNTTNPTTKKDAGASQIFDIIAHFSQPNPNTILPKTPIICKPSENAIELALKIANLNPQRTLFFEDSVRNIQAGKRVGLHTVLVGKSQRIKGADYALESIHNLREAVPELWEDDIKSEVAYPGNLAVETSVTA >Vigun06g028650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12689859:12693564:-1 gene:Vigun06g028650.v1.2 transcript:Vigun06g028650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSKSAAKKGFKFRKFLDAFFIDRNFFLAICLILPNLDRGRDSYGLKGSVLPTSLIDALGISRYSPHAL >Vigun06g028650.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12693232:12693447:-1 gene:Vigun06g028650.v1.2 transcript:Vigun06g028650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSKSAAKKGFKFRKFLDAFFIDRNFFLAICLILPNLDRGRDSYGLKGSVLPTSLIDALGISRYSPHAL >Vigun06g028650.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12689852:12693564:-1 gene:Vigun06g028650.v1.2 transcript:Vigun06g028650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSKSAAKKGFKFRKFLDAFFIDRNFFLAICLILPNLDRGRDSYGLKGSVLPTSLIDALGISRYSPHAL >Vigun07g112000.2.v1.2 pep primary_assembly:ASM411807v1:7:20810876:20814022:-1 gene:Vigun07g112000.v1.2 transcript:Vigun07g112000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRELDHLLLSSFSDPPKDGLKSSGMSIEKKIEFLESLTGKVTNRRSRRWLNDRLLMELVPRLNADEIRGLFAPPPWGDEVPPSTFSMTNIEEWDRFRNIDMDKEVNIISSMENSMEKRKGHIDADKMAVLNGWHRIDCRTRDALRRSSLSELIDNYEFYNLASDTVTDYKCSKITKIKKKKKGSPVLPNITLSHFLKMSKEGSW >Vigun07g112000.3.v1.2 pep primary_assembly:ASM411807v1:7:20810876:20814021:-1 gene:Vigun07g112000.v1.2 transcript:Vigun07g112000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRELDHLLLSSFSDPPKDGLKSSGMSIEKKIEFLESLTGKVTNRRSRRWLNDRLLMELVPRLNADEIRGLFAPPPWGDEVPPSTFSMTNIEEWDRFRNIDMDKEVNIISSMENSMEKRKGHIDADKMAVLNGWHRIDCRTRDALRRSSLSELIDNYEECLRTFITASTDGDVLELQIQDPFHRLLLHGVCEVICCSIC >Vigun07g112000.4.v1.2 pep primary_assembly:ASM411807v1:7:20810876:20814022:-1 gene:Vigun07g112000.v1.2 transcript:Vigun07g112000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIEEWDRFRNIDMDKEVNIISSMENSMEKRKGHIDADKMAVLNGWHRIDCRTRDALRRSSLSELIDNYEECLRTFITASTDGDVLELQIQDPFHRLLLHGVCEFYNLASDTVTDYKCSKITKIKKKKKGSPVLPNITLSHFLKMSKEGSW >Vigun07g112000.1.v1.2 pep primary_assembly:ASM411807v1:7:20810876:20814021:-1 gene:Vigun07g112000.v1.2 transcript:Vigun07g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRELDHLLLSSFSDPPKDGLKSSGMSIEKKIEFLESLTGKVTNRRSRRWLNDRLLMELVPRLNADEIRGLFAPPPWGDEVPPSTFSMTNIEEWDRFRNIDMDKEVNIISSMENSMEKRKGHIDADKMAVLNGWHRIDCRTRDALRRSSLSELIDNYEECLRTFITASTDGDVLELQIQDPFHRLLLHGVCEFYNLASDTVTDYKCSKITKIKKKKKGSPVLPNITLSHFLKMSKEGSW >Vigun10g124700.2.v1.2 pep primary_assembly:ASM411807v1:10:33301449:33303604:1 gene:Vigun10g124700.v1.2 transcript:Vigun10g124700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGISGVVIEDSALITTILVNRGVRSDEEGTKAMTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSSLGQLESFPKESWARGVFSDADNLTEEKMDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGLLTVEEGAASPVRLALLPNGSPSGFFYYRADLASF >Vigun10g124700.1.v1.2 pep primary_assembly:ASM411807v1:10:33301449:33303627:1 gene:Vigun10g124700.v1.2 transcript:Vigun10g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGISGVVIEDSALITTILVNRGVRSDEEGTKAMTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSSLGQLESFPKESWARGVFSDADNLTEEKMDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGLLTVEEGAASPVRLALLPNGSPSGFFYYRADLASF >Vigun01g023600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2571921:2574051:-1 gene:Vigun01g023600.v1.2 transcript:Vigun01g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNVVPSSSSPLTFTKPKPNFFLNASPHLKPNLLTRFQTQHRPNPLQSSKLSFAPTSQIQHAISKVRSFNGFLQPSSKPSLQIVKAASETNPGGGENVAPKSKNLKLALVFGLWYFQNIVFNIYNKKVLNIFPFPWLLASFQLLVGSIWMLVLWSSKLQPCPKISKPFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVIFSSVLGDKYPIQVWLSILPIVLGCSLAAVTEVSFNVQGLWGALISNVGFVLRNIYSKKSLQNFKEVDGLNLYGWITILSFLYLFPVAVFVEGSQWIPGYYKAIEAIGKPSTFYFWVLLSGVFYHLYNQSSYQALDEISPLSFSVGNTMKRVVVIVSTVLVFRNPVRPLNGLGSAIAILGTFLYSQATSKKKEKKIEEEKSS >Vigun03g375500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57817484:57818969:1 gene:Vigun03g375500.v1.2 transcript:Vigun03g375500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLAQEIAVMAAALVSLPFLFHFTLTIHFTTATTASYNLFIVQLSPLSPHNSKHTIWDHNSDNVLKQVPTNDYVSNLVPSSRNVVFLMNVSIGEPPVPQLAVMDTGSSLTWFMCEPCTFCSQQTIPVFDPSKSSTYASLTCSECNKCDVKNNNGECPYNLEYVGSGSSQGIYVKEQLTLETTDEGTVRVPGLIFGCGRKFTVASDGYPYLGINGVFGLGSGRFSLLPSFGKKFSYCVGSLRNSNYKFNKLVLGDKANMQGDSTALHVINGLYYVTLEAISVGGKKLDIDPSIFERSRINNNSGVIIDSGADHTWLTKYGFEVLSIEVENLLEGVLGEDKHNHYTLCYDGVVSRDLSGFPVVTFHFAEGAVLDLDVTSMFTQTSENEFCMAVLPGNYFGDDYESFSSIGMLAQQYYNVGYDLNGMRLYLQRIDCQLLDG >Vigun05g086000.1.v1.2 pep primary_assembly:ASM411807v1:5:8172378:8177245:1 gene:Vigun05g086000.v1.2 transcript:Vigun05g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFSVCVLFFVVLLAPLMLVVSGDTGFNDDVLGLIVFKAGLEDPKRKLSSWNEDDNSPCNWEGVKCDPSSNRVTALVLDGFSLSGHVDRGLLRLQFLQILSLSRNNFTGPINPDLPGLGNLQTVDFSDNNLSGEIPEGFFQQCGSLRTVSFAKNNLTGKIPESLSSCSNLATVNFSSNQLHGELPNGVWFLRGLQSLDLSYNMLEGEIPEGIQNLYDMRELSLQRNRFRGRLPADIGGCLLLKSLDLSGNFLSGELPQSMQRLTSCTSLSLQGNSFTGGIPEWIGELKNLDMLDLSANSFSGWIPKSLGNLDSLHRLNLSRNQFTGNLPDSMLNCTKLLALDISHNHLAGHVPSWIFKMGVQSISVSGNGFSKGNYPALKSTPTSYHGLEVLDLSFNAFSGVLPSDIGGLSSLQVFNISANNISGPIPVGIGELKSLYIIDLSDNKLNGSIPSEIEGAISLRELRLQKNFLGGKIPAQIDKCSSLTFLILSHNKLTGPIPAAIANLTNLQYVDLSWNELSGSLPKELTNLSHLFSFNVSNNHLEGELPVGGFFNTISSSSVSGNPLLCGSVVNHSCPSVHPKPIVLNPNSSGSNSSISSQTHRHKIILSISALIAIGAAAFIAIGVVAVTVLNIHVRSSMERMPATFAMSGGEDYSGSPANDPNYGKLVMFSGDADFADGAHNLLNKESEIGRGGFGVVYRTFLRDGHAVAIKKLTVSSLIKSQEDFEREIKKLGKIRHSNLVALEGYYWTSSLQLLIYEYLSTGSLHKLLHDDSSKNVFSWPQRFKIIHGMAKGLAHLHQMNIIHYNLKSTNVLIDCSGEPKVGDFGLVKLLPMLDHCVLSSKIQSALGYMAPEFACRTVKITEKCDIYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGKVEQCVDRRLLGNFAAEEAIPVIKLGLICASQVPSNRPDMAEVVNILELIQCPSEGQEELE >Vigun09g097400.1.v1.2 pep primary_assembly:ASM411807v1:9:14918421:14921417:-1 gene:Vigun09g097400.v1.2 transcript:Vigun09g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSLLFFFFFFFLLTPILIFSSPVQNPEEVVQEVNRKINASIARPRRSLGYLSCGSGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVDDAGDDDPVNPKPGTLRHAVIQDEPLWIIFARDMVIQLKEELLMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGIHVHDCKQGGNAMVRASPRHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKREDAAESEWQSWNWRSEGDLLVNGAFFTASGAGASSSYARASSLSARPSSLVGSITTGAGALTCKKGSPC >Vigun09g097400.2.v1.2 pep primary_assembly:ASM411807v1:9:14918421:14921417:-1 gene:Vigun09g097400.v1.2 transcript:Vigun09g097400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSLLFFFFFFFLLTPILIFSSPVQNPEEVVQEVNRPRRSLGYLSCGSGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVDDAGDDDPVNPKPGTLRHAVIQDEPLWIIFARDMVIQLKEELLMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGIHVHDCKQGGNAMVRASPRHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKREDAAESEWQSWNWRSEGDLLVNGAFFTASGAGASSSYARASSLSARPSSLVGSITTGAGALTCKKGSPC >Vigun09g164400.4.v1.2 pep primary_assembly:ASM411807v1:9:33260278:33264217:1 gene:Vigun09g164400.v1.2 transcript:Vigun09g164400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDTEGIEALDDARKAEIVPEPLGVVLVISPWNYPILLALDPVLGAIAAGNAVVLKPSEIAPATALLLEKLIEKYMDQSIVRVVQGAVDETTALLQQKWDKIFYTGNGKVGRIVMTAAAKHLTPVLLELGGKSPVVVDSNINLKVAVRRIISGKWGLNNGQACISPDYVITTKDYAPKLVDALKTELQECYGKNPLESEDLSRIVSSNHFARLSKLLNDDKVSGKIVYGGEKDENKLRIAPTILLDVPRDSLIMGEEIFGPLLPIITVNELDESLDVINSGDKALAAYIFTNDKKFKEQFVKNVSAGGLLVNDTTLHVVVDTLPFGGVGESGMGAYHGKFSFDAFTHKKAVL >Vigun09g164400.3.v1.2 pep primary_assembly:ASM411807v1:9:33260278:33264217:1 gene:Vigun09g164400.v1.2 transcript:Vigun09g164400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDTEGIEALDDARKAEIVPEPLGVVLVISPWNYPILLALDPVLGAIAAGNAVVLKPSEIAPATALLLEKLIEKYMDQSIVRVVQGAVDETTALLQQKWDKIFYTGNGKVGRIVMTAAAKHLTPVLLELGGKSPVVVDSNINLKVAVRRIISGKWGLNNGQACISPDYVITTKDYAPKLVDALKTELQECYGKNPLESEDLSRIVSSNHFARLSKLLNDDKVSGKIVYGGEKDENKLRIAPTILLDVPRDSLIMGEEIFGPLLPIITVNELDESLDVINSGDKALAAYIFTNDKKFKEQFVKNVSAGGLLVNDTTLHVVVDTLPFGGVGESGMGAYHGKFSFDAFTHKKAVL >Vigun09g164400.1.v1.2 pep primary_assembly:ASM411807v1:9:33260278:33264308:1 gene:Vigun09g164400.v1.2 transcript:Vigun09g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDSKKQKKVFDAETASSLVKELRKNFGSGKTRSYEWRVSQVKALLKAMVENEEEIVEALRLDLAKPSLETVVYEIGVIKSSCEVILKELKHWMTPEKVKTSIRTFPSSAEIVPEPLGVVLVISPWNYPILLALDPVLGAIAAGNAVVLKPSEIAPATALLLEKLIEKYMDQSIVRVVQGAVDETTALLQQKWDKIFYTGNGKVGRIVMTAAAKHLTPVLLELGGKSPVVVDSNINLKVAVRRIISGKWGLNNGQACISPDYVITTKDYAPKLVDALKTELQECYGKNPLESEDLSRIVSSNHFARLSKLLNDDKVSGKIVYGGEKDENKLRIAPTILLDVPRDSLIMGEEIFGPLLPIITVNELDESLDVINSGDKALAAYIFTNDKKFKEQFVKNVSAGGLLVNDTTLHVVVDTLPFGGVGESGMGAYHGKFSFDAFTHKKAVL >Vigun09g164400.5.v1.2 pep primary_assembly:ASM411807v1:9:33260278:33264217:1 gene:Vigun09g164400.v1.2 transcript:Vigun09g164400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKVKTSIRTFPSSAEIVPEPLGVVLVISPWNYPILLALDPVLGAIAAGNAVVLKPSEIAPATALLLEKLIEKYMDQSIVRVVQGAVDETTALLQQKWDKIFYTGNGKVGRIVMTAAAKHLTPVLLELGGKSPVVVDSNINLKVAVRRIISGKWGLNNGQACISPDYVITTKDYAPKLVDALKTELQECYGKNPLESEDLSRIVSSNHFARLSKLLNDDKVSGKIVYGGEKDENKLRIAPTILLDVPRDSLIMGEEIFGPLLPIITVNELDESLDVINSGDKALAAYIFTNDKKFKEQFVKNVSAGGLLVNDTTLHVVVDTLPFGGVGESGMGAYHGKFSFDAFTHKKAVL >Vigun09g164400.2.v1.2 pep primary_assembly:ASM411807v1:9:33260278:33264217:1 gene:Vigun09g164400.v1.2 transcript:Vigun09g164400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDSKKQKKVFDAETASSLVKELRKNFGSGKTRSYEWRVSQVKALLKAMVENEEEIVEALRLDLAKPSLETVVYEIGVIKSSCEVILKELKHWMTPEKVKTSIRTFPSSAEIVPEPLGVVLVISPWNYPILLALDPVLGAIAAGNAVVLKPSEIAPATALLLEKLIEKYMDQSIVRVVQGAVDETTALLQQKWDKIFYTGNGKVGRIVMTAAAKHLTPVLLELGGKSPVVVDSNINLKVAVRRIISGKWGLNNGQACISPDYVITTKDYAPKLVDALKTELQECYGKNPLESEDLSRIVSSNHFARLSKLLNDDKVSGKIVYGGEKDENKLRIAPTILLDVPRDSLIMGEEIFGPLLPIITVNELDESLDVINSGDKALAAYIFTNDKKFKEQFVKNVSAGGLLVNDTTLHVVVDTLPFGGVGESGMGAYHGKFSFDAFTHKKAVL >Vigun03g352700.1.v1.2 pep primary_assembly:ASM411807v1:3:55447890:55452671:-1 gene:Vigun03g352700.v1.2 transcript:Vigun03g352700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNMDLQISLDKLPIKRLDSIEENGMERFPPDVDYEEKRLSLIRRIDFAWAIEKDEEKKKQKKSSKETSTPWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVASMTRPKLLPNEALSDLAVSAAAKLQCYRQVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRQRQAAIVPGNEGFTFDLFDNSYDQASIIRSLSMSTVRVNHDAAGMLAINMSPDLCHSLQFDFVGAQSDDILRNSKQNKSRFSIDHSLGETGKESSSDEECVKKTHTLLREVHEAIFNEQVFDLVNREAFTTVTGVSVTGIRENYLQLSLGQGTSVYLALVANGQEHSTVENEVTNNSENAMLPLESSDGMKREAKQNAPKKGLFSICYEIYIQQIFHEHIFGKGGEKLISSGSRLSGVQAKETKDGGSNLLGHFFMSLSHRIFSAKVLAELENVVSKVPYLQLISNPTWHSRASSWTLYMEVPQSILRGSQIKTSDCLEKNAVKRQFWIKAVVNDNCINVKAEGSPNVAGLFKGKIEETHSINKYNCNLADLPVIILQQVASQIINWLYQEALMVGIKANRDFLCLSFELEQGETLGLVASVDPEDSEGCISWWLVMEDSFAEEQKLHMNITDGASEYRKFLGHLSLDLLYATLIDLVGLCSGGSGQ >Vigun03g352700.3.v1.2 pep primary_assembly:ASM411807v1:3:55447904:55451672:-1 gene:Vigun03g352700.v1.2 transcript:Vigun03g352700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNMDLQISLDKLPIKRLDSIEENGMERFPPDVDYEEKRLSLIRRIDFAWAIEKDEEKKKQKKSSKETSTPWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVASMTRPKLLPNEALSDLAVSAAAKLQCYRQVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRQRQAAIVPGNEGFTFDLFDNSYDQASIIRSLSMSTVRVNHDAAGMLAINMSPDLCHSLQFDFVGAQSDDILRNSKQNKSRFSIDHSLGETGKESSSDEECVKKTHTLLREVHEAIFNEQVFDLVNREAFTTVTGVSVTGIRENYLQLSLGQGTSVYLALVANGQEHSTVENEVTNNSENAMLPLESSDGMKREAKQNAPKKGLFSICYEIYIQQIFHEHIFGKGGEKLISSGSRLSGVQAKETKDGGSNLLGHFFMSLSHRIFSAKVLAELENVVSKVPYLQLISNPTWHSRASSWTLYMEVPQSILRGSQIKTSDCLEKNAVKRQFWIKAVVNDNCINVKAEGSPNVAGLFKGKIEETHSINKYNCNLADLPVIILQQVASQIINWLYQEALMVGIKANRDFLCLSFELEQGETLGLVASVDPEDSEGCISWWLVMEDSFAEEQKLHMNITDGASEYRKFLGHLSLDLLYATLIDLVGLCSGGSGQ >Vigun03g352700.2.v1.2 pep primary_assembly:ASM411807v1:3:55447904:55452659:-1 gene:Vigun03g352700.v1.2 transcript:Vigun03g352700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNMDLQISLDKLPIKRLDSIEENGMERFPPDVDYEEKRLSLIRRIDFAWAIEKDEEKKKQKKSSKETSTPWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVASMTRPKLLPNEALSDLAVSAAAKLQCYRQVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRQRQAAIVPGNEGFTFDLFDNSYDQASIIRSLSMSTVRVNHDAAGMLAINMSPDLCHSLQFDFVGAQSDDILRNSKQNKSRFSIDHSLGETGKESSSDEECVKKTHTLLREVHEAIFNEQVFDLVNREAFTTVTGVSVTGIRENYLQLSLGQGTSVYLALVANGQEHSTVENEVTNNSENAMLPLESSDGMKREAKQNAPKKGLFSICYEIYIQQIFHEHIFGKGGEKLISSGSRLSGVQAKETKDGGSNLLGHFFMSLSHRIFSAKVLAELENVVSKVPYLQLISNPTWHSRASSWTLYMEVPQSILRGSQIKTSDCLEKNAVKRQFWIKAVVNDNCINVKAEGSPNVAGLFKGKIEETHSINKYNCNLADLPVIILQQVASQIINWLYQEALMVGIKANRDFLCLSFELEQGETLGLVASVDPEDSEGCISWWLVMEDSFAEEQKLHMNITDGASEYRKFLGHLSLDLLYATLIDLVGLCSGGSGQ >Vigun09g216000.1.v1.2 pep primary_assembly:ASM411807v1:9:39033808:39038158:1 gene:Vigun09g216000.v1.2 transcript:Vigun09g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRSLAFSAVLLLLIHGAHCFYLPGVAPQDFQKGDQLQVKVNKLTSTKTQLPYSYYSLPYCTPEKIQDSTENLGEVLRGDRIENSLYSFKMREPQMCNIVCNVKLDAKTAKEFKEKISDEYRVNMILDNLPLVVPLKRNDQDSTVYQLGFHVGLKGQYSGSKEEKYFIHNHLAFTVKYHKDVLTESARIVGFEVKPFSAKHEYEGKWEGKTTRLTTCDPHAKSTVVNSNSPQEVEEGKEIIFTYDVEFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIAKYNELETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMMLVTMMFAVLGFLSPSNRGGLMTAMLLLWVFMGIFAGYSSTRLYKMFKGSEWKKVALRTATMFPAIVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYVGFKKPAIESPVKTNKIPRQIPEQAWYMNPVFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAIFYFGYMLIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >Vigun03g302200.11.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDSQYILENISLTSCEMADVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEVQQYGHVDYPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.2.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEVQQYGHVDYPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.5.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.12.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDSQYILENISLTSCEMADVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.1.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDSQYILENISLTSCEMADVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEVQQYGHVDYPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.10.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.4.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEVQQYGHVDYPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.9.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEVQQYGHVDYPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.13.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDSQYILENISLTSCEMADVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun03g302200.3.v1.2 pep primary_assembly:ASM411807v1:3:49177703:49189629:1 gene:Vigun03g302200.v1.2 transcript:Vigun03g302200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQNLHIPGEGSTKFPPVLRPYALPKFDLDESLQGHLRFDSLVETEVFLGIESNEDNQWIDAYSRGSSGIEFGSTAAESCSISRHNNVWSEATSSESVEMLLKSVGQEEFIPRETDIQESDAFDELACLAKQMEPDPKPDDTNEYKDNVTDLQPPGFIHENLVGLKEEEREQSLAVVSQGELSIDGGLGNLQPHDLLGNVDLPVARGIPITDDNSDDANQQKVEIVADGSLEEKTQEDSAASWPKNSITVTSIQNISSTCDVLKIQNVQNHVVDMDHEDQSSLQMQSNEQDLDSLAINKDSDVDIRTSNLNAVGGEKHHSDKPLCSIPMEEALESGNVVEGLDTSDSSLGGSLGMVSDDISRLQNTGSCNEDACFRDLSPANANEDTIVDNLSAVNTCDSPIVAIKDDSSSEGQIIGVSKSDHSTYPNFQKNNTVAPTHSKSSDSKENELANIGNQMDVELSFSKSEASIFAVGNDNTSTINKSDDDIKAGGFASLSSVNSTTSCILVQETQVCENNEPDKQGDHGNFCRDISGVDLANKNATTDSSVMNCNVDQPHHVDCGVSSSSLSTDNMETKLTTATVSDVEPVNNSDVTLPNRVISTHGVTDHFEVQGGMLVGSASINEKDYTEATIANEASAETTLANEASAEATVTNEASTEATVTNEASAEAKICIELCSEGKRANECSSEAKIVNDVSSEAKIVNDVSSEAKIAYEASSALPVGSSEQETASCLDTGTEKLHFSDTSRQPLNETISSCVTTASGKMDKPQEAPSDKADQECAKEAGVGLVLHESIEKQGDEVSLSFTKDDKEAIQEFHDKSSSKITGEDLSGNEGSKSSSLPDSCTKLHETGSSPANHTDNARGTSVTFRSQPETEKDVNRVKASGHLNPSISECVNKDALNTSMGHDPKGNDASNDEISLAPVANLPKKSVSGKTSKGTNSGKRQRAAANQDSMVGEELASVVGTSKTKVAGNMSRGSPQISDGVMALTVSQGTPERKPRRSSNKTAGKETSRKGNKGKSPGKHSERVDRSTSLSLSPSPGFQVMQLNEPFMDIQQIQLRAQIFVYGALIQGMVPDEAYMISAFGGPDGGRSIWQNAWSSCMERQHGKKSHPINPETPLQSRSGARTTDVAVKQNALQGISSPLGVTGNKATPTIGNPLVPLSSPLWSLQTPSCDSLQNALARGSVVDYSQALASSQYQTPPFRNFLGHNTSWLSQSPLRGSWTPIPASDNNTSHISALPLTDTIQFNSVKGSSVPPSIKNAPLGLPASSAGVQSLFMATTPLHDTNNVMVLNAQHSSDPKPIKRKKSMVSEDLGLKAMHLQSQLVPTPVVSSHISTSVATATPVGSVPITTVEKSVVSVTPLSLAEHLTGEWNVKMRILSDESLTKIKEARENAEEASALSVAAVNHSLEIWKQLDKQKNSGLVSDIEAKLASAAVAVAAAAAVAKAAAAAANVASNAALHAKLMADEALVSSGYESSCQISCSEGMNNVKATPASILKGTIGTNSSSSIIGAAKEAARKRVEAASAARIRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLALNDLIEAGPEGCWNAARESSQQVGLLKDMTSGLFSAGNIGDRPEASQIRNRDISSDEKGKKTAAREKSPFHTAQSEISQDHMKGIGGISSINVNEKSSKGPNLVNPIDVLPESEIEMQVASTAGNRPEDVEEDSIKEGSLVEVFKDGEGFKAAWYKAKVLSVKDGKAYVSYDFPVDDEGAGPLKEWVSLEGEEYKPPRIRIASNITGLRNEGTRKRQRAAMVDYNWSVGDRVDAWVEDSWQEGVITGQNKNDKTITVHFPVSGKTSLFRAWHLRQSFIWKDGKWVEAPKAGANDSSTHEGDTPHEKRVKLGSPAVEVKGKDRILKGTTAVESANPGELRLLDLTENEKVFNIGKNSKNENKSDAHRMVRTGLPKEGSRGIFGIPKPGKKRKFMEVSKHYVADGTSKINDGNDSVKLSNFLIPQGTGGSRGLKNTSKNDTKEKHGAGSRPAFKSGKQQSVSGRVIPPKENTLSTSRTNDMTNRAERIKDSSSNFKNVSQSENQVERASYSGNIGAGVGPILYSSLESLTDSHPTKKTSTSRASKGKLAPAGGGRLAKIDEEKAFNGNPVKSTSDIAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSASHEKGHKNQNRNVSRG >Vigun01g083650.4.v1.2 pep primary_assembly:ASM411807v1:1:23701223:23702108:-1 gene:Vigun01g083650.v1.2 transcript:Vigun01g083650.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKHSNSRVKEKVTALKSQLDEHNKRNEERDKRLDAIMNFFSQNYQGQLPPELTMFNPAPVFDQGSVPTNTTSSGHEENHM >Vigun01g083650.2.v1.2 pep primary_assembly:ASM411807v1:1:23701039:23705362:-1 gene:Vigun01g083650.v1.2 transcript:Vigun01g083650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRADTRMEPMLVRNKTKTMSLERIARVSKSREEQSKGRGCTGSCGDDEVAPYNQERVEVVVCQESSTLVYDTVTALKSQLDEHNKRNEERDKRLDAIMNFFSQNYQGQLPPELTMFNPAPVFDQGSVPTNTTSSGHEENHM >Vigun01g083650.3.v1.2 pep primary_assembly:ASM411807v1:1:23701039:23705362:-1 gene:Vigun01g083650.v1.2 transcript:Vigun01g083650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKHSNSRVKEKVTALKSQLDEHNKRNEERDKRLDAIMNFFSQNYQGQLPPELTMFNPAPVFDQGSVPTNTTSSGHEENHM >Vigun01g083650.1.v1.2 pep primary_assembly:ASM411807v1:1:23701039:23705362:-1 gene:Vigun01g083650.v1.2 transcript:Vigun01g083650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMLVRNKTKTMSLERIARVSKSREEQSKGRGCTGSCGDDEVAPYNQERVEVVVCQESSTLVYDTHRFTTQMKNLYATNNGTTSALESDGIQTKMVSKHSNSRVKEKVTALKSQLDEHNKRNEERDKRLDAIMNFFSQNYQGQLPPELTMFNPAPVFDQGSVPTNTTSSGHEENHM >Vigun07g100400.2.v1.2 pep primary_assembly:ASM411807v1:7:17776340:17778717:-1 gene:Vigun07g100400.v1.2 transcript:Vigun07g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFTLSVLCLCVLLSASACFGSSSSTNRFNRCQLNSLNALKPDHRVETDGGLIETWSSKHPELQCAGVTVTRRILYRNGLQMPSYSPYSQMIIAIQGKGALGLALSGCAETYEEPAKESSSSSQKPSDSHQKIRQFSQGHVLLIPRGVPYWIFNTGDEALATVTLLDTSSEDNQLDQSPREFYLAGNPDIEHPEAMKEHQQQQMEEEGGNVLNGFGKRFLARALNIDEDIAKKLISPDDEMKQIVKLEEGLSVISPKWQGQDDDEDEDDDDEDQDEDEDESRPSRRPSHGKRVHKKEEKEVEPLPPRRHVRKEEEKEVEPLPPRRSRHHQHHHDEDEDEEDKPRARRTRGPTPSPRGEGHRGVEEDDESDESVRHKTRHEKSWKEHRPAEEDVERGEAHEEWETRERHGSNGLEETICSSKLQHNIARPTGADFYNPKAGRIKNLNSQSFPALKKFGLSAQYVVLYKNGIYSPHWNMDANSVVYVIRGQGHVRVVNNEGIVVFDDELRAGQLLVVPQNFMVAEEAGDEGFEYVVFKTNDNAVTSYLKETFRAFPAELLSNIYKLKQSQVHDLKFNGNWGPLVNPDPSQDQSS >Vigun07g100400.1.v1.2 pep primary_assembly:ASM411807v1:7:17776340:17778717:-1 gene:Vigun07g100400.v1.2 transcript:Vigun07g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFTLSVLCLCVLLSASACFGSSSSTNRFNRCQLNSLNALKPDHRVETDGGLIETWSSKHPELQCAGVTVTRRILYRNGLQMPSYSPYSQMIIAIQGKGALGLALSGCAETYEEPAKESSSSSQKPSDSHQKIRQFSQGHVLLIPRGVPYWIFNTGDEALATVTLLDTSSEDNQLDQSPREFYLAGNPDIEHPEAMKEHQQQQMEEEGGNVLNGFGKRFLARALNIDEDIAKKLISPDDEMKQIVKLEEGLSVISPKWQGQDDDEDEDDDDEDQDEDEDESRPSRRPSHGKRVHKKEEKEVEPYPHGKHVHKEEEKEVEPLPPRRHVRKEEEKEVEPLPPRRSRHHQHHHDEDEDEEDKPRARRTRGPTPSPRGEGHRGVEEDDESDESVRHKTRHEKSWKEHRPAEEDVERGEAHEEWETRERHGSNGLEETICSSKLQHNIARPTGADFYNPKAGRIKNLNSQSFPALKKFGLSAQYVVLYKNGIYSPHWNMDANSVVYVIRGQGHVRVVNNEGIVVFDDELRAGQLLVVPQNFMVAEEAGDEGFEYVVFKTNDNAVTSYLKETFRAFPAELLSNIYKLKQSQVHDLKFNGNWGPLVNPDPSQDQSS >Vigun11g106775.1.v1.2 pep primary_assembly:ASM411807v1:11:30538889:30539218:-1 gene:Vigun11g106775.v1.2 transcript:Vigun11g106775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTCVNINCLKTKLMPISCNLVYFDNLILQRLALKFIEHILGIGEDTFELLQPFPQLMVVLLLLLSIQQHNFD >Vigun06g010900.1.v1.2 pep primary_assembly:ASM411807v1:6:4951824:4956600:1 gene:Vigun06g010900.v1.2 transcript:Vigun06g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYEHCVASRRTIHVVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELYSHVPVLKNFVEHLKRKNFSVCAVYVLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKYLDDFLDPEPTFLLSELNQRMGPQYAKLNKALIELVNNYSMVSFIPLDLRKEKSIQYVLGQIDNCIQYGEDADVKVKDFDPEEDE >Vigun05g278350.1.v1.2 pep primary_assembly:ASM411807v1:5:46774523:46776038:-1 gene:Vigun05g278350.v1.2 transcript:Vigun05g278350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTTLISHMSTMASVVLPLPTHIMDWLNMCNNEIIVLGITCTIFIFIIGGRLFLHSAYLAHSCKRHNFPAYYSA >Vigun05g218600.1.v1.2 pep primary_assembly:ASM411807v1:5:41055800:41059082:-1 gene:Vigun05g218600.v1.2 transcript:Vigun05g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNGSPVSSPSPKPRQLRIRESSSRFLPSPPNSTTHSAECHSPVRRKSSSPNRRHAITEDPGPTRHQLWPSSAVAKRNSGTLADHITEDRIIEGTTDTPIIIGGSARHVAKLATPSESPSPSSKRPVYSNLAPGRLLLDENAKSFSSRRHSCSSRNSIDSEPDAGKTTPRKTDVAVEHSSVATTTLRRPRRGTSESNIANMNGDSSAVKRFTLKTAIRRANSLAGSYKSSKSSWALSPGRAESPAMSVESMDKPMSFSGFKHHPTSPTTKVKGVEKLLNMGFDLFKSKKSAGFGSLSPIGFGVSSEVVHKLRLFDNRLMQWRFANARAQVVNGTISHKAESNLICVWDALTKLQRSVLKKKIQFVREKLEMKVAFVLYSQMKLLECWVGMERQHLKAITAIKECLHSVVCRVPLLEGAKVNMQSTSIALRHATDLTARIKPILTTLSSSEVDKIAATLSELAKVVAQEKQLLQEFYDLFQAIYVFEVQERSVKCNLVQLEVWQQKYELQQPLTEITL >Vigun05g218600.2.v1.2 pep primary_assembly:ASM411807v1:5:41055800:41059082:-1 gene:Vigun05g218600.v1.2 transcript:Vigun05g218600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNGSPVSSPSPKPRQLRIRESSSRFLPSPPNSTTHSAECHSPVRRKSSSPNRRHAITEDPGPTRHQLWPSSAVAKRNSGTLADHITEDRIIEGTTDTPIIIGGSARHVAKLATPSESPSPSSKRPVYSNLAPGRLLLDENAKSFSSRRHSCSSRNSIDSEPDAGKTTPRKTDVAVEHSSVATTTLRRPRRGTSESNIANMNGDSSAVKRFTLKTAIRRANSLAGSYKSSKSSWALSPGRAESPAMSVESMDKPMSFSGFKHHPTSPTTKVKGVEKLLNMGFDLFKSKKSAGFGSLSPIGFGVSSEVVHKLRLFDNRLMQWRFANARAQVVNGTISHKAERSVLKKKIQFVREKLEMKVAFVLYSQMKLLECWVGMERQHLKAITAIKECLHSVVCRVPLLEGAKVNMQSTSIALRHATDLTARIKPILTTLSSSEVDKIAATLSELAKVVAQEKQLLQEFYDLFQAIYVFEVQERSVKCNLVQLEVWQQKYELQQPLTEITL >Vigun04g018500.1.v1.2 pep primary_assembly:ASM411807v1:4:1373386:1380589:-1 gene:Vigun04g018500.v1.2 transcript:Vigun04g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKWLNIVVDGSSALGPYWPNIVSEYLQEIVRTFFYNSIEEEANEAACELGLVMYNSNSNIGLDVQYIDWTRDVNYFLDILSCLAFNGDNNLNRNVMVEGLAKALMMFPRPSNAMTTQEYYNGERHCVVVAARDPFPRRSLVSVPEITNGGIIGTKLHHVNADFYEVAEMFGPGNDGSLVSKIPISSIRMNEFTVLLSRKFKEAHNAFRGKRTMDPHTEEWVESMKRTNNTAPTLTAGGEGSSKGMVMEERDNKGVLGGISENWSMFPHSTGNSFNVQPHSSSNLLYATNNGSGGAHQHHQTFSPYFTNFQLYNHAWEGCLVGNIRKNRNSFHIAKALKRATSPLTLTEDWSSRLEIILYLSEKAVTHTINIYSEPVDYVFFTVMQFNNLDLYEHLKNKKLCAKIRLPSQTLILSPTESKHHYIGTIFLGETIFVEPI >Vigun09g155900.1.v1.2 pep primary_assembly:ASM411807v1:9:32139992:32146887:1 gene:Vigun09g155900.v1.2 transcript:Vigun09g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGMDDDDDDYMEDEQVADFEEEDDRRGRGGGGRKRRRSGFIDDDAEEVDEDDEEEDDDDEDFDARGGRRRQYKKVSASNFFDEEAVVDSDEEEEEEEGEDDFIVEGGADLPEEDDGRRMRNRRMLPHHQEDHEDLEAVARSIQERYGRRLTDYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDRGSELQIRSAIALDHLKNYIYVEADKEAHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGKEVVKKKAFVPPPRFMNVDEARELHIRVEHRRDTYGERFDAIGGMMFKDGFLYKTVSIKSISAQNIKPTFDELEKFRKPGESGDGDVASLSTLFANRKKGHFMKGDAIIVVKGDLKNLKGKVEKVDEDNVHIKPEIEGLPKTIAVNEKELCKYFEPGNHVKVVSGAQEGATGMVVKVEQHVLILISDTTKEHIRVFADDVVESSEVTTGVTRIGDYELRDLVLLDNMSFGVIIRVESEAFQVLKGIPDRHEVVLVKLREIKCKIDKKISVQDRYKNTVSSKDVVRIVDGSSKGKQGPVEHIYRGILFIFDRHHLEHAGFICAKAQSCVVVGGSRSSGDRNGDAYSRFPTLRNPSRIPPSPRRFPRGGPMDSGGRHRGGRGHDGLAGTTVKVRQGPYKGYRGRVIDVKGTSVRVELESQMKVVTVDRNHISDNVAITPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEDGNPGSWGASPQYQPGSPPSRPYEAPTPGAGWASTPGGNYSEAGTPRDSSAYANAPSPYLPSTPGGQPMTPSSASYLPGTPGGQPMTPGTGGMDMMSPVLGGDNEGPWFIPDILVNVHRAGDESVGVIREVLPDGSYKVALGSSGNGETITALPNEMEAVVPRKSDKIKIMGGALRGATGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAQP >Vigun01g237550.1.v1.2 pep primary_assembly:ASM411807v1:1:40902383:40903703:-1 gene:Vigun01g237550.v1.2 transcript:Vigun01g237550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASLNPIQNPTTTILLVATIIITFLLQLCPVSCFMQPQMDPSYEEKNRLGSMPPTCHNKCNQCHPCMAVQVPSIPSHNRVHPVAISPSSAMQGFLLQGNSYSNYKPMSWKCRCGDHFYNP >Vigun09g268400.2.v1.2 pep primary_assembly:ASM411807v1:9:43114852:43116731:-1 gene:Vigun09g268400.v1.2 transcript:Vigun09g268400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSREYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPPGRPFGGPSGDRPRGPPRFEGERRFGGDRDGYRGGPRGPGGDFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPTSSNIP >Vigun09g268400.1.v1.2 pep primary_assembly:ASM411807v1:9:43114852:43116731:-1 gene:Vigun09g268400.v1.2 transcript:Vigun09g268400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRKEICKYLFQEGVCFAKKDFNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPPGRPFGGPSGDRPRGPPRFEGERRFGGDRDGYRGGPRGPGGDFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPTSSNIP >Vigun05g039500.1.v1.2 pep primary_assembly:ASM411807v1:5:3160190:3161739:1 gene:Vigun05g039500.v1.2 transcript:Vigun05g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEEFSGVRKGAWSKFEDELLKACVHLYGEGQWHLVPQRAGLKRCRKSCRLRWLNYLKPNIKRGDFSEDEVDLMIKLHKLLGNRWSLIAGRLPGRTSNDVKNYWITYTRRKSHSQNKHNNVKQQDETESSLKPHDVVKPVPLALPKTCPKLLQEKFIDSSKVGVTEEGATSLSGSENWFETLLDEKGNNITLNNKTCFFTEKDGVFEKWDEELSSIASHFFTETETWTDMFIDLGIN >VigunL088900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:16465:19839:-1 gene:VigunL088900.v1.2 transcript:VigunL088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMRKDIIIKKNHVDYMKAERDILTKVLHPFIVQLRYSFQTKSKLYLVLDFVNGGHLFFHLYRQGIFSEDQARLYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIDELGRSNSLCGTVEYMAPEILQAKGHNKDADWWSVGILLYEMLTGKAPFTHTNRKKLQEKIIKEKVKLPPFLTSEAHSLLKGLLQKDPTTRLGHGPNGDEQIKSHKWFRSINWKKLEAREVEPKFKPDVSGKDCTANFDKCWTAMPPNDSPATTPTAGDHFQGYTYVAPNPWLSSRSLQ >Vigun06g117700.1.v1.2 pep primary_assembly:ASM411807v1:6:24542695:24553518:-1 gene:Vigun06g117700.v1.2 transcript:Vigun06g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAGVTVSPSIGETSDAQKNVFDLGAFVGDLTLEEDPSSDDISLEGLEQELEECRNNDVVANILSKGTKLRDYTKGVENDLRKVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIRILQEKSMDMSLRLKNRKVAESKLAKFVEDIIVPPRMVDVLVDGEVNEEYMRTLEILSKKLKFIEVDPMVKASKALKDVQPELEKLRQKAVSKVFDFIVQKLYALRKPKTNIQILQQNVLLKYKYVVTFLNEHGKEIYNEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATYNDLIGVETRSSGLFTRAREPLKNRSAVFALGDRINILKEIEEPALIPHIAEASSIKYPYEQLFRSLQKLLMDTATSEYNFCDDFFGEKPIFYEIFAGPFGVIDEHFNSVLPNCYDAIGLMLMIQIIHKHQLIMSRRRIPCLDSYLDKVNISLWPRFKLVFDMHLNSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNAECGDGQLDLNLERLRMAVDDLLIKLAKNFAKQKLQTVFLINNYDMTITVLKEAGPEGGKIQMHFEELLKSNTTIFVEELLQEHFNSLIKFVKSRASEDPTSGPDKPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIVGGSALNKDLVSISSIMYEIRKYSRTF >Vigun09g234600.1.v1.2 pep primary_assembly:ASM411807v1:9:40512205:40517554:1 gene:Vigun09g234600.v1.2 transcript:Vigun09g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGISKTSTEVTDNSNSNSNSNSDSSNSNSSSSTQTETDNHISLPAPYAEGEKLLATHSNHLYVAKVRQVEYNITEGWQFLVHYLGWKKSWDEWVGMDRLMKHTEENMRKKRALDEKHGIDKNPKVPRGPLAKSKSTNVSRGRKRRNEPVIKPKTALDPDKLVTIQIPPTLKKQLLDDCEFINHLGKLVKLPRSPNVKDILKNYFDYRLKKCGSMADSVEEIMKGLCRYFDKALPVMLLYKTERQQYQEACPANVFPSAIYGAEHLLRLFVKLPELLFHASIEEETLTELRAQFLDFLRFLQKNHSTLFLSTYHVAEDMEISSDKKGD >Vigun10g000300.3.v1.2 pep primary_assembly:ASM411807v1:10:93722:95593:1 gene:Vigun10g000300.v1.2 transcript:Vigun10g000300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDKLREMAREMARRRNSA >Vigun10g000300.1.v1.2 pep primary_assembly:ASM411807v1:10:93722:95593:1 gene:Vigun10g000300.v1.2 transcript:Vigun10g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIRSPNVLLGDRKDTMSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDKLREMAREMARRRNSA >Vigun10g000300.2.v1.2 pep primary_assembly:ASM411807v1:10:93722:95593:1 gene:Vigun10g000300.v1.2 transcript:Vigun10g000300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDKLREMAREMARRRNSA >Vigun05g146050.1.v1.2 pep primary_assembly:ASM411807v1:5:19976393:19979681:-1 gene:Vigun05g146050.v1.2 transcript:Vigun05g146050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAINKQWTTQSSRTQSSSYFPKKLRNTSIQPSGGALSRNNSRIVALTSTTQEDEDVALTRRLSIRQ >Vigun03g158700.1.v1.2 pep primary_assembly:ASM411807v1:3:17434112:17437061:1 gene:Vigun03g158700.v1.2 transcript:Vigun03g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQVTDFGSSHSVLGVMEVANKPEMGIPEMYIRPQQPSIPSHEPSFPTIPVFDFETLLHENSRDAQLHKLYTACKDWGFFQVVNHGVSSELLQKLKHEIEKFFQLPIEEKKKYQIRAGDVQGYGSVIRCKDQKLDWGDRFYMLINPVERRKPYLLPQLPASLRESLELYFREVRKLGMELLGLLGKAIGMEMKEVEEFFDDGMQSMRMSYYPPCPKPEMVVGLTPHSDATGITILNQVNGVEGLEIKKSGVWIPVTFLPDAFVVNVGDIMEILSNGAYTSIEHRAAVNKEKERISIAMFFNPKSGAEIGPVKSLLNSENPPLFKTMLMEDYIKDFFSRNLNGKSHLDKMRLKT >Vigun03g234700.2.v1.2 pep primary_assembly:ASM411807v1:3:39076935:39085669:-1 gene:Vigun03g234700.v1.2 transcript:Vigun03g234700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALSSSLPVGRNLFSSLPSTPPPADRTPAVSATPPPPIPVPKYPPPRKSQNPPPQSPTPNPGLRYHRHSKYHKRVPHDGVLASDNADRSVIIGESGVSYLLPGAPFEFQFSYSETPKAKPIAIREPAFLPFAPPTMPRPWTGKAPLKSKKKKIPLFDSFNPPPPGTKGVKHVEMPGPFPLGQFPKEGKTREEILGEPLKRWEIQMLVKPMMSDNRQVNLGRDGLTHNMLELVHSHWKRSRVCKVRCLGVPTVDMDNEKTGGKIIHRTGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIEDAPGGLTKAEADELRMKGKSLLPICKLAKNGVYTSLVKDVRDAFEGSILVKVNCKGLDPSDYKKIGAKLKDLVPCVLLSFDDEQILMWRGKDWKSRYLQPVPVFTPSKAGFTGNSENSGETDDNQTNHVDNNVVNTSPKMLSLWKRAIESSKALLLDEFNLGPDCLLEKVEEFEIASQALDHSHPAFSLSFRENDQEASTANFENSYSSDEFPAEEEDEEDYDDYHDEEDDNSYVVDTSAEPESLGIDMIVKKLKQRPFE >Vigun03g234700.1.v1.2 pep primary_assembly:ASM411807v1:3:39076935:39085669:-1 gene:Vigun03g234700.v1.2 transcript:Vigun03g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALSSSLPVGRNLFSSLPSTPPPADRTPAVSATPPPPIPVPKYPPPRKSQNPPPQSPTPNPGLRYHRHSKYHKRVPHDGVLASDNADRSVIIGESGVSYLLPGAPFEFQFSYSETPKAKPIAIREPAFLPFAPPTMPRPWTGKAPLKSKKKKIPLFDSFNPPPPGTKGVKHVEMPGPFPLGQFPKEGKTREEILGEPLKRWEIQMLVKPMMSDNRQVNLGRDGLTHNMLELVHSHWKRSRVCKVRCLGVPTVDMDNVCHHIEEKTGGKIIHRTGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIEDAPGGLTKAEADELRMKGKSLLPICKLAKNGVYTSLVKDVRDAFEGSILVKVNCKGLDPSDYKKIGAKLKDLVPCVLLSFDDEQILMWRGKDWKSRYLQPVPVFTPSKAGFTGNSENSGETDDNQTNHVDNNVVNTSPKMLSLWKRAIESSKALLLDEFNLGPDCLLEKVEEFEIASQALDHSHPAFSLSFRENDQEASTANFENSYSSDEFPAEEEDEEDYDDYHDEEDDNSYVVDTSAEPESLGIDMIVKKLKQRPFE >Vigun03g234700.3.v1.2 pep primary_assembly:ASM411807v1:3:39079025:39085670:-1 gene:Vigun03g234700.v1.2 transcript:Vigun03g234700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALSSSLPVGRNLFSSLPSTPPPADRTPAVSATPPPPIPVPKYPPPRKSQNPPPQSPTPNPGLRYHRHSKYHKRVPHDGVLASDNADRSVIIGESGVSYLLPGAPFEFQFSYSETPKAKPIAIREPAFLPFAPPTMPRPWTGKAPLKSKKKKIPLFDSFNPPPPGTKGVKHVEMPGPFPLGQFPKEGKTREEILGEPLKRWEIQMLVKPMMSDNRQVNLGRDGLTHNMLELVHSHWKRSRVCKVRCLGVPTVDMDNVCHHIEEKTGGKIIHRTGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIEDAPGGLTKAEADELRMKGKSLLPICKLAKNGVYTSLVKDVRDAFEGSILVKVNCKGLDPSDYKKIGAKLKVSTCSSLFAVVPCFVLFVPY >Vigun03g234700.4.v1.2 pep primary_assembly:ASM411807v1:3:39082536:39085670:-1 gene:Vigun03g234700.v1.2 transcript:Vigun03g234700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALSSSLPVGRNLFSSLPSTPPPADRTPAVSATPPPPIPVPKYPPPRKSQNPPPQSPTPNPGLRYHRHSKYHKRVPHDGVLASDNADRSVIIGESGVSYLLPGAPFEFQFSYSETPKAKPIAIREPAFLPFAPPTMPRPWTGKAPLKSKKKKIPLFDSFNPPPPGTKGVKHVEMPGPFPLGQFPKEGKTREEILGEPLKRWEIQMLVKPMMSDNRQVNLGRDGLTHNMLELVHSHWKRSRVCKVRCLGVPTVDMDNVCHHIEEKTGGKIIHRTGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIEDAPGGLTKAEADELRMKGKSLLPICKLDQAHNLFH >Vigun04g182300.1.v1.2 pep primary_assembly:ASM411807v1:4:40664652:40671207:1 gene:Vigun04g182300.v1.2 transcript:Vigun04g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKTMKTSSTMFQDKHHHNNHIKREKFLGLTLGKGVPFVLSSLLMASIFCLFFLHNPNPSTFMPQQGHDLFENHSQNQQHDAIITTQSSSSSSSSSSSSQPPMEQKPCDLSKGHWVQALGGSSSYYTNSSCPTIPDSKNCFRHGRKDSDFLNWRWKPDECELPRFDPKTFFQIVHGKTMAFIGDSVSRNHVESLLCLLSQSEVPKDMYKDSEDRFRKWYFPIHNFTLMMLWSRFLVEGEERMANGTGTSVFDMQLDKVDSDWAKELPNLDYAVISDGHWFFRGMRLHEAGKQVGCVYCNEPNVTAYNVDFPLRKALRTAFRHISGCRECRRRGMVTVMRSFAAAHFENGFWNTGGYCNRTGPRSESEVDFGAFDWQLRNVQMEEFERARSEGGGRFEVVDVARAMLMRPDGHPGEHWGNKWMKGYNDCTHWCMPGPVDMWSELLLAVIKKVSASHHLHQI >Vigun11g117701.1.v1.2 pep primary_assembly:ASM411807v1:11:32361909:32363948:-1 gene:Vigun11g117701.v1.2 transcript:Vigun11g117701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCSMNCTSQGIGAPQFEDMVNKKTNHVVLIEPRQYLILHFFPSPTPQTQISSLLAAGQAL >Vigun04g130600.1.v1.2 pep primary_assembly:ASM411807v1:4:32700609:32708490:1 gene:Vigun04g130600.v1.2 transcript:Vigun04g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELGLKITKTRDDIASISKYRLAKAGPVFQSRETNTAFILTAHLKGYKKNNINIKISEDGSKISVSGEKPVQNILMMGWLMHKKEVDVAGFNKVFRIPDGVKLDGIKAKYDEEEWIMNIIMPKLVKGICGVKIEEIKEEESDGRRSEQEKNEGDLNIPSAVGETSQKGAKESEVQAMEDSERFMEKKAEVSNNMLDDSNREKTKDTVHREVEESKVGNDGGNGDSFREVGKEGCEVKNTSEQEKKVGVGTSQKIGEEGQKEIKELKLKNEDGRVKTSAKGESVGEGMPKNIGGDTRQDQELKVQEMEDNGGFLEKEEKGVSENMLDDTNANIIGDVIQKEEESKSGIKDRDGESVKEKNVRAGHKGMKISETEQNVGAHVIQNVGDTIQGVSKDSVIEQIGENKSIVEKMEREEPEEMLVEANVPTERETLGDSNQKQFREPRPETEDRLKESVKENRMETMKAPELDQNVDDHVPSNIGGISQEEHKESRIQWEKKTKRIKENMDEWKSEKIPIQASEDGKKHIYGKSMQENIKKPKIETGNEDHIETGNGDQEYGVKAGKEEFDSMETRRETFPQKLPKGTIEEGKRLSVSKMQETEDVIEAKIKTKWKENEYLVVKDEGEEHRRMHKQAKKDLAKETLRENEDVKEAMDKRERKEIEYFVNKSAGEEHKRRHMEAKKDLAKETMKKNEAAMDKREDKEIEYFLEARKDLTTKKIQETEDIKEKMSKTKDKGIEKFEDTGERKEHNRLYMKAKDFKKETIQENEDIQEAMIKREGKNNEHFGDKRGENPQRMHMEAKKNIGKEIMQETDGGKEATVKRKREEIGNFTDKVESEEPTKMLTHAKKELTKETEQENEKVKEAMLKTKDKETEHIVDKGEPKSMNVEPKKDLTTKIVQESEHVREAMVKGEGKGYKYFVDKDVSEEPERMHVEPKKDMAKEKLQEIESSKEATVKMKGKEIENFVVKDGGEENKMNMKLKKESTKETKQGSENVKEAMGKMKGKETKYFVDKGEGEEPKSMHVEAKKEDDREGKDHECFVDKGEGEETKRMLMERKNVEKETMQEIEGVKEAMVKRKGKEIENLADKDEAGELTKMLVKKSNKELTKEKKQQSEKIKEAMVKRKGKETEYFADKGEDKEPKSMYVEEKDLTTKTTQESEEPKRMHIKPKDITKDTVPKTGVKEAMVKTKDKEIENFVEKFDGEERIKMLVKELPKERNKREKFKEVMVQKKVKETEYCMDKDEEEPINMHVEAEKDLTSKTVLEREDVRKEVVETEGKGYEYFVDEGESKEHKMTNMEAKKNMGKESMQERKGAKEAMAKRKGKEIGRFEKGEDKETKKVHMEAKKDLTTVTMQESDGTDEGNENKCLSDEGEGEEPNRMYMGTKRDLTKEEMHENDDAKETVIKRKGKEIEYLVGNSGGEVEEPKRIHTAQKKELATKTMHEIEDANEIMVKRKHKEIENFEDNAKGKESKIREAKKDSTKVTMKESDYTREAMVKRKGKEVENFVDKGEEPQRMHTEAKKELTTKRREESEFVREAMVKREGEEEMDYFLKEGEDEKDIPKEKIQIVEKIEHGIMDKDQQNVLGKTTKRKAEVPTEEGPKLVGGQDGSRGLEVSNMEDTKEIIKEEMAETESSREKVQEQKSKKKEETSRVIQKTTATEILQKETEESNNERNTTERPNLPNNMVKVVFEVLGTFQAKLPKKVMEAIGQNKEKNEYVIVKLKAEGSIEMHVEPNEAFDEDETEERSEKEVRKPKLKSTEQISGRENVDFGVFDGSKRSKFLEMGETQGAKEDNTQMEETVKKINEEQSEKIEVEEKGRKSSQKGREGPKIQTMVQNQHRLQEEKEMPLGKTTEGELAQAITTHSKAKSEIDELHVNQLPSPSIEMRNIEPRESKKDGLKEFIHSIDSTAASEVVADEAAEPSKFLSSSSTQPFEVEGKDKIGASYDYESQDSEMDSEIDGEASTKGETDEEVLQRETPQPEFPEDQQCTHKALEETHEIEEEKEDEQVDESDQEDSEELEEQKECEEEAIGGKKDQKRSKKMIVSTIIAGSALLASGIFLIIRHRRSTKGAK >Vigun02g017100.1.v1.2 pep primary_assembly:ASM411807v1:2:6124458:6129488:1 gene:Vigun02g017100.v1.2 transcript:Vigun02g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISWLAVLLFCVGFECAWCQDDAVMNKLKKAINEPSGLQWNDPDVCKWKHVKCSAMKRVTAIQIGGQSLQGSLPKELAQLSELTRFECMNNELTGPFPNMPKSLEVLLIHHNNFQSLPGDFFAGMTNLQYVSIGYNPFSPWGIPDNLKDCVALRSFSATSAGLVGKIPDFFGKDGPFPGLVSLILSFNSLEGGLPATFSGSSLETLWVNGQKSNSKLNGTLDVLKGMTYLKQIWVHGNSFTGPIPDLSHHDQLYDVSLRDNQLTGVVPPSLTALPALKVVNLTNNLLQGSPPLFKDGVKVDNDLDKGINSFCTGEAGKPCSPLVDALLSAVEPFGYPVRLAESWKGNDPCGQHWLGIVCSNGNVSVINLQSMNLSGNISPSFAELTSVTKLLLPNNGLTGTIPSELTSMPSLVELDVSNNQLHGKVPSFREGVVVKTAGNPDIGKDKPQAPPGSSPGGKSDGQVKKNNTAAILGTVLGGISLIGLVAFIFLMYGRKRKQAGKVQGPSAIVVHPRYSGDGSTLKISVADASAGVSGGGGSRGGIGVISPTSTAQHVEAGNMVISIQVLRQVTNNFSEANILGKGGFGTVYKGELYDGTKIAVKRMECGMMVEKGLTEFESEIAVLTKVRHRHLVALEGHCLDGNEKLLVYEYMPQGPLSKHLFDWKEQGIQPLEWKRRLSIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGQTSFETRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRKAIDNSQPEENVHLVTWFRRMLLNKDSFDKIIDPIMCIDEEALPSCRTVAELAGHCCAREPHQRPDMSHVVNVLAPLVEIWKPSETDDEDLYGIDLDMTLPQALRKWQAFEGRNTFDISSSMLNSEENTQSSIPTRPFGFANSFNSSDGR >Vigun11g052900.1.v1.2 pep primary_assembly:ASM411807v1:11:9234302:9236674:1 gene:Vigun11g052900.v1.2 transcript:Vigun11g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSHHHLLLFSTVPFSLTTHHNHNHNHNHPLSPSLNFLHRPSALRSSVSAIPSAAWLSDLTAQDFGPIELPFSAPSSLPFADDPSTVQVASTVLLTGALTVFFFRTVQRRIKRAKELRLRSSGAQKSLDKLKSMRSTSIKVKNPASPDQALLGAVIAGGIALLLYRFTTSIEASLSRQILSDHFSVRQITITLRTIINGLCYLATFVYGINSVGLFLYSGQLAIDNIGNEIERKMIEQQHLSTAPVENNTTKLSSTKEDQSSNNSL >Vigun03g066600.1.v1.2 pep primary_assembly:ASM411807v1:3:5494845:5498577:-1 gene:Vigun03g066600.v1.2 transcript:Vigun03g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKPYLVVILIQAIYAAMFLLSKAAFDHGMNNFIFVFYRQTAATIFLIPFAFFFQWKTAPPLSFLAFCKIFFLSFLGITASLDIYGIALVYTSATLAASITNCLPVITFFLALLFRIEVLKVKSAPGVAKLVGVVACLTGAATLAFYKGPHLELLSHYNLLGYHKNQQHLGHVASRSWIKGCFLMLLSSTFWGVWLVLQTFVIKDYPSKLLLTTLQCFLSSIQSLGIALAVERNIEQWKLGWNVRLLAVVYCGIMVTGVTYYLQAWVIEKKGPVFLAMATPLSLIMTIFSSTFILGEIITLGSLLGSIALVIGLYCVLWGKSREQMPKVSSDMEEAPSS >Vigun03g066600.2.v1.2 pep primary_assembly:ASM411807v1:3:5494845:5498577:-1 gene:Vigun03g066600.v1.2 transcript:Vigun03g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKPYLVVILIQAIYAAMFLLSKAAFDHGMNNFIFVFYRQTAATIFLIPFAFFFQWKTAPPLSFLAFCKIFFLSFLGITASLDIYGIALVYTSATLAASITNCLPVITFFLALLFRIEVLKVKSAPGVAKLVGVVACLTGAATLAFYKGPHLELLSHYNLLGYHKNQQHLGHVASRSWIKGCFLMLLSSTFWGVWLVLQTFVIKDYPSKLLLTTLQCFLSSIQSLGIALAVERNIEQWKLGWNVRLLAVVYCGIMVTGVTYYLQAWVIEKKGPVFLAMATPLSLIMTIFSSTFILGEIITLGRKHRFGYWTLLCAVGKEQRANAKSFLGYGGGTIELE >Vigun07g203600.1.v1.2 pep primary_assembly:ASM411807v1:7:32539538:32548447:1 gene:Vigun07g203600.v1.2 transcript:Vigun07g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKDFFKERKHERSESLGSVARWRDSSHHRDFNRWGSAEFRRPPGHGKQGGWHLFSEDSGHGYAISRSSSDKILEEDSRPSISRGDGKYGRSSRENRGPFGQRDWRGHSWEPSNGTVNLPRRPQDVNNDQRAVDDALGYSSHPHSDFGNSWDQHHLKDQHEKIGSVNVLGTGSRSDRENSLGDWKPLKWTRSGSLSSRGSGFSHSSSSRSMGAADSHEEKPELQPKSAAANESHSGEAAACATSSVPSEDTASRKKPRLNWGEGLAKYEKKKVEVPDASANKDGPVLSASITEPCNFLSTSLVDKSPKVTGFSECASPATPSSVACSSSPGMDDKLFGKTTNVDNDVSNLTCSPAPLSENNLQRFSFNLEKFDIGSLNNLGTSIIELVQSDDPTSVDSGPMRSNAINKLLIWKADISKVLEMTESEIDLLENELKSLKSESGVTCPFAVSLGSQMVGSGEKSCEGHAGVSDQVIRPVPLNIVDDANMEKVPLSTNLHSVHENVKEEDIDSPGTATSKFVEPLPLIKAVSCGTGGYVNFSQDLDSVPSAAVKCLIPCSARKDVIVPCVDDKTSLEVNDSMDILCGTIISSNKESANKASEVFDNLLPKDCCKIGRMGASSDTCNQTLIREKFAEKKRFARFKERVIALKFRALHHLWKEDMRLLSIRKCRPKSHKKNELSVRTTCNGNQKNRSSIRSRFPFPAGNHLSLVPTSEMINFTSKLLSESQVKVQRNTLKMPALILDEREKTISKFVTSNGLVEDPLAIEKERALINPWTPQEREVFLEKFAVFGKNFRKIASFLDHKTTADCVEFYYKNHKSDCFEKLKKQDVGKLGKSFSAKTDLVVSGNKKIRAGSSLLGGYGKAKTSRVEDFIEKSGSFDILGDERETAAAADVLAGICGSLSSEAISSCITSSVDPVEGSRDRKFLKVNPLYKLPLTPDVTQEVDDETCSDESCGEMDPTDWTDDEKAAFLQAVSTFGKDFAKIARCVGTRSQEQCKVFFSKGRKCLGLDLMRPIPENVGSPVNDDANGGESDTDDACVVETGSVVGTEKSGTKTDEDLPLYGTNTFNDESNPVQARNLSAELNESKGTDGTEVDIEDANVVSNACAIDIDSIQGCDRSEFALCGSVSGQTTVILSDSTEIRRDKANKLGGATELISVPDTSEPCESNSFVEDRMVVSEVSSGRPGNELERQRVSSPRYLDDRDSKQEADSGVIVDLKSPVHILSTMVNAPVSSFGNSCSGLSSSTENKHVPIGKPHASPLSVDEHQASSNSFLQNTVASDIQCEKTASQDRLSSTCDIQVSNDEQPPITGNSSDHVDAGSILQGYPLQAPIKEMNGDLNCSSSATELHLLSQKIEQPDDQAKKLQSLDSDKTSRNGDVKLFGKILTNPSSAQKANVGAKGSEENGTHHHKFSKPSGMKFTGHNGDGNLKILKFDCNDYVGLENVPMRSYGYWDGNRIQTGLSSLPDSAILLAKYPAAFSNYPTSSAKLEQPSLQTFSKNNNERLLNGSNAVIDYQMFRRDGPKVQPFMVDVKHCQDVFSEMQRRNGFEAISSLQQQSRGVMGMNGVGRPGILVGGSCSGVSDPVAAIKMHYSNSDKYGGQSGSIAREDESWGGKGD >Vigun03g382500.1.v1.2 pep primary_assembly:ASM411807v1:3:58734638:58738206:1 gene:Vigun03g382500.v1.2 transcript:Vigun03g382500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWRKDAFFSAAEEVQESADVMESAYRAWLRVKRERSTPAELNELCRELQTALGTAKWQLEEFEKAVRLSYRHQGDDNSNTRHRQFISAIESQITQVEEALRESFIEQGKQPLRWVNLDEEERDDLAAFLSGTCQTTKSTDDESMEATTSKISSLQQKQVKKEDKIVDINTFCNRDLSASEKSSKDVVSANKDANYVIEIKADAVSRSNDEIVSQTDRTSTRKTWNPPNYGALKVVIADEDEPRDKTPRTVDATPKEKGFKSLCWKQKFEEYPQAMRVVRIFNQRFGRIGICQSQRQFQRPFHSRYGCSVQVTLVLMLTIFLFVPFVLYSS >Vigun03g382500.2.v1.2 pep primary_assembly:ASM411807v1:3:58734638:58738206:1 gene:Vigun03g382500.v1.2 transcript:Vigun03g382500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLEEFEKAVRLSYRHQGDDNSNTRHRQFISAIESQITQVEEALRESFIEQGKQPLRWVNLDEEERDDLAAFLSGTCQTTKSTDDESMEATTSKISSLQQKQVKKEDKIVDINTFCNRDLSASEKSSKDVVSANKDANYVIEIKADAVSRSNDEIVSQTDRTSTRKTWNPPNYGALKVVIADEDEPRDKTPRTVDATPKEKGFKSLCWKQKFEEYPQAMRVVRIFNQRFGRIGICQSQRQFQRPFHSRYGCSVQVTLVLMLTIFLFVPFVLYSS >Vigun04g120500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30937219:30938842:1 gene:Vigun04g120500.v1.2 transcript:Vigun04g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPTAAVFLFLPVIRIHKSVQQPNDTKALYRFLYLTLVLAGFLMFVTVAPQFFTFSQFQYRVTTTVMLLLLILPLSVVIVEEHKIWKRKLENINREDSQKLLENYPNIARENCNQEKSSQAPQEEVSFWENIFNPPARGEDHTILQATFTLDMVLLFLTSICSLGSNMTMVTNLSQIGISFGYPSHTITTFVSLTSIWMYLGKIVQGVVSEFIILKYRVPRPLMLTSLLLLSCVGHLLVAFNVPNGLYVASIVIGFCFGANWPLFFSVISELFGLKHYSTLYNLGKLAGPTGSYLLSVRVAGYLYDREAMRQMEAMGKKTSPGEELNCNGEECFKLAFIIITAVCLLGALLSLILVFRTKQFYRSDLYKRFKEAKSDETETGSCCNRIESAAANEDQVTLARKWSE >Vigun04g169300.2.v1.2 pep primary_assembly:ASM411807v1:4:39360416:39364469:1 gene:Vigun04g169300.v1.2 transcript:Vigun04g169300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEALKMGSDVSTQGVDLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLSKTVEACDRALDLDSAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLASLAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYLEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSIRGDPSGNTGRAFKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKRFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSRIKRT >Vigun04g169300.1.v1.2 pep primary_assembly:ASM411807v1:4:39359670:39364469:1 gene:Vigun04g169300.v1.2 transcript:Vigun04g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEAEIPEDNDVDAADMEEDVDGDLADLENLNYDDLDSVSKLQKTQRYIDIIQKVEEALKMGSDVSTQGVDLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLSKTVEACDRALDLDSAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLASLAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYLEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSIRGDPSGNTGRAFKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKRFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSRIKRT >Vigun01g094100.1.v1.2 pep primary_assembly:ASM411807v1:1:25721555:25724169:1 gene:Vigun01g094100.v1.2 transcript:Vigun01g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMNIILVLFCIIVPLAFGELKVGFYDSSCPKAESIVKKVVQNRFNRDNTITAALLRLHFHDCAVRGCDASILINSTTSNTAEKEAGANVDVRGFDLIDEVKENLESACPSTVSCADIITLATRTAVSLSGGPKYQVPTGRRDGLVSNINDVKIPGPSSPVSIISQFFNKNLGLTTQEMVTLFGAHTVGVASCGFFADRLSGPDPTMDPALKAKLVKLCSARDRDPSTPLDQNTSLVVDNEFYHQILANKGVLQIDQQLALDKSTKGFVADLASDEAKFQKSFANAMVKMGSVDVLVGSQGEIRKKCSVFNSRS >Vigun04g201600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42477440:42478102:-1 gene:Vigun04g201600.v1.2 transcript:Vigun04g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFVFAIVAAVAVVALEVAPAEGVTCSPVELGPCLAAITSSSPPSTACCQKVREQRPCLCGYLKNPSFKNYVNSPGSRRVATSCGVPFPTC >Vigun01g126900.1.v1.2 pep primary_assembly:ASM411807v1:1:30422801:30432855:-1 gene:Vigun01g126900.v1.2 transcript:Vigun01g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKASTSKPNIGLSGSDGLSHAYIHYPPLRCNVPGSSGLFYDDGNKLILSPTVDQVFSWKVGPFDPLIDPTADSISEGPIIAIRYSLDTKVIAVQRSNHEMQFWDRETGGTFSHKCRPESESILGFFWTDSHQCDIVLVKTSGLDLYAYNSESKSLQLVQTKKLNVSWYVYTHESRLVLLASGMQCKTFHGFQISSADIVRLPRFEMAMAKSEANSKPVLAAEDVFIVTVYGRIYCLQVDRVAMLLHSYRLYRDAVIQQGSFPIYSNRIAVSVVDNVLLIHQVDAKVVILYDLFADSRAPISAPLPLLFRGFPRSSTSSQSSGRDSESSDSNVLSNHGAVTYSNAWTFLVPDLVCDVANKLLWKFNLDIEAISASSSEVPSVLEFLQRRRLEANKAKQLCLGITRTLILEHRPVPVVAKAVNVLVSSYSHSIKTGNYLKGVKPEKASTSVDQNSGAEVSAIERDLIGKSIIHESMERVDSGSLNKASAVSSMDSDNESQSTNPKHNSKDAHSAYDMQSSLQSGQEESQLTSAAISPDEMYSFVFSPVDEEMVGDPSYLVAIIIEFLHSANSEKIRVLPNVYVLIIQLLARNERYAELGLFVLNKILEPSKEVALQLLESGRQNAQTRKLGLDMLRQLGLHHDYVLLLVQDGYYLEALRYARKNRNYFQVDTIRPSLFLEAAFVSNDSQHLAAVLRFFTDFLPGFKNTSDHNRYCRILNEMNSSMTV >Vigun03g230400.1.v1.2 pep primary_assembly:ASM411807v1:3:38346241:38350669:-1 gene:Vigun03g230400.v1.2 transcript:Vigun03g230400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVTLPTTQHRFCASLFAIAKANKTTMASTSNSGGAFTTIQERVTFEKEIKKSKFIAIAGPIANEKSAMSFLSQVRDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIQTAIDSSGIDRVMVVVIRHFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKTKVPMGVEVPFDLLGVLYHQVQSFHVEDIKQDYDTGKDGISMVTFKVDFDQAEKLEDALKANCSRELKFYKR >Vigun07g134600.1.v1.2 pep primary_assembly:ASM411807v1:7:24472455:24478552:1 gene:Vigun07g134600.v1.2 transcript:Vigun07g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETAASATDQQYVKKEKDEGIAQMIASLANEDEVKHEKPEDTSSNKTEDKVTAELASVEVEKSDDSPALEASAEDGLNHDKVEDAQSSTPTATEDVDHQVEPAIAVESTENSLPSDATIAAEDKLKEEKEVVPASDTISDIEPVHDAANSQPVVAPSTEPSVEETPAQQLENESVETDEEKQAQPKTDDTNPPAESEGELVKEAQVLEDKVVPEAVQVGEKTEEAEKELHEAEQPSTIAVPEQTADAEEKPSDTVEGKATGPSEDVVEEINNFETGATVTVDAEPVVTEVNGNQKEPEKQSLEPREEEKPDTSAIPEQSAEKSDAMEEKTRELDFEAEVLKETNNFETEKAEPSAAKVDESHSETEKAEPVAAKVDESQTEPEKLEEEEQPKTAVPEEEAENAEQQGSAEKVKETGNSESDAVPEKIEKPEPLSIEVEETSREQLQANEEVAEDFKDVETEKEIVIETAKTEGTSDDLIKEEKADKEEETTLTVNAAQVSANEEPQSNIVEPSPEAVLGSSKSEGTSDDTVKEEKTDKEEETGLTGNAAQVSSHEEPLANLVEPSPEIVLQIPKSEGTSDDTIKEEKTEKEEETSHIENAAQVSSNEEPQANIVEPSLVVAEKVVEEDDNKEPQANIVKTEKEEETSHTENATQVSSNEEPQANTVEPSPVVAEKVVEEDDNKEPQANIVKTDKEEETGHTENAPQVSSNEEPQANIVEPSPEVAEKVIEEDNSKESSITDAIEGVPNEVASIVKSPEPASVDQGAEAILKEEREYSIPADVEEKVAVAANDDDKKEPEAPNAVTGSSTEEEAESRKVEEQNEAKTATTEVAESVKEEDVRGDDDGSVVDDKKEGNSDAKVEEISRAVSEPVRETLASKFEEKEEEYVEPGVNKLEKEQTVEPEKTEVQVTKQSDAIKTSKDLPKETPAKPAQKQSNNIISKVKQSLVKAKKAITGKSPSSKNLSSEAKGDIKVK >Vigun04g072666.1.v1.2 pep primary_assembly:ASM411807v1:4:8496075:8496833:1 gene:Vigun04g072666.v1.2 transcript:Vigun04g072666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESKSEEWLSAVEVWKATCMKSNRNWCIPNGEEIMDNLKNVGEVYKEEILKAHVPLVKNFSFVLGTTPNHSQGMGTISITKKNVERQIVQNEVENAQKRASDLELEIKNLL >Vigun10g087000.1.v1.2 pep primary_assembly:ASM411807v1:10:24860766:24864287:1 gene:Vigun10g087000.v1.2 transcript:Vigun10g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHEIVVGSTWGIRNIFQSLVAILATTLVVTAIYLTQEGEQWSQDKTKLHSLSKCDFFSGKWVFDNESYPLYKEQQCTFMSDQLACEKFGRKDLSYQNWKWKPHQCDLPRFNATTLLERLRNKRMVFVGDSLNRGQWVSMVCLVESSIPPILKSMRTIANGSLNIFKAQEYNATIEFYWAPLLVESNSDDPVNHRVAERTVRVHGIEKHAKYWTDADILVFNTFLWWRRKAMNVLWGSFGDPNGVMKKVGMVRVYEMALRTWSDWLEVHINRNKTNLFFVSMSPTHQKAHEWGGAEGENCYNERDKISEEGYWGNGSYPSMMRVVENVIEDLNGRGLKVELLNITQLSEYRKEGHPSIYRKQWDPLTEEQLSNPKTYADCIHWCLPGVPDVWNELLYAYILNP >Vigun11g061300.1.v1.2 pep primary_assembly:ASM411807v1:11:13472658:13475050:1 gene:Vigun11g061300.v1.2 transcript:Vigun11g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTEMARRHTLSQILVDLVKFAVGSAIDGSRKIIPGRKQVDKMVPEGLINIPLPTPVNTQKHPDLKVANDLHFETKMEEVKEDMNSIKQQYKTSTKRADQSQIPNKSDDGLKEINLVQGKGRRVFIRSRL >Vigun02g173600.2.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHLTTRVLSNSMNGGEERETTAFRALADRCRSLEEKQAKLREEFDELLQKKLTVRHDKDNEVIADSTTFGFLSRYFFSGSPYATVLKCMGHAVHVVRVPSAEIVYWNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun02g173600.4.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLGESDKKLIFHKANWIRNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun02g173600.6.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHLTTRVLSNSMNGGEERETTAFRALADRCRSLEEKQAKLREEFDELLQKKLTVRHDKDNEVIADSTTFGFLSRYFFSGSPYATVLKCMGHAVHVVRVPSAEIVYWNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTVMTSLAFFIFKYETSDLNSWQLLNGWPLKSLETNLQMRSLMYSVSVSSSGN >Vigun02g173600.9.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSEATTMVTGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun02g173600.8.v1.2 pep primary_assembly:ASM411807v1:2:31628479:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLGESDKKLIFHKANWIRNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTVMTSLAFFIFKYETSDLNSWQLLNGWPLKSLETNLQMRSLMYSVSVSSSGN >Vigun02g173600.3.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLGESDKKLIFHKANWIRNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSEATTMVTGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun02g173600.10.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun02g173600.5.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHLTTRVLSNSMNGGEERETTAFRALADRCRSLEEKQAKLREEFDELLQKKLTVRHDKDNEVIADSTTFGFLSRYFFSGSPYATVLKCMGHAVHVVRVPSAEIVYWNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSEATTMVTGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTVMTSLAFFIFKYETSDLNSWQLLNGWPLKSLETNLQMRSLMYSVSVSSSGN >Vigun02g173600.7.v1.2 pep primary_assembly:ASM411807v1:2:31628479:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLGESDKKLIFHKANWIRNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSEATTMVTGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTVMTSLAFFIFKYETSDLNSWQLLNGWPLKSLETNLQMRSLMYSVSVSSSGN >Vigun02g173600.1.v1.2 pep primary_assembly:ASM411807v1:2:31627102:31633915:1 gene:Vigun02g173600.v1.2 transcript:Vigun02g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHLTTRVLSNSMNGGEERETTAFRALADRCRSLEEKQAKLREEFDELLQKKLTVRHDKDNEVIADSTTFGFLSRYFFSGSPYATVLKCMGHAVHVVRVPSAEIVYWNHTAETLYGWKDYEIIGQRVTKVLIAEENYVSLQNILERVVSGVPWSGKFPFKKKSGEVVMALVTKTPLYEGGELVGVITVSSDAAILKSTNSENQTYKSASNGQPGVRRLNFKRIQWPPRPTIAPMPQIASSVSNLASKLLPLLHSSDDTVSKNTSTDGDEKMEKRGIYETKPYSRHFRKENTIVTEASEENESTAEFGQPSKIAAKLQTGVRAKYGKDNGKIRNNCADDNSGSNRMNYENDSSGGLVPLNGYQDVVNGADKEQNLQKCSSSLALKRADTTACASRASTVSKDYSEATTMVTGDEVQKQQEGSQLPSSRESTGSHESLSGKGDYESNSVSECEIHWEDLQLREEIGQGSYATVYHGIWNGSDVAVKVYFGNGYTEETLQDYRKEIDIMKRLRHPNVLLFMGAVYSQERLAIVTELLPRGSLFKNLHRNKQTLDIRRRLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKDATLLNTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTQSIPWKNLNSLQVVGVVGFIDRRLDLPEDLDPHVASIIHDCWRSDPDRRPSFEELIQRTLFLVNRVTAVSIRRIAES >Vigun01g070401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18797677:18798735:-1 gene:Vigun01g070401.v1.2 transcript:Vigun01g070401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQRFKVVVHHGGHFVQNDGLLYVGGLIDQWSCDLDTWGYFEVLDTLKLMGYLEVKKLWYAVDRRLPLLYDDNRSINMVNVAKRIGEVHLFVVHIVSEAVVVDDDIDDNLEYAIVQVNAELGHGGGLSEVVLGDEGGNEAEAEADLGGAVGEDEGGNGGEGKADLGGDAEADFGGAIGEDEGENRGEDEVDLGGEAEGENGGEDETDLGGATSLDEGGNGGEAEAEVGGAASEDEGGNEGKVEVDLGGQAEANLGGAAGLDEVDIEAEVHSWDESDTEDEEFVDIPVNIMGDSNTSDNDVGSVAVEVGISEEENESDSQHRGKVRKDIYRGLSDDQWVSDELLSGAKSDCE >Vigun11g185200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38647027:38647639:-1 gene:Vigun11g185200.v1.2 transcript:Vigun11g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTSFATNQASSKDVAKGHLAVYVGEKMKRFVIPVSLLNQPSFQDLLSQAVEEFGYDHPMGGLTIPCGEHEFLDITSRLMRC >Vigun05g201400.3.v1.2 pep primary_assembly:ASM411807v1:5:38851543:38855696:1 gene:Vigun05g201400.v1.2 transcript:Vigun05g201400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRIIFLCYHILLCLCEISLALDSISQDLSLRDDVDNTTLVSKDGTFELGFFTPGNSQKRYLGIWYRKIPIQTVVWVANSLNPINDSSGILRMNTSSGNLVLTQKGKVVWTTNSSRKAESPVALLLDSGNLVMRDEKDANVEAYLWQSFDYPTDTFLPEMKFGWDLRTGINRKITAWKSPDDPSPSDFSFGMVLNNYPEAYMMKGNQKFYRSGPWNGLHSSGSPHVKANPIYDFRFVFNEAEVYYTYSLKNTSVISRLVLNATSYVRRRYVWVESKQIWEVYTSVPLDLCDSYSLCGANANCVISDSPVCQCLKGFKPRSPQAWNSMDWSHGCVRNKELSCENKHKDGFIKLPEMKTPDTTHSWLDQTIGLEECRAMCLDNCSCMAYANSDISGQGSGCAMWFGDLIDMRQFSDGGQEVYVRVDASELEHTSKGHKKGRVLAAVTVSFAVATVSERSDFSIKSYQNSAMEVGDMDLPVFDLSTIAKATNKFTLKNKIGEGGFGSVYRGTLADGLEIAVKRLSESSGQGLTEFKNEVKLIAKLQHRNLVKLLGCCLEGEEKMLVYEYMINGSLNSFIFDQEKSELLDWSKRFNIICGITKGLLYLHHDSRLRIIHRDLKASNVLLDNELNPKISDFGMARIFGGDQKEGNTRRIVGTYGYMAPEYATDGLFSVKSDVFSFGVLLMEIISGKRSRGYYNQNHSQNLIGHAWELWKKGRPLELVDKSLENSNFQSQILHCLHVSLLCVQQNPDDRPGMSSVLLMLVSEVELPEPKQPGFFGKYSGEGDSSTSKQQQSSTNEITITLLEAR >Vigun05g201400.1.v1.2 pep primary_assembly:ASM411807v1:5:38851543:38855696:1 gene:Vigun05g201400.v1.2 transcript:Vigun05g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRIIFLCYHILLCLCEISLALDSISQDLSLRDDVDNTTLVSKDGTFELGFFTPGNSQKRYLGIWYRKIPIQTVVWVANSLNPINDSSGILRMNTSSGNLVLTQKGKVVWTTNSSRKAESPVALLLDSGNLVMRDEKDANVEAYLWQSFDYPTDTFLPEMKFGWDLRTGINRKITAWKSPDDPSPSDFSFGMVLNNYPEAYMMKGNQKFYRSGPWNGLHSSGSPHVKANPIYDFRFVFNEAEVYYTYSLKNTSVISRLVLNATSYVRRRYVWVESKQIWEVYTSVPLDLCDSYSLCGANANCVISDSPVCQCLKGFKPRSPQAWNSMDWSHGCVRNKELSCENKHKDGFIKLPEMKTPDTTHSWLDQTIGLEECRAMCLDNCSCMAYANSDISGQGSGCAMWFGDLIDMRQFSDGGQEVYVRVDASELEHTSKGHKKGRVLAAVTVSFAVATVSGILIILGLCYRKKSRPNVKERSDFSIKSYQNSAMEVGDMDLPVFDLSTIAKATNKFTLKNKIGEGGFGSVYRGTLADGLEIAVKRLSESSGQGLTEFKNEVKLIAKLQHRNLVKLLGCCLEGEEKMLVYEYMINGSLNSFIFDQEKSELLDWSKRFNIICGITKGLLYLHHDSRLRIIHRDLKASNVLLDNELNPKISDFGMARIFGGDQKEGNTRRIVGTYGYMAPEYATDGLFSVKSDVFSFGVLLMEIISGKRSRGYYNQNHSQNLIGHAWELWKKGRPLELVDKSLENSNFQSQILHCLHVSLLCVQQNPDDRPGMSSVLLMLVSEVELPEPKQPGFFGKYSGEGDSSTSKQQQSSTNEITITLLEAR >VigunL059758.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:28212:28646:1 gene:VigunL059758.v1.2 transcript:VigunL059758.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLDFEFLVSNFKFEVSGFKFGVRGSCFELGVYGFGVSGFGFRVSGLGFGFRVSSFGLQVTSYGFWVSCFGCRILGSWFRISDFGFRVSGFQFGVSGFGSEDSSLGFWVLGISGYRFQVSGFDFQVEFRVWDFEFRISGLGF >Vigun09g054000.1.v1.2 pep primary_assembly:ASM411807v1:9:5398106:5399648:1 gene:Vigun09g054000.v1.2 transcript:Vigun09g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGSHQQLGMTQLTVGSAISFPLVGDVKDSCTVASFSLHLSLSLSSDLYNHDLCVEAMYIIKAPTLANCSFSENMGGSEGRPLVEAVEQKEDFYEQQEEASGCGYGCFGSFGLSWCRGGREEVKGLVEQRGDSWVGCKLRNMKEFTEVIAGPKWKTFIRKISGYGRKQQKNRFQYDEHSYALNFNSGAQSEDDDMPPTFSARFSAPFPSVRRQTEQQ >Vigun08g051750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6049738:6050139:-1 gene:Vigun08g051750.v1.2 transcript:Vigun08g051750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKTPFLPQFCRGSRPYIVPFSHFFLKSFIVKATLTTTTTVRNPSLEVVPKAKPVLYYSPKQVNGLGNHGTKGRSNQGVAQNDDVQELAINDGRNREVERIFKTDKKGYAFSTYPLREMAAQQWLEVGEKES >Vigun05g122100.1.v1.2 pep primary_assembly:ASM411807v1:5:13474288:13474735:-1 gene:Vigun05g122100.v1.2 transcript:Vigun05g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGDNSTWEEVEDWKNARIARADCFCCDCQGLFYPALNCYILLWFVQYRKRVHPKPSTNFILCFPFGIFHIYCERMFC >Vigun03g091600.1.v1.2 pep primary_assembly:ASM411807v1:3:7735710:7740690:1 gene:Vigun03g091600.v1.2 transcript:Vigun03g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKWVAAKAFIAFVLLVSVSAATPSSHQNNADNKTPSNGSVGVDYHAKVFYKHHWPSMKFGWRLIVGSIVGFLGSAFGTVGGVGGGGIFVPMLTLIVGFDQKSATAISKCMITGGATATVFYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISIGVAFNVIFPEWMLTVLLIIFFVGISIKSFLKGVDTWKKETIMKKEAKKNSRIDDIGTPEDAAHYIQTEDPAKDINEPKKKVSLVENIRWKELGLLFSGWIMILGLEIAKKHTTTCSRLYWLMNLLQVPIAVGTSSYEAVRLYKGKRIIASKGDQQTHFCVLQLVLFCACGTLAGMIAGLLGLGGGFILGPLFLGLGIPPQVASATSTLVMAFSASMAVVEYYLLKRFPVPYALFFVSIATAAALVGQHLVKKAIAILGRASVIIFILTLTLSVSAVLLGGVGVVHMIQKIEHNEYMGFGDLCTYRVHK >Vigun06g008900.1.v1.2 pep primary_assembly:ASM411807v1:6:4246428:4248025:-1 gene:Vigun06g008900.v1.2 transcript:Vigun06g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLKNLELEEAEETCLKELVIRCKNELPEEWDSTDFPSDDTVRRAQLQAVIRRLQGIVASMSRIPTFKRRFRNLMKVLCVEALQAASAIHIGGTVISKHSEKSKEDDVDEASNRC >Vigun05g017000.2.v1.2 pep primary_assembly:ASM411807v1:5:1405463:1408629:-1 gene:Vigun05g017000.v1.2 transcript:Vigun05g017000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPRRRTHAPKSSPAVAVIDDILCQECGSGDSPARLLLCDNCDRGYHLFCLRPILPSVPTGSWFCGSCSNHKPKSFPLVQTKIIDFFRIQRSSEPLLNQDARKKRKRGGSLVISKKKRKLLPFVPSEDPNRKLEQMASLATALTATNTEFSNKLTYMPGMAPRSANCPALERGGMQVLSKEDTETLNLCKSMMERGEWPPLMVVFDPLEGFTVEADRPIKDLTIITEYVGDVDFLKNRENDDGDSIMTLLSASDPSKTLVICPDKRSNIARFINGINNHTHMDGRDYETANSATHLGAHALRSHMNNEF >Vigun05g017000.1.v1.2 pep primary_assembly:ASM411807v1:5:1406170:1408629:-1 gene:Vigun05g017000.v1.2 transcript:Vigun05g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPRRRTHAPKSSPAVAVIDDILCQECGSGDSPARLLLCDNCDRGYHLFCLRPILPSVPTGSWFCGSCSNHKPKSFPLVQTKIIDFFRIQRSSEPLLNQDARKKRKRGGSLVISKKKRKLLPFVPSEDPNRKLEQMASLATALTATNTEFSNKLTYMPGMAPRSANCPALERGGMQVLSKEDTETLNLCKSMMERGEWPPLMVVFDPLEGFTVEADRPIKDLTIITEYVGDVDFLKNRENDDGDSIMTLLSASDPSKTLVICPDKRSNIARFINGINNHTQEGKKKQNLKCVRFDVGGECRVLLVSNRDISKGERLYYDYNGDEHEYPTENFV >Vigun06g012801.1.v1.2 pep primary_assembly:ASM411807v1:6:5846233:5847043:1 gene:Vigun06g012801.v1.2 transcript:Vigun06g012801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTHPCQLYIWDGTEKTLVARGKVFEAATVLHGMELSEDEVKVTVEEVLMPYALVPVPTDEVYTVAQALQCFLAWPRDLVDNDPPEKPQSKKILLSLDDPLGALLQLAEIIADKPMQVPWDANIFGRDLDIPLYLHSQDVLELARGQEELNITIIQLWMM >Vigun03g172600.1.v1.2 pep primary_assembly:ASM411807v1:3:21130128:21131435:1 gene:Vigun03g172600.v1.2 transcript:Vigun03g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFIKCHDINTKEVCFNLYFEIKYLLNSNAGLVDDGDMKFLSKLDTKLHTQMSLDKDRLAFVACIVKDCKHNRLGDMIVTIKDQSRIAKAGIHKKVLQDGQFGPNIGISSVMLLQDVFMFNICPPIEDIVKTSVSLLRNRLGEEVSMDDILKKLKPPLNEVSSSDPDYTSNATSSNDDN >Vigun02g195000.1.v1.2 pep primary_assembly:ASM411807v1:2:33076128:33082093:-1 gene:Vigun02g195000.v1.2 transcript:Vigun02g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVRSGGSGVVVKSRNSSGCLIVRKKGDGLGATASTSRKLYESKKRANISVSVSDSGSSDELLIPPGRRLGSETIRGCNGLAGSERGGSEISRKRDRMERIRGADKGLEQWVTKRSKLDAYNVEEYDGMDVEITRRRHLDGNGVGFGGRSFMGSEHATRSGIDRELKNGSSVRLPDKRNNSYVDRPSGVFPGDHVGHIRLKSNRDGVRVPIPLQREKFNSEESIRVQGKNGVLKVMVNKKKVCGQSKQYYDHHKPVESGRRLETEEPSKRMKTEETAKWNVPTRPSSYLETKPVEKPGLLKRPEKKQIASRKSLSSKDSKGDEGDSDNSDTSLNPGVRNVEAAKPVKKMLSEDEQTPVHDKLSTTKAKEGKIKRGSGTEKQKLRERIREMLLTSGWTIDYRPRRNRDYLDAVYINPVGTAYWSIIKAYDALQKQLNEDAKEVKVKGDSSSFAPIADEVLSQLTRKTRKKMEKELKNKKKKYDSESDNEKEPQIRSASNKHDMNSTDSDNNEEKLSSFIKQGSKSMKNKMFDTNVISARSKIQNATHHSVEGIEKPSGCDPRIHGRKSNKHGRCTLLVRSSNERSNSESDGFVPYMGKRTVLAWLIDSGAVELSQKVQYRRRKKVLLEGWITRDGIHCGCCSKILTVSKFELHAGSKLPQPYQNIFLESGVSLLQCQIDAWNRQENSEKIGFHSVDINGDDPNDDTCGICADGGDLICCDSCPSTFHQSCLNIQKLPLGEWNCPNCTCKFCGIASGLSEKDDASVPIVHTCNLCEKKYHDSCAKEMDTLPNNLNTSGLSFCGRECRELSEQLKKYLGTKHELEAGFSWSLIHRTDEDSDASCRGITQKVECNSKLAIALTVMNECFLPVIDRRSGINLIRNILYNSGSNFNRLNYGGFYTAILERGDEIIAAASIRFHGTKIAEMPFIGTRHIYRRQGMCRRLFSSIELALCSMKVEKLVIPAIAELTHTWTTVFGFTHLDYSLRQEMRSLNMVVFPGIDMSQKLLMEGSEKMGHGDTDFIHTKMGNRSDMGSSTPQDPRGSDDVSSNPVNDTNDECSDASQKLDQVLVDGILCSKSHSEEMVSDSVSDKCVSPSRTSHSALKMKNKVAVAPPVDKLNPTSVRNHPEDIPNDQALVQETACSDPCSAENLDNKCHSFTAMNFDSLEVDVSPILNSQKSDNTPPTKEAYVNDALETVTSGILSEENIIPKRSNQDVDVSISSLNHADESLLQLGSGSNNEFGCENGKDLLLNRIVASNETRLDENGLNASDDSSETVVV >Vigun02g195000.2.v1.2 pep primary_assembly:ASM411807v1:2:33076128:33082094:-1 gene:Vigun02g195000.v1.2 transcript:Vigun02g195000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVRSGGSGVVVKSRNSSGCLIVRKKGDGLGATASTSRKLYESKKRANISVSVSDSGSSDELLIPPGRRLGSETIRGCNGLAGSERGGSEISRKRDRMERIRGADKGLEQWVTKRSKLDAYNVEEYDGMDVEITRRRHLDGNGVGFGGRSFMGSEHATRSGIDRELKNGSSVRLPDKRNNSYVDRPSGVFPGDHVGHIRLKSNRDGVRVPIPLQREKFNSEESIRVQGKNGVLKVMVNKKKVCGQSKQYYDHHKPVESGRRLETEEPSKRMKTEETAKWNVPTRPSSYLETKPVEKPGLLKRPEKKQIASRKSLSSKDSKGDEGDSDNSDTSLNPGVRNVEAAKPVKKMLSEDEQTPVHDKLSTTKAKEGKIKRGSGTEKQKLRERIREMLLTSGWTIDYRPRRNRDYLDAVYINPVGTAYWSIIKAYDALQKQLNEDAKEVKVKGDSSSFAPIADEVLSQLTRKTRKKMEKELKNKKKKYDSESDNEKEPQIRSASNKHDMNSTDSDNNEEKLSSFIKQGSKSMKNKMFDTNVISARSKIQNATHHSVEGIEKPSGCDPRIHGRKSNKHGRCTLLVRSSNERSNSESDGFVPYMGKRTVLAWLIDSGAVELSQKVQYRRRKKVLLEGWITRDGIHCGCCSKILTVSKFELHAGSKLPQPYQNIFLESGVSLLQCQIDAWNRQENSEKIGFHSVDINGDDPNDDTCGICADGGDLICCDSCPSTFHQSCLNIQKLPLGEWNCPNCTCKFCGIASGLSEKDDASVPIVHTCNLCEKKYHDSCAKEMDTLPNNLNTSGLSFCGRECRELSEQLKKYLGTKHELEAGFSWSLIHRTDEDSDASCRGITQKVECNSKLAIALTVMNECFLPVIDRRSGINLIRNILYNSGSNFNRLNYGGFYTAILERGDEIIAAASIRFHGTKIAEMPFIGTRHIYRRQGMCRRLFSSIELFLASHIWTTHSGKK >Vigun05g270500.1.v1.2 pep primary_assembly:ASM411807v1:5:46198437:46200281:-1 gene:Vigun05g270500.v1.2 transcript:Vigun05g270500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGINVGQLLVEIEQLRQRVQELETENKQIQHDLGEFIQQLNDAREEHINYKNSSRDEFDRQVDILARQAILLV >Vigun08g059375.1.v1.2 pep primary_assembly:ASM411807v1:8:7710876:7715395:-1 gene:Vigun08g059375.v1.2 transcript:Vigun08g059375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNGRGLVWLVPFSSFKTFSYLRKIFSLSPSTRNPYISYSSVLELDSSTHTGSRGGTSVAAAIASREVFPFRYRMWDVSSAKLFWGPTYPDSFMLCLCHIENTLNFAASFCRRLNVKELVTNVSVYRSTSDVSGEGLSMVFRRWASKKTAGSRKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRK >Vigun03g195200.3.v1.2 pep primary_assembly:ASM411807v1:3:27481202:27483263:1 gene:Vigun03g195200.v1.2 transcript:Vigun03g195200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQNTTLTGSMYVKHSTTVTLPQKLTGGLETKCISRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISSDIIHMVSYAPLFVNANDKRWTPDAVVFDSHQRYGTLSYWIQHLFSTSSGATLLYSTLEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTLSILFSTLGIFFFNIYMVIVNASPNHQ >Vigun03g195200.1.v1.2 pep primary_assembly:ASM411807v1:3:27479946:27483263:1 gene:Vigun03g195200.v1.2 transcript:Vigun03g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISSDIIHMVSYAPLFVNANDKRWTPDAVVFDSHQRYGTLSYWIQHLFSTSSGATLLYSTLEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTLSILFSTLGIFFFNIYMVIVNASPNHQ >Vigun03g195200.4.v1.2 pep primary_assembly:ASM411807v1:3:27481367:27483263:1 gene:Vigun03g195200.v1.2 transcript:Vigun03g195200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEPAIVCLKLGRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISSDIIHMVSYAPLFVNANDKRWTPDAVVFDSHQRYGTLSYWIQHLFSTSSGATLLYSTLEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTLSILFSTLGIFFFNIYMVIVNASPNHQ >Vigun03g195200.2.v1.2 pep primary_assembly:ASM411807v1:3:27479946:27483263:1 gene:Vigun03g195200.v1.2 transcript:Vigun03g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAPLFVNANDKRWTPDAVVFDSHQRYGTLSYWIQHLFSTSSGATLLYSTLEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTLSILFSTLGIFFFNIYMVIVNASPNHQ >Vigun08g121400.1.v1.2 pep primary_assembly:ASM411807v1:8:29054630:29057273:1 gene:Vigun08g121400.v1.2 transcript:Vigun08g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAVSNSPVFSPSSSLFCSKPSIISSSPESLSLSLSHLKPSNTNTASSCSSPSAAAASSSPSSPFRLRLPKPPSVFSASSASSTSPNGTVLKRKRPARLDIPVSSLTFGVPPTPSAAARDVVEAEEDGFGVYCKRGRREYMEDRYTAGNNLRGENKLAFFGIFDGHGGAKAAEYAANNLEKNILDEVIMRDEDDAEEAVKRGYLNTDSDFLKEDLHGGSCCVTALIRNGNLVVSNAGDCRAVISRGGVAEALTSDHRPSREDERDRIENLGGYVDLCRGVWRIQGSLAVSRGIGDRHLKQWVTAEPETKVLRIEPEHDLLILASDGLWDKVSNQEAVDIARSFLMGSNKSQPLLACKKLVDLSVSRGSMDDTSVMLIKLEHYV >Vigun04g121200.1.v1.2 pep primary_assembly:ASM411807v1:4:31064881:31066657:-1 gene:Vigun04g121200.v1.2 transcript:Vigun04g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPKIRFGFIGCAGIGRRVSRAVALAPNAVLNAVGSRSLDKARAFAAANGFPAGAKVYGSYEAVLDDPEVDVVYLPLPTSLHVRWAVLAASKKKHVLLEKPVALNVAEFDEIIAACESNGVQLMDGTMWMHHPRTSTMKEFLSDVNRFGQLRSIHSNFTFRANADFLENDIRVKPDLDALGALGDAGWYCLRAILWAANYELPKTVIASRNPEHNQVGVILACGATLYWEDGKAATFYCSFLSNTSTDITALGTKGTLHVHDFVLPYEEKEASFYAATESGVDEGVTKWVPQPSKHVIETEIPQEALMVNELARLVADIKFKNAKPEKKWPTISRKTQLVADAVKASIDRGFEPVQIQE >Vigun01g239300.1.v1.2 pep primary_assembly:ASM411807v1:1:41009586:41010790:-1 gene:Vigun01g239300.v1.2 transcript:Vigun01g239300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEDLAILFRLSLFLAITFLLHNTFLAPNLVSLVPILHMLSSSVVLTGRRWIPFG >Vigun01g240200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41049752:41050456:-1 gene:Vigun01g240200.v1.2 transcript:Vigun01g240200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIATTVGASTVTTVDCQKQVRSWRLLRSIMELLIPTCNCTFFLEEQDQSQKCLQQKYPRQHQQQLMTCATITGTIFGYRKGKVSFCIQANSNSSNPILLLELAVPTSVLAKEMRGGNLRIVLESSCNNNSNNSNLFSSPLWRMYCNGRKVGYAVKRRPSNGDFEALSLMSSVAVGTGVINSCKELQHQEDELMYLRANFQRVRGSSNSESFHLIDPEGSIAQELSIFFFRSK >Vigun08g064200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8964262:8968969:1 gene:Vigun08g064200.v1.2 transcript:Vigun08g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPLSSRINLVELKAQIVKRIGAEKSKQYFYYLNRFLSQKLSKTEFDRSCYRVLGRENLPLHNHFIKSILKNACQAKTPPPVQQLTGPPKTGTLVHHASGREDGHENSFVNIQNQNVSIWSNGVVPVSPRKLRSGGRDRKLKDRLSPLGPNGKVDSVAHQSTPTEDSSSKVDMENDVLTPCDYQRPTQHLQVVDELLENGMGDALQRPAKKPRIYGNGPTDASVIEKGEEVEQSNRIIFSRSPLVAPLGIRYCTTSVGGARKAMPVNGTSNFVRCCDSGRLSNTDTLRRRMEQIATVQGLGGVSMECANTLNNMLDVYLKRLIRSCVNLVGARSGNEHRKLPVSKQQIQGRVINGVWPNNHLHVQNASGLAEPEAGHGPPHSVSIHDFKVAMELNPQLLGEDWPVQLEKISMRSFEE >Vigun07g141100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25133594:25133953:-1 gene:Vigun07g141100.v1.2 transcript:Vigun07g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKHSMASSLNAVKTDIEQHTKEVRNIHENQMRMERSTERASPRGSLHGESNVRKERKSSWSRSPPQKPEWDDQEAKRKRRVAKYKHYEVEGKVKSSLKEGFHTFKFTCKKVVATLV >Vigun02g108500.1.v1.2 pep primary_assembly:ASM411807v1:2:26266787:26270055:-1 gene:Vigun02g108500.v1.2 transcript:Vigun02g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSEDEDETLAQFLESEVLSEVSDKEEETGEEPKAKRKHVERAESTIGKQISDSSSAQKSIVVNNTVVSRRIETGCFSKVPPELFHHILKFLSSEDLVSCSLVCRFLNCAASDESLWRRLYCMRWGILPPTRKLRECPWKKLYIQRDGEDMVELVRNCQNEFKEYYIQMQAAKRSQAPLPSQLKDDRIILDKTLADQVASWKSSRGLSDAVVVDHTCSGENCSYYQIGDVFICEKTGQVHVCDDTCREVIMDPTNELLVCTISGHCFDRLLSPSEMEPDAEQQQGSVADEAEPFMGSGRFARAYLLGYNCADEKELEATLRFC >Vigun02g132600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28340677:28341189:-1 gene:Vigun02g132600.v1.2 transcript:Vigun02g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRMLLLSPLSSCNVVRGKLFPESIRRRSCCSPPPLKIVSMANEGSDASSNGSIIEKAAIGGGLVSTPVIAWSLYTLKTTGCGLPPGPSGSIGALEGVSYLVVVGIVGWSLYTKAKTGSGLPNGPFGLLGAVEGLSFLLLLSIVVVFGLQYLDKGFIPGPLPADQCFG >Vigun03g345800.1.v1.2 pep primary_assembly:ASM411807v1:3:54503287:54504670:1 gene:Vigun03g345800.v1.2 transcript:Vigun03g345800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRISNTVVGALNILSLLLGVAAVASSAYIHIRGGSDCQKVLQVPLLVGGIFVVLVSALGIVGSLCRVNTALYAYLFVTFALIVGLAFFTVFTLFVTNRKVGQHVSGKGYGEYRVADFSHWLQRYVVNNKNWDEVKSCLIDAHVCQNLAINGGRNNDSLIFKHLSTTQSGCCKPPAYCGFIMKNGTFWEEPKKGPAVNNSDCNTWNNREDKLCYDCNSCKGGVLANIRNQWRRLTVFNACVLLVVTAIYALGCYAIKNNRFDSQCNHPKTPSP >Vigun04g116800.1.v1.2 pep primary_assembly:ASM411807v1:4:29338532:29342965:-1 gene:Vigun04g116800.v1.2 transcript:Vigun04g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKSALDEHIVLMTDLFQKFSTDIRAGFIPAYDNFMGFFHAIDWKEPWLMGLVGFHVVLLLVVIISRKKTNFQMFLFLLTLVGVYLAERLNRIMGKNWKSFSGQNYFDPSGLFMSVLWSGPLLIISMIILINTLFSLCYLIVRWKRAELRHRARAARNKQE >Vigun10g081300.1.v1.2 pep primary_assembly:ASM411807v1:10:22722318:22727138:-1 gene:Vigun10g081300.v1.2 transcript:Vigun10g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVIVSLLLYCVAVLESDGCLENERIGLLQIKSYILSLGREEWNELELDSWVENRSSDCCVWNRVKCSNISTQQHVTHLFLDSLNSRGSHLINGSLYSPFQELLSLDLSNNDYEGWIGKGFPRLMKLENLKLSDNTMNGNLSDMDIKNLQRLKVLDLGSNNLYGSIEGLCEIQDLIELGLNSNRFSGQIPECLSIFRNLQVLDLSKNQFRGKFPSFIGNMSSLSYLSLFDNNLRGSFSLNILANHSKLQVLYISSTSPKTQVESENEQWFPTFQLKFLILRSCNLNLEKGSVIPSFLQYQKELLYIDLSQNKLVGAFPNWLIQNNSRLKYFLVANNLFEGNLQLSSVRKNITWLDISNNNVSGSLSKDIGTFLPLVSTLNLSTNNFEGSIPTSMGEMKQLSFLDLSHNHFSGELPYQLTIGCISLEELRLSNNHFRGNIPKFSNFTKLSQLFVNNNNLNCTLKEVLENLNGEELMAVDISNNSISGSIPSTIAKWSRVWVLLMGNNQLEGEIPIEFSNLVMLFVLDLSQNKLFGSISLLNPSILTFLYLQKNDFSGSIPLGFFESSSLTTLDLRDNNFSGNIPYMIERLSNLRVLLLGGNNFVGHIPIQLCQLPKITIIDLSRNKLKGSIPSCFNNISFGIKENYDSSAQTVAFSTVLLNSPIESAVNASVSLFMPSIDYQNDDINAAVEVRTKNNIYTYKGFILEKMTGLDLSCNMLRGSIPFQIGDMQKVRVLNLSHNYLSGSIPNTFSNLAQIESLDLSYNNLSGEIPFQMVKLNNLAIFNVSFNNLSGVAPSTGQFGTFVENSYLGNPFLCAELLTHTCEASPPSQLNHIEGKETMIDMGAFYWTFISSYIVILMGFTTVLCINASWRMTWFYFIAKIIHTCFPTLPLY >Vigun11g044400.1.v1.2 pep primary_assembly:ASM411807v1:11:6515844:6533867:-1 gene:Vigun11g044400.v1.2 transcript:Vigun11g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYHGNQIPGRVERLLRERELRKSGRVIQLKEGNEVNGSSGEAFEKEKARLREVVSEAGDGVEGSRVRKGVGEERHETKPFRQRLLVVANRLPVSAVRKGEDAWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYEKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKKHNKKMKVGWFLHTPFPSSEIHRTLPSRSELLHSVLAADLVGFHTYDYARHFVSACTRILGLEGTPYGVEYQGKLTRVAAFPIGIDSERFIRALDLPAVQDHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEEDPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTTVPIHHLDRSLDFYQLCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIGRALKMPSAEREKRHKHNFLHVKSHTAQEWAGTFVSELNDTVIEAQLRTRQVPPQLPTKTAIESYQQSTNRLLILGFSGTLTEPVEKTGDQIKEMELKVHPKLRQPLTALCSDPNTTVVVLSGSGRQVLDDNFKEYDMWLAAENGMFLHPSKGEWMTTMPEHLNMEWLDSVKHVFEYFTERTPRSHFDFEERETSLVWNYKYADVEFGKLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTSPIDYVLCIGHFLGKDEDIYSFFEPDLPSIGVGLPRSKVTSDGVKFPVERKPCLKEPPIKSGPKSSQNKATRPVSNSEKKSNNHISITPRRAAPEKVSWNVLDLKKENYFSCAIGRTRTNARYTLDSSDDVVSFLKELATNASIRK >Vigun10g137900.1.v1.2 pep primary_assembly:ASM411807v1:10:35451708:35455627:-1 gene:Vigun10g137900.v1.2 transcript:Vigun10g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLCTGTLKTDRLSQVILYDPGVLSLETNLNSTMQKKFLKKISKLNRAVLGDGLQEIWQDPKQIPDYFCFSELTQLFVDNCQFSLDAVLPFHLLPLLPKLETLQVGNCDSVKTIFDVKGTTQDTLITFSLKKLVLRKLPNLESVWNEDLRRILCMQHLREVYVKDCKCLKSLFPTSVAKDIVELENLVVENCEELMVIVAENNTDPRGTNVEVPFPCPCARTLKLRGLPKFEYFYYCSLKSDIYTNMESHSEDQLIAEKCLLLGENGMKMILRGELQKVLDNLKALTLCFGSDVFRNEIVEQVPNIEKLVVCDGSFKEIFSCESPNDVDYHNGSLLQLKELHLESLENLISIGLNLPLSNLTCFKVQNCNSLPYLLTSSTVKSLTKLKTMEIKWCSSIKEIVSKEGEESNEDEIIFRQLSCLNLEYLENLKSFYKGSLSFPSLKELSITDCNEMITLCSGTLKTAKDLEVKLEVSGPVTLEIDLNYTMRKAFLINRESSQWQRSLEFRDRADLQDIWRISLQIPNFCFSNLETLILDGCHSLSDALPFTLLPLLPRLQTLKVQNCDYMKTIFDVKCTTQDTLVTFPLNELVLSELPNLETVWNEDPHRILSMHNLQKVFVDRCKCLQRLFPASVATDLEKLETLVVKDCAGLMTIVAEESEDQDKEIIFQRLRVLDLTRLEKLRCFYADNLTLSFPSLEEVHVINCSSMKTFGEWYYEEYESPREESDLNSAVRRTSEEQVDSKLQ >Vigun01g071501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19494283:19494486:-1 gene:Vigun01g071501.v1.2 transcript:Vigun01g071501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLFLFLLSLSLSFSCIWLSRMVLSSYTNKKSQGGTHRIKTRGTRKKVMETTTSSGSVDDNASWW >Vigun09g000900.1.v1.2 pep primary_assembly:ASM411807v1:9:120799:123679:1 gene:Vigun09g000900.v1.2 transcript:Vigun09g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGGGHGRVRGRGRGRGRRSPSTSPVTNTCLCLKVVVGNEQCARGRGSEEKKQPQNSSPDSRSDSDSVGMGMSKLNIGDDVDKPSAAAASVGEGGFDICPRKQPGSVVLKPPLLVQNREKRRSGSSNNNGNGLSLRPGMVLLKGYLSLNDQQKIVERCRELGVGVGGFYQPGYGEETKMHLKMMCLGKNWDPHTSQYGERRPFDGAKPPHIPPEFQTLVSNALRDSNALVPQNRLPSISPDICIVNFYSQTGRLGLHQDKDESEDSLRRGLPVVSFSIGDSALFLYGDHRDPDKAEKLQLESGDVLIFGGPSRNVFHGVTAIHPNSAPNLLLQHTNLRPGRLNLTFRQY >Vigun09g000900.2.v1.2 pep primary_assembly:ASM411807v1:9:120799:123679:1 gene:Vigun09g000900.v1.2 transcript:Vigun09g000900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGGGHGRVRGRGRGRGRRSPSTSPVVVGNEQCARGRGSEEKKQPQNSSPDSRSDSDSVGMGMSKLNIGDDVDKPSAAAASVGEGGFDICPRKQPGSVVLKPPLLVQNREKRRSGSSNNNGNGLSLRPGMVLLKGYLSLNDQQKIVERCRELGVGVGGFYQPGYGEETKMHLKMMCLGKNWDPHTSQYGERRPFDGAKPPHIPPEFQTLVSNALRDSNALVPQNRLPSISPDICIVNFYSQTGRLGLHQDKDESEDSLRRGLPVVSFSIGDSALFLYGDHRDPDKAEKLQLESGDVLIFGGPSRNVFHGVTAIHPNSAPNLLLQHTNLRPGRLNLTFRQY >Vigun11g124500.1.v1.2 pep primary_assembly:ASM411807v1:11:33190297:33196455:1 gene:Vigun11g124500.v1.2 transcript:Vigun11g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTEPTINEGPLFAEVDMASDFNALTVRATVIQASTIFYDTPATLDKAERLLGEAAGHGSQLVVFPEAFVGGYPRGSDFGVSIGNRTAKGREEFRKYHSAAIDVPGPEVDRLATMAGKYKVHLVMGVIERDGYTLYCSVLFFDSQGHYLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENRMPLLRTAMYAKGVEIYCAPTADARDVWQASMTHIALEGGCFVLSANQFCRRRDYPPPPEYVFAGTEEDLTPDSVVCAGGSVIISPSGAVLAGPNYDGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLTVKDHPTNPVTFTSASKKIEDNTK >Vigun11g124500.2.v1.2 pep primary_assembly:ASM411807v1:11:33190690:33196524:1 gene:Vigun11g124500.v1.2 transcript:Vigun11g124500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTEPTINEGPLFAEVDMASDFNALTVRATVIQASTIFYDTPATLDKAERLLGEAAGHGSQLVVFPEAFVGGYPRGSDFGVSIGNRTAKGREEFRKYHSAAIDVPGPEVDRLATMAGKYKVHLVMGVIERDGYTLYCSVLFFDSQGHYLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENRMPLLRTAMYAKGVEIYCAPTADARDVWQASMTHIALEGGCFVLSANQFCRRRDYPPPPEYVFAGTEEDLTPDSVVCAGGSVIISPSGAVLAGPNYDGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLTVKDHPTNPVTFTSASKKIEDNTK >Vigun02g094500.2.v1.2 pep primary_assembly:ASM411807v1:2:24982972:24989745:-1 gene:Vigun02g094500.v1.2 transcript:Vigun02g094500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRVTLSKEVRNGLEFLKRKRLQRAQSVTATQTNIANMMNRSGGDALRDSASCGMRLHGNADVFSKRKVDKFDTNDLDWTETIPECPVYSPTKEEFEDPLIYLQKIAPEASKYGICKIISPLSASVPAGVVLMKEKAGFKFTTRVQPLRLAEWDSEDKVTFFMSGRNYTFRDFEKMANKVFARRYCSAGCLPATYLEKEFWNEIGCGKMETVEYACDVDGSAFSSSPIDQLGNSKWNLKKLSRLPKSSLRLLETLIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALEFERVVREHVYTNDILSSDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASRRYALLNRVPLLPHEELLCKEAMLLRTCLELEDSDFLSSDSLSHNSIKISFVNLMRFQHCARWFLTKSRACISVFSHSHGTILCSLCKRDCYVAYLDCNCHIHPVCLRHDVDSLDFTCGSKHTLNLREDIMDMEAAAKMFEQEDGISHEIRKQINSGQNMYAYPLSNMFQRAEANGYIPYCELKLDSVVEFYTTPEHSTNIQEYSTQNQSVFVHCSENQIPMVSDVSFSSATSTISESLESFSTPKNAEGHTNINLGGSIDFEGFGERIPNSACESSLSPAVCHESSIKPQSGFQRVDTKPIADESDSDSEIFRVKRPSSLKAERRNMNDVTSSKQTEQQILLYEWAMMIL >Vigun02g094500.1.v1.2 pep primary_assembly:ASM411807v1:2:24982972:24989745:-1 gene:Vigun02g094500.v1.2 transcript:Vigun02g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRVTLSKEVRNGLEFLKRKRLQRAQSVTATQTNIANMMNRSGGDALRDSASCGMRLHGNADVFSKRKVDKFDTNDLDWTETIPECPVYSPTKEEFEDPLIYLQKIAPEASKYGICKIISPLSASVPAGVVLMKEKAGFKFTTRVQPLRLAEWDSEDKVTFFMSGRNYTFRDFEKMANKVFARRYCSAGCLPATYLEKEFWNEIGCGKMETVEYACDVDGSAFSSSPIDQLGNSKWNLKKLSRLPKSSLRLLETLIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALEFERVVREHVYTNDILSSDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASRRYALLNRVPLLPHEELLCKEAMLLRTCLELEDSDFLSSDSLSHNSIKISFVNLMRFQHCARWFLTKSRACISVFSHSHGTILCSLCKRDCYVAYLDCNCHIHPVCLRHDVDSLDFTCGSKHTLNLREDIMDMEAAAKMFEQEDGISHEIRKQINSGQNMYAYPLSNMFQRAEANGYIPYCELKLDSVVEFYTTPEHSTNIQEYSTQNQSVFVHCSENQIPMVSDVSFSSATSTISESLESFSTPKNAEGHTNINLGGSIDFEGFGERIPNSACESSLSPAVCHESSIKPQSGFQRVDTKPIADESDSDSEIFRVKRPSSLKAERRNMNDVTSSKQTEQQGLKRLKKVLPEGRSDQPMDFRTQELSYKHSHPVSHKSRVEVSSRDRFARGNGIPISIRYKKFGNEEINMQRDHHQHRKDRFLQQQTFREPPSVEIEPKRLKVRGPSFLGLESRLN >Vigun07g190600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30895178:30897020:-1 gene:Vigun07g190600.v1.2 transcript:Vigun07g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLTLTPPLEAENALETSLREAFESQKQSLRPPFSLAIPSPDQYTLLNRAILHGVLTEPQFAKTHIKHLHAIVTDGYATFVTLLLDLVNHLYPKLLASVKTQLLWLTDQTVCVLGIGYDAVLVSLLRQIVGADCGDVNLWLCSKLVTLFLEQWDCLLEDSPHVLSFALYTFLRVLTDHCRGGSVEKLETLKRLEIHLCVKIVREEFHLCLKIGRDFIRLLQDLVHVPEFRAILKDIVFNPCVFNVVGFEFKDVSQIYSTRTSSRYSLLRISPDMETQLRFLLTSIKLGNQKRHQVWFGKKFLNEPDKEFVIVDIVRFICCGHHPPNEIIQSDIVPRWALIGWLLTSCRRNHVVANVKLALFYDWLFFDERVDTIMNIEPAVLLMVHSIPKYVDITHALLEFLLHLVDSYDVERKSVMVKGVSSAFQLLVRKGVIRSLDVLISCPALHPALKERLKKLVACGMIGSS >Vigun06g060900.1.v1.2 pep primary_assembly:ASM411807v1:6:18864432:18866542:-1 gene:Vigun06g060900.v1.2 transcript:Vigun06g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLYFISIFIFMFIAYKIITKKSASTPKLPPGPWRLPIIGNIHNLVGSLPHRRLRDLSAKHGPLMHLKLGEVSTIVVSSAECAKEVLKTHDLIFASRPPILASKIMSYDSMGIAFSPYGDYWRQLRKICALELLSSKRVQSFQPIREEELTKFIKSIASREGSTINLTKEILTTISTIVSRTALGSKFREHQKFISAVRKATEVAGGFDLGDLYPSAAWLQNISGLKSKIEKYHQQTDQIMQSIVDDHRKTKSSAAQGQGELVEDDLVDVLMKEEFGLSDNGIKAVILDIYGGGSETSSKTITWAMAEIIKDPRVMKKVQAEVREVFGKEGDPNESEMENLKYLKCVVKETLRLHPPGPLLLPRECGEACEIKGYHIPMKSKMIINAWAIGRDPNHWTDAERFYPERFIGSDVDYKGNNFEYIPFGAGRRMCPGLTFGLTSVEFPLALLLYHFDWEVPNGIKNEDLDMTEAFGISVGRKDDLQLIPVTFHP >Vigun03g214300.2.v1.2 pep primary_assembly:ASM411807v1:3:35625889:35627551:1 gene:Vigun03g214300.v1.2 transcript:Vigun03g214300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVPPLSPSLLSKTPQQVHQVFLSFRGEDTRYSFTSHLYAALRRLQVKTYIDNDLERGDEISPSLLKAIQDAKVSVVVFSQNYASSRWCLDELVKITQCKRNSGQIIVPIFYHVDPTHVRHQTGSYAHAFASHEKRFAADLNRVQAWRLALTEVANISGWDCLTTSVESELVEIIGKDIFEKLESVTGGGLERRIGMQKEMAQQKLEKLLRTGDVRDMEELITAMHELGEVKLEKAMQTDDSGVWKEVIETYERILQLKQDKWMRTLNTKDMQDLISTRGHVMQIQREQSNRRMGFRGI >Vigun03g214300.1.v1.2 pep primary_assembly:ASM411807v1:3:35625828:35627659:1 gene:Vigun03g214300.v1.2 transcript:Vigun03g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVPPLSPSLLSKTPQQVHQVFLSFRGEDTRYSFTSHLYAALRRLQVKTYIDNDLERGDEISPSLLKAIQDAKVSVVVFSQNYASSRWCLDELVKITQCKRNSGQIIVPIFYHVDPTHVRHQTGSYAHAFASHEKRFAADLNRVQAWRLALTEVANISGWDCLTTSVESELVEIIGKDIFEKLESVTGGGLERRIGMQKEMAQQKLEKLLRTGDVRDMEELITAMHELGEVKLEKAMQTDDSGVWKEVIETYERILQLKQDKWMRTLNTKDMQDLISTRGHVMQIQREQSNRRMGFRGI >Vigun05g103200.5.v1.2 pep primary_assembly:ASM411807v1:5:10303097:10310529:1 gene:Vigun05g103200.v1.2 transcript:Vigun05g103200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKHEVYDGDKHVGELDVFPTTPFHNFRFPNNEIRIHHFSAKSERCPPLSILQTIAAFNVRCKLDSSVAAEQKELISIHASCFYEMKTAVVVVNDEEIHLVSMPSKRKKFPCFWCFAVPVGLYDACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLSREIDPLRVQGMSAELKRYLEDRLLLKQFAESDCVVDNGKVYKVQMEEVSPHSGSHEKLIRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQILERVICVKSGSRKSLPNVFQDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDESLLQRIAEIFFEDDIGSLPHPPDVSNYLMSEDVPNGNTNAPLSEGINGAEVERRLSQPGDKFPVDLVAQPMANSVEFRHEPSQPTAGIISGVTGPGSSRMLIPSLKPGLLGPPVKHEGSSVDRDYDMRKGVLGMRHGPDIRGQISAEPPLISRPPNQASASSITQPFGGGLVEDDITSRTQTNNWSIASGKESNVVKSEKHQAQLKPFSHSVVGSPPNVVHQQAPQLKTEEVCQQTFVASHFLLCFKCSWIGILFRDLKYCLYAGNFCF >Vigun05g103200.4.v1.2 pep primary_assembly:ASM411807v1:5:10303097:10310529:1 gene:Vigun05g103200.v1.2 transcript:Vigun05g103200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKHEVYDGDKHVGELDVFPTTPFHNFRFPNNEIRIHHFSAKSERCPPLSILQTIAAFNVRCKLDSSVAAEQKELISIHASCFYEMKTAVVVVNDEEIHLVSMPSKRKKFPCFWCFAVPVGLYDACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLSREIDPLRVQGMSAELKRYLEDRLLLKQFAESDCVVDNGKVYKVQMEEVSPHSGSHEKLIRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQILERVICVKSGSRKSLPNVFQDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDESLLQRIAEIFFEDDIGSLPHPPDVSNYLMSEDVPNGNTNAPLSEGINGAEVERRLSQPGDKFPVDLVAQPMANSVEFRHEPSQPTAGIISGVTGPGSSRMLIPSLKPGLLGPPVKHEGSSVDRDYDMRKGVLGMRHGPDIRGQISAEPPLISRPPNQASASSITQPFGGGLVEDDITSRTQTNNWSIASGKESNVVKSEKHQAQLKPFSHSVVGSPPNVVHQQAPQLKTEEATSVSDLQRQNAPSKSLLSEDGISQNHASSNSKDLQNEAGKLNLLPPLSIQVLQEIGRRCNSKVEFKSILSTSKDLQFSVEVLFTGEKIGVGMGRTRKDAQQQAAENALRSLAEKYVAHVEPQCRVVDKEFDKLSLGRDNGFLWDVVNPESNELQTEDGGVPRENASEHQCEG >Vigun05g103200.1.v1.2 pep primary_assembly:ASM411807v1:5:10303097:10310529:1 gene:Vigun05g103200.v1.2 transcript:Vigun05g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKHEVYDGDKHVGELDVFPTTPFHNFRFPNNEIRIHHFSAKSERCPPLSILQTIAAFNVRCKLDSSVAAEQKELISIHASCFYEMKTAVVVVNDEEIHLVSMPSKRKKFPCFWCFAVPVGLYDACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLSREIDPLRVQGMSAELKRYLEDRLLLKQFAESDCVVDNGKVYKVQMEEVSPHSGSHEKLIRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQILERVICVKSGSRKSLPNVFQDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDESLLQRIAEIFFEDDIGSLPHPPDVSNYLMSEDVPNGNTNAPLSEGINGAEVERRLSQPGDKFPVDLVAQPMANSVEFRHEPSQPTAGIISGVTGPGSSRMLIPSLKPGLLGPPVKHEGSSVDRDYDMRKGVLGMRHGPDIRGQISAEPPLISRPPNQASASSITQPFGGGLVEDDITSRTQTNNWSIASGKESNVVKSEKHQAQLKPFSHSVVGSPPNVVHQQAPQLKTEEATSVSDLQRQNAPSKSLLSEDGISQNHASSNSKDLQNEAGKLNLLPPLSIQVLQEIGRRCNSKVEFKSILSTSKDLQFSVEVLFTGEKIGVGMGRTRKDAQQQAAENALRSLAEKYVAHVEPQCRVVDKEFDKLSLGRDNGFLWDVVNPESNELQTEDGGVPRENASEAWDAETRSSTPNAINQQMEKRMSSPRMAHSVSNKRLKE >Vigun05g103200.2.v1.2 pep primary_assembly:ASM411807v1:5:10303096:10310529:1 gene:Vigun05g103200.v1.2 transcript:Vigun05g103200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKHEVYDGDKHVGELDVFPTTPFHNFRFPNNEIRIHHFSAKSERCPPLSILQTIAAFNVRCKLDSSVAAEQKELISIHASCFYEMKTAVVVVNDEEIHLVSMPSKRKKFPCFWCFAVPVGLYDACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLSREIDPLRVQGMSAELKRYLEDRLLLKQFAESDCVVDNGKVYKVQMEEVSPHSGSHEKLIRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQILERVICVKSGSRKSLPNVFQDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDESLLQRIAEIFFEDDIGSLPHPPDVSNYLMSEDVPNGNTNAPLSEGINGAEVERRLSQPGDKFPVDLVAQPMANSVEFRHEPSQPTAGIISGVTGPGSSRMLIPSLKPGLLGPPVKHEGSSVDRDYDMRKGVLGMRHGPDIRGQISAEPPLISRPPNQASASSITQPFGGGLVEDDITSRTQTNNWSIASGKESNVVKSEKHQAQLKPFSHSVVGSPPNVVHQQAPQLKTEERQNAPSKSLLSEDGISQNHASSNSKDLQNEAGKLNLLPPLSIQVLQEIGRRCNSKVEFKSILSTSKDLQFSVEVLFTGEKIGVGMGRTRKDAQQQAAENALRSLAEKYVAHVEPQCRVVDKEFDKLSLGRDNGFLWDVVNPESNELQTEDGGVPRENASEAWDAETRSSTPNAINQQMEKRMSSPRMAHSVSNKRLKE >Vigun05g103200.3.v1.2 pep primary_assembly:ASM411807v1:5:10303096:10310529:1 gene:Vigun05g103200.v1.2 transcript:Vigun05g103200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKHEVYDGDKHVGELDVFPTTPFHNFRFPNNEIRIHHFSAKSERCPPLSILQTIAAFNVRCKLDSSVAAEQKELISIHASCFYEMKTAVVVVNDEEIHLVSMPSKRKKFPCFWCFAVPVGLYDACLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLSREIDPLRVQGMSAELKRYLEDRLLLKQFAESDCVVDNGKVYKVQMEEVSPHSGSHEKLIRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGPKQILERVICVKSGSRKSLPNVFQDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDESLLQRIAEIFFEDDIGSLPHPPDVSNYLMSEDVPNGNTNAPLSEGINGAEVERRLSQPGDKFPVDLVAQPMANSVEFRHEPSQPTAGIISGVTGPGSSRMLIPSLKPGLLGPPVKHEGSSVDRDYDMRKGVLGMRHGPDIRGQISAEPPLISRPPNQASASSITQPFGGGLVEDDITSRTQTNNWSIASGKESNVVKSEKHQAQLKPFSHSVVGSPPNVVHQQAPQLKTEERQNAPSKSLLSEDGISQNHASSNSKDLQNEAGKLNLLPPLSIQVLQEIGRRCNSKVEFKSILSTSKDLQFSVEVLFTGEKIGVGMGRTRKDAQQQAAENALRSLAEKYVAHVEPQCRVVDKEFDKLSLGRDNGFLWDVVNPESNELQTEDGGVPRENASEHQCEG >Vigun10g048600.1.v1.2 pep primary_assembly:ASM411807v1:10:7418155:7426983:1 gene:Vigun10g048600.v1.2 transcript:Vigun10g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTSSNPKWYKEKIIIQTTPSFPHSKFMGFPTSINHLFLLIFSSFCLGAISANDTITSTRFISDSDSQITSSSNGDFKVGFFSPENSTNRYVAIWYLSQTNIIWVGNRDEPLKDSSGVFKIHEDGNLVVLNGENSVIWSTNVSVGGTNTSAQLDNSGNLILRGDSSLTPLWDSFTHPADIAVPQMKIATNRITGEKIEYVSWKSSSDPSTGYFTGSLERLDAPEVFFWYNKTKPYWRTGPWNGRVFLGSPRMLTEYLYGWRFEPNDNGTAYLTYNFEKPAMFGILTITPHGTLKLVEFLNRTKFLELEVDQNECDFYGKCGPFGSCDNSTAPICSCFEGFEARKPEEWNSGNWTSGCVRSVPLNCGKLRNGSEVEEGDSFLQKHNMKVPDFAERSDGNQEKCGADCLANCSCLAYAYDSYIGCMYWSRELIDLQKFPYGGVDLFIRVPSQLLPSGKKRRETKGLIIGIVVAIGALATAIGAYLLWRKFTPKHTGSVPEDVITGKKKEITKLEELPLFKFEKLSNATNNFHFGNMLGKGGFGPVYKGQLENGQEVAVKRLSKTSGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGDEPMLVYEFMPNKSLDSFLFDPLQRKVLDWKKRFNIIEGIARGILYLHRDSRLRIIHRDLKASNILLDNDMNPKISDFGLARIVRGGDDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNTSFHNDEQSLSLVGFAWRLWNEGNIITIIDPEIWDPMFEKSMLKCIHIGLLCVQELTKERPSISTVVLMLISEITHLPPPRQVAFVQKQNCQSSESSQKSQFNSNNNVTLSEIQGR >Vigun10g048600.2.v1.2 pep primary_assembly:ASM411807v1:10:7419380:7426983:1 gene:Vigun10g048600.v1.2 transcript:Vigun10g048600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEYLYGWRFEPNDNGTAYLTYNFEKPAMFGILTITPHGTLKLVEFLNRTKFLELEVDQNECDFYGKCGPFGSCDNSTAPICSCFEGFEARKPEEWNSGNWTSGCVRSVPLNCGKLRNGSEVEEGDSFLQKHNMKVPDFAERSDGNQEKCGADCLANCSCLAYAYDSYIGCMYWSRELIDLQKFPYGGVDLFIRVPSQLLPSGKKRRETKGLIIGIVVAIGALATAIGAYLLWRKFTPKHTGSVPEDVITGKKKEITKLEELPLFKFEKLSNATNNFHFGNMLGKGGFGPVYKGQLENGQEVAVKRLSKTSGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGDEPMLVYEFMPNKSLDSFLFDPLQRKVLDWKKRFNIIEGIARGILYLHRDSRLRIIHRDLKASNILLDNDMNPKISDFGLARIVRGGDDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNTSFHNDEQSLSLVGFAWRLWNEGNIITIIDPEIWDPMFEKSMLKCIHIGLLCVQELTKERPSISTVVLMLISEITHLPPPRQVAFVQKQNCQSSESSQKSQFNSNNNVTLSEIQGR >Vigun06g060100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18768165:18772039:-1 gene:Vigun06g060100.v1.2 transcript:Vigun06g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQNHKISYGPGGFYVEPVQNLESYCLPSSENIDNYSSSDNSSQTTYPSVQTLEQYCTLESASTSNSFPCQNSPPALSFSSNNSPLSKLESNSYVLRPQHSLEIASGPLENDPYPTLDLDDLSHKIRELETAMLGPNAEMLDIYGALMPGEADSILLEAEKWKKMMEMVSRGDLKEMLYTCAKAMAVNDMETTEWMMSESRKMVSVSGNPIQRLGAYIVESLVARMAASGSTIYKSLKCSEPTGNELLSYMHVLYEVCPYFKFGYMSANGAIAEALKEESEVHIIDFQISQGTQWVSLIQALARRPGGPPKIRISGVDDSFSSYARGGGLDIVGKRLSALAESCNVPFEFNAVRVPASQVQLKDLELRPYEAVAVNFAIMLHHVPDASVNGHNHRDRLLRLAKHLSPKVVTLVEQEFNTNNAPFLQRFVETMNYYFAVFDSIDAALPREHKERINVEQHCLAREVVNLIACEGEERVERHELLNKWRMRFTDAGFSPYPLNSVINSSIKDLLQSYHGHYTLEEKDGALFLGWKNQALIASCAWR >Vigun02g157600.3.v1.2 pep primary_assembly:ASM411807v1:2:30364690:30371172:-1 gene:Vigun02g157600.v1.2 transcript:Vigun02g157600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLQGWKDFPKGLRVLVLDGDRSSAAEIGEQLEAMEYNVSTFYDENEALSAISTSPQGFHVAIVEVSTSSSLGGFKFLENAKDLPTIMVSKNQCLNTTMKCIALGAVEFLNKPLSEDKLKNIWQHVVHKAFNSGANGLSESLKPVKESVESVLQLQTGNDEQDKTHKRTMSIDLENVSRFDDDNNEQSVCDKYPAPSTPQLKHGTRSLDDGDCHEQTNFSTEKESGEHDGECKSVETSCENLNAESSPQPTEPEETLIKEEEDFANGSKGERAVSLNLHNEKFLSNADGHTSPKKMEVLNDSCEIKANRKKMKVDWTPELHKKFVKAVEQLGIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHKRQSAPGEEDRKWHNQRDAMQRSFYLQRPIMAYPPYHSTQTLSPGPIYPMWGQPGSQTAGVQIWGPPAYPLWHPTESWNWKPYPGMHVDAWGCPLFPPPQAPGFHFNQNIPGLQTPKPVDYRFIMPRSSFEHHPAEEVVDKVVKEAINKPWLPLPLGLKAPSTDSVLAELSKQGISSIPLGNKGSSAPKPC >Vigun02g157600.2.v1.2 pep primary_assembly:ASM411807v1:2:30364690:30371172:-1 gene:Vigun02g157600.v1.2 transcript:Vigun02g157600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLQGWKDFPKGLRVLVLDGDRSSAAEIGEQLEAMEYNVSTFYDENEALSAISTSPQGFHVAIVEVSTSSSLGGFKFLENAKDLPTIMVSKNQCLNTTMKCIALGAVEFLNKPLSEDKLKNIWQHVVHKAFNSGANGLSESLKPVKESVESVLQLQTGNDEQDKTHKRTMSIDLENVSRFDDDNNEQSVCDKYPAPSTPQLKHGTRSLDDGDCHEQTNFSTEKESGEHDGECKSVETSCENLNAESSPQPTEPEETLIKEEEDFANGSKGERAVSLNLHNEKFLSNADGHTSPKKMEVLNDSCEIKANRKKMKVDWTPELHKKFVKAVEQLGIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHKRQSAPGEEDRKWHNQRDAMQRSFYLQRPIMAYPPYHSTQTLSPGPIYPMWGQPGSQTAGVQIWGPPAYPLWHPTESWNWKPYPGMHVDAWGCPLFPPPQAPGFHFNQNIPGLQTPKPVDYRFIMPRSSFEHHPAEEVVDKVVKEAINKPWLPLPLGLKAPSTDSVLAELSKQGISSIPLGNKGSSAPKPC >Vigun02g157600.1.v1.2 pep primary_assembly:ASM411807v1:2:30364690:30371172:-1 gene:Vigun02g157600.v1.2 transcript:Vigun02g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLQGWKDFPKGLRVLVLDGDRSSAAEIGEQLEAMEYNVSTFYDENEALSAISTSPQGFHVAIVEVSTSSSLGGFKFLENAKDLPTIMVSKNQCLNTTMKCIALGAVEFLNKPLSEDKLKNIWQHVVHKAFNSGANGLSESLKPVKESVESVLQLQTGNDEQDKTHKRTMSIDLENVSRFDDDNNEQSVCDKYPAPSTPQLKHGTRSLDDGDCHEQTNFSTEKESGEHDGECKSVETSCENLNAESSPQPTEPEETLIKEEEDFANGSKGERAVSLNLHNEKFLSNADGHTSPKKMEVLNDSCEIKANRKKMKVDWTPELHKKFVKAVEQLGIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHKRQSAPGEEDRKWHNQRDAMQRSFYLQRPIMAYPPYHSTQTLSPGPIYPMWGQPGSQTAGVQIWGPPAYPLWHPTESWNWKPYPGMHVDAWGCPLFPPPQAPGFHFNQNIPGLQTPKPVDYRFIMPRSSFEHHPCVVPCMHACMQAEEVVDKVVKEAINKPWLPLPLGLKAPSTDSVLAELSKQGISSIPLGNKGSSAPKPC >Vigun04g067300.1.v1.2 pep primary_assembly:ASM411807v1:4:7639109:7642214:1 gene:Vigun04g067300.v1.2 transcript:Vigun04g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRGTRGGSTFRGLFSFRIFISAMFSLLFIATLSVLFTNNPSTSHDVSDLPTTGNAYVHRTFLALKSDPLRTRVDLIHQQAKDHIALVNAYGAYARKLKLDISKQLKTFDELARNFSDIAMKPVYQKSLFETDGPIDEDVLKQFEKEVKERVKIARMIIVEAKENYDNQLKIQKLKDTIFAVHESLAKAKKNGAMASLISARSIPKSLHCLAMRLMGEKISNPEKYRDEGPRPEFEDPTLYHYVIFSDNVIAVSVVVRSVVKNAVEPWKHVFHVVANRMNVGAMKVWFKMRPIEGGAFLEVKAVEEFTFLNSSYVPILRQLESAKMNQPENGTNDSNMKNAKSLSMMDHLRFYLPEMYPKLYKILLLDEDVVVQKDLTSLWKIDMEGKVNGAVEICFGSFHRYAHYMNFSHPLIKEKFNPKACAWAYGMNIFNLDAWRREKCTDTYQYWQNLNEDQTLWKAGTLPPGLITFYSSTKSLDKSWHVLGLGYNPSISMDEINKAAVIHYNGNMKPWLDIALNQYKNLWTKYVDNDMEFVQMCNFGL >Vigun10g025300.1.v1.2 pep primary_assembly:ASM411807v1:10:3029691:3037167:1 gene:Vigun10g025300.v1.2 transcript:Vigun10g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFLKHPVTISRGFHNETTLAFLSRLRIPKRPFSVRWDRAQITTSFRSYATVKSGKVVQVKKKKRLDEICLEKYQQYSRSIIQSWILQGKVYVDGKVINKAGTPVPEKAIVEIIADVPKYVCRAGHKLEAAIEQLGVDVTGKVALDSGLSTGGFTDCLLQYGASHVYGVDVGYGQVADKIRRDERVSVIERTNLRYLTELPQNVDLVTLDLSFISILLVMPAVVNVMKENAALVTLVKPQFEARRSQVGKGGIVKDPIVHQEVLERIITGVENFGFCCKGSIESPLKGAEGNTEFLVHFNRIQSKASEDKGPEDME >Vigun06g093900.1.v1.2 pep primary_assembly:ASM411807v1:6:22540975:22547363:-1 gene:Vigun06g093900.v1.2 transcript:Vigun06g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGLEGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVAATTNREIDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIAKDLHDVEWKFRHIFRGQPKRHLLTTGWSIFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLHDGRDEASNGLMWLRGGPVEQGLNSLNFQGAGMLPWMQQRMDPTLLGSDQNQQYQAMLAAGLQNLGSGYLMKQQMMNFQQPFHYLQQSGNSNSPLQLQPQQSVQQSVSSNMLQPQQAHVLTENLSQHLLQKPHNNQEVQAQQQQHSYQESLLIPSDQLHQRQHSGVPSPSYSKPDFLDPSMKFPASVSPGQNMLSSLCPEGSGNLLNLSRSGPSLLSEQLPQQQWTQKYAPVQVNAYGSTVSQTQYSGKDSAMVLPHLNSDAQNSTVFGVNIDSSGLLLPTTVPGYSTSSADTNSSTMPLGESGFQGSLFGCMQDSSELLQSAGHVDPQNQTQTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPPRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEQAVESLALGSGQRLNGTGAESQDIVSGPPSIGSLEY >Vigun01g026766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3147510:3149592:1 gene:Vigun01g026766.v1.2 transcript:Vigun01g026766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFICLLFTQFVKLKLWTIFWNISLKRWWQKHELKILRSGVRWMVIVVVVMEITLIMWRIIMWFMRLKCNKIIMVVTWNMNWRMTVIVIITLGCSHKLKWMLKLRLMSMLKFSQKLKWIKFWLRKIMRLKQKLVSNLKLMYT >Vigun03g276700.3.v1.2 pep primary_assembly:ASM411807v1:3:45335903:45339925:1 gene:Vigun03g276700.v1.2 transcript:Vigun03g276700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDERNRDRANRRDVVELPQYDQAVTVAYYGEELHGGVPQSHFSRVSPLNMDKQNKVVESKYKILNNSPTKQATSAEAQKFSSSMKAAVEGTSSRGEVMSSAVLQAEDVQSNLRPEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDTTIVLEDESGKEYNTKFIACKMGLSAGWRQFSAVHKLQEGDAVVFQLVGPTKFKVYIIRANNTRELDGAFLNLDSCTKQRKMGGKDNIDTDVTCNSSKRKQGKTVPLDIQKKKKVNLSRLRPKVMPSVEQQSENDSEEALSEVMEEFKMLEFEDVRGFENFSVIVDGVSIDAEFPDDVRNKYYRLCCSQHAFLHENLIKGMNYKLVAGIISETVNIADAIKVSMLCTPRFEFVNWDKTLLAFEHLGMNVEFLRIRLRRLVSIAYEENDVSEAKKYLASRNEYSRAEDEIRNIEEKLEELKGACNGFGAYLGSLKCKAENYHNKFRREVEAPW >Vigun03g276700.1.v1.2 pep primary_assembly:ASM411807v1:3:45335903:45339925:1 gene:Vigun03g276700.v1.2 transcript:Vigun03g276700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDRANRRDVVELPQYDQAVTVAYYGEELHGGVPQSHFSRVSPLNMDKQNKVVESKYKILNNSPTKQATSAEAQKFSSSMKAAVEGTSSRGEVMSSAVLQAEDVQSNLRPEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDTTIVLEDESGKEYNTKFIACKMGLSAGWRQFSAVHKLQEGDAVVFQLVGPTKFKVYIIRANNTRELDGAFLNLDSCTKQRKMGGKDNIDTDVTCNSSKRKQGKTVPLDIQKKKKVNLSRLRPKVMPSVEQQSENDSEEALSEVMEEFKMLEFEDVRGFENFSVIVDGVSIDAEFPDDVRNKYYRLCCSQHAFLHENLIKGMNYKLVAGIISETVNIADAIKVSMLCTPRFEFVNWDKTLLAFEHLGMNVEFLRIRLRRLVSIAYEENDVSEAKKYLASRNEYSRAEDEIRNIEEKLEELKGACNGFGAYLGSLKCKAENYHNKFRREVEAPW >Vigun03g276700.2.v1.2 pep primary_assembly:ASM411807v1:3:45335903:45339925:1 gene:Vigun03g276700.v1.2 transcript:Vigun03g276700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKMVVTDERNRDRANRRDVVELPQYDQAVTVAYYGEELHGGVPQSHFSRVSPLNMDKQNKVVESKYKILNNSPTKQATSAEAQKFSSSMKAAVEGTSSRGEVMSSAVLQAEDVQSNLRPEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDTTIVLEDESGKEYNTKFIACKMGLSAGWRQFSAVHKLQEGDAVVFQLVGPTKFKVYIIRANNTRELDGAFLNLDSCTKQRKMGGKDNIDTDVTCNSSKRKQGKTVPLDIQKKKKVNLSRLRPKVMPSVEQQSENDSEEALSEVMEEFKMLEFEDVRGFENFSVIVDGVSIDAEFPDDVRNKYYRLCCSQHAFLHENLIKGMNYKLVAGIISETVNIADAIKVSMLCTPRFEFVNWDKTLLAFEHLGMNVEFLRIRLRRLVSIAYEENDVSEAKKYLASRNEYSRAEDEIRNIEEKLEELKGACNGFGAYLGSLKCKAENYHNKFRREVEAPW >Vigun03g276700.4.v1.2 pep primary_assembly:ASM411807v1:3:45335903:45339925:1 gene:Vigun03g276700.v1.2 transcript:Vigun03g276700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQNKVVESKYKILNNSPTKQATSAEAQKFSSSMKAAVEGTSSRGEVMSSAVLQAEDVQSNLRPEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDTTIVLEDESGKEYNTKFIACKMGLSAGWRQFSAVHKLQEGDAVVFQLVGPTKFKVYIIRANNTRELDGAFLNLDSCTKQRKMGGKDNIDTDVTCNSSKRKQGKTVPLDIQKKKKVNLSRLRPKVMPSVEQQSENDSEEALSEVMEEFKMLEFEDVRGFENFSVIVDGVSIDAEFPDDVRNKYYRLCCSQHAFLHENLIKGMNYKLVAGIISETVNIADAIKVSMLCTPRFEFVNWDKTLLAFEHLGMNVEFLRIRLRRLVSIAYEENDVSEAKKYLASRNEYSRAEDEIRNIEEKLEELKGACNGFGAYLGSLKCKAENYHNKFRREVEAPW >Vigun03g276700.5.v1.2 pep primary_assembly:ASM411807v1:3:45336939:45339925:1 gene:Vigun03g276700.v1.2 transcript:Vigun03g276700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVEGTSSRGEVMSSAVLQAEDVQSNLRPEFPSFVKSLVRSHVASCFWMGLPVAFCKRHLPDKDTTIVLEDESGKEYNTKFIACKMGLSAGWRQFSAVHKLQEGDAVVFQLVGPTKFKVYIIRANNTRELDGAFLNLDSCTKQRKMGGKDNIDTDVTCNSSKRKQGKTVPLDIQKKKKVNLSRLRPKVMPSVEQQSENDSEEALSEVMEEFKMLEFEDVRGFENFSVIVDGVSIDAEFPDDVRNKYYRLCCSQHAFLHENLIKGMNYKLVAGIISETVNIADAIKVSMLCTPRFEFVNWDKTLLAFEHLGMNVEFLRIRLRRLVSIAYEENDVSEAKKYLASRNEYSRAEDEIRNIEEKLEELKGACNGFGAYLGSLKCKAENYHNKFRREVEAPW >Vigun08g057800.1.v1.2 pep primary_assembly:ASM411807v1:8:7339613:7342312:1 gene:Vigun08g057800.v1.2 transcript:Vigun08g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGDRGKAVIVGGSIAGLSCAHALTLAGWNVLVLEKTPSPPTGSPTGAGLGLNSLSQQIIQSWLPHSQQQLLLNTTLPLTIDQNCVTDSERKVHSTLTRDESLNFRAAHWADLHGLLYNALPSDIFLWGHLFLSFHVLHDKGSVIVKAKVLQTGEVVEIQGDLLVAADGCLSSIRQKYLPDFKLRYSGYCAWRGVLDFSKIENSETMTGIRKAYPDLGKCLYFDLASGSHTVLYELHRKRLNWVWLVNQPEPEVKGTSVTMKVNSEMIQKMQEEAEKVLIPEMVKVIKETREPFLNFIYDSDPLEKMVWERVVLVGDAAHPTTPHCVRSTNMSILDAAVLGKCMEKWGLQKLESALEEYELIRLPVTSKQVLHARRLGRLKQGLVLPDREPFDPKLVRPEDHQELLVRNTPFFNDGPLSLGLIPSDCL >Vigun04g010000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:751418:753350:1 gene:Vigun04g010000.v1.2 transcript:Vigun04g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGRQSSKVPFGEKELFTSSFFPFSPFTLFTPNNHTLFSFCPMFSTFEFNELNNSNSNSNFNSFTPNEDSSGYCDVLENLSCAKSDTDSSNEACGDESDEEVSLEELLQDGKTKEKVEVLAAMVGVETTDPATVLKEVVRILKVLNRINQYQLSA >Vigun03g288033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47022704:47023018:1 gene:Vigun03g288033.v1.2 transcript:Vigun03g288033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSQSPLPPSSPPPSPPPPPSSSSSSFFSFIFSFIFSFFLFFFSFFLSSSSSSPSFLLLLLLFLLLFLLLLLLLFFFFSSSSSSSSYSPSFSSFLLLLVFL >Vigun02g190100.1.v1.2 pep primary_assembly:ASM411807v1:2:32789713:32792712:-1 gene:Vigun02g190100.v1.2 transcript:Vigun02g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVNALGERLKIGGVEVGRKMSEGMSSMSFKVREFFQGPNQADKLVEDATSEALDEPDWALNLDLCDLINTDKLSSVELIRGIKKRIMVKSPRVQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMMIEAWGESTGELRYLPVFEETYKSLRSRGIRFPGRDNESLAPIFTPPRSVSAAEVDVSLQQQFEHDVPAQFEHDVPVQSFSPEQTKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVHQCRRSQTTVQRIVETAGDNEALLFEALNVNDEIQKVLTKYEELKQPASAPLQPEPAMIPVAVEPDESPRHIKEDALIRKPAGSRTPQGGSNDDMMDDLDEMIFGKKSGEGSDGGHDTKKQQSPKDDLISF >Vigun07g214900.3.v1.2 pep primary_assembly:ASM411807v1:7:33606549:33615568:-1 gene:Vigun07g214900.v1.2 transcript:Vigun07g214900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVVHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYANIQPSIRGRNVYVQFSSHQELTTMDQSQGRGDEPNRILLVTIHHMLYPITVDVLFQVFSPHGSVEKIVTFQKSAGFQALIQFQSRQSAVNARSTLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDFTNPNLPTEQKGRPSQPGYGDAGNMYAAQGSGGRAVGFPQMANAAAIATAFGGGLPPGITGTNDRCTVLVSNLNSDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAVLFEKQLEVNFSKHAHITQGADTREYISSNLNRFNRNAAKNYRYCCSPTKIIHLSTLPQDITEEEIVSLVEEHGTVVNSKVFEMSGKKQALVQFENEEQATEALVCKNASTLSGSVVRISFSQLQNI >Vigun07g214900.1.v1.2 pep primary_assembly:ASM411807v1:7:33606549:33615568:-1 gene:Vigun07g214900.v1.2 transcript:Vigun07g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVVHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYANIQPSIRGRNVYVQFSSHQELTTMDQSQGRGDEPNRILLVTIHHMLYPITVDVLFQVFSPHGSVEKIVTFQKSAGFQALIQFQSRQSAVNARSTLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDFTNPNLPTEQKGRPSQQPGYGDAGNMYAAQGSGGRAVGFPQMANAAAIATAFGGGLPPGITGTNDRCTVLVSNLNSDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAVLFEKQLEVNFSKHAHITQGADTREYISSNLNRFNRNAAKNYRYCCSPTKIIHLSTLPQDITEEEIVSLVEEHGTVVNSKVFEMSGKKQALVQFENEEQATEALVCKNASTLSGSVVRISFSQLQNI >Vigun07g214900.5.v1.2 pep primary_assembly:ASM411807v1:7:33606338:33612859:-1 gene:Vigun07g214900.v1.2 transcript:Vigun07g214900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPITVDVLFQVFSPHGSVEKIVTFQKSAGFQALIQFQSRQSAVNARSTLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDFTNPNLPTEQKGRPSQPGYGDAGNMYAAQGSGGRAVGFPQMANAAAIATAFGGGLPPGITGTNDRCTVLVSNLNSDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAVLFEKQLEVNFSKHAHITQGADTREYISSNLNRFNRNAAKNYRYCCSPTKIIHLSTLPQDITEEEIVSLVEEHGTVVNSKVFEMSGKKQALVQFENEEQATEALVCKNASTLSGSVVRISFSQLQNI >Vigun07g214900.4.v1.2 pep primary_assembly:ASM411807v1:7:33606338:33615568:-1 gene:Vigun07g214900.v1.2 transcript:Vigun07g214900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVVHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYANIQPSIRGRNVYVQFSSHQELTTMDQSQGRGDEPNRILLVTIHHMLYPITVDVLFQVFSPHGSVEKIVTFQKSAGFQALIQFQSRQSAVNARSTLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDFTNPNLPTEQKGRPSQPGYGDAGNMYAAQGSGGRAVGFPQMANAAAIATAFGGGLPPGITGTNDRCTVLVSNLNSDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAVLFEKQLEVNFSKHAHITQGADTREYISSNLNRFNRNAAKNYRYCCSPTKIIHLSTLPQDITEEEIVSLVEEHGTVVNSKVFEMSGKKQALVQFENEEQATEALVCKNASTLSGSVVRISFSQLQNI >Vigun07g214900.2.v1.2 pep primary_assembly:ASM411807v1:7:33606549:33615619:-1 gene:Vigun07g214900.v1.2 transcript:Vigun07g214900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVVHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYANIQPSIRGRNVYVQFSSHQELTTMDQSQGRGDEPNRILLVTIHHMLYPITVDVLFQVFSPHGSVEKIVTFQKSAGFQALIQFQSRQSAVNARSTLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDFTNPNLPTEQKGRPSQPGYGDAGNMYAAQGSGGRAVGFPQMANAAAIATAFGGGLPPGITGTNDRCTVLVSNLNSDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAVLFEKQLEVNFSKHAHITQGADTREYISSNLNRFNRNAAKNYRYCCSPTKIIHLSTLPQDITEEEIVSLVEEHGTVVNSKVFEMSGKKQALVQFENEEQATEALVCKNASTLSGSVVRISFSQLQNI >Vigun11g170600.1.v1.2 pep primary_assembly:ASM411807v1:11:37664325:37667311:1 gene:Vigun11g170600.v1.2 transcript:Vigun11g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKMGFEEEAVVELINSDFRDLSVAANQLANHVVKLGGIGFGASFFGLIAAIAAIYLLILDRTNWRTNILTALLIPYIFFSLPSIIFAVFRGEIGKWIAVIAVVLRLFIPRHFPDWLELPGALILLIVVAPSLFANTFRNDIVGVVVCLIIACYLLQEHIRASGGFRNSFTKAHGISNSIGIILLLVYPIWALVVILF >Vigun01g120100.3.v1.2 pep primary_assembly:ASM411807v1:1:29646090:29651303:-1 gene:Vigun01g120100.v1.2 transcript:Vigun01g120100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNEMVASENKNEVADDHSTDLEKEQKEGNDEVSDAETVKDSVSSQGDSLTNEDERTEVASKDPKSKVRVSPPENNRGSKERSDKKANKLQSKVSNGNQKKPMNSNKGTFTVADKNTSSTNSKTVKVPVNVLSDSSEGVDEKPVQEIKELDIVDGSPNGAQSIGIEYESHETVNAEENDEREDEANVELKIEEMQLRIEKLEEELREVAALEVSLYSIVPEHGSSGHKVHTPARRLSRLYIHACKHWTQKRRATIAKNAVSGLILVAKSCGNDVSRLTFWLSNTVALREIISQAFGSSCQASPLKRLAESNGKYTALKRKSSTNGKPGSGFMPLVEDWQETGTFTFALERVESWIFSRIVESVWWQALTPYMQSPVGNSSNKSIGKLMGPALGDHNQGNFSINLWRNAFQDAFQRLCPVRAGGHECGCLPVLARMVMEQCIARLDIAMFNAILRESDLEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRWLTDMFGMDVEDCPQEDQEENSENDEKQGGVGEPKSFVLLNDLSDLLMLPKDMLIDRKIRQEVCPSISLSLVIRVLCNFTPDEFCPDFVPGTVLEALNAETIIERRLSSEAVRSFPYVAAPVVYKPPSSGNVAEKVAEAGGKCELGRNVSDVQRRGYTSDEELEELDSPLTSIIDKLPSSPRVTHNGKGNHNPTTNARYQLLREVWSM >Vigun01g120100.2.v1.2 pep primary_assembly:ASM411807v1:1:29646089:29651359:-1 gene:Vigun01g120100.v1.2 transcript:Vigun01g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNEMVASENKNEVADDHSTDLEKEQKEGNDEVSDAETVKDSVSSQGDSLTNEDERTEVASKDPKSKVRVSPPENNRGSKERSDKKANKLQSKVSNGNQKKPMNSNKGTFTVADKNTSSTNSKTVKVPVNVLSDSSEGVDEKPVQEIKELDIVDGSPNGAQSIGIEYESHETVNAEENDEREDEANVELKIEEMQLRIEKLEEELREVAALEVSLYSIVPEHGSSGHKVHTPARRLSRLYIHACKHWTQKRRATIAKNAVSGLILVAKSCGNDVSRLTFWLSNTVALREIISQAFGSSCQASPLKRLAESNGKYTALKRKSSTNGKPGSGFMPLVEDWQETGTFTFALERVESWIFSRIVESVWWQALTPYMQSPVGNSSNKSIGKLMGPALGDHNQGNFSINLWRNAFQDAFQRLCPVRAGGHECGCLPVLARMVMEQCIARLDIAMFNAILRESDLEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRWLTDMFGMDVEDCPQEDQEENSENDEKQGGVGEPKSFVLLNDLSDLLMLPKDMLIDRKIRQEVCPSISLSLVIRVLCNFTPDEFCPDFVPGTVLEALNAETIIERRLSSEAVRSFPYVAAPVVYKPPSSGNVAEKVAEAGGKCELGRNVSDVQRRGYTSDEELEELDSPLTSIIDKLPSSPRVTHNGKGNHNPTTNARYQLLREVWSM >Vigun01g120100.4.v1.2 pep primary_assembly:ASM411807v1:1:29646090:29651303:-1 gene:Vigun01g120100.v1.2 transcript:Vigun01g120100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIEKRKASRNSQTKGSRKTERRESKLQQDNSSKKMSEKRIESKTLHDSRPTANNTISDSNTASENSETYENVVIHYLDDVNRSEEALAEMKVNEMVASENKNEVADDHSTDLEKEQKEGNDEVSDAETVKDSVSSQGDSLTNEDERTEVASKDPKSKVRVSPPENNRGSKERSDKKANKLQSKVSNGNQKKPMNSNKGTFTVADKNTSSTNSKTVKVPVNVLSDSSEGVDEKPVQEIKELDIVDGSPNGAQSIGIEYESHETVNAEENDEREDEANVELKIEEMQLRIEKLEEELREVAALEVSLYSIVPEHGSSGHKVHTPARRLSRLYIHACKHWTQKRRATIAKNAVSGLILVAKSCGNDVSRLTFWLSNTVALREIISQAFGSSCQASPLKRLAESNGKYTALKRKSSTNGKPGSGFMPLVEDWQETGTFTFALERVESWIFSRIVESVWWQALTPYMQSPVGNSSNKSIGKLMGPALGDHNQGNFSINLWRNAFQDAFQRLCPVRAGGHECGCLPVLARMVMEQCIARLDIAMFNAILRESDLEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRWLTDMFGMDVEDCPQEDQEENSENDEKQGGVGEPKSFVLLNDLSDLLMLPKDMLIDRKIRQEVCPSISLSLVIRVLCNFTPDEFCPDFVPGTVLEALNAETIIERRLSSEAVRSFPYVAAPVVYKPPSSGNVAEKVAEAGGKCELGRNVSDVQRRGYTSDEELEELDSPLTSIIDKLPSSPRVTHNGKGNHNPTTNARYQLLREVWSM >Vigun01g120100.1.v1.2 pep primary_assembly:ASM411807v1:1:29646085:29651359:-1 gene:Vigun01g120100.v1.2 transcript:Vigun01g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIEKRKASRNSQTKGSRKTERRESKLQQDNSSKKMSEKRIESKTLHDSRPTANNTISDSNTASENSETYENVVIHYLDDVNRSEEALAEMKVNEMVASENKNEVADDHSTDLEKEQKEGNDEVSDAETVKDSVSSQGDSLTNEDERTEVASKDPKSKVRVSPPENNRGSKERSDKKANKLQSKVSNGNQKKPMNSNKGTFTVADKNTSSTNSKTVKVPVNVLSDSSEGVDEKPVQEIKELDIVDGSPNGAQSIGIEYESHETVNAEENDEREDEANVELKIEEMQLRIEKLEEELREVAALEVSLYSIVPEHGSSGHKVHTPARRLSRLYIHACKHWTQKRRATIAKNAVSGLILVAKSCGNDVSRLTFWLSNTVALREIISQAFGSSCQASPLKRLAESNGKYTALKRKSSTNGKPGSGFMPLVEDWQETGTFTFALERVESWIFSRIVESVWWQALTPYMQSPVGNSSNKSIGKLMGPALGDHNQGNFSINLWRNAFQDAFQRLCPVRAGGHECGCLPVLARMVMEQCIARLDIAMFNAILRESDLEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRWLTDMFGMDVEDCPQEDQEENSENDEKQGGVGEPKSFVLLNDLSDLLMLPKDMLIDRKIRQEVCPSISLSLVIRVLCNFTPDEFCPDFVPGTVLEALNAETIIERRLSSEAVRSFPYVAAPVVYKPPSSGNVAEKVAEAGGKCELGRNVSDVQRRGYTSDEELEELDSPLTSIIDKLPSSPRVTHNGKGNHNPTTNARYQLLREVWSM >Vigun09g038200.4.v1.2 pep primary_assembly:ASM411807v1:9:3398577:3401807:-1 gene:Vigun09g038200.v1.2 transcript:Vigun09g038200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTIPIHRPEFGTLFFNGSPSPYKCLRVNSSWTMAMDSKFSPTKKNSINNDYSMKKKEELSRQLSTPPISKVETLNSNDLQFDRLQPSDQELGRVNRVEFGQFVAREAVLDEEYWTAAWLRAESHWENRSYERYVDNYKRKFAEQEFHALKRRCKVQNGDSCACIITVRKEQKNAKHSILKSVVGTLDLNIRYLLQGETYPGERVKAPLFCCINRTPPSRYGYIANLCVIKSARRQGIASNMLSFAIGAAKSNGVTQVYVHVDKKNRPAQILYQKMGFEDNLGERIFF >Vigun09g038200.1.v1.2 pep primary_assembly:ASM411807v1:9:3398577:3401807:-1 gene:Vigun09g038200.v1.2 transcript:Vigun09g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTIPIHRPEFGTLFFNGSPSPYKCLRVNSSWTMAMDSKFSPTKKNSINNDYSMKKKEELSRQLSTPPISKVETLNSNDLQFDRLQPSDQELGRVNRVEFGQFVAREAVLDEEYWTAAWLRAESHWENRSYERYVDNYKRKFAEQEFHALKRRCKVQNGDSCACIITVRKEQKNAKHSILKSVVGTLDLNIRYLLQGETYPGERVKAPLFCCINRTPPSRYGYIANLCVIKSARRQGIASNMLSFAIGAAKSNAGVTQVYVHVDKKNRPAQILYQKMGFEMVEMANSQLVEETYLLRLQT >Vigun09g038200.2.v1.2 pep primary_assembly:ASM411807v1:9:3398577:3401807:-1 gene:Vigun09g038200.v1.2 transcript:Vigun09g038200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTIPIHRPEFGTLFFNGSPSPYKCLRVNSSWTMAMDSKFSPTKKNSINNDYSMKKKEELSRQLSTPPISKVETLNSNDLQFDRLQPSDQELGRVNRVEFGQFVAREAVLDEEYWTAAWLRAESHWENRSYERYVDNYKRKFAEQEFHALKRRCKVQNGDSCACIITVRKEQKNAKHSILKSVVGTLDLNIRYLLQGETYPGERVKAPLFCCINRTPPSRYGYIANLCVIKSARRQGIASNMLSFAIGAAKSNGVTQVYVHVDKKNRPAQILYQKMGFEMVEMANSQLVEETYLLRLQT >Vigun09g038200.3.v1.2 pep primary_assembly:ASM411807v1:9:3398577:3401807:-1 gene:Vigun09g038200.v1.2 transcript:Vigun09g038200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTIPIHRPEFGTLFFNGSPSPYKCLRVNSSWTMAMDSKFSPTKKNSINNDYSMKKKEELSRQLSTPPISKVETLNSNDLQFDRLQPSDQELGRVNRVEFGQFVAREAVLDEEYWTAAWLRAESHWENRSYERYVDNYKRKFAEQEFHALKRRCKVQNGDSCACIITVRKEQKNAKHSILKSVVGTLDLNIRYLLQGETYPGERVKAPLFCCINRTPPSRYGYIANLCVIKSARRQGIASNMLSFAIGAAKSNAGVTQVYVHVDKKNRPAQILYQKMGFEDNLGERIFF >Vigun07g164500.1.v1.2 pep primary_assembly:ASM411807v1:7:27678305:27680752:1 gene:Vigun07g164500.v1.2 transcript:Vigun07g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIGARLYCCSNCRNHVALHDDVISKAFQGKSGRAFLFGHALNISVGSKEDRELMTGLHTVADVYCSDCHQVLGWKYERAYEESQKYKEGKFVLEKAKIVRENC >Vigun10g191500.2.v1.2 pep primary_assembly:ASM411807v1:10:40571807:40575929:-1 gene:Vigun10g191500.v1.2 transcript:Vigun10g191500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPFYRLYKDYLQDPKSAPEPPPPIEGTYVCFGGNYTTSDVLPSLEEQGVRQLYSKGPNVDFKKELRSLNGELQLHVLELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRRREEARRLLNESLATLDGH >Vigun10g191500.4.v1.2 pep primary_assembly:ASM411807v1:10:40571946:40575577:-1 gene:Vigun10g191500.v1.2 transcript:Vigun10g191500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPFYRLYKDYLQDPKSAPEPPPPIEGTYVCFGGNYTTSDVLPSLEEQGVRQLYSKGPNVDFKKELRSLNGELQLHVLELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRRREEARRLLNESLATLDGH >Vigun10g191500.3.v1.2 pep primary_assembly:ASM411807v1:10:40571946:40575458:-1 gene:Vigun10g191500.v1.2 transcript:Vigun10g191500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPFYRLYKDYLQDPKSAPEPPPPIEGTYVCFGGNYTTSDVLPSLEEQGVRQLYSKGPNVDFKKELRSLNGELQLHVLELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRQ >Vigun03g211100.6.v1.2 pep primary_assembly:ASM411807v1:3:34879844:34885264:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEVRQKAAVHSGRISYDSCGYIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun03g211100.4.v1.2 pep primary_assembly:ASM411807v1:3:34879584:34885255:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFGTSIRVNVQRRVVMAGKSETVSIRVPYKNLHDDSSAPELELELVDVDHRGKRIHVNSPPSIHSPSPPSNKASLVNLVLSCTVAAGVQFGWALQLSLLTPYIQVQPCVGVWSDKCTSKFGRRRPFILAGSLMIAVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun03g211100.5.v1.2 pep primary_assembly:ASM411807v1:3:34879811:34885255:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSETVSIRVPYKNLHDDSSAPELELELVDVDHRGKRIHVNSPPSIHSPSPPSNKASLVNLVLSCTVAAGVQFGWALQLSLLTPYIQVQPCVGVWSDKCTSKFGRRRPFILAGSLMIAVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun03g211100.1.v1.2 pep primary_assembly:ASM411807v1:3:34879584:34885255:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFGTSIRVNVQRRVVMAGKSETVSIRVPYKNLHDDSSAPELELELVDVDHRGKRIHVNSPPSIHSPSPPSNKASLVNLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGVWSDKCTSKFGRRRPFILAGSLMIAVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun03g211100.2.v1.2 pep primary_assembly:ASM411807v1:3:34879574:34885264:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSETVSIRVPYKNLHDDSSAPELELELVDVDHRGKRIHVNSPPSIHSPSPPSNKASLVNLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGVWSDKCTSKFGRRRPFILAGSLMIAVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun03g211100.3.v1.2 pep primary_assembly:ASM411807v1:3:34879523:34885259:1 gene:Vigun03g211100.v1.2 transcript:Vigun03g211100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSETVSIRVPYKNLHDDSSAPELELELVDVDHRGKRIHVNSPPSIHSPSPPSNKASLVNLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGVWSDKCTSKFGRRRPFILAGSLMIAVAVILIGFSADIGYVLGDTHEHCRTFKGTRTRAALVFILGFWMLDLANNTVQGPARALLADLSGPDQRNMANAIFCSWMAAGNILGYSSGASGKWNKWFPFLTTRACCEACGNLKAAFLVAVVFLALCTSVTLYFADEVPLTTAKQHHRLSDSSPLLDEQQENGVEFSKSRPLSVMGESNDKRTEDHNGKEAELNHRNFKAGEDDTENVMDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGGTSEIDLYDQGVREGAFGLLLNSVVLGISSFFIEPMCKWMGTKLVWALSNFIVFVCMAGTAIISLISTRNHSGGIEHVIGASVAIKIASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGCGPWDALFGGGNIPAFVLASFCALAGGVIATLKLPNLSSNFQSTGFHVG >Vigun07g182000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29857382:29859808:1 gene:Vigun07g182000.v1.2 transcript:Vigun07g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIMPPFQLLELSVISAQDLAPVGRTMRTYAVSWVHPDRKLSTCVDTQGHNNPTWNDKFVFRVDEEFLCSDSSAIMIEIYALHWFKDIHVGTVRVLVGNLAPPPSRPSQTHRTPLGTRFVALQVRRPSGRPQGILNIGFTILDGSMHNMPLYTHNPSAVGYRHLMGEKDAYDSHNHLSPHVLAGATPKPELRRSKSDTSSVVASEAVARQHRAKANHNRANSNISAYQVNLDNTKKGKKKKSRSPSNINEETCSMLSDTVQPWKIKSGKTSPHEDDILHVEEISPLQHYRNDVVYEDVHNQHHDHDAHSDANSDSDVSIGENNFVTEAMEEEYVPVNNVTYEVCATPQHQFRNSPAPHYINSPAPQFRNSPARQHMSSPAPQFKNSPAPQYMNSPALQYKNSPKPHYMSSPAPQFKNSPAPHYMSSPAPQFKNSPAPQFKNSPKPQYMNSPKPQYMNSPVPQFKNSPAPQYVSSPAPQFKNLQNAQYIASPKPQYLASPKPQYLASPKPQFRNSPAVVAPQFRASPTVSNLNPNRGTPMHTYGKVNGGMEYMTPRRSNLGNMGQMVMTESELGPSPSEVAAAIARKPIIDEGENEMAGGWSLDGSMEGLQSKMERWRSDLPPVVDQGELSSHRSSSTKTSRHSRRHTDGGSTPGGGGGGSGSGGGSGGKGKGKGRSGAGLFSCFSKICGVECYVGCGSDSKGKKGGRRRSSSMEDNTSSLL >Vigun01g136400.1.v1.2 pep primary_assembly:ASM411807v1:1:31619095:31624587:-1 gene:Vigun01g136400.v1.2 transcript:Vigun01g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLVDLLRQRGGTAVIDGGLATELERHGADLNDPLWSAKCLFSSPHLIRQVHLDYLENGADIIITASYQATIQGFKDKGYTDEESEAMLKRSVEIAREARELYYKGCSECCSGDGAEGKILKQRPILIAASVGSYGAYLADGSEYSGHYGDAITKTKLKDFHRRRVQILADSGADLLAFETVPNKLEAEAFAELLEEEDIKIPAWFAFNSKDGVNVVSGDSLLECGSIAESCNKVVAVGINCTAPRFIHDLIVLLKKVTTKPVVIYPNSGETYDAELKQWVQNTGVTDEHLISYVTKWLEVGASLVGGCCRTTPATIRKIYSVLSRGKSAIVGKE >Vigun09g179800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35222413:35223534:1 gene:Vigun09g179800.v1.2 transcript:Vigun09g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPYSPPPSSPYYPYYSPPPPHSPPPPPPSPPPPHCNMTNYTKPLPPPPPTPPITYNCSCIPNTPTIPPSPPYHTPPPPYHTPPPPYYTPPPPPITPYASPPPPYTVVEHDYKAVIAVSVSLGGLLVAFLLLGVVCLARLRKKPLDYPPPSSPPPLPPPTASPPHQYAPVYNQPPPSPPPPPATTSYQSIQIEELGYGAADAGGDPPSEPVPVSHGHGHHSYS >Vigun03g089100.2.v1.2 pep primary_assembly:ASM411807v1:3:7474967:7480864:-1 gene:Vigun03g089100.v1.2 transcript:Vigun03g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKESESRKWSSEEISREFKTLVNSGDLHSLSHMQHTILGRLQDSNAVLSHFNDFSQNCIAEISGDIARHTRVLKSVKSDLDYIFQKLRSMKSKIMATYPDAFPEDSTGEVIDDRRPDLEMPK >Vigun03g089100.3.v1.2 pep primary_assembly:ASM411807v1:3:7474967:7480864:-1 gene:Vigun03g089100.v1.2 transcript:Vigun03g089100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKESESRKWSSEEISREFKTLVNSGDLHSLSHMQHTILGRLQDSNAVLSHFNDFSQNCIAEISGDIARHTRVLKSVKSDLDYIFQKLRSMKSKIMATYPDAFPEDSTGEVIDDRRPDLEMPK >Vigun10g156600.1.v1.2 pep primary_assembly:ASM411807v1:10:37614708:37616523:-1 gene:Vigun10g156600.v1.2 transcript:Vigun10g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLLKMMKSPSHATFEECQFYDYGFDLQQDFCQFLEEAKQHGKEQKLKSSSVYPEESRKAGSEKEKKGKKSWKSSLTSWWKSDRKSNHGEKTTKNSKPRGGSGHAHSSGPMYKSCKSSDGKHWRPSSGPLVSLFKPTKREENEIPYISLQQQNHSPLADQNYGPLYMVT >Vigun02g067400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21744668:21747227:1 gene:Vigun02g067400.v1.2 transcript:Vigun02g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVIIFLFLSLFRPCNTQTCSSNNTNPCPPFSSHPPFPFSSTPGCGHPSFHITCSSPHSFISINNLSFSILSYNSNTSSITLSPRPNPTPHPNCPSFSFIPTRPINLSATPFRVSAATCSRLSFLRPCSPPSLPNCSHCPSQCHLIKSPSHLLPDCRSTHHSPQYSDSPCLTDILGFLQQFLKNGIQLDWDQSRDPYFTNCTICRANNSTCGFNSSSQNKPFLCFGYRSQSTLAPPWIRKFKPNKMALFSTVIAITSLLLLISVATAILRSARSKASSAATQQDPTTLFLRLHRSASLLPPVFSYDDLAAATHNFDPKRKIGDGGFGSVYLAQLRDGRLAAVKYLHRHHSSAAFSTKSFCNEILILSSIHHANLVKLHGYCSDPRGLLLVYDYIPNGTLAEHLHHRKGSLTWQVRLDIALQTALAMEYLHFSVVPPVVHRDITSSNIFVERDMRIKVGDFGLSRLLVVQDTTSSSNGFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGMKAVDQSRDKREMALADLVVSRIQMGQLHQVLDPVFKRGDSDGVSAVAELAFRCVAADKDDRPDSREVVEELRRVRSRTVAAKE >Vigun08g223300.1.v1.2 pep primary_assembly:ASM411807v1:8:38101486:38103680:-1 gene:Vigun08g223300.v1.2 transcript:Vigun08g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGKVRMSVSVVKAAMKEMRRSVTYMPRPGDGAPRGVTLIPGDGIGPLVTNAVEQVMEAMHAPLYFEKYEVHGDMRRVPEEVLDSIRKNKVCLKGGLRTPVGGGVSSLNVQLRKDLDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVMSKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCRQVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKQVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYMTKDLGGDSTTQQVVDAVIANLD >Vigun09g213800.1.v1.2 pep primary_assembly:ASM411807v1:9:38791747:38793749:-1 gene:Vigun09g213800.v1.2 transcript:Vigun09g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLVSGPRKNKPGTVPVHLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYGFGAHEHDTTGIFEVQPKHCPGFTFRKSIFIGTTDLGEKDVRAFMEKLSQEYSGNTYHLISKNCNHFCNDVCLKLTGKSIPRWVNRLARLGLLCNCVLPPGLNDTKVQQPTSERVQEGEKRKIRSQSCRYEGSSNPSLSRRSAIKSSSQRHCLPGSTSLLNAAPASTLTVK >Vigun05g279850.1.v1.2 pep primary_assembly:ASM411807v1:5:46915113:46915365:1 gene:Vigun05g279850.v1.2 transcript:Vigun05g279850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSSGHTHTAHRLKPVRRETCYIDRKYMTGRILKSMLR >Vigun02g010650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4161265:4161453:-1 gene:Vigun02g010650.v1.2 transcript:Vigun02g010650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFQLAVFALIAISFILLISVPVVFASPEGWSNNKNFLFFSTSLWIGLVFLVGIVNSLIS >Vigun07g242000.1.v1.2 pep primary_assembly:ASM411807v1:7:36344522:36347664:1 gene:Vigun07g242000.v1.2 transcript:Vigun07g242000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSQKGIKTPTSTITDTKNKGFKTMGLVERVVALFIVMAILQVSDAAVYKVGDSAGWTTLGKIDYKKWAATKNFQIGDTIIFEYNDKFHNVMRVTHAMYKSCNASSPIATFTTGNDSIDISTHGHHFFFCGVPGHCEAGQKVDINVLKVSADAPTPSALASPTFQASNGPAPSPSNANPLIALKGAFSMMSLAMPIVLLLAFSSYV >Vigun06g001200.1.v1.2 pep primary_assembly:ASM411807v1:6:557377:605705:-1 gene:Vigun06g001200.v1.2 transcript:Vigun06g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSESKDASDHVVELIVRDASAPSSDAEADSEDKEQIAPLLFQERPKINIFTASYPRTKPRDEVTRLLESETSPFTNFILWVWNGSRYSGLLCMAFSSTIYFLMGVLTNIFSVQAIPLFETAFTRCAITLILSYLWLRRSEQPVFGTSQVRIILLLRALTGCISMSSFIYCSQSLPFSQAIVLNSTVPIMASIMARVFLHDKLKVADIASLACCFFGVLFFFREALTTKGHFVKAMEASYAHAKTGHHIFGILLGFFSSIMGGTSYCLIRAGAKASDQPMLTVFSFGLFASPAMGICTYIFEDFVLPGFQSILLMLVSSIMGFFAEVLLARGLQLERTGKVANVQYVEAGLRQLWSLAFTKVAPSFDRLVGVSLIVISVCCTMYIGPDKETE >Vigun04g092933.1.v1.2 pep primary_assembly:ASM411807v1:4:18202571:18207109:1 gene:Vigun04g092933.v1.2 transcript:Vigun04g092933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAGTQSTPYLSSTNLSTHITPTIIKRPIKFSQNSPNSNTKTVTEDQVEEKKEKEERVREVNENVKKDEEDKVWQQSGGCFSWSRKKTQREKERQRKDNMFFTHFKLC >Vigun06g216500.1.v1.2 pep primary_assembly:ASM411807v1:6:32740505:32747649:1 gene:Vigun06g216500.v1.2 transcript:Vigun06g216500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDPERVVDEDDDEVSPIEEVRLTVSNTDDPTQPLWTFRTWFLGLLSCSLLSFLNPILTYRSATLVVNQISNLVITFPLGHFMAAVLPTTKFTIPGFGSRSFSFNPGPFNMKEHVLIAMFANAGSAFGARSPFVVYMVNIIKVFYKRNISFTTSWLLILTTQMLGYGWAGLMRKYVVEPAHMWWPDTLVQVSLLRAFHEKDEHRIPRTKFFFFALLFCLSWYTIPGFFFTTLSSISWVCWIFPKSVIAQKIGSGMSGFGVGALTLDWATVASFLLSPLVSPFFAIVNVFVGYASVVYVVIPMAYWGLNVYNADRFPIFSSLLFTAHGQEYNISAVLDNRSELNVAEYEKQGRIHLSLSFALTYGFGFATIASTVTHVFCFYGREIMQRYRAPSESNEDIHAKMMRRYKDIPSWWFHSLLVVTLVVSLALCIFRNDQVQMPWWGLLLAGALALGFTLPVSIITATTNHQATGFNIFTEYVFGFIYPGKPIANICFKTYGYVSMAQAISFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINIWVAWWLLNSIKNICRDDLLSADSPWTCPIDGLFFDASVIWGLVGPTRIFGWEGKYSAMNWFFLVGALGPIIVWVLHKAFPKHSWIPLINLPVLFGATAMMPPATTLNYNSWILVGTIFNFFIFRYRKKWWQRYNYVLSAALDSGVAFMTIILYSLGLEIKSPNWWGNDGEHCPLAACPTTKGIILDGCPTNQ >Vigun05g260400.1.v1.2 pep primary_assembly:ASM411807v1:5:45365933:45367376:-1 gene:Vigun05g260400.v1.2 transcript:Vigun05g260400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKSTFLVVCLLASFFCHTKAHGGSGGDSHDDDSQSEDLHAKSLILVKIWCLIIFFVSTFAGGVSPYFYRWNETFLLLGTQFAAGVFLGTSLMHFLSDSNETFRDLTTKSYPFAFMLASSGYLLTMLGDCVVVFVTGNSKREAKVLELEGGTTPQEHDLAGNHCAADTTNPILLKTTSVGDTILLILALCFHSVFEGIAVGVSGTKADAWRNLWTISLHKIFAAIAMGIALLRMLPKRPLVTTAAYSFAFAISSPIGVGIGIAINATTQGHTADWMFAISMGIACGVFIYVAINHLISKGFKPQKPTRFDTPWFRFLAVLSGVAVIAVVMIWD >Vigun02g033600.1.v1.2 pep primary_assembly:ASM411807v1:2:14225262:14226609:1 gene:Vigun02g033600.v1.2 transcript:Vigun02g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTLQFLVLTTFIVATFFSVEGKIPESCKKYECPTYNVTEMGKDYEIRSYNSPVWISTSPVQDNSLVAATRKGFSRLFSYVNGYNNEKEVIKMTAPVISEVSNGNSTVVSLYVPLANQKNPPSADGLKVQRWKASYVAVRQFGGFVTDSNFMGEVDALKGSLAGTKWASKTHNGFIVAQYNAPFELFNRVNEIWFLY >Vigun07g222000.1.v1.2 pep primary_assembly:ASM411807v1:7:34451230:34452016:-1 gene:Vigun07g222000.v1.2 transcript:Vigun07g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKVDVHEDKIKQKAMKAVSGISGVESVSVDMKDRKLTLIGDIDPVQVVAKLRKFCHTEIVSVGAAKEEKKEEPKKDDKKKEDEKKDTTKEMVADSIKFYQTYGYYYQMKPQYNPYYSAISVEEDPNGCVIV >VigunL030100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:161365:162861:-1 gene:VigunL030100.v1.2 transcript:VigunL030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMQVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVGNIDEATAKATNLETESNLKK >Vigun06g174500.1.v1.2 pep primary_assembly:ASM411807v1:6:29528806:29531806:-1 gene:Vigun06g174500.v1.2 transcript:Vigun06g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVIGSSQAKLGASDLPSREVGLCNLKNFRVVNDRVSFGQNNRWKKAGISFTLKAHRTEPVREENKRSAPGTRSKTVDGVRLFVGLPLDAISYDCNSINHARAIAAGLKALKLLGVEGVELPIWWGIVEKETMGEYDWSGYLAIAEMVQKVGLNLHVSLCFHGSEKPNIPLPKWVSQIGESQPNIFFTDKSGQHYKECLSLAVDDLPVLDGKTPVQVYQAFCESFKSSFSPFMGSTIKSISMGLGPDGELRYPSHHQLPSKTQGAGEFQCYDQNMLSFLKQHAEASGNPLWGLGGPHDAPTYDQSPFSSGFFNDGASWESSYGDFFLSWYSNKLIAHGDCLLSMASSTFGDSGVTVYGKIPLMHSWYGTRSHPSELTAGFYNTANKDGYEPVAQMFAKNSCKMILPGIDLSDAKQPKENHCSPELLLSQIMAACRKHEVKVSAQNSSESGVPGGFEQIKKNLSGDNVLDLFTYQRMGASFFSPEHFPLFTEFVRSLKQPEMHSDDLPTEEEEGAESAVLSHESSVSMQAA >Vigun06g027500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12241627:12242358:-1 gene:Vigun06g027500.v1.2 transcript:Vigun06g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSPKIYDLDSTSSQDEDTNSSFQQGQPQEATAANEPRTTKSGRRPRGRPVGSRNKPKPSSLRVPETTDSTMNVFTFNVAPNGDIMESILEIVHRDQVSVTVMNVSGMINNVTMEASNEGSPPIMLYGPFNFLSLTGSYLYDNHYILHPGATPPPPPSFGIHLSTAQGQVFSGIIGGRVIAGENVMITVSTFRNPDILKYTPEDRQEDELDNNTDNNSPGGFCGGGDFMGFNPSSGIPGFG >Vigun05g244200.2.v1.2 pep primary_assembly:ASM411807v1:5:43738525:43741380:1 gene:Vigun05g244200.v1.2 transcript:Vigun05g244200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKKPPKSRVFFVGMKLLHKPGNKPLQEKNSFFHTYYKWVLWLSLSLYFFTSYLITTNPNTAPTSPNTSSHVSNSESHAVPRAVIDSTTNSLGVLKNLKMFVYELPPKFNTGWLANKRCSNHLFASEVAIHRALLTSEVRTFDPYEADFFFVPVYVSCNFSAVNGFPAIGHARSIISSAVNLVSTEYPFWNRSKGRDHVFVASHDFGACFHSLEDAAIANGIPQILKNSIVLQTFGVVHRHPCQDVENVVIPPYVSPESVRSTLENFPVNGPREIWVFFRGKMELHPKNISGRFYSRRVRTEIWRKFNGDRRFYLQRHRFSGYQLEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSTVRWSEISLTVAERDVGKLGKILERVAATNLSDIQRNLWDPRTRQALLFNEEMEYGDATWQVLVSLSEKLHRSHRRSSASDESESDT >Vigun05g244200.1.v1.2 pep primary_assembly:ASM411807v1:5:43738525:43741380:1 gene:Vigun05g244200.v1.2 transcript:Vigun05g244200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKKPPKSRVFFVGMKLLHKPGNKPLQEKNSFFHTYYKWVLWLSLSLYFFTSYLITTNPNTAPTSPNTSSHVSNSESHAVPRAVIDSTTNSLGGVTLHTRQHFQLTNGLVLEFISTRFVAGVLKNLKMFVYELPPKFNTGWLANKRCSNHLFASEVAIHRALLTSEVRTFDPYEADFFFVPVYVSCNFSAVNGFPAIGHARSIISSAVNLVSTEYPFWNRSKGRDHVFVASHDFGACFHSLEDAAIANGIPQILKNSIVLQTFGVVHRHPCQDVENVVIPPYVSPESVRSTLENFPVNGPREIWVFFRGKMELHPKNISGRFYSRRVRTEIWRKFNGDRRFYLQRHRFSGYQLEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSTVRWSEISLTVAERDVGKLGKILERVAATNLSDIQRNLWDPRTRQALLFNEEMEYGDATWQVLVSLSEKLHRSHRRSSASDESESDT >Vigun10g055600.2.v1.2 pep primary_assembly:ASM411807v1:10:9899088:9901448:-1 gene:Vigun10g055600.v1.2 transcript:Vigun10g055600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSFFRSILIHTLLSTISFPYCSSHSNVFIPSNTVMALQAMQEEGWPLGLRLLNARIGLTGNGDFSGSVSFSTILTAPPTPSTDYSSDLDTQSTGSFFRDKSITLGSLMRISSFVEVSRRSSRGRMVQSSKNNESNHKLRPWLFSLCSKLTTDAVSVAAPSLGNYLLSERKSPHHRRNNHCSTIYGPNDLFPVQESKSLFVGGHVAHKALEQSNGYGTPVLCCLCR >Vigun10g055600.3.v1.2 pep primary_assembly:ASM411807v1:10:9899088:9901448:-1 gene:Vigun10g055600.v1.2 transcript:Vigun10g055600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSFFRSILIHTLLSTISFPYCSSHSNVFIPSNTVMALQEEGWPLGLRLLNARIGLTGNGDFSGSVSFSTILTAPPTPSTDYSSDLDTQSTGSFFRDKSITLGSLMRISSFVEVSRRSSRGRMVQSSKNNESNHKLRPWLFSLCSKLTTDAVSVAAPSLGNYLLSERKSPHHRRNNHCSTIYGPNDLFPVQESKSLFVGGHVAHKALEQSNGYGTPVLCCLCR >Vigun02g106200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26023214:26024984:-1 gene:Vigun02g106200.v1.2 transcript:Vigun02g106200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTNTTRPIVMSSSSITGTTTITIQNSEPSISSSQHQQQQPEEVLFLPLNRRKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHSDERPHDTGFCCKNHDEAGPST >Vigun02g106200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26023214:26024974:-1 gene:Vigun02g106200.v1.2 transcript:Vigun02g106200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTNTTRPIVMSSSSITGTTTITIQNSEPSISSSQHQQQQPEEVLFLPLNRRKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHSDERPHDTGFCCKNHDEAGPST >Vigun02g106200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26023214:26024974:-1 gene:Vigun02g106200.v1.2 transcript:Vigun02g106200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTNTTRPIVMSSSSITGTTTITIQNSEPSISSSQHQQQQPEEVLFLPLNRRKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHSDERPHDTGFCCKNHDEAGPST >Vigun02g106200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26023214:26024974:-1 gene:Vigun02g106200.v1.2 transcript:Vigun02g106200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTNTTRPIVMSSSSITGTTTITIQNSEPSISSSQHQQQQPEEVLFLPLNRRKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHSDERPHDTGFCCKNHDEAGPST >Vigun10g097500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28555468:28559992:1 gene:Vigun10g097500.v1.2 transcript:Vigun10g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFRLSDMIPNAWFYKLRDMGKGRKQNTTLSGKKKQTSITSTASTTHSSKPNQPLHQYSNNNNNNPRKSYYITRDLKNSPSNTPKFSPPRKSTKQRTKRRAPRTSKLVSSGCSCRSTLESMWTKSDSPLEHSSSSPFDSSPESDSPEFRTDRVLPCSSSFDEMVSLSTTSCASCRLNTNKNNHPTNDIIIDVDKNSIPRKDNKLDGYYSYHNDDDNKNSHNNNDYDDTFSELELPPIITKQPKLLKKRELKQGSLKVRIVKEESAMKEQRNSGSVRRLSVNSPGMRLRVHSPKIAAWKGRKSVSSTASSGSRRSLSESFAVVKSSFDPQKDFRESMVEMIVENNIRASKDLEDLLACYLSLNSDQYHDLIIKVFKQIWFDLTQPR >Vigun04g056900.2.v1.2 pep primary_assembly:ASM411807v1:4:5589134:5592847:1 gene:Vigun04g056900.v1.2 transcript:Vigun04g056900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNCIAGTVGVKNDSSKPTHSTSECSDDETSIMGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSPFCGDNQQLSMNMGSQVSHGNHRRGQVRHPEVSNYNQYHGNTGNLLNMERLYLYFRKSLLFFVQVTAKFPLVIIFLLIVLYAIPASAAILALEIIA >Vigun04g056900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5589134:5592776:1 gene:Vigun04g056900.v1.2 transcript:Vigun04g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNCIAGTVGVKNDSSKPTHSTSECSDDETSIMGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSPFCGDNQQLSMNMGSQVSHGNHRRGQVRHPEVSNYNQYHGNTGNLLNMERLYLYFRKSLLFFVQVTAKFPLVIIFLLIVLYAIPASAAILALYVLVTILFALPSFLILYFSYPSLDWLVREIIA >Vigun04g056900.3.v1.2 pep primary_assembly:ASM411807v1:4:5589134:5592847:1 gene:Vigun04g056900.v1.2 transcript:Vigun04g056900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNCIAGTVGVKNDSSKPTHSTSECSDDETSIMGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSPFCGDNQQLSMNMGSQVSHGNHRRGQVRHPEVSNYNQYHGNTGNLLNMERLYLYFRKSLLFFVQVTAKFPLVIIFLLIVLYAIPASAAILALEIIA >Vigun04g056900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5589134:5592776:1 gene:Vigun04g056900.v1.2 transcript:Vigun04g056900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNCIAGTVGVKNDSSKPTHSTSECSDDETSIMGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSPFCGDNQQLSMNMGSQVSHGNHRRGQVRHPEVSNYNQYHGNTGNLLNMERLYLYFRKSLLFFVQVTAKFPLVIIFLLIVLYAIPASAAILALYVLVTILFALPSFLILYFSYPSLDWLVREIIA >Vigun01g242000.1.v1.2 pep primary_assembly:ASM411807v1:1:41147609:41150996:-1 gene:Vigun01g242000.v1.2 transcript:Vigun01g242000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGIIVRLEGSLDPRAEEFRPSINNNAIIQCQWYPIPLPLPLPLPFPHPLPPPPPPHLSTSSTRSLLLTPLPFTSDSALRAELQAFGDVRALQTEALRHGILIVHFYDLRHAESAFAAIRSMHHHFPPPTPGLLSGRPISAHYVLPSSSSIPDAHNQGTLVVFNLDPHLSTDHLRRLFHPFGPIKELRDTPWKKNQRFVEFFDTRDAAKALKHMNGKQIHGKPIIIEFSRPGGHTRRFFHHSPSSKTTPLHFNAPPPPPPPPFPPSPPRRFAAPRLHTSHPPQFSRTKSLASPVKVQDSLDEAIGSMSLTGDVGHGVQEQQHHSHGGGPPRRNLSRKQSCETTLVVATTKQQQQQQQPQQLPRSRHWKGKQAKKLEARFLIKEDAIVESGPKDTRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGDEQPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPEATLRLYKAFHLQHWEVFNSRKICEVTYARVQGLEALKEHFKNSKFPCEMEHYLPVVFSPPRDGRELTEPLPLVGNSTAKHHQPPVSIPNCDDNGGDDVESSKSGDVGDDDDGDDDTNAEA >Vigun09g155300.1.v1.2 pep primary_assembly:ASM411807v1:9:32063523:32066345:1 gene:Vigun09g155300.v1.2 transcript:Vigun09g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTKMSEVLPFIIMVIMEGWTIGLTIFAKTAITNGMSPFVFIVYTNALATILLFPSSFLSHQEDRKERPTFTFSLFMRFLFLGFIGITMTQSFLFLGLSYSSPILVCAMGHLIPTFNFLLSLILRKAELNLRSTGIQVQIIGIVVSIMGAVLAEFFKGPLIRPSSHHLKQTKQLLVFSSTPEFWLLGGALLATAFFSLSLSNFIQKETIQQYPEPMKLVSYSSLIGTILSAVVSCIVERDINAWKIKRNKDLILIVLTALVGGVIRPNIQVWLTRMKGPLYVSLFKPFGIAFATTFGLCFFSNSLHYGSVIGAILLGMGYYTVIYGQIKGNEEERSSEDSSEDSSDSLDKKIPLLQEKMEV >Vigun11g156600.1.v1.2 pep primary_assembly:ASM411807v1:11:36552023:36552998:-1 gene:Vigun11g156600.v1.2 transcript:Vigun11g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLASPPPLFTQFHTSTSHLSFSHSQTLFSPLSTPFPSLSASATSTLSPTPSVYCGRGDRKTAKGKRFAHSFGNARPKDKKKGRGPPRIYAPPAPSKKDRFEDNEVVKIEIDESLFSG >Vigun01g099200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26590965:26591579:1 gene:Vigun01g099200.v1.2 transcript:Vigun01g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSLFVRTKNRSQVSTLAASSSDNNPDKSGWEVGRKKQTENDVEIGLEEILQEPKVSIHLI >Vigun03g142900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14415393:14417645:1 gene:Vigun03g142900.v1.2 transcript:Vigun03g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSKHLLLLRPTTLHRCSYRDNSSLVSSHHVPTETESLLNLCHQRDLPRAMHVLDAMERRRIWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAQLLFDKMPERNVVSWTTMISAYANAKLNDRAMRLLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSWIMKVGLESDVFVRSALIDVYSKLGELLEALSVFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTILSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSMEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWVYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATYAAKKILKLDSHDTGAYVLLSNIYATSKRWNEVAEVRRAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLSQFIYRLTSAGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIGKLEQRHVVIRDPIRYHHFRDGVCSCGDYW >Vigun10g119900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32644247:32647054:1 gene:Vigun10g119900.v1.2 transcript:Vigun10g119900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASKAAVAVDDACSRENWLLHAQELVPVALANAKEVKVFPGRWKMIISKLEQIPSCLSDLSSHPCFSKNALCKEQLQAVSKTLKEATELAELCVKEKYEGKLRMQSDLDALTGKLDLNLKDCGLLIKTGVLGEATLPLTVLGSMAESDIATHNSIRELLARLQIGHLEAKHKALDRLYDVMKEDEKNVLAVLGRRNIAALVQLLTATSPRIREKTVTVICSLVESGSCEQWLVSEGVLPPLIRLVESGNAVSKEKAIVSLQRLSMSAETTRAIVGHGGVRPLIELCQSGDSVSQAAVACTLTNVSAVPEVRQVLAEEGIVSVMINLLSCGILLGSKEYAAECLQNLTSSNEHLRKCVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVSEETLVSLGLLPRLVHVLKCGSLGAQHAAASVICRVCSSMEMKKMVGEAGCIPLLIKMLEAKTNAAREVAAQAISSLMVLSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSPCKKCKKLMISYGAIGYLKKLTEMEIPGAKKLLERLERGKLRSLFSRK >Vigun10g119900.1.v1.2 pep primary_assembly:ASM411807v1:10:32644247:32647054:1 gene:Vigun10g119900.v1.2 transcript:Vigun10g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITCSKVRERKPRGASLALLTSIQFYFTTTLSKFEIMVEASKAAVAVDDACSRENWLLHAQELVPVALANAKEVKVFPGRWKMIISKLEQIPSCLSDLSSHPCFSKNALCKEQLQAVSKTLKEATELAELCVKEKYEGKLRMQSDLDALTGKLDLNLKDCGLLIKTGVLGEATLPLTVLGSMAESDIATHNSIRELLARLQIGHLEAKHKALDRLYDVMKEDEKNVLAVLGRRNIAALVQLLTATSPRIREKTVTVICSLVESGSCEQWLVSEGVLPPLIRLVESGNAVSKEKAIVSLQRLSMSAETTRAIVGHGGVRPLIELCQSGDSVSQAAVACTLTNVSAVPEVRQVLAEEGIVSVMINLLSCGILLGSKEYAAECLQNLTSSNEHLRKCVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVSEETLVSLGLLPRLVHVLKCGSLGAQHAAASVICRVCSSMEMKKMVGEAGCIPLLIKMLEAKTNAAREVAAQAISSLMVLSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSPCKKCKKLMISYGAIGYLKKLTEMEIPGAKKLLERLERGKLRSLFSRK >Vigun10g119900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32643520:32647089:1 gene:Vigun10g119900.v1.2 transcript:Vigun10g119900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASKAAVAVDDACSRENWLLHAQELVPVALANAKEVKVFPGRWKMIISKLEQIPSCLSDLSSHPCFSKNALCKEQLQAVSKTLKEATELAELCVKEKYEGKLRMQSDLDALTGKLDLNLKDCGLLIKTGVLGEATLPLTVLGSMAESDIATHNSIRELLARLQIGHLEAKHKALDRLYDVMKEDEKNVLAVLGRRNIAALVQLLTATSPRIREKTVTVICSLVESGSCEQWLVSEGVLPPLIRLVESGNAVSKEKAIVSLQRLSMSAETTRAIVGHGGVRPLIELCQSGDSVSQAAVACTLTNVSAVPEVRQVLAEEGIVSVMINLLSCGILLGSKEYAAECLQNLTSSNEHLRKCVISEGGVRSLLTYLDGPLPQESAVGALRNLVGSVSEETLVSLGLLPRLVHVLKCGSLGAQHAAASVICRVCSSMEMKKMVGEAGCIPLLIKMLEAKTNAAREVAAQAISSLMVLSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLGSLSPCKKCKKLMISYGAIGYLKKLTEMEIPGAKKLLERLERGKLRSLFSRK >Vigun05g178401.2.v1.2 pep primary_assembly:ASM411807v1:5:33414684:33415047:1 gene:Vigun05g178401.v1.2 transcript:Vigun05g178401.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEDGKVDFLFSAQKVDDVNRVGTSLYCFPEMAFHLDAPLKAQRRKWNRPRQLRFFRDKTMRNSPLTE >Vigun05g178401.1.v1.2 pep primary_assembly:ASM411807v1:5:33414372:33415231:1 gene:Vigun05g178401.v1.2 transcript:Vigun05g178401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEDGKVDFLFSAQKVDDVNRVGTSLYCFPEMAFHLDAPLKAQRRKWNRPRQLRFFRDKTMRNSPLTE >Vigun05g270900.1.v1.2 pep primary_assembly:ASM411807v1:5:46242029:46249324:1 gene:Vigun05g270900.v1.2 transcript:Vigun05g270900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPFSSKEREIGDWQSSGTQLVGSTSLDGRMSNSISEDMPNSFSELMNFDTYAGLCNSPSISDQILANELPSFASLPYSLPDGFNLVQQNNGQCYMSGAGRNDNDMESPRIYGEKVACQQVDTLLGFLNDENEANKLNSKLKINDSSQHLNNSDAGNYIMSRPPALSLDERMLRALSFFKESAGGGILAQVWVPIKDGDQFILSTSEQPYLLDQMLAGYREVSRTFTFSAEGKSGCFLGLPGRVFTSKVHEWTSNVGYYSMNEYLRFEHAINHHVRGSIAFPIFDLHSEFLCCAVLEIVTTKEKPDFNREFEIVSRALQNVNLSTAKPLRCLPQCLSNNKKATLTEIVDVLRSVCHAHRLPLALTWLPCCYTEGSRGEATRIRIKGCHSTISRKSILCLEESACYITDRALAGFVRACTEHHIEEGKGIAGKALRSNHPFFCPDVKAYDISEYPLVHHARKYNLNAAVAIRLRSTYTNHDDYILEFFLPVNMTGGSEQQLLLDNLSGTMQRICSSLRTVSDAESSRIESSQEGFEKKNAPYFSPLSRQNSGVPLINGDYHSVPKMSLTATNAGDNEIEPSAIQGRNGAKRQVQKNRSTSEKNVTLSVLQQYFSGSLKDAAKNIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVRGVEGGLKFDPSMGAFVARGSIIQETDAHKSLLFPEKSIIKDPAPITQEAVPVPSAPCNEVENFSFKMEEKLKKTNAFSVGCCEDSKSVAIDDGSCEMESLCTKVQNCPEQACLGSVLAKEQDKWTRNKSGLRVENFKCSILGQSSNSLIGKEMDIGVDGDAGVVEPNHPSSSSLTDSSNDSGSMMHSISSGSQSFKNQNQSKVKSTIVDSGSKLIVKAIYREDTVRFKFDRSAGCFRLYEEVAARFKLQTGSFQLKYLDDEEEWVMLVNDADLQECLDILDDIGTCSVRFLVRDLPSILSSSGSSNSYLGGSS >Vigun05g254400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44902071:44903864:-1 gene:Vigun05g254400.v1.2 transcript:Vigun05g254400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGLKSLFLFKTSPSPPSIKPLSHSLMDENVETARNIISKWDLIPASHQTSSLKPTTVPLFSNTRQEAKQYLKAVASLQSTMHHLVALDSSSDSLIQAQFLMQLAMKRLQTEFYRILAENKDNLDPESVLSTDLRSSSVSEDEDNFSEDEYRFAGGSVSTVAMADLKAIAECMVSAGYSKECLKIYVIMRKSIVDEALYHLGVERLSLSQVQKMEWGVLESKIKRWVGSVEVAVRTLFRGEKSLCEYVFGSAERKIADSCFSAICRDAAATLFGFPENVAKCKKTPEKMFRMLDLYNAISENGQQIESIFSSQSTSSIILQARASKARLGEAIRTMLINFESAIHKESSKIPVPGGEIHPLTRYVMNYIAFLADYKDALSEIVADWSENPLPESYYRSGDRGGMNRSSEIAERIAWLILVLLCKIEGKAELYKEVALSYLFLANNIHYVVVKVRTTNLGLILGEHWFTKHEVRVKEYVSKYESVGWSKVFMTPPENPTAEQARAIFESFKFELHEARRAQSSWVVPDPNLRDEIKASIAAKLEPIYKVFFEKYQLGSDPIFGCLPDDLQRDLSDILSGCVSSLPTPSPPQRLKHR >Vigun09g174000.4.v1.2 pep primary_assembly:ASM411807v1:9:34490514:34506128:-1 gene:Vigun09g174000.v1.2 transcript:Vigun09g174000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGRRNGYANSVQPNRHPAAISEVDEFCNALGGNKPIHSILIANNGMAAVKFIRSVRSWANETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEMAEITHVDAVWPGWGHASENPELPDALKAKGIVFLGPPAISMAALGDKIGSSLIAQAAEVPTLRWSGSHVKIPPESSLVTIPDEIYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGVPLWQIPEIRRFYGVEHGGGYDAWRKTSVLATPFDFDKAQSTKPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMIRGGSGSYRLRMNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVADDSHVDADTPYAEVEVMKMCMPLLSPASGIIHFKMSEGQAMQAGELIASLDLDDPSAVRKAEPFTGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECLAVLATRLPKDLKNELESKYKEFERISSSQIVDFPAKLLKGILEAHLSSCPEKEKGAQERLVEPLLSLVKSYEGGRESHAHIIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGIKSKNKLILRLMDKLVYPNPAAYRDQLIRFSLLNHTSYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENIDTPKRKSAINDRMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIATWEFYDEYIERKNGVEDQTSKKSVEEKDSEKKWGVMVVIKSLQFLSAIISAALKEATNHLHEAPTSGSAGPVNHGNMMHIGLVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGSTIRAAGVGVISCIIQRDEGRAPMRHSFHWSEEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIRYTPSRDRQWHLYTVTDNKPQPIQRMFLRTLLRQPTTNEGFSSYQRLDAETSRTQLAMSFTSRSIFRSLMAAMEELELNAHNANIKSEHAHMYLYIIREQQMDDLVPYPKRINIDAGKEETTVEAILEELAQEIHSSVGVRMHRLGVVVWEVKLWMAAVGQANGAWRVIVNNVTGHTCTVHIYREKEDTSTHKVVYSSVSVKGPLHGVPVNENYQPLGVIDRKRLSARKNSTTYCYDFPLAFETALEQSWAIQQPGFQRAKDKSLLKVTELKFAEREGSWGSPLVPVERYPGLNDVGMVAWFMDMRTPEFPSGRTILVVSNDVTFKAGSFGPREDAFFRAVTDLACKRKLPLIYLAANSGARLGVAEEVKSCFRVGWSEESSPEHGFQYVYLTPEDYARIGSSVIAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVYSILKWLSYIPSHIGGVLPIVKPLDPPERPVEYLPENSCDPRAAISGTLDGNGRWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPIFVYIPMMGELRGGAWVVVDSQINSDHIEMYADRTAKGNVLEPEGMIEIKFRTRELLECMGRLDQQLITLKAKLQEAKSNRDLAAFESLQQQIKSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVLDWRNSRAVFYQRLHRRIGEQSLINSVRDAAGDHLSHASAMNLLKEWYLSSDIANGREDAWLDDEAFFKWKNNPANYENKLKELRVQKVLLQLTNIGDSALDLQALPQGLAALLSKLEPSGRGKLTDELRKVLG >Vigun09g174000.1.v1.2 pep primary_assembly:ASM411807v1:9:34490466:34506277:-1 gene:Vigun09g174000.v1.2 transcript:Vigun09g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGRRNGYANSVQPNRHPAAISEVDEFCNALGGNKPIHSILIANNGMAAVKFIRSVRSWANETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEMAEITHVDAVWPGWGHASENPELPDALKAKGIVFLGPPAISMAALGDKIGSSLIAQAAEVPTLRWSGSHVKIPPESSLVTIPDEIYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGVPLWQIPEIRRFYGVEHGGGYDAWRKTSVLATPFDFDKAQSTKPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMIRGGSGSYRLRMNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVADDSHVDADTPYAEVEVMKMCMPLLSPASGIIHFKMSEGQAMQAGELIASLDLDDPSAVRKAEPFTGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECLAVLATRLPKDLKNELESKYKEFERISSSQIVDFPAKLLKGILEAHLSSCPEKEKGAQERLVEPLLSLVKSYEGGRESHAHIIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGIKSKNKLILRLMDKLVYPNPAAYRDQLIRFSLLNHTSYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENIDTPKRKSAINDRMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIATWEFYDEYIERKNGVEDQTSKKSVEEKDSEKKWGVMVVIKSLQFLSAIISAALKEATNHLHEAPTSGSAGPVNHGNMMHIGLVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGSTIRAAGVGVISCIIQRDEGRAPMRHSFHWSEEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIRYTPSRDRQWHLYTVTDNKPQPIQRMFLRTLLRQPTTNEGFSSYQRLDAETSRTQLAMSFTSRSIFRSLMAAMEELELNAHNANIKSEHAHMYLYIIREQQMDDLVPYPKRINIDAGKEETTVEAILEELAQEIHSSVGVRMHRLGVVVWEVKLWMAAVGQANGAWRVIVNNVTGHTCTVHIYREKEDTSTHKVVYSSVSVKGPLHGVPVNENYQPLGVIDRKRLSARKNSTTYCYDFPLAFETALEQSWAIQQPGFQRAKDKSLLKVTELKFAEREGSWGSPLVPVERYPGLNDVGMVAWFMDMRTPEFPSGRTILVVSNDVTFKAGSFGPREDAFFRAVTDLACKRKLPLIYLAANSGARLGVAEEVKSCFRVGWSEESSPEHGFQYVYLTPEDYARIGSSVIAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVYSILKWLSYIPSHIGGVLPIVKPLDPPERPVEYLPENSCDPRAAISGTLDGNGRWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPIFVYIPMMGELRGGAWVVVDSQINSDHIEMYADRTAKGNVLEPEGMIEIKFRTRELLECMGRLDQQLITLKAKLQEAKSNRDLAAFESLQQQIKSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVLDWRNSRAVFYQRLHRRIGEQSLINSVRDAAGDHLSHASAMNLLKEWYLSSDIANGREDAWLDDEAFFKWKNNPANYENKLKELRVQKVLLQLTNIGDSALDLQALPQGLAALLSKLEPSGRGKLTDELRKVLG >Vigun09g174000.3.v1.2 pep primary_assembly:ASM411807v1:9:34490468:34506257:-1 gene:Vigun09g174000.v1.2 transcript:Vigun09g174000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGRRNGYANSVQPNRHPAAISEVDEFCNALGGNKPIHSILIANNGMAAVKFIRSVRSWANETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEMAEITHVDAVWPGWGHASENPELPDALKAKGIVFLGPPAISMAALGDKIGSSLIAQAAEVPTLRWSGSHVKIPPESSLVTIPDEIYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGVPLWQIPEIRRFYGVEHGGGYDAWRKTSVLATPFDFDKAQSTKPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMIRGGSGSYRLRMNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVADDSHVDADTPYAEVEVMKMCMPLLSPASGIIHFKMSEGQAMQAGELIASLDLDDPSAVRKAEPFTGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECLAVLATRLPKDLKNELESKYKEFERISSSQIVDFPAKLLKGILEAHLSSCPEKEKGAQERLVEPLLSLVKSYEGGRESHAHIIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGIKSKNKLILRLMDKLVYPNPAAYRDQLIRFSLLNHTSYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENIDTPKRKSAINDRMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIATWEFYDEYIERKNGVEDQTSKKSVEEKDSEKKWGVMVVIKSLQFLSAIISAALKEATNHLHEAPTSGSAGPVNHGNMMHIGLVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGSTIRAAGVGVISCIIQRDEGRAPMRHSFHWSEEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIRYTPSRDRQWHLYTVTDNKPQPIQRMFLRTLLRQPTTNEGFSSYQRLDAETSRTQLAMSFTSRSIFRSLMAAMEELELNAHNANIKSEHAHMYLYIIREQQMDDLVPYPKRINIDAGKEETTVEAILEELAQEIHSSVGVRMHRLGVVVWEVKLWMAAVGQANGAWRVIVNNVTGHTCTVHIYREKEDTSTHKVVYSSVSVKGPLHGVPVNENYQPLGVIDRKRLSARKNSTTYCYDFPLAFETALEQSWAIQQPGFQRAKDKSLLKVTELKFAEREGSWGSPLVPVERYPGLNDVGMVAWFMDMRTPEFPSGRTILVVSNDVTFKAGSFGPREDAFFRAVTDLACKRKLPLIYLAANSGARLGVAEEVKSCFRVGWSEESSPEHGFQYVYLTPEDYARIGSSVIAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVYSILKWLSYIPSHIGGVLPIVKPLDPPERPVEYLPENSCDPRAAISGTLDGNGRWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPIFVYIPMMGELRGGAWVVVDSQINSDHIEMYADRTAKGNVLEPEGMIEIKFRTRELLECMGRLDQQLITLKAKLQEAKSNRDLAAFESLQQQIKSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVLDWRNSRAVFYQRLHRRIGEQSLINSVRDAAGDHLSHASAMNLLKEWYLSSDIANGREDAWLDDEAFFKWKNNPANYENKLKELRVQKVLLQLTNIGDSALDLQALPQGLAALLSKLEPSGRGKLTDELRKVLG >Vigun03g414600.1.v1.2 pep primary_assembly:ASM411807v1:3:62152304:62154154:1 gene:Vigun03g414600.v1.2 transcript:Vigun03g414600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAKGEEKKVGVIETWLRKHRLLFNGATRHPLILSIRDGSINIASFKSWLAQDYLFVRAFVPFVASVLIKAWKESDESGDMEVILGGVASLEDEISWFKREASKWGISLSEVVPQQANKKYCGLLESLMSPDVEYSVAITAFWAIEAVYQESFAHCIGEGSKTPEELKGTCERWGNEAFAKYCLSLQNIAERRLQKASDEELKKAEAMFLNVLEYEVDFWNMSRGNV >Vigun07g063200.1.v1.2 pep primary_assembly:ASM411807v1:7:7297408:7308191:-1 gene:Vigun07g063200.v1.2 transcript:Vigun07g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKHRAAKSGDKIEFRISHLKALQVPKGWDKLFVSVVSVENGKTIAKSSKVPVRNGGCQWSDNFSESIWVSRDNSSKEIDDSVLKLIVAMGSSRSGILGEATVSLTSYMSSDAAIPLSIPLNKCNHGTTLQVTVQCLTPRTKHRDQETNFHLKAINENNYDLPIKSNESDCSNIQSVESSSVEDFDSTLSPGEIETRATSFSGSVSNCSHYSTEGSTGRENISSSISDGQSPTGIQGSASSQKSVSHHDYPVNSSQSNDSSFVSQNIQEIGSLYSKSTNASNNRLEPAEDTTEELRAEAKMWEMNARKLMGDLDVLRKEFSDQYENLAGIKTELSAAHVERDSLKKEVDQLKLSFEDPRARQRASEDSLSEGECFSEIENALKEELKFEKESNANLSLQLKRSQEANLELVSVLQELEETIEQQKIEIETLSLLPSKFSDLDKSFQISTEENKRLMQQLEQLEESKKNLLVKVQELEGALGDTIRDTEHSKIQYNKTLPDIEMEYEGKLAAKDKEILSSKAKLSESVPESCNVETGSKNLGDADLLREIEFLKEKVQELEVDCNELTEENLDLLFKLKEANKSLKDGGASQDLLSNKVKDQCSTCFGSEVSNNLFRIFHSGDMVQGENNIKISDNDHISIQELETSKLALEVRITDLNKELTNKSSEIGNLVANLSYKEKEIGVLQKLLNEIEAKVYHLEQEHSQQEKQMAAMKKENKHELELIISDIEQERQQLSMRISVLEAQLRDLTNEREFHLTELENSRSKAARLQEKIMEMQTQIDSSTEDLEQKLKFTQFRWTEAQEECEYLRVANQNLQNTIENLAEECSFVKKVNGDFRKEKLKEEENCSLMEARLRESDERFAKCSERVEHLEQSFTLMQEDIASKVKHLISDIDVLFDENRKQMEQGEVLLNQMQMEKMVETQNLELEVENLRLKLSAAYDEKEKIASNALLEVSTLRADKAKLESASEEAQSKVILAQNEVDALQSQYEQKLKDVTTQVAEYKIKVEMLTTEHEKLLKLVEDCKSRELKFKSTINALELKLTVTEYERQQIMDESGNLKVQLQQNHQLENENIALKNELNDFKSEKERLEETRFVQDTELSHSRRINRQHQQTIQLLEQEKAEFQTKAQALEEELKLIKEQKRNQVSKLNRKSSPVHDDLKSSKNLGMKNNNQHRINRKKSLKNDSIKHNNEVETELGLLDENVNAVEVDPLSKTQLCDNELAKANEANNNYEAQCHRSPSRGQKIQANGPLKSIGEEVVTKEKFERIKSMLEADLRDIQERYFHMSLKYAEVEAEREELVMKLKATKNKKGWLS >Vigun07g063200.2.v1.2 pep primary_assembly:ASM411807v1:7:7297408:7304379:-1 gene:Vigun07g063200.v1.2 transcript:Vigun07g063200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMNARKLMGDLDVLRKEFSDQYENLAGIKTELSAAHVERDSLKKEVDQLKLSFEDPRARQRASEDSLSEGECFSEIENALKEELKFEKESNANLSLQLKRSQEANLELVSVLQELEETIEQQKIEIETLSLLPSKFSDLDKSFQISTEENKRLMQQLEQLEESKKNLLVKVQELEGALGDTIRDTEHSKIQYNKTLPDIEMEYEGKLAAKDKEILSSKAKLSESVPESCNVETGSKNLGDADLLREIEFLKEKVQELEVDCNELTEENLDLLFKLKEANKSLKDGGASQDLLSNKVKDQCSTCFGSEVSNNLFRIFHSGDMVQGENNIKISDNDHISIQELETSKLALEVRITDLNKELTNKSSEIGNLVANLSYKEKEIGVLQKLLNEIEAKVYHLEQEHSQQEKQMAAMKKENKHELELIISDIEQERQQLSMRISVLEAQLRDLTNEREFHLTELENSRSKAARLQEKIMEMQTQIDSSTEDLEQKLKFTQFRWTEAQEECEYLRVANQNLQNTIENLAEECSFVKKVNGDFRKEKLKEEENCSLMEARLRESDERFAKCSERVEHLEQSFTLMQEDIASKVKHLISDIDVLFDENRKQMEQGEVLLNQMQMEKMVETQNLELEVENLRLKLSAAYDEKEKIASNALLEVSTLRADKAKLESASEEAQSKVILAQNEVDALQSQYEQKLKDVTTQVAEYKIKVEMLTTEHEKLLKLVEDCKSRELKFKSTINALELKLTVTEYERQQIMDESGNLKVQLQQNHQLENENIALKNELNDFKSEKERLEETRFVQDTELSHSRRINRQHQQTIQLLEQEKAEFQTKAQALEEELKLIKEQKRNQVSKLNRKSSPVHDDLKSSKNLGMKNNNQHRINRKKSLKNDSIKHNNEVETELGLLDENVNAVEVDPLSKTQLCDNELAKANEANNNYEAQCHRSPSRGQKIQANGPLKSIGEEVVTKEKFERIKSMLEADLRDIQERYFHMSLKYAEVEAEREELVMKLKATKNKKGWLS >Vigun07g063200.3.v1.2 pep primary_assembly:ASM411807v1:7:7297408:7308191:-1 gene:Vigun07g063200.v1.2 transcript:Vigun07g063200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKHRAAKSGDKIEFRISHLKALQVPKGWDKLFVSVVSVENGKTIAKSSKVPVRNGGCQWSDNFSESIWVSRDNSSKEIDDSVLKLIVAMGSSRSGILGEATVSLTSYMSSDAAIPLSIPLNKCNHGTTLQWLWILQVTVQCLTPRTKHRDQETNFHLKAINENNYDLPIKSNESDCSNIQSVESSSVEDFDSTLSPGEIETRATSFSGSVSNCSHYSTEGSTGRENISSSISDGQSPTGIQGSASSQKSVSHHDYPVNSSQSNDSSFVSQNIQEIGSLYSKSTNASNNRLEPAEDTTEELRAEAKMWEMNARKLMGDLDVLRKEFSDQYENLAGIKTELSAAHVERDSLKKEVDQLKLSFEDPRARQRASEDSLSEGECFSEIENALKEELKFEKESNANLSLQLKRSQEANLELVSVLQELEETIEQQKIEIETLSLLPSKFSDLDKSFQISTEENKRLMQQLEQLEESKKNLLVKVQELEGALGDTIRDTEHSKIQYNKTLPDIEMEYEGKLAAKDKEILSSKAKLSESVPESCNVETGSKNLGDADLLREIEFLKEKVQELEVDCNELTEENLDLLFKLKEANKSLKDGGASQDLLSNKVKDQCSTCFGSEVSNNLFRIFHSGDMVQGENNIKISDNDHISIQELETSKLALEVRITDLNKELTNKSSEIGNLVANLSYKEKEIGVLQKLLNEIEAKVYHLEQEHSQQEKQMAAMKKENKHELELIISDIEQERQQLSMRISVLEAQLRDLTNEREFHLTELENSRSKAARLQEKIMEMQTQIDSSTEDLEQKLKFTQFRWTEAQEECEYLRVANQNLQNTIENLAEECSFVKKVNGDFRKEKLKEEENCSLMEARLRESDERFAKCSERVEHLEQSFTLMQEDIASKVKHLISDIDVLFDENRKQMEQGEVLLNQMQMEKMVETQNLELEVENLRLKLSAAYDEKEKIASNALLEVSTLRADKAKLESASEEAQSKVILAQNEVDALQSQYEQKLKDVTTQVAEYKIKVEMLTTEHEKLLKLVEDCKSRELKFKSTINALELKLTVTEYERQQIMDESGNLKVQLQQNHQLENENIALKNELNDFKSEKERLEETRFVQDTELSHSRRINRQHQQTIQLLEQEKAEFQTKAQALEEELKLIKEQKRNQVSKLNRKSSPVHDDLKSSKNLGMKNNNQHRINRKKSLKNDSIKHNNEVETELGLLDENVNAVEVDPLSKTQLCDNELAKANEANNNYEAQCHRSPSRGQKIQANGPLKSIGEEVVTKEKFERIKSMLEADLRDIQERYFHMSLKYAEVEAEREELVMKLKATKNKKGWLS >Vigun02g060300.3.v1.2 pep primary_assembly:ASM411807v1:2:20576446:20581475:1 gene:Vigun02g060300.v1.2 transcript:Vigun02g060300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMSQERVSKINVDATRTRLTQIRKQARCNYLLLDEKRGGDSCCKHSQGKIIRLNQIKHQARCKSENDLSQKRTISEPENEQPKGRILRLSQIKRRARSKDNATVINGTEKRMDQSLPCNSFKDKENVVAGQAVKNNICQGDFNPSVQGVPAHNLYRGASPLSDVWWDVENLKELQRTARRLRIFKTKKLRQQLRTECKRNPSNASLHALTAENSEAPKEFIPMKRLRLTELRREARLGNHFPAMQGLPGN >Vigun02g060300.4.v1.2 pep primary_assembly:ASM411807v1:2:20576230:20581475:1 gene:Vigun02g060300.v1.2 transcript:Vigun02g060300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMSQERVSKINVDATRTRLTQIRKQARCNYLLLDEKRGGDSCCKHSQGKIIRLNQIKHQARCKSENDLSQKRTISEPENEQPKGRILRLSQIKRRARSKDNATVINGTEKRMDQSLPCNSFKDFNPSVQGVPAHNLYRGASPLSDVWWDVENLKELQRTARRLRIFKTKKLRQQLRTECKRNPSNASLHALTAENSEAPKEFIPMKRLRLTELRREARLGNHFPAMQGLPGN >Vigun02g060300.1.v1.2 pep primary_assembly:ASM411807v1:2:20576209:20581506:1 gene:Vigun02g060300.v1.2 transcript:Vigun02g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMSQERVSKINVDATRTRLTQIRKQARCNYLLLDEKRGGDSCCKHSQGKIIRLNQIKHQARCKSENDLSQKRTISEPENEQPKGRILRLSQIKRRARSKDNATVINGTEKRMDQSLPCNSFKDKENVVAGQAVKNNICQGDFNPSVQGVPAHNLYRGASPLSDVWWDVENLKELQRTARRLRIFKTKKLRQQLRTECKRNPSNASLHALTAENSEAPKEFIPMKRLRLTELRREARLGNHFPAMQGLPGN >Vigun02g060300.5.v1.2 pep primary_assembly:ASM411807v1:2:20576259:20581475:1 gene:Vigun02g060300.v1.2 transcript:Vigun02g060300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMSQERVSKINVDATRTRLTQIRKQARCNYLLLDEKRGGDSCCKHSQGKIIRLNQIKHQARCKSENDLSQKRTISEPENEQPKGRILRLSQIKRRARSKDNATVINGTEKRMDQSLPCNSFKDKENVVAGQAVKNNICQGDFNPSVQGVPAHNLYRGASPLSDVWWDVENLKELQRTARRLRIFKTKKLRQQLRTECKRNPSNASLHALTVSSVLVSVHAT >Vigun02g060300.2.v1.2 pep primary_assembly:ASM411807v1:2:20576438:20581475:1 gene:Vigun02g060300.v1.2 transcript:Vigun02g060300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKMSQERVSKINVDATRTRLTQIRKQARCNYLLLDEKRGGDSCCKHSQGKIIRLNQIKHQARCKSENDLSQKRTISEPENEQPKGRILRLSQIKRRARSKDNATVINGTEKRMDQSLPCNSFKDKENVVAGQAVKNNICQGDFNPSVQGVPAHNLYRGASPLSDVWWDVENLKELQRTARRLRIFKTKKLRQQLRTECKRNPSNASLHALTAENSEAPKEFIPMKRLRLTELRREARLGNHFPAMQGLPGN >Vigun07g067700.1.v1.2 pep primary_assembly:ASM411807v1:7:8032807:8036618:-1 gene:Vigun07g067700.v1.2 transcript:Vigun07g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDHHHHHRHHHHHHHHHQQQQNHHHQQHQHQHHFAVNDLRQVVNAPRTSHNTFPSMPPHPTAELFPGHRNLAPLPTPQHHQQHYEVMMFGRDIMPPSLHDFTSTPHDPAAAAAAAAPTMALPTPPAFDAEGAACIAGDPSTGRWPRQETLTLLEIRSRLDPKFKEANHKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKDGKAGRHDGKHYRFFRQLEALYGENSSTVSVPETNVVGSIHFQASSHDPSQTNQDKFQSHNGKHCDSLSLTNSTNFDTTSSDDDNDHHSMENESIEKRRKRNSGRSWKVKIKDFIDSQMKKLVEKQKEWLDKLVKTLEEKEKERVLREEEWRKQEANRLEREQKFWAKERAWIEARDAALMEALQKLTGREIIKAETPNEGINVTPAEVQNHSENQNNEDESEILNRWPESEITRLQQLRAEIETRFPCSEISEDVSWEVVATKMACFGYERSALMCKEKWESMSNYPREGGEKEGSKKCKENSRNCFFFKNNDDHRQSSLYDQGSGYCDDMSDQGKEIERLQTNNSSSPSKSNAGNVDPSDSCFPFLMSTEGGNLWENYGLKLNKENQNH >Vigun09g084100.1.v1.2 pep primary_assembly:ASM411807v1:9:10483157:10488085:-1 gene:Vigun09g084100.v1.2 transcript:Vigun09g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPVTLPLKLYKYLGFLSQTYLLISILSSSAMEFHFLPLLFSLNVILMTAQAALPPELYWERMLPNTPIPNAIRDIPKLGTKDSLHGVEKIHSEDNIPPLSFYKGKKINSEDEIPPLSFYKGNTIHSEEEIPPLSFYKVKKIQSKDEIPPLSFRYVEKTQAEGEKRRLSQEGQMIPVANHQHYNPKTNPAFFEEQLKPDTELDIIFKKRKSESLLLPRQIAERIPFASEKIKEIFEMLYMKPEVENVKIIRETISLCEAPSITGVEKNCATSLESMVDFITSKLGKNAQVISTEAEKESKSEKFIVKDGVKMLAEENIIVCHPMNYPYVVFYCHKISNTTARFMPLEGEDGTRIKAVAVCHKDTSEWDPNHGFLQALQVKPGTVPVCHILPERDLLWFAK >Vigun05g074400.1.v1.2 pep primary_assembly:ASM411807v1:5:6593816:6598761:-1 gene:Vigun05g074400.v1.2 transcript:Vigun05g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTEEAVCLISNVTHSLMENDVENKLNVHSEQQQHQQDEIEDEVEDEEVSSSLDSEIGDALDLLDSKDEDVGYSFFLNSRRPNSHGGHHHYFSTLQPRTNRNQRFSNRIRASPLEEWEGRMNVGMSNSVITAIRGSVRESAIGKTKTTDKADRATVEQAIDQRTRMVLYKMMNRGVFDDINGCISTGKEANVYHATKSGDQELAIKVYKTSVLEFKDRARFVQGDFRFRNGYCKHNKTMVEIWAKKERGNLMRLKAAGIRCPTPYLLRQNVLVMEFIGKSDWAAPRLKDAALSLDKLREGYVEIIIAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVEHEHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDATIADDALDGYLEEMQRKILARGDVSVEDEIADSVFVQSFIPKTLDDVENAEEDVQRIRSWKDTKDLYYLTITGLKHALSLTRSSQQKSSATKDSSIISDDKSENLEDDAEVESDDDEEEDGESEALDHADKKAARKEGRKDNKKKVKEEKREARKTKVRKAVKKRKKKLGKARKTR >Vigun10g024300.2.v1.2 pep primary_assembly:ASM411807v1:10:2893969:2896241:1 gene:Vigun10g024300.v1.2 transcript:Vigun10g024300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGKIAVKTLFDIDFPKGRIGIKSFDVEVVDEDGNSVPLYEAYLHHWFAVKYIENITMSEYIKQSHNIRNGIEYERNDGESRGTSSNLPGPFAVEVGNPTKIKHGFTEKWLFSIMLIDTRGTHDRKGCTECRCKLMNLPKDFYNVTTNINDNLQCKLRNGFRGPTRKLSLKYKIRWVDWDEHQVPLKFYILDLADRVILNGSTPIHDCQVEYKILRNHDNDFPHVKKANIPITKGGYLIHGTTHMHTGVVNITLYGQDGRVLCTSNPKYGIGKEAGNENGYLVGMLVCNPKPGSIKIKDGEILTVESIYENKFRTGAMGHFYIYLAEHILKEDLEI >Vigun03g236700.1.v1.2 pep primary_assembly:ASM411807v1:3:39362967:39364875:-1 gene:Vigun03g236700.v1.2 transcript:Vigun03g236700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCASLSTTTSRLVSLPSSLPSSSPLSLRFSFTFRGNRIPVKSQKEPFSARYARVLVKAQATAPASSEAVKLTPVPSEMKAWVYGEYGGVEVLNLDSNVAVPDVKEDQVLVKVAAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSEVKDFKVGDEVYGNVSEKALDGPKQFGSLAEYTAVEEKLLAAKPKNLDFSQAASLPLAIETAYEGLERTGFSPGKSILVLNGSGGVGSLAIQLAKQVFGASRVAATSSTRNLELLKSLGADLAIDYTKENFEDLSEKFDVVFDAIGQCERAVKAVKEDGGVVALTGAVTPPGFRFVVTSNGSVLRKLNPYLESGKVKAIVDPKGPFSFAQLAEAFSYLETNRATGKVVIHPIP >Vigun03g114800.2.v1.2 pep primary_assembly:ASM411807v1:3:10490141:10497431:1 gene:Vigun03g114800.v1.2 transcript:Vigun03g114800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYGERSSGAGREKRGLDSASAEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLCPSKIAGRSSPKRIEGPDGTNLQLQFKTRLSLPLFTGGKVEGEQGSTIHIVLIDANTGNIVTSGPESCVRLDVIVLEGDFNNEDDDNWTEEEFDSHIVKEREGKRPLLTGDLQITLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVALGCCEGMRIREAKSEPFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGVYTVEDFLRLVVRDPQRLRNILGSGMSNKMWDILVEHAKTCVLSGKHYVYYPEDARNVGVVFNNIYELSGLIANDQFYSAESLSENQKVYVDTLVKKAYDNWMHVIEYDGKSLVNDIEDKTLDTAHPQAPMISHEYSNSLQQIPIPALPLPGHAGQPSMDSGVTVGGYHDGTTSRFSLQPQNPSLNSSIQFDDNAFSLQNQLMSVPHHAQLPRNENGLTVGPAQSSTHGFEPVSISNPTYRGVEEYFPEEEIRIRSHEMLENEDMQHLLRMFNMGGQPNPAFNGHEDGYHPGSSTYISANPMGYNFDDEPNRSSGKAVVGWLKLKAALRWGIFIRKQAAERRAQLVELDDP >Vigun03g114800.1.v1.2 pep primary_assembly:ASM411807v1:3:10490141:10497075:1 gene:Vigun03g114800.v1.2 transcript:Vigun03g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYGERSSGAGREKRGLDSASAEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLCPSKIAGRSSPKRIEGPDGTNLQLQFKTRLSLPLFTGGKVEGEQGSTIHIVLIDANTGNIVTSGPESCVRLDVIVLEGDFNNEDDDNWTEEEFDSHIVKEREGKRPLLTGDLQITLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVALGCCEGMRIREAKSEPFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGVYTVEDFLRLVVRDPQRLRNILGSGMSNKMWDILVEHAKTCVLSGKHYVYYPEDARNVGVVFNNIYELSGLIANDQFYSAESLSENQKVYVDTLVKKAYDNWMHVIEYDGKSLVNDIEDKTLDTAHPQAPMISHEYSNSLQQIPIPALPLPGHAGQPSMDSGVTVGGYHDGTTSRFSLQPQNPSLNSSIQFDDNAFSLQNQLMSVPHHAQLPRNENGLTVGPAQSSTHGFEPVSISNPTYRGVEEYFPEEEIRIRSHEMLENEDMQHLLRMFNMGGQPNPAFNGHEDGYHPGSSTYISANPMGYNFDDEPNRSSGKAVVGWLKLKAALRWGIFIRKQAAERRAQLVELDDP >Vigun04g117400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29808576:29814191:-1 gene:Vigun04g117400.v1.2 transcript:Vigun04g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRVSMMKVKIFMVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDHHGMLSSWTTPDCCQWKGIRCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSDNSFSHIPEFLGSLRNLRYLDLSWCGFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLADNSLVGNIPSQLGNLSKLEHLDLTRNFFEGNIPSEFGNLSNLQQLYLGDYYNGVLQINDGGKFLSNLISLTHLYLWSVNGLNTSHTFLQNIVMLPKLRELGLIDCSLSDHFILSLRPFEFNFSTSLLAFHLSDNTFTSPVIFQWVANITCNLVELDLSYNNLEGSVSNHFGLAMNSLERLDLSFNNFKGEVLKSFVNICTLHSLYMRGNNLTEDLPSILHNMSSGCIKYSLQDLDLGDNYITGSLSNFSTFSTSKSLHLSNNRLVGMLKEGNKLPFRLEFLSLSSNLLEGGIPKSFGSACALRSLDITDNNLSDELSTIIHHLSGCAKYTLEHLSLQGNQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPRSFGNACALHSLRMEDNRLSVEFSIIIHHLSGCARYSLKELYLHMNEINGTLIDLSIFTSLRVLSIGENKLSGKILRNIQFPPQVEELDIQSNSLNGVFTDCHFVNVTKLSYLDLSNNPLTLTFTQNWVPPFQLSSIRLKSCLLGTFPKWLRKQNKYDELDISNSKILDVVPRWFWAKLASGNVGSIDISNNSLHGIIPNIVGKNIVDFLILSSNQFEGPIPLFLRGSIFLDLSNNNLSDSHSFLCASGPEKILYQLDLSHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLGLQALLLRNNNLTHEIPFSLRRCTKLVMLDMSNNNLSRQIPAWIGSEMQELQILSLGSNNFDGILPLQICYLKSIQIFDLSLNNLSGKIPACINNFTLMVNKTFSSYYGRHGYFINISDFETYRSYNLNAFLMWKGSEKIFKTTELLLLKGIDLSSNNFSAEIPVEIENLVELISLNLSRNNLIGKIPSNIGNLKSLKFLDLSRNQFIGSIPLSLAQIDRLAMLDLSHNHLSGVIPTSTQLQSFNASSYEDNLNLCGPPLKKLCIDDGLSQDPIFEIDEYSLFGHEFYISMTLGFTITFWITFGSILFFLKT >Vigun03g052400.1.v1.2 pep primary_assembly:ASM411807v1:3:4289213:4292815:1 gene:Vigun03g052400.v1.2 transcript:Vigun03g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSLWSSLIVPNPNRTTISPRFSHKPHKLPLSPAFAIPPLSTATAADVAGAIDGTTVAVVSGGFVAALAAVLSLTDPERRRQMQAEEVGGGDKEVVREYFNNSGFQRWKKIYGDTDEVNRVQRDIRLGHSKTVESTLNMLKDEGSLQGVTVCDAGCGTGSLSIPLAKEGAVVYASDISAAMVAEAEQQAKEQLVASENGSAPVLPKFVVKDLESLDGKYDTVVCLDVLIHYPQSKADGMIGHLASLANNRLILSFAPKTFYYDILKRIGELFPGPSKATRAYLHSEADVERALKKVGWTIRKKGLITTQFYFARLIEAVPM >Vigun03g016900.1.v1.2 pep primary_assembly:ASM411807v1:3:1184466:1186234:1 gene:Vigun03g016900.v1.2 transcript:Vigun03g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQSFRPSFPFLDIDNMEIHNLVPFDSLLGSTEPEFPGNLEENFSGLFDHNAVPALNEVHEGKKREATDMCDPSSGNSTPDISESGSKTKNSCGRVKRVKRNAVEDKKPNQVVHVRAKRGQATDSHSLAERVRRGKINEKLRCLQNIVPGCYKTMGMAIMLDEIINYVQSLQHQVEFLSMKLSAASGYYDFNSESDTLETMQRAKVSEAKEISEGVSCFEATWPWSL >VigunL038900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:329164:336038:1 gene:VigunL038900.v1.2 transcript:VigunL038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun10g138200.1.v1.2 pep primary_assembly:ASM411807v1:10:35476233:35478913:1 gene:Vigun10g138200.v1.2 transcript:Vigun10g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNPPLENSSPFVFTPTSMMQNPLEPQGLHDDIDWVNLFSGQNSFLGDAKATMEYASSSSSSSSSSSSLSCALMAENGANMDDKNDKEKRKGGRVKKTTRPRFAFQTRSADDILDDGYRWRKYGQKAVKNNMHPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQMQFLSRLGSNNNASPASLL >Vigun08g168100.1.v1.2 pep primary_assembly:ASM411807v1:8:33928976:33931111:-1 gene:Vigun08g168100.v1.2 transcript:Vigun08g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSLFFFCFLCALLLISAMATEPSKHVTEAEESETRAGLDDWGRDGGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPGGGPRGGPGGGPGGGPGGRPGRGPGGPGGRPGGD >Vigun08g168100.2.v1.2 pep primary_assembly:ASM411807v1:8:33928976:33930958:-1 gene:Vigun08g168100.v1.2 transcript:Vigun08g168100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSLFFFCFLCALLLISAMATEPSKHVTEEESETRAGLDDWGRDGGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPGGGPRGGPGGGPGGGPRGGPGGGPGGGPGGGPRGGPGGGPGGGPGGRPGRGPGGPGGRPGGD >Vigun05g142400.1.v1.2 pep primary_assembly:ASM411807v1:5:18416713:18418086:-1 gene:Vigun05g142400.v1.2 transcript:Vigun05g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYCCKAKCIGALNEKLTVAQKGYIASTLFLWFPMLKQSLKISRNVLSQLCIKWVERRGGFDVDGEVVDFSLLDVCLGLGLRVVGERIDLNEEVVESETWNTFGRQRVDLYVVLGISEFLLASKKGCVFLVIFKVVDDMENIGKYNWGTLVYEYLCKMNLFPRLLYWMNVSVGDKVMKTAFDYDMVWTCLKSAIVDVAVSKEELDHAIVREAFEHFGTEYKTQDLKDKEEVERLLEDHEAQIVDLEQSMSALDELVANWKGQQPKDEVRDEVGDDVFIDPHAHVMSDEKDDGAQQSNMYDRMKARPRMWFKSVATKTPYSVCGKKKLKSLQIG >Vigun06g104800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23419173:23419682:1 gene:Vigun06g104800.v1.2 transcript:Vigun06g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGELHSSIFYKLYLFVVKTLASQAMKTVTLGSPSHHGSREKRSNPSQKSESLPSILKLGSNLNHTPNSIC >Vigun06g023100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10674123:10678880:1 gene:Vigun06g023100.v1.2 transcript:Vigun06g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALAMVGEALISACVEALIKRIASRQFRDFFSSRKLNISLLDELKTTLLALSAVLNDAEEKQITEPAVKEWLDELRDAVLDAEDLLDEINTHALRCKGVGESTKFVTKVRSLLSSRFKNLYMGMNSKFEAISRRLEQFVRQKDILGLQSVSRRVSYRAVTDSLVESVVVARENDREKLLSMLVCDDGDGMCNDVEVITVLGMGGLGKTTLVQCLYNDSEVQKHFDLTAWACVSDDFDILNVTKKIVESLTSKDCHITNLDVLRVELKNNLRDKKFLLVLDDLWNEKYNDWHNLIAPFRSGRKGSKIIVTTRQQRVAQVTHTFPTYELKPLSDENCWRILARHAFGSENYHNYPILEEVGKKIARKCNGLPLAAKTLGGLLRSNVDVVEWNRILNSNLWAHDDVLPALRISYFHLPAHLKRCFAYCSIFPKQHLLNRKELILLWMAEGFLQHIHEDKEMESVGNDCFNELLSRSLIQKDNAVAEENFRMHDLIYDLARLVSGRSSYHFDGSEIPRTVRHLSFLREMFDISEKFEGLYELKCLRTFLPRLSYPFVQCYLTKMVSHGWLPKLRCLRILSLSKYTNITELPNSIGNLLHLRYLDLSYTSIESLPDETFMLYNLQTLILSNCESLIQLPQKIGNLTNLRHLDISDTNLTEMPTQICKLQELRTLTVFIVGRQGGLSIRDLSKLPYLQGKLSIMNLQNVVNLVDVFGANLKKKEQIEELILGWGSDPHEPQFEKDVLDNLQPSINLKKLSIKCYGGTSFPNWIGHFSFSKITVLTISDCNNCLSLPPFGQLPSLKELVIKRMKMVKKVGDEFYGSNVGSQLFQPFQSLENLEFEDMSEWQEWLPFESENRNFPFPCLKTLYLYKCPKLRGTLPTHLPSLTNVIFSECNQLVTKLSDVHWNTSIEAIHIREGQEALLSMLDNFSYCELLIEKCDSLRCLPRMPLAANCLQKLTLTNIPSLIYFPADCLLTSLRSLDIWHCRNLEFLSHNTCPKFKSLETLRIWNSCSSLTCFSLAFLPVLQELHIRFIPNLEAITTHGGEAAPKLVDFIVTDCEKLRSLPNQIDLPSLEHLDLSGLPMLESLSPRCFPSSLKSLHVDIGILSSVSKKELGVLFQRLSSLSHLLVKGLGDEDLVNTLLKQQSLPTSLEYLFLNNFSGLKLLEGKGLKNLTSLQMLQMYNCPSIESLPEGQLPHSLQVLSLRECPLLEARYQNHKYWSKIAHIPAIKINEKVII >Vigun03g397300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60376879:60377856:1 gene:Vigun03g397300.v1.2 transcript:Vigun03g397300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIISFVLSLFSFSHASVLDFCVGDLAGPIGPAGYSCKKPSKVTADDFAYSGLGIAGNTSNIIKAAVTPAFDAQFPGLNGLGISAARLDLAGGGVIPLHTHPGASELLVVIQGSILAGFISSSNTVYIKKLNKGDVMVFPQGLLHFQINAGGSSALAIVTFSSSNPGLQILDFALFKSNFPTPLIVETTFLDAALVKKLKAVLGGSG >Vigun03g268300.3.v1.2 pep primary_assembly:ASM411807v1:3:43939227:43949755:1 gene:Vigun03g268300.v1.2 transcript:Vigun03g268300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSNAVLLGDVYFDEVSAKPSGVRFRDRTRRAALRATVNLTRLQPLNSLLNFGCSTSDATWRSWNPSSLLVGTKRSSSPCCSADTTPHVQHLSSSTFSLDQTNFGPEKLKLFSGSCYLPHPDKEDYGGEDAHFICVDEQAIGVADGVGGWADVGVNAGLFAQELMSHSVRAVEEEPKGSVDPASVLEKAHSFTKAKGSSTACIIALTDTGLHAINLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESGNGCDLPSSGEVFKISVAPGDVVVAGTDGLFDNLYNDEVAEVVLNAVRAGLEPQVTAQKIAALARQRALDRNRQTPFATAAQEAGFHYYGGKLDDITVIVSYITGSTY >Vigun03g268300.1.v1.2 pep primary_assembly:ASM411807v1:3:43939229:43949013:1 gene:Vigun03g268300.v1.2 transcript:Vigun03g268300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSNAVLLGDVYFDEVSAKPSGVRFRDRTRRAALRATVNLTRLQPLNSLLNFGCSTSDATWRSWNPSSLLVGTKRSSSPCCSADTTPHVQHLSSSTFSLDQTNFGPEKLKLFSGSCYLPHPDKEDYGGEDAHFICVDEQAIGVADGVGGWADVGVNAGLFAQELMSHSVRAVEEEPKGSVDPASVLEKAHSFTKAKGSSTACIIALTDTGLHAINLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESGNGCDLPSSGEVFKISVAPGDVVVAGTDGLFDNLYNDEVAEVVLNAVRAGLEPQVTAQKIAALARQRALDRNRQTPFATAAQEAGFHYYGGKLDDITVIVSYITGSTYVSFSPS >Vigun03g268300.2.v1.2 pep primary_assembly:ASM411807v1:3:43939227:43949755:1 gene:Vigun03g268300.v1.2 transcript:Vigun03g268300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSNAVLLGDVYFDEVSAKPSGVRFRDRTRRAALRATVNLTRLQPLNSLLNFGCSTSDATWRSWNPSSLLVGTKRSSSPCCSADTTPHVQHLSSSTFSLDQTNFGPEKLKLFSGSCYLPHPDKEDYGGEDAHFICVDEQAIGVADGVGGWADVGVNAGLFAQELMSHSVRAVEEEPKGSVDPASVLEKAHSFTKAKGSSTACIIALTDTGLHAINLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESGNGCDLPSSGEVFKISVAPGDVVVAGTDGLFDNLYNDEVAEVVLNAVRAGLEPQVTAQKIAALARQRALDRNRQTPFATAAQEAGFHYYGGKLDDITVIVSYITGSTYLACDAV >Vigun03g268300.4.v1.2 pep primary_assembly:ASM411807v1:3:43939229:43950814:1 gene:Vigun03g268300.v1.2 transcript:Vigun03g268300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSNAVLLGDVYFDEVSAKPSGVRFRDRTRRAALRATVNLTRLQPLNSLLNFGCSTSDATWRSWNPSSLLVGTKRSSSPCCSADTTPHVQHLSSSTFSLDQTNFGPEKLKLFSGSCYLPHPDKEDYGGEDAHFICVDEQAIGVADGVGGWADVGVNAGLFAQELMSHSVRAVEEEPKGSVDPASVLEKAHSFTKAKGSSTACIIALTDTGLHAINLGDSGFIVIRDGCTIFRSPVQQHDFNFTYQLESGNGCDLPSSGEVFKISVAPGDVVVAGTDGLFDNLYNDEVAEVVLNAVRAGLEPQVTAQKIAALARQRALDRNRQTPFATAAQEAGFHYYGGKLDDITVIVSYITGSTYICRGSS >VigunL059449.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:33721:34080:1 gene:VigunL059449.v1.2 transcript:VigunL059449.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun10g071925.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:17835824:17836144:-1 gene:Vigun10g071925.v1.2 transcript:Vigun10g071925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTAATVDGASGARHRLDLTSSFLLQRARDGPDPGAPPSHTMPLQPRVRQLRPSRLRMPPRRHCRSQRDGPRCRRLPRSPAQCSNPPAASPPTIPTLLCFSVLV >Vigun11g224500.1.v1.2 pep primary_assembly:ASM411807v1:11:41514592:41516866:1 gene:Vigun11g224500.v1.2 transcript:Vigun11g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFFKKTEQNKSSVLSSPISYHLKPTKPFFSLSLTLSQMAENDSNPPPPAASPPQEPPVPPSLSSEKDAKVDDVVEEKVKAEEVLVGEEKDKEKEEVKGGEEAVVVEEEEKEKEKEKEEEKEKEKEEEVTVGETESESLKEESNRVSDSERKGIEELKKVLKEELEKKEEGEVSIWGVPLFKDQRTDVILLKFLRARDLRVKDALLMIHNTLRWRKEFGIDALLEEDLGEELEKVVFMHGHGREGHPVCYNVYGEFQNKELYHKAFSTEENRTKFLRWRIQLLERSIRNLDFSPGGINTIFQVNDLKNSPGPAKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMINPFLTQRTKSKFIFAGPSKSPDTLFKYISPEQVPVQYGGLSVDFCDCNPDFTMSDPVTEIPIKPTTKQTVEIAIYEKCIIVWELRVVGWEVTYNAEFKPDAKDAYTVVIQKATKMSPTDEPVVSNSFKVGELGKLLLTIDNPTLKKKRLLYRFNIKPYCD >Vigun05g288500.1.v1.2 pep primary_assembly:ASM411807v1:5:47536303:47541514:1 gene:Vigun05g288500.v1.2 transcript:Vigun05g288500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLKLSRRALETEMPVMVQMQELIRGSKNAVSLAQGVVHWQPPEQALKKVKELVSDPIVSRYGVDEGIPELRAALIKKLRDENNLHKSSVMVTAGANQAFVNLVLTLCDPDDSVVMFAPYYFNAYMSFQMTGVTNILVGPGSPDSLHPDADWLEKILSETKPPPKLVTVVNPGNPTGTYIPEPLLKRISDLCKKAGSWLVVDNTYEYFMYDGLKHSCIEGNHIVNVFSFSKAYGMMGWRVGYIAYPSEVENFAEQLLKVQDNIPICASILSQYLALYSLELGPQWVVDRVKSLEKNREIVLEALSPLGEGSVKGGEGAIYLWAKLPHENAYDDFDVVRWLAHKHGVAVIPGKACGCPGNLRISFGGLTENDCRAAAERLKKGLEELVRDGLSQ >Vigun05g288500.2.v1.2 pep primary_assembly:ASM411807v1:5:47536459:47541514:1 gene:Vigun05g288500.v1.2 transcript:Vigun05g288500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLKLSRRALETEMPVMVQMQELIRGSKNAVSLAQGVVHWQPPEQALKKVKELVSDPIVSRYGVDEGIPELRAALIKKLRDENNLHKSSVMVTAGANQAFVNLVLTLCDPDDSVVMFAPYYFNAYMSFQMTGVTNILVGPGSPDSLHPDADWLEKILSETKPPPKLVTVVNPGNPTGTYIPEPLLKRISDLCKKAGSWLVVDNTYEYFMYDGLKHSCIEGNHIVNVFSFSKAYGMMGWRVGYIAYPSEVENFAEQLLKVQDNIPICASILSQYLALYSLELGPQWVVDRVKSLEKNREIVLEALSPLGEGSVKGGEGAIYLWAKLPHENAYDDFDVVRWLAHKHGVAVIPGKACGCPGNLRISFGGLTENDCRAAAERLKKGLEELVRDGLSQ >Vigun10g157400.1.v1.2 pep primary_assembly:ASM411807v1:10:37688947:37693224:-1 gene:Vigun10g157400.v1.2 transcript:Vigun10g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTHKFFQSPSALAVAVAPHGEALAESNVNMYGKSKDNPFADDFPDPLCKLNLKETSEFVKSLPVPSGRAESRGHSVSQQRRLLEAPSTPGRPVFSFSSGLPRKSFPSKWDDAEKWLMSTSCHDSPAHNNISNNNTLKVSVSDSSKVATRQQCEDVGFKQQMENFSEKSRVTEERVSKAVPNFHWSPSLDHQHNTLGAFHGVKDIVLKDKFTDSIEPILPNLRYLEPAKEGFLFRNQDGGGAMQDACTEVVQHRDIGTEMTPLGSSTTSRCHTPVKISSPPRHNTPASRSGPLALATTACTLDVIQLEECHFSKLQLGSQYDIVTSNWSSSEEEEKEISKSLRHNGSHKADSDCIAASWEEEEKTKCCLRYQREEAKIQAWVNLQSAKAEARSRKLEVKIQKMRSNLEEKLMKRMSVVHRKAEEWRAEARQQHLEQIQKATEQAQKMIHKHNSHFSKPSSCGCFPCNNNH >Vigun07g287600.1.v1.2 pep primary_assembly:ASM411807v1:7:40038941:40041053:1 gene:Vigun07g287600.v1.2 transcript:Vigun07g287600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRQKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALRDAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKETYFPMRKYAIKV >Vigun08g096050.1.v1.2 pep primary_assembly:ASM411807v1:8:23065047:23066130:-1 gene:Vigun08g096050.v1.2 transcript:Vigun08g096050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVMNLEFEEDLIRKGIFRIPPYFEEFYDLRDLHYVCTVFCGDRYFRLRIFDLQWTEIEYRGIADSYIAEEDLVWSRFLSSFRILLTPKESTISLDPYFHLFWEKKTVFDQEMEFVDPNSKTFKFKFHVTPNATTIFRGPIRKMFKYYNLKDEVYLHMSYVSLNVFLIKLFSVEGIEIAYTTNATSCSGTAKNLEDVPEDDSDNCLIKCLTTYDVGASSLYLNASFVANAFSTSKKECLLSNANGMYWQCSIRWAQRARTECYLGCGWRRFVTENKLCAGDRIKLEFRKNEDNLIVVQKM >Vigun02g054600.1.v1.2 pep primary_assembly:ASM411807v1:2:19746630:19747892:1 gene:Vigun02g054600.v1.2 transcript:Vigun02g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRRSMSTVAKAVAEITGTGNTKIRKSSSELCTFLGIPRHSRSEIALILSKFIKLYNFRSPGIKKDKMWEQNLQTLLRGRNTVGFPEIAKILSPEFSQGAINVKDNNMDSSMDSTKGKGSQKKGKPSKK >Vigun02g054600.2.v1.2 pep primary_assembly:ASM411807v1:2:19746511:19747892:1 gene:Vigun02g054600.v1.2 transcript:Vigun02g054600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRRSMSTVAKAVAEITGTGNTKIRKSSSELCTFLGIPRHSRSEIALILSKFIKLYNFRSPGIKKDKMWEQNLQTLLRGRNTVGFPEIAKILSPEFSQGAINVKDNNMDSSMDSTKGKGSQKKGKPSKK >Vigun10g062800.1.v1.2 pep primary_assembly:ASM411807v1:10:13370093:13371835:1 gene:Vigun10g062800.v1.2 transcript:Vigun10g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDHVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun04g178200.1.v1.2 pep primary_assembly:ASM411807v1:4:40174464:40184433:1 gene:Vigun04g178200.v1.2 transcript:Vigun04g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDMYSASASQFKRPPFTTSSRADSYGQNQVPGSGGGGGGGGGGSNGGGVGGGATTSQKLTTNDALSYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPKKTVEFEEAISFVNKIKKRFQSDEHVYKSFLDILNMYRKEHKDIGEVYSEVATLFKDHRDLLEEFTRFLPDTSAAPSTQHAPYSRNSLQRFNERSSTAPMMRQMPPDKAQRYRRDRLPSHDHDMSAERPEMDDDKTMLNIHKERKRDSRDRRMRDQDEREQDLDNSRDLNLQRFPDKKKSVKKAEGFGMAADFPSYEDKDTLKSMYSQAFSFCEKVKEKLSSSDDYQTFLKCLHIFSNGIIKRNDLQNLVTDLLGKHSDLMDEFNDFLERCENIDGFLAGVMSKKSLSTDAHLARPSKLEDKDREHKRDMDGAKEKERYKEKYMGKSIQELDLSDCKRCTPSYRLLPADYPIPTASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRYELDMLLESVSSAAKRAEELYNNINENKISVETLNRIEEHFTVLNLRCIERLYGDHGLDVIDILRKNPTHALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIIQSIAAGNKQPLIPHLEFEYPDAAGIHEDLYKLVRYSCDELFSSKELLNKIMRLWSTFLEPMLGVPTQSHATERAEDRKTGHNVRNFGVPSIGGDRSPHADSLSMNSRLPKSDKNEADGRITEAKNVHRASIATNDKENGSVGGEHGCRDDPLMDKGLKNVECNDKASGFSKPFTSDDQGAKNSVSIAIRGENSLNRTNLDASPARALTPSRPTDVDDSVAKSQVANVPLVEGCDMATPVPVANGVLSESSKVKNHDESAGPCKIEKEEGELSPNGDSEEDNFVAYGDSNVQSMAKAKHNTERRKYQSRNGEDECCPEAGGDNDADADDEDSENVSEAGEDVSGSESAGDECFREDHEEEEDIEQDDVDGKAESEGEAEGICDAQAGGDGTSLPLLERFLSSVKPLTKHVSAVSFVEEMKDSRVFYGNDDFYVLFRLHQTLYERILSAKTHSMNAELKWKAKDASLPDPYSRFMNALYNLLDGSAENAKFEDECRAIIGNQSYVLFTLDKLIYKLVRQLQTVATDDVDSKLLQLYEYERSRKPGKLNDSVYHANAHVILHEDNIYRIQCSSSPSRLSIQFMDNMNEKPELFAVSIDPNFSFYLHNDFLSVFPGKKEPHGIILHRNKRKYGNLDELSAICSAMEGVKVINGLECKISCNSSKISYVLDTQDFFFRPRKKRRMPPAGTTTSQFRRDREERFRKLLACS >Vigun04g178200.2.v1.2 pep primary_assembly:ASM411807v1:4:40177050:40184433:1 gene:Vigun04g178200.v1.2 transcript:Vigun04g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQAFSFCEKVKEKLSSSDDYQTFLKCLHIFSNGIIKRNDLQNLVTDLLGKHSDLMDEFNDFLERCENIDGFLAGVMSKKSLSTDAHLARPSKLEDKDREHKRDMDGAKEKERYKEKYMGKSIQELDLSDCKRCTPSYRLLPADYPIPTASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRYELDMLLESVSSAAKRAEELYNNINENKISVETLNRIEEHFTVLNLRCIERLYGDHGLDVIDILRKNPTHALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIIQSIAAGNKQPLIPHLEFEYPDAAGIHEDLYKLVRYSCDELFSSKELLNKIMRLWSTFLEPMLGVPTQSHATERAEDRKTGHNVRNFGVPSIGGDRSPHADSLSMNSRLPKSDKNEADGRITEAKNVHRASIATNDKENGSVGGEHGCRDDPLMDKGLKNVECNDKASGFSKPFTSDDQGAKNSVSIAIRGENSLNRTNLDASPARALTPSRPTDVDDSVAKSQVANVPLVEGCDMATPVPVANGVLSESSKVKNHDESAGPCKIEKEEGELSPNGDSEEDNFVAYGDSNVQSMAKAKHNTERRKYQSRNGEDECCPEAGGDNDADADDEDSENVSEAGEDVSGSESAGDECFREDHEEEEDIEQDDVDGKAESEGEAEGICDAQAGGDGTSLPLLERFLSSVKPLTKHVSAVSFVEEMKDSRVFYGNDDFYVLFRLHQTLYERILSAKTHSMNAELKWKAKDASLPDPYSRFMNALYNLLDGSAENAKFEDECRAIIGNQSYVLFTLDKLIYKLVRQLQTVATDDVDSKLLQLYEYERSRKPGKLNDSVYHANAHVILHEDNIYRIQCSSSPSRLSIQFMDNMNEKPELFAVSIDPNFSFYLHNDFLSVFPGKKEPHGIILHRNKRKYGNLDELSAICSAMEGVKVINGLECKISCNSSKISYVLDTQDFFFRPRKKRRMPPAGTTTSQFRRDREERFRKLLACS >Vigun04g178200.3.v1.2 pep primary_assembly:ASM411807v1:4:40174464:40184433:1 gene:Vigun04g178200.v1.2 transcript:Vigun04g178200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDMYSASASQFKRPPFTTSSRADSYGQNQVPGSGGGGGGGGGGSNGGGVGGGATTSQKLTTNDALSYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPKKTVEFEEAISFVNKIKKRFQSDEHVYKSFLDILNMYRKEHKDIGEVYSEVATLFKDHRDLLEEFTRFLPDTSAAPSTQHAPYSRNSLQRFNERSSTAPMMRQMPPDKQRYRRDRLPSHDHDMSAERPEMDDDKTMLNIHKERKRDSRDRRMRDQDEREQDLDNSRDLNLQRFPDKKKSVKKAEGFGMAADFPSYEDKDTLKSMYSQAFSFCEKVKEKLSSSDDYQTFLKCLHIFSNGIIKRNDLQNLVTDLLGKHSDLMDEFNDFLERCENIDGFLAGVMSKKSLSTDAHLARPSKLEDKDREHKRDMDGAKEKERYKEKYMGKSIQELDLSDCKRCTPSYRLLPADYPIPTASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRYELDMLLESVSSAAKRAEELYNNINENKISVETLNRIEEHFTVLNLRCIERLYGDHGLDVIDILRKNPTHALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKEKQQKEDDIIQSIAAGNKQPLIPHLEFEYPDAAGIHEDLYKLVRYSCDELFSSKELLNKIMRLWSTFLEPMLGVPTQSHATERAEDRKTGHNVRNFGVPSIGGDRSPHADSLSMNSRLPKSDKNEADGRITEAKNVHRASIATNDKENGSVGGEHGCRDDPLMDKGLKNVECNDKASGFSKPFTSDDQGAKNSVSIAIRGENSLNRTNLDASPARALTPSRPTDVDDSVAKSQVANVPLVEGCDMATPVPVANGVLSESSKVKNHDESAGPCKIEKEEGELSPNGDSEEDNFVAYGDSNVQSMAKAKHNTERRKYQSRNGEDECCPEAGGDNDADADDEDSENVSEAGEDVSGSESAGDECFREDHEEEEDIEQDDVDGKAESEGEAEGICDAQAGGDGTSLPLLERFLSSVKPLTKHVSAVSFVEEMKDSRVFYGNDDFYVLFRLHQTLYERILSAKTHSMNAELKWKAKDASLPDPYSRFMNALYNLLDGSAENAKFEDECRAIIGNQSYVLFTLDKLIYKLVRQLQTVATDDVDSKLLQLYEYERSRKPGKLNDSVYHANAHVILHEDNIYRIQCSSSPSRLSIQFMDNMNEKPELFAVSIDPNFSFYLHNDFLSVFPGKKEPHGIILHRNKRKYGNLDELSAICSAMEGVKVINGLECKISCNSSKISYVLDTQDFFFRPRKKRRMPPAGTTTSQFRRDREERFRKLLACS >Vigun08g011900.1.v1.2 pep primary_assembly:ASM411807v1:8:1059401:1067354:1 gene:Vigun08g011900.v1.2 transcript:Vigun08g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAFLYGSLIHPPSPLIQQQRYHYLSPPIPIRPLCISPLTTAVVSTTSVLQRDSAVELASSSPSIDSNDAVSPQNETVELETERHTDETVPPPLSSEYKETRLRKKKEDDVVSDNRFKLRNGREVFEEKAYLVGVERKNVVQDFGIEESLSELAQLADTAGLLVVGSTYQKLASPNPRTYIGSGKVSEIKSAIHALGVETVIFDDELSAGQLRNLEKIFGGDVRVCDRTALILDIFNQRAATHEASLQVSLAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRNQIGILKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDKLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLMVHVVDISHPLAEQQINAVDKVLSELDVSSIPKLMVWNKVDKVSDPHKLRLDAEKRDDVVCISAISGDGLQEFCNAVQDRLKDSMVWVEALVPFENGDLLSTIHQVGMVEKIEYTEQGTYIKAHVPLRFARMLTPMRQLCVSRP >Vigun03g071400.1.v1.2 pep primary_assembly:ASM411807v1:3:5889131:5891276:-1 gene:Vigun03g071400.v1.2 transcript:Vigun03g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSHLRVANFIIGAYYIYRPMWGFIFIKAHSRNHYCHNLHYFKETFCLLLSLVAPLVQFKISQKAWMIWLPLLAVFLTYLWLWRRSKKNGKRLPPGPKGFPILGSLHMLGAHPYRDLHQLAQKYGPIMHLRLGFVPTIVVSSPKAAQLFLKTHDLIYAGRPPHEAAKYISWGQRNLAFAQYGSYWRNIRKMCTLELLSQAKINSFRPLREAELDLLVKHLREAAMDGAVVDLSARVAALSADTACRMILGKKYMDKELDEKGFKAVMQELMYLAATPNIGDYIPYIGKLDLQGLIKRMKAMRKIFDEFFDKIIDEHIESKKGEEEVKDFVDVMLGFLGTEQSEYCVERPNIKAILLDMLAGSIDTSATAIEWALSELIKNPRVMKKVQKELEIVVGMKRKVEESDIEKLEYLDMVIKESLRLHPVAPLLIPHESREDCMVGEFFIPKKSKVIVNAWAIMRDPSAWVDAEKFWPERFEERNIDMKGHEFELIPFGSGRRRCPGMQLGLTVVRQTVAQVVHCFDWKLPNNMLPSDLDMTEEFGLAMPRANHLLAIPTHRLHSHID >Vigun02g041100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16819371:16819691:-1 gene:Vigun02g041100.v1.2 transcript:Vigun02g041100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLKPKRRIFLRMSKEGEKRKHTANDAVSDSQVKKQARDVTDEEVEEFSAILRRMKTAVKYFRRGEASQRRWAESLEEQIVQEVKEKRGTGNLGLDLNETPTQE >Vigun02g042400.1.v1.2 pep primary_assembly:ASM411807v1:2:17247757:17249251:1 gene:Vigun02g042400.v1.2 transcript:Vigun02g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTTEEDQKLVNHIQKYGHASWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSKEEEQTILDLHAILGNKWSAIASHIPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHQPRTDDVLTGLSHLIALANLKQLLDNQHQPWEQNLARLQQEAIQLATLQSLQQHLLHPQFTEADLNSVNSMTNSTFMMNHDNDNVNVSNDTSAMSCNGIGRVQLANDSTPFSHMPELKTPPSSSVELSDKDMVQLHQGENYPNSPWLFSCSSTTPSSPSSHVVPPVTSEVSFTNVDDNCTVWPEILLEDPLFRMIS >Vigun11g166180.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37354089:37354241:-1 gene:Vigun11g166180.v1.2 transcript:Vigun11g166180.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFHMGQPKIYPFRTCTMY >Vigun10g090666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26138356:26138981:1 gene:Vigun10g090666.v1.2 transcript:Vigun10g090666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYNIISFFLLTKSVTFISGFIFCGFPDQTTSYQGTMHSTK >Vigun09g239100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40946069:40948024:-1 gene:Vigun09g239100.v1.2 transcript:Vigun09g239100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHHQPQKNTENDVQKKTEEGVPKAASPSSSPSHEFSFTISLHSSNATILDKSKTPPSLAVDLSPADDIFFHGHLLPLHLLSHFSSSPRFSTNSVDSFTLPIRDFLEDEKRASCNTSTRSNITIDSITSSNNKENHNNRVTKEETKSKPGFSLFGSSKGRKGCPVRDKEDKENSKQKKKLGYDVMHALKKYLRMVQPLVLFRGKREKVQFHGQAYSHSGNLIRKTKPELRGRRGEFSAPASMRTSPSNSGLLLATANLPPSNDSTMEELQAAIQAAIAHCKNSIAKEEKLNC >VigunL003033.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000164.1:4506:4968:1 gene:VigunL003033.v1.2 transcript:VigunL003033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun03g122800.3.v1.2 pep primary_assembly:ASM411807v1:3:11522038:11525550:-1 gene:Vigun03g122800.v1.2 transcript:Vigun03g122800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWFGNGGRRSFLFSVTGLGGRGSRSLRSDAALEAIAKASEDRVPNIVLYNYPSFSGAFSALFAHLFHTRHNLPFLVLPFSAVPPLAFRVEDLCTNGLETCYLLDFIPPKEFLFDLSRKSKCKIIGFDHRKSVFRHIPPANFFPENIMINVNLEKSSSRAVYEYFAGKHLDVNIPDGSKNDQAPCLVDSKDKGRVELILNYIEDGDLRRWSLPDIRMFNIGLSEWRSRFSCISNPYMYKQLLELSAEDLIAKGYSYRSARQNAASKLLEKVFRVRLGRGFYGECLGVRADENSNLSDEIGKLLSVKSAASGLR >Vigun03g122800.1.v1.2 pep primary_assembly:ASM411807v1:3:11522038:11525550:-1 gene:Vigun03g122800.v1.2 transcript:Vigun03g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWFGNGGRRSFLFSVTGLGGRGSRSLRSDAALEAIAKASEDRVPNIVLYNYPSFSGAFSALFAHLFHTRHNLPFLVLPFSAVPPLAFRVEDLCTNGLETCYLLDFIPPKEFLFDLSRKSKCKIIGFDHRKSVFRHIPPANFFPENIMINVNLEKSSSRAVYEYFAGKHLDVNIPDGSKNDQAPCLVDSKDKGRVELILNYIEDGDLRRWSLPDIRMFNIGLSEWRSRFSCISNPYMYKQLLELSAEDLIAKGYSYRSARQNAASKLLEKVFRVRLGRGFYGECLGVRADENSNLSDEIGKLLSVKSAASGLRPIGAVIFMQRNNLKMCLRSTDTATDTSEVAKAYGGGGSPSSSSFMIRMDEYNQWITGNSS >Vigun02g057466.1.v1.2 pep primary_assembly:ASM411807v1:2:20200291:20201512:1 gene:Vigun02g057466.v1.2 transcript:Vigun02g057466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASAIKCDIEKFDGRINFGLWQTQAKDVLIQYGLHKVLKGRTSNMKEEEWEELDLRVASAIQTIGVKVEEEDISLRLIWSLPSSYELIKPVLMVHENSLDDYSEWKFLGWFDSKWKFLDDLIPSGNSWDGLMPSENSSNGLVLSGNSWDGLILSRNSWDSWIPSGNSWDGLIPSENSLDSLIPSENSWNDLISSDTPYDGV >Vigun02g066500.2.v1.2 pep primary_assembly:ASM411807v1:2:21641525:21643411:-1 gene:Vigun02g066500.v1.2 transcript:Vigun02g066500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDYYKILKVKRDATDEEVKRAYRSLAMKWHPDKNLEDPLRKEESEAKFKQVAEAYDVLSDPKKRQIYDLYGHYPLNSQRFTKEQGYRIMKEAGVVESTLACTLMELYKGCKKKLKVSRTVPDEFGVPKCVEEILKIDIKPGWKKGTKITFPGKGNQEPGGAATDLIFVLDERPHDIFKRDGNDLVVIQKILLVEALIGKTINLTTLDGRDLTIQVTDIVRPGFELVVPNEGMPISKEPGKKGNLRIKFDVMFPSRLATQQKSDLRRILLGDVDH >Vigun02g066500.1.v1.2 pep primary_assembly:ASM411807v1:2:21641386:21643411:-1 gene:Vigun02g066500.v1.2 transcript:Vigun02g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDYYKILKVKRDATDEEVKRAYRSLAMKWHPDKNLEDPLRKEESEAKFKQVAEAYDVLSDPKKRQIYDLYGHYPLNSQRFTKEQGYRIMKEAGVVESTLACTLMELYKGCKKKLKVSRTVPDEFGVPKCVEEILKIDIKPGWKKGTKITFPGKGNQEPGGAATDLIFVLDERPHDIFKRDGNDLVVIQKILLVEALIGKTINLTTLDGRDLTIQVTDIVRPGFELVVPNEGMPISKEPGKKGNLRIKFDVMFPSRLATQQKSDLRRILLGDVDH >Vigun03g335501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53360054:53361994:1 gene:Vigun03g335501.v1.2 transcript:Vigun03g335501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEGMEEGWVVCLLRKCRNLKPTKQIHGHIVKTGLHADPFVFGKLLLHCAVSISDALHYSLSLFRHFPNPDTFMHNTLIRGLSLSQTPLLSLHPFIQLRRQPTLYPDSFSFAFVLKGVANSRQLRPGIQLHSQAFHHGFDTHIFVGTTLISMYAECGDSLSARRVFDEMSEPNVVAWNAAVTAAFRCGDVEGAGNVFGRMPVRNLTSWNAMLAGYAKAGELGLARRVFCDMPLRDEVSWSTMIIGFAHNGCFDEAFGFFRELLREGIGTNEVSLTGVLSACAQAGAFEFGKILHGFLEKAGFLYVGSVNNALIDTYSKCGNVAMARLVFQNMPVARSIVSWTSIIAGLAMHGYGEEAIQLFHEMEESGVRPDGITFISLLYACSHSGLVEEGYSFFSKMKNVYGIEPAIEHYGCMVDLYGRAARLHKAYEFVCEMPVSPNAIIWRTLLGACSIHGNIELAELVKARLAEMDPDNSGDHVLLSNVYAVAGKWKDVANIRRTMTEHSMKKTPGWSMIEIDKVIYRFVAGEKPNEVTKEAHEKLREIMLRLRVEAGYAPQLRSVLHDIEEEEKEDSVSKHSEKLAAAFGIAKLPKGRILRIVKNLRVCGDCHTVMKLISKIYQVEIVVRDRSRFHSFKDGCCSCRDYW >Vigun07g256500.1.v1.2 pep primary_assembly:ASM411807v1:7:37414133:37418059:-1 gene:Vigun07g256500.v1.2 transcript:Vigun07g256500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSEFRPLDEKTLVEYIKSVPALSSRLANSFDDLSVKEVGDGNLNFVYIVSNSQGSLVIKQALPYVRCIGESWPMTKERAYFETLALKEEGRLSPEHVPEVYHFDRTMSLIAIRYLEPPHIILRKGLIAGIEYPLLAEHMADFMARTLFFTSLLFRSTTEHKRDVAEFCGNVELCRLTEQVVFSDPYKISEYNRWTTPYLDRDAEAVREDNLLKLEVAELKSMFIERAQALIHGDLHTGSVMVTRESTQVIDPEFAFYGPMGFDVGAFLGNLILAFFAQDGHADEANDRKAYKEWILKTIEDTWNLFHQKFTSLWDEHRNGAGEAYLPAIYNNPEVQLLVQKKYMTNLFHDSLGFGAAKMIRRIVGVAHIEDLESIADVAKRATCERRALDFAKMLLKERRKLEGIAQIISAIRQY >Vigun04g084400.1.v1.2 pep primary_assembly:ASM411807v1:4:13487343:13488940:-1 gene:Vigun04g084400.v1.2 transcript:Vigun04g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFFYFISILILSFVIKGSSYCNLNNINIVATRSGRTILGKPEWNVVVTNNCNCAQSQIKLQCQGFQTTEIVSQSILSIQGDTCLLINGNPLKGSASVSFSYAWDPPYFFRPLTSHTIC >Vigun03g165000.1.v1.2 pep primary_assembly:ASM411807v1:3:18828943:18832459:1 gene:Vigun03g165000.v1.2 transcript:Vigun03g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDPDDPLKTTDQTRQLGLIVCRGTAVMLVSPTDGTDEIANPFIQPDGA >Vigun02g083900.5.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761225:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKVYIDNTPVMTIYLKGIKVHLRSPELQFDFTTNEK >Vigun02g083900.4.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761225:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPVQNPSTAAEDARLASLISLDRILKQVKDITKLSTVNTIEKSKKRTVLGSLDKLTEQMPSLLEIDHPCAQRYIADARRVVEFGLNSQLLLWEKSIFSS >Vigun02g083900.7.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761244:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKVYIDNTPVMTIYLKGIKVHLRSPELQFGKIDCYSSSRETK >Vigun02g083900.6.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761041:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPVQNPSTAAEDARLASLISLDRILKQVKDITKLSTVNTIEKSKKRTVLGSLDKLTEQMPSLLEIDHPCAQRYIADARRVVESIPEEDDRIQNVSHSRKPSTDTSSGSGTDVAQWNVLQFNTGNTSSFIIKCGANSNSELIIKAEARVQEPKGGEIVRVAPRPSILENLSLEEMKQVFAELPEALRLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGALKDVRT >Vigun02g083900.3.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761041:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKVYIDNTPVMTIYLKGIKVHLRSPELQFGKIDCYSSSRETK >Vigun02g083900.2.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761243:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPVQNPSTAAEDARLASLISLDRILKQVKDITKLSTVNTIEKSKKRTVLGSLDKLTEQMPSLLEIDHPCAQRYIADARRVVESIPEEDDRIQNVSHSRKPSTDTSSGSGTDVAQWNVLQFNTGNTSSFIIKCGANSNSELIIKAEARVQEPKGGEIVRVAPRPSILENLSLEEMKQVFAELPEALRLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGALKDVRT >Vigun02g083900.1.v1.2 pep primary_assembly:ASM411807v1:2:23734928:23761225:-1 gene:Vigun02g083900.v1.2 transcript:Vigun02g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNIWSWNVMGFDPWKSTSTSHSPEQNDQKPSTPFARRNSTSSVLSHSVASKVEELRDKVKLARNDYQQLRQEASELQEYSNAKLDRVTRYLGVLAEKAHKLDQASLETEARISSVIYEKKKLFNDLLTSKGNIRIFCRARPLFEDEGSSVVEFPDDYTIRVNTGDESLSNSKKEFAFDRVYGPHVGQAELFSDVQPMVQSALDGYNISLFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLSNLDTTATSQYTFCVTVCELYNEQIRDLILESGKNLPKLCFGSPEYLIELMQEKVDNPMEFSRVLKAALQSRGNNPLRINVSHLIITIHIFYNNLISGENSYSKLSLVDLAGSEGLISEDDSGDRVTDMLHVMKSLSALGDVLSSLTSKKDVIPYENSVLTKLFADSLGGSSKTLMIVNVCPNSSNLSETLLSLNFCARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQDGLRLKQALRDANDQCVLLFNEVQKAWKVSSALQTDLKAEHILLADTYKAEKEENSQLRNQVTHMLQLEQVQNIQIQQRDSTIKSLEAKIGSLEIQLNEALRSSNTKLNVVPETVSGALPNSRTTGDVMDSSAVTNKFEEELKKRDALIERLHVENEKLFDKLTEKASLAGSPKLSSPLSRGSVNVEHGNVGSTTARARSLDVLPSSFVTNKNDGTVALVKSGSERVKTTPAGEYLTAALNDFNPDQYEGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKLEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKANTGPSRSSSRASSPGRSSVQYVDEQIQGFKVNIKPEKKSKFSSVVLKIRGIDEETWRQQVTGGKLREISEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAEKFDFLSVIGNDAPGGTTGQLELISTAIMDGWMAGLGSALSPQTDALGQLLFEYSRRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPVQNPSTAAEDARLASLISLDRILKQVKDITKLSTVNTIEKSKKRTVLGSLDKLTEQMPSLLEIDHPCAQRYIADARRVVESIPEEDDRIQNVSHSRKPSTDTSSGSGTDVAQWNVLQFNTGNTSSFIIKCGANSNSELIIKAEARVQEPKGGEIVRVAPRPSILENLSLEEMKQVFAELPEALRLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGALKDVRT >Vigun05g064700.1.v1.2 pep primary_assembly:ASM411807v1:5:5615940:5622520:-1 gene:Vigun05g064700.v1.2 transcript:Vigun05g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPVPATSQRMGSVRSPSDASGEILEEPNPGVVDQPIYVAVAKEVKESRSNLIWAIQHSGGKRICILYVHVPATMIPLLGGKFPTSALKEEQVQAYWEEQKQGMHKTLDEYLQICRRMGVRAEKLHIEMDSIEKGIIELVSQHGIRKLVMGAASDKYYNRRMMDLRSKKAIYVCKQAPASCHIQFICKGHLIHTRDHSLNEGTVEVASPLVQQVPNSVRTFRSQSATLGQDRRGNLTNHALELLRRVRSAGDGHVASFPAVSSPEETVGFSTPRDRTGTEVSSDESERLSRMSPSGLSTCSDSAVDIALNPGLITESSENALELTLSQLVIEDLHHSSPPSTLQDGGIDDTIYDQLQQAMTEAEDASLTAYKETVRRRNAEKEAFEAIRKAKASESLYTEELKLRKLAEEELRKEKEELENAKRLRDKVKEELRLALDQKASLESQIASSELIIKELEQKIVSAVDLLQSYKNEREELQIQRDNALREAEELRKKQGEASSSHVPQLFSEFSFSEIKEATNNFNPTLKIGEGGYGSIFKGVLSYTEVAIKRLHSDSMQGPLEFQQEVDVLSKLRHPNLITLIGACPDAWALVYEYLPNGSLEDRLACKDNTPPLSWQTRIRVASELCSALIFLHSSKPHSVVHGDLKPSNILLDANLISKLSDFGICRILSNCESSSSNSTQFWKTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRLLTGRPALGITKEVKYGLDTGKLKSLLDPLAGDWPFVQAEQLARLALRCCDMNRKSRPDLYSDVWRILDAMRVSSGGTNSFGLSSEGLSQPPSYFICPIFQEVMRDPHVAADGFTYEAEAIRGWLDGGNDNSPMTNGKLAHHNLVPNRALRSAIHDWLQNH >Vigun05g064700.2.v1.2 pep primary_assembly:ASM411807v1:5:5615940:5622520:-1 gene:Vigun05g064700.v1.2 transcript:Vigun05g064700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPVPATSQRMGSVRSPSDASGEILEEPNPGVVDQPIYVAVAKEVKESRSNLIWAIQHSGGKRICILYVHVPATMIPLLGGKFPTSALKEEQVQAYWEEQKQGMHKTLDEYLQICRRMGVRAEKLHIEMDSIEKGIIELVSQHGIRKLVMGAASDKYYNRRMMDLRSKKAIYVCKQAPASCHIQFICKGHLIHTRDHSLNEGTVEVASPLVQQVPNSVRTFRSQSATLGQDRRGNLTNHALELLRRVRSAGDGHVASFPAVSSPEETVGFSTPRDRTGTEVSSDESERLSRMSPSGLSTCSDSAVDIALNPGLITESSENALELTLSQLVIEDLHHSSPPSTLDGGIDDTIYDQLQQAMTEAEDASLTAYKETVRRRNAEKEAFEAIRKAKASESLYTEELKLRKLAEEELRKEKEELENAKRLRDKVKEELRLALDQKASLESQIASSELIIKELEQKIVSAVDLLQSYKNEREELQIQRDNALREAEELRKKQGEASSSHVPQLFSEFSFSEIKEATNNFNPTLKIGEGGYGSIFKGVLSYTEVAIKRLHSDSMQGPLEFQQEVDVLSKLRHPNLITLIGACPDAWALVYEYLPNGSLEDRLACKDNTPPLSWQTRIRVASELCSALIFLHSSKPHSVVHGDLKPSNILLDANLISKLSDFGICRILSNCESSSSNSTQFWKTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRLLTGRPALGITKEVKYGLDTGKLKSLLDPLAGDWPFVQAEQLARLALRCCDMNRKSRPDLYSDVWRILDAMRVSSGGTNSFGLSSEGLSQPPSYFICPIFQEVMRDPHVAADGFTYEAEAIRGWLDGGNDNSPMTNGKLAHHNLVPNRALRSAIHDWLQNH >Vigun06g200700.1.v1.2 pep primary_assembly:ASM411807v1:6:31580143:31581434:1 gene:Vigun06g200700.v1.2 transcript:Vigun06g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIQSWLPCPLNVEITTFDVVGLVCRFLRNRKGCMWNSIIHEPCVGVWQSNWKFPVTCCSLDQWESCAIFRWCNQK >Vigun08g156500.7.v1.2 pep primary_assembly:ASM411807v1:8:32876240:32878575:1 gene:Vigun08g156500.v1.2 transcript:Vigun08g156500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPRFCTNLKDSNWFVQESQSTEWSREDNKKFESALAIYDKDTPDRWFKVAAMIPGKTVFDVIKQYRELEEDVSEIEAGRVPIPGYLASSFTFELVHNHNYDGCRRRPAPVRGSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSGGKDKRRPSIHDITTVNLTETAASDKIKSPLFNVSPMNSLSKVQLDWTSHCNDGSLMVFNPNSDNLFVSSSSDITSMALKMQGQDLYDCALHEAYAKVKAPGFGMAPRDFNNEAIFGIHAL >Vigun08g156500.5.v1.2 pep primary_assembly:ASM411807v1:8:32876240:32878575:1 gene:Vigun08g156500.v1.2 transcript:Vigun08g156500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPRFCTNLKGMELETLYPPCFMPDSNWFVQESQSTEWSREDNKKFESALAIYDKDTPDRWFKVAAMIPGKTVFDVIKQYRELEEDVSEIEAGRVPIPGYLASSFTFELVHNHNYDGCRRRPAPVRGSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSGGKDKRRPSIHDITTVNLTETAASDKIKSPLFNVSPMNSLSKVQLDWTSHCNDGSLMVFNPNSDNLFVSSSSDITSMALKMQGQDLYDCALHEAYAKVKAPGFGMAPRDFNNEAIFGIHAL >Vigun08g156500.6.v1.2 pep primary_assembly:ASM411807v1:8:32876240:32878619:1 gene:Vigun08g156500.v1.2 transcript:Vigun08g156500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPRFCTNLKDSNWFVQESQSTEWSREDNKKFESALAIYDKDTPDRWFKVAAMIPGKTVFDVIKQYRELEEDVSEIEAGRVPIPGYLASSFTFELVHNHNYDGCRRRPAPVRGSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSGGKDKRRPSIHDITTVNLTETAASDKIKSPLFNVSPMNSLSKVQLDWTSHCNDGSLMVFNPNSDNLFVSSSSDITSMALKMQGQDLYDCALHEAYAKVKAPGFGMAPRDFNNEAIFGIHAL >Vigun08g156500.4.v1.2 pep primary_assembly:ASM411807v1:8:32876240:32878619:1 gene:Vigun08g156500.v1.2 transcript:Vigun08g156500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPRFCTNLKGMELETLYPPCFMPDSNWFVQESQSTEWSREDNKKFESALAIYDKDTPDRWFKVAAMIPGKTVFDVIKQYRELEEDVSEIEAGRVPIPGYLASSFTFELVHNHNYDGCRRRPAPVRGSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSGGKDKRRPSIHDITTVNLTETAASDKIKSPLFNVSPMNSLSKVQLDWTSHCNDGSLMVFNPNSDNLFVSSSSDITSMALKMQGQDLYDCALHEAYAKVKAPGFGMAPRDFNNEAIFGIHAL >Vigun07g191700.1.v1.2 pep primary_assembly:ASM411807v1:7:31003057:31010432:1 gene:Vigun07g191700.v1.2 transcript:Vigun07g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEENDALTKNKPSTEKDILSELELKMKKYLRGESANLEGLKDKKLKGQLAIKEQLYGKSAQAAAKAEKWLMPSEGGYLEAEGLEKTWRIKQETIAQEVDISSSRKQYDIILPELGPYTLDFTSSGRYMAVGGRKGHLGIVDMINLSLIRELQVRETVRDVVFLHNELFFAAAQKKYSYIYNREGTELHCLKEHGPVLRLQFLKNHFLLATLNKFGQLRYQDVTMGSMAGSFKTGLGRTDVMQVNPFNGVVSLGHSGGTVTMWKPTSSAPLVKMLCHQGPVSALAFHSNGHLMATAGKDKKVKLWDLRKFEVLQTLPGHANTLDFSQKGLLACGNGSLVQVLRDVSGTQNYSRYMTHSMVKGYQVGKLIFRPYEDVLGIGHSTGWSSILIPGAGEPNFDSWVANPFETSKQRREKEIRALLDKLPPETIMLDPSKIGTVKPKREKPTKEEKEAEIEAAVEAAKGMKLKNKTKGRNKSGKRVQKKQDAVTRVKRPYLEQKIQEEENLSRKKQKTSEGVELPKSLQRFARKKPSS >Vigun05g296700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48146496:48147644:-1 gene:Vigun05g296700.v1.2 transcript:Vigun05g296700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTGLEPSLVYEYGLTFAHNELEEDDMFYFNHEFLQSMGISIAKHRLEILKHARKEKGKSPRAVAKLVVAIKRTKKNLADYVRTLMHREESSSALVVVPPPRSRNGLGTRWKIATMKRSKKLVVAKQEPLFLTNGSPTVVPAHPVLEGFSSPVVYHFQKDKMDGDGDDDDGDGYWSAAVEEIKWDTMFQDLKPN >VigunL060108.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:44208:44471:1 gene:VigunL060108.v1.2 transcript:VigunL060108.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun07g211700.5.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33309627:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGTGASNWFASSPTLGSRISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYTWNDNHDYMFKWVCK >Vigun07g211700.1.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33310074:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGTGASNWFASSPTLGSRISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYTWNDNHDYMFKWVVIISGVLSALMFVIITAYARNKGLVGS >Vigun07g211700.2.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33310074:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGTGASNWFASSPTLGSRISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYTWNDNHDYMFKWVCK >Vigun07g211700.4.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33310074:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGTGASNWFASSPTLGSRISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYTWNDNHDYMFKWLSSQEYFLL >Vigun07g211700.3.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33310075:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPVSGTGASNWFASSPTLGSRISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTVSIICGSTLMILKIILIFNLTTIAISSFS >Vigun07g211700.6.v1.2 pep primary_assembly:ASM411807v1:7:33305461:33310074:1 gene:Vigun07g211700.v1.2 transcript:Vigun07g211700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVPADPQAIVKKKTQSSRSWIMFDATGQGSLLDADKYAIMHRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPQSSAGLQQQGDGKEYLGGQNDAEAAEDDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSSSPLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVTAIFGMNIPYTWNDNHDYMFKWVVIISGVLSALMFVIITAYARNKGLVGS >Vigun02g037500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:15587253:15589783:-1 gene:Vigun02g037500.v1.2 transcript:Vigun02g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECMDESTTTESLSISLSPTVSSEDKLLLPSPMLSSKNPSPTPPSTTLCRVGSGASAIVDPDCAGGGNTEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAAQRFRGKDAVTNFKPVSGGAGDGDDDDGEVEFLSSHSKSEIVDMLRKHTYNDELEQSKRSRGVVRRRGGAAAAGTGNSIGGACFVKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLPSVNGVSATAAKGVLLNFEDVAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDTVCFHRSTGPDKQLYIDWKARNNNVNEVGLFGPVGPVVEPIQMVRLFGVNILKLPGSEANGNNNASGTIPGFCNGKRREMELFSLECSKKPKIIGAL >Vigun02g165400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30996922:30998744:1 gene:Vigun02g165400.v1.2 transcript:Vigun02g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKCKLCSRTFANGRALGGHMKAHLATLPLPPKPEPLPHSLNTLSSNSSSQHDNEEQEQDKALVNYALRENPKKCFRLADPEFDSGAVVQDRESETESKNPTRRRSKRPRRPANSQPKARPKLSFMESPEPVSSVSDTSPEEDVAMCLMMLSRDRWSKNTNGAVEEERSVEEIKFRRVRQKHQCQSCEKTFRSSRALGSHRSICEGSGNDSKIFECPFCSKVFGSGQALGGHKRSHMVPSSSSTANDSTTRFKDSFIDLNFPAPPEEDELSVVSDAQFDD >Vigun10g103450.1.v1.2 pep primary_assembly:ASM411807v1:10:29635033:29637283:1 gene:Vigun10g103450.v1.2 transcript:Vigun10g103450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDVKLQLAEVYGATTYIDRRSLWSSLINLHDFWCILGDFNFVLYVEDVKGGLSLVQFLVLNCQAVYWKEKVKMNWFKDGDRNTAFFHVVVHKRHNAWDIFKLHHDDQVISYPKMIEDSILSSYTNFSIVPTTLDVSISYIQSFIASYITSLVTDDENIFFIKCLDLDEVKHVIFSLNGNSVPSPDVFGMLLLLIDTQK >Vigun04g095250.1.v1.2 pep primary_assembly:ASM411807v1:4:19817769:19818555:1 gene:Vigun04g095250.v1.2 transcript:Vigun04g095250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGNGGDLIENLEIEMEVHAFSSASLAFLATIYSSSLSFPEMYHTGILCPHHSCLLIHQSLILESQWL >VigunL068401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000013.1:1853409:1853726:-1 gene:VigunL068401.v1.2 transcript:VigunL068401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCLGLQIAIDPRTINLIGPEPNSSKHHASVKPSRAACFFIFTPPRVSRINPLFGLATSDSAHCHQTQQRVEPTLFIFFANGSHLHASSSISVDGLPLSHQRCS >Vigun03g066500.1.v1.2 pep primary_assembly:ASM411807v1:3:5487120:5491968:1 gene:Vigun03g066500.v1.2 transcript:Vigun03g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCATKPKVSLEDNHAKAKPEPEPDTLQTKPPTEDNLNNVTDVVDDDQANKRRSLSLLFKENKDSEVSTENEKTTVEETVKEVLDGEKPAEETKSNQPTIKPESPKPEEKLSEESKSKESPKQELKSVKIETSETEKPSEGILINEAAKETVKLESEAEKPSEVTSVVSEAAKETVKQEPEADKPSQVTGISEPAKETVTPNSESEKPLEVTSVSEPIKETVTLNSESEKPLEVTSVSEPAKETVRLKSESEKPLEITSVSEPAKETVKLGSETEKPSQIPGISEPAKETVKLESEAEKPSEVISVSEPAKETVKLGSETEKPSQIPGISEPAKETVKLESEAEKPSEVTSVSEPAKETVKPGSEADKPSHVTGVSEPAKETVKQEPEAKKPSEVTSVSEPAKETVKLEPEAKKPSELTSFSEPAKETVKLESEAEKPSKVTSVSEPAKESEAEKPSKETHKTEPAKETVKPDSEVKKPSGEILNNEAAKETEEPEPKAEKASEEKNQSSELVKEKHIETKETSSEEPRSNEPIKHKEEPPIEPSETEKPSGESKKNASEAEKPETLFKESINTEVALPEEKVIEVVPNDAKSVEKVTKVADAGTESLKPEI >Vigun07g077100.1.v1.2 pep primary_assembly:ASM411807v1:7:10359239:10361671:1 gene:Vigun07g077100.v1.2 transcript:Vigun07g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRNGMNDDEEDLDDHALFEEEGLVEDYTDTPPHLRDLSAAAQVGDVNALRLALDNLTGSIDEPVEDGDTALHLTCLYGHLACVQLLLERGANIEAKDEDGAIPLHDACAGGFTEIVQLLLNRANNAEHIKIMLESVDSEGDTPLHHAARGEHVDVIRLLLSNGASSTKENLYGKTPADLPDQNTDARSLLHAAVHAMPC >Vigun09g222800.1.v1.2 pep primary_assembly:ASM411807v1:9:39576064:39579066:1 gene:Vigun09g222800.v1.2 transcript:Vigun09g222800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMQLAYDATVKLMLSALDRNLLPDAVTRKLTRLLLANRLRSSSNTSSELQLSHLLHFAHSLRDMPIAINTEKPKSQHYELPTAFFKLVLGSNLKYSCCYFSTTSMTLEDAEEAMLNLQCERSNLKDGHSVLDVGCGWGSLALYIAKKYTNCRVTGICNSTTQKAYIEEKCRDLQLGNINIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISKWMKEDSLLFVDYFCHKAFAYHFEDKNEDDWITRYFFTGGTMPSANLLLYFQDDVIVINHWLVNGKHYAQTSEEWLKRMDQRMTYIKPIMQSRYGNDSATKWTAYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Vigun09g222800.2.v1.2 pep primary_assembly:ASM411807v1:9:39576196:39579031:1 gene:Vigun09g222800.v1.2 transcript:Vigun09g222800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQPLSSSSSLEAISNTDAEEAMLNLQCERSNLKDGHSVLDVGCGWGSLALYIAKKYTNCRVTGICNSTTQKAYIEEKCRDLQLGNINIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISKWMKEDSLLFVDYFCHKAFAYHFEDKNEDDWITRYFFTGGTMPSANLLLYFQDDVIVINHWLVNGKHYAQTSEEWLKRMDQRMTYIKPIMQSRYGNDSATKWTAYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Vigun06g174200.2.v1.2 pep primary_assembly:ASM411807v1:6:29503261:29506530:1 gene:Vigun06g174200.v1.2 transcript:Vigun06g174200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLLIHPSDITFRFELKKQSSCVVRLANHASHHVAFKVKTTSPKKYCVRPTVGIVQPHGTCDFTVTMQAQRTAPPDFNCKDKFLVQSAVVPAGTTEDDISSDLFVKDSGRLVEEKKLRVVLIGPSSSPENGDFSQDPPIQMPSPITVEKSLEMAQGLEEDGTDKGTVVPRPVEKEGDMKQVNGNEKLSFPKDFKELESRLSIMDAKLREAEGTIAKLNEEKRRNTREKNLLKQELMMKKKMKIKRAQEGFPFLFVCVVSLVSMAVGYYIHA >Vigun06g174200.1.v1.2 pep primary_assembly:ASM411807v1:6:29503263:29506530:1 gene:Vigun06g174200.v1.2 transcript:Vigun06g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLLIHPSDITFRFELKKQSSCVVRLANHASHHVAFKVKTTSPKKYCVRPTVGIVQPHGTCDFTVTMQAQRTAPPDFNCKDKFLVQSAVVPAGTTEDDISSDLFVKDSGRLVEEKKLRVVLIGPSSSPENGDFSQDPPIQMPSPITVEKSLEMAQGLEEDGTDKGTVVPRPVEKEGDMKQVNGNEKLSFPKDFKELESRLSIMDAKLREAEGTIAKLNEEKRRNTREKNLLKQELEMMKKKMKIKRAQEGFPFLFVCVVSLVSMAVGYYIHA >Vigun10g169550.1.v1.2 pep primary_assembly:ASM411807v1:10:38865809:38869433:1 gene:Vigun10g169550.v1.2 transcript:Vigun10g169550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHESNSIPPPTKDHETENVCTESENVDVVEEDMDDSVKLKESSCSKKSEKSEKSRSSDVWKYFTRVGVDDDGKERAKCNGCNKKYVIGSKNYGTSHLKRHMEKCSKLKFEDVRQMIVDGQGKLKGRRIDAMLRGVLLRLHLITLALNWILMKIEVACTLVMDHAE >Vigun03g138400.1.v1.2 pep primary_assembly:ASM411807v1:3:13595882:13601098:1 gene:Vigun03g138400.v1.2 transcript:Vigun03g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRTKKQVQAQPRLERLNAKKGFNYEPPPSPPSPSSTSSSSHFDRQTSFRLYGIDGEFDRIFQTLGLSGPEDFAIPTADWEARKARVASSNAPGPTPTPNAVIHSSTEIHPLSVTATSAATLQDDVVSVHVSVIGSSPWSSGEHDAGRGSVGRLRNGERSVLFTDSGSFTTSHDDDSDIGGERVSSVSNSAAPDELVVPFVSSFSFEWFRRTFSSWQKGDVLGNGSFGTVYEGFTDEGFFFAVKEVSLLDEGNQGKQSFFQLQQEISLLSKFEHKNIVRYYGSDKDKSKLYIFLELMSKGSLASLYQKYRLNDSQVSAYTRQILCGLKYLHDHNVVHRDIKCANILVDVSGAVKLADFGLAKATKFNDVRSSKGSPYWMAPEVVNLKDQGGYGLAADIWSLGCTVLEMLTRQPPYSDLEGMQALFRIGKGELPSIPDYLSKDAQDFILECLQINPNKRPTAAQLLYHPFLRRTLLSPLSFASPQRNMYRS >Vigun06g135300.1.v1.2 pep primary_assembly:ASM411807v1:6:26167876:26173386:-1 gene:Vigun06g135300.v1.2 transcript:Vigun06g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGVGKWRTILKDPEFNNVLYLRSNVDLKDKWRNLSVMANGWSSREKSRLAVRRVHHTPKQDEHSMSITPVAPSDEDIVDVKPLQISRDTVQILGPKRSNLSLDKLIMEAITSLKENGGSNKTAIAAFIEDQYWALPDLKRMLSAKLKFLTASGKLIKVNRKYRIAPTAAYSDRRRNSSMLFLDGRQKASMKMDKDETNILTKSQIDLELAKIRSMTPQEAAAFAARAVAEAEAAIAEAEEAAKEAEAAEADAEAAQAFADATTKSGKGRKSPRRIHA >Vigun02g151100.1.v1.2 pep primary_assembly:ASM411807v1:2:29814248:29817908:-1 gene:Vigun02g151100.v1.2 transcript:Vigun02g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMVYNHSKSLRNGNKLIPIPPTMSRRISINSSCECKISNNSKSRRQNNNDSSSKKDLWERLFHQGYKADVCINTNSGGVVYAHSNIIAMASSVLRGMLKQAHRYGRWRIISIIGVPHDAVQVFIRYLYTYSYEKEDMDEFVLHLLVLSHVYMVPHLKCECEQKLELGLLTIDNLVDVFQLALFCDAPRLSLICHRKILKNFKVVSESEGWKTMKLSHPLLEKEILESMIDEENMKKERIRKMNDKKVYLQLYEAMEALVHICRDGCRTIGPHDKDLRVNQPCKYSTCSGLELLVRHFAACKLRVPGGCVHCKRMWQLLELHSRLCVNPDDCRVPLCRNFKERISKQSKKDEIRWKILVEKILRTRGIRIASCFLQQ >Vigun06g042100.2.v1.2 pep primary_assembly:ASM411807v1:6:16457512:16459449:1 gene:Vigun06g042100.v1.2 transcript:Vigun06g042100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGTMARHLDTILGPGVLLLYPLYASMRAIESPSTLDDQQWLTYWILYSFITLFELSCYKILAWFPLWPYMKLVFCLWLVLPMFNGAAYIYENYVRQYVRNIGSFYGNSKYHEDQKKVLQMMSFDARKAVERYIDTHGSEAFERVVKAADREARRH >Vigun07g190500.2.v1.2 pep primary_assembly:ASM411807v1:7:30887612:30893594:1 gene:Vigun07g190500.v1.2 transcript:Vigun07g190500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHHSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISSLKRKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPNLPLIVSAADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFIVSADSLFYTKERFLCFYEFSTQREVQVLPFRRPGTLSLNQCPKTLSYSPSENAFLLCSEVDGGSYELYCISKDSYGRGDVQEAKRGHGASAVFVARNRFAVLDKSSNQVLIKNLKNEIVKKSVLPIATDAIFYAGTGSLLCRSEDRVVIFDLQQRIVLGELQTPFIKYVVWSDDMEHVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIKTLDIPIYITKVSGNTIFCLDRDGKNRTIIIDATEYIFKLSLLKKKYDQVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDEKIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERVKILENVGHLPLAYVTASVHGLHDVAERLAAELGDNVPSLPAGKVPSLLMPPSPVMCGSDWPLLRVMRGMFDGVLDNTSRGVADEEEYEAADADWGEELDIVDADGLQNGDVAAILEDGEAAEENDEEGGWDLEDLGLGPEAETPKASINTQSSVFVTPTPGMPVSHIWIQKSSLAADHAAAGNFDTAMRLLNRQLGITNFAPLKSMFLDLHTGSHSYLRAFSSAPVISLAVERGWTESASANVRGPPALPFKLSQLDEKLKAGYKLTTTGKFSDALRTFVNILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQMELRRREIKDNLARQQELAAYFTHCNLQTPHLRLALQNAMTVCFKAKNLATAANFARRLLETNPTIENQAKAARQVIAAAERNMTDAAQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPTQEGQLCNVCDLAVIGADASGLLCSPSQIR >Vigun07g190500.1.v1.2 pep primary_assembly:ASM411807v1:7:30887612:30893594:1 gene:Vigun07g190500.v1.2 transcript:Vigun07g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHHSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISSLKRKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPNLPLIVSAADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFIVSADSLFYTKERFLCFYEFSTQREVQVLPFRRPGTLSLNQCPKTLSYSPSENAFLLCSEVDGGSYELYCISKDSYGRGDVQEAKRGHGASAVFVARNRFAVLDKSSNQVLIKNLKNEIVKKSVLPIATDAIFYAGTGSLLCRSEDRVVIFDLQQRIVLGELQTPFIKYVVWSDDMEHVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIKTLDIPIYITKVSGNTIFCLDRDGKNRTIIIDATEYIFKLSLLKKKYDQVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDEKIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERVKILENVGHLPLAYVTASVHGLHDVAERLAAELGDNVPSLPAGKVPSLLMPPSPVMCGSDWPLLRVMRGMFDGVLDNTSRGVADEEEYEAADADWGEELDIVDADGLQNGDVAAILEDGEAAEENDEEGGWDLEDLGLGPEAETPKASINTQSSVFVTPTPGMPVSHIWIQKSSLAADHAAAGNFDTAMRLLNRQLGITNFAPLKSMFLDLHTGSHSYLRAFSSAPVISLAVERGWTESASANVRGPPALPFKLSQLDEKLKAGYKLTTTGKFSDALRTFVNILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQMELRRREIKDNLARQQELAAYFTHCNLQTPHLRLALQNAMTVCFKAKNLATAANFARRLLETNPTIENQAKAARQVIAAAERNMTDAAQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPTQEGQLCNVCDLAVIGADASGLLCSPSQIR >Vigun07g190500.3.v1.2 pep primary_assembly:ASM411807v1:7:30887664:30893522:1 gene:Vigun07g190500.v1.2 transcript:Vigun07g190500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHHSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISSLKRKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPNLPLIVSAADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFIVSADSLFYTKERFLCFYEFSTQREVQVLPFRRPGTLSLNQCPKTLSYSPSENAFLLCSEVDGGSYELYCISKDSYGRGDVQEAKRGHGASAVFVARNRFAVLDKSSNQVLIKNLKNEIVKKSVLPIATDAIFYAGTGSLLCRSEDRVVIFDLQQRIVLGELQTPFIKYVVWSDDMEHVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIKTLDIPIYITKVSGNTIFCLDRDGKNRTIIIDATEYIFKLSLLKKKYDQVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDEKIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLKIAEVKNDVMGQFHNALYMGDVRERVKILENVGHLPLAYVTASVHGLHDVAERLAAELGDNVPSLPAGKVPSLLMPPSPVMCGSDWPLLRVMRGMFDGVLDNTSRGVADEEEYEAADADWGEELDIVDADGLQNGDVAAILEDGEAAEENDEEGGWDLEDLGLGPEAETPKASINTQSSVFVTPTPGMPVSHIWIQKSSLAADHAAAGNFDTAMRLLNRQLGITNFAPLKSMFLDLHTGSHSYLRAFSSAPVISLAVERGWTESASANVRGPPALPFKLSQLDEKLKAGYKLTTTGKFSDALRTFVNILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQMELRRREIKDNLARQQELAAYFTHCNLQTPHLRLALQNAMTVCFKAKNLATAANFARRLLETNPTIENQAKAARQVIAAAERNMTDAAQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPTQEGQLCNVCDLAVIGADASGLLCSPSQIR >Vigun05g239300.1.v1.2 pep primary_assembly:ASM411807v1:5:43247041:43247697:-1 gene:Vigun05g239300.v1.2 transcript:Vigun05g239300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLENFDKKAQTKRNKKEIVHASEISHSATNTSMENDAIMMDIKTHFGENHARINQLRGELAKACKELKNLSAKLKKEKNNLKKSQQKIRKI >Vigun10g127100.1.v1.2 pep primary_assembly:ASM411807v1:10:33514476:33520731:1 gene:Vigun10g127100.v1.2 transcript:Vigun10g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKNESLSKERRWVSTYGFFSLSFLFFFATINFFVNWVDLSRITSTTLLNTIIIFNKPQLQFPLNCTDKNKAATCSSYYPTTSEFDGDSTTSCPDYFRWIHEDLKPWKSTGITKDMVERGRNVSHFRLVIVNGKVYVQNLDKVYQTRDVFTIWGILQLIRLYPGKIPDLDLMFQCGDKTVILKKDFQGPKALSPPPVFHYCGDENSHDIVFPDWSFWGWPEINIGPWERTLHNILEGNKKSKWIDRVPYAFWKGNPAVANIRRELMKCNPTQQQDWNARLESIEWNREKASNYENAKLENQCTHRYKIYIEGAAWSVSEKYIILCDSMTLLIEPIYYDFFTRNMVPLRHYWPISPRNMCEDIKYAVDWGNSHLQHAQVIGNGGTEYILENLKLKYVYDYMFHLLNDYAKLLKFKPTVPEGAVEICSESMACPVQGLQKSFMEESMVNSPSDTPPCAMPSPYTPQNLKQFLQEKENLIQQVKKKGVNSLLA >Vigun10g127100.2.v1.2 pep primary_assembly:ASM411807v1:10:33517508:33520731:1 gene:Vigun10g127100.v1.2 transcript:Vigun10g127100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWIFFIIILIVFVASSFFLEADFSRITSTTLLNTIIIFNKPQLQFPLNCTDKNKAATCSSYYPTTSEFDGDSTTSCPDYFRWIHEDLKPWKSTGITKDMVERGRNVSHFRLVIVNGKVYVQNLDKVYQTRDVFTIWGILQLIRLYPGKIPDLDLMFQCGDKTVILKKDFQGPKALSPPPVFHYCGDENSHDIVFPDWSFWGWPEINIGPWERTLHNILEGNKKSKWIDRVPYAFWKGNPAVANIRRELMKCNPTQQQDWNARLESIEWNREKASNYENAKLENQCTHRYKIYIEGAAWSVSEKYIILCDSMTLLIEPIYYDFFTRNMVPLRHYWPISPRNMCEDIKYAVDWGNSHLQHAQVIGNGGTEYILENLKLKYVYDYMFHLLNDYAKLLKFKPTVPEGAVEICSESMACPVQGLQKSFMEESMVNSPSDTPPCAMPSPYTPQNLKQFLQEKENLIQQVKKKGVNSLLA >Vigun03g173700.1.v1.2 pep primary_assembly:ASM411807v1:3:21289554:21296867:-1 gene:Vigun03g173700.v1.2 transcript:Vigun03g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHKESSPADSKEKKSSRGDEIGKKFLSSWKSMSMADDDAIDFDFDTVSKGKKKPFDFEKMDLNFNLDGEFDKISSFKLDMSDLDFTCPPKKSSQSKDKKGEISGAKGGKQDEFNFSFDFNELDSFNLDSSFVQVDTTSNGSPRKKVVSNEGSDKEGAKRPKTNNDEGAHASVDSTAVKSHAPEELETFKAETMVGSLGNLVLRQDGPVSRISSSGNLDMQEIDLPEKENFTESVSEQVNNVPCSQSVGQSDSEQHTISDQHTEVISSGTRVSNVSGDKQEINHRTTYQCTEMFSSGTRKINVSDDMQEINDKATNMKPDTVDLQLERSSPHHITKSDNSVDEAITLERNAQGVTNDPQPENRYTSYENNSRAEALNKISCDNGSKENKETTLVCDLGLASSKSVVENMVLIKDNKLHDMQSSVFCTPEGNRPLKQPSSTVGTKGISLGNKKNADMVLGSITQKRENFGSKDTRSGSKMTGVSITDSSKLMRDAAALLGSKDHLRSSSNTREGIVSDVTPCSGKLAGNKQSFCEEVNNNKITFLERAVPNQDVHMLSSQVNLSCLTEKTARVTNQAVYVNSQPEASGKESSHKSRITSVEGNKLTSKNCKVTPALSSLKTSRILTTSLNQKETNSIVSSGQSIQIQGNTASKNDNPIDIGNNQKPSTTFLKRKTIEVSEADFTSLRSLKRISQTLSKSRDSKESSDEVIGEVESIPNNLLNNRSTPGLKSPSEIKVMEVEIPNSILMEDNSNVEKAEAYMKELEDICNMLKKKHEEAKDLLIRAIVNDNNLLMLNHPIYEEEIRKVQKFASQLMSKEIQT >Vigun08g076900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13972767:13973078:1 gene:Vigun08g076900.v1.2 transcript:Vigun08g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGLQQEVRQVLKSAVGKCTYCGNSVDLVNSDKVLKLFFVPVWQWPAKDPLYHCSYCKNFFPYNYSLPATMEETLLCRICERTVEADFRFCPFCGSEL >Vigun06g190100.1.v1.2 pep primary_assembly:ASM411807v1:6:30792215:30797162:1 gene:Vigun06g190100.v1.2 transcript:Vigun06g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVQKLYDTCKASLSPEGPISEEALEKVRALLDDLKPSNVGLEQEAQLVRGWKGSLNGTNGKKGRNGSYQYPPPIKYIHLHECDQFSMGIFCMAPGSIIPLHNHPGMTVLSKLLYGTLHVRSYDWLDLPGSDDPSQARPAELVKDCQMSAPCNTTILYPNKGGNIHCFKALTPCALFDILSPPYSSEDGRHCSYFRKSPRKELPGVDLDQSCGVKASEVSWLEEIQAPENLVVRRGVYRGPTIRR >Vigun03g248100.1.v1.2 pep primary_assembly:ASM411807v1:3:41285741:41293244:1 gene:Vigun03g248100.v1.2 transcript:Vigun03g248100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYPEEVRTPPVTLVSLVGCPEFQTLISTHFLSAQPPINTLALPDVSKIIHLFNNKKDPDPTATSPSPVLPGILKRDWLLKHRTKSPALLAALFPSHHLTGDPAQWLQLCSDLDSIKALIRGKSIKFAVVVVVSSNADEISEDRMIALRKRAEVDAKYVIVLNPNDTANLKVSLHRLASTFSELAVAYYREEGRRIKHRIEKKNANSVELIVRYCFKVAVYAEFRSDWTEALKFYEEAYHTLREIVGVTTRLPAIQRLVEIKTISEQLYFKISTLLLHSGKVAEAVGWFRQHKNAYKRLVGAPEGIFLHWEWMSRQFLVFGELLETSSKITQGSPILSTLSKPMSEWEYYPAYYYQLAAHYLSEKRSALELAISMSETSNENDSVVESVVPSVYVGQFARLLEHGDNVDMLPLSDEEYICYAISEGKRFRDSLEIIALLKKAYESYSSNKILRMSSFCGFQMAREYFSEADISNAKQIFDTIATLYRKEGWVTLLWDVLGYLRECSRKNGAIKDFVEYSLEMAALPVSSDTGVRRDTGPAGAANLLQREIVHNEVFELVSGASGLATNEHQSNLKISTDESLQLEVDLVSPLRLVMLASVAFHEQSIKPGSSTLITVSLLSHLPLTVEIDGLEIQFNQSKCNFFVTNGQKPRSVEVSDGIQHHRTETAPSLSLESNKWLRLTYDIQSDQSGKLECLSVTAKIGSHLSICCRAESPASLDSLPLWTSENSVQTVPIKDPILVLSGQKYTQVEEQDPQVDLHLGASSPALVGEVFLVPVTLVSKGHDVYSGELKINLVDVKGGGLFSPRDNEPYALDSHHVQLLGISGPEGEDDSHLDSDKIKKIQQSFGLISVPIIKNGDSWSCKLEIKWHRPKPIMLYVSLGYTPYHNELNTQTVHVHKHLQIEGHNAIVLNHHYLMPFRRDPLLLSKSKQASGSNHSESLPLNQKNVLIVSAKNCTELPLRLKSMRIEVEDDAERTCSIQHGSEEFANPALLVPGEVFKKVFSVSSNMNISKLSVGTVCLKWRRDLGIEEKCASTSTVSWVMTKQKLPDVNLELPPLIVSFECPPYAVVGDPFTYYIRISNQTQLLQEIKYSLGDAQSFVLSGYHNDTIYVLPKSEHILSYKLVPLVSGMQQLPKFSMTSVRYSAAYQPSNSSNSLFIFPSKPHFKAAVSSNSRMQSVVNE >Vigun11g143900.1.v1.2 pep primary_assembly:ASM411807v1:11:35327016:35333449:-1 gene:Vigun11g143900.v1.2 transcript:Vigun11g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRILFVLLWFFCVYFPAAFCANVTYDHRALVIDGKRRVLVSGSIHYPRSTPEIWPDLIQKSKDGGLDVIETYVFWNLHEPVQGQYNFEGRADLVKFVKTVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNKPFEAEMQRFTAKIVDMMKQENLYASQGGPIILSQVENEYGNIDAAYGPAAKSYIKWAASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFNPNSNSKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRTTGGPFISTSYDYDAPIDEYGIVRQPKWGHLKDVHKAIKLCEEALIATDPTITSPGPNIEAAVYKTGSACAAFLANIATSDATVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSASMISSFTTESLKEEVGSGSGWSWISEPVGISKDDSFSKFGLLEQINTTTDKSDYLWYSLSIDIEDDAGSQTVLHIESLGHALHAFINGKLAGSGTGNSNKAKVAVDIPIKLVAGKNIIDLLSLTVGLQNYGAFFDTWGAGITGPVILKGLKNGSTVDLSSQQWTYQVGLKYEDLGPSSGSSGQWNSQSDLPINQPLTWYKTNFVAPSGSDPVAIDFTGMGKGEAWVNGQSIGRYWPTFVSPNGGCANSCNYRGAYSSSKCLKNCGKPSQTLYHVPRSWLQPDTNTLVLFEESGGDPTQISFATKQIGSVCSHVSESHPPPVDLWNSETKVGPVLSLECPNPNQVISSIEFASFGTPYGTCGNFKHGRCRSNKALSIVQKACIGSNSCRIGLSLNTFGDPCKGVAKSLAVEASCA >Vigun11g200400.1.v1.2 pep primary_assembly:ASM411807v1:11:39857484:39869619:1 gene:Vigun11g200400.v1.2 transcript:Vigun11g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGKTVKKEVKGVGIISGTVKSYDPASGFVEIVYEDGDSEELESSEVASLLQFQPESVKAKPRVGRKPKKRRCMERKRDAEAGSGNVRENLEAEGSGFRGVLDGDVSSASGGGLDLDSGVIERNLDGIVENGGNLEDNVNGTKEENGVRETLIEEVALEDSLNKGLSGNVSCVKDGLDLNARLNMDEDLNLDVSNEDGLKRRDCIDLNLDVSNEDDVDPGGEAMQRECNFDLNVEVVCEEGKETRCDDDGNGHSEVGNVLFGKMGLSQKEEINVNYSSVEDDSISGNLHHAFDAVKPEVINVSADHPSKEGSLCLVEENGGDSHKDDTGAINSHQISSAISVRDSDFGEAQRVDCPSEGGIAIIHEYQDDPGSPCKQEKFLDVSGSPCKQENSRRKRRKLSNNPGAMPETVLRRSSRRASAIKGVSSTLEVEVADDPLVTLETDALTEEKPLIPGSQKSEQYNNDLRYRQCNNVLPKLQLPPSSTNLNLDDVPVLELFSIYACLRSFSTLLFLSPFELEDLVAALKSEIPSILFDSIHVSILQTLRKHLEYLSNEGCESASNCLRNLNWDFLDLVTWPIFMAEYLLIHGSGFKTGFDIKHLMFRTDYYRQPVIVKVEILQYLCDDMIESEAIRSELNRRSLVTETDMGFDQNMYFDTSKKRRAVMDVSGGSCLTEENVDETTDWNSDECCLCKMDGSLICCDGCPAAFHSRCVGIASDKLPEGDWYCPECGIGKHRAWMKSRRSLRGADLLGMDMDGSVYFNSCGYLLVSSSSEAGPLFYYYHRNDIHLVIEALKSMGPLYGGILMAIYKHWDIKANLSVGNSVFNQSSGKNMQMKGEYSTVYTSLAPSTSETCLDNNQAHDQGKLDENSTIDCCMRLGQEFPKVGNCLDSTITIESPCVVSDGSADTTQTRTGTNNVQTCGLNDFNRCNESLNQPGVPERHYPDCSLTSSSLDVGHKINLRSVGASSTPSPDSKDTSEAPYGIEYVNYYSFARTASFVAQELMCKSPEKMNKLFAMSEEEFISDQTKVIMKKSTNFCWPSIPELDAAAQKEKCGWCFTCKVANEDRDCLFNSVVKPVWEVSNSTLVGLQPRNIQNGHLRDIICLIFSLEVRLRGLLLGPWLNLHQTNLWHKDLLKTSDFVRVKRLLLLLESNLRLFALSADWLKHVDSVPTMGSAIHIVINSSRTSSRHGIAKKRARYSDTETSSSSNGASGLGMYWWRGGRLSRKLFNLKALPHSLVTKAARQGGCKKIPGILYLENSDFARRSRSVAWRAAVEMSTSAEQLALQVRELYSNIRWLDIENNHPLCVLDKESRKSVRLFKKSIVRRKCIEGQSVKYLIDFGKRRAIPDVVIKHGSLLEQSSSERKKYWLEESYVPMHLLKNFEEKRIVRKSTDKKLGKMLEIGKVNKKIPQERGFSYLFTRLERSDCHQCGHCNKDVSMRDAVRCLHCKGYFHKRHVRKSGSTTATGSTYSCHRCQDGLHAKTNTNKRKVGSKLQKIQAKKRKTVPSVCKSLNLKGNKKALSKVRQGRSRNSKNIQSSVPLRRSTRKAKSLYMQSQMNGGRKKGRPGKKNVGRKKGKQSKSKKVTNPEPNEPTGEYTKLAVTRRGTRTKFCSSYWLNGLQLSRKPNDERVMLFKEKKTIVSSEDFSGSLDCLNCCLCCGNGYTLNYIACEICGDWFHGDAFGLNVENVKQLIGFKCHVCLDRTAPICPHVKINALSRPESSAANESAEELSNPVSLQPLSEV >Vigun03g428800.1.v1.2 pep primary_assembly:ASM411807v1:3:63338497:63339757:-1 gene:Vigun03g428800.v1.2 transcript:Vigun03g428800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTEWRRMGWESYVVVHNIAKRHNVGTLARSATAFGVSELILVGRRDFNCFGSHGSSSHLRFRHFHSLHDARDFLKDKDCDICGVEITNDALPVSHHPFKKSTAFLLGNEGQGLSPKEIQICDFFVYIPQYGSGTASLNVTVAASIVLHHFAVWAGFEERSRDGSKFVVAERPVKQGRRNYCTETEDSIIEERKARRESAANGFFDEAESGNSSSNLLDALFVDG >Vigun06g045900.1.v1.2 pep primary_assembly:ASM411807v1:6:17036246:17044483:-1 gene:Vigun06g045900.v1.2 transcript:Vigun06g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFVFEPPSDEEIEHSEREEEEEDEEEPEEAESGSDSDSEEEGLHKEARVSKKKTQSPWDFAKYTESVAEEHARKSTTSVDEKISKALRQRSTPLVAELDHSSESELDEQEDYKPDEEDEEEGYGNDSKSFFAPSDGTSFNADSFLQLNLSRPLLRACEALGYAKPTPIQAACIPLALSGRDICGSAITGSGKTAAFALPTLERLLFRPKRMRAIRVLILTPTRELAVQVHSMIEKLAQFTDIRCCLVVGGLSTKVQEVALRTMPDIVVATPGRMIDHLRNAMSVDLDDLAVLILDEADRLLELGFSAEIQELVRLCPKKRQTMLFSATMTEEVDELIKLSLSKPLRLSADPSTKRPATLTEEVVRIRRMREVNQEAVLLAMCSKTFTAKVIIFSGTKQAAHRLKIIFGLAGLKAAELHGNLTQAQRLEALEQFRKQQVDFLVATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLRSRIVAEQSILKWSHIIEQMEDQIDEVLREESEERALRKAEMEATKAENMIEHKEEIFSRPKRTWFVTEKEKKLAAKAAKASSLEKNKSSGKEVMSAEQAEDLRMKEKRKREREKHLPRKKRRKLEAAREMLEDEEEDGNQVEAKGTNKKEKGGLSLVDLAYRRAKAVKAVKKAVDSGKIVKKSQKKSSNVPRKTPSRTEEMRDLFQTDMKDKKPKRRGVGGGKKAKSSFKSKSRYKRK >Vigun06g045900.2.v1.2 pep primary_assembly:ASM411807v1:6:17036246:17044483:-1 gene:Vigun06g045900.v1.2 transcript:Vigun06g045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFVFEPPSDEEIEHSEREEEEEDEEEPEEAESGSDSDSEEEGLHKEARVSKKKTQSPWDFAKYTESVAEEHARKSTTSVDEKISKALRQRSTPLVAELDHSSESELDEQEDYKPDEEDEEEGYGNDSKSFFAPSDGTSFNADSFLQLNLSRPLLRACEALGYAKPTPIQAACIPLALSGRDICGSAITGSGKTAAFALPTLERLLFRPKRMRAIRVLILTPTRELAVQVVRIRRMREVNQEAVLLAMCSKTFTAKVIIFSGTKQAAHRLKIIFGLAGLKAAELHGNLTQAQRLEALEQFRKQQVDFLVATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLRSRIVAEQSILKWSHIIEQMEDQIDEVLREESEERALRKAEMEATKAENMIEHKEEIFSRPKRTWFVTEKEKKLAAKAAKASSLEKNKSSGKEVMSAEQAEDLRMKEKRKREREKHLPRKKRRKLEAAREMLEDEEEDGNQVEAKGTNKKEKGGLSLVDLAYRRAKAVKAVKKAVDSGKIVKKSQKKSSNVPRKTPSRTEEMRDLFQTDMKDKKPKRRGVGGGKKAKSSFKSKSRYKRK >Vigun09g146800.1.v1.2 pep primary_assembly:ASM411807v1:9:31054561:31059269:1 gene:Vigun09g146800.v1.2 transcript:Vigun09g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFHFVFSALLAHSAAPSSHRNTKQYLGAIIFSSKPSKLPKIQSYSHHQHTPYDEGVSNAFDYGLWIRSPRSVRERKGKFMKSMGLSSVDLTALESENSVDVDSVLCEEGKDRVEFTSGAVTQNCVVEEEFCSSRTSMSCLHREDSSEELGLVDNSPGGDENLEGNVDQEMSDGRDLDSDRLVVMAEPKDSENGFRETDANASGGRTSQVRKGWFRRLRSISCMVNSHGEGDFGREGLGEMPGCRLPKVKVRQSKKPMKELSALYMSQDIKAHEGSILTMKFSPDGQYLASGGEDGVVRLWQVVEDDRCNEVDIPEIDPSCIYFTVNNLSELTPLFIDKEKISKLKSLKKTSDSACVVIPPKIFRLLEKPLHEFHGHRGEVLDLSWSNNNYLLSSSVDKTVRLWQVNNDRCLKVFSHSNYVTCIQFNPVDDNYFISGSIDGKVRIWTIADCHVVDWISIKDIVTAVCYRPDGKGGIIGSLAGNCRFYNVSENRLQLDSQICLIGKKKLLGREITGFQFLPQDSNKVMVSCADSQVRILDGLNVIGKYKSLSTGSPICASFTSDGKHIVSACEDSNVYLWNVSEEESNPVKAKKITSCERFCSNASVAVPWHGLKSKNIENEHQLNLLDKGSSQVFQLSPPASFSLSQKFVLESFSKGSATWPEEKLPVSSSKAKTSLIHQSEYNFLKSSCKSTSSAHAWGMVIVTAGWDGRIKSFHNYGLPIPV >Vigun09g146800.2.v1.2 pep primary_assembly:ASM411807v1:9:31054350:31059269:1 gene:Vigun09g146800.v1.2 transcript:Vigun09g146800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTEDDECRFFDAQEDVFSIADDEGVSNAFDYGLWIRSPRSVRERKGKFMKSMGLSSVDLTALESENSVDVDSVLCEEGKDRVEFTSGAVTQNCVVEEEFCSSRTSMSCLHREDSSEELGLVDNSPGGDENLEGNVDQEMSDGRDLDSDRLVVMAEPKDSENGFRETDANASGGRTSQVRKGWFRRLRSISCMVNSHGEGDFGREGLGEMPGCRLPKVKVRQSKKPMKELSALYMSQDIKAHEGSILTMKFSPDGQYLASGGEDGVVRLWQVVEDDRCNEVDIPEIDPSCIYFTVNNLSELTPLFIDKEKISKLKSLKKTSDSACVVIPPKIFRLLEKPLHEFHGHRGEVLDLSWSNNNYLLSSSVDKTVRLWQVNNDRCLKVFSHSNYVTCIQFNPVDDNYFISGSIDGKVRIWTIADCHVVDWISIKDIVTAVCYRPDGKGGIIGSLAGNCRFYNVSENRLQLDSQICLIGKKKLLGREITGFQFLPQDSNKVMVSCADSQVRILDGLNVIGKYKSLSTGSPICASFTSDGKHIVSACEDSNVYLWNVSEEESNPVKAKKITSCERFCSNASVAVPWHGLKSKNIENEHQLNLLDKGSSQVFQLSPPASFSLSQKFVLESFSKGSATWPEEKLPVSSSKAKTSLIHQSEYNFLKSSCKSTSSAHAWGMVIVTAGWDGRIKSFHNYGLPIPV >Vigun09g146800.3.v1.2 pep primary_assembly:ASM411807v1:9:31054561:31059269:1 gene:Vigun09g146800.v1.2 transcript:Vigun09g146800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGLSSVDLTALESENSVDVDSVLCEEGKDRVEFTSGAVTQNCVVEEEFCSSRTSMSCLHREDSSEELGLVDNSPGGDENLEGNVDQEMSDGRDLDSDRLVVMAEPKDSENGFRETDANASGGRTSQVRKGWFRRLRSISCMVNSHGEGDFGREGLGEMPGCRLPKVKVRQSKKPMKELSALYMSQDIKAHEGSILTMKFSPDGQYLASGGEDGVVRLWQVVEDDRCNEVDIPEIDPSCIYFTVNNLSELTPLFIDKEKISKLKSLKKTSDSACVVIPPKIFRLLEKPLHEFHGHRGEVLDLSWSNNNYLLSSSVDKTVRLWQVNNDRCLKVFSHSNYVTCIQFNPVDDNYFISGSIDGKVRIWTIADCHVVDWISIKDIVTAVCYRPDGKGGIIGSLAGNCRFYNVSENRLQLDSQICLIGKKKLLGREITGFQFLPQDSNKVMVSCADSQVRILDGLNVIGKYKSLSTGSPICASFTSDGKHIVSACEDSNVYLWNVSEEESNPVKAKKITSCERFCSNASVAVPWHGLKSKNIENEHQLNLLDKGSSQVFQLSPPASFSLSQKFVLESFSKGSATWPEEKLPVSSSKAKTSLIHQSEYNFLKSSCKSTSSAHAWGMVIVTAGWDGRIKSFHNYGLPIPV >Vigun03g055900.1.v1.2 pep primary_assembly:ASM411807v1:3:4566084:4566655:-1 gene:Vigun03g055900.v1.2 transcript:Vigun03g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKMLRFSMLVLFIVLAADVALKRTEAKQCWQPSLTFKGVCLNSNNCAIVCRTEGETGGRCRGFPPHCDCYYIC >Vigun03g205700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33284287:33285288:-1 gene:Vigun03g205700.v1.2 transcript:Vigun03g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRKRWECEEGGEKKSEEANTEWEKLREEAASMAAAILGARRANKRYIGVRQRPSGRWVAEIKDTIQNIRLWLGTYDNAEDAARAYDEAARLLRGANTRTNFFPSQSSPSVSALPPKIAKLLFLRLKARNFDPRFPSNHYDQETKVEPQPQPQPEAEPKLYLQTEEPHFENFVDMEGNGIVEESYYGARYSSSAITTCDYGCGASEEDSNQKGSGEDLSFGYNFAENVYDEEKKIVSGKGEFEGCDFQFLESCGSLSYSFSPFEIAEEMLGAIEENKYYDVDDSVLGRESLMMKYERKFSACFYTLTGVSECLRLQVGQENGKELENFLGW >Vigun08g067900.1.v1.2 pep primary_assembly:ASM411807v1:8:10280621:10285583:1 gene:Vigun08g067900.v1.2 transcript:Vigun08g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDYQEEEQSNASYSEPSSSSKSETHSFSADFDTSNPRDFLRKVFDFVAQTSDFFQKDTAVEEVVSVARAVKVKAVAETAEKKKKEVKTAKEAAAAAEKKKKEVEASKEEEAAAAEKKKNQETASIVPNKGNGLDLEKYSWTQTLQEVNVSVPVPNGTKSRFVICDIKKNHLKVGLKGQPPIIDGELYESIKPDDCYWSIEDQNTISILLTKHNQMEWWKCVVKGDPEIDTQKVEPENSKLGDLDPETRQTVEKMMFDQRQKSMGLPTSDELQKQEILKKFMNEHPEMDFSRAKIS >Vigun03g049400.1.v1.2 pep primary_assembly:ASM411807v1:3:3958602:3962752:1 gene:Vigun03g049400.v1.2 transcript:Vigun03g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETLENEKPQGEEEVQAEENHTEQEDTPVKNDVNENGDGKEKDRVEEEKNEEEEEETDERGEAKSIKSTEESGYATPTSKRPTRERKTVDRYTVSSPDKFHQSSSRKTSSIEKGNGTQLKDIPNVAFMLSKRKTDDNLHALHRVLFGLRGKVNAVKRNIGMFSGYVWTDNEEKQKAKTREKIEKCPKEKLVDFCNVLNIPINKTTMKKEELCAKLFEFLVSPHATTDVLLADQKMKGKKRSRKQTPNKSEASAKKQKKSSLVAKKQKQSSDIEESDVSEPSEAKVDTEEDDVQKSESDPDDENLSEKEEDDKEKAHNRSSKKILNEDQDEPVKKTTTVETGKSNERTPKKSTSKIARNDNTSKSKQSASKKQKTVRENQNSKGKGADKKPTDKSSKALVKDEGKGRSSEKAKSGPSKDEMHRVVVDILKKVDFNTATLSDILKRLGTHFDVDLMHRKAEVKDIITDVINNMSDDDQEEASNVGDDDGEDETLL >Vigun09g216100.1.v1.2 pep primary_assembly:ASM411807v1:9:39042145:39043138:1 gene:Vigun09g216100.v1.2 transcript:Vigun09g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSRAVSASLFFFFLLLGFSAAKELLVGGKIDAWKIPSSEADSLNQWAEKSRFRVGDYLVWKFQSGKDSVLEVTREEYGNCSTSNPIKVYSDGNTKVKLERPGPFYFISGAKGHCEKGQKLIVVVMSPKHTLRAISPAPSPVEFEAPAVAPTSSAATLEVALVTVTLGVLAMYV >Vigun06g145600.2.v1.2 pep primary_assembly:ASM411807v1:6:27101476:27110064:1 gene:Vigun06g145600.v1.2 transcript:Vigun06g145600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLQKPFFSNFGAIVTFAIFGTFIASIVTGILVYLGGLLFLMYRLPLVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVKAHPSGQNLFMVVVRFLETFVGSMSAGVGVGFISALLFKYAGLDIDNLQNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSQSSQRFASAFFELISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGIARAANVFSCAYLVNLVRPSHRKIPPKHQRALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALDVVGGDDDSPLSSSIGTITNFDGNNGYIAPPYNEESSSGNKIKMKLKEFHKSAASFTAFDKNYLTPFFTTQNGDEDDEAEPFTSTRSGFHGQNRY >Vigun06g145600.1.v1.2 pep primary_assembly:ASM411807v1:6:27101476:27110064:1 gene:Vigun06g145600.v1.2 transcript:Vigun06g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEISPADARKAPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRKKVYIIPEASASLLIGLIVGILANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIVTFAIFGTFIASIVTGILVYLGGLLFLMYRLPLVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVKAHPSGQNLFMVVVRFLETFVGSMSAGVGVGFISALLFKYAGLDIDNLQNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSQSSQRFASAFFELISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGIARAANVFSCAYLVNLVRPSHRKIPPKHQRALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALDVVGGDDDSPLSSSIGTITNFDGNNGYIAPPYNEESSSGNKIKMKLKEFHKSAASFTAFDKNYLTPFFTTQNGDEDDEAEPFTSTRSGFHGQNRY >Vigun01g082400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23317149:23318195:-1 gene:Vigun01g082400.v1.2 transcript:Vigun01g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSSVVAKRLWNVMRVTFFMIRKGLISKRKMIMDTNLMMKKGNVRKSLSNLMSSHHHHHHQKNNNPVSVTRGGLGVHYEFSCSNSPNPVFFHMPKRKHHFNFPCIHAPEVVDDEHRFSFEVETDVPKGVVTLPKTPDYMFNIFVPGEKKSPLLSPFSVRVSNYSALDESEETGNDHVDDQAEDFIRRFYEQLRSQSPVQFLGY >Vigun10g003400.1.v1.2 pep primary_assembly:ASM411807v1:10:291727:294790:1 gene:Vigun10g003400.v1.2 transcript:Vigun10g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLCFVLLLSLMLFHNFHASSSLSLSVENFKKDVIESSPEKTFTAGFYAVGENAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLTTGNLVLTDAGQFQVWSTNTAAESRQVQLRLHDNGNLVLLESRNSSSEVVMWQSFHFPTDTLLPGQSLTKSSSLVSSRSGSNYSSGFYRLFFDSENVLRIMYQGPQVSSVYWPDPWLQNNNFGNGGAGNGRSTYNDSRVAVLDDLGYLVSSDNFTFRSIDYGTVLQRRLTLDHDGGARMYSRNNGEEKWTMAGEFRSHPCYVHGICGANSYCKYDPPSGRKCSCLPGHSWVDSEDWSQGCKPTFEILCSSNNNRTEDETRFLRIPDVDFYGYDYGYFGNYTYQQCENLCSQMCECRGFQHSFSVENAFFQCYPKTHLRNGNSQPGFMGSFFLKLPSSSRVEFENNIKKNGLVCGGDSDQGAELLHRSYVKEGENGSVKFMLWFASALGGVELVCIFLVWCFLFRNNRTLPSGAEGQGYVLAAAVGFRKFSYSELKQATKGFNEEIGRGGGGTVYKGVLEDNQIVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLICMLGYCAEGKHRLLVYEYMENGSLAQNLSSASNVLDWSKRYIIALGTARGLAYLHEECLEWILHCDIKPQNILLDSDYKPKVGDFGLSKLLNRNNLNNSSFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVVLEMITGRSPTTGAPITELEAESTHHERLVTWVREKRMKGSENGSSWVDQIVDPALGTNYDMNEVEILATVALECVEEEKNARPSMSRVTEKLQSHMHTIVDVLNKE >Vigun03g277300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45422183:45422302:-1 gene:Vigun03g277300.v1.2 transcript:Vigun03g277300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRPRNSTLFHSRFFLFGFYCWDWEFLTALLLFSSSS >Vigun07g042000.2.v1.2 pep primary_assembly:ASM411807v1:7:4135584:4146311:1 gene:Vigun07g042000.v1.2 transcript:Vigun07g042000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLDTVGPELQVVNKTEHPISLQADTLVVLTPDQNKEAGSNLLPLNFNGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVNGDDVTCVIKNSATLAGSLYTLHVSQIRIDLPTLTNKDKEVISTWGVQNNIDFLSLSFTRHAEDVRHAREFLSKLGDLKQTQIFAKIENIEGLTHFDEILREADGIILSRGNLGIDLPPEKVFLFQKAAIYKCNMAGKPAVVTRVVDTMTDNLRPTRAEATDVANAVLDGSDAIVLGAETLRGLYPVDTISTVGKICAEAEKVYNQDIYFKKAVKFVGEPMTHLESITSSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPQLKTNQLRWTFTGAFEARQSLIVRGLFPMLADPRHPAESKSGTNESILKVALDHGKAFGIIKPHDRVVVCQKVGDSSVVKIIELDD >Vigun07g042000.1.v1.2 pep primary_assembly:ASM411807v1:7:4131054:4146311:1 gene:Vigun07g042000.v1.2 transcript:Vigun07g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPLILEEPIRMASILEPSKPSFFPGMTKIVGTLGQKSRSVDVISQCLEAGMSVARFDFSWGDPEYHQETLENLRAAIKRTRKLCAVMLDTVGPELQVVNKTEHPISLQADTLVVLTPDQNKEAGSNLLPLNFNGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVNGDDVTCVIKNSATLAGSLYTLHVSQIRIDLPTLTNKDKEVISTWGVQNNIDFLSLSFTRHAEDVRHAREFLSKLGDLKQTQIFAKIENIEGLTHFDEILREADGIILSRGNLGIDLPPEKVFLFQKAAIYKCNMAGKPAVVTRVVDTMTDNLRPTRAEATDVANAVLDGSDAIVLGAETLRGLYPVDTISTVGKICAEAEKVYNQDIYFKKAVKFVGEPMTHLESITSSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPQLKTNQLRWTFTGAFEARQSLIVRGLFPMLADPRHPAESKSGTNESILKVALDHGKAFGIIKPHDRVVVCQKVGDSSVVKIIELDD >Vigun03g268500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43981712:43982682:-1 gene:Vigun03g268500.v1.2 transcript:Vigun03g268500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVGFFVFLTLFALCSSFPLERNYAPCKHLVLFFHDIIYNGRNALNATSAIVAAPEGANLTKLANLFHFGNLVVFDDPVTLDNNLHSEPVGRAQGFYIYDSKNTFSACLGFTFVLNNTHHEGTITLAGADPTLKKTRDISVTGGTGDFFMHRGIATIMTDAFEGDVYFRLRVEIKFYECW >Vigun10g100200.3.v1.2 pep primary_assembly:ASM411807v1:10:29243158:29248300:1 gene:Vigun10g100200.v1.2 transcript:Vigun10g100200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPVSVVKDNILQLSDDIFEEIGVPSTKVVILYVDLLPRSNMTKVVFAVDPDGKYSEMSAAAISLIGASFKDLVMRQSYLLLTSSLFGVPSDFEVLKFKGGITIIPQQSVFPLQTVQTLFNFTLNFSIYEIQTNFGELTSQLKNGLHLAPYENLYVIFSNSEGSTVAAPTTVQTSVLLAVGITPSKERLKQLAQTIMGHHSWNLGLNNTQFGRVKQVRLSYILQHSLHGTGSGGSAWSPSPAPLPHPHHHHHHHHHHHHHHSHHHSHHHNTHVFPETSPSPAPTTGEGAASPEFGSLAPARSLPGPGRSSYAQPPKCQSGYRKRSSQNTRKQFGLTPAVAPTNAPHYPVPSPQDRPSAHGFHFSVPALSPLPNIAFAHVGPPPKNEPSAERPSTHVHGPSPSLYSAGCAGTVNWIPLMFSVILLLV >Vigun10g100200.1.v1.2 pep primary_assembly:ASM411807v1:10:29240901:29248300:1 gene:Vigun10g100200.v1.2 transcript:Vigun10g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGEEDHSLPSTVAAEDPWRNAVGSGCIFSVVGIKCVIVLLFSVAVFLSALFWLPPFVHFADPKDLHFNSKYKDHDIVASFYMQKPVSVVKDNILQLSDDIFEEIGVPSTKVVILYVDLLPRSNMTKVVFAVDPDGKYSEMSAAAISLIGASFKDLVMRQSYLLLTSSLFGVPSDFEVLKFKGGITIIPQQSVFPLQTVQTLFNFTLNFSIYEIQTNFGELTSQLKNGLHLAPYENLYVIFSNSEGSTVAAPTTVQTSVLLAVGITPSKERLKQLAQTIMGHHSWNLGLNNTQFGRVKQVRLSYILQHSLHGTGSGGSAWSPSPAPLPHPHHHHHHHHHHHHHHSHHHSHHHNTHVFPETSPSPAPTTGEGAASPEFGSLAPARSLPGPGRSSYAQPPKCQSGYRKRSSQNTRKQFGLTPAVAPTNAPHYPVPSPQDRPSAHGFHFSVPALSPLPNIAFAHVGPPPKNEPSAERPSTHVHGPSPSLYSAGCAGTVNWIPLMFSVILLLV >Vigun10g100200.2.v1.2 pep primary_assembly:ASM411807v1:10:29240901:29248300:1 gene:Vigun10g100200.v1.2 transcript:Vigun10g100200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDPLRRQWESPEKKTTLYHPQLPPRIHGGMQWGPAASFLLLESNALLSCFSQLQFFSQHSSGYHHSFTLQIPKIFISILSTKKPVSVVKDNILQLSDDIFEEIGVPSTKVVILYVDLLPRSNMTKVVFAVDPDGKYSEMSAAAISLIGASFKDLVMRQSYLLLTSSLFGVPSDFEVLKFKGGITIIPQQSVFPLQTVQTLFNFTLNFSIYEIQTNFGELTSQLKNGLHLAPYENLYVIFSNSEGSTVAAPTTVQTSVLLAVGITPSKERLKQLAQTIMGHHSWNLGLNNTQFGRVKQVRLSYILQHSLHGTGSGGSAWSPSPAPLPHPHHHHHHHHHHHHHHSHHHSHHHNTHVFPETSPSPAPTTGEGAASPEFGSLAPARSLPGPGRSSYAQPPKCQSGYRKRSSQNTRKQFGLTPAVAPTNAPHYPVPSPQDRPSAHGFHFSVPALSPLPNIAFAHVGPPPKNEPSAERPSTHVHGPSPSLYSAGCAGTVNWIPLMFSVILLLV >Vigun05g027200.1.v1.2 pep primary_assembly:ASM411807v1:5:2199195:2202624:-1 gene:Vigun05g027200.v1.2 transcript:Vigun05g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKSMVLRWPKEKDYCESEGIKMEFKVLASDRIEKHESFIIRPRIKLWMTRAITIVVLWTSLVQLIALGELLGPSLLKGMPHCFSTRAVEKDLTLAKVLLPPKRIYRNNGYLLVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKASFWADSSDFKDIFDVDHFITSLRDEVRILKHLPPKVKIRVEKGLSYSMPPISWSNISYYENQVLPLLLKHKVIHLNRTDARLANNGLPAEIQKLRCRVNFNALRFTSQIEELGRRIVKVLREKGPFVALHLRYEMDMLAFSGCAHGCDSKEEEELRRMRYAYPGWKEKVIDSELKRKDGLCPLTPEETSLVLTALGIDHNVQIYIAAGEIYGGEKRMESLLRDFPNLVRKETLLEPSELMYFQNHSSQMAAVDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKKSVLLDRRVVVELIDQYYNGLLSWDDFSTAVKEVHANRMGNPKTRVIIPEKPKEEDYFYANPQECLQLLDEPLKNT >Vigun05g196300.1.v1.2 pep primary_assembly:ASM411807v1:5:37949230:37951962:-1 gene:Vigun05g196300.v1.2 transcript:Vigun05g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASLVPASFSVPKEGKSGVSLKDSTLFGLSLSEPVKADFSSSALRCKRESLRKIGAVRAETVATASPAVTKSAPEGKKTLRKGSVVITGASSGLGLATAKALAETGKWHIIMACRDYLKAARAAKSAGIAKENYTIMHLDLASLDSVRQFVDNFRRSEMPLDVLVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLEDLQKSDYPSKRMIIVGSITGNTNTLAGNVPPKANLGDLRGLQGGLSGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFSSLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKTSASFENQLSQEASDAEKARRVWDISEKLVGLA >Vigun08g133000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30406825:30408754:1 gene:Vigun08g133000.v1.2 transcript:Vigun08g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLARTLIFNHSTKRFFSPFKPLSSPFLLQCATKTTCALQQSRRNVIEILEERGLVESITSDALRAACSSPVKVYCGFDPTAESLHLGNLLGIIVLSWFHRCGHSSIALIGGATARVGDPSGKSLERPELDAESLEKNTVGISNTIERILGRGRNPNSVGSDLSVVILNNYDWWKEFSLLDFLKRVGRFARVGSMISKESVRKRLESEEGISFTEFTYQLLQGYDFLHLFQNEGINVQIGGSDQWGNITAGTELIRKILHVKDAYGLTFPLLLKSDGTKFGKSEDGAIWLSPKFLSPYKFYQYFFSITDDDVIRFLRVLTFLDIEEIVALEGEMTKPGYVPNTAQRRLAEEVTRFVHGEEGLAEALQATEALRPGSGTKLDWKSIEGIAEDVPSCSLAYEEVLNLSLVDLSVSSGLFESKSAARRLLKQGGLYLNNSRVDSEGKRIEAADIVDGKVLLLSAGKKNKVLVRIA >Vigun09g211000.1.v1.2 pep primary_assembly:ASM411807v1:9:38503144:38513792:-1 gene:Vigun09g211000.v1.2 transcript:Vigun09g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSPPKHRHDGTSPLPLGMDWSPAPRKWNGQDTVWPHNHRTGWSYCVTIPSWSFVPKSRNSDPVVFYRVQVGVQSPEGITRLHGVLRRFNDFLKLFADLKKEFPRKNIPPAPPKGLLRLKSRALLEERRCSLEEWITKLLSDIDVSRCAAVASFLELEAAARFSFQDTSQQNSETDPDSNNTVYSVQSPLQSSLSLLAGSSSVASDYGSDTAYEPSDLGTPRVGRDDNSDVGTDDLTLDEDMTNPIEKLVKYGISNIDEGLFMGQTILEQLEGLPRHKANARHVNYVTQKDKNNGNLYDSSLLGNNTMELFSEPGHAKVVGHVRKLSNESFGSEGSSLRGSDISNFGIPNSSGEGSHDLPGSASVSRDTDIMGHTKLKSTGDTQLVFPLDQRNKLNRILSTMQRRLGTAKTDMEDLIVRLNQEITAKDFLATKVKDLEVELETTKQKNKENLQQAILIERERFTQMQWDMEELRRKSMEMEMKLKSETGGNSYQNSTKESIVLQNDVLLQNLETAKEHLEVLSKQYGELEAKSKADVKVLVKEVKSLRNSQTKLKKELSESIKENSETEKQLLQERERREQAEAARRKLLEKCRFLFNQLQECNVSLPYECEDRTVMNSSSLSDAFNQLTTSDDQMDTLLSEVENLDKEYGSAASSVDKANGTKDGIICDDEVGKIIADLLMDNVRLRKQTNRVAKHALKLDMTATEDTPSMETISGI >Vigun07g132700.1.v1.2 pep primary_assembly:ASM411807v1:7:24247841:24249112:1 gene:Vigun07g132700.v1.2 transcript:Vigun07g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNTYAILVIFCCAFSFAFASDPDTLQDLCVALPSSGVKVNGFACKAETNVTEADFFFAGLAKPGVINNTLGSVVTAANVEKIPGLNTLGVSFSKIDYKAGGLNPPHTHPRATEIVFVLDGELDVGFITTANKLISKSIKKGEIFVFPKGLVHYQKNNGDKPASVLSAFNSQLPGTFSIAAALFSSTPTVPDNVLAQAFQIDTKQVDDIKAKLAPKKS >Vigun01g139100.1.v1.2 pep primary_assembly:ASM411807v1:1:31944144:31948322:1 gene:Vigun01g139100.v1.2 transcript:Vigun01g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMATMVVPFPQTQKNQARTFLSQPPTTVKKLKPKDTKASKTHTTNIKCSATLSSTVQSIEKEEGKKQKAVAEVWRKIHGEDNWAGLLEPLDPLMRTELIRYGEMAQACYDAFDYDPYSKYCGSCKYSIPEFFQSLDMPNAGYNVTRYLYATANINLPKFFRKSRWADKTWSQHANWSGFIAVSDDATSKRLGRRDITIAWRGTVTNMEWVADLTNYLRPVAPFIPSPDEGVKVEAGFLDLYTDKEQECGYCKYSAREQVLGEVKRLMAIYSDEEVSITITGHSLGSAMAILSAYDITESGASVGKSGRKAHVSVFSFSGPRVGNARFKDRLEGELGVKVLRVLNKHDLVPQSPGLVFNEGLPPWVVKLVHWLPWCYLHVGEEVELDHKKSPFLNPNGDPACAHNLEAMMHLLDGYHSKYDPFKRTSERDVALVNKACDFLKDEYKVPPNWRQELNKNMIKTEGGRWVFTEREVCQDPHHEDIDPHLGELGLFSFDKSTNQ >Vigun11g101400.1.v1.2 pep primary_assembly:ASM411807v1:11:29692253:29693814:1 gene:Vigun11g101400.v1.2 transcript:Vigun11g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESKRELTLSLCKCACILSLSITKLMASVKRFEGYSGSTRKCSDGAEKDENENYSDINGKYSIPNCIAVLKRLKKEFYSMSDLEYGFALESIKDPQNRIIIMSLKDSMKELYCWNHYNYSVNERRHLR >Vigun07g036666.1.v1.2 pep primary_assembly:ASM411807v1:7:3523552:3526265:-1 gene:Vigun07g036666.v1.2 transcript:Vigun07g036666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLVVRIVDLWFMILTDQKGDVILAMIKKEDIATWEDKLKEGESYIMHNFKILNNRAQYRVCDHPFKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRMVSYWRESRETPYPAIILTQAKIKVASDDISELIQFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYLKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPEDLDVLLGLMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDELEVRKDKSIVGKRTSASESDVHTHTGSSLAMELAECGDNAACDLFADTDSSLMCLSRTVDLDPDVVLCYIPENFMDFDLLEDLPLAQMSATKTMKTIKKEK >Vigun05g292333.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47842966:47843304:-1 gene:Vigun05g292333.v1.2 transcript:Vigun05g292333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFRVVVFSVFVVVLIVFVHVTFFICFCGFWGIGCFLFIFSFVCVFYVFVLCCLVCCVCVCFWCCVCFVIWDVCVIVFGVFVDLLTDFVLLCFLICFCGCGGGGGVGYCM >Vigun06g154700.2.v1.2 pep primary_assembly:ASM411807v1:6:27847644:27853740:1 gene:Vigun06g154700.v1.2 transcript:Vigun06g154700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEDSANPLRWPFQFFVRTVSTGRSMVMTGYPEETVKSVLVKIAWLTGMPLRELRVIYKGKQLQLEKTLVESGIENDSNLNVVGHLRSGLYPAASRPIDYVLSLLAHVFTSNNGSACAALKTVKALTTRHFSLPGFVELYAASNAPTMLASIYHSSSGADTRKIAASCIMNFMSCVSAKNWQGGSVGAVMEFCKQLRKFGRGDHDYLYLSCRNTLGHLLNTIGVSYGSGSVKKRVSLRDVFSFVPELVDSLLVSLDYSKRGGNTILSITGPSPAEVSDLIIFLTPLRNAIILQKQLLGGFASGDDEKCSVEKDGDQLLEEEIDYLRLVFVRLLSKMDECFRFMAEYLAGKESGGRGFVLNHSWCEYLRILKDLYDTSKVFDGAEEMFWTVLRFHKNMLSALVVGFVKRGGDHRWVLDDKSVTNFECRRHLAMILFPAVDEGFDMFHEMLIDRSQVLAESFEYIGRANPESLRSGLFMEFKNEKATGPGVLREWFVLVCREIFDSRHALFVSCPNDCRRFYPNPASKVHPRHLEYFRFAGRVIALALLERVQVGIVFDRVFFIQLAGIRVTLEDIRDADPCLYRSCKQILEMDAEFIDSDALGLTFVRELEELGCREVVELCPGGKNIILNSQNREHYVDLLIQHCFVTSISEQVANFAQGLADILSSSKLQQFFQCLELEDLDWMLHGSEDTISIEDWKAHTVYKGYKESDCQISWFWEIVGRMSAEQRRVLLFFWTSVKYLPVEGFRGLSSPLSICRTAEPGNRLPSSHTCFHRLFLPKYSSSAVMQDHFGVITQEHIASSFGIS >Vigun06g154700.1.v1.2 pep primary_assembly:ASM411807v1:6:27848440:27853779:1 gene:Vigun06g154700.v1.2 transcript:Vigun06g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEDSANPLRWPFQFFVRTVSTGRSMVMTGYPEETVKSVLVKIAWLTGMPLRELRVIYKGKQLQLEKTLVESGIENDSNLNVVGHLRSGLYPAASRPIDYVLSLLAHVFTSNNGSACAALKTVKALTTRHFSLPGFVELYAASNAPTMLASIYHSSSGADTRKIAASCIMNFMSCVSAKNWQGGSVGAVMEFCKQLRKFGRGDHDYLYLSCRNTLGHLLNTIGVSYGSGSVKKRVSLRDVFSFVPELVDSLLVSLDYSKRGGNTILSITGPSPAEVSDLIIFLTPLRNAIILQKQLLGGFASGDDEKCSVEKDGDQLLEEEIDYLRLVFVRLLSKMDECFRFMAEYLAGKESGGRGFVLNHSWCEYLRILKDLYDTSKVFDGAEEMFWTVLRFHKNMLSALVVGFVKRGGDHRWVLDDKSVTNFECRRHLAMILFPAVDEGFDMFHEMLIDRSQVLAESFEYIGRANPESLRSGLFMEFKNEKATGPGVLREWFVLVCREIFDSRHALFVSCPNDCRRFYPNPASKVHPRHLEYFRFAGRVIALALLERVQVGIVFDRVFFIQLAGIRVTLEDIRDADPCLYRSCKQILEMDAEFIDSDALGLTFVRELEELGCREVVELCPGGKNIILNSQNREHYVDLLIQHCFVTSISEQVANFAQGLADILSSSKLQQFFQCLELEDLDWMLHGSEDTISIEDWKAHTVYKGYKESDCQISWFWEIVGRMSAEQRRVLLFFWTSVKYLPVEGFRGLSSPLSICRTAEPGNRLPSSHTCFHRLFLPKYSSSAVMQDHFGVITQEHIASSFGIS >Vigun04g141250.1.v1.2 pep primary_assembly:ASM411807v1:4:34959707:34959992:-1 gene:Vigun04g141250.v1.2 transcript:Vigun04g141250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTNIIIEEEDRGVAEVEEKMKSSLSNSVRRIGGKQVRGKGKKPYEKRKVQCYTCDKFGHYSNECRHNNTIKKNKKDDKAHLA >Vigun06g137000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26284173:26286050:1 gene:Vigun06g137000.v1.2 transcript:Vigun06g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCLVYLSAAFLLLTLSTSLAAPSSNPSLYDNFLRCLTQQTKSSTSLSNIVIAQNNPAFPTVLQNYIRNARFNNSQTLKPLLIVTPLQESHVQGAVICAKAANVQLKIRSGGHDYQGISYISDKPFIILDMFNLRAIKVDIANQVAVVQVGTTLGELYYGIWKNSKVHGFPAGVCPTVGVGGTVSGGGYGNMLRKYGLSVDNIIDAQIVDVKGNLLNRKSMGEDLFWAIRGGGGASFGVVVSYTAKLVPVPETVTVFRVAKTLETNVTSTDLVVQWQQVAPTTDDRLFMRLLLQPVSSDVVKGAKTVRATVVALFLGGADEVVSILGKEFPLLGLKKEDCMEVRWIDSVLWWDDDESLKNGEKPEALLNRDVNSASFLKRKSDYVEKAISREGLVSIFKKMIELGKVGLVFNPYGGKMGEIPSDATPFPHRKGNLFKIQYSVSWKDPSAGAAENFTNQAKMLYSYMTPFVSTNPRRAYLNYRDLDIGVNHFGKNSFEEGEVYGRKFFNQNFDRLVNIKTKVDPENFFRNEQSIPVHSTKP >Vigun10g031666.2.v1.2 pep primary_assembly:ASM411807v1:10:4163165:4167078:-1 gene:Vigun10g031666.v1.2 transcript:Vigun10g031666.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQGWNQLRSFYGHQSDKLVMFKYVGNSSFVIHVSKRSANTGIKTKFLNNVAICRPLSMSNLIHFTVELSTYYCQASDLYLKKEFATYFQNSGLSSVVLHGPRGKVECKLIIRRRCVKIGSGWKDFCALHQLSVQDHPELFFEVESQRTSRDIKVLYPLFWF >Vigun10g031666.1.v1.2 pep primary_assembly:ASM411807v1:10:4163165:4167078:-1 gene:Vigun10g031666.v1.2 transcript:Vigun10g031666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQGWNQLRSFYGHQSDKLVMFKYVGNSSFVIHVSKRSANTGIKTKFLNNVAICRPLSMSNLIHFTVELSTYYCQASDLYLKKEFATYFQNSGLSSVVLHGPRGKVECKLIIRRRCVKIGSGWKDFCALHQLSVQDHPELFFEVESQRTSRDIKVLYPLFWF >Vigun03g305800.1.v1.2 pep primary_assembly:ASM411807v1:3:49631535:49636473:1 gene:Vigun03g305800.v1.2 transcript:Vigun03g305800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAFFFFLVNVSLLLTLSSAADPFVSYDFEVSYITASPLGVPQQVIAINKKFPGPTINVTTNNNVAVNVRNKLDESLLLHWSGIQQRRSSWQDGVLGTNCPIPSKWNWTYQFQVKDQIGSFFYFPSLHLQRAAGGFGGFIINNRPIIPIPFDTPYDDIVVFIGDWYTRNHTDLRKALDDGKDLGMPDGVLINGKGPYRYNDTLVPDGIDYETIEVHPGKTYRLRVHNVGVSTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESRWQKVTGVAILRYTNSKGKAHGPLPPAPDDQFDKTYSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKLPEKINGKRRATVSGISFVNPSTPIRLADQYKLKGVYKLDFPTKPITGPPRAETSIINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGDWSENSRGTYNKWDGIARTTAQVYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYVRVVNPEVTNKTELPIPDNALFCGALSKLQKPQVIASAASPINGNTLKLFFTWLIICAWIHIFQ >Vigun01g199300.3.v1.2 pep primary_assembly:ASM411807v1:1:37580560:37585138:1 gene:Vigun01g199300.v1.2 transcript:Vigun01g199300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASPTFSKISSNNTKAMRIPTIDLSMERSQLSQTVVKACEEYGFFRVVNHNVPKEVIARLEEEGAEFFSKSSHEKRRAGPASPFGYGFTNIGPNGDMGDLEYLLLRANPLSIAQISKTIANDSTKFSTVVKEYVEVVKEVTCEILDLVVEGLGVGDKFGLSRLIRDYDSDSVLRMNHYPPMKVKRKGKKNSIGFGAHSDPQMLTIMRSNDVGGLQIYTRDGLWLPVPPDPTNFFVMVGDVLQVMTNGKFMSVRHRALTNTLEARMSMMYFAAPPLDWWIAPLPEMVSPPENESVYKGFTWAQYKEATYSLRLGDSRLDLFKAHLDTHLLSSSQSQFQC >Vigun01g199300.5.v1.2 pep primary_assembly:ASM411807v1:1:37583568:37585152:1 gene:Vigun01g199300.v1.2 transcript:Vigun01g199300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGPMAHHMKEKLIVRQPCYSFRVHEPSLERTTTNSSYSWLHKLHRFLFVQSCERDYVVLWFPQNRPNLISLTNNTVVKEYVEVVKEVTCEILDLVVEGLGVGDKFGLSRLIRDYDSDSVLRMNHYPPMKVKRKGKKNSIGFGAHSDPQMLTIMRSNDVGGLQIYTRDGLWLPVPPDPTNFFVMVGDVLQVMTNGKFMSVRHRALTNTLEARMSMMYFAAPPLDWWIAPLPEMVSPPENESVYKGFTWAQYKEATYSLRLGDSRLDLFKAHLDTHLLSSSQSQFQC >Vigun01g199300.1.v1.2 pep primary_assembly:ASM411807v1:1:37580494:37585301:1 gene:Vigun01g199300.v1.2 transcript:Vigun01g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASPTFSKISSNNTKAMRIPTIDLSMERSQLSQTVVKACEEYGFFRVVNHNVPKEVIARLEEEGAEFFSKSSHEKRRAGPASPFGYGFTNIGPNGDMGDLEYLLLRANPLSIAQISKTIANDSTKFSTVVKEYVEVVKEVTCEILDLVVEGLGVGDKFGLSRLIRDYDSDSVLRMNHYPPMKVKRKGKKNSIGFGAHSDPQMLTIMRSNDVGGLQIYTRDGLWLPVPPDPTNFFVMVGDVLQVMTNGKFMSVRHRALTNTLEARMSMMYFAAPPLDWWIAPLPEMVSPPENESVYKGFTWAQYKEATYSLRLGDSRLDLFKAHLDTHLLSSSQSQFQC >Vigun01g199300.2.v1.2 pep primary_assembly:ASM411807v1:1:37580525:37585260:1 gene:Vigun01g199300.v1.2 transcript:Vigun01g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASPTFSKISSNNTKAMRIPTIDLSMERSQLSQTVVKACEEYGFFRVVNHNVPKEVIARLEEEGAEFFSKSSHEKRRAGPASPFGYGFTNIGPNGDMGDLEYLLLRANPLSIAQISKTIANDSTKFSTVVKEYVEVVKEVTCEILDLVVEGLGVGDKFGLSRLIRDYDSDSVLRMNHYPPMKVKRKGKKNSIGFGAHSDPQMLTIMRSNDVGGLQIYTRDGLWLPVPPDPTNFFVMVGDVLQVMTNGKFMSVRHRALTNTLEARMSMMYFAAPPLDWWIAPLPEMVSPPENESVYKGFTWAQYKEATYSLRLGDSRLDLFKAHLDTHLLSSSQSQFQC >Vigun01g199300.4.v1.2 pep primary_assembly:ASM411807v1:1:37580560:37585138:1 gene:Vigun01g199300.v1.2 transcript:Vigun01g199300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASPTFSKISSNNTKAMRIPTIDLSMERSQLSQTVVKACEEYGFFRVVNHNVPKEVIARLEEEGAEFFSKSSHEKRRAGPASPFGYGFTNIGPNGDMGDLEYLLLRANPLSIAQISKTIANDSTNTVVKEYVEVVKEVTCEILDLVVEGLGVGDKFGLSRLIRDYDSDSVLRMNHYPPMKVKRKGKKNSIGFGAHSDPQMLTIMRSNDVGGLQIYTRDGLWLPVPPDPTNFFVMVGDVLQVMTNGKFMSVRHRALTNTLEARMSMMYFAAPPLDWWIAPLPEMVSPPENESVYKGFTWAQYKEATYSLRLGDSRLDLFKAHLDTHLLSSSQSQFQC >Vigun06g053400.1.v1.2 pep primary_assembly:ASM411807v1:6:17919034:17922851:-1 gene:Vigun06g053400.v1.2 transcript:Vigun06g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLVAEGVAVAALRSVMLRVQQAAERSSSKPDRVRVVAVSKTKPITLIRQLYDAGHRCFGENYVQEIVEKAPQLPQDIEWHFIGHLQSNKVKTLLGGVPNLAMFESVDNQKIANNLDRMVSTLGRDPLKVLVQVNTSGEESKSGIDPSNCVELAKHVKLSCPNLEFSGLMTIGMADYTSTPKNFQTLSNCRTEVCKALEIPEEQCELSMGMSGDFELAIEMGSTNVRIGSTIFGPREYPKKQE >Vigun02g008500.7.v1.2 pep primary_assembly:ASM411807v1:2:3595765:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.8.v1.2 pep primary_assembly:ASM411807v1:2:3595765:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.19.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.3.v1.2 pep primary_assembly:ASM411807v1:2:3582814:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNVTNVYKVVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVIIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.14.v1.2 pep primary_assembly:ASM411807v1:2:3582814:3605614:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNVTNVYKVVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVIIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.26.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.24.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.25.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.17.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.23.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.21.v1.2 pep primary_assembly:ASM411807v1:2:3595765:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.2.v1.2 pep primary_assembly:ASM411807v1:2:3582814:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNVTNVYKVVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVIIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.5.v1.2 pep primary_assembly:ASM411807v1:2:3582934:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.18.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.4.v1.2 pep primary_assembly:ASM411807v1:2:3582814:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNVTNVYKVVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVIIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.16.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.22.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.1.v1.2 pep primary_assembly:ASM411807v1:2:3582814:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNVTNVYKVVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVIIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun02g008500.27.v1.2 pep primary_assembly:ASM411807v1:2:3595198:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCNYPQQ >Vigun02g008500.20.v1.2 pep primary_assembly:ASM411807v1:2:3595765:3605892:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKRPLRTAVYNILINYHHHRYHHNNHHNIATFTIATHWIEV >Vigun02g008500.15.v1.2 pep primary_assembly:ASM411807v1:2:3596737:3605614:-1 gene:Vigun02g008500.v1.2 transcript:Vigun02g008500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALIGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYICHFFTGIVGAEWWAQPFGNSLPFLSWLPYLEGIPTFKAVLCLMIAFGVTPTVTCKYVQLKLLMFNIFSMCNTPFPLAQNLFIVLNSEISFSFMLNYIPFGYYFVTSYPQQ >Vigun09g176500.1.v1.2 pep primary_assembly:ASM411807v1:9:34840626:34845885:1 gene:Vigun09g176500.v1.2 transcript:Vigun09g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQAGDLCQQQNTHSPLNFFTSISDFGSFTGGLFLEPKVPDSFLRSVSFKIHAAASSQSNTHRQRRRVPAGCFLSVSLPTAKLVTEPKLPNGEHVSNQDTASNGVVRQRKVKVRGGNAVNTTKHLWAGAIAAMVSRTCVAPLERLKLEYIVRGEKRNIFELISKIAASQGLRGFWKGNLVNILRTAPFKAVNFCAYDTYRKQLLRFSGNEETTNFERFIAGAAAGITATIICLPLDTIRTKLVAPGGEALGGVIGAFQYMIRTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGKKRIQNMHKEGQELSAFDQLELGPVRTLLNGAIAGACAEAATYPFEVVRRRLQLQVQATKLSSFATFVKIVEQGGIPALYAGLVPSLLQVLPSASISFFVYEFMKIVLKVE >Vigun01g245600.2.v1.2 pep primary_assembly:ASM411807v1:1:41405897:41411247:-1 gene:Vigun01g245600.v1.2 transcript:Vigun01g245600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLANSGSGLRRQVFPVDYETELSQRLVEAAYYGDTDAAFDCVANPSVDVNFVGTVSFKSKTTEIVLQDESPHRVNSAYEEFKTELTALFLAAHTGNLTLLRKLLNVGANVNMRMFRGYATTASVREGHLKVLEVLINAGASQLACEEALMEASYLGRARFVELLMQSNMIRPQVAVHALVSACCRGFVEVVDVLIKVGVRLDMKVKLGAWSWDTDTGEEFRVGVGLAEAYPITWCAVEYFESTGAILHMLLCHLSPNSLHIGRSLLHHAVICNNEKAVNILLNNGADAEVTVKTTEETKEHPLHIAARVGSCNILQCLINGGCNLDSQTKCGDTALMICTRNKHEKCLGVLASAGADLGIVNSSDHCATSIANFVQWTKVYHKVISDIIRAGKVVKSSNAARFSALLFATRANDIEGLKKLIENGNMNLNEQNANGFSAAMIAAVVCNVEAFKLLLYAGADVTTLKNKYGLTALNLVDVSQNSEVFHKVMLEYALKRVDDGSIEANPLHRAACYGDTNIVHKLLKEGYDVNAFDGEGYTPLMLAARGCRGEMCELLISHGAECDIENERHETALSLSRENGAGNDAERVILDELARKLVLGGAGVKKHTKCGKGSPHGKLLQMIGAAGILRWGKSSKRNVICKEAEVGPSEKFRWNRRRKFDVEEPGLFYVVTTKGKQVHFVCEGGVEMADLWVRGIQLVTREAISGRRAREV >Vigun01g245600.1.v1.2 pep primary_assembly:ASM411807v1:1:41405897:41411247:-1 gene:Vigun01g245600.v1.2 transcript:Vigun01g245600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLANSGSGLRRQVFPVDYETELSQRLVEAAYYGDTDAAFDCVANPSVDVNFVGTVSFKSKTTEIVLQDESPHRVNSAYEEFKTELTALFLAAHTGNLTLLRKLLNVGANVNMRMFRGYATTASVREGHLKVLEVLINAGASQLACEEALMEASYLGRARFVELLMQSNMIRPQVAVHALVSACCRGFVEVVDVLIKHGVDANAIDRTLLQSSKPFLHANVDCNALFAAVVSRQIDVVRLLLQVGVRLDMKVKLGAWSWDTDTGEEFRVGVGLAEAYPITWCAVEYFESTGAILHMLLCHLSPNSLHIGRSLLHHAVICNNEKAVNILLNNGADAEVTVKTTEETKEHPLHIAARVGSCNILQCLINGGCNLDSQTKCGDTALMICTRNKHEKCLGVLASAGADLGIVNSSDHCATSIANFVQWTKVYHKVISDIIRAGKVVKSSNAARFSALLFATRANDIEGLKKLIENGNMNLNEQNANGFSAAMIAAVVCNVEAFKLLLYAGADVTTLKNKYGLTALNLVDVSQNSEVFHKVMLEYALKRVDDGSIEANPLHRAACYGDTNIVHKLLKEGYDVNAFDGEGYTPLMLAARGCRGEMCELLISHGAECDIENERHETALSLSRENGAGNDAERVILDELARKLVLGGAGVKKHTKCGKGSPHGKLLQMIGAAGILRWGKSSKRNVICKEAEVGPSEKFRWNRRRKFDVEEPGLFYVVTTKGKQVHFVCEGGVEMADLWVRGIQLVTREAISGRRAREV >Vigun11g075850.1.v1.2 pep primary_assembly:ASM411807v1:11:22162921:22164594:1 gene:Vigun11g075850.v1.2 transcript:Vigun11g075850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNNSLKETQLKQNKAKNGFEVTLVAIVFALIACNGFVLAKEECNESKDCKGIIDLCKDSAICDNKVCRCPMTIVGKQDQKCKTAADCPYCPPGLCDKQHCDVTTGKCSCLC >Vigun03g169100.1.v1.2 pep primary_assembly:ASM411807v1:3:19915944:19927777:-1 gene:Vigun03g169100.v1.2 transcript:Vigun03g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGRTAVRSRAKSLSDADSRRERRPLLNRHRERSKYRSSIPIFNTANLKVVLGICFVAFFTIFFMIRHLTNSATEPKLPRAVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHTYFGIRARTPKSLMAGLMWIGVKDGRYHLRHVCRHEDDLSTYGWTKHNGRDFGHQVLVDHGMTLTTRFLKSKVEDSGYGGDWAVQINVGIDKSKWNEEFGKGAQLFFYLADEAGNALDVSRENLNIHEDSLLATGSRGDIGDWKLHLKSMDDLELHYSGFRTPHFHNLSDLVEENLASQIHKHARLQLSDSLDDAPNVLVFQIIGGFPFATDIVLITGTDIESSQEERVSMLTGTSLSNQLKYKEQEFDQKFEKIFNLAEKVDSESISVAKAAIGNLLGGIGYFYGQSKIALSRILNLREDVNYISYWPTELYTAVPSRSFFPRGFLWDEGFHQLIIWRWDIHISLDIIGHWLDLMNVDGWIPREQILGAESLSRVPEEFVPQHPTNGNPPTLFLALNDIISGLKNNEFTAMDGSKISLFLERAFVRLEAWFHWFNTTQSGKQMSSYYWHGRDNRTMREINPKALSSGLDDYPRASHPSEDEYHLDLRCWMLLAADCMHSIQELLDMESKPGMDYGYTAKLLSNFELLNQMHFDDAYGAYFDFGNHTEKVQLRWKEVEAGNYATRQLVRDVTEIPVLRLVPHIGYVSLFPFMGRIIPPESWILEKQLELISNRSLLWTNYGLRSLAKTSSLYMKHNTEHDPPYWRGPVWINMNYRILSALHHYSQENGPYQEKAKVVYEELRSNLIRNIVRNYQHSGFLWEQYDQIKGKGKGAHPFTGWTSLVVLIMAEAYGNI >Vigun06g229700.1.v1.2 pep primary_assembly:ASM411807v1:6:33669515:33676115:1 gene:Vigun06g229700.v1.2 transcript:Vigun06g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGRIDAAIKSSSDSISGNGEGRVHGFGWAVDSAVRSTIASNSEISSVHGFGWAVENGIKSSTAPNFETQDTVHSIRWAVDDAIRSRVAPNPAPLHGFSSAVDNAISSKVGAETAAEAETDSEAELKEAWYVEGSWQKGEMLGKGSFGTVYEAISEDGFFFAAKEVSLLDDRRHGKQCIFQLQQEISLLSQLRHDNIVRYLGTDKDNDKLYIFLEIVTKGSLAVFYKKYHLMDSQVSAYTRQILSGLKYLHDLNVVHRDIKCANILVDATGSVKLADFGLAKVTKLNDVKSIGGSLYWMAPEVVNLRSGGYGLAADIWSLGCTVLEMLTRQPPYSHLEPKQAVVRIGRGELPLVPESLSINARDFILKCLQLNPNKRPTAAHLLDHPFVIAQQPDHPFTKTSSAAHLLDHPFVTAQQPDHPFTEKTSSELRSKLGFKLTTAQIRYLNGDQIPDELQTNKV >Vigun04g115200.2.v1.2 pep primary_assembly:ASM411807v1:4:28811236:28817787:1 gene:Vigun04g115200.v1.2 transcript:Vigun04g115200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHHRSSSKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVMEETGFDVSKLLKKDEYLEVIFGQQRVRLYIIAGVKDDTSFAPLTKKEISEIAWHRLDDLQPATDEVISRGITGLKLYMVAPFLASLKSWISTHHPAMAPRPDLPLKGICVWKAKHNSIGNSSTVIDSQPTKPESDSHTPDVGPGKSFRNFRFDTASILQAMEASFSS >Vigun04g115200.3.v1.2 pep primary_assembly:ASM411807v1:4:28811380:28817699:1 gene:Vigun04g115200.v1.2 transcript:Vigun04g115200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHHRSSSKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVMEETGFDVSKLLKKDEYLEVIFGQQRVRLYIIAGVKDDTSFAPLTKKEISEIAWHRLDDLQPATDEVISRGITGLKLYMVAPFLASLKSWISTHHPAMAPRPDLPLKGICVWKAKHNSIGNSSTVIDSQPTKPESDSHTPDVGPGKSFRNFRFDTASILQAMEASFSS >Vigun04g115200.1.v1.2 pep primary_assembly:ASM411807v1:4:28811380:28817699:1 gene:Vigun04g115200.v1.2 transcript:Vigun04g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHHRSSSKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDHACAIREVMEETGFDVSKLLKKDEYLEVIFGQQRVRLYIIAGVKDDTSFAPLTKKEISEIAWHRLDDLQPATDEVISRGITGLKLYMVAPFLASLKSWISTHHPAMAPRPDLPLKGICVWKAKHNSIGNSSTVIDSQPTKPESDSHTPDVGPGKSFRNFRFDTASILQAMEASFSS >Vigun09g276850.13.v1.2 pep primary_assembly:ASM411807v1:9:43697574:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.1.v1.2 pep primary_assembly:ASM411807v1:9:43697391:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPVLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.10.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPVLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.15.v1.2 pep primary_assembly:ASM411807v1:9:43697574:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.9.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPINLLRPHSSMTLHQSN >Vigun09g276850.11.v1.2 pep primary_assembly:ASM411807v1:9:43697574:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPVLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.14.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.3.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.8.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPINLLRPHSSMTLHQSN >Vigun09g276850.4.v1.2 pep primary_assembly:ASM411807v1:9:43697391:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.2.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPVLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.12.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLYEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.5.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.6.v1.2 pep primary_assembly:ASM411807v1:9:43697391:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPLQGELTFRTPTVQGCFDLQDSLEPIEEPIKASQFHDIASKQLEDDKSLS >Vigun09g276850.7.v1.2 pep primary_assembly:ASM411807v1:9:43697244:43701861:1 gene:Vigun09g276850.v1.2 transcript:Vigun09g276850.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDIDLEVPICEHEKINIESSGNDVTDTTCDICIEEHNINPWSMTAHCKEVLSEKAFCCQDPVDLNSNQVDAIDKFPIKEPQNGLEFESKEAAYSFYREYARLVGFGITIKASRRSKKSGKFIDIKIVCSRFGSKRESGTAVNPRPCTKTDCKAGMHMKKKLDGNWIVYNFVKEHNHEICPDDFVRGRSKQISNLACRKKAMQLALDEGDVQLIIEFLISMQCENPNFFYAIDLDQDRHLRTVFWVDSKGKFNYTNFHDIVLIDTFYLKNKYKIPFVPFVGVNHHFQYILLGCALVGEESVSAFMWLMRAWLKAMSNLPPKVIITDQEQFLKEAVMEVFPDKRHCFCLSHVLCKIPKNLDYIINQNNNFMEKFDKCIHHSCSDEKFEERWWKLMNRIELKDDELVRSLYEDRKKWVPTFMRDISLVGLSTIVRSESISSFFDKYIRVDSTLKEFIEQYKVFSVDCFDIEAKADLETEQKQPTLRSLSPFEKQLSTIYTDAIFRKFQLEILGMMSCHPQMETEGRENLTFVVHDFEKRKKFIVSWNEADLCVCCSCCLFQYKGFLCRHAMLVLQKSGITSIPSNYILKRWTKDARADQFSGDIITRTANRVQRFNDLCRRAIVLSEIGSLSEDTYRVASQAMEEVYKHCMNTKYSARNTSDPNKLAPNAFDVEDKNYGCDRAKHTKKRKSFSKRECSDPERINIKTMDDLRKREQRSTRAHDFSNCYIYQHDMQTVDLDSRALTLDAYYGTQQSVLEDAQLNSVSVMHDGYYSSQPAVLGLGQLDSMASRGPHYGMQHRMQGPVLQGELTFRTPTVQGCFDLQDSLEPINLLRPHSSMTLHQSN >Vigun05g115100.1.v1.2 pep primary_assembly:ASM411807v1:5:12181838:12183657:-1 gene:Vigun05g115100.v1.2 transcript:Vigun05g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSGFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNPKDIEKCLEEWKRKGFRVTGSPCDVLFRDQRENLMKNVSSIFNGKLNILINNAGTTTSKNIIDYTAEDVSNLMGTNFESSFHLCQLAHPLLKASGYGSIVFISSTAGFKALPYSAVYASSHGAIDIFTKNVALEWAKDNIRANSVAPGCIKTKLLDSAVESVEGGNKIVEAMISQTPVGRLGEPKDVSTVVAFLCLPAASYITGQIITIDWGYTI >Vigun03g364150.1.v1.2 pep primary_assembly:ASM411807v1:3:56771689:56772088:-1 gene:Vigun03g364150.v1.2 transcript:Vigun03g364150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKTTVAANQWHPSTYFRSRRTRQVNKLPTKYAVTSSGLVQIKQGLTETYESNRYHVRY >Vigun09g135900.1.v1.2 pep primary_assembly:ASM411807v1:9:29651753:29653483:-1 gene:Vigun09g135900.v1.2 transcript:Vigun09g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVAAQLQLPLEKRVLEGFASKSMELKWVSRRSSKISQPEKMKKPLRPLFPIYISTDPRHVDPHRLRDLFADSNYSTQRFPTGLDPEPVDIDKLRIALSHSAVVVSVFCNLRHVNAVVPYHENSSSSLIVDFLTPVSPSRDQLVGFGRAVSDYGLTASIYDVVVIPSLQRMGIGRMIVKKIARVLVNRDIYDIAALCSDNERLFFKACGFGDDILNSTTMMYRRTVPFITQEGE >Vigun04g077500.1.v1.2 pep primary_assembly:ASM411807v1:4:10558414:10560444:1 gene:Vigun04g077500.v1.2 transcript:Vigun04g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKILVLEGEKSEMLSLVKKTFYSNKGIFLRELINASNVSYLSNLIFYLGSRKKNLNNDELTVRLILHKVNKTLSIIDNGIGMTKADLVDKLGVGFYSTYLVAHKVILTSKHNDHDQYIWNSQPSSSFFLTKEINDQQLPRGTKITLFLKDDQVEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINIWLHDQEMHDNFVSPNLGKHLPDHLTFNILSKLSLKSLKRFGCIRKSWALLLENPNFMNLFCINFISNQNSYFDDTSLLLCLGPFYDQINYKSSLYSLFGENFQNLEILNWPNPLIEGHDDGCYILGSSSINGIICLYLESTGIVYLWNPSTNECKVTPPSPVEDVPYYIDIMVKYEGFGYDCARDDYKVIRYVCYFEVNNLEGIHHREWDFEYFWEIYSLRSNSWRKLNIEFLNCQGINSRFYLKGMCHWLCDNTNEGYLVSFDISNEVYTTIFYVSILVEVGKKETWTKLFTIGPLTSLSFPIGTSNMGNILFQTNDGELAWFDLRTNLIKKLGVKVHKRNCQIILYKKSLSN >Vigun05g043700.1.v1.2 pep primary_assembly:ASM411807v1:5:3623448:3626243:1 gene:Vigun05g043700.v1.2 transcript:Vigun05g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKEELAEPVSPVGQYFNSSVLCIYIIGVLEFEVPIDDLQTYALLQDVFLPINPRFSSIMVQDKNGEKQWKKVDVNLKDHVNIPIFPEGKTVELHDKYFHDYLSSIAMEQLPQSRPLWEIHMINYPTSDACSTLIFKLHHALGDGYSLMGALLSCLHRADDPFLPLSFPSLKQSKPEPSTKSFCRKLSYMLSSAFNTVSDFGWSVLKSSIISDDRTPIRFGDDGADFQPISISSITFSIHHIKDIKSRLGVTINDVITGIVFYGTRLYMQDIDSKSKSSHSTALVLLNTRNIEGYQSINDMLNTKAKGPWGNKITFLHVPIPKLNETRTSTPLEFIRDSHNIIKRKKQSLGVVLTGTLLDIEGKLRGQEAVAKRIRGTLTKSSAVISNLVGPVQQMALANHPVKGLYFTLAGGPESLVISIMSYMEVIKVTLKTEKDFIDEQKLKSCMQSAFEMILQAAMEIPLETKC >Vigun05g043700.2.v1.2 pep primary_assembly:ASM411807v1:5:3623448:3626243:1 gene:Vigun05g043700.v1.2 transcript:Vigun05g043700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKEELAEPVSPVGQYFNSSVLCIYIIGVLEFEVPIDDLQTYALLQDVFLPINPRFSSIMVLSFSSLLHCFTYFHVQDKNGEKQWKKVDVNLKDHVNIPIFPEGKTVELHDKYFHDYLSSIAMEQLPQSRPLWEIHMINYPTSDACSTLIFKLHHALGDGYSLMGALLSCLHRADDPFLPLSFPSLKQSKPEPSTKSFCRKLSYMLSSAFNTVSDFGWSVLKSSIISDDRTPIRFGDDGADFQPISISSITFSIHHIKDIKSRLGVTINDVITGIVFYGTRLYMQDIDSKSKSSHSTALVLLNTRNIEGYQSINDMLNTKAKGPWGNKITFLHVPIPKLNETRTSTPLEFIRDSHNIIKRKKQSLGVVLTGTLLDIEGKLRGQEAVAKRIRGTLTKSSAVISNLVGPVQQMALANHPVKGLYFTLAGGPESLVISIMSYMEVIKVTLKTEKDFIDEQKLKSCMQSAFEMILQAAMEIPLETKC >Vigun05g286200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47351997:47353107:1 gene:Vigun05g286200.v1.2 transcript:Vigun05g286200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENSHSVDDKTKRSWSSYYVTIFCTPFVCVDNLMTRLKIPSWRTFWRKVKREKRKLFGASPAIHAQYDPNSYSQNFDDGYSNDPDNLSRSFSARFAVPSRIFEKNEIACTADKL >Vigun11g144000.1.v1.2 pep primary_assembly:ASM411807v1:11:35347572:35351481:1 gene:Vigun11g144000.v1.2 transcript:Vigun11g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTPKPHKSPKAKKGEISTTQNKTKEKTRDITKEENQKKKNKKPKLSNGNSKHPHQKGAERSGEDEKANVFPMNRIRTMIKGEDPDMRVSQEAVLAINKAVEKFLEQFTQDAYACCVQDRKKCLSYKHVANVVSKKRRYDFLSDFVPEKVKAEDALRERDASGNGVFQKI >Vigun11g144000.2.v1.2 pep primary_assembly:ASM411807v1:11:35347572:35348430:1 gene:Vigun11g144000.v1.2 transcript:Vigun11g144000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTPKPHKSPKAKKGEISTTQNKTKEKTRDITKEENQKKKNKKPKLSNGNSKHPHQKGAERSGEDEKANVFPMNRIRTMIKGEDPDMRVSQEAVLAINKAVEKFLEQFTQDAYACCVQDRKKCLSYKHVGKVL >VigunL023942.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:45816:46148:1 gene:VigunL023942.v1.2 transcript:VigunL023942.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun03g222500.2.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKNQETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWRDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRTCGIGVSCGYGIIGTSEGVVYMWELSKGAKLHTLHHFQDGHVACVATDDSRGALGVAGGGQLLLYLHLPELHSD >Vigun03g222500.7.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKNQETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRTCGIGVSCGYGIIGTSEGVVYMWELSKGAKLHTLHHFQDGHVACVATDDSRGALGVAGGGQLLLYLHLPELHSD >Vigun03g222500.4.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKNQETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWRDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRLIMKIVRSH >Vigun03g222500.8.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRTCGIGVSCGYGIIGTSEGVVYMWELSKGAKLHTLHHFQDGHVACVATDDSRGALGVAGGGQLLLYLHLPELHSD >Vigun03g222500.3.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKNQETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRLIMKIVRSH >Vigun03g222500.9.v1.2 pep primary_assembly:ASM411807v1:3:36939636:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRLIMKIVRSH >Vigun03g222500.1.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKNQETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRTCGIGVSCGYGIIGTSEGVVYMWELSKGAKLHTLHHFQDGHVACVATDDSRGALGVAGGGQLLLYLHLPELHSD >Vigun03g222500.6.v1.2 pep primary_assembly:ASM411807v1:3:36937794:36948220:-1 gene:Vigun03g222500.v1.2 transcript:Vigun03g222500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSGEKAAKAETADSGDGLEIISIGSLYKGAWDKKYWTTSRGKDRYPYPVGYQAVRAYNGTTFKMEICEGVNGPTFLISSDDGNSYSGKTPDQPWEEFQKKSCPRIKIWHGKRLSSRMDGLEFFGFKNQSIQRLLRELVTNVNEIAERSLVSPNTCEGVARADHDDCCPNVGTYPNLLLYLGKSHVTRKRSRCELKNKKLNVRARPQSPELIGSEPSNVKNEKSRGQGSSTTHYSSEVTEVHNHIGVPAPLQRASSVCKSSNCISSKNDFLLNPLEIYNNKEVGAVPSEGSAGFLFSENCRAKELTENLSTEEPLHRSHDVELKMPNLLVKSEDNKLMQSHSKEYVGCIDIDLCAPDTLDFVQENNPDSAASELDKNAYIETACEITSGELLNAEHENAVKSNSNPCSEKGDFGPAGHDVANSMMSLLLPQAVPLLRSVSTDMELIISPADMPPSQVNFKDEHVKVGHSLDVPSSDVIMIEAAQGGNGETRHGHTDPHTGTPNSEHMKCIVPDSFEYSQCDDYKNKETLCTDLVEAGRSSFNIEMCSQQFLGHEMPNITSTSHASVVDFEESPQNFDVCIPESVLDDMSVKDQVNSERRDDDYLDVKENPAHVSLSSAQKELPSAQDFTGGVSNVFSGDKFKLPTPQMCTTKDTLHSSEVFLINNSNDKPRESNDAAGLCVQTSQTCQDILIGHSNLVERSLAKSQNPTLFAEENKCLGTKEAQLISEPMPLQSQELKSTMGSSVKFVGCYLHPMPVSSLFLSTREDEVHVCVLCGQLTDQYRTMFTYKVAIAGPTLGYPSVMAHSSILLPNPNRNFIKETLVERSGVQLTPGGQYIVLIGSIKTPNCREGKIDCSCSKCTSVLSEKNVLKIVQVEHGYVSVVTTLETVDNAHSILVCEPNRLVSVGESGKLQVWVMNSNWSEKTEHFIIPADGTASPGIVELKKVPKSTHLVVGFNSYGEFSLWDIANCNCVARFSAIKSPIHEFFPISLFQWQTKDSGFSYASMEEQADKLLKATNSWYSQQRETSWFSPLEEDVAMWLFVSTYSDQEFFQNPISTSSSFDIHTARSWRLALVMKNSINFGSPLDIRTCGIGVSCGYGIIGTSEGVVYMWELSKGAKLHTLHHFQDGHVACVATDDSRGALGVAGGGQLLLYLHLPELHSD >Vigun02g026300.1.v1.2 pep primary_assembly:ASM411807v1:2:9037980:9042302:1 gene:Vigun02g026300.v1.2 transcript:Vigun02g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNGDPTPVPRVAVVVFLLKGRSLLLGRRRSAVGNATYALPGGHLEFGESFEECAAREVKEETGLDIGKSEFLTVTNNVMLEQPKKCHYVTIFMRSVMGVDVEEEQVPQNLEPDKCDGWEWHEWDHLPHPLFGPLERMVKAGFNPFSS >Vigun11g173500.1.v1.2 pep primary_assembly:ASM411807v1:11:37868019:37869984:1 gene:Vigun11g173500.v1.2 transcript:Vigun11g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKVVESEEPHQTPKKFKLNNYALACAILASTTSILLGYDIGVMSGAAIYIKRDMKVSDVKIEILVGIFNLYSLIGSCLAGRTSDWIGRRYTIVLAGAIFFVGAILMGFSPNYAFLMFGRFVAGVGMGYALMVAPVYTAELAPPSSRGFLTSFTDVFINVGILLGYISNYAFSKMRLELGWRMMLGIGAIPSMVLTVGVLAMPESPRWLVMRGRLGEAKKVLNKTSDRKEEAGQRLADIKEAAGIPENEDNEVVEVSKRNTGEGVWKELFFSSAPAIRHMLMATLGIHFFQQASGVDAVVLYSPTIFEKAGITSDTYKLLATVGVGFVKTMFILVATFMLDRVGRRPLLLSSFGGMLLSLLTLAISLTVIHHSHRKLIWVIGLSITMVLSYVAFFSIGAGPVTWVYSSEIFPLRLRAQGMAVGVVVNRTTSGVLSITFLSLSKAITIGGAFYLYSGIAACGWVFIYTVLPETRGKTLEEMHMCFGKFWVKSSTTKTEENLMGAGRKSRR >Vigun04g074300.1.v1.2 pep primary_assembly:ASM411807v1:4:8922924:8924964:1 gene:Vigun04g074300.v1.2 transcript:Vigun04g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEILVLEGEMRKELSLMRKTFYSNKEIFLCELINNASSALDKIQFERFTKKSISNDELVIRLIPHKVNKTLSIIDNGIGMTKTNLLDDLGVGFYSAYLVAHKVIITSKHNDHYQYIWDSQSSSSFTSIEDINDQQLLRGTKITLFLEDDQLEYLKETTIKNLIRKDCQKITHPIYLWSENTKGHWQLINIWLHDQEMQTKFVAQNLGNHLPDHLVFNTLFKLPLKSIKRFGCLCKSWALLLENSNFMNHFRINFISNKHSYYDDTTLLLCLTLFYNRINYKSSLYSLFGKKFQNIEILNWPNPHIEGHGPGCFFVGSSSINGIICFYLRDTGIVHLWNPSTNEFKVTPPSPIEDVPYYIDKLISYNGFGYDCARDDYKVIRTIYYFEVTDLDEDNHGEWDFVALSEIYSLRSNSWRKVEIDADLSCQGLNNKLYLDGMCHWLTDYGKCLVSFDLSNKVCYTIPTPLDIPEEMYNDFSIYLVNKYLFLLNGSIALMSKYGDTTIFYISILVELGKKETWTKLFTIGPIPPLSFPIGARNMGNILFQTVDGELAWFDLSTNLIDKLDVNVDRGKCQIIVYKKNILPNS >Vigun03g384900.5.v1.2 pep primary_assembly:ASM411807v1:3:59026646:59030202:1 gene:Vigun03g384900.v1.2 transcript:Vigun03g384900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSINLLATIVDSTNLAVGGVDVWENYQNKNQRTGIRKLQGPMDRMLDRNSVESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMDELKNESRQQRFWKHRNEIDASHPHLIKPQHQTTQMSQKPDFGVENLREDVRSRENVRSWSDSIKMQKGFDLERPAEEDIFSQGRGFDEGEAGPSSHTAAFQSCKISTSGYGEDMEVDLTLSIGGSQVNKSSQLPQLACSNSTSGKTRKLNSSASFKSDRTGEYSDPTTPISSTTVTFTREGKGPHWLSQGLKLK >Vigun03g384900.2.v1.2 pep primary_assembly:ASM411807v1:3:59026641:59030202:1 gene:Vigun03g384900.v1.2 transcript:Vigun03g384900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSINLLATIVDSTNLAVGGVDVWENYQNKNQRTGIRKLQGPMDRMLDRNSVESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMDELKNESRQQRFWKHRNEIDASHPHLIKPQHQTTQMSQKPDFGVENLREDVRSRENVRSWSDSIKMQKGFDLERPAEEDIFSQGRGFDEGEAGPSSHTAAFQSCKISTSGYGEDMEVDLTLSIGGSQVNKSSQLPQLACSNSTSGKTRKLNSSASFKSDRTGEYSDPTTPISSTTVTFTREGKGPHWLSQGLKLK >Vigun03g384900.4.v1.2 pep primary_assembly:ASM411807v1:3:59026732:59030202:1 gene:Vigun03g384900.v1.2 transcript:Vigun03g384900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTKIEYSINLLATIVDSTNLAVGGVDVWENYQNKNQRTGIRKLQGPMDRMLDRNSVESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMDELKNESRQQRFWKHRNEIDASHPHLIKPQHQTTQMSQKPDFGVENLREDVRSRENVRSWSDSIKMQKGFDLERPAEEDIFSQGRGFDEGEAGPSSHTAAFQSCKISTSGYGEDMEVDLTLSIGGSQVNKSSQLPQLACSNSTSGKTRKLNSSASFKSDRTGEYSDPTTPISSTTVTFTREGKGPHWLSQGLKLK >Vigun03g384900.3.v1.2 pep primary_assembly:ASM411807v1:3:59026674:59030235:1 gene:Vigun03g384900.v1.2 transcript:Vigun03g384900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSINLLATIVDSTNLAVGGVDVWENYQNKNQRTGIRKLQGPMDRMLDRNSVESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMDELKNESRQQRFWKHRNEIDASHPHLIKPQHQTTQMSQKPDFGVENLREDVRSRENVRSWSDSIKMQKGFDLERPAEEDIFSQGRGFDEGEAGPSSHTAAFQSCKISTSGYGEDMEVDLTLSIGGSQVNKSSQLPQLACSNSTSGKTRKLNSSASFKSDRTGEYSDPTTPISSTTVTFTREGKGPHWLSQGLKLK >Vigun03g384900.1.v1.2 pep primary_assembly:ASM411807v1:3:59026645:59030202:1 gene:Vigun03g384900.v1.2 transcript:Vigun03g384900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTKIEYSINLLATIVDSTNLAVGGVDVWENYQNKNQRTGIRKLQGPMDRMLDRNSVESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMDELKNESRQQRFWKHRNEIDASHPHLIKPQHQTTQMSQKPDFGVENLREDVRSRENVRSWSDSIKMQKGFDLERPAEEDIFSQGRGFDEGEAGPSSHTAAFQSCKISTSGYGEDMEVDLTLSIGGSQVNKSSQLPQLACSNSTSGKTRKLNSSASFKSDRTGEYSDPTTPISSTTVTFTREGKGPHWLSQGLKLK >Vigun03g127300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12306750:12307148:-1 gene:Vigun03g127300.v1.2 transcript:Vigun03g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPNQSEFSGQPGEPGNPATPRFPNQPEFPSQPGEPSNPVTPGFSYQPQFSDQPGEPGNSATPGFPNQPEFPGQPGEPDNPATSGFSNQPQFSSQPGEPDNPATPGFPNQPEFPGQPGEPDNSGTPGFPY >Vigun07g177433.1.v1.2 pep primary_assembly:ASM411807v1:7:29281558:29282641:1 gene:Vigun07g177433.v1.2 transcript:Vigun07g177433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMNLEFEEDLIRKGIFRIPPYFEEFYDLRDLHYVCTVFCGDRYFRLRIFDLQWTEIEYRGIADSYIAEEDLVWSRFLSSFRILLSPKEPTISLDAYFHLFWEKKIVFDQEMEFVDPNSKTFKFKFHVTPNATTIFRGPIRKMFKYYNLKDEVYLHMSYVSVNVFLIKLFSVEGIEIAYTPNAASCSGIAENLEDVPEDDSDNCLIKCLTAYDVGASSLYLNASFVANAFSTSKKECLLSNGNGMYWQCSIRWAQRARTECYLGCGWRRFVTENKLCAGDRIKLEVRKNEDNLIVVQKI >Vigun08g114400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28120352:28121173:1 gene:Vigun08g114400.v1.2 transcript:Vigun08g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKGETTSLESELKKRNEELEEELKRSKEREDHVRNQLHAALDRLSVAEDAEERLCEQLGDLEAEALQQARDYHARIVSLVDQLSQAHSLLNTTFPLRSPS >Vigun03g106600.1.v1.2 pep primary_assembly:ASM411807v1:3:9488951:9495233:1 gene:Vigun03g106600.v1.2 transcript:Vigun03g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISGSGYKEYVAGCLAGVAAVASGHPFDTVKVMLQKHNAEAHMIQYRNGLHCTARILKTEGIKGLYRGATSSFVGMAVEGSLFFGIYSQTKMYLQGGVQSEEPRPQVIIPSAAYSGAIISFVLGPTELIKCRMQIQGTDSLVPKSSRYSSPLDCALKTVETEGVKGIFRGGCTTLLRESIGNAVFMSVYEYVRHQMHSNIKVSSSNYSNLVDIGIGIAAGGLGGVGFWLTVLPLDVAKTLIQTNPDKNCPRNPFRVLSSVYQRAGLKGCYAGLGPTISRAFPANAATIVAWEFALKMLGIRRD >Vigun10g164800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38369025:38370056:-1 gene:Vigun10g164800.v1.2 transcript:Vigun10g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHTVFLLSFLLSTSHATVQDFCVADLTSADGPAGFPCKPPAKVTSDDFVFAGLSEAANVTNIINAAVSPAFVGQFPGVNGLGLSAARLDLGPAGVIPLHTHPGANELLLVTQGHILAGFVSSSNVVYEKVLKRGELMLFPQGLLHFQIAAHKRKATAFAIFSSANPGLQILDFALFASNFSTPLITQTTFLDPDLVKKLKGVLGGSG >Vigun07g086800.1.v1.2 pep primary_assembly:ASM411807v1:7:13320231:13326024:1 gene:Vigun07g086800.v1.2 transcript:Vigun07g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMNRQGDRKPDGGDKKEKKFEPAAPPTRVGRKQRKQKGPEAAARLPTVTPVTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >Vigun01g008900.1.v1.2 pep primary_assembly:ASM411807v1:1:1045156:1051323:-1 gene:Vigun01g008900.v1.2 transcript:Vigun01g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPNLTPVLTDAAPLTRSRLGVPSGLSPYSSPKGATFPHHGPFLAIPRKKTGGILDDFRSSGWLDAMKSSSPTHNKVSKDLNHGTGSPDAAYSTWLLKFPSALASFDQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRKRDKVYEFVGLSDLYYAGSHGMDIIGPPRQSISDDHPDSDKQGLGELNLFQPAAEFLPMIDEVLRLLKECTKDVEGATVENNKFCVSVHYRNVDEESWPIVGQRVYDILKQYPRLRLTHGRMVLEIRPVIDWDKGKAVTFLLESLGLSNNDDVLTIYIGDDKTDEDAFKVLRESNKGCGILVSPAPKESNAVYSLRDPSEVMEFLTSLAEWKSSIQAC >Vigun04g163000.1.v1.2 pep primary_assembly:ASM411807v1:4:38682316:38686907:1 gene:Vigun04g163000.v1.2 transcript:Vigun04g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPTNVGILAMDIYFPPTCVSQEALEGHDGVSKGKYTIGLGQDCMAFCSEVEDVISMSLTVVASLLEKFNVDPKQIGRLEVGSETVIDKSKSIKTFLMQVFEASGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIAFESKLRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYRIFCEKFEKLEGRPFSISDSDYFVFHSPYNKLVQKSFGRLYFNDFMRNLSFVDEAARETLAPYASLSGDESYQSRDLEKANQKAAKHVYDAKVQPSTLIPKQVGNMYTASLYAAFASLVHNKNTSLVGKRVVLFSYGSGLTATMFSLRLQEGQHPFNLSNIVTVMNVSDKLKQRLEIPPEKFVETLKIMEHRYGGKDFVTSKDCSNLPPGTFYLTSVDSMYRRYYAKKDKVIA >Vigun04g045800.1.v1.2 pep primary_assembly:ASM411807v1:4:3977505:3978154:-1 gene:Vigun04g045800.v1.2 transcript:Vigun04g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVLAFVLLFALSLQVPLLRATDAPAEAVVDMSGKKLQVGESYFIVPAMRTFTRCGKFECLNAEGLSLANIGESCPLDVVVVQRSLGLPLSFSPLDANEGVVLESTDLNIIFSTDRTSCDEYSLWFVTTGGSKGNPGRKTIRNWFKIEKCDGAYKIVYCPSVCLSSKHLCEDVGVFVDENGNRRLALSDVPFKVKFHLAKSN >Vigun03g406000.7.v1.2 pep primary_assembly:ASM411807v1:3:61308896:61313946:-1 gene:Vigun03g406000.v1.2 transcript:Vigun03g406000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTPSSPITARTALKKQGDNNNNNNNKSLQSPSQPRLRASSKAPKSPPESSTRDKSVPPDLKDDSRAKRGAAVGSRKGREAEEAKVVVVSRPRRRLGDFGLRKSGDDDPDGKKRKELQDKLEVSDNLIKSLQSEVLALKEELDRVKSLNVELESQNTKLTRNLAAAEAKEATVGTGNSGKEPIIGEHQSPKFKDIQKLIADKLELSRVKKEGTPEVNFAKASIPSPTRSFSIHETKSIGRKSPPNMCLQPPPPPPIIGRNSAPSTCQQPPPPPPPPPIPSRPSARLSNTQKGAAIVELFHSLKNKDGKIDSKGPVNHQRPVVISAHSSIVGEIQNRSAHLLAIRTDIETKGEFVNDLIKKVVDAAFTDIEEVLKFVNWLDGKLSSLADERAVLKHFKWPEKKADALREAAIEYHELKMLEQEISSYKDDPDIPCGAALKKMATLLDKSERRIQRLIMLRSSVIHSYQVYNIPTAWMLDSGIMSNIKQASMTLVKMYMKRVTIELESIRNSDRESIQDSLLLQGVHFAYRAHQFAGGLDSETMCCFEEIRQRVPGHLAGSRELLAGIP >Vigun06g225200.1.v1.2 pep primary_assembly:ASM411807v1:6:33343846:33345439:-1 gene:Vigun06g225200.v1.2 transcript:Vigun06g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNSKRRMRNGLMCPSEASTAVWSVVVPRSRSKRHERSVSLDDTRLIKCSSYSKLVHSATATFKDSHSLSSPNIQERSQDQHNGSTQLHKTTSDHVFQVVVMRVAIHCEGCAGKVKKHLSKMEGVTSFSVDVESKRVTVMGYVSPVRVLQSISTVKRAEFWNC >Vigun02g126200.1.v1.2 pep primary_assembly:ASM411807v1:2:27843901:27849847:1 gene:Vigun02g126200.v1.2 transcript:Vigun02g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSVSKMQFALFCLVLWLGVQLEWVHCDVTYDRKAILINGQRRLLFSGSIHYPRSTPDMWEDLIYKAKEGGIDVIETYVFWNVHEPSPGNFNFEGSYDLVRFVKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGMMKSERLYESQGGPIILSQIENEYGAQSKLLGPAGQRYVNWAAKMAIETGTGVPWVMCKEDDAPDPVINTCNGFYCDYFTPNKPYKPSMWTEAWSGWFSEFGGSIHERPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAIKMCERALVSTDPAVTSLGNFQQAHVYSTKSGDCAAFLANYDTKSSVRVMFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNTHMFSWERFEEDVSSLDDSSAIAITTSGLLEQINVTRDTSDYLWYITSVDIDSSESFVRGGTLPSLIVQSTGHAVHVFINGQLSGSAFGTREDRRFRYTGPVNLRAGTNRIALLSVAVGLPNVGGHFETWSTGILGPVVLRGLDQGKLDLSWQKWTYQVGLKGEAMNLASPNGITSVEWMQSALVSDKNQPLTWHKTYFDAPDGDEPLALDMEGMGKGQIWINGLSIGRYWTAPAVGNCNGCSYAGTFRPPKCQVGCGKPTQRWYHVPRSWLKPNHNLLVVFEELGGEPSKISIAKRSVSSVCADVSEYHPNVRNWHLESYGKSEEFHPPKVHLHCSPGQSISSIKFASFGTPLGTCGNYEQGVCHSPSSYSILEKKCIGKARCTVTVSNSNFGHDPCPNVLKRLSVEAVCTPTKWRG >Vigun10g151800.1.v1.2 pep primary_assembly:ASM411807v1:10:37158405:37172734:1 gene:Vigun10g151800.v1.2 transcript:Vigun10g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDIRSLLNSASSSASSSDADDDAPHRTIDEILNDCDTSSSSTSPPSSPSHSLSPLTNSHPQNPTLQPKPLQPALDSISRLKPPEFSDRTRVSRPFSSLLQGVRSNAKPGAALAAAAAASRSVPTPHAAAIISKRKSAAAISTELSSITAAGDDYSDVSSKGELGELSEKYDPVPLKIETQSSESASVNGERVESDTDIVIDLKAGSAADNQVHSDTDNDNGDGDDDGNGNYNDSSIVSEENRNLDEVDGYHGKDMNSSTFDEDTKDRDLDGNDGADKRITATDSAVETEETVDNGDSSVDHVKNEMSGGGSDEGSSLGDVSELVEERLEELESRRAAKRAEKKREFSMKPLELAEKLEKKRASTGLHLEEGAAAQPMRLEGVRRGSTTLGYFDVDADNAVTRAISSQTFRREQGSARALAVHANYIAVGMSKGLIVVFPSKYSIHHADSSDGKMLMLAIQGDRLRAPVTSMSFNQQGDLLLAGYGDGHLILWDVQKGVVAKVISGEHTAPVVHTLFLGQDPQNTRQFKAVTGDCKGLVLLHIISVVPLFSRFSIKTQCLLDGQSTGLVLSASPLLFDDFSGSASPFSQGNTSTPASSISSMMGVVGGDAGWKLFNESSSLLEEGVVVFVTHQTALVVRLSPTLQVYAQLSRPDGVREGSMPYTAWKYMTQTHSSTENMSAEAIERVSLLAIAWERKVLVAKLVKSELKVYGKWSLEGAAIGLAWLDDQMLVVQTSSGQLYLFSKDGTVIHQTSIAIDGIGGDDLVSYHTHFINVFGNPEKAYHNSVAVRGASIYILGPTHLLISRLLPWKERISVLRKAGDWMGALNMAMTLYDGHAHGVIDLPRTLDAVHEAIMPFLVELLTSYVDEVFSYISVAFCNQIGKVDQSNDSNSRSNSMHCEIKEQYTRVGGVAVEFCCHVKRMDILFDEIFSKFVGVQQRETFLELLEPYILKDMLGSLPPEIMQELVEYYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYVFNKGLDDFRAPLEELFAVLQNSQKESATALGYRMLVYLKYCFTGLPFPPGRGTIPPTRLPSLRRELVEFLLKDSCTSKSQTISDFESRRPHLNLYLLLKLDTEATLDVLRCAFMEDEISNACSSSPDSVNKPIEEAKKEDKATETQNALVQNTVDALIQIIDMNIVQTDTTFSSGEDGLIKEWPSKDIGYLFEFIAYYVAIQRAKISKDVLCQILEYLTSDSHSSTNISVHCSTPKNREKQVLALLEVLPKSDWDPSFVLDLCERAKYHQVCGLIHSFRHEYVAALDSYMKDVDEPIHTFSFINKTLSHLTDNEHVAFRSAVILRIPELVELSREGAFHVVLGHFSEESSHIITELHSHPRSLFLYLKTLIEFHLFGTLDLSNLSKDDTMNPLNGRQVKDHSEGVRDYLENISKFPKFMREKPIHVPDDLIELYLELLCRYEGSSVLKFLEMFDSYRVEHCLRLCQEYGIIDATAFLLERVGDVGKALSITLSDLNDKFVKLDAAVEAVVLNHSRVGSSHVEVFDSVLRTKEGSDIHDLLRACIGLCQRNTPRLNPEESEAHWFKLLDSFCDPLIDSNVEGAYESKNHFGMLAGSADSQQDKDAYKSSWKISKSRNGHILRKLLSQFIKEIVEGMIGFVHLPTIMSKLLSDNGSQEFGDFKLTILGMLGTYGFERRILDAAKSLIEDDSFYTMSLLKKGASHGYAPRSLVCCICNCLLTKNSGSSGIRIFNCGHAIHLQCEVSEIEASSRGSSSGCPLCVPNKKSQQSRNKSIIATNGLVNKFSSKRQYPHGSSLHPHDSDLTENMYGQQQISRFEILSNLQKNQRFMQIENLPPLKLAPPAVYHEKVSKVANFLTGESSNNSSAIEKQSRNKQNRELRVKGSSIRFPLKSSIFGKEKTNKR >Vigun03g405950.1.v1.2 pep primary_assembly:ASM411807v1:3:61303577:61304198:1 gene:Vigun03g405950.v1.2 transcript:Vigun03g405950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRLSPTTRNPYSAFVRHNTNGSAHTTKQDKHTRTRKHRPQHPPLIPATRRRIPSSQTRGQDRVNQTEQGVRNGGLMNSDFVLMWFLPLP >Vigun02g054500.2.v1.2 pep primary_assembly:ASM411807v1:2:19743078:19744578:-1 gene:Vigun02g054500.v1.2 transcript:Vigun02g054500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSPMWFILLEITCFFVAHAYVENMSLRTLSGFTHEEAILGLKGFKASITRRHSITSTPPSYSPSPTPSPLPPRIQQEIQTALKLFLQP >Vigun02g054500.3.v1.2 pep primary_assembly:ASM411807v1:2:19743591:19744578:-1 gene:Vigun02g054500.v1.2 transcript:Vigun02g054500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSPMWFILLEITCFFVAHAYVENMSLRTLSGFTHEEAILGLKGFKASITRRHSITSTPPSYSPSPTPSPLPPRDLKKPHVYPLTSDGADPTGNSDSTEALLAAIADVAKGQLKGS >Vigun02g054500.1.v1.2 pep primary_assembly:ASM411807v1:2:19743078:19744578:-1 gene:Vigun02g054500.v1.2 transcript:Vigun02g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSPMWFILLEITCFFVAHAYVENMSLRTLSGFTHEEAILGLKGFKASITRRHSITSTPPSYSPSPTPSPLPPRDLKKPHVYPLTSDGADPTGNSDSTEALLAAIADVAKGQLKGS >Vigun02g054500.4.v1.2 pep primary_assembly:ASM411807v1:2:19743078:19744578:-1 gene:Vigun02g054500.v1.2 transcript:Vigun02g054500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSPMWFILLEITCFFVAHAYVENMSLRTLSGFTHEEAILGLKGFKASITRRHSITSTPPSYSPSPTPSPLPPRDLKKPHVYPLTSDGADPTGNSDSTEALLAAIADVAKGQLKGS >Vigun08g013600.1.v1.2 pep primary_assembly:ASM411807v1:8:1162564:1166866:-1 gene:Vigun08g013600.v1.2 transcript:Vigun08g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGFFLFSLVLYQMILQPGIFKVEGDDDDDEFVRVRGVQLLLNGKPFYANGYNAYWLMYMASDPSQRNKVSSAFQVAANHGLSIARTWAFSDGGDNPLQYSPGSYNEHMFLGLDFVISEARKYGTKLVLSLVNNYDDFGGKKQYVEWAKSQGQSLHSEDDFFTNSLVKEFYKNHIKSVLTRRNNFTGVVYKDDPTIMAWELMNEIRCPSDHSGNTVQAWITEMATYLKSIDGNHLLEAGLEGFYGLSKNESNPNFQVGTDFIANNQIPAIDFATVHSYPDQWLPGSSNEEQTLFLVRWLNDHIQDAQIIIQKPVLFGEFGVSVKNMSSDSITLRDQFFNLVYSSIYSSASDGGAATGGLFWHLLAEGMDSFKDGYEVPLDENSSTATLIAQESQKLNRIRMKKSSIVKKNKARDVRN >Vigun06g012900.1.v1.2 pep primary_assembly:ASM411807v1:6:5942038:5946526:1 gene:Vigun06g012900.v1.2 transcript:Vigun06g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHSEKRHVVCVPFPAQGHVIPFMQLSKLLLCSGFHITFVNTEFNHKRLVKSLGEDFVKGQPGFQFETIPDGLPPSEKDATQSIAALCDATSKHCFEPLKELVKKLNSSDEVPLVTSIMYDGLMGFAGKVARELNVAEQQFWTASACGLIGYLQFDEVVRRGIIPFQDKSFTTDGSLDKNLDWISGMKNMRIRDLPSFVRTTTLDETNFICFGLEAKTCMRSSSIIINTFQELEDEVLNALMAINPNIYNIGPLQLLGRHFPDKDNGFKTSGSNLWKNDLGCIKWLDQWQPSSVIYVNYGSITVMSEDHLKEFAWGLANSNLPFLWIKRPDLVMGECTSLPQDFLDEVKGRGYITSWCPQDEVLSHPSVGVFLTHCGWNSTVEGICGGVPMIGWPFFAEQQTNCRYICTNWGIGMDVKDDVKREEVTTLVKEMIMGEKGKKMRQKCVEWKKKAVQATALGGSSYNDFYRLLKEVHHHDAI >Vigun01g198600.2.v1.2 pep primary_assembly:ASM411807v1:1:37532312:37534187:1 gene:Vigun01g198600.v1.2 transcript:Vigun01g198600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTVMMTMGVKKKEDYAIGSSSFHFNYPLLDFSDDKSSLGFMELLGVQEYSPLLDLPLGSNVELLPTSDVKELPQTKKDWSEVTNQQPATPNSSSISSASSEALNDEQKNRTVDQTHDEHKKTKEQLKAKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKRVERSFSDPSIVVTTYEGQHTHPSPVMGRSNNFCSLMSGSENYMSQYYQQQHQQPLHVDALSSLGFLSPKNVTFPQETALLSDYGRLQDVVPSLMFKQD >Vigun01g198600.1.v1.2 pep primary_assembly:ASM411807v1:1:37532312:37534492:1 gene:Vigun01g198600.v1.2 transcript:Vigun01g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTVMMTMGVKKKEDYAIGSSSFHFNYPLLDFSDDKSSLGFMELLGVQEYSPLLDLPLGSNVELLPTSDVKELPQTKKDWSEVTNQQPATPNSSSISSASSEALNDEQKNRTVDQTHDEHKKTKEQLKAKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKRVERSFSDPSIVVTTYEGQHTHPSPVMGRSNNFCSLMSGSENYMSQYYQQQHQQPLHVDALSSLGFLSPKNVTFPQETALLSDYGRLQDVVPSLMFKQD >Vigun10g119100.1.v1.2 pep primary_assembly:ASM411807v1:10:32531607:32537813:1 gene:Vigun10g119100.v1.2 transcript:Vigun10g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNMFQSDDSRKYYCPVLGLKVFVQDSNLRCLTAVSNILQALGYEVMTANNSIELFESELHLQKPVKISNLSSLWKYALWRMEDKMVITADVEGFGRLESEEIIANNNRECQSFMNTGGQTLQSVIIREHNHTRGGKESESLILDRKRLSRTYDSPMKFFGGAELAGTSASPSQTNQLRAVPRFEIQNIESHFQQYLGQANPIQQHNNINSSDLNMKSQHLSQTMNDSRKMHQLQNHHVDICDCMHKHSQSNPLHDFLNSSDQTSNFGKNKFCLHNEPYGVSRYTSDSGESMNNVSGGQRPENAIDGKVISEDTCIYCVDDISVQSEMEFNTLSSNDMCHQFSPSLPDPLLPSEGKHGIYDAKADEILYPPNGTQEFSDEDVNTCLSMYNNP >Vigun10g002000.1.v1.2 pep primary_assembly:ASM411807v1:10:209819:213048:1 gene:Vigun10g002000.v1.2 transcript:Vigun10g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTKNVNHHHHRRGHSFNGFPNIHPFSNTNSSQGKPGKISFESAKLATSEIDDLLSSTEGGKHDYDWLLTPPETPHLPSSEGLHQPTLVPPRSSLGRPMSNTHTSRLSVSQKPENTHSHSKPARTGSVTRSPSRSSSILNTRPSSPITRSPSAARPSTPTSRQTATPRTLLPRSLPSSTNSSPSNDTNKTRTSQGSRPSTPRSSSSSSITANFHSPSAISRSPSRPSTPSRRHSLPSQLPSATRVARKPIVPPDFPLETPPNLRTTLPSDRPVSAGRSRPGSAVTLKPNSEMQTPVVTTMSRRQPSPISNRGRLSEYSSKSRGHANSGDASEVPARKSATDNNGLGRTISKKSLDMAIRHMDVVRNGNGSGTLRSLSSASLYPQSIRTSSTPNKTHHTRGLSVPSSMNINGSLQSRNHGNNTNRKNGREKGERQNHKLADLYESYPYDSLLLKEDLNNTNWLHSVDEKCDIFGNGFESLPEPFGLC >Vigun05g049600.1.v1.2 pep primary_assembly:ASM411807v1:5:4290466:4291979:-1 gene:Vigun05g049600.v1.2 transcript:Vigun05g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCYSLHLPIVSSYSHKSTRVPNTSGYIKFQTRYRENLRYLRTLTIIDPKTKPEDLPLPNDVDHIIRTLTFLKSRSFSDADIPRLNYLTPELFTTAVVPSDFAAVFSFLADELPATASESRYLILRCPRLLFSHVDLCLRPTLQFLRQIGIQGLNKPTTRNAHLLNTRVHKLHAKVQFLQELGFQYEEALKACARLPALFGYDVGNNLWPKYVYLVKEMERDLEELKKFPQYFGFSLKERIVPRHLHLKERGVRIPLNRMLMWGDEKFYNNWK >Vigun10g109000.5.v1.2 pep primary_assembly:ASM411807v1:10:30827787:30833105:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRAMSKGVVVSVPVLVLSALVATVFLFFLLSSLSSCSCPTTNMSSSMARSVGIGVSESSNGDVPSLPMKESGNSIAPLSTRKEDVEWVKYQIHVNGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun10g109000.3.v1.2 pep primary_assembly:ASM411807v1:10:30827763:30833154:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRAMSKGVVVSVPVLVLSALVATVFLFFLLSSLSSCSCPTTNMSSSMARSVGIGVSESSNGDVPSLPMKESGNSIAPLSTRKEDVEWVKYQIHVNGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun10g109000.4.v1.2 pep primary_assembly:ASM411807v1:10:30827787:30833105:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRAMSKGVVVSVPVLVLSALVATVFLFFLLSSLSSCSCPTTNMSSSMARSVGIGVSESSNGDVPSLPMKESGNSIAPLSTRKEDVEWVKYQIHVNGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun10g109000.1.v1.2 pep primary_assembly:ASM411807v1:10:30827763:30833209:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRAMSKGVVVSVPVLVLSALVATVFLFFLLSSLSSCSCPTTNMSSSMARSVGIGVSESSNGDVPSLPMKESGNSIAPLSTRKEDVEWVKYQIHVNGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun10g109000.2.v1.2 pep primary_assembly:ASM411807v1:10:30827787:30830615:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRAMSKGVVVSVPVLVLSALVATVFLFFLLSSLSSCSCPTTNMSSSMARSVGIGVSESSNGDVPSLPMKESGNSIAPLSTRKEDVEWVKYQIHVNGLHMHDNVLRKGINPRTRAQQLEDLRQFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun10g109000.6.v1.2 pep primary_assembly:ASM411807v1:10:30827772:30833119:-1 gene:Vigun10g109000.v1.2 transcript:Vigun10g109000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAVVEPCLGRPPISAAAKADVVERFKGISHYEKPDSDNHTAFPCPGELLVEEHHSNYGEPWAGGRDVFEFLAQATQLRPDSSVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGTFYDLIYASAVFLHMPDKLVWTGMERLASKLKPYDGRIFVSHNIKFCSRLGGEECTKRLASLGLEYLGKQTHDSLLFNHYEIWFEFRRSKA >Vigun07g033200.2.v1.2 pep primary_assembly:ASM411807v1:7:3151313:3154565:1 gene:Vigun07g033200.v1.2 transcript:Vigun07g033200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQEPFQTLFFFFSTLLLLSFSSAQGKCPPSFLCGNLGTMYFPYTDTKHPHCGLLVMHGCDDRDPDAVKTIKNNGEWFFITRDPNTNALVFRDDELRTYLLTRSCGVFEKNYTSLDTPSPFLSLHFTTATLFICNRTLNINPPSSAFYKSTICPKEDIFYGTSNVDESFSYYSEELHGSYFEQCSMVRLPIRDAELVPNSNGSLFDYVSADIPVKIEVSDECSSCYRLRRGQCQLDSQGKFLCIQATSAAGALGILMVLACILRRYYFHKKNPTYIMIENFLKQHGHLSAKRYSYLEVKKMTNSFRSKLGQGGFGSVYKGRLQNGSLVAVKVLSKSKGDGEEFINEVASISMTSHVNIVSLLGFCLEGSKRFLIYEYMPNGSLEKFIYEEKDPLRFKLTMNCITMYNIAIGVARGLEYLHKGCNTKILHFDIKPHNILLDEFFCPEISDFGLAKICPREKSIVSMIVARGTIGYIAPELFCRNFGGVSHKSDVYSYGMMVLEMLGARENNNRGVDLSSENYFPHWIYNHLESDQDLQFRSTKNRNDEEMVRKMTIVSLWCIQTDPSNRPAMSKVVEMMEGSISSVQIPPKSFLYSSWVPQSQSSDSS >Vigun07g033200.1.v1.2 pep primary_assembly:ASM411807v1:7:3151313:3154565:1 gene:Vigun07g033200.v1.2 transcript:Vigun07g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQEPFQTLFFFFSTLLLLSFSSAQGKCPPSFLCGNLGTMYFPYTDTKHPHCGLLVMHGCDDRDPDAVKTIKNNGEWFFITRDPNTNALVFRDDELRTYLLTRSCGVFEKNYTSLDTPSPFLSLHFTTATLFICNRTLNINPPSSAFYKSTICPKEDIFYGTSNVDESFSYYSEELHGSYFEQCSMVRLPIRDAELVPNSNGSLFDYVSADIPVKIEVSDECSSCYRLRRGQCQLDSQGKFLCIQEKTSRKVKIVVVATSAAGALGILMVLACILRRYYFHKKNPTYIMIENFLKQHGHLSAKRYSYLEVKKMTNSFRSKLGQGGFGSVYKGRLQNGSLVAVKVLSKSKGDGEEFINEVASISMTSHVNIVSLLGFCLEGSKRFLIYEYMPNGSLEKFIYEEKDPLRFKLTMNCITMYNIAIGVARGLEYLHKGCNTKILHFDIKPHNILLDEFFCPEISDFGLAKICPREKSIVSMIVARGTIGYIAPELFCRNFGGVSHKSDVYSYGMMVLEMLGARENNNRGVDLSSENYFPHWIYNHLESDQDLQFRSTKNRNDEEMVRKMTIVSLWCIQTDPSNRPAMSKVVEMMEGSISSVQIPPKSFLYSSWVPQSQSSDSS >Vigun02g097900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25338812:25339657:1 gene:Vigun02g097900.v1.2 transcript:Vigun02g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSELVKKTQCKKRQRDESNEFAHSVPVEELVQRDNKRQHTKHDKSTLIPIKSLPIDLLINVVARVSSESCIDHYNMKVCCKDFFHASKDNYMWQQVSLKNVPLNLWFCKERVSMFDSFLQSSKEGGNIEVLYREGLQEIVRYAGNIEKGIGDLKIAAEKGHLEAKYVYGLILLCSKDDDLRKEGVEYMRFLRNAKCVVSCRNNVVALLGNIWRRPYETLVRNPIPLCYNRRCNGWNMKKCRSWKMVDNEDDEDGIKNSCENCRWDVELDFFYNVLFYPV >Vigun05g209000.2.v1.2 pep primary_assembly:ASM411807v1:5:39966685:39970181:-1 gene:Vigun05g209000.v1.2 transcript:Vigun05g209000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLQQQNPTLQSKRLDPSSQHSRPGHRRSVSAGAPLIYSGGSSLLPTGNICPSGKILKPVLPSRGPNRTDVLGSGTVNYGRGSIVRGGSGAYPVPVAVPAAASPLTVKRALGGSDPEELKRVGNELYRSGNFLEALALYDRAVAISPGNAACRSNRAAALTALGRLGEAARECHEAVKLDPAYARAHKRLASLYVRFGQVENSRRHLCLSGIQEDKSEEQKLLLLEKHLNRCADSRKFGDWKRVLRESEAAIAVGADFSPQIVACKAEAYLKLHQLEDAESSLLNIPKLEGCPAAYSQTKFFGMVGEAYVPFVSAQVEMALGRFENAVVAAEKASTLDYSNVEVGRIVNVVRMVARARSRGNDLFSSGKFSEACSAYGEGLKYDNSNYVLYCNRAICWSKLGLWEQSVQDCNQALNIQPNYNKALFRRAASNSKMHDHVAA >Vigun05g209000.1.v1.2 pep primary_assembly:ASM411807v1:5:39966685:39970181:-1 gene:Vigun05g209000.v1.2 transcript:Vigun05g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLQQQNPTLQSKRLDPSSQHSRPGHRRSVSAGAPLIYSGGSSLLPTGNICPSGKILKPVLPSRGPNRTDVLGSGTVNYGRGSIVRGGSGAYPVPVAVPAAASPLTVKRALGGSDPEELKRVGNELYRSGNFLEALALYDRAVAISPGNAACRSNRAAALTALGRLGEAARECHEAVKLDPAYARAHKRLASLYVRFGQVENSRRHLCLSGIQEDKSEEQKLLLLEKHLNRCADSRKFGDWKRVLRESEAAIAVGADFSPQIVACKAEAYLKLHQLEDAESSLLNIPKLEGCPAAYSQTKFFGMVGEAYVPFVSAQVEMALGRFENAVVAAEKASTLDYSNVEVGRIVNVVRMVARARSRGNDLFSSGKFSEACSAYGEGLKYDNSNYVLYCNRAICWSKLGLWEQSVQDCNQALNIQPNYNKALFRRAASNSKLERWADVIKDYQALKRELPNDIEVAESLRRAQLALEKSRLVVYGTKFGVEVEEISALDKFKPAIASAGVSVVYFKEASNKLCEEISPFINTLCVRYPSVKFIKVDAEECIAIAKAENIRRVPTFKIYKNGEKVKEMIQPTHELLEESIKRSNSL >Vigun07g088100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13615144:13615500:1 gene:Vigun07g088100.v1.2 transcript:Vigun07g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKNKESLMVHCQSKDDDLGSHKLFEGGNFTWSFRADFSHSTLFWCHVKRDNDDDNNNSCDSGASFDVFWYDERLFRKCHWKNCLWRVRDDGIYLTALYETQTEEFYYHWGATRHG >Vigun03g394700.1.v1.2 pep primary_assembly:ASM411807v1:3:60089257:60094094:1 gene:Vigun03g394700.v1.2 transcript:Vigun03g394700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDAKVEETVDLRTNVELVRSVSDKHHDLLRPSARNYSRGQATDVGGRGKGKYALIRDPEDFQTGIYDKPLPFYGCGVGWFSFLFGFLCPPMWFYATFLYFGNHYKKDPRERAGLGASAIAALVCSVVLLIIFGVILVFKLRFLYL >Vigun06g146500.1.v1.2 pep primary_assembly:ASM411807v1:6:27179061:27186227:-1 gene:Vigun06g146500.v1.2 transcript:Vigun06g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFIIRPPRAEYDPDRYLWEKEFTLAGRTYQRQDLELKNSRGYTLQCSHYLPSALPEDISLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDHVSLGWHEKDDLRMAVSYLRRNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYGLMLELADVYKIRLPKFTVKMAVQYMRRVIEKKAKFDIMDLNCLLVAPKTFIPVLFGHGNDDQFIQPHHSDLISELYAGDKNIIKFDGDHNSSRPQFFYDSISLFFYNVLRPPHIPRARKHDRYLDVGDLKIGSAVNESLLFGIISSLQSATIDAASSSSAPPSNSISNIASIRERIPKVAPTASPDSMIREQLMHGNYEPDHDEPADMKDEPNDLTEDYYSYTSSTRESFGRCSSLVFSDDEYFPDYRDDDNGSEVFATPLGSLREISADPKEEEKSQKKKKKKAERSTKKHRSDRFEKWESLSRKLRLCILKGSANRRPKPS >Vigun06g146500.2.v1.2 pep primary_assembly:ASM411807v1:6:27179249:27186227:-1 gene:Vigun06g146500.v1.2 transcript:Vigun06g146500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFIIRPPRAEYDPDRYLWEKEFTLAGRTYQRQDLELKNSRGYTLQCSHYLPSALPEDISLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDHVSLGWHEKDDLRMAVSYLRRNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYGLMLELADVYKIRLPKFTVKMAVQYMRRVIEKKAKFDIMDLNCLLVAPKTFIPVLFGHGNDDQFIQPHHSDLISELYAGDKNIIKFDGDHNSSRPQFFYDSISLFFYNVLRPPHIPRARKHDRYLDVGDLKIGSAVNESLLFGIISSLQSATIDAASSSSAPPSNSISNIASIRERIPKVAPTASPDSMIREQLMHGNYEPDHDEPADMKDEPNDLTEDYYSYTSSTRESFGRCSSLVFSDDEYFPDYRDDDNGSEVFATPLGSLREISADPKEEEKSQKKKKKKAERSTKKHRSDRFEKWESLSRKLRLCILKGSANRRPKPS >Vigun03g033800.2.v1.2 pep primary_assembly:ASM411807v1:3:2606248:2607996:1 gene:Vigun03g033800.v1.2 transcript:Vigun03g033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNCMFWTLYGLPMVHPHSILVVTINGSGSVLALIFVTLFLIYSDGKKRLKVFLCLSLELLLIAALTFFTLTQVHTFKKRSEIVGSVCILFNIMMYASPLSVMKLVIKTKSVEYMPFFISLASFGNGVAWTTYSLIRFDPFMTIPNGFGTLLAVAQLVLYATYYKSTQRQIAARNATKEVNLSHVMVANSKPNH >Vigun03g033800.1.v1.2 pep primary_assembly:ASM411807v1:3:2605219:2607996:1 gene:Vigun03g033800.v1.2 transcript:Vigun03g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADIARTVVGIIGNIISGALFLSPVPTFIEIWKKRSVEQFSPIPYLAMVVNCMFWTLYGLPMVHPHSILVVTINGSGSVLALIFVTLFLIYSDGKKRLKVFLCLSLELLLIAALTFFTLTQVHTFKKRSEIVGSVCILFNIMMYASPLSVMKLVIKTKSVEYMPFFISLASFGNGVAWTTYSLIRFDPFMTIPNGFGTLLAVAQLVLYATYYKSTQRQIAARNATKEVNLSHVMVANSKPNH >Vigun07g163100.1.v1.2 pep primary_assembly:ASM411807v1:7:27455925:27468276:-1 gene:Vigun07g163100.v1.2 transcript:Vigun07g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTLRQNDVDSFDRETSSGYLHSSSSDDDASPSNSIQSTNRRLDYMLQFLDRKLSAEHRRASGSRAASLPEFVAKGGGAGIFRLPARGAVHPARPPSLELRPHPLRETQIGRFLRSIVSTESQLWAASECGVRFWNFKDLYASWCGVGEEGEAARRGDEESAPFQESVWCSPTLCLVADEGNRLVWSGHRDGKIRCWEMDDENLEDNNNCCDWSNRFKENLSWQAHRGPVLSLTFTSYGDLWSGSEGGGIKIWPWEAVEKSIHLTKEERHSAVIFVERSYIDLRSQLSTNGFSNMLTSDVKYLVSDNSRAKVWSAGYFSFALWDARTRELLKVFNSDGQIENRLDLSSIQDFSVELVSRKDKTQSSMGFFQRSRNAIMGAADAVRRVAAKGGFGDDNRRTEALVMTIDGMIWTGCTSGLLVQWDGNGNRIQDFLYHSSAVQCFSTFGMQIWVGYVSGTIQVLDLKGNLIGGWVAHGSPIVKMTVGAGYIFALANHGGVRGWNITSPGPVDSILRSELGGKEFLYTKIENIKILSGTWNVGQGKASQDSLSSWLGSVASDVSLVVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWLDMIDKTLDEGSTFERIGSRQLAGLVIAVWVKTSIRFHVGDVEVAAVPCGFGRAIGNKGAVGLRIRVYDRIMCFVNCHFAAHLDAVGRRNADFDHVYRTMSFSRPTNVLNNTAAGTSSSVPMFRGANSTEGMPELSEADMVVFLGDFNYRLDDISYDEARDFVSQRCFDWLRERDQLRAEMEAGNVFQGMREAIITFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSCTSLVAECSLECPVVTSVLQYEACMDVTDSDHKPVRCIFSTDIARVDESIRRQEFGEILQSNEKIKFLLKELCKIPETIISTNNIILQNQDTLILRITNKCGEGNALFEIICEGQSTVTEDQKATDHQLRGSFGFPRWLEVIPATGIIKPDHIVEVSVHHEEFQTLEEFVDGVVQNSWCEDSRDKEAILVVKVYGNYTIQPRKHRVRVHHCYSSKKKPMIGSQPDGSRNIQGTVLRRSDFQPFSSSYDVVDQLQKLHGP >Vigun06g185700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30510039:30512580:-1 gene:Vigun06g185700.v1.2 transcript:Vigun06g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGSGVVTVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVNADQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADDANHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMSDIRVLRNMDDDEVFTFAKNIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPEVLAEVSCGLGEAMVGINLSDSNVERFANRSE >Vigun02g050500.1.v1.2 pep primary_assembly:ASM411807v1:2:19141428:19152029:-1 gene:Vigun02g050500.v1.2 transcript:Vigun02g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDEEPPLAVQIQGNDEFVSQKSSVGVTLITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGDKGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDEQLESEVKLDSIVTVVDAINLRFQLDEHRDSSSFPEAYFQIAFADIIILNKVDLVSAESSGALKKLEEEIHNINSLAEIIHSVRCQVDLSRILNRQAYDTARATHLETLLEESRSLSTKKLHDSGVRTLCISGTQMIDLDKTRIWLEEILWEKKYDMDVYRCKGVLSVQNSDQLHTLQAVRELYEIVPSRKWEKEEKRINKIVFIGHNLKEDILINSFRDCATV >Vigun02g050500.2.v1.2 pep primary_assembly:ASM411807v1:2:19148044:19152050:-1 gene:Vigun02g050500.v1.2 transcript:Vigun02g050500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDEEPPLAVQIQGNDEFVSQKSSVGVTLITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGDKGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDEQLESEVKLDSIVTVVDAINLRFQLDEHRDSSSFPEAYFQIAFADIIILNKVDLVSAESSGALKKLEEEIHNINSLAEIIHSVRCQVDLSRILNRQAYDTARATHLETLLEESRSLSTKKLHDSGVRTLCISGTQMIDLDKTRIWLEEILWEKKYDMDVYRCKGVLSVQNSDQLHTLQAVRELYEIVPSRKWEKEEKRINKIVFIGHNLKEDILINSFRDCATV >Vigun02g050500.3.v1.2 pep primary_assembly:ASM411807v1:2:19142348:19152029:-1 gene:Vigun02g050500.v1.2 transcript:Vigun02g050500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDEEPPLAVQIQGNDEFVSQKSSVGVTLITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGDKGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDEQLESEVKLDSIVTVVDAINLRFQLDEHRDSSSFPEAYFQIAFADIIILNKVDLVSAESSGALKKLEEEIHNINSLAEIIHSVRCQVDLSRILNRQAYDTARATHLETLLEESRSLSTKKLHDSGVRTLCISGTQMIDLDKTRIWLEEILWEKKYDMDVYRCKGVLSVQNSDQLHTLQAVRELYEIVPSRKWEKEEKRINKIVFIGHNLKEDILINSFRDCATV >Vigun05g009700.3.v1.2 pep primary_assembly:ASM411807v1:5:795477:798405:-1 gene:Vigun05g009700.v1.2 transcript:Vigun05g009700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALETLCGQTYGAEEFGEIGKYTCCAIVTMLLVCLPITLLWIFVDKILLLFGQDPEICRVAHQYCICLIPGLYGFAVLQCQIRYFQTQSIIFPMLFSSIAVLCLHIPICWGLVFKLGLGHVGAAYSIAISYWLNVIGLGIYMKYSPACQNTKVVFSLNALLSIPEFCRFAVPSGLMFCFEMWSFELLTLVAGLLPNPQLQTSILSICLNTTTMHYIIPYAVGASASTRISNELGAGNPNAAQCIVRVVVILAIVDGVIVSTLFFCFRHVLGYAYSNDEEVVYYVEDIVPILCGSFTADSLVGALSGVARGGGFQQIGAYVNLGAYYLVGIPLALLLGFVLHFNSKGLWMGSLTGSVLQVIILACVTATTDWQKEATKARERIFEKSNEAHSGLV >Vigun05g009700.5.v1.2 pep primary_assembly:ASM411807v1:5:795477:798347:-1 gene:Vigun05g009700.v1.2 transcript:Vigun05g009700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESTRLIRKSEVAALEDSFLVELKRVWSMAAPMVAATASQNALEVVSLMMLGMAGALETLCGQTYGAEEFGEIGKYTCCAIVTMLLVCLPITLLWIFVDKILLLFGQDPEICRVAHQYCICLIPGLYGFAVLQCQIRYFQTQSIIFPMLFSSIAVLCLHIPICWGLVFKLGLGHVGAAYSIAISYWLNVIGLGIYMKYSPACQNTKVVFSLNALLSIPEFCRFAVPSGLMFCFEMWSFELLTLVAGLLPNPQLQTSILSICLNTTTMHYIIPYAVGASASTRISNELGAGNPNAAQCIVRVVVILAIVDGVIVSTLFFCFRHVLGYAYSNDEEVVYYVEDIVPILCGSFTADSLVGALSGVARGGGFQQIGAYVNLGAYYLVGIPLALLLGFVLHFNSKGLWMGSLTGSVLQVIILACVTATTDWQKEATKARERIFEKSNEAHSGLV >Vigun05g009700.4.v1.2 pep primary_assembly:ASM411807v1:5:795477:798405:-1 gene:Vigun05g009700.v1.2 transcript:Vigun05g009700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESTRLIRKSEVAALEDSFLVELKRVWSMAAPMVAATASQNALEVVSLMMVGHLGILVSFSGVAIATSFAEVTGFCVILGMAGALETLCGQTYGAEEFGEIGKYTCCAIVTMLLVCLPITLLWIFVDKILLLFGQDPEICRVAHQYCICLIPGLYGFAVLQCQIRYFQTQSIIFPMLFSSIAVLCLHIPICWGLVFKLGLGHVGAAYSIAISYWLNVIGLGIYMKYSPACQNTKVVFSLNALLSIPEFCRFAVPSGLMFCFEMWSFELLTLVAGLLPNPQLQTSILSICLNTTTMHYIIPYAVGASASTRISNELGAGNPNAAQCIVRVVVILAIVDGVIVSTLFFCFRHVLGYAYSNDEEVVYYVEDIVPILCGSFTADSLVGALSGVARGGGFQQIGAYVNLGAYYLVGIPLALLLGFVLHFNSKGLWMGSLTGSVLQVIILACVTATTDWQKEATKARERIFEKSNEAHSGLV >Vigun05g009700.6.v1.2 pep primary_assembly:ASM411807v1:5:795477:798346:-1 gene:Vigun05g009700.v1.2 transcript:Vigun05g009700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESTRLIRKSEVAALEDSFLVELKRVWSMAAPMVAATASQNALEVVSLMMVGHLGILVSFSGVAIATSFAEVTGFCVILGMAGALETLCGQTYGAEEFGEIGKYTCCAIVTMLLVCLPITLLWIFVDKILLLFGQDPEICRVAHQYCICLIPGLYGFAVLQCQIRYFQTQSIIFPMLFSSIAVLCLHIPICWGLVFKLGLGHVGAAYSIAISYWLNVIGLGIYMKYSPACQNTKVVFSLNALLSIPEFCRFAVPSGLMFCFEMWSFELLTLVAGLLPNPQLQTSILSICLNTTTMHYIIPYAVGASARSRESKRSTMHCSCRCDSCNCGWGYCQHFVLLF >Vigun05g009700.1.v1.2 pep primary_assembly:ASM411807v1:5:795465:798484:-1 gene:Vigun05g009700.v1.2 transcript:Vigun05g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESTRLIRKSEVAALEDSFLVELKRVWSMAAPMVAATASQNALEVVSLMMVGHLGILVSFSGVAIATSFAEVTGFCVILGMAGALETLCGQTYGAEEFGEIGKYTCCAIVTMLLVCLPITLLWIFVDKILLLFGQDPEICRVAHQYCICLIPGLYGFAVLQCQIRYFQTQSIIFPMLFSSIAVLCLHIPICWGLVFKLGLGHVGAAYSIAISYWLNVIGLGIYMKYSPACQNTKVVFSLNALLSIPEFCRFAVPSGLMFCFEMWSFELLTLVAGLLPNPQLQTSILSICLNTTTMHYIIPYAVGASASTRISNELGAGNPNAAQCIVRVVVILAIVDGVIVSTLFFCFRHVLGYAYSNDEEVVYYVEDIVPILCGSFTADSLVGALSGVARGGGFQQIGAYVNLGAYYLVGIPLALLLGFVLHFNSKGLWMGSLTGSVLQVIILACVTATTDWQKEATKARERIFEKSNEAHSGLV >Vigun10g058400.1.v1.2 pep primary_assembly:ASM411807v1:10:11094108:11097951:1 gene:Vigun10g058400.v1.2 transcript:Vigun10g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKTVMGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGAEVAVLCITRSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEQCTQLGEGSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun05g303900.1.v1.2 pep primary_assembly:ASM411807v1:5:48655567:48658523:-1 gene:Vigun05g303900.v1.2 transcript:Vigun05g303900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSASVVRAPFKNVKPKLWLSVVKGNVVVLVLASILISSSWCTSAKAMYSDAEALVKLKKSFTNTVALKSWDPTTNSKPPCSGNIPNWVGLFCLRDKVWGLRLENMGLTGTIDIMTLASIPALRSVSLMNNTFVGPLPSVRMLPNLKALYLSFNHFSGQIPDDEFKGLHKLRKLYLANNEFTGQIPSSIATLPSLIILRLDSNKFQGPIPRFLHVNTTSNYTLKIINFSNNQLEGPIPPSLTTFGATPFSGNPGLCGAPLQNECQEVATPSKMRLLKILLAIVTSALIVAILVIVLVICRLRSQTSQLQQQSAVETLQGHVQQAPPIYVKTKSLADHYDGSPRQASSGQGLGRPRSRRGEPAKLTFLRHQEPMFDLQDLLRSSAEILGSAAFGSSYKAVILDGYPVVVKRYKHMNNVPREEFQEHMRRLAILNHPNLLPLLAFYYKREEKLLLSAFVHNGCLASHLHGNHNSQKRGLDWPTRLKIVKGIARGLAYLYSALPSVIVPHGHLKSSNVLLDESFEPLLTDYALSPVINLDHAQKIIMPYKSPEYAQLGRITKKTDVWSFGILILEILTGKFPENCHTLRYNTDSDIASWVNTLITEKRTSDVFDVEMGGIGNCKAELLKLLKIGLSCCEENVERRLDIKEALEQIEDLKESENDAIGEYSSTERNTYRAV >Vigun03g177500.1.v1.2 pep primary_assembly:ASM411807v1:3:22096454:22099087:-1 gene:Vigun03g177500.v1.2 transcript:Vigun03g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGKRETLKLAVRVVDHWYVQSRDSTLQLEMIMMDENTDKIHCIVRMKEFHLWDNKLIEGETYIMHNFKILKNEGQYRVCEHPYKLLFIGATSMKSQALAKLPMKAYTFKSIKEIVSGNFIPDLLIDLIGVVKNVRIKPYGAAICCTLWDDYCKKFVQRFNELPNSEKLVVIITQAKIKPAAGEWPVNVSNTWNGTKLIMENDFPQIVDFKKRMKEIDPDEVILSQCVSQLTQSSQYTDAERFVYKCLVKSLFKIPSMKKEVVCVTVATTIKFNLDNEGWYYLVCNNCNKRTYEAVSFKCTYCDKDNALLVFKYRLQVQVCDDSNNYAIFVVWDQECSNIIGLSTADLQKRMIEVGEDDPLCFPDALDIMLGCTFAFKVRTQPRTKCAFVIKVSNVSEIVTHVKSLIPPLQMSLSATGDNDSDYVVIETPRKRLLPCSENCNESSQDMDSAQLSSTKMKKLIKKEKT >Vigun03g314400.1.v1.2 pep primary_assembly:ASM411807v1:3:50725870:50728963:1 gene:Vigun03g314400.v1.2 transcript:Vigun03g314400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDFGVYIRSLGDDAIVVLKKLDKVLPHVDKEFITELNTIGSMHHMNLVHLCSYCSKGSPRMQGRSPLLRTYHLSI >Vigun03g342600.2.v1.2 pep primary_assembly:ASM411807v1:3:54133866:54139920:-1 gene:Vigun03g342600.v1.2 transcript:Vigun03g342600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLHSLADDNPDLKKQIGCMTGIFQLFDRHHIISSPHITRKRLHPGNSLFNYASLERGSNIIHQPQSTADMRDMSNKHKISAESSRTSFSSCSSSMSSLDYKAEADAPFERIGFPETPMRDPVMNQASTSPHLGCSSLDLRDVVKECIYREARGLCKERDYPRQFQLSKSVDRKQTPIDLKESLRVLAILRETPRHYVEAKELPRLSNEVKDGHWHSISKDAPRFSYDGRATSEISFDSNDTLKCQQKLKELPRLSLDSREGSWRANGSDSKLSNLSRNFNAGDSSTSVDGISSLQQRSASQRRPPSIVAKLMGLEALPESYVVSDTKSSLSETDSTQGNDQFGKNGFVTPLPVANFPEVPLKEKEMTSPRWKNPDLVVKPILNTRFPIEPAPWKQQDGNQISEKLTSRVIKPTSRTPDSFPSVYSEIENRLKDLEFKQSGRDLRALKQILETMQVKGLLETRKEEQASNVVRNKRDYELKSTSIKHSTRQETAWESDSTMAVESPIVIMKPAKIVEKTGPFASSVFPIHELSDSHKLQSGGVHEHGKKGIPSSQIAKDQSPRNSPKDTSTSFSEKKANSIKTIKSTQSLPRSTQFPKENGPSSVKSSRSASPRMQQKKSASEKQSCLLTPSSDSNNPRRQFCKQTTDSDSPSQKLRPKVPNSHSSNDRLSETSNELRSLSSQWDEVSLQSDSISFDSKMDIEVTSNLQSAEVVDSQCPSRKAAEHLVSGSMHKKSTMRWDEDKSIAELTTHASGHPSLGSVVDVSVYKFGMPSPVKDISYSRKVENAQESKENYHTDQWSPAEGLFINNTRYREINHKKLQSIGHLIQKLRQLNSSHDETRIDYIASLCENTNSDHRYIAEILLASGLLLRALSSELLTFQHHSSGHPINPELFLVLEQTKLSSLLSKQGGSDEKVAYGKLNTEKWHRKLIFDTVNEILGTKLGSSREPWFKPSGLTRKFVTAQKLLKELCFEIQKLKYVKPDCKDEGDDMKSMLGEDVMRHSENWTSFPGELPGVVLDVERQIFKDLIDEFVIDECLRVTYSRHSKLFGK >Vigun03g342600.5.v1.2 pep primary_assembly:ASM411807v1:3:54134036:54139667:-1 gene:Vigun03g342600.v1.2 transcript:Vigun03g342600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLHSLADDNPDLKKQIGCMTGIFQLFDRHHIISSPHITRKRLHPGNSLFNYASLERGSNIIHQPQSTADMRDMSNKHKISAESSRTSFSSCSSSMSSLDYKAEADAPFERIGFPETPMRDPVMNQASTSPHLGCSSLDLRDVVKECIYREARGLCKERDYPRQFQLSKSVDRKQTPIDLKESLRVLAILRETPRHYVEAKELPRLSNEVKDGHWHSISKDAPRFSYDGRATSEISFDSNDTLKCQQKLKELPRLSLDSREGSWRANGSDSKLSNLSRNFNAGDSSTSVDGISSLQQRSASQRRPPSIVAKLMGLEALPESYVVSDTKSSLSETDSTQGNDQFGKNGFVTPLPVANFPEVPLKEKEMTSPRWKNPDLVVKPILNTRFPIEPAPWKQQDGNQISEKLTSRVIKPTSRTPDSFPSVYSEIENRLKDLEFKQSGRDLRALKQILETMQVKGLLETRKEEQASNVVRNKRDYELKSTSIKHSTRQETAWESDSTMAVESPIVIMKPAKIVEKTGPFASSVFPIHELSDSHKLQSGGVHEHGKKGIPSSQIAKDQSPRNSPKDTSTSFSEKKANSIKTIKSTQSLPRSTQFPKENGPSSVKSSRSASPRMQQKKSASEKQSCLLTPSSDSNNPRRQFCKQTTDSDSPSQKLRPKVPNSHSSNDRLSETSNELRSLSSQWDEVSLQSDSISFDSKMDIEVTSNLQSAEVVDSQCPSRKAAEHLVSGSMHKKSTMRWDEDKSIAELTTHASGHPSLGSVVDVSVYKFGMPSPVKDISYSRKVENAQESKENYHTDQWSPAEGLFINNTRYREINHKKLQSIGHLIQKLRQLNSSHDETRIDYIASLCENTNSDHRYIAEILLASGLLLRALSSELLTFQHHSSGHPINPELFLVLEQTKLSSLLSKQGGSDEKVAYGKLNTEKWHRKLIFDTVNEILGTKLGSSREPWFKPSGLTRKFVTAQKLLKELCFEIQKLKYVKPDCKDEGDDMKSMLGEDVMRHSENWTSFPGELPGVVLDVERQIFKDLIDEFVIDECLRVTYSRHSKLFGK >Vigun03g342600.4.v1.2 pep primary_assembly:ASM411807v1:3:54134036:54139667:-1 gene:Vigun03g342600.v1.2 transcript:Vigun03g342600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLHSLADDNPDLKKQIGCMTGIFQLFDRHHIISSPHITRKRLHPGNSLFNYASLERGSNIIHQPQSTADMRDMSNKHKISAESSRTSFSSCSSSMSSLDYKAEADAPFERIGFPETPMRDPVMNQASTSPHLGCSSLDLRDVVKECIYREARGLCKERDYPRQFQLSKSVDRKQTPIDLKESLRVLAILRETPRHYVEAKELPRLSNEVKDGHWHSISKDAPRFSYDGRATSEISFDSNDTLKCQQKLKELPRLSLDSREGSWRANGSDSKLSNLSRNFNAGDSSTSVDGISSLQQRSASQRRPPSIVAKLMGLEALPESYVVSDTKSSLSETDSTQGNDQFGKNGFVTPLPVANFPEVPLKEKEMTSPRWKNPDLVVKPILNTRFPIEPAPWKQQDGNQISEKLTSRVIKPTSRTPDSFPSVYSEIENRLKDLEFKQSGRDLRALKQILETMQVKGLLETRKEEQASNVVRNKRDYELKSTSIKHSTRQETAWESDSTMAVESPIVIMKPAKIVEKTGPFASSVFPIHELSDSHKLQSGGVHEHGKKGIPSSQIAKDQSPRNSPKDTSTSFSEKKANSIKTIKSTQSLPRSTQFPKENGPSSVKSSRSASPRMQQKKSASEKQSCLLTPSSDSNNPRRQFCKQTTDSDSPSQKLRPKVPNSHSSNDRLSETSNELRSLSSQWDEVSLQSDSISFDSKMDIEVTSNLQSAEVVDSQCPSRKAAEHLVSGSMHKKSTMRWDEDKSIAELTTHASGHPSLGSVVDVSVYKFGMPSPVKDISYSRKVENAQESKENYHTDQWSPAEGLFINNTRYREINHKKLQSIGHLIQKLRQLNSSHDETRIDYIASLCENTNSDHRYIAEILLASGLLLRALSSELLTFQHHSSGHPINPELFLVLEQTKLSSLLSKQGGSDEKVAYGKLNTEKWHRKLIFDTVNEILGTKLGSSREPWFKPSGLTRKFVTAQKLLKELCFEIQKLKYVKPDCKDEGDDMKSMLGEDVMRHSENWTSFPGELPGVVLDVERQIFKDLIDEFVIDECLRVTYSRHSKLFGK >Vigun03g342600.1.v1.2 pep primary_assembly:ASM411807v1:3:54133866:54139920:-1 gene:Vigun03g342600.v1.2 transcript:Vigun03g342600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLHSLADDNPDLKKQIGCMTGIFQLFDRHHIISSPHITRKRLHPGNSLFNYASLERGSNIIHQPQSTADMRDMSNKHKISAESSRTSFSSCSSSMSSLDYKAEADAPFERIGFPETPMRDPVMNQASTSPHLGCSSLDLRDVVKECIYREARGLCKERDYPRQFQLSKSVDRKQTPIDLKESLRVLAILRETPRHYVEAKELPRLSNEVKDGHWHSISKDAPRFSYDGRATSEISFDSNDTLKCQQKLKELPRLSLDSREGSWRANGSDSKLSNLSRNFNAGDSSTSVDGISSLQQRSASQRRPPSIVAKLMGLEALPESYVVSDTKSSLSETDSTQGNDQFGKNGFVTPLPVANFPEVPLKEKEMTSPRWKNPDLVVKPILNTRFPIEPAPWKQQDGNQISEKLTSRVIKPTSRTPDSFPSVYSEIENRLKDLEFKQSGRDLRALKQILETMQVKGLLETRKEEQASNVVRNKRDYELKSTSIKHSTRQETAWESDSTMAVESPIVIMKPAKIVEKTGPFASSVFPIHELSDSHKLQSGGVHEHGKKGIPSSQIAKDQSPRNSPKDTSTSFSEKKANSIKTIKSTQSLPRSTQFPKENGPSSVKSSRSASPRMQQKKSASEKQSCLLTPSSDSNNPRRQFCKQTTDSDSPSQKLRPKVPNSHSSNDRLSETSNELRSLSSQWDEVSLQSDSISFDSKMDIEVTSNLQSAEVVDSQCPSRKAAEHLVSGSMHKKSTMRWDEDKSIAELTTHASGHPSLGSVVDVSVYKFGMPSPVKDISYSRKVENAQESKENYHTDQWSPAEGLFINNTRYREINHKKLQSIGHLIQKLRQLNSSHDETRIDYIASLCENTNSDHRYIAEILLASGLLLRALSSELLTFQHHSSGHPINPELFLVLEQTKLSSLLSKQGGSDEKVAYGKLNTEKWHRKLIFDTVNEILGTKLGSSREPWFKPSGLTRKFVTAQKLLKELCFEIQKLKYVKPDCKDEGDDMKSMLGEDVMRHSENWTSFPGELPGVVLDVERQIFKDLIDEFVIDECLRVTYSRHSKLFGK >Vigun03g342600.3.v1.2 pep primary_assembly:ASM411807v1:3:54133866:54139920:-1 gene:Vigun03g342600.v1.2 transcript:Vigun03g342600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLHSLADDNPDLKKQIGCMTGIFQLFDRHHIISSPHITRKRLHPGNSLFNYASLERGSNIIHQPQSTADMRDMSNKHKISAESSRTSFSSCSSSMSSLDYKAEADAPFERIGFPETPMRDPVMNQASTSPHLGCSSLDLRDVVKECIYREARGLCKERDYPRQFQLSKSVDRKQTPIDLKESLRVLAILRETPRHYVEAKELPRLSNEVKDGHWHSISKDAPRFSYDGRATSEISFDSNDTLKCQQKLKELPRLSLDSREGSWRANGSDSKLSNLSRNFNAGDSSTSVDGISSLQQRSASQRRPPSIVAKLMGLEALPESYVVSDTKSSLSETDSTQGNDQFGKNGFVTPLPVANFPEVPLKEKEMTSPRWKNPDLVVKPILNTRFPIEPAPWKQQDGNQISEKLTSRVIKPTSRTPDSFPSVYSEIENRLKDLEFKQSGRDLRALKQILETMQVKGLLETRKEEQASNVVRNKRDYELKSTSIKHSTRQETAWESDSTMAVESPIVIMKPAKIVEKTGPFASSVFPIHELSDSHKLQSGGVHEHGKKGIPSSQIAKDQSPRNSPKDTSTSFSEKKANSIKTIKSTQSLPRSTQFPKENGPSSVKSSRSASPRMQQKKSASEKQSCLLTPSSDSNNPRRQFCKQTTDSDSPSQKLRPKVPNSHSSNDRLSETSNELRSLSSQWDEVSLQSDSISFDSKMDIEVTSNLQSAEVVDSQCPSRKAAEHLVSGSMHKKSTMRWDEDKSIAELTTHASGHPSLGSVVDVSVYKFGMPSPVKDISYSRKVENAQESKENYHTDQWSPAEGLFINNTRYREINHKKLQSIGHLIQKLRQLNSSHDETRIDYIASLCENTNSDHRYIAEILLASGLLLRALSSELLTFQHHSSGHPINPELFLVLEQTKLSSLLSKQGGSDEKVAYGKLNTEKWHRKLIFDTVNEILGTKLGSSREPWFKPSGLTRKFVTAQKLLKELCFEIQKLKYVKPDCKDEGDDMKSMLGEDVMRHSENWTSFPGELPGVVLDVERQIFKDLIDEFVIDECLRVTYSRHSKLFGK >Vigun06g204500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31861984:31864231:1 gene:Vigun06g204500.v1.2 transcript:Vigun06g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISHLCFVSSVNNVSPNSITPPSSLHPFSQFQSAKIRKPKHVHLPRVKCSGNENNQTPNPSEEELAHMIGNRRNVLVGLGGLCGAVTLNNNPFAFAAPISPPDLTTCGPPDLPGGVKPTNCCPPYSKIIDFKFPPSNQPLRVRPAAHLVTDDYLAKYKKALDLMKKLPPKDPRNFMQQANVHCAYCDGAYHQVGFPDLDLQVHNSWLFFPFHRWYLYFYERILGSLINDPTFALPFWNWDAPRGMKLPSIYAERKSPLYDPLRNPNHQPPTLVDLDFDLDDPDADGKISSNLTIMYRQVVSNGKTPRLFLGNAYRAGDEPDPGGGSVENVPHGPVHLWTGDLNQPNNENMETFYSAARDPIFYSHHSNIDRLWSVWKTLGGKRRDFTDSDWLESGFLFYDENKNLVRVKVKDCLDTRKLGYVYQDVDIPWLNSKPSPRKSRARKVAFAENFGVGAAQAAETSRSVKFPLVLDSVVSTRVKRPNKSRSKKEKEEEEEVLVIEGIEFDRNTPVKFDVFVNDEDDTQIRADNTEFAGSFVSVPHTHKHKNKNIKTYLRLGLTDLLEELEAEDDDSVMVTLVPRYGKGRVKIRNIKIELNAD >Vigun04g099520.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23333065:23333943:-1 gene:Vigun04g099520.v1.2 transcript:Vigun04g099520.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIISLGQFICENVCIVQTKNEPHLKSGQILTVQMDSIGIRSANPYLATHGTTLYGHYGEILSEGDILVTFIYQKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVDTWNGRIIRILGIPWGFFISAELTIAQSRISLVNQIQKVYRSQRVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIRLLRTKRAGRSLEESICYRVLLLGITKTSLNTQSFISEASFQETARVLSKAALRGRIDWLKGLKENVVLGGMMPVGTGFKRIIYRSKQRQYNKITRETKKKNL >Vigun08g210800.1.v1.2 pep primary_assembly:ASM411807v1:8:37260478:37263979:-1 gene:Vigun08g210800.v1.2 transcript:Vigun08g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEQHSLFETRKDRARHIRRLYAVSLFVAICFVWAFRLTHIPAKGEAAHWAWLGLFLAELWSGIYWVFYQALRWNMIFRTTFPNTLSQRYESRLPGVDIFVFTADAAVEPPLLVINTVLSLMAYDYPPQKLSVYLSDDGGSEITFYALLEASSFAKHWVPFCKIFKVEPRSPAAFFNNPASTKSEDHNYVKHLATIHKLYEEMKRRVEDATKLGVPSEARSKHNGFSQWDSYSSSRDHDTILQILLHKNDWHGSKDVDGCILPTLVYMAREKRPQYHTHYKAGAINSLLRISSTISRGKIILFLDCDMYSTNSQSVRDVLCFFMDERKGQRIGYVQLPQYFDNIGKNDLYGNALSETMQIHLHGEDGLGGPLCIGTCCFFRRDALCGKKFNDQEEKNCNDDSEKEVVEVNMQKLEEEAKALASCTYEKNTLWGKKIGQVYGCLVEDVITGFRIHSQGWKSVCYNPQKKCFLGVAPTNLLHTLVQQKRWAQGDLQILLSEFRPTWYGDGKINLSLLLGYEYTMCFSTISLPILYYSIIPSLYLLKGIPLFPKMSSSWLIPFAYVIVGETIYSLVESLSMGGSIRGWWNAVRMWLYLKTSAFFFAFVDGMLGFFGHSNSSFVVTSKTIEDGAAQRYEKEMMEFGTSSPYFTVLATLALLNLFCLLSTLNDLVLAKSAFSGEKMALQVLVCGVLVFINFPIYEAIFLRKDKGRMPASVSVKSIALAFTACVFFKLLK >Vigun03g224900.1.v1.2 pep primary_assembly:ASM411807v1:3:37344090:37347557:-1 gene:Vigun03g224900.v1.2 transcript:Vigun03g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGPDDEDNRWPPWLKPLLKESFFVQCKLHADSHKSECNMYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVNEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSYRFCSLGCKIVGTSRNFEKKKKSATMASDSEDSYSSNSSHGKHNSNKVQSFTPSTPPPTSVNYRTAKRRKGIPHRAPMGGLVIEY >Vigun03g369600.2.v1.2 pep primary_assembly:ASM411807v1:3:57236759:57241538:1 gene:Vigun03g369600.v1.2 transcript:Vigun03g369600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEKNKMLRTLFLISLLIHSIVAQKSALPPKAAAPAPVPVPATATAPAPTPATAPQLTKWSTLSGNEPLVIARGGYSGLFPEGTPDAIALARDISILLCNLQLSKDGGAFCITGSTLDNATTIEFFDTKQSTYNINGKDVKGHFSVDYNSEQIAMNISVIQAIFSRPSAYDGLDPVLNLDALMSGKNPPRFWLNVQNAAFYHEKGVKVVDIVLELLNAYQIEFVSASDMGFLKSLIEKTNRQPKVVFQLLNAKDVEPSTKKPYESVIKDIATIKSFATGIMVPKEYIWPLKADKYLGNPTTLVADAHKSGLEVYASGFANDYFTSYSYNYDPTAEYLQFFDNGDVVDGVVTDFPSTASNAIVCYAHNNTLTKKGPTLVISNNGASGVYPGSSDLAYKQAIDDGADIIDCSVQMTRDGIAICSNSSDLGPDTNAMTKFMSRSSKVKEIQPKSGIFSFDLSWGEIQTLKPHIVKNGDFQRNPANKTSGKMVSLQEFLEIAKTKDVPGVLVNIQNAAYLASKKGLDIVDAVSSALKNASLVNQQVLVQSDDSSVLSKFKDNQSYKRVMYLTEKIGSVPRKTADEIKKYADAVNVPKTSVIEVYASYLYRLTNVVKELKDANLTVFVRTLKNEYTSLAFDYWSDPNIEIATYIQTAMVDGVITDFPATSSRFVRSPCSDINNEFSILPARPGELLKTTPLQDQPQAQAPLPPLEVANVVDPPLPPVNEASKPAESRAADETPPAADDATPAAADAPAASDARTELANCGFSAAAILVLATLLHRN >Vigun03g369600.3.v1.2 pep primary_assembly:ASM411807v1:3:57236659:57241538:1 gene:Vigun03g369600.v1.2 transcript:Vigun03g369600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEKNKMLRTLFLISLLIHSIVAQKSALPPKAAAPAPVPVPATATAPAPTPATAPQLTKWSTLSGNEPLVIARGGYSGLFPEGTPDAIALARDISILLCNLQLSKDGGAFCITGSTLDNATTIEFFDTKQSTYNINGKDVKGHFSVDYNSEQIAMNISVIQAIFSRPSAYDGLDPVLNLDALMSGKNPPRFWLNVQNAAFYHEKGVKVVDIVLELLNAYQIEFVSASDMGFLKSLIEKTNRQPKVVFQLLNAKDVEPSTKKPYESVIKDIATIKSFATGIMVPKEYIWPLKADKYLGNPTTLVADAHKSGLEVYASGFANDYFTSYSYNYDPTAEYLQFFDNGDVVDGVVTDFPSTASNAIVCYAHNNTLTKKGPTLVISNNGASGVYPGSSDLAYKQAIDDGADIIDCSVQMTRDGIAICSNSSDLGPDTNAMTKFMSRSSKVKEIQPKSGIFSFDLSWGEIQTLKPHIVKNGDFQRNPANKTSGKMVSLQEFLEIAKTKDVPGVLVNIQNAAYLASKKGLDIVDAVSSALKNASLVNQQVLVQSDDSSVLSKFKDNQSYKRVMYLTEKIGSVPRKTADEIKKYADAVNVPKTSVIEVYASYLYRLTNVVKELKDANLTVFVRTLKNEYTSLAFDYWSDPNIEIATYIQTAMVDGVITDFPATSSRFVRSPCSDINNEFSILPARPGELLKTTPLQDQPQAQAPLPPLEVANVVDPPLPPVNEASKPAESRAADETPPAADDATPAAADAPAASDARTELANCGFSAAAILVLATLLHRN >Vigun03g369600.1.v1.2 pep primary_assembly:ASM411807v1:3:57236604:57241538:1 gene:Vigun03g369600.v1.2 transcript:Vigun03g369600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLFLISLLIHSIVAQKSALPPKAAAPAPVPVPATATAPAPTPATAPQLTKWSTLSGNEPLVIARGGYSGLFPEGTPDAIALARDISILLCNLQLSKDGGAFCITGSTLDNATTIEFFDTKQSTYNINGKDVKGHFSVDYNSEQIAMNISVIQAIFSRPSAYDGLDPVLNLDALMSGKNPPRFWLNVQNAAFYHEKGVKVVDIVLELLNAYQIEFVSASDMGFLKSLIEKTNRQPKVVFQLLNAKDVEPSTKKPYESVIKDIATIKSFATGIMVPKEYIWPLKADKYLGNPTTLVADAHKSGLEVYASGFANDYFTSYSYNYDPTAEYLQFFDNGDVVDGVVTDFPSTASNAIVCYAHNNTLTKKGPTLVISNNGASGVYPGSSDLAYKQAIDDGADIIDCSVQMTRDGIAICSNSSDLGPDTNAMTKFMSRSSKVKEIQPKSGIFSFDLSWGEIQTLKPHIVKNGDFQRNPANKTSGKMVSLQEFLEIAKTKDVPGVLVNIQNAAYLASKKGLDIVDAVSSALKNASLVNQQVLVQSDDSSVLSKFKDNQSYKRVMYLTEKIGSVPRKTADEIKKYADAVNVPKTSVIEVYASYLYRLTNVVKELKDANLTVFVRTLKNEYTSLAFDYWSDPNIEIATYIQTAMVDGVITDFPATSSRFVRSPCSDINNEFSILPARPGELLKTTPLQDQPQAQAPLPPLEVANVVDPPLPPVNEASKPAESRAADETPPAADDATPAAADAPAASDARTELANCGFSAAAILVLATLLHRN >Vigun09g078700.1.v1.2 pep primary_assembly:ASM411807v1:9:9154104:9156100:-1 gene:Vigun09g078700.v1.2 transcript:Vigun09g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSANSMQNRDQKLAGKVVIVTGGASGIGEETARLFANHGARMVVIADIQDQLGNQVAASIGSHRCTYIHCDVREEEQVKELVDSTVNAYGQLDVMFSNAGIVSPSDQKILDLDFSQFDRLFAVNARGMAACVKHAARSMVERRVRGSIVCTASVAASQGSVVRTDYVMSKHAVKGLVRCASVQLGVHGIRVNCVSPSGLATPLTRAAHATMEIEELQKLYAQSSRLKGVVLSTKHVADVVLFLASGDSEFVTGHDLVVDGSFSF >Vigun03g351800.1.v1.2 pep primary_assembly:ASM411807v1:3:55352825:55354675:-1 gene:Vigun03g351800.v1.2 transcript:Vigun03g351800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVLLALRLFWAFKFKATDNCFLHLQVSFLHITVKNVKISKFSWIGSLFSLAYKGVKHLDKNMHYNLKYACSQGAMFKYGCINYKSQHFFHGNCKWKYSLTIPLAICLYSKSKQG >Vigun03g351800.3.v1.2 pep primary_assembly:ASM411807v1:3:55353392:55354675:-1 gene:Vigun03g351800.v1.2 transcript:Vigun03g351800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVLLALRLFWAFKFKATDNCFLHLQVSFLHITVKNVKISKFSWIGSLFSLAYKGVKHLDKNMHYNLKYACSQGAMFKYGCINYKR >Vigun03g351800.2.v1.2 pep primary_assembly:ASM411807v1:3:55352825:55354675:-1 gene:Vigun03g351800.v1.2 transcript:Vigun03g351800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLHITVKNVKISKFSWIGSLFSLAYKGVKHLDKNMHYNLKYACSQGAMFKYGCINYKSQHFFHGNCKWKYSLTIPLAICLYSKSKQG >Vigun05g090200.3.v1.2 pep primary_assembly:ASM411807v1:5:8684232:8689928:1 gene:Vigun05g090200.v1.2 transcript:Vigun05g090200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTSIGDGFRISVSSTPSVSSSGSGPDDIESLGDVYVWGEVWADGASHDAFGPQPPSTTDVLIPKPLESSVVLDVQQIASGMRHIALVTRQGEVFTWGEESGGRLGHGTDRDFVRPHLVEFLAVTNMDFVACGENHTCGVSTSDDLFSWGDGTYNVGLLGHGTDVSHWIPKKVSGPLEGLQVISISCGTWHSALATSNGKLFTFGDGTFGVLGHGNRQSVSYPKEVQLLSGLKTIKVSCGVWHTAAIVEVTFQSGSNVLSRKLFTWGDGDKYRLGHGNKETYLQPTCVSTLIEYNFHQIACGHTMTVALTTSGHVFTMGNTENGQLGNPLADGKVPILVQDKLVGEFVEEISCGSHHVAALTSRSELYTWGKGANGRLGHGDIEDRKSPTLVESLKDRHVKNISCGSNFTSCICIHKWVSGVDQSICTGCRQPFGFTRKRHNCYNCGLVHCHGCSSRKVLKAALAPTPGKPHRVCDSCYNKLKAVEACASANLNRKITATTSAPRSSMDSRERFGTGEIKSSRLILPPITEPVKYHQILANKLGNKYDYPSMCPSSQIQPLLQLNEITFSNSMSPSQNVMRSAISSNPPPISPARPSSPHTRRPSSPRSRTGFSRSLIESLRKSNELLNQEVSVLQNQLRSLKQKRGMEMEKLQKNVREATSLSLKESSKHKTTKEFFKSTLDQMKEMMEKLPPEALDNENWKTILTGAEDFLRVTSESEMLSNTSQQQNYPNKLDSYDSSSKLQYENNETEGVESSSRDEGNVLQDSNNSYLSNNEASMSLQNSLREPSRSMREGETQVIEQFEPGVYVTLTVKPNGVKAFKRVRFSKRRFRERQAEEWWNKNKDRVLKKYSTLAATKSEGSASSNTLPPPPAEENIEAMSS >Vigun05g090200.1.v1.2 pep primary_assembly:ASM411807v1:5:8686130:8689930:1 gene:Vigun05g090200.v1.2 transcript:Vigun05g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTSIGDGFRISVSSTPSVSSSGSGPDDIESLGDVYVWGEVWADGASHDAFGPQPPSTTDVLIPKPLESSVVLDVQQIASGMRHIALVTRQGEVFTWGEESGGRLGHGTDRDFVRPHLVEFLAVTNMDFVACGENHTCGVSTSDDLFSWGDGTYNVGLLGHGTDVSHWIPKKVSGPLEGLQVISISCGTWHSALATSNGKLFTFGDGTFGVLGHGNRQSVSYPKEVQLLSGLKTIKVSCGVWHTAAIVEVTFQSGSNVLSRKLFTWGDGDKYRLGHGNKETYLQPTCVSTLIEYNFHQIACGHTMTVALTTSGHVFTMGNTENGQLGNPLADGKVPILVQDKLVGEFVEEISCGSHHVAALTSRSELYTWGKGANGRLGHGDIEDRKSPTLVESLKDRHVKNISCGSNFTSCICIHKWVSGVDQSICTGCRQPFGFTRKRHNCYNCGLVHCHGCSSRKVLKAALAPTPGKPHRVCDSCYNKLKAVEACASANLNRKITATTSAPRSSMDSRERFGTGEIKSSRLILPPITEPVKYHQILANKLGNKYDYPSMCPSSQIQPLLQLNEITFSNSMSPSQNVMRSAISSNPPPISPARPSSPHTRRPSSPRSRTGFSRSLIESLRKSNELLNQEVSVLQNQLRSLKQKRGMEMEKLQKNVREATSLSLKESSKHKTTKEFFKSTLDQMKEMMEKLPPEALDNENWKTILTGAEDFLRVTSESEMLSNTSQQQNYPNKLDSYDSSSKLQYENNETEGVESSSRDEGNVLQDSNNSYLSNNEASMSLQNSLREPSRSMREGETQVIEQFEPGVYVTLTVKPNGVKAFKRVRFSKRRFRERQAEEWWNKNKDRVLKKYSTLAATKSEGSASSNTLPPPPAEENIEAMSS >Vigun05g090200.2.v1.2 pep primary_assembly:ASM411807v1:5:8683805:8689928:1 gene:Vigun05g090200.v1.2 transcript:Vigun05g090200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTSIGDGFRISVSSTPSVSSSGSGPDDIESLGDVYVWGEVWADGASHDAFGPQPPSTTDVLIPKPLESSVVLDVQQIASGMRHIALVTRQGEVFTWGEESGGRLGHGTDRDFVRPHLVEFLAVTNMDFVACGENHTCGVSTSDDLFSWGDGTYNVGLLGHGTDVSHWIPKKVSGPLEGLQVISISCGTWHSALATSNGKLFTFGDGTFGVLGHGNRQSVSYPKEVQLLSGLKTIKVSCGVWHTAAIVEVTFQSGSNVLSRKLFTWGDGDKYRLGHGNKETYLQPTCVSTLIEYNFHQIACGHTMTVALTTSGHVFTMGNTENGQLGNPLADGKVPILVQDKLVGEFVEEISCGSHHVAALTSRSELYTWGKGANGRLGHGDIEDRKSPTLVESLKDRHVKNISCGSNFTSCICIHKWVSGVDQSICTGCRQPFGFTRKRHNCYNCGLVHCHGCSSRKVLKAALAPTPGKPHRVCDSCYNKLKAVEACASANLNRKITATTSAPRSSMDSRERFGTGEIKSSRLILPPITEPVKYHQILANKLGNKYDYPSMCPSSQIQPLLQLNEITFSNSMSPSQNVMRSAISSNPPPISPARPSSPHTRRPSSPRSRTGFSRSLIESLRKSNELLNQEVSVLQNQLRSLKQKRGMEMEKLQKNVREATSLSLKESSKHKTTKEFFKSTLDQMKEMMEKLPPEALDNENWKTILTGAEDFLRVTSESEMLSNTSQQQNYPNKLDSYDSSSKLQYENNETEGVESSSRDEGNVLQDSNNSYLSNNEASMSLQNSLREPSRSMREGETQVIEQFEPGVYVTLTVKPNGVKAFKRVRFSKRRFRERQAEEWWNKNKDRVLKKYSTLAATKSEGSASSNTLPPPPAEENIEAMSS >Vigun07g210900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33223258:33225798:1 gene:Vigun07g210900.v1.2 transcript:Vigun07g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFPPSRHRFLAAAIIVVALCMILPVSMATQPLKLCMLTTPTPTCPVKCFVAKPVCGEDGVTYWCGCHEAACAGVEVERIGPCVEGNGGSAPIPGQALLLVHIVWLILLGFSVLFGLF >Vigun07g210900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33223266:33225798:1 gene:Vigun07g210900.v1.2 transcript:Vigun07g210900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFPPSRHRFLAAAIIVVALCMILPVSMATQPLKLCMLTTPTPTCPVKCFVAKPVCGEDGVTYWCGCHEAACAGVEVERIGPCVEGNGGSAPIPGQALLLVHIVWLILLGFSVLFGLF >Vigun07g210900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33223266:33225798:1 gene:Vigun07g210900.v1.2 transcript:Vigun07g210900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFPPSRHRFLAAAIIVVALCMILPVSMATQPLKLCMLTTPTPTCPVKCFVAKPVCGEDGVTYWCGCHEAACAGVEVERIGPCVEGNGGSAPIPGQALLLVHIVWLILLGFSVLFGLF >Vigun04g139300.5.v1.2 pep primary_assembly:ASM411807v1:4:34578192:34579068:1 gene:Vigun04g139300.v1.2 transcript:Vigun04g139300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMVVPSWRPTCCASSSSSSASTTVINTEQLCSQIDHLHAEADATRAKATNARLRLLRLSEVAEKLQK >Vigun01g040400.1.v1.2 pep primary_assembly:ASM411807v1:1:5593736:5599003:-1 gene:Vigun01g040400.v1.2 transcript:Vigun01g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPALKSLNIPTPFHFPSSKFSPFSQFTFFHFKPFLTSSSSRSFPHCSLNGSAKIVTKEGALPRGVGEAVNEASCSKFLQVVLVSPQIPGNTGCIARTCAASNVGLHLVGPLGFQVDDTKLKRAGLDYWPYVVVKVHNSWADFRDYFRQQEGEKRLLAFTKRGTQIHSEFSYRKGDYLLFGSETSGLPPEALLDCKTEPFGGGTVKIPMVETYVRCLNLSVSVGIALYEASRQLNYESLQVPSESHVDTTEEESFIAEDIFA >Vigun08g029300.1.v1.2 pep primary_assembly:ASM411807v1:8:2672631:2674653:1 gene:Vigun08g029300.v1.2 transcript:Vigun08g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGFFALLLVVGATLMFFNILTPTTATPDFTGTNYGDKATVIAVSRKLKENGNNVESVMSNNDDMIPTDYSPVDPPPGSRSKASLYPDPIEHGTPLIPYIPKPAPSPPGDSD >Vigun03g314700.1.v1.2 pep primary_assembly:ASM411807v1:3:50881100:50884853:1 gene:Vigun03g314700.v1.2 transcript:Vigun03g314700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNLEDVPSLDLMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLDKQGVKVDKVLNFAIDDSVLEERITGRWIHPSSGRTYHTKFAPPKVAGVDDVTGEPLIQRKDDTAAVLKSRLEAFHRQTEPVIDYYSKKGVVANLHAEKPPKEVTVEVEKVLS >Vigun06g096950.1.v1.2 pep primary_assembly:ASM411807v1:6:22824665:22826063:-1 gene:Vigun06g096950.v1.2 transcript:Vigun06g096950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYWLGFSKEKNRTVCSASIIWGNNHHIYWLGFSKEKDRTVCSASITWGNNHHIHQTMQQINTQ >Vigun03g106800.1.v1.2 pep primary_assembly:ASM411807v1:3:9521392:9524797:1 gene:Vigun03g106800.v1.2 transcript:Vigun03g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKCEKMVAVTLPTASNKWTQVDDRKTSMGRVMEKPTQDLLHQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPNGDASANSPPSTSNSNPPSLPHIPSTSTANHVNVNPLFYGLPNSNASHVMDVPFPRFNLQSQLSALGLGFSSGGNVISTEPSDNGFSATATTTSNTNNFLSAYNSIFGSSSSSTCAPTTPVMASLLSSTLLQQKFIAGAGVKGDHVGNSFQGLAPLQGLQMEGSNNISSKEVKAEGPNRLEWSNNNNNNGCQNQMEHVGLSDPNSLYWNTATSLSAWSDQPNFGPSVTSLI >Vigun03g106800.2.v1.2 pep primary_assembly:ASM411807v1:3:9520985:9524797:1 gene:Vigun03g106800.v1.2 transcript:Vigun03g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKCEKMVAVTLPTASNKWTQVDDRKTSMGRVMEKPTQDLLHQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPNGDASANSPPSTSNSNPPSLPHIPSTSTANHVNVNPLFYGLPNSNASHVMDVPFPRFNLQSQLSALGLGFSSGGNVISTEPSDNGFSATATTTSNTNNFLSAYNSIFGSSSSSTCAPTTPVMASLLSSTLLQQKFIAGAGVKGDHVGNSFQGLAPLQGLQMEGSNNISSKEVKAEGPNRLEWSNNNNNNGCQNQMEHVGLSDPNSLYWNTATSLSAWSDQPNFGPSVTSLI >Vigun03g106800.3.v1.2 pep primary_assembly:ASM411807v1:3:9520987:9524025:1 gene:Vigun03g106800.v1.2 transcript:Vigun03g106800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKCEKMVAVTLPTASNKWTQVDDRKTSMGRVMEKPTQDLLHQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPNGDASANSPPSTSNSNPPSLPHIPSTSTANHVNVNPLFYGLPNSNASHVMDVPFPRFNLQSQLSALGLGFSSGGNVISTEPSDNGFSATATTTSNTNNFLSAYNSIFGSSSSSTCAPTTPVMASLLSSTLLQQKFIAGAGVKGDHVGNSFQGLAPLQGLQMEGSNNISSKEVKAEGPNRLEWSNNNNNNGCQNQMEHVGLSDPNSLYWNTATSLSAWSDQPNFGPSVTSLI >Vigun04g007200.1.v1.2 pep primary_assembly:ASM411807v1:4:509623:513432:-1 gene:Vigun04g007200.v1.2 transcript:Vigun04g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFTSSCVKMPILPLLSFLFLIFANSESHSLLYDEEHAVLLKIKQYLQNPPFLHHWIPSNSSHCTWPEITCINGSVTSLTMYNTNITQKLPPFLCDLKNLTHVDFQLNYLPGEFPKSLYNCSKLEYLDLSQNLFVGEIPEDIHHLAGLRFLNLGGNNFSGDIPASIGNLKELRNLQLHQCLFNGTFPAEIGNLSNLELLYLFSNHMLPPSKFPSSLTQLNKLKSFDMHGSNLVGEIPETIGNMVALEVLDLSQNGLSGEIPKGLFMLKNLSVLYIYKNSLSGDMPGVVEAFNLTEIDLSDNNLSGKIPDDLGKLSKLIFLSLATNQLSGKVPESIGRLPALIEFLVFVNNLSGTLPPDFGRISKLETFQVASNSFTGSLPQNLCYHGRLDGLTAYDNNLSGVLPESLGSCNSLRYLRIENNELSGNIPSGLWTSLSLSTIKINENKFTGQLPERLAENLSDIGISYNQFSGRIPHGVSSSWKNIVSFNASNNLFNGSIPPELTSLPQLTTLLLDHNQFTGPLPSDIISWKSLINLNLSHNQLSGEIPLAIAQLPALNILDLSENRISGQIPHQLALTRLTNMNLSSNNLVGRIPSEFENLVYASSFLNNPGLCADTAVLNLILCNSRPQRVGIDRRSETHVIILSLVVAASFLALLSLFLMIRVYRKRKQELKRSWKLTSFQRLSFTKGNIVSSMSEHNIIGCGGYGAVYRVAVGDSNYVAVKKIRSNRKLEEKLVSSFLAEVEILSNIRHNNIVKLLCCVSKEDSLLLVYEYLENLSLDRWLHNKSKQTAPGSVLDWPKRLHIAIGAAQGLCYMHHDCLPPVVHRDVKTSNILLDSKFNAKVADFGLAMMLMKPEELATMSAVAGTFGYIAPEYAQTTRVNEKIDVYSFGVVLLELTTGKEANHGDEYSSLAEWAWRHIQVGTDVEDILDKEIKEACYMDEICNIFKLGVMCTATLPASRPSMKEVLKILLTCNSPLSIGDKNVGFYDSAPLLKNSKWENQVEYYTDDD >Vigun04g056800.1.v1.2 pep primary_assembly:ASM411807v1:4:5568432:5578013:-1 gene:Vigun04g056800.v1.2 transcript:Vigun04g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPQRTPQEVEDIIIRKIFLVSITEIEKTSATDSRIVYLELTAAEILSEGKELRLSRDSMERVLIDRLSGDFSSTTGEASETPFQYLIGCYHRAHEEGKKIANMKDKSLRSEMEAVVRQAKKLCVNYCRIHLANPELFPSRNSAGTDAKSPLLPLIFAEVGGGSVFGGGGGAKSPPGFLEELFRDPDFDSLDLILKGLYEELRGSVMNVSALGNFQDSLRALLYLVRFPFGAKSLVNHEWWIPKGVYVNGRAIEMTSILGPFFHISALPDQAFFKGQPDVGQQCFSDASTRRPADLLSSFSTIKTVMNNLYDGLAEVMLILLKSTDTRERVLEYLAEVININASRAHIQVDPITCASSGTFVNLSAVMLRLCEPFLDANLTKRDKIDAKYVHYSNRLKISGLTALHASSEEVAEWLNSKNPAKTGATNQYNDDQKRLQQSQEASSSGSNNAGELSNENSARAEKTKYSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDALSTLKAMQERAPTPQAELDINRLEKEMELYSQEKLCYEAQILRDNTLIQNALSFYRLMIVWLVGLVGGFKMPLPPTCPMEFATMPEHFVEDAMELLIFASRIPKALDGVVLDEFMNFIIMFMASPEFIKNPYLRAKMVEVLNCWMPRRSGSSATATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLVNDSIYLLDESLKKILELKELEAEMSNTVEWEQRPVQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKHLLKQIVHIYVHLARGDTNSIFPSVISRDGRSYNDQLFSAAADVLHRIGEDGRIIQEFIQLGAKAKVAASEAMDAEATLGEIPEEFLDPIQYTLMKDPVILPSSRTTVDRPVIQRHLLSDSTDPFNRSHLTADMLIPNVELKARIEEFVRSQEMKKHEGLSLQRNKDTIQTTNGEMLID >Vigun11g057700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:11677726:11680328:1 gene:Vigun11g057700.v1.2 transcript:Vigun11g057700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKKRKLDENGFGDADPLKLSPTEARKLIERFTPEQLLDILQDAVARHADVLASVRAVADPDVSQRKLFVRGLGWDTTTDGLRSLFSTYGELEEAVVIIDKATGKSKGYGFVTFRHVDGAVLALREPSKRIDGRVTVTQLAAAGNSASNANAADVGLRKIYVANVPPDLPADKLLAHFSVYGEIEEGPLGFDKQTGKSKGFALFVYKTPEGAQAALLDPVKTVEGRQLSCKLAITDGKQGKRAGPDSGQAHGNVQHGHGDGVGAGMGMPPAAGSGPGQYGGPQYGGPVGLGSYGGFGGQPPLGNHNHPLNSSNPVPGSMAGAGGYGAGMGGPYGGYGGPGSAGFGAAGGLGGAGGGAGVGGGLGGASGGLSGGAGSLYRLPGSGGMPAGGGGYPEGGHYGLSASAGYQNQHHPPSGASPVPRVPPGSMYPNVPPYY >Vigun11g057700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:11677697:11684157:1 gene:Vigun11g057700.v1.2 transcript:Vigun11g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKKRKLDENGFGDADPLKLSPTEARKLIERFTPEQLLDILQDAVARHADVLASVRAVADPDVSQRKLFVRGLGWDTTTDGLRSLFSTYGELEEAVVIIDKATGKSKGYGFVTFRHVDGAVLALREPSKRIDGRVTVTQLAAAGNSASNANAADVGLRKIYVANVPPDLPADKLLAHFSVYGEIEEGPLGFDKQTGKSKGFALFVYKTPEGAQAALLDPVKTVEGRQLSCKLAITDGKQGKRAGPDSGQAHGNVQHGHGDGVGAGMGMPPAAGSGPGQYGGPQYGGPVGLGSYGGFGGQPPLGNHNHPLNSSNPVPGSMAGAGGYGAGMGGPYGGYGGPGSAGFGAAGGLGGAGGGAGVGGGLGGASGGLSGGAGSLYRLPGSGGMPAGGGGYPEGGHYGLSASAGYQNQHHPPSGASPVPRVPPGSMYPNVPPYY >Vigun02g090200.1.v1.2 pep primary_assembly:ASM411807v1:2:24513777:24518085:1 gene:Vigun02g090200.v1.2 transcript:Vigun02g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNEHWNVPKMDDPKLPSHHSSISLIARLDHLEFIMKYLERKQRYGSNVPADKAKQSLDLSTKEDLFKGTMLDRVASLEHRLFKLYVEIDSSGNSVPLSRDSTQISGECSTSQGSKTEICRSFPTFNNLSDNTERGLVPIKTNEISQEKCESEKEQIKNSCPPKQQVQVVKNRPKKKEKKGQVEKKRVSTVSWPHLKLLGC >Vigun10g089600.1.v1.2 pep primary_assembly:ASM411807v1:10:25870414:25874622:-1 gene:Vigun10g089600.v1.2 transcript:Vigun10g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATSSSSSSFLKSEPHFINDVFINFREEEISRKFVWHLKNVLLQAQVKTLVEEENLQERMKLEEHMGAIACSKIAIIVFSETYSESALCLRELEKIIECHETFGQIVMPVFYQVNPFDVCTKCDFGKALEEAAEESYSEEQLKHALSRWSHALTKAALITGWDVKDFRHDGELVEVIVSRIQTLLDYKDLFITQFPVGLESRVEKVIGCIENHSTKVCMIGIWGMGGSGKTTIAKAIYNRIYRIFIGKSFIENIREVYDGVSRSNIHLQEHLLYDVLKSKLQLESISLGRTKIENELSRKRLLIVLDDVNEFDQLDSLFGKDEWFGQGSVIIITTRDVRHLRRNNVDYVYKTEEMNETESLELFSWHAFGEEKPIEDFNDVARNVVGYCGGLPLALEVLGSYLSERTKKEWKSVFSKLKIIPNTKVQEKLRISFDDLRDEVEQEIFLDICCFFIGKERGYVTEILNGCGLRGDIEIRVLIERALISVERNNKLGMHPLLRDMGREIIRERWPVETENRSRLWFDDDVKHILTNNTGTEATEGLSLKLHSTSTDCFKTRAFKEMKRLRFLQLDNVQLAGEYGYLSKQLRWICWQGFPFKYIPNNFHMKSVIAIDLKHSYLHLVWKKAQILEWLKILDLSHSMYLRETPDFSRLPSLEQLILEDCPSLCKVHPSIGDLGNLQLINLKDCTSLSNLPREVYKLKSLTTFVLSGCLKIDILEEDIAQMESLIILVAENTAVKQIPFSIVSSQSTGYIFPEGFESEISHFIIQSWMSPTLNPHSSCLYWMEIEDYNWHVHGPLHTGLANLRSILVKCDTEFKLSFLVKSIVVEYGVNFTESRISNQRLRRFSLIGVGRYNEFLNTLSTRVSEELASSESCEFSVPGDNHPYWFAHMGNGYSVSFTVPQDCDIKGMILCFVYLSTPVIIATECVTSVLIVNYTKCTLHMHNHDTVNSLNDEDWRGIISNLGPGDKVEIFLTFGHGLVVKNTAVYLIYGESNDMEIEPCRAKGKCSA >Vigun06g045450.1.v1.2 pep primary_assembly:ASM411807v1:6:17009464:17011628:1 gene:Vigun06g045450.v1.2 transcript:Vigun06g045450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDELKRKRHLNGTYFDNLRRRKAFSMEWCWICNVDCEPVEGMNLHSHIKEHKKMTMNMVKTINFSLSNALNFDPFNIHIFFIGN >Vigun11g211400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40627081:40630264:1 gene:Vigun11g211400.v1.2 transcript:Vigun11g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVVSFVLDHLAQLVAREANLLYGVEDRVQSLQYELQMIKQLLNTTKRKKGMEHTVLNQIRDMAHLAEDVIDTFVAKVSIYKRRTILGKMLRGFHQARLLRDVAEKVDNIKITLNEIRDNKSKYEAFKETNNQSAAEEEEEEEKERAKALQKLRRNVEEDDVVGFVHDSNDVIKRLLEGGSNRKAVSIIGMGGLGKTTLARKVYNSSQVTQHFMCRAWVYMSNECRVRELLLGLLKHLMPDFEQQCRGNKKGKKSAGNISNLSEEELKILVRNCLESERYLVVVDDLWKKQDWDEVQDAFPDNNRGSRILITSRLKEVALHAAHDVPHYLQFLNEEESWKLFSRRVFRGEDYPSDLESLGKQMVQSCRGLPLSITVLAGMLANKEKSYREWSKVVGHVNSYLTQDETQVKDIVLKLSYDNLPRRLKPCFLYLGIFPEDFEIPVVPLLQKWVAEGFIQDKGNRDPDDIAEDYLYELIDRSLVQVAIVDTNGSVKTCQVHDLLRDLCISESKEDKVFEVCTDNNILIPTKPRRLSIHSSMGHYISSNGNDHSCIRSLFFFGPDYHVHMKEWKWLSEGFKLVRVLEIGPTRWGKIPSNLGNFIHLRYLRMNSFNVKFVPTSILTLWNLQTIDIGPWRRSVPISFPVQMWKLKHLRHLNTPQPIELRGSYSGSDEKMWNLQTISAILLNSQATSLIKKGTFPNLKSLGLKVAYGYKGELPKLLQSLQQLSYLNKLVIVLRDRHDTEVFVDESVERNNGCKPQELLRCLGQFNCLTILSIENCLDLLTCAVTLPPNVTELTLSGIDYISDQGMNGLGNHTKLKILTLWGIWSCDTFNLNCVGGSFPQLEVFQMGHLEVGNWRLGNGAMPKLQKVVIFNCDRLNDLPNELWSLSGLRKVQVRKPSEQMACMLRNLEVNNGVQLFINGININNF >Vigun02g159300.3.v1.2 pep primary_assembly:ASM411807v1:2:30483118:30494153:-1 gene:Vigun02g159300.v1.2 transcript:Vigun02g159300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIELPSRLAILPFRNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVRDASEVKPEDPVIPQGLGIDSLDKNSNVQGGSPNSHKLDAKNQYVVHWHKRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSMRGTYHTARISSLETTKTEMEQVEQDPDFIMLSRQFKATSMELISVLEQKQKTGGRTKFLLETVPVHKLADIFVGSFEISFEEQLSMLDSVDPKLRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPQNIWKHALRELRRLKKMQPQQPGYNSSRAYLDLLADLPWQNTSKELELDLRAAQERLDSDHYGLVKVKQRIIEYLVVRKLKPDARGPILCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPKQNKTFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTHEEKLKIAVQHLIPRVLDQHGLNSEFLQIPECSFHQNFISHFAPLFLGLIALRSSTKI >Vigun02g159300.2.v1.2 pep primary_assembly:ASM411807v1:2:30479342:30494153:-1 gene:Vigun02g159300.v1.2 transcript:Vigun02g159300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIELPSRLAILPFRNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVRDASEVKPEDPVIPQGLGIDSLDKNSNVQGGSPNSHKLDAKNQYVVHWHKRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSMRGTYHTARISSLETTKTEMEQVEQDPDFIMLSRQFKATSMELISVLEQKQKTGGRTKFLLETVPVHKLADIFVGSFEISFEEQLSMLDSVDPKLRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPQNIWKHALRELRRLKKMQPQQPGYNSSRAYLDLLADLPWQNTSKELELDLRAAQERLDSDHYGLVKVKQRIIEYLVVRKLKPDARGPILCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPKQNKTFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTHEEKLKIAVQHLIPRVLDQHGLNSEFLQIPEPPKFDGREAADRVATPGASVGLVWTTFGGEVQFVEATTMVGKGELHLTVAG >Vigun04g057500.1.v1.2 pep primary_assembly:ASM411807v1:4:5655605:5664819:-1 gene:Vigun04g057500.v1.2 transcript:Vigun04g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSFPGLALRPSVPIRSHRSHARLAQCPVSFGFPANPTSLKSLNSVPSKKREAFSNGSSRTRRNLAPFSVRCAVSSSGKITQQEFTEMAWQAIITAPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKHIKRQPKVLGESGGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAQDKRFAKILFRDFQISEQGLKSAIESIRGRQSVIDQDPEGKYESLEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPSVEDTISILRGLRERYELHHGVRISDSALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKASKDRLNRLETELSLLKGKQDELNGQWEHEKSVMTRLQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLESVEKELHEYMNSGKSMLREEVSGNDIAEIVSKWTGIPISKLQQSEREKLLYLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHTVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDNDTVPKESAYETIKQRVMDAARSIFRPEFMNRVDEYIVFRPLDRDQIGSIVRLQLERVQKRIADRKMKIQVTEAAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFRDEDTILVDTEVTAFANGQLPQQKLVFRRVGTDAESSSTVKDNREGFPQIL >Vigun09g067500.1.v1.2 pep primary_assembly:ASM411807v1:9:7179557:7185281:1 gene:Vigun09g067500.v1.2 transcript:Vigun09g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAMQQRVTDSSLTTHSLTSIASDEEQLDSETLSLHSSALPHEDAVAHIQRSYTASKLGQDESKESAQHCDEKTIVKETVEAPQKQTENQVQMSVCSTSSSKLSPAPPKANNVKVQNVDKGTPSGRTTLSSVSVSTASDGYNWRKYGQKQVKSPTGSRSYYRCTHSNCCAKKIKFCDHSGYVIEIVYKSQHSHDPPHKIDSTKESKFLPYSEPKIKCSVPKQSSRVQIDSDPSSPKKPLYESPCSANKNQENSSNDENGKVFLKEEHVSDPEPKRRLNKRDLTCLDSAIKPGKKPKFVVHAAEDMGISSDGYRWRKYGQKLVKGNPHFRNYYRCSSAGCPVRKHIESAMDNTKAVIITYKGVHDHDMPVPKKRQGPPSASVVAAAAPASMKNLQFKKSGLEQNQETSSAQCSEDTEDEALDLGGEKAIESARTLLSIGFEIKPC >Vigun09g067500.2.v1.2 pep primary_assembly:ASM411807v1:9:7179557:7185281:1 gene:Vigun09g067500.v1.2 transcript:Vigun09g067500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSTSSSKLSPAPPKANNVKVQNVDKGTPSGRTTLSSVSVSTASDGYNWRKYGQKQVKSPTGSRSYYRCTHSNCCAKKIKFCDHSGYVIEIVYKSQHSHDPPHKIDSTKESKFLPYSEPKIKCSVPKQSSRVQIDSDPSSPKKPLYESPCSANKNQENSSNDENGKVFLKEEHVSDPEPKRRLNKRDLTCLDSAIKPGKKPKFVVHAAEDMGISSDGYRWRKYGQKLVKGNPHFRNYYRCSSAGCPVRKHIESAMDNTKAVIITYKGVHDHDMPVPKKRQGPPSASVVAAAAPASMKNLQFKKSGLEQNQETSSAQCSEDTEDEALDLGGEKAIESARTLLSIGFEIKPC >Vigun05g053300.1.v1.2 pep primary_assembly:ASM411807v1:5:4570162:4576086:1 gene:Vigun05g053300.v1.2 transcript:Vigun05g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFGAKTKFINQFLCLYSHPFHFFPKANFQFFFTIAFIHVQIDLYLIHSSTHHSITNRVKVHLFFTTDLTGRISYQNSQKVLGKVEEVEMAAPIGTMAGGAEPVSTPPRPCSQDPNSNRAKTTTPRSTTPRSRKRVPSVLQNLKSAEEKQAYIETLEKELDALFRYYKEAVAEKVRIELSQCGGSRNAVVAALLEESDLPLSKLVDEIHDRLNGEVGSGAIVLAEPVTYATVKSSVLFAGQRVTYGLPNADADVLEDYAESCLWCWETRDLKLLPISVRGQLGVRRMCRKRIHDRIIAVSEMIAALKRLECEPNFNDALKRASTKLNKAFPEADIRLLVDNSLQKNSEAMDKKRANEENKLLMKQLERNRREAEKEKANMHKELQRETQPNESDLQLSQGETTNNEKCSIKKQQQKKQVEETKKAQRRREKAEAESKKKRSLQLQVSIMHRFLKKCKADSSSENDNVSTKSSASDLSSSKNESLFQSATLAMDCTLASSNDVILEDIRKSHFSAWRSLGQSIRSNRKQNWGIRLKPRTEVFKELKLTSIKTDVHDDELDMEKHVDRLGEYSSDISSCPANADSSLHDGKKYRRARQLFQFDKSHRPAFYGVWPTKSQIVGARHPLRKDPSLDYDVSSDEEWEEEEPGESLSDCDKDEEDCQEECSKSDEESEDGFLVPDGYLSENEGAQVDRRGIDGDIKGNDSSSSYNEEFCALLRQQKYVNSLTDLALRKNHPLIITNFIHDKELSPDHSTGGISKVEQKFLQALRMYVVPGSSPIEIPMDKMQDEEQKVHLSDGKGGASSTSGVVAIPDSDLPAIVTTIQSCSQGMNKVLVSLQQKFPSVPKSLMKNKVREVADYVDNRLQVKKEVLDKLGLTAKPEKSSEGPKSIAAFFSKRCLPPGGENVKPGETSPLPSLKSSSAVDERPESSRKV >Vigun03g127700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12341356:12341978:1 gene:Vigun03g127700.v1.2 transcript:Vigun03g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKSFIFVLVIVSFSSMSLSLASRHLLQTSTTPNFPGTPTTLPNPTTLPPLPSNPTMPQGNVPPVPIIPAMPNLPSLPTIMPTLNLPPFATSLPNMPSIPNLSFPFLSPPPSTSTP >Vigun04g020100.3.v1.2 pep primary_assembly:ASM411807v1:4:1534464:1537963:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun04g020100.1.v1.2 pep primary_assembly:ASM411807v1:4:1535624:1537963:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun04g020100.2.v1.2 pep primary_assembly:ASM411807v1:4:1534496:1537984:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun04g020100.6.v1.2 pep primary_assembly:ASM411807v1:4:1535809:1537963:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITFIPQPHFSNLFLILFKKILHCRKLCPLQNLGYLKIIQGILHTFSIFNQEKSEMVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun04g020100.5.v1.2 pep primary_assembly:ASM411807v1:4:1534513:1537963:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun04g020100.4.v1.2 pep primary_assembly:ASM411807v1:4:1534474:1537963:1 gene:Vigun04g020100.v1.2 transcript:Vigun04g020100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPETLAVPAILLVVFIFVVSVAVLKPKQGQDNGKHPPGPKPLPVLGHLHMLGKLPHRTLQSLAAKYGPIMSLKLGQVPTIVISSPEAAELFLKTHDLSFASRPKSISSQYISYGGKGLAFSEYGPYWRNMKKLCTVELLIASKVDMFAPMRSELLAEFVSCLQKTASSHEVIDVSDTVGDVIENLTFKMIFGRSKDDRFDVKNLVREVLNLSGTFNVADYLPWLRMFDLQGLVKRLKKVSKSFDVVMEQIIKDHEQDSDKDEKGQKDFVDILLALLHQPLDPQDEDGPVVERTHIKAIVMTMIIAGVDTSATTVEWVMSALLKHQRVMKKLQDELESVVGMSRKVEETDVEKLSYLDMVVKETLRLYPVAPLLVPRECREDVTVDGYCIKKKSRVIVNVWAMGRDSKVWSENVEEFCPERFSNSNVDIRGLDFRLIPFGSGRRKCPGIHLGLTTVKIVLAQLVHCFNWELPLGMSPDELDMTEKFGLAMPRTKHLLAVPTYRLASDVGKE >Vigun02g120900.1.v1.2 pep primary_assembly:ASM411807v1:2:27332015:27336530:-1 gene:Vigun02g120900.v1.2 transcript:Vigun02g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRIEREETVSRCKARKRYMKQFVQARHAFSAAHAMYIRSLRATGSALFQFANAETTVLHHLNHHHHHLPPRPQPILPPPPPRTPTPMPPPPPPPPMSPSSYTWTSDTTSSPALPPPPPPPPPVPSSAWDFWDPFMPAAPAMASRSVTEEEWEATTTTGSEVVVMAAASVTAPPSVVSGFSKETPSELAMVVSRNSTKDLVEVIKELDDYFLKAADAGSHVSLILEVSNSGFSDNSKACKVHSYGWNLSPSLWTWGSSPKLNGFGKLAEGTPVSVGTFGANGGGGVGHGSTVERLYAWEKKLFQEVKNAKTIKMEHEKKLALLRKVEMKRADYVKAEKTKKEVEKLESQMMVASQAIDTTSAEIIKLREVELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLEYLNTVPSNNPTSEIHRQSTLQLELEVQQWHQSFCNLFKAHRDYIQSLTGWLRLTLFQFSKNPLSRTPEESKIYSLCEEWHLAVDRIPDNVASEGIKSLLTVIHAIVEQQAEEYKQKKKSDCAFKELEKKVVQLRSLECKYGPYSMPESSGSMRNKDSVTQKRAKVEALRAKAEEEKNKYEKAVSVTRAMTLNNLQMGCPHVFQGIVGFSSVCMEVFESVYNKAKVAEQEHDVKRILA >Vigun09g035400.17.v1.2 pep primary_assembly:ASM411807v1:9:3126175:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.11.v1.2 pep primary_assembly:ASM411807v1:9:3122343:3136278:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.1.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.16.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.18.v1.2 pep primary_assembly:ASM411807v1:9:3126175:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.14.v1.2 pep primary_assembly:ASM411807v1:9:3121643:3136277:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.2.v1.2 pep primary_assembly:ASM411807v1:9:3121641:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.15.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.12.v1.2 pep primary_assembly:ASM411807v1:9:3121643:3136277:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.3.v1.2 pep primary_assembly:ASM411807v1:9:3121641:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.6.v1.2 pep primary_assembly:ASM411807v1:9:3126922:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.8.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.13.v1.2 pep primary_assembly:ASM411807v1:9:3122343:3136278:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.5.v1.2 pep primary_assembly:ASM411807v1:9:3126922:3136518:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.7.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.9.v1.2 pep primary_assembly:ASM411807v1:9:3122343:3136278:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSESRAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.10.v1.2 pep primary_assembly:ASM411807v1:9:3122343:3136278:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun09g035400.4.v1.2 pep primary_assembly:ASM411807v1:9:3121679:3136151:1 gene:Vigun09g035400.v1.2 transcript:Vigun09g035400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRQLYPGQRGSHIAPSLDRSGSFRESMENPILSSLPNMSRSSSSATQGDVVSFFNCVRFNLKLVAPEHKSNRQTDYKRLVSAALGISSDESPSSSAKGKQLSSPAPEDIKRLRDSIHASFRRARDRAKMFSEALSRFNKDFQNITSKKRSRAETFSSERSSFTLSDRPVLGTSTGKVGIQGHAVTGGFEHDQLKLEERTKVVPNKRTRTSLVDVRMDIRTNSLVRPSGTVDRDKEMLRIVNSSAVQGEERTLPIGGDGWEKSKMKKRRSGIKPDGSPNTALTKPVNLFQETKHGMQQRLANDTRAKLSNDSHSFRSGVTNGTVGAGKSDGVSQQTGLGIRISTPRSDLENNSPVNDRRDRPVSSDKERVNFRAVNKTTVRDEFNSASPNSSAKMNTPIRAPRSGSGVAPKSSPGVVRAAVPNDWEPSHCMTKPPTSVGTNNRKRMASARSSSPPVVHWQRPQKSSRTARKANFVSTVSSIDDSPALDSVSDVTGNDLGLGFVRRLAGNSPQQIKLKGDSLTSAALSESEESGVAEMKPKEKGRKSAEIDQKPGQNVQKVSNFILPSRKSKLVSAEEHGDGVRRQGRTGRNFPVARSPTPMTSEKLGNVGTVKQLRSSRLGLEKSERAGRPPTRKLSDRKAYARQKHTALSSSADFLVGSEDGHEELLAAVKGVINSARSFSSQFWRQMELFFGLITEEDVAYWKQKINHESSGLMSTPVPSYIDGCEAGANGFGLMGHRRDFEPCNQVGAGVVAEQLHSSGIPLCQRLISALISEEYSSESEDMKFDASDAEFEADGELDLSSLDDNSRSNSYLACYSAYNGYRITRTSGHDETESDKVDIPSTGLKSNQSMSTVTCSELQYATLGMNEKLLLELQSIGISPESVPEMLQANDEGICEDITRLEEHYQGQMSKRNCLLDGLLRSASVTKEVQEKDFEKNALDKLLMMAYEKYMACWGPSSSGGKNASNKMAKQAALGFVKRTLERCQQFEDTGKSCFSEPLYKDMFLAASSQLSVVRELDGMEAESVKPSASSFFLEARNGSMGSQQNPSQFSQNAKDHDFNSSDIRHAVNGSSEQASGKEDLWSNRVKKRELSLDDVGSTIGSSSAPSGIGSSLSNSTKGKRSERDRDGKGYSREVPSRNGTTKVGRPALSSAKGERKPKSKPKQKATKHSVSVNGLLGKLSEQPKSKSNEVSNNSKSKEKDEFGIVEYDDHEPIDLSNLQLPGMDVLGVPDDLGDQGQDIGSWLNIDDDGLQDQDFMGLEIPMDDLSDLNMMV >Vigun05g102050.1.v1.2 pep primary_assembly:ASM411807v1:5:10152112:10154730:-1 gene:Vigun05g102050.v1.2 transcript:Vigun05g102050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCYWLFRSSAWFLWRLGSYYFSLLCFTRNYLCGNSNDNKICSWEEWMEL >Vigun06g157400.1.v1.2 pep primary_assembly:ASM411807v1:6:28092664:28099311:1 gene:Vigun06g157400.v1.2 transcript:Vigun06g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMERLARSARGWRWYWRSFSSFQTLTSRSSRLSTHPKFASSWFSSPDVSMSRVLSEDYSWLPVFFAGVFGSGLVGVAYADNGAVDIQERAKKERERIEELIRSRGIRYGSYPLFSVAVKGQKVSVKFQIPHGCDISQLIASLTAHLGTKAEGHGGGSDMLLRAWNSPVAWQLTLSNPSEQKHIPENGIDMSSKDINTEDTDLCILIFHSLVGSDKAEIEFIKQGELSPEELDAFISVLQLAGNKLGQKSTLERKPREETEKVPSVDKSISDLEGMGVRIYGLDEPVGILKDAEISWDNIAGYEHQKRLIEDTILLALHSPEVYDDIARGTRHKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEAIMSEFYGKSERLLGKVFSLANNLPNGAIIFLDEIDSFAAARDNEMHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKEDLDPALISRFDSMIAFGLPDHRNRQEIASKYAKHLSKHELEEFARVTEDMSGRDIRDVCQQAERSWASKIIRGQVPKEGEKAKLPALQEYIKCAESRQEALRSAAANRKVPTSSHPKILY >Vigun04g101400.1.v1.2 pep primary_assembly:ASM411807v1:4:23500372:23501948:1 gene:Vigun04g101400.v1.2 transcript:Vigun04g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWFNSKLLNRKFEYRCELSKSMESLGPIENTSLSEEPKILTDIDKKIQSCIFGNIVEYNDSYFYSYFLGKVRNCSESENSSFSLITSTNDTNDSDSTLGENYKNLHESEKLTHLWIECENCYELNYKKFWKTKRNICEYCGYHLKMDSSNRIEFSIDSGTWNPMDEDMVSLDPIEFHSEEEPYKDRIDSYQRKTGLTEAVQTGTGQVNGIPVAIGIMDFKFMGGSMGSVVGEKITRLVEYATNQLLPLILVCSSGGARMQEGSLSLMRMAKISSALYYYQTIQKLFYVSILTSPTTGGVTASFGMLGDIIIGEPNAYIAFAGKRVIEQTLNKTVPEDSQVAESLFHKGLIDSIVPRNLLKGVVTELLQFHNFFSLTKKERNYGIEIQNQNIQDQSNKIKE >Vigun01g075800.1.v1.2 pep primary_assembly:ASM411807v1:1:21327269:21331440:-1 gene:Vigun01g075800.v1.2 transcript:Vigun01g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCDYCHTKPALLFCRPDSAKLCLLCDHHVHAANALSLKHVRFQICDTCNTDTATVRCSAHNLILCHACDLDAHAHAPASLHHRHRLLGLSGCPSLPEIASALGLDLRLRHANCRDEVYQQVLEVARTRNENDFAPAETQEFRLEPANDVVDEMLLQQTPFTSLLGMPDSQSEFDAAKTNDNGYGVEAGDLLWTFDPNYQSPQVWDFELQKSRGCDEPRVVTFDGLEVPTSFQDMHNINYSTTGDDILSRNNQSDQSSSSHAKKKEESNKKARGGLSSESKLLESIPYSGTNKVVVMEHLVGGNANVNTLKARVSLEELAKNRGNAMLRYKEKKKSRRYDKHIRYESRKARADTRKRVRGRFVKASDVQAG >Vigun11g151500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36180805:36181050:-1 gene:Vigun11g151500.v1.2 transcript:Vigun11g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRITFIYLSLKTIIVLHLFVFAKTDVVTEGDAPAPTITFFMHDIIGGSAPSERIVAGTIVDTQTAKFPFSKPNKQDLPL >Vigun04g004900.1.v1.2 pep primary_assembly:ASM411807v1:4:319404:323996:-1 gene:Vigun04g004900.v1.2 transcript:Vigun04g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEFSNHNQNPTTTIKQNGFLHPKLGSEPARSRSRAAMASPANSDPKVVTGAAGYILEDVPHLTDYIPNLPTYPNPLQDNPAYSVVKQYFVHVDDSVPQKVVVHKDGARGVHFRRAGPRQKVYFEADEVQAAIVTCGGLCPGLNTVIRELVCGLNHMYGVKRVLGINGGYRGFYARNTITLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDSIQDRGINQVYIIGGDGTQKGASAIFEEVRRRGLKVSVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEKRLKENGHMVIVIAEGAGQELVSESVQSMGTQDASGNKLLQDVGLWISQKIRDHFAEQKTLPITLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPFYRITERQNHVVITDRMWARLLSSTNQPSFLDVKGDHEEKKEEESLHQVADEQISKVTSGNHNNEISHVYPAAC >Vigun11g111000.1.v1.2 pep primary_assembly:ASM411807v1:11:31250731:31253057:1 gene:Vigun11g111000.v1.2 transcript:Vigun11g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSLSLACYAFFTSVLLLQRQPFSHAGLLSEPVSDPNQPLEPGQYPSSNTVPAFPVQTQTQTCRLDLSNELFGGVKDACGKDLDRSRCCPVLAAWLFAAHARSALEVSGAPPPASGDLPMMPDDSQKCVNSLQDSLLTRNIRIPQPNATCDAILCFCGIRLHQITSLTCNAAFNVSLSHKNATPTAAVRNLENNCRNSSYAGCTRCLGALQKVKGYKNETKGSGGSERVKKMFNRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMMYSAHPHESKCSPDQENMPLAVDSLQFESGKGASRPSKFTVTVLPLVVLLFSCFV >Vigun05g207250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39663594:39664630:1 gene:Vigun05g207250.v1.2 transcript:Vigun05g207250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLFLLFLLWNIAISNAIFSTNLDKESIYPKENTPPSANIIKGNTRFHELAKENVPPSYFLVNSNNKPVVKGYQSIRFHELPKGSIPPSQSSNRSHHGQPPARPSTNIKRFHELPKGSIPPSQSSNRSHHGQPPARPSANTKRFHERFHELPKGSIPPSQSSNRSHHGQPPARPSANTKRFHERFHELPKGSTPPSQSSNKSHHGQPPARPSANTKRFHERFHELPKGSTPPSQSSNRSYHGQPPAHLSANTKRFHELSKANVLALEGSNE >Vigun06g104700.1.v1.2 pep primary_assembly:ASM411807v1:6:23409870:23418810:-1 gene:Vigun06g104700.v1.2 transcript:Vigun06g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNITVCARFRPLNSKEKQNDNNSVCIRCRDSETFIFKDEKDEESVFSFDRVFYEQSEQADVYQFLALPMVRDVVDGYNGTIITYGQTGAGKTYSMEGSGILECGEQKKGLLPRIVEGIFDSLNSSDEKKTYSIKLSMVEIYMEKVRDLFDLSKVNIQIKESKSRGIFLPGVTEITVLDPAEALQNLSKGIANRTVGETQMNMASSRSHCIYIFTIQQEFSRDKRTRFGKLILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINSLTCGLQGKTSHIPYRDSKLTRILQDALGGNARTALLCCCSPSAFNASESLSTLRFGARAKHIKSSPRLNYSEEKSDTSSSAASLFDDESSARILTKLREKFNVEDVKFLEELFIQEGILFDLSSVEEESDIDDLTLQMISLLQAAMEKLTATVDELKRENSILRAKVDASMDSLFHNGAENSSIFQNMISGILTFFLRWSGYTSTRRWQ >Vigun11g097251.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28485676:28485975:-1 gene:Vigun11g097251.v1.2 transcript:Vigun11g097251.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWIMHNWKDEECVKILKNCKEAIGSEGRVIIIDAVMENMEDDELIETQILLDMNMMMWFGGKERNEKEWANLIFSAGFSNYNITPTQGLFSIIEVYP >Vigun02g202300.1.v1.2 pep primary_assembly:ASM411807v1:2:33656548:33657747:-1 gene:Vigun02g202300.v1.2 transcript:Vigun02g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Vigun08g026200.1.v1.2 pep primary_assembly:ASM411807v1:8:2297632:2300251:-1 gene:Vigun08g026200.v1.2 transcript:Vigun08g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSNSLTITMKVHQFARGFWEHEPSLTLGCKRLRPLAPKLSNTDSTTSITSSPVTTFDLKSFIKPESASRKLASSDDNKRDPTSPQGQGQVETHIPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITVQLSKYGKIEGKNVFYWFQNHKARERQKQKRNNLGLAHSPRTPTTLVSPSFSCSVITSLDTTKRGEVVERDEEDSPLKKCRSWAFEYLEEQSWSSWKEEEQRTLELFPLHPEGR >Vigun07g228700.2.v1.2 pep primary_assembly:ASM411807v1:7:35038358:35044223:-1 gene:Vigun07g228700.v1.2 transcript:Vigun07g228700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLNCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVMRADYLSGRTALHFAAVHGHVRCIRLVVADFVPSAPYQAIHACTNIDKDGGSNVKGKHEHSALSKFVNKTADGGITALHMAALNGYFDCVQLLLDLNATVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILVARGASRMALNCNGWLPLDIARMWGRHWLEQLLAPSSDTTVPTFSHSNYLSLPLMSVLNIAREYGLQSSTTSSDEIDICAVCLERPCSVAAEGCGHELCVRCALYLCSTNNVSSEMVGPPGSIPCPLCRHGVVSFVKLPGSQAKENKLHMSLGLCTPCMLHPRDLDQPSISRTPDIGRNRVASVPSEILCPVTCSPFPSMAIPLCTCNDGPCPSFEPREVETRDESPNHSQASTMDQDKIEGPRLDKTTCSSMFWGRRSCSREHQCNSEINA >Vigun07g228700.1.v1.2 pep primary_assembly:ASM411807v1:7:35038359:35044223:-1 gene:Vigun07g228700.v1.2 transcript:Vigun07g228700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLNCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVMRADYLSGRTALHFAAVHGHVRCIRLVVADFVPSAPYQAIHACTNIDKDGGSNVKGKHEHSALSKFVNKTADGGITALHMAALNGYFDCVQLLLDLNATVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILVARGASRMALNCNGWLPLDIARMWGRHWLEQLLAPSSDTTVPTFSHSNYLSLPLMSVLNIAREYGLQSSTTSSDEIDICAVCLERPCSVAAEGCGHELCVRCALYLCSTNNVSSEMVGPPGSIPCPLCRHGVVSFVKLPGSQAKENKLHMSLGLCTPCMLHPRDLDQPSISRTPDIGRNRVASVPSEILCPVTCSPFPSMAIPLCTCNDGPCPSFEPREVETRDESPNHSQASTMDQDKIEGPRLDKTTCSSMFWGRRSCSREHQCNSEINA >Vigun05g099950.1.v1.2 pep primary_assembly:ASM411807v1:5:9801445:9803413:-1 gene:Vigun05g099950.v1.2 transcript:Vigun05g099950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHPTRAIAMIDSHDEAANYFSVSLINFLFIYVSTDKFLSPKHLNFSLGSCASMKNSFQGGTHPLEQSERMWRRKNCFHNDQGLCCPGLSITESAATVAEVAIVDASCSTFSLVHSSLAILTIALCGSEAQEQKYTFFSSNENNSMLGFD >Vigun11g134200.1.v1.2 pep primary_assembly:ASM411807v1:11:34376178:34381237:1 gene:Vigun11g134200.v1.2 transcript:Vigun11g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHSISALSTTLTLSSSVNKPTTNKVNSFPFLSNKGTQFFTKQTRPRSGRSPLLTPARVAAPPSTVETDQSFPETEKGETEEEFNEESSSSKFTWRDHWYPVSLIEDLNPLLPTPFQLLGREIVLWYDKSTSQWVAFDDKCPHRLAPLSEGRIDEDGKLQCSYHGWSFDGCGSCVKIPQAASEGPEARAVGSPKACATRFPTLVSQGLLFVWPDENGWEKASASKPPMLPDEFEKPEFATVNIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRAKPLPFKMDARGSWGFSGANEGNPKISAKFVAPCYYMNKIEIDTKLPVVGDQKWVVWICSFNVPMAPGKTRSIVCSARNFFQFTVPGPAWWQVVPRWYEHWTSNKVYDGDMIVLQGQEKIFLSKTKEGDDINKQYTSITFTPTQADRFVLAFRNWLRRHGNGQPEWFSSITDLPLPSTVLSKREMLDRLEQHTLKCSSCKEAYEGFQIWQKVLIGATVIFCATSGIPADVQFRVLLAGLAIVSAALAFTLNQLQKNFEFVDYVHAEIE >Vigun05g287600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47457619:47459151:-1 gene:Vigun05g287600.v1.2 transcript:Vigun05g287600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELISGLPEDVARDCLIRVPYEKFAAVASVCKGWNSEIYSPEFHRRRRTTKHAQKILVTVQSKIDSEKTRTGLLAKASANPVYRLSVFEPEAGLWSELPLGPELASGLPMFCQIAGVGYELVVLGGWDPDSWKASNSVFIYNFLSAKWRRGADMPGGPRTFFACASDQNQTVYVAGGHDEEKNALRSALAYDVANDVWVPLPDMSRERDECKAVFRRGALCVVGGYCTEMQGRFERSAEVFDVATRKWGQVEEEFLDAAACPRTCVDGGDGAEGEMYMCRGGDVVVLQGDTWRRVAKVPSEIRNVACVGVWEESMYVIGSSGFGEPHMGFVLDLKNGAWAKVVSPEEYTGNLQCSCMLEI >Vigun05g004000.1.v1.2 pep primary_assembly:ASM411807v1:5:329031:330917:-1 gene:Vigun05g004000.v1.2 transcript:Vigun05g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMDPLVIGGVVGDVLEPFRSCVSLRIIFNNCSEVINCCELKPSQVMNQPRVEIGGDDLRTLYTLVMVDPDAPSPGNPNQREYLHWLVANIPGTTGTNYGEEVVGYEGPRPVMGIHRIVFILFRQLGRQTVYAPGWRQNFNTRDFSELYNLGSPVAATFFNCKRQLDSIRRR >Vigun11g217500.1.v1.2 pep primary_assembly:ASM411807v1:11:41094104:41097678:-1 gene:Vigun11g217500.v1.2 transcript:Vigun11g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEGLLALTLVLNVFVVCNGGSSSSFTRKTEKTVDMPLDSDVFFVPPGYNAPQQVHITQGDLVGRGMIVSWVTMDEPGSSEVRYWSENSGKKKIAEGEIVTYRFFNYSSGFIHHTTIKHLEYNTKYYYEVGLRNTTRQFWFVTPPEIGPDVPYTFGLIGDLGQSFDSNVTLTHYELSPKKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFTERSVAYQPWIWTAGNHEIDFAPELGETVPFKPFTHRYHVPYKASESTSPFWYSIKRASAYIIVLSSYSAYGKYTPQYTWLEQELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEAWFVQYKVDVVFAGHVHAYERSERVSNIAYNVVNGVCTPIKDQSAPVYITIGDGGNLEGLATNMTEPQPEYSAFREASFGHAIFDIKNRTHAHYSWNRNQDGVAVEADHVWFFNRYWHPVDDSTVQVSH >VigunL007901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:69404:70280:1 gene:VigunL007901.v1.2 transcript:VigunL007901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun09g071100.1.v1.2 pep primary_assembly:ASM411807v1:9:7746855:7748096:-1 gene:Vigun09g071100.v1.2 transcript:Vigun09g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSRCCGKTDLCSETETWRDKSFSFRMEPPHVMVGAEEECHSNESGWTMYIGSPRDEDAHCYDKEEKGYSLDYDYENDAEADADVESDDSMASDASSGPSQYGGITQFQKKEEVKNKHCSDHKASKSKGNHQVVEKRAEKNHSKMVLISSKGKAPAV >Vigun09g071100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7746855:7748524:-1 gene:Vigun09g071100.v1.2 transcript:Vigun09g071100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHVMVGAEEECHSNESGWTMYIGSPRDEDAHCYDKEEKGYSLDYDYENDAEADADVESDDSMASDASSGPSQYGGITQFQKKEEVKNKHCSDHKASKSKGNHQVVEKRAEKNHSKMVLISSKGKAPAV >Vigun09g071100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7746854:7748096:-1 gene:Vigun09g071100.v1.2 transcript:Vigun09g071100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHVMVGAEEECHSNESGWTMYIGSPRDEDAHCYDKEEKGYSLDYDYENDAEADADVESDDSMASDASSGPSQYGGITQFQKKEEVKNKHCSDHKASKSKGNHQVVEKRAEKNHSKMVLISSKGKAPAV >Vigun09g088600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12139954:12143335:-1 gene:Vigun09g088600.v1.2 transcript:Vigun09g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSGFIPKEIQGCQELQNFAASNNMLEGEIPSSMGSLISLRILNLANNTLSGSIPTSLSLLSNLTYLNLLGNKLNGEIPSELNSLSQLQKLDLSRNNLSGSLTLLSVNLQNLETMVLSDNALTGIPYNFCLRGSKLQQLFLARNKLSGRFPLGILNCSSIQQVDLSDNSFEGELPSNLDKLQNLTDLMLNNNSFIGSLPSSIGNISSLRSLFLFGNSFTGKIPVEIGRLKRLNTIYLYDNQMSGPIPRELTNCTSLTGIDFFGNHFYGPIPETIGKLKDLTVLHLRQNDLSGPIPPSMGYCKRLQLLALADNKLSGSIPPTFSYLSQLRTITLYNNSFQGPLPDSLSLLRNLKIINFSNNKFSGNIFPLTGSNSLTVLDLTNNSFSGSIPSILANSGDLTRLRLANNYLTGTIPSELGLLTKLNFLDLSFNNLSGHVPPQLSNCKKIEHLLLNNNRLSGQMLPWLGSLQELGELDLSFNNFHGRVPAELGGCSKLLKLSLHHNNLSGEIPLEIGNLTSLNVFNLQRNSLSGHIPSTIQQCSKLYELRLSENFLSGIIPVGLGAVTELQVILDLSRNLFSGEIPSSLGNLMKLERLDLSFNHLQGQVPPSLGQLTSLHVLNLSNNHLHGLIPSTFSGFPPSSFLNNDHLCGPPLALCSEATRMKLSNSQVAAIIVAIVLTSTVICLVLLYIMLRIWCNWKKVAVSSEDSGMVEQKTRNGEYWNMNSPELFSSPDIQISAAPCICNLKIDASARDNTLVR >Vigun02g166000.1.v1.2 pep primary_assembly:ASM411807v1:2:31020692:31021717:-1 gene:Vigun02g166000.v1.2 transcript:Vigun02g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Vigun04g173100.1.v1.2 pep primary_assembly:ASM411807v1:4:39706759:39708746:1 gene:Vigun04g173100.v1.2 transcript:Vigun04g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSIFNSESDEDCWVIQINDMVSESHFSILQKIPVCIFHVPKSLSRGKPEAFSPHLVAIGPYTHFRPELYPTERFKIFAAKAVLDHFKKHELKQVVQEFHDTATFIRASYHKYLDLKDETLLYTVAIDALFLLNFFHNYLNEKLSGSFMRGLGDQIQLRGLTLTKDAIVRDILMVENQIPIYSLLKILLFESSEPPHLVQEYLGSMLLSFCQQHSPLKITHSPTCSEAVSKHCHLLDLMYHLVVSDAVKSETPIPDKSEEGISAVQKSANSEAIAISFNKVKGVLCWTVGSIKKLKDVNIPLAKPFKRQLDRVIKVSSQLDDLSSNPNLSEEEEEEETQMIMNIPCVRELDSVGVRFQPLEGGNMAIEFDENKGIFYLPVVKLDVNSEVIMRNLVAYEALTQPDFLIFTRYTELMKGIVDTVEDVKLLVKAGIIGSSSTLSVEETEELFNGMSKSIAPTKTPKLDEMIQKVNKYYDYKRKSNFFKVSIDYVYRSWKQLTLLATFVLLAMTAIETVCSAYDCKGYFDTK >Vigun03g111000.1.v1.2 pep primary_assembly:ASM411807v1:3:10033437:10036381:1 gene:Vigun03g111000.v1.2 transcript:Vigun03g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQHTSVKVGDGLNLHVAEIGSGGNAVVFLHGFPEIWYSWRHQMIALADAGFRAIAFDYRGYGLSDPPSQPEKATWSDILNDLLHILQALHLPKVFIVGKDFGAPLAYLFTILHPERVLGVVTLGVPFVPPGPSLFHKVFPEGFYILRWQEPGRAEADFGRFDAKTVVRNVYILFSRSEMPIANENQEIMDLVEPDTPLPAWFTEEDLETYGALYQKSGFQTALQIPYRSLGEVFNLPDPVVKVPAFLIMGGKDYSLKFPGREDLTQGEKAKEYVPNLEVTFIPEGTHFVQEQFPSQVNKLILDFFGKHT >Vigun03g111000.2.v1.2 pep primary_assembly:ASM411807v1:3:10033437:10036381:1 gene:Vigun03g111000.v1.2 transcript:Vigun03g111000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQHTSVKVGDGLNLHVAEIGSGGNAVVFLHGFPEIWYSWRHQMIALADAGFRAIAFDYRGYGLSDPPSQPEKATWSDILNDLLHILQALHLPKEPGRAEADFGRFDAKTVVRNVYILFSRSEMPIANENQEIMDLVEPDTPLPAWFTEEDLETYGALYQKSGFQTALQIPYRSLGEVFNLPDPVVKVPAFLIMGGKDYSLKFPGREDLTQGEKAKEYVPNLEVTFIPEGTHFVQEQFPSQVNKLILDFFGKHT >Vigun05g163500.2.v1.2 pep primary_assembly:ASM411807v1:5:26333404:26340741:-1 gene:Vigun05g163500.v1.2 transcript:Vigun05g163500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPAPATLASNALALPKTLASTTCSSSTLAFPWKHLHSHSLKLESLEALKKTFKKLDHDVNIQEFFGTRVLLLHSSLELP >Vigun01g082800.1.v1.2 pep primary_assembly:ASM411807v1:1:23389301:23391117:1 gene:Vigun01g082800.v1.2 transcript:Vigun01g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLWTVCLILASLASAAVCANPRRPVDVQFGRNYVPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMYIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHRYSILWNLYQIVFFVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAAYKGFHIDGCEASVNAKFCDTQGKRWWDQPEFRDLDAAQWQRLKWVRQKFTIYNYCTDRKRYPQLPPECRRDRDI >Vigun01g053300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:9922755:9924363:1 gene:Vigun01g053300.v1.2 transcript:Vigun01g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHINTCASHFSHIPLSNINAFPVQTPTEPPQPQIPSIYPYHLYTSSFQPTRHTSLNPFSIQLSLHFIITANSYTNNFTPFLNTLHNQHTSIDHNLHSTPFHHLHFPSSTTCT >Vigun06g227300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33499328:33501492:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun06g227300.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33500960:33501280:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun06g227300.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33500101:33501492:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun06g227300.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33499328:33501492:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun06g227300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33499327:33501493:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun06g227300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33499328:33501492:-1 gene:Vigun06g227300.v1.2 transcript:Vigun06g227300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSGAGV >Vigun03g075300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6253384:6256490:1 gene:Vigun03g075300.v1.2 transcript:Vigun03g075300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLRKVTLFSAVLFLSLSICISSCLEPQCETTHLSATRKMLGAEKEDLEKPFKKKTTTQSTKNQTKLVKPTALSSKNQTKTIKSHNISSKNQTKTLKSNILSSKNQTKTTKLPNNISTKNQTKPLQLNSTSKTKPTTDTALKKLNNSTATKIIKKLNVTSKSPTSKPSTVSSTSKPLDLAKASNKTTKATATKDDANKNNKQAKTAKADQSHEATDKKQKTPQQQTKKKPTIQTPPSWILDEEDDLVSEFTDLPNRFHQTLLPDLERISTTSKAYITKANNEMTKGFKPYVGKKYAPTVAAVISCFLVLIPLLLVSLLCSRIKAYFSLQKILIFIQVYLSIYFTVLCVTSLFTGLEPLKFLYSTSRSTYLCLQVLQTLAYVFYLLLLLMYLVLVFSTDCGLSSKFLGLAQVLVGFAVGLHYYVTVFHRVVLQQPPKTNWKIHGIYATCFLLISLLARADRRKKTYVEDGGGEGKKN >Vigun03g075300.2.v1.2 pep primary_assembly:ASM411807v1:3:6253395:6256490:1 gene:Vigun03g075300.v1.2 transcript:Vigun03g075300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLRKVTLFSAVLFLSLSICISSCLEPQCETTHLSATRKMLGAEKEDLEKPFKKKTTTQSTKNQTKLVKPTALSSKNQTKTIKSHNISSKNQTKTLKSNILSSKNQTKTTKLPNNISTKNQTKPLQLNSTSKTKPTTDTALKKLNNSTATKIIKKLNVTSKSPTSKPSTVSSTSKPLDLAKASNKTTKATATKDDANKNNKQAKTAKADQSHEATDKKQKTPQQQTKKKPTIQTPPSWILDEEDDLVSEFTDLPNRFHQTLLPDLERISTTSKAYITKANNEMTKGFKPYVGKKYAPTVAAVISCFLVLIPLLLVSLLCSRIKAYFSLQKILIFIQVYLSIYFTVLCVTSLFTGLEPLKFLYSTSRSTYLCLQVLQTLAYVFYLLLLLMYLVLVFSTDCGLSSKFLGLAQVLVGFAVGLHYYVTVFHRVVLQQPPKTNWKIHGIYATCFLLISLLARADRRKKTYVEDGGGEEWWFQ >Vigun03g075300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6253395:6256490:1 gene:Vigun03g075300.v1.2 transcript:Vigun03g075300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEKEDLEKPFKKKTTTQSTKNQTKLVKPTALSSKNQTKTIKSHNISSKNQTKTLKSNILSSKNQTKTTKLPNNISTKNQTKPLQLNSTSKTKPTTDTALKKLNNSTATKIIKKLNVTSKSPTSKPSTVSSTSKPLDLAKASNKTTKATATKDDANKNNKQAKTAKADQSHEATDKKQKTPQQQTKKKPTIQTPPSWILDEEDDLVSEFTDLPNRFHQTLLPDLERISTTSKAYITKANNEMTKGFKPYVGKKYAPTVAAVISCFLVLIPLLLVSLLCSRIKAYFSLQKILIFIQVYLSIYFTVLCVTSLFTGLEPLKFLYSTSRSTYLCLQVLQTLAYVFYLLLLLMYLVLVFSTDCGLSSKFLGLAQVLVGFAVGLHYYVTVFHRVVLQQPPKTNWKIHGIYATCFLLISLLARADRRKKTYVEDGGGEGKKN >Vigun03g075300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6253322:6256490:1 gene:Vigun03g075300.v1.2 transcript:Vigun03g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLRKVTLFSAVLFLSLSICISSCLEPQCETTHLSATRKMLGAEKEDLEKPFKKKTTTQSTKNQTKLVKPTALSSKNQTKTIKSHNISSKNQTKTLKSNILSSKNQTKTTKLPNNISTKNQTKPLQLNSTSKTKPTTDTALKKLNNSTATKIIKKLNVTSKSPTSKPSTVSSTSKPLDLAKASNKTTKATATKDDANKNNKQAKTAKADQSHEATDKKQKTPQQQTKKKPTIQTPPSWILDEEDDLVSEFTDLPNRFHQTLLPDLERISTTSKAYITKANNEMTKGFKPYVGKKYAPTVAAVISCFLVLIPLLLVSLLCSRIKAYFSLQKILIFIQVYLSIYFTVLCVTSLFTGLEPLKFLYSTSRSTYLCLQVLQTLAYVFYLLLLLMYLVLVFSTDCGLSSKFLGLAQVLVGFAVGLHYYVTVFHRVVLQQPPKTNWKIHGIYATCFLLISLLARADRRKKTYVEDGGGEGKKN >Vigun10g027750.1.v1.2 pep primary_assembly:ASM411807v1:10:3367891:3369297:-1 gene:Vigun10g027750.v1.2 transcript:Vigun10g027750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPCSTYSIGLEKFVVKILLDIDFPKGHVGIKSFDIEVVDQDGNFVALYETYLHHWFVVKYIENINIVTLHQTHNLRNGIEYERNDDPFVVELCNPTKIKHEFKEKWLFSIMVIDTCDKHDRKGCMECRCKLFNIPNDFYNVPLKFYIFDSTGHVRSNGLTPIHDCMAEYIFPRNCDSDSLHVKKPSIPMTKDGYLIYGTIHMDTGVINGTLYGHDGRVLCTSNPKYGTRKEGGKENGYLVGMSICYPKLGSTKIKDGEVLTLEYIYKNKFRIGAKGHF >Vigun10g027750.2.v1.2 pep primary_assembly:ASM411807v1:10:3367891:3369201:-1 gene:Vigun10g027750.v1.2 transcript:Vigun10g027750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GPRKFVVKILLDIDFPKGHVGIKSFDIEVVDQDGNFVALYETYLHHWFVVKYIENINIVTLHQTHNLRNGIEYERNDDPFVVELCNPTKIKHEFKEKWLFSIMVIDTCDKHDRKGCMECRCKLFNIPNDFYNVPLKFYIFDSTGHVRSNGLTPIHDCMAEYIFPRNCDSDSLHVKKPSIPMTKDGYLIYGTIHMDTGVINGTLYGHDGRVLCTSNPKYGTRKEGGKENGYLVGMSICYPKLGSTKIKDGEVLTLEYIYKNKFRIGAKGHF >Vigun05g069100.1.v1.2 pep primary_assembly:ASM411807v1:5:5959265:5965654:1 gene:Vigun05g069100.v1.2 transcript:Vigun05g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDRERYPPGMGLGRGLNSNPGFQPRPHQQHQYVQRHMVQHHHPQQYQQNHQHHQQQQQQHHQHHQHQQQQQQQQQQWLRRNQLGGGTDTNVVEEVEKTVQSEAVDSSSQDWKARLNIPAPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPGTRQILMFSATFPVTVRDFKDRYLRKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >Vigun02g148800.1.v1.2 pep primary_assembly:ASM411807v1:2:29627178:29628800:-1 gene:Vigun02g148800.v1.2 transcript:Vigun02g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAEAHNVKILGSGTEYIVLGHGFGTDQSVWKHFVPYLVDDYRVVLYDNMGAGTTNPEYFDFERYATLEGYASDLLAILEELQVESCIFVGHSVSGMVGAIASIARPDLFTKLVMVGASPRYLNDVDYYGGFEQEELNQLFDAMATNYKAWCCGFAPLAVGGDLESVAVQEFSRTLFNMRPDIGLMVSRTIFQSDMRHILNLVSVPCHIIQAEKDMAVPVVISEYLHQNIAAESIVEVVPTEGHLPQLSSPDIVIPVLLEHVHLEIEARR >Vigun01g053000.1.v1.2 pep primary_assembly:ASM411807v1:1:9500299:9500790:-1 gene:Vigun01g053000.v1.2 transcript:Vigun01g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKMKITFSFSLLVLVLFVASGNQVQTTVVPLDCQEYTLNGDVCDPKKPDCEADCKLHYRTTNTSGYCDIDKNVCICIYCGG >Vigun07g208600.1.v1.2 pep primary_assembly:ASM411807v1:7:33013110:33016708:-1 gene:Vigun07g208600.v1.2 transcript:Vigun07g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPKPINTFTITIMLTFTFFLLFFSGFLQFPSAATSFPPVTRSISPQPAQPFTDLVGAFRKWDSQVGCARFKEKPNGVPLNQSKVASLQEIGNCAGLKLNHVSVLVKGWTWIPDNLDNLYSCTCGLSCLWSKSPVLADKPDALLFETTTPPVQRHTGEPLRVYMDLEAGRRRSGQEDIYISYHAEDDVQSTYAGALFHSGRNYHVSSDKNRDILVYWSSSRCLSQRNELAKKLLNLLPHHSFGKCLNNVGGLDAALSLYPECANDANVTPKWWDHLHCAMSHYKFVLAIENTFTESYVTEKLFYALDSGAVPIYFGAPNVMDFVPPHSIIDGRKFKSLEELASYVKAVANDPVAYAEYHAWRRCGVLGNYGKTRAMSLDTLPCRLCEAVSRRGGRNARR >Vigun03g123900.1.v1.2 pep primary_assembly:ASM411807v1:3:11651706:11659369:1 gene:Vigun03g123900.v1.2 transcript:Vigun03g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSIRRARMLNFLGPQISASGRSHFSTAVEPSSSKSNPPRVPNLIGGSFVDSKSSSVIDVINPATQEVVSQVPLSTDEEFKAAVSAAKKAYPLWRNTPITTRQRVMLKFQELIRRDMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSHGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASVMLAELALEAGLPEGVLNIVHGTHDIVNAICDNDDIKAISFVGSNVAGMHIYSRAAAKGKRVQSNMGAKNHAIVMPDANVDATLNALVAAGFGAAGQRCMALSTVVFVGDAKSWKAKLVERAKALKVNAGTEPDTDLGPVISKQAKERIHKLVKSGVESGAKLLLDGRNIVVPGYESGNFIGPTILSDITTNMECYKEEIFGPVLLFMEADSLEEAISIINSNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFYTQIKTITQQWKDSTDGSKINMAMPTSQK >Vigun09g162900.1.v1.2 pep primary_assembly:ASM411807v1:9:33044661:33049491:1 gene:Vigun09g162900.v1.2 transcript:Vigun09g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMQQQPKGVNVGSNRIVAVNVSPSNNLPTPSHHDASSNHDQKPGWKKFMAYVGPGFLVSLAYLDPGNMETDLQAGADHKYELLWVILIGLIFALIIQSLAANLGVTTGKHLSELCKAEYPLLVKYCLWLLAELAVIAADIPEVIGTAFALNILFHIPVWAGVLITGCSTLLFLGLQRFGVRKLELLISVLVFVMAGCFFGEMSYVKPPASGVLKGMFVPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPSSVRGINDACRYFLIESGFALFVAFLINVAMVSVSGTVCAADNLSAANANQCSDLTLNSASFLLKNVLGRSSSTIYAIALLASGQSSAITGTYAGQYIMQGFLDMRMKTWIRNFITRCIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSIIIIVISWILGLGIIGINVYYLSTSFVGWLIHSSLPKVANVLIGIIVFPLMALYVGAVIYLTFRKDTVKTYVETKNEAAMEKGFVVDGQLELSEVPYREDLADIPLPP >Vigun07g230000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35203936:35205759:1 gene:Vigun07g230000.v1.2 transcript:Vigun07g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTDSQATSPVSGGSRPALSDEEVFLAASHPKKRAGRKKFRETRHPVFRGVRRRDSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAALALRGRSACLNFADSTNRLPVPATADPRDIQKAAAEAAEAFRPGNESGKDDDAVVETVATATENDEEKEMEDLKNMVLMSPTRCFESDEYGSTEMEFEDIEVSLWSYSL >Vigun03g385100.2.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAERRFGFATSIFVAIVGWGVLISLAFTGSPASASSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun03g385100.3.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun03g385100.4.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun03g385100.6.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYERRFGFATSIFVAIVGWGVLISLAFTGSPASASSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun03g385100.5.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYERRFGFATSIFVAIVGWGVLISLAFTGSPASASSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun03g385100.1.v1.2 pep primary_assembly:ASM411807v1:3:59046929:59053860:-1 gene:Vigun03g385100.v1.2 transcript:Vigun03g385100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKIPSAASVSSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAERRFGFATSIFVAIVGWGVLISLAFTGSPASASSSSSSTTSSNYEVSKGFNGLDKVILRDARGSSAEVYLYGGQVTSWKNDQAEELLFLSSKAIFKPPKAIRGGIPLCFPQFSNLGPLDSHGFARNRFWTIDHNPPPFPSNTLSKAFVDLILKPSEDDMKIWPHSFEFRLRVALGPGGELMLTSRIRNTNNDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQKRKRFTEQGDALTFESEVDRIYLSTPTKIAILDHEKKRTFVLRKDGLPDSVVWNPWDKKAKSMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSTVPSSYRSGQLDPRKVIHGS >Vigun09g098900.3.v1.2 pep primary_assembly:ASM411807v1:9:15697606:15701564:1 gene:Vigun09g098900.v1.2 transcript:Vigun09g098900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEQGVSVCAACTHNCLLFHQTKQTSPTSFFKFITRQDYLTTLCIPPAFSSIVANMVNKKINLNDSSGQQWKVKISEVNGSFVFGEGWSVFSSGHGLKVGYLLVLNYIKDLHFDVKIYDTSACEKLGLPKRRNQKKRRRGKIGSPVGDSILVRQELNAPRQCSEVHLVVPQNAKESDQHVLIPDHSEDPCHSKSLEFDQSHGDDGTMKSENGDLKVSNVDYESNIVEKDAMICSKDTMLEGVLSTEVALDISELQVCVRSVSLGEADAYTHDKTSDLEIEENKAILSNAEAQEYQFFEDLGEMMKLPVDITPNLDSSMDEFHFTGEVSKKLKKELITRNEMEATGLPKEIKEGLLDSDIFNEYNASHFKTTAHLSCVVPHDNIFLVQNCRHACL >Vigun09g098900.4.v1.2 pep primary_assembly:ASM411807v1:9:15697606:15700734:1 gene:Vigun09g098900.v1.2 transcript:Vigun09g098900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEQGVSVCAACTHNCLLFHQTKQTSPTSFFKFITRQDYLTTLCIPPAFSSIVANMVNKKINLNDSSGQQWKVKISEVNGSFVFGEGWSVFSSGHGLKVGYLLVLNYIKDLHFDVKIYDTSACEKLGLPKRRNQKKRRRGKIGSPVGDSILVRQELNAPRQCSEVHLVVPQNAKESDQHVLIPDHSEDPCHSKSLEFDQSHGDDGTMKSENGDLKVSNVDYESNIVEKDAMICSKDTMLEGVLSTEVALDISELQVCVRSVSLGEADAYTHDKTSDLEIEENKAILSNAEAQEYQFFEDLGEMMKLPVDITPNLDSSMDEFHFTGEVSKKLKKELITRNEMEATGLPKEIKEGLLDSDIFNEYNASHFKTTAHLSCVVPHDNIFLVQY >Vigun09g098900.2.v1.2 pep primary_assembly:ASM411807v1:9:15697606:15701564:1 gene:Vigun09g098900.v1.2 transcript:Vigun09g098900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEQGVSVCAACTHNCLLFHQTKQTSPTSFFKFITRQDYLTTLCIPPAFSSIVANMVNKKINLNDSSGQQWKVKISEVNGSFVFGEGWSVFSSGHGLKVGYLLVLNYIKDLHFDVKIYDTSACEKLGLPKRRNQKKRRRGKIGSPVGDSILVRQELNAPRQCSEVHLVVPQNAKESDQHVLIPDHSEDPCHSKSLEFDQSHGDDGTMKSENGDLKVSNVDYESNIVEKDAMICSKDTMLEGVLSTEVALDISELQVCVRSVSLGEADAYTHDKTSDLEIEENKAILSNAEAQEYQFFEDLGEMMKLPVDITPNLDSSMDEFHFTGEVSKKLKKELITRNEMEATGLPKEIKEGLLDSDIFNEYNKLPSCLPLIGKVKVDQQRKILVFLRDPLRRLWPVYYHEKPHLKLLAHGWLDFSRANNIQPKDECIFEAEECNSKRILSVQIVHNK >Vigun09g098900.1.v1.2 pep primary_assembly:ASM411807v1:9:15697606:15701564:1 gene:Vigun09g098900.v1.2 transcript:Vigun09g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEQGVSVCAACTHNCLLFHQTKQTSPTSFFKFITRQDYLTTLCIPPAFSSIVANMVNKKINLNDSSGQQWKVKISEVNGSFVFGEGWSVFSSGHGLKVGYLLVLNYIKDLHFDVKIYDTSACEKLGLPKRRNQKKRRRGKIGSPVGDSILVRQELNAPRQCSEVHLVVPQNAKESDQHVLIPDHSEDPCHSKSLEFDQSHGDDGTMKSENGDLKVSNVDYESNIVEKDAMICSKDTMLEGVLSTEVALDISELQVCVRSVSLGEADAYTHDKTSDLEIEENKAILSNAEAQEYQFFEDLGEMMKLPVDITPNLDSSMDEFHFTGEVSKKLKKELITRNEMEATGLPKEIKEGLLDSDIFNEYNASHFKTTAHLSCVVPHDNIFLKLPSCLPLIGKVKVDQQRKILVFLRDPLRRLWPVYYHEKPHLKLLAHGWLDFSRANNIQPKDECIFEAEECNSKRILSVQIVHNK >Vigun01g169600.1.v1.2 pep primary_assembly:ASM411807v1:1:35132864:35135105:1 gene:Vigun01g169600.v1.2 transcript:Vigun01g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRQHSHPQLVPEGPIGFSTTLLRSHEAFPSCSFLPHTSPSLSASSASEKVITLPHPLQCLQGNPVPAFLSKTFDLVDDPSLDPIISWASAGVSFVVWDPTLFAIHVLPRNFKHNNFSTFVRQLNTYGFRKIDSDKWEFFNEAFQRGKRNLLKNIQRRRHPHREAGKAGLEFEIERLGRERSVLMQEVVELRQQQRTTLHRARQVNQRLQSAELIHKQMFSFLARLLENPAFLTCLQHEKEQRDEEVESPKVRRSFVKQHQAQTGISDFLKEGQIVSYQPDWRDATISSKIPEMCSNYLSQALAEEWSEGAENLVSYSDTIGLKSSSFGLEDTLLKGKNVMNSNQDLLAEKIDSFPEGLTNEAGFAEFSPLVGTESIIKPENKAFISVALGMDLGEMVSSMKDKNLDSQVVCQTCGTFVHCGQHRVSELKIQHWMKEKVLLVSDLSKNMIEIKMWIHCTSFVSAHIVLC >Vigun01g169600.2.v1.2 pep primary_assembly:ASM411807v1:1:35132864:35135105:1 gene:Vigun01g169600.v1.2 transcript:Vigun01g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRQHSHPQLVPEGPIGFSTTLLRSHEAFPSCSFLPHTSPSLSASSASEKVITLPHPLQCLQGNPVPAFLSKTFDLVDDPSLDPIISWASAGVSFVVWDPTLFAIHVLPRNFKHNNFSTFVRQLNTYGFRKIDSDKWEFFNEAFQRGKRNLLKNIQRRRHPHREAGKAGLEFEIERLGRERSVLMQEVVELRQQQRTTLHRARQVNQRLQSAELIHKQMFSFLARLLENPAFLTCLQHEKEQRDEEVESPKVRRSFVKQHQAQTGISDFLKEGQIALAEEWSEGAENLVSYSDTIGLKSSSFGLEDTLLKGKNVMNSNQDLLAEKIDSFPEGLTNEAGFAEFSPLVGTESIIKPENKAFISVALGMDLGEMVSSMKDKNLDSQVVCQTCGTFVHCGQHRVSELKIQHWMKEKVLLVSDLSKNMIEIKMWIHCTSFVSAHIVLC >Vigun11g051700.1.v1.2 pep primary_assembly:ASM411807v1:11:8768852:8774317:-1 gene:Vigun11g051700.v1.2 transcript:Vigun11g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKWFKRIVKLKKRKEDKSDQAKVQSTPEKPDESSEEKQDETCEESNNIPSEGSEVLERTVPTSFIEDIAATRIQNAFRAFLARRTLQNLRETALNYTKNIAASKVAVKLEAAIQHHMAKEQTISALNYIHTWSRIQDQIKARRLYMLTEARIKQKKLENQLKLAAKIHELQVGWCGGSDTMEDILSRIHQREEAAVKRERALAYAFSHQWRPNCRQYLGQAIYCVGKESWGWSWKERWVAVRPWQIRLRFPDPITKKTTDTKVPFSIPELSNTKETPKGKENNTPDLSNNNLAK >Vigun03g046600.1.v1.2 pep primary_assembly:ASM411807v1:3:3739971:3742550:1 gene:Vigun03g046600.v1.2 transcript:Vigun03g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKQRGKEVENEDTSTTSKERAISPFDLGLFAIWNRFRSYLVILSKKVNKKVPTITRSDTKDSIDRDAVLARVESEKRLALIKAWEESEKTKAENRAYKRHNAVVLWENSKKASAEAHLKRIEEKLDRNKAKCVEKMQNKVAEIHRTAEEKRAMIEAYKGEEFLEIEEKAAKFRTRGYSPRKFLPCFGS >Vigun03g046600.2.v1.2 pep primary_assembly:ASM411807v1:3:3739971:3742550:1 gene:Vigun03g046600.v1.2 transcript:Vigun03g046600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKQRGKEVENEDTSTTSKERAISPFDLVPTITRSDTKDSIDRDAVLARVESEKRLALIKAWEESEKTKAENRAYKRHNAVVLWENSKKASAEAHLKRIEEKLDRNKAKCVEKMQNKVAEIHRTAEEKRAMIEAYKGEEFLEIEEKAAKFRTRGYSPRKFLPCFGS >Vigun10g098900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28994159:28994804:-1 gene:Vigun10g098900.v1.2 transcript:Vigun10g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQQFQKQRAMDEVAKIRKRFGDEQSTLLDQFERLSFESHLTKAMLQRSLSEPGFPRSVSQPRHVSVTPTIPLLNHGKQRRCRGSGLHKVLKKFLRPFFGRKNSGGGERKHVPDPRNPLSWKAFSRSLRF >Vigun01g139700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32025081:32025569:-1 gene:Vigun01g139700.v1.2 transcript:Vigun01g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAPPINKHSPPPPKPKPKEAHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDTAARTLRGPKAKTNFNYVVAPAVLAAPESQAPAHDPLATPVEFWGLPLFFTTDAASGTPVRSEYKGYKLENIAGFVVTKEEEKKPFLFDLNLPAPLF >Vigun03g191600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26545528:26546494:1 gene:Vigun03g191600.v1.2 transcript:Vigun03g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKGHQIKVPILFLVNLVLVFFVSSVNAVESRKLDENPVPATNGTEEKCGSCGTVYPSPPPPAIPPPSPPPPKKTPTQYCPPPPPSSFIYITGPPGNLYPVDEDFNAAACHRRSFVAVLLPLFVGMLFTFAFW >Vigun05g229250.1.v1.2 pep primary_assembly:ASM411807v1:5:42277526:42279678:1 gene:Vigun05g229250.v1.2 transcript:Vigun05g229250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLGGGLAGIQGIFYVGTNCLHRRQVIYGLSPDHHIRNGKKHDDITDGKLSEKERIFGSSKRFVESATNALEGKTLASNNNIWKNVEAAKEVGTCEYDYGTAWGKQVGWMYGSTSEDALTGLKIHAKGWRSETCSPELIPFMGCSPQDVINVMSQQKRWTSGLLDILLSKNNPIFLTLFGNLQFRQCLGYLWVITWGLRSVPEICYTLLPAYCIINNSTFLPKELGQWILVTMFVIYHITTLVEYLRTGLSMRTWWNSQRMARITTTNAWFFGFLYVLLKLFKISDNNVFEITKKEQPSSNEGANENNGRFTFNNSPIFVPGTTILLIQLIALVTKLLGWETPVRNGDGSGIGEVFCCSILVVCYWPFFKGLFGKGKYGIPLSTVCKSVLLTFLFVYFCRAY >Vigun01g011800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1311437:1314853:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun01g011800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1310828:1314853:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun01g011800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1311203:1314853:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun01g011800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1310828:1314853:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun01g011800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1313633:1314280:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun01g011800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1311203:1314853:-1 gene:Vigun01g011800.v1.2 transcript:Vigun01g011800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRVRHYLPHGLYRQTFQPTVVRFNYSNINAFSRNFGQPARKEEEDVEEVEIDQRTLPADFDPATFDPNDHPAPPSERIFRLVDEIASLTVAEATELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAVKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun02g175100.1.v1.2 pep primary_assembly:ASM411807v1:2:31744400:31749978:1 gene:Vigun02g175100.v1.2 transcript:Vigun02g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTSLWLLSLIYTLSFVASDTVPSNEVWALRSFKEAVYEDLYQVLSDWDTVEADPCNWFGVTCTMVRDHVIKLNISGSSLKGFLAPELGQLTYLQELILHGNNLIGTIPRELGELKYLRVFDLGMNQLTGPIPPEFGNLTQVVKINLQSNGLTGRLPPELGNLRFLQELRLDRNRLQGPIPAGGSSNFASYMHGMYVSKENVTGFCRSSQLKVADFSYNFLVGSIPKCLEYLPRLSFQGNCIQSQDIKQRPRIQCAGASPASAKSQPVVNPSHQPTEYVSKHHGASKPVWLLALEIVTGTMVGSLFLVAVLAAFQRCNKKSSIIIPWKKSGSQKDHTAVYIDPEMLKDVRRYSRQELEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAVISLCIREEHWTGYLELYFQREVADLARLNHENIGKLLGYCREDAPFIRMLVFDYASNGTLHDHLHCYEEGCQFSWTRRMKIAIGIARGLKYLHTEVEPPFTISELNSSAVYLTEEFSPKLVDFESWKTILERSEKNSGSIGSQGAICVLPNSLEARHLDTKGNIFAFGVLLLELISGRPPYCKDKGYLVDWAKDYLERPDEMSNVVDPELKHFRHEDLKVICEVITLCINPDKTRQPSMRELCSMLENRIDTSVSVELKSSSLAWAELALLS >Vigun02g175100.2.v1.2 pep primary_assembly:ASM411807v1:2:31745141:31749940:1 gene:Vigun02g175100.v1.2 transcript:Vigun02g175100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTSLWLLSLIYTLSFVASDTVPSNEVWALRSFKEAVYEDLYQVLSDWDTVEADPCNWFGVTCTMVRDHVIKLNISGSSLKGFLAPELGQLTYLQELILHGNNLIGTIPRELGELKYLRVFDLGMNQLTGPIPPEFGNLTQVVKINLQSNGLTGRLPPELGNLRFLQELRLDRNRLQGPIPAGGSSNFASYMHGMYVSKENVTGFCRSSQLKVADFSYNFLVGSIPKCLEYLPRLSFQGNCIQSQDIKQRPRIQCAGASPASAKSQPVVNPSHQPTEYVSKHHGASKPVWLLALEIVTGTMVGSLFLVAVLAAFQRCNKKSSIIIPWKKSGSQKDHTAVYIDPEMLKDVRRYSRQELEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAVISLCIREEHWTGYLELYFQREVADLARLNHENIGKLLGYCREDAPFIRMLVFDYASNGTLHDHLHCYEEGCQFSWTRRMKIAIGIARGLKYLHTEVEPPFTISELNSSAVYLTEEFSPKLVDFESWKTILERSEKNSGSIGSQGAICVLPNSLEARHLDTKGNIFAFGVLLLELISGRPPYCKDKGYLVDWAKDYLERPDEMSNVVDPELKHFRHEDLKVICEVITLCINPDKTRQPSMRELCSMLENRIDTSVSVELKSSSLAWAELALLS >Vigun02g075400.2.v1.2 pep primary_assembly:ASM411807v1:2:22699182:22702399:-1 gene:Vigun02g075400.v1.2 transcript:Vigun02g075400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGISYSSPTLCSAMVDLVPAFTFIFALFSRMENLNLKQHSCQAKVMGTVVSIAGAFIVTLYKGTPLNFSLLRNGTYLSTQSDWIIGGFLLAISSLCISVLLIVQTSAVKEYPEELVITTTCCSMVVILSAIVALFAEGNPKAWIFTSHKEFIAVFYSAIFVVSMRSVVCTWGCRKKGPVYVAMFNPLGMVIALGMGVIFLGESLYLGSVIGAATIGIGFYLVMWAHAEDSNVAEENTVTSSAAPLLSTKSIDV >Vigun02g075400.1.v1.2 pep primary_assembly:ASM411807v1:2:22699182:22702399:-1 gene:Vigun02g075400.v1.2 transcript:Vigun02g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGLGVTAAMIGTQFLEVGLNTLIKQANTNGMSNFVFIVYSNFFALFVLAPTTFFYHRKRAPPTIPRSILCKMFAISCLSTVVQTLMYTGISYSSPTLCSAMVDLVPAFTFIFALFSRMENLNLKQHSCQAKVMGTVVSIAGAFIVTLYKGTPLNFSLLRNGTYLSTQSDWIIGGFLLAISSLCISVLLIVQTSAVKEYPEELVITTTCCSMVVILSAIVALFAEGNPKAWIFTSHKEFIAVFYSAIFVVSMRSVVCTWGCRKKGPVYVAMFNPLGMVIALGMGVIFLGESLYLGSVIGAATIGIGFYLVMWAHAEDSNVAEENTVTSSAAPLLSTKSIDV >Vigun07g128400.2.v1.2 pep primary_assembly:ASM411807v1:7:23629904:23633119:-1 gene:Vigun07g128400.v1.2 transcript:Vigun07g128400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKHRDLLVEGCKEVEFTSAISAFIFSCPS >Vigun07g128400.1.v1.2 pep primary_assembly:ASM411807v1:7:23629825:23633119:-1 gene:Vigun07g128400.v1.2 transcript:Vigun07g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >VigunL073400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:104473:105042:1 gene:VigunL073400.v1.2 transcript:VigunL073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTAPLIRLVPSQTCLSYDLKSATDGWPLVFLFERLALLFDRSFASSVVNTTLGTNVFEVPFVKRALSQVSFVTGQPLGYYGYWPLFAFTHHVLVWWAAEQVRPGILFDRYAILGDDVLITDPLVAEQYRLGLQRLGVKISTHKSLISSTGAVEFAKQFLVKDMRVNLSPVSMKALCGFHHPHGYYL >Vigun11g138600.1.v1.2 pep primary_assembly:ASM411807v1:11:34762099:34762568:1 gene:Vigun11g138600.v1.2 transcript:Vigun11g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKRVVILGMIMFAFLQIQSIEARDLCAQKCVQACAVSKLPFQRCYEACVIKCPSTVTIDAPPAQLHSCFKNCLNNLEH >Vigun08g157900.1.v1.2 pep primary_assembly:ASM411807v1:8:33030589:33032761:-1 gene:Vigun08g157900.v1.2 transcript:Vigun08g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQTKAEKKIAYDGKLCQLLEEYGQILVVNADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHAEKTGNNVYLSLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLIEKFVAGVSIATSLSLAISFPTLAAAPHMFVNAYKNVLSVAVETDYSFPEADKVKEYLKDPSKFAVAAVAAPAAASGAPAAAAKEEEKKEEPAEESDDDMGFSLFD >Vigun03g141750.1.v1.2 pep primary_assembly:ASM411807v1:3:14249005:14250795:1 gene:Vigun03g141750.v1.2 transcript:Vigun03g141750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTDTDTTRTRENKEDRVRPKNISVQHLVVRASDGCPCPVCFRLKEAWLQHRVGASYHRLWSLSGNTYNIIMFFSLSSFSLSEEKIKKYASVLFTVGRLDTKIYYLLFPMTNPGMFPYLILSGIGNCLIIYRVYCFFMFYKKAIGIRVMLVYD >Vigun11g213900.1.v1.2 pep primary_assembly:ASM411807v1:11:40849722:40858018:1 gene:Vigun11g213900.v1.2 transcript:Vigun11g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISRNIWPVCGSLCCFCPALRERSRHPIKRYKKLLADIFPRSPDEEPNERMIGKLCEYAARNPLRVPKITSYLEQRCYRELRTENYQSVKVVICIYRKLLISCKDQMPLFASSLLGIIQILLDQSRHDEVQILGCQTLFDFVNNQKDGTYMFNLDGFIAKLCNLAQEVGDDDKVQPLRAAGLQVLSSMVWFMGEFTHISVEFDNVVSVVLENYGDVKQDSQNENSMRLYSWRVIVNDKGELNVPVDDAKNPGFWSRICVQNMAKLAKEGTTVRRVLESLFRYFDNANLWSPEHGLALSVLLNMQSIIENSGQNTHLLLSILVKHLDHKNVLKNPNMQLDIVGVITHLAKETRVQQSVAIIGALSDMMRHLRKSIHCSLDDSNLGSEIIQWNQKYRTEVDECLVQLTIKIADAGPVLDTMAVLLENMSNITVMARTLIAAVYRTAQIVASIPNISYQNKSFPEALFHQLLLAMVHADQETRVGAHRVFSVVLVPSSVCPQPSQEFASAKGADIQRMLSRNVSVFSSSAALFEKLERKQNPLPEDSNADAKVDNSILNRLKSTYSRTTSTRKSGLGSAEYMDNRNSKGNNNSSVMNRLKSSYSRATSVRKSQPLVTPTVEENTTNTSTKQHVLPIRLSSHQITLLLSSIWVQSVYSQNTPENFEAIAHTYSLLLLVARSKNSSHEALTQSFQLAFSLRNISLNENVKLQPSRRRSLFTLATAMIVFSSKAYNVLSLISIAKTTLTDKTMDPFLRLVSDAKLQAVADTARKPSLAYGSKEDDEDALKSLSAIKLTESQSKESFSTMIVQSLGISFNESSILRERLLEDFSPDDACPLGCQLSGDTSGNVYQSGGLKDDKLPDMVDISLFTIDDDISHGGLEGHASTDPQEQSSQNISLLSVDDILGSVSETTNQVGRISISTPFDMPYKEMALHCEALLVGKQQKMSTFMSTQSIQGYSFKIPPFEYRQDRDGMPSSFNIQPPLPSSGNPFLDTNMDSTSHNALSDAAPRPCATAYQQHQAAFFQLPASRPYDSFLKAAGC >Vigun09g047300.1.v1.2 pep primary_assembly:ASM411807v1:9:4496340:4518562:-1 gene:Vigun09g047300.v1.2 transcript:Vigun09g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPSQQSWGRSPTSLSSSASLSKSNSDSIQSLSSILNNPHASDAASWGAWWSSATAVAPPEFAPIAVAKAASEVSRSDFQQYVVPIAEAYHRFEDIRNHTSKEQINALANAAAASGQGEALVACLREVPALYFKEDFRLEDGATFRAACPFANVAENIALQEKLSHYLDVVELHLVKEISLRSSSFFEAQGQLQDLDAKILQGCTQIRHLKDTIRLLDADLVHDARQIQELNGTRTNLLALLQKLRLIFYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELSGLHCFRHLRDHVIGFIESINSILSAEFIRASLQDAAEKDGIILSKAKANVSLPMNGKDDEVKLEEEESSNFKDCLLPTVIGLLRTAKLPSVLRTYRDTLTADMKSAIKTAVAELLPVLASRGSESEFFSGDRTVDADGGGASLASKLRSLSSDCFVHLLSAIFLVVQAHLVRAAEVKKAIEWILNNRDGHYAADSVVAAIAHGAAAAETSQESEVHGTAFLPYSAQRSVAKGSSFQGKSIDAVNSSNMSKNFRADILRENAEAVFAACDAAHGRWAKLLGVRAVLHPRLKLQEFLAIYNITQEFITATEKIGGRLGYSIRGTLQSQAKAFVDFQHESRMSKIKAVLDQETWVEIDVPDEFQSIVNMLFTSDNLTSENFNDTEDDNSTSYNGVVTNHDSMPMVNSAQSSAEHQIMRVNSIEASMNNETSDRPKSPNDSVEANKGPSKVTSAHSNNTEKDHKKSASQALNYKGVGYHMVNCGLILLKMLSEYIDMNNLLPTLSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFVHVIIPDIRQILFLKVPETRKILLLSEIDRVAQDYKVHRDEIHSKLVQIMRERLLVHLRGLPQIVESWNRPEDADPQPSQFARSLTKEVGYLQRVLSRTLNEEDVQAIFGQVVVIFHSQISEAFSKFDISTPQAQNRLYRDVKHILQCIRSLPLGDLSKSDTPNWGQLDEFLVKRFGNDAVQ >Vigun02g117800.3.v1.2 pep primary_assembly:ASM411807v1:2:27073238:27076345:-1 gene:Vigun02g117800.v1.2 transcript:Vigun02g117800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNRFQAESQWKSSAPSIPLSRTDSSLLRHSFLQRFQNPTIFLRISCDGDYILPIVVGQIAIENLMDAEFQRESEDCRDQFQFVKNLVGRLDHEVIMVRITERVVSTYFARLYISQPGKSDLISVDARPSDAINVANRCKAPIYVSKEIVFTDAIRIGYGMGRVRNKKTIYDILLDSPVDGPDLVAQELSMMHNMHIAIKQERFKDAATWRDKLANLRKSAHEH >Vigun02g117800.4.v1.2 pep primary_assembly:ASM411807v1:2:27073238:27075784:-1 gene:Vigun02g117800.v1.2 transcript:Vigun02g117800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNRFQAESQWKSSAPSIPLSRTDSSLLRHSFLQRFQNPTIFLRISCDGDYILPIVVGQIAIENLMDAEFQRESEDCRDQFQFVKNLVGRLDHEVIMVRITERVVSTYFARLYISQPGKSDLISVDARPSDAINVANRCKAPIYVSKEIVFTDAIRIGYGMGRVRNKKTIYDILLDSPVDGPDLVAQELSMMHNMHIAIKQERFKDAATWRDKLANLRKSAHEH >Vigun02g117800.2.v1.2 pep primary_assembly:ASM411807v1:2:27073238:27076345:-1 gene:Vigun02g117800.v1.2 transcript:Vigun02g117800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRFCVRTVSGVGSAAADHTNAAAATAATARAAPNSVAVDFSPLHLLPLRSRRLGCRRSVLISCNSSRSRSSSDGSLGNDYLEASLLLSETLSHYHMWKNRFQAESQWKSSAPSIPLSRTDSSLLRHSFLQRFQNPTIFLRISCDGDYILPIVVGQIAIENLMDAEFQRESEVIMVRITERVVSTYFARLYISQPGKSDLISVDARPSDAINVANRCKAPIYVSKEIVFTDAIRIGYGMGRVRNKKTIYDILLDSPVDGPDLVAQELSMMHNMHIAIKQERFKDAATWRDKLANLRKSAHEH >Vigun02g117800.1.v1.2 pep primary_assembly:ASM411807v1:2:27073238:27076345:-1 gene:Vigun02g117800.v1.2 transcript:Vigun02g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRFCVRTVSGVGSAAADHTNAAAATAATARAAPNSVAVDFSPLHLLPLRSRRLGCRRSVLISCNSSRSRSSSDGSLGNDYLEASLLLSETLSHYHMWKNRFQAESQWKSSAPSIPLSRTDSSLLRHSFLQRFQNPTIFLRISCDGDYILPIVVGQIAIENLMDAEFQRESEDCRDQFQFVKNLVGRLDHEVIMVRITERVVSTYFARLYISQPGKSDLISVDARPSDAINVANRCKAPIYVSKEIVFTDAIRIGYGMGRVRNKKTIYDILLDSPVDGPDLVAQELSMMHNMHIAIKQERFKDAATWRDKLANLRKSAHEH >Vigun07g225800.1.v1.2 pep primary_assembly:ASM411807v1:7:34796119:34802747:-1 gene:Vigun07g225800.v1.2 transcript:Vigun07g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHYRPPGKKKEGNAAKFVIRSQALKQLQISLPLFRKLCILKGVTPREPKKKFKGTHQTYYHVKDIAFLHHEPLVEIHRAIRVHERKIKKAEAKKNHERANRLREKTPKPKIDRIIRQRYPRFVDALGELDDCLTMVHLFAALPASESKKIEVECVHKCRRLAHEWQAFISRTHKLRKTFVSVKGIYYQAEVEGQMITWLTPHSLQQVVSDDVDIVTMLNFLQLYEPLLSFVNFRLYHSINLKYPPILDPRLEALAADLYALSRYVSTKNGSSVVNSEAPQVESEQLETKQKELETGNETSELRLAQLQHQLPSNEPGALMHLVEEVTGEHEEDQDTKECKTLFKNVKFFLSREVPRESLLFVIPAFGGIVSWEGEGAPFGESDQSITHQIVDREAQGHRFLSRDYVQPQWVFDCVNARIILPTDNYLVGRIPPPHLSPFINYDEEGAYIPDYAKTIKHLQAAARKEVLPLPGVGNEDLEDPQNLVVEGIIGRAEANEAAERKKKMMILEQQYHDDLKKELKGVTYTTAGSKETSGAVQTGESATNVEENPDDDMGKLMMSRKKRKLLEAMQISNKRKQAQVDLIKHRKKKIDEAKSQRS >Vigun07g083800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12318743:12322857:-1 gene:Vigun07g083800.v1.2 transcript:Vigun07g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPGIHHYHISLSHSPQRSLKFLHASSFSTPIRASSSASPKQNAFQKPQTPLTQLLKTLKPLLSPLVKPTCIAIAATAFSFMRLHPTPVASALPHAPPPPSSASEIHPDDTAPLKILPHYTAASETLPDDTAASEILPEDTAVSETLPDDTAASDILLDDTAPSETLPDESAAQEQSSDDVVELESFLRTKIRASEIDKALLVLDRLIELEPEELEYPFVKAHLQLLNGEPELASKGFEELLQRDPFHLETYRCLLMLISETSAPTVELLKKIEEVVKVCAEQERDSDVRDFKLLIAQIKVIDGDLYDALKVYEELEKEEPKDFRPYLGQGIVYTMLKKDDEAEKQFEKFGTLAPENHPYKHYFEANSRILETGLLDAKS >Vigun10g153900.1.v1.2 pep primary_assembly:ASM411807v1:10:37420922:37424959:-1 gene:Vigun10g153900.v1.2 transcript:Vigun10g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSNYKHRVQCSPKSMGKIVGVGFRPIEQELVDFYLKHKLLGDDSRVDVIPVINLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDIRSWNTNTLIATKKNLVYYKGSVSCGVKSDWVIHEYHAVTFHESENAFVLCRLIKKPEKKTEGGTAALICDEGESSRSVVSDYENQAIAEGVPSGGTLTGMETICHVTYQAEKCISPTEPSLIEIEQDDDAYFRNENNNGRSPSEIMQIPYETMHTPCETMHTPYEIMQISCETMQTLYETLQIPCETMQSSCESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTPFESLQTPCEPVQTLCESMQISCESMQNPCEPMQISCETMQISHETNQIPFEILQFLFETPFQTMQTPLETKQILPKLPNSLLADEYLVTQSKSLKRAYCESSYRDAEVVPERDASFEDISSLYTEYLNSEEYHVLKRFKTSYDVGHGDTHLLFSGQEASEEKQESIFQDDFWGLETSSCDSTTNKLVEINYSEISSFLCT >Vigun02g197500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33249822:33250945:1 gene:Vigun02g197500.v1.2 transcript:Vigun02g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLCHSYMESQLMESTIHRLMLSSPKPVPPPQKSIDLPFKSNNQAYANWTNIQALSTAPKEITSVDTYLKPSSLRLSPKSLELCTENLGNETGSDIIESGIELLSSHSSECGEGEVGVAMIREKQKRKAREGRSFPPPLTTITGSETIRVRPHREGGRLVLQLTKVPSSFRAERSPGRLRLCFWTEMQHHHHHHHIEDAVEDDHEDEVEKTQEHQHDDDDDDVDDDDDDGVDAVNNECEWERFQEHRKERKSWGVEGVVRVESTMFEWPSSRCKEEEHENINDLLMYWGEPVRLISI >Vigun06g221300.1.v1.2 pep primary_assembly:ASM411807v1:6:33075238:33079485:-1 gene:Vigun06g221300.v1.2 transcript:Vigun06g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNLSYSSSPALSCPRASKHFKLLNDVPFVRMSSKFPSSDNAVSRIKATLISGGGDLLSYPKSNDIAIGKNLVGDESVRIGVQYNGTPAVDTGITSNVFSVDGDEFDLDSPTAGFASIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMKEEDLDRLELPLMVNSKDNDEKLRTAFTVTVDAKHGTTTGVSAQDRATTVLALASRDSTPGDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTVLAGMDPVAVLCEIVDDDGSMARLPKLRQFAERENLKVVSIADLIRYRRKRDKLVDRASAARIPTMWGPFTAYCYRSLLDGIEHIAMVKGEIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLALSMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLTVSGRIPILTLITSENKRYLETKRMKMGHVYGMEIEGQLSTHDNGNGSAS >Vigun05g110400.1.v1.2 pep primary_assembly:ASM411807v1:5:11458985:11462424:1 gene:Vigun05g110400.v1.2 transcript:Vigun05g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQMSNVDLEQGNHCRSLVGSDVSVEGSLCFSDADDGSCYSRFYSTNGGSYDDYSFACVSDPEAGGVTHSGRASSVSECSVELETRNGVPEIKVHLAKVEKDCRICHMGLVSDSHESGAPIELGCSCKDDLAAAHKHCAEAWFKIKGNRTCEICHSIARNVYGANEDSTEHVIDGNNGTTATATSSTPAPSTEPRRFWHGHRFLNFLLACMVFAFVISWLFHFNVPSS >Vigun04g020600.1.v1.2 pep primary_assembly:ASM411807v1:4:1562349:1570000:-1 gene:Vigun04g020600.v1.2 transcript:Vigun04g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAARVPKLGSFRHSFAEKKERLLSMKGGGYSQIGIALPESDEEDNSPRRRCCSYRAVSDMIIGAWKSMKRVAARAWEMGMSDPRKIIFSAKMGLALILLSLLIFLKKPFEDVSRYSVWAILTVVVVFEFSIGATLSKGLNRGLGTLLAGGLALAMGILSQLAGKWEELIITVSIFTVGFCATYAKQYPTMKAYEYGFRVFLITYCYIIVSGYRTGEFVQTAINRFLLIALGAAVALGINVCIYPIWAGEDLHNLVAKNFVGVAASLEGVVNNYLNCVEYERVPSKILTYQAAEDVVYKGYRSAVESTSTEDSLMGFAVWEPPHGRYKMLRYPWQNYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRQVFRRELQKVGSEGAKILRELGNKVKKMEKLGEDDILYEVHEAAEELQQKIDKKSFLLVNSESWEIGNRPRGEGDSQDLLHVDEERHFLEYKSLSEAVLDLRAVKVPKGWGEQAAPEKIPVGVGDENMFRKQISWPAHISFTADAVTKEEESKTYESASSLSLATFTSLLIEFVARLQNLVDSFEELGERAKFKDPLEQAPTTTGGFWNRLCNVLTGKD >Vigun04g020600.2.v1.2 pep primary_assembly:ASM411807v1:4:1562785:1570000:-1 gene:Vigun04g020600.v1.2 transcript:Vigun04g020600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAARVPKLGSFRHSFAEKKERLLSMKGGGYSQIGIALPESDEEDNSPRRRCCSYRAVSDMIIGAWKSMKRVAARAWEMGMSDPRKIIFSAKMGLALILLSLLIFLKKPFEDVSRYSVWAILTVVVVFEFSIGATLSKGLNRGLGTLLAGGLALAMGILSQLAGKWEELIITVSIFTVGFCATYAKQYPTMKAYEYGFRVFLITYCYIIVSGYRTGEFVQTAINRFLLIALGAAVALGINVCIYPIWAGEDLHNLVAKNFVGVAASLEGVVNNYLNCVEYERVPSKILTYQAAEDVVYKGYRSAVESTSTEDSLMGFAVWEPPHGRYKMLRYPWQNYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRQVFRRELQKVGSEGAKILRELGNKVKKMEKLGEDDILYEVHEAAEELQQKIDKKSFLLVNSESWEIGNRPRGEGDSQDLLHVDEERHFLEYKSLSEAVLDLRAVKVPKGWGEQAAPEKIPVGVGDENMFRKQISWPAHISFTADAVTKEEESKTYESASSLSLATFTSLLIEFVARLQNLVDSFEELGERAKFKDPLEQAPTTTGGFWNRLCNVLTGKD >Vigun03g003500.2.v1.2 pep primary_assembly:ASM411807v1:3:239737:243167:-1 gene:Vigun03g003500.v1.2 transcript:Vigun03g003500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTDFYHVMTAMLPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYEMNFRFIAADTLQKIIVLVVLTIWSNVSKRGRLEWAITLFSISTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLISEQFPDTGATIVSIHVDSDVMSLDGRQPLETQTQIKEDGKLHVTVRKSNASRSDIFSRRSQGFSSTTPRPSNLTNAEIYSLQSSANPTPRASSFNHSDFYSVMAPPRNSNFATNDVYGFSASRGPTPRPSNYDQDASTAANTKNNDKPRHHYPVFSHPNGLKKPNGHPHPKPEDSNKDLHMFVWSSSASPVSDVFAGHENDHKEVKLAVSPKKVEGNREGQEEYLENEHEGEKVGNGNQKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLMGLTWSLVSFRWKVEMPTIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSTAAFSMAVRFLTGPAVMAAASMVVGLKGVLLHVAIVQKPTHLKKSS >Vigun03g003500.1.v1.2 pep primary_assembly:ASM411807v1:3:239737:243167:-1 gene:Vigun03g003500.v1.2 transcript:Vigun03g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTDFYHVMTAMLPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYEMNFRFIAADTLQKIIVLVVLTIWSNVSKRGRLEWAITLFSISTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLISEQFPDTGATIVSIHVDSDVMSLDGRQPLETQTQIKEDGKLHVTVRKSNASRSDIFSRRSQGFSSTTPRPSNLTNAEIYSLQSSANPTPRASSFNHSDFYSVMAPPRNSNFATNDVYGFSASRGPTPRPSNYDQDASTAANTKNNDKPRHHYPVFSHPNGLKKPNGHPHPKPEDSNKDLHMFVWSSSASPVSDVFAGHENDHKEVKLAVSPKKVEGNREGQEEYLENEHEGEKVGNGNQKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLMGLTWSLVSFRWKVEMPTIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSTAAFSMAVRFLTGPAVMAAASMVVGLKGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Vigun09g064000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6736178:6738102:1 gene:Vigun09g064000.v1.2 transcript:Vigun09g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRQKIIAAISVIALVILIIVARVTLKLSKGFFLIFGAGVAVILAVFAYVLLSIRFNRRRRLLESQLKSEGRELRIEYSFLKKVAGVPIKFRYKELEEATDGFQALIGRGASASVFKGILNDGTSVAVKRIDGEERGEKEFRSEVAAIASVHHVNLVRLLGYCNAPTAPRYLVYEYVSNGSLDCWIFPKKLPRRGGCLSWSLRYKVAIDVAKGLAYLHHDCRSKILHLDVKPENILLDENYRAVVSDFGLAKLVGKDESQKVVSAIRGTRGYLAPEWLLEQGISDKTDIYSYGMVLLEIVGGRKNVCLVDDDDGKAKSKRKWKYFPKIVNEKVREGKFMEVVDPRLLEGGGVDERQVKTLVYVALWCVQEKPRSRPSMAQVVDMLEGRVRVELPPDTRMVLVDFLCVDDDSATDSRSMQRLNFVSNQRTQSDVECSSTYSLATSVLSGR >Vigun01g252200.1.v1.2 pep primary_assembly:ASM411807v1:1:41829799:41832333:1 gene:Vigun01g252200.v1.2 transcript:Vigun01g252200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGTVYTALSCFAASLFRTLPLCLQKHNQIMEAVSAWGNTPLATVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALEAFHLDPSRWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSSTTGFIHYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRQVADKCGALLLCDMAHISGLVAAQEANNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQAENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVALGNYLMNKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTLTLEIQKEYGKLLKDFNKGLVNNKAIEDLKVDVEKFSASFDMPGFLVSELKYKD >Vigun10g052400.2.v1.2 pep primary_assembly:ASM411807v1:10:8449849:8452670:1 gene:Vigun10g052400.v1.2 transcript:Vigun10g052400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCECMDNLLCDEVWLSNSENTFEEEIGDIVTLKSHENKEFEEAFALYLEKEGSSLPEQDYAKYLHSNNLFLPRCRVIQWLVKCGSHFNLSLGTVFLAVNYLDRFVSICQSNDWKYWMLELLSISCLSVAIKFNEKSPLSLHEIQVEGLNYSFESSVILKMELILLKALGWQLNSVTSYSFVEMMDSDFLEYHLYGKLISPATELLLQATLDQKMLEFRPSIVGISALWCTLEHLFPQTSDTYIAYILRLLNQSSQKDDIIKCHELTQTQASFFKIILYD >Vigun10g052400.1.v1.2 pep primary_assembly:ASM411807v1:10:8449849:8453254:1 gene:Vigun10g052400.v1.2 transcript:Vigun10g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCECMDNLLCDEVWLSNSENTFEEEIGDIVTLKSHENKEFEEAFALYLEKEGSSLPEQDYAKYLHSNNLFLPRCRVIQWLVKCGSHFNLSLGTVFLAVNYLDRFVSICQSNDWKYWMLELLSISCLSVAIKFNEKSPLSLHEIQVEGLNYSFESSVILKMELILLKALGWQLNSVTSYSFVEMMDSDFLEYHLYGKLISPATELLLQATLDQKMLEFRPSIVGISALWCTLEHLFPQTSDTYIAYILRLLNQSSQKDDIIKCHELMQTQTSLWCENQHHCPLSPTTVLLNTSTRIYDG >Vigun07g042800.3.v1.2 pep primary_assembly:ASM411807v1:7:4250103:4259429:1 gene:Vigun07g042800.v1.2 transcript:Vigun07g042800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASQYSVLDAERIERVNDDTFRCYVYRFKFFNFEVCPVLLVKVEQQPDGCCIKLLSCKLEGSPMVAAQNDKFDALMVNRISCDSNADNDKSLMQQLTSDTIIEVSIEIPFPFLAIPKQAIESAGTQVLEQILRLMLPRFMSQLVKDYQAWATGDTSRQPLGTGEI >Vigun07g042800.2.v1.2 pep primary_assembly:ASM411807v1:7:4250103:4259428:1 gene:Vigun07g042800.v1.2 transcript:Vigun07g042800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLFRSIPISFPSTKQCALKSLRPSLRASATPMQKARFVARRKESVSVRQLQRPLIEYMRLPASQYSVLDAERIERVNDDTFRCYVYRFKFFNFEVCPVLLVKVEQQPDGCCIKLLSCKLEGSPMVAAQNDKFDALMVNRISCDSNADNDKSLMQQLTSDTIIEVSIEIPFPFLAIPKQAIESAGTQVLEQILRLMLPRFMSQ >Vigun07g042800.1.v1.2 pep primary_assembly:ASM411807v1:7:4250103:4259428:1 gene:Vigun07g042800.v1.2 transcript:Vigun07g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLFRSIPISFPSTKQCALKSLRPSLRASATPMQKARFVARRKESVSVRQLQRPLIEYMRLPASQYSVLDAERIERVNDDTFRCYVYRFKFFNFEVCPVLLVKVEQQPDGCCIKLLSCKLEGSPMVAAQNDKFDALMVNRISCDSNADNDKSLMQQLTSDTIIEVSIEIPFPFLAIPKQAIESAGTQVLEQILRLMLPRFMSQLVKDYQAWATGDTSRQPLGTGEI >Vigun01g130368.1.v1.2 pep primary_assembly:ASM411807v1:1:30802705:30803771:-1 gene:Vigun01g130368.v1.2 transcript:Vigun01g130368.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSSSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNKR >Vigun01g073000.1.v1.2 pep primary_assembly:ASM411807v1:1:20264257:20272538:-1 gene:Vigun01g073000.v1.2 transcript:Vigun01g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKVNLPDDLFLSKTSDSLRDEASGGHGGEKGIAALLDDSKDQLSSDNSIPLSPQWLYSKPVDARTTANPVGVNSTDPILKDSWRLEGSQDKKDWRRAAPDVDISRRWREEERETSLLGRRDRRKEDRRLENTSTSENRSLPSDRWHESRGSGHDSRRENKWSSRWGPEDKEKDSRSEKRNDVEKEDGHTEKQSSVGGNRIGSDRDTDSRDKWRPRHRLEAQAAGVATYRAAPGFGLEKGRIEGSNVRFSPGRGRANLNGNLQIVRSPIGSSLGSALVDRNKTILGKSSLGADLYYYPRGKLLDIYRKQKVDPNFDSLPSEMEYTSPFTEPGAVEPLAFVAPGAEEEVVLKEIWKGKITSSEVAGYSFRGRDGGSNDDISGPVIVSEGKQQPSIGSSAKVISGSDISDDSDQILISSASTAGGLLRNIVGEVATVQDGKQKQMPAIGVHGRDESSVSRIGDGSIPGNKVVESATFDLHQGQSLAFLEHANRNGVDSIGGSEINSSLPDDSRNLFDFSSLQQTPNINQQDFKVNEKTYVPESVIALEELSLCYLDPQGEIQGPFLGIDIILWFEQGFFGMDLPVRLSDAPEGSPFHELGDIMPHLKVKSGLGSGSNRVIQSEPSDAIGRNLKVDVHNFDYDGSSASDDQPWSSSRPDTSSSVGIPSQIPNQSYHSEVKFSDDQGFGNIVAHDEDISLSKLAGSINDKPLMRPMDVNALHSHPTGKPVANEVAVSDSHHEADKLHPFGLLMSELRDGSHLRRAQSSNSSMRLGDQGHFLDPLMDRDAPFTDQQSCISGMVNQPSFREKWGDKYGTIRHFQEDQFLSHMGQIQKERLQQQSNISNHFPAHLNGSELERFPGFALSQNMNSNLQQMMQNSGSDFERILEHQIQQYQLELQQQQDMHHQQLLHQQMKLQPQQQSQVQQLLHEQFMQQPIPDPNFGQSKHDISRDNLLDQVQMRRYINDLHLNSHSLRHLDPSMEHIIQANMGLNAAQGRQADLSDLLLQARHGNILPSEQQLHFQQDQLQAQQLSLALRQQLGLDGERHFGRSWPINETGQLIRNPGTHQLGLSAGFNVSDIHKQQQRLVTQEEQLNYLGRNLPEQNQRGFYDNPMMFERSAPISQGRELHDRRRYLHRGDQMDSLSSHHLQPTDDLFGHHPDAFKGSLHVNNGHVENSWIDPRVQLQQHLEAVRQRRELGDTVSSTDLNMSGSVGSHEDSSARGFMDLLHKKLGVQSAQPSAVDKWHPLSSRSDKSWHVPEASSIMHPFELQPDHQQVHLNDPFLERAQSTNSNALIHDHLSSMHISDQYNNLGNTERMPLRSRSGSLLEEQPVLSANMDPLHPNYRIPFQIGKSSMEKDLLELEANKGQRHEYMGTINNLVPGMSDMSEQVENIMNSMELPAIAHSRHSSLSSAGGDGSFGREIGLNNSRDEVSGDRIPPSTKGFDNTFHKRPHVSRVLSSPDVQSDQPSAPSVNQNNLINLTSSEGRREPSANSSMSSMTDAQAAGKKEVRFRSSSFSEGAVSETSFMDMLKKPVLPEVVVESHAGSGIGSESSDAAQAARGGKKKGKKGKQIDPSLLGFKVSSNRIMMGEIQRPED >Vigun07g025900.1.v1.2 pep primary_assembly:ASM411807v1:7:2315730:2320375:-1 gene:Vigun07g025900.v1.2 transcript:Vigun07g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKRGRPELAATLNGAVKKTKQDLESSSGGVGSKSKPCTKFFSTSGCPFGESCHFLHFVPGGYNVVAHMMNLKPAAPPSRAVAAPPPIPNGSTPSAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKPIAPSFDDHRPLGPPSAGRMAGRIEPPPLAGSFGAISTAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHETDPNLRNIELEGTFEQIKEASNMVKDLLLTVSMSAPPKSTHGVPGAPAPPGSNFKTKLCENFAKGSCTFGDRCHFAHGAAELRKQGV >Vigun07g025900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2315730:2320375:-1 gene:Vigun07g025900.v1.2 transcript:Vigun07g025900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLKPAAPPSRAVAAPPPIPNGSTPSAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKPIAPSFDDHRPLGPPSAGRMAGRIEPPPLAGSFGAISTAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHETDPNLRNIELEGTFEQIKEASNMVKDLLLTVSMSAPPKSTHGVPGAPAPPGSNFKTKLCENFAKGSCTFGDRCHFAHGAAELRKQGV >Vigun03g093900.2.v1.2 pep primary_assembly:ASM411807v1:3:7937666:7942050:-1 gene:Vigun03g093900.v1.2 transcript:Vigun03g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVVEGNVKWMQEERAEVVDGKVDWKGRKALKHKHGGIKVSLLILGAIAMENMATLSLAVNFVSYFNGIMHYELADAANMVTNYMGVSYILSIVVAVLADTWIGRYKSVVISGFFESLAHMRRLKPDICNVYVKNSDCEKLSGKKEAFLFISLYLLAFGSSGLKASLPSHGADQFDERDPKESMQMSSFFNSLLLAVCIGGAVSLTFNVYIQDHNGWDWGFGISTVAILVATVIFALGLPLYRIHLAHTKNGIIQIIQVYIAAIRNRNLPLPEDPMELYEIEQDKEAAVEVEYQPHRDIFRFLDKAAIQRKSDVQDEKQDASNPWKLCRVTQVENAKIILSMLPIFCCSIIMTLCLAQLQTFSVHQGSTMDTSITKHFNIPPASLPIIPVAFLIIIVPFYDRICVPFLRNLTGIPTGITHLQRIGVGLILSSISMAIAAIIEVKRKGVARDHHMLDALPVKQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKSTSTCFLWCSMAIGYFLSSMLVKIVNTVTKNITASGGWLAGNNINRNHLNLFYLFLSILSFINFSVYLLVSKRYKYRPQHPVVVVTSGNSED >Vigun03g093900.1.v1.2 pep primary_assembly:ASM411807v1:3:7937666:7942050:-1 gene:Vigun03g093900.v1.2 transcript:Vigun03g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVVEGNVKWMQEERAEVVDGKVDWKGRKALKHKHGGIKVSLLILGAIAMENMATLSLAVNFVSYFNGIMHYELADAANMVTNYMGVSYILSIVVAVLADTWIGRYKSVVISGFFESLGLSLLTIQAHMRRLKPDICNVYVKNSDCEKLSGKKEAFLFISLYLLAFGSSGLKASLPSHGADQFDERDPKESMQMSSFFNSLLLAVCIGGAVSLTFNVYIQDHNGWDWGFGISTVAILVATVIFALGLPLYRIHLAHTKNGIIQIIQVYIAAIRNRNLPLPEDPMELYEIEQDKEAAVEVEYQPHRDIFRFLDKAAIQRKSDVQDEKQDASNPWKLCRVTQVENAKIILSMLPIFCCSIIMTLCLAQLQTFSVHQGSTMDTSITKHFNIPPASLPIIPVAFLIIIVPFYDRICVPFLRNLTGIPTGITHLQRIGVGLILSSISMAIAAIIEVKRKGVARDHHMLDALPVKQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKSTSTCFLWCSMAIGYFLSSMLVKIVNTVTKNITASGGWLAGNNINRNHLNLFYLFLSILSFINFSVYLLVSKRYKYRPQHPVVVVTSGNSED >Vigun09g139300.1.v1.2 pep primary_assembly:ASM411807v1:9:30095031:30098182:-1 gene:Vigun09g139300.v1.2 transcript:Vigun09g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPPCTEALIEAKVKKVVVGMVDPNPIVAFKGVERLRGAGIEVVVGVEEELCKSLNEPYIHRMLTGKPFLTLRYSLSINGNFLNLLGNGAADCGGYYSRLLQKYDAMVMSSSFLTENFKVPASQEPGANQPIRIVIHKDPSSLNQILSSINDITSKIIIFTENKVGTAPEVAQQGIETVVLDEINLDVILDYCNHQGLCSVLLDIRGSFSEFEVLVMEAIENNYINKFITEILPVWNKRTEPHPLQTLKSLEQGMKVLNLKSKASDQSVVIEGYFKSE >Vigun09g190650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36542896:36543069:-1 gene:Vigun09g190650.v1.2 transcript:Vigun09g190650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKKNILQQTPFPSYHLIIILDINLFNNWIRYHTISLGFIFLTIDNISGAVWANEA >Vigun01g213500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38752114:38754263:1 gene:Vigun01g213500.v1.2 transcript:Vigun01g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSWTRRNVFRRAKKEKGQIQGGGCGGELEVEVVIPNHFRCPVSLELMTDPVTLSTGITYDRVSIEKWIEGGNKTCPVTNQVLTNFDNIPNHAIRRMIQDWCVENSSYGIERIPTPRIPLSTYEVSQTCTRILSACRRGDDKKCQELVLKIKDWGRDERNRRCIIGNRARTDAGNAADAVLAHAFDCFSSGDSFDKHVVVLEEILEVLTWMIPLGEEGVSKLSSKASLNCLVWFLEGKDLASRQSAALLLRQVYVQELAKVGKVAEALVKMIREPTGSASTKACLATIFKLVSSAENRGEIAQRFVELDLVSLLVEAIVDGDKGVCEKALGVLDCVCDIQMGKEVVMNNALTLPLVVKKLLRVSPLASSFVVSILKKIFDKKEEGALIEAVQLGTFQKLLVLLQVGCDDSTKENATELLKLLNGYRNKATCTDSSSDFKYLKKSF >VigunL015750.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:846796:857818:1 gene:VigunL015750.v1.2 transcript:VigunL015750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWLKRPTHNLRIRSILIETRTHREENRFMNGIKYVVFIDKSIWLLGKNQYTFNVKSGSTRTEIKHWVELFFDVKKSTTYYGTYNALKTYDHYASTGMAIHLYKTSTPSTRNGTIDSQVKSNPRNHLIYGQHRCGKGHNARGIITAGHRGGGHKRLYRAIIGDSIVSGTKVPIKMGNALPLKESTSTDTPLGTTIHNIEITLGKGGQLVRATATLKLPSGEVRLISKNCSTIVCWYRAAESGSKCWLGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun06g175800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29605832:29606140:-1 gene:Vigun06g175800.v1.2 transcript:Vigun06g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKLASQKAVVIFSKSSCGMSHAIKRLFYEQGVGPAIYELDEDTRGKEMEWALMRLGCNPSVPAVFVGGTFVGSANTVMTLHLNGSLKKMLRDAGALWL >Vigun11g012900.1.v1.2 pep primary_assembly:ASM411807v1:11:1525279:1530429:-1 gene:Vigun11g012900.v1.2 transcript:Vigun11g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGSATTNTSAGVEWHVRPPNPKNPIVFFDVTIGNIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCVSIYGLKLDDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >Vigun04g115000.1.v1.2 pep primary_assembly:ASM411807v1:4:28790313:28790746:1 gene:Vigun04g115000.v1.2 transcript:Vigun04g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNSFYVAVVFIISFNLLIENLVASENSEACKTPSSRVNHAPAPTPLSPYGAYLSNCVNKLKPKCGEQIFFRLVKDMGQTCHTDVTRYAADLPEHKNNKREILNRCDKVWKHCSCLVSHHSSKFDSV >Vigun04g072500.1.v1.2 pep primary_assembly:ASM411807v1:4:8454180:8458609:1 gene:Vigun04g072500.v1.2 transcript:Vigun04g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSIPCNTGSFVIVTPSMAQPTYFGVCGGLGTRLSVLTSLGFNQCYKFPHEFVWAKKLRYCDGRSRVPSLRVPYCCKTPHGVSSSNKIEPFVSRSKGERKTHYGKGEGNRLKKRFSLRLRPRLRLLAMRMKRASIKSILNELEVLIRKNIRAVAFSASVSVVFSLCFLFLKLTALPPPKSVPYSDLITSLQNGYVEKVLVEEGSRRIYYNMKSQNVENDRVSGEESQVVDVATDVDVDKIGSEGASRAGQTPVVNVIKKLSKTRASIPEWQYSTRKIDHDEKFLVSLMREKGVTYSSSPQSVLMSMRSTLITVITLWIPLIPLMWLLYRQLSAANSPARKQRPNSQTVGFDDVEGVDSAKVELMEIVSCLQGDINYRKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVELFVGRGAARIRDLFNAARKFAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESEMRVVVIAATNRPEALDPALCRPGRFSRKVYVGEPDEEGRRKILGVHLRGVPLEEDTNIICHLIASLSTGFVGADLANIVNESALLAARRGSETVAREDIMEAIERAKFGINDKQLRSSKIRKELTKLFPWMPSLMGKNERRQDDLQGPLGYQSLS >Vigun08g007250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:625745:625951:1 gene:Vigun08g007250.v1.2 transcript:Vigun08g007250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFNGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRVVGVTHYLLGGIATTWAFFLARIIAVG >Vigun09g217800.1.v1.2 pep primary_assembly:ASM411807v1:9:39138591:39146619:1 gene:Vigun09g217800.v1.2 transcript:Vigun09g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLSRLIHSTPSISRLNTTAVSVSGRNLCTDSNKVDEPFKVEEAETVNVPPPPTEKLLVLGGNGFVGSHICREALDRGLSVASLSRSGRSSLHDSWAGNVTWYKGNILSTDSLKDALNGVTAVISCVGGFGSNSYMYKINGTANINAIRAASDQGVKRFVYISAADFGVVNYLLQGYYQGKKAAETELLSKFPYGGVILRPGFIYGTRSVGSMKLPLGVIGSPLETVLQVAKPLNQIPLIGPLLTPPVNVTAVAKVAVKAATDPVFPPGIIDVYGIQRYSQHKSN >Vigun08g123600.1.v1.2 pep primary_assembly:ASM411807v1:8:29368815:29370844:1 gene:Vigun08g123600.v1.2 transcript:Vigun08g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNCSPCASCKLLRRRCSQNCLFAPYFPSNDLHNFAMVHRVFGCSNLTKMLKDVPVEQRGDAVKSLVYEANARVRNPVYGCVGIISNLEIQVSELQKQLAIAHAEIRTMKMQQEFMFINGGISP >Vigun09g245700.1.v1.2 pep primary_assembly:ASM411807v1:9:41430326:41432852:1 gene:Vigun09g245700.v1.2 transcript:Vigun09g245700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLLHNLFLIPYFLKHTTTMSDKVNGLPEDQAWQELKLPELLNTDAVREIHATIEKEWDFLQRSACQTAAGRALWKHAIHDPLADLLAGETYLRNLHEKIKNDRLNNAREISGVILAVRTLWFDSRLEDALNSPSGRAAQVVLLGAGMDTRAYRLSCLKDSDVYEVDFSGVLDVKTTILQAAADSAYDSQHIMSKAKSLTRVAADIRENDWMEKLETAGYIPQKSTVWILEGIIYYLTQSQAMQVLKILANKCASAHTVLLADFMNKPSTTLSNSVFQFYSDWPDHLLPSIGFTNVKLSQIGDPDAHFGLLNDPLNLFNRLRSLPRSLQTNPDDGKPCCRLYLVEASGSPDQNVSHNGPNTQA >Vigun08g155300.1.v1.2 pep primary_assembly:ASM411807v1:8:32767578:32770599:-1 gene:Vigun08g155300.v1.2 transcript:Vigun08g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNLAREDGLQTVLEVPIPEEIFTIKSGTSRAWHNMKSWMKPNVESSTSSSLYGDQNTDMQLLLGVVGAPLIPLPITSHNQPITIKSHNIEASMAKYIVKQYVAAVGGERALNWVESMYAMGEVRVGATEFSGGEECASKKKMVKVKKMQKKGEVGGFVVWQKKPELWCLELVVSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRLLQGLDPRSTANLFNNSICIGEKTVNDEECFILKLEADSNSLQTRSSSNVEIIRHTVWGYFSQRTGLLVQLEDSHLLKLKTTASDSIFWETNMESLIQDYKFVDGINIAHAGKTWVTLSRFGEGPEGHSKTRVKEVWKIEEVDFNIKGLSIDCFLPPSDLKKEEEKVVEECRVPASNNTKLPYKIQSASFKISVSKVAAVNVDDSSGSESDDDDDEEEDM >Vigun10g030500.1.v1.2 pep primary_assembly:ASM411807v1:10:3894739:3908808:-1 gene:Vigun10g030500.v1.2 transcript:Vigun10g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIQNRVEPWIKDQREKLLGLKDKVSWGPLQWRMKWPWAYHREHKKRIQEEYHRLTNLCRALKADSVSDLQDLLCCMVLSECVYKRPAAEMIRAVNKFKADFGGQVVALERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVIADANILQGAIFHDDALEESEKNASTESDNDESHNGKEYMWNPLQSKSKKPKKKYKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSSSSSKENEKVSIKCITFSQPPVGNAALKDYVNRKGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQTQPGPSENETNSSILRKHKQGSGKLNAEQLVLGVGPVQRSFWRLSRLVPLEGLRRQFSTGRERQISSNERNSVSDSLANTLNEEEVVAPQLLEIQEGSDGISLKPLPEADKHSLEVPINGKTDTTNNVMTGDEKKWRRVPYLPSYVPFGQLYLLGNSAVESLSGAEYSKLTSVRSVITELRERFQSHSMKSYRSRFQRIYDLYMSVDSSSFSGIDQFPHLKQWLGFTAAGTVELGHIVESPVIRTATSIVPLGWNDGPGTKNGEPLKVDIAGYGLHLCTLVHAQLNGNWCSTMVESFPSPPNYASDQGIQPEIQRLRILVGPPLRSPPKHQTVLDSLMPAFSSVDSETASSSAPVDKDKFIRPESLNNFVIFCTSDFTTVSKEVHVRTRRVQLIGLEGAGKTTLLRAVLNKCKPNTAANDDAVSEEVIADGLCYCDSSGINMQELNVETSRFRDKLWLGIRDLSQKTDLIVFVHNLSHSIPRCCNSNDNQQRPVLSLFLDEAKTLGIPWVLAITNKFAVSAHHQKAAIEAALTAYQASPGSAEVINSCPYVMPGFVGASISLDAINSNSTKGVGAEKFIFAPINFIRKPFLKREIVFPVEGVNSLCQQIHQILRSCEDSSFQEFARDRLTMELAREQAMSIEARRDARAKANSLNSAAVGASVGAGLGLVLAIVMGAASALRKP >Vigun07g229150.2.v1.2 pep primary_assembly:ASM411807v1:7:35085518:35090368:-1 gene:Vigun07g229150.v1.2 transcript:Vigun07g229150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGNELGILHEVGVDVGPQMSNNLDLNVEQNYCSPNIAHASDNQSCPPSKNVLSTNTVLGIGTEFESDDHAYRFYNKYARLVGFNVRKDWINRSKVHGQVVSRKFTCSKEGYRRKDKRDVNVKKHRKETRTGCLAHMIVTRQPNGKYQVTHFEVQHNHDNVNPNNANVVNLLNEFSVAHAVEAEPNNSLGPKSKSALDMLNKKISARESLDILSVNYDNYLHCARERDMREGEAGHLLGYFQRQHFENPTFFYAIQLDVDDKVSNIFWADDSMVVDYDHFGDVICLDTICKTNEDFRPFIQFLGVNHHRQVLIFAAAFLYDDSIESFTWLFRTFINAMSGKKPKAILTEQEAVIIEAVNTVLPDTDHCTCVWQLYENTLKHLNHVVKDAESFANDLRSSIYDPKDEEEFTHSWETMLEKYNLQQNEWLRWMYREREKWAVVFGRNTFFVDIKGFHLAEILSHKFRSYLNPDLDVFQFFKHFEMVVGEQRYKEIEASDVMSRSLPRLMGNVVLLKHASNIYTPRAFEVFQRAYEKSLNVIVNQHSRNGSLFQYKANTFGHNRQYSVTFNSSDDTVVCSCMKFDRVGFLCDHALKVLDQRNIKVVPPQYILQRWTEDARMGNRREIKQCKMQDSPKRVVASCYKDLCHRLLKLSTRASESEEAYQFVMRQLDEVMVGVEKILTPKAEGEVVTSLNIDADASESEPAKIFPNDHVIEDQDESTSANSGKDRRATSGRGHLTTVTCSGADSDRILNVEESPQNTDICISRPPSTYVSSQPAAPNPILQGLYSFEGNQVVHCMCEQTNLMVDSQSNSTMMQPQDIFSNPQDSPGQSQLLQEPIIQSTYLESMPSNNQMRQGMDLDIQNPHSSSFLLYDHRYRSSESA >Vigun07g229150.1.v1.2 pep primary_assembly:ASM411807v1:7:35085518:35090394:-1 gene:Vigun07g229150.v1.2 transcript:Vigun07g229150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGNELGILHEVGVDVGPQMSNNLDLNVEQNYCSPNIAHASDNQSCPPSKNVLSTNTVLGIGTEFESDDHAYRFYNKYARLVGFNVRKDWINRSKVHGQVVSRKFTCSKEGYRRKDKRDVNVKKHRKETRTGCLAHMIVTRQPNGKYQVTHFEVQHNHDNVNPNNANVVNLLNEFSVAHAVEAEPNNSLGPKSKSALDMLNKKISARESLDILSVNYDNYLHCARERDMREGEAGHLLGYFQRQHFENPTFFYAIQLDVDDKVSNIFWADDSMVVDYDHFGDVICLDTICKTNEDFRPFIQFLGVNHHRQVLIFAAAFLYDDSIESFTWLFRTFINAMSGKKPKAILTEQEAVIIEAVNTVLPDTDHCTCVWQLYENTLKHLNHVVKDAESFANDLRSSIYDPKDEEEFTHSWETMLEKYNLQQNEWLRWMYREREKWAVVFGRNTFFVDIKGFHLAEILSHKFRSYLNPDLDVFQFFKHFEMVVGEQRYKEIEASDVMSRSLPRLMGNVVLLKHASNIYTPRAFEVFQRAYEKSLNVIVNQHSRNGSLFQYKANTFGHNRQYSVTFNSSDDTVVCSCMKFDRVGFLCDHALKVLDQRNIKVVPPQYILQRWTEDARMGNRREIKQCKMQDSPKRVVASCYKDLCHRLLKLSTRASESEEAYQFVMRQLDEVMVGVEKILTPKAEGEVVTSLNIDADASESEPAKIFPNDHVIEDQDESTSANSGKDRRATSGRGHLTTVTCSGADSDRILNVEESPQNTDICISRPPSTYVSSQPAAPNPILQGLYSFEGNQVVHCMCEQTNLMVDSQSNSTMMQPQDIFSNPQDSPGQSQLLQEPIIQSTYLESMPSNNQMRQGMDLDIQNPHSSSFLLYDHRYRSSESA >Vigun03g447200.1.v1.2 pep primary_assembly:ASM411807v1:3:64850986:64853143:-1 gene:Vigun03g447200.v1.2 transcript:Vigun03g447200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSEGCDEIYEWTLTESDTFILQLNQTMNYTRSKHPICSGDVPSATSPVNFRRSTPKIHLSARKLATRLWHFRFFHRCSSHKLQSSPPPPPPRVKRTEEEAAQGDSRKLLRDAKLNGGSVVSVLLSELLRAQTCINNLKSERKSCKKKLEEEKFLWKRRELKKNEAMLEDLRDKLARERRSKERMESLNAKLVHELAEAKLYAEQFMINYKEERRKREIIEEVCNELAMQIGEDRAKLEGIREEMEEERKMFHMAEMWREESIQMKLLDAKLALEDKYTQMIHLIAHLQSFLRSRGHELGAPESPNVELSCDLTNSNHVLHTLNEIKVKERVIGVEPCFLTTLTAPSSTVHIVSLDEDHCLDNNLHNVDQNVNGSVSEAECCENSQDGCCKHSDSEDPTLGECEGRLATRSVKNRGFRQWEILGPRNFADSMNPHITRGMKGCIEWPRGMPKINSRIIPVEERVRKEKSQLQHLRKPLA >Vigun02g087700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24258092:24258937:-1 gene:Vigun02g087700.v1.2 transcript:Vigun02g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNSKSLSPLSILLINFLVPFLLLQHHSAQQPSPMSAYETVSFGFSIFEEDNINIGLLGNASISGGVLRLTNTDQLGNPVPHSVGRAVHITPIHLWDKNNGNLADFTSGFSFVVNPNGSTLHADGFAFFLAPADLHLPRNSSGGYLGLFNPETALDASKNQIVAIEFDSYTNDWDPNAPSQSPHIGIDVDSIKSVASVEWPSELEPDNAVAHASINYNSESKRLSVFVVYPGDNRNATVSTIVDLRNFLPEWIRVGFSAATGDLVETHDVLTWGFEAAL >Vigun04g183600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40834215:40838527:1 gene:Vigun04g183600.v1.2 transcript:Vigun04g183600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPRLLVLDHTHLKMAWVKHQIQQKDGYLSYSPPLLSSSSSPPYPPGAAFHKDSPPSTATTATTASSGTRISPAVLFIIVVLAVLFFISGVLHLLVRFLIKYPSSSASAQSNRHPEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLLTQGFSIENPIFDFDDLREDEGNGESGFSSTRQKTVVAEESVEKGVFPVRLGKFKKLSVEGGEREVGETSSSNLDARRCYSMGSYQYVVGNSELRVALNHEIDKGQNTRLLTKGMDHDDGKFSVEGDVEAKKISSVSKGDSFSVSKIWLWPKKGKLPSSLDAQMAMPVPSFLNTDFQRRRETEGV >Vigun04g183600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40834212:40838527:1 gene:Vigun04g183600.v1.2 transcript:Vigun04g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPRLLVLDHTHLKMAWVKHQIQQKDGYLSYSPPLLSSSSSPPYPPGAAFHKDSPPSTATTATTASSGTRISPAVLFIIVVLAVLFFISGVLHLLVRFLIKYPSSSASAQSNRHPEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLLTQGFSIENPIFDFDDLREDEGNGESGFSSTRQKTVVAEESVEKGVFPVRLGKFKKLSVEGGEREVGETSSSNLDARRCYSMGSYQYVVGNSELRVALNHEIDKGQNTRLLTKGMDHDDGKFSVEGDVEAKKISSVSKGDSFSVSKIWLWPKKGKLPSSLDAQMAMPVPSFLNTDFQRRRETEGV >Vigun11g020300.1.v1.2 pep primary_assembly:ASM411807v1:11:2529800:2538216:1 gene:Vigun11g020300.v1.2 transcript:Vigun11g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSWLTSNPNDNRLSILSIVGMGGMGKTTLAQHIFNDPKIKEARFDEKVWVWVSDDFDVLKVSKAIIRAFTKSKDDSEDLEMVHGKLKEKLTGRKFLLVLDDVWNEDRDQWKSLQTPLKYGAKGSKILVTTRSSKVASTMESNTIHQLKQLRENHSWKVFAKHAVQDDNSKLNSKMKEIGMKIVEKCKGLPLALETVGSLLHSKSTVSDWEGVLRSNIWYSKIIPTLLLSYYHLPSHLKRCFAYCALFPKNYEFEKESLILLWMAQNFLHCSQQSKSHEVGEQYFNDLLSRSFFQQSIISDETRFVMHDFVNDLAKYVSGEVCFRLGVDKAERVPKTTRHFSTMEDPFEYREFRSLSDAKGLRTFLTIHGYCRMSIQDLISNFKFLRVLSLSFCRNIEEVPDTVDNLIHLRLLDLSGTKIERLPDTTCSLCNLKVLKLNNCLYLGELPSTLHELTNLRLLELMGTTLRKVPLLLGELKNLQLWMGSFEVGNNVSEFNIQQLEELDLHGELSIKNIENIVNPCDALAADLKNKTHLVGLHLERDLKRNIDDSIKEREVLENLQPSKHLEKLKIDGYCGTEFPNWLSDNSLSNVVSLVLMRCKHCLWLPSLGLFTFLKDLTIDGLDSIGRIDADFYGNSGSVFACLETLSFTDMKEWEEWHCIPGAFPNLQRLTVKNCPKLKGHLPEQLSHLMELTIKHCDQLVGWIPRAVEIEDVKMEPSSVDMIGSGLSDTPLEYLIITSCPSINIPINHCYHFLVELEIRHGCDSLKTFPLDLFPKLRKLILEECCNLQVIPQEHPHSHLKLLEIIKCSEFESFSNEGLFAPQLESFRIVGLEKLKSMPKRMSSLLPSLNNLFIFDCAEVEFSEGCLPSNLNVMFLLNCFKLVASLKGAWGTNPSLKSLYIHKVDLECFPDEGLLPPSLTKLSIDNCPNLKKLDYRGLCHLSSLQRLSLYNCPILQCLPEEGLPESISELEIKDCPLLK >Vigun09g073600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8166691:8170074:-1 gene:Vigun09g073600.v1.2 transcript:Vigun09g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDFHHHHHHHQHQHRQNFPFQLLEKKEDQDPSSCSTSSPYPSLAISAEPSTSNSTRSTTLLPTPSEASPKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISHRSSGSSMSVPSQLRSNYFNSNFSLQPRRSLFLDNTNTSTTLLNFQSNNPISTSIFQPKHELRDSSSLDLSSENNEEGLGRKRRPLEQDLSSTMQHHVGSYLMQSSSSGTIPASHAQIPANIWMVANSNSQGVSGEAPLWTFPGVSNSALYRGNMSSGLHFMNFPTPMALLPGQQLGSSGIGGNINEGHLSMLSGLNPYRTVIGVSESQASESQSHHGGSEDRHDDN >Vigun11g127400.1.v1.2 pep primary_assembly:ASM411807v1:11:33460268:33462715:-1 gene:Vigun11g127400.v1.2 transcript:Vigun11g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGAKVLLIGFLGMFCQDTQLPPPKPNDSADGVQVSPKVRLRDGRYLAYREKGVPKDQAKHSIIIVHGFGSSKDMNFLAPQELIDELGIYILQYDRAGYGESDPNPKRSLKSEALDIEDLADQLQIGSKFYVIGVSMGSYATWSCLNYIPNRLAGVAMIAPVINYQWPSFPESLIKKDYRRKLIKCAMLLAKYFPRLLLWWVTHKWLPSNSVIEKNPAFFNKRDIDILETIPGFPMLSKEKLREQVVFDTLRDDWLVAFGDWEFDPLKLSNPFPENTGSAHIWQGYEDKVVPSQIQRFVTEKLPWIQYHEVPDGGHLIVHYSGVCEAILKALLLGQQNPSYRPRSGVFVS >Vigun11g127400.4.v1.2 pep primary_assembly:ASM411807v1:11:33460256:33462715:-1 gene:Vigun11g127400.v1.2 transcript:Vigun11g127400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLAPQELIDELGIYILQYDRAGYGESDPNPKRSLKSEALDIEDLADQLQIGSKFYVIGVSMGSYATWSCLNYIPNRLAGVAMIAPVINYQWPSFPESLIKKDYRRKLIKCAMLLAKYFPRLLLWWVTHKWLPSNSVIEKNPAFFNKRDIDILETIPGFPMLSKEKLREQVVFDTLRDDWLVAFGDWEFDPLKLSNPFPENTGSAHIWQGYEDKVVPSQIQRFVTEKLPWIQYHEVPDGGHLIVHYSGVCEAILKALLLGQQNPSYRPRSGVFVS >Vigun11g127400.3.v1.2 pep primary_assembly:ASM411807v1:11:33460256:33462715:-1 gene:Vigun11g127400.v1.2 transcript:Vigun11g127400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVINYQWPSFPESLIKKDYRRKLIKCAMLLAKYFPRLLLWWVTHKWLPSNSVIEKNPAFFNKRDIDILETIPGFPMLSKEKLREQVVFDTLRDDWLVAFGDWEFDPLKLSNPFPENTGSAHIWQGYEDKVVPSQIQRFVTEKLPWIQYHEVPDGGHLIVHYSGVCEAILKALLLGQQNPSYRPRSGVFVS >Vigun11g127400.2.v1.2 pep primary_assembly:ASM411807v1:11:33460256:33462715:-1 gene:Vigun11g127400.v1.2 transcript:Vigun11g127400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVINYQWPSFPESLIKKDYRRKLIKCAMLLAKYFPRLLLWWVTHKWLPSNSVIEKNPAFFNKRDIDILETIPGFPMLSKEKLREQVVFDTLRDDWLVAFGDWEFDPLKLSNPFPENTGSAHIWQGYEDKVVPSQIQRFVTEKLPWIQYHEVPDGGHLIVHYSGVCEAILKALLLGQQNPSYRPRSGVFVS >Vigun10g055100.1.v1.2 pep primary_assembly:ASM411807v1:10:9382258:9382964:-1 gene:Vigun10g055100.v1.2 transcript:Vigun10g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAYTVLWGGGVYDWFEERLEIQAIADDITSKYVSPHVNIFYCLGRITLTCFLVQVATGFAMTFYYRLTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELNWVTSLVLAILTASFGVTGYSLPWDQIGYWAVKIVIGVLEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLIVVFMLMHFSMIRKQGISGPLL >Vigun11g188900.1.v1.2 pep primary_assembly:ASM411807v1:11:38869712:38872448:-1 gene:Vigun11g188900.v1.2 transcript:Vigun11g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPLSCLTLIVLLFFTPPEVLGATFTFVNRCDYTVWPGILANAGSPPLKSTGFELPKDTSRTFQASTGWSGRFWARTGCTFDGSGSGSCLTGDCGSGQVECNGAGAAPPATLAEFTLGTGGQDFYDVSLVDGYNLPMIVEGTGGSGLCATTGCTSDLNQQCPAELRASEGSACKSACEAFGSPEYCCSGAYGSPATCRPSIYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTTNPMPSTSSSSQGSGTVTGTEAGTGTGTGMGSEGGAVVYSGADNGVVYNSVTDSGSSTGSGGETMLADGSYLAGLAMGEASPRRTVSMAFVSSASFLLIFTFLL >Vigun02g065100.1.v1.2 pep primary_assembly:ASM411807v1:2:21381520:21385966:-1 gene:Vigun02g065100.v1.2 transcript:Vigun02g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAENGKVVEPWDVCKSKGRKKKKGNEEVEGAGSGCWFRLRFMGSCISSRSKVDTSVSGSGTSTHYAESKSTNDTSRDQPTAPAVSSTTTSIAESNSSTSKLEEELKIASRLRKFSFNDLKLATRNFRPESFLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEADRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSVKGAQKAAQLAAHCLCRDPKARPLMSEVVEALKPLPNLKDMASSSYYYQTMQADRIAASPNTRNGRTQGPLLTRNGHQQRSLSIPNGAYASPYHHQFPQPSPKTNGKA >Vigun03g007000.1.v1.2 pep primary_assembly:ASM411807v1:3:471955:484317:-1 gene:Vigun03g007000.v1.2 transcript:Vigun03g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSASVCAVQPLSAVTTMPMAYSGVFQGFIRFKTMSRLRCTPLRPYFSSISTTPLHRTAIRLRSSSNALQVVNVTRPRTVARQGTVTLPFWHQRSSSYGRFAYQDVSSDDSDVEFASYPSHSQQVGDSTLENIDEWRWKLTMLMRSKDEQEVVSREKKDRRDFEQLSTLATRMGLYSRQYARVVVFSKAPLPNYRPDLDDKRPQREVVLPFDVHKEVDARLHAHLSQKATASKWSYLNDSLHKSSDAISIPANEGMHEQPEPLTHNSVVKEKILQRRSLQLRHRQQDWQESHEGQKMLEFRRSLPAFKEKDAFLRLISENQVVVVSGETGCGKTTQLPQYILESETEAARGAVCNIICTQPRRISAMSVSERVAAERGEKLGESVGYKVRLEGLKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKEILPHRPDLRLVLMSATLNAELFSSYFNGAPTMHIPGFTFPVRAHFLEDILERTRHRLTPYNQIDDYGQEKTWKMQKQAQAFRKRKSQIASAVEDALEVADFKGYSLHTQDSLSCWCPDSIGFNLIEHVLCHIVKNERPGAVLVFMTGWDDINSLKDQLQGHPLLGDPSRVLLLACHGSMASSEQRLIFENPEGGVRKIVLATNMAETSITINDVVFVVDSGKAKETSYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKTLQLGSISEFLSKALQPPEPLSVENAVEYLKIIGALDGNENLTVLGHKLAMLPVEPKLGKMLILGTIFKCLDPIMTVVAGLSVRDPFVTPSDKKDLAESAKAQFAARDYSDHLALVRAYEGWKDAETQQAGYEYCWRNFLSSQTLKAIESLRKQFLYLLKDIGLVNDKPETYNAWSHEMHLIRAVICAGLFPGISSVMNKDKSIALKTMEDGQVLLYSSSVNGCVPRIPYPWLVFNEKVKVNSVFLRDSTGISDSVLLLFGGNVSRGGLDGHLKMLGGYLEFFMKPELAKTYLSLKMELEELIQKKLLDPKQETQSHSQLLSAVRLLVSEDHCDGRFVFGRQVLSQVKKETNSKSGVEAENFKNQLQSFLNRAGHDSPTYKTTQLKNSQFRSTVIFNGLNFVGKPCGSKKLAEKSAAAEALLWLKGDSHSSDGIDHASVLLKKSNKKSRKKSFSGAKWS >Vigun01g003700.1.v1.2 pep primary_assembly:ASM411807v1:1:414486:422638:1 gene:Vigun01g003700.v1.2 transcript:Vigun01g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASVLGQSGLEQASPLATGGIFSNGGANVNGWASRFQSERPSLLQSSPTPNWLSPQGSSSGLLVKKTVRVDIPVDTYPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQARDILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNSLGMKRAKTRG >Vigun01g003700.2.v1.2 pep primary_assembly:ASM411807v1:1:421040:422168:1 gene:Vigun01g003700.v1.2 transcript:Vigun01g003700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSLLQSSPTPNWLSPQGSSSGLLVKKTVRVDIPVDTYPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQARDILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNSLGMKRAKTRG >Vigun05g123700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13761562:13762765:-1 gene:Vigun05g123700.v1.2 transcript:Vigun05g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSPTVVAAPPPRPASQITVIPFPPPHPFDGAPSSIFQITVLPFPPPPPFADPPSSVDLSPFEFLLALLAVVTIPALIYTFIFAFGCPSHRRRRGDPSASESSVASEASHREIELASVDAGVKYRKEEHSKEIGGECPVCLSVFADGEEVRQLSACKHSFHASCINLWLSNHSNCPICRATIAVVAATKPNGDLHESHRERDESSMV >Vigun07g164900.3.v1.2 pep primary_assembly:ASM411807v1:7:27717576:27719637:-1 gene:Vigun07g164900.v1.2 transcript:Vigun07g164900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQCPQEMVVKPMEDIVVTNTCPKVAGGAVERKPRPQKEQALNCPRCHSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTFSSTPQNNSPDNNKNLPDLVVITPHSQNPKNNIHQGQDLNLGFPATTSDFRNVSELVQQNNNNSTNNNNNNNMSASSSSSTTSTTTATTTSHLSALELLTGITSTSTGLNSFMPVPVPADPNSAYTCGFPLQDFKPTLNFSLDHGYASLHNVQSGRLLFPFEDLKQVSTTTTMDQKQQGDSTGYWTGMFGGGS >Vigun07g164900.4.v1.2 pep primary_assembly:ASM411807v1:7:27717576:27719637:-1 gene:Vigun07g164900.v1.2 transcript:Vigun07g164900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQCPQMVVKPMEDIVVTNTCPKVAGGAVERKPRPQKEQALNCPRCHSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTFSSTPQNNSPDNNKNLPDLVVITPHSQNPKNNIHQGQDLNLGFPATTSDFRNVSELVQQNNNNSTNNNNNNNMSASSSSSTTSTTTATTTSHLSALELLTGITSTSTGLNSFMPVPVPADPNSAYTCGFPLQDFKPTLNFSLDHGYASLHNVQSGRLLFPFEDLKQVSTTTTMDQKQQGDSTGYWTGMFGGGS >Vigun07g164900.2.v1.2 pep primary_assembly:ASM411807v1:7:27717576:27719637:-1 gene:Vigun07g164900.v1.2 transcript:Vigun07g164900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQCPQEMVVKPMEDIVVTNTCPKVAGGAVERKPRPQKEQALNCPRCHSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTFSSTPQNNSPDNNKNLPDLVVITPHSQNPKNNIHQGQDLNLGFPATTSDFRNVSELVQQNNNNSTNNNNNNNMSASSSSSTTSTTTATTTSHLSALELLTGITSTSTGLNSFMPVPVPADPNSAYTCGFPLQDFKPTLNFSLDHGYASLHNVQSGRLLFPFEDLKQVSTTTTMDQKQQGDSTGYWTGMFGGGS >Vigun07g043800.2.v1.2 pep primary_assembly:ASM411807v1:7:4397044:4406372:-1 gene:Vigun07g043800.v1.2 transcript:Vigun07g043800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIETQQSERENPEFPNEVPSSTTTTNPTKPSKFPFYSPSPLQSWFKNSPANSNPSSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECEIGLDKSFGFAKQFSAHYELAEEVGRGHFGYTCSAKPKKGPLKGIDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDDENVYIVMELCKGGELLDRILSRGGKYPENDARVVMIQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSMLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARSESGIFRAVLKADPSFDEAPWPSLSADAKDFVKRLLNKDYRKRLTAAQALSHPWLVNHHDDMRIPLDMIIHKLVKAYICSSSLRKSALRALAKTLTVAQLAYLRDQFTLLGPNKSGLISMQNFKTAVLRSSTDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISMHQLEGMESWEQHARRAYELFEKEGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRAFQKA >Vigun07g043800.3.v1.2 pep primary_assembly:ASM411807v1:7:4397078:4406295:-1 gene:Vigun07g043800.v1.2 transcript:Vigun07g043800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIETQQSERENPEFPNEVPSSTTTTNPTKPSKFPFYSPSPLQSWFKNSPANSNPSSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECEIGLDKSFGFAKQFSAHYELAEEVGRGHFGYTCSAKPKKGPLKGIDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDDENVYIVMELCKGGELLDRILSRGGKYPENDARVVMIQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSMLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARSESGIFRAVLKADPSFDEAPWPSLSADAKDFVKRLLNKDYRKRLTAAQALSHPWLVNHHDDMRIPLDMIIHKLVKAYICSSSLRKSALRALAKTLTVAQLAYLRDQFTLLGPNKSGLISMQNFKTAVLRSSTDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISMHQLEGMESWEQHARRAYELFEKEGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRAFQKA >Vigun07g043800.1.v1.2 pep primary_assembly:ASM411807v1:7:4396933:4406367:-1 gene:Vigun07g043800.v1.2 transcript:Vigun07g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIETQQSERENPEFPNEVPSSTTTTNPTKPSKFPFYSPSPLQSWFKNSPANSNPSSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECEIGLDKSFGFAKQFSAHYELAEEVGRGHFGYTCSAKPKKGPLKGIDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDDENVYIVMELCKGGELLDRILSRGGKYPENDARVVMIQILSVVAFCHLQGVVHRDLKPENFLFTSKDENSMLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARSESGIFRAVLKADPSFDEAPWPSLSADAKDFVKRLLNKDYRKRLTAAQALSHPWLVNHHDDMRIPLDMIIHKLVKAYICSSSLRKSALRALAKTLTVAQLAYLRDQFTLLGPNKSGLISMQNFKTAVLRSSTDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISMHQLEGMESWEQHARRAYELFEKEGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRAFQKA >Vigun06g087000.2.v1.2 pep primary_assembly:ASM411807v1:6:21901865:21902651:1 gene:Vigun06g087000.v1.2 transcript:Vigun06g087000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSTHLLIKRQKRMAVTKVALVASMMACMLITCSYGQTFLTCNQIAILLYPCVPSAIFGVSVPSLCCQGIIALNAAYRSVEDRRRACQCIKDRAARINGINYDLINEIPGICGSRCPFTIYPSTNCSAVQ >Vigun11g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24566770:24568261:-1 gene:Vigun11g082600.v1.2 transcript:Vigun11g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKLQMHIAMYPWFGIGHISAYLHLANKLAKRGHKISFIVPKRTQSKVEHFNLYPKSITFIPITIPQVDGLPPHAETTFDATISLGTLIMTGMDRTEKDIELLLHQLKPNIILFDYTCWIPNLARSLGIKSVNYITLSMIFESYMECMEKQFKEQNIVPHELNLLNPPAGFPDPSIKLQAHEVRQLTRIVNSEFGSGVRYYERISTSTRLADAVACRSCREIEGPYADFLERSYGKPLLLSGPVIPEPHTSPLEEKWAEWLSGFKEGSVVYCAFGSECRLHQDQLKELLLGLELTGFPFLAALKAPVGFESIEAAMPKGFSERVRGRGIVYGSWVQQTLILDHPSIGCFITHCGVASLLEALVSKCQIVVLPNYIDQLLNAKMISSSIKAGVQVEKGEEDGFFTKESVCRAVKTVMDLESEIGREVRENHLKLRNLLLTKDLENSYFDSFCLKLQELAG >Vigun03g399100.1.v1.2 pep primary_assembly:ASM411807v1:3:60561115:60562693:1 gene:Vigun03g399100.v1.2 transcript:Vigun03g399100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTASEIAKKLNLQPHPEGGFYVETFRDHSVHLSTSQLPPEYKVDRPVSTSIYFLLPSGTLSRLHRIPCAETWHHYIGDPITVVELNEKDGSVKFTCLGSDLGENQMPQYTVAPNVWFGSFPTNDYTFSTDGVFKPAAPRDGERNYSLVGCTCAPAFQYQDFELAKPSYLLARFPHFEPLITALTFPE >Vigun02g087500.1.v1.2 pep primary_assembly:ASM411807v1:2:24228142:24235588:-1 gene:Vigun02g087500.v1.2 transcript:Vigun02g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETNIRKQVPFQWWFRMALLLSLIFFGACSATSQSVSFRKGLRTTAAAVKLNKNEDQVVIENGIVSLNLSNPGGYIIGLYYDGTQNILDQKNHQFDRGYFDVVWNEGGKSGIFERFSGTKFSVIEASDTVVEVSFLKTWSASMKGSSVPINIDLRYILRRGDSGFYTYAIFNRPPGLPAVTVYQIRTVYKLDEDRFHYMALSDTRQRKMPTAEDRKTGQALAYPEAVLLTHAADPQIRGEVDDKYQYSAENKDNSVHGWITEDDSAPVGFWLITPSNEFRNGGPVKQELTSHVGSTSLSMFTSTHYAGKKAITAFDEGETYTKVFGPIFVYLNSVPTKTQFKSLWSDAVTQLSNEEKKWPYDFIGSKDFFPPQQRGKVSGKLQVNDGGMAQPAESSYIGLALPGDAGSWQIESKGYQFWTQTDKDGSFSITNIVPGSYNLYAWVPGFIGNYKYEVNVDIKPGGNINLGSLVYNPPRNGPTLWEIGIPDRSAAEFHVPDPYPDLENKLYLNDNKNGFRQYGLWGRYADLYPKEDLIFTVGVSDYHKNWFYAQVTRSTEPGKFVPTTWQIQFQLENIIEGGNYTLQLALAAATNSRLEVRINKPNSNPPYFSSQELIGSDNAIARHGIHGLYELYSVGVDSNQLVKGKNTIYLTQSNAKSLFEGIMYDYIRLESPPTTRRHLP >Vigun08g153400.1.v1.2 pep primary_assembly:ASM411807v1:8:32570131:32576735:1 gene:Vigun08g153400.v1.2 transcript:Vigun08g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGLSRLLQILTCVLLFTPSFSKDDRKIYIVYMGEYPKGVERTESLHTNMVQSVISSTFAQDTLVHSYKSFNGFVARLTKEESERMKGMDGVVSVIPNRVHSTQTSRSWNFLGFPENVQRSKEESNVIVGVLDSGIWPNSSSFTDEGFGPPPQKWKGTCYNFTCNNKIIGAKYFRVRRVFAKEDIISPTDTNGHGSHCASTAAGNSVSNANLFGLGAGTARGGVPSARIAVYKVCWTNGCETADLLAGFDAAIMDGVDIISLSVGYKRVVHFQYFEDDFAIGAFHAMKRGILTSKAAGNSGPSHYTTTTPAPWIISVAASTIDRKFFTNLQLGNGRIFHGISVNTFTPTQNSYPLIYGGDAPAAGYDSSISRFCIESTLDGALVRGKIVLCDGVSSSGYVGFASGAAGLIFSSSGPLVAAEVYALPAIRLSTSDGNSVSSYLKSTSNPTATIFKSYEGKDLSAPYVAPFSSRGPNKVTPNILKPDIAAPGVEILAAWSPIGPISGVKGDKRVSYFNIISGTSMACPHVTAVAAYVKSFHPNWSPAALKSALMTTATPMNPALNADAEFAYGAGQINPLKAVNPGLVYDAGEYDYISFLCGQGYSSSALRIITGDSSTCTSANKGSVLDLNLPSFTLSTPRSSHNNATFARTVTNVGSATSKYKATISAHPSSLNVQVVPNVLAFSSLDQRLSFSLKIEGSINADLVSFSLIWDDGTFKARSPVVVYVP >Vigun09g224900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39764361:39765847:-1 gene:Vigun09g224900.v1.2 transcript:Vigun09g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSSKPNGNGAINGTAATNGNSAPVKSELYNPNRQVYRPQSHYHRRGQRSHRNLCCCCCFWTILTVLAVALLAAIVGAALYVLYRPHRPEFSVTNLRIVKMNLTSSADSPSHLVTLFNLTLIAKNPNNHLIFFYDPFAVTVFSNSVPVGNGSVTAFTSDKNNQTSLRAVLSGSQDLDTDSLTNLRSGLKMKKGFPVEIQMDTKVKMKMDWLKSKKVGIRVTCDGIRGTVPAGKSPAVASVVDSECKVDLRIKIWKFSF >Vigun09g182400.2.v1.2 pep primary_assembly:ASM411807v1:9:35606377:35612370:-1 gene:Vigun09g182400.v1.2 transcript:Vigun09g182400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCNTKLLLNFKLVSGLPCPSKDGNPVPSVRNHLVFLDGGLNQQKMGICDAVAVAKILNATLVVPHFEVNPVWQDSSSFEDIFDLDHFIDALRDEVSIVEEPPSDYSWSTREYYATGIRATRIKTAPLHATADWYIENVLPVLRSYGIAAIAPFSHRLTFNNLPSDIQRLRCKVNFEALIFVPHIKELGDAIIHRLRHTSVVNQAEVKDFPLEETDMVGKQQTGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGEARLATLSKLFPLMEDKKSLASTEELARVKGKASLLASVDYYVSMHSDIFISASPGNMHNALVAHRAYMNLKTIRPSMGLLGNLFHNKSISWPEFQRAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRE >Vigun09g182400.1.v1.2 pep primary_assembly:ASM411807v1:9:35606313:35612477:-1 gene:Vigun09g182400.v1.2 transcript:Vigun09g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIFTQLNHSQKSAFAVVFVILLPVFFPDLFQPLGRASPSMFSEWIAPRPMHVDLLDGALQHQTSVELQTSLWSPLPFQGWKPCTERPKPPSLPEKSSGYIQVFLDGGLNQQKMGICDAVAVAKILNATLVVPHFEVNPVWQDSSSFEDIFDLDHFIDALRDEVSIVEEPPSDYSWSTREYYATGIRATRIKTAPLHATADWYIENVLPVLRSYGIAAIAPFSHRLTFNNLPSDIQRLRCKVNFEALIFVPHIKELGDAIIHRLRHTSVVNQAEVKDFPLEETDMVGKQQTGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGEARLATLSKLFPLMEDKKSLASTEELARVKGKASLLASVDYYVSMHSDIFISASPGNMHNALVAHRAYMNLKTIRPSMGLLGNLFHNKSISWPEFQRAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRE >Vigun09g182400.4.v1.2 pep primary_assembly:ASM411807v1:9:35606377:35612478:-1 gene:Vigun09g182400.v1.2 transcript:Vigun09g182400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCNTKLLLNFKLVSGLPCPSKDGNPVPSVRNHLICDAVAVAKILNATLVVPHFEVNPVWQDSSSFEDIFDLDHFIDALRDEVSIVEEPPSDYSWSTREYYATGIRATRIKTAPLHATADWYIENVLPVLRSYGIAAIAPFSHRLTFNNLPSDIQRLRCKVNFEALIFVPHIKELGDAIIHRLRHTSVVNQAEVKDFPLEETDMVGKQQTGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGEARLATLSKLFPLMEDKKSLASTEELARVKGKASLLASVDYYVSMHSDIFISASPGNMHNALVAHRAYMNLKTIRPSMGLLGNLFHNKSISWPEFQRAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRE >Vigun09g182400.3.v1.2 pep primary_assembly:ASM411807v1:9:35595139:35612370:-1 gene:Vigun09g182400.v1.2 transcript:Vigun09g182400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIFTQLNHSQKSAFAVVFVILLPVFFPDLFQPLGRASPSMFSEWIAPRPMHVDLLDGALQHQTSVELQTSLWSPLPFQGWKPCTERPKPPSLPEKSSGYIQVFLDGGLNQQKMGICDAVAVAKILNATLVVPHFEVNPVWQDSSSFEDIFDLDHFIDALRDEVSIVEEPPSDYSWSTREYYATGIRATRIKTAPLHATADWYIENVLPVLRSYGIAAIAPFSHRLTFNNLPSDIQRLRCKVNFEALIFVPHIKELGDAIIHRLRHTSVVNQAEVKDFPLEETDMVGKQQTGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGEARLATLSKLFPLMEDKKSLASTEELARVKGKASLLASVDYYVSMHSDIFISASPGNMHNALVAHRAYMNLKTIRPSMGLLGNLFHNKSISWPEFQRAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRE >Vigun09g009200.1.v1.2 pep primary_assembly:ASM411807v1:9:724257:728095:1 gene:Vigun09g009200.v1.2 transcript:Vigun09g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHVRSTVVGKCCKGNFGMSRFLQSNDFQRKLIVSGKESYYDHFSKRSYSSPSKAPGTESTITSTKIKMPNIGSEGFAFSSFLSTVNKNSRMINFSSCLKTPVKPEQIAANPVILKMTTELEKIPRALRWMCGGFPAIALCDAPEPWQLGFQDAATPIMQGIMDLHHDIFFFLVQILVFVLWVLSRALWCFRSKISPIPQRIVHGTTIEILWTILPSIILMFIAIPSFTLLYSMDDVVVDPAITIKAIGHQWYWSYEYSDYNNSDEQSLAFDSYMVPEDDLELGQLRLLEVDNRVVVPAKTHLRVLITSADVLHSWAVPSLGVKCDAVPGRLNQISTFIQREGVYYGQCSEICGTNHAFMPIVVEAVSTKDYGSWVSNQIQ >Vigun11g123900.1.v1.2 pep primary_assembly:ASM411807v1:11:33120362:33121937:-1 gene:Vigun11g123900.v1.2 transcript:Vigun11g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSVLSPVVKRLEGKVAIITGGASGIGEATARLFSQHGAHVVVADIQDDVGLSLCNELKSAIYVHCDVTKEEDIEKCVDTAVSKFGKLDIMFNNAGTGDEFKKSILDNTKSDFERVISVNLVGPFLGTKHAARVMIPARRGCIINTASVAGCIGGGATHAYTSSKHALVGLTKNTAVELGQFGIRVNCVSPFAIVTPLLNKYFNLDEEGVRKTYMNLKGWYPVPNDVAEAALYLASDESKFVSSHNLVIDGGLINSNVGFPMFEM >Vigun03g184700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24217135:24217605:1 gene:Vigun03g184700.v1.2 transcript:Vigun03g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMGIFKWSDGRARDVLSEFREGRFDEFVLSDRTGKPPAKKSKLSSEGSVEVQSQRVSETEKASDGSAKVVESVVGNDSLSESENLKNEERGREFRRM >Vigun11g141650.1.v1.2 pep primary_assembly:ASM411807v1:11:35145983:35147005:1 gene:Vigun11g141650.v1.2 transcript:Vigun11g141650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVSSMQVTSTLTFAAACASAGMTVLIDNDLGSCSKKHCVQFENDYIMAFICWVTTVPPFLLNF >Vigun07g193900.1.v1.2 pep primary_assembly:ASM411807v1:7:31305478:31323873:-1 gene:Vigun07g193900.v1.2 transcript:Vigun07g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDADDLVRSASSLRMSIGSLSRRSWVSAGVSELWGAGHGGDVFDRSTRVDHDDDEEELKWAAIERLPTFERLRKSLVKRVLEESGRFDYEEVDISNLGFQNRKKLLRAILTTVEQDNERFLRRMRERIDRVGIEIPKVEVRFEDLFVEGDAFNGSRALPTLVNSTMNAMERILGSVNLLPSKKTVIKILQGVSGIVKPARLTLLLGPPRSGKTTLLQALAGKLDRDLRVSGRVTYCGHELSEFVPQRTCAYISQHNVHHGEMTVRETLDFSGRCLGVGTRHELLVELIKREKQAGLKPDPEIDAFMKATAVEGQETSLITDYVLKVLGLEICADTLVGDEMRRGISGGEKKRLTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVKFLKQLVHVMDVTMIISLLQPAPETFNLFDDIILLSEGHIVYQGPRESVLNFFESVGFKCPERKGVADFLQEVTSRKDQEQYWFARDKPYHYVSVPEFVAHFNNFAIGQQLSQELQVPYDRAKTHPAALVKDKYGLSKLELLKACFAREWLLMKRSAFLYIFKTTQITIMSLITMTVFFRTQMKSGHLEDGVKYYGALFFSLTNIMFNGMAELAMTIFRLPVFFKQRDSLFYPAWAFALPIWIFRIPLSIVESGLWVVLTYYTVGYAPAASRFFGQFLAFVCVHQMGISLFRFIAALGRTLVVANTFGFFVLLLVYVLGGFIIAKDDLEPWMQWGYYASPMMYGQNAIAINEFLDPRWSAPNRDRRIPGRTVGEALLKVRSMYTEEYWYWICIGALLGFSLLFNICFIIALTFLNPYGDSKSIIIEEQIEKKETAERSSISAEKNSRENSTPEEDTLTTKRGMVLPFRPLSLAFDHVNYYINMPTEMEKHGIEGSRLQLLRDVSGAFRPGVLTALVGVTGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQSTFARVSGYCEQNDIHSPNITVYESVLFSAWLRLGKEVDSKTRKMFAEEVMNLVELHPVRNFLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTADTGRTIVCTIHQPSIDLFEAFDELLLMKRGGQLIYNGPLGQQSQKLIEYFEAIPGVPKIKEGYNPATWALEISSPAVETQLGVDFAELYKKSELYQRNQELIKELSTPAEGTKELDFPNKYSQSFITQCIACFWKQNLSYWRNPQYNAIRLFMSTTIGVIFGLVFWKKGKKIDTEQDLMNLMGAVFTAVFFLGGSNTTSVQPIVAIERTVLYREKAAGLYSALPYAIGQVAVECIYVAIQTFTFSLILFSMMGFLWQVDKFLWFYFFMFISFVYFTLYGMMTAALTPNPQIAAIVMAFFLVFWNVFSGFIIPKSKIPIWWRWYYWGCPTAWSLYGLVTSQVGDKTNNIVVPGSKSVSVKAHLLQEFGYDYDFLGVVVVALIAFVTLFLLVFAYGIKVLNFQKR >Vigun02g045800.1.v1.2 pep primary_assembly:ASM411807v1:2:18314585:18317303:1 gene:Vigun02g045800.v1.2 transcript:Vigun02g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKACNIMLQQIVLLQDQVVKLLFFLTLPHNTTQHNTTTHHNTNTTQHNTIPIPIYHPLTLSNCYLKPYNCNIFLPLLNLLTPLSLFSSFRNMNHDDKLTMDLVPPSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPSSQNQSIDHTLSLQGFYSNAKKGQASSSSSSPTTSNESVSPKAASFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARFIPNSPTSSVSANKVNAD >Vigun10g153300.4.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.8.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.1.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.7.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.2.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.9.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun10g153300.6.v1.2 pep primary_assembly:ASM411807v1:10:37348200:37357899:1 gene:Vigun10g153300.v1.2 transcript:Vigun10g153300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSGEEVIVKTRKPYTITKQRERWTEEEHNRFLEALKLHGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALVKGVPIGQALDIDIPPPRPKRKPSNPYPRKTRIGTATLHSGAKDGKLNLVESSHDNQALDLEKEPLPEKYDLDEGPTTVKENKDDNCSKVFTVIQEVPCSSLSSANRSSISVSVPLGNSCVFKEITTSVKEVITRDEKTKSFLTVELENGNLEINDGEQANGTSKNSTLENSDALQMKLDQNEKTDGLDSALTIDGMQGNQNYPRHVTVHVVDGNLGTSTQKPSQDMLFRDCMFQPIGGANGQPNLFTKSAPSNTSESQNNTARSSVHQSFLPYPPFTQHNQDDYQSFLHMSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANPETSADSPRCSQGGFTSRQIGSPPSVATIAAATVAAATAWWAAHGLLPLCAPLHTAFGCPPASVTAVPSMNTAETSSLKAEQEKSTLQNPPLQDQMMHPEYSEAPQAQHSASKSLAVISSDSENGDAKLNTSPKATVHETNETISEHLDSNKTKGRKQVDRSSCGSNTASSSDVETDALGKDEKGKEEPETPDANHLAIEFSNRRRSICNLTDSWKEVSEEGKLAFQALFSREVLPQSFSPPHALKNKDQMGITNDNQQTIDDRNEDLDGKKGSSNYKPMQKNPPFVENNVGLLTLGLGQGKLKTRRTGFKPYKRCSMEAKENRVGASNQGEEKGCKRIRLEGDTTT >Vigun08g098900.1.v1.2 pep primary_assembly:ASM411807v1:8:24034529:24038224:-1 gene:Vigun08g098900.v1.2 transcript:Vigun08g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAKNNNNEFGCGLMGRILHLKSHKLRKASVHSLPLKNPQSDDDGKSEEPKNIPNEESKVTKKSFTDTQPHRVSGAEQKPARKSASVHQQQLSTYRNSQNQRHSDVVARSSTSSSSSPRTSISTHIKVQQNKDKNHESKPHRDPTDNSLALARISTGNENNKSQLKLTGNLLVNNTPRRKSVEYMPKNAELNSAPISYSNTSKGLMGNIMRRNSTGNELGQFLSPRQKKVDPEVLKSMGNEAYKQGRFEEALALYDRAIALDSNMATYHCNKSAALIGLGRLQEAIFECEESIKLDPSYVRAHNRLATIYFRLGEAEKALDWNQSTGCADSILAFQAQTLQNHLNKCIEARKVNAWSEILRETQSAISLGADSAPQVYALQTEAFLKLLRYQEAYAIYENMPKFPLDWCTKVFGPARSAYLLMIRALVYLASGRFEEAVTAAQEAAKVDPGNREVNAVVRRARAATSARMSGNLLFKASKFTEACSVYNEGLEHDPHNSVLLCNRAACRSKLAQYEKAIEDCNAALMFQPGYSKARLRRADCNAKLERWEAAIQDYEMLLRERPGDEEVARALFEAQLQLKMLRGEDIKDLKFGSNLVFISSNDRFRHYVTSPGMAVVLFSNKATHKKVLLMLEQTSKRFPSLHFLKVEIEDHPYLAKSEGVSSIPAFRIYKNGSRVKEISGNNYELLERSVELYSR >Vigun08g185800.1.v1.2 pep primary_assembly:ASM411807v1:8:35517273:35522550:-1 gene:Vigun08g185800.v1.2 transcript:Vigun08g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFSSALRGCSRKFQTPLSCKKLYTSEGEGARARLPKLLVVQPRVRPEKLLQAKLNEALCLANSLEDQRDGYFHTDFFDKPLPPHVLVQNRVPRADSYFGHGTVDNIKCHVNAAAESKDEVDAVFVNAILSGIQQRNLERAWGKPVLDRVGLIIEIFNAHAFTKEAKLQAELAALSYKKTRLVRVRGPDGRYTFGASGEAEVVSARGRGSGGQGFMSGAGETELQLQRRRILERRNYLLSQIEEVRRTRALQRAGRRRRGGSSGQGLATVAVVGYTNAGKSTLVSKLSDSDLYSDCRLFATVDPRVRSAVLPSGKKVLFSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVVDSSAPNLDEHRTTVLQVLRQIGVSEEKLQNMIEVWNKIDMEEECMDVDEYLDGEDEDNFKVEEDDVKSELLAENEGMKEVGFETMEEKDYSDGWLYDDLVDEGDRQNESIEKHSDLDKDNSIGPSGPHVKTSAITGVGLQELLELIDQKLSVLNNKGAQVVERSIYERKWRPPQNQESGIAVEQ >Vigun10g067700.1.v1.2 pep primary_assembly:ASM411807v1:10:15781175:15787585:1 gene:Vigun10g067700.v1.2 transcript:Vigun10g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRRDRLERKKGSSTICISHYCFSFEDFTPIPLMEIAAAASSSSSSSSSSFLNSEPYFIYDVFISHSGEEISRNFVSHLHNVLLQAQVQTLVDEGNLQEGMNLEEHMRAISESKIIIIVFSKTYIESTCSFLEFEKIIECHKTFGQIVLPVFYQIDPFEVCNEGYFGKALEADAQKSYSEEQLEHSLSWWVCALTIAAGINGWNVRDFRYDAELVELIASRVQTLLDYKELFITRFPVGLDSHVKKVIGCIENHSTKVCMIGIWGMGGSGKTTIAKAIYNRIYREFIGKSFIENIREACSSRGVHRLQENLLYDVLKSKFKVESVGLGRTMIENELSRKKLLIVLDDVNEFIQLQYLCGNCEWVGQGSVIIITTRDVHLLNLLEVTYVFKMDDMNENESLELFSWHAFGEAKLRKELNELAKNIVAYCGGLPLALEVLGSYLCDRTKEQWKSVFSKLKIIPNYEVLHNLRASFDGLHDDTEKEIFLDVCCFFIGKERGYVTQILNGCGLHADIGIRVLIERDLIKVEKNNKLEMHPLLRDMGREIIRQRWPVELENRSRLWFHDDVKEVLTNNTGTEAIEGLSLKLQLNSRDCFEAHAFEKMERLKLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYIQNNFHMENVIAIELKHSHLQLVWKQSQVLKWLKFLNLSHSKYLRETPDFSGLPSLEKLILKDCPSLCKVHQSIGDLCNLLLINLKDCTSLSSLPREVYKLKSLRTFILSGCFKIHIMEEDIVQMESLITLVTENTAVKQVPCSIVRSKSIGYISLRRFEGLSNSIFPSIIQYWISPTMNPESYIRPFCMDIENYYWRDLPPLHKSLANIRSVLVQCDADFQLSKQVKTILVEYGVNFTESRLSNRGLRFSLIGVGRYNEFFSTLRDSVSEGLACSESCEVSLPGDNHPYWLSHVGEGHSVCFNLPLDCDMKGMILCVVYLSTPEIVAAECLRSVLIVNYTKCTFQIHNHDTLIPFNDIDWQVIISNLGCGDRVEIFLTFGHGLMVKNTAVYLICGQSNDLEMESCPEPNENTLNNFIKKMVMCDFW >Vigun10g067700.2.v1.2 pep primary_assembly:ASM411807v1:10:15781175:15787585:1 gene:Vigun10g067700.v1.2 transcript:Vigun10g067700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRRDRLERKKGSSTICISHYCFSFEDFTPIPLMEIAAAASSSSSSSSSSFLNSEPYFIYDVFISHSGEEISRNFVSHLHNVLLQAQVQTLVDEGNLQEGMNLEEHMRAISESKIIIIVFSKTYIESTCSFLEFEKIIECHKTFGQIVLPVFYQIDPFEVCNEGYFGKALEADAQKSYSEEQLEHSLSWWVCALTIAAGINGWNVRDFRYDAELVELIASRVQTLLDYKELFITRFPVGLDSHVKKVIGCIENHSTKVCMIGIWGMGGSGKTTIAKAIYNRIYREFIERGYVTQILNGCGLHADIGIRVLIERDLIKVEKNNKLEMHPLLRDMGREIIRQRWPVELENRSRLWFHDDVKEVLTNNTGTEAIEGLSLKLQLNSRDCFEAHAFEKMERLKLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYIQNNFHMENVIAIELKHSHLQLVWKQSQVLKWLKFLNLSHSKYLRETPDFSGLPSLEKLILKDCPSLCKVHQSIGDLCNLLLINLKDCTSLSSLPREVYKLKSLRTFILSGCFKIHIMEEDIVQMESLITLVTENTAVKQVPCSIVRSKSIGYISLRRFEGLSNSIFPSIIQYWISPTMNPESYIRPFCMDIENYYWRDLPPLHKSLANIRSVLVQCDADFQLSKQVKTILVEYGVNFTESRLSNRGLRFSLIGVGRYNEFFSTLRDSVSEGLACSESCEVSLPGDNHPYWLSHVGEGHSVCFNLPLDCDMKGMILCVVYLSTPEIVAAECLRSVLIVNYTKCTFQIHNHDTLIPFNDIDWQVIISNLGCGDRVEIFLTFGHGLMVKNTAVYLICGQSNDLEMESCPEPNENTLNNFIKKMVMCDFW >Vigun10g194800.2.v1.2 pep primary_assembly:ASM411807v1:10:40855536:40859179:-1 gene:Vigun10g194800.v1.2 transcript:Vigun10g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEVPSAPSTPATPGAPLFGGNGNLRFEKPNVVRKSSFLRSCKCFSVEQWTLEDGAMPRVSCSLPAPQIPLAKKVGAEFIGTFILMFAAIGTAIVNQKTHGSETLIGCAAANGLAVMIIIFSTGHISGAHLNPAVTISFAALKHFPWKNVPVYIGTQVLASVSAAFALKVVFHPFMSGGVTVPSVGYGQAFATEFIVSFILMFVVTAVATDTRAAGELAGIAVGGTVMLNILIAGYILLFK >Vigun10g194800.1.v1.2 pep primary_assembly:ASM411807v1:10:40855536:40859179:-1 gene:Vigun10g194800.v1.2 transcript:Vigun10g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEVPSAPSTPATPGAPLFGGNGNLRFEKPNVVRKSSFLRSCKCFSVEQWTLEDGAMPRVSCSLPAPQIPLAKKVGAEFIGTFILMFAAIGTAIVNQKTHGSETLIGCAAANGLAVMIIIFSTGHISGAHLNPAVTISFAALKHFPWKNVPVYIGTQVLASVSAAFALKVVFHPFMSGGVTVPSVGYGQAFATEFIVSFILMFVVTAVATDTRAAGELAGIAVGGTVMLNILIAGPATGSSMNPVRTLGPAIAANNYKGIWVYLIAPIFGTLCGAGAYTVVKLPQEEATKPPNSPSAIVGSFRR >Vigun11g147200.1.v1.2 pep primary_assembly:ASM411807v1:11:35595249:35598720:1 gene:Vigun11g147200.v1.2 transcript:Vigun11g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSSEDQNQHNIPIEIISDDEMALIEAALAFASTRTCSAIRSSSCSSSPSKSPLHNNALSITVVSKRSSSSGSDIEDLPALKRKHTLSDSFLRRFRNKRGLSVTDITSTEWCPKQMEFSLLLGGRKVNQAMRAGIARHVKLEQEVLKRVEVKVKYQEDMWALKFLNFINGVNQLLFEGMTRELPVIGFAEDIWMVGVIDEIRMPLKENHHNPILIDTKTRARDTLPAEPQRRNGRLQLMCYKYLWDNLVADNFPSNVFFTYFGLNPQHNLCEDLKVTSADSGFSASTLDDVVRYYRNTCRMLAPAHDQLLLRYEYQKDHSLLGEDKFTYDHDWLTNQIHSCLKFWVGEQEATYTPEDERWKCGYCQFARVCPAYNDSKGTMEPKSNDLNIKEG >Vigun01g119200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29567964:29568602:-1 gene:Vigun01g119200.v1.2 transcript:Vigun01g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGGFEAHFCIAVMTLCMFYVTKTVAQDSAIAPTSPMETGAGSALSVFEVTLYSSVLASVVAFMMQ >Vigun05g052100.1.v1.2 pep primary_assembly:ASM411807v1:5:4446982:4450804:1 gene:Vigun05g052100.v1.2 transcript:Vigun05g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSQVFSSHVIVSFFLLVFCGMVCGTDSDIFCLQSVKRELQDPFNYLKSWEFNNKTEGYICKFTGVECWHPDENRVLNLKLSNMGLKGEFPRGIKNCSSMTGLDFSLNRLSNTIPADVSTLLTFVTSIDLSSNDFTGEIPASLSNCTYLNTLRLDQNQLSGQIPANLSQLPRLKAFSVSNNLLTGPVPAFKAGVADATSYANNSDLCGGPLDVCHAKSAKSNTAVIAGAAVGGVTVAALGLGIGMFFYVRRISYRKKEEDPEGNKWARSLKGTKTIKVSMFEKEISKMNLNDLMKATNNFSNSNIIGSGRSGTVYKAVLPDGTSLMVKRLQESQHSEKEFMSEMAILGTVKHRNLVPLLGFCLAKRERLLVYKNMPNGNLHDQLHPDAGVCTMDWTVRLKVAIGAAKGLAWLHHSCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTKTLVATPKGDIYSFGTVLLELVTGERPTHVAKAPETFKGNLVEWISQQSSNAKLHAVIDESLLGNGVDQEIFQFLKVACNCVSEMPKERPTMFEVYQLLRAIGLNYNFTVEDEIMLSVDSDAAENLEELIVAREGHN >Vigun05g052100.2.v1.2 pep primary_assembly:ASM411807v1:5:4447038:4450491:1 gene:Vigun05g052100.v1.2 transcript:Vigun05g052100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSQVFSSHVIVSFFLLVFCGMVCGTDSDIFCLQSVKRELQDPFNYLKSWEFNNKTEGYICKFTGVECWHPDENRVLNLKLSNMGLKGEFPRGIKNCSSMTGLDFSLNRLSNTIPADVSTLLTFVTSIDLSSNDFTGEIPASLSNCTYLNTLRLDQNQLSGQIPANLSQLPRLKAFSVSNNLLTGPVPAFKAGVADATSYANNSDLCGGPLDVCHAKSAKSNTAVIAGAAVGGVTVAALGLGIGMFFYVRRISYRKKEEDPEGNKWARSLKGTKTIKVSMFEKEISKMNLNDLMKATNNFSNSNIIGSGRSGTVYKAVLPDGTSLMVKRLQESQHSEKEFMSEMAILGTVKHRNLVPLLGFCLAKRERLLVYKNMPNGNLHDQLHPDAGVCTMDWTVRLKVAIGAAKGLAWLHHSCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTKTLVATPKGDIYSFGTVLLELVTGERPTHVAKAPETFKGNLVEWISQQSSNAKLHAVIDESLLGNGVDQEIFQFLKVACNCVSEMPKERPTMFEVYQLLRAIGLNYNFTVEDEIMLSVDSDAAENLEELIVAREGHN >Vigun06g067400.1.v1.2 pep primary_assembly:ASM411807v1:6:19605269:19609614:1 gene:Vigun06g067400.v1.2 transcript:Vigun06g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVQGVQIEGGWQVLRSGETLGRYMCQPLCLSKVEQFLIAEIMVVELLWKFLIWFFRICTYPNSLYNSWTPQGNYEMQSMHSVQMVGRGHVFNSGWRFGETLGFIIFAFCIFINVILQAKLVEWMIKQIGTI >Vigun08g151433.1.v1.2 pep primary_assembly:ASM411807v1:8:32422850:32423121:-1 gene:Vigun08g151433.v1.2 transcript:Vigun08g151433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEKNSVGFFQMPLHYPRYTQKDYEDMPEWKLDSLLKEYGLPTNGDLAYKRDFAMVIIKTNNELYT >Vigun06g089200.1.v1.2 pep primary_assembly:ASM411807v1:6:22134647:22139549:-1 gene:Vigun06g089200.v1.2 transcript:Vigun06g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMVLDPKPTSEPPPSFPATKSDYLQGGFGGGETDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >VigunL059337.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:7819:7965:-1 gene:VigunL059337.v1.2 transcript:VigunL059337.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMKFLVHESFEFGGFCTWPRLAVHHDIAKWLGGEICEKMKFVVFEV >Vigun04g177400.2.v1.2 pep primary_assembly:ASM411807v1:4:40050917:40059610:1 gene:Vigun04g177400.v1.2 transcript:Vigun04g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQPWKELQAHAVDVKKTHLRDLLSNEERSRSMVVEFDGILLDYSRQLATVETREKLFKLAEVASLKEKINRMYKGEHINSTENRSVLHVALRAPRDSVIQSDGKNVVPDVWNVLDKIKEFSERIRSGSWVGATGKQLKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGASAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASSIDQHMHSEQFERNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLSGEVVSNHDELMSNFFAQPDALAYGKTSEQLKKENVPPHLVSHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTSMLTRYLKASADVPADLPTRLPKT >Vigun04g177400.5.v1.2 pep primary_assembly:ASM411807v1:4:40052161:40059610:1 gene:Vigun04g177400.v1.2 transcript:Vigun04g177400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQPWKELQAHAVDVKKTHLRDLLSNEERSRSMVVEFDGILLDYSRQLATVETREKLFKLAEVASLKEKINRMYKGEHINSTENRSVLHVALRAPRDSVIQSDGKNVVPDVWNVLDKIKEFSERIRSGSWVGATGKQLKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGASAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASSIDQHMHSEQFERNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLSGEVVSNHDELMSNFFAQPDALAYGKTSEQLKKENVPPHLVSHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTSMLTRYLKASADVPADLPTRLPKT >Vigun04g177400.6.v1.2 pep primary_assembly:ASM411807v1:4:40052419:40059610:1 gene:Vigun04g177400.v1.2 transcript:Vigun04g177400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQPWKELQAHAVDVKKTHLRDLLSNEERSRSMVVEFDGILLDYSRQLATVETREKLFKLAEVASLKEKINRMYKGEHINSTENRSVLHVALRAPRDSVIQSDGKNVVPDVWNVLDKIKEFSERIRSGSWVGATGKQLKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGASAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASSIDQHMHSEQFERNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLSGEVVSNHDELMSNFFAQPDALAYGKTSEQLKKENVPPHLVSHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTSMLTRYLKASADVPADLPTRLPKT >Vigun04g177400.1.v1.2 pep primary_assembly:ASM411807v1:4:40051257:40059610:1 gene:Vigun04g177400.v1.2 transcript:Vigun04g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQPWKELQAHAVDVKKTHLRDLLSNEERSRSMVVEFDGILLDYSRQLATVETREKLFKLAEVASLKEKINRMYKGEHINSTENRSVLHVALRAPRDSVIQSDGKNVVPDVWNVLDKIKEFSERIRSGSWVGATGKQLKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGASAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASSIDQHMHSEQFERNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLSGEVVSNHDELMSNFFAQPDALAYGKTSEQLKKENVPPHLVSHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTSMLTRYLKASADVPADLPTRLPKT >Vigun04g177400.3.v1.2 pep primary_assembly:ASM411807v1:4:40050922:40059610:1 gene:Vigun04g177400.v1.2 transcript:Vigun04g177400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLISDTQPWKELQAHAVDVKKTHLRDLLSNEERSRSMVVEFDGILLDYSRQLATVETREKLFKLAEVASLKEKINRMYKGEHINSTENRSVLHVALRAPRDSVIQSDGKNVVPDVWNVLDKIKEFSERIRSGSWVGATGKQLKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVIVSKTFTTAETMLNARTLREWISSALGASAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVIEKFLKGASSIDQHMHSEQFERNLPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLSGEVVSNHDELMSNFFAQPDALAYGKTSEQLKKENVPPHLVSHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTSMLTRYLKASADVPADLPTRLPKT >Vigun08g144600.2.v1.2 pep primary_assembly:ASM411807v1:8:31762355:31765535:1 gene:Vigun08g144600.v1.2 transcript:Vigun08g144600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHFLLLLFAVSLVAADDDAFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADQAMLLALAKTGIQVVVTVPNEELLAIGQSNSTAANWVSRNVVAHYPATNITAICVGSDVLTSLPNAAKVLVSAIKYIHSALVASNLDRQVKVSTPLSSSIILDSFPPSQAFFNRSLNPVLVPLLDFLQSTESYLMLNIYPYYDYMQSNGVIPLDYALFKTLPPNKEAVDSNTLLHYTNVFDAMVDAAYFAMAFLNYTNIPVVVTESGWPSKGGSNEPDATVDNANTYNSNLIKHVFNKTGTPKHPGIAVSTYIYELYNEDTKPGPLSEKNWGLFDSNGAPVYILRLTESGALLANDTSNQTFCIAKDGADPKMLQAALDWACGPGKVECSPLLQGQPCYEPDNVIAHANYAFDTYYHKMGKTPDACDFNGVATISTSDPSELFVP >Vigun08g144600.1.v1.2 pep primary_assembly:ASM411807v1:8:31762355:31765535:1 gene:Vigun08g144600.v1.2 transcript:Vigun08g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHFLLLLFAVSLVAADDDAFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADQAMLLALAKTGIQVVVTVPNEELLAIGQSNSTAANWVSRNVVAHYPATNITAICVGSDVLTSLPNAAKVLVSAIKYIHSALVASNLDRQVKVSTPLSSSIILDSFPPSQAFFNRSLNPVLVPLLDFLQSTESYLMLNIYPYYDYMQSNGVIPLDYALFKTLPPNKEAVDSNTLLHYTNVFDAMVDAAYFAMAFLNYTNIPVVVTESGWPSKGGSNEPDATVDNANTYNSNLIKHVFNKTGTPKHPGIAVSTYIYELYNEDTKPGPLSEKNWGLFDSNGAPVYILRLTESGALLANDTSNQTFCIAKDGADPKMLQAALDWACGPGKVECSPLLQGQPCYEPDNVIAHANYAFDTYYHKMGKTPDACDFNGVATISTSDPSHGSCLFPGSLGKNGTLGNFTAPSMNSTNSDSSAYNLGNCDLRIRSLLLATGFLIWGVVLL >Vigun05g221600.2.v1.2 pep primary_assembly:ASM411807v1:5:41381378:41382394:1 gene:Vigun05g221600.v1.2 transcript:Vigun05g221600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSKKRFLTCFRPVDDTDATLQPRAVVDRSSSRRFACIPVADEHFTGISAAKSTFSEQDLPRKVVPHPPKPTFSKNTKSRKKNLDSQTCFGSKRGFSVYTESSSTGDVKHVFVDSKIPKTKPSEWSSTISSSNSPVSESKNMSEIESAKELVQKQKKFQCVGIYWVFVSLVVTVFWGKVNVIIWTSLLLCFFSLWNASCCWPKEVPKLRSVESKAYKNSRRERSGRSGRNKEHS >Vigun05g221600.1.v1.2 pep primary_assembly:ASM411807v1:5:41381378:41382394:1 gene:Vigun05g221600.v1.2 transcript:Vigun05g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSKKRFLTCFRPVDDTDATLQPRAVVDRSSSRRFACIPVADEHFTGISAAKSTFSEQDLPRKVVPHPPKPTFSKVIKAMLIETVLISNTKSRKKNLDSQTCFGSKRGFSVYTESSSTGDVKHVFVDSKIPKTKPSEWSSTISSSNSPVSESKNMSEIESAKELVQKQKKFQCVGIYWVFVSLVVTVFWGKVNVIIWTSLLLCFFSLWNASCCWPKEVPKLRSVESKAYKNSRRERSGRSGRNKEHS >Vigun02g188800.1.v1.2 pep primary_assembly:ASM411807v1:2:32697594:32699219:-1 gene:Vigun02g188800.v1.2 transcript:Vigun02g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEILKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAVIVGSDPIAHIEKPLFELVWTAQTIAPDSEGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPTILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRRKSVENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVPI >Vigun05g264400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45650933:45652984:1 gene:Vigun05g264400.v1.2 transcript:Vigun05g264400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVLLFPFMAQGHIIPFLALALHLEKTQKYHITIVNTSLNIRKLRSSLPPNSSITLSEIPFTPSQHGLPPNTENTDAIPYNLVIRLIQASTTLKPAFTNLLRSILVQNKNRKLLVIADIFFGWTAAVAKELGAFHVIFSGCGGYGLACYYSLWLNLPHRRVDPAQEYFTLPDFPEARDIHRTQLPNNIAEADGSDAWSLFQQKNLSGWVDSDGVLFNTVREFDSVGLGYFKRKLNRPVWAIGPILFAGSGSRGKGGGINPKLCTEWLDAKPFKSVIFVCFGSMNTISASQMMELGKALERCGKSFIWVVRPPIGFDINSEFREDEWLPEGFVERVRESGKGLVVRDWAPQLEILSHSAVSVFLSHCGWNSVLESLSQGVPILGWPMAAEQFFNCKLLEEEVGVCVEVARGKSCEVKCEDIAEKIGLVMEETEKGVAMRKKAGYVRDMIRDAVKDEDGFKGSSVKAMDEFLSAALS >Vigun07g126200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23308513:23309471:-1 gene:Vigun07g126200.v1.2 transcript:Vigun07g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDLIRCLLSKKKTLLYSKKKTCFYQGGEKMDQFRFNKTFILIGALSCLLLSTVASARHLLVAPVPPELTQFCSGTDNPALCVDTIAPVLAGNFDPVRAVEGEINATLQKASEIAASISKQLEDPATAKEALDALNICKTQYDDMLDSIKEALNMVVQMNVMEAYRKMSAVISYKSSCDDAYTESPGVEMPFRQEATTLFQLSGNCVTVLNTIVKTTTV >Vigun03g242900.1.v1.2 pep primary_assembly:ASM411807v1:3:40518566:40520542:1 gene:Vigun03g242900.v1.2 transcript:Vigun03g242900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQRTTSFRPQLSVRRVYETLQPQSETKELPEAYLLRVYLPGFPRESVKITYVASSRTVRISGERQVRGTIWHRIDQSYPIPDHCDAEALQGKYEAPVLTLTIPKKSTTTESQPAPKQQEVGTSQDKGAVSESKPDEKVQDATPPQPTTTTTKVEEEPLEDKKSVSPPSPDFEAQKREDTPSQSPSEPIRDQKGQVEAVERVGSIGEPPMSEKELEQKPAPPRSATMQRDEKPQKGQEEFGPRPTTTTLTKVKTAERYQQGQEEFEPRATPPMLTKVKTAEKSQKGQEEIEPKSTPTMEQKIKTDEQLPEVQEEGEPRSAITTISRKATVKEQLEEKRIEETSADAAKKESDSKNEVKEEAYESRHAKDEDQADFKEKEKFVAKEAETCAPKVQKKKEKKGKSEENAVERVGGVSHVFSKVAEGILNEEEKKIATNIGAAVLVIAALGYYLSYRFTS >Vigun02g017300.1.v1.2 pep primary_assembly:ASM411807v1:2:6174077:6177601:1 gene:Vigun02g017300.v1.2 transcript:Vigun02g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLDYAGQRAEAIPQSTPIPNWTIHVSDIRTVKVSNISLVTSKVEIREFFSFSGDIQYIEMQRESDRTQVAYVTFKDTQGADTAVLLTGSKIGDLYVTITPVEKYQLPPDAVPVSPRNQSGDAVQKAEDVMSSMLAKGFILGKDAINKAKAFDERHQLTSNASSRVASFDRRMGFSDKISIGTAIVNGKVREMDERYQLSEITKSALAAAEQKASSAGSAIMSNSYVSTGASWVSSAFNAIAKAAGDVSTMTREKVEQAEVERNEIIYSERKGTVDEFGKKHFQDASDMGPAIVPVNSHDDHKLEII >Vigun09g108626.1.v1.2 pep primary_assembly:ASM411807v1:9:22830512:22831893:-1 gene:Vigun09g108626.v1.2 transcript:Vigun09g108626.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGKGSLKQQLAAIKLVLEDLRSKKDERIKEFLEIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKK >Vigun11g195600.5.v1.2 pep primary_assembly:ASM411807v1:11:39530181:39533894:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRGKPSSAKAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun11g195600.2.v1.2 pep primary_assembly:ASM411807v1:11:39530394:39533979:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKISKPEEIWQLLAQICSFKKQCIRCSYPQSCCSGSN >Vigun11g195600.4.v1.2 pep primary_assembly:ASM411807v1:11:39530181:39533973:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun11g195600.7.v1.2 pep primary_assembly:ASM411807v1:11:39530177:39533894:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRGKPSSAKAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun11g195600.8.v1.2 pep primary_assembly:ASM411807v1:11:39530394:39533973:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun11g195600.6.v1.2 pep primary_assembly:ASM411807v1:11:39530394:39533873:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRGKPSSAKAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun11g195600.3.v1.2 pep primary_assembly:ASM411807v1:11:39530181:39533973:1 gene:Vigun11g195600.v1.2 transcript:Vigun11g195600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVRTLTKNPIFSRDPKQLQFEADINSLFLYTSYKLLGENANEADAEEIIKMASKASFVDQQMQVQENVHSQIKAFCTCMNEILVSKEKMVNGPLELSQQANTSPLRAASKQKPLSQSEVSQKLKDQLGYTLNVKPSQIPHKDSGKGLLLDGAVDVGAVLAFYPGVIYSPSYYNQIPGYLDEQNSYLITRHDGTVIDAQPWGCGGDGPERFDGRKMLGNKLDNNDDVVERRNPLALAHFANHPPKGMLPNVMICPYDFPLTENSMRIYIPNILFGNAEVNLKRFGSSWLKSVVSKNSVSDVPTLKAVVLVATRALQDEELLLNYRLSNSKQWPQWYAPVDEEEDMNLKDESSQ >Vigun07g295900.1.v1.2 pep primary_assembly:ASM411807v1:7:40625021:40630632:1 gene:Vigun07g295900.v1.2 transcript:Vigun07g295900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSSSSSSSSSSSHSMSHAPTGLTRYGSAPGSLLTTTVDALIGGSRPTPTPYYSGGDSSDSTCKDQTSYHNHALGSALLRQKSSPAGFLSHLASTATAPTANHNHNGAGFTITRGSRLKSQLSFTGHCQESLCGGDNTNNVVLGDHATFGMEPWDSSHSHSNSIAFSAPPTKRSKSSNSSDQDILHCLNALESQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKGLQTQVQKLHKELENCTCGCTQSK >Vigun09g055100.1.v1.2 pep primary_assembly:ASM411807v1:9:5505407:5509109:-1 gene:Vigun09g055100.v1.2 transcript:Vigun09g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPMEIFKCFYINLLATFMVSAVLAIDPYSEALLSLKNELVDHDNSLSNWVVPSPGKLTGKYYVCSWSGVKCDNDSTTVTSIDLSMKKLGGVFSGKQFSIFTNLTNLNLSYNFFSGNLPPEIFNLTSLTSLDISRNNFSGSFPGGITRLQNLVVLDAFSNSFSGSLPAEFSELANLKVLNLAGSYFRNSIPSEYGSFKSLQFLHLAGNSLSGSIPPELGNLKTVTHMEIGYNLYQSFIPPELGNMSQLQYLDIAGANLSGHIPKQLSNLTSLQSLFLFRNQLTGTVPSELSNIESLADLDLSDNFLSGSIPESFSALKNLRLLSLMYNDMSGIVPEGIAQLQSLETLLIWNNRFSGSLPQSLGRKSKLKWVDASTNSLVGSIPPDICVSGVLFKLILFSNKFTGHLSSISNCSSLVRLRLEDNSFSGEIALKFTHLPHILYVDLSRNNFVGGIPSDISQATQLEYFNVSYNLQLGGTIPAKTWSLPQLQNFSASSCGISGDLPLFESCKSISVIDLDNNNLSGVIPNSASKCRALEKINLSHNSLTGHIPDELASVPVLVVVDLSNNNFNGLIPAQFGSSSSLQLLNVSFNNISGSIPTGKTFKLMGKSAFIGNSELCGAPLRSCSDSDGILGRKGTWKITHIVLLSVGLLIVLLGLTFGVLYLRRGIKSQWKMVSFVGLPQFTANDVLTSLTATKPTEVTSPSLAVTKVVLPTGITVLVKKMEWETRSIKVVSEFITRLGNSRHKNLIRLLGFCHNQHLVYLLYDYLPNGNLAEKMEIKWDWAAKFRTVVGIARGLCFLHHECYPAIPHGDLKPSNVVFDENMEPHLAEFGFKQVLRLSKDSSPTITKWEAEYDAATKEELRMDIYNFGEMILEILSGGRLRNAGTSIQSKPWEDLLREIYNENEANSGSSLQEIKLVLEVALFCTRSRSSEQPSMEDVVKHLSGLKHIDDGRSWKEGQ >Vigun08g084100.1.v1.2 pep primary_assembly:ASM411807v1:8:18208283:18213667:-1 gene:Vigun08g084100.v1.2 transcript:Vigun08g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGRNRATFHDPNPNPNPNPNTKTRQRRTRRTTVNTGRYNRNTLARCRIGNRELEEKEQQQQQHAPLLRVSEEDKEKEMDDEFDSGGGRSPDKAAPAEDEGSTAPIPERVQVGGSPLYKLDRKLGKGGFGQVYVGRRVGAGAGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSNTMSAEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTADEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCSVCPPPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDFRPINTEGAQKLIYLVGHKRGRLTVEEDDDEQPKKRVRMGMPAMQWISVYNARRPMKQRYHYNVADVRLSQHIEKGYEDGLFISSVACCSNLWALIMDAGTGFNSQVHELSPHFLHKEWIMEQWENNYYISAIAGSNNGSSLVVMSKGTQYMQQSYKVSESFPFKWINKKWREGFSVTAMATAGSRWAIVMSRGAGYSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSSHVKEKWSKNLYIASICYGRTVS >Vigun06g092400.1.v1.2 pep primary_assembly:ASM411807v1:6:22478415:22480998:1 gene:Vigun06g092400.v1.2 transcript:Vigun06g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNLALVSSSKPLMLGRVLARDATDRDVLRRKPFSPGRVFIAPHRCRYRVSALSSSHHGQKSVQEKLIVNHFASVSSSKTQETTSIGVNPQLSPPPSSTIGSPLFWIGVGVGLSALFSVLSSRLKVNVTLCIDIDGSLYEFEYNSARCNKVV >Vigun01g032200.1.v1.2 pep primary_assembly:ASM411807v1:1:4066534:4071545:1 gene:Vigun01g032200.v1.2 transcript:Vigun01g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIGEALQEGKPIPTELRNEEAALRRQIDLEDENTAVPRTHIDDEYAYAAEKDPKILLTTSRDPSAPLQQFVKELSIVFPNAQRMNRGGQVISEIIESCRAHDYTDVILVHEHRGVPDGLIVCHLPFGPTAYFGLHNVVTRHEIKDRKAIGTMPEAYPHLILDNFSTKLGERSANILKHLFPVPKPDTKRIVTFSNQSDYISFRHHIYEKHGGPKSVELKEIGPRFELRLYQIKLGTVDQAEAQIEWVIRPYMNTTKKRKFLSN >Vigun01g032200.2.v1.2 pep primary_assembly:ASM411807v1:1:4066534:4071526:1 gene:Vigun01g032200.v1.2 transcript:Vigun01g032200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIGEALQEGKPIPTELRNEEAALRRQIDLEDENTAVPRTHIDDEYAYAAEKDPKILLTTSRDPSAPLQQFVKELSIVFPNAQRMNRGGQVISEIIESCRAHDYTDVILVHEHRGVPDGLIVCHLPFGPTAYFGLHNVVTRHEIKDRKAIGTMPEAYPHLILDNFSTKLGERSANILKHLFPVPKPDTKRIVTFSNQSDYISFRHHIYEKHGGPKSVELKEIGPRFELRLYQIKLGTVDQAEAQIEWVIRPYMNTTKKRKFLSN >Vigun10g180800.1.v1.2 pep primary_assembly:ASM411807v1:10:39869528:39871515:1 gene:Vigun10g180800.v1.2 transcript:Vigun10g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGAFGGNRGLRPVPPEKGIFPLDHLHLCDIEKVEYLNCLKTEGHQSEKCRQFSKKYLQCRMERNLMAKQDLAELGFKESNVETPGGKVTDKVVTQDQ >Vigun02g044300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17848114:17849011:1 gene:Vigun02g044300.v1.2 transcript:Vigun02g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVEFPGFQIYSHKDEFVFRRSMSRLQKRAPCPLQIKPNEIKSFACSGAQNSMEAASTSSSLNSFFTSKDPIPLLSPLVLLQSTSIIEDNTAKSH >Vigun10g184800.1.v1.2 pep primary_assembly:ASM411807v1:10:40124968:40127226:-1 gene:Vigun10g184800.v1.2 transcript:Vigun10g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASINEEREERRRRITDRGSDRMALITGRINVLPPAPPSSGPSPTHRNARHAMSMSVAGGFDSHSEEQHTPPRHQRPQSLSAFDEYSENLTGGSEDKRRAGFSASRLKHQGGFRYAHLENMEFKTEEKPAKQDSNPITEANNKVSDDGAKVEPSPVPSQPKKSSVQNTRQVKQPPRHKATVFSSRELNYCILASESTRALSSLIIASMVVFYYFISKSVLASRPLYILLLTDVTIVLARLYGGKTIVVEENEREKGKAPGDGHSWGDAVKLLERGLVAYQALRGAFIDCSIYLVVVVCGTSFM >Vigun09g083625.1.v1.2 pep primary_assembly:ASM411807v1:9:10244912:10261502:1 gene:Vigun09g083625.v1.2 transcript:Vigun09g083625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSGKDGGLNPEGDYILSNPYHLLGVFSSQVFQESSRQKMWRRKHSPLHGMEKHCNVEIAAQVSRLSLSKHEGERDSFQLVGLMESPSVDDDGDCFSPLQLNATSINIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSVRNCFKILFETSCYRFSEDSTGQGFIINYNEYDSCIPLQGGC >Vigun02g100000.1.v1.2 pep primary_assembly:ASM411807v1:2:25500241:25501668:1 gene:Vigun02g100000.v1.2 transcript:Vigun02g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATFFNSQFLHTHGRINIWRLNRNSYFSENVKFEFPIHRSEFHHQVHLSHLAPICATFKEPKGFGPSSQKKKKSKKMKREYVEDGDEDDDDDEEEEPDRGVIPEVVTNRMMNRMGVSVGIPLGIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPLREGSFWGWNEAQKNWPVFWQSLRGGSRKN >Vigun02g100000.2.v1.2 pep primary_assembly:ASM411807v1:2:25500241:25501668:1 gene:Vigun02g100000.v1.2 transcript:Vigun02g100000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATFFNSQFLHTVHLSHLAPICATFKEPKGFGPSSQKKKKSKKMKREYVEDGDEDDDDDEEEEPDRGVIPEVVTNRMMNRMGVSVGIPLGIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPLREGSFWGWNEAQKNWPVFWQSLRGGSRKN >Vigun01g237900.2.v1.2 pep primary_assembly:ASM411807v1:1:40926800:40930219:-1 gene:Vigun01g237900.v1.2 transcript:Vigun01g237900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVVEGGSEKAKPKPEPSDLNTESMNLTAENLSLPYETFLRAATSLKDKVVEETWNRRDQVVDPTVYSGLLGTAFTCLRSYQVTGCRNDLLLCRDIVDTCVTAARASLRHVTFLCGSGGVYALGAVVANYMEDLRKRDLFLALFIEVAKEKALPVGPEEGGFGMSYDLMYGRAGFLWGALFLNKHLGEEAVPKDILVPIIDAVLAGGRAGASDIKECPLMYRWHGTRYLGAANGLAGILHVLLHFPLPSEDAEDVKGTLRYLMSKRFPHSGNYPSSEGNPRDKLVQWSHGATGMAITFCKAAQVFPNDRELRDAAIEAGEVVWKSGLVKKMGLADGVSGNAYAFLSLYRLTKESIYEERAKSFASCLYDNAKSLAPANGYSLFQGLAGTACLLFDLLQPQNSRFPGYEL >Vigun01g237900.1.v1.2 pep primary_assembly:ASM411807v1:1:40926800:40930219:-1 gene:Vigun01g237900.v1.2 transcript:Vigun01g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVVEGGSEKAKPKPEPSDLNTESMNLTAENLSLPYETFLRAATSLKDKVVEETWNRRDQVVDPTVYSGLLGTAFTCLRSYQVTGCRNDLLLCRDIVDTCVTAARASLSNRHVTFLCGSGGVYALGAVVANYMEDLRKRDLFLALFIEVAKEKALPVGPEEGGFGMSYDLMYGRAGFLWGALFLNKHLGEEAVPKDILVPIIDAVLAGGRAGASDIKECPLMYRWHGTRYLGAANGLAGILHVLLHFPLPSEDAEDVKGTLRYLMSKRFPHSGNYPSSEGNPRDKLVQWSHGATGMAITFCKAAQVFPNDRELRDAAIEAGEVVWKSGLVKKMGLADGVSGNAYAFLSLYRLTKESIYEERAKSFASCLYDNAKSLAPANGYSLFQGLAGTACLLFDLLQPQNSRFPGYEL >Vigun05g137850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16737192:16737536:-1 gene:Vigun05g137850.v1.2 transcript:Vigun05g137850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKFQISLTKGTLSKTPLVKLKGLSDSVWKNLQVMASRILVEAITFHEGYYGRFLILIHMGIGCTVWYGFAPGDGINIPTEGLAPLFSPIENYESFLTNPDVHVQPGLLVLA >Vigun01g136700.4.v1.2 pep primary_assembly:ASM411807v1:1:31682341:31688121:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHRHEKKMYVLKKIRLARQTDRTRRSAHQEMELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun01g136700.1.v1.2 pep primary_assembly:ASM411807v1:1:31682341:31687872:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSQSVHHLLLEIVQHIVVAMWVVEVISIQMEQYEILEQIGKGAFGSALLVRHRHEKKMYVLKKIRLARQTDRTRRSAHQEMELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun01g136700.6.v1.2 pep primary_assembly:ASM411807v1:1:31682979:31687932:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun01g136700.2.v1.2 pep primary_assembly:ASM411807v1:1:31681279:31688280:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHRHEKKMYVLKKIRLARQTDRTRRSAHQEMELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun01g136700.5.v1.2 pep primary_assembly:ASM411807v1:1:31681583:31687872:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHRHEKKMYVLKKIRLARQTDRTRRSAHQEMELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun01g136700.3.v1.2 pep primary_assembly:ASM411807v1:1:31681399:31688048:1 gene:Vigun01g136700.v1.2 transcript:Vigun01g136700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHRHEKKMYVLKKIRLARQTDRTRRSAHQEMELIAKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAEAIKKANGVNFSEEKLCKWLVQLLMALDYLHGNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKPAFKALDIQSLINKINKCIVAPLPTMYTAAFRGLVKSMLRKNPELRPTASELLNHPHLQPYIQKIHLKLNSARRSTFPFQWPEPNYVRRTRFVEPESVSTLSDQDKYLSFSKDMALNPSISGTEQVSQCSTQRALGLSTCSEEKIYELSVGCVGNKYNADTSKATKVSTVERAPRLRAVTASATAKRQTMAPSKTTYTGPNRDSLPVSKAPSGRFSTPPRARATTNLYTNFCVLGSVDSPNVSVNAPRIDKMAEFPMAFSEDPFFPVRGPSSTSARCSSSSTRSTADCSITMVKCTIQEDKVTVPNIVSDACPGPKATQYPSEHVKACVSSRSSAEVDQRRFDTSSYQQRAEALEGLLEFSARLLQQQRFDELGVLLKPFGPEKVSPRETAIWLTKSFKETVV >Vigun05g116500.1.v1.2 pep primary_assembly:ASM411807v1:5:12407587:12409405:1 gene:Vigun05g116500.v1.2 transcript:Vigun05g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFFLFSLAAFCYIILTDGAQLIVVNNCGESVWPGILGGAGQQSPKDGGMHLGSGEEIVLEVPEKWSGRIWGRQGCSFDSDGNGHCVTGDCNGKLHCRGQGGVPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPIGGGVGCGVASCEVDLNICCPSALEVKRNGRVVGCKSACLAMQSAKYCCTGNYSDPKTCKPTLFAHLFKAICPKAYSYAYDDSSSLNRCRAPRYVITFCPPPL >Vigun05g230500.1.v1.2 pep primary_assembly:ASM411807v1:5:42410925:42414172:-1 gene:Vigun05g230500.v1.2 transcript:Vigun05g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLHPKLNHLLCLFIITLSFAHAQATTFGYCADVNYSVKVSGVEITPDPVVRARPVTFKISAATGEAIYGGKWEIAVSYFGFVVHKEIHDFCEQVSCPVATGSFVVAHTQMLPAFAPPGTYTVEMTLKNEKNKPLTCITFNLKIGLGSLTTI >Vigun05g230500.2.v1.2 pep primary_assembly:ASM411807v1:5:42410925:42414172:-1 gene:Vigun05g230500.v1.2 transcript:Vigun05g230500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAADVNYSVKVSGVEITPDPVVRARPVTFKISAATDLLNAGEAIYGGKWEIAVSYFGFVVHKEIHDFCEQVSCPVATGSFVVAHTQMLPAFAPPGTYTVEMTLKNEKNKPLTCITFNLKIGLGSLTTI >Vigun05g230500.3.v1.2 pep primary_assembly:ASM411807v1:5:42410925:42414172:-1 gene:Vigun05g230500.v1.2 transcript:Vigun05g230500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAADVNYSVKVSGVEITPDPVVRARPVTFKISAATGEAIYGGKWEIAVSYFGFVVHKEIHDFCEQVSCPVATGSFVVAHTQMLPAFAPPGTYTVEMTLKNEKNKPLTCITFNLKIGLGSLTTI >Vigun03g239100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39876089:39878522:-1 gene:Vigun03g239100.v1.2 transcript:Vigun03g239100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKFVRGDKPEKQEKNDIILLKIVPPVDQTYVKWLAKDLARIHSFTPKRARPVQPPDHYVEYMKLNGWLDVDLDDPDLAHLFK >Vigun03g239100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39876053:39878573:-1 gene:Vigun03g239100.v1.2 transcript:Vigun03g239100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKFVRGDKPEKQEKNDIILLKIVPPVDQTYVKWLAKDLARIHSFTPKRARPVQPPDHYVEYMKLNGWLDVDLDDPDLAHLFK >Vigun03g239100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39876043:39878537:-1 gene:Vigun03g239100.v1.2 transcript:Vigun03g239100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKFVRGDKPEKQEKNDIILLKIVPPVDQTYVKWLAKDLARIHSFTPKRARPVQPPDHYVEYMKLNGWLDVDLDDPDLAHLFK >Vigun03g239100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39876042:39878573:-1 gene:Vigun03g239100.v1.2 transcript:Vigun03g239100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKFVRGDKPEKQEKNDIILLKIVPPVDQTYVKWLAKDLARIHSFTPKRARPVQPPDHYVEYMKLNGWLDVDLDDPDLAHLFK >Vigun03g427500.1.v1.2 pep primary_assembly:ASM411807v1:3:63259548:63264051:-1 gene:Vigun03g427500.v1.2 transcript:Vigun03g427500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYALSSYPSFLTRTAPSDSRTSLPLPSHSQRGLHFPPHSQHTHRLNQTKKISSGVYASMSESGEYYSQRPPTPLLDTINYPVHMKNLSTKELKQLADELRSDVIFSVSRTGGHLGSSLGVVELTVALHYVFNAPMDRILWDVGHQSYPHKILTGRRDKMHTMRQTNGLSGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKRIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVAILNEVKSTKITGPVLIHVITEKGRGYPYAEKAADKYHGVTKFDPPTGKQFKSKAATQSYTTYFAEALIAEAEADKDIIAIHAAMGGGTGMNIFHRRFPSRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAINDRPSCFRYPRGNGIGAELPTGNKGTPLEIGKGRVLIEGERVALLGYGSAVQNCLAAASLVERHGLRLTVADARFCKPLDCSLIRRLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPIVLPDRYIDHGSPADQLFMAGLTPSSIAATVFNILGQTREALEVIS >Vigun10g159800.2.v1.2 pep primary_assembly:ASM411807v1:10:37885254:37895756:1 gene:Vigun10g159800.v1.2 transcript:Vigun10g159800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSTKEKVQTNDAVADGADLKSCYMQKFRLYETRSKFYMIGRDKHRTFWRVLKIDRLEPSELNIVEDSTIYSEIECCDLLRRVHEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITKRRKIGTICGHNIYAITKSEMVPIPHSTVRSKMAYSKDENRYKKLLCSVDLAKDFFFSYSYNVMFSLQRNLSDHNTTGQSVYETLFVWNEFLTRGIRNNLQNTSWTVALVHGFFKQVKLSISDSEFNLTIIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFTEARDGHPMQISSVVQNRGSIPLFWSQEASRLNIKPDIILSRKDSTFEATRLHFDNLVKRYGKPIIILNLIKTREKRPRETILRAEFANAVRYINKSLRGEDRLRFLHWDLHRHSRCKATNVLGQLAKVAAYALKLTGIFYSPVTPNIRLDGLSQYSYSENNNVIIDHSITEEASINKNDVDTETEVSNCYYRDDENKDYNDKPLMLQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLQVLGFTESPNIDLDNPLAEELMEVYESMGDTLAFQYGGSAAHNKIFSERRGQWKAATQSQEFIRTLQRYYNNTYLDGDKQKAINLFLGHFQPQQGKPALWELDSDQYYAVKRHGLYLTDGSVRSTITRSLSDGNILGESDASIRNLNDDTDCQKSSENPDKRFLSGSTPDIFTCGSDICHCRQIHGGMVKGKHCESGHICYDEHGDACDCSNFLDVDWLSSSGNSCEEELLERSTSISSENIANELVTTETSASESGSSLKGRQSGEELKEVNKEDRKYSERFERWVNEGDMHFV >Vigun10g159800.1.v1.2 pep primary_assembly:ASM411807v1:10:37885254:37895756:1 gene:Vigun10g159800.v1.2 transcript:Vigun10g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSTKEKVQTNDAVADGADLKSCYMQKFRLYETRSKFYMIGRDKHRTFWRVLKIDRLEPSELNIVEDSTIYSEIECCDLLRRVHEGNKSTGGLKFVTTCYGIIGFIKFLEPYYMLLITKRRKIGTICGHNIYAITKSEMVPIPHSTVRSKMAYSKDENRYKKLLCSVDLAKDFFFSYSYNVMFSLQRNLSDHNTTGQSVYETLFVWNEFLTRGIRNNLQNTSWTVALVHGFFKQVKLSISDSEFNLTIIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFTEARDGHPMQISSVVQNRGSIPLFWSQEASRLNIKPDIILSRKDSTFEATRLHFDNLVKRYGKPIIILNLIKTREKRPRETILRAEFANAVRYINKSLRGEDRLRFLHWDLHRHSRCSKATNVLGQLAKVAAYALKLTGIFYSPVTPNIRLDGLSQYSYSENNNVIIDHSITEEASINKNDVDTETEVSNCYYRDDENKDYNDKPLMLQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLQVLGFTESPNIDLDNPLAEELMEVYESMGDTLAFQYGGSAAHNKIFSERRGQWKAATQSQEFIRTLQRYYNNTYLDGDKQKAINLFLGHFQPQQGKPALWELDSDQYYAVKRHGLYLTDGSVRSTITRSLSDGNILGESDASIRNLNDDTDCQKSSENPDKRFLSGSTPDIFTCGSDICHCRQIHGGMVKGKHCESGHICYDEHGDACDCSNFLDVDWLSSSGNSCEEELLERSTSISSENIANELVTTETSASESGSSLKGRQSGEELKEVNKEDRKYSERFERWVNEGDMHFV >Vigun03g099100.1.v1.2 pep primary_assembly:ASM411807v1:3:8481176:8484656:-1 gene:Vigun03g099100.v1.2 transcript:Vigun03g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKERDNFVYVAKLAEQAERYEEMVDAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNDVSVKRIKEYRQKVESELSNICSDIMTVIDEHLIPSSTTGEPSVFFYKMKGDYYRYLAEFKSGDERKEAADHSMKAYETASTTAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEQKVDSARAAGGEEA >Vigun03g099100.4.v1.2 pep primary_assembly:ASM411807v1:3:8481177:8484656:-1 gene:Vigun03g099100.v1.2 transcript:Vigun03g099100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKERDNFVYVAKLAEQAERYEEMVDAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNDVSVKRIKEYRQKVESELSNICSDIMTVIDEHLIPSSTTGEPSVFFYKMKGDYYRYLAEFKSGDERKEAADHSMKAYETASTTAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEQKVDSARAAGGEEA >Vigun03g099100.2.v1.2 pep primary_assembly:ASM411807v1:3:8481133:8484888:-1 gene:Vigun03g099100.v1.2 transcript:Vigun03g099100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKERDNFVYVAKLAEQAERYEEMVDAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNDVSVKRIKEYRQKVESELSNICSDIMTVIDEHLIPSSTTGEPSVFFYKMKGDYYRYLAEFKSGDERKEAADHSMKAYETASTTAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEQKVDSARAAGGEEA >Vigun03g099100.3.v1.2 pep primary_assembly:ASM411807v1:3:8481173:8484888:-1 gene:Vigun03g099100.v1.2 transcript:Vigun03g099100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKERDNFVYVAKLAEQAERYEEMVDAMKNVAKLNVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNDVSVKRIKEYRQKVESELSNICSDIMTVIDEHLIPSSTTGEPSVFFYKMKGDYYRYLAEFKSGDERKEAADHSMKAYETASTTAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEQKVDSARAAGGEEA >Vigun05g241000.2.v1.2 pep primary_assembly:ASM411807v1:5:43425210:43433293:1 gene:Vigun05g241000.v1.2 transcript:Vigun05g241000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVVRSRGRPRKRRREEDGTVAGDPKAFPEAKKAPPVALVGRYVLKEFRGNTVLLGKVVRYESGLYRVVYESGGFEDLGSSAIRRILLLDSYFDDDLIRRKGELEESVLPKIAEEQERGSRELHEDLSVESEEERDETDDESCSEAMDLSSDSQTPIPSPPTLPPSSGTIGVPESCVLNLLSVYGFLRSFSIRLFLSPFTLDEFVGALNCKVSNTLLDAIHISLMRVLRRHLENISPDGSRRATKCLRCIDWSLLDALTWPVFTFQYLAIYDYTKGPEWKGFYDEIFYGEYYLLSASRKLMILQILCDDVLASEEFKAEMNMREELEVGIDYDNEDDSLPTEIGPRRVHPRYSKTTACKDSETKKYVSELNAEDADGNGDECRLCGMDGTLLCCDGCPAVYHSRCIGVMKMHIPDGEWYCPECKINMIGPSIARGTSLKGAEVFGKDLYGQVFMGTCDHLLVLDVNSDKFCLKYYNQNDIPEVLRVLYASEQLRPIYNGICMAMLEYWKIPENFLSICVTSVPQVNLTNSNTDMKLSPMIEEENEALSSVKAEYSSTFSNGNCSDNLEPSLDASLVASSGSAPGSRSEGLVSDNLGKATSGNTYLLAKAFSQTASRFFWPSSEKKLVEVPRERCGWCLSCKALISSKKGCMLNHAALSATKNAMKILSGLAPVRIGEGIIPSIATYVIYMEESLRGLIVGPFISECYRRHWRKQVERATSFSDIKPLLLKLEENIRTIAFCGDWVKLMDDWLAEFSTFQSAAVTLGTTQKRATCGRRKKQLSINKVTAGACPENFTWWHGGKFSKSVFQKAVLPKSMTRKAARQGGLRKISGILYADGSEIPKRSRQVVWRAAVQMSTNASQLALQVRYLDFHVRWNDLIRPEHNLLDVKGQDNEASAFRNANIHEKRIVEGKILYRVAFGSQKHLPSRVMKHVEIERGPEGKEKFWFSEKRIPLYLVKEYEMRNGKMLSDKEYMYITSQLHKRRLKATYKDIFFYLTCKRDKLDILSCSVCQLGVLIGNALKCSACQGYCHTGCSVSSTVSTCEEVEFLATCIQCHHAKLLTQKESCNESPTSPLLLEGQDQSTSAVLKGPGAKCDGQELMSSRTKNSRSDTKGFASDFSLETKGRSRNCSWGIIWKKKNNEDTGFDFRLKNILLKGGSGLPQLKPVCRLCQKPYNSDLMYICCETCKHWYHAEAVELEESRLFDVLGFKCCKCRRIKSPVCPFSDLSYRTQDDKRSSRASKKDYFLGDSDSGTPIDRRTCEPATPICPAVDVSRQDSDHLLFSLSSVELLLAEPELDANGVGSTVSGPGIPKISKRERENNGSCRGNLHAEFSTSNEMLSKSVKDLFPSVEDASANCSLPKDPEIVNYNEFVDFEPHTFFSLTELLHSDENIQSEEADASRVLSGCLKNSCSVAEGCGTVDLASNCEPKNLLQGNVDSCRQCSQKEPLPDLHCQICRIWIHSQCSPWVESPSRLSSWRCGDCREWR >Vigun05g241000.1.v1.2 pep primary_assembly:ASM411807v1:5:43425211:43433293:1 gene:Vigun05g241000.v1.2 transcript:Vigun05g241000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVVRSRGRPRKRRREEDGTVAGDPKAFPEAKKAPPVALVGRYVLKEFRGNTVLLGKVVRYESGLYRVVYESGGFEDLGSSAIRRILLLDSYFDDDLIRRKGELEESVLPKIAEEQERGSRELHEDLSVESEEERDETDDESCSEAMDLSSDSQTPIPSPPTLPPSSGTIGVPESCVLNLLSVYGFLRSFSIRLFLSPFTLDEFVGALNCKVSNTLLDAIHISLMRVLRRHLENISPDGSRRATKCLRCIDWSLLDALTWPVFTFQYLAIYDYTKGPEWKGFYDEIFYGEYYLLSASRKLMILQILCDDVLASEEFKAEMNMREELEVGIDYDNEDDSLPTEIGPRRVHPRYSKTTACKDSETKKYVSELNAEDADGNGDECRLCGMDGTLLCCDGCPAVYHSRCIGVMKMHIPDGEWYCPECKINMIGPSIARGTSLKGAEVFGKDLYGQVFMGTCDHLLVLDVNSDKFCLKYYNQNDIPEVLRVLYASEQLRPIYNGICMAMLEYWKIPENFLSICVTSVPQVNLTNSNTDMKLSPMIEEENEALSSVKAEYSSTFSNGNCSDNLEPSLDASLVASSGSAPGSRYNTRTTVNLKLCEETAMNSTFSFANHQSNPKFENSVNKSTAVGPARCTFVNNQFNNYGHTNDLRLPMNLSLQTKGDQSGFGKCKGSLTKDFMYTGCSYKPQSYINCYMHGDFAASAAANLAVLSSEDSRSEGLVSDNLGKATSGNTYLLAKAFSQTASRFFWPSSEKKLVEVPRERCGWCLSCKALISSKKGCMLNHAALSATKNAMKILSGLAPVRIGEGIIPSIATYVIYMEESLRGLIVGPFISECYRRHWRKQVERATSFSDIKPLLLKLEENIRTIAFCGDWVKLMDDWLAEFSTFQSAAVTLGTTQKRATCGRRKKQLSINKVTAGACPENFTWWHGGKFSKSVFQKAVLPKSMTRKAARQGGLRKISGILYADGSEIPKRSRQVVWRAAVQMSTNASQLALQVRYLDFHVRWNDLIRPEHNLLDVKGQDNEASAFRNANIHEKRIVEGKILYRVAFGSQKHLPSRVMKHVEIERGPEGKEKFWFSEKRIPLYLVKEYEMRNGKMLSDKEYMYITSQLHKRRLKATYKDIFFYLTCKRDKLDILSCSVCQLGVLIGNALKCSACQGYCHTGCSVSSTVSTCEEVEFLATCIQCHHAKLLTQKESCNESPTSPLLLEGQDQSTSAVLKGPGAKCDGQELMSSRTKNSRSDTKGFASDFSLETKGRSRNCSWGIIWKKKNNEDTGFDFRLKNILLKGGSGLPQLKPVCRLCQKPYNSDLMYICCETCKHWYHAEAVELEESRLFDVLGFKCCKCRRIKSPVCPFSDLSYRTQDDKRSSRASKKDYFLGDSDSGTPIDRRTCEPATPICPAVDVSRQDSDHLLFSLSSVELLLAEPELDANGVGSTVSGPGIPKISKRERENNGSCRGNLHAEFSTSNEMLSKSVKDLFPSVEDASANCSLPKDPEIVNYNEFVDFEPHTFFSLTELLHSDENIQSEEADASRVLSGCLKNSCSVAEGCGTVDLASNCEPKNLLQGNVDSCRQCSQKEPLPDLHCQICRIWIHSQCSPWVESPSRLSSWRCGDCREWR >Vigun02g091050.1.v1.2 pep primary_assembly:ASM411807v1:2:24609280:24610454:1 gene:Vigun02g091050.v1.2 transcript:Vigun02g091050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSTTSSISTTMCSTCPIPSSSTGSATISTSMGSSITITSSTPTPTSTASSIPNAILLHLLDLLHNLHHALHHTLRLLHLLDHHHIPHHALHQYRTLHQLHLLDHPLIHHHALHLHHILHQLLLLLHHHIHLHVLHPHHTLHQLHLPDHPLIHHHALHPHHILHQLHLLAHHHIHLHALHHHHTLHLLHLHFLDHYQHNHHHVLHLHHTLHLLPLHLLDHPRNRPLAVLLHHRHHQLLHPYHTHHPVLLLHHIHLHHQHHFRHLLHTQCRVPHRLHIPLLLHLLDLHHNHHHALPHHHKPHRHHHHFHHHHHHIHHHILLPHPVLRHYHLHTQQLHAPHHIHRHHPSRHQHHLHNFQQ >Vigun09g217700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39131503:39132364:-1 gene:Vigun09g217700.v1.2 transcript:Vigun09g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPSTTTSTSTAPTGAASPPHPTWHSPVPYLFGGLAAMLGLIAFALLILACSYWKISGALQNEENGERNVEKLGDSENKESVTVYEEKILVIMAGDEKPTFLATPKASSVSRPLPNHFEQSLQHHVTSQKSQKEVETHLQQPQQTLQQQQQTQTP >Vigun10g132300.1.v1.2 pep primary_assembly:ASM411807v1:10:34206168:34210270:1 gene:Vigun10g132300.v1.2 transcript:Vigun10g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPRPLKIYFLPFFAQGHQIPLVQLARLVASRGQHVTIVTTPGNAELFQKTIDDDIASGHHIRVHLIKFPNTRVGLPEGVENLVSATTSTTAAKIHMAAHFIQPQIEAVLKESPPDVFIPDILFTWSKNLSKTLQIPRLVFNPISIFDVCMIQAIKTHPEAFLSDSAPYHIPGLPHPLTLPVKPSPGFAALTESLLEGEEDSHGVIVNSFAELDAEYTQHYENLTGRKVWHVGPSSLMVEQIVKKPAIVSEIRHECLTWLDSKERDSVLYVCFGSLVLLSDKQLYELATGLDASGHSFIWVVHRKNKEGEEEEEEKWLPEGFEEKIEKEKRGMLIKGWAPQPLILNHPAVGGFLTHCGWNAVAEAISAGIPMVTMPGFSDQYYNEKLITEVHGFGVEVGAAEWSISPYDGKKEVLRGERIEKAVKRLMDRGEEGGKIRKKAKEMQEKAWRAVQEGGSSHNSLTALVDYLKALIPNRIRGNEESQREDHWNGEYQRKKSEPHATNLTGKKELPANETTSDVETTSIIANANPTINA >Vigun11g057832.1.v1.2 pep primary_assembly:ASM411807v1:11:12091870:12092235:1 gene:Vigun11g057832.v1.2 transcript:Vigun11g057832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun10g154900.2.v1.2 pep primary_assembly:ASM411807v1:10:37493077:37496464:-1 gene:Vigun10g154900.v1.2 transcript:Vigun10g154900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIVSILDHVPVGFRFRPTDEELVNYYLKHKLLGDDFSVQVIPEIDLCRVEPWDVPAKSVIKSDDPEWFFFSSVDYKYSNSKRVNRTTEHGFWKATGNDRKIRIGGTNNVIGTKKTLVFHEGRVPRGAKTNWVIHEYHALTSHESQTFVLCRLMKKFEKKNEGGIEAPNLPDYGNPVPAEEIPSVVNVSPRVIVDPISSDDIFFPPVEQSAVGIELEEIFRNPSLLDACFGNESSNAAQIPFRDTDDEDDFVNSIWVDDEELVINEERRHCFVNSSTQPKSLRRVYNASTGTDAELVSNLHANICSGEYSGMSSIDSNHEAHKEKKESIIQDDFWAVETSSCDSTADEPIEISSSSSTRTRLENRCNPRPDNFILQKTVAGRPQTQKKVSNNAVSHVETRRELVTVKSEKDEKKAQNSSSREILKIRSHQSSDVNSKGSFFHMETTSSNQNLFPRSVYLVNVVIGIVLLIVISCDVLLC >Vigun10g154900.1.v1.2 pep primary_assembly:ASM411807v1:10:37493077:37496464:-1 gene:Vigun10g154900.v1.2 transcript:Vigun10g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIVSILDHVPVGFRFRPTDEELVNYYLKHKLLGDDFSVQVIPEIDLCRVEPWDVPAKSVIKSDDPEWFFFSSVDYKYSNSKRVNRTTEHGFWKATGNDRKIRIGGTNNVIGTKKTLVFHEGRVPRGAKTNWVIHEYHALTSHESQKTFVLCRLMKKFEKKNEGGIEAPNLPDYGNPVPAEEIPSVVNVSPRVIVDPISSDDIFFPPVEQSAVGIELEEIFRNPSLLDACFGNESSNAAQIPFRDTDDEDDFVNSIWVDDEELVINEERRHCFVNSSTQPKSLRRVYNASTGTDAELVSNLHANICSGEYSGMSSIDSNHEAHKEKKESIIQDDFWAVETSSCDSTADEPIEISSSSSTRTRLENRCNPRPDNFILQKTVAGRPQTQKKVSNNAVSHVETRRELVTVKSEKDEKKAQNSSSREILKIRSHQSSDVNSKGSFFHMETTSSNQNLFPRSVYLVNVVIGIVLLIVISCDVLLC >Vigun10g154900.3.v1.2 pep primary_assembly:ASM411807v1:10:37493074:37495680:-1 gene:Vigun10g154900.v1.2 transcript:Vigun10g154900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLQKTFVLCRLMKKFEKKNEGGIEAPNLPDYGNPVPAEEIPSVVNVSPRVIVDPISSDDIFFPPVEQSAVGIELEEIFRNPSLLDACFGNESSNAAQIPFRDTDDEDDFVNSIWVDDEELVINEERRHCFVNSSTQPKSLRRVYNASTGTDAELVSNLHANICSGEYSGMSSIDSNHEAHKEKKESIIQDDFWAVETSSCDSTADEPIEISSSSSTRTRLENRCNPRPDNFILQKTVAGRPQTQKKVSNNAVSHVETRRELVTVKSEKDEKKAQNSSSREILKIRSHQSSDVNSKGSFFHMETTSSNQNLFPRSVYLVNVVIGIVLLIVISCDVLLC >Vigun02g182300.2.v1.2 pep primary_assembly:ASM411807v1:2:32269314:32273154:-1 gene:Vigun02g182300.v1.2 transcript:Vigun02g182300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLTTEAIALTEKKMDMTLDDIIKMSKNTNTKKQRRVPNKAQKFSNNFAQDKTAKVQRYMESRSSLRQGALAKRRSNFKGNQFPAALDVARKAATAPLQNRVPNRNRVPNWNKPRFRVPVGQRRAVNGGFAAKQPIPSSQKQVENVDIMPKQKPQTLDSLFANMKEQRMRVLSMQNNAVQRNGNGYRRLPWGRGRFGN >Vigun02g182300.1.v1.2 pep primary_assembly:ASM411807v1:2:32269528:32273146:-1 gene:Vigun02g182300.v1.2 transcript:Vigun02g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLTTEAIALTEKKMDMTLDDIIKMSKNTNTKKQRRVPNKAQKFSNNFAQDKTAKVQRYMESRSSLRQGALAKRRSNFKGNQFPAALDVARKAATAPLQNRVPNRNRVPNWNKPRFRVPVGQRRAVNGGFAAKQQPIPSSQKQVENVDIMPKQKPQTLDSLFANMKEQRMRVLSMQNNAVQRNGNGYRRLPWGRGRFGN >Vigun07g206100.3.v1.2 pep primary_assembly:ASM411807v1:7:32766748:32775307:1 gene:Vigun07g206100.v1.2 transcript:Vigun07g206100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDWSSSVSAYRLLFRALSLIPISHYLLFASLILIVLLYRFLEFHFLQDLFSLFAGSPVALTFHPASDVYDGVVSKCRILHGSYLATPWLSSPHLQTVFLNFFGRPPFFKYRRQLFTTPDGGTVALDWLMSSDVSAGATHVESVVCKDESTPIVVVIPGLTSDSSSAYLKHLAYHTAKCGWKVVISNHRGLGGVSITSDCFYNAGWTEDVRTVVSYLHRENPRAPLFIVGTSIGANVLIGDRFITRRRVQKFYDKALAVGLQGYAKLHQPHFSRLANWEGIEKSISIRDFDNHATRIVGKYETVDTYYRRCSSSNYVQFVSIPLLCISALDDPVCTREAIPWDECRANKNVVLATVKHGGHLAFFEGITASGLWWVRAVNEFLGELHASDFMHVQKKIPKPNTPLGTSIDRGPYVNVTEDGMVAALNNELKNDNTEEIQVMQDTHNEGLKMIPEEVKRDELETNAKTVGSPAIAQIGSAQDATANVMRPFKIYLGQLSRQNQWSIWLLVYIAITTSWPLVGSALYIVFGKKIRNILPNGLLRR >Vigun07g206100.2.v1.2 pep primary_assembly:ASM411807v1:7:32766646:32775307:1 gene:Vigun07g206100.v1.2 transcript:Vigun07g206100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDWSSSVSAYRLLFRALSLIPISHYLLFASLILIVLLYRFLEFHFLQDLFSLFAGSPVALTFHPASDVYDGVVSKCRILHGSYLATPWLSSPHLQTVFLNFFGRPPFFKYRRQLFTTPDGGTVALDWLMSSDVSAGATHVESVVCKDESTPIVVVIPGLTSDSSSAYLKHLAYHTAKCGWKVVISNHRGLGGVSITSDCFYNAGWTEDVRTVVSYLHRENPRAPLFIVGTSIGANVLIKYLGEEGENTPVAGAVAVCSPWDLLIGDRFITRRRVQKFYDKALAVGLQGYAKLHQPHFSRLANWEGIEKSISIRDFDNHATRIVGKYETVDTYYRRCSSSNYVQFVSIPLLCISALDDPVCTREAIPWDECRANKNVVLATVKHGGHLAFFEGITASGLWWVRAVNEFLGELHASDFMHVQKKIPKPNTPLGTSIDRGPYVNVTEDGMVAALNNELKNDNTEEIQVMQDTHNEGLKMIPEEVKRDELETNAKTVGSPAIAQIGSAQDATANVMRPFKIYLGQLSRQNQWSIWLLVYIAITTSWPLVGSALYIVFGKKIRNILPNGLLRR >Vigun07g206100.1.v1.2 pep primary_assembly:ASM411807v1:7:32766643:32775307:1 gene:Vigun07g206100.v1.2 transcript:Vigun07g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDWSSSVSAYRLLFRALSLIPISHYLLFASLILIVLLYRFLEFHFLQDLFSLFAGSPVALTFHPASDVYDGVVSKCRILHGSYLATPWLSSPHLQTVFLNFFGRPPFFKYRRQLFTTPDGGTVALDWLMSSDVSAGATHVESVVCKDESTPIVVVIPGLTSDSSSAYLKHLAYHTAKCGWKVVISNHRGLGGVSITSDCFYNAGWTEDVRTVVSYLHRENPRAPLFIVGTSIGANVLIKYLGEEGENTPVAGAVAVCSPWDLLIGDRFITRRRVQKFYDKALAVGLQGYAKLHQPHFSRLANWEGIEKSISIRDFDNHATRIVGKYETVDTYYRRCSSSNYVQFVSIPLLCISALDDPVCTREAIPWDECRANKNVVLATVKHGGHLAFFEGITASGLWWVRAVNEFLGELHASDFMHVQKKIPKPNTPLGTSIDRGPYVNVTEDGMVAALNNELKNDNTEEIQVMQDTHNEGLKMIPEEVKRDELETNAKTVGSPAIAQIGSAQDATANVMRPFKIYLGQLSRQNQWSIWLLVYIAITTSWPLVGSALYIVFGKKIRNILPNGLLRR >Vigun09g273400.1.v1.2 pep primary_assembly:ASM411807v1:9:43429454:43436544:-1 gene:Vigun09g273400.v1.2 transcript:Vigun09g273400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHDFVNRTLEYILHRVPDTEINGNMLKNSLRIGQVFGLEPRNKKTVFLKILQHYLSTHSITEPLLYTLELLAELFCCDASPVPATMTAAYCAVAVECTLKYLKLSRHHHNPLYLDAVNRIWRVRIALMNSSGSGKESVLLSGELEQWRKDIETSLLDSEVMERLASIDTKRDAMVKVKAFLDEARTDLEPGSLKCSSVAADNDNQTSVFENCDQGPCSVETNIIAGVNESGKSISGELLVKESLPITLITESSDRRFILVDKSTTADVYEWDESIDGLEGGTSNRASRFNLPSPKRRNLSPLKKYEPKETKMRRKARRWNQLEEATLREGVVKFGKGNWKLILNSEKDIFAGRTEVDLKDKWRNMSRRKHE >Vigun04g152400.1.v1.2 pep primary_assembly:ASM411807v1:4:36935416:36942049:-1 gene:Vigun04g152400.v1.2 transcript:Vigun04g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLFGRKNGSGFSSSSTAEQVTEGIDGSNLTAIVTGATSGIGTETARVLAMRGVHVIMGVRNLVTAKDVKEAILKEIPSAKVDYMELDLSSMASVRKFASDFISSGLPLNILINNAGIFATPFALSEDNIELQFATNHMGHFLLTNLLLDTMKKTTHESKKEGRIVNVSSITHHLSRGIRFDQINDPSSYHMWFTYGQSKLANVLHANELARRFKEEGVDITANSLHPGAVITNIFRHTNILSGLINTVGRFVFKNVQQGAATTCYVALHPQVKGMSGHYFEDCNVSTENSKARDTELAKKLWDFSLNLIK >Vigun04g152400.2.v1.2 pep primary_assembly:ASM411807v1:4:36935416:36942049:-1 gene:Vigun04g152400.v1.2 transcript:Vigun04g152400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGATSGIGTETARVLAMRGVHVIMGVRNLVTAKDVKEAILKEIPSAKVDYMELDLSSMASVRKFASDFISSGLPLNILINNAGIFATPFALSEDNIELQFATNHMGHFLLTNLLLDTMKKTTHESKKEGRIVNVSSITHHLSRGIRFDQINDPSSYHMWFTYGQSKLANVLHANELARRFKEEGVDITANSLHPGAVITNIFRHTNILSGLINTVGRFVFKNVQQGAATTCYVALHPQVKGMSGHYFEDCNVSTENSKARDTELAKKLWDFSLNLIK >VigunL059015.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000170.1:92017:92479:1 gene:VigunL059015.v1.2 transcript:VigunL059015.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun06g191900.5.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTWDRETNGFSASCFVTAITDALNRTYGEPSNRLQNLPNHYINTLLVPRNGEIPVDIDSLAAQGIFDVIVVDSIRDPKVGIIYDPSSLIRSLADLTDRYMKSQVNGLIDTKR >Vigun06g191900.2.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPTFCNTLPLSFPISARILSSSFSSSSSMAASPSHHRFHHHRRCYSNPNPSQPQPALLVFSGGTAFNGVIEELKNFTDRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTVEALAVRNLLGHRLPLDPLQAKSEWYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKKNGRYLC >Vigun06g191900.6.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSEESTLSWKVIMFYGKMCQNPTGRLFELFWFISRIRFSAGQKSHFVSATAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTWDRETNGFSASCFVTAITDALNRTYGEPSNRLQNLPNHYINTLLVPRNGEIPVDIDSLAAQGIFDVIVVDSIRDPKVGIIYDPSSLIRSLADLTDRYMKSQVNGLIDTKR >Vigun06g191900.3.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPTFCNTLPLSFPISARILSSSFSSSSSMAASPSHHRFHHHRRCYSNPNPSQPQPALLVFSGGTAFNGVIEELKNFTDRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTVEALAVRNLLGHRLPLDPLQAKSEWYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTWDRETNGFSASCFVTAITDALNRTYGEPSNRLQNLPNHYINTLLVPRNGEIPVDIDSLAAQGIFDVVCLFNLFILCY >Vigun06g191900.1.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPTFCNTLPLSFPISARILSSSFSSSSSMAASPSHHRFHHHRRCYSNPNPSQPQPALLVFSGGTAFNGVIEELKNFTDRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTVEALAVRNLLGHRLPLDPLQAKSEWYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTWDRETNGFSASCFVTAITDALNRTYGEPSNRLQNLPNHYINTLLVPRNGEIPVDIDSLAAQGIFDVIVVDSIRDPKVGIIYDPSSLIRSLADLTDRYMKSQVNGLIDTKR >Vigun06g191900.4.v1.2 pep primary_assembly:ASM411807v1:6:30919376:30927415:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPTFCNTLPLSFPISARILSSSFSSSSSMAASPSHHRFHHHRRCYSNPNPSQPQPALLVFSGGTAFNGVIEELKNFTDRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTVEALAVRNLLGHRLPLDPLQAKSEWYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKGSFSTPALPSRIKRVFYMSSEGKNLLHEVFPSVNAAVLEWLNNVNCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVYCCTRQNGP >Vigun06g191900.7.v1.2 pep primary_assembly:ASM411807v1:6:30919375:30927414:1 gene:Vigun06g191900.v1.2 transcript:Vigun06g191900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPTFCNTLPLSFPISARILSSSFSSSSSMAASPSHHRFHHHRRCYSNPNPSQPQPALLVFSGGTAFNGVIEELKNFTDRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTVEALAVRNLLGHRLPLDPLQAKSEWYSIVEGDHVLWKDVSKPYRETIRAFLVYFQNQILRRAEESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTRGTIELIKKFFDSSTSFKNKTSVLHVK >Vigun08g108200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26908227:26908460:-1 gene:Vigun08g108200.v1.2 transcript:Vigun08g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEIRGSYNEYNHKNLCLWQLSDYNRIIFIDFEIILLRNSEDLQTALSAYRVFRTMRLEVSDRGVSGVESVIRRVE >Vigun07g007900.11.v1.2 pep primary_assembly:ASM411807v1:7:674898:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSE >Vigun07g007900.7.v1.2 pep primary_assembly:ASM411807v1:7:674898:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTL >Vigun07g007900.3.v1.2 pep primary_assembly:ASM411807v1:7:674895:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIFGSSERVEQAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSE >Vigun07g007900.6.v1.2 pep primary_assembly:ASM411807v1:7:674887:681635:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIFGSSERVEQAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTLVSPPNSRNISRLRDSSIHLTEGERSIIEQFESGVYVTLVVLPNGYKVFKRIRFRGGAVNEDSMSSKQKNGGTKTKIRCIVNTFHHHPQKMPLLDHLLPLQMLKRIVRHDLLPNEVASVSQV >Vigun07g007900.8.v1.2 pep primary_assembly:ASM411807v1:7:674887:681635:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIFGSSERVEQAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTL >Vigun07g007900.2.v1.2 pep primary_assembly:ASM411807v1:7:674893:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSE >Vigun07g007900.5.v1.2 pep primary_assembly:ASM411807v1:7:674898:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTLVSPPNSRNISRLRDSSIHLTEGERSIIEQFESGVYVTLVVLPNGYKVFKRIRFRGGAVNEDSMSSKQKNGGTKTKIRCIVNTFHHHPQKMPLLDHLLPLQMLKRIVRHDLLPNEVASVSQV >Vigun07g007900.10.v1.2 pep primary_assembly:ASM411807v1:7:674895:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIFGSSERVEQAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKVYFIVHSTFISINYVLYSLTRTCPN >Vigun07g007900.1.v1.2 pep primary_assembly:ASM411807v1:7:674885:681635:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTLVSPPNSRNISRLRDSSIHLTEGERSIIEQFESGVYVTLVVLPNGYKVFKRIRFSKRRFNEQQAEEWWNQNKDKVYSKYIPPPPTKNAITGPSVTSTNAEENSEA >Vigun07g007900.4.v1.2 pep primary_assembly:ASM411807v1:7:674887:681635:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIFGSSERVEQAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKNKSLRQKNDTQDMKIQELHKVLEEESSKLREAKDFIKSMTDKLSEVIETLQSETGNETLRTMHAQAEEFLDEEKRLEASSSRLSLKSKPQSAPDLPSSDSNSSGVVPSQDGENTFEETSNSSTSSTLVSPPNSRNISRLRDSSIHLTEGERSIIEQFESGVYVTLVVLPNGYKVFKRIRFSKRRFNEQQAEEWWNQNKDKVYSKYIPPPPTKNAITGPSVTSTNAEENSEA >Vigun07g007900.9.v1.2 pep primary_assembly:ASM411807v1:7:674893:681566:1 gene:Vigun07g007900.v1.2 transcript:Vigun07g007900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGERGSSFRRAPISHSLSFYAFITLKKGTQLVKYSRKGKPKFCSFRLSSDETKLIWISHGKEKNLKLASVSHIIPGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDQAEVEIWFSCLKALISTGDRIKRGISDLTNDSVDLVAGTLEFASSIGRSRFSFESVSHDSTSSWSRSDTNMLQRTSVGDGSRISISSISHSSSVGSGLDDIESLGDVFIWGEVWGDGNSSDGLGTQASSKIDVLTPKALESNVVLDVNQIGPGVRHIALVTRQGEVFTWGEDSGGRLGHGFEKDFGRPHLVDSLAITNVSFVACGEYHSCAVSVSGDLFTWGDATNSSGLLGHGSDVNYCIPKRVSGTLEGHQVVSVACGAWHSALATTLGKLFTFGDGRFGVLGHGDRECVSYPKQVQLLSGHKAIKVACGIWHSAAIIEIMDPSGSNPSSKKIFTWGDGDQYRLGHVNKDSYLQPTCVAALADYSFNQIACGYTMTVALTVSGHVFTMGGTSYGQLGNPNSDGKVPILVRDKLVGEFVEEIACGANHVAVLTSRSELYTWGRGANGRLGHGDTDDQKTPTLVEALKDRHVRSISCGSTFTCCICLHKWLSGVDQSVCSDCRQPFGFTRKRHNCYNCGLVFCHPCSSKKASKAALAPTPSKPHRVCDGCYAKLKGGGGGGGDTASNLSKEISRPSSSSYGRERFERGEVKSSRILLPPITEPIKYLEIRTIKHGNIDETSSMIRAAQVPISLQLKDVAFPISLSSAQNFFKPLIQSGHPQPPPPPIRPMSPYAKKRPPSPPRSTSPGFSRSLIDNLKKKNDHMSQEFSKLQNKVYFIVHSTFISINYVLYSLTRTCPN >Vigun05g279400.1.v1.2 pep primary_assembly:ASM411807v1:5:46873654:46884743:1 gene:Vigun05g279400.v1.2 transcript:Vigun05g279400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLRTILIAQKLHLRYPEFIFPPLISHYSATAHSSEADHTHRPTNETATTGWSSYGNIYDRPIAQQGDHSDGDENPSGLRGSDVAVVKKKGKVKAQWVCSDCGHTTGKWWGTCPECELTGTMQEFHESKLTDKSRGGLAITEDAVGSWLPRRPEELRPVKLEEVNRGFNHDKWRIPLSGSLGNEVSTVLGGGLVPGSLTLVGGDPGIGKSTLLLQISAMIANECSDGETPPVVYVSGEESLKQICARADRLGIKSNIYLYSSTDIEDILRKTHGLSPCALVVDSIQTAYLNTVIGSAGGITQVKECTAALMRFAKTTNISVILIGHVTKSGEIAGPRVLEHIVDVVLYMEGEKYSPYRMLRAVKNRFGSTDELAVLEMSQSGLQVVSNASEMFLTQQHSDSNVLAGLAIAVIMDGTRTFLVEIQALCLTHSPVSSSQSNGIHVNRANIIKCVLIKQAGLHLQDNAVFLNVVGGFKVTETAGDLAIAAAMCSSFLEFPIPDGTAFIGEIGLSGELRMVPRIDKRVNTVAKLGYRMCVVPKQAEKLLETEGLEEMKVVGCKDLKEVINTVFSRVIVN >Vigun03g092300.1.v1.2 pep primary_assembly:ASM411807v1:3:7800480:7808919:1 gene:Vigun03g092300.v1.2 transcript:Vigun03g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQALSPLLQRPFQPLFPPLALGFTFKPSFQTPTKHAPLHSSFIIFSRSSQSVKFRPSFATVTETDGVVIEDDDTEPGFVDDTEPGFDGDNELGFVNIGYISSVHGVQGEIRVKPATDFPELRFATPGRRWLKSKVLGAQSIQEIELEEGREHPGLKSWILKFRGIETVEQAKMLIGSTLLVTKEDRPELEEGEFYTHDLIGMRVFMKENGTLVGTVVNVFNSGANDLLQIALDSSFDMLDKSGKSKSAGTDISGQLVLVPFVEAIVPDVDMKKREMHITPPKGLLELNLRFDGRSKKERRQLEWKERKKFQKRLIAAKKKLSEMEQQHVFQGYHHGEKDQWSLLSDQIVGVNSKLLQDALQSLERPAKRWTVSELASAIEAKLINSIQLSEEYFSNGSENKLVRDMQEKGHKLMSEGKMATVFLLNEKEHQEGIYDSNLVENEAANTSILQMFQKIKGRVSVPLILVSSAQRILSLRDLFTSNNYFAFDSEKVWFLEEEKLPVVSSLPEEQNKYKILMKSPWEILQAPVGSGGLISLFSKHGIADNLIDMGVEYIELCCPSEKIAGGNSLLLGMVKSREAKIGMQIQPTISDSEKYFDVIFSLDFVKKLQSSKLQFDAIPRAHSFVEKVDKEWVTVTTSTPNSFELSCSIYSSLNACSLDKVCIVEVRE >Vigun05g250900.1.v1.2 pep primary_assembly:ASM411807v1:5:44489018:44491094:-1 gene:Vigun05g250900.v1.2 transcript:Vigun05g250900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSATLLVLLFVLGNGVAVTMGRFLRTEVEVIEEDLDEELFLMKKSKLVANTSVGKIRVFYDSRVPERRLQTAITTMEPRSLLTPHLVAATQVMYVRSGEAKLGYIYKNNQRLTQMRLREGDVYQIPAGSAFYIANEESDQKLEIISGIEPSQGLRDDVFESFYIAGGANSGLSGFKEEILEASFNVSQEEVTVLINQQKGKFVRLKDSQATGTWSKFLELKEEEKLQQLREMVQQGQENEEVEKEDEESGDDEEEQQQTSLPWRMLFKSVFGEEIKNTREKITEDPPRSYNLNKRKPDFENKYGWRVAVDGSQYHPLKSSGIGIYHEYLSAGSMMAPRVNPMATEYGIVVNGSGRVQVLFPNGSNAMDTNITKGDVFFIPSNFPYCEIAHKGESLELLGFTTSAQRNRPVVLVGARSVVRTMEGPELATSFGVSKEEIKHLVSAQHESVILPTP >Vigun01g073400.1.v1.2 pep primary_assembly:ASM411807v1:1:20384365:20387084:-1 gene:Vigun01g073400.v1.2 transcript:Vigun01g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLCGTLKSENNSRTKVQPTSSNESKKNATESSGDLDQTGLTPPSLNLPALKFELDGDVEVQSPDSSMWESFFADQLDADFMISSPVRNVPSPQLSSYNCSYNYAHGMQGQTLSGCSPPRFSSSQIGPFNTTHKGKGLSPLHRVFNSPNNQYMQHVENLSLPAIEEFLEDYQGYSSTKVSSDIATSSECFDLSSQISSSMLDSLTLPNSSRYHGSVDEESSVQESDIYHQMGSMASASLSQALQQERYQEKRQKQHQQQQQRQQDNLMVPIPIGIEQEQDSGLQLVHLLLACAEAVAKEEYMLARRYLHHLNRVVTPLGDSMQRVAACFTDSLSARLNATLTPKPSKPISPSNSMEVLKIYQIVYQACPYVKFAHFTANQAIFEAFETEERVHVIDLDILQGYQWPAFMQALAARPTTAPFLRITGVGPSLDAVRETGRCLTELAHSLRIPFEFHAVGEQLEDLKPHMLHRRVGEALAVNAVNRLHRVPGNHLGNLLSMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAQRAKVEQYIFAPEIRNIVACEGPERFERHERLEKWRKIMEGKGFKGVALSPNAVTQSKILLGLYSCEGYRLTEDKGCLLLGWQDRAIVAASAWRC >Vigun07g239800.1.v1.2 pep primary_assembly:ASM411807v1:7:36107339:36110761:-1 gene:Vigun07g239800.v1.2 transcript:Vigun07g239800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPPSFPASPSSPLLKSRVRVFNAIPNPTPSPFKASIFSLNPRKFTPMDLPRNVSSAAVVGDNDSATTTLPIDSGARIGEVKRVTKETNVSVKINLDGSGVADSSSGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGNFSAPLDEALIHVSLDLSGRPYLGYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGTVPSSKGVLSRS >Vigun05g222300.1.v1.2 pep primary_assembly:ASM411807v1:5:41426512:41428168:-1 gene:Vigun05g222300.v1.2 transcript:Vigun05g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCELCKVPARTFCESDQASLCWDCDAKVHGANFLVARHTRTLLCHTCQSPTPWKASGAALGNTVSLCERCAGGTAEQGQESQGGNDDDLETDEDDYDDDDETDDEVAADEDGDNQVVPWSSAPPPPAPSSSSSEESVCRCNNAYEDVSTTLKRRREDNDFECSNSNNWGCQLSEVERGGWLIRLRRRTAAEDDGLAVERRSSRAVAPYGCGDDDRASEDV >Vigun03g343500.2.v1.2 pep primary_assembly:ASM411807v1:3:54269138:54274978:1 gene:Vigun03g343500.v1.2 transcript:Vigun03g343500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRSGLIKKAREISVLCDADVALIVFSTKGKLLEYSNQPSVERIIDRYERHSYAERQEGGSDQAPNENWAIEHEKLKARVEVLQLHQRNFMGEDLDSLNLRGLQSLEQQLDSALRHIRLRKNQAMHESISGLQKKDKALRERNNVLAKKIKEKEKALVPQEQEALLNNGDVTSVMVTKPLESLNIGGSPEAKYDEGTPTSTQPKTVIPPWMMTLPTDE >Vigun03g343500.1.v1.2 pep primary_assembly:ASM411807v1:3:54269138:54274978:1 gene:Vigun03g343500.v1.2 transcript:Vigun03g343500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRSGLIKKAREISVLCDADVALIVFSTKGKLLEYSNQPSVERIIDRYERHSYAERQEGGSDQAPNENWAIEHEKLKARVEVLQLHQRNFMGEDLDSLNLRGLQSLEQQLDSALRHIRLRKNQAMHESISGLQKKDKALRERNNVLAKKIKEKEKALVPQEQEALLNNGDVTSVMVTKPLESLNIGCRGSPEAKYDEGTPTSTQPKTVIPPWMMTLPTDE >Vigun09g103400.1.v1.2 pep primary_assembly:ASM411807v1:9:18086345:18088754:1 gene:Vigun09g103400.v1.2 transcript:Vigun09g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHETWAFVFGLLGNVISFMVFLAPLPTFYQIYKKKPAEGFQSLPYVVALFSSMLWIYYAMVKKDASLLLITINSFGCVIESIYLLIFLIYAPSKTRLSTIKLLLLLNVFGFGAMLLSTLYFTTGTKRLSVIGWICLVFNISVFAAPLCIMNV >Vigun09g103400.2.v1.2 pep primary_assembly:ASM411807v1:9:18086345:18087520:1 gene:Vigun09g103400.v1.2 transcript:Vigun09g103400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHETWAFVFGLLGNVISFMVFLAPLPTFYQIYKKKPAEGFQSLPYVVALFSSMLWIYYAMVKKDASLLLITINSFGCVIESIYLLIFLIYAPSKTRLSTIKLLLLLNVFGFGAMLLSTLYFTTGTKRLSVIGWICLVFNISVFAAPLCIMVMI >Vigun09g142900.1.v1.2 pep primary_assembly:ASM411807v1:9:30534247:30537155:-1 gene:Vigun09g142900.v1.2 transcript:Vigun09g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVMRVRLASFFAGAATASFAGLYILHKDYKVAHQSFSKQMNDLHNSLESRISALEKLKQTETSEQVEASE >Vigun02g170100.2.v1.2 pep primary_assembly:ASM411807v1:2:31322853:31326039:1 gene:Vigun02g170100.v1.2 transcript:Vigun02g170100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHYYHCAASLIFRSDARARLGHTLTLESPQSSWLGTELRVSPCTLNLRNYVSVTRRRPLTATVSLPTEKPERASPGQKFPKWSSKAIKSFAMSELEARKLKYPTTGTEALLMGVLIEGTNLAAKFLRANGITLLKVQDETVKLLGKGDLFFFSPEHPPLTDEAQRALDWAVDRKIKSGWLFSFFSFTIVYHQLQECGELVSMLIVLCALMLWLVI >Vigun02g170100.1.v1.2 pep primary_assembly:ASM411807v1:2:31322853:31327319:1 gene:Vigun02g170100.v1.2 transcript:Vigun02g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHYYHCAASLIFRSDARARLGHTLTLESPQSSWLGTELRVSPCTLNLRNYVSVTRRRPLTATVSLPTEKPERASPGQKFPKWSSKAIKSFAMSELEARKLKYPTTGTEALLMGVLIEGTNLAAKFLRANGITLLKVQDETVKLLGKGDLFFFSPEHPPLTDEAQRALDWAVDRKIKSGDGGELTTAHILLGVWSEVGSPGHKILSTLGFNDEKAGELESLISKPGCMDD >Vigun04g063650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6900679:6901029:1 gene:Vigun04g063650.v1.2 transcript:Vigun04g063650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLVMLVMEVVVAMVVVVIVVVVVVMKVVMVVVVVEVVVMVDMVLMVVLVVVVMLVIVVVEVVVVVVVEVVVVVVVVLVMVMVIMVVDVVVMVVVVVVLVVEVEGKKLISSNKL >Vigun01g079600.1.v1.2 pep primary_assembly:ASM411807v1:1:22502934:22505793:1 gene:Vigun01g079600.v1.2 transcript:Vigun01g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAAKRLSSLSSSPWRANHAASAVLSQSPVAPSLSSEERRSDPFSLRPEFFLPVRGYATDSLFHPKENSLIPEIPATVAAVKNPSSKIIYDEHNHERFPPGDPSKRAFAYFVLTGGRFAYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRDPQQDAERVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLEENKLMIG >Vigun03g299900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48806256:48806423:1 gene:Vigun03g299900.v1.2 transcript:Vigun03g299900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNHIRNNFVIITALSIIVSTTWQQVRPSFSLSVGNGISETNVQDQAHLIPSNN >Vigun03g299900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48803714:48806599:1 gene:Vigun03g299900.v1.2 transcript:Vigun03g299900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNHIRNNFVIITALSIIVSTTWQQVRPSFSLSVGNGISETNVQDQAHLIPSNN >Vigun03g299900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48803714:48806598:1 gene:Vigun03g299900.v1.2 transcript:Vigun03g299900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNHIRNNFVIITALSIIVSTTWQQVRPSFSLSVGNGISETNVQDQAHLIPSNN >Vigun09g165400.1.v1.2 pep primary_assembly:ASM411807v1:9:33335758:33337440:-1 gene:Vigun09g165400.v1.2 transcript:Vigun09g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFVLTVAGVSAVVLLLRSDVKQSASIFKRNVKHIRHWLEEETAASAEVIEKSTPKELKSKVPSKDNHKD >Vigun06g215900.1.v1.2 pep primary_assembly:ASM411807v1:6:32699683:32703766:-1 gene:Vigun06g215900.v1.2 transcript:Vigun06g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRSLRRRDVASATFSAYRSLTGSAKPAYVAHKWSSLARPFSSRPAGNDVIGIDLGTTNSCVSVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKRTESIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNHLIERTKAPCKSCVKDANISVKDVDEVLLVGGMTRVPKVQEVVSDIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKTLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQERKALIDIRNSADTTIYSIEKSLGEYREKIPSEVAKEIEDAVSDLRKAMSGDNVDEIKSKLDAANKAVSKIGEHMSGGSSGGSSAGGSQGGDQAPEAEYEEVKK >Vigun03g425600.1.v1.2 pep primary_assembly:ASM411807v1:3:63118137:63127383:-1 gene:Vigun03g425600.v1.2 transcript:Vigun03g425600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSKTQVSNDSTSDEEEEEEVAADNHQIEDDDEELEAVAQSASSDDDDNSPDEAADDDDDNKQDESNVDSEVSKREKARLKKMQQLKKQKVQEILDAQNATIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSSQKKTKGRGRHASKVTEEEEDEEYLKGEEDGLANTRLVSQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERKHIREELLVAGKFDVCVTSFEMVIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDEQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPFTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIENFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDENKFDFKKIVSENWVEPPRRERKRNYSESDYFKQTMRQGAPTKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDSIDVDEPEEVGDPLTAEELEEKEQLLEEGFSSWSRKDFNAFIRACEKYGRNDIKSIASEMEGKTQEEVERYAKVFRERYRELNDYDRIIKNIERGEARITRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMIHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELTRRCDTLIRLVEKENQEYDERERQARKEKKLAAKSMTPSKRSMSRQNESPSLKKRKQLTMDDYLSSGKKKK >Vigun07g207600.4.v1.2 pep primary_assembly:ASM411807v1:7:32897263:32899882:-1 gene:Vigun07g207600.v1.2 transcript:Vigun07g207600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGECWRLMEMEMEMEEETEKMSKDGSASKCKSVLPHETPDLKEDYIVGQKVLGKGRLGTTYVCTHMDTRKTYACKTIPKAKLLCQEEYDDVWREIQILHHLSEHPNVARIQGSYEDKFAVHLVIELCRGGELFYRITQKGHYSEREAAKLMKTIVGVVEGCHAHGVIHRDLKPENFLFDTLARDATLKVIDFGFSVFFKPGQTFSDIVGTCYYMAPEVLHKQSGPEVDVWSAGVILYTLLRGLPPFWAKSEAGVYKQILHAEVDFTSHPWPSISGSAKDLIKQMLDKDPKKRISAHEVLCHPWILDDSVAPDKPLDPAVLTRLKHFSTMNKLKKMALRVVQSQEVYSKR >Vigun07g207600.2.v1.2 pep primary_assembly:ASM411807v1:7:32895006:32899881:-1 gene:Vigun07g207600.v1.2 transcript:Vigun07g207600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGECWRLMEMEMEMEEETEKMSKDGSASKCKSVLPHETPDLKEDYIVGQKVLGKGRLGTTYVCTHMDTRKTYACKTIPKAKLLCQEEYDDVWREIQILHHLSEHPNVARIQGSYEDKFAVHLVIELCRGGELFYRITQKGHYSEREAAKLMKTIVGVVEGCHAHGVIHRDLKPENFLFDTLARDATLKVIDFGFSVFFKPGQTFSDIVGTCYYMAPEVLHKQSGPEVDVWSAGVILYTLLRGLPPFWAKSEAGVYKQILHAEVDFTSHPWPSISGSAKDLIKQMLDKDPKKRISAHEVLCHPWILDDSVAPDKPLDPAVLTRLKHFSTMNKLKKMALRADIDNNGTIDYGEFLAATMHLNKMEREENLVAAFSYFDKDGSGYITIDELQQACKDFGLGELHLDEMIKEIDQDNDGRINYGEFATMMRRGEPGGCRSKVMNSNHKASLFPGLEVKDSS >Vigun07g207600.1.v1.2 pep primary_assembly:ASM411807v1:7:32895006:32899881:-1 gene:Vigun07g207600.v1.2 transcript:Vigun07g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGECWRLMEMEMEMEEETEKMSKDGSASKCKSVLPHETPDLKEDYIVGQKVLGKGRLGTTYVCTHMDTRKTYACKTIPKAKLLCQEEYDDVWREIQILHHLSEHPNVARIQGSYEDKFAVHLVIELCRGGELFYRITQKGHYSEREAAKLMKTIVGVVEGCHAHGVIHRDLKPENFLFDTLARDATLKVIDFGFSVFFKPGQTFSDIVGTCYYMAPEVLHKQSGPEVDVWSAGVILYTLLRGLPPFWAKSEAGVYKQILHAEVDFTSHPWPSISGSAKDLIKQMLDKDPKKRISAHEVLCHPWILDDSVAPDKPLDPAVLTRLKHFSTMNKLKKMALRVIVERLSEEEIGGLKELFKMIDEDNSGTITFQELKDGLKSVGCDLMESEIRSLMEAADIDNNGTIDYGEFLAATMHLNKMEREENLVAAFSYFDKDGSGYITIDELQQACKDFGLGELHLDEMIKEIDQDNDGRINYGEFATMMRRGEPGGCRSKVMNSNHKASLFPGLEVKDSS >Vigun07g207600.3.v1.2 pep primary_assembly:ASM411807v1:7:32896234:32899881:-1 gene:Vigun07g207600.v1.2 transcript:Vigun07g207600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGECWRLMEMEMEMEEETEKMSKDGSASKCKSVLPHETPDLKEDYIVGQKVLGKGRLGTTYVCTHMDTRKTYACKTIPKAKLLCQEEYDDVWREIQILHHLSEHPNVARIQGSYEDKFAVHLVIELCRGGELFYRITQKGHYSEREAAKLMKTIVGVVEGCHAHGVIHRDLKPENFLFDTLARDATLKVIDFGFSVFFKPGQTFSDIVGTCYYMAPEVLHKQSGPEVDVWSAGVILYTLLRGLPPFWAKSEAGVYKQILHAEVDFTSHPWPSISGSAKDLIKQMLDKDPKKRISAHEVLCHPWILDDSVAPDKPLDPAVLTRLKHFSTMNKLKKMALRTLIQVVQSQEVYSKR >Vigun03g090150.2.v1.2 pep primary_assembly:ASM411807v1:3:7589820:7597127:1 gene:Vigun03g090150.v1.2 transcript:Vigun03g090150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGRGRPKGSSVQKKVKESEDGELVIPNTPLNVVKVEPYFIEEHEGGTSSMPAKRGRERPKGSQAENFQESVHSILNSRMNPSKIVEVGLDSEEPQDAVVPNKNGQERPSGLGQYCQENACENFYGNPSNMMDVSLRCKEEQEAATTDEVDEPRERGLWQPIESINQENHQQNADTVVKPKRKGRGQTKGLSLQMKRQQSADGKLDVLIHPTKMVAIGPGRNDFITDLSLIVRQNARLNVRQWKKVPQSTRDTIVQNILNNWRLPHTDMVRKAILHEAGRLYQNWRSRLHEYYLKFETKDEALKHVPNDVNDSDWQFLVDYFSSSYFEIMSAKNKANKAKQRVKHTTGSKSFLATSYDARDPVTGTEPDMQTFWQLTHKRGNGEWIDEASKEINDKAAQQINEKRCQIDYSEEGGETNEEEIISTAFQTLVGKKSYMRGFGPFGAELRSSPSSSSGKIQQLQAELDAQKRETENARKECDEIRARLVEVESHLEDERLKRIELEARLLDRQNEIQEISCQVQNTIQAALSQYLPPKSETETSTKNKRKIAELEAQLHGAEDVITDIRSELIKYRKDQESKDERVHLLQS >Vigun03g090150.3.v1.2 pep primary_assembly:ASM411807v1:3:7589820:7597127:1 gene:Vigun03g090150.v1.2 transcript:Vigun03g090150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGRGRPKGSSVQKKVKESEDGELVIPNTPLNVVKVEPYFIEEHEGGTSSMPAKRGRERPKGSQAENFQESVHSILNSRMNPSKIVEVGLDSEEPQDAVVPNKNGQERPSGLGQYCQENACENFYGNPSNMMDVSLRCKEEQEAATTDEVDEPRERGLWQPIESINQENHQQNADTVVKPKRKGRGQTKGLSLQMKRQQSADGKLDVLIHPTKMVAIGPGRNDFITDLSLIVRQNARLNVRQWKKVPQSTRDTIVQNILNNWRLPHTDMVRKAILHEAGRLYQNWRSRLHEYYLKFETKDEALKHVPNDVNDSDWQFLVDYFSSSYFEIMSAKNKANKAKQRVKHTTGSKSFLATSYDARDPVTGTEPDMQTFWQLTHKRGNGEWIDEASKEINDKAAQQINEKRCQIDYSEEGGETNEEEIISTAFQTLVGKKSYMRGFGPFGAELRSSPSSSSGKIQQLQAELDAQKRETENARKECDEIRARLVEVESHLEDERLKRIELEARLLDRQNEIQEISCQVQNTIQAALSQYLPPKSETETSTKNKRKIAELEAQLHGAEDVITDIRSELIKYRKDQESKDERVHLLQS >Vigun03g090150.1.v1.2 pep primary_assembly:ASM411807v1:3:7590239:7597127:1 gene:Vigun03g090150.v1.2 transcript:Vigun03g090150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGRGRPKGSSVQKKVKESEDGELVIPNTPLNVVKVEPYFIEEHEGGTSSMPAKRGRERPKGSQAENFQESVHSILNSRMNPSKIVEVGLDSEEPQDAVVPNKNGQERPSGLGQYCQENACENFYGNPSNMMDVSLRCKEEQEAATTDEVDEPRERGLWQPIESINQENHQQNADTVVKPKRKGRGQTKGLSLQMKRQQSADGKLDVLIHPTKMVAIGPGRNDFITDLSLIVRQNARLNVRQWKKVPQSTRDTIVQNILNNWRLPHTDMVRKAILHEAGRLYQNWRSRLHEYYLKFETKDEALKHVPNDVNDSDWQFLVDYFSSSYFEIMSAKNKANKAKQRVKHTTGSKSFLATSYDARDPVTGTEPDMQTFWQLTHKRGNGEWIDEASKEINDKAAQQINEKRCQIDYSEEGGETNEEEIISTAFQTLVGKKSYMRGFGPFGAELRSSPSSSSGKIQQLQAELDAQKRETENARKECDEIRARLVEVESHLEDERLKRIELEARLLDRQNEIQEISCQVQNTIQAALSQYLPPKSETETSTKNKRKIAELEAQLHGAEDVITDIRSELIKYRKDQESKDERVHLLQS >Vigun03g090150.4.v1.2 pep primary_assembly:ASM411807v1:3:7590727:7597127:1 gene:Vigun03g090150.v1.2 transcript:Vigun03g090150.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGRGRPKGSSVQKKVKESEDGELVIPNTPLNVVKVEPYFIEEHEGGTSSMPAKRGRERPKGSQAENFQESVHSILNSRMNPSKIVEVGLDSEEPQDAVVPNKNGQERPSGLGQYCQENACENFYGNPSNMMDVSLRCKEEQEAATTDEVDEPRERGLWQPIESINQENHQQNADTVVKPKRKGRGQTKGLSLQMKRQQSADGKLDVLIHPTKMVAIGPGRNDFITDLSLIVRQNARLNVRQWKKVPQSTRDTIVQNILNNWRLPHTDMVRKAILHEAGRLYQNWRSRLHEYYLKFETKDEALKHVPNDVNDSDWQFLVDYFSSSYFEIMSAKNKANKAKQRVKHTTGSKSFLATSYDARDPVTGTEPDMQTFWQLTHKRGNGEWIDEASKEINDKAAQQINEKRCQIDYSEEGGETNEEEIISTAFQTLVGKKSYMRGFGPFGAELRSSPSSSSGKIQQLQAELDAQKRETENARKECDEIRARLVEVESHLEDERLKRIELEARLLDRQNEIQEISCQVQNTIQAALSQYLPPKSETETSTKNKRKIAELEAQLHGAEDVITDIRSELIKYRKDQESKDERVHLLQS >Vigun03g285800.1.v1.2 pep primary_assembly:ASM411807v1:3:46732808:46739922:1 gene:Vigun03g285800.v1.2 transcript:Vigun03g285800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPFHYNFSRDRLLLLLRSSLSHSFPSPPIRSPNFPITFPPASSFRHFAAAPLARYPPPPPLDAMAQKFGKSTRRPGASSKARVYADVNVVRPKEYWDYESLNVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDGEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYQTLSDYDIRYYIFELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELSAYLDKYRIELDPHLAALIGRHSRKPWAKFINVDNHHLAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRTRTH >Vigun10g132700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34240508:34240873:-1 gene:Vigun10g132700.v1.2 transcript:Vigun10g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAYSGLLCGGVAGRTGPHSLPLARIKKIMKKSGDDVKMISGEAPIIFSKACELFIEELTRRSWIIAMQGKRRTLHKEDLTSAVIATDLFDFLITLVSDSDTRSPGVTTTTMEVETLY >Vigun05g169520.1.v1.2 pep primary_assembly:ASM411807v1:5:29183278:29185022:1 gene:Vigun05g169520.v1.2 transcript:Vigun05g169520.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWMQKHEGKRLKVFGLVPWLKASQQPPPRPPPPLPPLPPPPPRSPPQPPPFTTTATTTITVTATTVTTTMATNTTTITTTTSTTTITTSTTSTTSSTTTTITTTIATTTITITTVTTTMTTITTTITTTTTATATTTTTTTTTAPPRPPRNHHHDHHHQHHHNHHHGHLNHCSPTSTTTTVTTRVTTNTTTANTTTSTITTTTNITTTTITTMAITTTTATSTTTATVTTTNTMATTTTATTTTTTTTTTTTTTNTTTTTTTTSTTTTTTTMATNTTAITTTTITTSTTTTTTTTTTTIATTTITITTVTITVTTTTTTIITTTTTAITTITAPTTTTTQPPPRPPPRPPPSPPPPPLPPPPPPPPPPP >Vigun10g075450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20463843:20465984:1 gene:Vigun10g075450.v1.2 transcript:Vigun10g075450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDFSWMVPIEVMLGSLFHGQVQACSISSVTEELRGPNEAAFKPKEVSIGPLHRGITRHLQLMEETKWRYMREFLDRRGTEEQNRRSEQRLRECGTDILKLDKLIIASYGGNIESEPHELAKIMIVDGCFLLELLMRLGDNSSNPNDPILQNQEKVVSVINDITMLENQVPFIVLKKLFRKVFPDRSDINSDHRVANIVRRAFGYPEVNNSGGVHILHLMHLSTVEQTQQEGKRVKQELLRCATRLHAAGITIEAADITHRHELVDWFNFDISFSGSVLRIPPLYVKETTEVRWRNLIAWEQSRIWIRCKYTSYALFFQGLVCCKHDIELLEEKGVIVNRARKSKDELLDLFNTISKGAEYMDSSYEDICDRLNKFQGKKVRTVLQKVPIVTWHGCRGVYEVVVYYCRNWYRILIRDHIPTVWKFIGVLAAVVLLVLTIMQTYYSSRQKN >Vigun09g174300.1.v1.2 pep primary_assembly:ASM411807v1:9:34535198:34538764:1 gene:Vigun09g174300.v1.2 transcript:Vigun09g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVYYQAVGTLQSHSHLNNFNRHQKHQNNTVRLVARDFISGNGLSRRSTNRSGRRNCTVIRSLASQTSVVDPVLSPSRSNAGDSNKKSNEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDVIFTSALIRAQMTAMLAMTQHRRGKVPIVLHNESERARSWSQVFSEDTKKQSIPVIQAWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDQIEPQLLSGKNVMISAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFIRRGSPIGPSEAGVYAYTRRLALYKQKLDDMFQ >Vigun09g174300.2.v1.2 pep primary_assembly:ASM411807v1:9:34535165:34538764:1 gene:Vigun09g174300.v1.2 transcript:Vigun09g174300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVYYQAVGTLQSHSHLNNFNRHQKHQNNTVRLVARDFISGNGLSRRSTNRSGRRNCTVIRSLASQTSVVDPVLSPSRSNAGDSNKKSNEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDVIFTSALIRAQMTAMLAMTQHRRGKVPIVLHNESERARSWSQVFSEDTKKQSIPVIQAWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDQIEPQLLSGKNVMISAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFIRRGSPIGPSEAGVYAYTRRLALYKQKLDDMFQ >Vigun01g231700.1.v1.2 pep primary_assembly:ASM411807v1:1:40406618:40412478:1 gene:Vigun01g231700.v1.2 transcript:Vigun01g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTQASSFATPLPHVPFFRATASSRAAPAESLHAAAAANEFRRIWTAKLRRVHLSVRHSTRVAAASNPSGSGGDYSQPRSSRRGVLMVPFLAAGASVLLSAAARAEEKAAELAPTAPKLEEAKKKEEEEVITSRIYDAAVIGEPLAIGKEKGKVWEKLMNARVVYLGEAEQVPVRDDRELELEIVKNLHRRCSEKEKKLSLALEAFPSNLQEPLNQYMEKKIDGDTLKSYTLHWPLQRWQEYEPILNYCRENGIRLVACGTPLKILRTVQAEGIRGLTKAERKLYAPPAGSGFVSGFTSISRRPSVDSTQNLSIPFGPSSYLSAQAKVVDEYCMSQVILQNVLDGGSTGMLIVVTGASHVTYGSRGTGVPARISGKIQKKNQVVILLDPERQFIRREGEVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDLQKGIDLGLVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYEKRKDNFFQELDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADEMKAPDNIGSLMGLLGSIPDNAFQKNLAGTNWNLNHRIASVVFGGLKLASVGFISSIGAVASSNSLYGIRKFLNPAVVTEQQIIRSPVLKTAVIYACFLGISANLRYQVIAGLVEHRISEQFASQTFFVNMLSFVARTVNSYWGTQQWIDLARFTGLQVRKTESPTSDSPNSAAILCNEAEEATIDEIEK >Vigun02g102300.3.v1.2 pep primary_assembly:ASM411807v1:2:25701806:25706475:1 gene:Vigun02g102300.v1.2 transcript:Vigun02g102300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEIVIQNLGSFVEDQLATYWGVDQQIQKLSSNLTAIRAVLRDAERKQITSHAIKDWLQKLTDAAYVLDDILDECSIHSTKVHSDDGQTSCLSRLHPKDIHFRFHIRKRMKDITERFHDIHTERLTFELRVDLTKKQAVDDDDWRETSSVITEPILYGRDEDRAKIVKFLLEDANSSDELTICAIVGMGGLGKTTLAKEVFHDDGISKHFDLKIWICVSDDFKVKEILQSIIECTLGPNHNLDNLEARRKKVEEALQSKRYLLVLDDVWNENREKWNELKGMLECARGAKGATVLVTTRLQEVVSVMGEHFSYPLKALSEDDSWSLFKQHAFGPNRQEREELRVIGEEIVRKCVGSPLAIKTLGSCLRDENEVRQWKNVKESEIWDIREESNSATEVEDVGNKVWKKLYSRSFFQEAEYDKFGMITTFKMHDLFHDLAQSIMGEECVVIMKKWLTPSSRVHYLKLEDYDVSVDASSFKLAFKKPESLRTLFYFGRMGPMLSNHCCLRALSTEITMLSSLKSLAHLRYLSLSGFRGTPVSLNNSICELSKLQILKLTNWYRLYGLPKNLTQLQDLRHIVIDECPSIVEMSPNISKLRHLKTLSLFVVGSKPGYGLAELHSLKLGGTLRIKGLENVANEWDAKQANLIDKKDLNILYLSWDGNANSRGSTVSEEIVLEALEPPSTLKSFHIKGYEGRQLSSWMRSPATLRELVEVKLLECDNCEELPPLGKLPYLKRLEVRGMKNVKCIDGETYEGVEEKAFPSLEKLILKNLPNLERLLRDEGVELLPRLSQLTIDGVSNLKFPRLPFVEEVYYANRIDEVGFFMEGVVENTPCLKTLNIDSIKGVKTLPDQLGTLDALEDLVIGYWYDLEYFPEHVLEGLTSLRVLRINYCHKLKSLSEGVRHLACLQRLLISACPELVGLPNNMSQLTALQHVSISICSTLPDGLQRVPSLRSLNIWSCKCTSLPDWLGDMTSLQKLDIWDCKELRTLPSSIQRLTNLSSLSIRNCSHLEKRCKRETGEDWQYINHIPNIKLRAR >Vigun02g102300.1.v1.2 pep primary_assembly:ASM411807v1:2:25701806:25706475:1 gene:Vigun02g102300.v1.2 transcript:Vigun02g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEIVIQNLGSFVEDQLATYWGVDQQIQKLSSNLTAIRAVLRDAERKQITSHAIKDWLQKLTDAAYVLDDILDECSIHSTKVHSDDGQTSCLSRLHPKDIHFRFHIRKRMKDITERFHDIHTERLTFELRVDLTKKQAVDDDDWRETSSVITEPILYGRDEDRAKIVKFLLEDANSSDELTICAIVGMGGLGKTTLAKEVFHDDGISKHFDLKIWICVSDDFKVKEILQSIIECTLGPNHNLDNLEARRKKVEEALQSKRYLLVLDDVWNENREKWNELKGMLECARGAKGATVLVTTRLQEVVSVMGEHFSYPLKALSEDDSWSLFKQHAFGPNRQEREELRVIGEEIVRKCVGSPLAIKTLGSCLRDENEVRQWKNVKESEIWDIREESNSATGKENSIMRALKLSYSNLEPYMRRCFSLCAIYPKDSEIEKEEIIHLWMANGFIKCEGNVEVEDVGNKVWKKLYSRSFFQEAEYDKFGMITTFKMHDLFHDLAQSIMGEECVVIMKKWLTPSSRVHYLKLEDYDVSVDASSFKLAFKKPESLRTLFYFGRMGPMLSNHCCLRALSTEITMLSSLKSLAHLRYLSLSGFRGTPVSLNNSICELSKLQILKLTNWYRLYGLPKNLTQLQDLRHIVIDECPSIVEMSPNISKLRHLKTLSLFVVGSKPGYGLAELHSLKLGGTLRIKGLENVANEWDAKQANLIDKKDLNILYLSWDGNANSRGSTVSEEIVLEALEPPSTLKSFHIKGYEGRQLSSWMRSPATLRELVEVKLLECDNCEELPPLGKLPYLKRLEVRGMKNVKCIDGETYEGVEEKAFPSLEKLILKNLPNLERLLRDEGVELLPRLSQLTIDGVSNLKFPRLPFVEEVYYANRIDEVGFFMEGVVENTPCLKTLNIDSIKGVKTLPDQLGTLDALEDLVIGYWYDLEYFPEHVLEGLTSLRVLRINYCHKLKSLSEGVRHLACLQRLLISACPELVGLPNNMSQLTALQHVSISICSTLPDGLQRVPSLRSLNIWSCKCTSLPDWLGDMTSLQKLDIWDCKELRTLPSSIQRLTNLSSLSIRNCSHLEKRCKRETGEDWQYINHIPNIKLRAR >Vigun02g102300.2.v1.2 pep primary_assembly:ASM411807v1:2:25701805:25706475:1 gene:Vigun02g102300.v1.2 transcript:Vigun02g102300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEIVIQNLGSFVEDQLATYWGVDQQIQKLSSNLTAIRAVLRDAERKQITSHAIKDWLQKLTDAAYVLDDILDECSIHSTKVHSDDGQTSCLSRLHPKDIHFRFHIRKRMKDITERFHDIHTERLTFELRVDLTKKQAVDDDDWRETSSVITEPILYGRDEDRAKIVKFLLEDANSSDELTICAIVGMGGLGKTTLAKEVFHDDGISKHFDLKIWICVSDDFKVKEILQSIIECTLGPNHNLDNLEARRKKVEEALQSKRYLLVLDDVWNENREKWNELKGMLECARGAKGATVLVTTRLQEVVSVMGEHFSYPLKALSEDDSWSLFKQHAFGPNRQEREELRVIGEEIVRKCVGSPLAIKTLGSCLRDENEVRQWKNVKESEIWDIREESNSATGKENSIMRALKLSYSNLEPYMRRCFSLCAIYPKDSEIEKEEIIHLWMANGFIKCEGNVEVEDVGNKVWKKLYSRSFFQEAEYDKFGMITTFKMHDLFHDLAQSIMGEECVVIMKKWLTPSSRVHYLKLEDYDVSVDASSFKLAFKKPESLRTLFYFGRMGPMLSNHCCLRALSTEITMLSSLKSLAHLRYLSLSGFRGTPVSLNNSICELSKLQILKLTNWYRLYGLPKNLTQLQDLRHIVIDECPSIVEMSPNISKLRHLKTLSLFVVGSKPGYGLAELHSLKLGGTLRIKGLENVANEWDAKQANLIDKKDLNILYLSWDGNANSRGSTVSEEIVLEALEPPSTLKSFHIKGYEGRQLSSWMRSPATLRELVEVKLLECDNCEELPPLGKLPYLKRLEVRGMKNVKCIDGETYEGVEEKAFPSLEKLILKNLPNLERLLRDEGVELLPRLSQLTIDGVSNLKFPRLPFVEEVYYANRIDEVGFFMEGVVENTPCLKTLNIDSIKGVKTLPDQLGTLDALEDLVIGYWYDLEYFPEHVLEAFVNQRLSRAGGSTKQYEPTNCPSARVNQYLLHITRWLTTCPLPTFFEYMELQVYFIAGLAGRHDFSSKIRHLGL >Vigun11g112300.3.v1.2 pep primary_assembly:ASM411807v1:11:31476160:31477777:-1 gene:Vigun11g112300.v1.2 transcript:Vigun11g112300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLISVDRWAEGSEAYFLTHLHSDHTNGLTSTWSHGPLFCSSVTAKLLPFKIPGFDLSLLRILHPGTSHILTLSSTVLHVTVIDACHVPGSIMLLFRGDFGCVLHTGDFRWEATCQRATDARHMLGHALQNLPVVDILYLDNTYSNPIYDFSPRHAAAQKVIDIISSHPDHEVIIGMNTLGKEDLLVEISRALRIKICVWPERLRTMYLLGYPDIFTTNTSLTRVRAVPQYSFSIETLEALNTMCPTIGILPSGLPWMKKSLQKIRVSFWFLFDISL >Vigun03g096150.1.v1.2 pep primary_assembly:ASM411807v1:3:8125681:8126247:1 gene:Vigun03g096150.v1.2 transcript:Vigun03g096150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGVAACDREWDGTGVHGWHQCCGFLREGWHQLRNRCHVELDVEEASVESIELLYGECNDEVVVTGEEVYVVRLTKQMRKKFRTKLIRVENMKEGGEEGGQEEEDETIADKIYPPSCPICSSTSIIPSFIVYDYTYPNNCSIL >Vigun03g384600.1.v1.2 pep primary_assembly:ASM411807v1:3:58975525:58982675:1 gene:Vigun03g384600.v1.2 transcript:Vigun03g384600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKAAITLDQIKNETVDLERIPIEEVFQQLKCSREGLSSDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDALKVDQSALTGESLPATKGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKDHVILLAARASRTENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPVDKRTALTYIDADGKWHRASKGAPEQIMTLCNLREDAKRKVHGIIDKFAERGLRSLAVARQEVPEKTKESAGSSWQFVGLLSLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLQEIFATGVVLGSYLALMTIIFFWAMRETDFFPEKFGVRHLTHDEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGMLLVIAFVIAQLIATIIAVYADWSFAKVKGIGWGWAGVIWLYSIVFYFPLDLMKFAIRYILSGKAWQNLLENKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPETSSIFNEKNSYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun03g384600.2.v1.2 pep primary_assembly:ASM411807v1:3:58975551:58982614:1 gene:Vigun03g384600.v1.2 transcript:Vigun03g384600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKAAITLDQIKNETVDLERIPIEEVFQQLKCSREGLSSDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDALKVDQSALTGESLPATKGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKDHVILLAARASRTENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPVDKRTALTYIDADGKWHRASKGAPEQIMTLCNLREDAKRKVHGIIDKFAERGLRSLAVARQEVPEKTKESAGSSWQFVGLLSLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLQEIFATGVVLGSYLALMTIIFFWAMRETDFFPEKFGVRHLTHDEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGMLLVIAFVIAQLIATIIAVYADWSFAKVKGIGWGWAGVIWLYSIVFYFPLDLMKFAIRYILSGKAWQNLLENKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPETSSIFNEKNSYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun03g199800.1.v1.2 pep primary_assembly:ASM411807v1:3:28850886:28861316:-1 gene:Vigun03g199800.v1.2 transcript:Vigun03g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAEEDNKPTPNVWSSSTKMAPATLRKPASLFAPPQTLLRTQPKPKPVVANPKALLSPTPAPPPDDALQPALVGVQSTVLEEYDPARPNDYEEYRRERKRKAREAEMLRELERRRQEEEDEEREREKERERERDYSESRLNVSGEEAWRRRAAMSGAVPRSPSPPPTGNSDGFSIGKSETGGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASDNSKKVKSVNFNGVPTKVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPTDEAVRIFVQFERSEETTKALIDLDGRYFGGRVVRASFYDEEKFSKNELAPMPGEIPGFT >Vigun03g199800.2.v1.2 pep primary_assembly:ASM411807v1:3:28850971:28861043:-1 gene:Vigun03g199800.v1.2 transcript:Vigun03g199800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAEEDNKPTPNVWSSSTKMAPATLRKPASLFAPPQTLLRTQPKPKPVVANPKALLSPTPAPPPDDALQPALVGVQSTVLEEYDPARPNDYEEYRRERKRKAREAEMLRELERRRQEEEDEEREREKERERERDYSESRLNVSGEEAWRRRAAMSGAVPRSPSPPPTGNSDGFSIGKSETGGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASDNSKKVKSVNFNGVPTKVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPTDEAVRIFVQFERSEETTKALIDLDGRYFGGRVVRASFYDEEKFSKNELAPMPGEIPGFT >Vigun04g099400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23241303:23241995:1 gene:Vigun04g099400.v1.2 transcript:Vigun04g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRDNDKDDYQNWDYDVAAIANNLSQVLHYGIYNNDDIEEVQCMEEKNMCNFCEIIKNHFLIWCCFMLTKPLELFSS >Vigun06g039101.1.v1.2 pep primary_assembly:ASM411807v1:6:15782534:15783412:1 gene:Vigun06g039101.v1.2 transcript:Vigun06g039101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAGSNFDQKDHHLSLVHLSSEAADLKNSSIWTSCCFIHKNNGRIFNKFYSNGEPFSLLCCRNRF >Vigun02g138000.1.v1.2 pep primary_assembly:ASM411807v1:2:28774851:28776921:-1 gene:Vigun02g138000.v1.2 transcript:Vigun02g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATFMQPTKVGLTSRNLKSSQCISKAFGLEPAGPKFTCSLQSDLKDLAQKCADATKLAGFALATSALLVSGANAEGVPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGVDSFAFKPGKYNAKKLCLEPTSFTVKAEGVAKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPESFSGDFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELAKENNKSASSSKGKITLSVTKTKPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLES >Vigun07g289600.1.v1.2 pep primary_assembly:ASM411807v1:7:40181948:40182433:1 gene:Vigun07g289600.v1.2 transcript:Vigun07g289600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQSSSSYCNNCVQRSVPASRTQALRPICDCGQAAVVRTARTPRNVSRKFCGCANYKRQSEGGGVSCNFFQWWYEDVDEEKEVLIVNQNMKIEDLENVVKDLKKCFNVLAVVVSIVGLIIVVMLALMLKD >VigunL059041.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:22138:23174:1 gene:VigunL059041.v1.2 transcript:VigunL059041.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHDISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun11g181600.1.v1.2 pep primary_assembly:ASM411807v1:11:38510763:38518021:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDEDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.9.v1.2 pep primary_assembly:ASM411807v1:11:38510869:38518020:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDEDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.10.v1.2 pep primary_assembly:ASM411807v1:11:38510869:38518018:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDEDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.3.v1.2 pep primary_assembly:ASM411807v1:11:38510406:38518018:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDEDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.6.v1.2 pep primary_assembly:ASM411807v1:11:38510719:38518019:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDEDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.11.v1.2 pep primary_assembly:ASM411807v1:11:38510869:38518020:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.4.v1.2 pep primary_assembly:ASM411807v1:11:38510763:38518021:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.12.v1.2 pep primary_assembly:ASM411807v1:11:38510869:38518018:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.2.v1.2 pep primary_assembly:ASM411807v1:11:38510406:38518018:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun11g181600.5.v1.2 pep primary_assembly:ASM411807v1:11:38510719:38518019:1 gene:Vigun11g181600.v1.2 transcript:Vigun11g181600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELLKWEKMQGVSGREEKILVSIRLRPLNEKEISANELADWECINDTTILYRNTLREGSTFPSAYTFDKVFRGDCATRQVYEEGAKEVALSVVGGINSSIFAYGQTSSGKTYTMVGITEYAVADIFDYIKRHEERAFILKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPILEKLTEETLRDWGHLKELIAFSEAQRQVGETYLNEKSSRSHQIIRLTMESSAREFIGKGNSATLVASVNFVDLAGSERASQASSAGMRLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELKTPGPVTTNCDYATLLRKKDLQIEKMEKEIRELTKQRDLAQSRVEDLLRMVGKEQISEKEGEDLWDDDCSVSESSSICGPHRPNTHIREFNNPHYNDGDSGSNPDDTEDYCKEVRCVDNGDLTSAISGEDSGISQEISSHLNEDAGDSQIQENSTLLEQRLHDVQSTIDSLVCPSPDEQSPQGMSENMSNCRNLQLTRSWSCTEYHMTGSPDSMGEIQRTPANGYDKGFPGRPDGLRRKFPPLNYDGSIKLLRNGSQSSMGSLSVDDLRASSIRTSADEDIASIQTFVAGMKEMVKQEYEKQLVDGQDPEAGRKRNVKDVGVDPMLETPGTPLDWSLQFTRQQKEIIELWQSCCVPLTHRTYFFLLFRGDPTDSIYMEVELRRLSFLKETFSDGNQSVRNSQTITLASSVKALRRERGMLVKLMQRRLSDKERIRLYEEWGISLDSKRRRMQLVNRLWSENDMNHVMQSATIVAKLVRFWERGKALKEMFGLSFSPQITGRRSSFSWKNSSPSLL >Vigun03g124500.1.v1.2 pep primary_assembly:ASM411807v1:3:11727549:11738229:-1 gene:Vigun03g124500.v1.2 transcript:Vigun03g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAPLGSSVISLVNRLQDIFSRVGSHNSIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQINPSQDEFGEFLHLPGRKFHDFSQIRSEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAAIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRCQEDILMNRSIKDALVAEEKFFRSRPIYSGLADSCGVPQLAKKLNKILAQHIKALLPGLRARISASLVTLAKENASYGEITESKAGQGALLLNILSKYCDAFSSMVEGKNEEMSTSELFGGARIHYIFQSIFVRSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFTYDELVKISHRCLATELQRFPFLRKGMDEVIGSFLREGLEPSETMITHVIEMEMDYINTSHPNFIGGSKAIEAAVQQTRSSRVALPVSSAKDGLESEKGPPASDKSGKTRSIFARHANGVAADKGVHVASDVEKVVTSGTISGSGWGISSIFSGGDNRVSMKENIASKPHTEPVHNGQSFSMIHLREPPPVLRPLDSNSDTETVEITVTKLLLKSYYEIVRKNVEDLVPKAIMHFLVNNTKRELHNVFIQKLYREDLFEELLQEPDEIAKKRKHCRELLRAYQQAFKDLDELPLEAETVERGYSSPETATSLPKIRGLPSSSLYSTGSLGDYYEGSPKNTKSERSSHANMDTNGNGGPYTSGFDPMADAVFEVWNSPARAV >Vigun03g124500.3.v1.2 pep primary_assembly:ASM411807v1:3:11727549:11738229:-1 gene:Vigun03g124500.v1.2 transcript:Vigun03g124500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAPLGSSVISLVNRLQDIFSRVGSHNSIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQINPSQDEFGEFLHLPGRKFHDFSQIRSEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAAIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRCQEDILMNRSIKDALVAEEKFFRSRPIYSGLADSCGVPQLAKKLNKILAQHIKALLPGLRARISASLVTLAKENASYGEITESKAGQGALLLNILSKYCDAFSSMVEGKNEEMSTSELFGGARIHYIFQSIFVRSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFTYDELVKISHRCLATELQRFPFLRKGMDEVIGSFLREGLEPSETMITHVIEMEMDYINTSHPNFIGGSKAIEAAVQQTRSSRVALPVSSAKDGLESEKGPPASDKSGKTRSIFARHANGVAADKGVHVASDVEKVVTSGTISGSGWGISSIFSGGDNRVSMKENIASKPHTEPVHNGQSFSMIHLREPPPVLRPLDSNSDTETVEITVTKLLLKSYYEIVRKNVEDLVPKAIMHFLGGSV >Vigun03g124500.2.v1.2 pep primary_assembly:ASM411807v1:3:11728219:11738229:-1 gene:Vigun03g124500.v1.2 transcript:Vigun03g124500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAPLGSSVISLVNRLQDIFSRVGSHNSIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQINPSQDEFGEFLHLPGRKFHDFSQIRSEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGLTKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAAIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRCQEDILMNRSIKDALVAEEKFFRSRPIYSGLADSCGVPQLAKKLNKILAQHIKALLPGLRARISASLVTLAKENASYGEITESKAGQGALLLNILSKYCDAFSSMVEGKNEEMSTSELFGGARIHYIFQSIFVRSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFTYDELVKISHRCLATELQRFPFLRKGMDEVIGSFLREGLEPSETMITHVIEMEMDYINTSHPNFIGGSKAIEAAVQQTRSSRVALPVSSAKDGLESEKGPPASDKSGKTRSIFARHANGVAADKGVHVASDVEKVVTSGTISGSGWGISSIFSGGDNRVSMKENIASKPHTEPVHNGQSFSMIHLREPPPVLRPLDSNSDTETVEITVTKLLLKSYYEIVRKNVEDLVPKAIMHFLVNNTKRELHNVFIQKLYREDLFEELLQEPDEIAKKRKHCRELLRAYQQAFKLSLTFTRVVTRCWQHSCI >Vigun07g009900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:835306:838714:1 gene:Vigun07g009900.v1.2 transcript:Vigun07g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWIVKMGNQVSSNLKHALLLETLTKRKQNHKRSETKETIGILSFEVANVMSKTVHLHRSLSESEISKLRNEILGSEGVRNLVSSDEGYLLELALAEKLEELNRVASVVSRLGKKCSEPALQGFEHVYGDIVGGVIDVKELGFLVKHMEGMVRKMDRYVSVTRNLYSEMEVLNELEQAVKKFQHNQHEESRRAFEQKLMWQKQDVRHLKDVSLWNQNFDKVVELLARTVCTIYARISVIFGESAMRKNTLGLALGGGSPASQNESGFVSGNINVQTNSERLKRNQSRRNRTHSGSVGRAERKGTTSRPQIDLKRGDLAPLRPEDFGFPCGTSPGRLFMECLSLSSSVSKFDDADDDGYVVNREDQHSSCRSAVIGSNSMKKDQLCYSGILNHAQNGVPFTGDLRHVKSGVQSCSTLGPKSRLLVYAPPSTLGGCALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRVSLKAKLKSYVKNLAIYDAPLAHDWKENLDGILNWLAPLAHNMIRWQSERNFEQHQIVSRTNVLLLQTLFFADRERTEESICQLLVGLNYICRYEHQQNALLDCASSFDFEDCVEWQLQCGDSFIS >Vigun03g022000.1.v1.2 pep primary_assembly:ASM411807v1:3:1609627:1616908:-1 gene:Vigun03g022000.v1.2 transcript:Vigun03g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGEGSDCAPKNVVPNDVVANALLPSDVPAKKLARQLDFTGFGGMPPQSPVVLPLQPSASVRVGKPESPKSRPRPGFEIKEATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREDAGEILILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNNMVYIQQAANAAITGAIGSSGYSSPPISKKRKGQELLFGSTIKDPSLGRLGQQANNVRASAPSSSLSPIPGGRVGPATLGPSKLMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTFTDQKNLMDKHAEDHTETSLASSTQEQLPSQTEANVEKAMADDDCLSANQTDKISPDNSSSDGADVPKGRPMSPGTLALMCDEQDTMFMTSASPIGSRAHACNTSTQPPYGQGMTEVYAEQEKIVLTKFRDFLNRVITMGEINETKCSSLARSELESQKENPNNNCTGNASTETAHQQGTTNNNGVAKAAGNITSTSSFPGGSLIPEK >Vigun07g007700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:659191:662036:-1 gene:Vigun07g007700.v1.2 transcript:Vigun07g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQDFESSPSKDMINTGLNNTITNLTPSLNFSSASSSSTTTSATTTTTTSSSPPSTPSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQPPSSNATSQ >Vigun07g007700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:660025:662043:-1 gene:Vigun07g007700.v1.2 transcript:Vigun07g007700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQDFESSPSKDMINTGLNNTITNLTPSLNFSSASSSSTTTSATTTTTTSSSPPSTPSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQPPSSNATSQ >Vigun07g007700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:660023:662209:-1 gene:Vigun07g007700.v1.2 transcript:Vigun07g007700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQDFESSPSKDMINTGLNNTITNLTPSLNFSSASSSSTTTSATTTTTTSSSPPSTPSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQPPSSNATSQ >Vigun06g157300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28088061:28089641:1 gene:Vigun06g157300.v1.2 transcript:Vigun06g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPQTLLSPNATNCNSPEFEFWMLRNPSFPQPHLLSADELFVDGVLLPLHLLPNKPHPSPKASTFTPPIHEPDPEPGSPPDPEPSPSILTESTTSSSASKRWRDIFRKTDKKNTENAEDKEKGKKRERKSGTSASSAELNINIWPFSRSRSAGNAGTRPKLFAGAPLTRKVNSAPCSRSNSAGESKSRKWPSSPGRAGVHVGRSSPVWQVRRKTSDPPKSRRSKVAVGGGTTRVLNLNVPMCIGYRHHLSCRSDENSAVGVSGGGVITNGNSSTSINNNTHNSNSGGNDGGSGGNIFNLRNLFTKKCVVTSH >Vigun08g024700.1.v1.2 pep primary_assembly:ASM411807v1:8:2131042:2138553:-1 gene:Vigun08g024700.v1.2 transcript:Vigun08g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYDGTVRLGAINLRHDRADLDSGPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRKVQESQIPVEEKEEMMRNLERRETEYMRIQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLHYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAINSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDLTSQESTSETEGYSASPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGIECDWWSLGAIMYEMLIGYPPFCSDDPRMACRKIVNWKTCLKFPEEPKISVEAKDLIYRLLCDVDSRLGTRGVEEIKAHPWFKGVQWDMLYELEAAYKPTVTGDLDTQNFEKFPEVDGPPSVTATVGPWRKMLTSKDNNFIGYTFKKSDILTSLENSDVAIREKESSKAPSLITLLGRIDLQDTVIPESDQKPEA >Vigun03g397400.1.v1.2 pep primary_assembly:ASM411807v1:3:60377934:60384669:-1 gene:Vigun03g397400.v1.2 transcript:Vigun03g397400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSESNHHHNASDGVSQRVNSPRFSGPMTRRAHSFKRNTDSANSNGGSGEVELQINSPRSEEGSEGVPVGRHSHNHVTQRVHVRGLLKKPLASIVEDLGFREKKKIGHLMFLVFCGVCIFIGVLKICATGWLGSAIERAQSDKELPDSIASLNLMDKSSLGYAYRGGASDVERTLKTLATGVGGSHSAMAEDSGIWSKPNSDNFTQCIDLPSNRKKLDAKINGYILVNANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADNSGFKDLFDWKYFIHMLKDDVHVVEKLPPAYAGIEPFPKTPISWSKVSYYKTEVLPLLKQHKVIYFTHTDSRLANNDIPHSIQKLRCRVNYRALKYSAPVEEFGNTLVSRMQQNGSSYLALHLRYEKDMLAFTGCSHNLTAEEDEELRQMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLKALGFPSHTRIYLVAGEAFGRGSMKYLKDDYPNIFSHSSLSSEEELNPFKNHQNMLAGIDYVVALKSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDKMNFVNLVDQLDEGKISWKKFSSKVRKLHEDRIGAPYPREPGEFPKLEESFYANPLPGCICERR >Vigun03g207100.1.v1.2 pep primary_assembly:ASM411807v1:3:33738867:33742598:-1 gene:Vigun03g207100.v1.2 transcript:Vigun03g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENTNGRQATYAKRKNGIMKKAAELSILCDIDIILLMFAPNGKPSVCRGRRSNLEEVIAKFAQLTPQERAKRKLETLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLSNQARLLQAQISETHKRLSYWTEFDKITNVEQLGQMENSLKESLNQIRTRKENIKKQQLVSLQCNNQFNEMNIPFRMSAEQHLQPLSWIANGDSQNIVLPEDSTMFLHKDVEGSASSSFGSYASYLGSSMKNEMSNSAQENGVLSDLSSTANMRLQLNGQFPYLPYNFNLLNNLKFQPTAEMNPHENPVDYHVNGSLEAPRSGFDSNHHAWASTSGPCGVTMFDDQLYAQQPN >Vigun03g207100.2.v1.2 pep primary_assembly:ASM411807v1:3:33738867:33742598:-1 gene:Vigun03g207100.v1.2 transcript:Vigun03g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENTNGRQATYAKRKNGIMKKAAELSILCDIDIILLMFAPNGKPSVCRGRRSNLEEVIAKFAQLTPQERAKRKLETLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLSNQARLLQAQISETHKRLSYWTEFDKITNVEQLGQMENSLKESLNQIRTRKENIKKQQLVSLQCNNQFNEMNIPFRMSAEQHLQPLSWIANGDSQNIVLPEDSTMFLHKDVEGSASSSFGSYASYLGSSMKNEMSNSAQENGVLSDLSSTANMRLQLNGQFPYLPYNFNLLNNLKFQPTAEMNPHENPVDYHVNGSLEAPRSGFDSNHHAWASTSGPCGVTMFDDQLYAQQPN >Vigun09g149500.7.v1.2 pep primary_assembly:ASM411807v1:9:31322272:31325892:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.2.v1.2 pep primary_assembly:ASM411807v1:9:31319899:31325895:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.1.v1.2 pep primary_assembly:ASM411807v1:9:31319899:31325892:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.6.v1.2 pep primary_assembly:ASM411807v1:9:31319899:31325892:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.5.v1.2 pep primary_assembly:ASM411807v1:9:31320721:31325892:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.4.v1.2 pep primary_assembly:ASM411807v1:9:31319899:31325892:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun09g149500.3.v1.2 pep primary_assembly:ASM411807v1:9:31319899:31325895:1 gene:Vigun09g149500.v1.2 transcript:Vigun09g149500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNSKRPKSLPYNMPVTDSNEPQNFDDNILFPVEEIVQYPLPGYVSPTSISFSPDDSLISYLFSPDHSLNRKVYAFDLKTNAQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKRKAVVVPLPAGIYIQDLSLSKPELKLPSVSGSPIIDPHLSPDGSMLAYVRDCELHVLNLLSNESKQLTHGAKEHGLIHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDSSEIPLFRIMHQGKSSVGLEAQEDHPYPFAGASNVKVRLGVVSVAGNSITWMDLQCGGTEQQNNEEEYLARVNWMHGNILTAQILNRHHTKIKIVKFDIKTGQKKNLLVEENGNWINIHDCFTPLDKGVTKFSGGFIWASEKTGFRHLYLHDANGVCLGPITEGEWMVEQIAGVNEATGLIYFTGTLDGPLESNLYCTKLFIDGSQPLQVPVRLTHSKGKHIVVLDHHMRNFVDIHDSLGCPPRVLLCSLEDGSVIKPLYEQSFTVPRFKKLQLEAPEIVEIQANDGTTLYGALYKPDASRFGPPPYKTMINVYGGPSVQLVSNSWLSTVDLRAQYLRNQGILVWKLDNRGTARRGLKFESYLKHKLGQIDADDQLTGAEWLVKQGLAKAGHIGLYGWSYGGYLSAMTLSRYPDFFKCAIAGAPVTSWDGYDTFYTEKYMGLPSENKSGYESGSVMNQVHQLKGRLLLVHGMIDENVHFRHTARLINALVAAGKPYELIVFPDERHMPRRHSDRVYMEGRMWDFIQRNL >Vigun10g093900.2.v1.2 pep primary_assembly:ASM411807v1:10:27195113:27196459:1 gene:Vigun10g093900.v1.2 transcript:Vigun10g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQGIINPKYCAPHNVDLAIVRKDHRVLLDAAGEPVVTLRRKMTTTHDRWQVFRGESMEMKDLIFSVKRSSFFQLKTKLDVFLANNTKEQVCDFKVKGSWFERSCVVNAGESLTIVAQMHKKHTAQSIAFGKDNFMVTVYPNTDYAFIVALILILDEINQDKRQS >Vigun10g093900.1.v1.2 pep primary_assembly:ASM411807v1:10:27195113:27196459:1 gene:Vigun10g093900.v1.2 transcript:Vigun10g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQGIINPKYCAPHNVDLAIVRKVLTLADSFSVTDVNGKMIFNLSSSLMTRQDHRVLLDAAGEPVVTLRRKMTTTHDRWQVFRGESMEMKDLIFSVKRSSFFQLKTKLDVFLANNTKEQVCDFKVKGSWFERSCVVNAGESLTIVAQMHKKHTAQSIAFGKDNFMVTVYPNTDYAFIVALILILDEINQDKRQS >Vigun09g269200.1.v1.2 pep primary_assembly:ASM411807v1:9:43196263:43199929:-1 gene:Vigun09g269200.v1.2 transcript:Vigun09g269200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKRAFHLCSNHRLFLAKYRDLDYLLPSLTLQSSRHLSQVMNSNLKRAFLVDTLALVRGLEAKGVPSKQAEAITAAITEVLNDSLENVAHSFVSKIEMQKSEVLQESSLSKFKSEVQSSQEHHFSLLQRETEKLRGDIEKMRSEMRYEIDKVTAGQRLDLNLERGRIRDELANQNAETSSLTNKLDREIHELRAHVEAAKYDVIKYCIGTLVSISAVGLAVIRIIL >Vigun09g269200.4.v1.2 pep primary_assembly:ASM411807v1:9:43195122:43199929:-1 gene:Vigun09g269200.v1.2 transcript:Vigun09g269200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKRAFHLCSNHRLFLAKYRDLDYLLPSLTLQSSRHLSQVMNSNLKRAFLVDTLALVRGLEAKGVPSKQAEAITAAITEVLNDSLENVAHSFVSKIEMQKSEVLQESSLSKFKSEVQSSQEHHFSLLQRETEKLRGDIEKMRSEMRYEIDKVTAGQRLDLNLERGRIRDELANQNAETSSLTNKLDREIHELRAHVEAAKYDVIKYCIENSCGGGLRSEVQSLLPQ >Vigun09g269200.3.v1.2 pep primary_assembly:ASM411807v1:9:43192895:43199929:-1 gene:Vigun09g269200.v1.2 transcript:Vigun09g269200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKRAFHLCSNHRLFLAKYRDLDYLLPSLTLQSSRHLSQVMNSNLKRAFLVDTLALVRGLEAKGVPSKQAEAITAAITEVLNDSLENVAHSFVSKIEMQKSEVLQESSLSKFKSEVQSSQEHHFSLLQRETEKLRGDIEKMRSEMRYEIDKVTAGQRLDLNLERGRIRDELANQNAETSSLTNKLDREIHELRAHVEAAKYDVIKYCIENSCGGGLRSEVQSLLPQ >Vigun09g269200.2.v1.2 pep primary_assembly:ASM411807v1:9:43192813:43199929:-1 gene:Vigun09g269200.v1.2 transcript:Vigun09g269200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKRAFHLCSNHRLFLAKYRDLDYLLPSLTLQSSRHLSQVMNSNLKRAFLVDTLALVRGLEAKGVPSKQAEAITAAITEVLNDSLENVAHSFVSKIEMQKSEVLQESSLSKFKSEVQSSQEHHFSLLQRETEKLRGDIEKMRSEMRYEIDKVTAGQRLDLNLERGRIRDELANQNAETSSLTNKLDREIHELRAHVEAAKYDVIKYCIENSCGGGLRSEVQSLLPQ >Vigun03g301101.1.v1.2 pep primary_assembly:ASM411807v1:3:49052320:49059382:1 gene:Vigun03g301101.v1.2 transcript:Vigun03g301101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRKPLSWPRRHTLPLSPLQRAPFAFASLILKTFPPHYLLPSKPHSFIRTIIRHPISQQPCSNSICLRLHRLLPALSLTRPIPPLLLHRLPNPNLQALRLRRPRFRQCLDPPPPLPRPRPYPLLPPLPTGTLAAAIAAVDRLSLVKYVFPAERLPQWTRSLLLNKNNAADSRPLSDLCPSLFKPSPSPSQIQLNVFEYFFFWFAYYPVSKAKNDNPNAASLKRPKKFRLEDWTWTSSFPCFSASKPSLEGKPQCDLYTRLLCEYLRAYVPSYDLNAHQPYRSSILHYGSGYDASVVARAEFVVNTFIHFWLVDNDFSPLPVSLCRNLGVSFPAGEAPPAPGLGEVVRLFVSYLSLSTVAAFSESGGESGSPRWRAVEGAKSKDLGSVRSLCCWNFSVQRPLYRFLLRTFLFCPMAASVKNVSQVLSVWIGYLEPWSVNGNEFLKLDAINGEKKDNPVPASVGGGFSHQWRDYVLSNYLYYSSLVMHFIGFAHRFLHSDVEVIVQMVLKVLDTLTSSKELVDLLKTVDSYFHSKQAGSGKPILNNLYRYVPTIREQLQSLYEEMVYSRILAAPPSVSTELNFSTMQNKRNLPAHNRGPPHCRTTCIARFNYDSGAKMIHLTIQLVPLPQCEARAVAATGCKAGGAAAISTVVIGRDCVAGIAAPSRVAIYNGDPTRSLKIAYFKWSGIGEDAEDRRLIRSYVLGRDFDNHVRKRRGQSGDLLGGSMKLLKIFDNGCESASGLQLHLLSKMDLKVTAAQGPKCATDWEDGLCETDADGSFLHENWNKDLRLFADGEDGGQQLLQLFIMRAEAELQAISGDNIIPSLQCIDSLKARLGSLFDGKTVNLSPSCHEPVPHQQSRYDIFKPRIAGNHVYADVKYKGDWMRRPISNDEIAWLAKVLIRLSDWLNKNLGLNQAESSQISSPVSYVEVSADVAHVCGLSEALKVFFCTIGSWFLFLGAASLGFMRKYDLRVNLRILASKKVVMVFVLYVVFGILKKLVRAFHNSY >Vigun08g192300.2.v1.2 pep primary_assembly:ASM411807v1:8:35970233:35972791:-1 gene:Vigun08g192300.v1.2 transcript:Vigun08g192300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHITGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDAFE >Vigun08g192300.1.v1.2 pep primary_assembly:ASM411807v1:8:35970233:35972791:-1 gene:Vigun08g192300.v1.2 transcript:Vigun08g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHITGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDAFE >Vigun05g088700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8483258:8487202:-1 gene:Vigun05g088700.v1.2 transcript:Vigun05g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMEQKGGVLLQKYELGRLLGQGTFAKVYHGRNLITGMSVAIKVIDKEKILKVGLVDQIKREVSVMRLIRHRNVVELYEVMATKTKIFFVMEHAKGGELFNKLLKGRLKVDAARKYFQQLISAVDYCHSRGVCHRDLKPENLLLDENDNLMVSDFGLSALTDSKRQDGLLHTTCGTPAYVAPEVINRKGYDGIKADIWSCGVILFVLLAGHLPFHDSNLMEMYRKISKGVFKFPKWFAPDIRRLLSRILDPNPKSRISIARIMKYSWFKVGLENPAITETENQEPAPLNADGILEACENDDPPIAESKHELTKPCNLNAFDIISFSTGFDLSGMFEDTVPMKEMRFMSNKPASSIISKLEEICKKLCLKVRKKDGGLLKLEGCKEGRKGSLGVDAEIFEITPHLHMVELRKSNGDTMEYQKLFTQDIRPALEDIVWTWQGEQPQQEKQHHEVVQEEHQPSHTA >Vigun10g093000.1.v1.2 pep primary_assembly:ASM411807v1:10:26685909:26690678:1 gene:Vigun10g093000.v1.2 transcript:Vigun10g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATERVLHMKDGEGETSYANNSLRQRKVASMVKPILEDTVKWLMSNMSSERCWKVADLGCSSGPNSLLFVSDMLSIMDKASFSLNQATPNALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKKDNHVRCFIHATPGSFYGRLFPDNYIHFFHSSYSLHWLSQAPKSSTNTKEPLNKGNFYITSTSSLSVREAYFNQFEKNFKLFLKSRSEELKSDGIMLLTFIGRDETRKIRNPAPELIGMVLNDMVQEGVLEEKKLDFFDLPIYGPTAEEVRQVIEEEGSFAIQTLRSFNI >Vigun10g093000.2.v1.2 pep primary_assembly:ASM411807v1:10:26685932:26690678:1 gene:Vigun10g093000.v1.2 transcript:Vigun10g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATERVLHMKDGEGETSYANNSLRQRKVASMVKPILEDTVKWLMSNMSSERCWKVADLGCSSGPNSLLFVSDMLSIMDKASFSLNQATPNALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKKDNHVRCFIHATPGSFYGRLFPDNYIHFFHSSYSLHWLSQAPKSSTNTKEPLNKGNFYITSTSSLSVREAYFNQFEKNFKLFLKSRSEELKSDGIMLLTFIGRDETRKIRNPAPELIGMVLNDMVQEGVLEEKKLDFFDLPIYGPTAEEVRQVIEEEGSFAIQTLRSFNI >Vigun07g072600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9079019:9088388:-1 gene:Vigun07g072600.v1.2 transcript:Vigun07g072600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDGAFWKAEQSVLRLLKQCSSMKQLKEIQGRAVQSGFHKSPLVVGKIIEFCAVSGHGDINYAVRVFDRIDKPDVFIMNTMIRGFGMARQPEKAIDLFKTMLQGKCDVAALDTFTFSFILKIIAGLRSVTLGKQLHCNILKLGLQTHTYVRNSLMHMYGMVKDIETAHHLFEEIPNADLVAWNSIINSHVLCRNYTQALHLFVKMLRSGLQPDHATLVVTLSACGAIGDLDFGRRIHYSLVQKHTELCENISVSNSLIDMYAKCGAVEEAYHIFSSMKRKNVISWNVMILGLASHGNGEEALALFTEMLQQNVERPNDITFLGVLCACSHGGLIDEGRQYIDIMDRYYNIRPTIKHYGCMVDLLGRAGLVDDAYNLIKNMPEECNAIVWRTLLAACRLHGNVQLGEKIRNHLLELEPNDHSSDYVLLANVYASNGQWNEMSKERRLMQQRRIQKPQPGNSCIGIPGLALEKRTIETLL >Vigun07g072600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9084558:9088400:-1 gene:Vigun07g072600.v1.2 transcript:Vigun07g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDGAFWKAEQSVLRLLKQCSSMKQLKEIQGRAVQSGFHKSPLVVGKIIEFCAVSGHGDINYAVRVFDRIDKPDVFIMNTMIRGFGMARQPEKAIDLFKTMLQGKCDVAALDTFTFSFILKIIAGLRSVTLGKQLHCNILKLGLQTHTYVRNSLMHMYGMVKDIETAHHLFEEIPNADLVAWNSIINSHVLCRNYTQALHLFVKMLRSGLQPDHATLVVTLSACGAIGDLDFGRRIHYSLVQKHTELCENISVSNSLIDMYAKCGAVEEAYHIFSSMKRKNVISWNVMILGLASHGNGEEALALFTEMLQQNVERPNDITFLGVLCACSHGGLIDEGRQYIDIMDRYYNIRPTIKHYGCMVDLLGRAGLVDDAYNLIKNMPEECNAIVWRTLLAACRLHGNVQLGEKIRNHLLELEPNDHSSDYVLLANVYASNGQWNEMSKERRLMQQRRIQKPQPGNSCIGIPGLALEKRTIETLL >Vigun01g052700.2.v1.2 pep primary_assembly:ASM411807v1:1:9310845:9314151:1 gene:Vigun01g052700.v1.2 transcript:Vigun01g052700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALIAIVTTATRLDPRRCNRVNLRNHPCTLELASIVALLNHSILLPFPSSAERVKAKMKLQLSEIDGEGFKAAWYKAKVISVKDGKALQFSCR >Vigun01g052700.3.v1.2 pep primary_assembly:ASM411807v1:1:9311023:9311929:1 gene:Vigun01g052700.v1.2 transcript:Vigun01g052700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALIAIVTTATRLDPRRCNRVNLRNHPCTLELASIVALLNHSILLPFPSSAERVKAKMKLQLSEIGKHIDLQGINHPYLNTFSPYVLK >Vigun01g052700.4.v1.2 pep primary_assembly:ASM411807v1:1:9310845:9314151:1 gene:Vigun01g052700.v1.2 transcript:Vigun01g052700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALIAIVTTATRLDPRRCNRVNLRNHPCTLELASIVALLNHSILLPFPSSAERVKAKMKLQLSEIGYVDHP >Vigun01g052700.1.v1.2 pep primary_assembly:ASM411807v1:1:9310845:9314100:1 gene:Vigun01g052700.v1.2 transcript:Vigun01g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALIAIVTTATRLDPRRCNRVNLRNHPCTLELASIVALLNHSILLPFPSSAERVKAKMKLQLSEIGMFVLVIHNCKKIGGNFGLLFVLVLFLTAPFSRWRRI >Vigun01g217000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39079341:39080419:-1 gene:Vigun01g217000.v1.2 transcript:Vigun01g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLSLRPQKSAIVLFLICISFSYSVEEVIISSKKLDQLPAETEIKCGSCPCGDTCGDQPPPPPPSTPPPCLSPPPPLPSPPPPPPPSPPPPPKYPTCPQNCNPLPPPPPRFVYVPVPGVPKPYTWVYYYSGAENRAVGLLVLAALGGISLATLLIDDIMKLNLCF >Vigun11g162500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37006676:37008177:-1 gene:Vigun11g162500.v1.2 transcript:Vigun11g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRISELSLSLLSQTTMAGIWFTSLTLLLLLAFSSAVRSCSPSDLAALLHFKSALHESRDGIFNSWTGTDCCSNWRGVSCDRDSRRVAEISLRAGPVYTTFEKPYRPENMSGYISPEICKLTHLSTLIITDWQGISGEIPRCISSLSFLRIIDLTGNHISGTLPADIGKLRYLSLLSAADNLIAGEIPATLTSLTGLTHLDLRNNKITGPIPQNMGQLQMLSRAFFSGNQISGPIPASICHIYRLVDLDLSNNHLSGPIPESLGRMAVLSTLKLDNNRLSGCIPRSLLGSSINELNLSHNMLEGSIPDAFGGSCYFTLLDLSYNNLKGPIPKSMSSASYIGYVDFSHNHLCGPIPNAYSNTDASSFAYNDCLCGKPLKAC >Vigun05g170000.1.v1.2 pep primary_assembly:ASM411807v1:5:29672304:29677278:-1 gene:Vigun05g170000.v1.2 transcript:Vigun05g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRGRRSPSGSVSASSSRSSSPSSSRSSGSHSRSRSRSPSRSRSFSSSSSSPSRSSRSRSPPPQRRRSPAAATAAAARRGRSPPPQSKRASPPPRKPSPVRESLVLHVEKLSRNVNEGHLKEIFSNFGEVVSVELAMDRTVNLPKGYGYVQFKTREDAEKALLYMDGAQIDGNVIKARFTLPPRQKVSPPPKASIAAPKRDTPRTDNAIADVDKDGPKRQRESPRRKPPSPRRRSPVPRRAGSPRRPDSPRRRADSPVRRRLDSPYRRGGDTPPRRRPVSPGRGRSPSPPRRLRSPARVSPRRMRGSPGRRRSPPPPPRRRSPPPRRARSPPRRSPIGRRRTRSPIRRSARSRSRSFSPRRGRPPVRRGRSSSYSDSPSPRKVSRRSKSRSPRRPLRGRGSSNSSSSSSPPPARKP >Vigun05g170000.3.v1.2 pep primary_assembly:ASM411807v1:5:29672507:29677234:-1 gene:Vigun05g170000.v1.2 transcript:Vigun05g170000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRGRRSPSGSVSASSSRSSSPSSSRSSGSHSRSRSRSPSRSRSFSSSSSSPSRSSRSRSPPPQRRRSPAAATAAAARRGRSPPPQSKRASPPPRKPSPVRESLVLHVEKLSRNVNEGHLKEIFSNFGEVVSVELAMDRTVNLPKGYGYVQFKTREDAEKALLYMDGAQIDGNVIKARFTLPPRQKVSPPPKASIAAPKRDTPRTDNAIADVDKDGPKRQRESPRRKPPSPRRRSPVPRRAGSPRRPDSPRRRADSPVRRRLDSPYRRGGDTPPRRRPVSPGRGRSPSPPRRLRSPARVSPRRMRGSPGRRRSPPPPPRRRSPPPRRARSPPRRSPIGRRRTRSPIRRSARSRSRSFSPRRGRPPVRRGRSSSYSDSPSPRKVSRRSKSRSPRRPLRGRGSSNSSSSSSPPPARKP >Vigun06g127400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25417544:25418661:1 gene:Vigun06g127400.v1.2 transcript:Vigun06g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSISFKQTYEVEQQEVKEVVMVRKEIRKGCMKIKVGGEGEEQQKITVPVNYLKHPLFVQLLKEAEEEYGFTHKGTITIPCQLAQFKHVQHLIDAETSLHHHHHLHLVPCFRA >VigunL057701.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:62436:64956:1 gene:VigunL057701.v1.2 transcript:VigunL057701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHGTLDVTIYEVDALPTLNDCDFNLCCKGTNRSVRKRFLSQLKSCLFCQCQGQPGSTQTGLYATVDLDKAELEGLKWNKKSEVSRSSHTFFSQRTGCNVTLYQDAHVSHGFQPWIPLSGRNYEFRKCWEDIYSAIVEARYFIYITGWSVYTEISLIRDPMQPKRITLGELLKRKAEEGVKVLMLVWDDRTSVPDFKKDGLMATHDQETAAYFKNTKVNCVLCPRNPDVGRSIVQDLETSTMFTHHQKSVVVDTQFVGAPVGQRQKRTITSFIGGIDLCDGRYDTQEHPLFSTLDTLHKDDFHQPNFPGASIKKGGPREPWHDIHCKLEGYVAWDVLSNFQQRWEKQVGNQHLFPSSMLNEYFVPRTTAATTNDNETWNVQLFRSIDGGAASGFPPDPEEAAELGLVSGNNNIIERSIQDAYINAIRRAQNFIYIENQYFLGSSYAWQASDIVVEDIGALHLIPKELSLKIVSKIEAGERFSVYVVIPMWPEGIPESGSVQAILDWQRRTMEMMYADIAQAIQRTGIEAHPRDYLTFSALETVRLRNLASTLPLRHQNPTLITAEHKSLGDS >Vigun10g073600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:19151882:19152283:-1 gene:Vigun10g073600.v1.2 transcript:Vigun10g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTTEKVCFTPDFNEKPIMFLKQTSGGGDSGRVLGNKKRVTGSWTFRFAKESKFSPVRFLQQIRAKMVSAIRVVSIRRRSSTKVSSSSLARSRSVSDPTDSHRAKAVEDCIEFLHSSSSRERPSSVSESSV >Vigun05g116600.1.v1.2 pep primary_assembly:ASM411807v1:5:12409406:12412921:1 gene:Vigun05g116600.v1.2 transcript:Vigun05g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNKKSTLRGNNLSRTKMSLNVDVEELVTEMEEVPTFAPSIPVPNVQEMVRNNPLQVPERYVRSNEELQKVNHMPHLSSEVPVIDLSLLSYGNKDELLKLDVACKEWGFFQIVNHGVKKEVVQKMKDAATEFFELPIEEKKKYAMESNDVQGYGQAYVVSEEQILDWSDALVLITCPTRYRKLHLWPKTPEGFKEVVEAYTSEVRGVSKKILSSLSEIMGTQKHVFLGLHKDSLEGIRVNYYPPCNTPEQVLGLSPHSDTSTITLLMQDDDVTGLEIRHGGGWVPVAPISDALVVNVGDVIEILTNGKYKSVEHRAVTNKNKKRISYALFVSPEDDAEVEPLDHMIDAENPKLYQKVRYGDYLRQSLNRKMEGKAHIDVAMLEHSDTRNED >Vigun11g183900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38618087:38618371:1 gene:Vigun11g183900.v1.2 transcript:Vigun11g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRQASSNSRQAASKSVQVPKGYLAVYVGEEQKRFVIPISYLNQPSFQDLLSQAEEEFGYDHPMGALTIPCNEDVFQHTTSCLKGKYI >Vigun06g130800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25696518:25697727:1 gene:Vigun06g130800.v1.2 transcript:Vigun06g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLMPNPTDRTRDSHRPKKKKSPAKPQPHARWKTHAQQNLYSSKLRQAIARVNISADAPRRGRAVREAADRVLAVAARGRTRWSRAILTNRLKLKFRKTPHRRHKVALGPPRPKKPRFSVLRLKGKTLPAVQRRVRVLGRLVPGCRKEPLPIILEEAIDYIPALEMQVRAMADLAQLLLGSSSSSSSSSAAAASTSAPPS >Vigun01g009000.1.v1.2 pep primary_assembly:ASM411807v1:1:1064079:1068858:-1 gene:Vigun01g009000.v1.2 transcript:Vigun01g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAVSSRNPLVWNKPRLCRHRTTPSLPPVVCSLNHSNAVNERPTLTVVTRCNAVNERPALTVMTRRVVVKQRYEDKEREDVGNRYMMLLCGLGYWVQGFRCFPWLALNFHMATNLNLHPSTLQLVQNTANLPMVAKPLYGILSDAIYINGARRIPYLAMGGLLQILSWSVLALVPIAQEVLPYLIASVLISNLGASIAEVAQDALVAEYGKKHKIGGLQAYAFMALAAGGILGNLIGGYFLQKMPPRTMFAIFSSLLSLHLLISLSTREESLGITKLSGQKLSRQSISENIKKQVSDLVVAIGDKNIFQPLVWIIGSIAMVPMLSGSIFCYQTQCLNLDPTVIGLSRVIGQFVLLSVTVMYNRFWKTISMRKLIGTVQILYASSLLLDLILVKQINLKWGIPNEVFALCFSGLAEIVAHFKLLPFSVLFANLCPKGCEGSLTAFLASALCLSSIASAFLGVGLASCLGVTSGDYSGLTMGILAQFLAALVPLRWIHSLPMSQTVERRRKRSMSRRARRNRRVGKVVLGSANIYRRERE >Vigun01g009000.2.v1.2 pep primary_assembly:ASM411807v1:1:1064180:1068640:-1 gene:Vigun01g009000.v1.2 transcript:Vigun01g009000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAVSSRNPLVWNKPRLCRHRTTPSLPPVVCSLNHSNAVNERPTLTVVTRCNAVNERPALTVMTRRVVVKQRYEDKEREDVGNRYMMLLCGLGYWVQGFRCFPWLALNFHMATNLNLHPSTLQLVQNTANLPMVAKPLYGILSDAIYINGARRIPYLAMGGLLQILSWSVLALVPIAQEVLPYLIASVLISNLGASIAEVAQDALVAEYGKKHKIGGLQAYAFMALAAGGILGNLIGGYFLQKMPPRTMFAIFSSLLSLHLLISLSTREESLGITKLSGQKLSRQSISENIKKQVSDLVVAIGDKNIFQPLVWIIGSIAMVPMLSGSIFCYQTQCLNLDPTVIGLSRVIGQFVLLSVTVMYNRFWKTISMRKLIGTVQILYASSLLLDLILVKQINLKWGIPNEVFALCFSGLAEIVAHFKLLPFSVLFANLCPKGCEGSLTAFLASALCLSSIASAFLGVGLASCLGVTSGDYSGLTMGILAQFLAALVPLRWIHSLPMSQTVERRRKRSMSRRARRNRRVGKVVLGSANIYRRERE >Vigun09g126800.1.v1.2 pep primary_assembly:ASM411807v1:9:28148824:28150717:1 gene:Vigun09g126800.v1.2 transcript:Vigun09g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPLTGSKPLITDSDMEAAQHLIQLSEDSSSDNIAGNKRNKSCDGEEVQQRPSVKTARKKSLEIFGEDEVSQPKKRKRYRSLVSIYMATVPVSLFQRRVCRCKCVNPLWRNLVNEL >Vigun09g126800.2.v1.2 pep primary_assembly:ASM411807v1:9:28148824:28150717:1 gene:Vigun09g126800.v1.2 transcript:Vigun09g126800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPLTGSKPLITDSDMEAAQHLIQLSEDSSSDNIAGNKRNKSCDGEEVQQRPSVKTARKKSLEIFGEDEVSQPKKRKRRVCRCKCVNPLWRNLVNEL >Vigun05g130950.1.v1.2 pep primary_assembly:ASM411807v1:5:15214406:15215518:-1 gene:Vigun05g130950.v1.2 transcript:Vigun05g130950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIKNLALGFISSHGSFSNSSLLNNLVGHIWHSSVLVPYHGWVGGHKLLWRLQHQVRKYVLGLSSW >VigunL012900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:61042:62285:1 gene:VigunL012900.v1.2 transcript:VigunL012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLTEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQRIGPEYTGPFGVLQALADGTKLLFKENLIPSRGDIRLFSFGPSISVISIIISYSVIPFGYNFVLSDLNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLCVLR >Vigun05g015100.2.v1.2 pep primary_assembly:ASM411807v1:5:1208672:1215220:-1 gene:Vigun05g015100.v1.2 transcript:Vigun05g015100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQHKSFWMPRDAGCMAEENVGYENSSRIEPKRSHQWFMDTGEPEMFSSKKQAVEDVSGRPISGVSHVNVSQWDTNSGFHSVTGQFSDRLFGSDLARTVNLVDKNVPSIVSGNINMGRKDFEHQYGNDPSVGLSISHSIADPSSCLNFGGIRKVKVNQVRDSDNCMPSASMGHSYSREDDSTISVGAGYNKNDGNISLGPTYNNRGDNTIGMGTRISSKTDDNLLSVAHNFNKGDGGFMLMGHNYGKGDESILSMGQPFDKGDGNFISMGQSYEKEDGNLISLGTSYSKGHESFISIGPTYGKSGENFITVAPYDKGTDHLISMGSAYEKVDSNIASTLPSYDRGDSSSLPVGQNHHKGQSGTISFGGFHDDPEANPSGGIISGYDLLIGNQNSAQVLDGQNDLTETNTESLVNSIPKLNTKNDNVVKNKEPKTTTKKAPTNNFPSNVKSLLSTGIFDGVQVKYVSWSREKSLKGIIKGTGYLCSCDDCKQSKALNAYEFERHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSTINQKNFRGWKASYQAATRELQRIYGKDEVIIPS >Vigun05g015100.1.v1.2 pep primary_assembly:ASM411807v1:5:1208672:1215220:-1 gene:Vigun05g015100.v1.2 transcript:Vigun05g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQHKSFWMPRDAGCMAEENVGYENSSRIEPKRSHQWFMDTGEPEMFSSKKQAVEDVSGRPISGVSHVNVSQWDTNSGFHSVTGQFSDRLFGSDLARTVNLVDKNVPSIVSGNINMGRKDFEHQYGNDPSVGLSISHSIADPSSCLNFGGIRKVKVNQVRDSDNCMPSASMGHSYSREDDSTISVGAGYNKNDGNISLGPTYNNRGDNTIGMGTRISSKTDDNLLSVAHNFNKGDGGFMLMGHNYGKGDESILSMGQPFDKGDGNFISMGQSYEKEDGNLISLGTSYSKGHESFISIGPTYGKSGENFITVAPYDKGTDHLISMGSAYEKVDSNIASTLPSYDRGDSSSLPVGQNHHKGQSGTISFGGFHDDPEANPSGGIISGYDLLIGNQNSAQVLDGQNDLTETNTESLVNSIPKLNTKNDNVVKNKEPKTTTKKAPTNNFPSNVKSLLSTGIFDGVQVKYVSWSREKSLKGIIKGTGYLCSCDDCKQSKALNAYEFERHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSTINQKNFRGWKASYQAATRELQRIYGKDEVIIPS >Vigun05g015100.3.v1.2 pep primary_assembly:ASM411807v1:5:1208672:1215220:-1 gene:Vigun05g015100.v1.2 transcript:Vigun05g015100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQHKSFWMPRDAGCMAEENVGYENSSRIEPKRSHQWFMDTGEPEMFSSKKQAVEDVSGRPISGVSHVNVSQWDTNSGFHSVTGQFSDRLFGSDLARTVNLVDKNVPSIVSGNINMGRKDFEHQYGNDPSVGLSISHSIADPSSCLNFGGIRKVKVNQVRDSDNCMPSASMGHSYSREDDSTISVGAGYNKNDGNISLGPTYNNRGDNTIGMGTRISSKTDDNLLSVAHNFNKGDGGFMLMGHNYGKGDESILSMGQPFDKGDGNFISMGQSYEKEDGNLISLGTSYSKGHESFISIGPTYGKSGENFITVAPYDKGTDHLISMGSAYEKVDSNIASTLPSYDRGDSSSLPVGQNHHKGQSGTISFGGFHDDPEANPSGGIISGYDLLIGNQNSAQVLDGQNDLTETNTESLVNSIPKLNTKNDNVVKNKEPKTTTKKAPTNNFPSNVKSLLSTGIFDGVQFQEYACQKSLKGIIKGTGYLCSCDDCKQSKALNAYEFERHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSTINQKNFRGWKASYQAATRELQRIYGKDEVIIPS >Vigun03g306400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49720764:49722535:-1 gene:Vigun03g306400.v1.2 transcript:Vigun03g306400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLWLSLLILNLLLHTSSTVGSETAATDFIKSSCKETRYQVACVKTLSGYGSKIGKSEQALTSAALSASVSKIVSCVSSLKEMEKAKELKARELKAVRDCIENMNYSVDSLNRSVKELDLLGNPKGKDSRLHVSNVQSWVSAAITDQDTCIGSITDASFKSSIKHKLLDASHVTTNALALVNRLASNYHTQTP >Vigun01g067400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18115581:18117241:-1 gene:Vigun01g067400.v1.2 transcript:Vigun01g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSILYRAIIVGDSRCIRYRYRHLCSSLGTRHFSHKEQCEEELIRYLDILTLKLGKGSSEEETLDTLLSDETCDAIPLSQNLIHLLLQRYKDDWQSALGVFRWAGSQSSFKHSPESYDMMVDILGRMKVMKKLRDLLDEMRKSGLVILNTVVKVMRRFVGAGHWVDAVKIFDDLQALGLEKNTESMNLLLDTLCKEKFVEQAREIFLELKQHIAPNAHTFNIFIHGWCKICRVDEAHWTIEEMKGYGCRPCVISYSTIIQCYCQEGNFIKVYELLDEMQTQGCPANMITYTTIMTALAKAEKFEEALKVPERMRSSGCRAEKIEDLMKKL >Vigun04g094266.1.v1.2 pep primary_assembly:ASM411807v1:4:19194629:19195977:-1 gene:Vigun04g094266.v1.2 transcript:Vigun04g094266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLAKQRRHEHEGHAVPLRAIERSEAVASAAVGDGLTMEASAVVGRSPPVVWSWVSSMGHLWCLCGGRGCRSSNVTPSCYCGAKAVLRTARTAKNKGKRFWGCPNFKSGSEECGGCNYFNWFDQYRMGELGSASTVVIEENLWKHVKNEEKVGGNVKIEEHVVGGSSNTQEMRRSCVMSEEVVADIKICINSLEKRVKPLIGVVCLCFDLIIGLPRPRDPWSEC >Vigun01g171400.2.v1.2 pep primary_assembly:ASM411807v1:1:35359720:35365575:-1 gene:Vigun01g171400.v1.2 transcript:Vigun01g171400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDVFNVSGRHTQEEDEEELKWAAIERLPTFERMRKGVLKHVLDDGKVVLDEVDVSNLCLPDKKVLVDSILKIVEEDNEKFLRRLRDRVDRVGIEIPKIEVRCENLSVEGDVHVGSRALPSLLNVTLNAFESVLGLFHLAPSKKSEIQILKDVSAIVKPSRLTLLLGPPSSGKTTLLLALTGKLDRDLRVSGKVTYCGHELNEFVPQKTCAYISQHDIHFGEMTVRETLDFSGRCLGIGTRYEALVELSRREREAGIKPDPEIDAFMKAIALSGQKTNLVTDYVLKILGLDICSDIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFLRQMVHIMDVTMVVSLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFERTGFKCPERKGIADFLQEVTSKKDQQQYWSRRDEPYRYVSVPEFVEVFNSFDIGEELATELGVPYDKSRTHPSALVKDKYGITNWELLKACFSREWLLMKRSSFVYIFKTTQITIMSIITFTVFLRTEMSVGTFEDGQKFFGALFFSLVNVMFNGMAELAMTIFKLPVFYKQRDFNFYPGWAFGLPIWLLKIPLSFLESMIWTILTYYTIGFAPSASRFFRQFLAFFGIHQMALSLFRFIAAAGRTLVVANTLGTLVLQLVFVLGGFVIAKNDIEPWMIWGYYISPMMYGQNAIVMNEFLDKRWSKPNTDSRIDAPTVGKALLKSRGFFTEEYWFWICIGALLGFSIFFNILFILCLTYLDTFGTSKTVVADEDDEKNKKSSSKQQVLEGTDMAVKSSSEIATSSNQEPRRGMVLPFQPLSLAFNHISYYVDMPAEMRSRGIKGDRLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGNIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTIYESLIFSAWLRLPSDVNAQTRKMFVEEVMDLVELNGIRDAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEVSLKHFNVYIVFEIDLS >Vigun01g171400.1.v1.2 pep primary_assembly:ASM411807v1:1:35357925:35365575:-1 gene:Vigun01g171400.v1.2 transcript:Vigun01g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDVFNVSGRHTQEEDEEELKWAAIERLPTFERMRKGVLKHVLDDGKVVLDEVDVSNLCLPDKKVLVDSILKIVEEDNEKFLRRLRDRVDRVGIEIPKIEVRCENLSVEGDVHVGSRALPSLLNVTLNAFESVLGLFHLAPSKKSEIQILKDVSAIVKPSRLTLLLGPPSSGKTTLLLALTGKLDRDLRVSGKVTYCGHELNEFVPQKTCAYISQHDIHFGEMTVRETLDFSGRCLGIGTRYEALVELSRREREAGIKPDPEIDAFMKAIALSGQKTNLVTDYVLKILGLDICSDIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFLRQMVHIMDVTMVVSLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFERTGFKCPERKGIADFLQEVTSKKDQQQYWSRRDEPYRYVSVPEFVEVFNSFDIGEELATELGVPYDKSRTHPSALVKDKYGITNWELLKACFSREWLLMKRSSFVYIFKTTQITIMSIITFTVFLRTEMSVGTFEDGQKFFGALFFSLVNVMFNGMAELAMTIFKLPVFYKQRDFNFYPGWAFGLPIWLLKIPLSFLESMIWTILTYYTIGFAPSASRFFRQFLAFFGIHQMALSLFRFIAAAGRTLVVANTLGTLVLQLVFVLGGFVIAKNDIEPWMIWGYYISPMMYGQNAIVMNEFLDKRWSKPNTDSRIDAPTVGKALLKSRGFFTEEYWFWICIGALLGFSIFFNILFILCLTYLDTFGTSKTVVADEDDEKNKKSSSKQQVLEGTDMAVKSSSEIATSSNQEPRRGMVLPFQPLSLAFNHISYYVDMPAEMRSRGIKGDRLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGNIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTIYESLIFSAWLRLPSDVNAQTRKMFVEEVMDLVELNGIRDAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEAIPGVPKIKDGDNPATWMLDISSTLVEANIEVDFAEIYAKSTLYRRNEELIGELSAPTPDSKDLHFPTKYSQSFFVQCKANLWKQYWSYWRYPQYNLVRFLMTIVEGVLFGVIFWHKAHKTDKQQDLGNLLGAMYAAVLFLGAMNASSVQPVVAIERTIFYRERAAGMYSALPYAFGQVAIEAIYNAIQTAIYSLLLFSMIGFDWKATNFFWFYYYMFMSFMYFTLYGMMIVALTPGHQVAAICMSFFLSFWNLFSGFIIPRVQIPVWWRWYYWASPVSWTLYGLITSQLGDRSAELEIPGNGTMELKEYLKQNFGFQYDFLPVVAAVHVGWVLLFLFVFAYGIKFLNFQKR >Vigun01g020400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2172190:2172770:1 gene:Vigun01g020400.v1.2 transcript:Vigun01g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFSVNAFLVALVAALFFAVATAQDLSQAPAPGPDAGAAGSVSSSVAMIGASIVLSMFAIFKH >Vigun02g195300.1.v1.2 pep primary_assembly:ASM411807v1:2:33105536:33106089:-1 gene:Vigun02g195300.v1.2 transcript:Vigun02g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLISPLKKLWFRMHSTQKKRRGIYILYEDVKSCPYEDVHVLWSILVESHSSLPLKR >Vigun01g105500.1.v1.2 pep primary_assembly:ASM411807v1:1:27674523:27678111:1 gene:Vigun01g105500.v1.2 transcript:Vigun01g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTVRENLSIGNIGDAARILQNDTVHSVTHILSVLSSASISFFSEWRPSISIPAKEITKVHVADAGAGAAKSALASDKLLYSLEYAGRDLKLVRMAVPLRDTENENLLDYLEVCIDFIDRGRKEGSVLVHCFAGVSRSAAVITAYLMRIERLSVEDALESLRQSCENVCPNDGFLEQLKLFEGMGFKVDHSSPIYKRFRLKALGENHLSGLRIDSSKLGADPGMPVEISSGAEETTKVENNRRPTYRCRKCRRLVALHEHVIDHVPGEGETAFGWNKRRGGNPFNKSKESECSSIFIEPLRWMKAVEEGALEGKLSCVHCDARLGYFTWAGLQCSCGSWITPAFQLHKSRIDISPM >Vigun07g211100.1.v1.2 pep primary_assembly:ASM411807v1:7:33238740:33243273:-1 gene:Vigun07g211100.v1.2 transcript:Vigun07g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASEYGSADCFSQMMSSMPGFRFHPTDEELVMYYLKRKICGKRLKLNVIRETDVYKWDPEELPGQSILKTGDRQWFFFCHRDRKYPNGARSNRATRHGYWKATGKDRNVICNSRAVGVKKTLVFYIGRAPSGTRTDWVMHEYTMDEEELKRCQDVKDYYALYKVFKKSGPGPKNGEQYGAPFNEQEWAADDDIVDFNINITDQEAPNVVPEDGNALHPLLDDEINDIIREILDDELVLDQQHVNGYLDFPQIVSEETQSTVVDQFSEVVMFPETTDHSCQYFDVQPSFDFNQPASSHLQVSEASEVSSAFNIKTKGLDLDKVGFLEINDLIDNESTLANVENPVEYLQFEDGLSELDLYQDAEMFLRDLGPIIHETDSHAYTNALVSNNNESQSYQLLSNPEDANKTVGDLWMHGERNTLIRSEEGFVDSCSLSSPGVVCESLSFPTEGNYNQSSTVEDVSTSSFSSSLWAFVESIPTTPASAAESALVNRALNRMSSFSRVKLRHTNIAAGKDTVTTKKAGRKGFSFLFFPILIALCAFLWVFVGNLRSLGRCIFP >Vigun11g080700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23872267:23875717:1 gene:Vigun11g080700.v1.2 transcript:Vigun11g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSKHSHLFNHIRTFVNARGKWVRDPYLDNAVLKEKDLKQIISLKNQIISSPSKSLSMYTASQLKASLNLPTTTSKFIDKYHCVFRQFQPGPGLPPIVKLTPQAFSIHREEMAVHNSPTNREDTVQRLSRLLMLAGMAKLPLYVIEKLKWDMGLPHDYVTTLLADYPDYFNVCIVEDPSSGKEVLALELVSWRKELSVSELEMRARSLGISGDKRSHDIAFPLFFPKGFDLVKRVKTWVDNWQRLPYVSPYEDAFHLDSNSDQAEKWTVAIMHELLSLLVSKKTERENLLCFGECLGLALRFKKALVHHPGIFYISNKIRTQTVVLREAYSKDFLVKKHPLVGMRYWYINLMHKT >Vigun03g310400.2.v1.2 pep primary_assembly:ASM411807v1:3:50251980:50259615:1 gene:Vigun03g310400.v1.2 transcript:Vigun03g310400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGLGMNMLSAEVSAAAADHHHRQLKADIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQISHFNNLLRSYASHHSHSHDRQELDNFMTQYLIVLCALKEQLQQHVRVHAVEAVMACRDIESTLQALTGVSLGEGSGATMSDDEEDFQMDGSIDQSSADGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDNIGFKGMVAATR >Vigun03g310400.1.v1.2 pep primary_assembly:ASM411807v1:3:50251980:50259615:1 gene:Vigun03g310400.v1.2 transcript:Vigun03g310400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIVFSRGVLVYANSLFRSEREIESDIRVIKRLAEGEIGIYSAFILALHHHRVMQEAGLGMNMLSAEVSAAAADHHHRQLKADIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQISHFNNLLRSYASHHSHSHDRQELDNFMTQYLIVLCALKEQLQQHVRVHAVEAVMACRDIESTLQALTGVSLGEGSGATMSDDEEDFQMDGSIDQSSADGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDNIGFKGMVAATR >Vigun05g012300.1.v1.2 pep primary_assembly:ASM411807v1:5:972290:974696:1 gene:Vigun05g012300.v1.2 transcript:Vigun05g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKGIAIGIDLGTSYSCVAVWQEQHNRVEIIHNDQGNRTTPFFVAFTYDQRLIGEAAKNQAATNPENTVFDAKRLIGRKYSDPITQSDKMLWPFKVIADDDDKPLIVVEHKDKKKHLSAEEISSMILMKMREIAEAYLETHVKNAVVTVPAYFNDSQRKATVDAGTIAGLNVIRIINEPTAAAIAYGLDKRTDCVGERNIFIFDLGGGTFDVSLLTIKDKVFCVKATAGNTHLGGEDFNNRMVKYFVNEFKRKKKVDISGNPRALRRLRTACDKAKRTLSFAVTAYIEVDSLFEGIDFCSSINRAKFEELNMDLFEECLNTVQKCFTDAKMNKRSVHDVVVVGGSSRIPKIQQLLQDFFEGKDLCKSINPDEAVAYGAAVQGAMLSEGIKNVPNLVLLDVTPLSLGISTKGDLTSVVIPRNTVIPVKKTQIYHTAEDNQFSAYIEVYEGERTGASDNNLLGFFTLSGLSPAPRGHPLDVCFTIDANGTLSVSAEDPSAGYKNEITITNDQNRLSAGEIKRMIQEAENYQAEDRKFMKKANAKNALDDFVYKMKNALKNRNISSKLCAQDREKINYAVKNAAKLLDEDNQQHGIELIEDNLNEDNRLDEYKLASNCYYLNNV >Vigun03g177300.1.v1.2 pep primary_assembly:ASM411807v1:3:22044822:22050181:-1 gene:Vigun03g177300.v1.2 transcript:Vigun03g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDNVDNVTGFILAVSSSIFIGSSFIIKKMGLKKAGATGKRAASGGHAYLYEPFWWFGMISMIVGEIANFAAYAFAPALLVTPLGALSIIFSAVLAHFILKERLHIFGVLGCALCVVGSTTIVLHAPHERDIHSVKEVWELATEPGFLIYSCVVVVVVCVLIFYFVPRYGQSHLIVYVGICSLTGSITVMSVKAVSIALKLTFEGNNQFVYFQTWFFTIIVIGCCLLQINYLNKALDTFNTAVVSPTYYVMFTSFTIFASIMMFKEWDTQHASQIATEICGFVTILSGTFLLHKTKDMGNKPIQTPVFTTPEREDNITST >Vigun03g340500.3.v1.2 pep primary_assembly:ASM411807v1:3:53902612:53908865:1 gene:Vigun03g340500.v1.2 transcript:Vigun03g340500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLDSAPSTPARTTDSKHQTPSPLPPSVLRLWRPQAQRNLRNQWSQLASFKNRWFSASSAGRSHATALVNSHLSQRYMPDMKLGVLSDMPGIRKRACLKLFKRQELQRSKLLLCYKDMVGIVSDMINVSRSMKCFFKGSSSSPLLQFSYNSADQSDFGDAGDGGGIPVFTFYSMTSHEKYAEELVQMFRLELCLKRLLVLEFMSIGYDTSEVKQLHWSTQLYEDEFKDLTDCNLYCEVTHGPVPPSFRDGKSDIASLRFDNQPNPEVLQVYLTTWLAEANIDTLKVNEIFTVVGEEMHVSIV >Vigun03g340500.1.v1.2 pep primary_assembly:ASM411807v1:3:53902558:53908865:1 gene:Vigun03g340500.v1.2 transcript:Vigun03g340500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLDSAPSTPARTTDSKHQTPSPLPPSVLRLWRPQAQRNLRNQWSQLASFKNRWFSASSAGRSHATALVNSHLSQRYMPDMKLGVLSDMPGIRKRACLKLFKRQELQRSKLLLCYKDMVGIVSDMINVSRSMKCFFKGSSSSPLLQFSYNSADQSDFGDAGDGGGIPVFTFYSMTSHEKYAEELVQMFRLELCLKRLLVLEFMSIGYDTSEVKQLHWSTQLYEDEFKDLTDCNLYCEVTHGPVPPSFRDGKSDIASLRFDNQPNPEVLQVYLTTWLAEANIDTLKVNEIFTVVGEEMHVSIV >Vigun03g340500.2.v1.2 pep primary_assembly:ASM411807v1:3:53902612:53908865:1 gene:Vigun03g340500.v1.2 transcript:Vigun03g340500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLDSAPSTPARTTDSKHQTPSPLPPSVLRLWRPQAQRNLRNQWSQLASFKNRWFSASSAGRSHATALVNSHLSQRYMPDMKLGVLSDMPGIRKRACLKLFKRQELQRSKLLLCYKDMVGIVSDMINVSRSMKCFFKGSSSSPLLQFSYNSADQSDFGDAGDGGGIPVFTFYSMTSHEKYAEELVQMFRLELCLKRLLVLEFMSIGYDTSEVKQLHWSTQLYEDEFKDLTDCNLYCEVTHGPVPPSFRDGKSDIASLRFDNQPNPEVLQVYLTTWLAEANIDTLKVNEIFTVVGEEMHVSIV >Vigun03g340500.4.v1.2 pep primary_assembly:ASM411807v1:3:53902559:53908865:1 gene:Vigun03g340500.v1.2 transcript:Vigun03g340500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAELYPFEYSCSGEYMPDMKLGVLSDMPGIRKRACLKLFKRQELQRSKLLLCYKDMVGIVSDMINVSRSMKCFFKGSSSSPLLQFSYNSADQSDFGDAGDGGGIPVFTFYSMTSHEKYAEELVQMFRLELCLKRLLVLEFMSIGYDTSEVKQLHWSTQLYEDEFKDLTDCNLYCEVTHGPVPPSFRDGKSDIASLRFDNQPNPEVLQVYLTTWLAEANIDTLKVNEIFTVVGEEMHVSIV >Vigun08g177900.1.v1.2 pep primary_assembly:ASM411807v1:8:34767210:34770675:-1 gene:Vigun08g177900.v1.2 transcript:Vigun08g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVPTATEGRPLKCKAAICRKPGSPLTIEEITVAPPLPREARIRVICTSLCHTDVTFWNMQDPSPRILGHEAFGVVESVGEGVTELTKGDLVVPIFLADCGECGDCKSSRSNLCLKFPFQFSPWMPRDGTSRFKDSKGEILQHFMSVSSFSEYTVIDVAHLTKVDLPIPPKLACLLGCGISTGVGAAWKSAGVEPGSSVAIFGLGSIGLAVAEGARLCGAAKIIGVDINPEKYEVGKKFGVTHFVHSGENKDKTVSQIIMEMTDGGADYCFECVGKASLMEDAYASSRRGWGKTVVVGLDKPGSRLSLDTGEVLLSQKTLRGCLFGGLKPKTDVPTLIKRYIDKELNLEEFVTHEVQFKDINKAFDLLNEGKSLRCVIWMDE >Vigun11g136400.2.v1.2 pep primary_assembly:ASM411807v1:11:34562623:34567005:1 gene:Vigun11g136400.v1.2 transcript:Vigun11g136400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLNSPTTFEMPLEVLGHDLQFSQDPNSKHLGTTVWDASLVFAKFLERNCRRGRFSPAKLKGKRVIELGAGCGVSGFGMALLGCDVIVTDQKEVLPLLERNVERNISRVMQKNPETFGSIKVAELQWGDESHIKAVGPPFDYIIGTDVVYVDHLLEPLLQTILALSGPRTTIVLGYEIRSTSVHEKMLQMWKRNFDVKTVSKSKMDETFQHPSIQLFIMGFKHSAECTENSGEATVEKVDVETVVEDKSSEGNVVVEEGSGLVEENVDDQNKPISQNAKLSEWEARRYGAMAARILRDVKIS >Vigun11g136400.1.v1.2 pep primary_assembly:ASM411807v1:11:34562621:34566991:1 gene:Vigun11g136400.v1.2 transcript:Vigun11g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLNSPTTFEMPLEVLGHDLQFSQDPNSKHLGTTVWDASLVFAKFLERNCRRGRFSPAKLKGKRVIELGAGCGVSGFGMALLGCDVIVTDQKEVLPLLERNVERNISRVMQKNPETFGSIKVAELQWGDESHIKAVGPPFDYIIGTDVVYVDHLLEPLLQTILALSGPRTTIVLGYEIRSTSVHEKMLQMWKRNFDVKTVSKSKMDETFQHPSIQLFIMGFKHSAECTENSGEATVEKVDVETVVEDKSSEGNVVVEEGSGLVEENVDDQNKPISQNAKLSEWEARRYGAMAARILRDVKIS >Vigun11g136400.4.v1.2 pep primary_assembly:ASM411807v1:11:34562879:34566964:1 gene:Vigun11g136400.v1.2 transcript:Vigun11g136400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLNSPTTFEMPLEVLGHDLQFSQDPNSKHLGTTVWDASLVFAKFLERNCRRGRFSPAKLKGKRVIELGAGCGVSGFGMALLGCDVIVTDQKEVLPLLERNVERNISRVMQKNPETFGSIKVAELQWGDESHIKAVGPPFDYIIGTDVVYVDHLLEPLLQTILALSGPRTTIVLGYEIRSTSVHEKMLQMWKRNFDVKTVSKSKMDETFQHPSIQLFIMGFKHSAECTENSGEATVEKVDVETVVEDKSSEGNVVVEEGSGLVEENVDDQNKPISQNAKLSEWEARRYGAMAARILRDVKIS >Vigun05g263500.1.v1.2 pep primary_assembly:ASM411807v1:5:45595383:45602850:1 gene:Vigun05g263500.v1.2 transcript:Vigun05g263500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGRWMAFVFAFVAIVLWGCAEGKECTNNIAQSHTYRYQLLTSTNETWNIISHYKLAPKDEHNHVLSDLLPRKLLKEEHQHDWDVMLRKTEKVSVLKPPHQDFLKEVSLHDVRLHEGSVHGRAQQTNLEYLLMLDVDRLLWSFRKTSGLPTPGTPYGGWEDPKIELRGHFVGHYLSASALMWASTHNDILKKKMTALVANLAICQEKIGTGYLSAFPSEFFDRFEATEHVWAPYYTIHKILAGLLDQHSIAKNPQALNMVKWMVDYFYNRVQNVITKFSINRHYQSLNEETGGMNDVLYKLYSITGDQRHLLLAHLFDKPCFLGLLAVKANDIANFHANTHIPVVVGSQMRYEVTGDPLYKEIGTFFMDIVNSSHTYATGGTSVDEFWSDPKRIGDTLESTDNEESCTTYNMLKVSRHLFRWTKKVSYADYYERALTNGVLSIQRGTEAGVMIYMLPQGRGVSKAKTGLGWGTKFDSFWCCYGTGIESFSKLGDSIYFEERGKNPTLYIIQYISSFFNWKSGQIIVNQTVVPAVSWDPFLRVTFTFSPAKKNGALSTLNFRLPTWTHKNGAQGVLNNESLSLPTPGNFLSITRKWNAGDKLSLQFPITLRTEAIKDDRSQYASIQAILYGPYLLAGHTTGDWDIKAAPNASIEDWITPIPASYNSHLFYFSQAYANSTYVFTNSKHPIAMRKLPVPGTDLALHATFRVIQGKKSSTKFTTLTDVIGKTIMLEPFDHPGMRVIHQGMEHPLTVADSSSGGSSCVFVVVPGLDGRKETISLESKSHNGCFVHSGLHSGRGVKLSCNSSSDAAFKQSASFIAKRGMSKYDPISFMAKGANKNFLLEPLLAFRDESYTAYFNIKG >Vigun09g202600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37709099:37711352:1 gene:Vigun09g202600.v1.2 transcript:Vigun09g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAGTSKTLTNIFPSFPITTKPQNPLTTNTNNPSFPSSRLRHRAIYAVANPVQPPQLATDSTTPGQYRVDVLSESLPFIQKFRGKTIVVKYGGAAMKSPELQASVINDLVLLSCVGLRPVMVHGGGPEINTWLGRLNIPAVFRDGLRVTDADTMEIVSMVLVGKVNKTLVSLINKAGATAVGLSGLDGRLLTARPSPRAADLGFVGEVARVDPAVLRSLIDTNHIPVVTSVAADESGQPYNINADTVAGELAAALGAEKLILLTDVAGILEDRNDPNSLVKKIDIKGVKKMVEEGKVGGGMIPKVNCCVRSLAQGVTTASIIDGRVPHSLLLEILTDEGAGTMITG >Vigun06g140100.1.v1.2 pep primary_assembly:ASM411807v1:6:26549476:26556259:1 gene:Vigun06g140100.v1.2 transcript:Vigun06g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRPASRKKLRDLLLKKENRCCADCNAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVALDDWSEDEIDAMIEVGGNASANSIYEANIPEGVTKPGPDADHEQRSNFIRSKYEFQEFLKPSLRIISGKGSLESSSTKSAKDSFRSTSTERKEGMVEFIGLLKVKVIKGTNLAIRDIKSSDPYVILSLGQQTVQTSVVSSNLNPVWNEEYMLSVPEDYGQMKLKVFDHDTFSADDIMGEADIDLQSLITSAMAFGDAGMFGDMQIGKWLKSDDNALIEDSTVHITEGKVKQMMSLKLQNVESGELDLELEWIPLDQ >Vigun07g254400.1.v1.2 pep primary_assembly:ASM411807v1:7:37271488:37274766:1 gene:Vigun07g254400.v1.2 transcript:Vigun07g254400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCYSPLDCCFRRRGGRAADGLLWHTDLKPHASGDFSIAVAQANYSLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNKRLFPYLHKFATEQGGLSVDVIKKAFSATEEEFLHLVKLSLPISPQIASVGSCCLFGAISNNVLYVANLGDSRAVLGRRDVRRKNSPVVAQRLSTDHNVADEEVRREVEALHPDDSHIVVYSRGVWRIKGIIQVSRSIGDVYLKKPDFYRDPAFQQFGNPVPLKRPVMTAEPSIIIRELESEDLFLIFASDGLWEQLSDEAAVQIVFKYPRAGIAKRLVRAALQEAAKKREMRYDDIKKIDKGIRRHFHDDITVIVIYLDHHAGSSNGRFKQTGVGYTTAPVDIFSQNADEAEKRMLGTIA >Vigun09g061600.1.v1.2 pep primary_assembly:ASM411807v1:9:6405008:6411352:1 gene:Vigun09g061600.v1.2 transcript:Vigun09g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDYDTSSPERENQTKVNKKKLRKRKRPNKSENTQEEKNDAKIDSMVSQGEDAEEEEKEEIKVNTNDSFGIMSSESFSSLSLSEATSKAIGDMGFDCMTQIQARAIPPLLIGKDVLGAARTGAGKTLAFLVPAVELLYNAQFTPLKGTGAVVICPTRELAIQTHAVAKELLKYHSQTLGLVIGGAGRKGEAERIVKGVNLLVATPGRLLDHLQNTKGFVYKNLKCLMIDEADRILEANFEEEMKQIINILPKKRQTALFSATQTKKVADLARLSFQTTPIFIDVDDGRKKVTNEGLQQGYVVVPCAKRFVVLYSFLRRYQSKKVMVFFSSCNSVKFHADLLKCAGVDCLNIHGKQKQHARTSTFFNFCKAEKGILLCTDVAARGLDIPDVDWIVQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELKFLHYLKAAKVPVKEYEFDHKKLANVQSHLEKLVAGIYHLNNMAKDAYRSYILAYNAHSMKDIFNVHHLDLLAVATSFCFSNPPKVNLDIDSSASKHRKKVRKVEGKR >Vigun06g112300.1.v1.2 pep primary_assembly:ASM411807v1:6:24086984:24088307:-1 gene:Vigun06g112300.v1.2 transcript:Vigun06g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALREEQIGEFLEAFCLFDKDGDGCINIEELGTAIRSLDENPTLEELQIMMSEVDTDGNGTIEFGEFLNLMARKMKESEAEEELKEAFRVFDKDQDGYISASELRSVMRTIGEKVTDEEVAQMVKEADLDGDGLVDYEEFVRMMLAA >Vigun06g112300.2.v1.2 pep primary_assembly:ASM411807v1:6:24086984:24088307:-1 gene:Vigun06g112300.v1.2 transcript:Vigun06g112300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRCINIEELGTAIRSLDENPTLEELQIMMSEVDTDGNGTIEFGEFLNLMARKMKESEAEEELKEAFRVFDKDQDGYISASELRSVMRTIGEKVTDEEVAQMVKEADLDGDGLVDYEEFVRMMLAA >Vigun08g039800.1.v1.2 pep primary_assembly:ASM411807v1:8:3896281:3901244:-1 gene:Vigun08g039800.v1.2 transcript:Vigun08g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESILLTVAATAGNNIGKILQKKGTIILPPLSFKLKVIRSYASNRTWVIGFLVDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEVMNAVDWVGITLAGFGTIGVGAGGEKHEVVALSIFRIPALAFVVFILFILLNGWVRICKSQRREQEMMEYDVVEEIIYGLESGILFGMSSVISKMGFLFLDQGFPKLLAPLCILISVCCSGTGFYYQTRGLKHGRAIVVSTCAAVASILTGVLAGMFALGERLPSEPKARLALLLGWLLIIVGVILLVGSTRLVRFLSCSSRQRRSNVDKNFGLRRTTSSRVREPSPSAIIQAATLNHLLSSSSKEKA >Vigun08g039800.2.v1.2 pep primary_assembly:ASM411807v1:8:3896281:3901244:-1 gene:Vigun08g039800.v1.2 transcript:Vigun08g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESILLTVAATAGNNIGKILQKKGTIILPPLSFKLKVIRSYASNRTWVIGFLVDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEVMNAVDWVGITLAGFGTIGVGAGGEKHEILLNGWVRICKSQRREQEMMEYDVVEEIIYGLESGILFGMSSVISKMGFLFLDQGFPKLLAPLCILISVCCSGTGFYYQTRGLKHGRAIVVSTCAAVASILTGVLAGMFALGERLPSEPKARLALLLGWLLIIVGVILLVGSTRLVRFLSCSSRQRRSNVDKNFGLRRTTSSRVREPSPSAIIQAATLNHLLSSSSKEKA >Vigun01g236800.1.v1.2 pep primary_assembly:ASM411807v1:1:40812482:40816653:-1 gene:Vigun01g236800.v1.2 transcript:Vigun01g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCVDNSQAKRARIIELSRRLRHRGPDWSGIHCHDDCYLAHQRLAIVDPTSGDQPLYNEDKTVIVTVNGEIYNHKELRQKLSSHQFRTGSDCEVIAHLYEEYGEEFVNMLDGMFAFILLDTRDKSFIAARDAIGITPLYLGWGHDGSTWFASEMKALSDDCERFMSFPPGHIYSSKQGGLRRWYNPTWFSENVPSTPYDPIVLRETFERAVVKRMMTDVPFGVLLSGGLDSSLVASVVNRYLAESEAARQWGSQLHTFCIGLKGSPDLKAAKEVADYLGTRHHELYFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKALGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTAAWGVEARVPFLDKEFIDVAMSMDPESKMIRRDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDALKDHADKQVPDATMLAASFIYPENTPTTKEGYLYRTIFEKFFPKNAARATVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHEASYEHVVDTKLDKPKNGPISKVHS >Vigun10g031700.1.v1.2 pep primary_assembly:ASM411807v1:10:4167648:4169964:-1 gene:Vigun10g031700.v1.2 transcript:Vigun10g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGTKATRGLSLKLHSNKINCLEAHTFKKMKRLRLLQLDHVQLNADYRHLFKDLRWICWQRFPFIYIPNNFHMKNIIAIDFKHSHLQLVWKPPLWKQPQVLGRLKFLNLSHSKYLRETPDFSRLPSLERLILKDCPSLCMVHPSIGDLCNILLINLKDCTNLSSLPKEIYKLKSLRTFILSGCFKIHIFEEDIVQMESLIILVTQDTALKQVPCSVVSSKSIGYISVRGFEGLSHNTFPSIIRSWISPTMNPQSYISPFSMDMENNNWRDLVPLHSGLVNVRSVLVQCDTAFQLFWFNTT >Vigun02g000500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:275597:280244:-1 gene:Vigun02g000500.v1.2 transcript:Vigun02g000500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDGYSLLLKHRKPSIPFVLPTRHLEPPPSSPIPEPHVAQALSREHDVTRALEYFQHVATSTVFKHTPLTYHIMIEKLGRSNVEIDSIHYLLQQMKIEGVPCSEDLFICVLNSYKRAGLGERALKLFYRIKEFGCKPTVKIYNHLLDALVGEAENRFHAIGAVYENMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLVEMSKRGCVPDKVSYTTVVAGMCRDGRVDEAREAAKRFGVESVVSVCNALVCGLCKEGRIGEVFGLVNEMVVKGVDPDVVSYSSVISCLSDIGEVELSLAIFGQMVRRGCRPNVHTFSSLMKGHFFEGRVGEAVGLWRFMVLEGVRPNVVAYNTLLYGLCCNGNVDEAVMVCDRMEKDWFCRPNVTTYSTIVHGFAKAGDLQGASETWNRMIDCGVRPNVVVYTSMVDVLCKNSMFDQAYRLIDNMVADGCPPSVVTFNTFIKGLCRGGRVQWALRVVDQMQSYGCFPDIRSYNELLDGLFCANGFREACELIRELEERKVELNSVTYNTVMYGFSSHGMQELVLQVLGRMLVTGVKPDAVTVNIVIYAYSKLGKVKTAMQLLDKITAGKEFCSDIIAHTSLLWGICNWLGIEEAVVYLNKMLNNGIFPNIVTWDVLVRGFFNKLGHMGPIRILDDILGNGQYSI >Vigun02g000500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:276474:280246:-1 gene:Vigun02g000500.v1.2 transcript:Vigun02g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDGYSLLLKHRKPSIPFVLPTRHLEPPPSSPIPEPHVAQALSREHDVTRALEYFQHVATSTVFKHTPLTYHIMIEKLGRSNVEIDSIHYLLQQMKIEGVPCSEDLFICVLNSYKRAGLGERALKLFYRIKEFGCKPTVKIYNHLLDALVGEAENRFHAIGAVYENMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLVEMSKRGCVPDKVSYTTVVAGMCRDGRVDEAREAAKRFGVESVVSVCNALVCGLCKEGRIGEVFGLVNEMVVKGVDPDVVSYSSVISCLSDIGEVELSLAIFGQMVRRGCRPNVHTFSSLMKGHFFEGRVGEAVGLWRFMVLEGVRPNVVAYNTLLYGLCCNGNVDEAVMVCDRMEKDWFCRPNVTTYSTIVHGFAKAGDLQGASETWNRMIDCGVRPNVVVYTSMVDVLCKNSMFDQAYRLIDNMVADGCPPSVVTFNTFIKGLCRGGRVQWALRVVDQMQSYGCFPDIRSYNELLDGLFCANGFREACELIRELEERKVELNSVTYNTVMYGFSSHGMQELVLQVLGRMLVTGVKPDAVTVNIVIYAYSKLGKVKTAMQLLDKITAGKEFCSDIIAHTSLLWGICNWLGIEEAVVYLNKMLNNGIFPNIVTWDVLVRGFFNKLGHMGPIRILDDILGNGQYSI >Vigun02g000500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:276636:280243:-1 gene:Vigun02g000500.v1.2 transcript:Vigun02g000500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDGYSLLLKHRKPSIPFVLPTRHLEPPPSSPIPEPHVAQALSREHDVTRALEYFQHVATSTVFKHTPLTYHIMIEKLGRSNVEIDSIHYLLQQMKIEGVPCSEDLFICVLNSYKRAGLGERALKLFYRIKEFGCKPTVKIYNHLLDALVGEAENRFHAIGAVYENMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLVEMSKRGCVPDKVSYTTVVAGMCRDGRVDEAREAAKRFGVESVVSVCNALVCGLCKEGRIGEVFGLVNEMVVKGVDPDVVSYSSVISCLSDIGEVELSLAIFGQMVRRGCRPNVHTFSSLMKGHFFEGRVGEAVGLWRFMVLEGVRPNVVAYNTLLYGLCCNGNVDEAVMVCDRMEKDWFCRPNVTTYSTIVHGFAKAGDLQGASETWNRMIDCGVRPNVVVYTSMVDVLCKNSMFDQAYRLIDNMVADGCPPSVVTFNTFIKGLCRGGRVQWALRVVDQMQSYGCFPDIRSYNELLDGLFCANGFREACELIRELEERKVELNSVTYNTVMYGFSSHGMQELVLQVLGRMLVTGVKPDAVTVNIVIYAYSKLGKVKTAMQLLDKITAGKEFCSDIIAHTSLLWGICNWLGIEEAVVYLNKMLNNGIFPNIVTWDVLVRGFFNKLGHMGPIRILDDILGNGQYSI >Vigun02g000500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:276655:280211:-1 gene:Vigun02g000500.v1.2 transcript:Vigun02g000500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDGYSLLLKHRKPSIPFVLPTRHLEPPPSSPIPEPHVAQALSREHDVTRALEYFQHVATSTVFKHTPLTYHIMIEKLGRSNVEIDSIHYLLQQMKIEGVPCSEDLFICVLNSYKRAGLGERALKLFYRIKEFGCKPTVKIYNHLLDALVGEAENRFHAIGAVYENMKGEGLEPNVFTYNILLKALCKNGKVDGACKLLVEMSKRGCVPDKVSYTTVVAGMCRDGRVDEAREAAKRFGVESVVSVCNALVCGLCKEGRIGEVFGLVNEMVVKGVDPDVVSYSSVISCLSDIGEVELSLAIFGQMVRRGCRPNVHTFSSLMKGHFFEGRVGEAVGLWRFMVLEGVRPNVVAYNTLLYGLCCNGNVDEAVMVCDRMEKDWFCRPNVTTYSTIVHGFAKAGDLQGASETWNRMIDCGVRPNVVVYTSMVDVLCKNSMFDQAYRLIDNMVADGCPPSVVTFNTFIKGLCRGGRVQWALRVVDQMQSYGCFPDIRSYNELLDGLFCANGFREACELIRELEERKVELNSVTYNTVMYGFSSHGMQELVLQVLGRMLVTGVKPDAVTVNIVIYAYSKLGKVKTAMQLLDKITAGKEFCSDIIAHTSLLWGICNWLGIEEAVVYLNKMLNNGIFPNIVTWDVLVRGFFNKLGHMGPIRILDDILGNGQYSI >Vigun03g241300.1.v1.2 pep primary_assembly:ASM411807v1:3:40372515:40377502:-1 gene:Vigun03g241300.v1.2 transcript:Vigun03g241300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRMGQWLSVLICVLLLLKAEGVPVGITFVENAVAKGAVCLDGSPPAYHFHKGSGAGINNWIVHFEGGGWCNNVTSCLSRRDTRLGSSKKMDTQLSFSGFFSNSKKFNPDFYDWNRIKVRYCDGSSFTGDVEAVDPATNLHFRGARVFAAVVDDLLAKGMKNAQNAIISGCSAGGLAAILNCDRFKSLLPGTTKVKCLADAGYFINVKDVSGAQRIEEFYSQVVQTHGSAKNLPASCTSRLRPGLCFFPQNVVSQISTPIFFVNAAYDSWQIKNIVAPGAADPHGTWRECKLDIKNCSPNQLSVMQVFRTDFLRAFGSVGNSPSKGQFIDGCYAHCQTGTQETWLRNDSPVLGGTTIAKAVGDWYYDRKPFKQIDCAYPCNPTCHNRIFDQN >Vigun03g241300.2.v1.2 pep primary_assembly:ASM411807v1:3:40372515:40377502:-1 gene:Vigun03g241300.v1.2 transcript:Vigun03g241300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRMGQWLSVLICVLLLLKAEGVPVGITFVENAVAKGAVCLDGSPPAYHFHKGSGAGINNWIVHFEGGGWCNNVTSCLSRRDTRLGSSKKMDTQLSFSGFFSNSKKFNPDFYDWNRIKVRYCDGSSFTGDVEAVDPATNLHFRGARVFAAVVDDLLAKGMKNAQNAIISGCSAGGLAAILNCDRFKSLLPGTTKVKCLADAGYFINVKDVSGAQRIEEFYSQVVQTHGSAKNLPASCTSRLRPGLCFFPQNVVSQISTPIFFVNAAYDSWQIKNIVAPGAADPHGTWRECKLDIKNCSPNQLSVMQGEHLRISVTCHT >Vigun06g138000.1.v1.2 pep primary_assembly:ASM411807v1:6:26358353:26359101:1 gene:Vigun06g138000.v1.2 transcript:Vigun06g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSFFLTVLALSLSVVLAAATSTFQDFCVADPQEKVLVNGLACKDPKLVEANDFFFSGLHIAGNTTNPVGSKVTPVFASQLPGLNTLDISIARIDYAPTGINPPHTHPRATEVLTVLEGTLEVGFVTSNPENRHLRKVLQKGDVFVFPIGLVHYQRNVGYGNAVAIAALSNQNPGVITIGNAVFGSTPDIDIDVLVKAFHLDKTTIGYLQSKF >Vigun10g078233.1.v1.2 pep primary_assembly:ASM411807v1:10:21286304:21289140:-1 gene:Vigun10g078233.v1.2 transcript:Vigun10g078233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEKIKFIEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRKEEEEEEEEEEEEEEEEEEEEEEEEEEDGDRRRRRRKRRRRRRRKRRGRIRRRRRRRRRRRRRRRRRRRRRR >Vigun11g171900.2.v1.2 pep primary_assembly:ASM411807v1:11:37729426:37733512:-1 gene:Vigun11g171900.v1.2 transcript:Vigun11g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDYASSDEDYHYDSDQDDSVEAYENDEDYALLSSKGPTTKVITKESLLVAQKEDLRRVMEMLSVREQHARTLLIYHRWDVEKLFAVYVDKGKAFLFGEAGVTVDEHPDSDSSLPSTVMCYICMEDVARVETTRMDCSHCFCNSCWIEHFIVKINEGQSKRIRCMQHKCNSICDEAVVRTLLSRKHPDMAEKYERFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDELCEVECSCGLQFCFRCLSEAHSPCSCLMWELWAKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGREHTWSSIAGHSCGRYKEQEKTAERAKRDLYRYMHYHNRYKAHTDSFKIESKLKETIQGKIAISEEKDSTLRDYSWVNNGLSRLFRSRRVLSYSYAFAFYMFGDELFKEEMTEAQREIKQNLFEDQQQQLEANVEKLSKILEEPFETFSDDKVVEIRMQILNLSTIIDKLCQKMYECIENDLLGSLHLGIHSIAPYKSKGIERASELSVCWNNKVNTV >Vigun11g171900.1.v1.2 pep primary_assembly:ASM411807v1:11:37729426:37733512:-1 gene:Vigun11g171900.v1.2 transcript:Vigun11g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDYASSDEDYHYDSDQDDSVEAYENDEDYALLSSKGPTTKVITKESLLVAQKEDLRRVMEMLSVREQHARTLLIYHRWDVEKLFAVYVDKGKAFLFGEAGVTVDEHPDSDSSLPSTVMCYICMEDVARVETTRMDCSHCFCNSCWIEHFIVKINEGQSKRIRCMQHKCNSICDEAVVRTLLSRKHPDMAEKYERFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDELCEVECSCGLQFCFRCLSEAHSPCSCLMWELWAKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGREHTWSSIAGHSCGRYKEQEKTAERAKRDLYRYMHYHNRYKAHTDSFKIESKLKETIQGKIAISEEKDSTLRDYSWVNNGLSRLFRSRRVLSYSYAFAFYMFGDELFKEEMTEAQREIKQNLFEDQQQQLEANVEKLSKILEEPFETFSDDKVVEIRMQILNLSTIIDKLCQKMYECIENDLLGSLHLGIHSIAPYKSKGIERASELSVCWNNKVNTGVTAELDRASGSGSSDDNGCSSRKRARKDGLGGGFFDLNLPAEFVDRN >Vigun10g043800.1.v1.2 pep primary_assembly:ASM411807v1:10:6162359:6162867:1 gene:Vigun10g043800.v1.2 transcript:Vigun10g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGSKCSTTSSSSSQIRSSGFVPRICRCGETLLLFKATTQKNNGRFFWRCKNWVNDKNCRFFEWADEVEPEKEVTLEKNEEDSVCVNERMLVDVLQKNAKLKKLMEERKMGQLKMCAFLVSWAFTVMFCVFFCDKNQL >Vigun10g128701.1.v1.2 pep primary_assembly:ASM411807v1:10:33666926:33668413:1 gene:Vigun10g128701.v1.2 transcript:Vigun10g128701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNKRPKLIFDKYVWRVDNFSTLHGGELHCSDKFILDDLPWYIVIRSLWLTDMGNNLEIYLKVDDECANSTECWKKSENFNLALINQHDEELTIRKGFEFEFSAYDNCEALEIWFPFRYSGYTVDDTCVIEAGIFVTKYVNEYEEYEPICKIDENPVKHNKNPLFHKMFCNSFKNVDPAFLPLLEKVCLLYPSLVESQEKRSCRFTEWAFTALGRVLHFLITTKMKDMDDEACDHLQRLWDEVKKAAKVKKAKEDVDALEIETKRLRLALIERETELECAKKDLVKAKEGFVERRLDVKLGYRDY >Vigun07g053300.1.v1.2 pep primary_assembly:ASM411807v1:7:5652146:5657986:-1 gene:Vigun07g053300.v1.2 transcript:Vigun07g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKKKYKVIRKVKEHNRKKAKEAKKLALSGKKKVEKDPGIPNDWPFKEQELKALEARRAKAIEELEQKKAERKERARKRKLGLLEEEDDSKLLEDSKKNSNDFGTALKTRDSSDRAFYKDLVKVIEASDVLLEVLDARDPLGTRCPEIENMVMKSGPDKRLVLLLNKIDLVPKEALEKWLKYLREELPTVAFKCSTQQQRSNLAWRKSSKKAKSSDILQLSDCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSKENDASIALKNCKRIENLEDPIGPVKEILKLCLPEQLVTLYNIPSFNVGDVDDFLRQVAIVKGKLKKGGIHDIDTTARIVLRDWNEGKIQYYTMPPNRDQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKSVDDFSAVEVPSSHPLNLNEMMLEDETEAKSGDQGEGPGNVGEVDESMEDDGGKKKDNSAASRQNEKLYTADGMLNTKLRRAEKKKRKKAKKASASSDPMDGDYDFKTDYFQKGDSMDSEDSQGEDHDDDEPVNSEVPMSAIQIDE >Vigun10g032532.1.v1.2 pep primary_assembly:ASM411807v1:10:4288670:4290554:-1 gene:Vigun10g032532.v1.2 transcript:Vigun10g032532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSTAFTSFIHNEISLGNAKEQILPSASIHMAGKHGVSLLLAQHKAKHISSILFYLGCYN >Vigun11g011233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1398521:1398829:-1 gene:Vigun11g011233.v1.2 transcript:Vigun11g011233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQREELHIVVFPWLAFGHLGPFFQLAKLIAQKGHKISFVSTPRNIHRLPKVLENLQHLVDLIELPLPRVDKLPENAEATVDVPYHLIPYLKQAFDGLQQL >Vigun07g091900.3.v1.2 pep primary_assembly:ASM411807v1:7:14319908:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPKVRVRTPEQEEEECVSPENNSGSWMLSKFIELLYFEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKGKAPLKPKLHFRNL >Vigun07g091900.4.v1.2 pep primary_assembly:ASM411807v1:7:14319555:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPKVRVRTPEQEEEECVSPENNSGSWMLSKFIELLYFEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKEFNSR >Vigun07g091900.2.v1.2 pep primary_assembly:ASM411807v1:7:14319552:14321259:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPKVRVRTPEQEEEECVSPENNSGSWMLSKFIELLYFEEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKEFNSR >Vigun07g091900.5.v1.2 pep primary_assembly:ASM411807v1:7:14319908:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFIELLYFEEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKGKAPLKPKLHFRNL >Vigun07g091900.6.v1.2 pep primary_assembly:ASM411807v1:7:14319908:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFIELLYFEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKGKAPLKPKLHFRNL >Vigun07g091900.7.v1.2 pep primary_assembly:ASM411807v1:7:14319779:14320972:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFIELLYFEEEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKEFNSR >Vigun07g091900.1.v1.2 pep primary_assembly:ASM411807v1:7:14319908:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPKVRVRTPEQEEEECVSPENNSGSWMLSKFIELLYFEEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKGKAPLKPKLHFRNL >Vigun07g091900.8.v1.2 pep primary_assembly:ASM411807v1:7:14319551:14321246:-1 gene:Vigun07g091900.v1.2 transcript:Vigun07g091900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFIELLYFEEEKKHSVSKSTRVCPQHVTMRSTPSTKGVLKSVKRVGESPKENARASSVIRPRAVLSSPENDGLIGSMNDLNNSTTSRRKEDEAFNKEFNSR >Vigun10g126800.1.v1.2 pep primary_assembly:ASM411807v1:10:33483637:33490053:-1 gene:Vigun10g126800.v1.2 transcript:Vigun10g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHNNRARYPPGMGLGRGGFHPNVGHNPNLNQNPGLNQNQAFQPRPPYQQQPHYVQRHLMQQPQQQQQWLRRDANAVDEVEKTVQSEAVDSSSQDWKARLKIPPADTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCIMKDCSMLVMDEADKLLSPEFQPSIEQLIHFLPPNRQILMFSATFPVTVKDFKDRYLHKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCR >Vigun08g006500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:564681:572163:-1 gene:Vigun08g006500.v1.2 transcript:Vigun08g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVQELVSVMKKEQDCVVKNAGDATRQWAAVASTIAATENKDCLDLFVQLDGLWFINRWLKDAQNLGADNTNDGFIEESITAMLRAVEKLYLDSEKSISSGISVTVNNLLGHHSSKVQDRARALFDLWKGGGLGDAEPHDNSDRDRLNNESDKIAREEGQPSCVNDAGNDNHHASQLAGDEKSLLGGSNSQLQEKVTSSQTQSVDNALQSSVSLDCEDVKERSSHVDSVLASAQEATVSECETTLAGTCSLPITKQGSFKGQQDDLQLNDLSKKEKQDQDVNGPPEELKSADISSASAKPDPEPVSTGNTEAKALESVKEEPALEHNVESNENVVCPKISVSGSMRTPESDGMSEMDDFRATSSSNPQLPKASENDDDSCSRMLPDLSVTGSNLEKTDMSFTKSEYIRAVKENKDQESDQDDDTSNGSDSFNQGKGPRSPNIIDKSSDMEVEYGNVDALEVARLVAQEVERECVSPVKEGNDHGSNCTTNGSDSFKWRNRPKSPNVIGKSCEIELEYGMVDALEVARQVAQEVEREVSSSSEKISEGGIRRRGSLDSLGRKDEVTRILPEEVSSRQSNSAEVCSEEVGHMTDLDNVEAGPDDMDSSQVTEAARDPGGSSEKSLCTFDLNEDVGSDDMDVSVNAMPTMPIPVVSASKPAQSSGLPMAPLQFEGTHGWKGSAATSAFRPASPRKNSDSEKNVSVGRSSELSKERHCFLDFDLNVAEGEEGLVKQIGESSGLPSGQSSVELSPKRSNKVELDLNSIGDDDVQPSNQRIEGPPFSGRNGYWSPSPASSSSSMQPSVRNIDLNDRPYFQTDLVDQGHSKSSSITEAYKRSKPGAPVISILGAKVEVGRREYVPQTLSLPNGKAIEPAMDLPLSGAGGILGMGPPLSYNHSNAFGYNGLTSVPALSFSSAMYGPSGGPVPYMVDSRGTPVVAQVGGSSSTVLSSYTQPPFIVSMTGTQLGLNGVGSSHPNFDLNSGFTIDGPNRDMLTARQFFFPAQGRAIEEHVRTLPQSSNSGVSLKRKEPDGGAWETYPRSYKHQQPPWK >Vigun03g151200.1.v1.2 pep primary_assembly:ASM411807v1:3:15906149:15912223:1 gene:Vigun03g151200.v1.2 transcript:Vigun03g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNWLFRSLALRHAIKNSVRPIFSSAHHRFLSLPSKPALFATAIASSQLSLLPSFRHSRSLSSVAGSSDVVLVNSEEEFNNILTKVQDNSLHAIFYFTAVWCGPCRFISPIVGELSKKYPHVTTYKIDIDQEAIQGTLSKLQITSVPTLHFFQNGKKADVLIGADVARLTNITEKLFKKN >Vigun03g151200.2.v1.2 pep primary_assembly:ASM411807v1:3:15906149:15912223:1 gene:Vigun03g151200.v1.2 transcript:Vigun03g151200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNWLFRSLALRHAIKNSVRPIFSSAHHRFLSLPSKPALFATAIASSQLSLLPSFRHSRSLSSVAGSSDVVLVNSEEEFNNILTKVQDNSLHAIFYFTAVWCGPCRFISPIVGELSKKYPHVTTYKIDIDQEAIQGTLSKLQITSVEELTLIEERMLLNGR >Vigun02g015000.2.v1.2 pep primary_assembly:ASM411807v1:2:5490215:5492701:1 gene:Vigun02g015000.v1.2 transcript:Vigun02g015000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLKKSEYLRTLCGKALKNLVWEFGHVDPIFFAIFGEELRPVWHLEDIEGNQHQLSFNMDVNHPVLTDGWYSLRVFYKLQHIHQIVFRYVGNSTFHITVFPNMSTISTGAKFLSNLIRLRKKHLFRVKLSKSQCKGSHLDLQSDFADYVRKRRLRRLELQGRNNTFIVQCKLLLRNTPKKSSKIGKGWKDFCTFNRLEEGDILVFLADKKMKKKKIKVYVHKECNF >Vigun10g061600.3.v1.2 pep primary_assembly:ASM411807v1:10:12821394:12825388:1 gene:Vigun10g061600.v1.2 transcript:Vigun10g061600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVGTIAREEGLSALWKGIVPGLHRQCVYGGLRIGLYEPVKSLYVGKDHVGDVPLSKKILAGFTTGAVAIAVANPTDLVKVRLQAEGKLAPGVPRRYSGSLNAYSTIVRQEGVGALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSSYKNTLDCFIKTLKNDGPMAFYKGFLPNFGRLGSWNVIMFLTLEQTKNFVKSLESS >Vigun10g061600.1.v1.2 pep primary_assembly:ASM411807v1:10:12821394:12825388:1 gene:Vigun10g061600.v1.2 transcript:Vigun10g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKSKSDLSFGKIFASSAFSACFAEVCTIPLDTAKVRLQLQKQAAAGDVISVPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCVYGGLRIGLYEPVKSLYVGKDHVGDVPLSKKILAGFTTGAVAIAVANPTDLVKVRLQAEGKLAPGVPRRYSGSLNAYSTIVRQEGVGALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSSYKNTLDCFIKTLKNDGPMAFYKGFLPNFGRLGSWNVIMFLTLEQTKNFVKSLESS >Vigun10g061600.2.v1.2 pep primary_assembly:ASM411807v1:10:12821394:12825388:1 gene:Vigun10g061600.v1.2 transcript:Vigun10g061600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKSKSDLSFGKIFASSAFSACFAEVCTIPLDTAKVRLQLQKQAAAGDVISVPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCVYGGLRIGLYEPVKSLYVGKDHVGDVPLSKKILAGFTTGAVAIAVANPTDLVKVRLQAEGKLAPGVPRRYSGSLNAYSTIVRQEGVGALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLLAGLGAGFFAVCIGSPVDVKSRMMGDSSYKNTLDCFIKTLKNDGPMAFYKGFLPNFGRLGSWNVIMFLTLEQTKNFVKSLESS >Vigun03g128300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12421733:12424398:-1 gene:Vigun03g128300.v1.2 transcript:Vigun03g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKADSSLPCSHHVAWQSPSLNYLSMLPKPGSLGLPTYLNSSTSILPHGLAAPSIRSLKTELTNEMQGYLKYHHNADTSLKEMHLGGALQNANPSLQKRLLIFDRSDNKTRLFYGPVPPLVQSPTVTAKKFAQSYDVNGEEQTRIMGQKHLASYGILEESVEDNAVIEESEKHEDTEEINALLYSDDDSSEYDEDDCDEVTSTDRSPLATRRTYTIQEQCEDLKEEVASSYWPKKRMKLIDGGDNRSSPRVEKTTLVQPNETCDNISDAESKNSSGWTYSVDKTKVDDSGVCDIKLKKDKIRESLRVLENLIPGAKGKEPMLVIDGTIEYLKVLMSQTGSPGVEHH >Vigun05g251600.2.v1.2 pep primary_assembly:ASM411807v1:5:44572738:44574537:1 gene:Vigun05g251600.v1.2 transcript:Vigun05g251600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTQPESSRTPPSMVEEPSVTVLLNDLRQRDDTIQQLLNGIHQIRAERDAMKQHLDSFSDTMRTVLTHHGNRKTRGETFQKLLVYPFFTFLGEHFL >Vigun06g014301.1.v1.2 pep primary_assembly:ASM411807v1:6:6726728:6727715:1 gene:Vigun06g014301.v1.2 transcript:Vigun06g014301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMKIKEVACQAKVIGTVVTFGGTLLMALYKGPVLSFMRSSSSHASQTENVSNPTGNHWVIGTVFLLIGCAGFSAFYILLAITLRKYPAEMSVATWVCFVGTLQSSVVAILAERQQPHAWSLGWDTRLFAPAYANIVRITGNSYIQGMVIKSMGPVIVTAFNPLRMIIVTGLACIILSEQLYLGSIIGAIVVVLGLYLVVWGKAKERRGLTPPSPTQDNFPKHQPQLPVTAPRNHSDNNKA >Vigun04g036000.1.v1.2 pep primary_assembly:ASM411807v1:4:3004907:3011972:1 gene:Vigun04g036000.v1.2 transcript:Vigun04g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDGGMKSTSINGVKMYTIASQQPSLASWLPSKKQNSHRNVKSYTQNLQLLEDLRFTTAATKIKATPDGEYIVASGIYPPQVKVYEVRELGLKFERHLDSEIVDFQVLTDDYSKLAFLCADRSVYLHAKYGKHYSVRIPRMGRDITYDCWSCDLLCAASSPDLYRINLEQGRFLSSLSTQSPALNVVCRSKIHGLVACGGEDGAVECFDMRVRSSVGRIGAVGPSGDADQEVTALEFDEDGGFLMAVGSSAGKVLIYDLRSSHPLRIQDHMYGSSILDIKWHRTLNYEQPMLITSDKHVVRIWDPQTGEGLTSIEPTTGTINDVCTFPGSGLILLALDCSQIPSYFIPSLGPAPKWCSSLENFTEELDMGGQTTIYDHYKFLTKEELERLNLTNLIGTNVLRAYMHGFFINHALYKKAKALADPFEYEAYIEQQKREKMEAERASRITVRKKLPKVNRALAARLLDSEEAENEKKDEDDDETKKASKKKKGLSMQDLQDDRFKAIFTDEDFEIKDTSQEYLALHPMGSKKQTSLLKEHFEPVMSDDDQSLSDSDASTSSHDEPANGGKDKSRVPRMYEIKNEQHAEAFWSRKSLAGEESLPMGDRVAALKNEQQSSRVPNGVKQGPGGSREITFTSRSKAKYKEDEEDKEVPHRKKRGVQSLGLKPQKPAFRGQGRGKRGNGRRGRR >Vigun03g377300.1.v1.2 pep primary_assembly:ASM411807v1:3:58034001:58036687:-1 gene:Vigun03g377300.v1.2 transcript:Vigun03g377300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSFLCSCPPVIPSSSWFPLTSNTTAFSINHRFQIHSSDSTNIFTQKLDTSLLSITESFYEDELWAAACLRVRSFNQFRPDAFGIRDHMRYLAQREFEALKERVSGKRTGFRRVSCINASLPFSHISSLSHDLCSFCKFSANGEDRIVVGTLDLNQCLSLPDEIVGLKPEGIGAEVTRAYLSNVCVAEELHRNGLGYALLEVSKLVASDWGITDLYVHVAVDNEPAKKLYMKSGFVYESDEPAWQARFMDRPRRLLLWSGL >Vigun03g377300.2.v1.2 pep primary_assembly:ASM411807v1:3:58034001:58036689:-1 gene:Vigun03g377300.v1.2 transcript:Vigun03g377300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSFLCSCPPVIPSSSWFPLTSNTTAFSINHRFQIHSSDSTNIFTQKLDTSLLSITESFYEDELWAAACLRVRSFNQFRPDAFGIRDHMRYLAQREFEALKERVSGKRTGFRRVSCINASLPFSHISSLSHDLCSFCKFSANGEDRIVVGTLDLNQCLSLPDEIVGLKPEGIGAEVTRAYLSNVCVAEELHRNGLGYALLEVSKLVASDWGKNPKHA >Vigun03g377300.3.v1.2 pep primary_assembly:ASM411807v1:3:58034001:58036689:-1 gene:Vigun03g377300.v1.2 transcript:Vigun03g377300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLAQREFEALKERVSGKRTGFRRVSCINASLPFSHISSLSHDLCSFCKFSANGEDRIVVGTLDLNQCLSLPDEIVGLKPEGIGAEVTRAYLSNVCVAEELHRNGLGYALLEVSKLVASDWGITDLYVHVAVDNEPAKKLYMKSGFVYESDEPAWQARFMDRPRRLLLWSGL >Vigun11g143100.2.v1.2 pep primary_assembly:ASM411807v1:11:35258657:35269460:-1 gene:Vigun11g143100.v1.2 transcript:Vigun11g143100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEKPVNEEHDAGSCRRTVSLPVQKVDDPMGITEETSHVPPRKRQNLLLEIPSRTEESSQDFVAIKMPPTPSSNPTPTPKRVNFLVSSRSVDPPTYNSPGPSTSRGKSAIRSLLPKLSFRYRTPAADVEKANTAASEVSSSGIGEKPSIARSLSLTKIFTPRIKRTSSLPLDEIRQSNNESSHGGSVGGPLNKKEAQRKIARSLSVPANNKDKNLRRMDSFFRIVPSTPRVKEGDVLVDTSTNDTENEDANGEDIAEEEAVCRICLVDLCEGGETFKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKEEVRNLPVTLLRIQSVRNRNNGATRSQLEDAAGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVKSRFIWIYASVQFAMVVLFAHIFYSVVRVQAVLSILLATFAGFGVVMSGSSILVEFYRWRRRSQASSEQRQGPQLMPQTGQNPQSSNTPNSDSGSGPSNHSQPVVQNEHNSNQS >Vigun11g143100.1.v1.2 pep primary_assembly:ASM411807v1:11:35258657:35269460:-1 gene:Vigun11g143100.v1.2 transcript:Vigun11g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEKPVNEEHDAGSCRRTVSLPVQKVDDPMGITEETSHVPPRKRQNLLLEIPSRTEESSQDFVAIKMPPTPSSNPTPTPKRVNFLVSSRSVDPPTYNSPGPSTSRGKSAIRSLLPKLSFRYRTPAADVEKANTAASEVSSSGIGEKPSIARSLSLTKIFTPRIKRTSSLPLDEIRQSNNESSHGGSVGGPLNKKEAQRKIARSLSVPANNKDKNLRRMDSFFRIVPSTPRVKEGDVLVDTSTNDTENEDANGEDIAEEEAVCRICLVDLCEGGETFKLECSCKGELALAHQECAIKWFSIKGNKTCDVCKEEVRNLPVTLLRIQSVRNRNNGATRSQLEDAAGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVKSRFIWIYASVQFAMVVLFAHIFYSVVRVQAVLSILLATFAGFGVVMSGSSILVEFYRWRRRSQASSEQRQGPQLMPQTGQNPQSSNTPNSDSGSGPSNHSQPVVQNEHNSNQS >Vigun10g152100.3.v1.2 pep primary_assembly:ASM411807v1:10:37189293:37195398:1 gene:Vigun10g152100.v1.2 transcript:Vigun10g152100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSTMQDPGTGNNGDRSAEVVRWERFLPRMVLRVLLVEADHSTRQIIAALLRKCSYTVMAVPDGLKAWELLKMKASELDLIITEVDLPAISGFALLSLIMEHEICKNIPVIMMSSHDSVSMVLKCMLKGAADFLIKPIRRNELGNLWQHVWRRHASSTPTLNTTFSPKYLKTASEDNSASNKSSGSVASSKENNECSERLSEAQDVPQLKSSKQKKIDLVKHENFARFESESTKMDNETRDNSITIVSNTARCDKTFKSADLRLEQGHGCADTEIEVEILKSDLGIGDSNISTELHGCSDEGVKPIKGAIDLIATFGNLPKHPDENCSLNGGNTTMFDGVTQLELSLRSDFPGSSCKQASEATEESQRLNHSNTSAFSWYSNSKLVHPLFRTPSITSAEVNNPSWDSHESHKLSRTTSGNCCQYDGSNKNLENMIGTVIDQHGQVKPKLSNSQCGMLPVSGVISDLKSKGHGNAFTSVFYAPSGPHPVWSPKPVCQNESSPFPTSTSSQSNPESHNSDQYHECSNDATCLNQNVKEDADLDQERRDSPAADQSAGYSLCHDASYHVNSSAYGSMDSGNDGHATSAIVSKNNPEVFSDSVCHNYDGSRGTESHRTSQREAALTKFRLKRKERCFEKKVRYQSRKRLAEQRPRVKGQFVRQVHNDHPVADAGGDS >Vigun10g152100.5.v1.2 pep primary_assembly:ASM411807v1:10:37189545:37195398:1 gene:Vigun10g152100.v1.2 transcript:Vigun10g152100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDSVSMVLKCMLKGAADFLIKPIRRNELGNLWQHVWRRHAVRHIFQSFYRDFFVLFSSFIMFSIYLLVLSSLCRMLFIQSSTPTLNTTFSPKYLKTASEDNSASNKSSGSVASSKENNECSERLSEAQDVPQLKSSKQKKIDLVKHENFARFESESTKMDNETRDNSITIVSNTARCDKTFKSADLRLEQGHGCADTEIEVEILKSDLGIGDSNISTELHGCSDEGVKPIKGAIDLIATFGNLPKHPDENCSLNGGNTTMFDGVTQLELSLRSDFPGSSCKQASEATEESQRLNHSNTSAFSWYSNSKLVHPLFRTPSITSAEVNNPSWDSHESHKLSRTTSGNCCQYDGSNKNLENMIGTVIDQHGQVKPKLSNSQCGMLPVSGVISDLKSKGHGNAFTSVFYAPSGPHPVWSPKPVCQNESSPFPTSTSSQSNPESHNSDQYHECSNDATCLNQNVKEDADLDQERRDSPAADQSAGYSLCHDASYHVNSSAYGSMDSGNDGHATSAIVSKNNPEVFSDSVCHNYDGSRGTESHRTSQREAALTKFRLKRKERCFEKKVRYQSRKRLAEQRPRVKGQFVRQVHNDHPVADAGGDS >Vigun10g152100.2.v1.2 pep primary_assembly:ASM411807v1:10:37189148:37195398:1 gene:Vigun10g152100.v1.2 transcript:Vigun10g152100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSTMQDPGTGNNGDRSAEVVRWERFLPRMVLRVLLVEADHSTRQIIAALLRKCSYTVMAVPDGLKAWELLKMKASELDLIITEVDLPAISGFALLSLIMEHEICKNIPVIMMSSHDSVSMVLKCMLKGAADFLIKPIRRNELGNLWQHVWRRHASSTPTLNTTFSPKYLKTASEDNSASNKSSGSVASSKENNECSERLSEAQDVPQLKSSKQKKIDLVKHENFARFESESTKMDNETRDNSITIVSNTARCDKTFKSADLRLEQGHGCADTEIEVEILKSDLGIGDSNISTELHGCSDEGVKPIKGAIDLIATFGNLPKHPDENCSLNGGNTTMFDGVTQLELSLRSDFPGSSCKQASEATEESQRLNHSNTSAFSWYSNSKLVHPLFRTPSITSAEVNNPSWDSHESHKLSRTTSGNCCQYDGSNKNLENMIGTVIDQHGQVKPKLSNSQCGMLPVSGVISDLKSKGHGNAFTSVFYAPSGPHPVWSPKPVCQNESSPFPTSTSSQSNPESHNSDQYHECSNDATCLNQNVKEDADLDQERRDSPAADQSAGYSLCHDASYHVNSSAYGSMDSGNDGHATSAIVSKNNPEVFSDSVCHNYDGSRGTESHRTSQREAALTKFRLKRKERCFEKKVRYQSRKRLAEQRPRVKGQFVRQVHNDHPVADAGGDS >Vigun10g152100.4.v1.2 pep primary_assembly:ASM411807v1:10:37189293:37195398:1 gene:Vigun10g152100.v1.2 transcript:Vigun10g152100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSTMQDPGTGNNGDRSAEVVRWERFLPRMVLRVLLVEADHSTRQIIAALLRKCSYTVMAVPDGLKAWELLKMKASELDLIITEVDLPAISGFALLSLIMEHEICKNIPVIMMSSHDSVSMVLKCMLKGAADFLIKPIRRNELGNLWQHVWRRHASSTPTLNTTFSPKYLKTASEDNSASNKSSGSVASSKENNECSERLSEAQDVPQLKSSKQKKIDLVKHENFARFESESTKMDNETRDNSITIVSNTARCDKTFKSADLRLEQGHGCADTEIEVEILKSDLGIGDSNISTELHGCSDEGVKPIKGAIDLIATFGNLPKHPDENCSLNGGNTTMFDGVTQLELSLRSDFPGSSCKQASEATEESQRLNHSNTSAFSWYSNSKLVHPLFRTPSITSAEVNNPSWDSHESHKLSRTTSGNCCQYDGSNKNLENMIGTVIDQHGQVKPKLSNSQCGMLPVSGVISDLKSKGHGNAFTSVFYAPSGPHPVWSPKPVCQNESSPFPTSTSSQSNPESHNSDQYHECSNDATCLNQNVKEDADLDQERRDSPAADQSAGYSLCHDASYHVNSSAYGSMDSGNDGHATSAIVSKNNPEVFSDSVCHNYDGSRGTESHRTSQREAALTKFRLKRKERCFEKKVRYQSRKRLAEQRPRVKGQFVRQVHNDHPVADAGGDS >Vigun08g029500.1.v1.2 pep primary_assembly:ASM411807v1:8:2691467:2693268:-1 gene:Vigun08g029500.v1.2 transcript:Vigun08g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCPLRSCLQWIESAESQRHATLFLAKFFGRTDLISFIASVPQTTRPALFQSLLFEGCGRTVNPVNGAVGLLCSGNWHVCEAAVETVLAGGVLRAPPDTVAGASTDTRRPFRPLRLEHDANENSSRSLMNGAEEPETVRYGGHKKNQILNLFM >Vigun08g029500.2.v1.2 pep primary_assembly:ASM411807v1:8:2691467:2693268:-1 gene:Vigun08g029500.v1.2 transcript:Vigun08g029500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCPLRSCLQWIESAESQRHATLFLAKFFGRTDLISFIASVPQTTRPGCGRTVNPVNGAVGLLCSGNWHVCEAAVETVLAGGVLRAPPDTVAGASTDTRRPFRPLRLEHDANENSSRSLMNGAEEPETVRYGGHKKNQILNLFM >Vigun06g156100.2.v1.2 pep primary_assembly:ASM411807v1:6:27964241:27969135:1 gene:Vigun06g156100.v1.2 transcript:Vigun06g156100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLSSGLYVSGRPEQLKERPPTMTSRSVPYTGGDPKKSGELGKMLEIPGVDQKPSRPSSGSVRSGPNSGPAVGRLSGSGPMSRKSSGSGPIALQPTGLITSGPVGSGPVGSRRSGQLEQPSAAASAGKTVYGSAVTSLNEEVKVGFRVSRAVVWVFMVVVAMCLLVGVFLMVAVKKAVILVALGAVIVPVVVLIAWNCVWGRRGLLGFVKRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRIPRCVYVSTELYEYKGLGGKSANPKHSCFTWGSRYSEVSWFNSY >Vigun06g156100.1.v1.2 pep primary_assembly:ASM411807v1:6:27964241:27969135:1 gene:Vigun06g156100.v1.2 transcript:Vigun06g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLSSGLYVSGRPEQLKERPPTMTSRSVPYTGGDPKKSGELGKMLEIPGVDQKPSRPSSGSVRSGPNSGPAVGRLSGSGPMSRKSSGSGPIALQPTGLITSGPVGSGPVGSRRSGQLEQPSAAASAGKTVYGSAVTSLNEEVKVGFRVSRAVVWVFMVVVAMCLLVGVFLMVAVKKAVILVALGAVIVPVVVLIAWNCVWGRRGLLGFVKRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRIPRCVYVSTELYEYKGLGGKSANPKHSCFTWGSRYSEKYVADFYVSDFQSGLRALVKAGYGAKVAPFVEPNTVVDVTKDNKELSPNFLGWLADRKLSSDDRIMRLKEGYIKEGSTVSVMGVVQRHDNVLMIVPSAEPVSTGCQWIRCLLPTYVEGLILTCEDNQNADVIPV >Vigun02g045600.1.v1.2 pep primary_assembly:ASM411807v1:2:18256224:18264993:1 gene:Vigun02g045600.v1.2 transcript:Vigun02g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVYRIEKITQQGEITLPLVRNHSPYSLIFAHLLSRFFFTFNLEIKSFGERTAQELQPLCCTCENNKPRQLNGVVLELINSKGKGKQQL >Vigun08g134500.1.v1.2 pep primary_assembly:ASM411807v1:8:30571244:30587218:-1 gene:Vigun08g134500.v1.2 transcript:Vigun08g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHANGIVFGEDRPCGSSPPSPPLPISNPDPSSVAADAWAAAEQTTGEILRSIQPTLAADRRRREVVDYVQRLIRYGARCEVFPYGSVPLKTYLPDGDIDLTALSCQNIEDGLVSDVRAVLHGEEINEAAEYEVKDVRFIDAEVKLVKCIVQDIVVDISFNQLGGLSTLCFLEKVDRLVAKDHLFKRSIILIKAWCYYESRVLGAHHGLISTYALETLVLYIFHQFHVSLDGPLAVLYRFLDYFSKFDWDNYCVSLKGPVSKSSLPNIVAEVPEKGGNTLLTEEFIRGCVESFSVPSRGPDLNLRAFPQKHLNIIDPLKENNNLGRSVNKGNFFRIRSAFKYGARKLGWILMLPDDRIADELIRFFANTLERHGSTQMNVDKSVFSLSAASKRDAGPGNQHNYESREEIQDASSLAGEFLDSSGDGNAVASYKLSEDSRDFATSGVLDISSANDLSYCSNGQVESNISSSEPALNTVIDEDTPLVFLGY >Vigun08g134500.2.v1.2 pep primary_assembly:ASM411807v1:8:30571244:30587218:-1 gene:Vigun08g134500.v1.2 transcript:Vigun08g134500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHANGIVFGEDRPCGSSPPSPPLPISNPDPSSVAADAWAAAEQTTGEILRSIQPTLAADRRRREVVDYVQRLIRYGARCEVFPYGSVPLKTYLPDGDIDLTALSCQNIEDGLVSDVRAVLHGEEINEAAEYEVKDVRFIDAEVKLVKCIVQDIVVDISFNQLGGLSTLCFLEKVDRLVAKDHLFKRSIILIKAWCYYESRVLGAHHGLISTYALETLVLYIFHQFHVSLDGPLAVLYRFLDYFSKFDWDNYCVSLKGPVSKSSLPNIVAEVPEKGGNTLLTEEFIRGCVESFSVPSRGPDLNLRAFPQKHLNIIDPLKENNNLGRSVNKGNFFRIRSAFKYGARKLGWILMLPDDRIADELIRFFANTLERHGSTQMNVDKSVFSLSAASKRDAGPGNQHNYESREEIQDASSLAGEFLDSSGDGNAVASYKLSEDSRDFATSGVLDISSANDLSYCSNGQVESNISSSEPALNTVIDEGMVSNSPRSHTDEKNMASYGSAVSTYANILENNFFHGDRYTTSVSGVLKLQCCCWTLLGIMTVTSETYNMVRCVMVILSLLLCLALLDLRSSQKIGIHGKLFVNVYKLITVFDLRQTQIVLLGNKFTSLIILLFQ >Vigun09g169100.2.v1.2 pep primary_assembly:ASM411807v1:9:33817871:33820409:-1 gene:Vigun09g169100.v1.2 transcript:Vigun09g169100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVVEVERILGYRFKNRKLLEEALTHSSSKEGVPYERLEFMGDSIISLAISKHLFLAYPKLDPGQLSSLRDANISTEKLARVAIRRNLHCFIRHSSPDLLDQIKQFVHAVALENHSVVVAHGGSVKAPKVLSDIVESVAGAMYFDLGCDLEKFWKNFRVILEPIVTPGDLELQPHPVKELFEICQKMGKHVEIKPVRNETESIAYVFVDGQFIASASSATKDLAKLEAAKIALERLASLVPLTSMRPSIGNVELNFYTDDNGDMIIEGAKHKLHELSQNKKWSKPEYRIEGESGPSHEKRFVCSVEITIEEEEGVFLRTCGYEKSRVKDAENSAASVMLMALLGV >Vigun09g169100.1.v1.2 pep primary_assembly:ASM411807v1:9:33817860:33820409:-1 gene:Vigun09g169100.v1.2 transcript:Vigun09g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVVEVERILGYRFKNRKLLEEALTHSSSKEGVPYERLEFMGDSIISLAISKHLFLAYPKLDPGQLSSLRDANISTEKLARVAIRRNLHCFIRHSSPDLLDQIKQFVHAVALENHSVVVAHGGSVKAPKVLSDIVESVAGAMYFDLGCDLEKFWKNFRVILEPIVTPGDLELQPHPVKELFEICQKMGKHVEIKPVRNETESIAYVFVDGQFIASASSATKDLAKLEAAKIALERLASLVPLTSMRPSIGNVELNFYTDDNGDMIIEGAKHKLHELSQNKKWSKPEYRIEGESGPSHEKRFVCSVEITIEEEEGVFLRTCGYEKSRVKDAENSAASVMLMALLGV >Vigun01g232800.1.v1.2 pep primary_assembly:ASM411807v1:1:40472911:40480709:-1 gene:Vigun01g232800.v1.2 transcript:Vigun01g232800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKSMGRKEKRKAMKKMKRKQTRQEAAERERREEEGRLNDPEEQRRMQLLEQEEAERMQRDIILFQEREKAFMDMIITRQQQQQQQQQEQEQEQNIDHDHEFEYVVQEEGPPEIIWQGNEIIFKKKQVRVRVPDNNNDNHNNHSQQNDDIRPTSNPLPPESESESRTQNLQDIAQQIPNFGTEQDKAHCPFHLKTGACRFGRRCSRVHFIPDKSPTLLIENMYNGPGLACDRDQDEGLEYTDEEIDRCFEEFYEDVHTEFLKFGEIVNFKVCKNGSFHLRGNVYVQYKALDSALLAANSMKRHDFAGKRVTCQFVNLTRWKVAICGEYMKSGFKTCSHGTACNFIHCFRNPGGDYEWADSDKPPPKFWVEKMIALFGYSDDYETSREQGNFSLSKTDSDRYHCRRSRSREMDQISCGHSGKRKHEDERKQRTPYEDRNASLKNTHKRKSRGRTPDTDSDRELDKEEIRERRHEYPRNGSFNKNRDENSRSHEEDSDVDCDTRDNEKQHGRNPRKCDKDTRVWINEAAGLDGDRDVRRHRIRESSGHQSGDASESDKDLFGRVEMETQHDYSRKSSRHRRSGVQVSIKRRDQNDKVDRDGSRKDSYKRDHHKKK >Vigun07g275200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39065479:39067429:1 gene:Vigun07g275200.v1.2 transcript:Vigun07g275200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGHQLLGFGFFVIGLWHFFNHVKLHALSSKSYTSTLWFPTTISRYLELHFIMASCTIFIAMELFIAPIHHQPFDPDGTIPSTHLHNFEHSSMAMAFLVYAIFAIVLDRKCSKVQNELTHLLGAMAFTQQFLLIHLHSRDHMGPEGQYHFLLQLLILISLATTLMGIGRPKSFLVCFVRSVSIFFQGVWLMIMGFLLWTPGFQAKGCFMHLEESDEYVVRCSDDESLHRAISLVNIQFSFLLIGITVFALSFYLIIVRRYGEKVEYVSLIKEEHYREEDGFVKPKGAIDDVENSMDVESQSPKKSNQEQI >Vigun06g110400.1.v1.2 pep primary_assembly:ASM411807v1:6:23932143:23934402:-1 gene:Vigun06g110400.v1.2 transcript:Vigun06g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIVDVLHPGRANVSKAELKEKLARMYDVKDPNTVFVFKFRTHFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKAGKKK >Vigun06g110400.2.v1.2 pep primary_assembly:ASM411807v1:6:23932362:23934402:-1 gene:Vigun06g110400.v1.2 transcript:Vigun06g110400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIVDVLHPGRANVSKAELKEKLARMYDVKDPNTVFVFKFRTHFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKAGKKK >Vigun08g188300.1.v1.2 pep primary_assembly:ASM411807v1:8:35667701:35668359:1 gene:Vigun08g188300.v1.2 transcript:Vigun08g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRFVSFFTIVAVLVVTVVATEALTCEQEQSLVAPCLEFVTKKTIAPSPLCCQGLNKIITSTPTKEEKQAACKCLKEAASHIPNLDKDRANNLPKDCKLNGFDCDLVV >Vigun02g096300.1.v1.2 pep primary_assembly:ASM411807v1:2:25209076:25211889:1 gene:Vigun02g096300.v1.2 transcript:Vigun02g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVKKAVRKLEKRPAEAPKTSMDMVFTFAEAIRFGYADILGKWNLLDLPRAILYGIMEKGKKTVAIECKERDDCVQLTDPELLKELYELKKCLTRTMLFSDRRFRSFLFAAGFVKEDVLLRKRRARILKPAFTVIRDKESKCLFVFIRGTRSIKDTLTDVIGAPVSFNHFICSDGELKRNNVVSGHGHRGMVAAARWIKKHCTPKLLDELRQYPDFQVKIVGHSLGGGTAAILTYMLREIKQFASCTCVTFGSAACMSLEMAEFGKPFVTSIVSSDDVVPTLSASSIHDFIHEGLIKRKKFISSAYSAIESRLSFASSAKAFADQAVSRGTEVVMSSKQRTQSLISWPRREKTAALTSSKSANMAEASESLPTSYEAATEELTISEFTSDEDDGSKSTSEGSDNDGEDEEEEQIISSTPNTDNDELNQYLKELQLEAQDDNPNTNGAKEKEEATKKGITEAEMNDEVVPGSTEATSEKPVKHYLYPSGRILHIVPVISSENSESNHNDDADEKRVVLYETPRKMYGKLRLSISMLHHHKTGNYLKVLQRLINQLEKEKSRYGA >Vigun02g096300.2.v1.2 pep primary_assembly:ASM411807v1:2:25209076:25211889:1 gene:Vigun02g096300.v1.2 transcript:Vigun02g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVKKAVRKLEKRPAEAPKTSMDMVFTFAEAIRFGYADILGKWNLLDLPRAILYGIMEKGKKTVAIECKERDDCVQLTDPELLKELYELKKCLTRTMLFSDRRFRSFLFAAGFVKEDVLLRKRRARILKPAFTVIRDKESKCLFVFIRGTRSIKDTLTDVIGAPVSFNHFICSDGELKRNNVVSGHGHRGMVAAARWIKKHCTPKLLDELRQYPDFQVKIVGHSLGGGTAAILTYMLREIKQFASCTCVTFGSAACMSLEMAEFGKPFVTSIGLIKRKKFISSAYSAIESRLSFASSAKAFADQAVSRGTEVVMSSKQRTQSLISWPRREKTAALTSSKSANMAEASESLPTSYEAATEELTISEFTSDEDDGSKSTSEGSDNDGEDEEEEQIISSTPNTDNDELNQYLKELQLEAQDDNPNTNGAKEKEEATKKGITEAEMNDEVVPGSTEATSEKPVKHYLYPSGRILHIVPVISSENSESNHNDDADEKRVVLYETPRKMYGKLRLSISMLHHHKTGNYLKVLQRLINQLEKEKSRYGA >Vigun04g140250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34779162:34780086:-1 gene:Vigun04g140250.v1.2 transcript:Vigun04g140250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGYELVLNRLVTYAYGLPLALEVIGSNFFGKSIKEWESATRQFKRIPKKEILEILKVSYGALEEEEKVIFLEIACCFKGYALREVEDTLRALYDDCIKHHIGMLVKKSLIKISLLSLKIMKKMKKIFVLHSALSVTEIRSAP >Vigun11g024201.1.v1.2 pep primary_assembly:ASM411807v1:11:3094648:3096097:-1 gene:Vigun11g024201.v1.2 transcript:Vigun11g024201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFCTRPTLTTKVTIQIVFQCPYCQAQLWYEERSDKCKRLKQVDFSLCCQKGKVQLPLLNYNNMFSFTSIGAKIHSSINDGCGPPQFILSGKNYHRIGSLLPDKGSSPKFAQLYIYDTKNETTNRVSHFGSDIKQSIFDKSLIEDLKSMIDKHNVLAQSFKRVRDLIHDDDQSDFGLRLFRHRCKDPRVYNTPIADEVATLIVGDLSTLD >Vigun09g035900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3170033:3172888:1 gene:Vigun09g035900.v1.2 transcript:Vigun09g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRSWHSSPPLLSPPLIIILFPIVILTILFLALPPLLSAATRLIRPASVKTSWDSLNILLVVFAILCGVFARRNDDEHTPRNNHHDAVPDRNAAFRQVSSVGQPQWLGFTGERKEYINDTPLNRFQSPATGDTRLRMRRNSSSYPDLRQWETGDDRYKFRFFDDFEIDKQFRSPARDHFPAVDHHKRFPESPPSLSPQPQHQHQHHQQQEDGEKEIPVDTFETRPPSPPVKSTTPTPPPPPPPPPPPQPESARRNARRSHRKTERVSEITVELDEREFTTIRSPPPAPPTPPPPSAKERSERKSERKKSNVKREIAMVWASVLSNQRKKKKKQRAKDNHDQHYEENADELTNTTTVPPPTPPPPPPPPPPTSMFHSLFRKGLGKSKKIHSVSPPPPPPPPPPSKRWSKRKSHIPPPSPPSPPSPPRRRNTGRPPLPSRSANFHDEIHESVNVGNQSPLIPVPPPPPPFKVKAMKFVVRGDFVRIRSNHSSRCSSPEREEIIMNVSESTVSESVRDGNGVFCPSPDVNLKAESFIAKRRGEWKLEKLNSLKEKSNVSLPRRL >Vigun08g102132.1.v1.2 pep primary_assembly:ASM411807v1:8:25262175:25264704:-1 gene:Vigun08g102132.v1.2 transcript:Vigun08g102132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTKMGYLGIVNKPHAVCIPYPAQGHINPMLKLAKLLHFNGFHITFVNTEYNHKRLLKSRGASSLNGPPSFRFETIPDGLPEYDVDVTQDVPSLCDSTRRTCLPHFRNLLAKVNNSDAPPVTCIVSDGVMSFTVDAAEELGVPEVLFWTTSACGFMCYVQYEHLIHKGITPLKALSLPPPPPMSLLPITTKLKPLLPPYHHHQHPNHNHHYIKTTVTTNLNTTVPAEGQIR >Vigun11g180200.1.v1.2 pep primary_assembly:ASM411807v1:11:38408820:38415989:-1 gene:Vigun11g180200.v1.2 transcript:Vigun11g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLKPLLLPSYLHSSPRQNPNFTLSNHRLPRFFSAHSLPFGLSLSNTASASTYHRNAFVTARAFRSDEIANEGEEEEKEERISTQGEKKELANRGILDQIKEIVMFTGPATGLWICAPLMSLIDTAIIGQRSSIELAALGPATVVCDYMSYVFMFLSIATSNMVATALAKQDKQEVQHHISILVFVGLSCGVVMLLFTRLFGAAIITAFTGSKNAHIVPAASSYVKIRGLAWPALLVGWVSQSASLGMKDSLGPLKSLAAATVINIIGCIVLCNWLGYGIVGAAWATMISQVVAASMMIKTLNKKGYNALAFSIPSGKELLMIFGLAAPVFMTMMSKVAFYSLLIYFATSLGTHTMAAHQVMVQTYSMCTVWGEPLSQTAQSFMPELIYGANRSLSKAQLLLRSLLTIGIILGLLLGIVGTSVPWLFPYIFTPDRMVIQEMHKVLIPYFIALSITPPTHSLEGTLLAGRDLRFISLSMTGCFCVGSLVLWAMSNRFGLLGCWFSLAIFQWARFSIALQRLLSPKGVLYSGNTHELRKLRTT >Vigun04g067400.1.v1.2 pep primary_assembly:ASM411807v1:4:7650153:7654160:1 gene:Vigun04g067400.v1.2 transcript:Vigun04g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDIMGKDIKLNTTTINTTTNTTTNNNNNINKSKLRKGLWSPEEDEKLIRYMITKGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKMNNNNNNTSSPNNSDTSDPGDVMGGIMAMNDQHDLMTMCMDSSSSTSSSSMQSMQANNMPLTDQFDPFPLLSNRYDMTTGAAGFLHNMAACLTQVGMVDHDDGVVHGGYGALEPNKMGLESDFSLPPLESRSIEENSSTPIDEKSHNNNHFKNSCFSNTDNHHHHHHHHRNFQTSNNVVVEDLFGFGNHGQGENFRMGEWDFEGLMQDMSYFPSLDFQV >Vigun10g023900.1.v1.2 pep primary_assembly:ASM411807v1:10:2836875:2840271:1 gene:Vigun10g023900.v1.2 transcript:Vigun10g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGFILLVFFALTTLSSIGFAQHHSSVAEVLSLNRSSFPTGFVFGTASSAYQYEGAANEGGRGPSIWDAYTHKYPDKIRGVSNGDVAVDQYHRYKEDIQIMKNMNLDAYRFSISWSRILPNGKLSGGVNPEGIRYYNNLIDNLLANGIEPYVTLFHWDLPQALEEEYGGFLSRRVVDDFRDYAEICFRYFGNRVKYWITLNEPWSYSNSGYAVGSFPPGRCSKWLDSSCFGGDSGTEPYIAAHNQLLAHASAVHLYKKKFQNTQKGVIGITLNSNWYEPYSNSQADKDAAIRAVEFMFGWYMEPLTTGKYPKSMRCLVGKRLPKFSKHEAKLVAGSIDFVGLNYYTTYYAANASKANKPSYTTDANAKEITIRNGVSIGPQFGPSWLYVYPKGFRELLLYTNKVYNNPLIYITENGMGDIYTDPTLSVEEMLQDTYRIDYFYRHLYYLLSAIRDGVKIKGYFAWSLLDNFEWKDGYVVRFGLNFVDYKSGLKRHAKQSAKWFKAFLQKD >Vigun06g139400.1.v1.2 pep primary_assembly:ASM411807v1:6:26485722:26492780:1 gene:Vigun06g139400.v1.2 transcript:Vigun06g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAICRVKSLLSLSKCFSLRTFSSAQGPPPHLQNIGFIGLGNMGSRMANNLIKAGFSLTVHDLNSDVLDMFSQMGIPTKKTPYEVSEASDVVITMLPTSAHVIDVYTGTNGLLHGGKLLRPWLLLDSSTIDPETSRSLSATVSNYILKEKKGDWERPFKLDAPVSGSVTAAEAGTLTFMVGGSEEAFLAAKPVLFSMGKSAIYCGGAGSGSAAKICNNLALAVSMLGISEALALGQSLGVSASTLTNIFNCSSARCWSSDAYNPVPGLMEGVPSSRDYIGGFASKLMAKDLNLAVESAKLAGCKFPLTSQAQKIYTELCSNGHEAKDFSCAFRHYYSGLDEPRDQ >VigunL057200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000435.1:6262:14717:1 gene:VigunL057200.v1.2 transcript:VigunL057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRGIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun08g056700.4.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7097539:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETVSNINDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKVLLDTQVADLQKHLQESKLDAEKSQNSLLENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun08g056700.8.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7098011:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETVSNINDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun08g056700.3.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7098011:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETVSNINDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKVLLDTQVADLQKHLQESKLDAEKSQNSLLENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun08g056700.7.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7097358:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKVLLDTQVADLQKHLQESKLDAEKSQNSLLENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun08g056700.2.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7098011:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMQEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETVSNINDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKVLLDTQVADLQKHLQESKLDAEKSQNSLLENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun08g056700.1.v1.2 pep primary_assembly:ASM411807v1:8:7069420:7097539:1 gene:Vigun08g056700.v1.2 transcript:Vigun08g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRAKPLSQDDAKTSLWRISGNSIAISNLSKFDFDQIFSGSCATAEVYEARTKDIVEAAVRGFNGTVFAYGQTNSGKTYTMRGSKAEPGVIPLAVNDLFRIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPEQVLNLMEFGESHRHIGETNMNVYSSRSHTIFRMIIESRDRSEDGESGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPSLGGNAKTAIICNITLAQIHTDETKSSLQFASRALRVTNCAQVNEILTDAALLKRQKKEIEDLRSKLMGSHSEHLEKEILSLRNTLLQTELERERIALELEEEKKAQAEWEKRVKEQAKKIENLSSMVLFSNRDESRELIKRDKRRDTWCIGKLSQEHPGNVYPIIQPSASNVKPMRPNRDMGPLLAFEELVNEDVYVDEPFKQMDNNKDDANNDCNLPNPCSLLHVTNRKKAPSQKKSLSMQEDNKFLELQAEYESLFLKFETQRTISDIQLESLRKQLIDSNSLHSKESVESLNYAHNGCLIVEKNANFRESDAILVIKRLQEQIKVLEMENLSSQQSLDNVVDLVTEQNICAKEKYKELYEELINAQQAARLANEQLTSAETVSNINDENFDFPISVSMEIEEIASEIQNAKDAVQSVMFMVDDAIKSFSALSDTLLVFKTSVYEDSADQVLILNNSQNLISCLRKKIFELESEKVLLDTQVADLQKHLQESKLDAEKSQNSLLENLEQQKFENAELISYIQALEKDLSCLTSSTVAKDREITRKDLEKAKTKLKETESKLKIAIQEKTKIEGEKAYAEREIKQLRGQISLLERDINKRDSLAGRRRDSIVERGSKMFDSKRPKGLEQTLQEEHKKLEVFAFESETRIASLEEEITATLKEKEEVISINEGLMLELEGLTEKLNTSTTELYHLKEEIYALKQRLEESDINQENLKSSIKVLMEEKEELAMQLTDSLLEIEEERAIWSAKEKAALLAIEEHTKSNNMQITSLSTKLLEVGNELESCREECRTLRERLTTTYEHSHMKENFGEKVSEWDQLENHIETTNAESKQSLELEVSKATSEVQPLENDPHDCPMEEKENETRKELDVLDKGDNLSNPNAIQNLETKLYHLTTEKDILTIEMEDQEKKMEFLRKNFEDELSKGKVQVEELRQKLSCMEIKMHADGVTNNKEMAKLRMRLRGTQAKLDAFRCRYKEAIDESVITNKKYKDQLASQGVQVLNLKKELAAVKGQ >Vigun07g298000.2.v1.2 pep primary_assembly:ASM411807v1:7:40814199:40819070:-1 gene:Vigun07g298000.v1.2 transcript:Vigun07g298000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQELSLGQNTNTSRNPNPVDGVDVRGEGGDEGGKAPRLPRWTRQEILVLIQGKRDAENKFRRGRTAGLPLGSGQVEPKWASVSSYCRKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIREESDSFWVMRNDLRRDRKLPGFFDKEVYDILDSGSQAPALALALSSPPSMTVTVCKDMRMDMDTKRGYTPAPSSASVPAEPEEPHLYDSNRIAPVEDGLFSDFEQDEVSASPDKKDIFPPSNRVFPAPIPISEACHS >Vigun07g298000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40814199:40819070:-1 gene:Vigun07g298000.v1.2 transcript:Vigun07g298000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQELSLGQNTNTSRNPNPVDGVDVRGEGGDEGGKAPRLPRWTRQEILVLIQGKRDAENKFRRGRTAGLPLGSGQVEPKWASVSSYCRKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIREESDSFWVMRNDLRRDRKLPGFFDKEVYDILDSGSQAPALALALSSPPSMTVTVCKDMRMDMDTKRGYTPAPSSASVPAEPEEPHLYDSNRIAPVEDGLFSDFEQDEVSASPDKKDIFPPSNRVFPAPIPISGSNFIPFHVF >Vigun07g298000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40814199:40819070:-1 gene:Vigun07g298000.v1.2 transcript:Vigun07g298000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQELSLGQNTNTSRNPNPVDGVDVRGEGGDEGGKAPRLPRWTRQEILVLIQGKRDAENKFRRGRTAGLPLGSGQVEPKWASVSSYCRKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIREESDSFWVMRNDLRRDRKLPGFFDKEVYDILDSGSQAPALALALSSPPSMTVTVCKDMRMDMDTKRGYTPAPSSASVPAEPEEPHLYDSNRIAPVEDGLFSDFEQDEVSASPDKKDIFPPSNRVFPAPIPISGSNFIPFHVF >Vigun07g298000.1.v1.2 pep primary_assembly:ASM411807v1:7:40814199:40819070:-1 gene:Vigun07g298000.v1.2 transcript:Vigun07g298000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQELSLGQNTNTSRNPNPVDGVDVRGEGGDEGGKAPRLPRWTRQEILVLIQGKRDAENKFRRGRTAGLPLGSGQVEPKWASVSSYCRKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIREESDSFWVMRNDLRRDRKLPGFFDKEVYDILDSGSQAPALALALSSPPSMTVTVCKDMRMDMDTKRGYTPAPSSASVPAEPEEPHLYDSNRIAPVEDGLFSDFEQDEVSASPDKKDIFPPSNRVFPAPIPISGVTNEKQSTPNPEMGSTSQGERKRKRLATDGGEETLQIHLIDVLERNGKMLSAQLEAQNINFQLDREQRKIHGSNLVAVLDKLADALGRIADKL >Vigun07g298000.5.v1.2 pep primary_assembly:ASM411807v1:7:40814199:40819070:-1 gene:Vigun07g298000.v1.2 transcript:Vigun07g298000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQELSLGQNTNTSRNPNPVDGVDVRGEGGDEGGKAPRLPRWTRQEILVLIQGKRDAENKFRRGRTAGLPLGSGQVEPKWASVSSYCRKHGVNRGPVQCRKRWSNLAGDYKKIKEWESQIREESDSFWVMRNDLRRDRKLPGFFDKEVYDILDSGSQAPALALALSSPPSMTVTVCKDMRMDMDTKRGYTPAPSSASVPAEPEEPHLYDSNRIAPVEDGLFSDFEQDEVSASPDKKDIFPPSNRVFPAPIPISEACHS >Vigun02g000740.1.v1.2 pep primary_assembly:ASM411807v1:2:350575:352382:1 gene:Vigun02g000740.v1.2 transcript:Vigun02g000740.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEHTEGLLIEDVKMFFRHSCKAKYVGILNQKLSVKQRESIARTPFWWFMSLNHSVKISRNLLPVLCYRWVERRGGFAIGREVVEFNLLDVCLGLGLRVLGEKIDISDDDEDSDCRKLFSSGKVHVKRIYEFLLEYDNDSGSIELFTSLYILLGISEFLLPNRDGIVFPKIFKLVDDLQSIGKYNWGNLAINEVVVCNEELGYEFVREAFQTFGTSYNRSIDKENEELKRLIENEEREIAELEALLSHLEDMVAKKEEHNRTEGDGKDDPHDDGNDEEEVDVGVHYTVNDPASDVEGDDSAGDDVDVDVGQQSNMYDRMKSQPRKRIKSRAIRTPFAGFGSRRKTKLITLG >Vigun03g209900.1.v1.2 pep primary_assembly:ASM411807v1:3:34510449:34511902:1 gene:Vigun03g209900.v1.2 transcript:Vigun03g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNPQHLFFTLMVFFFISHLSFAIPSKINGLKMNAIDRCWRPNSEWMRHRSQLATCSVGYAGKMINNIGSDLIYYKVTDSSDDPINPKPNTLRYGTSIIQGKVWITFQKNMIITLEKPLLISSFTTIDGRGVNVHIANNACLMIFKATNIIIHNIRVHHCKPQAPGIVMGPKGKVIPLGHVDGDAIRLVTASKIWIDHNTLYDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPKCNQRMPRIRHGYAHVANNLYQGWLQYAIGGSMEPSLKSEANLFVAPKIGNKEVTWRKSNDTNGDRWDFHSVRDMFENGASFTVTKGGSMQKPNYSKEQIFKVVDAKFVRSLTRSSGVLRLNKNYIY >Vigun09g138300.1.v1.2 pep primary_assembly:ASM411807v1:9:29990964:29995146:-1 gene:Vigun09g138300.v1.2 transcript:Vigun09g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIWKTNAARNAVRCLSQSLASTSRTPSIPSRTPSIPSHFSSVRYLRTGRDPSSRTYEIIPPVNWGIRIVPEKRAFVIERFGKYVKTLPSGIHFLIPLVDRIAYVHSLKEEVINIPDQSAITRDNVTILFDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKSWGLECLRYEIRDISPPRGVRAAMEMQAEAERKKRAQILESEGERQAHINIADGKKNSVILASEAGKISVILASEAAKMDQVNRAQGEAEAILAKAKATAEGLAHVSTALKENGGPEAASLRIAEQYIQAFSNIAKEGTTMLLPSSASNPANMMAQALTMYKSLLGNVSSDKQGGNMPLSVSAKLEGNDTSGEEEVKGKTSTTANVTNESQDYHGKSGFSLQTPPKRE >Vigun09g202800.4.v1.2 pep primary_assembly:ASM411807v1:9:37723748:37760323:1 gene:Vigun09g202800.v1.2 transcript:Vigun09g202800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGPFLIYVICILIMESRSNLSTVLKDLLKVVLSKSEKFGDSWLIMRNQLLQIYSEAISSNCSDIVQMLQSIHDELLSEEIEIDRVQTENFIPHPLVRLQKYLEEVKSGKNSDDKALPLHDVIRHCKTSMYHYARVSGLHVLECIMDTSLSAVKREQLDEASSVLQLFPLLQPLVAAMGWDLLAGKIAARRKLIQLLWTSKSQVIRLEESSLYGNKSDEMSCVEHLCDTLCYQLDLASFVACVNSGQSWNSEFSLMLSGKEQVEFRDEDAYSDPFVENFVLERLSVQSPLRVLFDVVPGIKFQEAIELISMQPISSTIEANKRKQDIELMHMRYALESTVLALGAMERSMSGEIEIHQDVPLFHLKDLQNHLDAISNLPRKILMVNVIISLLHMDNTSVNLMHCGLPGSSFKLSTAWSSEDSYSTRSEGGNKMVISFTSVLLDILRHNIPSSMIELENSLDDSISTTSRQALEWRILISKSFIEEWEWRLSILQHLLPLSERQWRWKEALTVLRAAPSKLLNLCMQKAKFDIGEEAVHRFSLSAEDKATLELAEWVDSAFRTKSVDDVVSRVQDLDFSSLCSQLGPLATILLCIDVAATSAKSAKMSQQLLKQAENMLSDIYPGGSPKDGSTYWDQILEIGVISVAGRLLKRLHKFLEQDNPPALQAILSGEIVFTSSKEFHRQEQRERALALLHLMIEDAHMGKRQFLSGKLHNLARAVADEETESSTTRAEGLYADQGVTSNSDKDIVLGLGLRVVKQIPLSSSGGESSLQSAGYDIKDAGKRIFVPLSGKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAGKVAEIMYADFVHEVISACVPPVYPPRSGNGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVALYPLQLDLVKHLAKISPVRAVLACVFGSSILYNSSSSSISSSLSDGLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDSNLEARTSVKRVRELDTETESDADDIVSSSTIPGALSDLSSHGIEATDFWLDSSKSEGSQLDTTVFLSFDWDNEQPYERAVERLIDEGKLMDALALSDRFLRNGASDQLLQLVIERTEEVHSNSAQHQGFGGRNIWSNSWQYCLRLKDKQLAARLALRYVHSWELDAALDVLTMCSCHLPENVSIRKEVLQMKQALQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEISRLNSWALGLRVLAVLPLPWQQRCSSLHEHPHLIMEVLLMRKQLQSATLILKEFPSLRDNHVITTYATKAIAVSISLPPREHRISVTGSRPKQKTRSGAPQRSSFTSSLSNLQKEARRAFSWAPKNTVDKNAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRVSSFSTDGQERLPSVSITEEWMLTGDPSKDESIRSSHRYESAPDITLFKALLALCSDELVSAKIALDLCINQMKNVLNSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLAGGSELASNWERNTDDTSSDAGSSSVGSQSTDELSEILSQADIWLGRAELLQSLLGSGIAASLDDIADGDSSARLRDRLVAEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLHKGDPGPVILDIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANSNSVYSRDFEDGPRSNLDNARYAECVNYLKEYARQHLLGFMFRHGHYHDACFLFFPPDEVPPPPQPSITSGVSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVLSTRMSSTESQDAAVNQYTMTALARICLYCETHKHFNYLYRFQVIKMDHVAAGLCCIQLFVNSSSLEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNDPETFRRRCKIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Vigun09g202800.1.v1.2 pep primary_assembly:ASM411807v1:9:37723748:37760323:1 gene:Vigun09g202800.v1.2 transcript:Vigun09g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETEILSRLAANHLHLAQFEPLRGVILALRARNRDLARDILQTIVARSGRVPNVAWSSSCSSPALLTFLSTQELLQLDNASSAWNFDSETLRLRAEFLLLVQDLIDVLPEGDGELGNCRGVLDKLLELGAKWLRVDGDGEIDGTVSVTVIEEGELVSMRKLILDHARVFDSLCGNIHRQIRHWECEDSGEGSGELEEEDVRVIRGIQRTVQVVHLNAMRDSLESGDAEGAVSHIRYLHFDYGVEEQSEYRIVLKDLLKVVLSKSEKFGDSWLIMRNQLLQIYSEAISSNCSDIVQMLQSIHDELLSEEIEIDRVQTENFIPHPLVRLQKYLEEVKSGKNSDDKALPLHDVIRHCKTSMYHYARVSGLHVLECIMDTSLSAVKREQLDEASSVLQLFPLLQPLVAAMGWDLLAGKIAARRKLIQLLWTSKSQVIRLEESSLYGNKSDEMSCVEHLCDTLCYQLDLASFVACVNSGQSWNSEFSLMLSGKEQVEFRDEDAYSDPFVENFVLERLSVQSPLRVLFDVVPGIKFQEAIELISMQPISSTIEANKRKQDIELMHMRYALESTVLALGAMERSMSGEIEIHQDVPLFHLKDLQNHLDAISNLPRKILMVNVIISLLHMDNTSVNLMHCGLPGSSFKLSTAWSSEDSYSTRSEGGNKMVISFTSVLLDILRHNIPSSMIELENSLDDSISTTSRQALEWRILISKSFIEEWEWRLSILQHLLPLSERQWRWKEALTVLRAAPSKLLNLCMQKAKFDIGEEAVHRFSLSAEDKATLELAEWVDSAFRTKSVDDVVSRVQDLDFSSLCSQLGPLATILLCIDVAATSAKSAKMSQQLLKQAENMLSDIYPGGSPKDGSTYWDQILEIGVISVAGRLLKRLHKFLEQDNPPALQAILSGEIVFTSSKEFHRQEQRERALALLHLMIEDAHMGKRQFLSGKLHNLARAVADEETESSTTRAEGLYADQGVTSNSDKDIVLGLGLRVVKQIPLSSSGGESSLQSAGYDIKDAGKRIFVPLSGKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAGKVAEIMYADFVHEVISACVPPVYPPRSGNGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVALYPLQLDLVKHLAKISPVRAVLACVFGSSILYNSSSSSISSSLSDGLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDSNLEARTSVKRVRELDTETESDADDIVSSSTIPGALSDLSSHGIEATDFWLDSSKSEGSQLDTTVFLSFDWDNEQPYERAVERLIDEGKLMDALALSDRFLRNGASDQLLQLVIERTEEVHSNSAQHQGFGGRNIWSNSWQYCLRLKDKQLAARLALRYVHSWELDAALDVLTMCSCHLPENVSIRKEVLQMKQALQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEISRLNSWALGLRVLAVLPLPWQQRCSSLHEHPHLIMEVLLMRKQLQSATLILKEFPSLRDNHVITTYATKAIAVSISLPPREHRISVTGSRPKQKTRSGAPQRSSFTSSLSNLQKEARRAFSWAPKNTVDKNAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRVSSFSTDGQERLPSVSITEEWMLTGDPSKDESIRSSHRYESAPDITLFKALLALCSDELVSAKIALDLCINQMKNVLNSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLAGGSELASNWERNTDDTSSDAGSSSVGSQSTDELSEILSQADIWLGRAELLQSLLGSGIAASLDDIADGDSSARLRDRLVAEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLHKGDPGPVILDIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANSNSVYSRDFEDGPRSNLDNARYAECVNYLKEYARQHLLGFMFRHGHYHDACFLFFPPDEVPPPPQPSITSGVSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVLSTRMSSTESQDAAVNQYTMTALARICLYCETHKHFNYLYRFQVIKMDHVAAGLCCIQLFVNSSSLEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNDPETFRRRCKIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Vigun09g202800.6.v1.2 pep primary_assembly:ASM411807v1:9:37737018:37760323:1 gene:Vigun09g202800.v1.2 transcript:Vigun09g202800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLLKQAENMLSDIYPGGSPKDGSTYWDQILEIGVISVAGRLLKRLHKFLEQDNPPALQAILSGEIVFTSSKEFHRQEQRERALALLHLMIEDAHMGKRQFLSGKLHNLARAVADEETESSTTRAEGLYADQGVTSNSDKDIVLGLGLRVVKQIPLSSSGGESSLQSAGYDIKDAGKRIFVPLSGKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAGKVAEIMYADFVHEVISACVPPVYPPRSGNGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVALYPLQLDLVKHLAKISPVRAVLACVFGSSILYNSSSSSISSSLSDGLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDSNLEARTSVKRVRELDTETESDADDIVSSSTIPGALSDLSSHGIEATDFWLDSSKSEGSQLDTTVFLSFDWDNEQPYERAVERLIDEGKLMDALALSDRFLRNGASDQLLQLVIERTEEVHSNSAQHQGFGGRNIWSNSWQYCLRLKDKQLAARLALRYVHSWELDAALDVLTMCSCHLPENVSIRKEVLQMKQALQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEISRLNSWALGLRVLAVLPLPWQQRCSSLHEHPHLIMEVLLMRKQLQSATLILKEFPSLRDNHVITTYATKAIAVSISLPPREHRISVTGSRPKQKTRSGAPQRSSFTSSLSNLQKEARRAFSWAPKNTVDKNAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRVSSFSTDGQERLPSVSITEEWMLTGDPSKDESIRSSHRYESAPDITLFKALLALCSDELVSAKIALDLCINQMKNVLNSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLAGGSELASNWERNTDDTSSDAGSSSVGSQSTDELSEILSQADIWLGRAELLQSLLGSGIAASLDDIADGDSSARLRDRLVAEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLHKGDPGPVILDIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANSNSVYSRDFEDGPRSNLDNARYAECVNYLKEYARQHLLGFMFRHGHYHDACFLFFPPDEVPPPPQPSITSGVSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVLSTRMSSTESQDAAVNQYTMTALARICLYCETHKHFNYLYRFQVIKMDHVAAGLCCIQLFVNSSSLEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNDPETFRRRCKIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Vigun09g202800.5.v1.2 pep primary_assembly:ASM411807v1:9:37737479:37760327:1 gene:Vigun09g202800.v1.2 transcript:Vigun09g202800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLLKQAENMLSDIYPGGSPKDGSTYWDQILEIGVISVAGRLLKRLHKFLEQDNPPALQAILSGEIVFTSSKEFHRQEQRERALALLHLMIEDAHMGKRQFLSGKLHNLARAVADEETESSTTRAEGLYADQGVTSNSDKDIVLGLGLRVVKQIPLSSSGGESSLQSAGYDIKDAGKRIFVPLSGKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAGKVAEIMYADFVHEVISACVPPVYPPRSGNGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVALYPLQLDLVKHLAKISPVRAVLACVFGSSILYNSSSSSISSSLSDGLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDSNLEARTSVKRVRELDTETESDADDIVSSSTIPGALSDLSSHGIEATDFWLDSSKSEGSQLDTTVFLSFDWDNEQPYERAVERLIDEGKLMDALALSDRFLRNGASDQLLQLVIERTEEVHSNSAQHQGFGGRNIWSNSWQYCLRLKDKQLAARLALRYVHSWELDAALDVLTMCSCHLPENVSIRKEVLQMKQALQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEISRLNSWALGLRVLAVLPLPWQQRCSSLHEHPHLIMEVLLMRKQLQSATLILKEFPSLRDNHVITTYATKAIAVSISLPPREHRISVTGSRPKQKTRSGAPQRSSFTSSLSNLQKEARRAFSWAPKNTVDKNAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRVSSFSTDGQERLPSVSITEEWMLTGDPSKDESIRSSHRYESAPDITLFKALLALCSDELVSAKIALDLCINQMKNVLNSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLAGGSELASNWERNTDDTSSDAGSSSVGSQSTDELSEILSQADIWLGRAELLQSLLGSGIAASLDDIADGDSSARLRDRLVAEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLHKGDPGPVILDIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANSNSVYSRDFEDGPRSNLDNARYAECVNYLKEYARQHLLGFMFRHGHYHDACFLFFPPDEVPPPPQPSITSGVSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVLSTRMSSTESQDAAVNQYTMTALARICLYCETHKHFNYLYRFQVIKMDHVAAGLCCIQLFVNSSSLEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNDPETFRRRCKIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Vigun09g202800.7.v1.2 pep primary_assembly:ASM411807v1:9:37742532:37760322:1 gene:Vigun09g202800.v1.2 transcript:Vigun09g202800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPHLLTRLVFERGSTDAAGKVAEIMYADFVHEVISACVPPVYPPRSGNGWACIPVVPTFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVALYPLQLDLVKHLAKISPVRAVLACVFGSSILYNSSSSSISSSLSDGLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDSNLEARTSVKRVRELDTETESDADDIVSSSTIPGALSDLSSHGIEATDFWLDSSKSEGSQLDTTVFLSFDWDNEQPYERAVERLIDEGKLMDALALSDRFLRNGASDQLLQLVIERTEEVHSNSAQHQGFGGRNIWSNSWQYCLRLKDKQLAARLALRYVHSWELDAALDVLTMCSCHLPENVSIRKEVLQMKQALQRYSHILSADDHYTSWQEVEADCKEDPEGLALRLAGKGAVSAALKVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSDVEISRLNSWALGLRVLAVLPLPWQQRCSSLHEHPHLIMEVLLMRKQLQSATLILKEFPSLRDNHVITTYATKAIAVSISLPPREHRISVTGSRPKQKTRSGAPQRSSFTSSLSNLQKEARRAFSWAPKNTVDKNAPKDVYRKRKSSGLSPSDRVAWEAMTGIQEDRVSSFSTDGQERLPSVSITEEWMLTGDPSKDESIRSSHRYESAPDITLFKALLALCSDELVSAKIALDLCINQMKNVLNSQQLPENASMETIGRAYHATETFVQGLLYAKSLLRKLAGGSELASNWERNTDDTSSDAGSSSVGSQSTDELSEILSQADIWLGRAELLQSLLGSGIAASLDDIADGDSSARLRDRLVAEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLHKGDPGPVILDIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSQLSANSNSVYSRDFEDGPRSNLDNARYAECVNYLKEYARQHLLGFMFRHGHYHDACFLFFPPDEVPPPPQPSITSGVSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVLSTRMSSTESQDAAVNQYTMTALARICLYCETHKHFNYLYRFQVIKMDHVAAGLCCIQLFVNSSSLEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSFNDSEGPQWKHSLFGNPNDPETFRRRCKIAEVLVEKNFDLAFQLIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Vigun06g223600.1.v1.2 pep primary_assembly:ASM411807v1:6:33224690:33229899:-1 gene:Vigun06g223600.v1.2 transcript:Vigun06g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEINRGTSLDSTESRWVIQDDDDDSDLENFDADSRFGRQAAVVDSDEEEDNAEQRLIRTGPRIDSFDVEALEVPGAHRSDYEDINVGKKIVLAIQTLGVVFGDVGTSPLYTFSVMFRKAPINGNEDILGALSLVLYTLILIPLLKYVLVVLWANDGGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLENSLTLKKILLILVLIGTSMVIANGVVTPAMSVLSSVGGLKVGVEVIEKDEVVMISVACLIILFSVQKYGTSKVGLAVGPALFLWFCSLAGIGIYNLLKYDNSVLRAFNPIHIYYFFKRNSTKAWYSLGGCLLSATGSEAMFADLCHFSVRSVQLSFVFLVLPCLILGYLGQAAYLMENHADAGQVFFLLFRVSGAFWPIFLIANVAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLGLSLVLVCTISSIDEIGNAYGIAELGVMMMTTILVTLVMLLIWRLHIIIVLSFGVVFLGLELTFFSSVLWSVTDGSWIILVFAIIMFLVMYIWNYGSNLKYETEVKRKLSTDLMRELGCNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVSIKYVPVPMVPQSERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDSDSEDEYPRSRVLIGPNGSVYSLGVPLLADYKDTSNPVLEASTSEVISSEFPDPSVCDAEQSLESELSFIHKAKESGVVYLLGHGDIRARKDSWFIKKLAINYFYAFLRKNCRRGITTLSVPHSNLMQVSMTYMV >Vigun01g206800.1.v1.2 pep primary_assembly:ASM411807v1:1:38256795:38259765:-1 gene:Vigun01g206800.v1.2 transcript:Vigun01g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSLCLFFLSFLILLSSGTASSPSLGTSFLPESKIFKSEIRFSVTKMIAALYAEVQNTKGLGFVLEDLKREEGEGIIPLILREKPSKVHIRRILQVTNNSPTTVSPTNPTPPAPVISPPDTPTIITVPSTNPVTVSPTNPGATPVTVPSTTPATPSAPTNPANPGAQSVTNPATSYPPPSGNGPVTNALPPPPNTNAQATPGGRVGVWQRLEFQKLLFNQHWTMLVECKV >Vigun01g206800.2.v1.2 pep primary_assembly:ASM411807v1:1:38256795:38259765:-1 gene:Vigun01g206800.v1.2 transcript:Vigun01g206800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSLCLFFLSFLILLSSGTASSPSLEVQNTKGLGFVLEDLKREEGEGIIPLILREKPSKVHIRRILQVTNNSPTTVSPTNPTPPAPVISPPDTPTIITVPSTNPVTVSPTNPGATPVTVPSTTPATPSAPTNPANPGAQSVTNPATSYPPPSGNGPVTNALPPPPNTNAQATPGGRVGVWQRLEFQKLLFNQHWTMLVECKV >Vigun01g184200.1.v1.2 pep primary_assembly:ASM411807v1:1:36460076:36463510:-1 gene:Vigun01g184200.v1.2 transcript:Vigun01g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTIDVDLGPEKLEDEKQAGPLLHCDLCDTEVIHKLAQMFLPGLASACVDNTSGDLFKTAGSIAVDIRKEMIEYLTQRSESFVAESVILEGGPDGEVSDHPYDIISNLVDDFASSKRNLFSRVSGWLLSEKREDNIEDFVQEMEVNGFWTLERREKIAETLLKNVDFENSYHCSMSFNSAEDLANHLDDCNFRSIICENEGCNSRFCASHLKDHDSTCPFKIIPCEQKCPANIMRREMDRHCITVCPMKLVNCPFYAIGCRSAVAQCMIEKHRSDDINSHLWHFLKGIYKEASGDDLTRRVEEIIQASPNNRLTEARDARSLSFVVKDIEAKLEPFKVTVVDKSNEKTEDKNGEEEGGENNTNNSERSIDTSDMSNSSDKVEVSDTQNASDKVEVSNTQNASNKIEVSDTQNASDKVEVSDTNNASDKVEVSDTNNASDKVEVTDTQNAPDKVEVSDTKNASDKVEVSDTQNTTQNEDNKPSYVENEDHEERTQTSNMINVSDTTKTDLLDDNNKDVATTKLTTKADDEESSQTLNTNISNEEVGVKNEDGAENNTISKSIEDNEQILNEDSKFEDKDDDQNIHNSKIEIKGGAENNIKNKDTEDDN >Vigun01g184200.2.v1.2 pep primary_assembly:ASM411807v1:1:36460076:36463510:-1 gene:Vigun01g184200.v1.2 transcript:Vigun01g184200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPTMDMPTIDVDLGPEKLEDEKQAGPLLHCDLCDTEVIHKLAQMFLPGLASACVDNTSGDLFKTAGSIAVDIRKEMIEYLTQRSESFVAESVILEGGPDGEVSDHPYDIISNLVDDFASSKRNLFSRVSGWLLSEKREDNIEDFVQEMEVNGFWTLERREKIAETLLKNVDFENSYHCSMSFNSAEDLANHLDDCNFRSIICENEGCNSRFCASHLKDHDSTCPFKIIPCEQKCPANIMRREMDRHCITVCPMKLVNCPFYAIGCRSAVAQCMIEKHRSDDINSHLWHFLKGIYKEASGDDLTRRVEEIIQASPNNRLTEARDARSLSFVVKDIEAKLEPFKVTVVDKSNEKTEDKNGEEEGGENNTNNSERSIDTSDMSNSSDKVEVSDTQNASDKVEVSNTQNASNKIEVSDTQNASDKVEVSDTNNASDKVEVSDTNNASDKVEVTDTQNAPDKVEVSDTKNASDKVEVSDTQNTTQNEDNKPSYVENEDHEERTQTSNMINVSDTTKTDLLDDNNKDVATTKLTTKADDEESSQTLNTNISNEEVGVKNEDGAENNTISKSIEDNEQILNEDSKFEDKDDDQNIHNSKIEIKGGAENNIKNKDTEDDN >Vigun04g080200.2.v1.2 pep primary_assembly:ASM411807v1:4:11417542:11420185:1 gene:Vigun04g080200.v1.2 transcript:Vigun04g080200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVTEKLEYLAKQKDALGLKKYSYFGDGPDSKVPQKLPSSSLVVESVIYGRDADKEIIFNWLTSETDNHNHLSILSIVGMGGLGKTTLAQHVYNDPKIDDAKFDIKAWICVSDHFDVLTVTKTILESIADKKDDSGNLNMVHKNLKEKLSGKKFLLVLDDVWNEKREEWEVVQTPLNYGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHAPKDDDHELNDEKKKIGRSIVEKCKGLPLALKTIGCLLRTKSSISYWKSVLESDTWNLSNERYEFDKKELTLLWMAEDFLRHSQHIENVEEVDEQYFDDLLTRSFFLQSSIKMRFVMHDLLNDLAKYVCGNSCFMFKFDKGERIPETTRHFSFSIDNEVYDDGMGSLIDAKRLRSFIPMTNNIHGLPCELILIDELFSKFKFLRVLSLSGFGDLITEVPDSVGALKHLRSLDLSYTKIQKLPDSVCFLYNLLVLKLNCCSYPEELPSNIHKLTKLHCLEFEDTKVTKMPMHFGELKNLDLLDAFCVHNESSIKQLGGLNLHGRLSIYQVQNIVNPLDALEANLKDKHLVELGLIWNSNHVPNDAREEKEVLENLQPSIHLEHLSIWSYHGTQFPSWVFDNSLSNLVFLRLNNCKNCLCLPPLGLLSSLKTLEIEGLDGIVSIGAEFYGSNSSSFTSLERLNIFHMKEWEEWECKTNSFPRLQRLTIYQCPKLKGLPEQLIHLKDMSIDGCDKLTISVNNMDTSSLQYLNINSCPLVNIPITDFNFLEVMSITRGCPSLTIFPLDFFPNLRLLQLSWCQNLKRVSQEHAHNHLKVLRIGDCPQFEGLSAPWLQIIRIKAAPNLKLLPKH >Vigun05g292466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47844621:47844995:-1 gene:Vigun05g292466.v1.2 transcript:Vigun05g292466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLVVLTVFVLVCFFILFLWLCGVLGVFDIYCLLCGVLCFVLCVCFLCFYVVLCVCCVVCVCFVLCMLCGVCVLGCVFLWCVWCFCVLCCICFVVIWGVCVCVVFCTCLCYFVLLCSVFLLLG >Vigun03g170300.1.v1.2 pep primary_assembly:ASM411807v1:3:20320241:20327394:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun03g170300.7.v1.2 pep primary_assembly:ASM411807v1:3:20320181:20327394:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun03g170300.6.v1.2 pep primary_assembly:ASM411807v1:3:20320191:20327394:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun03g170300.3.v1.2 pep primary_assembly:ASM411807v1:3:20320179:20327395:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun03g170300.4.v1.2 pep primary_assembly:ASM411807v1:3:20320241:20327394:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun03g170300.2.v1.2 pep primary_assembly:ASM411807v1:3:20320179:20327410:-1 gene:Vigun03g170300.v1.2 transcript:Vigun03g170300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAFRCSPGRESRSDSHKRGRSLESGLHLREKDEDLALFSEMQSREKEGFLLQPSDDLEDSFSQKLRHFSDIKLGISIPGRGETSELLNADGDKNDYDWLLTPPDTPLFPSLDDEPAEINVVSRGRPRSKPISISRSSTMERSYKSSRGSASPNRLSSSPRSGNNTLQSRGRSSLTPNSSPTQVIRQATPTRRPSPPPTKPATPVSRSSTPTPRRISTGSGSPAVSSGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSTSKLGRQSMSPTPSRSSSYINSHDRDQFSSRSKGSVISSGDDDLDSLQSIPVGSLDRYGSRRGGSFSNSKSPSISKKPARMVSPSSAPKRSFDSALRQMDKKSPQNMFRPLLSSVPSTTFYVGKANSAQRSLVSRNSSVTTSSNASSDHGTSFAPDTEGSDHNQEDASETEKIVYADVHEEVFSFDKTDVLNANIEHEIIDESVDVLHHKTIGPMIGLGPTESETFVYHSIDKEFSESLETSDAIVDISETSAFENTEICSNCGCPLEATDQTEKNLRLCQECSRKTTLLRHIIPDATLSVSSDNSVNSTSIPTEEKPSHETDQLAVESRLSQENNVSNVRFPLGEPGAEANHTFPSEYIWDNSQQNPLSSSVERNEQTSTNQLEVDQSGVDSTKPDNHSGEQQLSLSKDRSILKADLLEGTGISVLLKRSNSSKGPVIQGRTFTATTLSYDDLSFARNSINSIRSSTGRSSYSTSSSVDFSSTRHSDFRVQRQSSGRKLDVDYGYDVRIRPPSPGSSFSGMSTHSYHGLGFTTQETSSGNTECSNLEGIPQHLREMQASENTVTDVIDSSMSSIVAKEDNLEYHDRSRTADACISVLVSPATGVRPDHNSVASFPNHESCILSDRIEDHPNNVGSVPNTETSVQDAKSSFDEENDMENSNVDGLDALVTTNTSTIEESEIDGEKFSQNDTGVVDDDPSLVSKCPVDDFQEPSVSISSSDSLAASVSELNASEYSHGIEGSTVTVECQDGVNTRSLTLEEATDTILFCSSIIHDLAYKAATISMEKEHSEPLEGSEPTVTILGKPNSDIKDTRSQIARKRAMKPQKARPKMVETDVKSESPTKTENDENTDESLIRNVGLPNKIDSMKPPNKLESKCNCIIM >Vigun11g027501.1.v1.2 pep primary_assembly:ASM411807v1:11:3594359:3596499:-1 gene:Vigun11g027501.v1.2 transcript:Vigun11g027501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDTSNSHGSAVVLHAVTLNFYIWVWRWKSCLSSPELRRSCVSVLVVKVVAGADGFFIGVGDSANSASWDSPRHHQPRK >Vigun01g004500.1.v1.2 pep primary_assembly:ASM411807v1:1:541798:545227:-1 gene:Vigun01g004500.v1.2 transcript:Vigun01g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSPSASLFSEIKSEETIMRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun01g004500.2.v1.2 pep primary_assembly:ASM411807v1:1:541775:542921:-1 gene:Vigun01g004500.v1.2 transcript:Vigun01g004500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun01g004500.3.v1.2 pep primary_assembly:ASM411807v1:1:542115:542501:-1 gene:Vigun01g004500.v1.2 transcript:Vigun01g004500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun05g288600.1.v1.2 pep primary_assembly:ASM411807v1:5:47541604:47545058:1 gene:Vigun05g288600.v1.2 transcript:Vigun05g288600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSWLSGMHEHLKLAREYAAEGLYDTSIIFFDGAVARIGDHLNSVDDPLVRAKWMNVKKALGDETQVVKQLDAEKRAFKETRPRSRSRSYSSSSFVFQPSDEYPIPTTSPRASTVDEHDPDVWRPPSRDSRRPAMPTARKDGAWSRGSTRPAAGAVKPSRVSAGPRPPANGKNGGGGGGASGKGSRTGDGSRSVNEDGKKSKKYEYEGPDPELAEMLERDVLERSPGVRWDDVAGLTQAKTLLEEALVLPLWIPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAHAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSKNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNLESRKELIRINLRTVEVAPDVNIDEVAKRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKKMSKDEIWKDPVAMCDFTEALKKVQPSVSQADIERHQKWFSEFGSA >Vigun08g003100.2.v1.2 pep primary_assembly:ASM411807v1:8:294988:300166:-1 gene:Vigun08g003100.v1.2 transcript:Vigun08g003100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLFKALFCCSKARQIEIEESWESSPEHSSKEDSGKRKSVDGVVEKKRSTPQSGAILGKPYVKIEQMYEMKKELGSGQWGVTYLCVEKMTQREYACKSIAKTKLVSAQEIEDVRREVMILQHLSGQPNIVEFRGAYEDRNHVHLVMELCSGGELFDRIIAKGNYSEREAATVMRQIVNVVHVCHFMGVMHRDLKPENFLLATNTDDAAVKATDFGLSIFIEEDKVYKEIVGSAYYVAPEVLKRSYGKEIDVWSAGIILYILLSGVPPFWAESEKGIFDAILEGKLDLESAPWPSISAAAKDLIRKMLNHDPKKRITAAEALEHPWMKEGGEASDKPIDNAVLTRMKQFRAMNKMKKLALKVIAENLSEEEIKGLKQMFNNMDTDRSGTITYEELKSGLTKLGSKLSEYEIKQLMEAADVDKSGTIDYQEFITATINRHKLEKEENLFKAFQYFDKDNSGYITREELRQALTEYQMGDEATIDEVIDDVDTDNDGKINYQEFVAMMRKGILDIDEKEKPR >Vigun08g003100.1.v1.2 pep primary_assembly:ASM411807v1:8:294986:300166:-1 gene:Vigun08g003100.v1.2 transcript:Vigun08g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFSKPRSNEIAINYDSPPHHRYEPRPHPRPRRPQNQPHLRPRYPYSAPNSDPSLSIGPSSSFGDQSRILDKPYFDMKTLYAVERELGRGQFGITRLCTEKTTGRKYACKSIPKRRLTKKKQIDDVKREILILQHLSGQPNIVEFKGAYEDWQNVHLVMELCLGGELFDRITAKGSYSESEAASIFRQIVNVVHACHFMGVMHRDLKPENFLLVSKDPKAPLKATDFGLSVFIEEDKVYKEIVGSAYYVAPEVLKRSYGKEIDVWSAGIILYILLSGVPPFWAESEKGIFDAILEGKLDLESAPWPSISAAAKDLIRKMLNHDPKKRITAAEALEHPWMKEGGEASDKPIDNAVLTRMKQFRAMNKMKKLALKVIAENLSEEEIKGLKQMFNNMDTDRSGTITYEELKSGLTKLGSKLSEYEIKQLMEAADVDKSGTIDYQEFITATINRHKLEKEENLFKAFQYFDKDNSGYITREELRQALTEYQMGDEATIDEVIDDVDTDNDGKINYQEFVAMMRKGILDIDEKEKPR >Vigun03g405900.1.v1.2 pep primary_assembly:ASM411807v1:3:61299683:61301389:-1 gene:Vigun03g405900.v1.2 transcript:Vigun03g405900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGTVFRPVSYVSGAGTHTRSRSVAPRATVRMGTGSDFCDEGHLQYYQNTKKVLSPKKKLKLLKDFSKLGFASDPQKLSMFYDLQQNLTSDAGDMLLRELEAARAKEKEMKKKKKLEKKAKLKASKKKCESSSSSSESSDSDCGCDQVVDMNSIRSGVGVSVSVVAPAAPVDVSPIPKTTPIVEDGNSRRDAMELCSKNDVCVSSVRDGGIKRESGVVTSSSCEKRIEVCMGGKCKRSGAAALLEEFEKVVGVEGGAVVTCKCMGKCKTAPNVRVQNSVDHSLAEGLDDSVKFPANPLCIGVGLEDVDAIVARFLGENRTDIGMPGAITAT >Vigun01g219400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39337535:39338404:-1 gene:Vigun01g219400.v1.2 transcript:Vigun01g219400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVGMIREQELMENNNNANTTPTNSSNSNTNANTNTTEEEVSRDNGDDQNQNLGSHEGSEPGSGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEIASGSDVAESIAAFANRRHRGVSVLSGSGIVTNVTLRQPAAPAGVITLHGRFEILSLSGAFLPSPSPPGATGLSVYLAGGQGQVVGGTVAGSLVASGPVMVIAATFANATYERLPLEDEQGEEEMQVQQQPPQQQQQQQQSQGLGEQVSMAMYNLPPNLLHNGQNMPHDVFWGAAPPRPPPSF >Vigun01g219400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39332341:39338934:-1 gene:Vigun01g219400.v1.2 transcript:Vigun01g219400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVGMIREQELMENNNNANTTPTNSSNSNTNANTNTTEEEVSRDNGDDQNQNLGSHEGSEPGSGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEIASGSDVAESIAAFANRRHRGVSVLSGSGIVTNVTLRQPAAPAGVITLHGRFEILSLSGAFLPSPSPPGATGLSVYLAGGQGQVVGGTVAGSLVASGPVMVIAATFANATYERLPLEDEQGEEEMQVQQQPPQQQQQQQQSQGLGEQVSMAMYNLPPNLLHNGQNMPHDVFWGAAPPRPPPSF >Vigun01g219400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39335125:39339723:-1 gene:Vigun01g219400.v1.2 transcript:Vigun01g219400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVGMIREQELMENNNNANTTPTNSSNSNTNANTNTTEEEVSRDNGDDQNQNLGSHEGSEPGSGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEIASGSDVAESIAAFANRRHRGVSVLSGSGIVTNVTLRQPAAPAGVITLHGRFEILSLSGAFLPSPSPPGATGLSVYLAGGQGQVVGGTVAGSLVASGPVMVIAATFANATYERLPLEDEQGEEEMQVQQQPPQQQQQQQQSQGLGEQVSMAMYNLPPNLLHNGQNMPHDVFWGAAPPRPPPSF >Vigun01g219400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39335125:39339723:-1 gene:Vigun01g219400.v1.2 transcript:Vigun01g219400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVGMIREQELMENNNNANTTPTNSSNSNTNANTNTTEEEVSRDNGDDQNQNLGSHEGSEPGSGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEIASGSDVAESIAAFANRRHRGVSVLSGSGIVTNVTLRQPAAPAGVITLHGRFEILSLSGAFLPSPSPPGATGLSVYLAGGQGQVVGGTVAGSLVASGPVMVIAATFANATYERLPLEDEQGEEEMQVQQQPPQQQQQQQQSQGLGEQVSMAMYNLPPNLLHNGQNMPHDVFWGAAPPRPPPSF >Vigun10g049100.1.v1.2 pep primary_assembly:ASM411807v1:10:7562775:7565529:1 gene:Vigun10g049100.v1.2 transcript:Vigun10g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGRKALFYVRVLSGYEERRIRSYRLQLEQRVQQAQARKAAINKVPEKIILSEVRRMVEEMQNLNKKLEETEAAIEDYFKPLDKEAEIIMKMQLQGEERTSEMMMKALEKQASLQQVEAEKNASMHKVDNPEANLDDAKLMEEEKALEETLKELQREVLLEKADAGSSDNVHQSDKSHINLQPASTTTSK >Vigun10g193400.1.v1.2 pep primary_assembly:ASM411807v1:10:40757395:40759216:-1 gene:Vigun10g193400.v1.2 transcript:Vigun10g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPCQKYWFSDMEIQDFDFFNQSHKIESLDDEDQIFEEIMHRPAFSSDSETHSPKVQTKSNYGGSDYTTLTSSKNSNVVMKSNSSNFLFSSQHAPEKPATAPSPPTAYILSFNDSTVVAATCQTYDGKPPYQEGVAAGSGGACLPSKGVSEKHEIEPKANSATRKGRSSGETLDHIMTERKRRRELTERFIALSATIPGLKKIDKATILCEAITYVKRLKERVRELEEQCKRTRVESVSFVHQRSNVICSDDKGTTSGATKSDECYKTNEALPTVEARVFKKDVLIRIHCKIQNGILIKILDYLNTLDLSTTSNSVMPFGSSTLDISIVAQMGEKFNATMNDLVKNLRVVLLQSSEAPQMIKGV >Vigun08g117300.3.v1.2 pep primary_assembly:ASM411807v1:8:28481931:28486949:-1 gene:Vigun08g117300.v1.2 transcript:Vigun08g117300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAIASAAKPFSLPQTRRARVAAVHFPKPYFKKTEFNFVEVSHSLRSLPRLRVSSNDAHFSSSSEESQQPQPSFAEFITSERVKVVSMLALALALCNADRVVMSVAIVPLSLANGWSRAFAGIVQSSFLWGYLASPIVGGMLVDNYGGKVVMAWGVALWSLATFLTPWAAQTSLLALLVVRAMLGAAEGVALPSMNNMVARWFPQTERSRAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNRRQTSFPVETSKSKKVKVVPPFRRLLSKLPTWSLIIANAMHSWGFFIVLSWMPIYFSSVYRVDLRHAAWFSAVPWAVMAIMNYLAGLWSDMMIQSGTSVTLTRKIMQTIGFVGPGLCLIGLATAKSPSVGSAWLTLAFGLKSFSHSGFLVNLQEYQILLEHLLL >Vigun08g117300.2.v1.2 pep primary_assembly:ASM411807v1:8:28481931:28486949:-1 gene:Vigun08g117300.v1.2 transcript:Vigun08g117300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAIASAAKPFSLPQTRRARVAAVHFPKPYFKKTEFNFVEVSHSLRSLPRLRVSSNDAHFSSSSEESQQPQPSFAEFITSERVKVVSMLALALALCNADRVVMSVAIVPLSLANGWSRAFAGIVQSSFLWGYLASPIVGGMLVDNYGGKVVMAWGVALWSLATFLTPWAAQTSLLALLVVRAMLGAAEGVALPSMNNMVARWFPQTERSRAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNRRQTSFPVETSKSKKVKVVPPFRRLLSKLPTWSLIIANAMHSWGFFIVLSWMPIYFSSVYRVDLRHAAWFSAVPWAVMAIMNYLAGLWSDMMIQSGTSVTLTRKIMQTIGFVGPGLCLIGLATAKSPSVGSAWLTLAFGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSVLYFLAAIFYCLFSTGERVNFDDPVA >Vigun08g117300.4.v1.2 pep primary_assembly:ASM411807v1:8:28481931:28486949:-1 gene:Vigun08g117300.v1.2 transcript:Vigun08g117300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAIASAAKPFSLPQTRRARVAAVHFPKPYFKKTEFNFVEVSHSLRSLPRLRVSSNDAHFSSSSEESQQPQPSFAEFITSERVKVVSMLALALALCNADRVVMSVAIVPLSLANGWSRAFAGIVQSSFLWGYLASPIVGGMLVDNYGGKVVMAWGVALWSLATFLTPWAAQTSLLALLVVRAMLGAAEGVALPSMNNMVARWFPQTERSRAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNRRQTSFPVETSKSKKVKVVPPFRRLLSKLPTWSLIIANAMHSWGFFIVLSWMPIYFSSVYRVDLRHAAWFSAVPWAVMAIMNYLAGLWSDMMIQSGTSVTLTRKIMQTIGFVGPGLCLIGLATAKSPSVGSAWLTLAFGLKSFSHSGFLVNLQEYQILLEHLLL >Vigun08g117300.1.v1.2 pep primary_assembly:ASM411807v1:8:28481931:28486949:-1 gene:Vigun08g117300.v1.2 transcript:Vigun08g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAIASAAKPFSLPQTRRARVAAVHFPKPYFKKTEFNFVEVSHSLRSLPRLRVSSNDAHFSSSSEESQQPQPSFAEFITSERVKVVSMLALALALCNADRVVMSVAIVPLSLANGWSRAFAGIVQSSFLWGYLASPIVGGMLVDNYGGKVVMAWGVALWSLATFLTPWAAQTSLLALLVVRAMLGAAEGVALPSMNNMVARWFPQTERSRAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNRRQTSFPVETSKSKKVKVVPPFRRLLSKLPTWSLIIANAMHSWGFFIVLSWMPIYFSSVYRVDLRHAAWFSAVPWAVMAIMNYLAGLWSDMMIQSGTSVTLTRKIMQTIGFVGPGLCLIGLATAKSPSVGSAWLTLAFGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSVLYFLAAIFYCLFSTGERVNFDDPAVA >Vigun02g026000.1.v1.2 pep primary_assembly:ASM411807v1:2:8794223:8800895:-1 gene:Vigun02g026000.v1.2 transcript:Vigun02g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLIPEWKEAFVDYWQLKKDLKKLHLFNNTNNTPNNTSTSLPKYIFSSLRNYSPFGHQHREHGPIQVHRKLASSSFNGDMYETELLDQFSDTDATKEFFACLDQQLNKVNKFYRTKEKEFMDRGDSLKKQMEILLILKTTFKEQQSKAGSSHGSKEDQSISCTFSNEEDSVRSRPQQEELQDTTSTDELEKIEAPFSDSPGAEELAKSLQMKREDGKFRTLSGRVINCQGKNLRINIPLTTPSRTFSAISYLLREDLLNQSSRKCGPEGGNMHLNKTNLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFIKILKKFDKVTEKQILPIYLKVVESSYFSSSDKVVKLADEVEELFIKNFAEENRRKAMKYLKPSQRKESHAVTFFIGLFTGCFLALLAGYAIMAHVTGLYRPHQNSVYMETVYPVLSMFSLVFLHFFLYGCNILAWRKTRINYSFIFELAPTKELKYRDIFLICTMAMSVVVGVTFLHLTLLTKGYSYAKVQDIPGLLLLGFLLILVCPFNIIYRSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRSLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDGSVGWLCVLVIMSSAATMYQLYWDFVKDWGLLQMNSKNPWLRNELMLHRKAIYYFSMGLNLVLRLAWLQTVLHSSFENVDYRVTCLFLASLEVIRRGLWNFFRLENEHLNNAGKFRAVKIVPLPFHEVDEED >Vigun02g026000.4.v1.2 pep primary_assembly:ASM411807v1:2:8794223:8800895:-1 gene:Vigun02g026000.v1.2 transcript:Vigun02g026000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLIPEWKEAFVDYWQLKKDLKKLHLFNNTNNTPNNTSTSLPKYIFSSLRNYSPFGHQHREHGPIQVHRKLASSSFNGDMYETELLDQFSDTDATKEFFACLDQQLNKVNKFYRTKEKEFMDRGDSLKKQMEILLILKTTFKEQQSKAGSSHGSKEDQSISCTFSNVCSLETEEDSVRSRPQQEELQDTTSTDELEKIEAPFSDSPGAEELAKSLQMKREDGKFRTLSGRVINCQGKNLRINIPLTTPSRTFSAISYLLREDLLNQSSRKCGPEGGNMHLNKTNLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFIKILKKFDKVTEKQILPIYLKVVESSYFSSSDKVVKLADEVEELFIKNFAEENRRKAMKYLKPSQRKESHAVTFFIGLFTGCFLALLAGYAIMAHVTGLYRPHQNSVYMETVYPVLSMFSLVFLHFFLYGCNILAWRKTRINYSFIFELAPTKELKYRDIFLICTMAMSVVVGVTFLHLTLLTKGYSYAKVQDIPGLLLLGFLLILVCPFNIIYRSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRSLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDGSVGWLCVLVIMSSAATMYQLYWDFVKDWGLLQMNSKNPWLRNELMLHRKAIYYFSMGLNLVLRLAWLQTVLHSSFENVDYRVTCLFLASLEVIRRGLWNFFRLENEHLNNAGKFRAVKIVPLPFHEVDEED >Vigun02g026000.2.v1.2 pep primary_assembly:ASM411807v1:2:8794223:8800936:-1 gene:Vigun02g026000.v1.2 transcript:Vigun02g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDCLFAFQFQVCEVTSLRSIITSKVHRKLASSSFNGDMYETELLDQFSDTDATKEFFACLDQQLNKVNKFYRTKEKEFMDRGDSLKKQMEILLILKTTFKEQQSKAGSSHGSKEDQSISCTFSNEEDSVRSRPQQEELQDTTSTDELEKIEAPFSDSPGAEELAKSLQMKREDGKFRTLSGRVINCQGKNLRINIPLTTPSRTFSAISYLLREDLLNQSSRKCGPEGGNMHLNKTNLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFIKILKKFDKVTEKQILPIYLKVVESSYFSSSDKVVKLADEVEELFIKNFAEENRRKAMKYLKPSQRKESHAVTFFIGLFTGCFLALLAGYAIMAHVTGLYRPHQNSVYMETVYPVLSMFSLVFLHFFLYGCNILAWRKTRINYSFIFELAPTKELKYRDIFLICTMAMSVVVGVTFLHLTLLTKGYSYAKVQDIPGLLLLGFLLILVCPFNIIYRSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRSLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDGSVGWLCVLVIMSSAATMYQLYWDFVKDWGLLQMNSKNPWLRNELMLHRKAIYYFSMGLNLVLRLAWLQTVLHSSFENVDYRVTCLFLASLEVIRRGLWNFFRLENEHLNNAGKFRAVKIVPLPFHEVDEED >Vigun02g026000.3.v1.2 pep primary_assembly:ASM411807v1:2:8794223:8800895:-1 gene:Vigun02g026000.v1.2 transcript:Vigun02g026000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLIPEWKEAFVDYWQLKKDLKKLHLFNNTNNTPNNTSTSLPKYIFSSLRNYSPFGHQHREHGPIQVHRKLASSSFNGDMYETELLDQFSDTDATKEFFACLDQQLNKVNKFYRTKEKEFMDRGDSLKKQMEILLILKTTFKEQQSKAGSSHGSKEDQSISCTFSNVMSNYVCLKVCSLETEEDSVRSRPQQEELQDTTSTDELEKIEAPFSDSPGAEELAKSLQMKREDGKFRTLSGRVINCQGKNLRINIPLTTPSRTFSAISYLLREDLLNQSSRKCGPEGGNMHLNKTNLHHAEKMIKGGFIELYKGLGYLKVYRNLNMLAFIKILKKFDKVTEKQILPIYLKVVESSYFSSSDKVVKLADEVEELFIKNFAEENRRKAMKYLKPSQRKESHAVTFFIGLFTGCFLALLAGYAIMAHVTGLYRPHQNSVYMETVYPVLSMFSLVFLHFFLYGCNILAWRKTRINYSFIFELAPTKELKYRDIFLICTMAMSVVVGVTFLHLTLLTKGYSYAKVQDIPGLLLLGFLLILVCPFNIIYRSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRSLEYVACYYITGSYKTQDYGYCMRTKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKDGSVGWLCVLVIMSSAATMYQLYWDFVKDWGLLQMNSKNPWLRNELMLHRKAIYYFSMGLNLVLRLAWLQTVLHSSFENVDYRVTCLFLASLEVIRRGLWNFFRLENEHLNNAGKFRAVKIVPLPFHEVDEED >Vigun09g052300.1.v1.2 pep primary_assembly:ASM411807v1:9:5170865:5173629:-1 gene:Vigun09g052300.v1.2 transcript:Vigun09g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGRPKKSAKARESIPDSQIRMQPPQFMDLEMQAMEREIGAIRERRETDIEHCLTELRLLRSRFSSEELRKLVLQVFEETLPNLSIVYDERNKNIDVKWRENEGCNDGMDVRASLLQSLSIESIRRFPGFDYSASAGRMSFIGAGNLQFKDIALDEPSDTHTLAVQEGLQTPGMTSQRLSVGMTPKTLRLPRPGEMLFSVHGSPVGVFKEMEAINESEEG >Vigun09g052300.2.v1.2 pep primary_assembly:ASM411807v1:9:5170865:5173629:-1 gene:Vigun09g052300.v1.2 transcript:Vigun09g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGRPKKSAKARESIPDSQIRMQPPQFMDLEMQAMEREIGAIRERRETDIEHCLTELRLLRSRFSSEELRKLVLQVFEETLPNLSIVYDERNKNIDVKWRENEGCNDGMDVRASLLQSLSIESIRRFPGFDYSASAGRMSFIGAGNLQFKDIALDEPSDTHTLAVQEGLQTPGHFADD >Vigun06g099100.1.v1.2 pep primary_assembly:ASM411807v1:6:22963646:22968186:1 gene:Vigun06g099100.v1.2 transcript:Vigun06g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTMEGMMDKAVLDDVIRRLLEGKGGKQVQLSESEIRQLCVNARQIFLSQPILLELRAPIRIGGDIHGQYQDLLRLFEYGGYPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIHLLRGNHEEAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELENLDLIREIQRPTEIPDSGLLCDLLWSDPDASIEGWAESDRGVSCTFGPDVLMEFLDKNDLDLVCRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDDSLVCSFEILKPADRASGSSSSKMNFKKQPPKLGKI >Vigun06g099100.2.v1.2 pep primary_assembly:ASM411807v1:6:22963646:22968186:1 gene:Vigun06g099100.v1.2 transcript:Vigun06g099100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTMEGMMDKAVLDDVIRRLLEGKGGKQVQLSESEIRQLCVNARQIFLSQPILLELRAPIRIGGDIHGQYQDLLRLFEYGGYPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIHLLRGNHEEAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELENLDLIREIQRPTEIPDSGLLCDLLWSDPDASIEGWAESDRGVSCTFGPDVLMEFLDKNDLDLVCRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDDSLVCSFEILKPADRASGSSSSKMNFKKPPKLGKI >Vigun02g062600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20965948:20970567:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSWVPSAGPPHWDLLARKRSGNFVYNCAVMGC >Vigun02g062600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20965381:20970567:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSWVPSAGPPHWDLLARKRSGNFVYNCAVMGC >Vigun02g062600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20965948:20970567:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSWVPSAGPPHWDLLARKRSGNFVYNCAVMGC >Vigun02g062600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20965377:20970567:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSWVPSAGPPHWDLLARKRSGNFVYNCAVMGC >Vigun02g062600.6.v1.2 pep primary_assembly:ASM411807v1:2:20965381:20970521:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHDVASDSLQQVKGVKNESKARHDEDDVSDNT >Vigun02g062600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20965381:20970037:-1 gene:Vigun02g062600.v1.2 transcript:Vigun02g062600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSCVVPRLFSSTCQAENDWSYMKCLLELDIKNGKRPMEIDDVEDEPQQPRKCTRKLDSYNRVEMARISFQRQSIEAKDSVVSQMDQETIEPLNVCEGVVGEGGALTDRRLLGEQEHEEGDGDLMDVGDHQSDGQHQAKPGDLSDEHRLQHDENLMNSSEQQSEQQQQQHGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNRSFRNIIRSGELYQWRRLNGIMEHWIYFSCALLEWEAYDPIRQRWMHLPRMASNECFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWTSGMRMNAPRCLFGSASLGEIAILAGGCDSEGHILDSAELYNSETQTWETLPSMKKPRKMCSGVFMDGKFYVIGGIGGSDSRVLTCGEEYNVQSRTWTEIPNMSPGRSSRGPEMPATAEAPPLVAVVNDELYAADYADMEVKKYDKEEKVWISIGRLPERAVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSWVPSAGPPHWDLLARKRSGNFVYNCAVMGC >Vigun07g206700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32813299:32814368:1 gene:Vigun07g206700.v1.2 transcript:Vigun07g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTCVHTMDSPKTSSDQVLREGTKEDEKEYFEAKLENGKNMRRIDDDDEEVPMDLLWEVFNEELSSATKFATSSKEMVEFKCAKTSTALVQTRNRYGMLVMVKVFKKFFSINSSQIKLFWQSD >Vigun07g033600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3202966:3204768:-1 gene:Vigun07g033600.v1.2 transcript:Vigun07g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLKNIAAPENFLVKVSKKSVVKALNPPEEPFSITLSNLDLLSGRFPVTYLYFYRKPESDNFKAFVDALKSSLAQILDHYYPFAGQIVQNPKTSEPEIICDNNGALIIEAHTNTPLKSVDFYDLNGTLQDKVVSVEPDFPTQIQVTEYSCGGVSIAFTFDHALGDATSFGKFIASWCEMAQKKPLSCIPDHSRHLRARSSPKYQPSLDQNFMRCTMEEIQNMPMNHISLKRLYHIKASSINMLQKLASGNGVKKTKIEAFSAYVWKVMIGSIDQRLHEKCKMGWLVDGRERMGRGENLMSNYIGNVLSVAFGEASIQELKEGSISDIANTVHEAISEVNNEAHFLDLIDWIECHRPGLMLAKAVLGEEVPTLVVSSGHRFPVTEVDFGFGNPLLGTVYTSIPRVGVGYMNQRLSAKGDGSWTVSAILWPQLEEALHNDPIFHPVSVSHLEL >Vigun10g032000.1.v1.2 pep primary_assembly:ASM411807v1:10:4179797:4182544:-1 gene:Vigun10g032000.v1.2 transcript:Vigun10g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSSSSSSASSSSSFLKSEPVFIYDVFINFGGEDIGRRFVSHLHSALLQAQVKTFISQENVQDGLNLEEHMREIAVSKIAIIVFSKTYAESTCCLLELEKVIECHQTFGQIVLPIFYEIDPFDVRDQKNDFGKALEETAEKSYLGEQGKHALSRWSHALNTASGITGWDLRNFRHDAEFVEVIVNRVQTLLDYKDLFITEFPVGLEFHVEKVIGCIENHSTKVCMIGIWEMVGSGKITIAKAIYDQIYHLFIGKSFVENLRKVWDPVSRWHLHLQEQLLYDVLKSKISLKSTWMERVMIENELSRKKLLIVLDDVNELGQLRNLCGSRDWFGKGTVIIFTTRDIHLLNRLKLNYVYSMDDMKENESLGCDGFGEAKPRKDLYELVRNVVVYCGGLPLAL >Vigun02g004400.1.v1.2 pep primary_assembly:ASM411807v1:2:2216006:2219385:-1 gene:Vigun02g004400.v1.2 transcript:Vigun02g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVMSESRVLILSVLLLCCFQIHDVACDHHDYKQALTNSLLYFEGQRSGKLPPNQRVAWRADSALKDGQDAGIDLVGGYYDAGDNLKLGFPLAFTITMLSWSTIEFKDELSKQKELQNALSAIKWGTDYLIKAHTSPDVFYGEIGDVDSDHQCWMRPEDMTTLRTSYKLNDQNPGSDLAAESAAALAAASIAFESVDKKYSSTMLLHATQLFEFANTHRGKYSDSITPAREIYSSSGFEDELVWGAAWLYRATNMKKYLDYMGGVSDIGGVRSEFSWDDKYVGAHILAAKFVLDGKVEASGIWAQYKSQAEEYICSCAQKSNQNVQKTAGGLFWFLPWNNNQYVATATFVMSVYSKYLSSNGSPLHCSGGAVTPDDLTSIVRSQVDYILGSNPKGMSYMIGYGSNFPQKVHHRGASIISIKKDPSPVSCKDGFQEWFHKNEPNPNVLVGAVVSPDGNDNYEDSREDYQLAEPATVTMAPLVGVLAHLA >Vigun01g047300.1.v1.2 pep primary_assembly:ASM411807v1:1:7079518:7083510:1 gene:Vigun01g047300.v1.2 transcript:Vigun01g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLSKLLENAGSKVTAAHRQALLQVTEIVPRLSSTSALQTRGYFLKLSDSLHSAFVSVPDDDAHLICSDKLQLGNFVYITRLDHASPVPIVRGLTVLPKRRPCVGNPTELLPGASLHAPQSQTERVKKRVVEKKVETRRLSLDSARRVWDHSPVRKEKTGSASSGGGKLKHKKSNPTSPNVIDKKLSTKADSPLKSPTSSVSPLKKKNENLCPKSLTNTPVRKSTGSRSPCGGTVHSQLVKVPLSFKAWSYDTPASWDDLSPSTCDLAKEVVGHRNFAFLVAVRSLEEASATDTVIQCMCMFAELCKSCQTHSAGSLVKQFLELHLSLRRVLVLFSSLLIPFPEPKPSGQSTLQCAIEDAGKVPSGTNAISWVQAAIGTNLSRFNLFEKQAKSEVLNDEKCHYVVIENSPEDINTENATAQSKQNRATQASPLPNSTAKRLPSAKRKLLATKNKDAGKQDQSKGIEIKVAASLAEKLLEASSEWFLKYLEESLRNEFGLKNEGNTEIASLLGQLKQVNHWLDNLVGGDKVDHRVEKLRKNLYRFLLEHVNSTTVSN >Vigun08g142401.1.v1.2 pep primary_assembly:ASM411807v1:8:31492900:31493573:-1 gene:Vigun08g142401.v1.2 transcript:Vigun08g142401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERRGKELTGTESARVSLRVPACACACACLIESCPRRLRKHGSVFATFPKFFSFNSNQVGTATYYCSSHANVTRKNMLPFVSLLHVLCAYWLCDLIFCSVKRVRRMIKTTL >Vigun03g082600.1.v1.2 pep primary_assembly:ASM411807v1:3:6869202:6869699:-1 gene:Vigun03g082600.v1.2 transcript:Vigun03g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKLNSSQGLDSIAISQPLAFWPKYALGFALSSPFLPASAYTLLAVISILCPFRRTLWYE >Vigun06g090000.1.v1.2 pep primary_assembly:ASM411807v1:6:22226617:22227568:-1 gene:Vigun06g090000.v1.2 transcript:Vigun06g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFLKENARGSLGGSTYGTKGDTLLQMKFAYNHLAPLFLMLLQWMDFSCTCLLLRYLDIFHIDIVVYKVHIW >Vigun09g236200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40692386:40693717:1 gene:Vigun09g236200.v1.2 transcript:Vigun09g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLRDCCSSNSTTNRNTKKRKQQHHQDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPELLFQDDDVSTQEGNMSADCIRKKATQVGARVDALQTALQSSSSHSANSSHVSSDKPDLNEYPKPED >Vigun06g169300.1.v1.2 pep primary_assembly:ASM411807v1:6:29087249:29093043:-1 gene:Vigun06g169300.v1.2 transcript:Vigun06g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFVGRQIWEFDSEARSDEERAQVEEARLHFHQNRFQRKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHVGGSHFFTPIMIIPIYSTGHLDSVISEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYFCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDTAYFFTEPLVTRWPFNKIREKALEVAIKGIRYEDESTRYIQGGCIDKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQGINSQSWDAGFMVQALLATGQIDDLGPTLEKAHDFIKKSQVVDNRSGDFKSMFHHISKGAWTFADRDHGVQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDSVNFILSLQSKNGGLSVWEPAKAQKWLENLNPAEFIADIVIEHEYIESTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWYGNWGVYFTYSSWFALGALAAAGNTYTNCAAIRKAVKFLLTKQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGVYKSSCVLHYPFYRNYFPIWALSEYRNNVLVHSTSV >Vigun04g143600.1.v1.2 pep primary_assembly:ASM411807v1:4:35669013:35688660:-1 gene:Vigun04g143600.v1.2 transcript:Vigun04g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPWLSNTTSNLVELYLSWNLLEGSTSNGFGLFMNSLEHLDLSNNVLKGEDLKSFTNICTLRSLDISENNMTEDLVSILHNFSSGCVKYSLQELSLAHNQIRGSVPDLSAFSNLKTLDLSRNQLSGKIPEGIRLPSHLEQLSIRFNSLEGGVPKSFGSTCTLELLDFSFNKLSEDLTVVFNHLSGCSRYSLQELYLVHNKFNGILPDFSIFSKLERLDLSGNQIKDGVPKLLHNDSVLRSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPSNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLYLMSNSLKGVLTDSHFNNMTKLKALTLSDNSLTLEVSQNWTPSFQLDDIRLRSCKLGPLFPKWLEKQNKFDSLDISNNGISGTIPKWFWTKFGLSDWMSINISCNNLQGIIPNLPLDNHYFSLNLASNRFEGHVPPFLRGSMFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKALTYLTMSQNKFSGNIPTSIGSLLQLQVLLLRSNNLSGNILSILKNCTQLVMVDIAENRLSGSIPNWIGNELSRLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPKCIQNLSSMAQMTSLRGYQSHQYLVNRSDMTVNHSYNLNAFLIWKGSEQMFTNIELSLLKSIDFSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELTSLEFLDLSRNQFVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASRYEDNVDLCGLPLEKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISMTIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKKFSRA >Vigun04g143600.2.v1.2 pep primary_assembly:ASM411807v1:4:35666115:35688660:-1 gene:Vigun04g143600.v1.2 transcript:Vigun04g143600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPWLSNTTSNLVELYLSWNLLEGSTSNGFGLFMNSLEHLDLSNNVLKGEDLKSFTNICTLRSLDISENNMTEDLVSILHNFSSGCVKYSLQELSLAHNQIRGSVPDLSAFSNLKTLDLSRNQLSGKIPEGIRLPSHLEQLSIRFNSLEGGVPKSFGSTCTLELLDFSFNKLSEDLTVVFNHLSGCSRYSLQELYLVHNKFNGILPDFSIFSKLERLDLSGNQIKDGVPKLLHNDSVLRSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPSNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLYLMSNSLKGVLTDSHFNNMTKLKALTLSDNSLTLEVSQNWTPSFQLDDIRLRSCKLGPLFPKWLEKQNKFDSLDISNNGISGTIPKWFWTKFGLSDWMSINISCNNLQGIIPNLPLDNHYFSLNLASNRFEGHVPPFLRGSMFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKALTYLTMSQNKFSGNIPTSIGSLLQLQVLLLRSNNLSGNILSILKNCTQLVMVDIAENRLSGSIPNWIGNELSRLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPKCIQNLSSMAQMTSLRGYQSHQYLVNRSDMTVNHSYNLNAFLIWKGSEQMFTNIELSLLKSIDFSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELTSLEFLDLSRNQFVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASRYEDNVDLCGLPLEKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISMTIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKKFSRA >Vigun04g143600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35682643:35688691:-1 gene:Vigun04g143600.v1.2 transcript:Vigun04g143600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPWLSNTTSNLVELYLSWNLLEGSTSNGFGLFMNSLEHLDLSNNVLKGEDLKSFTNICTLRSLDISENNMTEDLVSILHNFSSGCVKYSLQELSLAHNQIRGSVPDLSAFSNLKTLDLSRNQLSGKIPEGIRLPSHLEQLSIRFNSLEGGVPKSFGSTCTLELLDFSFNKLSEDLTVVFNHLSGCSRYSLQELYLVHNKFNGILPDFSIFSKLERLDLSGNQIKDGVPKLLHNDSVLRSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPSNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLYLMSNSLKGVLTDSHFNNMTKLKALTLSDNSLTLEVSQNWTPSFQLDDIRLRSCKLGPLFPKWLEKQNKFDSLDISNNGISGTIPKWFWTKFGLSDWMSINISCNNLQGIIPNLPLDNHYFSLNLASNRFEGHVPPFLRGSMFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKALTYLTMSQNKFSGNIPTSIGSLLQLQVLLLRSNNLSGNILSILKNCTQLVMVDIAENRLSGSIPNWIGNELSRLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPKCIQNLSSMAQMTSLRGYQSHQYLVNRSDMTVNHSYNLNAFLIWKGSEQMFTNIELSLLKSIDFSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELTSLEFLDLSRNQLVGSIPSSLAQIDRLAMLDLSHNYLCGEIPIGTQLQSFDASKYEDNANLCGPPLKKLCTNGEPWQKPITKFQEDEIFNHEYYISMTIGFVVSFWGVFGSILIIRS >Vigun04g143600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35682531:35688660:-1 gene:Vigun04g143600.v1.2 transcript:Vigun04g143600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPWLSNTTSNLVELYLSWNLLEGSTSNGFGLFMNSLEHLDLSNNVLKGEDLKSFTNICTLRSLDISENNMTEDLVSILHNFSSGCVKYSLQELSLAHNQIRGSVPDLSAFSNLKTLDLSRNQLSGKIPEGIRLPSHLEQLSIRFNSLEGGVPKSFGSTCTLELLDFSFNKLSEDLTVVFNHLSGCSRYSLQELYLVHNKFNGILPDFSIFSKLERLDLSGNQIKDGVPKLLHNDSVLRSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPSNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLYLMSNSLKGVLTDSHFNNMTKLKALTLSDNSLTLEVSQNWTPSFQLDDIRLRSCKLGPLFPKWLEKQNKFDSLDISNNGISGTIPKWFWTKFGLSDWMSINISCNNLQGIIPNLPLDNHYFSLNLASNRFEGHVPPFLRGSMFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKALTYLTMSQNKFSGNIPTSIGSLLQLQVLLLRSNNLSGNILSILKNCTQLVMVDIAENRLSGSIPNWIGNELSRLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPKCIQNLSSMAQMTSLRGYQSHQYLVNRSDMTVNHSYNLNAFLIWKGSEQMFTNIELSLLKSIDFSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELTSLEFLDLSRNQLVGSIPSSLAQIDRLAMLDLSHNYLCGEIPIGTQLQSFDASKYEDNANLCGPPLKKLCTNGEPWQKPITKFQEDEIFNHEYYISMTIGFVVSFWGVFGSILIIRS >Vigun07g122500.1.v1.2 pep primary_assembly:ASM411807v1:7:22602509:22605549:1 gene:Vigun07g122500.v1.2 transcript:Vigun07g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCTGSSSNRTTAFDRFYSPPVFRKLQREQQKQFNSHSVQPDLQTGSYEPALSTSVCLSNLDRLLDAFTPSVPAHSSCQPKMKGRGTGYSCTNNSSGRLYIVLEDLWESYREWSAYGVEVPVSHDGEEETKIYYAPSLSAIQLYAERRLEEDSSSESSQETNCSAEQLVYEFFEGALPHIRPPLHDKVSILASEFPSLKNYRSCDISPSSWFSVAWYPIYKIPVVSSMKRVDASFLTYHSLSADSKRKNQPEFCSRKVRDDDGSLNISLPTFGLAAYKLRGSMLAPRRDSEWHKVESLLEAATDWLQNLQVNHPDYLYFVSRSTLYR >Vigun10g078633.1.v1.2 pep primary_assembly:ASM411807v1:10:21391517:21402544:1 gene:Vigun10g078633.v1.2 transcript:Vigun10g078633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTNKLNLSSSERFCHSFTTLGILLRCQHKRLQHNFYSFVRILIHESLIYILNCEL >Vigun07g203000.1.v1.2 pep primary_assembly:ASM411807v1:7:32479448:32484245:1 gene:Vigun07g203000.v1.2 transcript:Vigun07g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPTCPSFLLFFFFFNSLVHSSSSVQDFQPLPAPISGSDYDYEPHLSPPALPPVPAGGGGSDSLSGESVPPSLAVIGKRHHGSPEAPVKDNGGAWWCSVREEVEECRFFVSVINQLTGNSWKCVQREKAQECMVSIQKGEADLVNLEAGLAYTAFINHSMKAIASEMYCDHSKTYEAVAVVNRRVCESKEKISLMDFKGHKSCHGGYSTAVGWNYPINYIKNLINSAEQDSRKIAISFFSAVCAPSEVEGFDICSACSKENETCSERGKYSGHSGAFRCLVEELGDIAFVKSDTVLLYSMEGPHNQLWSTKSVRDFMYLCPQGGCREINGYPGDCSFGAVPADVIMTHNSMPNEKREHILETLTNTSLVDALHAPNNVRGHLFSPSTQGLAMIEKVTRLYLGKSASISQSIQKLNAPETGDNSYEADNGSEEASSSSYTCCSQVMTVLLLLMSLLLLGILTTK >Vigun07g203000.2.v1.2 pep primary_assembly:ASM411807v1:7:32479448:32483477:1 gene:Vigun07g203000.v1.2 transcript:Vigun07g203000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPTCPSFLLFFFFFNSLVHSSSSVQDFQPLPAPISGSDYDYEPHLSPPALPPVPAGGGGSDSLSGESVPPSLAVIGKRHHGSPEAPVKDNGGAWWCSVREEVEECRFFVSVINQLTGNSWKCVQREKAQECMVSIQKGEADLVNLEAGLAYTAFINHSMKAIASEMYCDHSKTYEAVAVVNRRVCESKEKISLMDFKGHKSCHGGYSTAVGWNYPINYIKNLINSAEQDSRKIAISFFSAVCAPSEVEGFDICSACSKENETCSERGKYSGHSGAFRCLVEELGDIAFVKSDTVLLYSMEGPHNQLWSTKSVRDFMYLCPQGGCREINGYPGDCSFGAVPADVIMTHNSMPNEKREHILETLTNTSLVDALHAPNNVRGHLFSPSTQGLAMIEKVTRLYLGKSASISQSIQKLNAPETGDNSYEADNGSEEASSSSYTCCSQVMTVLLLLMSLLLLGILTTK >Vigun01g215400.1.v1.2 pep primary_assembly:ASM411807v1:1:38915686:38921855:-1 gene:Vigun01g215400.v1.2 transcript:Vigun01g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDMSLDDRIKNRSNRGGRGRGGRGRVQSGRGPSGALNGGRMAGAVNSRRITGAGNGGRTSGAVRRGPLMVNTRPSSYAIAKSIRRTRPFPWQHDLFEDSLRAAGIQGVEVGTKLYVSNLDHGVTNEDIRELFSELGELKRYAVHYDKNGHPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGSNAELPVSARVNVTGVNGRRKRTVVMTPRGGPAGGRAIPNRGAGWGRRGGTRSGNGRGRGAGGRGRGNGRGGGRGRGRKDGVEKSAEELDKELETYHAEAMNIS >Vigun09g132000.1.v1.2 pep primary_assembly:ASM411807v1:9:29042094:29043345:1 gene:Vigun09g132000.v1.2 transcript:Vigun09g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTLPLTPSYTSFSPNSGLIHDTVDCSRVNRSIKFRVSAKQEKQEPSKKKQSLFSSVTEALDFSQVRSAEDAQLIEEAREATRSGERMSREQYGALRRKIGGTYKDFFKSYVEVDGAYVEEGWVDKTCKVCKKDTRGEERQVDNFGRYAHVACLEKSKSGNFFTRLFSS >Vigun06g181800.1.v1.2 pep primary_assembly:ASM411807v1:6:30142785:30146931:-1 gene:Vigun06g181800.v1.2 transcript:Vigun06g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKNTTGFLKGSLRQVVLADIIAVVHKGKIVEKGTHDELIKDADGAYSRLVRLQEGNKVAEEVSRKSEADKSNNNILNLSRRTSFARSSSRHSLSLSFALPYQIPLHESGVEDNGDVESSEVDDKKHQKDAVNCLVKLNEPEVPVLVLGSFAAAIHGLTLPVFGLLLSSSVNTFFKPPDVLRTDSMFWSFLFVGLGIVGLVAMTLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIATITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVEGFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLVSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEDVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNDSIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun06g181800.2.v1.2 pep primary_assembly:ASM411807v1:6:30142785:30145514:-1 gene:Vigun06g181800.v1.2 transcript:Vigun06g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLFAGTHDELIKDADGAYSRLVRLQEGNKVAEEVSRKSEADKSNNNILNLSRRTSFARSSSRHSLSLSFALPYQIPLHESGVEDNGDVESSEVDDKKHQKDAVNCLVKLNEPEVPVLVLGSFAAAIHGLTLPVFGLLLSSSVNTFFKPPDVLRTDSMFWSFLFVGLGIVGLVAMTLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIATITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVEGFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLVSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEDVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNDSIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun11g172400.1.v1.2 pep primary_assembly:ASM411807v1:11:37795079:37800337:-1 gene:Vigun11g172400.v1.2 transcript:Vigun11g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKTLQILSSLPLAIADMFAAAALMALGTFIEQGEAPDFYIQKYPEDNPVFGFFTWRWILALGFDHMFSSPVFLGVLALLGASLMACTYTTQLPLITVSRRWSFLHSAEAIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPSLYAFKGLAGRLAPVGVHIALLLVMAGGTVSAAGSFRGSVTVPQGLNFVVGDVLVPSGFLSSPTEAFNTEIHVNRFYMDYYESGEVSQFYTDLSLRNMDGKEVMRKTISVNDPLRYGGITIYQTDWSISALQILKDNEGPYNLAMAPLQINGDKKLYGTFLPVGDINSPDVKGISMLARDLQSIVLYDKEGKFVGVRRPNSKLPINIDGSEILIVDAIGSSGLDLKTDPGVPVVYAGFGALMITTCISYLSHSQIWALQDGTTVFIGGKTNRAKMEFPEEMNLLLDKVPEIVESTLPKQADSISG >VigunL023200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:18658:19074:-1 gene:VigunL023200.v1.2 transcript:VigunL023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps11 MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDAALRAIRRSGILLNFIRDVTPMPHNGCRSPKKRRV >Vigun10g055066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9380162:9380419:1 gene:Vigun10g055066.v1.2 transcript:Vigun10g055066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNVNYSIHSYVNGNEKQEILFLEIWTNRSLTPKEALYDAYQNLIDLFLPFLHAEEDNFHLEKNQDKVTLPFLLFMIYWLKIN >Vigun10g012900.1.v1.2 pep primary_assembly:ASM411807v1:10:1384918:1388255:1 gene:Vigun10g012900.v1.2 transcript:Vigun10g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPIISINPLNISAKKHTTLSLSLLYSILYLGKPSMAYFVLFVLLFAGQVIGGFSAQKLPPPNNGNLITILAIDGGGIKAIIPATILDYLDKALKAKDPNADLAHYFDVFGGTSTGAITTAMLATPSLHDPTRAAFTPAQIVEFYKQNGPRIFNASRPGNGPLFVGEGLHGSTREALKETRVSQALTDVVFPAFDIKKQKPFVFSSYKLEKVPYLNALLSDICISTSTAPRQLPPYFFENDGVEFNLVDGGEAAVNPTQIAVSEVLQQNKDPEILVLSLGTGVSKIEEIYDAEVAATWTEETWAVIDPTFQNRGYTAITEYYLASIFSGFQSQNNYLRVQEYDLNPDFNDPINVTKASLDGLEESGKQLLQKKVVTFNLDTFDLEEGVGTYAEALDRIADILHGERQSRLLRSKSMEKGRRPILETLAQANWAFQKNLHI >Vigun01g059500.5.v1.2 pep primary_assembly:ASM411807v1:1:12443957:12448688:-1 gene:Vigun01g059500.v1.2 transcript:Vigun01g059500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRDKEKENDRCISSHMQTLHRRLLQALNLGTRHFDEKTNRWRWQCANIEVQKNVLRSIGAFLDSLSGDARAARNAVVKESVPDILGALLWILQCKSEALLSMALNVAVKLVSVLPNPLLQSHMLDLVYCLSSLLSSHQVEVAIPCATTLNFVISNLNATSEKEVMEALKETEASLRIVGNIKDFAEGVKKIEYFEEMTLLLSTILWRWHPSRFPVCNDVVLMKVLANIHTKADSSIKLALLKLYTSIALCDSAARRLVEDEEVFPQMFVQAMGKSNPHAIRIEGFRLAQCLLRSQDNCLKVVGLCGEALVEAIICGMTETRLSSKKIGNNHESLSVEACQLALITRWAGDHHTNFWKQGIDRVLLNLLIENIQDQLFEPVLSLEKQIYMAKEGLKANCHLGLRSYVWDILGWLTIHCGENLNPYTRGSELCINLLITCACLSFVDTLEKWCRICQKDIDDHFQSEPVSRAVLMMIRSPCNSISSHTRFLLSDVLKVKGMPCLKSLLHTLDYTSSLESYGSFDKLQLVINLIGFTCLSSLPQYPRCIIESKGIKVVVLLLKRCLNNDIHIERQNFTPHLYTTCHERSCCFFDKEDWEGSNVLFFYSLLGLTEILHHCDLLQENSPQFSREVTNITPQLVSKLQEICKRKSFSPGVRWYVSYILTYFGYYGFPSELAKRIRESLNKEEYSDMKLVLANGESLNVHVVILAVRCPSLLPPQLLKSSKEIPDEFVRETVREVRLSSHVDYEALVLLLEYVYLGYLHASEETAKKLKILAKRCNLQPLFQMLHRQRPKWGLPFPSVNLTSAFGLAGSCFSDVILAAKSNELVGWTCDICSDTVPHMHVHKVILQSGCDYLQGLFRSGMQER >Vigun01g059500.4.v1.2 pep primary_assembly:ASM411807v1:1:12443957:12448688:-1 gene:Vigun01g059500.v1.2 transcript:Vigun01g059500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRDKEKENDRCISSHMQTLHRRLLQALNLGTRHFDEKTNRWRWQCANIEVQKNVLRSIGAFLDSLSGDARAARNAVVKESVPDILGALLWILQCKSEALLSMALNVAVKLVSVLPNPLLQSHMLDLVYCLSSLLSSHQVEVAIPCATTLNFVISNLNATSEKEVMEALKETEASLRIVGNIKDFAEGVKKIEYFEEMTLLLSTILWRWHPSRFPVCNDVVLMKVLANIHTKADSSIKLALLKLYTSIALCDSAARRLVEDEEVFPQMFVQAMGKSNPHAIRIEGFRLAQCLLRSQDNCLKVVGLCGEALVEAIICGMTETRLSSKKIGNNHESLSVEACQLALITRWAGDHHTNFWKQGIDRVLLNLLIENIQDQLFEPVLSLEKQIYMAKEGLKANCHLGLRSYVWDILGWLTIHCGENLNPYTRGSELCINLLITCACLSFVDTLEKWCRICQKDIDDHFQSEPVSRAVLMMIRSPCNSISSHTRFLLSDVLKVKGMPCLKSLLHTLDYTSSLESYGSFDKLQLVINLIGFTCLSSLPQYPRCIIESKGIKVVVLLLKRCLNNDIHIERQNFTPHLYTTCHERSCCFFDKEDWEGSNVLFFYSLLGLTEILHHCDLLQENSPQFSREVTNITPQLVSKLQEICKRKSFSPGVRWYVSYILTYFGYYGFPSELAKRIRESLNKEEYSDMKLVLANGESLNVHVVILAVRCPSLLPPQLLKSSKEIPDEFVRETVREVRLSSHVDYEALVLLLEYVYLGYLHASEETAKKLKILAKRCNLQPLFQMLHRQRPKWGLPFPSVNLTSAFGLAGSCFSDVILAAKSNELVGWTCDICSDTVPHMHVHKVILQSGCDYLQGLFRSGMQERM >Vigun01g059500.1.v1.2 pep primary_assembly:ASM411807v1:1:12437839:12448688:-1 gene:Vigun01g059500.v1.2 transcript:Vigun01g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRDKEKENDRCISSHMQTLHRRLLQALNLGTRHFDEKTNRWRWQCANIEVQKNVLRSIGAFLDSLSGDARAARNAVVKESVPDILGALLWILQCKSEALLSMALNVAVKLVSVLPNPLLQSHMLDLVYCLSSLLSSHQVEVAIPCATTLNFVISNLNATSEKEVMEALKETEASLRIVGNIKDFAEGVKKIEYFEEMTLLLSTILWRWHPSRFPVCNDVVLMKVLANIHTKADSSIKLALLKLYTSIALCDSAARRLVEDEEVFPQMFVQAMGKSNPHAIRIEGFRLAQCLLRSQDNCLKVVGLCGEALVEAIICGMTETRLSSKKIGNNHESLSVEACQLALITRWAGDHHTNFWKQGIDRVLLNLLIENIQDQLFEPVLSLEKQIYMAKEGLKANCHLGLRSYVWDILGWLTIHCGENLNPYTRGSELCINLLITCACLSFVDTLEKWCRICQKDIDDHFQSEPVSRAVLMMIRSPCNSISSHTRFLLSDVLKVKGMPCLKSLLHTLDYTSSLESYGSFDKLQLVINLIGFTCLSSLPQYPRCIIESKGIKVVVLLLKRCLNNDIHIERQNFTPHLYTTCHERSCCFFDKEDWEGSNVLFFYSLLGLTEILHHCDLLQENSPQFSREVTNITPQLVSKLQEICKRKSFSPGVRWYVSYILTYFGYYGFPSELAKRIRESLNKEEYSDMKLVLANGESLNVHVVILAVRCPSLLPPQLLKSSKEIPDEFVRETVREVRLSSHVDYEALVLLLEYVYLGYLHASEETAKKLKILAKRCNLQPLFQMLHRQRPKWGLPFPSVNLTSAFGLAGSCFSDVILAAKSNELVGWTCDICSDTVPHMHVHKVILQSGCDYLQGLFRSGMQESHSQVIKVDISWQALIKLVQWFYSDELPGPPSGCLWDNMDDQEKLFNLQPYVELYWLAEFWILENIQEACFNVIMSCLDSSWRLSIKIIKMAYNLSLWKLVDIAANLMAPSYRQLRDSGELEEFDDALVHLIYSASIQLN >Vigun01g059500.2.v1.2 pep primary_assembly:ASM411807v1:1:12443010:12448688:-1 gene:Vigun01g059500.v1.2 transcript:Vigun01g059500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRDKEKENDRCISSHMQTLHRRLLQALNLGTRHFDEKTNRWRWQCANIEVQKNVLRSIGAFLDSLSGDARAARNAVVKESVPDILGALLWILQCKSEALLSMALNVAVKLVSVLPNPLLQSHMLDLVYCLSSLLSSHQVEVAIPCATTLNFVISNLNATSEKEVMEALKETEASLRIVGNIKDFAEGVKKIEYFEEMTLLLSTILWRWHPSRFPVCNDVVLMKVLANIHTKADSSIKLALLKLYTSIALCDSAARRLVEDEEVFPQMFVQAMGKSNPHAIRIEGFRLAQCLLRSQDNCLKVVGLCGEALVEAIICGMTETRLSSKKIGNNHESLSVEACQLALITRWAGDHHTNFWKQGIDRVLLNLLIENIQDQLFEPVLSLEKQIYMAKEGLKANCHLGLRSYVWDILGWLTIHCGENLNPYTRGSELCINLLITCACLSFVDTLEKWCRICQKDIDDHFQSEPVSRAVLMMIRSPCNSISSHTRFLLSDVLKVKGMPCLKSLLHTLDYTSSLESYGSFDKLQLVINLIGFTCLSSLPQYPRCIIESKGIKVVVLLLKRCLNNDIHIERQNFTPHLYTTCHERSCCFFDKEDWEGSNVLFFYSLLGLTEILHHCDLLQENSPQFSREVTNITPQLVSKLQEICKRKSFSPGVRWYVSYILTYFGYYGFPSELAKRIRESLNKEEYSDMKLVLANGESLNVHVVILAVRCPSLLPPQLLKSSKEIPDEFVRETVREVRLSSHVDYEALVLLLEYVYLGYLHASEETAKKLKILAKRCNLQPLFQMLHRQRPKWGLPFPSVNLTSAFGLAGSCFSDVILAAKSNELVGWTCDICSDTVPHMHVHKVILQSGCDYLQGLFRSGMQERGKNSWMF >Vigun01g059500.3.v1.2 pep primary_assembly:ASM411807v1:1:12437839:12448688:-1 gene:Vigun01g059500.v1.2 transcript:Vigun01g059500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRDKEKENDRCISSHMQTLHRRLLQALNLGTRHFDEKTNRWRWQCANIEVQKNVLRSIGAFLDSLSGDARAARNAVVKESVPDILGALLWILQCKSEALLSMALNVAVKLVSVLPNPLLQSHMLDLVYCLSSLLSSHQVEVAIPCATTLNFVISNLNATSEKEVMEALKETEASLRIVGNIKDFAEGVKKIEYFEEMTLLLSTILWRWHPSRFPVCNDVVLMKVLANIHTKADSSIKLALLKLYTSIALCDSAARRLVEDEEVFPQMFVQAMGKSNPHAIRIEGFRLAQCLLRSQDNCLKVVGLCGEALVEAIICGMTETRLSSKKIGNNHESLSVEACQLALITRWAGDHHTNFWKQGIDRVLLNLLIENIQDQLFEPVLSLEKQIYMAKEGLKANCHLGLRSYVWDILGWLTIHCGENLNPYTRGSELCINLLITCACLSFVDTLEKWCRICQKDIDDHFQSEPVSRAVLMMIRSPCNSISSHTRFLLSDVLKVKGMPCLKSLLHTLDYTSSLESYGSFDKLQLVINLIGFTCLSSLPQYPRCIIESKGIKVVVLLLKRCLNNDIHIERQNFTPHLYTTCHERSCCFFDKEDWEGSNVLFFYSLLGLTEILHHCDLLQENSPQFSREVTNITPQLVSKLQEICKRKSFSPGVRWYVSYILTYFGYYGFPSELAKRIRESLNKEEYSDMKLVLANGESLNVHVVILAVRCPSLLPPQLLKSSKEIPDEFVRETVREVRLSSHVDYEALVLLLEYVYLGYLHASEETAKKLKILAKRCNLQPLFQMLHRQRPKWGLPFPSVNLTSAFGLAGSCFSDVILAAKSNELVGWTCDICSDTVPHMHVHKVILQSGCDYLQGLFRSGMQERHQKDLG >Vigun07g001200.1.v1.2 pep primary_assembly:ASM411807v1:7:114458:118573:-1 gene:Vigun07g001200.v1.2 transcript:Vigun07g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKIHHFLLLMFIFFFFFLLPSSHAQTPRQMNNTGFTCTGTRTCAAYAFYRASAPNFLDLAAVGDLFEVSRQMIATPSNISSVSSALVEDQPLFVPLSCSCNPVNATFGSLSYANISYTIQPNDTFFVVSTYKFNNLTTYPSVETVNPTLVATNLSIGQVTIFPVFCKCPDTNNTNYMISYVVQPEDNISSIASAFGVQEQAIIDVNNDTLYDYDTIFIPVEQLPSLSQPAVAPAAPPGGNGTESGSGSGRDRTGTVRGLGIGLGVVGLLLVLVSGVWLWREFVMKKRLGDEDEDVEGGKKKVYSGGKEGQKGGLDLKLMANVSDCLDKYRVFDIEELVEATDGFDERSLIEGSVYKGEIDGELFAIKKMKWNAYEELKILQKVNHGNLVKLEGFCIDQEEANCYLVYEYVENGSLYSWLHEERKEKLNWKTRLRIGIDIANGLQYIHEHTRPRVVHKDIKSSNILLDYNKRAKIANFGLAKSGMNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELISGKEAIDKEGNLLWASAMRTFEVESEQEKARRLREWMDKDILRETCSMESLMGVLTVAIACLHKDQSKRPSIMDIVYALCKSEDLGFDISDDGLGSPRVVAR >Vigun07g274600.2.v1.2 pep primary_assembly:ASM411807v1:7:39027281:39029079:-1 gene:Vigun07g274600.v1.2 transcript:Vigun07g274600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKLEDKVIDGSKIEGGGGHGGNSHGNGNSGSPDTRGGGAAVIPVYAAGAANKNNQHQTRHESAKTVHCIFCNNCYRFFEGIVFGDLAMYFV >Vigun07g274600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39027281:39029079:-1 gene:Vigun07g274600.v1.2 transcript:Vigun07g274600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKLEDKVIDGSKIEGGGGHGGNSHGNGNSGSPDTRGGGAAVIPVYAAGAANKNNQHQTRHGAASCNLNKIKFSNIFMIVLIYPLILSFLLM >Vigun07g274600.3.v1.2 pep primary_assembly:ASM411807v1:7:39027281:39029079:-1 gene:Vigun07g274600.v1.2 transcript:Vigun07g274600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVLRFSLLIFICFIVLTSSAYPLHSTFSSEIMQKRKLEDKVIDGSKIEGGGGHGGNSHGNGNSGSPDTRGGGAAVIPVYAAGAANKNNQHQTRHESAKTVHCIFCNNCYRFFEGIVFGDLAMYFV >Vigun07g274600.1.v1.2 pep primary_assembly:ASM411807v1:7:39027281:39029079:-1 gene:Vigun07g274600.v1.2 transcript:Vigun07g274600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVLRFSLLIFICFIVLTSSAYPLHSTFSSEIMQKRKLEDKVIDGSKIEGGGGHGGNSHGNGNSGSPDTRGGGAAVIPVYAAGAANKNNQHQTRHGAASCNLNKIKFSNIFMIVLIYPLILSFLLM >Vigun07g293000.2.v1.2 pep primary_assembly:ASM411807v1:7:40447073:40453727:1 gene:Vigun07g293000.v1.2 transcript:Vigun07g293000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNDTETNLDGENSVGRKSRKKPMPESGAREHKEQLQKLSEKDPEFYEFLKEHDEELLQFSDDDLDDDVGTDIEDEDLKLDEEVSEDEIQEKEQKSSKEVITTSMVDLWCKSIQERGSLSALRSLLRAFRTACHYGDDGGNESMTKLSVMSSTVFNKIMLTVLTEMDGILRKLFKLPASGGKKENITDLMATKHWNSYGPLVKSYLGNALHVLNQMTDTEMISFTLRRLKYSLLFLAAVPSLLRKYIKVVLHFWGTGGGALPVVSFLFMRDLCIRIGSGCIDECFKGIYKAYVLNCHFVNAVKLKHIRFLGNCVIELLGVDLATAYQHAFIYIRQLAMILRDALNAKTKESFRKVYEWKFINCLELWTGAICAYSSESDFKQLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNQIAASTHSFVPVSMLLLDMLEMKELNRPPTGGVGKAVDLRSILKVSKLTLKTRAFQEACVISVVEELAEHLAQWSYSVAFMELSFIPLVRLRSFCKLTKVERFRKEMRQLIRQIEASANHVNEKRMSISFLPNDPAALSFLEDEEKSASSTLSKYVLTLRQRAEQKNNSLMESSVLVGEESSRFGNEISESDEEDARNNEKGAAVFSSSWLPGNESKTKQPEETKGKRKKQQKEKAIDDDVVEDLVLSSDEDMPSSHSPSAGKNDDADHLPSKGKRKQKNHRTKRLKKN >Vigun07g293000.1.v1.2 pep primary_assembly:ASM411807v1:7:40447073:40453727:1 gene:Vigun07g293000.v1.2 transcript:Vigun07g293000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNDTETNLDGENSVGRKSRKKPMPESGAREHKEQLQKLSEKDPEFYEFLKEHDEELLQFSDDDLDDDVGTDIEDEDLKLDEEVSEDEIQEKEQKSSKEVITTSMVDLWCKSIQERGSLSALRSLLRAFRTACHYGDDGGNESMTKLSVMSSTVFNKIMLTVLTEMDGILRKLFKLPASGGKKENITDLMATKHWNSYGPLVKSYLGNALHVLNQMTDTEMISFTLRRLKYSLLFLAAVPSLLRKYIKVVLHFWGTGGGALPVVSFLFMRDLCIRIGSGCIDECFKGIYKAYVLNCHFVNAVKLKHIRFLGNCVIELLGVDLATAYQHAFIYIRQLAMILRDALNAKTKESFRKVYEWKFINCLELWTGAICAYSSESDFKQLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNQIAASTHSFVPVSMLLLDMLEMKELNRPPTGGVGKAVDLRSILKVSKLTLKTRAFQEACVISVVEELAEHLAQWSYSVAFMELSFIPLVRLRSFCKLTKVERFRKEMRQLIRQIEASANHVNEKRMSISFLPNDPAALSFLEDEEKSASSTLSKYVLTLRQRAEQKNNSLMESSVLVGEESSRFGNEISESDEEDARNNEKGAAVFSSSWLPGNESKTKQPEETKGKRKKQQKEKAIDDDVVEDLVLSSDEDMPSSHSPSAGKNDDADHLPSKGKRKQKNHRTKRLKKN >Vigun11g049850.1.v1.2 pep primary_assembly:ASM411807v1:11:8066359:8067118:-1 gene:Vigun11g049850.v1.2 transcript:Vigun11g049850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLRYHQPINAPHPIFGSNTSIPTTDVAPSPSTHILRTHSSLTINDTNVQEEVGDRPMIRLVGGGFYP >Vigun05g060800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5231035:5234451:-1 gene:Vigun05g060800.v1.2 transcript:Vigun05g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKERKLTVIGTVDPVNVVSKLRKYWQTDIVSVGPAKEPEKKEEPKKEEPKKEEEKKEEGKKEGEGKKEEEKKEEPKKEEKKEEKKEEEKKEEEKKKEAPAPPPDPVLELVKAYRAYNPHMTTYYYVQSMEENPNACAIC >Vigun05g060800.1.v1.2 pep primary_assembly:ASM411807v1:5:5231036:5234447:-1 gene:Vigun05g060800.v1.2 transcript:Vigun05g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLKLDLPDDKAKQKALKTVSTLSGIDAISMDMKERKLTVIGTVDPVNVVSKLRKYWQTDIVSVGPAKEPEKKEEPKKEEPKKEEEKKEEGKKEGEGKKEEEKKEEPKKEEKKEEKKEEEKKEEEKKKEAPAPPPDPVLELVKAYRAYNPHMTTYYYVQSMEENPNACAIC >Vigun08g170600.3.v1.2 pep primary_assembly:ASM411807v1:8:34118393:34123330:1 gene:Vigun08g170600.v1.2 transcript:Vigun08g170600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCNMLVHMIHMIPKNKNKVVPTDASKLPDFQKIIIRTSEFEAALKELMYISSDNDNRLSNFAENVEVHFAFKKKTEILAKARNLLLECDFSIPQEYTRDGSIWKNDETSVQSSSHVVNLLFLSERCLVSKAAKELMGLIHQTLQDVCLSSTRVALEFYQTARDAVLLYEVVVPIKLERQLSGINQVAVLLHNDCLYLSQEILGFAFEYRTDFPSSIKEHAVFVDLAPRFQLLAEEILQRQVHLVIYNLKEAIDGADGFQNTHQMKQFESAKFSIEQVVFILEKIHIIWEPLLLPSTYRKSMCTVLESVFSRIARDILLLDDIAADETLQLQRLIHLMLENLSSLLESLAPVEQTLQEFPAQSPEDLIPSLRKIRKLSELLDMPLKSITSSWENKELFSCGFTVTEVEDFIKAIFTDSPLRKACLWRIQNASF >Vigun08g170600.2.v1.2 pep primary_assembly:ASM411807v1:8:34116257:34123330:1 gene:Vigun08g170600.v1.2 transcript:Vigun08g170600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVRFDGDLNQVEVKYQLEVENVNRIQLQTVLEAMDVVSILEYGLAKVADLMIKYVITPFVNHGRPLSFLEELHQESAVLKIVASPDIKFEFLDGEFLYSGILLFIKFVYRSICLQKSSWMQCFGRLTWPRISDLIISSFLSKVVPTDASKLPDFQKIIIRTSEFEAALKELMYISSDNDNRLSNFAENVEVHFAFKKKTEILAKARNLLLECDFSIPQEYTRDGSIWKNDETSVQSSSHVVNLLFLSERCLVSKAAKELMGLIHQTLQDVCLSSTRVALEFYQTARDAVLLYEVVVPIKLERQLSGINQVAVLLHNDCLYLSQEILGFAFEYRTDFPSSIKEHAVFVDLAPRFQLLAEEILQRQVHLVIYNLKEAIDGADGFQNTHQMKQFESAKFSIEQVVFILEKIHIIWEPLLLPSTYRKSMCTVLESVFSRIARDILLLDDIAADETLQLQRLIHLMLENLSSLLESLAPVEQTLQEFPAQSPEDLIPSLRKIRKLSELLDMPLKSITSSWENKELFSCGFTVTEVEDFIKAIFTDSPLRKACLWRIQNASF >Vigun08g170600.1.v1.2 pep primary_assembly:ASM411807v1:8:34116257:34123330:1 gene:Vigun08g170600.v1.2 transcript:Vigun08g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSKRSHHANTPHKNTHSKRTLAVSAVFATARAPSMESLFDTINVRDLLSAQDLSDPTSPLSAPDLHLLIQRLESQSLQIRSQVQSYLVSHREDFARLFSLCNDAVSQTREVSDDVAAIIRLLSDRPIDAEVREVVSEMKVKKEELKVKKELLGLVGTVVALNKGLESVKEALRSGRFEFAAQGLKELKVALRIGEEDDREPLVYGLLRKEWSQCFEEIQEVLVKYMEKAVRFDGDLNQVEVKYQLEVENVNRIQLQTVLEAMDVVSILEYGLAKVADLMIKYVITPFVNHGRPLSFLEELHQESAVLKIVASPDIKFEFLDGEFLYSGILLFIKFVYRSICLQKSSWMQCFGRLTWPRISDLIISSFLSKVVPTDASKLPDFQKIIIRTSEFEAALKELMYISSDNDNRLSNFAENVEVHFAFKKKTEILAKARNLLLECDFSIPQEYTRDGSIWKNDETSVQSSSHVVNLLFLSERCLVSKAAKELMGLIHQTLQDVCLSSTRVALEFYQTARDAVLLYEVVVPIKLERQLSGINQVAVLLHNDCLYLSQEILGFAFEYRTDFPSSIKEHAVFVDLAPRFQLLAEEILQRQVHLVIYNLKEAIDGADGFQNTHQMKQFESAKFSIEQVVFILEKIHIIWEPLLLPSTYRKSMCTVLESVFSRIARDILLLDDIAADETLQLQRLIHLMLENLSSLLESLAPVEQTLQEFPAQSPEDLIPSLRKIRKLSELLDMPLKSITSSWENKELFSCGFTVTEVEDFIKAIFTDSPLRKACLWRIQNASF >Vigun03g250700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41665296:41668049:1 gene:Vigun03g250700.v1.2 transcript:Vigun03g250700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNIGNIIGQLFAGLNCYVRRCMLAILSVGPVPSHIAFIMDGNRRYAKKKNMEEGDGHKAGFNVLTSLLRYCYELGVRYVTVYAFSIDNFKRKSTEVQSLMELMQKKIEELLEQESLINEYGVRLHFIRDMQLLTEPVRAAVEKATRVTAHNNQRVLLICVAYTSRHEIVHAVQECCKEKLNEVQTRKKVKVTNSAFSRIDQGLKGNDFDLLSQDSCKEYLNAIKARSSEVECAARKDGLSESNVENDIGNYSEAQMISYNGLVEMTEERKHKQDEVPFIKLADVEKNMYMAVAPDPDILIRTSGEPRLSNFLLW >Vigun10g038150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5199140:5199454:1 gene:Vigun10g038150.v1.2 transcript:Vigun10g038150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYTINLIVNIFIYCIFIFVLFYFIFLFCFYFLFFISLVLFSLYSTIFFLLTFTFTLFYFIFLFFVLLFIFIFLYFLSFFTFPSRSQTVFLKKRKKKTKQEGE >Vigun05g179800.15.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.10.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.9.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.1.v1.2 pep primary_assembly:ASM411807v1:5:34038470:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.4.v1.2 pep primary_assembly:ASM411807v1:5:34038536:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.2.v1.2 pep primary_assembly:ASM411807v1:5:34038469:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRQIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.14.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRFRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.7.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPMNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.6.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.11.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.5.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.3.v1.2 pep primary_assembly:ASM411807v1:5:34038536:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPMNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.13.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067171:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPMNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.12.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAKLTLLRELRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPMNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun05g179800.8.v1.2 pep primary_assembly:ASM411807v1:5:34038537:34067365:-1 gene:Vigun05g179800.v1.2 transcript:Vigun05g179800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKMTPSQLLFLSLLLPCFTSLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWSVTMEKDKANYVRCNCSIPTDTYCHVVSITLKAQNLTGTLPSELTGLPHLEEIDLTRNYLNGTIPIAWGSSKLRIISLLGNRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRFRLNDNNFSGSIPSFIQRWTNLEILEIQGSGLSGPIPSQISLLHNLYDLRITDLINGSDSTFPQINNMTNLKTLILRNCQINDELPSYLGYMKGIDTTMQTLDLSFNKLRGNIPENIGNLSKLSHVYFTGNQLTGPLPKWVAAGGKPIDLSYNNFTDKNSSMACQENKEVNWFASFPMSQNGPITCLSRENCTRLFDSFHINCGGEQQRSNENIMYDEDFYPSGAATSEKPKENWAFSNTGHFLGGHNELAYIQQSQNTLSMPDSVLYQTARVSPISLTYYGMCLKNGDYIVSLHFAEIMFTDDNSYSSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNITKRISTIVTNNSLEIRFYWAGKGTTSIPYRSVYGPLISAISVTHVNTTVPNNRHPNISGITIGVVIGIVLSAMVFVILILLGWRLHFAKRNSLAKELNHLNLQVGLFTMRQIKVATNNFDISNKIGEGGFGPVYKGTLSDDTIIAVKKLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLAHALFRNEESCLQLDWPTRYKICVGIARGLVFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADIYSFGVVILEIVTGNSNTIPRPKQEALHLLDWAHFLKEKGNLMELVDRRLGSNFNEKEVMMMIKVGLLCTNAIANLRPSMSTVLGMLEGKTVIPEFISDSSEIMDENKLEAMRQFYSQMEENQSNETHTNHSSSKDGSWIASPSSTSDLYPLHTDSSYWEKRN >Vigun09g229700.1.v1.2 pep primary_assembly:ASM411807v1:9:40145155:40149454:-1 gene:Vigun09g229700.v1.2 transcript:Vigun09g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSRSFSNSLRLDGDGDHENDTVLDPPTTSLRDPLQPQARENEFRSESEEEDEETEGRGVKEDLDEIKQTLTRQFWGMASFLAPPSTDSHSHSLSLAHTQSDPSSFISDQFKHRSLSPNEEPQLDEAAVSNRSNSISLGSDSEGDCGPEQRAVGITEEVLTFAVNIAMHPETWLDFPIDEEDDTDDFDMSDAQKQHAAVVERLIPRLAALRIELCPCFMSESYFWKVYFVLLHSRLNKEDAGVLSTSQITAARAMWMQELHKQTKPDFEIFGRSASYPKDNARYNELTPSLLNDDCSDDMPNPTYGYRTTSFSVRTDYETDKYMVETSGTHLTDKSVIEERSIIKTDNKDPKCGRPSQIIIKDYDEEDDEWPEEDSDLGEYGGTTHPIVNEEDISFSDLEDDDYGIKPVTLSTGGLKLV >Vigun05g050400.2.v1.2 pep primary_assembly:ASM411807v1:5:4331209:4337972:1 gene:Vigun05g050400.v1.2 transcript:Vigun05g050400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVVKRGAAAKRVSRGSLKAAPENPGEPETAEAGAKNHAENSAVDVGGEEKHVDVDQEPPEARNGSATVENNEEEVKESIDEYEKGEHLDLEDNYPEYEAEEYGGVDYDEKEIEQDEGQDEADEVEEEPEEIIGDEEGDTGDEEVEYVYEEVEDDDDGEHAGEEHEHAQVPDVEEEEHREVVKERRKRKEFEVFVGGLDKDATEHDLKKVFSKVGVVTEVRLMINPQTRRNKGFAFLRFETVEQAKRAVVELKNPVINGKQCGVTPSQDSDTLYLGNICKTWKKEALKEKLKHYGVENVEDLTLVEDDTNEGMNRGFAFLEFSSRSDAKEAYRRLQKRDVVFGVDKPAKVSFADSFIDLGDEIMSQVKTVFIDSLPPSWDEDYVRDLLKKYGEIEKIELAKNMPAARRKNYGFVTFSTHAAAVECSDSITSAGLGEGDKKAKVRARLSRPLSKPLQRGRGKHNNHGDFRSGRNSGRLARPSRGRPAPRSYPTRVVRGIGSRAPPVRPVSVRSRRPVPSMLDRVRPVAPPARTFERRLTAPAYPKSGMKRDYSRREDLPPPRSRVAANYGSRVASQRHTSYRDYPARGSDYPELHRSTSRAAPKRGYVDDGYGQRFERPPPSHLSYREGRPRDYETIAGSKRPYTAIDDVPPRYDTGAHQSRSRLDYEYGGSTSQYGDAYGDRLGRSSLGYSGSRTSISNQELHGTYGSRQGMSYGGGSFGGSDGGMYTSSYGGDYESRGSDGGGRSYSSMYSGRGVGGGGSSYMGGGGSGSYY >Vigun05g050400.1.v1.2 pep primary_assembly:ASM411807v1:5:4331209:4337972:1 gene:Vigun05g050400.v1.2 transcript:Vigun05g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVVKRGAAAKRVSRGSLKAAPENPGEPETAEAGAKNHAENSAVDVGGEEKHVDVDQEPPEARNGSATVENNEEEVKESIDEYEKGEHLDLEDNYPEYEAEEYGGVDYDEKEIEQDEGQDEADEVEEEPEEIIGDEEGDTGDEEVEYVYEEVEDDDDGEHAGEEHEHAQVPDVEEEEHREVVKERRKRKEFEVFVGGLDKDATEHDLKKVFSKVGVVTEVRLMINPQTRRNKGFAFLRFETVEQAKRAVVELKNPVINGKQCGVTPSQDSDTLYLGNICKTWKKEALKEKLKHYGVENVEDLTLVEDDTNEGMNRGFAFLEFSSRSDAKEAYRRLQKRDVVFGVDKPAKVSFADSFIDLGDEIMSQVKTVFIDSLPPSWDEDYVRDLLKKYGEIEKIELAKNMPAARRKNYGFVTFSTHAAAVECSDSITSAGLGEGDKKAKVRARLSRPLSKPLQRGRGKHNNHGDFRSGRNSGRLARPSRGRPAPRSYPTRVVRGIGSRAPPVRPVSVRSRRPVPSMLDRVRPVAPPARTFERRLTAPAYPKSGMKRDYSRREDLPPPRSRVAANYGSRVASQRHTSYRDYPARGSDYPELHRSTSRAAPKRGYVDDGYGQRFERPPPSHLSYREGRPRDYETIAGSKRPYTAIDDVPPRYDTGAHQSRSRLDYEYGGSTSQYGDAYGDRLGRSSLGYSGSRTSISNQELHGTYGSRQGMSYGGGSFGGSDGGMYTSSYGGDYESRGSDGGGRSYSSMYSGRGVGGGGSSYMGGGGSGSYY >Vigun05g050400.3.v1.2 pep primary_assembly:ASM411807v1:5:4331209:4337972:1 gene:Vigun05g050400.v1.2 transcript:Vigun05g050400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQLLMLEGKKNTLTWTKSLRKLEMGRLRWKINGKQCGVTPSQDSDTLYLGNICKTWKKEALKEKLKHYGVENVEDLTLVEDDTNEGMNRGFAFLEFSSRSDAKEAYRRLQKRDVVFGVDKPAKVSFADSFIDLGDEIMSQVKTVFIDSLPPSWDEDYVRDLLKKYGEIEKIELAKNMPAARRKNYGFVTFSTHAAAVECSDSITSAGLGEGDKKAKVRARLSRPLSKPLQRGRGKHNNHGDFRSGRNSGRLARPSRGRPAPRSYPTRVVRGIGSRAPPVRPVSVRSRRPVPSMLDRVRPVAPPARTFERRLTAPAYPKSGMKRDYSRREDLPPPRSRVAANYGSRVASQRHTSYRDYPARGSDYPELHRSTSRAAPKRGYVDDGYGQRFERPPPSHLSYREGRPRDYETIAGSKRPYTAIDDVPPRYDTGAHQSRSRLDYEYGGSTSQYGDAYGDRLGRSSLGYSGSRTSISNQELHGTYGSRQGMSYGGGSFGGSDGGMYTSSYGGDYESRGSDGGGRSYSSMYSGRGVGGGGSSYMGGGGSGSYY >Vigun09g122900.1.v1.2 pep primary_assembly:ASM411807v1:9:27403186:27412966:-1 gene:Vigun09g122900.v1.2 transcript:Vigun09g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSARDYINRILQDISGMKVLILDSQTIGVVSVVYSQSELLHKEVFLVELVDSISESSESMLHLKAVYFLRPTSENIQFLRRQLASPRFGEYHLFFSNILKDTQIHILADSDEQEVVQQVQEFYADFVAIDPYHFTFHVPSHYIYMLPAVVDPSTVQRFCDRVVEGLAAVFLALKRRPVIRYQRTSDIAKRIAQEATKLMYQEESGLFDFRRMEVSPLLLVIDRREDPVTPLLNQWTYQAMVHELIGIQDNKVDLKSIGKFPNDQEEVVLSSEQDPFFKANMYENFGDIGMNIKRMVDEFQQVSKSNQNIQTIEDMAKFVDNYPEHRKMHGNVTKHVTLVTEMSKIVDERKLMSVSQTEQELACNGGQGAAFEAVTNLLNNESVSDLDRLRLVMLYALRYEKDSPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRMGDLFGNRDLMNIARNMARGLKGVENVYTQHQPLLFQIMESIVKGRLRDVDYPFVGNHYQQGRPQDVIIFIVGGTTYEESRSVALQNGNNTGIRFILGGSSVLNSKRFLKDLEEAQRVARSSTTV >Vigun03g399900.1.v1.2 pep primary_assembly:ASM411807v1:3:60645447:60647710:1 gene:Vigun03g399900.v1.2 transcript:Vigun03g399900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQVTASLRNLSTFERFQPKTTLRFHSVEHVRIGTLTQNSFPSLVVKAATVVAPKHTTVSPLGDRVLVKIKDAEEKTAGGILLPATAQSKPQGGEVVAVGEGKSVGKNKVDISVKTGAEVVYSKYAGTEVEFNDSKHLILKDDDIIGILETDEVKDLKPLNDRVLIKVADAEEKTAGGLLLTEATKEKPSIGTVIAVGPGPLDEEGNRKPLSIVPGNTVLYSKYAGNDFKGKDDSNYIALRASEVMAILS >Vigun03g399900.2.v1.2 pep primary_assembly:ASM411807v1:3:60644959:60647710:1 gene:Vigun03g399900.v1.2 transcript:Vigun03g399900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQVTASLRNLSTFERFQPKTTLRFHSVEHVRIGTLTQNSFPSLVVKAATVVAPKHTTVSPLGDRVLVKIKDAEEKTAGGILLPATAQSKPQGGEVVAVGEGKSVGKNKVDISVKTGAEVVYSKYAGTEVEFNDSKHLILKDDDIIGILETDEVKDLKPLNDRVLIKVADAEEKTAGGLLLTEATKEKPSIGTVIAVGPGPLDEEGNRKPLSIVPGNTVLYSKYAGNDFKGKDDSNYIALRASEVMAILS >Vigun03g158900.2.v1.2 pep primary_assembly:ASM411807v1:3:17465238:17467927:1 gene:Vigun03g158900.v1.2 transcript:Vigun03g158900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIQRKIYLYNVTFGLYMLDWWERCTFNILVIVLMCFVVRYVTQFFKRYVW >Vigun03g158900.1.v1.2 pep primary_assembly:ASM411807v1:3:17465239:17467914:1 gene:Vigun03g158900.v1.2 transcript:Vigun03g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIQRKIYLYNVTFGLYMLDWWERCTFNILVIVLMCFVVRYVTQFFKRTTTYISAENI >Vigun09g068600.1.v1.2 pep primary_assembly:ASM411807v1:9:7326483:7327800:1 gene:Vigun09g068600.v1.2 transcript:Vigun09g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEMEVVKGVDLKRYMGRWYEIASFPSFFQPKNGVNTRATYTLNEDGTVHVLNETFSNGKRDSIEGTAYKADPNSDEAKLKVKFYLPPFLPIIPVVGNYWVLYLDQDYQVALIGEPTRKYLWILCRQTHLDEEIYNELVERAKEEGYDVSKLHKTPQSDPPPESEVVPPDTKGIWWIKSLFGK >Vigun05g289300.1.v1.2 pep primary_assembly:ASM411807v1:5:47590783:47593612:-1 gene:Vigun05g289300.v1.2 transcript:Vigun05g289300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQCCEESEATVGSWECWFDDACIVDMDYFVKTLSSIKQKGIRADLIGSIITHYASIWLPDLSASSENGVTTHFQSPESVTNSWMKKRFFVETLVNVLPPEKDSVPCNFLLRLLRTANMVRVDATYRAEIENRISSQLDQASLKELMIPSFSHTCGTLLDVELVLRLVKRFMSMDRDGAALVKVAKLVDCYLAEAAVDANLSLSEFHALAGVLPSHARATDDGLYRAIDTYLKAHPGVSKQERKGLCRVVDSRKLTPEASLHAAQNERLPVRAVIQVLFSEQAKLNRQVDWSGSFSLRSPNGGLDPPGRCLSKREMNAQQVEIRKLKEDVCRLQNQLNAMKVQMERLVVKKKGLFKWKMLGMPTFGRNVGGVERIEQEEEEAERETGFGRQTPMDMKTSLVKSKIPQKWRKSMS >Vigun11g137200.1.v1.2 pep primary_assembly:ASM411807v1:11:34651298:34655873:1 gene:Vigun11g137200.v1.2 transcript:Vigun11g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAESSDSKSVKKDFSTAILERKKSSNRLVIDEAVTDDNSVVSMHPQTMEKNQFFNGDTILIKGKKRRNTVCIVLADEKCEEPKLRMNKVVRSNLRVLLGDVVSVHSCSDVPYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPIRTGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDENIDAEILNSMAVSNEHFLTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKACMRKSPVSKEVDLGALAKYTQGFSGADITEICQRACKYAIRENIEKDIELEMKRKENPEAMDEDNEEDVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSDFRFPETGGSTGGSDPFATSAAVAEDDLYS >Vigun10g165600.1.v1.2 pep primary_assembly:ASM411807v1:10:38467558:38469581:-1 gene:Vigun10g165600.v1.2 transcript:Vigun10g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFRTLFLMLLAILSLLLFEDWVSTPSCNNTDNIVNNNLKVMMVADLLLSDSGFVNRVFRDYYMSKFFRKSFEVLRPDLLVVLGDLSARGSGLTRSKWVSLLRRFYRVLGPFVGLPFHAVLGDRDVGECGEIDADRVSWIANKFPGLDSSGCAAFEIGNVSFVSLNAVALLCGGSGGLRFEVEKVIERESVGVHMGTERVVKRVNGSGEFADEDVLSGSGPVVLLHLPLDQARNERFGSVGDFEKYWSSSMDGLNVVSESRGDLYKLLHTLPPNASEYILQALKPRIIFSGHSYTFSDHVHGDRTREISVPAMSWNARDDPGFVIASFQKTGRAVSISHCSLARESHILIVYICVMLLFCLVCLKG >Vigun03g356900.1.v1.2 pep primary_assembly:ASM411807v1:3:55844004:55846507:1 gene:Vigun03g356900.v1.2 transcript:Vigun03g356900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAMLLRSSSTPILNSCIPNPNPNPSLKDSPHEHEILHRIPRTRSLTLSASSSSLSPVDASPSRMTRALSETDLSARSKTASFGSALFSFSESDERESASTEDGGSSGGGGWDNGDGGGSGFWDSNNGNDSTDLYYRTMIEANPGNPLLLGNYARYLKEVLGDYVKAEEYCGRAILANPNDGKVLSMYADLIWESHKDASRAETYFDQAVKAAPDDCYVLASYAHFLWDAEEEEDEVEEDSCEKSGGFLHGDAPPPPPLAAAS >Vigun09g044500.1.v1.2 pep primary_assembly:ASM411807v1:9:4152763:4155461:-1 gene:Vigun09g044500.v1.2 transcript:Vigun09g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQTYLLSSRPFSFNGVSVDTTVRAPFSVRVSTSATSTPVAGDTTPAPVISELHKPDFTLPSQSLVAAAPPIELAKNLGFRPNPELGLLSYLFVLSMALSAFFSVAMVSFPTLIAFGRLGASVKKLTKVVSVEVPGTLSSLKLSSRELNELTQQLSLLRQHKTGGVPMGTKGMNTVRSKSSSKKNPAS >Vigun09g044500.3.v1.2 pep primary_assembly:ASM411807v1:9:4152763:4155461:-1 gene:Vigun09g044500.v1.2 transcript:Vigun09g044500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQTYLLSSRPFSFNGVSVDTTVRAPFSVRVSTSATSTPVAGDTTPAPVISELHKPDFTLPSQSLVAAAPPIELAKNLGFRPNPELGLLSYLFVLSMALSAFFSVAMVSFPTLIAFGRLGASVKKLTKVVSVEVPGTLSSLKLSSRELNELTQQLSLLRQLMFF >Vigun09g044500.2.v1.2 pep primary_assembly:ASM411807v1:9:4152763:4155461:-1 gene:Vigun09g044500.v1.2 transcript:Vigun09g044500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQTYLLSSRPFSFNGVSVDTTVRAPFSVRVSTSATSTPVAGDTTPAPVISELHKPDFTLPSQSLVAAAPPIELAKNLGFRPNPELGLLSYLFVLSMALSAFFSVAMVSFPTLIFCKLL >VigunL016800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:879146:905055:1 gene:VigunL016800.v1.2 transcript:VigunL016800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PIRNITKSPALRGCPQHRGTCTKVYKGTKGDLSVNFSTITPKKQNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPSVRYHIVRGTLDVVGVKDRQQGRS >Vigun01g196400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37330103:37331100:-1 gene:Vigun01g196400.v1.2 transcript:Vigun01g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFNKHTNVILNISQFTFPLTYSLMGNQIATDHATAKIIYWDGSVQEFHQSLTVAELMMEHPQQVVLDFHSAVNQKRPTPLPADQKLEMKKTYVMVPVKQGKPVGLSTEDSRRILFIVNSALCSKFFVSSSGFLPWLAGLFRSERGVAVLQEKEMENAEEKYGFCEFLPEMAEGRPEYLSRQLSGKGWKPSLDTIKEKKVNTKVSRWLLFLKTFTAAKI >Vigun10g176000.1.v1.2 pep primary_assembly:ASM411807v1:10:39452116:39460796:1 gene:Vigun10g176000.v1.2 transcript:Vigun10g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGSSKIGRGAPNRRSFPPPPAPHRSSAPGRRPSLGASSRNAAKETASTAAVEETFSLTSGSNPLAFSMIIRLAPDLVEEIRRVESQGGTARMKFGPNPHNTGGNIIDVGGKEYRFTWSRELGDLCDIYEERKSGEEGNGLLVESGCAWRKLNVQRILDESTKNHVKRRSEEAERKMKSRKAIVLEPGNPPMKSQLKALAAVEATSWKSYNKKKEAAALKKRKVETLQVGGPPKSSHRSGLTSTNTNTKGRNSSPLPSPPDPFAVPSSPPVVANMSKSFEDAMPVQMTGKQDTNVLSEKEISTRTNNAVRNTPGGKGPNGSKPADLQGMLISLLKDKPSGMTLKALEKAVGDTLPNSIKKIEPIIKKIAKYQAPGRYILKPEVDLESLNKPQIESGSSPDDSHSQMPTREEFHDQTSAPQGGSEEKVPNVDMEETVNVKSKVEEDTNSLEKIDAQHTSPDILGDKKGSDHSEGQAGSSSDSSDSDSDSDSSDSGTDSGSHSRSRSPAGSGSGSSSESESDASSSSKEGLEGSDEDVDIMTSDDEKESKQKAEVSDQRMPLPIQGNSPDGRSMQNEVDEKQDGNESDPIEIEKDLPEEPEAELDLPPATIPNRGGKYAEEARPFSIDYEQLQERQNYIGSLFDEKENDVKDNSRHEQSDSSDRFSKGKHKRVSDVKNVDEKSAKRLKAEMSPSIDAQTLKNSRNLSPYEFTEDIGKGPSVQVLNRADRQANLSVGLQKGPNRAFPGKSSSDFPQKSSAQTPLENPSYSWEKSDKQGESVRHSKKHSANDFPAREASSVQKDKSHKDASHEDINASEKKVSRNPRDGSNGSKEPLFMDSYYQEQGEMVGKSRERRQSSQSHLGTSPKESNRMILDQSPVTNGQGVSLQRELSDLELGELRESTPDETHVAKQFERKGSFKQLEKKGNTSEDRNLDITRVKPSLKANSDSGKQSSAFVNSGFPSNLDSTYKKNSDNHFEDSTKSRSRVMQTHSQHLKADNTEVGSQNKLVETSSKYRTNESGVNRDTDLEGRSESNRGIPANASKQQDGKGGIVFYPAKESKRQTSNSVEEIADGGKDSGFADRNHSDQKKRESSSDENSCSYSKYEKDEPELKGPIRSFSQYKEYVEEFRDKYESYNSLHKILDSYRDEFQKLGDDLEHAKARDTDRYYDLLGQLKESFRRCEPRHKRLKKIFVVLHLELENIKRRITDFANSYNK >Vigun10g176000.2.v1.2 pep primary_assembly:ASM411807v1:10:39452711:39460796:1 gene:Vigun10g176000.v1.2 transcript:Vigun10g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKAIVLEPGNPPMKSQLKALAAVEATSWKSYNKKKEAAALKKRKVETLQVGGPPKSSHRSGLTSTNTNTKGRNSSPLPSPPDPFAVPSSPPVVANMSKSFEDAMPVQMTGKQDTNVLSEKEISTRTNNAVRNTPGGKGPNGSKPADLQGMLISLLKDKPSGMTLKALEKAVGDTLPNSIKKIEPIIKKIAKYQAPGRYILKPEVDLESLNKPQIESGSSPDDSHSQMPTREEFHDQTSAPQGGSEEKVPNVDMEETVNVKSKVEEDTNSLEKIDAQHTSPDILGDKKGSDHSEGQAGSSSDSSDSDSDSDSSDSGTDSGSHSRSRSPAGSGSGSSSESESDASSSSKEGLEGSDEDVDIMTSDDEKESKQKAEVSDQRMPLPIQGNSPDGRSMQNEVDEKQDGNESDPIEIEKDLPEEPEAELDLPPATIPNRGGKYAEEARPFSIDYEQLQERQNYIGSLFDEKENDVKDNSRHEQSDSSDRFSKGKHKRVSDVKNVDEKSAKRLKAEMSPSIDAQTLKNSRNLSPYEFTEDIGKGPSVQVLNRADRQANLSVGLQKGPNRAFPGKSSSDFPQKSSAQTPLENPSYSWEKSDKQGESVRHSKKHSANDFPAREASSVQKDKSHKDASHEDINASEKKVSRNPRDGSNGSKEPLFMDSYYQEQGEMVGKSRERRQSSQSHLGTSPKESNRMILDQSPVTNGQGVSLQRELSDLELGELRESTPDETHVAKQFERKGSFKQLEKKGNTSEDRNLDITRVKPSLKANSDSGKQSSAFVNSGFPSNLDSTYKKNSDNHFEDSTKSRSRVMQTHSQHLKADNTEVGSQNKLVETSSKYRTNESGVNRDTDLEGRSESNRGIPANASKQQDGKGGIVFYPAKESKRQTSNSVEEIADGGKDSGFADRNHSDQKKRESSSDENSCSYSKYEKDEPELKGPIRSFSQYKEYVEEFRDKYESYNSLHKILDSYRDEFQKLGDDLEHAKARDTDRYYDLLGQLKESFRRCEPRHKRLKKIFVVLHLELENIKRRITDFANSYNK >Vigun11g137933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34704551:34708072:1 gene:Vigun11g137933.v1.2 transcript:Vigun11g137933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRYRLSLIAYRLSLIAYRLSLIAFYRLSLIAYRLSLFIAFFFFRYRLSLIVSYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRFYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAFFFSLIFFFFFFFFFFFAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIAYRLSLIIPLSLIIPLSLIAYRLSSPLSLIAYHPPHRLSLIIPSSLIAYHPLIAYRLSSPHRLSLIIPSSLIAYHRLSLIIPSSLIAYHRLSLIIPSSLIAYHRLSLIANAYHRLSLIIPSSLIPYHPLIAYPLSSPHRLSLIIPLPLIILLSLIIPYRLSLIFPLSLIPYHPLIAYPLSSPYRLSLIAYRLSSPYLAYRLSSPYLAYCLSLITYHPLISLIAYHPLISLIAYRLSLIIPLSLIAYHPLISLIAYHPLISLIAYRLSLIIPLSRLLLIIPLSRLSLIAYHPLIAYRLSLIAYRLSLIAYHPLILLIAYHPLISLITYHPLIAYRLSLIAYHPLISLIAYHPLISLITYHPLIAYRLSLIAYYPLIAYRLSSPYRLSLIIPLSFIIYRLSLIIYHPLIAYGLSSPYRLWLIIPLLLIAYHPLIAYRLSSPYSLSLIAYHPLIAYHLLIVYHPLIFYHHLIAYHLLIAYHPLIAYHALIAYRLSCPYRLSLIIPLSHIANAYHPLIAYRLSSLYRLSSPHRLSLIIPSSLILYHPLTAYHLLITYHPLSLIPYIPLIAYPLSSPYRLSLIAYRLSLIIPLTLIAYHPLISLIAYHPLISLIAYRLSLIIPLSRLSLIIPLSRLSLIAYHPLIAYRLSLIIPLLLIAYHPLIAYRLSSPYSLSLIAYHPLIAYRLSLIISL >Vigun03g330100.1.v1.2 pep primary_assembly:ASM411807v1:3:52722733:52729453:1 gene:Vigun03g330100.v1.2 transcript:Vigun03g330100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSPPFSVSNPSPFSPTLLNPTTTLRQLFFATSPKRFFQFQSRATKFKELIPINPSLTVEKGKYSYDVETLINRLTALPPRGSIARCLDPFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQLWCKPNEHIYTIMITLLGRESLLDKCREVFDEMPSNGVARTVYAYTAIINAYGRNGQFQASLELLDTMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLCACANRGLGDEAEMVFRTMNESGIVPDINTYSYLVQTFGKLNRLEKVSDLLREMESGGNLPDITSYNVLLEAYAELGSIKEAMGVFRQMQAAGCVANAATYSILLNLYGKHGRYDDVRELFLEMKVSNTDPDVGTYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGIVPTSKAYTGVIEAFGQAALYEESLVAFNTMKEVGSNPTLETYNSFVHAYARGGLYKEAEAILSRMNESGLKRDVHSFNGLIEAFRQAGQYEEAVKAHVEMEKANCEPNELTLEAVLSVYCTAGLVDESEEQFQEIKASGLLPSVMSYCMMLALYAKNDRLNDAYNLIDEMIKIRVSDIHQVIGQMIKGDFDDESNWQIVEYVFDKLSSEGCGLGVRFYNALLEALWWMFQRERAARVLNEASKRGLFPELFRRSKLVWSVDVHRMSEGAALTALSVWLNNMQEMFLNSEDLPVIATVVVVRGEMEKTTDAQDFPVAKAAMSFLQDNVPSSSFTFPEWNKGRIVCQQSQLRQILTGTESSSSKKKMDKLISLSNTPLTTAGAVASKPDGKANDVDSRTDSTRTELLTSAV >Vigun03g330100.2.v1.2 pep primary_assembly:ASM411807v1:3:52722869:52729447:1 gene:Vigun03g330100.v1.2 transcript:Vigun03g330100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSPPFSVSNPSPFSPTLLNPTTTLRQLFFATSPKRFFQFQSRATKFKELIPINPSLTVEKGKYSYDVETLINRLTALPPRGSIARCLDPFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQLWCKPNEHIYTIMITLLGRESLLDKCREVFDEMPSNGVARTVYAYTAIINAYGRNGQFQASLELLDTMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLCACANRGLGDEAEMVFRTMNESGIVPDINTYSYLVQTFGKLNRLEKVSDLLREMESGGNLPDITSYNVLLEAYAELGSIKEAMGVFRQMQAAGCVANAATYSILLNLYGKHGRYDDVRELFLEMKVSNTDPDVGTYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGIVPTSKAYTGVIEAFGQAALYEESLVAFNTMKEVGSNPTLETYNSFVHAYARGGLYKEAEAILSRMNESGLKRDVHSFNGLIEAFRQAGQYEEAVKAHVEMEKANCEPNELTLEAVLSVYCTAGLVDESEEQFQEIKASGLLPSVMSYCMMLALYAKNDRLNDAYNLIDEMIKIRVSDIHQVIGQMIKGDFDDESNWQIVEYVFDKLSSEGCGLGVRFYNALLEALWWMFQRERAARVLNEASKRGLFPELFRRSKLVWSVDVHRMSEGAALTALSVWLNNMQEMFLNSEDLPVIATVVVVRGEMEKTTDAQDFPVAKAAMSFLQDNVPSSSFTFPEWNKGRIVCQQSQLRQILTGTESSSSKKKMDKLISLSNTPLTTAGAVASKPDGKANDVDSRTDSTRTELLTSAV >Vigun02g064250.1.v1.2 pep primary_assembly:ASM411807v1:2:21299989:21300562:-1 gene:Vigun02g064250.v1.2 transcript:Vigun02g064250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLGELLDSANNEERKKNPFHVFELDLSAMKSCFTYSG >Vigun10g159700.2.v1.2 pep primary_assembly:ASM411807v1:10:37874318:37880684:-1 gene:Vigun10g159700.v1.2 transcript:Vigun10g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAMKC >Vigun10g159700.1.v1.2 pep primary_assembly:ASM411807v1:10:37874318:37876862:-1 gene:Vigun10g159700.v1.2 transcript:Vigun10g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAMKC >Vigun10g159700.4.v1.2 pep primary_assembly:ASM411807v1:10:37874318:37877129:-1 gene:Vigun10g159700.v1.2 transcript:Vigun10g159700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAMKC >Vigun10g159700.7.v1.2 pep primary_assembly:ASM411807v1:10:37874318:37880684:-1 gene:Vigun10g159700.v1.2 transcript:Vigun10g159700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAMKC >Vigun10g159700.6.v1.2 pep primary_assembly:ASM411807v1:10:37874318:37880684:-1 gene:Vigun10g159700.v1.2 transcript:Vigun10g159700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAMKC >Vigun07g237900.1.v1.2 pep primary_assembly:ASM411807v1:7:35952603:35955829:-1 gene:Vigun07g237900.v1.2 transcript:Vigun07g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKYVALLLACVVVGVCVEAFTWTDEDRKECTKICVERCIFPQKFCDFWCEGNCHSPVIASMDDDVPKKYPVPTEASYKAYQASHPEESSSKTKE >Vigun10g150800.1.v1.2 pep primary_assembly:ASM411807v1:10:37002145:37003392:-1 gene:Vigun10g150800.v1.2 transcript:Vigun10g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMSFIQFFALFLVISGVSMTREVVGEKECLCEKVVQYGDCDFNACAMLCEYMIKSKLISSQCVIAETPQCVCFYTC >Vigun02g048250.1.v1.2 pep primary_assembly:ASM411807v1:2:18810584:18811462:-1 gene:Vigun02g048250.v1.2 transcript:Vigun02g048250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVFDSLEHSRKNRTRIDNCITTSHLLKCSLWTLQFNLTEMWDGVSKFDGKALPYYSTEELQLMRQKFICD >Vigun04g090600.1.v1.2 pep primary_assembly:ASM411807v1:4:16415477:16420299:-1 gene:Vigun04g090600.v1.2 transcript:Vigun04g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSFAPLAILSFVVVIGMIVCGAEARPRAFFVFGDSLVDSGNNNYLATTARADAPPYGIDYAPSHSPTGRFSNGFNIPDLISQKLGAESTLPYLSPELRGNRLLVGANFASAGIGILNDTGIQFVNVIRMYRQLEYFKEYQNRVSAIIGASQAKSLVNQALVLITVGGNDFVNNYYLVPNSARSRQYPLPQYVTYLISEYQKLLQKLYDLGARRVLVTGTGPLGCVPSELAQRGRNGQCAPDLQQAAALFNPQLEQMLQQLNRKLGRDVFIAANTGKAHNDFISNPRQFGFVTSKVACCGQGPYNGLGLCTPLSNLCPNRGQYVFWDAFHPSEKANRLIVEEIMSGSKAYMNPMNLSTILALDAITT >VigunL070201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:97188:99447:1 gene:VigunL070201.v1.2 transcript:VigunL070201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTNLMFHNKVIGGTTIKRLISRLIDHFGMAYTSHILDQVKTLGFRQAMATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLRQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVIRRTDCGTIRGISVNTQNETMPESSWTQTLIGRVLADDIYRGSRSIAIRNQDIGIGLFNRLKTFQTQPISIRTPFTCRNTSWICRLCYGQSPTQGHLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEQVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLDVSIENGDIIHNVTIPPKSFLLVQNNQYVKSEQVIAEILAGTYTFNLKEKVRKHVYSDLEGEMHWSTDVYHASEFKYSNVHILPKTSHLWILSGKSDRSASVSFSTRKDQDQLNIHYLSTGERDICNHLASNNKVRHNLFRFTPSEKKERRISDYSKNNQILCKDHCHFTHPAIFHDTTDLLAKRRRNRFIIPFQFQSIQERDKALMLASSISIEIPIHGIFRRNSIFSYFDDPQYRTQSSGITKYRTIHINYIFKKEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVRNNSIIEVDTPITVNIRSQVSGLVRLEKKKRFN >Vigun08g097400.1.v1.2 pep primary_assembly:ASM411807v1:8:23499059:23501315:1 gene:Vigun08g097400.v1.2 transcript:Vigun08g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIK >Vigun11g145100.1.v1.2 pep primary_assembly:ASM411807v1:11:35423521:35434444:1 gene:Vigun11g145100.v1.2 transcript:Vigun11g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQTLFLLLWFFCIYAPTSFSTNVTYDHRALVIDGKRRVLVSGSIHYPRSTPEMWPDIIQKSKDGGLDVIETYVFWNLHEPVRGQYNFEGRADLVKFVKTVAAAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTDNKPFEEEMKRFTAKIVDMMKQENLYMSQGGPIILSQIENEYGNIDDHYGPAGKSYIRWAASMATSLDTGVPWVMCQQLDAPDPIINTCNGFYCDQFKPNSKAKPKIWTEGYTGWFLAFGDALPHRPVEDLAFAVARFFQRGGTFNNYYMYHGGTNFGRASGGPFVASSYDYDAPIDEYGIIRQPKWGHLKDLHKAIKLCEEVLTATDPTITSLGPNIEVAVYKKGALCSAFLANIATSDAKVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSASVISSFTTESLKEEVGLLDDSYSGWSWIGEPIGISKADSFSKFGLLEQINTTADRSDYLWYSLSIDLDTGTQAVLHIKSLGHALCAFINGKLAGSGKGNHEKANFKVDIPITLVAGKNTIDLLSLTVGLQNYGAFFDTWGAGITGPVILKGLKNGSSLDLSSHMWKYQIGLKGEDLGPSGGSSGQWNSQSTLPVHQPLIWYKTNFVAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYASPSGGCTDSCNYRGSYQASKCLQNCGKPSQTLYHVPRSWLRPEGNTLVLFEESGGNPKQITFATKQIGSVCSHVSESHPPPVDLWSSDAESGRKVGPVLSLECPYPNQVISSIKFASFGTPQGTCGNFKHGRCSSNKALSIVQKGCIGSSSCRIELSINTFGDPCKGVAKSLAVEASCA >Vigun01g217150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39087492:39088619:1 gene:Vigun01g217150.v1.2 transcript:Vigun01g217150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRGVILDESVLLAESGDDQTASTLRPGAESLTRILFLSKIHCGIAYDSGLLDDKVSILKRTADLYSLDCFALNDSASEAKPGWSNTDEGSVIYLISNKEFSPKLNRYNWLIVVLNVGGESSCHDPNIHQIESLEELPLTICRINKKLIGTNAVTVGYTMKPSRVEDFAKRGAFPLCPTQQGLMFVPLTSNLSLSSQLKDVDIVLHKATDEILSIEDDKPTFTQNMRALQKYLDQHQDICVIDPLSYVYPLLDRLEIQQVLLGLVELNTEGKCLIRGAHFSKVDNFDEFDFATGLSEARLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLSVPLPAIIQEYVDHSSTLYKFYVLVKRFSMLSRSQYQIPIF >Vigun03g438300.2.v1.2 pep primary_assembly:ASM411807v1:3:64184806:64188642:1 gene:Vigun03g438300.v1.2 transcript:Vigun03g438300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIDSSLTEAQMTAEDQVPKIELLTWTNDEYDGVIVEMDKPMDSATFLSILRASISHWKQLGKKGVWIKLPIYLASLVEALVQEGFWYHHAERKYLMLVYWIPDSPDTIPANATHRVGVGSFVVNEKQEVLVVQEKTGHFQGTGVWKFPTGVVDEGEDICAAAVREVKEETGVDAEFLEVLAFRQSHMSFFEKSDLFFVCLLRPLSPDIQIQELEIEAAKWMPFDEYAAQPIMEKYELMKYINNIYLAKIDGQYSGFTPVSTAPNFSKKNIYLYLNAGGLKKSNS >Vigun03g438300.4.v1.2 pep primary_assembly:ASM411807v1:3:64185029:64188642:1 gene:Vigun03g438300.v1.2 transcript:Vigun03g438300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIDSSLTEAQMTAEDQVPKIELLTWTNDEYDGVIVEMDKPMDSATFLSILRASISHWKQLGKKGVWIKLPIYLASLVEALVQEGFWYHHAERKYLMLVYWIPDSPDTIPANATHRVGVGSFVVNEKQEVLVVQEKTGHFQGTGVWKFPTGVVDEGEDICAAAVREVKEETGVDAEFLEVLAFRQSHMSFFEKSDLFFVCLLRPLSPDIQIQELEIEAAKWMPFDEYAAQPIMEKYELMKYINNIYLAKIDGQYSGFTPVSTAPNFSKKNIYLYLNAGGLKKSNS >Vigun03g438300.1.v1.2 pep primary_assembly:ASM411807v1:3:64185029:64188642:1 gene:Vigun03g438300.v1.2 transcript:Vigun03g438300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIDSSLTEAQMTAEDQVPKIELLTWTNDEYDGVIVEMDKPMDSATFLSILRASISHWKQLGKKGVWIKLPIYLASLVEALVQEGFWYHHAERKYLMLVYWIPDSPDTIPANATHRVGVGSFVVNEKQEVLVVQEKTGHFQGTGVWKFPTGVVDEGEDICAAAVREVKEETGVDAEFLEVLAFRQSHMSFFEKSDLFFVCLLRPLSPDIQIQELEIEAAKWMPFDEYAAQPIMEKYELMKYINNIYLAKIDGQYSGFTPVSTAPNFSKKNIYLYLNAGGLKKSNS >Vigun03g438300.3.v1.2 pep primary_assembly:ASM411807v1:3:64184806:64188642:1 gene:Vigun03g438300.v1.2 transcript:Vigun03g438300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIDSSLTEAQMTAEDQVPKIELLTWTNDEYDGVIVEMDKPMDSATFLSILRASISHWKQLGKKGVWIKLPIYLASLVEALVQEGFWYHHAERKYLMLVYWIPDSPDTIPANATHRVGVGSFVVNEKQEVLVVQEKTGHFQGTGVWKFPTGVVDEGEDICAAAVREVKEETGVDAEFLEVLAFRQSHMSFFEKSDLFFVCLLRPLSPDIQIQELEIEAAKWMPFDEYAAQPIMEKYELMKYINNIYLAKIDGQYSGFTPVSTAPNFSKKNIYLYLNAGGLKKSNS >Vigun11g040500.1.v1.2 pep primary_assembly:ASM411807v1:11:5750372:5759214:1 gene:Vigun11g040500.v1.2 transcript:Vigun11g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAACNHLHLVPSLVHPLKSTLFTNHTLSTTRTRFYDIQRRFSSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLHVLSDLSKSQRSVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRIDKLKKGKAKDSQSKLKEEAEKSALEKIQQALMDGKPARSVTLTDFERDAVKHLCLLTMKPIIYVANVAETDLADPADNNFVKDVTNVASELQSRIVTISAQVEAELTELPIEERQEYLQSLGVSESGLGNLIRATYDLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYGDFVAAGSLAAAREKGVLRSEGKDYVVQEGDVMLFRFNV >Vigun09g216900.1.v1.2 pep primary_assembly:ASM411807v1:9:39080489:39084259:1 gene:Vigun09g216900.v1.2 transcript:Vigun09g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCMHGDLDNVYRTLQHLEKSQNTKIDLLLCCGDFQAVRNEQDLKSLNVPPKYRSMNSFWKYYSGAEVAPYPTIFIGGNHEASNYLWELYYGGWVAPNIYFLGAAGVVRFGNVRIGGLSGIYNGRHYKLGRFERPPYDQSTIRSVYHVREYDVRKLMELKEPIDIFLSHDWPLRITDYGDWEELVRRKHYFRQEIEEKRLGSKAAAELLEKLKPNYWFSAHLHCKFAALVQHGEGGPLTKFLALDKCIAGRDFLQIVEIESDAGPYEIQYDEEWLAITRKFNPIFPLTTKGADFRGLNLEMEDCLEWVRSRLREKGCKPFEFVRTAPSYDPSRSNFDGALTANLRNPQTESFLQLLELPYLLDKNPDAKDFSPYPPLSVKSGISDDNEDIPIDDVDDDDVELPKVDNVES >Vigun09g216900.2.v1.2 pep primary_assembly:ASM411807v1:9:39080489:39084259:1 gene:Vigun09g216900.v1.2 transcript:Vigun09g216900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCMHGDLDNVYRTLQHLEKSQNTKIDLLLCCGDFQAVRNEQDLKSLNVPPKYRSMNSFWKYYSGAEVAPYPTIFIGGNHEASNYLWELYYGGWVAPNIYFLGAAGVVRFGNVRIGGLSGIYNGRHYKLGRFERPPYDQSTIRSVYHVREYDVRKLMELKEPIDIFLSHDWPLRITDYGDWEELVRRKHYFRQEIEEKRLGSKAAAELLEKLKPNYWFSAHLHCKFAALVQHGEGGPLTKFLALDKCIAGRDFLQIVEIESDAGPYEIQYDEEWLAITRKFNPIFPLTTKGADFRGLNLEMEDCLEWVRSRLREKGCKPFEFVRTAPSYDPSRSNFDGALTANLRNPQTESFLQLLELPYLLDKNPDAKDFSPYPPLSVKSGISDDNEDIPIDDVDDDDVELPKVDNVES >Vigun09g252700.1.v1.2 pep primary_assembly:ASM411807v1:9:41981089:41988909:-1 gene:Vigun09g252700.v1.2 transcript:Vigun09g252700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKSKGGCCGWFIAFVILAIVVGAIVFTVMKKFGHSGSDKAPGPPGAVDQKYATALKTSLQFFDIQKSGKLVNNKIPWRGDSGLKDGSQAKLDLTKGMYDAGDHIKFGFPLAFTATVLSWAILEYGDQMDHVGQLDSAQDSLKWITDYLINAHPSADVLYIQVGDPVADHKCWERPEDMTGARPLIQVNASYPGSDVAAETAAAMASASLVFKKSDSSYSSTLLKHAKELFTFADKNRGYYSESIPEVQTYYNSTGYGDELLWAASWLYHATGDDSYLEFVTGQDGEDYAEWGSPTWFCWDNKLAGTQVLLARLSFFKAKDISNSYGSGLQSYRKTAEAVMCSLLPDSPTATQSRTDDGLVWVSEWDSLQHPVASAFLAVIYSDYMRTSQTPKLHCDSDSFTASDLRDFAKSQADYVLGKNPMDTSFLVGYGDKFPQFVHHRGASIPADAKASCKDGFQWLESSDPNPNVATGALVGGPFKNETFIDSRNNTMQTEPSTYNSAVIVGLLSSLVTTSSVVQSFT >Vigun06g101700.1.v1.2 pep primary_assembly:ASM411807v1:6:23190983:23196483:-1 gene:Vigun06g101700.v1.2 transcript:Vigun06g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRDRMRGRVILMKKNVLDFNDFSASLLDRLHEFMGKRVSLQLISASHAHPVEGNEMKGKLGKPAYLEDWITTITPLTAGESEFGVTFDWDDDDDDSIGTPGAFLIRNHHHTEFYLKSMTLENVPGHGVIHFNCNSWVYPAHKYKKDRIFFSNKVYLPSETPQPLLKYREEELESLRGDGRGTLQEWDRVYDYAYYNDLSDPDKGAQYARPVLGGSSEYPYPRRGRTGRPPAKSDAKSESRLNLAMSLDIYVPRDERFGHLKLSDFLASAVKSIVQVLKPELESLFDSTPHEFDSFEDVFKLYEGGIKVPEGILKNVRDKIPAELLKEILRTDGERFLKFPVPQVIKEDKSAWRTDEEFAREMLAGVNPVIIRCLQEFPPASKLDAKVYGNQTSTIQKENIESNMDGLTVDEAIKHKKLFILDHHDALIPYLRRINSTSTKTYASRTILFLQNDGTLKPLAIELSLPHPEGDQYGVISKVYTPADEGVENSIWQLAKAYVAVNDSGYHQLITHWLHTHAVIEPFIIAANRQLSVLHPIHILLHPHFRDTMNINALARQILINAGGFVEATVFPSKYSMEMSSLIYKNWNFPDQALPTDLIKRGMAVKDSSSQHGLRLVIEDYPYAVDGLEIWFAIKNWVQEYCSFYYKDDETVKKDPELQSWWKELREEGHGDKKNEPWWPKMQTRENLIEVCTIIIWVASALHASTNFGQYPYAGFLPNRPTISRRFMPEEETPEYDELVNNPEKAFLKTITAQLQTLIGISLIEILSKHSSDEVYLGQRETPHWTSDVEPLKAFERFGEKLAQIGERIVTMNNDGKHRNRVGPVNMPYTLLYPSSKTGLTGMGIPNSVAI >Vigun06g101700.2.v1.2 pep primary_assembly:ASM411807v1:6:23190983:23196483:-1 gene:Vigun06g101700.v1.2 transcript:Vigun06g101700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRDRMRGRVILMKKNVLDFNDFSASLLDRLHEFMGKRVSLQLISASHAHPGNEMKGKLGKPAYLEDWITTITPLTAGESEFGVTFDWDDDDDDSIGTPGAFLIRNHHHTEFYLKSMTLENVPGHGVIHFNCNSWVYPAHKYKKDRIFFSNKVYLPSETPQPLLKYREEELESLRGDGRGTLQEWDRVYDYAYYNDLSDPDKGAQYARPVLGGSSEYPYPRRGRTGRPPAKSDAKSESRLNLAMSLDIYVPRDERFGHLKLSDFLASAVKSIVQVLKPELESLFDSTPHEFDSFEDVFKLYEGGIKVPEGILKNVRDKIPAELLKEILRTDGERFLKFPVPQVIKEDKSAWRTDEEFAREMLAGVNPVIIRCLQEFPPASKLDAKVYGNQTSTIQKENIESNMDGLTVDEAIKHKKLFILDHHDALIPYLRRINSTSTKTYASRTILFLQNDGTLKPLAIELSLPHPEGDQYGVISKVYTPADEGVENSIWQLAKAYVAVNDSGYHQLITHWLHTHAVIEPFIIAANRQLSVLHPIHILLHPHFRDTMNINALARQILINAGGFVEATVFPSKYSMEMSSLIYKNWNFPDQALPTDLIKRGMAVKDSSSQHGLRLVIEDYPYAVDGLEIWFAIKNWVQEYCSFYYKDDETVKKDPELQSWWKELREEGHGDKKNEPWWPKMQTRENLIEVCTIIIWVASALHASTNFGQYPYAGFLPNRPTISRRFMPEEETPEYDELVNNPEKAFLKTITAQLQTLIGISLIEILSKHSSDEVYLGQRETPHWTSDVEPLKAFERFGEKLAQIGERIVTMNNDGKHRNRVGPVNMPYTLLYPSSKTGLTGMGIPNSVAI >Vigun10g000100.1.v1.2 pep primary_assembly:ASM411807v1:10:53816:65002:-1 gene:Vigun10g000100.v1.2 transcript:Vigun10g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFAEGGSPWLRSLNNHVGRQVWEFDPKLGSPQDLLEIEKARQNFHENRFSTKHSSDLLMRLQFARENPIHEVLPKVGVKDIEDVTEDVVTKTLRRAVTFHSTLQCHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLTEEHKKEICRYLYNHQNKDGGWGLHIEGPSTMFGSVLSYVTLRLLGEGPNDGEGEIEKARDWILEHGGATHITSWGKMWLSVLGVYEWSGNNPLPPEIWLLPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELYTVPYHDIDWDRARNLCAKEDLYYPHPLVQDILWGSLHNFLEPILMHWPGKKLREKALTSTMEHIHYEDENTRYLCIGPVNKVLNMLCCWVEDPNSEAFKLHLPRVYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLIEEFGPTIRKAHTYIKNSQVLEDCPGDLNKWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLSLSKIAPEVVGDPLEVKRLYDSVNVILSLQNEDGGFATYELKRSYNWLELINPAETFGDIVIDYPYVECTSAAIQALASFRKLYPGHRREEIQCCIEKAAAFIEKIQASDGSWYGSWGVCFTYGAWFGVKGLIAAGKSFSNCPSIRKACEFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRSHVVNTGWAMLALMDAGQAKRDPTPLHRAAAYLINSQMENGDFPQQEIMGVFNKNCMISYSAYRNIFPIWALGEYRSHVLQAR >Vigun10g000100.2.v1.2 pep primary_assembly:ASM411807v1:10:55204:65002:-1 gene:Vigun10g000100.v1.2 transcript:Vigun10g000100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFAEGGSPWLRSLNNHVGRQVWEFDPKLGSPQDLLEIEKARQNFHENRFSTKHSSDLLMRLQFARENPIHEVLPKVGVKDIEDVTEDVVTKTLRRAVTFHSTLQCHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLTEEHKKEICRYLYNHQNKDGGWGLHIEGPSTMFGSVLSYVTLRLLGEGPNDGEGEIEKARDWILEHGGATHITSWGKMWLSVLGVYEWSGNNPLPPEIWLLPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELYTVPYHDIDWDRARNLCAKEDLYYPHPLVQDILWGSLHNFLEPILMHWPGKKLREKALTSTMEHIHYEDENTRYLCIGPVNKVLNMLCCWVEDPNSEAFKLHLPRVYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLIEEFGPTIRKAHTYIKNSQVLEDCPGDLNKWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLSLSKIAPEVVGDPLEVKRLYDSVNVILSLQNEDGGFATYELKRSYNWLELINPAETFGDIVIDYPYVECTSAAIQALASFRKLYPGHRREEIQCCIEKAAAFIEKIQASDGSWYGSWGVCFTYGAWFGVKGLIAAGKSFSNCPSIRKACEFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRSHVVNTGWAMLALMDAGQLDWSLKIMYENDQLSDVTKQRNNLLMDKEEVNWFINTFKLFSKFYRLN >Vigun07g047900.3.v1.2 pep primary_assembly:ASM411807v1:7:4943901:4951786:1 gene:Vigun07g047900.v1.2 transcript:Vigun07g047900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEKGLCGTSESAVNHEGKVGGETLEGSVDYRGEVQMEGSFSEELVGEGGDCNGKDVMVEVLGSDLYIDGVCTQGNGAELSGELGGGRSVEGFGEDVWSGEVGGGDSQGVESEEGRRENVAVEGGTAMNVPMELDNVVLGKEDRDEAVVGSEVDAASLQEEAILDNRAQKEVGTAISNIEDPIIADIGVECTNALDGGASDHKVTNSRCEDGLVCQLTGSSVEGENVQSECAEKDNGATRDGDDVTLDEEKNNANMHSDKILEKECINDKVEFEEKINSDVEQPLEINRVDEDSNNVLEEVVGGTDVTMDETLPTSEEKQCLRKCTKKEQTSESVQVNSDTGQGIVDKDSTEEEELNNNVSDAKRCGLLKGTEVEVEVKGQPEAESTETKNHTSYIEGRFVSFKSEKYLDANAISVIVEDTQIADQDNLALMDGGKDKVHDESNIRQNVEVQTGISEQVGSNGAQELEEFVEAGQRKVEGRVTRRSSLMKAVNSELSHYARYLLPKEKESNFSVSNMVWGKVRSHPWWPGQIFDPSDSSEKAMKHYKKDCYLVAYFGDRTFAWNEESQLKPFRTHFSSIEKQSTSESFQNAVDCALDEVTRRVEYGLSCSCIPKDTYNSIKFQTVENTGIRPELSVRHGVDESLNASTFSPDKLVEYLKTLSELPTGGFDRLELGIAKAQLLAFYRFKGYSYLPELQYCGGFDDDMDTIVHGDDNKAINYKNDGQVGSGNLKTQSSSRRKRKHNLKDIMQETPKERSLSELMGGTLDTPDGEYWFDEKVTENHVSRGRPKKRRTVDHYDDDFGKQDGRKTISVAKVSNTTKPSFLIGDRIRRVASKLTGSPTVVKSSGDRSQKTDVSTEGISGNEFDVCFDEAQRSSMVVTIEYSSLDDLLSSLHLVAQEPLGDYRFLNPIVSFFSDFRDSITVADEAVKDIFCTEEVGTKRKQPPVAGLPETFEFEDMSDTYWTDRVIDNGSEVQPAQLSQPTQPARRNRKKDHQLVSTEQGKSVPVSRRPYSRKQYSNSDHVEIPQKPAGYINENAPAELVMNFAELGSVPSETNLNRMFRRFGPLKDAETEVDTVSSRARVVFKKCSDAEVACSSAQKFNIFGPILVNYQLNYTPSALFKASSVATTQDHDMHFDLSNFEVNLGSVDDDVVASLAE >Vigun07g047900.2.v1.2 pep primary_assembly:ASM411807v1:7:4943859:4951786:1 gene:Vigun07g047900.v1.2 transcript:Vigun07g047900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEKGLCGTSESAVNHEGKVGGETLEGSVDYRGEVQMEGSFSEELVGEGGDCNGKDVMVEVLGSDLYIDGVCTQGNGAELSGELGGGRSVEGFGEDVWSGEVGGGDSQGVESEEGRRENVAVEGGTAMNVPMELDNVVLGKEDRDEAVVGSEVDAASLQEEAILDNRAQKEVGTAISNIEDPIIADIGVECTNALDGGASDHKVTNSRCEDGLVCQLTGSSVEGENVQSECAEKDNGATRDGDDVTLDEEKNNANMHSDKILEKECINDKVEFEEKINSDVEQPLEINRVDEDSNNVLEEVVGGTDVTMDETLPTSEEKQCLRKCTKKEQTSESVQVNSDTGQGIVDKDSTEEEELNNNVSDAKRCGLLKGTEVEVEVKGQPEAESTETKNHTSYIEDTQIADQDNLALMDGGKDKVHDESNIRQNVEVQTGISEQVGSNGAQELEEFVEAGQRKVEGRVTRRSSLMKAVNSELSHYARYLLPKEKESNFSVSNMVWGKVRSHPWWPGQIFDPSDSSEKAMKHYKKDCYLVAYFGDRTFAWNEESQLKPFRTHFSSIEKQSTSESFQNAVDCALDEVTRRVEYGLSCSCIPKDTYNSIKFQTVENTGIRPELSVRHGVDESLNASTFSPDKLVEYLKTLSELPTGGFDRLELGIAKAQLLAFYRFKGYSYLPELQYCGGFDDDMDTIVHGDDNKAINYKNDGQVGSGNLKTQSSSRRKRKHNLKDIMQETPKERSLSELMGGTLDTPDGEYWFDEKVTENHVSRGRPKKRRTVDHYDDDFGKQDGRKTISVAKVSNTTKPSFLIGDRIRRVASKLTGSPTVVKSSGDRSQKTDVSTEGISGNEFDVCFDEAQRSSMVVTIEYSSLDDLLSSLHLVAQEPLGDYRFLNPIVSFFSDFRDSITVADEAVKDIFCTEEVGTKRKQPPVAGLPETFEFEDMSDTYWTDRVIDNGSEVQPAQLSQPTQPARRNRKKDHQLVSTEQGKSVPVSRRPYSRKQYSNSDHVEIPQKPAGYINENAPAELVMNFAELGSVPSETNLNRMFRRFGPLKDAETEVDTVSSRARVVFKKCSDAEVACSSAQKFNIFGPILVNYQLNYTPSALFKASSVATTQDHDMHFDLSNFEVNLGSVDDDVVASLAE >Vigun07g047900.1.v1.2 pep primary_assembly:ASM411807v1:7:4943859:4951786:1 gene:Vigun07g047900.v1.2 transcript:Vigun07g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEKGLCGTSESAVNHEGKVGGETLEGSVDYRGEVQMEGSFSEELVGEGGDCNGKDVMVEVLGSDLYIDGVCTQGNGAELSGELGGGRSVEGFGEDVWSGEVGGGDSQGVESEEGRRENVAVEGGTAMNVPMELDNVVLGKEDRDEAVVGSEVDAASLQEEAILDNRAQKEVGTAISNIEDPIIADIGVECTNALDGGASDHKVTNSRCEDGLVCQLTGSSVEGENVQSECAEKDNGATRDGDDVTLDEEKNNANMHSDKILEKECINDKVEFEEKINSDVEQPLEINRVDEDSNNVLEEVVGGTDVTMDETLPTSEEKQCLRKCTKKEQTSESVQVNSDTGQGIVDKDSTEEEELNNNVSDAKRCGLLKGTEVEVEVKGQPEAESTETKNHTSYIEEDTQIADQDNLALMDGGKDKVHDESNIRQNVEVQTGISEQVGSNGAQELEEFVEAGQRKVEGRVTRRSSLMKAVNSELSHYARYLLPKEKESNFSVSNMVWGKVRSHPWWPGQIFDPSDSSEKAMKHYKKDCYLVAYFGDRTFAWNEESQLKPFRTHFSSIEKQSTSESFQNAVDCALDEVTRRVEYGLSCSCIPKDTYNSIKFQTVENTGIRPELSVRHGVDESLNASTFSPDKLVEYLKTLSELPTGGFDRLELGIAKAQLLAFYRFKGYSYLPELQYCGGFDDDMDTIVHGDDNKAINYKNDGQVGSGNLKTQSSSRRKRKHNLKDIMQETPKERSLSELMGGTLDTPDGEYWFDEKVTENHVSRGRPKKRRTVDHYDDDFGKQDGRKTISVAKVSNTTKPSFLIGDRIRRVASKLTGSPTVVKSSGDRSQKTDVSTEGISGNEFDVCFDEAQRSSMVVTIEYSSLDDLLSSLHLVAQEPLGDYRFLNPIVSFFSDFRDSITVADEAVKDIFCTEEVGTKRKQPPVAGLPETFEFEDMSDTYWTDRVIDNGSEVQPAQLSQPTQPARRNRKKDHQLVSTEQGKSVPVSRRPYSRKQYSNSDHVEIPQKPAGYINENAPAELVMNFAELGSVPSETNLNRMFRRFGPLKDAETEVDTVSSRARVVFKKCSDAEVACSSAQKFNIFGPILVNYQLNYTPSALFKASSVATTQDHDMHFDLSNFEVNLGSVDDDVVASLAE >Vigun06g077300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20809125:20811593:-1 gene:Vigun06g077300.v1.2 transcript:Vigun06g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Vigun10g012200.1.v1.2 pep primary_assembly:ASM411807v1:10:1265929:1268088:1 gene:Vigun10g012200.v1.2 transcript:Vigun10g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFVLLFAGQVIGGFSTQKLPSSDKGNVLTVLSIDGGGIRGIIPATVLDHLDKALKARDPNADLAHFFDVIGGSGTGALITALLATPSPHDPTRAAFTPSQIVDFYKQNGPHVFNSSRPGNGSRFDGEFLHNITRELLKDTRLSQTLTNVVIPTFDIKTKKPVIFSNYKVEKFPYFNALMSDISISASAAPTLLPPYYFKNDGVDFNLISGAELSMLNENLVNGNPTETTVDEVVRYNNYSEILVLSLGSGTLPTVEMYDVASAATWPPQNWTVPGGLFLDRATTSMNEYYHGSLFRKPTINYLRIQEYNLLFPSMTNVAKLNMDALQLTGKALLGEKVKKINLGTFAIEEGVKTNAEALDSIADILHGERQHRLKQKSMEKGGRPFLETIRVPSDKTEENWAFQKNKVM >Vigun10g184600.1.v1.2 pep primary_assembly:ASM411807v1:10:40115504:40117787:1 gene:Vigun10g184600.v1.2 transcript:Vigun10g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAYPSKCVVLRRFCFVKWTVAMVVCAAGSLLLWPWSPELKIERMSVKRVRVHPLPPVGADVWITLSVVVKNRGMYWLDLADVDVGVKYRGKKMGHVESEGWHVRGWASTNVDGDLEFSGLPSTEVAHLLEDMAKGEVYFHTVIEVAGEFGFLSIPIPLTFKIILACEVLVNTKSHSIMLQHCIYKD >Vigun02g028347.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10386827:10387138:-1 gene:Vigun02g028347.v1.2 transcript:Vigun02g028347.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVVVVVVVVVVIIIVRGGFYSCGGYGCGGLGVAAGYGGVGGGTGVGGGYGAGGGCGSGDGCGGVCGGGGCGDCDGGRDYGGIQGGRGCGGGGSGLW >Vigun09g063300.7.v1.2 pep primary_assembly:ASM411807v1:9:6640620:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun09g063300.5.v1.2 pep primary_assembly:ASM411807v1:9:6634206:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun09g063300.6.v1.2 pep primary_assembly:ASM411807v1:9:6634365:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun09g063300.2.v1.2 pep primary_assembly:ASM411807v1:9:6634347:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun09g063300.1.v1.2 pep primary_assembly:ASM411807v1:9:6634206:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun09g063300.4.v1.2 pep primary_assembly:ASM411807v1:9:6634365:6647708:1 gene:Vigun09g063300.v1.2 transcript:Vigun09g063300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPEEHQVKSRRPLSKPTERRRRCRRRSQCKPEKFQETELDPHLASSATSKSLVFHQRPGYGQLGTKCLVKANHFLADISVSDLSHYNVKITPEVTSRKTSKAIIAELVRVHRNTDLATRLPVYDGGRNFYTAGLLPFTCKEFSVTLSEDDDATNGTREREFKVVIKFATHVSMYQLRDLLSGKQVDNPQEALTVFDIVLRELAAQSYVSIGRFLYSPDVRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGFTIKYSHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKFSCQRPREQEADILQTMQQNNYDNNPYAKEFGISIDSKLASVEARVLPPPWLKYHDTGREKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTARGFCQQLVQMCQISGMEFSQDPVIPIYSARPDQVKKALIYVHSAAMDKLCGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFRSWKDPQRGVTYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFANNHDDRNSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVVEINKLRGTRSKEGPVRPLPALKEKVKNVMFYC >Vigun05g204250.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39280335:39283909:-1 gene:Vigun05g204250.v1.2 transcript:Vigun05g204250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKQSMSRIPNRICLTSDVWAAVTSEGYIFLIAHFVDENWKLTSKILNFCRMKPPHTGVELESVVFDCLKQWGIEKKTFSITLDNASANNILQDILKSHLCVHRNLLCNDEFFHVRCCAHTLNLIVQDGLKVVDKALHSIRESVKYVKSSDGRTLKFKECVSDVGINISIGLRLDVTTRWNSTYLMLESSIKYKKAFEILKVVDRNYKKLSIF >Vigun05g204250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39280335:39283909:-1 gene:Vigun05g204250.v1.2 transcript:Vigun05g204250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMDKVKQSMSRIPNRICLTSDVWAAVTSEGYIFLIAHFVDENWKLTSKILNFCRMKPPHTGVELESVVFDCLKQWGIEKKTFSITLDNASANNILQDILKSHLCVHRNLLCNDEFFHVRCCAHTLNLIVQDGLKVVDKALHSIRESVKYVKSSDGRTLKFKECVSDVGINISIGLRLDVTTRWNSTYLMLESSIKYKKAFEILKVVDRNYKKLSIF >Vigun07g101400.1.v1.2 pep primary_assembly:ASM411807v1:7:18009866:18012024:-1 gene:Vigun07g101400.v1.2 transcript:Vigun07g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQEFMDSCNSHITTTTTAVTATSNSLVGTSNSPSASPNTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEINPFGARAVRLYLREVRELQSKARGISYEKKKRKRPPPPPPPLPQPPPQQQQSLTLPHHHHHHHHHHHHLPPPGATQ >Vigun11g153400.1.v1.2 pep primary_assembly:ASM411807v1:11:36285478:36287321:1 gene:Vigun11g153400.v1.2 transcript:Vigun11g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIASALAKGNPLFSTLSRFLCVRHHSSTNLFVAGLSWDTNEPILKDAFEKHGEIIEVRVICDHVTAKSRGYGFVRFVSETAAATARKEMNDQILDGRRIRVAYAHKG >Vigun04g111366.1.v1.2 pep primary_assembly:ASM411807v1:4:27974813:27978005:1 gene:Vigun04g111366.v1.2 transcript:Vigun04g111366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLKNKYWISSNSDATLCDTISLQITFIPHQEIAKVELEPAKVAFFISWLRICSRKV >Vigun04g111366.2.v1.2 pep primary_assembly:ASM411807v1:4:27974813:27978005:1 gene:Vigun04g111366.v1.2 transcript:Vigun04g111366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLKNKYWISSNSDATLCDTISLQITFIPHQEIAKVELEPAKVAFFISWLSRKV >Vigun04g111366.3.v1.2 pep primary_assembly:ASM411807v1:4:27974813:27978005:1 gene:Vigun04g111366.v1.2 transcript:Vigun04g111366.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLKNKYWISSNSDATLCDTISLQITFIPHQEIAKVELEPAKVAFFISWLR >Vigun05g277300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46701879:46703642:1 gene:Vigun05g277300.v1.2 transcript:Vigun05g277300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPALFQPLKPHFPEQEQLKCPRCDSVNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNAKRSSSSTNTKRASPSPAPEPDPTRVCPNPVVGGGGGNFGSFLASGAHLGNLLEGLKSSGANLKAVQMEEFGENVSSGHLADPGSGRNPVLQIERNGNAASFLSMQNGDSSCWNGPNGWSNLAIFTPGSTYENL >Vigun05g277300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46701789:46703644:1 gene:Vigun05g277300.v1.2 transcript:Vigun05g277300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPALFQPLKPHFPEQEQLKCPRCDSVNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNAKRSSSSTNTKRASPSPAPEPDPTRVCPNPVVGGGGGNFGSFLASGAHLGNLLEGLKSSGANLKAVQMEEFGENVSSGHLADPGSGRNPVLQIERNGNAASFLSMQNGDSSCWNGPNGWSNLAIFTPGSTYENL >Vigun05g277300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46701879:46704503:1 gene:Vigun05g277300.v1.2 transcript:Vigun05g277300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPALFQPLKPHFPEQEQLKCPRCDSVNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNAKRSSSSTNTKRASPSPAPEPDPTRVCPNPVVGGGGGNFGSFLASGAHLGNLLEGLKSSGANLKAVQMEEFGENVSSGHLADPGSGRNPVLQIERNGNAASFLSMQNGDSSCWNGPNGWSNLAIFTPGSTYENL >Vigun09g199400.1.v1.2 pep primary_assembly:ASM411807v1:9:37389324:37393416:1 gene:Vigun09g199400.v1.2 transcript:Vigun09g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCVNSTFPRALNFAIHRHSNFSLVIFPVKSLYSKIYGCRRRERSVTGGVRAFESSSAKPMSWCDGVQEPRALVAPDPGTDGNGSGQMIMLRHPKSGNATQYLFANGMLQELHWFKNLYGSWFLGDYITEDGRLYLSTPIDPVFIMLPIFEEARMKKGDDLGRFRQLDEILFIDGYPGYMQLMSVVENCMRLVSEVKEVGSSKFFRLDDSKVLRWLCYKVCQLKQTLPNLDKNYAVQSEKDTLIDAVSILGEYLKEKPWLELLCNHLKINILEVTGKVQVNAEGSNPGLCNELQEQRSEDKKATTAKKGRPAKKVKLETESHNIKDMFTRASRKKS >Vigun05g212100.1.v1.2 pep primary_assembly:ASM411807v1:5:40301310:40305310:1 gene:Vigun05g212100.v1.2 transcript:Vigun05g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNMDDGEISNAFPLQNPSTAASNQPPVLKRKRNLPGNPDPEAEVIALSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVNAASNISNYSIMQNPLGSNMATHFSSFYKPNSCPEEPVPCNQTSKGLSLWMSQTSQAHQTIANNNLHEFHQLGSATSPTSIYGGNPLAPCSIPPPSNYQLNWVFGNKVSPNGSQELSSTASLPLVNNIVKDNPNLQLISVPSLYSSQHQSHQTTSANMSATALLQKAAQIGTTSSDPSLFLGSIGLKCNSPGQDGNKFCGMYGSSSVLTGHEGENNYSGDLSQMPPTKRRHVQNEESAWGQTRDFLGVGGANHLPPFINQRMDLI >Vigun06g037600.1.v1.2 pep primary_assembly:ASM411807v1:6:15396152:15398992:-1 gene:Vigun06g037600.v1.2 transcript:Vigun06g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMRLGLMAVVAVSGSMAILVQQVHKRMLSNFMKQFEYQMGGILYAHGQKNLFGSEKHEANKKVRFSKEALEEMSYGRGDRMITTRVGRIKAEQFWEMENVERDEPKLEKMMPTNRKVLYRGIMKYRNSTIHGRLPF >Vigun04g112100.1.v1.2 pep primary_assembly:ASM411807v1:4:28233856:28235599:1 gene:Vigun04g112100.v1.2 transcript:Vigun04g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAVLAGYFAWRTVRSDPKIEVFSEDSVEEDRSSKKEDFDFRKMIENGFWVFIDMASGRYLWRNLKQTNKDAELKSS >Vigun03g324900.1.v1.2 pep primary_assembly:ASM411807v1:3:52086057:52089434:-1 gene:Vigun03g324900.v1.2 transcript:Vigun03g324900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYWFKEMSNNVRSGRRLSLGEYKRAVSWSKYLISSGAAIKGEAEEEWSADLSQLFIGSKFASGRHSRIYRGIYKHMDVAIKLVSQPEEDEELAVSLEQQFTSEVNLLFRLRHPNIITFVAACKKPPVFCIITEYLSGGSLRKYLIQEGPHSVPHNVVLKLALDIARGMQYLHSQGILHRDLKSENLLLGEDLCVKVADFGISCLESQTGSAKGFTGTYRWMAPEMIREKRHTKKVDVYSFAIVLWELLTGLTPFDNMTPEQAAYAATHKNERPPLPCECPRAFSHLINRCWSSNPDKRPHFDEIVGILESYSEALEEDPQFLTTYKPRPNNLFLRCLPKCNTTPNVSASTKP >Vigun03g324900.2.v1.2 pep primary_assembly:ASM411807v1:3:52086125:52088931:-1 gene:Vigun03g324900.v1.2 transcript:Vigun03g324900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYWFKEMSNNVRSGRRLSLGEYKRAVSWSKYLISSGAAIKGEAEEEWSADLSQLFIGSKFASGRHSRIYRGIYKHMDVAIKLVSQPEEDEELAVSLEQQFTSEVNLLFRLRHPNIITFVAACKKPPVFCIITEYLSGGSLRKYLIQEGPHSVPHNVVLKLALDIARGMQYLHSQGILHRDLKSENLLLGEDLCVKVADFGISCLESQTGSAKGFTGTYRWMAPEMIREKRHTKKVDVYSFAIVLWELLTGLTPFDNMTPEQAAYAATHKNERPPLPCECPRAFSHLINRCWSSNPDKRPHFDEIVGILESYSEALEEDPQFLTTYKPRPNNLFLRCLPKCNTTPNVSASTKP >Vigun04g167101.4.v1.2 pep primary_assembly:ASM411807v1:4:39181605:39187127:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVINRLGCHDC >Vigun04g167101.5.v1.2 pep primary_assembly:ASM411807v1:4:39181614:39188120:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVVGMA >Vigun04g167101.8.v1.2 pep primary_assembly:ASM411807v1:4:39181579:39186859:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAESWNGLKQ >Vigun04g167101.2.v1.2 pep primary_assembly:ASM411807v1:4:39181614:39188120:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVWYQPSRFVMLLQTSEPVTEHD >Vigun04g167101.1.v1.2 pep primary_assembly:ASM411807v1:4:39181544:39187128:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVWYQPSRFVMLLQTSEPVTEHD >Vigun04g167101.6.v1.2 pep primary_assembly:ASM411807v1:4:39181544:39187168:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVVGMA >Vigun04g167101.3.v1.2 pep primary_assembly:ASM411807v1:4:39181614:39188120:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLVINRLGCHDC >Vigun04g167101.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39181554:39187219:1 gene:Vigun04g167101.v1.2 transcript:Vigun04g167101.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNDTHSHQNGETTVTTPLHPPLLTLHLQAMTSTTSTSTDPLPSDDGAAVRAVNKRFEGLLTVRTKAIKGKGAWYWAHLEPILVPHPDTGLPKSVKLKCSLCDSLFSASNPSRTASEHLKRGTCSNFSSGLRPGSVPSPLPISSITPGSNRKRGSPQMGATSPSSYQNHSLALVESSRFDMGYTQMQNSNNNNSNSNNNNNNNIMHLQHHGQSQQHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGPALSKDQVNSALDLLFDWFYETCGSVSLLSLEHRKFQAFLSQVGLPANLRREVSGGRLDARFGEAKAESEARIRDAMFFQLASDGWKSGGLFNFNSCCGGGESLVKFVVNLPNGSSVFQKAVFTGGVENSKYAEEVLWETVTAVTGSVVHRCVGIVADKFKAKALRNLEAQHHWMVNTSCQLQGLVSLIKDFNRELPLFRSVIENCLKVANFMNSESQVRSLFLKCRVQEMDCGGLIRVPSPKCDPLKNFQLVFPMLEDILSCARVMQMVVMEDGFKVMCMEDTLAREVAGMVQNEGFWNELEAVYSLVKLVRGMVHDVEAERPLMGRCLPLWEEVRSKVKEWCGKFNIVEGAVEKIVEKRFRKNYHPAWAAAFILDPLYLIKDASGKYLPPYKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSDGLDPLYAQAVQMKQRDPVTGKMKVANPLSSRLVWETCLSEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKFSANKQSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFAMSGSEDGMLAEVYADAPLV >Vigun05g013500.1.v1.2 pep primary_assembly:ASM411807v1:5:1065232:1069515:1 gene:Vigun05g013500.v1.2 transcript:Vigun05g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEQEECSGLEMMQKDLHATFLELMYYLLPSPYESQETNHLTLAYFVISGLDILNSLHSVAKDAVVSWVLSFQAHPGAKADLNDGQFYGFHGSKTSQFPPDKNGVLIHNNSHLASTYCAIAILKIVGYELSNLDSESIASSMRNLQQPDGSFIPIHIGGETDLRFVYCAAAICFMLNNWSGMDKEKAKDYILRCQSYDGGFGLVPGAESHGGGTYCAIASLRLMGLIEDNILSDCASSTLIDVPLLLEWILQRQGNDGGFQGRPNKSSDTCYAFWIGAVLRILGGSNFVDHKALRGFLLSCQYKYGGFSKFPGEYPDLYHSFYGFTAFSLLEESGLKSLCSQLGITENAAMGL >Vigun07g128800.1.v1.2 pep primary_assembly:ASM411807v1:7:23786405:23789766:1 gene:Vigun07g128800.v1.2 transcript:Vigun07g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVCQQNMGVLDVKHFQNKGSDVHEIGDTDANLPPNFDRVRVTELVSAELLTSQEDAKSADRISDAALESAVLQFIPSLRSGSFADIGPRRYMEDEHIRIDDLSSHMGSLYNFPKPSAFYGVFDGHGGPEAAAYIRKNVIKFFFEDVSFPQTSEVNSVFLEEVEDSLTKAFLLADSALADDCSVNSSSGTTALTALIFGRLLMVANAGDCRAVLCRKGEAIDMSQDHRPIYPSERRRVEELGGYIDDGYLNGVLSVTRALGDWDMKLPKGGPSPLIAEPEFRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEKCARDLVMEALRLNTFDNLTVIIVCFSSLDHMEPEPSPPRQRKLRCCSLSAEALCSLRSLLEGSASN >Vigun01g179200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35985829:35987070:-1 gene:Vigun01g179200.v1.2 transcript:Vigun01g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQPHLNGAYYGPAIPPPAQPRYRHHHGRTCCCCLFGILWKILVTLIVLVGLAILIFWLVVQPRSFKFHVTEADLTQFDYNADNSTLQYSMVLNFTARNPNKKLNIYYDKVEALAFYEDARFSNYDVITHMNSFRQYTKSSSPMSAVFSGEKVVILDNGQVSELNQDKSDGAYDFYVRLNFRIRYRLGDLISGDFKPKVKCHIKVPLANNGSETLFQTTKCDVDY >Vigun06g223900.19.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACYFSLYQLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.13.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLFCELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.21.v1.2 pep primary_assembly:ASM411807v1:6:33253293:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.2.v1.2 pep primary_assembly:ASM411807v1:6:33253196:33260334:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.15.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACYFSLYQLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.24.v1.2 pep primary_assembly:ASM411807v1:6:33254781:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.14.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACYFSLYQLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.5.v1.2 pep primary_assembly:ASM411807v1:6:33253301:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.16.v1.2 pep primary_assembly:ASM411807v1:6:33253471:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.18.v1.2 pep primary_assembly:ASM411807v1:6:33254781:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.22.v1.2 pep primary_assembly:ASM411807v1:6:33254781:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.20.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACYFSLYQLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.8.v1.2 pep primary_assembly:ASM411807v1:6:33253309:33260334:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.7.v1.2 pep primary_assembly:ASM411807v1:6:33253470:33260335:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.23.v1.2 pep primary_assembly:ASM411807v1:6:33254781:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.3.v1.2 pep primary_assembly:ASM411807v1:6:33253275:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.6.v1.2 pep primary_assembly:ASM411807v1:6:33253471:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.17.v1.2 pep primary_assembly:ASM411807v1:6:33254781:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASRCTGLMDMETNTNPIDRSVAHLLFHRPSDPSMLLPNETLPFKPGATIHHGSVINLPVKTEKQVCQEDSSAGLENKFSGANNTK >Vigun06g223900.25.v1.2 pep primary_assembly:ASM411807v1:6:33253293:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.4.v1.2 pep primary_assembly:ASM411807v1:6:33253301:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun06g223900.12.v1.2 pep primary_assembly:ASM411807v1:6:33255706:33260303:1 gene:Vigun06g223900.v1.2 transcript:Vigun06g223900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLFCELEDNVWDGFGECDDHTVPHAGDKHNKQLTIQGDICKDSLHIGSSDSANSYGTQEKEELYLENMTQKEKMLEKDSWSHAPEAVFSSRVGDSSKEAKRPTLDDTGMSDHCFKSSNLDSGGCELCEDDTILGDKCVVENDSVCQYPINHISQADNELSFLDNDGWLDIGNFEDVDRMLSCDLTFGTGSLNNEEEFCWLSSSHGAEGSDDALKSDFKFSYAEMSPLKSISDYKMDSKENMVGLSKDSNERSSPVDEKNSSQMDVIDDSVPVPLSMFSENESDMKSGNIVDLLPKEKPQRKLPKPSVGRRKNGYLENGGSVHPYAPPEQYADTKQPFGTSSSGVTSLDSIQKHKLNIDSGSLGCIQAQIPARLPDYNHAPNHSSLFPTLSGSRSEHDGHLSPSVKESSYASNIESSHGHSLEAAALKTFENREKLYQCCDGPPLNSSFKNDNTPNQIPLHSPGSAQQIGHRFENDNEGHSEVQGVSLGFSPEIDSSAVQESSSMGSVLDQTSLEATSFCHLQQIMDQLDIKTKLCIRDSLYRLAKSAEQRHNNSNANGCIGDNEACKAMMVQDASSFIQVYRINGHGN >Vigun07g232700.1.v1.2 pep primary_assembly:ASM411807v1:7:35463726:35465819:1 gene:Vigun07g232700.v1.2 transcript:Vigun07g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRLWWCSLLITFTVLFQELRFCSGWNVQTPTPPLPILPLPTYSQIKWQQREIIMFLHFGVNTFTDREWGTGQENPAIFNPTSLNTTQWATVAADAEISLMILTAKHHDGFCLWPSKYTDHSVIRSPWEGGKGDVVEDFVNAATAKGIDVGIYLSPWDRHDPRYGNDLLYNQYYLAQLQELLKKYQNVREIWFDGAKGAQAKNMSYYFSDWFSMVKELQSSINIFSDAGPDVRWVGEETGSAGYTCWSTINRTSLSIGNSTITPYLSSGDPKGTDWLPAECDVSIRPGWFWHESESPKKLSELLDIYYNSVGRNCVLLLNVPPNKSGLITDVDAQRLKEFRTAINTIFRRNLAEDCFVKVSSQRGGFGAEKMVDSDHLWSYWTPKDDDGEKDHWIEIWARDGNFLRFNVISIQEAIGLGQRIKRHEIYADGKLMVKATTVGYKRLHRLDEGEVQARVVRIKIRESKAVPLISSIGLYFDPFWHSKITVT >Vigun03g253500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41992178:41993663:-1 gene:Vigun03g253500.v1.2 transcript:Vigun03g253500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIAGSTTQPNHNKNPKIPLHLHKKYTPNVFLLWFPFPGFVTMPPTLSFTLAHYLTLLVTVLLVVVEAHLPATGIASELPTFREAPAFRNGRECRNRAHGESVFHIAMTLDATYLRGSIAGVFSVLQHTSCPENVVFHFIATTHRRAELRRIITTTFPYLAFHLYHFDANLVRGKISYSIRRALDQPLNYARMYLADLLPANVRRIIYFDSDLIVVDDVAKLWTIDLHSRVLGAPEYCHANFTNYFTHRFWSNPSYAASFKGRVACYFNTGVMVIDLWKWREGRYTEKLETWMRIQKRNRIYELGSLPPFLLVFAGDVERVGHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHSPSLFSDS >Vigun04g083100.1.v1.2 pep primary_assembly:ASM411807v1:4:12885491:12885804:-1 gene:Vigun04g083100.v1.2 transcript:Vigun04g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKLPNQDEKEIRVRDFVKTQYIVHLNCVLRDIHRSKVEGTSFKWCLKWIGL >Vigun08g103000.2.v1.2 pep primary_assembly:ASM411807v1:8:25584967:25588750:-1 gene:Vigun08g103000.v1.2 transcript:Vigun08g103000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPADPRNFKKTIGKILSVQYSVPDYVRVSMECRHLLSQIFVASPEKRITIPEIKNHPWFLRNLPIELMETGSWQINDVNNPSQTVEEVLSIVQEARKSLNVPIVGGLLNEGTMDLDDLEADEEDLEDVETSGEFVCPL >Vigun08g103000.1.v1.2 pep primary_assembly:ASM411807v1:8:25584967:25588750:-1 gene:Vigun08g103000.v1.2 transcript:Vigun08g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEILKDIGSGNFAVAKLVRENRTNELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPADPRNFKKTIGKILSVQYSVPDYVRVSMECRHLLSQIFVASPEKRITIPEIKNHPWFLRNLPIELMETGSWQINDVNNPSQTVEEVLSIVQEARKSLNVPIVGGLLNEGTMDLDDLEADEEDLEDVETSGEFVCPL >Vigun01g223900.1.v1.2 pep primary_assembly:ASM411807v1:1:39719866:39723428:1 gene:Vigun01g223900.v1.2 transcript:Vigun01g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYGNVLDKPLTRGKQEVSLSAFAFLFSELVQYNQTQVDNIGELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNKFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLQREARLG >Vigun03g018300.1.v1.2 pep primary_assembly:ASM411807v1:3:1312280:1314756:-1 gene:Vigun03g018300.v1.2 transcript:Vigun03g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIPLLLLLTFLISSIVSFIFLRRNHTKDDRRNPPGPPPFPVIGNLHMLGKLPHRTLQALAQQYGPIMSLRLGQVPTVVVSSSEAAQHFFKSHDVVFASRPILEASKYFGYGSKGLVFSEYGAYWRHMRKVCTLQLLSASKVESFAPLRKRELELAVKSVEARAARGEVLDLSMVVHDVVEDIVYKMVLGCSKHDEFDLKGLIQTRMNITGAFNLADYVPWLGSLDLQGLNRSFKKISTALDQVLEKIIKEHEQGSNAQNEQHHKDFIDILLSLMYQPIDPHDEQSHVIDQTNIKAILFDMIAGAFETSATVVEWTLSELLRHPRVMKKLQDELDNVVGRNKLVEENDLVKLNYLDIVIKETLRLYPPGPLVPRESTEDATVQGYFLKKKSRIIINLWAMGRDSEVWSDNAEVFYPERFIDNNLDFRGYDFKYTPFGFGRRGCPGINLGLATVKLVVAQLVHCFSWELPGGMAPDELDMNEKFGLTIPRVKHLFAVPRNRFLRESCNEYN >Vigun08g064900.1.v1.2 pep primary_assembly:ASM411807v1:8:9143549:9145007:1 gene:Vigun08g064900.v1.2 transcript:Vigun08g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLGPTFTIDVSSSPPPLFPSQAARFSADSSETSSSIGTPDDTDDENDAVSSQRNQNNSDHDDDEQEQQQVHNTLKSLASLDSLEDSLPIKRGLSNHFMGKSKSFTDLSQVNTVKELQKQENPFNKRRRVQIASKWSRKSSFYTWSNPKSMPLLPLLEDEDFYQHNPKKVSPSSSSSSSSEEKKHQHQLMETQQPQPKSYVDHMRLKFGSFKSRSLSDLKEHDEEEEDDDDDYQ >Vigun05g098000.1.v1.2 pep primary_assembly:ASM411807v1:5:9557987:9561625:-1 gene:Vigun05g098000.v1.2 transcript:Vigun05g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLVSTRVIIVASLCFLASMKLEAKTVDPYKILGVDKNASQREIQKAFHKLSLQYHPDKNKDKSAQEKFSQINNAYDILSDEEKRKNYDMYGDVNGNPGFQGGHPGFQGGHPGFQGGHPGGHGGYTYFTGGDPGQSHFNFKSGGDWQGGSKSFSFSTGGSGGSNSFGIGLDDIFGSIFGGGGGGSQFGGFGSSANTERTSKASPQRLTSINSRIYKKEIENAGMTWILLSYRPMSASKHIQYFESTVEEVAGTLQGAVKVGSINCDKEVSLCKELGIYPQKAPRIFVFSYKENEIGSLVEYSGQLAAKNLKAFVQEHLPRFSKRTNLNSLDQFSATAKLPRVLLLSTKKDTPVIWRVLSGLYHKRIAFSDAQVDDVSVSDPRVKNLGVHALPSIVGWLPTGEKRVLKIGISVKDLKSAVVDLSKILDSFVEASKKEASAQAKKAQTDPDDGRIPLLSQSNFEALCGAKAPVCIIGAFRSSKAREKLESILSLVSQKSLSRRPTGGSSSSRDSISYALLDAAKNQSFLKAFDKTGYKSSDKLLIAYKARRGKYSVFRDEMTIEEVENFVASVLSGDIPFRETRGKPVLEH >Vigun07g045100.1.v1.2 pep primary_assembly:ASM411807v1:7:4551869:4552910:-1 gene:Vigun07g045100.v1.2 transcript:Vigun07g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSISATGSWSAKDNKAFERALAVYDKDTPDRWNNVALAVGGKTPDEVKRHYELLLRDISQIESGQVPFPNYKKTAEFDEEGKRLKNMELQ >Vigun11g109700.1.v1.2 pep primary_assembly:ASM411807v1:11:31095669:31098182:1 gene:Vigun11g109700.v1.2 transcript:Vigun11g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIPTLLSPTLSLKPAFPSTQFQPLRPQFRRKLIGFSVKSPTFSLGRIFALNDKASNGDVLNGLDEAEKEARGNSTMPERFRYLTKEVPSPPVRWPWFVVMAFLIYAWRAVLFELSNWKNAAFAIARFIGYILKYAFAVVYHFIGSPITFSIRCMEDLFYTVRACYSWIIGNAPVPDLTLIIVLASIVLAIGEATVPNCINSQPYVLTISGVIGYAAVRGYVSEPLFWTLLVGVYAFSKFVKKRDDVSAAMPVAAVLAGVGEPWVRVLVIISYTALAIYQYSKMGLEGKEGGEDETSEMRLPIPLVLAAFAIGLRVAAKWAGYRHLTWMIV >Vigun10g144300.1.v1.2 pep primary_assembly:ASM411807v1:10:36163955:36169087:-1 gene:Vigun10g144300.v1.2 transcript:Vigun10g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNAAALTPLSVLSDTKTTRKQAPLSTCKGSSFSTFTDKKPTLLQSCLSKSLHGGLFLAASIVSGDVASALTYEEALGQPLSLPGAGDFDVNGFVESATGFATENPAIVAGGVAILALPLVLSQVFKKPKAWGVESAKNAYAKLGADENAQLLDIRAPVEIRQVGSPDVGGLRKKAVSIAYKGDDKPGFLKKLALKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPRGWKSSGLPWLAPKKTLGLDNLTDAISEVIGDSSDGVTVTLGIAAAATGLSLLAFSEIESILQVVGSAALIQFASKKLLFAEDRKQTVKQLDEFLNTKVAPKELVDEIKDIGKALLPTSTSKALPAPADTTSELATADSTAPTAVATPVTNANAVAPAVNSVPKAEIKEESLPVPPRPLSPYPYYPDFKPPTSPTPSQP >Vigun03g443900.1.v1.2 pep primary_assembly:ASM411807v1:3:64617199:64623369:1 gene:Vigun03g443900.v1.2 transcript:Vigun03g443900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGLAAGLNILSAFIFFVAFAILRLQPFNDRVYFPKWYLKGLRSDPVHGGAFVRKFVNLDWRAYIKFLNWMPAALRMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNSTSTGLEGAAKLDNITSSDIDKLSISNVHSESQRFWAHIVVAYAFTFWTCYILSKEYEKVAAMRLQFLASEKRRPDQYTVLVKNIPPDPDESVSELVEHFFLVNHPDNYLTHQVVYNANKLSKLVKKKKKLQNWLVYYQNKLERTSERPQVKTGFLGLCGVQVDAIDHHNTEIDRLSKEIALERDKVSNDPNSVIPAAFVSFKTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWSNLSIPYVSLTVRRLIVGVAFFFLTFFFMIPIAIVQSLASIDGIRKRAPWLKPIIDIGFIKSFIQGFLPGIALKLFLIFLPTILMIMSKFEGFGSISSLERRSASRYYIFNLVNIFLGNILTGTAFEQLDSFIHQPANEYPVTIGTAIPLKASFFITYIMVDGWAGIAAEVLMLKPLIIYHLKNFFLVKTEKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALIVSQVVLMGLLTTKKAASSTPFLIVLPILTIWFHRYCKGRFEPAFVRYPLQEAMMKDTLERATEPNLNLKAYLQNAYVHPVFKASLGEDDDEDEEAVSLKWENESITVPTKRQSRKNTPLPSRISGASSPSLPDAIRNQPEP >Vigun06g020500.1.v1.2 pep primary_assembly:ASM411807v1:6:9786355:9786869:1 gene:Vigun06g020500.v1.2 transcript:Vigun06g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVASIFMVMLFLSTNIENEGGLKMVEGTRVEKTLFEVCTDILNNNCGDMNQCLNLCRKKYGFLASGECNNKKQCVCTHPC >Vigun06g050100.1.v1.2 pep primary_assembly:ASM411807v1:6:17558307:17561479:-1 gene:Vigun06g050100.v1.2 transcript:Vigun06g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYAARTFHIPAMNGGVFFRRASWAAGIGGATVAGVSLLRSCSSKRSLPFACRSISNDSRIKEAVQTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFISDDVEDQTEQLLKNMGEILKSGGAGYSSVVKTTILLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPMDAKIEVECIAAL >Vigun05g196400.1.v1.2 pep primary_assembly:ASM411807v1:5:37953302:37959611:-1 gene:Vigun05g196400.v1.2 transcript:Vigun05g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALGEEEDEEEQERDQDQDQDCFYDSNDRLLSLSSSCSCSNSNSDNDETENEPQPHRNDTLPNFPLTNNYDIWISQPTSVSERRTRLLLSLGLSSLTRQNPHPPPRDRVSPIRSKSDVTTTNTTTTTAAAATSSSAGAANPRPPNPKPPSEELCTIRDLDNGKEFVVNDWENLREVATGRHLTMEEFEMTVGHSPIVQELMRRQNVEEASVDSNATGSKVKKKGGGWLKSIKSVASSVAGYRDRRSSDERDTSSEKGGRRSSSATDDSQDAAFHGPERVRVKQYGKSCKEVTALYKSQEIQAHSGSIWSIKFSLDGKYLASAGEDCVIHVWQVVEGERKGELLLLDREKGEDGGSVNMFLVVNGSPEPTAAAMLSPLRDVERKRKGRSSVSRKSLSLDQFVVPQTVFALTDKPVCSFQGHLHDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKIFSHSDFVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRKVVDWTDLHEMVTAACYTPDGQGALVGSYKGSCHLYNTSENKLHQKSQINLQNKKKKSHHKKITGFQFAPGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNATSPISASLTANGKYVVAASEDSHVYIWKNEADCRPNRSKGVTVTRSYEHFHCKDVSVAIPWPGMGDDAWDIQDTFSGEQPELDNNVDEVASANHPPTPVEENFVSEGSRSASGYNNSPRHATIASATNSYFFDRISATWPEEKLVLAARNQSPRVSMDFSNGASQKMSAWGMVIVTAGLRGEIRTFQNFGLPLRI >Vigun07g011800.1.v1.2 pep primary_assembly:ASM411807v1:7:1005311:1013459:1 gene:Vigun07g011800.v1.2 transcript:Vigun07g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEEPVVSRNSGLLFEKRLIERHILDYGKCPITGEPLTMDDIVPIKTGKIVKPRPVQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQYPVSALNAPTANAPVLSNGKRAAEDEDLAPGAKKIHPGISSSIISELTDCNAALSQQRKKRQIPGTLAPIEALESYTQISSHPFHKTNKQGIISLDILYSKDLIATGGIDTNAVIFDRPSGQILSTLSGHSKKVTSVKFVAQGESILTGSADKTVRLWQGSDDGNYNCRHILKDHTAEVQAVTVHATNNYFVTASLDGSWCFYELSSGTCLTQVFDTSGSSEGYTSAAFHPDGLILGTGTTESLVKIWDVKSQANVARFDGHAGPVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRNFAPYDSETPTNSVEFDHSGSYLAIAGSDIRIYQVANVKSEWNCIKTFPDLSGTGKATCVKFGADSKYIAVGSMDRNLRIFGLPGEDAPTES >Vigun08g191200.1.v1.2 pep primary_assembly:ASM411807v1:8:35886697:35889313:1 gene:Vigun08g191200.v1.2 transcript:Vigun08g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQTELQSDFKLVGFKNFVRTNPKSDRFQVNRFHHIEFWCTDAINAASRFSWGLGMPIVAKSDLSTGNLIHASYLLRSGDLSFLFSAPYSPSISTGAAATAAIPTFDAAACLSFAAKHGLGVRAIALEVADAEAAFSASVAHGAEPVSSPALLEGRTGFAEVRLYGDVVLRYVSYKDASHVSDTNPSRWFLPGFEASAAGFRELDYGIRRLDHAVGNVPELAPAVSYLKNFTGFHEFAEFTAEDVGTSESGLNSVVLANNSETVLLPLNEPVYGTKRRSQIETYLEHNEGAGVQHLALVSNDIFRTLREMRKRSFVGGFEFMPSPPPTYYANLHKRAGDVLTVDQIKQCEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIERVGCMVEDEEGKVYQKGACGGFGKGNFSELFKSIEEYEKTLLEARTTS >Vigun09g270000.1.v1.2 pep primary_assembly:ASM411807v1:9:43252991:43255685:1 gene:Vigun09g270000.v1.2 transcript:Vigun09g270000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYDDAANLRDRFEENKHVEDPDTIDRLIADAEASYNKWRHPDPYIVPWAPGGSKFTRNPVPPQGIEIVYDYGREDNN >Vigun02g009900.1.v1.2 pep primary_assembly:ASM411807v1:2:3898336:3912760:-1 gene:Vigun02g009900.v1.2 transcript:Vigun02g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRENHSNGYEQVGGMGHTHSHIHRERVRRGARRSRGSSSSHSLRVLEQSQPHHHRPPCTDFDLAYFHSYAHLGIHQEMIKDRVRTETYREAIMQHQSFIAGKVVVDVGCGTGILSIFCAQAGAKRVYAIDASDIALQANEVVKANNLSDVVVVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVINARDRWLKPGGLILPSSATLYMAPVTHTDRYNDSVDFWRNVYGINMSAMVPLAKQCAFEEPSVETITGENVLTWPHVVKYIDSYSVTINELESVTTKFKFNSMMRAPLHGFAFWFDVEFNGNAIASTNYNSTTSFIDNHQMNGSQRKKRTNPNEALVLSTAPEDPPTHWQQTLIYFYDPIELEQDQLIEGLVTLSQSRENARFMNIHLEYTSGGRSHVKESVMR >Vigun02g009900.2.v1.2 pep primary_assembly:ASM411807v1:2:3898336:3912760:-1 gene:Vigun02g009900.v1.2 transcript:Vigun02g009900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRENHSNGYEQVGGMGHTHSHIHRERVRRGARRSRGSSSSHSLRVLEQSQPHHHRPPCTDFDLAYFHSYAHLGIHQEMIKDRVRTETYREAIMQHQSFIAGKVVVDVGCGTGILSIFCAQAGAKRVYAIDASDIALQANEVVKANNLSDVVVVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVINARDRWLKPGGLILPSSATLYMAPVTHTDRYNDSVDFWRNVYGINMSAMVPLAKQCAFEEPSVETITGENVLTWPHVVKYIDSYSVTINELESVTTKFKFNSMMRAPLHGFAFWFDVEFNGNAIASTNYNSTTSFIDNHQMNGSQRKKRTNPNEALVLSTAPEDPPTHWQQTLIYFYDPIELEQDQLIEGLVTLSQSRENARFMNIHLEYTWSIACERVCYEMIV >VigunL015100.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:472057:472427:1 gene:VigunL015100.v1.2 transcript:VigunL015100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSKKSKSKRVSLKKKYKVIRKVKEHNRKKAKEAKKLRLCGKNKVKKDPGIPNNWPFKEQEFKALEARRTKAIEELEQKKAERKERVIV >Vigun11g159800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36733061:36734204:-1 gene:Vigun11g159800.v1.2 transcript:Vigun11g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMHGMGGMAPSPPTANDTMGTMMHHKMMMHMTFFWGKDTDILFQNWPGGKSNMYVLALVFVFVISVFVQLLSHTRFIKPGSNHVAAGLFKTLLFAFRVGLAYLVMLSIMSFNGGVFLVAVLGQTLGFLITTFAFNNKSPHDEGFDLPPISC >Vigun11g066250.1.v1.2 pep primary_assembly:ASM411807v1:11:16267880:16270167:-1 gene:Vigun11g066250.v1.2 transcript:Vigun11g066250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWSSTIMVLKAIKPWSLKVFVAVWCCILHPQGVQIFLQLVYTSKPSTKASFGTKVLLGVHHFVVQNCGVQVGLGSSFQHQFQAFLLAIVACNCCIF >Vigun10g004100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:378449:380228:-1 gene:Vigun10g004100.v1.2 transcript:Vigun10g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLTYSFTFFFILILILTSSSLSSHVATFTPPPTKISITSHNIQSLNNADKGTKIAGISQFKRYLHRFGYLRNNNNDSFSFNDEYDVVLESALVRYQGNLGLQVTGKLDSDTVAQMITPRCGDPDTNTRPHNHDHNHNHNHVHSSKNFVFFPGKPRWSRSMPMTLTYAFSRENMIRGGLSMKEIREAFQRAFSRWASVIPVSFVEVSDSEVSDLKIGFYKGEHGDGEPFDGVLGVLAHSFSPEIGRLHLDAAETWAVDFEATVSEVAVDLESVATHEIGHLLGLSHSSVKEAVMYPSLRPRDKRADLNIDDIKGVQSLYGSNPNFRSEWSLESDMSANKGSNFVKPLKLASGLIIIISLMHSLCTL >Vigun03g345100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54426382:54427135:-1 gene:Vigun03g345100.v1.2 transcript:Vigun03g345100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTMGNNETGKFEWSWNSSASFLYGGLAPIFGVIGLALVVVVCSRCRRSGREGEMNVRRGVTAAVTEIEEGPNILVIVAGEHHPTHLAKPLPSSTHNQ >Vigun07g270800.2.v1.2 pep primary_assembly:ASM411807v1:7:38649960:38650675:-1 gene:Vigun07g270800.v1.2 transcript:Vigun07g270800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGDLHSLCPLSYPGGSVFKKGQASGGFMSSGFILAVIGAPLKLSIICVSFSLFSILSEIVFSVFASSSWCMRHCILSDNSLKPPVSMRLRSKRTCCGVECYGGFHIQRFSCLFIFLRGDLT >Vigun05g247800.2.v1.2 pep primary_assembly:ASM411807v1:5:44131010:44135801:1 gene:Vigun05g247800.v1.2 transcript:Vigun05g247800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSWFFDNNFNGLSDDIFDDVVGFFDFPLEEVEDDWDSQFKCLEDQHSEVFSASSNGLCAKPQTENPQFGTEFSVSCNGISPIKQLAKAPGPTYGKTIPLKNVTFNGKDLHQFRTYSPVSVFESSSSSSVENLSFDRPVIPVKRARSKRQRRSSFSPLFSIPFVQALQKQQRASGSESDFGANVVGNMSNKVKSHKKKDLSLLSEDVEMMRSSHLVSDPPRKCMHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASLHSNCHKKVVEMRSKVQEGVEGSVFASSNLHGNSVG >Vigun05g247800.1.v1.2 pep primary_assembly:ASM411807v1:5:44131010:44135801:1 gene:Vigun05g247800.v1.2 transcript:Vigun05g247800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDIANMKDSWFFDNNFNGLSDDIFDDVVGFFDFPLEEVEDDWDSQFKCLEDQHSEVFSASSNGLCAKPQTENPQFGTEFSVSCNGISPIKQLAKAPGPTYGKTIPLKNVTFNGKDLHQFRTYSPVSVFESSSSSSVENLSFDRPVIPVKRARSKRQRRSSFSPLFSIPFVQALQKQQRASGSESDFGANVVGNMSNKVKSHKKKDLSLLSEDVEMMRSSHLVSDPPRKCMHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASLHSNCHKKVVEMRSKVQEGVEGSVFASSNLHGNSVG >Vigun01g156500.2.v1.2 pep primary_assembly:ASM411807v1:1:33866508:33869393:1 gene:Vigun01g156500.v1.2 transcript:Vigun01g156500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSTPSSGSGKKVRKPYTITKSRESWSEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPFPASLAYVSSTWDENFLPMNSGSSRSLPHQDELTNFHGDEADIGSKGVTIIGNSCVSNVGNSTRKLSATEMPKQGKQTQVLHGLPDFAEVYSFIGSIFDPDTKGHVQKLKEMDPINFETVLLLMKNLTLNLSGPDFVSVRNAISSHDGNTELRQELMSRNRQTMYHVKQLNKR >Vigun01g156500.1.v1.2 pep primary_assembly:ASM411807v1:1:33866208:33869403:1 gene:Vigun01g156500.v1.2 transcript:Vigun01g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSTPSSGSGKKVRKPYTITKSRESWSEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPFPASLAYVSSTWDENFLPMNSGSSRSLPHQDELTNFHGDEADIGSKGVTIIGNSCVSNVGNSTRKLSATEMPKQGKQTQVLHGLPDFAEVYSFIGSIFDPDTKGHVQKLKEMDPINFETVLLLMKNLTLNLSGPDFVSVRNAISSHDGNTELRQELMSRNRQTMYHVKQLNKR >Vigun01g156500.3.v1.2 pep primary_assembly:ASM411807v1:1:33866794:33869393:1 gene:Vigun01g156500.v1.2 transcript:Vigun01g156500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGKKVRKPYTITKSRESWSEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPFPASLAYVSSTWDENFLPMNSGSSRSLPHQDELTNFHGDEADIGSKGVTIIGNSCVSNVGNSTRKLSATEMPKQGKQTQVLHGLPDFAEVYSFIGSIFDPDTKGHVQKLKEMDPINFETVLLLMKNLTLNLSGPDFVSVRNAISSHDGNTELRQELMSRNRQTMYHVKQLNKR >Vigun03g236500.1.v1.2 pep primary_assembly:ASM411807v1:3:39317411:39322878:-1 gene:Vigun03g236500.v1.2 transcript:Vigun03g236500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQTEVGDGSSSPSPKKDKKKQKINQDNDSDPSRKSSDSDSNPPAPTDSPAPTDSPDSDSTASKDSPNSKDSLDSDSKDSPASKDSPDSKNFPTSTDSSDSKYFPTSTDSSDSDSPASKDSPTSKDSPDSKDSPGSDSADSDSPESRSSKSPPSPSPSPSPPPSTSPSASPPPSTSSSPSPSPPPSTSPPPSPSPSPPPPSKTSSQPKPSSEDSNPSSQPQSSKDSSSPPPLPSLPSPPQPSSSLSPSPSPSPSPSPSSPPPRFQQPPSRQYSPPPPPRPRSPPPPLPQPSPAPSPLPPGSPPSNRQAEQNENSSSTPPSTQFHAPPPLSWQNWRDASITDSKAGTSRKSNSTRSTPPTNEDVNSQTNSTVSSVAPSSGDHSEKYVAYTVAGLLALALVAVAIAIAFAFRRRKSRDDSDGAPYMTPLDIRVKTGANGHYYVQQPSFANNYANGNAKLKRFGSSLDSAQPANGPMIFTYDMVMEITNAFSSKNVIGEGGFGFVYKGYLPDGKVVAVKQLKAGSGQGEREFKAEVDIISRVHHRYLVSLVGYCVSEQQRILIYQYVPNGTLHHHLHGSGMPVLDWVKRLKIAIGAAKGLAYLHEDCSQKIIHRDIKSENILLNDAFEAKVADFGLARLADAANSHVSTRVMGTFGYMAPEYATSGKLTDRSDVYSFGVVLLELVTGKKPIDQTRPIGDENLVEWARPLLLRAMETRNFSEIVDPRLEKHFVESEMSRMVEAAAACVRHSAPKRPRMFQVVRALDTGDEKCDLSNGVKYGQSTVYDSGQYDRDILVYRRMANGTFFDSDFNTYSRECSLSKQTSGGSEQNLMRLSSSGESETRAINWNMSSTEFKR >Vigun03g236500.2.v1.2 pep primary_assembly:ASM411807v1:3:39317411:39322878:-1 gene:Vigun03g236500.v1.2 transcript:Vigun03g236500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQTEVGDGSSSPSPKKDKKKQKINQDNDSDPSRKSSDSDSNPPAPTDSPAPTDSPDSDSTASKDSPNSKDSLDSDSKDSPASKDSPDSKNFPTSTDSSDSKYFPTSTDSSDSDSPASKDSPTSKDSPDSKDSPGSDSADSDSPESRSSKSPPSPSPSPSPPPSTSPSASPPPSTSSSPSPSPPPSTSPPPSPSPSPPPPSKTSSQPKPSSEDSNPSSQPQSSKDSSSPPPLPSLPSPPQPSSSLSPSPSPSPSPSPSSPPPRFQQPPSRQYSPPPPPRPRSPPPPLPQPSPAPSPLPPGSPPSNRQAEQNENSSSTPPSTQFHAPPPLSWQNWRDASITDSKAGTSRKSNSTRSTPPTNEDVNSQTNSTVSSVAPSSGDHSEKYVAYTVAGLLALALVAVAIAIAFAFRRRKSRDDSDGAPYMTPLDIRVKTGANGHYYVQQPSFANNYANGNAKLKRFGSSLDSAQPANGPMIFTYDMVMEITNAFSSKNVIGEGGFGFVYKGYLPDGKVVAVKQLKAGSGQGEREFKAEVDIISRVHHRYLVSLVGYCVSEQQRILIYQYVPNGTLHHHLHGSGMPVLDWVKRLKIAIGAAKGLAYLHEDCTWLQNMQQVEN >Vigun03g236500.3.v1.2 pep primary_assembly:ASM411807v1:3:39317411:39322878:-1 gene:Vigun03g236500.v1.2 transcript:Vigun03g236500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQTEVGDGSSSPSPKKDKKKQKINQDNDSDPSRKSSDSDSNPPAPTDSPAPTDSPDSDSTASKDSPNSKDSLDSDSKDSPASKDSPDSKNFPTSTDSSDSKYFPTSTDSSDSDSPASKDSPTSKDSPDSKDSPGSDSADSDSPESRSSKSPPSPSPSPSPPPSTSPSASPPPSTSSSPSPSPPPSTSPPPSPSPSPPPPSKTSSQPKPSSEDSNPSSQPQSSKDSSSPPPLPSLPSPPQPSSSLSPSPSPSPSPSPSSPPPRFQQPPSRQYSPPPPPRPRSPPPPLPQPSPAPSPLPPGSPPSNRQAEQNENSSSTPPSTQFHAPPPLSWQNWRDASITDSKAGTSRKSNSTRSTPPTNEDVNSQTNSTVSSVAPSSGDHSEKYVAYTVAGLLALALVAVAIAIAFAFRRRKSRDDSDGAPYMTPLDIRVKTGANGHYYVQQPSFANNYANGNAKLKRFGSSLDSAQPANGPMIFTYDMVMEITNAFSSKNVIGEGGFGFVYKGYLPDGKVVAVKQLKAGSGQGEREFKAEVDIISRVHHRYLVSLVGYCVSEQQRILIYQYVPNGTLHHHLHVLDWVKRLKIAIGAAKGLAYLHEDCSQKIIHRDIKSENILLNDAFEAKVADFGLARLADAANSHVSTRVMGTFGYMAPEYATSGKLTDRSDVYSFGVVLLELVTGKKPIDQTRPIGDENLVEWARPLLLRAMETRNFSEIVDPRLEKHFVESEMSRMVEAAAACVRHSAPKRPRMFQVVRALDTGDEKCDLSNGVKYGQSTVYDSGQYDRDILVYRRMANGTFFDSDFNTYSRECSLSKQTSGGSEQNLMRLSSSGESETRAINWNMSSTEFKR >Vigun01g192200.2.v1.2 pep primary_assembly:ASM411807v1:1:37026750:37033072:-1 gene:Vigun01g192200.v1.2 transcript:Vigun01g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMEGGKPRNQKSKKKQNLQRQKRGPRLPSSLQKQIDRLNPTSPLDSADSDDDNDLYEYEEERAEEESRKNKRYDPVSVKDDLSEEIEDENVQSDDESGDSDYIGTKRDENVQSDDSGEEDDGRHARMLQAITGMPSEAFEENKKKKKTMNDFVIPELYPESEYNPSREVVEGNARITIEDLLNPLSEKPTYAKLRKRELQIMKNARTVHAPLSKAVQAKVERTAAYEISKKDVSKWQHIIQRNREAPTIFFDENVNLGFSTVGAIASEFEPRTEFEKKMAALVYDNELTDAYKNDGSKLLEMNKVSIEDEKERRNRIAKMRSLLFRHELKAKHIKKIKSRTFHRLLKKDRLKAEASQIQMDPEAAKEYAMKRERERAEERMTLKHKNQNRWAKRIIQRGLNSQDEGTRAAIAEQHQRHAELTRKMHSMKDSSSSSEDTSDEDENENSAGSDQERAYKLLGKARDKTMKVLEEEDEVPKSGLLSLPFMRRGLEKRREAAVEEANLAFQEYEDSLKKLENSGGLEDPKAASSSGRRVFGPAKAQTSHTSVKVKSDNSYGGSDSEDDLGFGKSGNLENMGSHHLHNKDSVVIQEDTDTHKESLVKTIDDIIENPGPKTTYEVSIFVSDQWKKAKNKNENTTIKKSPNLTGPVRQNKKDAEKEIGEDSDTDSEGQMVDGILSSVSKVPYELPSQEELIRQAFAGDDVEGDFEKDKQEILEEENPEPEKPLLLPGWGQWTHIQEKKGLPSWMLKKHEDAQKKRAEALKKRKDAQLKNVIISEKIDKKAEKLHTKSLPFPFTSKEVFEQSMRVPIGPEFNPATAIGPLNRPEVVKKTGVIIKPVEFEDMNPHEKSEQRSGDKRKFKKTSDKPVKKAKVGGKK >Vigun01g192200.1.v1.2 pep primary_assembly:ASM411807v1:1:37026750:37033072:-1 gene:Vigun01g192200.v1.2 transcript:Vigun01g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMEGGKPRNQKSKKKQNLQRQKRGPRLPSSLQKQIDRLNPTSPLDSADSDDDNDLYEYEEERAEEESRKNKRYDPVSVKDDLSEEIEDENVQSDDESGDSDYIGTKRDENVQSDDSGEEDDGRHARMLQAITGMPSEAFEENKKKKKTMNDFVIPELYPESEYNPSREVVEGNARITIEDLLNPLSEKPTYAKLRKRELQIMKNARTVHAPLSKAVQAKVERTAAYEISKKDVSKWQHIIQRNREAPTIFFDENVNLGFSTVGAIASEFEPRTEFEKKMAALVYDNELTDAYKNDGSKLLEMNKVSIEDEKERRNRIAKMRSLLFRHELKAKHIKKIKSRTFHRLLKKDRLKAEASQIQMDPEAAKEYAMKRERERAEERMTLKHKNQNRWAKRIIQRGLNSQDEGTRAAIAEQHQRHAELTRKMHSMKDSSSSSEDTSDEDENENSAGSDQERAYKLLGKARDKTMKVLEEEDEVPKSGLLSLPFMRRGLEKRREAAVEEANLAFQEYEDSLKKLENSGGLEDPKAASSSGRRVFGPAKAQTSHTSVKVKSDNSYGGSDSEDDLGFGKSGNLENMGSHHLHNKDSVVIQEDTDTHKESLVKVMTIDDIIENPGPKTTYEVSIFVSDQWKKAKNKNENTTIKKSPNLTGPVRQNKKDAEKEIGEDSDTDSEGQMVDGILSSVSKVPYELPSQEELIRQAFAGDDVEGDFEKDKQEILEEENPEPEKPLLLPGWGQWTHIQEKKGLPSWMLKKHEDAQKKRAEALKKRKDAQLKNVIISEKIDKKAEKLHTKSLPFPFTSKEVFEQSMRVPIGPEFNPATAIGPLNRPEVVKKTGVIIKPVEFEDMNPHEKSEQRSGDKRKFKKTSDKPVKKAKVGGKK >Vigun08g183300.1.v1.2 pep primary_assembly:ASM411807v1:8:35289896:35294409:-1 gene:Vigun08g183300.v1.2 transcript:Vigun08g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFITCISFFLFLKPIVSLKPLPSWAGEVRLISPFFYKDLLVKFISKLFRNQFWISFSCPIPTPMSLVKKTLTSKVESVEDSQNMSVLDLPDLVLECILEKLPPAPLCQMASVCRSLRERCVSDHLWERHMKQKWGRVIGEAAYREWKWHVASKGNAETLRYGRQRSLMRLVSLHWPFSWMRTKVDTNNTGKESTYLPPDSLMRWYLALETGDFWFPAQVYNRENGHVGFMLSCYDAELSYDPQTDTFQARYPPHGRRAVAVEHGIPWERLRAPPIDTPPHDLHVSDCLHDLHPGDHVEIQWRRNKEFPYGWWYGIVGHLELCNGNESYCRCHSSDMVVLEFNQYTSDSRWRKTTISRKDHREEGNEADGFYGGIRKLKTEAEISLWKSLWPSETLD >Vigun08g183300.2.v1.2 pep primary_assembly:ASM411807v1:8:35289896:35294409:-1 gene:Vigun08g183300.v1.2 transcript:Vigun08g183300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFITCISFFLFLKPIVSLKPLPSWAGEVRLISPFFYKDLLVKFISKLFRNQFWISFSCPIPTPMSLVKKTLTSKVESVEDSQNMSVLDLPDLVLECILEKLPPAPLCQMASVCRSLRERCVSDHLWERHMKQKWGRVIGEAAYREWKWHVASKGNAETLRYGRQRSLMRLVSLHWPFSWMRTKVDTNNTGKESTYLPPDSLMRWYLALETGDFWFPAQVYNRENGHVGFMLSCYDAELSYDPQTDTFQARYPPHGRRAVAVEHGIPWERLRAPPIDTPPHDLHVSDCLHDLHPGDHVEIQWRRNKEFPYGMFFYFYCYYR >Vigun05g277700.1.v1.2 pep primary_assembly:ASM411807v1:5:46717612:46725487:1 gene:Vigun05g277700.v1.2 transcript:Vigun05g277700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGLDSMSWIWRQLVFEKRTLLRNRCRFIYNSNLVDEIVITCPPRNSTFRRTVLGRCSVKGGTSGEMASISNLTNALPLHIRRFRPCGYASSLSTLSCSLAEDVDPKPPIPKPNSFFPKRGQTLELVCESLAFKGKGLCKVTETGFVVMCDRALPGEHFIGRVTRKKGNYAEVTKVKTITPPRDIVDAPCVYAPYCGGCKTQNLSYEAQLRAKEEQVRDLLIHVGRFSRELVQLHGVMKPIVACDIQFHYRNKMEFSFGPQRWLPRESLHERRVDDADNENENFALGLHAPGFFDKILNVDKCLLQSHPANKVLAAIQECWRDPQLGFSPYNVHSHKGFLKHLMLRTGRDITTGQPEVMVNFVSSSYKPDLLKFLVDKASAFPEVVSVMNNVNTSVGNTSVGEEEYTLYGKSSIRETLRGLTFQISANSFFQTNTYQAEVLYKLIEDCAGVKGDGSEIVLDLFCGTGTIGLTLARRVRHVYGYEVVPQAIADACLNAKINGIQNATFIQGDLNKIDENFGKNFPKPDIVISDPNRPGMHMKLIKFLLNLKAPRIVYVSCNPATCARDLDFLCHGVAEQNIEGCYKLISLQPVDMFPHTPHIECVCLLELQ >VigunL003500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:23760:25506:1 gene:VigunL003500.v1.2 transcript:VigunL003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGDVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQLFFVAEVFTGSAGKYVGLLETIRGFNLILSGELDGLPEQAFYLVGNIDEATTKATNLETESNLKK >Vigun07g238200.1.v1.2 pep primary_assembly:ASM411807v1:7:35975608:35976363:-1 gene:Vigun07g238200.v1.2 transcript:Vigun07g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYVALLLVVCLITAASVDAQETQQPCFEHCVSFDCGENPSNFCKFLCGFGCSAAGMSTNLVESHGVWAEGPESSLAEAPQFSRKVQAHW >Vigun01g187600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36737311:36737806:1 gene:Vigun01g187600.v1.2 transcript:Vigun01g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITSLVAEKPVVIFSKSTCCLSHSMTSLIRSFGANLTVHELDEMANGQQIESALLQMGCQPSVPAVFIGKRLIGGSKKIMSLHLSNELIPLLKNAGAIWI >Vigun10g089500.1.v1.2 pep primary_assembly:ASM411807v1:10:25861928:25862831:1 gene:Vigun10g089500.v1.2 transcript:Vigun10g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFNLCYHLACTLSLLRCEVQCINNVSASGLKFVIIGRRRTCNMQATMPKKIHEIKDF >Vigun01g088400.1.v1.2 pep primary_assembly:ASM411807v1:1:24686882:24689771:1 gene:Vigun01g088400.v1.2 transcript:Vigun01g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKNYLITVHYYRNQTCVLTFILCLCLFLTLAPHAMDRSSFLYHYSQRRVAGSLSGFFFIPTTLALFTSLFILFYIYSTSNLFSHPNHHATSLFKPPFLFSTTPSISTPPHFDPVFRNNASDFTKSHSFRLGYELRPQSQRGLPLPLQFSPKGKIENNNDVFHDRDIFLEDYKEMNRSLKIYVYPHKEDDPFANVLLPVESEPGGNYASESYLKKVLMKSHFITIEPAEADLFFLPFSIARLRHDRRVGVGGIQDFIRDYIQNISHKYPYWNRTGGADHFYVACHSIGRSAMDKAPDVKFNAIQVVCSSSYFLTGYIAHKDTCLPQIWPRKGNPPNLDSSKRKRLAFFAGGVNSPVRVKLLETWKNDSEIFVHHGRLKTPYADELLGSKFCLHVKGFEVNTARIGDSLYYGCVPVIIANYYDLPFADVLNWKSFSVVVTTLDIPLLKKILNDFISSNKYLMLHKNVLKVRKHFQWHSPPHDFDAFYMVMYELWLRRSSIKIS >Vigun07g024500.1.v1.2 pep primary_assembly:ASM411807v1:7:2195478:2198560:1 gene:Vigun07g024500.v1.2 transcript:Vigun07g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPFPLLPVTHSDPNLINRSPVMVQLNDATTTSLHPSCTFGSAAAAPSLLLDPSQPSDQPLPPIGKPTNGSDESSGGGETQSLRQQRKKQGESLMEDERRREEEGGGGHKGNDTRKGRISWSETVSPSSPPKQDGRWSEGEKAFPPKKRRGAFESATEDNSNDEEDNDDDKRGSKMKMKRMKTKMNRKCSRRGGGEEEEEEDEYEEEVARETKGEVHVGKKRVRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNKSNIGTTSGAPKSNNNAKSESSSLEKQTPKSFTEDPLGKDAAENVVDEKKPVIVTKRRMKLGMVKARSISSLLGQSNTEIVAIAEDSDNK >Vigun08g112000.2.v1.2 pep primary_assembly:ASM411807v1:8:27780218:27789849:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.1.v1.2 pep primary_assembly:ASM411807v1:8:27780218:27789849:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.5.v1.2 pep primary_assembly:ASM411807v1:8:27780405:27789918:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.3.v1.2 pep primary_assembly:ASM411807v1:8:27780405:27789891:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.6.v1.2 pep primary_assembly:ASM411807v1:8:27780218:27789849:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.4.v1.2 pep primary_assembly:ASM411807v1:8:27780409:27789892:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQGLSMGVLNLAIVVPQIIVSLGSGPWDQLFGGGNSPAFAVGAVSTIISGLMAILAIPRSGAQKARSHA >Vigun08g112000.7.v1.2 pep primary_assembly:ASM411807v1:8:27780217:27789849:-1 gene:Vigun08g112000.v1.2 transcript:Vigun08g112000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEANRSQNSRSRARPSTSAAPRQPVRARASLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHQWASIIWLCGPVSGLFVQPLVGHMSDRCTSRFGRRRPFIFVGAVAIVIAVVIIAYAADIGWILGDTATYRPAAITVFIIGFWILDVANNVTQGPCRALLSDLTSKDSRRTRVANAYYSLFMAIGNILGYATGSYSGWYKIFPFTLSPACTISCANLKSAFFLDIAFIAVTTYISIMAAHEVPLNSNVVAHAEAVAEESGSAEEAFMWELFGTFKYFSTPVWIILSVTALTWTGWFPFTLFDTDWMGREIYGGDPNQGLIYDTGVRMGALGLLLNSVVLALTSLLMERLCRKRGAGFVWGISNIVMTFCFLAMLVLTYVASSMGYAGKDLPPPGIVIAALIIFTILGFPLAITYSVPYALISTHIESLGLGQG >Vigun01g243500.1.v1.2 pep primary_assembly:ASM411807v1:1:41240468:41245919:-1 gene:Vigun01g243500.v1.2 transcript:Vigun01g243500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCSLFVITLLLLLRSHVLCQLEEFISIDCGGTSNYTDKRTGLSWISDSGMMKHGKSVLVQNRSENKVQYQRRRDFPIDSRKYCYTLGSEQRRRYLVRATFQYGILDDGDTYPQFQLYLDATKWATVSIYDATRTYVKEMIFRAPSNSVDVCVCCATTGSPFISTLELRPLNLSMYATDFESSFFLKVAARINFGAPSEDVVRYPDDPYDRIWESDLVKRQNYLVGVAPGTERINTTKKIEIETREYPPVKVMQTAVVGTKGALSYRLNLEDFPGNARAYAYFAEIEDLANNETRKFKLEQPYIADYSNAVVNIAENANGTYTLYEPSYMNVSLEFVLSFSFVKTRDSTQGPILNAMEISKYVQIASKTDRQDSNFVNAFHFLSPGSVLMNEGDPCVPTTWDWVNCSTTTPPRITKINLSRRNLKGEIPQDLNNMESLTELWLDGNLLTGQLPDMSNLVNLKIVHLENNRLTGPLPSYLGSLPSLQALFIQNNSFSGVIPSGLLSGKIIFNFDDNPELHKGSKKHFQLMLGISIGVLGILLILFLASLILLLNLRRKTSRQKRDEKGISGRSSTKPLTGYSFGRGGNLMDEGTACYITLSELKEATNNFSKKIGKGSFGSVYYGKMSDGKEVAVKTMTDPSSYGNQQFVNEVALLSRIHHRNLVPLIGYCEEEYQHILVYEYMHNGTLREYIHECSNQKQLDWLARLRIAEDAARGLEYLHTGCNPSIIHRDVKTTNILLDINMRAKVSDFGLSRLAEEDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSSEDYGPEMNIVHWVRSLIRKGDVISIMDPSLVGNAKTESVWRVAEIAMQCVEQHGAHRPKMQEVILAIQDASSIEKGTENQLKLSSSGASKPQSSRKTLLASFLEIESPDLSNTCLPSAR >Vigun01g243500.2.v1.2 pep primary_assembly:ASM411807v1:1:41240468:41245919:-1 gene:Vigun01g243500.v1.2 transcript:Vigun01g243500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHGKSVLVQNRSENKVQYQRRRDFPIDSRKYCYTLGSEQRRRYLVRATFQYGILDDGDTYPQFQLYLDATKWATVSIYDATRTYVKEMIFRAPSNSVDVCVCCATTGSPFISTLELRPLNLSMYATDFESSFFLKVAARINFGAPSEDVVRYPDDPYDRIWESDLVKRQNYLVGVAPGTERINTTKKIEIETREYPPVKVMQTAVVGTKGALSYRLNLEDFPGNARAYAYFAEIEDLANNETRKFKLEQPYIADYSNAVVNIAENANGTYTLYEPSYMNVSLEFVLSFSFVKTRDSTQGPILNAMEISKYVQIASKTDRQDSNFVNAFHFLSPGSVLMNEGDPCVPTTWDWVNCSTTTPPRITKINLSRRNLKGEIPQDLNNMESLTELWLDGNLLTGQLPDMSNLVNLKIVHLENNRLTGPLPSYLGSLPSLQALFIQNNSFSGVIPSGLLSGKIIFNFDDNPELHKGSKKHFQLMLGISIGVLGILLILFLASLILLLNLRRKTSRQKRDEKGISGRSSTKPLTGYSFGRGGNLMDEGTACYITLSELKEATNNFSKKIGKGSFGSVYYGKMSDGKEVAVKTMTDPSSYGNQQFVNEVALLSRIHHRNLVPLIGYCEEEYQHILVYEYMHNGTLREYIHECSNQKQLDWLARLRIAEDAARGLEYLHTGCNPSIIHRDVKTTNILLDINMRAKVSDFGLSRLAEEDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSSEDYGPEMNIVHWVRSLIRKGDVISIMDPSLVGNAKTESVWRVAEIAMQCVEQHGAHRPKMQEVILAIQDASSIEKGTENQLKLSSSGASKPQSSRKTLLASFLEIESPDLSNTCLPSAR >Vigun11g173800.1.v1.2 pep primary_assembly:ASM411807v1:11:37899519:37902961:-1 gene:Vigun11g173800.v1.2 transcript:Vigun11g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRGLHFLSLFLPLLIMNIEARDIKVELQDEKVDEYKVTIVSNNSPYLTGYKTTSKFVESNYIYSVKNHNYRHQKLTQPYIAELQDEKVDEDKSTIVSNNSSYLTSYKTTSKSVEPNYIYSAKNQNRHHQKLTQPYKAELQDEKVDEDKAAVVSNNSPFLTSYKTTSKFVEPNYIYSTKNHNHHHQKLTQPYNIELQDEKVDEDKATVVSTNSPYLTGYETTSKSVEPNYIYFAKNHNHHHQKLTQSYTAELQDEKVDEDKATVMSNNSPYLTSYKTTSKSVEPNYIYSAKNHNHHHQKFTQPHTAELQDEKVDEDKAAVVSNNSPYLISYKTTSKSVEPNYIYSAKNHNHHHQKLTQPYTTELQNEKVDEDKVIVVSTNSPYLTGYETTSKSVEPNYIYSAKNQNHHNQKLTQPYTTELQNEKADEDKDTVVSNDSPYLTNYKITSKFLTQPYAASYTHAHQLNEVDHPYISVYGAKNVPKPSSYDDTNKPYIIQYGGTDPKIDMKVSSRVDHTEAFKVGFFALNDLHVGNVMTLQFPNREVSDFLPRKEADSIPFSTSQLPSVLQLFSIPEDSPEAVAMRGTLEQCEAEPMRGETKICATSLESMLEFVSTTIGSETKLDLLTTTIPTLSGVPLQKFTVLEVSEDINAPKWVACHPLPYPYAIYYCHSVDTESKAFKVLLGSENGENKVEALGICHLDTSDWDPNHIIFRQLGIKAGQDPVCHFFLVKHLLWIPQPSQATM >Vigun06g206500.1.v1.2 pep primary_assembly:ASM411807v1:6:32047689:32052068:-1 gene:Vigun06g206500.v1.2 transcript:Vigun06g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFSSFGTKIPRMGKGYDDVFTVTKTKHAVKQPVSWSNYKVPCNLSKQHIGFVSKFPVMEEEQQKRLDVLAELSEDYSEELEVAVRAVQMASSLCQRVQDTIISNQNQVQSKDDDSPVTVADWSVQAIVSWMLSECLGSQNVSIVAEEDVQSLSKANSSELLEAVVETVNQCLTEAPRFGVQEPKCGLSTSDVLEIIGRCSSTGGPTGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVKLGVLGCPNYPMRKEWLSYHHRYHRIISKLTPPTAETWNKGCVLYAKRGSGKAWMQPLLHVNKMFVWPNHAKQVSVSPIDNPALATFCEPVEKANSSHSFTAGLAHTIGLRKQPLRVYSMVKYAAIARGDAEVFMKFARAGYKEKIWDHAAGVIIIQEAGGMVTDAGGLPLDFSKGIYLEGLDRGIVACSGATLHAKIIDAVDASWGSSGL >Vigun01g131400.3.v1.2 pep primary_assembly:ASM411807v1:1:30941632:30950283:1 gene:Vigun01g131400.v1.2 transcript:Vigun01g131400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRCKHTRKSPSLFGCDDTNSLAFASNLSMEDNGSEIITINSDGFALNEGSTIDCNLKLEQSEPFECPEGEDDLNKGLDLEIKTVISKKKRRPTRKKETNDAGALNASQTLLNMSENSKERCPDQDGDEHLPLVKRARVRMNKSSTEAELNSTVEVLVKSGDEDITDSPHQLITSSNCENGSHPEVGSSVLKEALVNVSPNLKAPSSENGSHICKIKKEQMIGFSVNDEAALPPSKRIHRALEAMSANAAEDGQACMESSSSMVASPGRCCISTLEKCPCMTDNNEGGNGLELQRLDSCDIDSSHVSVSSFSARSNTIISIENGSSIELDEQLAKYENEIGKDAIPCDRQQVGEDLIDSVVCFPSKIVSQIELHGKISPNPDMKCCQVGSNQDSPGPSLLPNGDVNIRPLNHSDASNTLEHGGISLDPVFRASESDKLLPQNRSNVPQNVVVGCEDVKQVVGDSKKINDMHEVIKEVKFKGQEEDMNSVSISNDYSGEKGNLGIVSSPSLTDGRVCLPPGSPPNTTSVCNISTSDSSNILQNGSCSPDVHQKNTLSVPTDGWKDGIVANDRSRSVGKSTEAGDAALLYFEAMLGTLTRTKESIGRATRIAIDCAKFGIATKVVEILVRNLEIETSLHRRVDLFFLVDSIAQCSRGLKGDIGGVYPSTMKAVLPRLLSAVAPPGNAAKENRRQCLKVLRLWLERKILPEPIIRHHMREIDSYSTSASAGVYSRRSLRRDRPFDDPVRDMEGMFDEYGSNSSFQLPGFCMPRMLEDGGSDSDGGEFEAVTPEHDSETHEVQEMAHAIEKRRHVLEDVDGELEMEDVAPSVDLELNSNCNVDRVNVPEFEKNLHMSFAPPLPQDLPPSSPPPPSSPPPPPPPPPPSPPPPPPPVPLPAPPPTAQLTSATSDQFHVAVDSKGFGDSLTVKANVLHPMAQPFSEPRNGQPASEAMQYTVHECRDKPMQMQSSTCSLNIRPIQSTDISRNTDGGTMHNKGYSIPPPHHVPSNQFSFVHGEHRMKSQREVLQPLPYSNDYHFVPSMKREFGYENHERLKQHSCDYQEIWNGPPSYGPWYHDRGVTAPYGCHPSEPASFPGHEWRFPPPPLNYRDSLHFRPHYEDAIPVANRGPSFWQPR >Vigun01g131400.1.v1.2 pep primary_assembly:ASM411807v1:1:30936194:30950283:1 gene:Vigun01g131400.v1.2 transcript:Vigun01g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRKSGKKAAAAAAACSQFKVGDLVLAKVKGFPVWPATVDEPQKWGYAADRKKVFVYFFGTQQIAFCNPTDVEAFTEDKKQSLAKRPGRGADFARAVKEIIESYEKSKCDNLDDDTSSDGEIGIANLSNPLDPSANLWSKDEIEAPLAINSQKESSNCVIGRPDVVCAAEDAVALRNESYNIEASLDEPTDNATVTATVKSPFPITLRNEPARRIRSTLQVQDFVVPCSDGENFGDGNSDDYVLADAIQKMDIRRCKHTRKSPSLFGCDDTNSLAFASNLSMEDNGSEIITINSDGFALNEGSTIDCNLKLEQSEPFECPEGEDDLNKGLDLEIKTVISKKKRRPTRKKETNDAGALNASQTLLNMSENSKERCPDQDGDEHLPLVKRARVRMNKSSTEAELNSTVEVLVKSGDEDITDSPHQLITSSNCENGSHPEVGSSVLKEALVNVSPNLKAPSSENGSHICKIKKEQMIGFSVNDEAALPPSKRIHRALEAMSANAAEDGQACMESSSSMVASPGRCCISTLEKCPCMTDNNEGGNGLELQRLDSCDIDSSHVSVSSFSARSNTIISIENGSSIELDEQLAKYENEIGKDAIPCDRQQVGEDLIDSVVCFPSKIVSQIELHGKISPNPDMKCCQVGSNQDSPGPSLLPNGDVNIRPLNHSDASNTLEHGGISLDPVFRASESDKLLPQNRSNVPQNVVVGCEDVKQVVGDSKKINDMHEVIKEVKFKGQEEDMNSVSISNDYSGEKGNLGIVSSPSLTDGRVCLPPGSPPNTTSVCNISTSDSSNILQNGSCSPDVHQKNTLSVPTDGWKDGIVANDRSRSVGKSTEAGDAALLYFEAMLGTLTRTKESIGRATRIAIDCAKFGIATKVVEILVRNLEIETSLHRRVDLFFLVDSIAQCSRGLKGDIGGVYPSTMKAVLPRLLSAVAPPGNAAKENRRQCLKVLRLWLERKILPEPIIRHHMREIDSYSTSASAGVYSRRSLRRDRPFDDPVRDMEGMFDEYGSNSSFQLPGFCMPRMLEDGGSDSDGGEFEAVTPEHDSETHEVQEMAHAIEKRRHVLEDVDGELEMEDVAPSVDLELNSNCNVDRVNVPEFEKNLHMSFAPPLPQDLPPSSPPPPSSPPPPPPPPPPSPPPPPPPVPLPAPPPTAQLTSATSDQFHVAVDSKGFGDSLTVKANVLHPMAQPFSEPRNGQPASEAMQYTVHECRDKPMQMQSSTCSLNIRPIQSTDISRNTDGGTMHNKGYSIPPPHHVPSNQFSFVHGEHRMKSQREVLQPLPYSNDYHFVPSMKREFGYENHERLKQHSCDYQEIWNGPPSYGPWYHDRGVTAPYGCHPSEPASFPGHEWRFPPPPLNYRDSLHFRPHYEDAIPVANRGPSFWQPR >Vigun01g131400.2.v1.2 pep primary_assembly:ASM411807v1:1:30936194:30950283:1 gene:Vigun01g131400.v1.2 transcript:Vigun01g131400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRCKHTRKSPSLFGCDDTNSLAFASNLSMEDNGSEIITINSDGFALNEGSTIDCNLKLEQSEPFECPEGEDDLNKGLDLEIKTVISKKKRRPTRKKETNDAGALNASQTLLNMSENSKERCPDQDGDEHLPLVKRARVRMNKSSTEAELNSTVEVLVKSGDEDITDSPHQLITSSNCENGSHPEVGSSVLKEALVNVSPNLKAPSSENGSHICKIKKEQMIGFSVNDEAALPPSKRIHRALEAMSANAAEDGQACMESSSSMVASPGRCCISTLEKCPCMTDNNEGGNGLELQRLDSCDIDSSHVSVSSFSARSNTIISIENGSSIELDEQLAKYENEIGKDAIPCDRQQVGEDLIDSVVCFPSKIVSQIELHGKISPNPDMKCCQVGSNQDSPGPSLLPNGDVNIRPLNHSDASNTLEHGGISLDPVFRASESDKLLPQNRSNVPQNVVVGCEDVKQVVGDSKKINDMHEVIKEVKFKGQEEDMNSVSISNDYSGEKGNLGIVSSPSLTDGRVCLPPGSPPNTTSVCNISTSDSSNILQNGSCSPDVHQKNTLSVPTDGWKDGIVANDRSRSVGKSTEAGDAALLYFEAMLGTLTRTKESIGRATRIAIDCAKFGIATKVVEILVRNLEIETSLHRRVDLFFLVDSIAQCSRGLKGDIGGVYPSTMKAVLPRLLSAVAPPGNAAKENRRQCLKVLRLWLERKILPEPIIRHHMREIDSYSTSASAGVYSRRSLRRDRPFDDPVRDMEGMFDEYGSNSSFQLPGFCMPRMLEDGGSDSDGGEFEAVTPEHDSETHEVQEMAHAIEKRRHVLEDVDGELEMEDVAPSVDLELNSNCNVDRVNVPEFEKNLHMSFAPPLPQDLPPSSPPPPSSPPPPPPPPPPSPPPPPPPVPLPAPPPTAQLTSATSDQFHVAVDSKGFGDSLTVKANVLHPMAQPFSEPRNGQPASEAMQYTVHECRDKPMQMQSSTCSLNIRPIQSTDISRNTDGGTMHNKGYSIPPPHHVPSNQFSFVHGEHRMKSQREVLQPLPYSNDYHFVPSMKREFGYENHERLKQHSCDYQEIWNGPPSYGPWYHDRGVTAPYGCHPSEPASFPGHEWRFPPPPLNYRDSLHFRPHYEDAIPVANRGPSFWQPR >Vigun05g099600.2.v1.2 pep primary_assembly:ASM411807v1:5:9757260:9761313:1 gene:Vigun05g099600.v1.2 transcript:Vigun05g099600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLMKAFGLAQILLVALFPAVHALHRDEFPPEFVFGASTSAYQVEGAANEDGRKPSIWDTFAHAASGNEYLGNGDVACDHYHKYKVCDPAQPNEISCTQDASLCEDVQLMADTGLEAYRFSISWSRLIPDGRGRVNPKGLQFYNNLINELISHGIQPHVTLFHFDLPQTLEDEYEGWLSRRVVEDFTAYADVCFREFGDRVKHWTTVNEANACAVFGYDLGISPPQRCSTSLSNCSKGNSSTEPYLAAHHILLAHASAARLYRKKYQAMQHGIIGLNLISFGYLPKTNSVEDVRAVQRARDFSIGWFMDPITFGDYPDTMRKNVGSRLPSFTKEESNMIRNSIDFLGVNFYFSFYVKNNPANLQNEDRDFIADIAMETERVTPEGTAPYEVPITPDIFLGVLDSIKKAYGNIPIYIHENGQSTPHYSSLKDGSRVKFLQEYIGSLVDGLRSGLNVKGYFVWSFMDAFELVGGYGTSYGLYYIDMNDPNLRRQPKLSAEWYSNFLKGKPMDSKITTEIEKNVLSHDTLLHNAA >Vigun05g099600.1.v1.2 pep primary_assembly:ASM411807v1:5:9757260:9761313:1 gene:Vigun05g099600.v1.2 transcript:Vigun05g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLMKAFGLAQILLVALFPAVHALHRDEFPPEFVFGASTSAYQVEGAANEDGRKPSIWDTFAHAASGNEYLGNGDVACDHYHKYKEDVQLMADTGLEAYRFSISWSRLIPDGRGRVNPKGLQFYNNLINELISHGIQPHVTLFHFDLPQTLEDEYEGWLSRRVVEDFTAYADVCFREFGDRVKHWTTVNEANACAVFGYDLGISPPQRCSTSLSNCSKGNSSTEPYLAAHHILLAHASAARLYRKKYQAMQHGIIGLNLISFGYLPKTNSVEDVRAVQRARDFSIGWFMDPITFGDYPDTMRKNVGSRLPSFTKEESNMIRNSIDFLGVNFYFSFYVKNNPANLQNEDRDFIADIAMETERVTPEGTAPYEVPITPDIFLGVLDSIKKAYGNIPIYIHENGQSTPHYSSLKDGSRVKFLQEYIGSLVDGLRSGLNVKGYFVWSFMDAFELVGGYGTSYGLYYIDMNDPNLRRQPKLSAEWYSNFLKGKPMDSKITTEIEKNVLSHDTLLHNAA >Vigun05g134600.5.v1.2 pep primary_assembly:ASM411807v1:5:15866462:15868452:-1 gene:Vigun05g134600.v1.2 transcript:Vigun05g134600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACSSQTEATTKLIYRCKKCRRIVASEENIVSHERGKGESSFKWKKRSSEAWEAEKQPVDCTSIFVEPMKWMEAVQEGNVGDKLLCMGCNARLGNFNWAGMQCSCGAWINPAFQLHKSRLDECYM >Vigun05g134600.4.v1.2 pep primary_assembly:ASM411807v1:5:15866439:15869459:-1 gene:Vigun05g134600.v1.2 transcript:Vigun05g134600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACSSQTEATTKLIYRCKKCRRIVASEENIVSHERGKGESSFKWKKRSSEAWEAEKQPVDCTSIFVEPMKWMEAVQEGNVGDKLLCMGCNARLGNFNWAGMQCSCGAWINPAFQLHKSRLDECYM >Vigun05g134600.2.v1.2 pep primary_assembly:ASM411807v1:5:15866439:15869459:-1 gene:Vigun05g134600.v1.2 transcript:Vigun05g134600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACSSQTEATTKLIYRCKKCRRIVASEENIVSHERGKGESSFKWKKRSSEAWEAEKQPVDCTSIFVEPMKWMEAVQEGNVGDKLLCMGCNARLGNFNWAGMQCSCGAWINPAFQLHKSRLDECYM >Vigun05g134600.3.v1.2 pep primary_assembly:ASM411807v1:5:15866462:15869291:-1 gene:Vigun05g134600.v1.2 transcript:Vigun05g134600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKAFNVWLSPLIICFSACSSQTEATTKLIYRCKKCRRIVASEENIVSHERGKGESSFKWKKRSSEAWEAEKQPVDCTSIFVEPMKWMEAVQEGNVGDKLLCMGCNARLGNFNWAGMQCSCGAWINPAFQLHKSRLDECYM >Vigun02g011600.1.v1.2 pep primary_assembly:ASM411807v1:2:4448476:4454144:-1 gene:Vigun02g011600.v1.2 transcript:Vigun02g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCFHKLVLSTTLQSRQLRIPDIFLRKYGTQLSTVATLTVPDGSIWRIGLKKADSKIWFVDGWQDFIQRYSIGVGYFLVFMYEGNSSFIVHIFNLSTSEINYQSAMRNHMEGPCFVNYNHIFEEMEDIDSIDLSDLSPPYLTSGAMQNKGFAGSVDQLTPGKSHTPALQNLFNGGSKLNRVNWGDGGSSYSSKSANSLGSQSTRDIGVQFNAVEFKRSTDELKLRYSNEEALNKTAKKKRKSESQGEEPSGENEEEAEMRYRFYESASARKRTVTAEERERAINASKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQLSNGRQWSVRCLYRGGRAKLSQGWFEFTVENNLGEGDVCVFELLRTKEVVLQVTVFRVTEDAGLLNSPPSVQQLSQNMSHTKLLNPHLQHRVSSTVKLIRN >Vigun05g100800.1.v1.2 pep primary_assembly:ASM411807v1:5:9963291:9966972:-1 gene:Vigun05g100800.v1.2 transcript:Vigun05g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSRASATAKERLRWTQELHDRFVVAVNRLGGPDRATPKGILKGMKAMGISELNIYHVKSHLQKYRISKLIPESPTRGKLEKRSISDILPNFSSISALQLKELLQMQSEVQNRLSEKTQVQRSMKAKGEAQGRYMERLGQSSDNQTITGKACKPFASSATAPLPSLSQESESLKSQSEKENETVKKQRISEEGVFPTSFEDAPSTPPQFYNQTWNLPWSQLAAACQSTLDPTFLF >Vigun11g188350.1.v1.2 pep primary_assembly:ASM411807v1:11:38825025:38825652:1 gene:Vigun11g188350.v1.2 transcript:Vigun11g188350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGHASFCGGSGSINLGVSVMCYCGEKAALRTARTLKNKGKKFWGCPNGSDQFGGCNYFKWFIDNEIEEKGWSSQKIEEMGGGKLKIEEMGCDGKLSIKNVEEMGCGGNKNAEKTAAVRSVVAEEMEKCMKSIEIRLTMLTMVVGVLCVLNIIVVYVLVTKA >Vigun09g070450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7659610:7667773:1 gene:Vigun09g070450.v1.2 transcript:Vigun09g070450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSEKDVYLELRRKRVEEEKKSGGSSGRTEAEGSSQRGDLLPPPVEKKSKRRDRLSKPGTPRPPSPKKTQNVEEGNKDSLSALFDSLLKINEGIKVSLSLEEAEIVSAIHPKVVLYALNEFQARAMVMGRHLETVFSKLADSSRLAAEIETLQRDLAEVNHRRQEVSMQLENVKNERSQLLAERNALKARCKELEMKDEESRAALEQLEGKLSASKAEHTSALEWIYELEGYVMPQHEEGFYKAIRQAAYLFNFDAGDDRIDIKKDVYDGVLMSIEDIVSAKAVVDDPEKVSSPPTA >Vigun03g185000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24318959:24320532:1 gene:Vigun03g185000.v1.2 transcript:Vigun03g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTNKGEVVREFPHLFRAYKDGSIERLLGTETTPAGIDPTTAVESKDVTINPQTNVAVRLYLPSAASSSSQKLPILVYIHGGAFCVCTPFNPAYHSHLNTVCAGANVIVASVHYRLAPEHPLPAGYNDAWEAFLWVASHATGAGPEPWLNAHGDPENVFIAGDSAGGNIAHNVVMRGTAEGFAGLSLQGMVLLHPFFGNDKKDELVAYLYPTYEGPSNMMIHAPLDPKLSDLACPRLLIFVSENDFLKDRGCSYYEALKKTGWKGEVEKVEFPGEDHVFHLLQPSKQNALDLVQQFIAFLKQTPSTT >Vigun07g227700.2.v1.2 pep primary_assembly:ASM411807v1:7:34956637:34965606:1 gene:Vigun07g227700.v1.2 transcript:Vigun07g227700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFARKAKSPSPPSSPRSNPPTPKISATATFSPSKRRLKSLLTPPRKLQKLQEPSSPSLHQRFLHKFLEPSSPQHPPPPSSSTRPTYTPLEQQVLHLRAKHPDVLLMVEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVRQTETAAIKAHGSNRSAPFGRGLSALYTKATLEAAPEIGGGEDGCSGESNYLLCVVEKSVVGEKGNCGVEGGADVRIGIVAVEISTGDVVYGEFCDGFLRSALEAVVVNLSPAELLLGDPLSKQTEKLLLDFAGPASNVRVERVSRDCFTDGGALSEIMTLYDNVHVDGQPDSLQNKNSREHKSQQLVIKEVLNMPDLSVQALALTIRHLKEFGFERILCSGASLRPLSNNTEMTLSANTLQQLEVLKNNNDGSEIGSLLQIMNHTLTIFGSRLLRQWVSHPLCDRTLISARLHAVSEIAECMGSCNGTNNLGVEDDLNVAIMQPELANILSLVLTTLGRAPDIQRGITRIFHCTASPSEFVAVIQAILSAGKQLQQLNIGEGNNTLRSNLLKRLILTASSDSIIANAAKMLSSLNTDSADQGDLTKLITASEGQFPEVTKARKDVKLAVQQLDSLIDLYRKLLKMQKLEFITVSGTTHLIELSTDVKVPSNWAKVNSTKKTIRYHPPEVVTELDRLSLAKEELGVACRAAWNSFLRDFSKHYAEFQAAVQALAALDCLYSLAILSRNKGYVRPVFVHDQEPVQIQICSGRHPVLETTLQENFVPNDTNLHADGEYCQIVTGPNMGGKSCYVRQVALIAIMAQVGSFVPASSAKLHVLDSIYTRMGASDSIQEGRSTFLEELSETSHILHCCTEHSLVIIDELGRGTSTHDGMAIAHATLHYLLKQKRSMVLFVTHYPKIASLSTEFPGSVAAYHVSHLTSHDASKNSNLDHDVTYLYKLAPGVSERSFGFKVAQLAQLPSHCISRALVMASKLEALVDSRMHSRSGKELLLDTPVISQEQEQEQLMAQPHHRLQEFGIAYKDFYLNLKAAIQDDDCAKSFQLLKHARSIAKKLIGS >Vigun07g227700.1.v1.2 pep primary_assembly:ASM411807v1:7:34956644:34965606:1 gene:Vigun07g227700.v1.2 transcript:Vigun07g227700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFARKAKSPSPPSSPRSNPPTPKISATATFSPSKRRLKSLLTPPRKLQKLQEPSSPSLHQRFLHKFLEPSSPQHPPPPSSSTRPTYTPLEQQVLHLRAKHPDVLLMVEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVRQTETAAIKAHGSNRSAPFGRGLSALYTKATLEAAPEIGGGEDGCSGESNYLLCVVEKSVVGEKGNCGVEGGADVRIGIVAVEISTGDVVYGEFCDGFLRSALEAVVVNLSPAELLLGDPLSKQTEKLLLDFAGPASNVRVERVSRDCFTDGGALSEIMTLYDNVHVDGQPDSLQNKNSREHKSQQLVIKEVLNMPDLSVQALALTIRHLKEFGFERILCSGASLRPLSNNTEMTLSANTLQQLEVLKNNNDGSEIGSLLQIMNHTLTIFGSRLLRQWVSHPLCDRTLISARLHAVSEIAECMGSCNGTNNLGVEDDLNVAIMQPELANILSLVLTTLGRAPDIQRGITRIFHCTASPSEFVAVIQAILSAGKQLQQLNIGEGNNTLRSNLLKRLILTASSDSIIANAAKMLSSLNTDSADQGDLTKLITASEGQFPEVTKARKDVKLAVQQLDSLIDLYRKLLKMQKLEFITVSGTTHLIELSTDVKVPSNWAKVNSTKKTIRYHPPEVVTELDRLSLAKEELGVACRAAWNSFLRDFSKHYAEFQAAVQALAALDCLYSLAILSRNKGYVRPVFVHDQEPVQIQICSGRHPVLETTLQENFVPNDTNLHADGEYCQIVTGPNMGGKSCYVRQVALIAIMAQVGSFVPASSAKLHVLDSIYTRMGASDSIQEGRSTFLEELSETSHILHCCTEHSLVIIDELGRGTSTHDGMAIAHATLHYLLKQKRSMVLFVTHYPKIASLSTEFPGSVAAYHVSHLTSHDASKNSNLDHDVTYLYKLAPGVSERSFGFKVAQLAQLPSHCISRALVMASKLEALVDSRMHSRSGKELLLDTPVISQEQEQEQLMAQPHHRLQEFGIAYKDFYLNLKAAIQDDDCAKSFQLLKHARSIAKKLIGS >Vigun07g227700.5.v1.2 pep primary_assembly:ASM411807v1:7:34956676:34965606:1 gene:Vigun07g227700.v1.2 transcript:Vigun07g227700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFARKAKSPSPPSSPRSNPPTPKISATATFSPSKRRLKSLLTPPRKLQKLQEPSSPSLHQRFLHKFLEPSSPQHPPPPSSSTRPTYTPLEQQVLHLRAKHPDVLLMVEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVRQTETAAIKAHGSNRSAPFGRGLSALYTKATLEAAPEIGGGEDGCSGESNYLLCVVEKSVVGEKGNCGVEGGADVRIGIVAVEISTGDVVYGEFCDGFLRSALEAVVVNLSPAELLLGDPLSKQTEKLLLDFAGPASNVRVERVSRDCFTDGGALSEIMTLYDNVHVDGQPDSLQNKNSREHKSQQLVIKEVLNMPDLSVQALALTIRHLKEFGFERILCSGASLRPLSNNTEMTLSANTLQQLEVLKNNNDGSEIGSLLQIMNHTLTIFGSRLLRQWVSHPLCDRTLISARLHAVSEIAECMGSCNGTNNLGVEDDLNVAIMQPELANILSLVLTTLGRAPDIQRGITRIFHCTASPSEFVAVIQAILSAGKQLQQLNIGEGNNTLRSNLLKRLILTASSDSIIANAAKMLSSLNTDSADQGDLTKLITASEGQFPEVTKARKDVKLAVQQLDSLIDLYRKLLKMQKLEFITVSGTTHLIELSTDVKVPSNWAKVNSTKKTIRYHPPEVVTELDRLSLAKEELGVACRAAWNSFLRDFSKHYAEFQAAVQALAALDCLYSLAILSRNKGYVRPVFVHDQEPVQIQICSGRHPVLETTLQENFVPNDTNLHADGEYCQIVTGPNMGGKSCYVRQVALIAIMAQVGSFVPASSAKLHVLDSIYTRMGASDSIQEGRSTFLEELSETSHILHCCTEHSLVIIDELGRGTSTHDGMAIAHATLHYLLKQKRSMVLFVTHYPKIASLSTEFPGSVAAYHVSHLTSHDASKNSNLDHDVTYLYKLAPGVSERSFGFKVAQLAQLPSHCISRALVMASKLEALVDSRMHSRSGKELLLDTPVISQEQEQEQLMAQPHHRLQEFGIAYKDFYLNLKAAIQDDDCAKSFQLLKHARSIAKKLIGS >Vigun07g227700.7.v1.2 pep primary_assembly:ASM411807v1:7:34956739:34965606:1 gene:Vigun07g227700.v1.2 transcript:Vigun07g227700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFARKAKSPSPPSSPRSNPPTPKISATATFSPSKRRLKSLLTPPRKLQKLQEPSSPSLHQRFLHKFLEPSSPQHPPPPSSSTRPTYTPLEQQVLHLRAKHPDVLLMVEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVRQTETAAIKAHGSNRSAPFGRGLSALYTKATLEAAPEIGGGEDGCSGESNYLLCVVEKSVVGEKGNCGVEGGADVRIGIVAVEISTGDVVYGEFCDGFLRSALEAVVVNLSPAELLLGDPLSKQTEKLLLDFAGPASNVRVERVSRDCFTDGGALSEIMTLYDNVHVDGQPDSLQNKNSREHKSQQLVIKEVLNMPDLSVQALALTIRHLKEFGFERILCSGASLRPLSNNTEMTLSANTLQQLEVLKNNNDGSEIGSLLQIMNHTLTIFGSRLLRQWVSHPLCDRTLISARLHAVSEIAECMGSCNGTNNLGVEDDLNVAIMQPELANILSLVLTTLGRAPDIQRGITRIFHCTASPSEFVAVIQAILSAGKQLQQLNIGEGNNTLRSNLLKRLILTASSDSIIANAAKMLSSLNTDSADQGDLTKLITASEGQFPEVTKARKDVKLAVQQLDSLIDLYRKLLKMQKLEFITVSGTTHLIELSTDVKVPSNWAKVNSTKKTIRYHPPEVVTELDRLSLAKEELGVACRAAWNSFLRDFSKHYAEFQAAVQALAALDCLYSLAILSRNKGYVRPVFVHDQEPVQIQICSGRHPVLETTLQENFVPNDTNLHADGEYCQIVTGPNMGGKSCYVRQVALIAIMAQVGSFVPASSAKLHVLDSIYTRMGASDSIQEGRSTFLEELSETSHILHCCTEHSLVIIDELGRGTSTHDGMAIAHATLHYLLKQKRSMVLFVTHYPKIASLSTEFPGSVAAYHVSHLTSHDASKNSNLDHDVTYLYKLAPGVSERSFGFKVAQLAQLPSHCISRALVMASKLEALVDSRMHSRSGKELLLDTPVISQEQEQEQLMAQPHHRLQEFGIAYKDFYLNLKAAIQDDDCAKSFQLLKHARSIAKKLIGS >Vigun07g227700.6.v1.2 pep primary_assembly:ASM411807v1:7:34956645:34965606:1 gene:Vigun07g227700.v1.2 transcript:Vigun07g227700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFARKAKSPSPPSSPRSNPPTPKISATATFSPSKRRLKSLLTPPRKLQKLQEPSSPSLHQRFLHKFLEPSSPQHPPPPSSSTRPTYTPLEQQVLHLRAKHPDVLLMVEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVRQTETAAIKAHGSNRSAPFGRGLSALYTKATLEAAPEIGGGEDGCSGESNYLLCVVEKSVVGEKGNCGVEGGADVRIGIVAVEISTGDVVYGEFCDGFLRSALEAVVVNLSPAELLLGDPLSKQTEKLLLDFAGPASNVRVERVSRDCFTDGGALSEIMTLYDNVHVDGQPDSLQNKNSREHKSQQLVIKEVLNMPDLSVQALALTIRHLKEFGFERILCSGASLRPLSNNTEMTLSANTLQQLEVLKNNNDGSEIGSLLQIMNHTLTIFGSRLLRQWVSHPLCDRTLISARLHAVSEIAECMGSCNGTNNLGVEDDLNVAIMQPELANILSLVLTTLGRAPDIQRGITRIFHCTASPSEFVAVIQAILSAGKQLQQLNIGEGNNTLRSNLLKRLILTASSDSIIANAAKMLSSLNTDSADQGDLTKLITASEGQFPEVTKARKDVKLAVQQLDSLIDLYRKLLKMQKLEFITVSGTTHLIELSTDVKVPSNWAKVNSTKKTIRYHPPEVVTELDRLSLAKEELGVACRAAWNSFLRDFSKHYAEFQAAVQALAALDCLYSLAILSRNKGYVRPVFVHDQEPVQIQICSGRHPVLETTLQENFVPNDTNLHADGEYCQIVTGPNMGGKSCYVRQVALIAIMAQVGSFVPASSAKLHVLDSIYTRMGASDSIQEGRSTFLEELSETSHILHCCTEHSLVIIDELGRGTSTHDGMAIAHATLHYLLKQKRSMVLFVTHYPKIASLSTEFPGSVAAYHVSHLTSHDASKNSNLDHDVTYLYKLAPGVSERSFGFKVAQLAQLPSHCISRALVMASKLEALVDSRMHSRSGKELLLDTPVISQEQEQEQLMAQPHHRLQEFGIAYKDFYLNLKAAIQDDDCAKSFQLLKHARSIAKKLIGS >Vigun06g083500.1.v1.2 pep primary_assembly:ASM411807v1:6:21483431:21485814:1 gene:Vigun06g083500.v1.2 transcript:Vigun06g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLQWLSLVGTIWLQAIIGTNTNFPAYSSQLKQLLSISQVQLNNLAFASDAGKLFGWFSGLASIYLPLWLVLLTGSTLGLIGYGVQYLFITKQISSLSYWHVFLLTFLAGNSICWINTVCYVVTIRNFLSDRQVAVGITTSYQGLSAKIYANIVDAVSPHNKAKTFLFLNSLLPVIVGLVAAPMVREFDAASPKHTRVGFAVMFVITIFTGIYAVLSSLQFVTSKASSIDIMTGILVSLLLPLLVPLSVKIKELQDNRENLRIYHFTMEENNSEERVENEVKEGEVEEEIGIIEEVGVKLMVRRINFWLYFFVYYFGATVGLVYLNNLGQIAESRGCSNTSSLVSLSSSFGFFGRLMPSLMYYFYRGKGRISRPGSLLAVMVPTSGAFFLLLNRTDLALYVSSAVIGVCTGAITSIAVSTTTELFGTKHFSVNHNVVVANIPMGSFIFGYSAALVYRGEGHGSYEQGQCMGMECYRNTFIIWGSLCFFGTLLALVLHVRTRKFYSQKQ >Vigun03g015400.2.v1.2 pep primary_assembly:ASM411807v1:3:1071819:1074831:-1 gene:Vigun03g015400.v1.2 transcript:Vigun03g015400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRITFVCFLSTLFLFFTNTSAIHNTSFAPTCLTQRCTNGPNISYPFWLSRTDQLCGYHELGLLCSGGTATFSHIGLYYTVRDIDYENHTLKLLDPDTFNQTCPKAKHGVPIGNLPLTHSPSNLNLSFYYNCSSYPSGVPSIQCLSSGANKSFVFVTGNETTGFDWSGNCEEKIVVTVMKDAITSDGDLINQFPSAMDGGFVLEWHTASYCAQCEASDGICGYSNPEKQVLCFCKDGSTKNTTCYGGSSTGLSRLIIGLIIAGGIGALLTCVVTYIFGRKLSPILSDIRQARKIDRDIEAFIRNNGPLPIKRYSYSDIKKMTNYFESKLGQGGYGQVYKGNLCNKSAVAVKLLNASKGNGEEFINEVISISRTSHVNIVNLLGFCLEGEKKAIIYDFMPNGSLEKYIHSKNLETDPPLSWQRLHHIAEGIAKGLEYLHRGCNTRILHFDIKPSNILLDKNFCPKISDFGMAKLCSDTQSIISMYGARGTVGYIAPEVWNRSFGGVSYKSDVYSYGMMILEMVGGKQNIGIEASHSSETYFPHWIYKHVEVGKNLAWLEGMTPEENEICKKMIIVGLWCIQTIPSDRPAMSRVVEMLEGSTEQLQIPPEPFIFSPMKTEVDICTTSSSD >Vigun03g015400.1.v1.2 pep primary_assembly:ASM411807v1:3:1071819:1074831:-1 gene:Vigun03g015400.v1.2 transcript:Vigun03g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRITFVCFLSTLFLFFTNTSAIHNTSFAPTCLTQRCTNGPNISYPFWLSRTDQLCGYHELGLLCSGGTATFSHIGLYYTVRDIDYENHTLKLLDPDTFNQTCPKAKHGVPIGNLPLTHSPSNLNLSFYYNCSSYPSGVPSIQCLSSGANKSFVFVTGNETTGFDWSGNCEEKIVVTVMKDAITSDGDLINQFPSAMDGGFVLEWHTASYCAQCEASDGICGYSNPEKQVLCFCKDGSTKNTTCYVGGSSTGLSRLIIGLIIAGGIGALLTCVVTYIFGRKLSPILSDIRQARKIDRDIEAFIRNNGPLPIKRYSYSDIKKMTNYFESKLGQGGYGQVYKGNLCNKSAVAVKLLNASKGNGEEFINEVISISRTSHVNIVNLLGFCLEGEKKAIIYDFMPNGSLEKYIHSKNLETDPPLSWQRLHHIAEGIAKGLEYLHRGCNTRILHFDIKPSNILLDKNFCPKISDFGMAKLCSDTQSIISMYGARGTVGYIAPEVWNRSFGGVSYKSDVYSYGMMILEMVGGKQNIGIEASHSSETYFPHWIYKHVEVGKNLAWLEGMTPEENEICKKMIIVGLWCIQTIPSDRPAMSRVVEMLEGSTEQLQIPPEPFIFSPMKTEVDICTTSSSD >Vigun01g252500.1.v1.2 pep primary_assembly:ASM411807v1:1:41853436:41854055:-1 gene:Vigun01g252500.v1.2 transcript:Vigun01g252500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKIHQGIGSYKETSQGAGSRDKDLQAQTGTSSNSQRCCL >Vigun08g138800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31099421:31101923:-1 gene:Vigun08g138800.v1.2 transcript:Vigun08g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTPNPANSVPLTPLTFLERAAIVYGDSHSILYDNTSFTWSQTHRRCLQLASSLTSLGLKRGQVISVLSPNTPSMYELQFAVPMCGAILNNLNLRLDPHALSVLLRHSESKLVFVHSHSLPLILAALSKFRRTTPLPSLVLITDDGNGDADVVAAAAHAIDTYERLLSKGDPNFRWVRPKSEWDPITLNYTSGTTASPKGVVHSHRATFMIALDSLNDWCVPKQPVYLWTLPMFHANGWGFAWGIAAVGGTNVCIRKTTAPIIYRSIESHNVTHMCAAPVVLNMLLTRTEPLKSPVYVLTGGSTPPASVLALAEEVGFVVSHGYGMTETLGVVVSCAWKREWDRLPRRERARLRARQGVRTAAVSEVDVVDPASGESVKRDGVTSGEVVVRGACVMMGYLKDLEGTRRCVRENGWLYTGDVGVVHGDGYLEIKDRSKDVIISGGENVSSVEVEAVMYAHPAVREAAVVGRPDEFWGETPCAFVELKERLERRPTEEEVVEFCRERMAHFMVPKTVVFKEVLPKTPTGKILKHVLRKEAQGMGSLATRSRI >Vigun06g154900.1.v1.2 pep primary_assembly:ASM411807v1:6:27867677:27869243:1 gene:Vigun06g154900.v1.2 transcript:Vigun06g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFITGPIKFKTITSFSQLSFSTSYASSNVSISRNLTTKVLAHANFHSSFPFHGTLYSEKQRGFSLIAFHSENSESEGEEDNHTLETVMKLYSAFKNKTTHQLSADERQRVTNFLSFFEAFQGTTQVLEFFSYLTTAFGNNIQIILKPTNDGINVGLQWRFDWDKIHLPLWKGFSLHINHSYHGKAVIRNIEMFMEPLRHLKPFGLKTKVGVGELLEKMGSFMVSGSRNKAKRILFLVLAVLSLVAFLFFMKLAS >Vigun03g363600.1.v1.2 pep primary_assembly:ASM411807v1:3:56721390:56723632:-1 gene:Vigun03g363600.v1.2 transcript:Vigun03g363600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSLITVEKTMRTASAVEAMAVDTILVTAKSLLCLLILMAGSLLTDIIPELTPIDGSFPFADLYKGRHTYLVNNKDASESDEDEEEEDEEEEEEDQDDEEGEEDFSGEEEAEPEDDPEANGAGGKDGEDGEDGEDGDDGDEEDEDEDEDEDEDEEEDEEEEEIPQPPAKKRK >Vigun04g049200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4286069:4287200:-1 gene:Vigun04g049200.v1.2 transcript:Vigun04g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPLLPVTSSGDANAPLIRVNPLYILGFLATTISTSFITYLSYTHNDNPMLLFTSFIYVLYFSVDFFPLPPAPSARAAHVRLFLWAVLSAAMFAFACSFSIVLTLPESMCFFGVVLGGSALLLSAWSGDSKFVAKKEQYSDMVQSFGPIMLMFFGLGRRYVTTQLILHAAIMNTRKLVGPTTTLSITAQISSSSFRFSS >Vigun03g310900.1.v1.2 pep primary_assembly:ASM411807v1:3:50330436:50336222:-1 gene:Vigun03g310900.v1.2 transcript:Vigun03g310900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCSTFFFAALSSILFSAQLCLSQKVPNYTFMRNATTAPDISFYDYIVIGGGTAGCPLAATLSQNYSVLLLERGGSPYGNPNISNLAAFGAALSDTSPTSPAQRFVSEDGVINSRARILGGGSCLNAGFYTRASPEYAREAGWDGRAVNESYEWVEKVVAFEPEVKQWQSAVREGLLEIGVVPNNGFTYDHIGGTKVGGTIFDHQGFRHTAADLLQYANPSAITLLLHATVHRILFRVKDGSKPMAHGVIFRDSLGRKHRAYLNRHPKSEIIVSAGALGSPQLLMLSGVGPKEHLRAHNISVTLDQPLVGQGMSDNPMNAIFIPSPQPVEISLIEVVGITSFGSYIEAASGENFGGGGSPKDYGMFSPKIGQLSRVPPKERTQEAIDKAIEAMEGLDQAAFRGGFILEKIMGPISSGHLELRSRDPNENPSVTFNYFQDPQDLERCVQGLRTVEKIIESKAFSPFRYPNLPIPVLLNMTANSPVNLLPKHTNSSLSLQQFCRDTVMTIWHYHGGCQVGKVVDRDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILTQRLRATSADQTHQQIV >Vigun03g087300.1.v1.2 pep primary_assembly:ASM411807v1:3:7249233:7255917:1 gene:Vigun03g087300.v1.2 transcript:Vigun03g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRGDDKPPAQLGASRDYNIDMNPKFIMANGNLVRVLIHTDVTKYLSFKAVDGSHVFNKGKVHKVPSNDMEALKSPLMGLFEKRRARKFFIYVQNYRESVPKTHEGMDLTRVTTRELIAKYGLDDNTVDFIGHALALHRDDHYLSEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDADGKVVGVTSEGETAKCKKLVCDPSYLPGKVRKVGKVARAIAIMSHPIPNTDNSHSVQIILPQKQLGRKSDMYLFCCSYTHNVAPKGKFIAFVSSEAETDQPAIELKPGIDLLGPVDEIFFDIYDRYEPVNEPTLDNCFISMSYDATTHFESTVDDVLNMYTLITGKVIDLSVDLSAASAAEE >Vigun09g057800.2.v1.2 pep primary_assembly:ASM411807v1:9:5842037:5844897:1 gene:Vigun09g057800.v1.2 transcript:Vigun09g057800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKKPHHTSDKSYIMIKDGDKFEILPRGLNIVWGNDSRYWKIPEQGPAELTQVSWLEVSGVVHITKAMTYSVSFEVKVKEEGFGWNGTDVLVMAKIGKTGKYKFKVNNLSPGQNIVIPSEPLAIHVVGPSDLHFGLYEVWSGKWKGGLEIIKAVVQPLPL >Vigun09g057800.3.v1.2 pep primary_assembly:ASM411807v1:9:5842037:5844563:1 gene:Vigun09g057800.v1.2 transcript:Vigun09g057800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKKPHHTSDKSYIMIKDGDKFEILPRGLNIVWGNDSRYWKIPEQGPAELTQVSWLEVSGVVHITKAMTYSVSFEVKVKEEGFGWNGTDVLVMAKIGKTGKYKFKVNNLSPGQNIVIPSEPLAIHVVGPSDLHFGLYEVWSGKWKGGLEIIKAVVQPLPL >Vigun09g057800.1.v1.2 pep primary_assembly:ASM411807v1:9:5841947:5844924:1 gene:Vigun09g057800.v1.2 transcript:Vigun09g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKKPHHTSDKSYIMIKDGDKFEILPRGLNIVWGNDSRYWKIPEQGPAELTQVSWLEVSGVVHITKAMTYSVSFEVKVKEEGFGWNGTDVLVMAKIGKTGKYKFKVNNLSPGQNIVIPSEPLAIHVVGPSDLHFGLYEVWSGKWKGGLEIIKAVVQPLPL >Vigun10g091100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26253809:26258418:-1 gene:Vigun10g091100.v1.2 transcript:Vigun10g091100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFIDVLFDRLASPEFVNFIRGKKPERLLQKMKSQLLVVKVVLADAEKRQISNSDVKDWLDLLRDLVYEVDDLLDEVSTKAATQKEVSNSFSRIFNRKKIVSISKLEEMVERLDDILKQKESLDLKDIPVENYHSWKAQPTSLEDGYGMYGRDKDKEAIMKMVLEDVTDTEQLCVIPIVGMGGVGKTTLARSVFNDGKLKQQIFDLKAWVCVSDIFDIVKVTRTMIEEITRKPCKLSDLNALQLVLRDKLKGKRFFIVLDDVWIEDCDNWSCLTKPFLSGSKGSKVVVTTRNENVAAAVPFHSVEVYRLNKLTNEDCWLVFANHAFPPSEACEDRETLRMIGKEIVKKCNGLPLAAQSLGGMLRRKHAVRDWNNVLESDIWELPESQCKIIPALRISYHYLPPHLKRCFVYCSLYPKDYEFDKDELIQLWMAEELVKAPKKEKTLEEVGHEYFDDLVSRSFFHRVSHATWGDYFLMHDLMHDLATFTGGEFYFRADELGKETKISRKTRHLSFTRFSEPVSDIEGFDTVKFSRTFLLINYKDSPFNNEKAPYIVVSMLKYLRVLSFCSFKSLFALPDSIGELIHLRYLNLSHTSIETLPESLCNLCNLQTLKLAFCSKLTQLPIAMQNLVNLRHLEIHESRIKEMPKRMGKLNQLQKLDLYIVAKDKENSIKELGGLPNLCGSFCITALENVTKGEEAIEASIMDKKHINHLSLEWSISNDNGIDFQIELHVLRKLQPHRDLQTLLIIGYKGTIFPEWVGNFSYRYMTSVSLYNCNNCCMLPSMGQLPSLKFLYISEINSVKTIDAGFYKTEDCSSAIPFPSLEFLHIFRMPRWEVWTAFASEAFPVLKDICISDCPKLRGAFPNHLPALQTLSITNCELLVSSVLRVPNLRSIEICNTNKVMFHEFPLLVKFIDVEGPMVESMMEAITNIQSTCLQSLRLQKCSSAIWFPGDRLPASLTALDVSCLNNLKFPMQHKHELLESLRIKNSCNSLTSLPLAIFPSLTHLDIISCENMESLLVSRSESLKSLKSLEIEHCPNFVSFLGEGLCAPNLIRFRVCDCEKLKLLPDEMGTLLPKMEYLNISNCQQIESFPVGGMPPNLRTVEIENCEKLLSSKAWVCMDMVTSLIVSGPCDSIDSFPEEALLPPSLTSLSLYNFTSLETLECKGFLHLTSLRELDIQKCEKLKNIAGESLPVSLMKLSINGCPLLQERCHKKDREIWPKICHVHVLEIDDRYI >Vigun03g020500.2.v1.2 pep primary_assembly:ASM411807v1:3:1497023:1498854:1 gene:Vigun03g020500.v1.2 transcript:Vigun03g020500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKTSLVLRFVKGQFSEYQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRANSSLTMFLVANKADLEGDRKVSNEEGEEYARESGLSFLETSAKTAQNVNELFYEIAKRLVKANPSRQSGIKLHTRATESRRRYFCCA >Vigun03g020500.1.v1.2 pep primary_assembly:ASM411807v1:3:1497023:1498854:1 gene:Vigun03g020500.v1.2 transcript:Vigun03g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTANKSLQAKLVLLGDMGTGKTSLVLRFVKGQFSEYQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRANSSLTMFLVANKADLEGDRKVSNEEGEEYARESGLSFLETSAKTAQNVNELFYEIAKRLVKANPSRQSGIKLHTRATESRRRYFCCA >Vigun08g076600.1.v1.2 pep primary_assembly:ASM411807v1:8:13773959:13780248:-1 gene:Vigun08g076600.v1.2 transcript:Vigun08g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDSDETTSKESAFDAPAAWRLVMELRGAFASGKTRSYDWRVTQLKALEKLVTNHEPEIVDALRKDLAKPPLETVAYEIAMLKNSCKVALKELKYWISPEKVKTSLAAFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLMAKLLGDYMDNSCIRVVEGAVDETSALLEQKWDKIFYTGNGRVARVVMAAAAKHLTPVVLELGGKSPVVVDSNINLKVATRRIIAGKWGCNNGQACISPDYIITTKEYAPKLVDALKTELEKFYGKNPLESEDLSRIVNSNHFNRLKKLLDDDKVSDKIVHGGEKDESKLKISPTLLLDVPRDSLIMSEEIFGPLLPILTVDKLEESFVVINSGPKPLAAYIFTNNKKLKELFVRNISAGGLVVNDTTLHLAVHTLPFGGVGESGVGAYHGKFSFDAFSHKKAVLYRSFIGDASVRYPPYTSTKQRLLKALIGGGILGIIRALFGLS >Vigun06g030800.1.v1.2 pep primary_assembly:ASM411807v1:6:13460703:13467575:-1 gene:Vigun06g030800.v1.2 transcript:Vigun06g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPFLTLTFLLFFSSSLPSIFSTPTCSNLVPFRNRTDIISITDFGGVGDGRTLNTKAFREAVYRIEHLRRPGGTVLVVPRGVYLTESFNLTSHMTLFLAAGAVIKATQETGRWPLIAPLPSYGRGRELPGARYISFLHGDGLTDVVITGENGTIDGQGDVWWNMWRKRTLKYTRPNLVEFVNSQDILISNVIFKNSPFWNIHPVYCNNVVVRYVTILAPPDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRLTGSSPFAGIAIGSETSGGVENVLAERINLFNMGVGIHIKTNKGRGGFIKNITVAHVYVENARQGIKISGEVGGHPDDKYNPNALPFVKGITIRNVWGVKVKQAGLINGLKNSPFTDVCLSVINFHGMKRAPDWKCSDVNGSAYQVNPWPCSQLSNQEHGSCAI >Vigun07g275500.1.v1.2 pep primary_assembly:ASM411807v1:7:39091443:39094284:1 gene:Vigun07g275500.v1.2 transcript:Vigun07g275500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQSFYNIPSVSFSTPSPSAHKRHRFGPPSWGTIRCDASSVSVEKKVVGPGGLGPHTGRDPNVKKPEWLRQKAPQGERFLEIKGSLSQLKLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPSPPDPMEPENTAKAIASWGVDYIVLTSVDRDDIPDGGSGHFAQTVKALKNLKPEIMVECLTSDFRGDLKAVETLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKHSKERMITKTSIMLGLGETDDEVKEAMAALRAIDVDIMTFGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVREKTKNAGDS >Vigun05g116200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12383194:12385908:1 gene:Vigun05g116200.v1.2 transcript:Vigun05g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEVVAVVFVLATTTVTGEDSVSFTYNGFRSAPHLYLDGGAEFTSCGMLKLTNHTRQQKGHAFFQSPIAFKNTTNGSASSFSTSFVFAISSEYPDLSGHGIVFVVSPTKGLPNSLPSQYLGLFDNTNNGDTGNHVFGVELDTIQNIEFGDINDNHVGIDVNELKSVKSVPAGYYSDGGIKNLSLISGYPMQLWVEYDGLKKQIDVTLAPINIGKPERPLLSLSKDLSPILNNSMYVGFSSSTGSIQSYHYILGWSFKLNGQAEQLAISELPKLPGRGSKEQSKVLIIVLPIISVILVIVVVALAMVHVMKRKKFTELLEDWEQDYGPHRFKYKDLSLATKGFREKELLGSGGFGRVYKGVMPISKLEVAVKKVSHESRQGMREFVAEIVSIGRLRHKNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYNKPRVTLNWSQRFRITKGVASGLLYLHEEWEQVVVHRDIKASNVLLDAELNGRLGDFGLSRLYEHGSDPHTTHVVGTLGYLAPEHTRTGKATTNSDVFAFGAFMLEVVCGRRPIEQMGCSGSEILVDWVYNCWKRGEILEARDPNLGTNYKAEEVELVLKLALLCSHSDPSARPSMRQVVQYLERDVPLPDLSLLSLSSTGLRFGLHEDFQDCPMSYPSSMDRPISRTSSIAESLLSGGR >Vigun03g348700.1.v1.2 pep primary_assembly:ASM411807v1:3:54901627:54903763:1 gene:Vigun03g348700.v1.2 transcript:Vigun03g348700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNTSLNGNDLLSYPSQPFCFRPFSFESNPTNSSKDQSNFPPPPLPLPLPPPLSFLQSFDENIFLEHHHDLLLLHPSLLPDPGHKNLHAAAEIPAIPSAGLGGAAPMDHSTRKRSSKRDRHSKINTARGLRDRRMRLSLEVAKRFFGLQDMLGFDKASKTVEWLLNQAKVEIKQLAREKNSVGGAKSASSTSECEGVSSLDEVVVSGVNEEQERETPNMKRRTSKVCRKSAFNTIDKESREKARERARERTREKMRTRRVLAADASNLNRLSSWNPFETVEDSAATQSQSVNHPSLDVHLPETEEPSSHAKEHLGTVEDIARHEDSSLVIMNKWSPTMIFNSLNNSAILHEHQFAEFQSLGKPWETYNDHI >Vigun03g348700.2.v1.2 pep primary_assembly:ASM411807v1:3:54901636:54903733:1 gene:Vigun03g348700.v1.2 transcript:Vigun03g348700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNTSLNGNDLLSYPSQPFCFRPFSFESNPTNSSKDQSNFPPPPLPLPLPPPLSFLQSFDENIFLEHHHDLLLLHPSLLPDPGHKNLHAAAEIPAIPSAGLGGAAPMDHSTRKRSSKRDRHSKINTARGLRDRRMRLSLEVAKRFFGLQDMLGFDKASKTVEWLLNQAKVEIKQLAREKNSVGGAKSASSTSECEGVSSLDEVVVSGVNEEQERETPNMKRRTSKVCRKSAFNTIDKESREKARERARERTREKMRTRRVLAADASNLNRLSSWNPFETVEDSAATQSQSVNHPSLDVHLPETEEPSSHAKEHLGTVEDIARHEDSSLVIMNKWSPTMIFNSLNNSAILHEHQFAEFQSLGKPWETYNDHI >Vigun02g146700.1.v1.2 pep primary_assembly:ASM411807v1:2:29467109:29470378:-1 gene:Vigun02g146700.v1.2 transcript:Vigun02g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVADDHSPEATISRWKFAMSRQYQHMLDKTTPHVLRRWIGCLVVAAVYVLRVYLVQGFYIVSYALGIYILNLLIGFLSPQVDPEIHDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYVPFSFGKQRYDGKRTPTDSTSPTTAD >Vigun05g040300.5.v1.2 pep primary_assembly:ASM411807v1:5:3265068:3269246:-1 gene:Vigun05g040300.v1.2 transcript:Vigun05g040300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQRSSYGKRSHSHSDSDAGSKNKRRNPAADDSSLITAEDTVFRYLCPVRKIGSVIGRGGDIVKQLRADTRAKIRIGDALPGCDERVVTIHSSSEETNRIDDTGDLVSPAQDALFRVHQRVIAEDARDDEDEERNHVTAKLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDRLPPCALSSDELVQISGEGAVVKKALFQIAAQIRDNPSRSQHLLASAVPGGYAAGGPGAGAPIVGVAPFVGAYGGYKGDAGDWSRSLYPAQRDDASMREFTVRFVCPTGNIGGVIGKGGAIINQIRQDSGATIKVDSSVTEGDDCLIIISTKEFFEDSFSPTIEAAVRLLPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGTIVTEMRRLTKANIRILSKENLPKIASDDDEMVQISGDLDVAKDALVQALTRLRANLFDKERAVSGFLPVLPYLPTSVDGSDGLNYDSRDGKRHGRGAYSGGYGGSSDLASGDGYGSYGSSQLGSGGGAYGAYGSYSLGRTSGYGSTQNGSSRRRNHAY >Vigun05g113001.1.v1.2 pep primary_assembly:ASM411807v1:5:11929141:11930070:1 gene:Vigun05g113001.v1.2 transcript:Vigun05g113001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTSVLGNQGTKRSLPKWPEEFSICKESFVMYMEKINILINNQNFSLYAK >Vigun09g121100.1.v1.2 pep primary_assembly:ASM411807v1:9:26904551:26910151:-1 gene:Vigun09g121100.v1.2 transcript:Vigun09g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTSAIATHPSCFLSKPAAHTTIGFLSLTLNSRGISVALSSSSTRTTQKLTCHFNAKDGSFQQQEEEGDREVLCEVQVVSWRERRVNAQITVDADTESVWDALTDYERLADFIPNLVWSGKIPCPYPGRIWLEQRGLQRAMYWHIEARVVLDLREVINSEWDRELHFSMVDGDFKKFDGKWSVKSGTRSSTAILSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRAEKNFMGYQKLPVSENQLHKTYMAINGSSVKKINGTLCESVEKINGSLCQSDKLPPAENKKEIATSVSSSILTSSSEMRSNWGVFGKFCRLDRPRMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNILTAYETLPEIVPNLAISKVVSRDNNKVRVLQEGCKGLLYMVLHARVVLELCEYLEQEISFEQVEGDFDSFRGKWIFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLSAIRDYIENRNALKSSEFCEQNTNSGQQIISSSFENDDNPGSAEEVPNCDDRCSSQQRPKVPGLQRNIEVLKSELLQFIAEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRKVATVLNLSLAYKHRKPKGYWDNLENLQEEISRFQRTWGIDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRQRNRHEKLGKDTKSDDADGEMKTPCISQDTQKWLTKLKQWDINWFE >Vigun03g415101.1.v1.2 pep primary_assembly:ASM411807v1:3:62192411:62193238:1 gene:Vigun03g415101.v1.2 transcript:Vigun03g415101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSTPRNKNKVPPISPTISIFNSYTKFRRYSFCFKIPMAGEAQSGSQQPQTTPDTKNTDITKPNNDSNKKSEVSEMLNAESAKQRVKGLTDSVKGTFPSVAYIPFDP >VigunL041800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:406520:408016:1 gene:VigunL041800.v1.2 transcript:VigunL041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMQVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVGNIDEATAKATNLETESNLKK >Vigun06g116100.1.v1.2 pep primary_assembly:ASM411807v1:6:24405747:24407156:1 gene:Vigun06g116100.v1.2 transcript:Vigun06g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPCCESMGMKKGPWTAEEDQILVSHIQRYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGKFSKEEEDTILKLHEILGNRWSAIATSLPGRTDNEIKNFWHTHLKKRIQKSRVHINGNASSHILQEAQANSSSSSSAVSSVYGDVMLDNYGLPSRKNPINPPIVGTGFYGAVSSDTFGETEDNHGSCQLSEEMEFWYNIFVKSGQTS >Vigun09g206300.3.v1.2 pep primary_assembly:ASM411807v1:9:38088853:38090539:-1 gene:Vigun09g206300.v1.2 transcript:Vigun09g206300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSSLLSHPHSVRFLFNNTGNSRFKAAAEVPDFLSEDWFKSRKKRPFGPRLDFSAEDAVHYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSTLFVEENKYKQRVWIRGSRPEEEEIFQFTMVQVWSRFLPLHRIIVFMFVMIQYRVWFILFAKIIVYFCWKYVIRHFC >Vigun09g206300.1.v1.2 pep primary_assembly:ASM411807v1:9:38088768:38090600:-1 gene:Vigun09g206300.v1.2 transcript:Vigun09g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSSLLSHPHSVRFLFNNTGNSRFKAAAEVPDFLSEDWFKSRKKRPFGPRLDFSAEDAVHYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSTLFVEENKYKQRVWIRGSRPEEEEIFQFTMVQRVGGCWDGYWLTESLLHDTDTFSGGLAY >Vigun09g206300.2.v1.2 pep primary_assembly:ASM411807v1:9:38087918:38090539:-1 gene:Vigun09g206300.v1.2 transcript:Vigun09g206300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSSLLSHPHSVRFLFNNTGNSRFKAAAEVPDFLSEDWFKSRKKRPFGPRLDFSAEDAVHYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSTLFVEENKYKQRVWIRGSRPEEEEIFQFTMVQVWSRFLPLHRIIVFMFVMIQYRVWFILFAKIIVYFCWKYVIRHFC >Vigun09g206300.4.v1.2 pep primary_assembly:ASM411807v1:9:38087918:38090539:-1 gene:Vigun09g206300.v1.2 transcript:Vigun09g206300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSSLLSHPHSVRFLFNNTGNSRFKAAAEVPDFLSEDWFKSRKKRPFGPRLDFSAEDAVHYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSTLFVEENKYKQRVWIRGSRPEEEEIFQFTMVQRVGGCWDGYWLTESLLHDTDTFSGGLAY >Vigun04g176700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40017638:40018660:-1 gene:Vigun04g176700.v1.2 transcript:Vigun04g176700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITPFFHIHLTRVPRTIEIKHRKMKMTLVALVLVVALSTKALLGEAGPAPDQVVDKSGKKVRAGDYYYIVPASSDVGGLNLSTTGQDCPLDLVVVDGYQGLQFMFLPVNEKKGVIRVSTDLNILFATYTACPDSTVWKLKDYDYSSSHWFVTTGGALGNPGPQTIESWFKIEKYEDAYKMVYCPSVCNYCNYPCSDLGIYQDQYGKRLALTSEPYKVQFQKAQ >Vigun01g092200.1.v1.2 pep primary_assembly:ASM411807v1:1:25331010:25334483:-1 gene:Vigun01g092200.v1.2 transcript:Vigun01g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEVTEERSSSSESVTETVLGSHTFEIKGYSLTKGMGIGKCVASNKFSIGGYEWGMQFYPDGKYSKDNSTYVSLFITLFSNATDVSTFYEINLLDQRPKRKRHAATHYDHSFQCGPCVFKSRGSMWGYNRFCNRKDLEAATFLKNDCLKIRCTIGVVVTACSDSSKLKTIQVPESDMGEQFGVLLDSGAFSDITFSVRGQKFHAHKLVLATRSKLFLTEIVNGTEKNEDGEIVVNDIEPKVFKALLHFIYRDSLIPDKELYKSRPSLYSSLSETFPVKLLAAAIKFDLSRLKLMCEYVLCNNISINSVVYILLLADRNHAAELKFACLKFAAQNLTAVMQSDGFKYLTQNYPLMQAELLKTVVECMEPYIGEQKHESVKSQSSDNGH >Vigun03g173200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21184275:21185133:1 gene:Vigun03g173200.v1.2 transcript:Vigun03g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIPAVALVTVTMLACLVCGASHGTLVGSKTEITDVKRNKEVQDLGYFSVEEHNRRLRQALRGMAEETKFVEVVEAQKQVVAGTKYYLKISATQSGRSVMFDTVVVVQPGLASKDLLSFAPSSQKIA >Vigun08g033100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3014488:3016027:-1 gene:Vigun08g033100.v1.2 transcript:Vigun08g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHNNNNSSNNNNYGYNDEENIVNVPFSWEHKPGLSKVSAHGHNGSGLVLQPPPCSSLDHRHKVEEESQILCAVQSSLRISSFRMETQKEEDPFVEAYKKCTQSPFIHQHSNRVQKNIRSWPTLRKYVNILSCKHSNDVL >Vigun03g172800.1.v1.2 pep primary_assembly:ASM411807v1:3:21144211:21147186:-1 gene:Vigun03g172800.v1.2 transcript:Vigun03g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVEIIDQGVRIAARFHSNCPQTGRKYYHPPSLSDNHAHHSHGAANDAAGVKERTLKTLQPLRTHNYLKFPRTRQFDFKLRHRYLNAVPLHPYNTEFLWLSQLNPHIVWTLGPFFLDIAIRTKLLNL >Vigun01g076300.1.v1.2 pep primary_assembly:ASM411807v1:1:21382327:21383891:-1 gene:Vigun01g076300.v1.2 transcript:Vigun01g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTDNTNQKNQTVPEAQGVASSSSSSPTNVSTENWGTHIMGTPAVPSSHPDNKKAALQSGGGGGQPQPVQYYQQHPYVQHSPVEKPSNSPMESILHMFDTWSKKAEATAHNVWHNLKTGPSVSSAALGKMNLTVKAISEGGFESLYKQTFSTYPNEKLKKSFACYLSTSTGPVAGTLYLSNIHVAFCSDRPLSFTAPSGQETWTYYKVMVPLAKVGTVNPVIMRENPSEKYIQIVTVDGHDFWFMGFVNFDKAVKNLSEGISQFVVPGVAVPATASGENGKNFQ >Vigun07g252600.1.v1.2 pep primary_assembly:ASM411807v1:7:37151668:37155321:1 gene:Vigun07g252600.v1.2 transcript:Vigun07g252600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAAAAGLELSNPPSDGITNLRFSNHSDNLLVSSWDKSVRLYDASANVLRGEFRHAGPVLDCCFHDDSSGFSVAADNTVRRLFFSSNKEDILGKHDAPVRCVEYSYAAGQLITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRESSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSEAIQAKKYAFKCHRKSEAGRDIVYPVNAMAYHPIYGTFATGGCDGYVNVWDGNNKKRLYQYMKYPTSIAALSFSRDGRLLAVASSYTFEEGPKSNESDAIFVRSVNEIEVKPKPKVYPNAPA >Vigun07g252600.2.v1.2 pep primary_assembly:ASM411807v1:7:37151669:37154904:1 gene:Vigun07g252600.v1.2 transcript:Vigun07g252600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAAAAGLELSNPPSDGITNLRFSNHSDNLLVSSWDKSVRLYDASANVLRGEFRHAGPVLDCCFHDDSSGFSVAADNTVRRLFFSSNKEDILGKHDAPVRCVEYSYAAGQLITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRESSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSEAIQAKKYAFKCHRKSEAGRDIVYPVNAMAYHPIYGTFATGGCDGYVNVWDGNNKKRLYQYMKYPTSIAALSFSRDGRLLAVASSYTFEEGPKSNESDAIFVRSVNEIEVKPKPKVYPNAPA >Vigun03g363300.1.v1.2 pep primary_assembly:ASM411807v1:3:56705014:56707045:-1 gene:Vigun03g363300.v1.2 transcript:Vigun03g363300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSATFEHVLGESLVVHTLLTTVKSLFTFLFMTGSLLTAVDNLVSPIDGRFPFDQLCKRKHTCEENKDGSDTEDDDGDDEDEDDIDDGEDDDDDDENFSGDEGGEEDDSDEDPEANFGGKKDDKDDDDDEDDEDDNDEEDEDEDDEDEKEEEEEEESPQPPSKKRK >Vigun04g069400.1.v1.2 pep primary_assembly:ASM411807v1:4:8043791:8047387:-1 gene:Vigun04g069400.v1.2 transcript:Vigun04g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKAKRDLHTGEKKKKRNREQNDEVEIQATVEDVEVDNEIHVSDTKKEKASVNKKRKNKDKSLVRKRKPKGEEVDLEEQSDEVVDNCHSSAEEIQDFGGHRDLDTGAVTKPCRSKKDKKKRKKEDRNSQDKGEWYNNLKEVYTISSVDDDCSKGMKSMNC >Vigun06g070600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20090434:20090832:-1 gene:Vigun06g070600.v1.2 transcript:Vigun06g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHKAYAVLMALELASIEIKYGISSDSNPFQQLSPTTLIFVVAIFCHALASIADSSFSATIITFHVSGVVGCETLLWLFLSEFLRYYIINAILLLVAFFCFFNYFTPFGFASHTPSHDDHLANMESHETQM >Vigun02g203500.2.v1.2 pep primary_assembly:ASM411807v1:2:33722299:33729533:-1 gene:Vigun02g203500.v1.2 transcript:Vigun02g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEFTRLDERSVIEYVKGVAALSSVLKLEDDGDAAEKLTVKEIGDGNLNFVFLVANSAHSLIVKQSLPYIRCIGESWPLTKDRAYFEAMALEEQGRITPNHVPQLYHFDPTMSLIAMRYLPPPHIILRKGLIAGIQYPLLARHIAHFMANTLFFTSLLFHSTLDHKRQVAKFCGNAALCKHTEQVVFSDPYQISQYNHWTSPYLDPDAEALRHDNLLKLEIALLKSKFSEQAQALIHGDLHTSSVMVTPESTQVIDPEFAFYGPMGYDIGAFLGNLMLAFFAQDGLVDQPHDRKAYKEWILETIEDTWNLFYKNFVALWNEHRNGDGEAYLPAVYNNPEVQLLAQKRYMTQLFHDSLGFGAAKMIRRIVGVAHVEDFESITDAVKRASCERKALNLAKMILKDRTNFQGIDQVVSAIRQF >Vigun02g203500.1.v1.2 pep primary_assembly:ASM411807v1:2:33722299:33724542:-1 gene:Vigun02g203500.v1.2 transcript:Vigun02g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEFTRLDERSVIEYVKGVAALSSVLKLEDDGDAAEKLTVKEIGDGNLNFVFLVANSAHSLIVKQSLPYIRCIGESWPLTKDRAYFEAMALEEQGRITPNHVPQLYHFDPTMSLIAMRYLPPPHIILRKGLIAGIQYPLLARHIAHFMANTLFFTSLLFHSTLDHKRQVAKFCGNAALCKHTEQVVFSDPYQISQYNHWTSPYLDPDAEALRHDNLLKLEIALLKSKFSEQAQALIHGDLHTSSVMVTPESTQVIDPEFAFYGPMGYDIGAFLGNLMLAFFAQDGLVDQPHDRKAYKEWILETIEDTWNLFYKNFVALWNEHRNGDGEAYLPAVYNNPEVQLLAQKRYMTQLFHDSLGFGAAKMIRRIVGVAHVEDFESITDAVKRASCERKALNLAKMILKDRTNFQGIDQVVSAIRQF >Vigun02g203500.3.v1.2 pep primary_assembly:ASM411807v1:2:33722299:33729533:-1 gene:Vigun02g203500.v1.2 transcript:Vigun02g203500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEFTRLDERSVIEYVKGVAALSSVLKLEDDGDAAEKLTVKEIGDGNLNFVFLVANSAHSLIVKQSLPYIRCIGESWPLTKDRAYFEAMALEEQGRITPNHVPQLYHFDPTMSLIAMRYLPPPHIILRKGLIAGIQYPLLARHIAHFMANTLFFTSLLFHSTLDHKRQVAKFCGNAALCKHTEQVVFSDPYQISQYNHWTSPYLDPDAEALRHDNLLKLEIALLKSKFSEQAQALIHGDLHTSSVMVTPESTQVIDPEFAFYGPMGYDIGAFLGNLMLAFFAQDGLVDQPHDRKAYKEWILETIEDTWNLFYKNFVALWNEHRNGDGEAYLPAVYNNPEVQLLAQKRYMTQLFHDSLGFGAAKMIRGGPC >Vigun05g195700.2.v1.2 pep primary_assembly:ASM411807v1:5:37851503:37853833:1 gene:Vigun05g195700.v1.2 transcript:Vigun05g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSASYEHEDQDEYVLLDLDGVSDLINLPANAKYVLTGLDTLNPELIIDDKFKLIGEYEESIGTCLAFTEQETPVVHEETGSSSEMILFSRTRLIDSNQPPTKQVKPLCQLHKILKFKLSPDSEIQSLAPEEVK >Vigun05g195700.1.v1.2 pep primary_assembly:ASM411807v1:5:37850877:37853914:1 gene:Vigun05g195700.v1.2 transcript:Vigun05g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSASYEHEDQDEYVLLDLDGVSDLINLPANAKYVLTGLDTLNPELIIDDKFKLIGEYEESIGTCLAFTEQETPVVHEETGSSSEMILFSRTRLIDSNQPPTKQVKPLCQLHKILKFKLSPDSEIQSLAPEEVK >Vigun07g198450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31879692:31880711:-1 gene:Vigun07g198450.v1.2 transcript:Vigun07g198450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLRLTSHIPRLTSHVLHRPSPASSHVSRSTSHVPRLTFHVPHVTSHVSRLTSHVYRLTSHVPSLRSHVPRLTSYGSCFMSHISRRLMSRVSRLTFNVSRPTSHVPCFTSLVSRLTSHVSCLMSNVPCLTSPVPRPTSLVSRVTSHVLRPPSHILRHTSYVLCLTSHVPRRPTSHVSRSTFHISRRHTSNVSDLMSHVLRLTFFVSHSTSSHVPRPTSYVQHLASHICLTFNVPRFTFYVSCPTFHISRLMFHVPRLKSIVPHLTSHVPRPSSHVSRCPTSHVPSLKSQVSRFTSHVSRITSHVSRPTSHVTRFTSSHVSCLMSHVQHPTFDVSRLTS >Vigun08g081400.1.v1.2 pep primary_assembly:ASM411807v1:8:17037979:17042141:1 gene:Vigun08g081400.v1.2 transcript:Vigun08g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRESALLVILLFRLQQICATKHFDHACAPSSCGKITNITHPFRLNGDPDHCGDNRYELTCENNVTVLSLYSGKYHVQAINYNNFTIRVVDPGVRQSNCSSIPRYFLSRSNFSDTYDYINMDKDAYQSGQRRVSDQPIYFNNRRWNVVRSNPVYEHVVFMNCNHSVSDNGKYVDTASCVNWQSKGYTYAIAGDLISEDLEVGCNVALVTPTSWWGLHTPQYSYSEMHKALAYGFEISWMNRACQDICVNSMGSSCILDTSGRVDCSNCHRFLSILSICDGRPIWYQLLLYAKDTIYTAWIGLVETIKGQKEFDGDGNYKLGLIIGHYVLPSFLACKLLFGVISFFALLIYKWKRRHLSMYENIENYLEHANLMPIRYSYKEIKKMTGGFKDKLGEGGYGSVFKGKLSSRSYVAIKMLDKSKGIGQDFFNEVATIGKIYHQNIVKLVGFCVEGSKRALVYEFMSNGSLDKIIFSKDGNIHLSYDEIYNISIGIAQGIAYLHHGCEMKILHFDIKPHNILVDGKLTPKVSDFGLAKLYPVDKSIVTMTGVRGTIGYMAPELFYNNIGKISHKADVYSFGMLLMEMTNKRKNLNSHAEHSSQLYFPFWIYRYIGKEKDIDIEDVKEEEKEEVKKMIIVALWCIQLKPNDRPTMSEVVEMLEGDIENLKIPPKPTLYPDETMSSDLSFTDSSNSSM >Vigun01g153300.1.v1.2 pep primary_assembly:ASM411807v1:1:33583610:33590231:-1 gene:Vigun01g153300.v1.2 transcript:Vigun01g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITATISVPLTSPTRRTLASLNNLFPHSPRSTLPIPRRTFKYPNSRLVASSMSTDAPVKSPSASFLNRLQTGFLHFAKYHGLGNDFILIDNRDSTEPRVTAEKAVQLCDRNFGVGADGVIFVLPGINGTDYTMRIFNSDGSEPEMCGNGVRCFAKFVSQLENLQGSHSFTVHTGAGLIIPEVLDDGNVRVDMGEPVLKASDVPTKLPANKDDAVVKSELVVDGVIWHVTCVSMGNPHCVTFSREGSQNLLVDELKLAEIGPKFEHHEVFPARTNTEFVQVLSKSHLKMRVWERGAGATLACGTGACATVVAAVLEGHAERICTVDLPGGPLQIDWREEDNHVYMTGSADLVYYGSLPL >Vigun07g280200.1.v1.2 pep primary_assembly:ASM411807v1:7:39491665:39504483:-1 gene:Vigun07g280200.v1.2 transcript:Vigun07g280200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANLSSLIHELRERIAASSSSPSPNNSSDDDALEVRFRTVLPNLLHVCVLPSSTSSDNQREVIAVVKLISHTARNFPGVFYHGKPSAVSPVLARILPFFAQPLFRSRHGVFFEALDSLLALLRSGARDAYRQFFVDSMSLIQDILYVASLNVKGSSRVKVKCFCEFFSGVEDLPSANKPADGFGLLIDLTGRSRWQPFATWILKLLSKCLTEGTLYVEGLIHASFISAACSLLCYGDADLHMACFDFVHTIGTVTNYDLIPYQNLILSITTILSIDKEGLPVFRNMAYDLSMGICLNTLYSSCPEDIVKLTAADLVSVFLQSMGRTKSQQLKVALCSAYARIAQVCPLHIWKPEYLISALYHSEPCLPLIECFEVALSTLGPHLVRGILGNTEDLTVLASEDKSIEGTRLGHKRSIQDMDNLSIKRQKLNEEVVVTDGNLDVECKSSYVVTCQTVEGYASHMNKSILSFVDSLSAPAVRPGPLRPEIALSALSILCITFSVYPEIDLSLRIFQQMLAWLPWIADKAKQGNSITVDISTYLEGIHSVLLVQNASLKEHNPLDDENYHADLILVLKIPWTRMPVAIDNRSPCKLKCLSLQVVSKLGPSLSRKVVLEVLDLGLHDEAEEVRTEAVISMPIMVLWSVLDVPSPVFERMEYIKRDNEKVKKILPTSLGLLSCLYGCKRSISGPNIHECKLFVNVKSGRTCSTIDCLLQGFFCSKCNGSFICNLDKKHAPIVLQSGTHGADPDFSFDHTFIQLESLFFELLFDESSEDVQLSCVRVIHQILAHGTSDILLKTRFKWIKCVEYLLTCRSKELREAFCSQIRFFMDNLVLSSIFAGDADKSKEAKFLDTLKHAMTIAGSPHILETLMECTAEIMVAVNMDSKLFICSLILLVDQLDSTHMTVRMNASRLIHRSCYFHLKGGLELILSKDVCICTELYDYLSERLASRPVLVREFAEAVFGVETKELVKKMIPFVLPKLVVSHQNSQAVGTLYELAKCLNTDMVPLIVNWLPKVLAFALHQTDDQQLITAVQFYHVQTGSDKQEIFAAALPALLDELICFTNGGNSDEIARRLARVPDMIKDIAKVLTGAEDLPLFLRNHFVGLLNSIDRKLLHADDFMMQRQSLNRIELLITMMGPHLSTYVPKLMVLLMHAIAKEPLQKEGLSVLHFFIKQLSNVSPSSIKHIISQVFASLLPFLERDKENPSIHLDKVVTILEELVLDNRVILKQHICEFPPLPSIPALAEVNKAIEDARGTMTLKNQLQVVVDGLNHENLNVRYMVVCELRKLLDSRWKDVTDLITAEAGSDFDVLSSLITSLLRGCAEESRTAVGQRLKLVCADCLGSLGAVDPAKVKGFSSQRFKIQCSDDDLIFELIHKHLARAFRSAPDTVIQDSAALAIQELLKFAGCEASLDENAPTTTSQAQKDEGSHNAVVSKTKSTNGSKGMNNRGHKLWDRFSNYVKEIIAPCLTSRFQLPKVAADSTSTGPIYRTSMSFRRWIFFWIRKLTAHATGSRASIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTQEARQGIAEEILSVLDAAASENSGASVHGFSGGQSEVCIQAVFTLLDNLGQWADDVEQELALSISQPSVSKQQKSKVQKSNSLDQVQPLLQCKYVAELLSVIPKVTLARASFRCQAYARSLMYFESYVREKSGSFNPASERSGVFEDQDVSHLMEIYSCLDEPDGLSGLSCLSKSLRLQDQLLINKKAGNWADVLTSCEQALQMEPTSFQRHSDVLNCLLNMCHLQAMVTHVDGLISRFPQYKKSWCMQGVQAAWRLGRWDLMDEYLGGAKEDGLVCSSSESNTSFDLNVAMILQAMMKRDRFSVAEKIALSKQSLIAPLAAAGMDSYMRAYPFVVKLHFLRELEDFNSLLGNNSFLEKSFHLGDQAFSKLLDNWDNRLRFTQSSLWAREPLLAFRRLVFGASGLGAQVGNCWLQYSKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAVLQQSLLDMPVEVLGSATISSITSLSLLPLNTQPIVCESQAMNENRDIAKTLLLYSRWTHYTGQKQKEDVISLYTRVRELQPKWEKGYFYIAKYCDEVLGDARKRQEENSELGPRPIPSATVVVGSSNLNGEKRWWSYLPDVLLFYAKGLHRGHRNLFQALPRLLTLWFDFGSMYQRRGSSNKDLVNVHSKVMSIIRGCLMDLPTYHWLTVLPQLVSRICHQNVEIVRLVKLIITSVLRQYPQQGLWIMAAVSKSTVPSRREAAAEIIQAARKGFNPGSKENSLFVQFATLIDHLIRLCFHACQSRSRTINLSTEFSSLKRMMPLGIVMPIQQSLTVNLPTYDGNLDGSRMSNIFSATDLPTILGIADEAEILSSLQQPKKIVLLGSDGLERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRSKTNPQIKQIYDQCQGKMPGDEMLKNKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILLDSTSGDCVHVDFSCLFDKGLQLDKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRSHREALMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIAARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSLKNLGSMYIWWMPWF >Vigun11g123000.1.v1.2 pep primary_assembly:ASM411807v1:11:33030378:33036391:1 gene:Vigun11g123000.v1.2 transcript:Vigun11g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRAPSAAATATASSNPPDQIMHSMKRQLPFSSMKPPFAAAGDYHRFAPDHRRLDAEAVVVKTPQLKRKSEAADFEADSGDRMTPGSTEPANSPFRTPVSGKTGKGGKSSRMTKCNRLGTQTPGSNIGSPSGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADDSFASLQAEVENLTMDERRLDEQIREMQERLRELSEDENNERLLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEDINGVDVAPKLPSSPDVNKHQSTVVPEDRGKGIDVQGPDVGPSSEFTTSQDFVSGIMKIVPSDVASEADYWLLSDADVSITDMWRTEPGVEWNELDPLQEDYCMTRENTSTPSHPSNVGEVSSTSKPSGG >Vigun06g082000.1.v1.2 pep primary_assembly:ASM411807v1:6:21367289:21369314:1 gene:Vigun06g082000.v1.2 transcript:Vigun06g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGSSSIRRMSSRTRKVASKMAAALASADNRTQAALARLDALENDNAGFEIADANNDDDEASLDEEDQFYIQKKQSKGTKRKTRQAKALEARRAPRTFLELLHEANLESLPPHVPSYWKAAVGPPSSTSRRHFCTVCGFSANYTCVRCGMRFCSYRCQNVHNDTRCLKFVA >Vigun03g248600.1.v1.2 pep primary_assembly:ASM411807v1:3:41365809:41369954:1 gene:Vigun03g248600.v1.2 transcript:Vigun03g248600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWELLEVASAPVVEVLLISTVGVFMATENCNNLLSPDFRKSLNKLVFIAFTPSLIFASFAKNVSLDEMILWWFMPVNIGLTFLFGGILGWIIVKILKPNLKLQGLIVASCSSGNMGNLPIVIIPAICNEKGGPFGEHDDCRKRALSYSFCSLALGGVFIWTYTYQLMRNTSFRYKAFEAAEILKIPHKGLDADEETGFLKRKDGYAGDSEHQILVDQCASTVSMNSETSLWHRMTETVGHILAELMSPPTIATLLGFLFGGVEWLRNLIIGVDAPLKVILDSIQLLGDGTIPCITVLLGGNLTQGMQSSSVEPVVVICIIIARLVLLPAIGFCVVRAAAHFGLLPLDPLFQYVLVIQYALPPAMNISTMAQLFDVGTEESSVIILWTYGAATIALTLWSMYLIWIFS >Vigun04g031800.2.v1.2 pep primary_assembly:ASM411807v1:4:2583047:2584318:-1 gene:Vigun04g031800.v1.2 transcript:Vigun04g031800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWISRTSLRFGLSSSIPKFHPFLSNPSSLSSFRSHTQTPLLDDHYVPHVVFQIDSMIQMRHVPPIYEFDKILGCLTKMKRYPTVIFLSKQMEIKGIRHSLITLSILINCLCHLSQMTFAFSVLAKIFKLGYHPNVITLTTLVKGLCKKGELKEAFRFHDKMVAQGFRFNEVSYGTLIQGLCHIGETKAAIQLLRIIEVRSIKPGVVMYSTIIHHLFINGLANEAFDLYSEMVAKGISPDLVACTTLVYGYCILHHLQEAIDFTNEMISNNIRPNIFTYNILIDALCKEGRIKQAKNVLAMMVRAYVKPDIFTLNTLMDGYYLVNEVKNAKHVFNLITKVEVTPNVYSYNIMINGLLTYGSLIDGLCKSGRIVYMAPTIPKRRTTPSSPYTSQAASSKI >Vigun04g119500.2.v1.2 pep primary_assembly:ASM411807v1:4:30521853:30531882:1 gene:Vigun04g119500.v1.2 transcript:Vigun04g119500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSIWMRHGYKHSYMTIETGGPSQYCKPAVLPLLLPSTHTIQRDNSFLLLQMDVRFSSAQTKNSQENVVASPPIQIGGKSCHQCRQKKENFLAICKNLKKGKNCPVKFCHKCLSARYGENAEEVAALADWICPKCRGVCNCSLCQKRRGEVPTGQMYRSAKESGFKSVAEMLAFKKASKALNPSSDGSLKEEREVFFSGELGKASCSDANDLTDVCNRDHGGDNVGTILQRFPNVGMNLECKIIEEEVLLPRGIELKEIYGMELQPKDVGNALQFLEFCRVFGKALDLKEEEAKAIFEELISEESMDEHNSSLIQFHIKLLALILSDSEKESQSLLTKSETNSWIKHLEGLMMQSHHILNDFPVNWFQEGISGYFKLDLSKKFRLMTFLCDEVLNTEKLRRYIQDENTKQTKRVKEAKLKIAAAKEKVKCLRQRLRNEKAKVSPCPMEECDALIDIRTQVDEAHTDMLSLKSTNQKESGSDATRINPEFVDNNGMTFWNLRSYNEESVVLRQDLKVQDEAVTSPEESWFVYSPKEKDEVDKYISSRAKRRKNSQIIA >Vigun04g119500.5.v1.2 pep primary_assembly:ASM411807v1:4:30521759:30531893:1 gene:Vigun04g119500.v1.2 transcript:Vigun04g119500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSIWMRHGYKHSYMTIETGGPSQYCKPAVLPLLLPSTHTIQRDNSFLLLQMDVRFSSAQTKNSQENVVASPPIQIGGKSCHQCRQKKENFLAICKNLKKGKNCPVKFCHKCLSARYGENAEEVAALADWICPKCRGVCNCSLCQKRRGEVPTGQMYRSAKESGFKSVAEMLAFKKASKALNPSSDGSLKEEREVFFSGELGKASCSDANDLTDVCNRDHGGDNVGTILQRFPNVGMNLECKIIEEEVLLPRGIELKEIYGMELQPKDVGNALQFLEFCRVFGKALDLKEEEAKAIFEELISEESMDEHNSSLIQFHIKLLALILSDSEKESQSLLTKSETNSWIKHLEGLMMQSHHILNDFPVNWFQEGISGYFKLDLSKKFRLMTFLCDEVLNTEKLRRYIQDENTKQTKRVKEAKLKIAAAKEKVKCLRQRLRNEKAKVSPCPMEECDALIDIRTQVDEAHTDMLSLKSTNQKESGSDATRINPEFVDNNGMTFWNLRSYNEESVVLRQDLKVQDEAVTSPEESWFVYSPKEKDEVDKYISSRAKRRKNSQIIA >Vigun04g119500.3.v1.2 pep primary_assembly:ASM411807v1:4:30521870:30531882:1 gene:Vigun04g119500.v1.2 transcript:Vigun04g119500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSIWMRHGYKHSYMTIETGGPSQYCKPAVLPLLLPSTHTIQRDNSFLLLQMDVRFSSAQTKNSQENVVASPPIQIGGKSCHQCRQKKENFLAICKNLKKGKNCPVKFCHKCLSARYGENAEEVAALADWICPKCRGVCNCSLCQKRRGEVPTGQMYRSAKESGFKSVAEMLAFKKASKALNPSSDGSLKEEREVFFSGELGKASCSDANDLTDVCNRDHGGDNVGTILQRFPNVGMNLECKIIEEEVLLPRGIELKEIYGMELQPKDVGNALQFLEFCRVFGKALDLKEEEAKAIFEELISEESMDEHNSSLIQFHIKLLALILSDSEKESQSLLTKSETNSWIKHLEGLMMQSHHILNDFPVNWFQEGISGYFKLDLSKKFRLMTFLCDEVLNTEKLRRYIQDENTKQTKRVKEAKLKIAAAKEKVKCLRQRLRNEKAKVSPCPMEECDALIDIRTQVDEAHTDMLSLKSTNQKESGSDATRINPEFVDNNGMTFWNLRSYNEESVVLRQDLKVQDEAVTSPEESWFVYSPKEKDEVDKYISSRAKRRKNSQIIA >Vigun06g035500.1.v1.2 pep primary_assembly:ASM411807v1:6:14938538:14942810:1 gene:Vigun06g035500.v1.2 transcript:Vigun06g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFRARDSAAATTTTVPSSLSGKHKPDDVAVSRNQLSTLFLCEEREDSVVRDDVRDFEVRSQKGDNEAKFLKAGARIPFEKVHHESRPFNPSTPVKCCEEGEKRTSYFEQTPSSCISNAQSSQCTSLDSTGGIRTGSLHSLDKNENTASVSPWPSTTYTKRNKSVRFECGADLSSCDLSDSTNNESAYKPSLYPTPMKLSDEMQTPGTVYPTTKEDLPNVRPRLKSQFVYPINNPEDEVSQKILEEDGHTQDSVERSDITTSTPDKGLKKISCEDECKVESLSSWLKPAAVILEERNRRMQIAYNHVRKTPVDRPIIGMVAAHWNENEDSQAPPPKWWDGNGIPNSTNKYKEDQKVNWHATPFEERLEKALSEESVITQRKDACGKSMPFDENEESDTALSQLQSSTHPQSVVSF >Vigun09g168300.1.v1.2 pep primary_assembly:ASM411807v1:9:33713545:33715998:-1 gene:Vigun09g168300.v1.2 transcript:Vigun09g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPQQSPTPEAESSRIGHGGRTTSPSRAGRPERTKDEPTHTTMKSSGTSRGAHPYRMTIHTDGEFYFTLMISETPLPSERCQVLKSMKRPSTRQPTENFLKLDDVSKRLEKFQKSRKVFNNLKDGVINLGRGFPNFDGPEFIKEAAIQAIKDGKNQYARGSGVPDLNIAIANRFRIDTGLVIDPDNEVTVTNGCLEAIASTVLGLINPGDEVILFSPLYDSYEVSIPLQPPDFAIPIEKLKSIVSRSTRAIVINTPHNPTGKMFSLEELNAIASLCIENDVLVFADEVYHKLAFDMEHISIASLPGMFERTVTMNSLAKTFSITGWKIGWAIAPAHLTWGLRQAHSFITFSIATPLQCAAAIALRAPESFYVELKKDYMAKRAILVEGLKAVGFKVFPPDGTFFVLADHTPFGFENDVAFCEYLLKEVGVLAIPCSVFCLNPEEGKNLVRFVFCKDDETLRVAVQRMKEKLRK >Vigun04g019600.1.v1.2 pep primary_assembly:ASM411807v1:4:1481765:1484291:1 gene:Vigun04g019600.v1.2 transcript:Vigun04g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQALAIIFITLSFIVVLFQKKHQRRTAPGPKPLPIIGNLHMLGKLPHRSLQSLATKYGPIMSLKLGQVPTIVVSSPETAELFLKTHDTVFASRPKIQASEFLSYGSKGMAFSEYSAYWRNMRKVCTLQLLSASKVEMFGPLRREELGVLVKSLKNSAESGEVVDLSQLLGELMENIVFKMVLGRAKDDRFDFKLLTHEVMNLVGAFNLADYMPWLGVFDPQGITRRLKKAAKSFDELMEQIIQEHESNLYDKDENHNMDFVDILLSLVHQPIDLQNHEDIIDRTNVKAIILDMITAAFDTSSTTIEWAMSQLLRHPSMMKRLQEELEHVVGMSRHVVENDLEKLSYLNMVVKETLRLHPVAPLLIPRECREDVTIDGYFIKKKSRVIINAWAIGRDPKAWDKAEIFNPTRFENNNVDVRGKDFKILPFGSGRRGCPGIHMGLTTISLVLAELVHCFDWVLPLGMTSDELDMEEIFGLTTPRKKHLLIRPLYRLTT >Vigun08g105000.1.v1.2 pep primary_assembly:ASM411807v1:8:26122902:26128071:1 gene:Vigun08g105000.v1.2 transcript:Vigun08g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVKELVESSSMKSVPSNYVCHKTPEDNLLNYETESIPTIDFLQLTSSNPIERSKAIQQLGDACRDWGFFMLMNHGVPETLSEKMVRVGEKFFDLSKEEKMEFAGEKILDPIRYGTSFNLMVDKALFWRDYLKCLVHPHLNAPSKPPGLREAFEEYSRKGKEVVKELLKGISLSLGLEENYIHKRMNVELGCQVLVINYYPPCPKPELVMGLPAHTDHGLLTLLQQNHLGGLQIQHKGKWIPINPIPNSFLINTGDHMEILTNGKYKSIIHRAVVNTKGTRISVGTAHGPNLDTVVGPAPELVGDDNPALYRAITYREYILLQQNNELDKNTCLERIRI >Vigun06g201800.1.v1.2 pep primary_assembly:ASM411807v1:6:31671730:31679310:1 gene:Vigun06g201800.v1.2 transcript:Vigun06g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEEQEYDQQMMEEDDDEDDITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYRISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSSKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTFASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWMGIHRDPDMLVRTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKRDIHALQQRESPEDGGWHDLVSKGFIEYIDTEEEETTMISMTINDLVQARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRTSTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQALRYTRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEIKSTKDQKKKGA >Vigun05g295200.1.v1.2 pep primary_assembly:ASM411807v1:5:48049272:48050660:-1 gene:Vigun05g295200.v1.2 transcript:Vigun05g295200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGADLLWADMNRLLAFLFHQGVLDEQFLQLQQLQDEASPNFVSEVVNIYFHESEKLLNNLRGLLMEKELSDYKKIGIHLNQFMGSSSSIGAKRVTNICVAFRAATDQSNRAGCLRALEMLEHEYCYLKNKLHELFQIEQQRALVAGVRYPVQNQ >Vigun05g256200.1.v1.2 pep primary_assembly:ASM411807v1:5:45056653:45060182:1 gene:Vigun05g256200.v1.2 transcript:Vigun05g256200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDMTLDDIIKNNKKSASANSRGRGRASGPGPARRLPNRAANRAAPYATAKAPESAWQHDMYADQPAATAFAGQGGRASSIETGTKLYISNLDYGVSNDDIKELFLEVGDLKRHTVHYDRSGRSKGTAEVVFSRRADAVAAVKRYHNVQLDGKPMKVEIVGTNIATHAAAPTVNGTFGNSGGPPRSVQGRNGPLGRPRGAPRGRGGSRRGGRGRGRGRDEKVSAEDLDAELEKYHAEAMQLN >Vigun05g256200.2.v1.2 pep primary_assembly:ASM411807v1:5:45056655:45060189:1 gene:Vigun05g256200.v1.2 transcript:Vigun05g256200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDMTLDDIIKNNKKSASANSRGRGRASGPGPARRLPNRAANRAAPYATAKAPESAWQHDMYADQPAATAFAGQGGRASSIETGTKLYISNLDYGVSNDDIKELFLEVGDLKRHTVHYDRSGRSKGTAEVVFSRRADAVAAVKRYHNVQLDGKPMKVEIVGTNIATHAAAPTVNGTFGNSGGPPRSVQGRNGPLGRPRGAPRGRGGSRRGGRGRGRGRDEKVSAEDLDAELEKYHAEAMQLN >Vigun05g256200.3.v1.2 pep primary_assembly:ASM411807v1:5:45056655:45059223:1 gene:Vigun05g256200.v1.2 transcript:Vigun05g256200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDMTLDDIIKNNKKSASANSRGRGRASGPGPARRLPNRAANRAAPYATAKAPESAWQHDMYADQPAATAFAGQGGRASSIETGTKLYISNLDYGVSNDDIKELFLEVGDLKRHTVHYDRSGRSKGTAEVVFSRRADAVAAVKRYHNVQLDGKPMKVEIVGTNIATHAAAPTVNGTFGNSGGPPRSVQGRNGPLGRPRGAPRGRGGSRRGGRGRGRGRDEKVSAEDLDAELEKYHAEAMQLN >Vigun05g256200.4.v1.2 pep primary_assembly:ASM411807v1:5:45056655:45060159:1 gene:Vigun05g256200.v1.2 transcript:Vigun05g256200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDMTLDDIIKNNKKSASANSRGRGRASGPGPARRLPNRAANRAAPYATAKAPESAWQHDMYADQPAATAFAGQGGRASSIETGTKLYISNLDYGVSNDDIKELFLEVGDLKRHTVHYDRSGRSKGTAEVVFSRRADAVAAVKRYHNVQLDGKPMKVEIVGTNIATHAAAPTVNGTFGNSGGPPRSVQGRNGPLGRPRGAPRGRGGSRRGGRGRGRGRDEKVSAEDLDAELEKYHAEAMQLN >Vigun10g165900.1.v1.2 pep primary_assembly:ASM411807v1:10:38495360:38501177:-1 gene:Vigun10g165900.v1.2 transcript:Vigun10g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDRLARQPNSVVISCNLKLNLDRLLARMWDEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLSHNLRDEDVVQIVKKKEKEEGGRGRFKSHSNAPARISDREKKAPLKT >Vigun10g165900.2.v1.2 pep primary_assembly:ASM411807v1:10:38495360:38501177:-1 gene:Vigun10g165900.v1.2 transcript:Vigun10g165900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDRLARQPNSVVISCNLKLNLDRLLARMWDEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLSHNLRDEDVVQIVKKKEKEEGGRGRFKSHSNAPARISDREKKAPLKT >Vigun04g038300.1.v1.2 pep primary_assembly:ASM411807v1:4:3210681:3214911:1 gene:Vigun04g038300.v1.2 transcript:Vigun04g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFSSSSSFFCLLLTAFAAFSAVRSDSSDHRYKQGDVVPLYANKVGPFHNPSETYRYFDLPFCPPGDLKEKKEALGEVLNGDRLVSAPYVLEFQRDKESVPVCNTKLSKEDVARFRSAVRKDYYFQMYYDDLPIWGFIGKVDKEGKDPSDYRYYLYKHIHFDVFYNKDRVIEINVRTDPNALVDLTEDAEVNAEFLYTVKWKETNTPFEKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLTTILMRVLKNDFVKYAHDEETAEDQEETGWKYIHGDVFRFPKFKSVFAAALGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGSVPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYRSIKCE >Vigun10g022300.1.v1.2 pep primary_assembly:ASM411807v1:10:2646084:2648367:1 gene:Vigun10g022300.v1.2 transcript:Vigun10g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMNIVEGAITLNVPQGSSDMLVKNLYLSCDPYMRLLMTKEENPSLGVVTYTPHSPLTGHGVSQVVESGNPDYEKGDLVYAMTKWEEYSLIPSSQICFKIEHTDVPLSYYIGILGMPGITAYTGFFELGSPKKGENVYVSAASGAVGHLVGQFAKLSGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEESDLNAALKRYFREGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMVSQYNLAQPEGVTNLAYLIFKRVKMEGFIVADFCHLFPKFLEFVLPLIREGKVVYVEDIVEGLENAPAALVGLFNGRNVGKQVVDVGHE >Vigun02g147900.1.v1.2 pep primary_assembly:ASM411807v1:2:29530725:29532731:-1 gene:Vigun02g147900.v1.2 transcript:Vigun02g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNLINVFYFLIFVITLKLFFRRLKNPPPAPPSLPIIGNLYQLKKQPLHRAFHGLSQKYGPVLSLRFGSQPILVVSSASAAEECFTKNDIVFANRFHSTTTKYLGYNNTIITASSYGDHWRNLRRISSLEILSNHRLNSFLGVRKDETMKLLRKMVKISGKEESAKVELRPMFAELTFNIVIRMVCGKRYYGEEYDGTTAEEAKKFRELMNEISQFGLGSNLGDFVPLFRIFRSHKKLRKVGEKLDAFFQGLIDEHRNKKESSNTMIEHLLSSQKSQPDYYTDQIIKGLIMALYVAGTETSAVALEWAMSNLLNHPEILEKLRIEIDTEVGEERLIEESDVTKLPYLQNVISETLRLHPPLPLLLPHLSSEDCNVGGYDVPRNTMLMVNAWAIHRDPKLWADPTSFKPERFQNDPVAAHKLMPFGMGRRACPGVGMAQRTMGLTLGLLIQCFEWKRVGEEEIDLTEGRGTIVAKAIPLEAQCKARPIVSKIF >Vigun01g006400.1.v1.2 pep primary_assembly:ASM411807v1:1:786323:789215:-1 gene:Vigun01g006400.v1.2 transcript:Vigun01g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIKEKTLVLVGRTGNGKSATGNIILGERHFESRTGASGVTRVSEMRKTTTKDGQIINIIDTPGLFDGTKAVGDEIVKCIDMAKDGIHAVVLVYSCRARFSEEEHATFLTLKTLFGEKIVDYMILVFTGKDDLKADRQTFEDYLANQPEKTLQDIIVSCGNRKVLFNNRTTDENKRWKQVQQLLNLVDGVILKNEGQPFTNEMFKRLKERATATEKAETARMKRRLQRGYDIMLERMAREMKSKLEEELGKLRQLLEEEKSARRAAEENYKSFQISSNKEIQKLRWDLHQANSKCAIL >Vigun11g015200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1899129:1899413:1 gene:Vigun11g015200.v1.2 transcript:Vigun11g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVTGVLVSTFLERTIDTLASRLFDIFHQRKHKKQLRYLKMNLLAIDVVALDAEQKQFTDSRVRDWLLRAKDVVIDAEDLLDELDYELSKS >Vigun07g087501.1.v1.2 pep primary_assembly:ASM411807v1:7:13521184:13521871:1 gene:Vigun07g087501.v1.2 transcript:Vigun07g087501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMIKYIDGKRETLKLGVRVFDHWYVNNRDSSVHFEMILIDQKGDKIHCVVKKEEFDVWDDKLLEGETYIMHNFKILKNDGQYRVCEHPFKLLFIGATSVKPQPIANIPKKVYEFQSILEVVAGNFCADLLIDLIGWVDNVKSKS >Vigun01g048000.1.v1.2 pep primary_assembly:ASM411807v1:1:7198508:7201700:1 gene:Vigun01g048000.v1.2 transcript:Vigun01g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSAAAPLRQLSQKETDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLLLAARIIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQMQTSYNEPRLLILTDPRTDHQPIKEGALGNIPTIAFCDTDSPMRYVDVGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGHKWDVMVDLFFYREPEEAKQQEEDEAPPAQDFAIDFNGAAISSFPADRQWPPALDQPWTDDAPQPIPAVPTNWTPETAAAGDWGEAVPAPVPAAVPAPQVGVPPAQNLPATGWD >Vigun11g011600.1.v1.2 pep primary_assembly:ASM411807v1:11:1430138:1430976:-1 gene:Vigun11g011600.v1.2 transcript:Vigun11g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLAPLALYLLATSIMFPMKKIEAADCSGACSPFEVPPCGSRDCRCIPVAPCVGFCTNPSGLSSVAKMIDEHPNLCQSDDECMKKGSGNFCARYPNNYIDYGWCFHSDSEALKGFLAMPAAITK >Vigun02g039600.1.v1.2 pep primary_assembly:ASM411807v1:2:16369435:16382079:-1 gene:Vigun02g039600.v1.2 transcript:Vigun02g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVCVVAELTVTARSGRLETGNCSMGKEISDSDSHHKRLGLLKDQVHLVKRKDCDRHEIAPIQDQLSFEKGFFIVIRACQLLAQKNDGIVLVGVAGPSGAGKTVFTEKILNFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKPVQVPVYDFKSSSRIGYRTVEAPTSRIVFIEGIYALSEKLRPLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKNLAVDQIKAVLSEDFKETTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDRVCVKLDWLEQLNRHYVQVQGRDRLVLKYIGQQLGLDGSYIPRTYIEQIQIEKLVDEVMALPEDLKTKLSLDEDLVSSPKEALSRASADRVAMRNKHLRSGISQSYTNQRDKNLAKVTGYDSNNRRFVERNSESSTMALNQGAINQLSDQISALNDRMDEFTNRIEELNSKLNTKRNSPSQQNMSLQTETCNGSAPTSYFITSLGNGSLTGSKMANSSSSSQLTKDSPLMDEISSIARSQRQIMHQLDNLNNLLRGSSGEKSHQTRTNRRSIDTTSDTMGTSVMAVVAVGFLGIFLMKGLLNRN >Vigun02g039600.2.v1.2 pep primary_assembly:ASM411807v1:2:16369431:16382079:-1 gene:Vigun02g039600.v1.2 transcript:Vigun02g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEISDSDSHHKRLGLLKDQVHLVKRKDCDRHEIAPIQDQLSFEKGFFIVIRACQLLAQKNDGIVLVGVAGPSGAGKTVFTEKILNFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKPVQVPVYDFKSSSRIGYRTVEAPTSRIVFIEGIYALSEKLRPLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKNLAVDQIKAVLSEDFKETTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDRVCVKLDWLEQLNRHYVQVQGRDRLVLKYIGQQLGLDGSYIPRTYIEQIQIEKLVDEVMALPEDLKTKLSLDEDLVSSPKEALSRASADRVAMRNKHLRSGISQSYTNQRDKNLAKVTGYDSNNRRFVERNSESSTMALNQGAINQLSDQISALNDRMDEFTNRIEELNSKLNTKRNSPSQQNMSLQTETCNGSAPTSYFITSLGNGSLTGSKMANSSSSSQLTKDSPLMDEISSIARSQRQIMHQLDNLNNLLRGSSGEKSHQTRTNRRSIDTTSDTMGTSVMAVVAVGFLGIFLMKGLLNRN >Vigun03g313900.2.v1.2 pep primary_assembly:ASM411807v1:3:50693999:50701619:1 gene:Vigun03g313900.v1.2 transcript:Vigun03g313900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQNLPFIENMEKRIQNASLTVDASLGHCFVNGLENRDATAIYNCLRAYAAIDNTKNAEEIFRITIVAPLIQKIIPHGSSTVVAGSSGDGLENDYQLIKECIDKDCKFLLNISSAENSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGRPTEFLKNYKSSLDFLAYLEGYCPSRSAVAKFRSQAIYTEFMKQWNIGVYFSLRFQEIAGSLDSMLTTSSLVPVQNSDAGEKNYQGLTLKQSVTLLDSLRSCWREDVLVLSCSDRFLRLSLQLLSRYSSWLSSGLTARKNHNTSTGPGCEWAVSAVIDDFILVIHDIRYLEEQVRGDYLQHVLQLLSSCSPDVLESIRQSILLGGQSLKSIEPLVIKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVSGVLRPLKAFLDGERATRYLASDSRSEILHSAATEITDRYYELAADLVSVARKTESSLQKIRQSAQRRAGASSDISDNNVSDTDKICMQLFLDIQEYARNLSALGVEAVNIASYRSLWQCVAPADRQNTINL >Vigun03g313900.1.v1.2 pep primary_assembly:ASM411807v1:3:50690856:50701618:1 gene:Vigun03g313900.v1.2 transcript:Vigun03g313900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIPAPPRSATDLFSDPLDTHPLWFKPASFLSPDFDSESYISELRTFVPFDTLRSELNNYLSSLNHELIDLINRDYADFVNLSTKLVDVDAVVVRMRAPLVELREKIEQFRGNVEVSLVSIKNRLNQRSETASARETLELLLDTFHVVSKVEKLIKELPSVPTDWSNGDVNLSERNYLSNGVSVQQVENETSIRETQSMLLERIASEMNRLKFYVTHAKNLPFIENMEKRIQNASLTVDASLGHCFVNGLENRDATAIYNCLRAYAAIDNTKNAEEIFRITIVAPLIQKIIPHGSSTVVAGSSGDGLENDYQLIKECIDKDCKFLLNISSAENSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGRPTEFLKNYKSSLDFLAYLEGYCPSRSAVAKFRSQAIYTEFMKQWNIGVYFSLRFQEIAGSLDSMLTTSSLVPVQNSDAGEKNYQGLTLKQSVTLLDSLRSCWREDVLVLSCSDRFLRLSLQLLSRYSSWLSSGLTARKNHNTSTGPGCEWAVSAVIDDFILVIHDIRYLEEQVRGDYLQHVLQLLSSCSPDVLESIRQSILLGGQSLKSIEPLVIKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVSGVLRPLKAFLDGERATRYLASDSRSEILHSAATEITDRYYELAADLVSVARKTESSLQKIRQSAQRRAGASSDISDNNVSDTDKICMQLFLDIQEYARNLSALGVEAVNIASYRSLWQCVAPADRQNTINL >Vigun03g313900.3.v1.2 pep primary_assembly:ASM411807v1:3:50690856:50701619:1 gene:Vigun03g313900.v1.2 transcript:Vigun03g313900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIQNASLTVDASLGHCFVNGLENRDATAIYNCLRAYAAIDNTKNAEEIFRITIVAPLIQKIIPHGSSTVVAGSSGDGLENDYQLIKECIDKDCKFLLNISSAENSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGRPTEFLKNYKSSLDFLAYLEGYCPSRSAVAKFRSQAIYTEFMKQWNIGVYFSLRFQEIAGSLDSMLTTSSLVPVQNSDAGEKNYQGLTLKQSVTLLDSLRSCWREDVLVLSCSDRFLRLSLQLLSRYSSWLSSGLTARKNHNTSTGPGCEWAVSAVIDDFILVIHDIRYLEEQVRGDYLQHVLQLLSSCSPDVLESIRQSILLGGQSLKSIEPLVIKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVSGVLRPLKAFLDGERATRYLASDSRSEILHSAATEITDRYYELAADLVSVARKTESSLQKIRQSAQRRAGASSDISDNNVSDTDKICMQLFLDIQEYARNLSALGVEAVNIASYRSLWQCVAPADRQNTINL >Vigun07g168000.1.v1.2 pep primary_assembly:ASM411807v1:7:28191947:28193200:-1 gene:Vigun07g168000.v1.2 transcript:Vigun07g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVGTLFPNNFTQTKHSAFPLSTRHPTKRRLHLTTVAESMTTVIEKLGIKIERNPPESKLTQLGVRKWPKWGCPPSKFPWTYEAKETCYLLEGKVKVFPSGSNESVEIAAGDLVVFPKGMSCTWDVSVGVDKHYKFE >Vigun03g057800.1.v1.2 pep primary_assembly:ASM411807v1:3:4677921:4683439:-1 gene:Vigun03g057800.v1.2 transcript:Vigun03g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVIAATSEKLAETDWIKNIEICELVAHDKKQARDVVKAIKKRLGYKNPNIQLYAVLLLEMLMNNIGDHVHQQVIDTGIIPILVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYNAYYDLVSAGVQFPQRDQVTPSNRPHSQLNEINNVPNTDQAPTRHHQAESQTVAESSIIQKACNALEVLKEVLDAINAQHPKAAKDEFTLDLVEQCSFQKQRVMHLVMTSRDERIVSRAIELNEQLQKVLTRHDALLSGRAMTIANHLECEEAEEEEEPEQLFRRLRKGKACARPEDEKREPEFPHFGLLEERLNRPLIRPLSLEPSREANNCPAPAVIPPHMAIPPPPSKHMERERYFQENKKDGATLAGHLRGLSLHSHNGSSSHSGSFDFSD >Vigun04g063600.1.v1.2 pep primary_assembly:ASM411807v1:4:6835523:6842583:-1 gene:Vigun04g063600.v1.2 transcript:Vigun04g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLMAIVGVVVVLCFCSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTIVSMDAELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSIISPTSIRDQLLPKIDEFIRAHLSNWDNKVINIQEKTKEMAFLSSLKQIAGTETISLSDSFMTEFFKLVLGTISLPINIPGTNYHRGFQARKTMVNVLNKLLEERRASNETYHDMLGCLMAREENRYKLSNEEIIDLVITLIYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIRERKKHDEPIDWNDLKSMSFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDPFLYPDPLTFNPWRWLDKSLESKNYFLIFGGGTRLCPGKELGITEISTFLHYFVTKYRWEEVGGDKLMKFPRVQAPNGLHIRVTSY >Vigun04g120300.1.v1.2 pep primary_assembly:ASM411807v1:4:30897794:30900356:-1 gene:Vigun04g120300.v1.2 transcript:Vigun04g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKNYVAHCLVLPYPAQGHINPMLEFSKRLIQRGVKITLVTFVSNWKKVSRKNFTSIDVESISDGYDEGGRAAAESLEVYVETLNRVGAQTLAELIHKLAGSSHPPDCVIYDGFMTWPLDVAKSFGLLAATFFTQTCTTNTIYLHTYKKLLELPLTQTEYLLPGLPKFAAGDLPSFLSRYGTYPGFFDTVVNQFSNIDKADWVLANTFYELEQGVVDWLVKIWPLKPIGPTLPSMYLDRRLQDDNNYGIEMYVPKSEVCIKWLDDKPKGSVVYVSFGSFAGPSAEQTEELACGLRDSRSYFMWVIKDSEQGRLPKGFLDTVEKGLIVNWCPQLQVLTHEALGCFITHCGWNSTLEALSLGVPVIAMPLWTDQITNAKLIRDVWKIGVKAVADEKGIVRKETITHCIKEILETEKGNEIKKNCIKWKNLAKSSVDEGGNSDKNTAEFVNELVNRRAALN >VigunL059741.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:36563:36724:1 gene:VigunL059741.v1.2 transcript:VigunL059741.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun11g022640.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2758578:2760710:-1 gene:Vigun11g022640.v1.2 transcript:Vigun11g022640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQVLFDKLDSHHVLNYFRGRNLDEKLLKKLKRKLMDINAVIDDAEQKQFSNSLVKEWLDEVRDTLYDAEDILDEIDYEFSKTVLEAESQTSSSKVRSLESKMIEVLDDLESLSNQKVVQDFKISSSVRPGLDNKVSEKKVESTSLVAEEVIYGRDEDKEMILSLLTSDTNDNKLSILSIVGMGGVGKTTLAQHLYNNPKTNEAKFDEKAWVCVSDVFDVLTVSKAVFGAFTNSRDDSQGLEMVHRKLKERLSGRKFLLVLDDVWNEDRNQWKALQTPLMCGAKGSKILVTTRSSKVASIMQSSYIHQLKQLRKDHSWQVLAKHAFQDENSKINSELEEIGMKIVEKCKGLPLALETLGCLLHTKSSVSEWESVLRSEIWDIPTEDSQIIPALLLSYYHLPSHLKRCFSYCALFPKDYEFDKKSLILLWMAENFLQCSKHSKSPEEVGEQYFNDLLSRSFFQQIVTYNKIYFVMHDLLNDLAKYVFGEICHRLGVDRVEKVSKKTRHLSTIIDPVQYYTSLRNAEGLRTFIFIDVDREMSIQREMSIQELISNLKFLRLLSLSSCYNIEEVPDSIGNLIHLRLLDLSNTPIKRLPKPVSSLCNLLVLRLNHCYDLKELPSTLHELTNLRCLELLGTTLRKAPVLLGKLKNLQVWMKEFEFSIRHLGELDLHGQLSIQNLENIVNPCDILAAENSKL >Vigun08g173000.1.v1.2 pep primary_assembly:ASM411807v1:8:34376555:34381411:1 gene:Vigun08g173000.v1.2 transcript:Vigun08g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMTFSIFKLVLSSFLLCTFLQEPTNALRKTYIVYLGGHSHGPDPSPTDLETATNSHHQLLASVLGSDENAKEAIMYSYNKHINGFAALLEEEEAAEIAEKPDVVSVFLSKEHKLHTTRSWHFLGLEENGRVPANSAWTKARYGENTIIANIDTGVWPEHPSFNDKGYGPIPSKWRGKGVCQIDSFNTTQKYFCNRKLIGARIFLKNHEAEDGEIERKFRSARDFVGHGSHTLSTAGGNFVPGANVERNGRGIAKGGSPKARVVAYKACWNKLDTGGCHEADLLAAFDHAIYDGVDVISASVGWSDPYKEALLTDGISIGSFHAVAKNIVVVCSAGNDGPSPSSVTNVAPWSFTVAASTMDRDFLSTVSLGKKKHVQVASLNRGLPRPNRKFYPIIFSVNAKLRNASVNDARVCKPGTLDPTKVKGKILVCLRSDKLQSVKEGEQGKLAGAVAVLVQNDEQSGNLLLAENQVLPAASISGTDTDYANSTSAASGEAFAYLSAAKTKLGVRPAPIMAGFSSRGPNLVQPLILKPDITGPGVGIVAAFSQAAGPSNLPSDTRRTLFNVQQGTSMACPHVAGIAGLLKTYHPTWSPAAIKSAIMTTATTLDNTKKPIRNAFDKVATPYEYGAGHVQPNPAIDPGLVYDLNTTDYLNFLCASGYNQALLKFFANLKVSYTCPKAYRIEDLNYPSITVHHPTSKPVRVTRTVTNVGPPGTYDVSTQAPKGIKILVQPSSLTFKQKGEKKTFQVTLQATAVPHGLPLFGNLSWTKGKHRVTSPITVL >Vigun10g182900.2.v1.2 pep primary_assembly:ASM411807v1:10:40016867:40022617:1 gene:Vigun10g182900.v1.2 transcript:Vigun10g182900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGVEVRREDTVEISSDNSGPVRSRSEEDFDGEGAHEDDDDENNDDGDRSKKRKRKYHRHTVEQIREMEALFKESPHPDEKQRQQLSQQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSELDKLKEENKTMREIINKSCCPNCGMVTATIEASMSTEEKQLLIENVKLKAEVEKLRATLGKYSPTSPATSSGRDEEENRSSLDFYNGVFGLEKSRIMEIVNRASEELIKMANMGEPLWVRSVETGREILNYDEYVKEFAAENSSSDKPKTFIEASRDSEVVFMDLPHLLQTFLDVNQWKEMFPCLISKAATVDVMFNGEGSNRNGAVQLMFAELQMLTPMVPTREVYFVRCCKQLSDEQWAIIDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSTIHTLYRTIVNSGLAFGARHWIATLQLQCERLVFNMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAVGASSFHTWTKFTSKTGEDIRISSRKNLNDPGEPLGVILSAVSSVWLPVSPNALFDFLRDEARRSEWDMMSYGGSVQSIANLAKGKDRGNAVNIQKIESKENSVWVVQDSCTSGYESMVAYAPVDFSGLQSVLSGCDSSNVAILPSGFSILPDGVEGRALVIRSRPEEKGTEGGSLFTMVFQMLVNASPTAKLTMESVESANNLVSCTLRNIRTSLQCEDG >Vigun10g182900.1.v1.2 pep primary_assembly:ASM411807v1:10:40016545:40022617:1 gene:Vigun10g182900.v1.2 transcript:Vigun10g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNMSNTPPHTKDLFSSPTLSLSLAGIFRHAEEAATTSGMVVAGVEVRREDTVEISSDNSGPVRSRSEEDFDGEGAHEDDDDENNDDGDRSKKRKRKYHRHTVEQIREMEALFKESPHPDEKQRQQLSQQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSELDKLKEENKTMREIINKSCCPNCGMVTATIEASMSTEEKQLLIENVKLKAEVEKLRATLGKYSPTSPATSSGRDEEENRSSLDFYNGVFGLEKSRIMEIVNRASEELIKMANMGEPLWVRSVETGREILNYDEYVKEFAAENSSSDKPKTFIEASRDSEVVFMDLPHLLQTFLDVNQWKEMFPCLISKAATVDVMFNGEGSNRNGAVQLMFAELQMLTPMVPTREVYFVRCCKQLSDEQWAIIDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSTIHTLYRTIVNSGLAFGARHWIATLQLQCERLVFNMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAVGASSFHTWTKFTSKTGEDIRISSRKNLNDPGEPLGVILSAVSSVWLPVSPNALFDFLRDEARRSEWDMMSYGGSVQSIANLAKGKDRGNAVNIQKIESKENSVWVVQDSCTSGYESMVAYAPVDFSGLQSVLSGCDSSNVAILPSGFSILPDGVEGRALVIRSRPEEKGTEGGSLFTMVFQMLVNASPTAKLTMESVESANNLVSCTLRNIRTSLQCEDG >Vigun10g182900.3.v1.2 pep primary_assembly:ASM411807v1:10:40016903:40022617:1 gene:Vigun10g182900.v1.2 transcript:Vigun10g182900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIINKSCCPNCGMVTATIEASMSTEEKQLLIENVKLKAEVEKLRATLGKYSPTSPATSSGRDEEENRSSLDFYNGVFGLEKSRIMEIVNRASEELIKMANMGEPLWVRSVETGREILNYDEYVKEFAAENSSSDKPKTFIEASRDSEVVFMDLPHLLQTFLDVNQWKEMFPCLISKAATVDVMFNGEGSNRNGAVQLMFAELQMLTPMVPTREVYFVRCCKQLSDEQWAIIDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSTIHTLYRTIVNSGLAFGARHWIATLQLQCERLVFNMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAVGASSFHTWTKFTSKTGEDIRISSRKNLNDPGEPLGVILSAVSSVWLPVSPNALFDFLRDEARRSEWDMMSYGGSVQSIANLAKGKDRGNAVNIQKIESKENSVWVVQDSCTSGYESMVAYAPVDFSGLQSVLSGCDSSNVAILPSGFSILPDGVEGRALVIRSRPEEKGTEGGSLFTMVFQMLVNASPTAKLTMESVESANNLVSCTLRNIRTSLQCEDG >Vigun01g145800.1.v1.2 pep primary_assembly:ASM411807v1:1:32763910:32768593:1 gene:Vigun01g145800.v1.2 transcript:Vigun01g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFQKVHALAFHILLLALLPLKILSSPTAEAEALVKWKNSLSPPLPPSLNSWSLTNLSNLCIWDAIVCDNTNTTVSQINLSAANITGTLSDFDFASLSNLTQLNLNANNFEGSIPSAIGNLSKLTLLDLGNNLFEDTLPNELGQLRELQYLSLYNNNLNGTIPYQLMNLPKVWYMDLGSNYFITPPHWSQYSCMPSLTRLALHLNPSLTGQFPSFILECHNLTYLDISQNGWNGSIPESLYTNLGKLEYLNLTNNGFEGKLSPNLSMLSNLKELRIGNNMFNGPVPTEIGFISGLQILELCNISAHGKIPSSIGQLWELWHLDLSINSFNSTIPSELGLCTNLSFLSLAVNDLTGPLPLSLASLSRISELGISHNSFSGELSASLISNWTQLISLQIQNNNFTGELPPHIGLLKKLNFLYLFNNHFSGSIPVEIGNLKEIRELDLSQNQFSGPLPLTLWSLTNIQVMNLFFNELSGTIPPDIGNLTSLQIFDVSNNNMYGELPETIAQLTALRNFSVFSNNFTGSISRQFGKNNPSLAHVYLSNNSFSGELPPDICSGSKLTIFSVNNNSFSGPLPKSFRYCSSLTRVRLDDNQLTGNITDAFGVLPNLVFISLNLNQLVGELSSLWGECASLTSMDMGSNKLSGKIPSALSKLSQLRYLSLHSNDFTGSIPPEIGNLSLLYTFNLSSNHLSGEIPRSYGRLAQLNFLDLSNNNFSGSIPKELGDCDRLLSLNLSHNNVSGEIPNELGNLFSLQIMLDISSNSLSGALPQNLEKLTALEILNVSHNYLSGTIPRSFSSMLSLQAIDFSYNKLSGSIPTGRVFQTATAEVYAGNSGLCGEIKGLICPKSLSPDKSGGVNKKVLLGVIIPVFALLIGIVSVGVILRRRHDMKHLDEESKSLEKDDEPISMVWGRDGKFTFSDLVKATNDFNDKYCIGKGGFGSVYRAQLLTGQVVAVKKLNLSDSDDIPAMNRQSFLNEIEALTGVRHRNIIKLYGFCSCREQMFLVYEYINRGSLAKVLYGEEGKSELSWATRLKIVEGIAHAISYLHTDCSPQIVHRDVTLNNILLDSDFEPRLSDFGTAKLLSSDTSTWTSVAGSYGYMAPELAQTMKVTEKCDVYSFGVVVMEIMMGKHPGELLGTLSSNKYLSSTEEPQVLLKDVLDQRLAPPTGQLAEAVVFTMTIALACTREAPESRPIMRAVAQELSATTQAYLSQPFAMITLNKLRSFQK >Vigun04g165400.1.v1.2 pep primary_assembly:ASM411807v1:4:39003856:39005288:-1 gene:Vigun04g165400.v1.2 transcript:Vigun04g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKMMKQVLLFLCFASALTLSFSESKSDSLQNPDTDSFSFGYIQTKTPENCSYLVIISTSCSSPKFTWEKIGIAFGDAHGNEVYKPRLDGPGIFEQCSLDVFQINGACLSDICYLYLYRSGAVDDWKPESVKICYNNTWFPIINIHFSLPDAKWYGHYWCNTSHPPYLANGLPFRNGVFF >Vigun01g077500.1.v1.2 pep primary_assembly:ASM411807v1:1:21851841:21854926:-1 gene:Vigun01g077500.v1.2 transcript:Vigun01g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCMETTTTLMMTRLVFRTTPLTARRKRAYSTWQTNTANLTSMFGKYVDKTSVSSWNTVIADLARSGDFMEALSAFSSMRKLSLHPNRSSFPCAIKACAALSDLRAGTQTHQQAFAFGFGHDLFVSAALVDMYSKCGFPDLARHLFDEIPSRNTVSWTSMIAGYIRNDRAQEAVWLFKDLLAEESGSVESEDEAFVDSMLVGCVLSACSRVGWRSATEGVHGLVVKRGFEGCVGVGNTLMDAYAKCGEMGVARKVFDGMHESDVCSWNSIISQYAQNGLSDEAFCVFADMVKSEKVKYNEVTLSAVLLACANSGALQLGKCIHDQVIKMDLENSVVVGTSIVDMYCKCGRVEMARKAFDRMKMKNVKSWTAMVAGYGMHGRAKEAMEVFYQMIRSGDKPNYITFVSVLAACSHAGLLKEGRHWFNRMKSEFNVEPGIEHYSCMVDLLGRAGYLNEAYRLIMEMKVKPDFIIWGSLLGACRIHKNVELGEISARKLFQLDPSSCGYYVLLSNIYADAGRWDEVERMRILMKSRGLLKTPGFSIVELKGRIHVFLVGDKEHPQHEKIYEYLDKLNVKLQALGYIPNLTSVLHDVDEEEKGMVLRVHSEKLAVCFGLMNSVPGSVIHIIKNLRICGDCHIAIKFISKAVNREIVVRDSKRFHHFKDGMCSCGDYW >Vigun01g077500.2.v1.2 pep primary_assembly:ASM411807v1:1:21850980:21854763:-1 gene:Vigun01g077500.v1.2 transcript:Vigun01g077500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCMETTTTLMMTRLVFRTTPLTARRKRAYSTWQTNTANLTSMFGKYVDKTSVSSWNTVIADLARSGDFMEALSAFSSMRKLSLHPNRSSFPCAIKACAALSDLRAGTQTHQQAFAFGFGHDLFVSAALVDMYSKCGFPDLARHLFDEIPSRNTVSWTSMIAGYIRNDRAQEAVWLFKDLLAEESGSVESEDEAFVDSMLVGCVLSACSRVGWRSATEGVHGLVVKRGFEGCVGVGNTLMDAYAKCGEMGVARKVFDGMHESDVCSWNSIISQYAQNGLSDEAFCVFADMVKSEKVKYNEVTLSAVLLACANSGALQLGKCIHDQVIKMDLENSVVVGTSIVDMYCKCGRVEMARKAFDRMKMKNVKSWTAMVAGYGMHGRAKEAMEVFYQMIRSGDKPNYITFVSVLAACSHAGLLKEGRHWFNRMKSEFNVEPGIEHYSCMVDLLGRAGYLNEAYRLIMEMKVKPDFIIWGSLLGACRIHKNVELGEISARKLFQLDPSSCGYYVLLSNIYADAGRWDEVERMRILMKSRGLLKTPGFSIVELKGRIHVFLVGDKEHPQHEKIYEYLDKLNVKLQALGYIPNLTSVLHDVDEEEKGMVLRVHSEKLAVCFGLMNSVPG >Vigun07g290100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40215386:40215820:1 gene:Vigun07g290100.v1.2 transcript:Vigun07g290100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGSVLLLVTLCLMSSSECSSSDMNRFASPTMSNLNDRDMNSLSFSIKNVMSPNQPKVFFFYNYDKTRIYLQPGRPFTKATNFDRTVIIVYWDVLCSIFYGYDPNTDGTHQRIYWLVKEDGVFHSWNNKNWQKRKTWRNDGC >Vigun07g217000.4.v1.2 pep primary_assembly:ASM411807v1:7:33877287:33881543:-1 gene:Vigun07g217000.v1.2 transcript:Vigun07g217000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVRLPGHNRGKSRSSVHELQEQPAVIQNSRWASLPPELLRDVINRLEASESTWPGRKHVVACAAVCKSWREMCKEIVSSPEFCGKITFPVALKQPGHRNGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYYNAMLSPPGRSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMYSIPASSLEPNGVVPGQPELLSRPLEDSFRSISFSKSIDHSTEFSSSRFSDIMGTGNEDQEGKVRPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPTVAAAPPVGSGPSLSQPTQSSSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Vigun07g217000.2.v1.2 pep primary_assembly:ASM411807v1:7:33877287:33881543:-1 gene:Vigun07g217000.v1.2 transcript:Vigun07g217000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVRLPGHNRGKSRSSVHELQEQPAVIQNSRWASLPPELLRDVINRLEASESTWPGRKHVVACAAVCKSWREMCKEIVSSPEFCGKITFPVALKQPGHRNGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYYNAMLSPPGRSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMYSIPASSLEPNGVVPGQPELLSRPLEDSFRSISFSKSIDHSTEFSSSRFSDIMGTGNEDQEGKVRPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPTVAAAPPVGSGPSLSQPTQSSSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Vigun07g217000.1.v1.2 pep primary_assembly:ASM411807v1:7:33877287:33881543:-1 gene:Vigun07g217000.v1.2 transcript:Vigun07g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVRLPGHNRGKSRSSVHELQEQPAVIQNSRWASLPPELLRDVINRLEASESTWPGRKHVVACAAVCKSWREMCKEIVSSPEFCGKITFPVALKQPGHRNGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYYNAMLSPPGRSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMYSIPASSLEPNGVVPGQPELLSRPLEDSFRSISFSKSIDHSTEFSSSRFSDIMGTGNEDQEGKVRPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPTVAAAPPVGSGPSLSQPTQSSSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Vigun07g217000.3.v1.2 pep primary_assembly:ASM411807v1:7:33877287:33881543:-1 gene:Vigun07g217000.v1.2 transcript:Vigun07g217000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVRLPGHNRGKSRSSVHELQEQPAVIQNSRWASLPPELLRDVINRLEASESTWPGRKHVVACAAVCKSWREMCKEIVSSPEFCGKITFPVALKQPGHRNGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYYNAMLSPPGRSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMYSIPASSLEPNGVVPGQPELLSRPLEDSFRSISFSKSIDHSTEFSSSRFSDIMGTGNEDQEGKVRPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPTVAAAPPVGSGPSLSQPTQSSSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >Vigun08g209300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37196808:37198184:1 gene:Vigun08g209300.v1.2 transcript:Vigun08g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKNQNIATFTQSLKAHYYLLMITQLFVSVSVFSFLFSPSSLLVFLHYFKFYFSTFPSQLFTHNIDKNSMFLLCNGLLVFVGITKSLSRSSSDDKPSSFVKDDGSQSQFSVAEASDLMLEITETEVQTSEPDEHENTAAEKVKERENFAEEEVLENMEKIIVVDEGQEKGSSIQLDELDEETEQLDVGEGEQDKGSEIDYILIEEGVEEEEENVEEESSMLSTEELNKKFEDFIRKMKEDLRIEAQRQLVMV >Vigun02g164000.1.v1.2 pep primary_assembly:ASM411807v1:2:30887073:30889288:1 gene:Vigun02g164000.v1.2 transcript:Vigun02g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLCNPVHKHRELRGLTSAGKSNRGLRGRGHLYHKARPSRRATWKRNNTLSLRRYR >Vigun02g164000.2.v1.2 pep primary_assembly:ASM411807v1:2:30887073:30889288:1 gene:Vigun02g164000.v1.2 transcript:Vigun02g164000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQRVRCWEYRQLPSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLCNPVHKHRELRGLTSAGKSNRGLRGRGHLYHKARPSRRATWKRNNTLSLRRYR >Vigun03g001500.1.v1.2 pep primary_assembly:ASM411807v1:3:121332:123521:1 gene:Vigun03g001500.v1.2 transcript:Vigun03g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVWIMAFISLCSLNVSALLQRLHHPVKADGSLSLVVIGDWGRKGTYNQSEVAAQMGRVAEKLNIDFVISTGDNFYDDGLTGINDPAFELSFSKIYTAKSLQKQWYNVLGNHDYRGDVEAQLSPILQKIDPRWICKRSFIVDTEIAEFFFVDTTPFVDKYFLKPKDHKYDWRGVLPREKYLSKLLKDLEIALKGSSANWKIVVGHHPIRSIGHHGDTQELIRQLLPILEANDVDMYINGHDHCLEHIISRSSQIQFLTSGGGSKAWKGDTDNNRKDGAKFYYDGQGFMSVELEQTNAKVVYYDIFGKVLHVVNLSKELRSAYAI >Vigun03g001500.2.v1.2 pep primary_assembly:ASM411807v1:3:121332:123521:1 gene:Vigun03g001500.v1.2 transcript:Vigun03g001500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVWIMAFISLCSLNVSALLQRLHHPVKADGSLSLVVIGDWGRKGTYNQSEVAAQMGRVAEKLNIDFVISTGDNFYDDGLTGINDPAFELSFSKIYTAKSLQKQWYNVLGNHDYRGDVEAQLSPILQKIDPRWICKRSFIVDTEIAEFFFVDTTPFVDKYFLKPKDHKYDWRGVLPREKYLSKLLKDLEIALKGSSANWKIVVGHHPIRSIGHHGDTQELIRQLLPILEANDVDMYINGHDHCLEHIISRSRCVLTYNICLLTKSGLNDGKYMMVLLSVVAAKSNS >Vigun06g222200.1.v1.2 pep primary_assembly:ASM411807v1:6:33128392:33132065:1 gene:Vigun06g222200.v1.2 transcript:Vigun06g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSASLITIKVKVKPCIFGPPRRSVVVRNCAKPTASPIATSWKIDSWRTKKALQLPEYPDANELDQVLQTLSSFPPIVFAGEARNLEEKLGQAAMGNAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDAFDVASRIPDPQRMVRAYTQSVATLNLLRAFATGGYAAMQRVNQWNLDFMEHSEQGDRYRELARRVDEALGFMNVAGLTSEHPIISTTDFWTSHECLLLPYEQSLTREDSTTGLHYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMVPDELVKLIDILNPKNKPGRVTVIVRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPSGLKTRSFDAIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYDDLSSRYHTHCDPRLNASQSLELAFNIAERLRKRRLNSAQSLGL >Vigun03g215700.1.v1.2 pep primary_assembly:ASM411807v1:3:35849164:35855333:-1 gene:Vigun03g215700.v1.2 transcript:Vigun03g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERDMISATPGAFRLRWDVFLSFRGTDTRGTITKGLYESLQARGVRVFLDDEGLERGEAVAKGLMEGIDDSAAFIVIISQNYASSHWCLEELTKICGTGRLLLPVFYRVDPSQVRHVSGPFRAGFESHEKRFEKNTVSKWKEALKKVGGIAGWVFNHSEEDDLIRRLVRRVLKELSNTPMGVPEFAVGLDERVEKVMEVLQVQSNGVKVLGLYGMGGVGKTTLAKALFNALVNRFEHRCFISNVRQVSSKHDGLVSLQSKIIKDLFPGAGSPSIADVNVGVSAIKGRVGENRVLLVLDDVDEVKQLDALIGKREWFYDGSCVIITTRDTKVLTQDHVNVSYEVRELYASEARELFSYHALRRSEPPENLLSLSEEIISLTGRMPLALEVFGSFLFGKRREEEWEDAVKKLRLIRPHHLQDVLKISYDALDEEEKCIFLDIACLFVQMEMKRDGVIDVLRGCGFRGEIAITVLVQKCLMKITPENTVWMHDQIRDMGRQIVMDESFVDPGARSRLWDRAQIMTVLKGHKGTRCVQGIVLDFEEERFYKGKVGSVFPKKLQWRSCLRNISCYIKQCLKNHLEPQAEENKEFVLHAKSFEPMVNLRQLQINNLKLQGKFLPSELKWLQWQGCPLERMPLKSWPRELAVLDLKNSKKMETLWGWNGYNKVPQKLMVLNLSYCNQLTAIPDLSGCQCLEKIDLENCINLTKIHESIGSLSTLRSLNLTRCSSLVNLPIDVSGLKQLESLYLSGCSKLKALPENIGILNSLKALQANDTAIAELPQSIFRLTKLEQLVLEGCRYLRRLPNSLGHLCSLQELSLYHSGLEELPESVGSLDNLETFNLMGCESLTVIPDSIGNLMSLTELLVDRTAIKELPTTVGSLSYLRELSVGNCKLLTQLPNSVKRLASVVELQLDGTAITNLPDEIGEMKLLRILKLMNCKNLEYLPESIGHLASLTTLNTVNGNIKELPESTGRLENLVNLRLNKCKMLRKLPASIGDLKSLYHFFMEETAVSSLPESFGMLSSLRTLKMAKRPDLHTDESSFLAEPEENHGPFILSSSFCNLTLLTELDARAWKISGKIPDEFEKLSLLETLKLDRNDFHTLPSSLKGLSILKVLSLSNCTQLNSLPSLPSSLINLNVQNCSSIETIHDMSNLESLQELNLTNCVKVGDIPGLESLKSLRRLYLSGCIACSSQIRKRLSKVALRNLQNLSMPGSKLPEWFSGQTVSFSKRKNLELKSVLVGVIVSINHSIDIPNMKRDDMPGLIDVQANILKGDRTLFSTVLNICGVPRTDEEHMHLCKFHDYHQLVAFLKDADTFCVSKRNPPFDKGLELRKCGVYLIFEGDDDYDGGEESLDKGLQSVSEKLANFFSTSEDEVSVNGIGIGHAGT >Vigun05g146620.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20375505:20376072:1 gene:Vigun05g146620.v1.2 transcript:Vigun05g146620.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILYLPEGRVTIRLEGSFENNKWIAVAGQPEGTVVKLGYPVSGFFTIHRASSANSYKFSFCSIDGSSCSNVGLVSDDAGNRLLAIDRDSFEFVLRPYESDASK >Vigun03g031300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2375304:2375900:1 gene:Vigun03g031300.v1.2 transcript:Vigun03g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSLKAKGKSSKGSKAQEDRSLCESLKEWTTWTMRKAKVITHYGFIPMVIIIGMNSDPKPALSQLLSPV >Vigun06g016366.1.v1.2 pep primary_assembly:ASM411807v1:6:7690709:7707985:-1 gene:Vigun06g016366.v1.2 transcript:Vigun06g016366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSDSGSNVHQGKTLLQNPFEFSDDQILNNVYRTHFHCVQKCNVQSLHTVASTVINHSIQITDTLLTKPIQLSEHFREETITSPQLTAILKRIAYQMVSTPRGDKYAHHTTMLILEQLKEYSWDAKALIVEAAFALEYGKFMYLPQLRQYEEYEKSLADLNGLLMIQQKPQHLCFFNSVVKKVMQMIECITEWKWLTSAGYDIKDVPTLAETLHEIPVVVYWAIFTFVTCTGQIDDFTTHHKLNKYELSRNFEKKLDLIIRTFREHLEMCSTEIGRIEDYSRRKNIIIITGKDIVKVLKALIISGENRDSRQNVISGLTLEQVKIEEFKKKHVLLFISGLKNIDEETQLLKTIYEELKKKPREVEGYKKEDFKILWIPIVDDWNEDHRKTLETKLQRTKIGWYVVKHFSFETGIKLIKELFNYRGEPVIPLMSPEGKVENIDTKQIISMWGIDGFPFRTSDHTRLIQQWNWFWSEMTKLNPRIGDLIEEDRYLFIYGGTDTMWIQEFTTAIEKMKRDVETISMQIDITIDSYQLGKEDPKIVPRFWIAINNLLASRKQQIMKGEGDKVVQDFVTKEIKRLLFIKQDPKGWVILSKGYNVKLLGHGEAMSRTVKELSLWHVKMIEEVSFDVAFKEYYESIKDKTNPKKFEHSEISNYPYDILAHIHCPNVEGGRSMEVTSFNCHGLDQQTIYSFGEPCETPPFDLNLCSCVYYLGFSYLLLK >Vigun10g135999.1.v1.2 pep primary_assembly:ASM411807v1:10:34852086:34856357:1 gene:Vigun10g135999.v1.2 transcript:Vigun10g135999.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLRSKQQVGLGCIKELYQSVNKLDSVVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun10g135999.2.v1.2 pep primary_assembly:ASM411807v1:10:34837200:34856083:1 gene:Vigun10g135999.v1.2 transcript:Vigun10g135999.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLRSKQQVGLGCIKELYQSVNKLDSVVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun10g135999.4.v1.2 pep primary_assembly:ASM411807v1:10:34852086:34856083:1 gene:Vigun10g135999.v1.2 transcript:Vigun10g135999.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLRSKQQVGLGCIKELYQSVNKLDSVVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun10g135999.3.v1.2 pep primary_assembly:ASM411807v1:10:34837200:34856083:1 gene:Vigun10g135999.v1.2 transcript:Vigun10g135999.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLRSKQQVGLGCIKELYQSVNKLDSVVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun01g240800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41071679:41072864:1 gene:Vigun01g240800.v1.2 transcript:Vigun01g240800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNLKWRYRGWCPSNDYEVHEVTGPALRKRFDRPLHQKGHVAFKTILEEPIPVAAEATPVPDTHETSKSAFRSVDQNADAFIKQERRRIELARLKSLGQI >Vigun07g202600.10.v1.2 pep primary_assembly:ASM411807v1:7:32429179:32442721:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.7.v1.2 pep primary_assembly:ASM411807v1:7:32428462:32442736:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.5.v1.2 pep primary_assembly:ASM411807v1:7:32428474:32442723:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.9.v1.2 pep primary_assembly:ASM411807v1:7:32429179:32442721:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.6.v1.2 pep primary_assembly:ASM411807v1:7:32429524:32442737:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.2.v1.2 pep primary_assembly:ASM411807v1:7:32428473:32442736:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.1.v1.2 pep primary_assembly:ASM411807v1:7:32428475:32442721:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g202600.8.v1.2 pep primary_assembly:ASM411807v1:7:32429178:32442721:1 gene:Vigun07g202600.v1.2 transcript:Vigun07g202600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLCLFSSEVACPASEGMQYKNVNFLLSRSSLRVSCFVKKGGDVGASNGNGKNINALVSCQLMHNHKEESFIRKRRMQVPLQKADFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDEWTWEELYHYLYEENAFDNIVISPGPGSPACPEDIGICLQVLLKCWDVPILGVCLGHQALGYVHGAQIVHASEPIHGRLSEVEHNGCQLFRDVPSGRNYGFKVVRYHSLVIDLESLPKELIPIAWTSSTSTLPFIGCDDFGKSNVHEAQKVESIFVDPVLAIVENGSSNHFDYGSTRSTRVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNACMQLSNVIEVCSSISAENNAVDQLKKIVHAERDLEYNKTEMKHLEMFNMVNTHHATTGYKCLKLEWRKFGHLAGQVGGAESIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGPLWKQLTFRLSHQSDGSSKGGGYLSLEDCQGSAETIFLKEGFLDFLNKELLSYRYDKNEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILAIHEENSSVTQWLDDTEEKLLSLDGSVKMALEKQDSHYLTLSSNKAGFAAEKSREQYIEDVKKCLNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIGRGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPRLMDVESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMEILDSIESCSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAIIALSNPEDEYEEMVLKTRAPSRAVMHFD >Vigun07g000400.1.v1.2 pep primary_assembly:ASM411807v1:7:42552:49860:1 gene:Vigun07g000400.v1.2 transcript:Vigun07g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVFSLSANTNFLRQALPLLVKPYKTILSPTALTSTSGSQSLLCNTPLLAPFNLFPTSPLRNFTSRATHVNDAGSVDLPLIQSMQNKIKEQLNAESVTVKDAYGDGRHVSIDVVSTAFEGQSAVNRQRMVYKAIWEELQSVVHAVDQMTTSTPAEAAARTDRGS >Vigun08g046900.1.v1.2 pep primary_assembly:ASM411807v1:8:5030478:5035247:1 gene:Vigun08g046900.v1.2 transcript:Vigun08g046900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSGQVHAAEDSPVSGGGLSQNGKFSYGYASFPGKRSSMEDFYETKIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERRRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVSMIKPIQDAEEAAKRLMQEAYQRGSSDNITCIVVRFLSNQGASSHSNSG >Vigun06g167000.2.v1.2 pep primary_assembly:ASM411807v1:6:28900169:28906820:1 gene:Vigun06g167000.v1.2 transcript:Vigun06g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMKMVQEEAEEVGLGMSRGMMVQCNVNNKSHSHNHNHSHSHSHSHSHSNNHNHNNVCNCKHSPSATLDLLILLLVLFSGAFLLSSYFSYIFHSLSLLLPSLPLSYLLPFLLFFAISLAAADFCCGPRSRRCQRSGCKGLKKAMEFDLQIHRFGSAPSPDASAEIDRLPWKGGTEANPDYECLRSELRKMAPPNGRALLLFRAPCGCPVAKLEASGPKKGKRHKRTQPSATLNGGDHR >Vigun06g167000.1.v1.2 pep primary_assembly:ASM411807v1:6:28900169:28906820:1 gene:Vigun06g167000.v1.2 transcript:Vigun06g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMKMVQEEAEEVGLGMSRGMMVQCNVNNKSHSHNHNHSHSHSHSHSHSNNHNHNNVCNCKHSPSATLDLLILLLVLFSGAFLLSSYFSYIFHSLSLLLPSLPLSYLLPFLLFFAISLAAADFCCGPRSRRCQRSGCKGLKKAMEFDLQIHRFGSAPSPDASAEIDRLPWKGGTEANPDYECLRSELRKMAPPNGRALLLFRAPCGCPVAKLEASGPKKGKRHKRWMPCRGLFYITYGRNWGFETSMKCYPGLLWACFCLCYYLHQLEML >Vigun11g166164.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37352103:37352368:-1 gene:Vigun11g166164.v1.2 transcript:Vigun11g166164.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAVREFLIGQPKIYPFRTCTMY >Vigun11g027400.1.v1.2 pep primary_assembly:ASM411807v1:11:3581410:3587897:-1 gene:Vigun11g027400.v1.2 transcript:Vigun11g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFKSSSRRGTQSSAPSNASSSSGRSSTRAPNPTRRSRSVSAFARGSSDISTEFLNKRDNPLFDETQSAKTVIETSTARGAARSAEPARRESGRALSRAESGRRTRSVSQCPVSRRHLNYSTSESEVECKEGNDLKLVGSNRKGDLVGRSENGVTDQVKDLHTWSSRHSVEVSDCFAATLSGLQTQTCDDEASTASSGFGSDEKTIKAVFEQMKSVHGDLSEASDIYETVRSEVRRAISEIQIELESAIQKRNVTAISVSNVADIPPDLVNPGAVELVLEIRKEYAKKHEESQQRARNLRAELAIEEHREQELDRILREVLPSPKTPIVQKSRTARKSSSFERRKMSKRLAEDAKAYFDECVSLSTFDSSDFSSQEDPSHNLVGPPTPSGSHVFLTEESGTRGQSMNIHYGISQSPAYNDSMGAFHGQVSSTTDSTETGSKPCFSFSQKPSETSAIQQDIQQYIKRFEKNVLKSSTVRSNYCEPLEYSFQSSTESLLIDRVLLKSRIDSGNLLLCSGGNKLLSKFYGTGI >Vigun08g210700.1.v1.2 pep primary_assembly:ASM411807v1:8:37251112:37255600:-1 gene:Vigun08g210700.v1.2 transcript:Vigun08g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEPYSLFQTRKDRARHIRRLYAVSLFVAICFVWAFRLTHIPAKGEAAQWAWLGLFLAELWSGIYWVFYQALRLNMIFRTTFPNTLSQRYEESRFPGVDIFVFTADAAVEPPLLVVNTVLSVMAYDYPPQKLSVYLSDDGCSEITFYALLEASSFAKHWVPFCKRFKVEPRSPSAFFNAPASTKSEDHNYVKHLATIHKLYEEMKRRVEDATKLGGVPSEARSKHNGFSQWDSNPSPRDHDTILQILVHKNDSHGSKDVDGCILPTLVYMAREKRPQYHTHFKAGAINSLLRVSSAISNGKIILILDCDMYSTHSQSVRDALCFFMDEDKGREIAYVQFPQTFYNIGKNDLYGNALSEILEVDLRGTDGFGGPVCIGTCCFFRRDALCVNKFRREHKNDWNDENENEVTEENLHELAEKSKALADCTYEKNTLWGKKIGSIYGCLVEDVVTGLWIQSQGWKSVYYNPSITGFCGIAPTNLLRTLVQLRRWGEGQLQLLFSEFRPKCYGDYKINLGLQLGYLHYNTFAFTSLSVLYYSIIPSLYLLKGISFFPKVCSLWFIPFAYVIVGEIGYSLIEGLSKGGSIQGWCNGTRMWLYVRSSSFLFSLLNFISGLFGHSNPSFLLTPKVTEDDVAQRYEKEMMEFGTSSPYFTVLATLALLNLFCLLLTLKDLVLGKGAFLEKMALQILLCGFLVFINFPLYQAIFVRKDKGRMPTFLSVKSTAFAFTACVFFKLFN >Vigun03g221400.1.v1.2 pep primary_assembly:ASM411807v1:3:36806446:36807326:1 gene:Vigun03g221400.v1.2 transcript:Vigun03g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWNTLGADCVVISCCCQCLLLQILVFLMLKLPWKLIRKTREYAKKKLRQRKGNDDKMKIELGCYEDVLVRIHEQSFRVQEMAVRDGEGFTSGGCMDEVQKMMEEFYQKGEFGFGSFCGREEPCDPNLRVCRQKKKNPTITPLFIS >Vigun05g087100.1.v1.2 pep primary_assembly:ASM411807v1:5:8263462:8264347:1 gene:Vigun05g087100.v1.2 transcript:Vigun05g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLQVTCVVLVLIVGFGEIIPLAEAEIPCGSVQMTVAPCIAYLTGPAAGPVPAPCCNGVKRINDQAKSTADRRSVCTCLKNTALRIPGLNLPHLSALPTTCGVNLPYKISPSIDCNTVT >Vigun05g262900.1.v1.2 pep primary_assembly:ASM411807v1:5:45546214:45549585:-1 gene:Vigun05g262900.v1.2 transcript:Vigun05g262900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDKQQHPTIEQKLAGQLHSRSSSNLSPHVGTCYGGLKRLALFQQRFSPENYSNAGLQFPVMPACNATNYMHSAGSPVFVPSPSEKNWGSFATDFLMGGVSAAVSKSAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFVSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNIASGGAAGAVSSVFVYSLDYARTRLANDAKAGKKGGGERQFNGLIDVYRKTLQSDGVAGLYRGFNVSCVGIIVYRGLYFGMYDSLKPVLLVGTLEDSFLASFALGWVVTISASIASYPLDTVRRRMMMTSGEAVKYKSSLDALSQIVKNEGSKSLFKGAGANILRAVAGAGVLAGYDKLQLLVLGKKYGSGGA >VigunL076050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:38569:38964:1 gene:VigunL076050.v1.2 transcript:VigunL076050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSYLLKQSITLSFLTLQPTPSIPFLQQYSLSPPYLDAYSSICFPVVMARIREKMLFILFFVFFLNGATRGKAQLSTLPQKGGRFLLSKNASSAIRAQQAAELCSKASFVSFQQKQYMVRSALFHPARIP >Vigun07g059100.4.v1.2 pep primary_assembly:ASM411807v1:7:6603360:6622245:-1 gene:Vigun07g059100.v1.2 transcript:Vigun07g059100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEQPEEVISEECRDFVMNVGGSVWALDWCPQMHEKPDASIKCEFIAVAAHPPGSSYHKMGAPLTGRGVVQIWCLLNISEHNDKSTNKKTPKGKPRKNPTVVDDMSCDKTDGDTTDKSTQVKKPKGRPRKNPMVVAVDDMNCDKEDGGANDKSTQIKKPKGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNSTVTAVGDANCEIQDIPALAVQSPENSTEFLTLDWNHEKNEEILPKNDGGTNDKLTKIKRPRGRPRKNSIVIAVEDMNCEIQNKAACDVQVAQNSTQFRASDGNLENNEKLITYKRKNGAKENEESGEKSVLTKRLRRRPNSNSKGATVDDPNCGNKSMPLHIQNAEDSAEYLSPDMTLDNCNEKLAQRPKGHESNSIGAMPNGPNCENEPTSHDVQVPEDSAEFLSLIGEMTNDPNCENERTLDVKVPEDSAEFLSRDVAHDNCNKHALQQCSVTKQRHTKEAVSASNTFSTTVVKNSGLKINHREGRCDQDISQPLQYDNEANYQLCSTSELEAAPTTSSIQEDVTLPRVVSCLAHNGKVAWDVKWRPTNISDSCKHRMGYLAVVLGNGSLEVWEVPLPHVLRAIYMHREGTDPRFIKLEPVFKCSMLRRRGLQSIPLTVEWSVTSPHDYLLAGCHDGTVALWKFCINSSSKCDDTMPVLCFGGDTVPIRTVAWAPFEGDPESSNIVVTAGHEGLKFWDLRNPFRPLRSLHPAPRIIYSLDWLSKPSCIIMSFEDGTMRTISLAKAANDLPVTGEIYSGKKQPGLHGSSYASFAIWSVQVSRITGMLAYCGADGTAFRFQLTAKSVETDHARTRSRRFLCGSVTEENSNLVINTPISNAPFLWKKLPEKGRCAESFRDLLSKTNQYKNSLNQVAETSNFDSQTLGADADENVDLLESGSEEALYSMKKPKRPKVNSGSKKKPEESISVDCRDDDVPPITIIPEADNEKSDFGNIPEIFPPKMAALHKVRWNMNKGSERWLCFGGACGVVRCQEIVYSDIDKKWALKK >Vigun07g059100.3.v1.2 pep primary_assembly:ASM411807v1:7:6603356:6626128:-1 gene:Vigun07g059100.v1.2 transcript:Vigun07g059100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREKNACIAQLMDCNKKEKNKKKKKAKRATEGVAVKTSAANSATANNGADVTAVSGVRIALFDFSVENFFRDMDTIVRLCGQEDDVAGTDQMEIQRMSSSVIFLREWRDFKYPSKSIKFAYGLESSDCFEGKDVSAINLPPFSSASVPEQDMQTEQPEEVISEECRDFVMNVGGSVWALDWCPQMHEKPDASIKCEFIAVAAHPPGSSYHKMGAPLTGRGVVQIWCLLNISEHNDKSTNKKTPKGKPRKNPTVVDDMSCDKTDGDTTDKSTQVKKPKGRPRKNPMVVAVDDMNCDKEDGGANDKSTQIKKPKGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNSTVTAVGDANCEIQDIPALAVQSPENSTEFLTLDWNHEKNEEILPKNDGGTNDKLTKIKRPRGRPRKNSIVIAVEDMNCEIQNKAACDVQVAQNSTQFRASDGNLENNEKLITYKRKNGAKENEESGEKSVLTKRLRRRPNSNSKGATVDDPNCGNKSMPLHIQNAEDSAEYLSPDMTLDNCNEKLAQRPKGHESNSIGAMPNGPNCENEPTSHDVQVPEDSAEFLSLIGEMTNDPNCENERTLDVKVPEDSAEFLSRDVAHDNCNKHALQQCSVTKQRHTKEAVSASNTFSTTVVKNSGLKINHREGRCDQDISQPLQYDNEANYQLCSTSELEAAPTTSSIQEDVTLPRVVSCLAHNGKVAWDVKWRPTNISDSCKHRMGYLAVVLGNGSLEVWEVPLPHVLRAIYMHREGTDPRFIKLEPVFKCSMLRRRGLQSIPLTVEWSVTSPHDYLLAGCHDGTVALWKFCINSSSKCDDTMPVLCFGGDTVPIRTVAWAPFEGDPESSNIVVTAGHEGLKFWDLRNPFRPLRSLHPAPRIIYSLDWLSKPSCIIMSFEDGTMRTISLAKAANDLPVTGEIYSGKKQPGLHGSSYASFAIWSVQVSRITGMLAYCGADGTAFRFQLTAKSVETDHARTRSRRFLCGSVTEENSNLVINTPISNAPFLWKKLPEKGRCAESFRDLLSKTNQYKNSLNQVAETSNFDSQTLGADADENVDLLESGSEEALYSMKKPKRPKVNSGSKKKPEESISVDCRDDDVPPITIIPEADNEKSDFGNIPEIFPPKMAALHKVRWNMNKGSERWLCFGGACGVVRCQEIVYSDIDKKWALKK >Vigun07g059100.2.v1.2 pep primary_assembly:ASM411807v1:7:6603360:6626058:-1 gene:Vigun07g059100.v1.2 transcript:Vigun07g059100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTSPSTTSLCALDSGAPLCQGDRRRFTGSLLRGMEDREKNACIAQLMDCNKKEKNKKKKKAKRATEGVAVKTSAANSATANNGADVTAVSGVRIALFDFSVENFFRDMDTIVRLCGQEDDVAGTDQMEIQRMSSSVIFLREWRDFKYPSKSIKFAYGLESSDCFEGKDVSAINLPPFSSASVPEQDMQTEQPEEVISEECRDFVMNVGGSVWALDWCPQMHEKPDASIKCEFIAVAAHPPGSSYHKMGAPLTGRGVVQIWCLLNISEHNDKSTNKKTPKGKPRKNPTVVDDMSCDKTDGDTTDKSTQVKKPKGRPRKNPMVVAVDDMNCDKEDGGANDKSTQIKKPKGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNSTVTAVGDANCEIQDIPALAVQSPENSTEFLTLDWNHEKNEEILPKNDGGTNDKLTKIKRPRGRPRKNSIVIAVEDMNCEIQNKAACDVQVAQNSTQFRASDGNLENNEKLITYKRKNGAKENEESGEKSVLTKRLRRRPNSNSKGATVDDPNCGNKSMPLHIQNAEDSAEYLSPDMTLDNCNEKLAQRPKGHESNSIGAMPNGPNCENEPTSHDVQVPEDSAEFLSLIGEMTNDPNCENERTLDVKVPEDSAEFLSRDVAHDNCNKHALQQCSVTKQRHTKEAVSASNTFSTTVVKNSGLKINHREGRCDQDISQPLQYDNEANYQLCSTSELEAAPTTSSIQEDVTLPRVVSCLAHNGKVAWDVKWRPTNISDSCKHRMGYLAVVLGNGSLEVWEVPLPHVLRAIYMHREGTDPRFIKLEPVFKCSMLRRRGLQSIPLTVEWSVTSPHDYLLAGCHDGTVALWKFCINSSSKCDDTMPVLCFGGDTVPIRTVAWAPFEGDPESSNIVVTAGHEGLKFWDLRNPFRPLRSLHPAPRIIYSLDWLSKPSCIIMSFEDGTMRTISLAKAANDLPVTGEIYSGKKQPGLHGSSYASFAIWSVQVSRITGMLAYCGADGTAFRFQLTAKSVETDHARTRSRRFLCGSVTEENSNLVINTPISNAPFLWKKLPEKGRCAESFRDLLSKTNQYKNSLNQVAETSNFDSQTLGADADENVDLLESGSEEALYSMKKPKRPKVNSGSKKKPEESISVDCRDDDVPPITIIPEADNEKSDFGNIPEIFPPKMAALHKVRWNMNKGSERWLCFGGACGVVRCQEIVYSDIDKKWALKK >Vigun07g059100.1.v1.2 pep primary_assembly:ASM411807v1:7:6603358:6622314:-1 gene:Vigun07g059100.v1.2 transcript:Vigun07g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREKNACIAQLMDCNKKEKNKKKKKAKRATEGVAVKTSAANSATANNGADVTAVSGVRIALFDFSVENFFRDMDTIVRLCGQEDDVAGTDQMEIQRMSSSVIFLREWRDFKYPSKSIKFAYGLESSDCFEGKDVSAINLPPFSSASVPEQDMQTEQPEEVISEECRDFVMNVGGSVWALDWCPQMHEKPDASIKCEFIAVAAHPPGSSYHKMGAPLTGRGVVQIWCLLNISEHNDKSTNKKTPKGKPRKNPTVVDDMSCDKTDGDTTDKSTQVKKPKGRPRKNPMVVAVDDMNCDKEDGGANDKSTQIKKPKGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNPMVVAVDDMNCDEEDGGANDKSMLMKRPRGRPRKNSTVTAVGDANCEIQDIPALAVQSPENSTEFLTLDWNHEKNEEILPKNDGGTNDKLTKIKRPRGRPRKNSIVIAVEDMNCEIQNKAACDVQVAQNSTQFRASDGNLENNEKLITYKRKNGAKENEESGEKSVLTKRLRRRPNSNSKGATVDDPNCGNKSMPLHIQNAEDSAEYLSPDMTLDNCNEKLAQRPKGHESNSIGAMPNGPNCENEPTSHDVQVPEDSAEFLSLIGEMTNDPNCENERTLDVKVPEDSAEFLSRDVAHDNCNKHALQQCSVTKQRHTKEAVSASNTFSTTVVKNSGLKINHREGRCDQDISQPLQYDNEANYQLCSTSELEAAPTTSSIQEDVTLPRVVSCLAHNGKVAWDVKWRPTNISDSCKHRMGYLAVVLGNGSLEVWEVPLPHVLRAIYMHREGTDPRFIKLEPVFKCSMLRRRGLQSIPLTVEWSVTSPHDYLLAGCHDGTVALWKFCINSSSKCDDTMPVLCFGGDTVPIRTVAWAPFEGDPESSNIVVTAGHEGLKFWDLRNPFRPLRSLHPAPRIIYSLDWLSKPSCIIMSFEDGTMRTISLAKAANDLPVTGEIYSGKKQPGLHGSSYASFAIWSVQVSRITGMLAYCGADGTAFRFQLTAKSVETDHARTRSRRFLCGSVTEENSNLVINTPISNAPFLWKKLPEKGRCAESFRDLLSKTNQYKNSLNQVAETSNFDSQTLGADADENVDLLESGSEEALYSMKKPKRPKVNSGSKKKPEESISVDCRDDDVPPITIIPEADNEKSDFGNIPEIFPPKMAALHKVRWNMNKGSERWLCFGGACGVVRCQEIVYSDIDKKWALKK >Vigun02g049800.1.v1.2 pep primary_assembly:ASM411807v1:2:19058926:19061271:-1 gene:Vigun02g049800.v1.2 transcript:Vigun02g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMYFVLFLAFTGHSSALYCVCKDGVGDQALQKAIDYACGAGADCTPILQNGACFQPNTVKDHCNYAVNSYFQRKGQAQGSCDFSGAATPSQNPPTSASTCVYPSSPGNAGTGTTGTPTTTTPTTGTPSTLTPTTPTGTGTSTGTGTGSITGNPNVFGISPTSSTGTSYDPNKGVVHLKNTCMLLVSFVLTFLLVLRV >Vigun11g148300.2.v1.2 pep primary_assembly:ASM411807v1:11:35760556:35769059:1 gene:Vigun11g148300.v1.2 transcript:Vigun11g148300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNIPLLDEDDSLLFDDSAPATAEVFSCSPLIPLRSIPLQTESGAADSENRNSSVNDSANKENADWNKPQKLSLEPHQMKRKKKGGGYNLRKSLAWNRAFFTEEGVLNPEELSMISGTASSNSGLDLEVIHEEEYVGSSINLQEIEENLFMHSSDALPLEDRKVGAASRFSPKRFSPKPAALAKASTAPVSLAKRKILTVNDSVGNRPKRNACPPRLPVASSSFKRPSTVKAPSKEVKVARTPGPKLDVSARTTTPRSGILTPGFSKRNQNAHPATNPPKHAGVRALSKNPKITPSNPKVVHADKGSVTLATRPGKHLNNSVETHPPPRKLQSGTEANKVSETCLPRGVSDVSEKKQETQLQTTKLSGLRMPSPSLGFFSIAKAPSSHSQLQKTSKPCKPAQSNTLKLQNLETNSVNEARLQHAPVMMSEIVKGENCTKELSLLDVKSELSMQVDNKQMACVEVKYNSVGSGKISKQEKVENILGHVNVTYEEHGELRRNNNASRVEDAVFPRHEKKLLSESHTQVQLEKETGQHDVSLKGYQSVLQEPPSIHYHGGAADHVKNGGDAQKHLLNGNLSVNCSETTGSNLEAVNQQLQGEQLKTTSVVTVGEIMSTRESESHVNSCQVSFKCFAASEPKIAKIEGCQLSVDDQSGFIQTIPVLEQCDKVIASKAMDHSTQVFELDRSSEDCRTVSATTCNIELNNVSQEGRPFREDNVQNLLLTSQVCPMVKSDFVSGENEMSTNNHCTISKLQHRDEGSSWDASIHYDVPCYLPGNFEQQTSNITYSKVNKMLCEDVSLVLNHGDLLDQSEFSQVSANINSNTKDFIGSEAEKSSSFLQHTILTRLGREVDHSNTEIKGSHVEAQMRLLNENPAPYKSNNPENGNNILHSDSDWAPTNIASSEEVNKSMEDVHARSFNENPAPFNCNSKHCLVTNDTDFQRSGAVGTADLDNVNSILLDLNGDMSTHVAPSEEIEKTILFEGALCDIYTSENNDSHNHSQAMPENKDGNISTDDLPIDDAKEGYSNILPLVEFELNGNIQKMTENKDGNLDMDDIKDGSSDMFPSVQVQVNDNIQDMSENNDGNVDMDDSEECYSNISSLVEVQLIDNIQAISGNNDENLDMDYAKEGSSDTLPLVEVQLDDNIQAMYENSERNLYMDDLQMDYAKGSSDMLPLVEVQVNDNIQDVSQNNDGNLDMDDSKECSSDILPLVEVQANDNIISSERIFSSAVNKDSFADVVAWKPEERCSLSESSNLPASDHLTFNLDEFSDTDMLYQSKGGIYFAEDNRKIIDLHDSALKSNQEVLTGKLPTNAAPFSDEWFTATDSAEQKLNQNPPRGDMPETVACDTELRDAGSSRDASIQHHVNCDASKAEEMLCEYKGLEPNHDHVGDQNEFSEVSADFISNTKDSIGSGAEKPSGHIQHSLLGQFVEEFIQTNREIEESHLTTQVQTLAEHPVLYNCNSKQCLAVSDNSQNVDNIQLHLDGNWLSTNIVSSEEIEKTNLSENAVKGCDVRTGEYNASNDHIQTNDGNLYADERAEHLQTNNVKKGSSDILPVVEVQLKDNVVSSECNSFIEEIKDSFTDEVAWKPEEHSSSESSSCEISLLNSTTFSEEAGTNIFEKDESPNTGMEHKSKGDIYYAEDSNKIIHLQESATKSKLEVPTLKPPPNAAPFSEEWLAAIEAAGEEILTMKGGAVQNSPTEKTQHEPGPWSPVRRKNQSIGPFDCTKHNTQPSSS >Vigun11g148300.1.v1.2 pep primary_assembly:ASM411807v1:11:35760755:35769192:1 gene:Vigun11g148300.v1.2 transcript:Vigun11g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNIPLLDEDDSLLFDDSAPATAEVFSCSPLIPLRSIPLQTESGAADSENRNSSVNDSANKENADWNKPQKLSLEPHQMKRKKKGGGYNLRKSLAWNRAFFTEEGVLNPEELSMISGTASSNSGLDLEVIHEEEYVGSSINLQEIEENLFMHSSDALPLEDRKVGAASRFSPKRFSPKPAALAKASTAPVSLAKRKILTVNDSVGNRPKRNACPPRLPVASSSFKRPSTVKAPSKEVKVARTPGPKLDVSARTTTPRSGILTPGFSKRNQNAHPATNPPKHAGVRALSKNPKITPSNPKVVHADKGSVTLATRPGKHLNNSVETHPPPRKLQSGTEANKVSETCLPRGVSDVSEKKQETQLQTTKLSGLRMPSPSLGFFSIAKAPSSHSQLQKTSKPCKPAQSNTLKLQNLETNSVNEARLQHAPVMMSEIVKGENCTKELSLLDVKSELSMQVDNKQMACVEVKYNSVGSGKISKQEKVENILGHVNVTYEEHGELRRNNNASRVEDAVFPRHEKKLLSESHTQVQLEKETGQHDVSLKGYQSVLQEPPSIHYHGGAADHVKNGGDAQKHLLNGNLSVNCSETTGSNLEAVNQQLQGEQLKTTSVVTVGEIMSTRESESHVNSCQVSFKCFAASEPKIAKIEGCQLSVDDQSGFIQTIPVLEQCDKVIASKAMDHSTQVFELDRSSEDCRTVSATTCNIELNNVSQEGRPFREDNVQNLLLTSQVCPMVKSDFVSGENEMSTNNHCTISKLQHRDEGSSWDASIHYDVPCYLPGNFEQQTSNITYSKVNKMLCEDVSLVLNHGDLLDQSEFSQVSANINSNTKDFIGSEAEKSSSFLQHTILTRLGREVDHSNTEIKGSHVEAQMRLLNENPAPYKSNSKHLNVFITDKFPLADNNSMNENSSLPDIQSLGAAVRADPENGNNILHSDSDWAPTNIASSEEVNKSMEDVHARSFNENPAPFNCNSKHCLVTNDTDFQRSGAVGTADLDNVNSILLDLNGDMSTHVAPSEEIEKTILFEGALCDIYTSENNDSHNHSQAMPENKDGNISTDDLPIDDAKEGYSNILPLVEFELNGNIQKMTENKDGNLDMDDIKDGSSDMFPSVQVQVNDNIQDMSENNDGNVDMDDSEECYSNISSLVEVQLIDNIQAISGNNDENLDMDYAKEGSSDTLPLVEVQLDDNIQAMYENSERNLYMDDLQMDYAKGSSDMLPLVEVQVNDNIQDVSQNNDGNLDMDDSKECSSDILPLVEVQANDNIISSERIFSSAVNKDSFADVVAWKPEERCSLSESSNLPASDHLTFNLDEFSDTDMLYQSKGGIYFAEDNRKIIDLHDSALKSNQEVLTGKLPTNAAPFSDEWFTATDSAEQKLNQNPPRGDMPETVACDTELRDAGSSRDASIQHHVNCDASKAEEMLCEYKGLEPNHDHVGDQNEFSEVSADFISNTKDSIGSGAEKPSGHIQHSLLGQFVEEFIQTNREIEESHLTTQVQTLAEHPVLYNCNSKQCLAVSDNSQNVDNIQLHLDGNWLSTNIVSSEEIEKTNLSENAVKGCDVRTGEYNASNDHIQTNDGNLYADERAEHLQTNNVKKGSSDILPVVEVQLKDNVVSSECNSFIEEIKDSFTDEVAWKPEEHSSSESSSCEISLLNSTTFSEEAGTNIFEKDESPNTGMEHKSKGDIYYAEDSNKIIHLQESATKSKLEVPTLKPPPNAAPFSEEWLAAIEAAGEEILTMKGGAVQNSPTEKTQHEPGPWSPVRRKNQSIGPFDCTKHNTQPSSS >Vigun11g148300.4.v1.2 pep primary_assembly:ASM411807v1:11:35760684:35768968:1 gene:Vigun11g148300.v1.2 transcript:Vigun11g148300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNIPLLDEDDSLLFDDSAPATAEVFSCSPLIPLRSIPLQTESGAADSENRNSSVNDSANKENADWNKPQKLSLEPHQMKRKKKGGGYNLRKSLAWNRAFFTEEGVLNPEELSMISGTASSNSGLDLEVIHEEEYVGSSINLQEIEENLFMHSSDALPLEDRKVGAASRFSPKRFSPKPAALAKASTAPVSLAKRKILTVNDSVGNRPKRNACPPRLPVASSSFKRPSTVKAPSKEVKVARTPGPKLDVSARTTTPRSGILTPGFSKRNQNAHPATNPPKHAGVRALSKNPKITPSNPKVVHADKGSVTLATRPGKHLNNSVETHPPPRKLQSGTEANKVSETCLPRGVSDVSEKKQETQLQTTKLSGLRMPSPSLGFFSIAKAPSSHSQLQKTSKPCKPAQSNTLKLQNLETNSVNEARLQHAPVMMSEIVKGENCTKELSLLDVKSELSMQVDNKQMACVEVKYNSVGSGKISKQEKVENILGHVNVTYEEHGELRRNNNASRVEDAVFPRHEKKLLSESHTQVQLEKETGQHDVSLKGYQSVLQEPPSIHYHGGAADHVKNGGDAQKHLLNGNLSVNCSETTGSNLEAVNQQLQGEQLKTTSVVTVGEIMSTRESESHVNSCQVSFKCFAASEPKIAKIEGCQLSVDDQSGFIQTIPVLEQCDKVIASKAMDHSTQVFELDRSSEDCRTVSATTCNIELNNVSQEGRPFREDNVQNLLLTSQVCPMVKSDFVSGENEMSTNNHCTISKLQHRDEGSSWDASIHYDVPCYLPGNFEQQTSNITYSKVNKMLCEDVSLVLNHGDLLDQSEFSQVSANINSNTKDFIGSEAEKSSSFLQHTILTRLGREVDHSNTEIKGSHVEAQMRLLNENPAPYKSNNPENGNNILHSDSDWAPTNIASSEEVNKSMEDVHARSFNENPAPFNCNSKHCLVTNDTDFQRSGAVGTADLDNVNSILLDLNGDMSTHVAPSEEIEKTILFEGALCDIYTSENNDSHNHSQAMPENKDGNISTDDLPIDDAKEGYSNILPLVEFELNGNIQKMTENKDGNLDMDDIKDGSSDMFPSVQVQVNDNIQDMSENNDGNVDMDDSEECYSNISSLVEVQLIDNIQAISGNNDENLDMDYAKEGSSDTLPLVEVQLDDNIQAMYENSERNLYMDDLQMDYAKGSSDMLPLVEVQVNDNIQDVSQNNDGNLDMDDSKECSSDILPLVEVQANDNIISSERIFSSAVNKDSFADVVAWKPEERCSLSESSNLPASDHLTFNLDEFSDTDMLYQSKGGIYFAEDNRKIIDLHDSALKSNQEVLTGKLPTNAAPFSDEWFTATDSAEQKLNQNPPRGDMPETVACDTELRDAGSSRDASIQHHVNCDASKAEEMLCEYKGLEPNHDHVGDQNEFSEVSADFISNTKDSIGSGAEKPSGHIQHSLLGQFVEEFIQTNREIEESHLTTQVQTLAEHPVLYNCNSKQCLAVSDNSQNVDNIQLHLDGEYNASNDHIQTNDGNLYADERAEHLQTNNVKKGSSDILPVVEVQLKDNVVSSECNSFIEEIKDSFTDEVAWKPEEHSSSESSSCEISLLNSTTFSEEAGTNIFEKDESPNTGMEHKSKGDIYYAEDSNKIIHLQESATKSKLEVPTLKPPPNAAPFSEEWLAAIEAAGEEILTMKGGAVQNSPTEKTQHEPGPWSPVRRKNQSIGPFDCTKHNTQPSSS >Vigun11g148300.3.v1.2 pep primary_assembly:ASM411807v1:11:35760755:35768953:1 gene:Vigun11g148300.v1.2 transcript:Vigun11g148300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNIPLLDEDDSLLFDDSAPATAEVFSCSPLIPLRSIPLQTESGAADSENRNSSVNDSANKENADWNKPQKLSLEPHQMKRKKKGGGYNLRKSLAWNRAFFTEEGVLNPEELSMISGTASSNSGLDLEVIHEEEYVGSSINLQEIEENLFMHSSDALPLEDRKVGAASRFSPKRFSPKPAALAKASTAPVSLAKRKILTVNDSVGNRPKRNACPPRLPVASSSFKRPSTVKAPSKEVKVARTPGPKLDVSARTTTPRSGILTPGFSKRNQNAHPATNPPKHAGVRALSKNPKITPSNPKVVHADKGSVTLATRPGKHLNNSVETHPPPRKLQSGTEANKVSETCLPRGVSDVSEKKQETQLQTTKLSGLRMPSPSLGFFSIAKAPSSHSQLQKTSKPCKPAQSNTLKLQNLETNSVNEARLQHAPVMMSEIVKGENCTKELSLLDVKSELSMQVDNKQMACVEVKYNSVGSGKISKQEKVENILGHVNVTYEEHGELRRNNNASRVEDAVFPRHEKKLLSESHTQVQLEKETGQHDVSLKGYQSVLQEPPSIHYHGGAADHVKNGGDAQKHLLNGNLSVNCSETTGSNLEAVNQQLQGEQLKTTSVVTVGEIMSTRESESHVNSCQVSFKCFAASEPKIAKIEGCQLSVDDQSGFIQTIPVLEQCDKVIASKAMDHSTQVFELDRSSEDCRTVSATTCNIELNNVSQEGRPFREDNVQNLLLTSQVCPMVKSDFVSGENEMSTNNHCTISKLQHRDEGSSWDASIHYDVPCYLPGNFEQQTSNITYSKVNKMLCEDVSLVLNHGDLLDQSEFSQVSANINSNTKDFIGSEAEKSSSFLQHTILTRLGREVDHSNTEIKGSHVEAQMRLLNENPAPYKSNSKHLNVFITDKFPLADNNSMNENSSLPDIQSLGAAVRADPENGNNILHSDSDWAPTNIASSEEVNKSMEDVHARSFNENPAPFNCNSKHCLVTNDTDFQRSGAVGTADLDNVNSILLDLNGDMSTHVAPSEEIEKTILFEGALCDIYTSENNDSHNHSQAMPENKDGNISTDDLPIDDAKEGYSNILPLVEFELNGNIQKMTENKDGNLDMDDIKDGSSDMFPSVQVQVNDNIQDMSENNDGNVDMDDSEECYSNISSLVEVQLIDNIQAISGNNDENLDMDYAKEGSSDTLPLVEVQLDDNIQAMYENSERNLYMDDLQMDYAKGSSDMLPLVEVQVNDNIQDVSQNNDGNLDMDDSKECSSDILPLVEVQANDNIISSERIFSSAVNKDSFADVVAWKPEERCSLSESSNLPASDHLTFNLDEFSDTDMLYQSKGGIYFAEDNRKIIDLHDSALKSNQEVLTGKLPTNAAPFSDEWFTATDSAEQKLNQNPPRGDMPETVACDTELRDAGSSRDASIQHHVNCDASKAEEMLCEYKGLEPNHDHVGDQNEFSEVSADFISNTKDSIGSGAEKPSGHIQHSLLGQFVEEFIQTNREIEESHLTTQVQTLAEHPVLYNCNSKQCLAVSDSKFPLADSDNINENSQLPDFQGPCAVVGVDSQNVDNIQLHLDGNWLSTNIVSSEEIEKTNLSENAVKGCDVRTGEYNASNDHIQTNDGNLYADERAEHLQTNNVKKGSSDILPVVEVQLKDNVVSSECNSFIEEIKDSFTDEVAWKPEEHSSSESSSCEISLLNSTTFSEEAGTNIFEKDESPNTGMEHKSKGDIYYAEDSNKIIHLQESATKSKLEVPTLKPPPNAAPFSEEWLAAIEAAGEEILTMKGGAVQNSPTEKTQHEPGPWSPVRRKNQSIGPFDCTKHNTQPSSS >Vigun05g231550.1.v1.2 pep primary_assembly:ASM411807v1:5:42518268:42518486:-1 gene:Vigun05g231550.v1.2 transcript:Vigun05g231550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAMIGCFLTLFSVPSINALLHCFLINVLGTGILGIALALRLVSL >Vigun03g226150.1.v1.2 pep primary_assembly:ASM411807v1:3:37615023:37616064:-1 gene:Vigun03g226150.v1.2 transcript:Vigun03g226150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNNFKNAKLWQATKIMMRESMTPTRRKLKGQGNWGMRIC >Vigun10g059001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11409412:11409885:1 gene:Vigun10g059001.v1.2 transcript:Vigun10g059001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASMVARLVAAAAAFTVAATDVASSEFEQRSVVALSGEPNGGGSREKEKEQRRRLQASPVRASGDDDVAAVSGFIFPGKWRRRWCRSISRERGVKGDGAAGEASGGDRWWRLRRTRVQAWGCVGGVEKKRCHGEGKKLWLLLGFF >Vigun01g035900.2.v1.2 pep primary_assembly:ASM411807v1:1:4638144:4639060:-1 gene:Vigun01g035900.v1.2 transcript:Vigun01g035900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMRSKCVMILGMVGVIVLCGDVVVGQCSGMGGLIKECGVYVKRSGPQMNPSRKCCEEIKNADVACVCNHLTNHMLQLIDIQKLHNVADSCGRPIPSGTKCGDEVAAGPKSGDVINSPPQHIESQVPPKQKTDSNFQVSANKEKTDSNSSTQTSAPIIPTADTNSSTPFTEGPSSFTIS >Vigun01g035900.1.v1.2 pep primary_assembly:ASM411807v1:1:4638143:4639094:-1 gene:Vigun01g035900.v1.2 transcript:Vigun01g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMRSKCVMILGMVGVIVLCGDVVVGQCSGMGGLIKECGVYVKRSGPQMNPSRKCCEEIKNADVACVCNHLTNHMLQLIDIQKLHNVADSCGRPIPSGTKCGDEVAAGPKSGDVINSPPQHIESQVPPKQKTDSNFQVSANKEKTDSNSSTQTSAPIIPTADTNSSTPFTEGPSSFTIS >Vigun06g016175.1.v1.2 pep primary_assembly:ASM411807v1:6:7661402:7662806:-1 gene:Vigun06g016175.v1.2 transcript:Vigun06g016175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSNSGNGQEQGMQKQNPFEFSQDKILEDVYRTHVRCLEKCDSESLHTVASNVLNQSMDITLKVIAKMICTPRGEYPVHCTTMLILEQLKHYSWDAKVFIVQAAFALEYGKFLYLLPLIAPAQQFESSFADLHGFLMVPQNTKHLTHFNNVVKKVMQVVECITEWKKIVSAGHDIKDVPTLVETLQEIPVVVYWAIFTFVSCTGEINEFTDYK >Vigun09g006700.2.v1.2 pep primary_assembly:ASM411807v1:9:496147:515326:1 gene:Vigun09g006700.v1.2 transcript:Vigun09g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKYAPSGHAPSINMKHLTFSSKMPKKTERDKACQNHNMDVDIDLREVYFLIMHFLSAGPCHKTYLQFWNELLEHELLPRRYHAWYSKTGACSGDKDDDGLSFPLNYNMLVERYPHIEKDHLVKLLKQLLLSTATPSLGMNLGKAPNAADVPTLLGSGSFSLLSYDRDKMKEVKRPPPHMRWPHMKANQVHGLNLREIGGGFPRHHRAPSIRSACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKVWSMETAYCLASCRGHDGDITDLAVSSNNALVASSSNDCVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPNAVYQLLSSSDDGTCRIWDARYTQSSPRLYIPRPSDSVIGKSSGPSSSTVPQSHQIFCCAYNANGTVFVTGSSDNLARVWNACKLSTDDSDQPNHEIDVLSGHENDVNYVQFSGCAVASRFCTTETWKEENIPKFKNSWLNHDNIVTCSRDGSAIIWIPRSRRSHGKSGRWTRAYHLRVPPPPMPPQPHRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHSESTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGMPIQIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQIVPYRRNVQDLLCDSAMIPYPEPYQSEFQQRRLGALGLEWRPSSLRLAVGPDFSLDPDYHMLPLADLDLVTESLPEFIDAMEWEPEVEVFSDDADSEYNVTEDCSSKGEKGCSSSNASGDSGCSTDNSEGEDTRMESIRRSKRKKQKTETEIMTSSGRRVKRRNLDECDVNTIGSSRSRKGKSRQKISRRKFSKSKSSRPQRAAARNALHLFSKITGTPTDGDEDSLIGDFSDSESTLQESNIDSDESDGSLQNDQLNYSKGKEVSYYESEDIKPHELTETRVNSMNKRRLVLKLPIRDISKSTNEFDYQAELAGSSSKTIPEVTDFNGNRPSFKDSGYYSGSTSYPTVERTDQAKLGQVKDHVDLLGKIKWGMVRARSSKLLRVGEAMPSEQNPYSGKCPNHDEKQNVSSGHEKEEKNFSAPTPEFETQNEDNLVDSLVEINENCVDTITSQPFNPTVNGGEITGSSNCRDKDESLIPAFFIPQDTVPASISYGGADQLPEPNISFCSVSTKLRSKRGARDPESPSKPETKSSVLKNTVCSSNDNTTLSNEQHVLVDDNTRDKSNLGENVSQEIDPQIRENSTSQDLLEPQAQRDKMYKAVYRRSRSHRAVTNLADSGGQGESTSNGSNSNFNIAADFCNGTNEAIHTNGSLELEPSTCDPNYVQNNCKVLQGHGDSIIKSPQNVSTSGGQLTEEERGSSSKLTVGLRSTRSRRSSYNIRETSPVNKRKSLQSNVKVSWLLLSTHEEGCRYIPQQGDEVVYLRQGHQEYIDYCRKNESGPWISLKGHVRAVEYCRVQSLEYSHLAGSGDSCCKMTLQFVDPNSSVVGKSFKLTLPEVTNFPDFLVERTRFDAAMQRNWTRRDKCRVWWRSEDNSSGNWWDGRILCVKAKSSEFSDSPWESCTVRYKNDLTETHLHSPWELFDAETVWEQPHIDDSMRNKLQSALTKLLQSGNTVQDRYGVHELKKISSKSKFINRFPVPISLELVQSRLRNNYYRSLEALQHDVTNSLANSTSFFEKDAEMSAKIKRLSEWFTRTLSSL >Vigun09g006700.1.v1.2 pep primary_assembly:ASM411807v1:9:494883:515326:1 gene:Vigun09g006700.v1.2 transcript:Vigun09g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLESASLVELVTHLNMALQKYAPSGHAPSINMKHLTFSSKMPKKTERDKACQNHNMDVDIDLREVYFLIMHFLSAGPCHKTYLQFWNELLEHELLPRRYHAWYSKTGACSGDKDDDGLSFPLNYNMLVERYPHIEKDHLVKLLKQLLLSTATPSLGMNLGKAPNAADVPTLLGSGSFSLLSYDRDKMKEVKRPPPHMRWPHMKANQVHGLNLREIGGGFPRHHRAPSIRSACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKVWSMETAYCLASCRGHDGDITDLAVSSNNALVASSSNDCVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPNAVYQLLSSSDDGTCRIWDARYTQSSPRLYIPRPSDSVIGKSSGPSSSTVPQSHQIFCCAYNANGTVFVTGSSDNLARVWNACKLSTDDSDQPNHEIDVLSGHENDVNYVQFSGCAVASRFCTTETWKEENIPKFKNSWLNHDNIVTCSRDGSAIIWIPRSRRSHGKSGRWTRAYHLRVPPPPMPPQPHRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHSESTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGMPIQIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQIVPYRRNVQDLLCDSAMIPYPEPYQSEFQQRRLGALGLEWRPSSLRLAVGPDFSLDPDYHMLPLADLDLVTESLPEFIDAMEWEPEVEVFSDDADSEYNVTEDCSSKGEKGCSSSNASGDSGCSTDNSEGEDTRMESIRRSKRKKQKTETEIMTSSGRRVKRRNLDECDVNTIGSSRSRKGKSRQKISRRKFSKSKSSRPQRAAARNALHLFSKITGTPTDGDEDSLIGDFSDSESTLQESNIDSDESDGSLQNDQLNYSKGKEVSYYESEDIKPHELTETRVNSMNKRRLVLKLPIRDISKSTNEFDYQAELAGSSSKTIPEVTDFNGNRPSFKDSGYYSGSTSYPTVERTDQAKLGQVKDHVDLLGKIKWGMVRARSSKLLRVGEAMPSEQNPYSGKCPNHDEKQNVSSGHEKEEKNFSAPTPEFETQNEDNLVDSLVEINENCVDTITSQPFNPTVNGGEITGSSNCRDKDESLIPAFFIPQDTVPASISYGGADQLPEPNISFCSVSTKLRSKRGARDPESPSKPETKSSVLKNTVCSSNDNTTLSNEQHVLVDDNTRDKSNLGENVSQEIDPQIRENSTSQDLLEPQAQRDKMYKAVYRRSRSHRAVTNLADSGGQGESTSNGSNSNFNIAADFCNGTNEAIHTNGSLELEPSTCDPNYVQNNCKVLQGHGDSIIKSPQNVSTSGGQLTEEERGSSSKLTVGLRSTRSRRSSYNIRETSPVNKRKSLQSNVKVSWLLLSTHEEGCRYIPQQGDEVVYLRQGHQEYIDYCRKNESGPWISLKGHVRAVEYCRVQSLEYSHLAGSGDSCCKMTLQFVDPNSSVVGKSFKLTLPEVTNFPDFLVERTRFDAAMQRNWTRRDKCRVWWRSEDNSSGNWWDGRILCVKAKSSEFSDSPWESCTVRYKNDLTETHLHSPWELFDAETVWEQPHIDDSMRNKLQSALTKLLQSGNTVQDRYGVHELKKISSKSKFINRFPVPISLELVQSRLRNNYYRSLEALQHDVTNSLANSTSFFEKDAEMSAKIKRLSEWFTRTLSSL >Vigun05g054100.2.v1.2 pep primary_assembly:ASM411807v1:5:4634317:4639608:-1 gene:Vigun05g054100.v1.2 transcript:Vigun05g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVRWVLAVTLLLTCALGFKSDELLLDDEEFGIEGGRSSSFHSDSLPPSTAATSTSRKRFSESASDSKIQFTLQHAFGDSDFSDAGNFSARLKTWSHGGQELLRGDDFYRIRLPSNVLSPPGREYIISSVKARCLPGDGLEEHFVIHMEGVNVLAVNYGAPGSCSYPRLLKLPAKWSFKSHTVLKNSEQAPRTPIFAEDALGGEEGDGEGVKPIERSFWAKYWMYLIPLGLIVMNAVTQAMNMPEDQPGGQPGAPAQQQPGSAVQRGPSSGAVRRR >Vigun05g054100.1.v1.2 pep primary_assembly:ASM411807v1:5:4634317:4639608:-1 gene:Vigun05g054100.v1.2 transcript:Vigun05g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLVRWVLAVTLLLTCALGFKSDELLLDDEEFGIEGGRSSSFHSDSLPPSTAATSTSRKRFSESASDSKIQFTLQHAFGDSDFSDAGNFSARLKTWSHGGQTLTKLRFKRDPLTDVEKKSFQELLRGDDFYRIRLPSNVLSPPGREYIISSVKARCLPGDGLEEHFVIHMEGVNVLAVNYGAPGSCSYPRLLKLPAKWSFKSHTVLKNSEQAPRTPIFAEDALGGEEGDGEGVKPIERSFWAKYWMYLIPLGLIVMNAVTQAMNMPEDQPGGQPGAPAQQQPGSAVQRGPSSGAVRRR >Vigun03g447100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64837633:64838972:-1 gene:Vigun03g447100.v1.2 transcript:Vigun03g447100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKFPAVARSERFLGTIYSSQGSAAVEPSEFREEDVWSTPEDREGDVNASPGHWEPREWPRRRNSREQQGHRHVGGLSLAFEDPNGSSGGGGGGGGGATRIVHHQYRPQHDTVSSSPRGRHHQMATSAPVNVPDWSKILRVDSVELLHDMDDAFDDNDPEMVPPHEYLARSRQMAANSVFEGVGRTLKGRDMRRVREAVWSQTGFDG >Vigun03g304000.1.v1.2 pep primary_assembly:ASM411807v1:3:49381791:49384085:1 gene:Vigun03g304000.v1.2 transcript:Vigun03g304000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGCDSNGSMDATKFSRPMPWIGIYIAAASLACLVAAGADLILGIRGRKFWFPCKFFCLNATSLAIIAVAVKLSVDLNTPMPHRHDQLSKLSSSALICTIMANSMPTLGITENKDTMMNLMAMAILVVTMIVNICIQFVTGVIYVFWVEHAVVLLLMVILLMTMTSIACSKGYAEERNQIVNELRDQLMKIWMMTHTSSPQFVLGRSVSCTASGAFCLLSTLTLAEAILRSYLMPWSFDFCSGDSDYKWSTILVLIAQVAAVVVGTIAPAFRWFVAITYKCPNVRKKTRKRRFQVEGYWTTKLIKIKESPLGFRIRNRQYRKLCHDVKTLVMRFCIKLQVAIVLMSKATEYVSVILMCWIFTCGEQYKKLRTKLVSTVSSVSSGTEARSAPKLDLRRFVLHLEGEEELVEVMLKQNRDATIHWVQVGEKKQPKLLIELLEKKCSFLQGFKGVGAFDSDEVPSLHCTQAAYSWSLPLVTLASIAVALPNINRDSVKKLISTLNEALPFVKYIENNLDREGELSKLSSAAEIVWLGVDLYDKWLDVDLCELSLQDKRPKETLEQLADAAKARICKTALLNQELLRDNTSERVFEGLTAMISDIVGACLSNLPFVISNKCLNSTIEEREDTVRNSVYILGKTKKIIEMIEKRAFSHVNFCQGTYIEDWRLTQKQKSFYHIFPSSLENDISLESDSPTDSPASDDLCLNID >Vigun01g147600.1.v1.2 pep primary_assembly:ASM411807v1:1:32903793:32912848:-1 gene:Vigun01g147600.v1.2 transcript:Vigun01g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEIGISSPTLFSYSSSSSSGSFESLWSAILGLPWVEVVAICANLTLFFVFVFVLLVRRVVVCLGGGVRFGKDNGTGNASRSRDSVDLETRDVRIGTWFKLSVLSCFYVLLVQVFVLGFDGYALIRERDGDLGLALLSVPLVQGLAWVALSFSALRCKFKARERFPILVRVWWFVLFVVCLCGLYVDGRGVWMEGSQHLRSHVVANFAVTPALGFLCIVAIRGVTGIKVCRTSDEQQPLLVEEEPGCLKVTPYNDAGLFSLATLSWLNPLLSIGAKRPLELKDIPLVAPNDRSKTNYKLLNSNWEKLKAESTSEQPSLAWALLKSFWKEAACNAIFAGVTTLVSYVGPYMISYFVDFLVGKEIFPHEGYVLAGIFFAAKLVETMTTRQWYLGVDIMGMHVRSALTAMVYRKGLRISSLAKQSHTSGEIVNYMAIDVQRVGDYSWYLHDMWMLPLQIVLALAILYKNVGIASIATLIATIISIVVTVPVARIQEDYQDRLMAAKDERMRKTSECLRNMRILKLQAWEDRYRVKLEDMRGVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRLSGFLLEEELQEDATIALPQGITNIAIEIKDGVFCWDLSSSRPTLSGISMKVEKGMRVAVCGMVGAGKSSFLSCILGEIPKTSGEVRVCGSSAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVLQACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSDLFRDYILTALADKTVIYVTHQVEFLPAADLILVLKEGSIIQAGKYDELLQAGTDFNTLVSAHHEAIEAMDIPAHSSEDSDENLSLEASVMTSKKSICSANDIDNLAKEVQEGASTSDQKAIKEKKKAKRSRKKQLVQEEERIRGRVSMKVYLSYMAAAYKGLLIPLIIIAQALFQFLQIASNWWMAWANPQTEGDLPKVTPSVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFHAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGASTIRGFGQEKRFMKRNLYLLDCFARPFFCSLSAIEWLCLRMELLSTFVFAFCMVLLVSFPRGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPTIIEDSRPPSSWPENGTIEIIDLKVRYKENLPLVLHGVTCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTSGSILIDNINISDIGLHDLRGHLSIIPQDPTLFEGTIRGNLDPLEEHSDKEIWEALDKSQLGEVIRDKGQQLDTPVLENGDNWSVGQRQLVALGRALLQQSRILVLDEATASVDTTTDNLIQKIIRSEFKACTVCTIAHRIPTVIDSDQVLVLSDGRVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPEF >Vigun01g147600.2.v1.2 pep primary_assembly:ASM411807v1:1:32903791:32912848:-1 gene:Vigun01g147600.v1.2 transcript:Vigun01g147600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQWYLGVDIMGMHVRSALTAMVYRKGLRISSLAKQSHTSGEIVNYMAIDVQRVGDYSWYLHDMWMLPLQIVLALAILYKNVGIASIATLIATIISIVVTVPVARIQEDYQDRLMAAKDERMRKTSECLRNMRILKLQAWEDRYRVKLEDMRGVEFKWLRKALYSQAFITFIFWSSPIFVSAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRLSGFLLEEELQEDATIALPQGITNIAIEIKDGVFCWDLSSSRPTLSGISMKVEKGMRVAVCGMVGAGKSSFLSCILGEIPKTSGEVRVCGSSAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVLQACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSDLFRDYILTALADKTVIYVTHQVEFLPAADLILVLKEGSIIQAGKYDELLQAGTDFNTLVSAHHEAIEAMDIPAHSSEDSDENLSLEASVMTSKKSICSANDIDNLAKEVQEGASTSDQKAIKEKKKAKRSRKKQLVQEEERIRGRVSMKVYLSYMAAAYKGLLIPLIIIAQALFQFLQIASNWWMAWANPQTEGDLPKVTPSVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFHAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGASTIRGFGQEKRFMKRNLYLLDCFARPFFCSLSAIEWLCLRMELLSTFVFAFCMVLLVSFPRGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPTIIEDSRPPSSWPENGTIEIIDLKVRYKENLPLVLHGVTCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTSGSILIDNINISDIGLHDLRGHLSIIPQDPTLFEGTIRGNLDPLEEHSDKEIWEALDKSQLGEVIRDKGQQLDTPVLENGDNWSVGQRQLVALGRALLQQSRILVLDEATASVDTTTDNLIQKIIRSEFKACTVCTIAHRIPTVIDSDQVLVLSDGRVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPEF >Vigun01g087200.1.v1.2 pep primary_assembly:ASM411807v1:1:24519640:24526737:-1 gene:Vigun01g087200.v1.2 transcript:Vigun01g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLVVGSISIARYGGAADSSPFHSAAPSCWTNPPRRRSSLIVASSSPAAINGGQNHYAVLGVTRTATAVEIKRAYRLLARKFHPDVSKDPHSAELFKSIRHAYEVLSNEATRVQYDQELQFSHSSHREKWSYNTEFEDQVRVYRWAHLRKKMRSERYWEHYNVSEDYNSETEEEEDEENLDEERGSFIEVLRSAFLSLFLFQTFGSRLSLTFSGLTALLDQKLDTGYKIGYVIAWILGGRGGILLTLCLSFASWVCGKTSSSVVALIVVAMWVGSYLARYAPLPQGALLALLYMSIKLQSDLI >Vigun03g400800.1.v1.2 pep primary_assembly:ASM411807v1:3:60763871:60768221:-1 gene:Vigun03g400800.v1.2 transcript:Vigun03g400800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKLARVGASIAKHLCTRNCTSLCGVGYVRTGRYRSLPSPFQQHSFTKLYKGSMMERHNFLSTMTTNNTTEEGSEEKETISVTFIDKDGEENHIKVPVGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDVEHYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGFVPKPH >Vigun03g400800.2.v1.2 pep primary_assembly:ASM411807v1:3:60764517:60768125:-1 gene:Vigun03g400800.v1.2 transcript:Vigun03g400800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKLARVGASIAKHLCTRNCTSLCGVGYVRTGRYRSLPSPFQQHSFTKLYKGSMMERHNFLSTMTTNNTTEEGSEEKETISVTFIDKDGEENHIKVPVGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDVEHYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGFVPKPH >Vigun03g240400.1.v1.2 pep primary_assembly:ASM411807v1:3:40092183:40099059:-1 gene:Vigun03g240400.v1.2 transcript:Vigun03g240400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIRGMWKSRELFFSFLVLATFQLAVSDEHQPLSKVAIHETTLALDERAYIKATPNVLGLTGQNTEWVTLQYSNPKPTVEDWIGVFSPANFSASTCPAENTRVDPPFLCSAPIKYQYANFSSTSYKTTGKGSLKLQLINQRSDFSFALFTGGLTSPKLVAVSNKVSFINPNAPLYPRLAQGKTWNEMTVTWTSGYGISEAEPFVEWGPKGGNHVKSPAGTLTFDRNTMCGAPARTVGWRDPGYTHTSFLKELWPNREYIYKLGHRLFNGTVIWSEEYQFKASPFPGQNSLQRVVIFGDMGKAEADGSNEFNNYQPGSLNTTKQIIKDLKDVDIVFHIGDLCYASGYLSQWDQFTAQIEPIASTVPYMTASGNHERDWPGTGSFYGTMDSGGECGVPSQTMFYVPAENREKFWYSTDYGMFRFCIANTELDWRKGSEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSADFYVAEGSFEEPMGREDLQYLWQKYKVDIAMYGHVHNYERTCPVYENICTSKEKHNYKGPLNGTIHLVVGGGGASLADFAPINTRWSIFKDHDFGFVKLTAFDQSNLLLEYKKSSDGEVYDSFRISREYRDILACTVDNCPPTTLAS >Vigun03g240400.3.v1.2 pep primary_assembly:ASM411807v1:3:40092246:40099041:-1 gene:Vigun03g240400.v1.2 transcript:Vigun03g240400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIRGMWKSRELFFSFLVLATFQLAVSDEHQPLSKVAIHETTLALDERAYIKATPNVLGLTGQNTEWVTLQYSNPKPTVEDWIGVFSPANFSASTCPAENTRVDPPFLCSAPIKYQYANFSSTSYKTTGKGSLKLQLINQRSDFSFALFTGGLTSPKLVAVSNKVSFINPNAPLYPRLAQGKTWNEMTVTWTSGYGISEAEPFVEWGPKGGNHVKSPAGTLTFDRNTMCGAPARTVGWRDPGYTHTSFLKELWPNREYIYKLGHRLFNGTVIWSEEYQFKASPFPGQNSLQRVVIFGDMGKAEADGSNEFNNYQPGSLNTTKQIIKDLKDVDIVFHIGDLCYASGYLSQWDQFTAQIEPIASTVPYMTASGNHERDWPGTGSFYGTMDSGGECGVPSQTMFYVPAENREKFWYSTDYGMFRFCIANTELDWRKGSEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSADFYVAEGSFEEPMGREDLQYLWQKYKVDIAMYGHVHNYERTCPVYENICTSKEKHNYKGPLNGTIHLVVGGGGASLADFAPINTRWSIFKDHDFGFVKLTAFDQSNLLLEYKKSSDGEVYDSFRISREYRDILACTVDNCPPTTLAS >Vigun03g240400.2.v1.2 pep primary_assembly:ASM411807v1:3:40092229:40099049:-1 gene:Vigun03g240400.v1.2 transcript:Vigun03g240400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIRGMWKSRELFFSFLVLATFQLAVSDEHQPLSKVAIHETTLALDERAYIKATPNVLGLTGQNTEWVTLQYSNPKPTVEDWIGVFSPANFSASTCPAENTRVDPPFLCSAPIKYQYANFSSTSYKTTGKGSLKLQLINQRSDFSFALFTGGLTSPKLVAVSNKVSFINPNAPLYPRLAQGKTWNEMTVTWTSGYGISEAEPFVEWGPKGGNHVKSPAGTLTFDRNTMCGAPARTVGWRDPGYTHTSFLKELWPNREYIYKLGHRLFNGTVIWSEEYQFKASPFPGQNSLQRVVIFGDMGKAEADGSNEFNNYQPGSLNTTKQIIKDLKDVDIVFHIGDLCYASGYLSQWDQFTAQIEPIASTVPYMTASGNHERDWPGTGSFYGTMDSGGECGVPSQTMFYVPAENREKFWYSTDYGMFRFCIANTELDWRKGSEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSADFYVAEGSFEEPMGREDLQYLWQKYKVDIAMYGHVHNYERTCPVYENICTSKEKHNYKGPLNGTIHLVVGGGGASLADFAPINTRWSIFKDHDFGFVKLTAFDQSNLLLEYKKSSDGEVYDSFRISREYRDILACTVDNCPPTTLAS >Vigun02g000028.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:9043:9201:-1 gene:Vigun02g000028.v1.2 transcript:Vigun02g000028.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun11g207400.22.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.24.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.12.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.10.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.20.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.25.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40360901:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.19.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.14.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.17.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.1.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.8.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40360901:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.21.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.2.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361809:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.11.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.15.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.5.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361809:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.13.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.16.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.6.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40360994:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVIEEKNLHKVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.23.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun11g207400.18.v1.2 pep primary_assembly:ASM411807v1:11:40352138:40361783:-1 gene:Vigun11g207400.v1.2 transcript:Vigun11g207400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRTAVLSNHDVQEDMVSLKCGNKLDKHSMIQKADMRLESGTCNVCSAPCSSCMHLNRALMGSKVKEFSDENSRLGEGNQYCEADGSSPGSRACERLKHASDDTNYKPSISSTHDSRSENAENGQALSEKYQDSKCLESLDDITSCISRTSNANLGSGRQQKNTDRVNVSCSSTLVSHLEAEGSGHGPSVDMSGLLEGCMENVDSSFTKERVPIIAADAKPVADKENLNNSTAKVSVEICPKSEADMGNNVDVAKDEDRKYSAHDGLHEKVDELIKSSSRSEPQSEDEGDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLVKLPEGDWLCEECKCAEETTNQRLVISASQISGKRPSQSMEIASAAKRQALESSTGSPKASSPKRIVSLSRESSLKSMDKGKMKSGQQIPMRSHLGGDNVDLARSLSTGPRSQNPRSTLLKSNSFNNFNSKPRIKLVDEVVPQKQKGGVEHISKYIETVAGMMSKSVSFKSSHLGRSNTNDSKVKSIFAKPGIVQDLKPSRHTKESGSLDKKFQPKIDRPVIYSATVSSVVSTSKGDHKLAPHGETAKPYTVDNNREFKVNQDGKVHSLSKSMNNTGSRSPEPQVSSDRTSTSVDETQQDRMPRSQETVNQVVRTKDSSSDHVRSGVTNASKSSFCRKCKDFGHATECCSISGTLEFGPEASVITTSSSKKDMHKGNRLKDAIQAALLRRPEIHKKKEGPDETNEFPTSSIGFKREVNSENQVLVSNTMKNSISAEETNVKQEILESSSFETSKGPSANDPKQLKFCQTDLCSQLRKSEFAGLTSGKPVVRDLPNNGMAISSVLSKMSVIPEYEYIWQGVFEVHRNGKPPDLYAGIQAHLSACASPKVIETVKNFLPEVSLNEVSRLSIWPAQFHQSGAKEDNIALYFFAKDIESYERHYKGLLDHMVRNDLALRGMFDGVELLIFASNQLPEDSQRWNMLFFLWGIFRGRRISHSDSTKSACIPSLNVMPNEKDFPSAIMTLSETWCSPRRIDEESIDQGHNMPCKNFDSKGTVFGQTHLELQVKLERQDSRVNTKSTSGTQITRTQLCQEMNSTGSSLGDSEPEHKRYIQSKPPEAMGTSVSRRIVDTKTNPDISGKQETSLSSEIPSVVCQIDTASNIIKDKLLEYDENQQRPKRKQLGNDLNINEEAPGELDLEEVNFQVPTDKKIKNIDLSDTVLDASTISCRKRPLNEVNGKLEDRESSKKLQTSLGGAFGCNDSAGARVSFNGSFPSLVNNLGSCSSACDEKIIHEDLGTMERTFFPVDTRNKHNSGMVVNRDSLNGPREYVDQFEVGIPNLELALGGETKPSHKGMMPFFVGAVDKKINPEKTPDIDIERDDENVAASLSLSLSFPSSSKEHMKPVRRDETLPDAKSPFLLFGRFTDK >Vigun02g024500.1.v1.2 pep primary_assembly:ASM411807v1:2:8201096:8205182:1 gene:Vigun02g024500.v1.2 transcript:Vigun02g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGGKKTTKVMKIDGETFKLKTPVRVCDVLKDHPGLVLLESEAVKHYGVRARPLEANRELAPKRLYFLVELPREAMVAPRRVRSGINMSAKDRLESLVLARRSASDLTIMKGQGMEGAENGGVRLRMRVAKAEVERAMRGCETEAEAAEKIVGLCMAKSGEGSKPRQKRVSFMPISEGGSPIAVAS >Vigun03g213866.1.v1.2 pep primary_assembly:ASM411807v1:3:35559572:35561263:-1 gene:Vigun03g213866.v1.2 transcript:Vigun03g213866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKLEIQVTDGKKVANFMLWDQDCINLIGVSTGDLRKKMIKDGEEDPKCFPKDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSILGQTQAIEVGKRMSASESDVHTHTGSSLAMELAECGDNAACDLSADTDSSLMCLSRTADLDPDIVLCVMPKKDVCTTSNNEDIEYIPSNFMEFDLLEDMPLAQLSATKTMKTIKKEK >Vigun02g086150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24062824:24063117:1 gene:Vigun02g086150.v1.2 transcript:Vigun02g086150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFQGYELCSFISKSPSILTHSLKRTLVPSVEAIWRIVCDQKDFILVLQRCGWILPKNKRFMENVVFLERGGILGTHLALVLKLHPRLFLAPAVYY >Vigun06g040000.1.v1.2 pep primary_assembly:ASM411807v1:6:15973258:15976652:1 gene:Vigun06g040000.v1.2 transcript:Vigun06g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVIYYVLLLLLAYFLCSGSSRPQKEAVRHVNAEKEAEFTTQRDITTPITTIPNLVPITSSSPILNPNSNPDSVSPASTLPITTPNNSSIYSSSGASWCTASPTASQRALQVALDYACGYGATDCSAIQPGGTCYFPNSVRDHASYAFNKYYQKNPVPNSCNFGGVAVITNTNPSSGTCQYASTSISSSVLNTTNTSGANVFGSVPVPKDPSASAAAPATSATFLQFFFILWPLLAILEKNYV >Vigun06g040000.2.v1.2 pep primary_assembly:ASM411807v1:6:15973258:15976652:1 gene:Vigun06g040000.v1.2 transcript:Vigun06g040000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVIYYVLLLLLAYFLCSGSSRPQKEAVRHVNAEKEAEFTTQRDITTPITTIPNLVPITSSSPILNPNSNPDSVSPASTLPITTPNNSSIYSSSGASWCTASPTASQRALQVALDYACGYGATDCSAIQPGGTCYFPNSVRDHASYAFNKYYQKNPVPNSCNFGGVAVITNTNPSSGTCQYASTSSSVLNTTNTSGANVFGSVPVPKDPSASAAAPATSATFLQFFFILWPLLAILEKNYV >Vigun04g002700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:210383:212676:1 gene:Vigun04g002700.v1.2 transcript:Vigun04g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMVRGAPMYSSATLFCRRFAQFRNLSSETKQGNHDLPTENLDAKDCNFVHEICKITRTKARWEDTLLSLYPSFNFSEPSFFLLYLNHQNNAFLSLRFFHWLCSSCGFSPDQSSCNALFCALVDAGACKAAKSLLDCPGFTPEPASLEGYIQRLGRSGMVEDAVDMLKQIGFCPSVATWNACLLSCLRAGRTGSVWTLYEQMTESGVAASINVETVGYLIMAFCAENKALKGYELLRELLENGLLPENVVFNALIRGFCKERQYARVSEILHIMIAKQCNPDIFTYQEIINGLVKRKNSEGFRVFNDLKDRGYFPDGVMYTTVIKGLCEMGLPGEARKMWFEMIKKGFQPNEYTYNVMMHQYFKIGDLVEARKIFEDMCSRGYAETTVCYTTMISGLCLHGRTDEAHSLFEEMVQKGIVRDLITYNSLIKGLCKNGELVQATKLLNELLAQGLEPSVSSLNPLIKRLCEEGDTQGAIRLWKDMQDKHLQPTASTHDYIISGLCKEGHSLQGLEWLLNMPSWKLKPQEQTFEFLINSLSQEDMLDDILVVLEFMFRIGYRLKESTIHSLVSKFSMDGFHFPDLWLEKIIERN >Vigun09g035300.6.v1.2 pep primary_assembly:ASM411807v1:9:3102418:3108245:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKMVKSVCVKEEIENNLEEQEEEDVADVGSSMTLERVAAAKKFIEDHYRSQMKLIQQRKERRLELEQKLASAHVTEEEQMNQLKDLESKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVTLCREKTSGNIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHINYSI >Vigun09g035300.3.v1.2 pep primary_assembly:ASM411807v1:9:3102054:3108419:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKMVKSVCVKEEIENNLEEQEEEDVADVGSSMTLERVAAAKKFIEDHYRSQMKLIQQRKERRLELEQKLASAHVTEEEQMNQLKDLESKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVTLCREKTSGNIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHSDSAVNYSI >Vigun09g035300.1.v1.2 pep primary_assembly:ASM411807v1:9:3102418:3108419:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKMVKSVCVKEEIENNLEEQEEEDVADVGSSMTLERVAAAKKFIEDHYRSQMKLIQQRKERRLELEQKLASAHVTEEEQMNQLKDLESKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVTLCREKTSGNIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHSDSAVNYSI >Vigun09g035300.5.v1.2 pep primary_assembly:ASM411807v1:9:3102418:3108169:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKMVKSVCVKEEIENNLEEQEEEDVADVGSSMTLERVAAAKKFIEDHYRSQMKLIQQRKERRLELEQKLASAHVTEEEQMNQLKDLESKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVTLCREKTSGNIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHSNGSNYDNYYSIQFS >Vigun09g035300.4.v1.2 pep primary_assembly:ASM411807v1:9:3102418:3108419:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHSDSAVNYSI >Vigun09g035300.2.v1.2 pep primary_assembly:ASM411807v1:9:3102053:3108420:-1 gene:Vigun09g035300.v1.2 transcript:Vigun09g035300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKSEMLSRGQVEHVRAERNVLAEVASDCIVKLFYSFQDDEHLYLIMEYLPGGDIMTLLMREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKYGHMKLSDFGLCKPLDCSSLSSIHEMQILDDGNLYDTMDVDGALPNGRNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYSVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVNWKNHLKFPEEARLTPEAKDLICRLLCGVSHRLGTNGADEIKAHPWFKDVAWDRLYEMEAAFKPQVFGELDTQNFMKFDEVEQPKPTRPGSGPIRKKLLTSQDLSFVGYTYKNFAAVKGMRQSHDTGSMSPQRTSIDSTHSDSAVNYSI >Vigun10g114800.1.v1.2 pep primary_assembly:ASM411807v1:10:31861917:31864353:1 gene:Vigun10g114800.v1.2 transcript:Vigun10g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGPCHVLINSIQTLLFSLIMFFSLSFSSVHKRSKRMEYLLPLLLITIVCVTIHVLISTFKPKKPSKYPPGPRTLPIIGNILDLGNLPHQTLAKLSKIYGPIMSLKLGSTTTICISSPHVAKEVLQKNDQIFANRTIPDSVRLFDHHKLSVVWLPPSALWRTLRRVCATKVFSSQQLGSTQVCRQRKVQELMDYVKERCEKGEAFDIGEASFITVLNSISNTFFSMDFAHYTSHKSQEFKDIIWGIMEEAGRPNVVDFFPIFRLLDPQGARRRMNGYFEKLFAFFDGLIEERLRLRVLENEAKPCKDVLDSVLELMFEDNSQVTRTLVLQLFLDLFVAGVDTTSSSIEWAMAELLRNPEKLEKVREELQKVLVKGEKLEESHISKLPYLQAVVKETFRLHPPAPMLVPHKTEVDVELCDFMVPKSSQILVNVWAMGRDSSIWTNPDEFRPERFLESDLDFKGQDFELIPFGAGRRICPGLPLASRTVHIVLASLLCKYNWKLKDGEKPQDMDISEKYGITLHKAQPLLVIPIQA >Vigun03g442900.1.v1.2 pep primary_assembly:ASM411807v1:3:64523965:64527777:1 gene:Vigun03g442900.v1.2 transcript:Vigun03g442900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDNSNGQPTLAAHLPPPQEDSTDAEADEIFVPPLNFAMVDNGIFRSGFPNSANFGFLKSLRLRSVICLCPEPYPETTSEFLKDNGIRLYQFGIDGCKEPFVNIPNNTIREALKVALDVKNHPLLIHCKRGKHRTGCLVGCIRRLQRWCLSSVFDEYQRFAGAKARVSDQRFIELFDISCLKHHQLPFSCSRK >Vigun10g095951.1.v1.2 pep primary_assembly:ASM411807v1:10:28209520:28210345:-1 gene:Vigun10g095951.v1.2 transcript:Vigun10g095951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSDVSCSVHICSTQNFCSKILYAWKEDRGMGHLCALDFDGVICDSCGEIAIFALKVAKLRWPSLFDGVNSTIEDLDC >Vigun11g081800.5.v1.2 pep primary_assembly:ASM411807v1:11:24220054:24222339:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKEKGNISGQQNEQNEMSSKARNSDCTESKKIGLCLICPFVNAAKLSYQGGGKEEKAR >Vigun11g081800.7.v1.2 pep primary_assembly:ASM411807v1:11:24221726:24222260:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKVLYANQQIDKANRQK >Vigun11g081800.2.v1.2 pep primary_assembly:ASM411807v1:11:24220054:24222339:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKEKGNISGQQNEQNEMSSKARNSDCTESKKIGLCLICPFVNGGGKEEKAR >Vigun11g081800.6.v1.2 pep primary_assembly:ASM411807v1:11:24220054:24222345:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKVLYANQQIDKANRQK >Vigun11g081800.1.v1.2 pep primary_assembly:ASM411807v1:11:24220028:24222339:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKEKGNISGQQNEQNEMSSKARNSDCTESKKIGLCLICPFVNGRELSMLIT >Vigun11g081800.3.v1.2 pep primary_assembly:ASM411807v1:11:24220054:24222345:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKVLYANQQIDKANRQK >Vigun11g081800.4.v1.2 pep primary_assembly:ASM411807v1:11:24220028:24222449:-1 gene:Vigun11g081800.v1.2 transcript:Vigun11g081800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKAPLSSNDVLSSEESGWTTYFDDFFNNHVDNKCSMPLSAVASTSLVSDAASLVDKKVVSAIQVQEFSVNQKSKITSFKKRKDALTAFIDDALEDTATSSLNSPKVLYANQQIDKANRQK >Vigun07g275400.12.v1.2 pep primary_assembly:ASM411807v1:7:39080403:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTDPITMGDQKYTRSVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.3.v1.2 pep primary_assembly:ASM411807v1:7:39080342:39090854:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSLLHHSPNYPNTNNPLILSNSISLPQSSGQCRYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.8.v1.2 pep primary_assembly:ASM411807v1:7:39080386:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.2.v1.2 pep primary_assembly:ASM411807v1:7:39081006:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTRFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREGNEGKMFISGANMSRGNSTSAGDLTYVTQCLMLDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.23.v1.2 pep primary_assembly:ASM411807v1:7:39080394:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.25.v1.2 pep primary_assembly:ASM411807v1:7:39080417:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.24.v1.2 pep primary_assembly:ASM411807v1:7:39080343:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.27.v1.2 pep primary_assembly:ASM411807v1:7:39080350:39090854:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLEEHQAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.19.v1.2 pep primary_assembly:ASM411807v1:7:39080369:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.20.v1.2 pep primary_assembly:ASM411807v1:7:39080403:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.4.v1.2 pep primary_assembly:ASM411807v1:7:39080351:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.17.v1.2 pep primary_assembly:ASM411807v1:7:39083917:39090854:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.28.v1.2 pep primary_assembly:ASM411807v1:7:39080351:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLEEHQAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.18.v1.2 pep primary_assembly:ASM411807v1:7:39080394:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.21.v1.2 pep primary_assembly:ASM411807v1:7:39080417:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTAARSEELAFKGSFTNGQRGNLMNGTLDRSASFREDPITMGDQKYTRSVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.26.v1.2 pep primary_assembly:ASM411807v1:7:39080772:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.22.v1.2 pep primary_assembly:ASM411807v1:7:39080386:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTDPITMGDQKYTRSGELRRVLGISFGNTLEDYAFGTANLKSPPPVATEELKLFKASVQEASVRARYRSKRLDESLDKLNKCWEAVSLKKQLRNDLLPNERLGGSPFSKMGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.29.v1.2 pep primary_assembly:ASM411807v1:7:39080386:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLEEHQAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun07g275400.5.v1.2 pep primary_assembly:ASM411807v1:7:39080394:39090754:1 gene:Vigun07g275400.v1.2 transcript:Vigun07g275400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTHRNPSELVNQRLEDRPKNNFLNKRIRTSVAETRAEGLSHSNARQPLAIGKDRDNTKDVSKGCDIVEEKIRRLPAGGETWDRKMKRKRSMGIVVARSIDGEGELKKVTHLRLANDSGLQGSDAHGLRSGYSGSSSKLDGSSSPTPNACTAANNEREKVSRGSVDGSNKERVVLKGNKYNVCDNNHTGGIHALSKGKASRPPRTGALMAGNSCSVSRSSELHETEEQPLNVNKPHSVSGTVNRKRPLPVSSSSHMAQWVGQRPQKITRTRRANVMSPVLNCDEVHTSLEGSSPSDVGTRMSSTISGLHTSNGAINSGIQPVKVKHENMSPPTRLSESEESGAGEYNLKVKRLESNEIDESAINRSYVTSSSMLTSKNKKIPYKEEIGDDLRRQGRGGRGSSVLKSGILPMKEKLETSTLMKPIKNMKPASEKNGSKPGRPPLKKSCDRKATIRTGHPLTNNSPDISVEDDDREELLTAAKFASNASYIGCCSSFWKNLEPIFAPVSLETMSYLKHLVKTTDVDLRCLSQMLGVGSDALDRLTHTENPVSQSPLSREKDRSNQTDSKEIPLMDDMVDQHLDFSILCRQMGSEGNKVAPLYQRVLTALIIDDQIDEDIVGDGHMSFLCERDGSSQLPCFFQGVENQSNIKMEYVFNSGKVSCNGNAMHTSCANIPMKEPGVSLQIDQGSLYPETRLSMLSEDGNDGSLGMHINSCSSSFSCHFEQMSMEDKLLLELQSIGLYPEQVPDLADGDCEAINQDIMQLQKGLFQQVNKKRECFMKLIQAVERGREMEQRALEQVAMDKLVELAYKKKLATRGTSAARYGLSKVSRPVALAFMKRTLALCHKFEETGKSCFLDPVLKDALVAAPSHDNNTGSAVAANLSLGQNSQQEVAPSGYFPRKEQDVSGNLDQPSNQDFGRTGPIVNRGKKKELLLDDVGASPSLRSASTPGGAKGKRSERDRGKDSSGKNSVTKGGRSSASHTRGERKTKAKSKPKTAQLSSSGNGPLSKLMENNNSEHQLACGSNEFISSHGNRKSKTGSVPHNVSTGTEERMDITNLHELDSIELGVGNELTGPQDLDSWLLNIDDDLQDNDAIGLEIPMDDLSDLNMIL >Vigun03g295000.1.v1.2 pep primary_assembly:ASM411807v1:3:48079796:48081880:1 gene:Vigun03g295000.v1.2 transcript:Vigun03g295000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLCPLLATFLFMQTLADTSFLQSRAAYYPNSAENGTDVGACGFGSFGATVNGGDVSAASDLYRNGVGCGACYQVRCSNSAYCSGNGVTIVITDQGSSANTDFILSQRAFGGMAINKDAAASLLALGIVDIEYRRVSCSYPGKNITVKIHQSSNNPHYLAFVLWFQQGSRDITAVQLCETENFVCKLLDRSHGAVWTTTAPPSGPLALRMLFSDEEEGDESWVVPVNNIPQDWKAEETYDLGVQVNQ >Vigun07g294800.1.v1.2 pep primary_assembly:ASM411807v1:7:40550866:40553239:-1 gene:Vigun07g294800.v1.2 transcript:Vigun07g294800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNYSLESFTVESSDGVKLRTRVFKPRTQGNGNLGIVLVHPYSILGGSQGLLRGIASGLALNGYTSATFDMRGVGKSTGRPSLTGFAEVKDVVAVCNWLSNTLSLPRILLVGSSAGAPIAGSAVDQIEKVVGYVSIGYPFGITASILFGRHHKTILQSAKPKLFIMGTQDGFTSVKQLRNKLNSAAGRVETHLIDGVGHFQMEGPAYDAEMVDLIIKFIATL >VigunL001450.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:21630:21851:1 gene:VigunL001450.v1.2 transcript:VigunL001450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDNSRSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDEIYIN >Vigun05g229700.1.v1.2 pep primary_assembly:ASM411807v1:5:42306239:42309403:-1 gene:Vigun05g229700.v1.2 transcript:Vigun05g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTMADVAANTQGSATASSSLPSNFPLISAFLSFALAQFLKIFTTWYKEKRWDSKRMLDSGGMPSSHSATVSALAVAIGLQEGAGSSAFAVAVVLACIVMYDASGVRLHAGRQAELLNQIVCELPPEHPLSNVRPLRDSLGHTPFQVLAGGLLGCIIAFLMRKSN >Vigun11g062901.1.v1.2 pep primary_assembly:ASM411807v1:11:14248290:14249055:1 gene:Vigun11g062901.v1.2 transcript:Vigun11g062901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCVAVMTRCSDLALRQSQNNYAVMKVNMECMHLIQVGLILSDCHNNLPTFGTSNRFIWLFNFCEFDITRHPHAPSSIALLQCQGMNFHKNRNFGVSIDSSCNSHIHWITFHAAYDFAYMIKVLSHRFLHMQPLLPPNLGDFLQLVKFFFGQEVYDVKYLVRFCPNLCGGLDKVIELLGLDNSARKIHHAESDSLVTLHVFNEIKRL >Vigun03g137300.3.v1.2 pep primary_assembly:ASM411807v1:3:13463687:13465784:1 gene:Vigun03g137300.v1.2 transcript:Vigun03g137300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNTHNHLALAFGILGNVISFMVFMAPVPTFYRIYKRKSTEGFQSLPYLVALFSSMLWLYYAALKPADATLLITINSLGSVIETVYIVMFIVYATDDARLTVKLFMVMNVGSFALIFFVTFFTMHGTLRVVVVGWVCVSIAVAVFAAPLSIVAQVIRTKNVEFMPLNLSVFLTLSAITWFSYGFFLKDICIAVPNVLGFALGLLQMLLYAMFRNSKTKIVAKEEPMKNIVVVNPLGTSEVYPVQVLDIDKEEGVEGVEAKERPA >Vigun03g137300.2.v1.2 pep primary_assembly:ASM411807v1:3:13463687:13465784:1 gene:Vigun03g137300.v1.2 transcript:Vigun03g137300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNTHNHLALAFGILGNVISFMVFMAPVPTFYRIYKRKSTEGFQSLPYLVALFSSMLWLYYAALKPADATLLITINSLGSVIETVYIVMFIVYATDDARKLTVKLFMVMNVGSFALIFFVTFFTMHGTLRVVVVGWVCVSIAVAVFAAPLSIVQAQVIRTKNVEFMPLNLSVFLTLSAITWFSYGFFLKDICIAVPNVLGFALGLLQMLLYAMFRNSKTKIVAKEEPMKNIVVVNPLGTSEVYPVQVLDIDKEEGVEGVEAKERPA >Vigun03g137300.1.v1.2 pep primary_assembly:ASM411807v1:3:13463687:13465784:1 gene:Vigun03g137300.v1.2 transcript:Vigun03g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNTHNHLALAFGILGNVISFMVFMAPVPTFYRIYKRKSTEGFQSLPYLVALFSSMLWLYYAALKPADATLLITINSLGSVIETVYIVMFIVYATDDARKLTVKLFMVMNVGSFALIFFVTFFTMHGTLRVVVVGWVCVSIAVAVFAAPLSIVAQVIRTKNVEFMPLNLSVFLTLSAITWFSYGFFLKDICIAVPNVLGFALGLLQMLLYAMFRNSKTKIVAKEEPMKNIVVVNPLGTSEVYPVQVLDIDKEEGVEGVEAKERPA >Vigun08g165400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33766026:33768584:-1 gene:Vigun08g165400.v1.2 transcript:Vigun08g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB2A MGAYDQVSVRPLDSSRKRKSRSRGDGSRSVAETIAKWKEYNEHLYSGKDDGRSTRKAPAKGSKKGCMKGKGGPQNYECNYRGVRQRTWGKWVGEIREPNRGSRLWLGTFSTAQEAALAYDEAARAMYGPCARLNFPNISDYASVKESFKDSFLAASSSCSSTTSDTTTTTTSNRSEVCAAEDAKENVLPALDKGNHSDCHKAYEYASPTSRMKLEPKDDEAGEGIIHHAEQAENVNEDQMDFSWIDALDFNDDYSKSFSNDELFQVDELLGLIENNPVDDSGLMQGLDFGQTGFPGESNPQVDDTSSSFFYQLQNPDAKLLGSLPHMEQTPSGFDYGLDFMKTVVPEDYDGGGEEPQFLNLDDVLNHDSKEMVASKE >Vigun02g166800.6.v1.2 pep primary_assembly:ASM411807v1:2:31082951:31086562:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.8.v1.2 pep primary_assembly:ASM411807v1:2:31083071:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFEHRRPPSSNVALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKVEMQTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.3.v1.2 pep primary_assembly:ASM411807v1:2:31082952:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFEHRRPPSSNGKVFTVKKNGHRLRFSIVRVALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKVEMQTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.9.v1.2 pep primary_assembly:ASM411807v1:2:31083071:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFEHRRPPSSNVALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.2.v1.2 pep primary_assembly:ASM411807v1:2:31082738:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTWVVCFRGSFEHTQFQYHSSQRVFLLPTSIITLFFSEKHPFLFLFILHPTSIPSLALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.4.v1.2 pep primary_assembly:ASM411807v1:2:31082952:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFEHRRPPSSNGKVFTVKKNGHRLRFSIVRVALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.7.v1.2 pep primary_assembly:ASM411807v1:2:31083355:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKVEMQTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.1.v1.2 pep primary_assembly:ASM411807v1:2:31082738:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTWVVCFRGSFEHTQFQYHSSQRVFLLPTSIITLFFSEKHPFLFLFILHPTSIPSLALCCLQMRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKVEMQTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.10.v1.2 pep primary_assembly:ASM411807v1:2:31083355:31086561:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun02g166800.5.v1.2 pep primary_assembly:ASM411807v1:2:31082951:31086562:1 gene:Vigun02g166800.v1.2 transcript:Vigun02g166800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKALSEDRDVTIARGEEGEDELRSGFQGLKFDSGTGSGKKKKSIHPPIETHWDLHSSASGKKSNSSPIKSLLSLSFPFMKFRRSKSLVMILEGARDPKDKQIVESFREMLLQESLLPPKHDDYHTLLRFLRMRDFDMSKSKGMFQNYLNWRKEFRVDQLPKEFNFTEYNEVKNCYPHGYHGVDRFGRPLYIERIGMVDLNKLGQVTTFERFIKHHVSEQEKTLKLRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYLFTEIQKIDSCYYPETLNKLFIINAGSGFRMLWKAVKTFLDERTVAKIQVLGSNYLSVLLEAIEPSNLPTFLGGNCTCSDYGGCLMSDRGPWKNPEVLEMIQAVNLREEIDGKCEDVEVATEDSSMPKKVEMQTKDGDNTLSLLEETACVGSDSACTCRLAMQKIDCLEATLGDIQNKIKTLEDALQNTIVVASKEQAQHSGQPAV >Vigun10g033400.2.v1.2 pep primary_assembly:ASM411807v1:10:4448006:4449575:-1 gene:Vigun10g033400.v1.2 transcript:Vigun10g033400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKVLNLSRSKYLRKTPDFSRLPSLEHLILKDCPRLCEVHKSIGALGNLTLINLKDCTRIKNLPREIYMLKSLKTLILSGCSRIHLLEKDIVQMESLITLITENTVVKQVPFSIVSSKSIGYLSLRGFEGLSHNLFPFIIRSWMLPSMNPLSYYHSFCMDVEVNSWDDIAPLILVNLRSVLLQCETEFQLSQQVQDILVEYGVNITESHTSKQYFRSFSIGVGRWKEFFDAFSDRISKVIAGSESCDVSLPGDNDPNCLAHMGEGYSVSFTVPRDRDIKGMALCIVYLSNPEIVATEGLRSVLIVNYTNCTLHIHNHGTVSFFKYKDWEGIISNLGSGDKVEIFVIFGDGLVVRRTIVYLIFGESNDLEKESPSKKNSLIRFIKKL >Vigun06g193200.1.v1.2 pep primary_assembly:ASM411807v1:6:31001841:31006136:-1 gene:Vigun06g193200.v1.2 transcript:Vigun06g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESATKVGAAAQPTSASPSFDGTLGRHLARRLVEIGVRDVFSVPGDFNLTLLDHLIAEPSLNLVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICVVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQSVTCFQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFARDPVPFFLSPKASNQEGLEAAVEATAALLNKAVKPVIVGGPKLRVAKAQKAFMEFAEASGYPIAVMPSGKGLVPEYHPHFIGTYWGAVSTGYCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKAIIVQPNRVTIGNGPSFGWVFMADFLTALAKKVKTNTTALENYRRIYVPSGIPLKREQDEPLRVNVLFKHIQDMLSGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAATDKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTRFVEAIHNGQGKCWVAKVRTEDDLTEAIRKAVGEEKESLCFIEVFVHKDDTSKELLEWGSRVAAANSRPPNPQ >Vigun02g192600.1.v1.2 pep primary_assembly:ASM411807v1:2:32946593:32948633:-1 gene:Vigun02g192600.v1.2 transcript:Vigun02g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANSHQQNPLITPYKMGTFNLSHRVVLAPLTRQRSYNNVPQPHAIPYYSQRTSNGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNSDYQPNGQAPVSSTDKPLTPQIRSNGIDTAHFTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRLPLEVVEAVVNEIGAERVGIRLSPFAEYAECGDSNPKQLGLYMANALNKYGILYCHMVEPRMVTVGQKIECPDSLVPMRKAFNGTFIAAGGYDREDGIKAIAENKADLVAFGRWFLANPDLPKRFALNAPLNKYNRETFYVSDPVLGYTDYPFLDEDSNGVAAS >Vigun04g161300.2.v1.2 pep primary_assembly:ASM411807v1:4:38346688:38353735:-1 gene:Vigun04g161300.v1.2 transcript:Vigun04g161300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTPSRVTGGLTQSSSHSGVFFQRDGLSQSVVNSHLSSSFVNSSSTVSGAGHSNLGLVSGDMNNAVLNSVANSAPSVGASSLVTDANSALSGGPHLQRSASVNTDSYLRLPASPMSFTSNNISISGSSVIDGSSVVQQSSHQDQNVQQLQQNQQQMQGASSATSLPASQTGPSSLHMGAHVPGSFIHDPNNVSQLSKKPRPDIKQEDIMQQQQVIQQILQRQDSMQLQGRNPQLQALLQQQQRLRQQQLFQSMPQLQRVQLQQQQQQQQQQQQMQLRQQLQQQVMQPSSAVKRPYDSSVSGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSLRAKKRWCLSLYNNVGHHALGVFPPAAMDAWQCDICGSKSGRGFEATYEVLPRLNEIKFGSGVIDELLFLDLPREIRFPSGAMMLEYAKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELIPRRLVAPQVNQLVQVAQKCQSTIAESGADGVSQQDLQTNSNMVLTAGRQLAKILELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDICEEHKIGAIESLKNYPRLATASKLQMQKMQEMEQMASVHGLPTDRNTLNKLMAMNPGMNSHINSTHNMVNRGALSGSAHLALTNYQNLLMRQNSMNSSPGSNPGSSFNNSNPSPSSALQGAGPSLIPGSMQNSVGGFPGPHLPPQQQQQQQQLLQQRTLSGNGLLQQSHSQGSQGNQALQQQQMIQQLVQEMSNNNGGMQSQSLGGPNANGNLGKNALGFGGHAPSVSGGSVNVPGNNGPISRNNSFKTASNSDSSAAGGNNGFNPRTSDMPQSLHLQGMVQDIGHEFADSSFFNSDLDDNMGFGWKA >Vigun04g161300.3.v1.2 pep primary_assembly:ASM411807v1:4:38346689:38353678:-1 gene:Vigun04g161300.v1.2 transcript:Vigun04g161300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTPSRVTGGLTQSSSHSGVFFQRDGLSQSVVNSHLSSSFVNSSSTVSGAGHSNLGLVSGDMNNAVLNSVANSAPSVGASSLVTDANSALSGGPHLQRSASVNTDSYLRLPASPMSFTSNNISISGSSVIDGSSVVQQSSHQDQNVQQLQQNQQQMQGASSATSLPASQTGPSSLHMGAHVPGSFIHDPNNVSQLSKKPRPDIKQEDIMQQQQVIQQILQRQDSMQLQGRNPQLQALLQQQQRLRQQQLFQSMPQLQRVQLQQQQQQQQQQQQMQLRQQLQQQVMQPSSAVKRPYDSSVSGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSLRAKKRWCLSLYNNVGHHALGVFPPAAMDAWQCDICGSKSGRGFEATYEVLPRLNEIKFGSGVIDELLFLDLPREIRFPSGAMMLEYAKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELIPRRLVAPQVNQLVQVAQKCQSTIAESGADGVSQQDLQTNSNMVLTAGRQLAKILELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDICEEHKIGAIESLKNYPRLATASKLQMQKMQEMEQMASVHGLPTDRNTLNKLMAMNPGMNSHINSTHNMVNRGALSGSAHLALTNYQNLLMRQNSMNSSPGSNPGSSFNNSNPSPSSALQGAGPSLIPGSMQNSVGGFPGPHLPPQQQQQQQQLLQQRTLSGNGLLQQSHSQGSQGNQALQQQQMIQQLVQEMSNNNGGMQSQSLGGPNANGNLGKNALGFGGHAPSVSGGSVNVPGNNGPISRNNSFKTASNSDSSAAGGNNGFNPRTSDMPQSLHLQGMVQDIGHEFADSSFFNSDLDDNMGFGWKA >Vigun04g161300.5.v1.2 pep primary_assembly:ASM411807v1:4:38346689:38353662:-1 gene:Vigun04g161300.v1.2 transcript:Vigun04g161300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTPSRVTGGLTQSSSHSGVFFQRDGLSQSVVNSHLSSSFVNSSSTVSGAGHSNLGLVSGDMNNAVLNSVANSAPSVGASSLVTDANSALSGGPHLQRSASVNTDSYLRLPASPMSFTSNNISISGSSVIDGSSVVQQSSHQDQNVQQLQQNQQQMQGASSATSLPASQTGPSSLHMGAHVPGSFIHDPNNVSQLSKKPRPDIKQEDIMQQQQVIQQILQRQDSMQLQGRNPQLQALLQQQQRLRQQQLFQSMPQLQRVQLQQQQQQQQQQQQMQLRQQLQQQVMQPSSAVKRPYDSSVSGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSLRAKKRWCLSLYNNVGHHALGVFPPAAMDAWQCDICGSKSGRGFEATYEVLPRLNEIKFGSGVIDELLFLDLPREIRFPSGAMMLEYAKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELIPRRLVAPQVNQLVQVAQKCQSTIAESGADGVSQQDLQTNSNMVLTAGRQLAKILELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDICEEHKIGAIESLKNYPRLATASKLQMQKMQEMEQMASVHGLPTDRNTLNKLMAMNPGMNSHINSTHNMVNRGALSGSAHLALTNYQNLLMRQNSMNSSPGSNPGSSFNNSNPSPSSALQGAGPSLIPGSMQNSVGGFPGPHLPPQQQQQQQQLLQQRTLSGNGLLQQSHSQGSQGNQALQQQQMIQQLVQEMSNNNGGMQSQSLGGPNANGNLGKNALGFGGHAPSVSGGSVNVPGNNGPISRNNSFKTASNSDSSAAGGNNGFNPRTSDMPQSLHLQGMVQDIGHEFADSSFFNSDLDDNMGFGWKA >Vigun04g161300.4.v1.2 pep primary_assembly:ASM411807v1:4:38346689:38353662:-1 gene:Vigun04g161300.v1.2 transcript:Vigun04g161300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTPSRVTGGLTQSSSHSGVFFQRDGLSQSVVNSHLSSSFVNSSSTVSGAGHSNLGLVSGDMNNAVLNSVANSAPSVGASSLVTDANSALSGGPHLQRSASVNTDSYLRLPASPMSFTSNNISISGSSVIDGSSVVQQSSHQDQNVQQLQQNQQQMQGASSATSLPASQTGPSSLHMGAHVPGSFIHDPNNVSQLSKKPRPDIKQEDIMQQQQVIQQILQRQDSMQLQGRNPQLQALLQQQQRLRQQQLFQSMPQLQRVQLQQQQQQQQQQQQMQLRQQLQQQVMQPSSAVKRPYDSSVSGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSLRAKKRWCLSLYNNVGHHALGVFPPAAMDAWQCDICGSKSGRGFEATYEVLPRLNEIKFGSGVIDELLFLDLPREIRFPSGAMMLEYAKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELIPRRLVAPQVNQLVQVAQKCQSTIAESGADGVSQQDLQTNSNMVLTAGRQLAKILELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDICEEHKIGAIESLKNYPRLATASKLQMQKMQEMEQMASVHGLPTDRNTLNKLMAMNPGMNSHINSTHNMVNRGALSGSAHLALTNYQNLLMRQNSMNSSPGSNPGSSFNNSNPSPSSALQGAGPSLIPGSMQNSVGGFPGPHLPPQQQQQQQQLLQQRTLSGNGLLQQSHSQGSQGNQALQQQQMIQQLVQEMSNNNGGMQSQSLGGPNANGNLGKNALGFGGHAPSVSGGSVNVPGNNGPISRNNSFKTASNSDSSAAGGNNGFNPRTSDMPQSLHLQGMVQDIGHEFADSSFFNSDLDDNMGFGWKA >Vigun03g187600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25143042:25144117:1 gene:Vigun03g187600.v1.2 transcript:Vigun03g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDRDMAAALPSPLALPGDLVALRFTPRKTLLHLRLLLRFSSAFKIGAAPIHFAAVRSRSPDTAVSDFSRLKVVVAPAVTVKGNADAAGARGLCGSSSRDHHSSVHVTTRDHTPVQSFESPPASSLGFLLLICIGLLW >Vigun06g175400.1.v1.2 pep primary_assembly:ASM411807v1:6:29563684:29566798:-1 gene:Vigun06g175400.v1.2 transcript:Vigun06g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVLERLDFGKMGYGCKHYRRRCRIRAPCCNELYSCRHCHNDATSMLSKPLDRHELVRQDVEHVVCSVCDTEQPVAQVCTNCGVRMGEYFCSICKFFDDETGKEHFHCDDCGICRVGGRENFFHCKKCGSCYSNGLRDNHLCVENSMRHHCPICYEYLFDSLKDTAVMKCGHTMHSECYHEMIKRDQYCCPICSKSVIDMSRTWKRIDEEIEATVMPEDYQNRKVWILCNDCNDTTEVYFHIIGHKCGHCNSYNTRAIAPPVLPQ >Vigun06g003850.1.v1.2 pep primary_assembly:ASM411807v1:6:1885186:1886123:1 gene:Vigun06g003850.v1.2 transcript:Vigun06g003850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYELHDFHTIYFGYVGDSCFHITIFPSKCKPSSIARFLKRIEADQPLFNGPKLHFFIFLNPNQCNASYLDLPADFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSSKIGSGWKEFCIAHGFHQTIDLVFEVDHVKSNQNVKVLTYCNF >Vigun08g114100.7.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092024:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun08g114100.1.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092565:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSSTCPFCHLSVPSSELQWHANAHFDDNNTDYSSPSASSLHFYGGVRGRDNAVLKMDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun08g114100.4.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092565:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSSTCPFCHLSVPSSELQWHANAHFDDNNTDYSSPSASSLHFYGGVRGRDNAVLKMDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun08g114100.3.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092565:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun08g114100.5.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092565:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun08g114100.6.v1.2 pep primary_assembly:ASM411807v1:8:28088585:28092024:-1 gene:Vigun08g114100.v1.2 transcript:Vigun08g114100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKISCLVDVQRRGEFYKVERGLMTLLRDCLESEAENTRSILTCYVDHFQSLEFKDVGWGCGWRNIQMLSSHLMTQRPAAREAMFGRSGFVPDIPSLQRWLEIAWERGFDAPGAEQFNHVIYGSKKWIGATECAALLRSFGLRVRVVDFGPKKSEELYLSVPGSSVGEKDLVKSNYGRKRKAPNTYGPMDRYLSRGVAQTSCNQNAKSGPSLVHVGDAVDKEGSGNRGLYNTEKLNEGHQVLMDFVWNYFSHKSTVQFDHKRVLISEKTPLYFQHDGHSRTIVGIQVKHQRSGIPQYNLLVLDPGHRTGALERSLIEKVGWQKLIKRGVHTLKKPQYQLCYVDPGIASKEEMEKLKTIDSVFIEF >Vigun07g139900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25026565:25034760:1 gene:Vigun07g139900.v1.2 transcript:Vigun07g139900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDAMERRRVWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAHVLFNKMPERNVVSWTTMISAYSNAKLNDRAMRFLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSCIMKVGLESDVFVRSALIDVYSKLGELLEALSIFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTSLSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSTEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWIYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATHAAKKILKLDPHDTGAYVLLSNIYATSKRWNEVAEVRKAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLNQFICRLTSVGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIAIIEQRHIVIRDPIRYHHFRDGVCSCGDYW >Vigun07g139900.1.v1.2 pep primary_assembly:ASM411807v1:7:25026565:25034760:1 gene:Vigun07g139900.v1.2 transcript:Vigun07g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELIAFSRIHRLHHTVLRPAHDPASRPLLRVFSSLGGKNTDIGGRYFFCSESGDGSDQVVDARVRAAEEEYQSKASAIVSTYPRPKDYLTELELETDGKTALTGKFREKIEPKRENCPPHILRVIDEELVKLQLLEASSSEFSVTRNYLDCLTALPCGYSIQKSKHLLLLRPITLHHCSYSGNSSLVSSHFVSKETESLLNFCHQRDLPGAMHVLDAMERRRVWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAHVLFNKMPERNVVSWTTMISAYSNAKLNDRAMRFLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSCIMKVGLESDVFVRSALIDVYSKLGELLEALSIFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTSLSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSTEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWIYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATHAAKKILKLDPHDTGAYVLLSNIYATSKRWNEVAEVRKAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLNQFICRLTSVGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIAIIEQRHIVIRDPIRYHHFRDGVCSCGDYW >Vigun07g139900.2.v1.2 pep primary_assembly:ASM411807v1:7:25026565:25034760:1 gene:Vigun07g139900.v1.2 transcript:Vigun07g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELIAFSRIHRLHHTVLRPAHDPASRPLLRVFSSLGGKNTDIGGRYFFCSESGDGSDQVVDARVRAAEEEYQSKASAIVSTYPRPKDYLTELELETDGKTALTGKFREKIEPKRENCPPHILRVIDEELVKLQLLEASSSEFSVTRNYLDCLTALPCGYSGNSSLVSSHFVSKETESLLNFCHQRDLPGAMHVLDAMERRRVWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAHVLFNKMPERNVVSWTTMISAYSNAKLNDRAMRFLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSCIMKVGLESDVFVRSALIDVYSKLGELLEALSIFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTSLSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSTEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWIYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATHAAKKILKLDPHDTGAYVLLSNIYATSKRWNEVAEVRKAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLNQFICRLTSVGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIAIIEQRHIVIRDPIRYHHFRDGVCSCGDYW >Vigun07g139900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25026565:25034760:1 gene:Vigun07g139900.v1.2 transcript:Vigun07g139900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDSALNYKYIRSIQKSKHLLLLRPITLHHCSYSGNSSLVSSHFVSKETESLLNFCHQRDLPGAMHVLDAMERRRVWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAHVLFNKMPERNVVSWTTMISAYSNAKLNDRAMRFLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSCIMKVGLESDVFVRSALIDVYSKLGELLEALSIFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTSLSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSTEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWIYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATHAAKKILKLDPHDTGAYVLLSNIYATSKRWNEVAEVRKAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLNQFICRLTSVGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIAIIEQRHIVIRDPIRYHHFRDGVCSCGDYW >Vigun07g139900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25026565:25034760:1 gene:Vigun07g139900.v1.2 transcript:Vigun07g139900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGDSALNYKYIRSIQKSKHLLLLRPITLHHCSYSGNSSLVSSHFVSKETESLLNFCHQRDLPGAMHVLDAMERRRVWADAITYSELIKCCLAHGAVREGKRVHRHIFSNGYHPKTFLINTLINMYVKFNLLEEAHVLFNKMPERNVVSWTTMISAYSNAKLNDRAMRFLVFMFRDGVMPNMFTFSSVLRACERLSDLKQLHSCIMKVGLESDVFVRSALIDVYSKLGELLEALSIFREMVTGDSVVWNSIIAAFAQHSDGDEALHLYKSMSRAGFRADQSTLTSILRACTSLSLLELGRQVHVLVLKFDQDLILNNALLDMYCKCGSLEDAKFIFNRMTKKDVISWSTMIAGLAQNGFSTEALNLFESMKVKGPKPNHITFIGILFACSHAGLVNEGWIYFRSMKNLYGVDPEREHYGCMLDLLGRAGKLDDMVKLIHEMNCEPDVVTWRTLLDACRARQNVDLATHAAKKILKLDPHDTGAYVLLSNIYATSKRWNEVAEVRKAMKIRGIRKEPGCSWIEVNKQIHAFILGDKSHPQIDEINRQLNQFICRLTSVGYVPDTNFVLQDLEGEQREDSLRYHSEKLAIVFGIMSFPKEKTIRIWKNLKICGDCHKFAKLIAIIEQRHIVIRDPIRYHHFRDGVCSCGDYW >Vigun08g180500.1.v1.2 pep primary_assembly:ASM411807v1:8:35017710:35020488:1 gene:Vigun08g180500.v1.2 transcript:Vigun08g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEEGIKSLDQFLSGKTYISGDLFTKDDIKVYAAVLEKPGNSFPNAAKWYEVVSSHLASSFPGKAQGVRFSAVGAPAEAAPAKAAAAEEDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSIEMPGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEERLTVEPCNEYIQSCDIVAFNKI >VigunL055000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:8253:10108:-1 gene:VigunL055000.v1.2 transcript:VigunL055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun07g075850.1.v1.2 pep primary_assembly:ASM411807v1:7:9993440:9996156:1 gene:Vigun07g075850.v1.2 transcript:Vigun07g075850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRFSFAISSLCEAMVELKFSFGISALCEAMVDPRFSFDIQALCEAMVEPNFSFGISAFCEVMVELRFSFGISAFCETMVEPRFSFGILALCEAMVEPNFSFDISALYEAMVEFRFSFGISALYEAMVEPRFFFGNLALYEAMVKPRFSFGISSLCEAMVELRFSFGISTHCEAMVEPRFSFGISALCEAMVQPKFSFDISALYEAMVEFRFSFGISALYEAMVQFRQWSSSWFPLVSRPSTRHGRAQVFFGISALCEAMVEPRFSFDISALCEKMVQFRFSLGISTLFEAMVVFRFSFGISTLYEAMVELRFSFGISTHCEAMVKPRFSFGISALCEAMVQPRFSFDISALYEAIVEFKFSFSMSALYEAMVQFRQWLSSRLPLVFRPSTRHGRAQVFFGISALCEAMVEPRFSFDISALCKEMVQFMFSLGISALFEAMVVFRFSFGISTLYEAMVEPRFSFGISVLCEAMIELGFSFGISALYETMVEPKVSFGFSALCEAMVDPRFSFGISALCEAVVEPRFSFGISALCKPMVEPKFSFDMSALCEAMVKLRFSIGISALCEAMVKPMFSFGFLALYEARVEPRFSFDVSFLCEAMVEFKFSFRISALYEAMVEFMFSFGISALYEAMVEPKFSFCILALCEAMVEPRFSFDISALCKAMVELKFPFGTSALCEAMVERRFSFDISTLYEAMVEFKFSFGISALCEEMVDPRFSFGISTLYEAMVEPIFSFGISALYEAMVEFRFSFGISALCEAMVEPRFSFVISALYEAIVECRFSFVILTLCEALVRFRFSFDIWALYDAMVEFRFSFGI >Vigun03g171900.2.v1.2 pep primary_assembly:ASM411807v1:3:20799300:20806726:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKIWV >Vigun03g171900.5.v1.2 pep primary_assembly:ASM411807v1:3:20798850:20805213:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.7.v1.2 pep primary_assembly:ASM411807v1:3:20798901:20805223:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.14.v1.2 pep primary_assembly:ASM411807v1:3:20798914:20805111:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.13.v1.2 pep primary_assembly:ASM411807v1:3:20798884:20805184:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.9.v1.2 pep primary_assembly:ASM411807v1:3:20798881:20805111:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.4.v1.2 pep primary_assembly:ASM411807v1:3:20798888:20805228:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.3.v1.2 pep primary_assembly:ASM411807v1:3:20798866:20805226:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.6.v1.2 pep primary_assembly:ASM411807v1:3:20798867:20805214:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.10.v1.2 pep primary_assembly:ASM411807v1:3:20798972:20805213:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSTCYANVLYTGQKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun03g171900.8.v1.2 pep primary_assembly:ASM411807v1:3:20798901:20805223:1 gene:Vigun03g171900.v1.2 transcript:Vigun03g171900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADKSFGRGNRELGGTRDLINQYRLWPYYEFFCKKPLPVSISETHYLHNVVGDTKVRKGEGMELDQLCQNPQPSEKKTSLSPFDLDVLSEAFHMREMSPFHLSSKGLLNAVLKSENQSRDHEKKKKKVNDKGENCKKQKHRLHRVNNGSCIENIRVKPHDPHPLQLKNHQNKKRKTDSSKDPSASKR >Vigun08g102300.1.v1.2 pep primary_assembly:ASM411807v1:8:25381786:25384566:-1 gene:Vigun08g102300.v1.2 transcript:Vigun08g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDANEKPHAVCVPFPAQGHINPMLKLAKLLHFNGFYITFVNTHYNHKRLLKSRGLDSLNGLPSFRFETIPDGLPEPEVEGTHHVPSLCHSTSTTCLPHFRNLLSKLNNESSVPAVSCIISDGVMSFTLDASQELGIPNVLFWTSSACGFMCYVHYNELIQRGIVPLKDASDLTNGYLDTTIDWVAGIKEIRLKDFPSFIRTTDPEDIMLNFPRDECMRAEKASAIILNTFDALEHDVLEAFSSILPPVYSIGPLSFLLSNVSDKRLNAIGSNLWREEPGCLEWLDTKESNTVVYVNFGSVTVMTNEQMIEFAWGLANSNKSFVWVIRPDLVVGERAVLPQEFVTETKDRGLLSGWCPQEEVLGHPAIGVFLTHSGWNSTLESVCAGVPMICWPFFAEQQTNCRFCCKEWGIGVEIEDVERGQIERLVREMMDGEKGKEMKRKAVKWKILAKKAASGPTGSSFVQLQKLIRDVLKGKNGITII >Vigun05g100700.1.v1.2 pep primary_assembly:ASM411807v1:5:9955217:9958723:1 gene:Vigun05g100700.v1.2 transcript:Vigun05g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYALLSVYIPFSYFLSTTLHHKLRPKTHTHTHFSQFSPIPLSFCAFLLSQNMLSCQAWPEPVVRVQALAESGLSSIPSRYIKPLSQRPSTNTLPSAQTHHQHDHDHANIPVIDMEQLFSKNESVRKEGLKKMAEACSEWGFFQVVNHGVSHDLMKSTRELWRDFFNQPLEVKEEYANSPSTYEGYGSRLGVQKGATLDWSDYFFLHYMPLSVRNQAKWPAFPSSLRKVIAEYGEGVVKLGGRILQMISINLGFKEDYLLNAFGGESEIGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDDFVSGLQVRKGDEWITVKPVPNAFIINIGDQIQVLSNAIYKSVEHRVIVNSNQDRVSLAMFYNPRSDLPIEPAKELVTEERPALYSAMTYDEYRLYIRLNGACGKTQVESLTSKSSSQ >Vigun02g072200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22354975:22358460:1 gene:Vigun02g072200.v1.2 transcript:Vigun02g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLKGVLGSLTSLAATELGSFLAFRGEKEKLESMFTAIKATLEYAEEKQFSDKAIKDWVGKLKDAAYELDDILDECVYEQLLLEEEEEDVRCCVSEMVRSSSLCSFHPKHIYFRYKIAKRMKILSERLDRIATERDQLRLTSMIQEETRGVSEWRQTFSLVNEPKVYGREEDIKTIVEFLAGAASRAENLPVYPIVGQGGLGKTTLAKLIYNHRDLKDFQLKIWVCVSEDFGLERILKAIIEAASEEVCKNSGLEPMQRTLRKLLSGKRYLLVLDDVWDVMNQNWEENWQMLRSVLDCGEKGASVLVTTRFSNVAEIMGTIKHPHRLSELSEYYCWELFKHQAFGADEVELEELVVIGRKIVKKCGGVPLAAKAVGGLLRFHRNKDKWLNIMESNLLMLSSNEKSIMPVLRLSYLNLPVQLRQCFAYCAIFLKDELIKKQYLIELWIANGFISSDGRLDAEDVGDEVWSELYRRSLFQDIETDQFGKVTSFKMHDLVHDLAQFVADEEICCITDEDCAPVLFERKRIHHLSDHRWNLYSAQLDQLTSLRTYLKRTRSKELSSDVLKCYSLRLLHVNLLEELSSSIGNLKYLTYLNLSRGRFKTLPESLCKLLNLKILKLDYCQSLQKLPDGLVRLKALQQLSLKVCRSLSTLPPYIGKVNSLRSLSMYFVGEQKGFLLSELGLLKLKRDLEIKHLERVKNINDAKESNMSSKQLNNLMLRWRTVREGELEGNDKEVLEALEPCTETLQSLRVEGYQGVSFPQWMSSPFFKNLTSLELWSCTNCIKLPVLRNLPSLKRLQITEAKYVKYVQEECYDNDVGFMGLEYLTLRNLPSLIRLSSEDGENQFPCLSTLDIEDCPHFSLQGLPSLKWLRMSRNPKLKVCPGLECLPCLEDLIIMRCGEVEGLQHMTGLKKLALINVPKVSLLQELRFEDLPLLRELHIIGCYSLMRLPTNLSLSRLEVLCIKDCDPELEMRCEKENGEDWPIIAHIPHVYTRQIL >Vigun11g140500.3.v1.2 pep primary_assembly:ASM411807v1:11:34970292:34982561:-1 gene:Vigun11g140500.v1.2 transcript:Vigun11g140500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAWRIFPRPLLETILNNHAQHHRVPQPLLLHGPRGVGKTTLILQRLLPDWNKGPHLTGYVDFAEQMKVDPGPSHGPWASWSTCPPPRLSDCRKTLEHCLESMAEKGVRAGTISSQQIFTILNKWHGLTTALRQVLQSKSRATDRASPAALWERAVLAMSGQCTAAEVGRILGFGEKKNGLSVEEASYMKESVVALKLAKKVIELQQGWRANAISHMNHTGVFSRTLTHSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLKHAMVNNKLSVGGPLYHDSLIWRIIALGANERCLPVILVTSDSYYSYEAFLEFGYMEIFISRETFGWTPQEAKMHVVTDYFSLSEWKVIAEVLGPNPRHLFELYALKQSNYHLKQTEDKASTFEDIVDAYIAYLQITVVNPAMDIALELLHKFAIDVHNGKISEDRLRFGAAWRHPPQIDDPKLHKEWAKLQLMDFVQSFANTGFAVNYRSDYSEEIFDDPSVVALSQVGLLYAQRDPPFIRPISKGIQRCLVRW >Vigun11g140500.4.v1.2 pep primary_assembly:ASM411807v1:11:34970324:34982561:-1 gene:Vigun11g140500.v1.2 transcript:Vigun11g140500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAWRIFPRPLLETILNNHAQHHRVPQPLLLHGPRGVGKTTLILQRLLPDWNKGPHLTGYVDFAEQMKVDPGPSHGPWASWSTCPPPRLSDCRKTLEHCLESMAEKGVRAGTISSQQIFTILNKWHGLTTALRQVLQSKSRATDRASPAALWERAVLAMSGQCTAAEVGRILGFGEKKNGLSVEEASYMKESVVALKLAKKVIELQQGWRANAISHMNHTGVFSRTLTHSCTDWPCLLLELLSQAAEIDHFQCVSDMRCSN >Vigun11g140500.2.v1.2 pep primary_assembly:ASM411807v1:11:34970292:34983021:-1 gene:Vigun11g140500.v1.2 transcript:Vigun11g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAWRIFPRPLLETILNNHAQHHRVPQPLLLHGPRGVGKTTLILQRLLPDWNKGPHLTGYVDFAEQMKVDPGPSHGPWASWSTCPPPRLSDCRKTLEHCLESMAEKGVRAGTISSQQIFTILNKWHGLTTALRQVLQSKSRATDRASPAALWERAVLAMSGQCTAAEVGRILGFGEKKNGLSVEEASYMKESVVALKLAKKVIELQQGWRANAISHMNHTGVFSRTLTHSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLKHAMVNNKLSVGGPLYHDSLIWRIIALGANERCLPVILVTSDSYYSYEAFLEFGYMEIFISRETFGWTPQEAKMHVVTDYFSLSEWKVIAEVLGPNPRHLFELYALKQSNYHLKQTEDKASTFEDIVDAYIAYLQITVVNPAMDIALELLHKFAIDVHNGKISEDRLRFGAAWRHPPQIDDPKLHKEWAKLQLMDFVQSFANTGFAVNYRSDYSEEIFDDPSVVALSQVGLLYAQRDPPFIRPISKGIQRCLVRWLVQQRMQLNSHDLIHFVWHRLIRGRHYRHLMVQIGYK >Vigun11g140500.1.v1.2 pep primary_assembly:ASM411807v1:11:34970291:34983047:-1 gene:Vigun11g140500.v1.2 transcript:Vigun11g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKAWRIFPRPLLETILNNHAQHHRVPQPLLLHGPRGVGKTTLILQRLLPDWNKGPHLTGYVDFAEQMKVDPGPSHGPWASWSTCPPPRLSDCRKTLEHCLESMAEKGVRAGTISSQQIFTILNKWHGLTTALRQVLQSKSRATDRASPAALWERAVLAMSGQCTAAEVGRILGFGEKKNGLSVEEASYMKESVVALKLAKKVIELQQGWRANAISHMNHTGVFSRTLTHSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLKHAMVNNKLSVGGPLYHDSLIWRIIALGANERCLPVILVTSDSYYSYEAFLEFGYMEIFISRETFGWTPQEAKMHVVTDYFSLSEWKVIAEVLGPNPRHLFELYALKQSNYHLKQTEDKASTFEDIVDAYIAYLQITVVNPAMDIALELLHKFAIDVHNGKISEDRLRFGAAWRHPPQIDDPKLHKEWAKLQLMDFVQSFANTGFAVNYRSDYSEEIFDDPSVVALSQVGLLYAQRDPPFIRPISKGIQRCLVRWLVQQRMQLNSHDLIHFVWHRLIRGRHYRHLMVQIGYK >Vigun11g101500.1.v1.2 pep primary_assembly:ASM411807v1:11:29709878:29710753:1 gene:Vigun11g101500.v1.2 transcript:Vigun11g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKAMAHAGAIEVKKVLKVYKGFEGLSTLVDVGGGVGETLKLILSAYPSIKGINFDLPHMIQDAPPHPGVEHVGGDMFESVPNGDAILVKSICHNWADEDCTKLLRNCHKALPPHGKVIVLDYIIPEVPNSSDASKHSTIVDNHMLLAHGGRERTEIEFESLCKSSGFSKFHVACSDISATVGVMEFYK >Vigun07g283800.1.v1.2 pep primary_assembly:ASM411807v1:7:39750758:39751410:-1 gene:Vigun07g283800.v1.2 transcript:Vigun07g283800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFLIPCFPQILRAKLSLLRSSLSSPKIVSFSHIPQLKRQPSITLSQFYILPFLFPQWSRFWWFFTL >Vigun05g235700.1.v1.2 pep primary_assembly:ASM411807v1:5:42888909:42891128:1 gene:Vigun05g235700.v1.2 transcript:Vigun05g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGGYGHQSQYAPSAPQQPPYSSYYQTSTSNVPPPSNSSSSYNYANVSSSGSSSFPPGTPQDVITSFQMVDRDRSGFIDERELQQALSSGFHRFNLSTIRLLMFLFKNPHQPLAVGPKEFAALWSCLGQWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPGSVLQLLLSKYGDGSGRRVELCFDSFVECGMIIKGLTDKFKEKDTRYTGSATLSYDAFMTMVLPFLVSYD >Vigun05g235700.3.v1.2 pep primary_assembly:ASM411807v1:5:42888909:42891128:1 gene:Vigun05g235700.v1.2 transcript:Vigun05g235700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGGYGHQSQYAPSAPQQPPYSSYYQTSTSNVPPPSNSSSSYNYANVSSSGSSSFPPGTPQDVITSFQMVDRDRSGFIDERELQQALSSGFHRFNLSTIRLLMFLFKNPHQPLAVGPKEFAALWSCLGQWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPGSVLQLLLSKYGDGSGRRVELCFDSFVE >Vigun05g235700.2.v1.2 pep primary_assembly:ASM411807v1:5:42888909:42891128:1 gene:Vigun05g235700.v1.2 transcript:Vigun05g235700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGGYGHQSQYAPSAPQQPPYSSYYQTSTSNVPPPSNSSSSYNYANVSSSGSSSFPPGTPQDVITSFQMVDRDRSGFIDERELQQALSSGFHRFNLSTIRLLMFLFKNPHQPLAVGPKEFAALWSCLGQWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPGSVLQLLLSKYGDGSGRRVELCFDSFVE >Vigun04g081900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:12375943:12376767:1 gene:Vigun04g081900.v1.2 transcript:Vigun04g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKVTQYVRVFNHFDENGDGKISPSELRQCVEAIGGKLSWAEAEALVEVLDSDKDGLVGLDDFVRFVEEGEEEEKVKDLREAFKMYEMEGCDCITPKSLMRMLGRLGECKSIDECETMIARFDLNGDGVLSFDEFRVMML >Vigun05g016350.1.v1.2 pep primary_assembly:ASM411807v1:5:1332149:1333557:-1 gene:Vigun05g016350.v1.2 transcript:Vigun05g016350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIRCFLNMLFEIIECNATIPCRFTMNLMNTELRLKQRMLQYVGWNSPFPYDLVQRELKRYPNAEVVWCQDTLTFCPDLYLQ >Vigun02g099500.1.v1.2 pep primary_assembly:ASM411807v1:2:25455306:25470583:-1 gene:Vigun02g099500.v1.2 transcript:Vigun02g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKNHRIMMAAFNIQEALIWKEKIEYVIDQHQGAQPSNGNKYISFEYKSGMDNGKTASSSDRESQFSAQEDEDDPHPNLLRRTTIGNGPPESVFDWTREIDSDLSNQNINNQAFSRKHWRLLQCQDGLRIFEELVEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFLHGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCSPQPGCVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYLSSFQQYCVRQMLNSVAGLREWFAQSDERNAHPRIPVMVNMSSTSVSSKKNQKPNDFSVNPSSLDQMNSASRNSALIDEYSDDEEDFQIAESEQEAYQIGLENDVKRTALEEEPANEIDLSSFSGNLRRDDRDNARDCWKISDGNNFRVRSKHFCYDKSKVPAGKHMLDLVAVDWFKDSKRMDHVARRHGCAAQVASEKGFFSFVINLQVPASTHYSMVFYFVTKELVSGSLLHRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTPDELPERLIGAVRISHLELKSAIVPKLEPDPS >Vigun06g226200.1.v1.2 pep primary_assembly:ASM411807v1:6:33426749:33428184:1 gene:Vigun06g226200.v1.2 transcript:Vigun06g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYTKMEGRSLQSVIFVFLGLSIANTVGVHGQGTREGFYSRTCPRAESIVKSTVRNHLKSDPSLAAGLLRLHFHDCFVQGCDASVLIEGPQTERTALPNLSLKGFEVINDAKTQLEALCPGVVSCADILALAARDSVDLSDGPSWKVPTGRRDGRISQASEANNLPSPFESVPVLKQKFAAKGLNTQDLVVLSGGHTIGTTACLFFRQRLYNFSGNGGADPSIDPSFVSTLRAKCPQNTGAQNRVALDTGSEFEFDRSFFKNVKNGRGILESDQLLWGDPSTKTFVEDYSDSALHFKMDFANSMVKMGNIELKTGPDSEIRTVCSAIN >Vigun11g106400.1.v1.2 pep primary_assembly:ASM411807v1:11:30488930:30490544:-1 gene:Vigun11g106400.v1.2 transcript:Vigun11g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCEVPFSQLFLAILWSFSTIIISLVSVVSLPNNESVPALFVFGDSILDTGNNDYIETFFKCNFPPYGEDFGGGNQPTGRFSNGLIPSDFLAAELKIKKLLPPYLDPNLKREDLLTGVSFASGASGYDPLTNNIALVLSLSDQLDKFKEYKTKIQDMVGENTTTTIISKSIYILSSGSNDITNTFFLLPFRRLQYNISTYTDLMVSKAKEFLEDLYELGARRIGIVGLPNLGCLPSQRTLRGGFNRTCSDLENQAAMLFNNKLSSQIDILGKNFTDAKLVYLDIYKILSDMVQNATKYGFEVADKGCCGTGYFEVGGLCNFLSQICSNRSNYIFWDSFHPTEKAYNIISSEVFDRNINKFF >Vigun03g379100.1.v1.2 pep primary_assembly:ASM411807v1:3:58302865:58304029:1 gene:Vigun03g379100.v1.2 transcript:Vigun03g379100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNIKKKSKKTLGRILLKGDNITLMMSTGK >Vigun02g014200.2.v1.2 pep primary_assembly:ASM411807v1:2:5277641:5279545:-1 gene:Vigun02g014200.v1.2 transcript:Vigun02g014200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACYASYSIILIHLCTSVVVVASFGNDLIRPKFSSILVFGDSTVDTGNNNYIKTLAKGNHFPYGKDFPGHVPTGFDDLTTALTGAIAVSQQIEYFKVYVGRLKAIAGEHEAKQILRDSLVIISAGTNDFLLNFYDISTRKLEFNIDGYQDFVQSRLQIFMKELYDLGCRKFAVSGLPCIGCIPIQITKSGKIKDRKCVEDENSDAKLYNRKLTRLLPKIQAMLPGSKVVYTDIYYTLINLINQPQKYGFKETNKGCCGTGLFEVTPLCNEFTSTCDDPSKYVFWDSVHPTEVVYQYIAKYIQKEVLPMFQFHRDI >Vigun02g014200.1.v1.2 pep primary_assembly:ASM411807v1:2:5277641:5279545:-1 gene:Vigun02g014200.v1.2 transcript:Vigun02g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACYASYSIILIHLCTSVVVVASFGNDLIRPKFSSILVFGDSTVDTGNNNYIKTLAKGNHFPYGKDFPGHVPTGRFSNGKLVPDFIASVLNLKNTVPPFLHPNLSDEDLLTGVSFASGGSGFDDLTTALTGAIAVSQQIEYFKVYVGRLKAIAGEHEAKQILRDSLVIISAGTNDFLLNFYDISTRKLEFNIDGYQDFVQSRLQIFMKELYDLGCRKFAVSGLPCIGCIPIQITKSGKIKDRKCVEDENSDAKLYNRKLTRLLPKIQAMLPGSKVVYTDIYYTLINLINQPQKYGFKETNKGCCGTGLFEVTPLCNEFTSTCDDPSKYVFWDSVHPTEVVYQYIAKYIQKEVLPMFQFHRDI >Vigun02g162600.2.v1.2 pep primary_assembly:ASM411807v1:2:30770977:30773373:1 gene:Vigun02g162600.v1.2 transcript:Vigun02g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLLIPTFICLQFHLLSLASADVNIGSRISTDDNGVWRSPSGHFAFGFRALNNNTDPNTKLFMVAIWYDMIPDKTVVWSAKTDNKLATAAAGSQVRITSAGLTLAGPNGDSIWRSNVTSTVSLGSMLDTGNFNPTDTLLPTQSLQPEATLTSRLTDTNYTTGRFQLYFNNGNVLLSPLAWPSPLRYDPYYVFNASGAASTLVFNESGNIYLKTENGTHIPPRGSQWNISNLDPEVNYYRATLDFTGPGWRIMRYVPDNICTAISFNYGSGSCGYNSYCSMEDQRPTCKCPYGYSLLDPSNQHGGCQPNFTLACGADIQTPPEELYEMHASQDFNFLDGNYERIQPYSGQECQQRCLQDCVCAMAVSGGDTCWLKRFPLGWGRQQPVNDYHFVYIKTRVIRDFYPGANRDPPAPDSKKLFLLGPLVASLVLNSILFATVAFFILKKQKVNRVSEAAPSPLETNLHSFTYEKLKKATQNFQEELGRGSYGIVYKGQLEEGSCHVVAVKRLDRLVHEREKEFRTELSSIGRTCHRNLVRLIGFCDEGMNRILVYEFMSNGSLADMLFGQSKPRWSVRFGFATGIARGLVYLHEECENPIIHCDIKPQNILIDENLNPKISDFGLAKLLLSDQSRTTTMIRGTRGYVAPEWFKNVGVTVKVDVYSFGVMLLEIICSRRSVLMMEIGEEEKAVLTDWACDCFVEGRIDVLVENDEEALSDYVRLQKWVKVALWCIHEQPEMRPTMGMVMQMLEGFVEVPNPPSPSLIHSMS >Vigun03g059100.1.v1.2 pep primary_assembly:ASM411807v1:3:4837362:4837685:1 gene:Vigun03g059100.v1.2 transcript:Vigun03g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSRLFTNEVHSGWNYKASDQASFPSKVRLRGMLSGSRSWRRRRRRVLRSTLFRSKFPMEIYPLTPK >Vigun05g044800.1.v1.2 pep primary_assembly:ASM411807v1:5:3701228:3703649:-1 gene:Vigun05g044800.v1.2 transcript:Vigun05g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKDNIIGKNQMSMAEGELQATRCVKRRRREVAAAVSSGDDNNHQQLPKQHGGENSTINTTKRSSKFRGVSRHRWTGRYEAHLWDKLSWNITQKKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPISDYGKDMEIMQTMTKEEYLATLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAARAYDLAAIEYRGINAVTNFDLSTYIKWLKPGGGSTPEAKLEAHAVLEGQKVASPSNYSLTEESKSSVIHNSFFSLDSLNSPVGQENYGSKPYPFSSSKSSSPTALGLLLRSSLFRELVKKNSSVSGDEADDGEVTKGEQPQIGSDDDLGGIFFDSIGDIPFVCDPNRYNLELQERDLHSIF >Vigun01g218800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39272530:39274731:-1 gene:Vigun01g218800.v1.2 transcript:Vigun01g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIILLHLPPLTITILTVFLKIQTTYSSPQAPLILPLISQTLPHGFVSVPTPSSRKLSFHHNVTLTVSLTVGTPPQTVTMVLDTGSELSWLHCKKNQNINSIFNPQLSSSYTPTPCTSTVCTTRTRDFPIPVSCDPRKLCHATVSYADLTSIEGNLATDTFSISGSGQPGIIFGCMDSGFSSNAKEDSKTTGLMGMNRGSLSFVTQMGFPKFSYCISGHDASGVLLFGDAKLTWLGPLKYTPLVKMNTPLPYFDRVAYTVRLMGIRVGSKPLQVPKSIFAPDHTGAGQTMVDSGTQFTFLLGSVYTALKNEFLEQTKGVLTLLEDPNFVFEGAMDLCFRVRGGVVPTVPAVTMVFEGAEMGVSGERLLYRVGDDVAKGKDVYCFTFGNSDLLGIEAYVIGHHHQQNVWMEFDLVNSRVGFADTRCELASRRLGMGP >Vigun07g278500.1.v1.2 pep primary_assembly:ASM411807v1:7:39344514:39354031:-1 gene:Vigun07g278500.v1.2 transcript:Vigun07g278500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKAVENAEVKKEKHRANGSDRSVKSEERGHGRSSKHKSGEKDDEDVELHARHSKSGEDSRHYERKRERSSSRHGLQYRDGEKEKDQHRSSGGSRDRKRDRDRDHENNDRKRGRERERDRERDQERDRRDRGSESEQEHRSRSRSERHRSDLDDRDRETILDKDREISRDKDFGDRERARETRERIRESRRHKEKKEEATQPDADPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAVGGSTGLIGPYSGGARRLYVGNLHISMSEADIRKVFEAFGQVELVQLPLDESGHCKGFGFVQFARLEDARNAQSLNGQLEIGGRTIKVSAVTDQTGMQEVGGNTGDFDDDDEGVGLSLNACSRAILMQKLDRSGTASSMVGSLGNSVVNNTGLNLPATGNILGAVPAGSPLSSIPAVSGLAGGGLQIPMATIPTIDTIGVPSECLMLKNMFDPKDETEPDFDLDIKEDVEAECSKFGTLRHIYVDKKSAGFVYLRFEETHSAISAQRSLHGRWFAGKMITASFMVPQSYEDKFPDSR >Vigun07g278500.2.v1.2 pep primary_assembly:ASM411807v1:7:39344514:39354031:-1 gene:Vigun07g278500.v1.2 transcript:Vigun07g278500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKAVENAEVKKEKHRANGSDRSVKSEERGHGRSSKHKSGEKDDEDVELHARHSKSGEDSRHYERKRERSSSRHGLQYRDGEKEKDQHRSSGGSRDRKRDRDRDHENNDRKRGRERERDRERDQERDRRDRGSESEQEHRSRSRSERHRSDLDDRDRETILDKDREISRDKDFGDRERARETRERIRESRRHKEKKEEATQPDADPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAVGGSTGLIGPYSGGARRLYVGNLHISMSEADIRKVFEAFGQVELVQLPLDESGHCKGFGFVQFARLEDARNAQSLNGQLEIGGRTIKVSAVTDQTGMQEVGGNTGDFDDDDEGVGLSLNACSRAILMQKLDRSGTASSMVGSLGNSVVNNTGLNLPATGNILGAVPAGSPLSSIPAVSGLAGGGLQIPMATIPTIDTIGVPSECLMLKNMFDPKDETEPDFDLDIKEDVEAECSKFGTLRHIYVDKKSAGFVYLRFEETHSAISAQRSLHGRWFAGKMITASFMVPQSYEDKFPDSR >Vigun09g109600.1.v1.2 pep primary_assembly:ASM411807v1:9:23314177:23315409:1 gene:Vigun09g109600.v1.2 transcript:Vigun09g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENADTNSEGENDAEMKLLRDKFRLSAIAITESQAKQNGMTVSKVVVTCIADLAFKYTERVAKDLHLFAQHANRKSVNMEDVILCAHRNEHLSGLLRTFSNDLKAKDPQSERKRKKEIKKNDK >Vigun09g109600.2.v1.2 pep primary_assembly:ASM411807v1:9:23314177:23315409:1 gene:Vigun09g109600.v1.2 transcript:Vigun09g109600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENADTNSEGENDAEMKLLRDKFRLSAIAITESQAKQNGMTVSKVVVTCIADLAFKYTERVAKDLHLFAQHANRKSVNMEDVILCGMNIYLAC >Vigun09g231200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40275018:40276447:1 gene:Vigun09g231200.v1.2 transcript:Vigun09g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHFVCGSFQHQEEDVAPPCSSPKRSKRRKESRNNNPYSDRGLDKFSALLADLDERRQKVYSQTSPQEISLVRFAYSSNDDFVPIVVKLKNKDQNKKHNSEELKMTHMTSFSEQLEKSAEEATVEERKQHNKKSESHKKKNFRFSWNMLKWPSFYVPAVVILILVFLIVFGRSVATLCTCVVWYVVPTLSEFYYDSSKPRKSVMNWKKRDYVWGWLNDTKMVNPEELGSPRRRGDFNGKSSGKHGHQKSW >Vigun03g164300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18638044:18641136:1 gene:Vigun03g164300.v1.2 transcript:Vigun03g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLSQLVAREANLLYGVEDRIQSLQHELQMINDLLNTSKNKKGTAHTILNQIRDVAHVAEDVIDTFVAKVSIYKRRTILGKMLRGFGQARLLRDVAEKIDKIKATLTEIRNNKDKYDAFKETSNQSAAEEEEEEKRAKSVQKLRRNVEEEDVVGFVHDSKDVINRLLEGGSNRKAVSIVGMGGLGKTTLARKVYHSSQVKQRFVCRAWVYVSNECRSKELLIGLLKHLMPNFEQQGRGKEKGKKSAGDINDLSEEELKKLVRNCLEWKRYLVVVDDLWKKQDWDEVQDAFPDNNRGSRILITSRLKEVALHAAHDVPHYLQFLNEEESWKLFRRKVFRGEDCPSDLEALGKQMVQSCRGLPLSIIVLAGLLANKKKSHREWSKVVGHVNWYLTQDETQVKDIVLKLSYDNLPRRLKPCFLYLGLFSEDFEIPVTPLLQKCVAEGFIQDTGSRDLDDVAEDYLYELIDRSLVQVAKVDTNGDVTAIRVHDLLRDLCILESKEDKVFEVCTDHNILIPAKPRRLSIHSNMDHYISSSNNDHSCVRSLFFFGSYYFFQSWEWKWLFERLKLVRVLDFGLNTSNKIPSDIGNFIHLRYLRIQALSITFVPNSILNLWNLQTIDLGPWKHRVPISFPAQIWKLKHLRHLNTTRAIKLRGSCSGSDERMWNLQTVSTLVLNSQATSLIEKGTFPNVKELGLTVISECEGELPKLLHSLQQLSYLNMLVIVLRDRDDAGVEHSSDESVKRNNGFKPQELLRNLGQLNCLTILTIENALDLLTCALTFPPNVTELTLSDIDCISDEGMNGLGKHTKLKILRLCGDNITSSGDSIVLNCGRGSFPQLEVVEMENLKLGKWKLDNGAMSRLQNVMINSCEWLEDLPNELWSLSGLKKVHVKNPSVQMARMLENLEINSGCQLVIEN >Vigun04g204100.1.v1.2 pep primary_assembly:ASM411807v1:4:42685896:42695112:-1 gene:Vigun04g204100.v1.2 transcript:Vigun04g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMRLRLSNSDTRSLIRLSSYLYSYFRTPLDFISLSTSSRASSTATNLFTSPWSSSQRRGIKVSGSDIRVGNIIGKQGRIYEVLKVDHSHEGRGKATIKVELRDIGQGNKITQRMGTDDDIERVYVQEKTFMFMCMDHDGTVVLMDPDSLDQMEVSKDLFNKDCLYLRDEMKVRVQFYDDKPLSASVPKRVTCIVKEVISATTRNKKVVLDNGLAVEVPPHIVAGDAIVVNTEDDSYIERAKS >VigunL052525.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:15958:16623:1 gene:VigunL052525.v1.2 transcript:VigunL052525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRAGITFAQVLHGEQRLEIHRPLPAEATVVGQASVDGLFDKGAKGAVLLLKRELRDQADGALLATVRSSAFLRADGGFGGSSEGQPAPHPTPDREPDLSLTFKTRDDQALIYRLSGDFNPLHADPVMAAAAGFPRPILHGLCSYGVAGRMIVKALCDGDPDRLARLDVRFSTPVFPGETLVTDIWREEPGRAAFRVRVAEREVVAISNGRADFRPAAS >Vigun10g185400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40165115:40167599:1 gene:Vigun10g185400.v1.2 transcript:Vigun10g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNVFAASSLRLSEGQNLLFRSFPVLPKLTKSNMVPRASKDVPTSFRYPPMTKKPPWWWRSLACLPYLMPLHETWMYAETAYNLHPFLELFEFYTYPFLMAIGSLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLSLYWGKMGMHFWTAVSFGYLFTVLECIRCALVGMYADIPFICDAAYIQIPYD >Vigun10g185400.1.v1.2 pep primary_assembly:ASM411807v1:10:40165115:40167599:1 gene:Vigun10g185400.v1.2 transcript:Vigun10g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNGCILSQGCAPVNSSSRNHVLPSSSVVRCASRMSAKVAASITRSFSRHNLECKSSFPRGMALSNVFAASSLRLSEGQNLLFRSFPVLPKLTKSNMVPRASKDVPTSFRYPPMTKKPPWWWRSLACLPYLMPLHETWMYAETAYNLHPFLELFEFYTYPFLMAIGSLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLSLYWGKMGMHFWTAVSFGYLFTVLECIRCALVGMYADIPFICDAAYIQIPYD >Vigun07g215400.1.v1.2 pep primary_assembly:ASM411807v1:7:33684622:33685976:-1 gene:Vigun07g215400.v1.2 transcript:Vigun07g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIILVTSLNFVLAYRLWNRTQDIKFVVRDCFINTNGTQYLKNVSFVFCLQCGHCTKFDVPASTVDSPTTPLTCTREHEEFRLSEKVPGNGRGNRRQRIQVGWITGFVTRLINKFGVSRGSLSSH >Vigun04g082500.2.v1.2 pep primary_assembly:ASM411807v1:4:12576942:12587948:-1 gene:Vigun04g082500.v1.2 transcript:Vigun04g082500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDTDPKSGFNRVSRTFHSLRPPFPLPPPDAAVSAVSYTLSLRRNSPFPDSVTAFVDAATGHSISYEELIYRAETLAANLATVFKLSKGDAAFVLSPNLIQVPILYFALLSLGVIVSPANPLSTRSDLTRLFHLSKPAIVFTVTSIAEREYGIPTVLLDSPEFDSLTQSKIHNPSPLASPARVNQSDLAAILYSSGTTGMVKGVMLTHRNLTALAAGYDAVRVKRKEPAVFLFTMPFFHVYGFSFGFKAMVLSDTVVIMERFNLKGMLSAVERFRVTNLAVVPSLLVALTKDDVTNEYDLTTLEGIACGSAPLGKDTAEAFKAKFPNVVLIQVAPAELEKWLLSHPEINDAAVISYPDEEAGQVPMAIVVRQSQSSITEAEIIDFVAKQVAPYKKIRRVAFVDAIPKNALGKILRRDLKKLVTSRL >Vigun04g082500.1.v1.2 pep primary_assembly:ASM411807v1:4:12576942:12587948:-1 gene:Vigun04g082500.v1.2 transcript:Vigun04g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDTDPKSGFNRVSRTFHSLRPPFPLPPPDAAVSAVSYTLSLRRNSPFPDSVTAFVDAATGHSISYEELIYRAETLAANLATVFKLSKGDAAFVLSPNLIQVPILYFALLSLGVIVSPANPLSTRSDLTRLFHLSKPAIVFTVTSIAEREYGIPTVLLDSPEFDSLTQSKIHNPSPLASPARVNQSDLAAILYSSGTTGMVKGVMLTHRNLTALAAGYDAVRVKRKEPAVFLFTMPFFHVYGFSFGFKAMVLSDTVVIMERFNLKGMLSAVERFRVTNLAVVPSLLVALTKDDVTNEYDLTTLEGIACGSAPLGKDTAEAFKAKFPNVVLIQGYGLTESCAGVVRTSPEDAKQVETTGKLLPGVEAKIVNPDTGEAMLPGEQGELWLKSPTIMKGYVDDLEATSATLVNGWLRTGDLCYFDNDGFLYVVDRLKELIKYKGYQVAPAELEKWLLSHPEINDAAVISYPDEEAGQVPMAIVVRQSQSSITEAEIIDFVAKQVAPYKKIRRVAFVDAIPKNALGKILRRDLKKLVTSRL >Vigun04g082500.3.v1.2 pep primary_assembly:ASM411807v1:4:12576942:12587948:-1 gene:Vigun04g082500.v1.2 transcript:Vigun04g082500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDTDPKSGFNRVSRTFHSLRPPFPLPPPDAAVSAVSYTLSLRRNSPFPDSVTAFVDAATGHSISYEELIYRAETLAANLATVFKLSKGDAAFVLSPNLIQVPILYFALLSLGVIVSPANPLSTRSDLTRLFHLSKPAIVFTVTSIAEREYGIPTVLLDSPEFDSLTQSKIHNPSPLASPARVNQSDLAAILYSSGTTGMVKGVMLTHRNLTALAAGYDAVRVKRKEPAVFLFTMPFFHVYGFSFGFKAMVLSDTVVIMERFNLKGMLSAVERFRVTNLAVVPSLLVALTKDDVTNEYDLTTLEGIACGSAPLGKDTAEAFKAKFPNVVLIQGYGLTESCAGVVRTSPEDAKQVETTGKLLPGVEAKIVNPDTGEAMLPGEQGELWLKSPTIMKGCSCGVRKMALVSPRDK >Vigun06g058700.1.v1.2 pep primary_assembly:ASM411807v1:6:18500384:18504557:1 gene:Vigun06g058700.v1.2 transcript:Vigun06g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFASQHLLPKFLEAVKMVRDLPKEVAEVTDELESFQEFIHEANKVAEAEEDNSKLNRIRKRLMRLREASFRMEDVIDDYLICDEKQSEEDPRCAALLCEAVEFIKSQIHRLQIAYQIQDVKSLVRAERDGFKNHFPIEPRSDSSRGKENFTWHKFRMDPLFIKEHEVVGLEGPTQTLKKWLTEGREERTVISIVGMAGLGKTTLSKQVFDKVHKHFECHVLITVSRPYAVEELLRTMMNELCKEIKEDPPRDISTMNQLSLIKEVRNRLCNKRYVVLFDDVWNETFWNDIELAMIDDKNGSRILITTREEKVAEFCKNCLLYRLQTLSKEKSLELLYKKAFGYGFHGRCLEDYEEAGLGIVRKCGYLPLAIVAIGSLLYRKCKSPSDWALFSQNLSLELESNFELDSVKKILSLSYDDLPQNLRSCLLYFGMYPEDYEVKCGRLIQQWIAEGFVKHELERNLEEVAEQQLMELISRSLVLVASFTIDGKVKACRVHDSMHEMIRGKIKNTGFCEYIDGHNHLDSSGITRRLTVATSSNDLSGSMEESEHVRSILIFANETEDFTSRLLGKYIRLKVLDFESAPLYEVPENLGSLIHLKYLSFRSTFIRSLPKSIGRLQNLETLDVRTKGEVQVPKEITKLRKLRHLLSRSISSTSLKNSLGSMTSLEKMYKLAIDEDGVVIRELGKLNQLRDLRLDDVRGDHVDTLCSSINEMPLLERLDIYVQYGAVIDLHITLSLCKLRKLHLFGSLKEFPNWISRLQSLVKLSLNQSRLTNIPLKSLGNMPNLLFLCFDAYSYEGETLHFQGFQKLKELRLKSLPQLSSIFIDSGALQSLEKLLIMNIRQLKAVPSGIQHLEKLQVLEIFHMPIEFLQSINPNGGEEHWMIQHVPHVSFVAKNSAMLFAEIAAGIFSSTLYRGVQT >Vigun06g058700.2.v1.2 pep primary_assembly:ASM411807v1:6:18500384:18504558:1 gene:Vigun06g058700.v1.2 transcript:Vigun06g058700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFASQHLLPKFLEAVKMVRDLPKEVAEVTDELESFQEFIHEANKVAEAEEDNSKLNRIRKRLMRLREASFRMEDVIDDYLICDEKQSEEDPRCAALLCEAVEFIKSQIHRLQIAYQIQDVKSLVRAERDGFKNHFPIEPRSDSSRGKENFTWHKFRMDPLFIKEHEVVGLEGPTQTLKKWLTEGREERTVISIVGMAGLGKTTLSKQVFDKVHKHFECHVLITVSRPYAVEELLRTMMNELCKEIKEDPPRDISTMNQLSLIKEVRNRLCNKRYVVLFDDVWNETFWNDIELAMIDDKNGSRILITTREEKVAEFCKNCLLYRLQTLSKEKSLELLYKKAFGYGFHGRCLEDYEEAGLGIVRKCGYLPLAIVAIGSLLYRKCKSPSDWALFSQNLSLELESNFELDSVKKILSLSYDDLPQNLRSCLLYFGMYPEDYEVKCGRLIQQWIAEGFVKHELERNLEEVAEQQLMELISRSLVLVASFTIDGKVKACRVHDSMHEMIRGKIKNTGFCEYIDGHNHLDSSGITRRLTVATSSNDLSGSMEESEHVRSILIFANETEDFTSRLLGKYIRLKVLDFESAPLYEVPENLGSLIHLKYLSFRSTFIRSLPKSIGRLQNLETLDVRTKGEVQVPKEITKLRKLRHLLSRSISSTSLKNSLGSMTSLEKMYKLAIDEDGVVIRELGKLNQLRDLRLDDVRGDHVDTLCSSINEMPLLERLDIYVQYGAVIDLHITLSLCKLRKLHLFGSLKEFPNWISRLQSLVKLSLNQSRLTNIPLKSLGNMPNLLFLCFDAYSYEGETLHFQGFQKLKELRLKSLPQLSSIFIDSGALQSLEKLLIMNIRQLKAVPSGIQHLEKLQVLEIFHMPIEFLQSINPNGGEEHWMIQHVPHVSFVAKNSAMLFAEIAAGIFSSTLYRRND >Vigun06g058700.3.v1.2 pep primary_assembly:ASM411807v1:6:18500384:18504557:1 gene:Vigun06g058700.v1.2 transcript:Vigun06g058700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFASQHLLPKFLEAVKMVRDLPKEVAEVTDELESFQEFIHEANKVAEAEEDNSKLNRIRKRLMRLREASFRMEDVIDDYLICDEKQSEEDPRCAALLCEAVEFIKSQIHRLQIAYQIQDVKSLVRAERDGFKNHFPIEPRSDSSRGKENFTWHKFRMDPLFIKEHEVVGLEGPTQTLKKWLTEGREERTVISIVGMAGLGKTTLSKQVFDKVHKHFECHVLITVSRPYAVEELLRTMMNELCKEIKEDPPRDISTMNQLSLIKEVRNRLCNKRYVVLFDDVWNETFWNDIELAMIDDKNGSRILITTREEKVAEFCKNCLLYRLQTLSKEKSLELLYKKAFGYGFHGRCLEDYEEAGLGIVRKCGYLPLAIVAIGSLLYRKCKSPSDWALFSQNLSLELESNFELDSVKKILSLSYDDLPQNLRSCLLYFGMYPEDYEVKCGRLIQQWIAEGFVKHELERNLEEVAEQQLMELISRSLVLVASFTIDGKVKACRVHDSMHEMIRGKIKNTGFCEYIDGHNHLDSSGITRRLTVATSSNDLSGSMEESEHVRSILIFANETEDFTSRLLGKYIRLKVLDFESAPLYEVPENLGSLIHLKYLSFRSTFIRSLPKSIGRLQNLETLDVRTKGEVQVPKEITKLRKLRHLLSRSISSTSLKNSLGSMTSLEKMYKLAIDEDGVVIRELGKLNQLRDLRLDDVRGDHVDTLCSSINEMPLLERLDIYVQYGAVIDLHITLSLCKLRKLHLFGSLKEFPNWISRLQSLVKLSLNQSRISETKGTTAQKFTAIELHLY >Vigun10g024750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2973978:2974277:1 gene:Vigun10g024750.v1.2 transcript:Vigun10g024750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQREKLRIVVFPWLAFGHIGPFFELAKLIAQKGHKISFISTPRNIHRLPKVPENLQPMVDLIQLSLPRVDKLPENAEATVDIPHHLIPHLKQAFDGL >Vigun04g167300.1.v1.2 pep primary_assembly:ASM411807v1:4:39198813:39202212:-1 gene:Vigun04g167300.v1.2 transcript:Vigun04g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFCFFCGCVAQSSVGVVEQWGRFHRLAQPGFHFFNPLAGECLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVIKENADDAFYELQNPQEQIQAYVFDVTRAIVPRMNLDELFEQKGEVAKAVLEELEKVMGEYGYNIEHILMVDIIPDPSVRKAMNEINAAQRMQLASEYKGEAEKILLVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTNAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDVGEQIRNGLMEAASAQVNVED >Vigun07g221300.1.v1.2 pep primary_assembly:ASM411807v1:7:34399021:34405556:-1 gene:Vigun07g221300.v1.2 transcript:Vigun07g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKRVKQYAQQIQLETLDRRHVLKDFSRMLDNQIEKTVLFLLEQQGLLASRIAKLGEEHEVIQQEPRLNRIAELREAYRAVGQELLKLLFFVEVNAVGLRKILKKFEKRLGYKFTDYYVKTRANHPYSQLQQVFKHVGVGAVVGALSRNLHELQKNEESQGSFLSIYDQPTLPLQDPVIDSIRAAVDRLSNSTNFLNFLGKHALIVDEELTIPVDEHVDDQKYHFMSLFLNLANTFLYMVNTYIIVPTADDYSMSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFLGNAMYAMAYDLNSIWVLLFGRLLCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQIDFRIFNITFNQDTLPGWVMTVAWLLYLAWLSIAFKEPSRGIEENQTPHQSNTENSALEKGLRHPLLTSSENKVDENADQDNDDSEEAPKESRQPANSIGAAYRLLTPCVKVQLLIYFMLKYAMEILLSESSVVTTYYFNWTTSTVSVFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVFLGILFSFNVIVPYSEPQYVCSGLLMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITLAGYVGVSRLLNITLIPSFFICVVSIFATCYTYNSLY >Vigun08g053350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6404448:6405038:-1 gene:Vigun08g053350.v1.2 transcript:Vigun08g053350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAKYHVQTINYKNYTVRVVDPALQLHNCSSLPLHSLSRSNFSDTYYNPYYTDTYQAVLNAYDNEESLTLIFEHIVFVNCKHSVRENVKYVKTEERVKWDSKGYAYAVGGDLKAADLEVGCDIKLVALTSLRTFNNHSYTSMHSSLAYGFEISWVKLACQNCLLSSTYCYFDSSIQELQYCNLDEWILKQVSHTN >Vigun09g217100.1.v1.2 pep primary_assembly:ASM411807v1:9:39092252:39095266:-1 gene:Vigun09g217100.v1.2 transcript:Vigun09g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTASSAHWTSDAVHGGSLRPVDLDSGTNGWASPPGDLFLLRSRNFFTKRQKSPAGDYLLAPAGMDWLKSQSKLDNVLARPDNRVSQALRQAQAEGKARKSFIFAVNLQVPGKEHHSAVFYFFTDEPVPSGSLLGRFIEGDDAFRNQRFKLVNRIVKGPWIVKKTVGNYSACLLGKALTCNYHRGPNYFEIDVDIGSSAIANAILRLALGYVTSVTIDMGFVVEALAEEELPERLIGAIRVCQMEMSAATVVDAPNAPRGNKVNHLSGTKHKQLFYFLC >Vigun04g083200.2.v1.2 pep primary_assembly:ASM411807v1:4:12916867:12924184:1 gene:Vigun04g083200.v1.2 transcript:Vigun04g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIRQLLRRKLQSCSSSSFTSPVISKNDGANSTGSNSLRAFALVGAGVTGILSFSTTALADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Vigun04g083200.1.v1.2 pep primary_assembly:ASM411807v1:4:12916918:12924126:1 gene:Vigun04g083200.v1.2 transcript:Vigun04g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIRQLLRRKLQSCSSSSFTSPVISKNDGANSTGSNSLRAFALVGAGVTGILSFSTTALADEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATESQMGKDIVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Vigun06g164100.1.v1.2 pep primary_assembly:ASM411807v1:6:28630260:28632857:-1 gene:Vigun06g164100.v1.2 transcript:Vigun06g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKERTQKSRIEGQDKNDRLCCYVKAENECMCCFVLFHWPFQRNERNPNKEAMTGTLVKRVMKSLCAAHGMRSSSSLAKVKVGTDILCIAPNVSLQRARTWDQSVASNFLTTPLVDIFKDKKVVIFGIPGAFTGVCSEEHVPTYMDNADKFKAKRVDFVICVAINDPYTMNEWAEKLQGKDTIEFYGDFDGSFHRSLKLVTDLSNVLLGTRSERWSAYVVDGVIKALNVEEDPSVVTVSAAQTILEQI >Vigun10g172700.1.v1.2 pep primary_assembly:ASM411807v1:10:39140684:39144275:1 gene:Vigun10g172700.v1.2 transcript:Vigun10g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGFVFNQQHQQQQQQQQVVEENMSNLTSASGEASASSGNRTEIGTSYPQQYLPPPPTTQTQQPPKKKRNLPGNPDPDAEVIALSPKSLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEANCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITGTANNNQLLPPQPSSSSSHHHHQHHHHTNNNNMTLQTQFNPQNLHAFSLKKEQQSFNLRPEMPPWLGPPSEMFSPSPHQENPNPSLGPTLAAYQTVPNPSPHMSATALLQKAAQMGATMSRSGSSPAMARAHHQVHMTSSPDSATAHFGFNLSSRDQHNTTTTTSTTPTTVTTNTATVFSHGFLSSSSSPLGNKAAAATVSSAPSLLHDVINSFSSPSAFQGTPFEDAFGGIQSSKKLDDDDSLYLHDTFSKTSGAAGNEGLTRDFLGLRPLSHNDILTIAGIGNCIHDQQNQSQKPWQG >Vigun01g104700.1.v1.2 pep primary_assembly:ASM411807v1:1:27517468:27521798:1 gene:Vigun01g104700.v1.2 transcript:Vigun01g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSFTMIATIIIVLAQTLVGVTSLPEADKISTLPGQPHVKFQQYSGYITVDDQHQRALFYYFVEAQKHPTSKPVVLWLNGGPGCSSVGVGALIENGPFRPRDNNVLEKNHYSWNIVANVLYLESPAGVGFSYSSNKSFYTLVTDEITARDNLVFLQRWFIEFPEYSNNAFFITGESYAGHYAPQLAQLIVQTKSKINLKGIAIGNPLMEFDTDLNSKAEFFWSHGLISDSTYDLFTKVCNYSTIRRQMISGNLSEICENIDTLVFTEVSNYIDQYDVTLDVCLSSVNGQAYVLNQMQKIQKIDVCVDDKAVNYLNRKDVQEALHAKLVGVSKWSICSKVLHYDRTNLEIPTISILGSLVNSKIRVLVYSGDQDSVIPLMGSRSLVNGLAKELGLNTTGAYRAWFEGKQVGGWTQVYGDILSYATIRGASHEAPFSQPKRSLQLLKAFLEGKPLPAVK >Vigun01g097100.1.v1.2 pep primary_assembly:ASM411807v1:1:26329785:26332626:-1 gene:Vigun01g097100.v1.2 transcript:Vigun01g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKIFLIYLTFFTLCCYADQRSTLHINATEGAGRKIPDTFFGAFFEEINHAGAGGLWAELVRNRGFEEGGSTVPSNIYPWTMVGEESTIEISTDRSSCFDRNKVALRMNVLCHSSHAVGISNPGFWGMNIEKAHKYKVVFFVKASGSIDLDVSFVGSDNTKLASNSIRSFDHNVTEWTRMETVLLAKATDHNASLQITTRKKGVLWLDQVSAMPLDTFKGHGFRKDLAQRVADLKPTFFRFPGGCYVEGGYMRNAFRWKDSVGAWQERPGHFGDVWGYWTDDGFGYFEGLQFSEDIGALPVWVFNDGLSLTDEVDTSMIAPFIQEALDGLEFAKGSADSKWGSLRASMGHPDPFDLRIVAIGNEECAMSKYRENYLKFYDAIKQAYPDIQIISNCDASQKPLDHPADFYDFHIYTNAEDMFRKHTKFDDAPRYGPKAFVSEYAVWQSDAANGTLLAAIAEAAFLIGLEKNSDVVHMVSYAPLFVNTNDRKWTPDAIVFDSHRSYGTPSYWIQQFFSSSSGATLLDSTLETSSEYIVASAIQYTNPAEKKKYLRIKVVNFDSDPHKFRFCISGLDSKVQASGATTTVITGPNVKEENSFSEPNRIVPQHSSLEKASGDMNVVLAPYSLTSFDLLI >Vigun05g225200.1.v1.2 pep primary_assembly:ASM411807v1:5:41754141:41755707:1 gene:Vigun05g225200.v1.2 transcript:Vigun05g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGEYSNNHGRQLLPETTLSELNLPRVLFVLSSMLEKLVARNEKLVDVLNQQQNGLICGSVRLGNSLNTFHGVRAPSISIPKYLERIYKYTNCSPSCFVVGYVYIDRLTHRHPDSLVTSLNVHRLLVTSVMVASKMLDDEHYNNAVYARVGGVSNGELNKLELELLFLLDFRVVVSSGVFESYCFHLEKEMAVNGTGMKIERALTPKAMEDLEAEISVEDKHSPSPPQIVH >Vigun06g146000.2.v1.2 pep primary_assembly:ASM411807v1:6:27133928:27136174:1 gene:Vigun06g146000.v1.2 transcript:Vigun06g146000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFIPNESFGRNYPQKLTFTVFMICFGAALSGLMAGYVLGMAGGVTLMDSFLKEFYPEVYQNHNIINDQYCKFDSPILTWFISSLYLAAMIGSLLASTFTRLYGRLETRFFGNLFFHFGIMVWCFPQQQSSMLMVGCVLLGFGIGWTFQSGEEWFVNFVMTTLSLMFPLIFRLDTPISLIVRGFNKRAKITLIRIRGTTDVKEEFEDLLVASESSKVVKHPWVSLLKRQYRPQLTFAIVIPFFQQLTGMNVIVYNAPVLFKTIGFEANASLLFAMITGCCNVIATLVSIFTVDKFGRRSLFLKGVIQMFICQIVIAIAIACKFGFDGNPGMLPKWYVIVVVCGICVYVTGIAWSWGPLGCLVPSEIFPLEVRSSAQSITVSMNMIFTFVVIQNFTNIFCHMKFGLFIFFACFIIVMSTFIDSLLPETKEVPIEKMHVVWQSHPYWKKFDKQTDVTTIMNEY >Vigun06g146000.1.v1.2 pep primary_assembly:ASM411807v1:6:27133928:27136174:1 gene:Vigun06g146000.v1.2 transcript:Vigun06g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFIPNESFGRNYPQKLTFTVFMICFGAALSGLMAGYVLGMAGGVTLMDSFLKEFYPEVYQNHNIINDQYCKFDSPILTWFISSLYLAAMIGSLLASTFTRLYGRLETRFFGNLFFHFGIMVWCFPQQQSSMLMVGCVLLGFGIGWTFQSVPIYISEFAPYKYRGSLNMLFQLTITIGIFVANVFNYIFSRMESGEEWFVNFVMTTLSLMFPLIFRLDTPISLIVRGFNKRAKITLIRIRGTTDVKEEFEDLLVASESSKVVKHPWVSLLKRQYRPQLTFAIVIPFFQQLTGMNVIVYNAPVLFKTIGFEANASLLFAMITGCCNVIATLVSIFTVDKFGRRSLFLKGVIQMFICQIVIAIAIACKFGFDGNPGMLPKWYVIVVVCGICVYVTGIAWSWGPLGCLVPSEIFPLEVRSSAQSITVSMNMIFTFVVIQNFTNIFCHMKFGLFIFFACFIIVMSTFIDSLLPETKEVPIEKMHVVWQSHPYWKKFDKQTDVTTIMNEY >Vigun07g150500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26084217:26087069:-1 gene:Vigun07g150500.v1.2 transcript:Vigun07g150500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLNMWVLISNFKLAYNLLRRPDGTFNRDLAEFLDRKVPANANPVDGVFSFDVIVDRETNLLTRIYRPAEGEERPVSILELEKPVSSEVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTAVKWVSSRSWLQSRKDKKVHIYMAGDSSGGNIVHHVALKAVESGIEVFGNVLLNPLFGGQERTESEKRLDGRYFVRVKDRDWYWRAFLPEGEDRDHPACNPFGPKGQSLEGTVFPKSLVVVAGLDLVQDWQLGYAKGLEKAGQEVKLLFLEQATIGFYLLLNNEHFSPVMDEIKYFVSPDC >Vigun07g150500.1.v1.2 pep primary_assembly:ASM411807v1:7:26084217:26087069:-1 gene:Vigun07g150500.v1.2 transcript:Vigun07g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNELNANDSKMVVPLNMWVLISNFKLAYNLLRRPDGTFNRDLAEFLDRKVPANANPVDGVFSFDVIVDRETNLLTRIYRPAEGEERPVSILELEKPVSSEVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTAVKWVSSRSWLQSRKDKKVHIYMAGDSSGGNIVHHVALKAVESGIEVFGNVLLNPLFGGQERTESEKRLDGRYFVRVKDRDWYWRAFLPEGEDRDHPACNPFGPKGQSLEGTVFPKSLVVVAGLDLVQDWQLGYAKGLEKAGQEVKLLFLEQATIGFYLLLNNEHFSPVMDEIKYFVSPDC >Vigun01g057500.1.v1.2 pep primary_assembly:ASM411807v1:1:11520866:11523421:-1 gene:Vigun01g057500.v1.2 transcript:Vigun01g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAVLIGCNYPGTEAELRGCVNDVWNMKKVLINIYSFLEKDIVVLIDTHHSYTQPTGKNIRLALSKLVRAAKPGDVLFVHYSGHGTRLPAEPDDEDNTGYDECIVPTDMNLITGRGCNITIVSDCCHSGGLIEAAKEQIGASTNEEGYFSNSLFHFKNFLHRSMHQEQEQEETIMRSLPISTLSSILQQKTGKDIEIGKLRHKLFHIFGEDASPKLKNFSNLVMNKLQHGISVESGGHKIVDVDDLAKKFFEQKKNYDGDEVEKRRGVTKKKHDASIKRNILDCGILLSGCQSDQTSADACPAGNSSSTYGAFSNVIRAIIEESEGVVTNQELVLKARMILKKQGFSQKPSLYCSDNNVNAPFVC >Vigun07g248200.3.v1.2 pep primary_assembly:ASM411807v1:7:36787065:36795757:1 gene:Vigun07g248200.v1.2 transcript:Vigun07g248200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIFSLLGLCATIIIWHRAQASSCDNNKGNYTVNSTYYNNLNTLLSSFSTHAEVNYGFYNFSHGQGVDKAYAIGICPGDRTPDQCLKCLNDSRVTLTKDCPNQKEAILWNVECTLRYSNRSIFGLMQNQPTEQRVRRDDVKGSVEQFNLALESLMRNLTRIAASGDSRRKEGAGSVHAPGFQTIYGYTQCTPDLSSEDCSKCLDEAISKIPDCCSGKYGGNVLKPSCRIRFDIYIFYLGPYTPPPVQDLHYFCDDTNDRGEYTANSAYHTNLNTLLSTLVTEIKYGFYNFTNGENADKVYAIGLCRGDVEPENCRTCLNGARINLAELCPNRKEAIGWFENEKCMLRYSDRYIFGRNETEPAFCANNDTNASDLDKFNEDVNTLLRNLRRIAASGDSRVKYAANGISSGSKVIYGLVQCTPDLEESQCADCLSQSIERIPIDCCKDKIGGRIVRPSCNMRFETSFKFYGDPAFIPPPPPSPPPPPPPPPPPPPPGKSNATIIAVSVVVIVSAVLTFIYIRLNRRNSWKKLETLQEKLYDDGIDSSESLQIRFNIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQQIAVKRLSTNSTQGDTEFKNEVVLLAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDCFIFDETKRAQLDWDRRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLAKLFVVDQTHEDTQRVIGTQEVRCF >Vigun07g248200.2.v1.2 pep primary_assembly:ASM411807v1:7:36787065:36795756:1 gene:Vigun07g248200.v1.2 transcript:Vigun07g248200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTRIAASGDSRRKEGAGSVHAPGFQTIYGYTQCTPDLSSEDCSKCLDEAISKIPDCCSGKYGGNVLKPSCRIRFDIYIFYLGPYTPPPVQDLHYFCDDTNDRGEYTANSAYHTNLNTLLSTLVTEIKYGFYNFTNGENADKVYAIGLCRGDVEPENCRTCLNGARINLAELCPNRKEAIGWFENEKCMLRYSDRYIFGRNETEPAFCANNDTNASDLDKFNEDVNTLLRNLRRIAASGDSRVKYAANGISSGSKVIYGLVQCTPDLEESQCADCLSQSIERIPIDCCKDKIGGRIVRPSCNMRFETSFKFYGDPAFIPPPPPSPPPPPPPPPPPPPPGKSNATIIAVSVVVIVSAVLTFIYIRLNRRNSWKKLETLQEKLYDDGIDSSESLQIRFNIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQQIAVKRLSTNSTQGDTEFKNEVVLLAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDCFIFDETKRAQLDWDRRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLAKLFVVDQTHEDTQRVIGTHGYMPPEYVLYGHISEKLDVFSFGVLILEIVSGKKTISIQHGEETEYLCHIAWRSWREGRARDIVDPTLNNGSEREIMRCINIGLLCVQDNATARPTMAAVVAMLNSHSSRLQVPTAPPFYENAISGRHSVINYKFKRIWKVFS >Vigun07g248200.1.v1.2 pep primary_assembly:ASM411807v1:7:36787065:36795756:1 gene:Vigun07g248200.v1.2 transcript:Vigun07g248200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIFSLLGLCATIIIWHRAQASSCDNNKGNYTVNSTYYNNLNTLLSSFSTHAEVNYGFYNFSHGQGVDKAYAIGICPGDRTPDQCLKCLNDSRVTLTKDCPNQKEAILWNVECTLRYSNRSIFGLMQNQPTEQRVRRDDVKGSVEQFNLALESLMRNLTRIAASGDSRRKEGAGSVHAPGFQTIYGYTQCTPDLSSEDCSKCLDEAISKIPDCCSGKYGGNVLKPSCRIRFDIYIFYLGPYTPPPVQDLHYFCDDTNDRGEYTANSAYHTNLNTLLSTLVTEIKYGFYNFTNGENADKVYAIGLCRGDVEPENCRTCLNGARINLAELCPNRKEAIGWFENEKCMLRYSDRYIFGRNETEPAFCANNDTNASDLDKFNEDVNTLLRNLRRIAASGDSRVKYAANGISSGSKVIYGLVQCTPDLEESQCADCLSQSIERIPIDCCKDKIGGRIVRPSCNMRFETSFKFYGDPAFIPPPPPSPPPPPPPPPPPPPPGKSNATIIAVSVVVIVSAVLTFIYIRLNRRNSWKKLETLQEKLYDDGIDSSESLQIRFNIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQQIAVKRLSTNSTQGDTEFKNEVVLLAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDCFIFDETKRAQLDWDRRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLAKLFVVDQTHEDTQRVIGTHGYMPPEYVLYGHISEKLDVFSFGVLILEIVSGKKTISIQHGEETEYLCHIAWRSWREGRARDIVDPTLNNGSEREIMRCINIGLLCVQDNATARPTMAAVVAMLNSHSSRLQVPTAPPFYENAISGRHSVINYKFKRIWKVFS >Vigun07g248200.5.v1.2 pep primary_assembly:ASM411807v1:7:36791764:36795773:1 gene:Vigun07g248200.v1.2 transcript:Vigun07g248200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSDRYIFGRNETEPAFCANNDTNASDLDKFNEDVNTLLRNLRRIAASGDSRVKYAANGISSGSKVIYGLVQCTPDLEESQCADCLSQSIERIPIDCCKDKIGGRIVRPSCNMRFETSFKFYGDPAFIPPPPPSPPPPPPPPPPPPPPGKSNATIIAVSVVVIVSAVLTFIYIRLNRRNSWKKLETLQEKLYDDGIDSSESLQIRFNIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQQIAVKRLSTNSTQGDTEFKNEVVLLAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDCFIFDETKRAQLDWDRRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLAKLFVVDQTHEDTQRVIGTHGYMPPEYVLYGHISEKLDVFSFGVLILEIVSGKKTISIQHGEETEYLCHIAWRSWREGRARDIVDPTLNNGSEREIMRCINIGLLCVQDNATARPTMAAVVAMLNSHSSRLQVPTAPPFYENAISGRHSVINYKFKRIWKVFS >Vigun07g248200.4.v1.2 pep primary_assembly:ASM411807v1:7:36787065:36795757:1 gene:Vigun07g248200.v1.2 transcript:Vigun07g248200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTRIAASGDSRRKEGAGSVHAPGFQTIYGYTQCTPDLSSEDCSKCLDEAISKIPDCCSGKYGGNVLKPSCRIRFDIYIFYLGPYTPPPVQDLHYFCDDTNDRGEYTANSAYHTNLNTLLSTLVTEIKYGFYNFTNGENADKVYAIGLCRGDVEPENCRTCLNGARINLAELCPNRKEAIGWFENEKCMLRYSDRYIFGRNETEPAFCANNDTNASDLDKFNEDVNTLLRNLRRIAASGDSRVKYAANGISSGSKVIYGLVQCTPDLEESQCADCLSQSIERIPIDCCKDKIGGRIVRPSCNMRFETSFKFYGDPAFIPPPPPSPPPPPPPPPPPPPPGKSNATIIAVSVVVIVSAVLTFIYIRLNRRNSWKKLETLQEKLYDDGIDSSESLQIRFNIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQQIAVKRLSTNSTQGDTEFKNEVVLLAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDCFIFDETKRAQLDWDRRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLAKLFVVDQTHEDTQRVIGTQEVRCF >Vigun09g142100.1.v1.2 pep primary_assembly:ASM411807v1:9:30442658:30445372:1 gene:Vigun09g142100.v1.2 transcript:Vigun09g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGASKLLSFATLLGILLLLINSALGAATQPSLEHGRKLLKTYYPYPGGGGWRKAADSGGRGYRKLPYYGGRGYRKPRYYGRGKYTQNP >VigunL059029.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000244.1:23346:23705:1 gene:VigunL059029.v1.2 transcript:VigunL059029.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun10g031400.1.v1.2 pep primary_assembly:ASM411807v1:10:4108133:4110559:-1 gene:Vigun10g031400.v1.2 transcript:Vigun10g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNFWQVVLPIFYEIDPSDVRDQKDDFGKALEEAAHKSYSGEQLEHALSRWSHALTKAARMTGWDVRDFRDDAELVEVIVSRVQTLLDYKDLFITQFPVGLESHVEKVIGCIQNHDTKVCMIGIWGMVGSGKTTIAKAIYNRIYRLFIGKSFVENIRDFWDRLYRTYGDLQEIFLDDVLKYKFEVASDQMGRTIIETELCRKKLLIVLDDVNEFGQLENLCGNREWFGRGTVIVITTRYVNVLNRLKVNYIYKMGAMNENDSLELLSWRAFREAKPRKEFNEIARNIVAYCGGLPLALKILGGWTMTEWKSVLSNYQ >VigunL005900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000015.1:2744:20852:-1 gene:VigunL005900.v1.2 transcript:VigunL005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHYVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >VigunL005900.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000015.1:2987:20852:-1 gene:VigunL005900.v1.2 transcript:VigunL005900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHYVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun02g201100.1.v1.2 pep primary_assembly:ASM411807v1:2:33601925:33603733:1 gene:Vigun02g201100.v1.2 transcript:Vigun02g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASVKPTKPGLEDTEEQIHKIRITLSSKNVKNLEKVCADLVRGARDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >Vigun05g042700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3506817:3507092:-1 gene:Vigun05g042700.v1.2 transcript:Vigun05g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVILRRQPPRRCPANTTVTIVRNVQHGECQRNHAINAGGFAVDGCMEFFPSGAEGTAGALICGACGCHKNFHRREIHIHVTCNCASENG >Vigun09g217400.1.v1.2 pep primary_assembly:ASM411807v1:9:39114136:39118421:1 gene:Vigun09g217400.v1.2 transcript:Vigun09g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWNHWQERGHILVLFVHILVQNSQDAIVSGGGLSHNGKFSYGYASSPGKRSSMEDFYDTRIDGVNGEIVGLFGVFDGHGGARAAEYVKKNLFSNLISHPKFISDTKSAISDAYNHTDSEFLKSENNQNKDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDKSLEFLILASDGLWDVVSNEEAVTMIKPIEDAEESAKRLMQEAYGRGSADNITCVVVRFLTHHGTSTHSSSGGNNGTAHGTSGGNHGPSHGNSGGSHGPSHGTSGGNHGPSHGTSGGNHGTSHGSSGGNLGPSHGSSGVSHGTSHGSSGGNLGPSHGSSGVSHGTSHGNSGGIHGPSHGSSGGNHVTSSHGSSGGNHGPSSHGSSGGGNKNLPNAGYK >Vigun09g217400.3.v1.2 pep primary_assembly:ASM411807v1:9:39114137:39118421:1 gene:Vigun09g217400.v1.2 transcript:Vigun09g217400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNSILSAPTQVQNSQDAIVSGGGLSHNGKFSYGYASSPGKRSSMEDFYDTRIDGVNGEIVGLFGVFDGHGGARAAEYVKKNLFSNLISHPKFISDTKSAISDAYNHTDSEFLKSENNQNKDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDKSLEFLILASDGLWDVVSNEEAVTMIKPIEDAEESAKRLMQEAYGRGSADNITCVVVRFLTHHGTSTHSSSGGNNGTAHGTSGGNHGPSHGNSGGSHGPSHGTSGGNHGPSHGTSGGNHGTSHGSSGGNLGPSHGSSGVSHGTSHGSSGGNLGPSHGSSGVSHGTSHGNSGGIHGPSHGSSGGNHVTSSHGSSGGNHGPSSHGSSGGGNKNLPNAGYK >Vigun09g217400.2.v1.2 pep primary_assembly:ASM411807v1:9:39114137:39118421:1 gene:Vigun09g217400.v1.2 transcript:Vigun09g217400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNSILSAPTQVQNSQDAIVSGGGLSHNGKFSYGYASSPGKRSSMEDFYDTRIDGVNGEIVGLFGVFDGHGGARAAEYVKKNLFSNLISHPKFISDTKSAISDAYNHTDSEFLKSENNQNKDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDKSLEFLILASDGLWDVVSNEEAVTMIKPIEDAEESAKRLMQEAYGRGSADNITCVVVRFLTHHGTSTHSSSGGNNGTAHGTSGGNHGPSHGNSGGSHGPSHGTSGGNHGPSHGTSGGNHGTSHGSSGGNLGPSHGSSGVSHGTSHGSSGGNLGPSHGSSGVSHGTSHGNSGGIHGPSHGSSGGNHVTSSHGSSGGNHGPSSHGSSGGGNKNLPNAGYK >Vigun08g172200.6.v1.2 pep primary_assembly:ASM411807v1:8:34319494:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGKLLWKRVRRDPEEKRFELEWISHSRDGEGRFPRNYKTIVEFVQYLFAFISAVIGGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGMPHFEGNPSFDAFVFVSLFGLCFSVTGLIMFLTVLTSRKQPREYRKSLPLKLVFGLSSLFLSIIALLTSFCIGHSFLFNHKYRKVILPIYVATCFPVTFFALDQVQLYVDLLAAIFSTVPNATDDRQNL >Vigun08g172200.1.v1.2 pep primary_assembly:ASM411807v1:8:34318819:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNLWHSSSDEETKYHLAEYTLERKWNEVEKMYIEFPACHTAMVDDSAGTALNVAVDLDEEEVVQKLVDAIIIHNTMKALEIRNYRGDTALHVAASRGFTKICEFILGENRERTYLVSLMNKDGETPLFQAVANGRTQVFAYLSSILNHQATLQDLVRNNGDTILHCAIRNEYFDLAVIIVHYYDFLSTTMNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGKLLWKRVRRDPEEKRFELEWISHSRDGEGRFPRNYKTIVEFVQYLFAFISAVIGGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGMPHFEGNPSFDAFVFVSLFGLCFSVTGLIMFLTVLTSRKQPREYRKSLPLKLVFGLSSLFLSIIALLTSFCIGHSFLFNHKYRKVILPIYVATCFPVTFFALDQVQLYVDLLAAIFSTVPNATDDRQNL >Vigun08g172200.4.v1.2 pep primary_assembly:ASM411807v1:8:34318819:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNLWHSSSDEETKYHLAEYTLERKWNEVEKMYIEFPACHTAMVDDSAGTALNVAVDLDEEEVVQKLVDAIIIHNTMKALEIRNYRGDTALHVAASRGFTKICEFILGENRERTYLVSLMNKDGETPLFQAVANGRTQVFAYLSSILNHQATLQDLVRNNGDTILHCAIRNEYFDLAVIIVHYYDFLSTTMNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGMPHFEGNPSFDAFVFVSLFGLCFSVTGLIMFLTVLTSRKQPREYRKSLPLKLVFGLSSLFLSIIALLTSFCIGHSFLFNHKYRKVILPIYVATCFPVTFFALDQVQLYVDLLAAIFSTVPNATDDRQNL >Vigun08g172200.3.v1.2 pep primary_assembly:ASM411807v1:8:34318818:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNLWHSSSDEETKYHLAEYTLERKWNEVEKMYIEFPACHTAMVDDSAGTALNVAVDLDEEEVVQKLVDAIIIHNTMKALEIRNYRGDTALHVAASRGFTKICEFILGENRERTYLVSLMNKDGETPLFQAVANGRTQVFAYLSSILNHQATLQDLVRNNDLAVIIVHYYDFLSTTMNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGKLLWKRVRRDPEEKRFELEWISHSRDGEGRFPRNYKTIVEFVQYLFAFISAVIGGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGMPHFEGNPSFDAFVFVSLFGLCFSVTGLIMFLTVLTSRKQPREYRKSLPLKLVFGLSSLFLSIIALLTSFCIGHSFLFNHKYRKVILPIYVATCFPVTFFALDQVQLYVDLLAAIFSTVPNATDDRQNL >Vigun08g172200.2.v1.2 pep primary_assembly:ASM411807v1:8:34318819:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNLWHSSSDEETKYHLAEYTLERKWNEVEKMYIEFPACHTAMVDDSAGTALNVAVDLDEEEVVQKLVDAIIIHNTMKALEIRNYRGDTALHVAASRGFTKICEFILGENRERTYLVSLMNKDGETPLFQAVANGRTQVFAYLSSILNHQATLQDLVRNNGDTILHCAIRNEYFDLAVIIVHYYDFLSTTMNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGKLLWKRVRRDPEEKRFELEWISHSRDGEGRFPRNYKTIVEFVQYLFAFISAVIGGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGATLRRPSGSHFFYGAKRN >Vigun08g172200.5.v1.2 pep primary_assembly:ASM411807v1:8:34318818:34322214:1 gene:Vigun08g172200.v1.2 transcript:Vigun08g172200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNLWHSSSDEETKYHLAEYTLERKWNEVEKMYIEFPACHTAMVDDSAGTALNVAVDLDEEEVVQKLVDAIIIHNTMKALEIRNYRGDTALHVAASRGFTKICEFILGENRERTYLVSLMNKDGETPLFQAVANGRTQVFAYLSSILNHQATLQDLVRNNDLAVIIVHYYDFLSTTMNKDGELPLIVLATKPSAFYSSTYLSLLKRIQYLRVHVELFEPGREMRAILREKTPQKLLNDNCPQNYALLTSVFTGLSRFFGLSGINELKETKKRHQLGPKLLEQLMKRPHAAFTGRGCVPSDIPIEEDMYNVNSKWRQVEQTSELRMSEEEEEENETKAKMIDEKETPFLAAARNGIVEMVNEIYNLRPSVLHETNSQGENVLLVAAKNRKPVVIESLKEILKIEVWRTLCMAINKDGKTMLHMAGEVPSDDMHSQVSYSALEMVWDAKWFQYVASLVPPHYYFLRDKDQKIPWEIFKKTHDDLRKESGEWLKETSESCSVVTALVAGASFATATTVPGGIDDNGMPHFEGNPSFDAFVFVSLFGLCFSVTGLIMFLTVLTSRKQPREYRKSLPLKLVFGLSSLFLSIIALLTSFCIGHSFLFNHKYRKVILPIYVATCFPVTFFALDQVQLYVDLLAAIFSTVPNATDDRQNL >Vigun04g177800.2.v1.2 pep primary_assembly:ASM411807v1:4:40100146:40104139:1 gene:Vigun04g177800.v1.2 transcript:Vigun04g177800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENQKARKYHHRLRNWFNSKQKEENRPSSFSLNEIQDGAEDESDDYEGNLSLRSLELDPCISTNELRIFVGTWNVAGRSPVGSLAVDLDEWLNLKNAADIYVLGFQEIVPLKTLTVIGAEDPAVATSWNQLIGKTLNAKFGCPWMTPVLNSSSLLSCDDSSYQYVENPNSRGGNSNRDKYTLVASKKMVGVFISVWLREEVLRKYSVSNVRVCSVACGVMGYLGNKGSVAVSMSIEGTSFCFVAAHLASGEKKGDEGRRNHQVAEIFRRTSFSRTTKDHHYPLTILGHDRIFWFGDLNYRLYLEDNFARHLIRKQDWKALQEFDQLQKELEEGGVFEGWKEGDIEFAPTYKYSSSTTNRYCGSLPNRSGEKQRTPAWCDRILWYGKGVEQLNYFRSESKFSDHRPVSALFSTQIEIKSSSRGLMELQNIPQTVLNPKNGMNRGVGEEDGKSSLLSLLTKNVLDANTNFRLKG >Vigun04g177800.1.v1.2 pep primary_assembly:ASM411807v1:4:40100226:40104119:1 gene:Vigun04g177800.v1.2 transcript:Vigun04g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENQKARKYHHRLRNWFNSKQKEENRPSSFSLNEIQGLYIYVCVCFLYGAEDESDDYEGNLSLRSLELDPCISTNELRIFVGTWNVAGRSPVGSLAVDLDEWLNLKNAADIYVLGFQEIVPLKTLTVIGAEDPAVATSWNQLIGKTLNAKFGCPWMTPVLNSSSLLSCDDSSYQYVENPNSRGGNSNRDKYTLVASKKMVGVFISVWLREEVLRKYSVSNVRVCSVACGVMGYLGNKGSVAVSMSIEGTSFCFVAAHLASGEKKGDEGRRNHQVAEIFRRTSFSRTTKDHHYPLTILGHDRIFWFGDLNYRLYLEDNFARHLIRKQDWKALQEFDQLQKELEEGGVFEGWKEGDIEFAPTYKYSSSTTNRYCGSLPNRSGEKQRTPAWCDRILWYGKGVEQLNYFRSESKFSDHRPVSALFSTQIEIKSSSRGLMELQNIPQTVLNPKNGMNRGVGEEDGKSSLLSLLTKNVLDANTNFRLKG >Vigun07g076900.2.v1.2 pep primary_assembly:ASM411807v1:7:10319033:10322957:-1 gene:Vigun07g076900.v1.2 transcript:Vigun07g076900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIVYHSIYIYIHNQTFRPVPQSYIVSFLHVSMKLSRVKRCIVPTLVMLFSMVLLDSASTRGEEVEEIEDEREFDYMRGSEKGPSHWGELKKEWSACKHGHMQSPIDLSCARVQIIPRCQLLFTDYFSSNATIINRGHDIAVYWKGDAGSIQINGTEFFLKQCHWHSPSEHSINGRKYDLEMHMVHVSMENKILVVGAFYKIGHRPDRFLSQLEKDIEHLVEGEVEREIGEKNPFGIQTRGNMYYRYLGSLTTPPCTEGVIWNIDRKIRTVSEAQVRLLRDAVHDHAERNSRPRQPRNQRDILYFRFKSRAKSKK >Vigun08g008100.1.v1.2 pep primary_assembly:ASM411807v1:8:687635:689852:-1 gene:Vigun08g008100.v1.2 transcript:Vigun08g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFYDLSSTSGLKKLDEYLLSRSYITGYQASKDDLTVYAALSTAPSTEYVNVSRWYKHIDALLRISGVSGEGSGVTVKGSLVAEPVATPPAADTKAAAAEDDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVSMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPISEYVQSCDIVAFNKI >Vigun08g008100.2.v1.2 pep primary_assembly:ASM411807v1:8:687703:689622:-1 gene:Vigun08g008100.v1.2 transcript:Vigun08g008100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFYDLSSTSGLKKLDEYLLSRSYITGYQASKDDLTVYAALSTAPSTEYVNVSRWYKHIDALLRISGVSGEGSGVTVKGSLVAEPVATPPAADTKAAAAEDDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVSMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPISEYVQSCDIVAFNKI >Vigun01g096632.1.v1.2 pep primary_assembly:ASM411807v1:1:26151335:26152970:-1 gene:Vigun01g096632.v1.2 transcript:Vigun01g096632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPSEQKRGGGAGLSETEVYEENEKDKHGGLPSLHFTTNGTPLSSHQPHDRPCDARPVVLKRRRRHRPPATELSALESSSRPPFSLFRERSFVLDTTTTPTCHRTPFASPRHRTTAPSYGQLAKETSLSFSAPETSLSFSAAQRFRFRRLTPRDRYLAATIQRRRRRQRPRRPPQTLTSRTQRRSTFTLPLSGSALATPRAAAISVQSSEPPAASPPVMVSTPLSISVDELEGESRQMLARSCASRVLVKTSMKRVKVLMDHEEWWWMNDGDGKNEEERFGVGRMEGNRGFWVRLGLGWWNECRLSDSLALIQAFKNIDAYLAASSLLDGSIPLLLLLPFSFLSLLFWCSLSLQKKTPFLFPPPPCLCVGSCPLAIPALPCSDCLLWLLPP >Vigun03g397600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60402625:60404228:-1 gene:Vigun03g397600.v1.2 transcript:Vigun03g397600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLRKTCSFTSLLLSFLNFSLFILSASSFAPTILLKMPPTSFGLALLMVSGISVLSSFVGFYSQLTHFCFLTHISLLLASLIGQVLSILALFTKERASMSLLKSPRDPKEAKLLVRLECGALMAMCMLQCVVLMLSCAVHNCWVKDYEELNAEKEASARKRSRRIAEVQEESMANATKMAEMKAKELDEKMKHKYGKWVKTDFEP >VigunL025050.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:81651:87494:-1 gene:VigunL025050.v1.2 transcript:VigunL025050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGILICTNGTSNLERV >Vigun11g154100.1.v1.2 pep primary_assembly:ASM411807v1:11:36330694:36336319:-1 gene:Vigun11g154100.v1.2 transcript:Vigun11g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVDGFSAGLVDESNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >Vigun11g171000.1.v1.2 pep primary_assembly:ASM411807v1:11:37685121:37687580:1 gene:Vigun11g171000.v1.2 transcript:Vigun11g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGSLGTSASFSLRMGQTMFSSASLLFMSFGVEFYSYTAFCYLVTIMGLVIPWSFTLALVDGYSVLLKCPIRQPGILLIIVVGDWVLSTLTLAAASSTASVVDLLLNTHGSFCPPKLCSRYRISAIMAFLSWFLSLASSLFNLWLLPSL >Vigun05g091200.1.v1.2 pep primary_assembly:ASM411807v1:5:8817425:8819083:-1 gene:Vigun05g091200.v1.2 transcript:Vigun05g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPNPHYPPQPTTSAPMPALPTTVIGPQFCAPYPVDLAVVMKVMTLADNFVVTDVNGNVVFKVKGSLMTLRDRRVLIDAAGQPIVTLRRKMMTAHDRWQAFRGESTEAKDLIFSVKRSSFIQFKSKLDVFLANNTREDVCDFKVKGSWLERSCVVYAGETNNIVAQMHKKHTVQSILIGKDHFMVTIYPNIDYAFIVALVVILDEINDDAAGR >Vigun08g217300.1.v1.2 pep primary_assembly:ASM411807v1:8:37739529:37740451:1 gene:Vigun08g217300.v1.2 transcript:Vigun08g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMLKLMLPLLFLFMIPPKPAYGEFEQWCVADEQATQNELQAAMDWACGKGGADCRKIQVKQPCYLPNTLKDHASYAFNSYYQKFKHRGASCNFRGAAMATETDPSHGSCHYDFIP >Vigun08g211000.1.v1.2 pep primary_assembly:ASM411807v1:8:37285512:37289638:1 gene:Vigun08g211000.v1.2 transcript:Vigun08g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIRQSSDNSPNPKKPVVGEIDTSPPFQSVKDAVSLFGEGALSGEKPVIKKAKPYFAQSIWAKESQLHLARKELQKLQEQLKNAETTKAQVLVELEKAKRVVEDLSQKLEVLIKSRESAIQSTDVSKSRAEQLKEEKCGDLEGTNGTWKEELETAVKSYASVVTELDVAKQELSKIRQGYDLSLEARVSAFNQAAEAEDAMKANTKRASELSKEILAVMESIDQVNAQSVQAHLLQEETPTEQNVLRQSYEAALKESKRKLLDLKKEFNPGLTKNVELKLTDTMNEISVLQEQLENRKKSDLDSLRSVTLDLDDAKETLQKVAGQEDSLRTMVEALRIDLENVKGEHTALKEKEFKTVSVVENLRVELQKSESELKAYLAEESKARGASEEMIFTLNQLLTETENARRETQDMKNEAAELKMEAVVTKLVLEDAEIKLKAALEEVEVAKTAEANALEQIRVLSVRTSDSSSSTSESGARITISREEFESLVHKAEGSDKVADIKVDAAKAQVEATKASESEVLKRLEETQKEIEDMKIETQEVLKRAEMAEAAKRAVQNELRKWREREQKKVAEAASKLLAETQKTPELSPQQHYRIQKQNPVPKVEMRKLEKGKVSVSKKVLLPNMSGIFHRKKSHVEGGSSS >Vigun02g135150.1.v1.2 pep primary_assembly:ASM411807v1:2:28514543:28515109:-1 gene:Vigun02g135150.v1.2 transcript:Vigun02g135150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPHIPPVFCQHSWLWLQQKNMTNIFDVCLTNNTVAGHCILVSACRKVMFIHSLYKNMLIFSPNPRIFFFTDRLL >Vigun07g070800.1.v1.2 pep primary_assembly:ASM411807v1:7:8787736:8790862:1 gene:Vigun07g070800.v1.2 transcript:Vigun07g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRLGSKSDAFHREGQTWNCTTGLPSDVTVKVGETIFLLHKFPLLSRSGLLKKLVTDFTNEDGSDCVLQLDDVPGGDKTFALVTKFCYNVKIEVTASNVVSLRCAAEYLQMNENYGEGNLIAKTESFLNEIFSNWSDSIKALQTCEEVKTCAEELHIVSRCIDSLAMRACSDPNMFNRYVEEHDCSKNSTQDPTSLNVISFETKSVSPSDDWWYEDLCLLSLPLLKRLILAIEGKGMNLENIARSLIYYIKRFVPLMNSQASSNDKTSVNNETTTTSTTSEADQRSLLEEILIFLPDKKGVTPSKYLLRLLRTAMILHASPSCIENLEKRIGSQLDQAELVDLLIPNMGHSVETLYDIDCIHRIVDHFMSIYQTTTASTTPCKIEEGPMVARTDALAPMTIVANLIDSYLAEVAHDVNLKLPKFQALASAIPDYARPLDDGLYHAIDVYLKTHPWLVDFEREQFCRLMNCQKLSLEASTHVAKNERLPLRVIVEVLFFEQLRLRTSISSWLYVSENLENPQRSNGIPSLPRNNDSDQLDPTHGAENLKDLVSELEKECSCIKNELQKLAKTKKSWSIIPKIFCRKNS >Vigun09g253300.1.v1.2 pep primary_assembly:ASM411807v1:9:42023180:42024663:-1 gene:Vigun09g253300.v1.2 transcript:Vigun09g253300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKLLIASLLLSFLLFHLLQADQTVQAQTQGSLVQQIDCNAACGARCRLASRQRMCHRACGTCCRRCNCVPPGTAGNQEVCPCYASLTTHGGRRKCP >Vigun03g081700.2.v1.2 pep primary_assembly:ASM411807v1:3:6792542:6794312:1 gene:Vigun03g081700.v1.2 transcript:Vigun03g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAAACNRVDKCVLIISGLGFIVTLHLLLSLSAWIFRTFFRSEKNLIRTYGSWALVTGATDGIGKAFACQLAQRGLNLILVSRTSQKLEAVAREIQAKSPTARVKVVAMDFACGDLSEGLRRVEEASEGLDVGVLVNNVGVTYPNAMFFDEVEESVWRKIVRVNVEGTTKVTKCVLRGMLERRKGAIVNIGSGAAVVLPSHPLFTVYAATKAMQLRGPIFEISIRGVWTIWDTCAMSGTTVRGNEDGIKGGLY >Vigun03g081700.1.v1.2 pep primary_assembly:ASM411807v1:3:6792542:6794312:1 gene:Vigun03g081700.v1.2 transcript:Vigun03g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAAACNRVDKCVLIISGLGFIVTLHLLLSLSAWIFRTFFRSEKNLIRTYGSWALVTGATDGIGKAFACQLAQRGLNLILVSRTSQKLEAVAREIQAKSPTARVKVVAMDFACGDLSEGLRRVEEASEGLDVGVLVNNVGVTYPNAMFFDEVEESVWRKIVRVNVEGTTKVTKCVLRGMLERRKGAIVNIGSGAAVVLPSHPLFTVYAATKAYVDQFSRSLYVEYGQYGIHVQCQVPLYVATKMVSRVACIERDSLFIPTAEAYARAAIGEIGYKPKCIPYWAHSVQGFFAHFIPHPLLDAWRFSVGIRRRNNQKTVTSDVKSTFSGHVKAIK >Vigun05g114900.2.v1.2 pep primary_assembly:ASM411807v1:5:12156857:12158716:-1 gene:Vigun05g114900.v1.2 transcript:Vigun05g114900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLNGFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNQQGIEKCLEEWKRKGFRVTGSQCDINNAGTCPPKNLIDYTAEDVSRIMGTNFESSFHLCQLAHPLLKASGYGSIVFISSIASLKASPYISAYASSKGAMNQFTKNVALEWAKDNIRANSVAPGFVETELLDSITESIDEGKKIVEAMISQTPAGRIGEPKDISAMVAFLCLPVASYITGQILAVDGGYII >Vigun05g114900.1.v1.2 pep primary_assembly:ASM411807v1:5:12156857:12158695:-1 gene:Vigun05g114900.v1.2 transcript:Vigun05g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSWYDSSSYRRHPRHRINNAGTCPPKNLIDYTAEDVSRIMGTNFESSFHLCQLAHPLLKASGYGSIVFISSIASLKASPYISAYASSKGAMNQFTKNVALEWAKDNIRANSVAPGFVETELLDSITESIDEGKKIVEAMISQTPAGRIGEPKDISAMVAFLCLPVASYITGQILAVDGGYII >Vigun05g114900.3.v1.2 pep primary_assembly:ASM411807v1:5:12156907:12158711:-1 gene:Vigun05g114900.v1.2 transcript:Vigun05g114900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLNGFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNQQDYTAEDVSRIMGTNFESSFHLCQLAHPLLKASGYGSIVFISSIASLKASPYISAYASSKGAMNQFTKNVALEWAKDNIRANSVAPGFVETELLDSITESIDEGKKIVEAMISQTPAGRIGEPKDISAMVAFLCLPVASYITGQILAVDGGYII >Vigun11g174100.1.v1.2 pep primary_assembly:ASM411807v1:11:37921109:37922181:1 gene:Vigun11g174100.v1.2 transcript:Vigun11g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSYLCKNMKSHLAFFVIFSLLLVVNLSYARKDMGDYWKNMMNGQAMPEAIKDLLAQDPQESDAAKDHFVRDFDIRPNLILYHTHAVSRDQKQHAMAEKIEQLQGTGTHG >Vigun07g223800.1.v1.2 pep primary_assembly:ASM411807v1:7:34587359:34594583:1 gene:Vigun07g223800.v1.2 transcript:Vigun07g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWWGKSSSKESKKKSNKESFFDTLHRKLKISSEGKLSTRSGGSRRNCNDSVSEKGDRSPIESRSPSPSKVARCQSFIERPHAQPLPLPGLHPSSVGRVDSEISISSKSRLEKVSKPSLFLPLPTPGCIRCKPNPADLDGDVVTASVFSDCSADSDEPQSHNRSPLVADCETGTRTAAGSPSSSMPKDQPVVASQLNSAGVKKSGSILSNHTSSTSPKRRPLRNHVPNLQVPPHGAFYSAPDSSLSSPSRSPLRAFGTDQVLNSAFWAGKPYSEVNFVGSGHCSSPGSGHNSGHNSMGGDMSGPLFWQPSRGSPEYSPVPSPRMTSPGPSSRIQSGAVTPIHPKAGGTPIESQTGRVDDGKQQTHRLPLPPLSVSNSSPFSHSNSAATSPSMPRSPARADNPGSGSRWKKGKLIGSGSFGHVYLGFNSESGEMCAVKEVTLFSDDPKSMESAKQFMQEIHLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLREYGQFGELVIRSYTQQILSGLAYLHTKNTLHRDIKGANILVDPTGRVKLADFGMAKHITGQSCPLSFKGTPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWFQYEGVAAMFKIGNSKELPTIPDHLSNEGKDFVRKCLQRNPYDRPSASELLDHPFVKHAAPLERPILVPEALDPVSGTTQGAKSLAIGQAKNLSTLDSDRLSVHSSRFLKINPHESEIHIPRNISCPVSPIGSPLLRSRSPQHRNGKMSPSPISSPRTASGASTPLAGGSGVIPFGNHSKQSIYFQEGFGSISKSSNGVYVNGPAHHDSTLDIFRGMQIGSHIPSELVSSENDVLVKQFARSLHAEPYDFQSVLADRVGRQLLREHVKINPSLDLTPNSSLLSRPNGL >Vigun03g439950.1.v1.2 pep primary_assembly:ASM411807v1:3:64293632:64295380:-1 gene:Vigun03g439950.v1.2 transcript:Vigun03g439950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPSLMMVIALLLSLLFWLARIYKQKIKAEKLPPGPWKLPLIGNLHQLAVAGTLPHHTLQNLSNKYGPLMHLQLGQISAVVVQNDLNFAQRPELLAPKILAYGSADIAFSPYGDYWRHMRKICTLELLSAKRVQSFSFIREDEVNKLIESIQACACTGSPVNVSKSVSSSVSTIVTRAAFGKKSEHQERLLCLLKEGFPSMKPLHLITGMKARLEKMHEEVDKILDNIISEHRSKHQKGEAEENLVDVLLRKQQSATLDTPVTMDNIKAIIWGDIFGAGSDTSGVVLEWSMSELLRNPRVMKKAQSEIREALKGKKRVSESEVQEVSYLKWVIKETLRECREACMIGGYEIAVKTKVIVNAWAIGRDPKHWYDAEKFIPERFDGTDFDFKGNNFEYIPFGGGRRMCPGILLGLANIQLPLAALLYHFDWEIPNGIKPEKLDMTESFGASVGRKNNLYLLPTPYQYSLHHHLIVDTPS >Vigun08g147100.1.v1.2 pep primary_assembly:ASM411807v1:8:31992097:31995948:1 gene:Vigun08g147100.v1.2 transcript:Vigun08g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPAEAVAVRRALELLQLNDPVLRVQAAKDIRRLTKTSHRCRRQLRQAVSPLVSMLRVDSPEFHEPALLALLNLAVQDEKNKISIVEAGALEPITSFLESENPNLREYATASLLTLSVSPTNKPIIGASGAIPLLVNTLKDGSPQAKVDAVTALSNLSTHPENLSIILETNATPFIVSLLKTCRKSSKLAEKCCALIESLVGYEEGRISLTSEEGGVLAVVEVLENGTPQSREHAVGALLRMCESDRCKYREPILREGVIPGLLELTVQGTSKSQPKARTLLQLLRESPYSRAEAEPDTLQNIVCDIISQIDGDDQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPSDMPITTCASEVSSK >Vigun11g120200.1.v1.2 pep primary_assembly:ASM411807v1:11:32634499:32638610:-1 gene:Vigun11g120200.v1.2 transcript:Vigun11g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRPLAIFFLFVALYCGLDPFHHSPIAHFPEFQTKRVDLPSWSDFPADQDKHNLLQKSEIKFLNQVQGPESIAFDPLGRGPYTGLADGRIVFWNSHSWVDFAYTSPNRSEICNPVASATPFSYVKTEHICGRPLGLRFDKKTGDLFIADAYFGLLKVGPEGGLATSLVTEAEGIPLKFTNDVDVDGEGNVYFTESSAHYQRRNFLQLVFSGDDSGRVLKYNLATKETTVLFRNIQFPNGITLSKDGSFFVFCEGVVGRLRKYWLKGEKAGTSEILAILPGFPDNVRVNENGDFWVALHCRRYLYPYYCGLYPKIRKIILKLPIPIKFHYMLQIGGHLHAVVIKYSPEGRILQILEDSEGKVVKAVSEVEEKDGRLWIGSVLMPFVAVYNLK >Vigun05g155100.1.v1.2 pep primary_assembly:ASM411807v1:5:24849421:24853511:1 gene:Vigun05g155100.v1.2 transcript:Vigun05g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSNHHSVDGDANDVRAFSHHDDEDDKPQPLTGDGASPGKIFIGGLARETTIAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDTVIEDTHIINGKQVEIKRTIPRGAVGSNSKDFRTKKIFVGGIPSTVSEDEFRDFFTRYGEVKDHQIMRDHSTNRSRGFGFITYDSEEAVDDLLAVGNKIEFAGAQVEIKKAEPKKPNPPAPSSKRYNDARSSYGGGYGDAYDGFSGNFGMGGYRSGGGGAYGGRGSAYGGFGSEFGGYGRYAGAMGPYRGDPSLGYAGRYGGGFGRGYDLGGYGGASEGYGAYGGSGGGGSSGNAYGSSYDASLGGGYGGAGGGSFYGTRGGYGGAGTARYHPYGR >Vigun05g019600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1592588:1596885:1 gene:Vigun05g019600.v1.2 transcript:Vigun05g019600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSNLLDSEIKLLSGVEGKVKSLCNELKFMDIFLKSSEGKYKDAMVKEVVTQIRDVAYKAEDVVDTYILNIAKHKRRNKLCRLFHLKEKFTIPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEETEWHRKRRMYVEEEDVVGLVNDSNIVIEQLQKDDVRLNVASILGMGGLGKTTLARKIFNKDNVKELFHCRAWGNVSNDYRPKELFLSLLRSLNLSEFEKNEEDLNKKSEEDLKKEVAKWLKGKKYLVVLDDIWETRVWDDIKGAFQDEKRGSRILITSRNKDVARYSGTTSPYELPFLTEDQSWELFCKKVFRDEECPSDLEHLGRSIAKSCGGLPLAIVVLGGVYATKEKSEREWLRMKEMRGHPTEDKSEVMDILRLSYDSLPPKLKPCFLYFGMYPEDYEMNAREMIRLWVAEGLVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESKSNKFLQVLKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFHKSMSSTRSVIIFTKQGKLMNDFLKRLLLARVIHGFFPPFYYISFSHHYKRMIHIRYLKICVISVPACVCNLWNLETLFVRYTKTVSSEIWKLKRLRHLHLEGRGMLPKLPNGTILENLRTLVLSRYCGSKMISLLKSGIFPRLVKLDLINREVPDLLREVISLSNLVNLSHFSLRGFDIQRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEDYFRVLLNIDVGKGEFPKLQVFHMRKLHSIKSWKLEEGAMPCLRHLHITDCSSLFELPQQLWSLRTLQLVRIVRPSPQLASTLQNVEFNNNCKLILEQISLP >Vigun05g019600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1592332:1596997:1 gene:Vigun05g019600.v1.2 transcript:Vigun05g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSNLLDSEIKLLSGVEGKVKSLCNELKFMDIFLKSSEGKYKDAMVKEVVTQIRDVAYKAEDVVDTYILNIAKHKRRNKLCRLFHLKEKFTIPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEETEWHRKRRMYVEEEDVVGLVNDSNIVIEQLQKDDVRLNVASILGMGGLGKTTLARKIFNKDNVKELFHCRAWGNVSNDYRPKELFLSLLRSLNLSEFEKNEEDLNKKSEEDLKKEVAKWLKGKKYLVVLDDIWETRVWDDIKGAFQDEKRGSRILITSRNKDVARYSGTTSPYELPFLTEDQSWELFCKKVFRDEECPSDLEHLGRSIAKSCGGLPLAIVVLGGVYATKEKSEREWLRMKEMRGHPTEDKSEVMDILRLSYDSLPPKLKPCFLYFGMYPEDYEMNAREMIRLWVAEGLVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESKSNKFLQVLKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFHKSMSSTRSVIIFTKQGKLMNDFLKRLLLARVIHGFFPPFYYISFSHHYKRMIHIRYLKICVISVPACVCNLWNLETLFVRYTKTVSSEIWKLKRLRHLHLEGRGMLPKLPNGTILENLRTLVLSRYCGSKMISLLKSGIFPRLVKLDLINREVPDLLREVISLSNLVNLSHFSLRGFDIQRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEDYFRVLLNIDVGKGEFPKLQVFHMRKLHSIKSWKLEEGAMPCLRHLHITDCSSLFELPQQLWSLRTLQLVRIVRPSPQLASTLQNVEFNNNCKLILEQISLP >Vigun03g144075.1.v1.2 pep primary_assembly:ASM411807v1:3:14578219:14578581:1 gene:Vigun03g144075.v1.2 transcript:Vigun03g144075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKCKDDEGSKFEVQCDITSIQPNLYDCGIFVLQMMELWDGEKKFYGNSMPNYTNEQL >Vigun10g017300.1.v1.2 pep primary_assembly:ASM411807v1:10:1941529:1944005:-1 gene:Vigun10g017300.v1.2 transcript:Vigun10g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATSALLSGVSRRRFSTDVPATPSVDSSFVEAWKKVSPNLDPPKTPLSFMKERPQTPSTLPTKLTVNFVLPYASQLSAKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFIHANSVADIVAVEAVPLDRIDANLVQKGLQDFTQKLNSASTDLEKAEAQIGVDVHSALNSALTG >Vigun07g251600.2.v1.2 pep primary_assembly:ASM411807v1:7:37096580:37098871:-1 gene:Vigun07g251600.v1.2 transcript:Vigun07g251600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVGLQDAGKTSLINVIATGGYSEVMIPTVGFNMKKITKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYENLPVSRDELHDLLSKPSLNGIPILILGNKIDKPGALSKQDLADQMGLMSITGREVCCTMISCKNSTSIDTVVDWLVRNSKSINRSSSFAL >Vigun07g251600.1.v1.2 pep primary_assembly:ASM411807v1:7:37096580:37098808:-1 gene:Vigun07g251600.v1.2 transcript:Vigun07g251600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIWGAFVNWLCSLFFKQEMELSLVGLQDAGKTSLINVIATGGYSEVMIPTVGFNMKKITKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYENLPVSRDELHDLLSKPSLNGIPILILGNKIDKPGALSKQDLADQMGLMSITGREVCCTMISCKNSTSIDTVVDWLVRNSKSINRSSSFAL >Vigun06g120100.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24788734:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.5.v1.2 pep primary_assembly:ASM411807v1:6:24788833:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLLRSFLPTAMAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24788729:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.1.v1.2 pep primary_assembly:ASM411807v1:6:24788833:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLAAIIFCPSFLCFQDPLITWSFLPTAMAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.4.v1.2 pep primary_assembly:ASM411807v1:6:24788786:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLLRSFLPTAMAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.3.v1.2 pep primary_assembly:ASM411807v1:6:24788729:24792590:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLLRSFLPTAMAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun06g120100.2.v1.2 pep primary_assembly:ASM411807v1:6:24788833:24792591:1 gene:Vigun06g120100.v1.2 transcript:Vigun06g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIPVSNSISVKFVLKSQFQSQKSFLPTAMAGETSWISHYDDRQRENGVFDSFLEVGEEGDKDATAVSLDVILPDDLLERILAYLPVASIFRAGCVSKRWHEIVNSERFLWNLSHVLPQKPWYFMFTSSDEPIGYAFDPVFRKWYSIELPCIGTSNWFIASSIGMVCFMDNDSRSELCICNPITKTYKKLEEPPGLKFSDYSALAISVNRESHIYSVAIVKSKQVPENFFQWDISIHIYNSVNTTWVTTLTEVLMGWRGGDESVICNGMLYFLVYSTGGGQPENRHALISYNMSNRSSQGSLTRSFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWLLNDKTWEEIARMPHKFFQGFGEFDDVFASSGADDLIYIQSYGAPALLIFDTNHKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIAP >Vigun07g091000.2.v1.2 pep primary_assembly:ASM411807v1:7:14266169:14269164:-1 gene:Vigun07g091000.v1.2 transcript:Vigun07g091000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLTKVSDWVLLIFRKEWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun07g091000.1.v1.2 pep primary_assembly:ASM411807v1:7:14266169:14269175:-1 gene:Vigun07g091000.v1.2 transcript:Vigun07g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLTKVSDWVLLIFRKEWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun03g102800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8828510:8829304:-1 gene:Vigun03g102800.v1.2 transcript:Vigun03g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYPHFTATENADMDAALLLPSSPSVIITQDNLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVDRIGELLRQGELKDIVGIPTSKKTHEQALSLGIPLSDLDSHPVVDLAIDGADEVDPFLNLVKGRGGSLLREKMVESACKKFIVIVDESKLVNYIGGSGLAMPVEVIQFCWKFTAERLRRLFEEAGCVAKLRTFGEKDEPFVTDNGNFIVDLYFERSFGDLKAASDAILQLAGVVEHGMFLDMATTVIVAGELGLTVKNK >Vigun05g037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3042183:3043997:-1 gene:Vigun05g037900.v1.2 transcript:Vigun05g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQIPVGGRVPTWFSRRRLLEEKLCYLHKCTNLNHVNQILAQILKANLHRDLFVAPKLIAAFSLCRHLTAAVNVFNQLPHPNVHLYNCIIRAHANNHSHPSLPFNAFLQMQKSGLFPDNFTYPSLLKACIDSSSLPLVRMIHAHVEKFGLYGDIFVPNSLIDSYSRCGSSGLDAAMSLFLAMEERDVVTWNSMIGGLVRCGELECACKLFDEMPERDMVSWNIMLDGYTKAGEMEKAFELFERMPERNVVSWSTMVCGYSKAGDMDMARVLFDRCPGKNVVLWTTIIAGYAEKGNAREATELYGKMEEAGLRPDDGFLFSILAACAESGMLELGRRIHTSVKKWRFRCSSKVLNAFIDMYAKCGCLDAASEVFTGMMAKRDVVSWNSMIQGFAMHGHGKKALELFSRMVDEGFEPDRCTFIGLLCACTHAGLVDEGRKCFYSMEKVYGIVPEIEHYGCMVDLLGRGGHLEEAFTLLRSMPMKPNAIILGTLLNACRMHNDVDLARAVCEQLFKLEPSDAGNYSLLSNIYAQAGDWMNVANVRLQMKNTGRQKPSGASFIEVEQEVHEFTVFDQSHPKSDDIYRMIDRLVEDLQQVGFVPMTHQ >Vigun07g118000.1.v1.2 pep primary_assembly:ASM411807v1:7:21868723:21881272:1 gene:Vigun07g118000.v1.2 transcript:Vigun07g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSSKRSLSSSSPPNNTKRSKVSEDSSSTTVPSVAPVNESGPANESAEPELRPSDLPDTASLKAVDGCDAISPDKSPSTPVEGEALVSPQCLGETAEKSKGAGAAATVSAGGRSKKRPTKLNPKVAWAKLLSQCSQNPHVSISDLSFTVGQGRNCNLWLKDPTVGNMLCKLSHIERGGSSVALLEITGGKGSIQVNGRTHRKNTRLILSGGDEVVFGSSGKHAYIFQQLTNNNISHAGIPSSVSILEAQSAPINGTQVEARSGDPSAVAGASILASLSNLHKDLSLLSSPAKSGKNVQQNTDISSLPSGNGDDVPDSEMKDATTKDVPSSGVFSADKTVLASSNTVNENPSLDATEVDTTVDADVGKVAAATYELRPLLRMLAGSCPELDLSCGINKILEERRELRELLKDVDTPTILASTRRQAFKDSLEQRILKSEDIDVSFETFPYYLSDTTKSVLIASTFIHLKCDGFSKYASDLPSVSPRILLSGPAGSEIYQETLCKALAKHFGARLLIVDSLSLPGGAPAKELDSAKESSRPERPSVFAKRSSQTATLHHKKPASSVDAEIIGGSTLSSQAMLKQEVSTASSKGTSLKEGDRVKFVGNFPSAVSALPNYPSRGPSYGSRGKVMLAFEDNGSSKIGVRFDKSIPDGNDLGGLCEDDRGFFCSANHLLRVDGSGGDDTDKVAINDIFEVTSNQSKSGPLVLFIKDIEKTMVGNYEVLKNKFESLPPNVIVIGSHTMLDNRKEKTQPGGLLFTKFGSNQTALLDLAFPDNFSRLHDRSKETPKVMKQLGRLFPNKVTIQLPQDEGLLSDWKQQLERDIETMKAQSNIVSVRTVLNRIGLDCPDLETLCIKDQTLATESVEKIVGWAISYHFMHSSEASTKDSKLVISAESINYGLNILHGIQNENKSLKKSLKDVVTENEFEKKLLADVIPPTDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPSEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDFEAMANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKEKSLALSENKPLPGLSGSSDIRPLKIDDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKMRSLSYFM >Vigun05g228400.5.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKIRNNSQVLMLMETMEMLEGSHSMSLQFLWSAPPFCWCNWEPC >Vigun05g228400.2.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKGPGLWIPIALFVIYSVHTLLEYLKIGLSIRNWWNNQRMSIIATTSAWFIGFLSVMLKLAGVSDPVFEITDKEQLSSSDADGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPSHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGKYGIPLSTTCKSAISAFVFVHLCRK >Vigun05g228400.6.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKIRNNSQVLMLMETMEMLEGSHSMSLQFLWSAPPFCWCNWEPC >Vigun05g228400.1.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKGPGLWIPIALFVIYSVHTLLEYLKIGLSIRNWWNNQRMSIIATTSAWFIGFLSVMLKLAGVSDPVFEITDKEQLSSSDADGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPSHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGKYGIPLSTTCKSAISAFVFVHLCRK >Vigun05g228400.4.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKVRSLIKLHYQGYSIHYINDSQLLSHCFIPGTWSVDSNCSFCDLQRTHSFRVP >Vigun05g228400.3.v1.2 pep primary_assembly:ASM411807v1:5:42185556:42189295:1 gene:Vigun05g228400.v1.2 transcript:Vigun05g228400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDDPLYEKVWFKRRYQRVMDTSILLLLLLLLSYRLFSHNNFTIPWTLAFICESYFTITWIIILSTKWTPALTKTHPDRLLQRVPELPRVDLFVATADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLTFYALLEASKFAKFWVPFCKKNKIQLRAPFSYFSSVATTNSEHSPELKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDDGEFTVFSNTERRNHPTIIKVISENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPEIVLHALSILMDSKSGKEVAFVQCFQQFYDGIKDDPFGNQRVSAFEYVIRGMAGLQGPHYSGTNTFHRRNVIYGLCPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLNIHRRGWRSECCTPDPIAFTGCAPRGLHTSMVQQKRWATGVTETFFGKHSPIMGMIFGKIQFRAGLSYYGVVDWGLRGVFNICYALLPAYCIITDTSIFPKVRSLIKLHYQGYSIHYINDSQLLSHCFIPGTWSVDSNCSFCDLQRTHSFRVP >Vigun07g171300.4.v1.2 pep primary_assembly:ASM411807v1:7:28639308:28644122:-1 gene:Vigun07g171300.v1.2 transcript:Vigun07g171300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTIVWFRRDLRIEDNPALVAAAREGSVLPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLDRSLKSLGTRLVFIKTHSTVTALLDCIKAIQATKVVFNHLYDPVSLVRDHNIKEKLVEQGISVQSYNGDLLYEPWEVYTESGRAFTTFDAFWKKCLHKQMDIVSLVPPWQLTPAEGKVEGCSVEELDLENELEKPSNALLGRAWSPGWRNADKALTEFVEQHLLHYSKKRLKVGGDSTSLLSPYLHFGELSVRKVFQVTRMKQILWTNEGNSVGEESVNLFLRAIGLREYSRYLCFNFPFTHERALLGHLKFFPWDPDPDNFKTWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGGLPDGHELERLDNPEILGAKFDPEGDYVRQWLPELARMPTEWIHHPWDAPLTVLRASGVELGQNYPKPMIDVDLARERLTEAIFKMWESEAAAKAAGTEPRDEVVVDNTNSVENLDTPKVVFLEKAPCATVSANDQKVPALPDSKKDHPPTRKRPNCVVEEGQNQDNSQNHNKDTGMSGIDQEICSTADSSSCKKQCTSTSSYSFSVPQQCSSSSNLKWPWQEQIDMEQSSSKDGAM >Vigun07g171300.1.v1.2 pep primary_assembly:ASM411807v1:7:28639308:28644217:-1 gene:Vigun07g171300.v1.2 transcript:Vigun07g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTIVWFRRDLRIEDNPALVAAAREGSVLPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLDRSLKSLGTRLVFIKTHSTVTALLDCIKAIQATKVVFNHLYDPVSLVRDHNIKEKLVEQGISVQSYNGDLLYEPWEVYTESGRAFTTFDAFWKKCLHKQMDIVSLVPPWQLTPAEGKVEGCSVEELDLENELEKPSNALLGRAWSPGWRNADKALTEFVEQHLLHYSKKRLKVGGDSTSLLSPYLHFGELSVRKVFQVTRMKQILWTNEGNSVGEESVNLFLRAIGLREYSRYLCFNFPFTHERALLGHLKFFPWDPDPDNFKTWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGGLPDGHELERLDNPEILGAKFDPEGDYVRQWLPELARMPTEWIHHPWDAPLTVLRASGVELGQNYPKPMIDVDLARERLTEAIFKMWESEAAAKAAGTEPRDEVVVDNTNSVENLDTPKVVFLEKAPCATVSANDQKVPALPDSKKDHPPTRKRPNCVVEEGQNQDNSQNHNKDTGMSGIDQEICSTADSSSCKKQCTSTSSYSFSVPQQCSSSSNLKWPWQEQIDMEQSSSKDGAM >Vigun07g171300.3.v1.2 pep primary_assembly:ASM411807v1:7:28639281:28644220:-1 gene:Vigun07g171300.v1.2 transcript:Vigun07g171300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTIVWFRRDLRIEDNPALVAAAREGSVLPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLDRSLKSLGTRLVFIKTHSTVTALLDCIKAIQATKVVFNHLYDPVSLVRDHNIKEKLVEQGISVQSYNGDLLYEPWEVYTESGRAFTTFDAFWKKCLHKQMDIVSLVPPWQLTPAEGKVEGCSVEELDLENELEKPSNALLGRAWSPGWRNADKALTEFVEQHLLHYSKKRLKVGGDSTSLLSPYLHFGELSVRKVFQVTRMKQILWTNEGNSVGEESVNLFLRAIGLREYSRYLCFNFPFTHERALLGHLKFFPWDPDPDNFKTWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGGLPDGHELERLDNPEILGAKFDPEGDYVRQWLPELARMPTEWIHHPWDAPLTVLRASGVELGQNYPKPMIDVDLARERLTEAIFKMWESEAAAKAAGTEPRDEVVVDNTNSVENLDTPKVVFLEKAPCATVSANDQKVPALPDSKKDHPPTRKRPNCVVEEGQNQDNSQNHNKDTGMSGIDQEICSTADSSSCKKQCTSTSSYSFSVPQQCSSSSNLKWPWQEQIDMEQSSSKDGAM >Vigun07g171300.2.v1.2 pep primary_assembly:ASM411807v1:7:28639281:28644215:-1 gene:Vigun07g171300.v1.2 transcript:Vigun07g171300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTIVWFRRDLRIEDNPALVAAAREGSVLPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLDRSLKSLGTRLVFIKTHSTVTALLDCIKAIQATKVVFNHLYDPVSLVRDHNIKEKLVEQGISVQSYNGDLLYEPWEVYTESGRAFTTFDAFWKKCLHKQMDIVSLVPPWQLTPAEGKVEGCSVEELDLENELEKPSNALLGRAWSPGWRNADKALTEFVEQHLLHYSKKRLKVGGDSTSLLSPYLHFGELSVRKVFQVTRMKQILWTNEGNSVGEESVNLFLRAIGLREYSRYLCFNFPFTHERALLGHLKFFPWDPDPDNFKTWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGGLPDGHELERLDNPEILGAKFDPEGDYVRQWLPELARMPTEWIHHPWDAPLTVLRASGVELGQNYPKPMIDVDLARERLTEAIFKMWESEAAAKAAGTEPRDEVVVDNTNSVENLDTPKVVFLEKAPCATVSANDQKVPALPDSKKDHPPTRKRPNCVVEEGQNQDNSQNHNKDTGMSGIDQEICSTADSSSCKKQCTSTSSYSFSVPQQCSSSSNLKWPWQEQIDMEQSSSKDGAM >Vigun07g171300.5.v1.2 pep primary_assembly:ASM411807v1:7:28639308:28644112:-1 gene:Vigun07g171300.v1.2 transcript:Vigun07g171300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTIVWFRRDLRIEDNPALVAAAREGSVLPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLDRSLKSLGTRLVFIKTHSTVTALLDCIKAIQATKVVFNHLYDPVSLVRDHNIKEKLVEQGISVQSYNGDLLYEPWEVYTESGRAFTTFDAFWKKCLHKQMDIVSLVPPWQLTPAEGKVEGCSVEELDLENELEKPSNALLGRAWSPGWRNADKALTEFVEQHLLHYSKKRLKVGGDSTSLLSPYLHFGELSVRKVFQVTRMKQILWTNEGNSVGEESVNLFLRAIGLREYSRYLCFNFPFTHERALLGHLKFFPWDPDPDNFKTWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLESDILGWQYISGGLPDGHELERLDNPEILGAKFDPEGDYVRQWLPELARMPTEWIHHPWDAPLTVLRASGVELGQNYPKPMIDVDLARERLTEAIFKMWESEAAAKAAGTEPRDEVVVDNTNSVENLDTPKVVFLEKAPCATVSANDQKVPALPDSKKDHPPTRKRPNCVVEEGQNQDNSQNHNKDTGMSGIDQEICSTADSSSCKKQCTSTSSYSFSVPQQCSSSSNLKWPWQEQIDMEQSSSKDGAM >Vigun06g141700.1.v1.2 pep primary_assembly:ASM411807v1:6:26726566:26737355:-1 gene:Vigun06g141700.v1.2 transcript:Vigun06g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGVLSFDFEGGLDTAPSAAAAPSGPLVQHDSSAAASAVSNGGPPAPAPSATDPAAVNVPGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKARMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKSPGPPPPVEEVLQKIQHLYSYNYNSSNKFFQQRGSSYAQQAEKSQLPQGTNSTNQVVAGKPLPAESGNAQPQQPVQQSQQQVSQSQMQNVANGQPNQASRSATPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSRIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDGELMAVSVAAESKREEEKAKGVNPDNGGENPDIVPFEDNEEEEEEESDEEEESFGHGVGPAGQGRGRGRGMMWPPHMPLGRGARPMPGMQGFNPVMMGDGLSYGPVAPDGFGMPDLFGVGPRAFAPYGPRFSGDFGGPPAAMMFRGRPSQPGMFPGGGFGMMMNPGRGPFMGGMGVAGANPPRGGRPVNMAPMFPPPPPLPQNTNRLAKRDQRVSDRNDRYGSGSEQGKSQDMLSQSGAPDDEMQYQQGYKGNQDDHPAVNNFRNDDSESEDEAPRRSRHGEGKKKRRGPEDVNTNYNH >Vigun02g180200.3.v1.2 pep primary_assembly:ASM411807v1:2:32115903:32119774:-1 gene:Vigun02g180200.v1.2 transcript:Vigun02g180200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATSGHACPAPMKATSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKNYMQAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVLAIAMAGISLPFAIGIGSSFVLKQSIAKGSDNAAFLVFMGVALSITAFPVLARILAELKLLTTNVGRTAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEI >Vigun02g180200.4.v1.2 pep primary_assembly:ASM411807v1:2:32115903:32119758:-1 gene:Vigun02g180200.v1.2 transcript:Vigun02g180200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATSGHACPAPMKATSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKNYMQAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVLAIAMAGISLPFAIGIGSSFVLKQSIAKGSDNAAFLVFMGVALSITAFPVLARILAELKLLTTNVGRTAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEI >Vigun02g180200.2.v1.2 pep primary_assembly:ASM411807v1:2:32115870:32120282:-1 gene:Vigun02g180200.v1.2 transcript:Vigun02g180200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATSGHACPAPMKATSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKNYMQAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVLAIAMAGISLPFAIGIGSSFVLKQSIAKGSDNAAFLVFMGVALSITAFPVLARILAELKLLTTNVGRTAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEI >Vigun02g180200.1.v1.2 pep primary_assembly:ASM411807v1:2:32115903:32120271:-1 gene:Vigun02g180200.v1.2 transcript:Vigun02g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATSGHACPAPMKATSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKNYMQAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVLAIAMAGISLPFAIGIGSSFVLKQSIAKGSDNAAFLVFMGVALSITAFPVLARILAELKLLTTNVGRTAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEI >Vigun02g180200.5.v1.2 pep primary_assembly:ASM411807v1:2:32115903:32120276:-1 gene:Vigun02g180200.v1.2 transcript:Vigun02g180200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATSGHACPAPMKATSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNKNYMQAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVLAIAMAGISLPFAIGIGSSFVLKQSIAKAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEI >Vigun03g274200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45001480:45003225:-1 gene:Vigun03g274200.v1.2 transcript:Vigun03g274200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSPCAACKLLRRKCTQECVFAPYFPPDNPQRFECVHRVFGASNVSKLLNELSVAQRDDAVKSLAYEAEARLRDPVYGCVGLISLLQQRLRQIQMEIHSAKKELSTYVSTQTMQILLENPGMVPPAEIAVPPPHQPLFNHGGNNMAPQQILVGHGGGHTVVRDPQQQDFQEAQQIVLATREQQQMFRNYEHQVFGGFEPSNGGFGTQAELLSPSLALGNFGNVGAYQMQQLGEQHPIEAQLLLSPQQGQPHQQQQCESEDAKNVLDFSIK >Vigun05g103000.1.v1.2 pep primary_assembly:ASM411807v1:5:10286618:10290694:1 gene:Vigun05g103000.v1.2 transcript:Vigun05g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKERDEELSLFLEMRRKEKENEKNNLLLLETSEELDLSNLESNHGGSMNSKIVSSVPPRKHGVEEFLNSENDKSDYDWLLAPPDSPLFPTLEKESQISVKSEHETHNARPTALKPRVSNIQVEPASRSNVVSKHHASVPGIGSFTSGRKPSSGAPTPATSRSSTPSGRPTLPSTAKSSRPSTPTSRATLTSAKASIPPVRASTPTRSTSRSSTPTTARPSLAPPKTSQRSATPTLRSSTPSKAFGVSAPPSRPSSASKARPGPPAAKNPVPSRGSSPSVRSRPWEPSQMPGFSLDAPPNLKTSLIDRPASATRTRPAAPNSRSSSVDTSSNGKSRRQASTPTKGRTSTGLVHNNHTSMQVLSRARFTDANDESPVVIGTKMVERVVNMRKLAPPKHDDYHSSRDNAYGKSSSGTSGFGTTLSKKSLDMAMRHMDIRRSIQGNLRPLVTSIPASSMYSVRSGSSSKSRTVSVSDSPLATSSTTSSEPSVNNNSTSYDGSEIEENDFGSDGLA >Vigun11g042500.1.v1.2 pep primary_assembly:ASM411807v1:11:6106577:6108103:-1 gene:Vigun11g042500.v1.2 transcript:Vigun11g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHIVVLNDPGRLLSVHIMHTALVAGWAGSMALYELVVFDPSDPVLDPMWRQGMFNITRGAITNPGIRSYEGVAGAHIVFSSLCFLTAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQKIYRRVGAGLDENQNLSEAWSKIPEILAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDEIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGENFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAKVEFGAFQKLGDPTTRRQVV >Vigun07g061800.2.v1.2 pep primary_assembly:ASM411807v1:7:7019025:7028530:1 gene:Vigun07g061800.v1.2 transcript:Vigun07g061800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVSTIVAPSNTRNSARLFTVGGRNLRGNYPGRARCMKIYDRRNGFSGGIQMSGLLEVKCSANSHGVSPYNSQGPFLDLHPEVSMLRGEGSSTLNSPRKDSSREDLSESLGPVSIQSDDNEAKIKVIGVGGGGSNAVNRMIESSMHGVEFWIVNTDVQAIRMSPVYPENRLQIGQELTRGLGAGGNPETGMNAAKESRESIEEAIYGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGVLTVGIVTTPFSFEGRKRAIQAQEGITALRDNVDTLIVIPNDKLLTAVSQSTPVSEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFKRQEEGEGRPLQATQFTQADSSFGINRRSSSFSDGGLFEIPEFLKKKGGSRYPRD >Vigun07g061800.1.v1.2 pep primary_assembly:ASM411807v1:7:7019017:7028530:1 gene:Vigun07g061800.v1.2 transcript:Vigun07g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVSTIVAPSNTRNSARLFTVGGRNLRGNYPGRARCMKIYDRRNGFSGGIQMSGLLEVKCSANSHGVSPYNSQGPFLDLHPEVSMLRGEGSSTLNSPRKDSSREDLSESLGPVSIQSDDNEAKIKVIGVGGGGSNAVNRMIESSMHGVEFWIVNTDVQAIRMSPVYPENRLQIGQELTRGLGAGGNPETGMNAAKESRESIEEAIYGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGVLTVGIVTTPFSFEGRKRAIQAQEGITALRDNVDTLIVIPNDKLLTAVSQSTPVSEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFKRQEEGEGRPLQATQFTQADSSFGINRRSSSFSDGGLFEIPEFLKKKGGSRYPRD >Vigun01g055820.1.v1.2 pep primary_assembly:ASM411807v1:1:10904866:10915041:-1 gene:Vigun01g055820.v1.2 transcript:Vigun01g055820.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIWALIFQLKLRSNTMIQKREIELQRGKQRNSEGWREYQAYVSEGMQDSQDWKTIMKYQRKNGSLFNSPATTAGVFQRLKNAECLGYLQSVLEKFGNAVPKIHPLDIYARLCMIDSLERLGINHHFKEEIRSVLDDTYRFWVQGAEDIFLDPTTCAMAFRILRLNGYDVSSNPFYQYSEDKFAESLKGYLKDVGAVIELYKGSQAIIHPDESILVRQSLWTKQLLKQESSPYRLYADKLRCYVDQEVKDVLNFPLYANLERLLNRRSMEYYNVEETRISKSSYRSCNLASQEILKLAVEDFNICQSIHIEELKQLSRWVVEYRLDQL >Vigun09g269700.1.v1.2 pep primary_assembly:ASM411807v1:9:43234608:43238659:1 gene:Vigun09g269700.v1.2 transcript:Vigun09g269700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNLFPFLLLLLLLPSLSVTAHDYQQALSKAILFFEGQRSGFLPQDQRMQWRANSGLGDGWTYNVDLTGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGDMMPPDEHRNALVAIRWATDYLLKTVSQPNRIFVQVGDPISDHNCWERPEDMDTRRTVYAVDAPNPASDVAGETAAALAASSMAFRSSDPAYSETLLRNAVKAFQLADNYRGAYSDNADVRDGVCPYYCDFDGYQDELLWGAAWLRRATQDDNFLNYIQSNGKTLGAEENINEFGWDNKHAGLNVLVSKEVLEGNVLSLDSYKTSAESFLCTLIPETSSSHIEYTPGGLIYRPGGSNLQHATSIAFLELVYANYLSRTSQTINCGNMYVNAQTLRQLAKKQVDYILGDNPMGMSYMVGYGSKYPQRIHHRGSSLPSIKDHPQVIACKEGSIYFNSSNPNPNVLVGAIVGGPGEDDLYGDDRADFRKSEPTTYINAPFVGILAYFVANPNP >Vigun07g099666.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17568812:17572056:-1 gene:Vigun07g099666.v1.2 transcript:Vigun07g099666.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAQDNGGEDEKHVDDEASCNSKTLDEHTLDNTQNQKISDTSTIDETGEDGCLSSLGNNDESSKMESVIELVDVSEESNMANEDQDLLEKDQGKGKRFQRTSCIGGEEENTSKNWKGVIRRKRGVEDDDEMRKFNPKEPNFLPLVPEPKR >Vigun07g099666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17568812:17571994:-1 gene:Vigun07g099666.v1.2 transcript:Vigun07g099666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAQDNGGEDEKHVDDEASCNSKTLDEHTLDNTQNQKISDTSTIDETGEDGCLSSLGNNDESSKMESVIELVDVSEESNMANEDQDLLEKDQGKGKRFQRTSCIGGEEENTSKNWKGVIRRKRGVEDDDEMRKFNPKEPNFLPLVPEPKR >Vigun06g124400.1.v1.2 pep primary_assembly:ASM411807v1:6:25151740:25152856:1 gene:Vigun06g124400.v1.2 transcript:Vigun06g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQWPEGFCDYQIISGKRKCYSIPDKFVIHGLWPHRDDGKLPKCKTKTHLYRKDINPLVQALHSDWPNLVGEDFNFWKTQWTKHGGCSEATIPKAEYFNLTLHLYEQNNLLNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHDPELSCYHDPKLNVTALYQIRICLTSNGTSLINCPKIDVTCGDESLLFPKEKKEKYFFNN >Vigun11g076666.1.v1.2 pep primary_assembly:ASM411807v1:11:22583704:22584273:1 gene:Vigun11g076666.v1.2 transcript:Vigun11g076666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRGWLCCIITLYCTFHFVLKVREVFFLHPQVFFKIHICLLTAALNVLKFEYPYLLFTYPLFTLASSSAPNPTKLRSFVASLLPLSRRGAILVCSLHSSSSVFLEHIFNK >Vigun08g064833.1.v1.2 pep primary_assembly:ASM411807v1:8:9080483:9086504:-1 gene:Vigun08g064833.v1.2 transcript:Vigun08g064833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNFKKVREESFRSILFSQFCVILLFPALCNCREYFTNSRATYYGSPDDYGTPTGACGFGEYGRKMNWYDGRVAGVSGLWRNGADCGMYYQVKCKIPELCDANGAFLVATDQGYGDRTDFVMSPQAFSRLGRNQNASAELKKHGTVEIEYRRVPCTFMGNVLFHIKESSSNPGYLAVVILNLNGKYDVTAVEMWQKGQQRWEPLRRVYGGSV >Vigun08g064833.2.v1.2 pep primary_assembly:ASM411807v1:8:9080483:9086757:-1 gene:Vigun08g064833.v1.2 transcript:Vigun08g064833.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGEYFTNSRATYYGSPDDYGTPTGACGFGEYGRKMNWYDGRVAGVSGLWRNGADCGMYYQVKCKIPELCDANGAFLVATDQGYGDRTDFVMSPQAFSRLGRNQNASAELKKHGTVEIEYRRVPCTFMGNVLFHIKESSSNPGYLAVVILNLNGKYDVTAVEMWQKGQQRWEPLRRVYGGSV >Vigun01g168800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35045635:35049320:1 gene:Vigun01g168800.v1.2 transcript:Vigun01g168800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFGTRSALRILPNSHFNKFNSFFCSESLTLCESDSEYQRRLQKVQKLEALLNRGRTITARRFLKSLFLSKTTFSSLSELHAHVSKPVFSDTLLWLCSVSKMLDEATDLYFSMRKDGFLPSTHSVNRLLRALVASRHFEKTLSVFADVVDSGIRPDFVTYGKAVEAAVMLKDLDKGFALVSSMEKEGLGPYVFTYNLILGGLCKVRRIKDARKLFDEMIQRNMVPTTVTYNTLIDGYCKVGELEEAFGFKERMKELNVERNLVTYNCLLNGLCGSGRVEDAREVLLEMERCGFLPCGFLSVVFDGPSNGAGDHGLFDGKEIRIDERTYCILLNGLCRVGRIEKAEEVLNKLVHNGVTPSNISYNILVNAYCQEGDMKKATLTIEQMEERGMQPNRITFNTLISKFCETGEVDQAETWVKRMIEKDVSPTVETYNSLIHGYGQRGNFVRSFEILEEMEKAGIKPNVISYGSLINSLCKDRKLLDAEIMLADMIGRGVSPNAEIYNMLIEASFSLSKLKDAFRFFNEMMQGGIDATLVTYNTMINGLGRNGRVKEAEDMFHQMAGKGCNPNVVTYNSLISGYAKSLNTQKCIELYDRMKMVGIKPTIGTFHPLICASRRIGMAEVERRFQEMLQMDLIPDRFVYNEMIHGYAEDGNVLKAVSLHQQMLDQGVDSDKVTYNCLILAYLRDGRVSEIKHIVDDMKAKGLVPKTDTYSILVKGHCDLKDFNGAYFWYREMIDVGLLLNARICSLLISGLREEGMLPEAQIVSSELSSRGLNN >Vigun01g168800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35045626:35049320:1 gene:Vigun01g168800.v1.2 transcript:Vigun01g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFGTRSALRILPNSHFNKFNSFFCSESLTLCESDSEYQRRLQKVQKLEALLNRGRTITARRFLKSLFLSKTTFSSLSELHAHVSKPVFSDTLLWLCSVSKMLDEATDLYFSMRKDGFLPSTHSVNRLLRALVASRHFEKTLSVFADVVDSGIRPDFVTYGKAVEAAVMLKDLDKGFALVSSMEKEGLGPYVFTYNLILGGLCKVRRIKDARKLFDEMIQRNMVPTTVTYNTLIDGYCKVGELEEAFGFKERMKELNVERNLVTYNCLLNGLCGSGRVEDAREVLLEMERCGFLPCGFLSVVFDGPSNGAGDHGLFDGKEIRIDERTYCILLNGLCRVGRIEKAEEVLNKLVHNGVTPSNISYNILVNAYCQEGDMKKATLTIEQMEERGMQPNRITFNTLISKFCETGEVDQAETWVKRMIEKDVSPTVETYNSLIHGYGQRGNFVRSFEILEEMEKAGIKPNVISYGSLINSLCKDRKLLDAEIMLADMIGRGVSPNAEIYNMLIEASFSLSKLKDAFRFFNEMMQGGIDATLVTYNTMINGLGRNGRVKEAEDMFHQMAGKGCNPNVVTYNSLISGYAKSLNTQKCIELYDRMKMVGIKPTIGTFHPLICASRRIGMAEVERRFQEMLQMDLIPDRFVYNEMIHGYAEDGNVLKAVSLHQQMLDQGVDSDKVTYNCLILAYLRDGRVSEIKHIVDDMKAKGLVPKTDTYSILVKGHCDLKDFNGAYFWYREMIDVGLLLNARICSLLISGLREEGMLPEAQIVSSELSSRGLNN >Vigun01g204900.2.v1.2 pep primary_assembly:ASM411807v1:1:38074589:38079106:1 gene:Vigun01g204900.v1.2 transcript:Vigun01g204900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSLVLPESSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVISLSGRVLKPSFCLMTCCFPPVPLDGRFIRKCKQGCLQFVILKPILVVVTLVLYANGKYKDGNFSPKQSYLYLTIIYMISYTMALYVLALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIEDADEAALLQNFIICVEMLVAAVGHFYAFPYKEYAGANIGRSHGLTASLGHALKLNDFYHDTVHQFAPTYHEYVLYNHSEGEEGTKKYRSRTFVPIGPEMDSARRNRNMYGNKLDDIQLSSLSSSTSSSSTNSAPDDSNSVATKSSLLVDLSNSTPEPYDLTVIDLDVSSYPEKVPAVDQSGGR >Vigun01g204900.1.v1.2 pep primary_assembly:ASM411807v1:1:38073621:38079106:1 gene:Vigun01g204900.v1.2 transcript:Vigun01g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVPLFLYVVAFFCTVAAIALAVLHIYRHLLNYTEPTYQRFIVRIVFMVPVYALMSFLSLVLPESSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVISLSGRVLKPSFCLMTCCFPPVPLDGRFIRKCKQGCLQFVILKPILVVVTLVLYANGKYKDGNFSPKQSYLYLTIIYMISYTMALYVLALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIEDADEAALLQNFIICVEMLVAAVGHFYAFPYKEYAGANIGRSHGLTASLGHALKLNDFYHDTVHQFAPTYHEYVLYNHSEGEEGTKKYRSRTFVPIGPEMDSARRNRNMYGNKLDDIQLSSLSSSTSSSSTNSAPDDSNSVATKSSLLVDLSNSTPEPYDLTVIDLDVSSYPEKVPAVDQSGGR >Vigun04g180900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40502463:40504092:1 gene:Vigun04g180900.v1.2 transcript:Vigun04g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLIKRERTQKSLNLFCLCFNARNHHVPLLSSLSPSLCPHHHHHHHHHSSFLLTPQPPLEPKPTTIIIITSSSSSHHHRHGTNQAQQHLHPTTLMCFCFSSCCLTSTKKKHTNKRKPTSSSLSAILLLLSTQETLLSLFLGNQAFWSDH >Vigun06g127100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25405967:25407515:1 gene:Vigun06g127100.v1.2 transcript:Vigun06g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPVRPWFRLASIRSTPAAPAPTQEPRTTLGLPAFRTASAPSSPQSHPTQPQPQPHPAPEPSYQPNRFSSSSLPNSPVHKATLTTSSPPHSSPEKNTRVHVPSPIHSPKTIKQSDRSPMRSPNNSRTTTPPPSPLTLPPSQLKTEPKIPEQPEPKTVLVQKTIDRLGPWHNDATETHKNNANAHAHHGKHVTGRESESKEKGIHKKLSDSEDSGMRVITIAGENRGAFMELIQSPKKPEAKYLHKKGNSSINVDGVESEGSSAEDGGVSKKDKNQKGKTASSFPMAAYMNSNVQCVNNSLLYNTSCSHHDPGVRLSLSKKPFGEGFHLKEHGDGHHA >Vigun10g165000.2.v1.2 pep primary_assembly:ASM411807v1:10:38390668:38394296:-1 gene:Vigun10g165000.v1.2 transcript:Vigun10g165000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFSRFGFLIIGISISFYVFTAQSQGQNGRSGAESGSPAFMVSRPPPPPPPPPPPPPPPPPPPPSSSPPPSSLPGTRLVAPSPGSLPPLSDEMGAPQPQRFMHHRHHHHVHAPPPPPKLNAGKKVPVSKNFYIERRS >Vigun10g165000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38393382:38393879:-1 gene:Vigun10g165000.v1.2 transcript:Vigun10g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFSRFGFLIIGISISFYVFTAQSQGQNGRSGAESGSPAFMVSRPPPPPPPPPPPPPPPPPPPPSSSPPPSSLPGTRLVAPSPGSLPPLSDEMGAPQPQRFMHHRHHHHVHAPPPPPKLNAGKKVGLLFAGIAAIMQVGMVGYLVVKRRQLLNSDEDRYESCS >Vigun06g219400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32988310:32988942:1 gene:Vigun06g219400.v1.2 transcript:Vigun06g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSFFIFAFLVAISFSNMDIGLAGRHLMQTTPAIPSLTNPTLPPFPTLPTIPTLPQPSLPGTPTIPQVTLPPLPNLPSSFPSLSPPPSPSTP >Vigun09g264325.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42849992:42850864:-1 gene:Vigun09g264325.v1.2 transcript:Vigun09g264325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEELDLTLSLRCGGSKETPLSSPSPSLPVLKFFQTNLPLMQTFCPNPNPNPNLNHVPNVNPNPSLATFSNHNNNCLSMQSTNPPNAPVVVPKNVSTDTNSLGRSRRTVCIRRGKGMKETVRGKAKNETIPALFPWMKNQRASVHSKQYLSENNIHTIKGKVHCKRCDHEFELSLDLEEKLKDLCQFISRGKNKWHNRAPRVWMNPVFPKCPLCGRDSSTRPIIAKNKKEINWLFLLLGQMLGCCTLNHLKYFCKHNEIHRTGAKDRLLYDIYENLINQLVPGFLSKS >Vigun09g092150.1.v1.2 pep primary_assembly:ASM411807v1:9:12880562:12883612:1 gene:Vigun09g092150.v1.2 transcript:Vigun09g092150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSSHSISFSISHQEKLTTSSSTEHSSHPHSSFPMSVVSCKRRKTSKDWSS >Vigun03g251900.1.v1.2 pep primary_assembly:ASM411807v1:3:41805553:41809144:-1 gene:Vigun03g251900.v1.2 transcript:Vigun03g251900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTTTSRFYTPLFAIVLPVVVAAILFRLEPFEPVLLPVQLGQSVVVVPARNDHARVGSEAVGKGQLQGPEDLAYDAASRVVYTGCDDGWIKRVTVNDSVADSVIEDWVNTGGRPLGLTLLHNGELIVADAGKGLLKMTSEKEVEVLVDEFEGQKLKLTDGVDVAEDGTIYFTDASHKYPVHDAVFDILEGKPNARFFSYNPATKKTTLLAQDLYFANGVAVSPDQQFVVFCESTLMRCNKYYLQGPKKGTIEKFCEVLGMPDNIHYDGEGHYLIATFTALSPELDLAFRYPFIRKIAAIVTKYVCNLPISKNGGFAIVDLEGKLTAHYYDPKLALTSAIRIGNHIYAGSIFYPHITRFDIEKYPALPTV >Vigun01g041600.1.v1.2 pep primary_assembly:ASM411807v1:1:5838734:5846203:1 gene:Vigun01g041600.v1.2 transcript:Vigun01g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQTFLARKGPLSTVWIAAHLQHRLKKSHYTTTDIPSTVLRIMDPGVPIALRMSGYLLLGVVRIYSKKVEYLHQDCKDALTGLHKAFASIQFAQTEEVGPAPFQSVTLPGTFDLDAQNIDDQIDYTGTEDRNSRNPEDITLTDRIPVTTDYYVTVSFDEDVIMDSSHTEVLHDSGPIPMEEDIVPQSPSSLKGVGAADDGPSTQRESSTIQPDPTIHSPPQATTQVDPIEVRRGLGPSPPLPTQVEALEVGLDATNDDLNLENPPLFSNLEDNDPEPNRVHDSMIHDFDPERMSVPSQQRFNPPTPPTSQGGTTSDVPVLVDNTPNFVLPESPPIQQPQGRGRKRKQLFDENIVLKNKFMRSALNNPRDIMRKRREAPSSSLGIWKLNNSRRKEHIFYQPLLTGVDKNLLDICTGEYIRSRPHLVISEEDHADVGMAETLSPTNQVSEEPTAPTNQPPTDSVAPTNQPQVEQVAATSQISEEPIAATNQVTEEPITATSPVAAFDVEVEHARNVAVTPPPTFQAHSVVEDDYTSLDRRDDLTMTSLRDLSVASLGTNIASERMPTLDLGASPSAYGSETMQSPDSHMQHLINSAATDEFWFLNLGNNTPASSQGTSGSNMTLSERTKYTAEYLKRLNPITPILEDPAITPISEGSAGDLSDLSLNKILEGKTRHIAARMFFEVLVLQTNRLLEVKQDEPYGDISLKLATTPSNVQS >Vigun06g214400.2.v1.2 pep primary_assembly:ASM411807v1:6:32582136:32584118:-1 gene:Vigun06g214400.v1.2 transcript:Vigun06g214400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLLFGIGVLLFHSCLASEIPYPKAISDLKDSIVKGFGITGEDEVKITGFDPREAEVGHSVEYRFDLEIDHQVIPFKLLEDVKRWDYVDLPIFRSQDQAHTGLVKKRASDNGLPVLAPFVLAGPMELWIHDANDMRLSLPHDVDAGVLKKVILADGAAVTVKGARSVSLRQPLDFPLPLNRTENGFANGLLALAEHLRHASRTQASPILSLRIVGPTSIAAAPSDSTTSTSLKLKRLAPGLVELSSPLKTKEVESFSSVDLDGEAPTILTPTQFSTLWPLSSINGSNANLLGFEKLLHSVLGHKADKKGSFRLLKADVSAQTYVKIGFKAEKKVNEEELEDYPAWRTKPETVTTHFEVLAKVDGEKVVPEKVMQLKPVLPVDNVAPNLLAGNVSMSKMQDVHPPPNPFYL >Vigun06g214400.1.v1.2 pep primary_assembly:ASM411807v1:6:32581526:32584106:-1 gene:Vigun06g214400.v1.2 transcript:Vigun06g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLLFGIGVLLFHSCLASEIPYPKAISDLKDSIVKGFGITGEDEVKITGFDPREAEVGHSVEYRFDLEIDHQVIPFKLLEDVKRWDYVDLPIFRSQDQAHTGLVKKRASDNGLPVLAPFVLAGPMELWIHDANDMRLSLPHDVDAGVLKKVILADGAAVTVKGARSVSLRQPLDFPLPLNRTENGFANGLLALAEHLRHASRTQASPILSLRIVGPTSIAAAPSDSTTSTSLKLKRLAPGLVELSSPLKTKEVESFSSVDLDGEAPTILTPTQFSTLWPLSSINGSNANLLGFEKLLHSVLGHKADKKGSFRLLKADVSAQTYVKIGFKAEKKVNEEELEDYPAWRTKPETVTTHFEVLAKVDGEKVVPEKVMQLKPVLPVDNVAPNLLAGNVSMSKMQDVHPPPNPFYL >Vigun07g237800.1.v1.2 pep primary_assembly:ASM411807v1:7:35949198:35949666:1 gene:Vigun07g237800.v1.2 transcript:Vigun07g237800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKYVALFVMCLVMGASVAVMTLEERRKDCNSYCNTACMFPAKFCKWWCGGRCENPIFWEDSLDDDVSKKYPVPTEASYKAYLAEHPEESSSNTEKTEM >Vigun02g151400.1.v1.2 pep primary_assembly:ASM411807v1:2:29837299:29841286:1 gene:Vigun02g151400.v1.2 transcript:Vigun02g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPGLFTDIGKKAKDLLTKDYTSDRKLTVSSYSSAGVALTSTAVKKGGLSTGDVAALYKYKNTIIDVKLDTASIISTTLTFTDIIPSTKTIASFKLPDYNSGKLEVQYFHDHATLTTAVALNQSPLIDVSATVGTPSIAVGGEAGYDTTSGRFTKYTAGISVSKADSSASIIIGDKGDSIKASYVHHLDLLKKSAAVAEITRKFSTNENILTVGGSFAVDPLTQVKARLNNQGHLGALLQHEIIPKSVLTISGEIDTKALDKKPKFGLGVALKP >Vigun07g194700.1.v1.2 pep primary_assembly:ASM411807v1:7:31395088:31402410:-1 gene:Vigun07g194700.v1.2 transcript:Vigun07g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGPQILLHSRSPSLKLKLKPSSAFLLFPNPPFSGKCTRRRAFCSINSNSKPHASTSIVPDLLHYLNHSWTQFHATAEAKRQLLAAGFHMLNENQDWDLKPGGRYFFTRNMSCLVAFAVGEKYNVGDPFHVIAAHTDSPCLKLKPKTASCKCNYSMVNVQTYGAGLWYTWFDRDLSVAGRVILRNGQNSFVHKLVKVDRPILRIPTLAIHLDRTVNQDGFKPNLETHLLPLLSIKSEDTSSESKEKNGALSSKSYHHSLLMQVLSDELSCDVDDIVNIELNVCDTQPSCLGGGNNEFIFSGRLDNLASSYCALRALIDSCESPDNLASDHAIRMVALFDNEEVGSGSIQGAGAPTMFQAMRRIVGDLANNYVGEGSFERTIRQSFLVSADMAHGVHPNFMDKHEELHRPELQKGLVIKHNANQRYATSGITSFLFKEVGKIYNLPTQDFAVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSIREMCGKEDIDIAYKHFKGFYQSFSSVDKMLTVDC >Vigun07g186300.1.v1.2 pep primary_assembly:ASM411807v1:7:30303562:30336936:-1 gene:Vigun07g186300.v1.2 transcript:Vigun07g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSVSSSSLAKTLLHPFSFSLRPNVPKRLRFSRNSTSFLRKPSSISRQNKLAVVTAVKVSTQSENDGFILEDVPHLTNFLPDLPSYPNPLRKSQAYSIVKKNFVSPEDVVAQNVAIQKGSPRGVHFRRAGPREKIYFEPEEVRACIVTCGGLCPGINTVIREIVCGLNIMYGVQDILGIEGGYKGFYSKNTSMLTPKVVNDIHKRGGTFLRTSRGGHDTQKIVDNIEDRGINQVYIIGGDGTHKGASLIYEEVKRRGLQVAVAGIPKTIDNDIAVIDKSFGFETAVEEAQRAIDAAHVEVESVENGVGIVKLMGRYSGFIAMYATQASRDVDCCLIPESPFYLEGRGGLFEFIEERLKENGHFVIVVAEGAGQEYVAAEGHDAGKKDASGNKLLLDVGTWLSDKIKNYFTMFRKIAVNMKYIDPTYMIRAIPSNASDNIYCTLLAHGAVHGAMVGFTGFTVGPVNSRHAYIPIARVTERQKTVRLSDRMWARLLLSTNQPGFVASDQERVDK >Vigun02g102400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25717360:25721986:1 gene:Vigun02g102400.v1.2 transcript:Vigun02g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEVVIQNLGSFVQDQLATYWGVNQQIQKLSSNLTAIRAVLRDAEKKQITSHAVKDWLQKLTDAAYVLDDILDECSIHSTKMHSVDGRTSCLSRLHPKDIHFRFHIGKRIKDITERFHDIHTERLTFELRVDLTKKQAVDDDGWRETSSVITEPILYGRDGDRAKIVKFFLEDASSSDELTISAIVGMGGLGKTTLAKEVFHDDGISKHFDLKIWICVSDDFKVKEILQSIIECTLGPNQNVDNLEARRKKVEEALQSKRYLLVLDDVWNDNREKWTELKGMLESARGAKGATVLVTTRLQEVVSVMGTHYSYPLKALSEDDSWSLFKQYAFGPNTQERKELTVIGEEIVRKCVGSPLAIKTLGSCLRDENEVTQWKNVKESEIWDIREESNSITGEENSIMRALKLSYSNLEPYMRRCFSLCAIYPKDFEIEKEELTYLWMANGFIRCERNVEVEDIGNKVWKKLYSRSFFQEAKYDKFGMITTFKMHDLFHDLAQSIMGEECVVVTKKWLTPSSRVHYLKLEDYDMSVDASSFKKPESLRTLFYFSHVGLMLSNHCYLRALSTRFTMLSSLKNLAHLRYLSLSGFGGMHVSLNNSICELTKLQILKLTHWDKLYGLPKNLTQLQDLRHIVICECPSIVEMSPNTSKLRHLKTLSLFVVGSKAGYGLAELHSLKLGGTLRIKGLENVANEWDAKQANLMSKKELNHLHLSWDGNANSEGSNVNVERVLEALEPPSTLKSFKIKGYQGRHVSSWMRSRVALRDLVEVKFLDCDYCEELPPFGKLPHLKRLVVSGMKNVKWIDGETYDGVEEKAFPSLEKLSVENLPNLERLLRDEGVDMLPRLSQLIIDGVSNFKFPRLPFVEEVYYANMIDEVGFFMEGVVGNTPCLKTLNISSIKGVTTLPDQVGMVDALEVLEIKLWYDLEYFPEHVLEGLTSLRILRIRGCEKLKSLSEGVRHLTCLQRLRICCCPELVALPNNMSQLTALQHVSIANCSTLPNGLQRVPSLRSLYISDCKSTSLPDWLGDMTSLQKLDIWDCKELRSLPSSIQRLTNLSSLSIYNCSHLEKRCKRETGEDWQYINHIPNIEWETF >Vigun10g185800.2.v1.2 pep primary_assembly:ASM411807v1:10:40187134:40190439:1 gene:Vigun10g185800.v1.2 transcript:Vigun10g185800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCNNNKGEVHANFTKLKNSSARSGISLKSSSSVRSSPQNSPSFQRPHCTLTPRREGKGVAQCYGSNRILLWLLLITLWAYLGFFVQSRWAHDEKEEEFSGFKSRQSDAANSYVEQNQHHDLIAKNVSLSVNIEQVVNKPIDVALAKKEYGVQVQSQIKASSRKRRRRPMHVLRGKRRRKHKLVMSSGLEEMEPEIPSRNSTYGFLVGPFGSLEDRILQWNPQGSYETCNIKGDFAGLVWSRKFVLIFHELSMTGAPLSMMELATELLSCEASVHAVVLSKKGGLMQELARRQIKVLDDKAYLSFKTAAKADLVIAGSAVCASWIEQYIEHFPAGARKVAWWIMENRREYFDRAKDMLHRVKILIFLSEAQSKQWKKWCEEESIKLRLQPALVPLSVNDELAFVAGIPSTHSTQSFSAAKMDERRKLLRDSVRREMGLSDNDMLVMTLSSINRGKGQLFLLESARKIVEDEPLHHEDKKMAVSSNDGEHHDNSSVAFNNISSTFIKSKEVLSHNNVTTAQSLKILIGSVGSKSNKVDYVKVLLRFLAQHSNLSKSVLWTSATTHVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLP >Vigun10g185800.1.v1.2 pep primary_assembly:ASM411807v1:10:40187136:40190439:1 gene:Vigun10g185800.v1.2 transcript:Vigun10g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCNNNKGEVHANFTKLKNSSARSGISLKSSSSVRSSPQNSPSFQRPHCTLTPRREGKGVAQCYGSNRILLWLLLITLWAYLGFFVQSRWAHDEKEEEFSGFKSRQSDAANSYVEQNQHHDLIAKNVSLSVNIEQVVNKPIDVALAKKEYGVQVQSQIKASSRKRRRRPMHVLRGKRRRKHKLVMSSGLEEMEPEIPSRNSTYGFLVGPFGSLEDRILQWNPQGSYETCNIKGDFAGLVWSRKFVLIFHELSMTGAPLSMMELATELLSCEASVHAVVLSKKGGLMQELARRQIKVLDDKAYLSFKTAAKADLVIAGSAVCASWIEQYIEHFPAGARKVAWWIMENRREYFDRAKDMLHRVKILIFLSEAQSKQWKKWCEEESIKLRLQPALVPLSVNDELAFVAGIPSTHSTQSFSAAKMDERRKLLRDSVRREMGLSDNDMLVMTLSSINRGKGQLFLLESARKIVEDEPLHHEDKKMAVSSNDGEHHDNSSVAFNNISSTFIKSKEVLSHNNVTTAQSLKILIGSVGSKSNKVDYVKVLLRFLAQHSNLSKSVLWTSATTHVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHNVTGLVHPIGRTGNHVLAQHLRFLLKNSSAREKMGMEGRKKVQRMFLKQNMYEKFAEVLVMCMKTK >Vigun03g314600.1.v1.2 pep primary_assembly:ASM411807v1:3:50856405:50874274:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDRIDVGGILPIEDIAISSGVEDDLSDAEVSDDFFKPLVGSSPVEKSIKANNGYVPQMEKSSPLKDGGSEDTEECNSVNTSNSEPKSSKSAKRNKWKHDEVKKLIGMRGEMNDRFQVVKGRMALWEEISQNLLSNGISRSPGQCKSLWTSLLQKYEQEVKNEKNGKKKWPYLEEMERILSDSETPASK >Vigun03g314600.4.v1.2 pep primary_assembly:ASM411807v1:3:50856405:50874262:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDRIDVGGILPIEDIAISSGVEDDLSDAEVSDDFFKPLVGSSPVEKSIKANNGYVPQMEKSSPLKDGGSEDTEECNSVNTSNSEPKSSKSAKRNKWKHDEVKKLIGMRGEMNDRFQVVKGRMALWEEISQNLLSNGISRSPGQCKSLWTSLLQKYEEVKNEKNGKKKWPYLEEMERILSDSETPASK >Vigun03g314600.3.v1.2 pep primary_assembly:ASM411807v1:3:50856405:50874262:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDRIDVGGILPIEDIAISSGVEDDLSDAEVSDDFFKPLVGSSPVEKSIKANNGYVPQMEKSSPLKDGGSEDTEECNSVNTSNSEPKSSKSAKRNKWKHDEVKKLIGMRGEMNDRFQVVKGRMALWEEISQNLLSNGISRSPGQCKSLWTSLLQKYEQEVKNEKNGKKKWPYLEEMERILSDSETPASK >Vigun03g314600.5.v1.2 pep primary_assembly:ASM411807v1:3:50856405:50864004:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDGSYKPNYLI >Vigun03g314600.2.v1.2 pep primary_assembly:ASM411807v1:3:50856350:50874290:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDRIDVGGILPIEDIAISSGVEDDLSDAEVSDDFFKPLVGSSPVEKSIKANNGYVPQMEKSSPLKDGGSEDTEECNSVNTSNSEPKSSKSAKRNKWKHDEVKKLIGMRGEMNDRFQVVKGRMALWEEISQNLLSNGISRSPGQCKSLWTSLLQKYEEVKNEKNGKKKWPYLEEMERILSDSETPASK >Vigun03g314600.6.v1.2 pep primary_assembly:ASM411807v1:3:50856405:50874262:1 gene:Vigun03g314600.v1.2 transcript:Vigun03g314600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHSLLLLPLIRMASCTSLSLCPHTFCCRHRPYPTRRSLSCSLSSSSIHDTDGPKVLRKRSRRIEGPRKSMEDSVQRKMEEFYEGRDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWRHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFMAGPFEIEPITVTHSIPDCCGLVLRCSDGTILHTGDWKIDENPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSESVVADALLRNISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLTKEDIVLYSAKVIPGNESRVMEMLNRISDIGSTIIMGKNECLHTSGHGYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNKRVLSNGFISLGKENFQLMYSDGEKAFGTSSELFIDERMRIALDGIIVVSMEIFRPQNLDSPVENTLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPASCPLAHMERIVSEVLRKMVRKYSGKRPEVIVIAVENPAAVLANEIKTKLSGKFHVDGTPTFRKVLDGHGKENQRTKTQIRDRIDVGGILPIEDIAISSGVEDDLSDAEVSDDFFKPLVGSSPVEKSIKANNGYVPQMEKSSPLKDGGSEDTEECNSVNTSNSEPKSSKSAKRNKWKHDEVKKLIGMRGEMNDRFQVVKGRMALWEEISQNLLSNGISRSPGQCKSLWTSLLQKYENLARCSACIVGLLYLPRSFARSNFWK >Vigun09g031800.1.v1.2 pep primary_assembly:ASM411807v1:9:2706873:2711267:-1 gene:Vigun09g031800.v1.2 transcript:Vigun09g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGKDVYDVVAAVVPLYVALLLAYGSVRWWKIFTAEQCSGMNRFVSVIAVPFLSFHFISNNNPYTMNFRFLAADCVQKVVILAALFVWNTFTKWGSLDWTITLFSLSTLPNTLIMGVPLLKAMYGEFTGTLMIQIVVFQSVIWYTLLLFMFEYRGAKLLILEKFPHTAAAISSVRVDSRVSSLNAREPLHADAEIGENGELHVVVRSMSRSTFITMPSSLHKSHSTPRPSNFTGYPSYGETSNLQNAGFVDTAPEKMLWRSKSDGGGVSGGGFVYSYPSENPGFVGFNSGFVSPYLYPKPEFPGFISGLVTPYPSPKPVIAGSDNGGRRNAGSNNCLNIFGCGLNASSNSDVNNQRHEINRVGSFANVGPSQFPLETTASKAVHEIVIDEEAEHRAIGKQKEVKNEEADTNKNQQLPRASVMLKLILIMVWRNLIRNPNTYASVLGLVWSLIFFRWNIEVPSIIKGSIEIISNTGLGMAMFSLGLFMALQPKIITCGKTLAALAFGIKFLVGPFLILAASKIIGIHGVLLRVTIVQAALPQGIVPFVFAKEYNLHADVLSTAVIFGMVVALPVTIIYYVVLGL >Vigun04g063300.4.v1.2 pep primary_assembly:ASM411807v1:4:6812059:6818634:1 gene:Vigun04g063300.v1.2 transcript:Vigun04g063300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTQSHVLKLQLKLVTATRIGTNPLSLFKVWSFFCSKTVERAEEKEHTLNLFLHDIGTTMSSDLNDYKIIKEGEAEILMHAKNEVFYNKTQVNNRDISVAVLRTFISKRKQEHEAHLYKKTKGAPKVPENSEPSELVKEEEPDTTPPEDHKSNGECKIEEEISPEEPCSTMEEDSVKVTEECSTTEGQINPSEGKGRRELKPPRVLEALSASGLRSLRYAREVDGIGQVVAVDNDQASVDACRRNIKFNGSVAVSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRIVLACIESHANRYKRYIVPVLSVQMDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTISKNTSVRYLPGFGPVVPQECTDCGKKFNMGGPIWSAPIHDQEWVAAILADVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAIIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPADQPGSIILAKEPVLQANFSRAVSSLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGPEAINGALNQEDNEEPKKVKE >Vigun04g063300.2.v1.2 pep primary_assembly:ASM411807v1:4:6812059:6818634:1 gene:Vigun04g063300.v1.2 transcript:Vigun04g063300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLNDYKIIKEGEAEILMHAKNEVFYNKTQVNNRDISVAVLRTFISKRKQEHEAHLYKKTKGAPKVPENSEPSELVKEEEPDTTPPEDHKSNGECKIEEEISPEEPCSTMEEDSVKVTEECSTTEGQINPSEGKGRRELKPPRVLEALSASGLRSLRYAREVDGIGQVVAVDNDQASVDACRRNIKFNGSVAVSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRIVLACIESHANRYKRYIVPVLSVQMDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTISKNTSVRYLPGFGPVVPQECTDCGKKFNMGGPIWSAPIHDQEWVAAILADVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAIIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPADQPGSIILAKEPVLQANFSRAVSSLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGPEAINGALNQEDNEEPKSKKPRTEDTVTS >Vigun04g063300.3.v1.2 pep primary_assembly:ASM411807v1:4:6812059:6818634:1 gene:Vigun04g063300.v1.2 transcript:Vigun04g063300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLNDYKIIKEGEAEILMHAKNEVFYNKTQVNNRDISVAVLRTFISKRKQEHEAHLYKKTKGAPKVPENSEPSELVKEEEPDTTPPEDHKSNGECKIEEEISPEEPCSTMEEDSVKVTEECSTTEGQINPSEGKGRRELKPPRVLEALSASGLRSLRYAREVDGIGQVVAVDNDQASVDACRRNIKFNGSVAVSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRIVLACIESHANRYKRYIVPVLSVQMDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTISKNTSVRYLPGFGPVVPQECTDCGKKFNMGGPIWSAPIHDQEWVAAILADVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAIIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPADQPGSIILAKEPVLQANFSRAVSSLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGPEAINGALNQEDNEEPKKVKE >Vigun04g063300.1.v1.2 pep primary_assembly:ASM411807v1:4:6812059:6818634:1 gene:Vigun04g063300.v1.2 transcript:Vigun04g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTQSHVLKLQLKLVTATRIGTNPLSLFKVWSFFCSKTVERAEEKEHTLNLFLHDIGTTMSSDLNDYKIIKEGEAEILMHAKNEVFYNKTQVNNRDISVAVLRTFISKRKQEHEAHLYKKTKGAPKVPENSEPSELVKEEEPDTTPPEDHKSNGECKIEEEISPEEPCSTMEEDSVKVTEECSTTEGQINPSEGKGRRELKPPRVLEALSASGLRSLRYAREVDGIGQVVAVDNDQASVDACRRNIKFNGSVAVSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRIVLACIESHANRYKRYIVPVLSVQMDFYLRVFVRIYTSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTISKNTSVRYLPGFGPVVPQECTDCGKKFNMGGPIWSAPIHDQEWVAAILADVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAIIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPADQPGSIILAKEPVLQANFSRAVSSLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGPEAINGALNQEDNEEPKSKKPRTEDTVTS >Vigun07g225500.2.v1.2 pep primary_assembly:ASM411807v1:7:34778646:34782628:1 gene:Vigun07g225500.v1.2 transcript:Vigun07g225500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNKNLIVGGDFQRDRIDLSLAFPCSSGFPPFFYHCFTLISIMRSLRNFPSPLNHFSPSNLCMHLSSSSFRDHARVVLSLNMSHNFHNQKTGENREVQKPLQ >Vigun07g225500.1.v1.2 pep primary_assembly:ASM411807v1:7:34778930:34783036:1 gene:Vigun07g225500.v1.2 transcript:Vigun07g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNKNLIVGGDFQRDRIDLSLAFPCSSGFPPFFYHCFTLISIMRSLRNFPSPLNHFSPSNLCMHLSSSSFSV >Vigun07g225500.3.v1.2 pep primary_assembly:ASM411807v1:7:34778646:34782628:1 gene:Vigun07g225500.v1.2 transcript:Vigun07g225500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNKNLIVGGDFQRDRIDLSLAFPCSSGFPPFFYHCFTLISIMRSLRNFPSPLNHFSPSNLCMHLSSSSFSSHL >Vigun05g117980.1.v1.2 pep primary_assembly:ASM411807v1:5:12667619:12668077:1 gene:Vigun05g117980.v1.2 transcript:Vigun05g117980.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMKMNSPMKSAVRFVFVMLLVLFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFTNNKFIQSP >Vigun09g211200.1.v1.2 pep primary_assembly:ASM411807v1:9:38541570:38550658:1 gene:Vigun09g211200.v1.2 transcript:Vigun09g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASAEAVPSLSSTPSFTEATTSSSSSAAAAEDLAVGSRDGGGGAQETVAVDRRGEYSAVCRWTVHNFPRIKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLAIVNVADDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKLGYLFNTDSVLITADILILNESVNFTRDNNELQSSSSSSSSTSSSVVAGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGVEYLSMCLESKDTDKTVVLSDRSCWCLFRMSVLNQRPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFIGVDSGFLVDDTAVFSTSFHVIKEFSSFSKNGSVIAGRSGSGARKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQKMEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQDFTEHDSELSSSGSPLDAGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQAVGSDPDKNFWVRYRMAVVNQKNPTKTVWKESSICTKTWNNSVLQFMKVSDMLEVDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSCDGKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEVGPVADSVDACSKPSPDGSGAASPLECERESGAMESARVPGNERLDSVVVESSNTSAVQSSDLKGNGIQEKAVPGHPICPPETSATASENASFRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKITLVLDKAPKHLQADLVALVPKLVEQSEHPLAAYALLERLQKTDAEPALRIPVFGALSQLECGSEVWERILFQSFELLTDSNDEPLATTIDFIFKAASQCQHLPEAVRSVRVRLKNLGLEVSPCVLDFLSKTINSWGDVAETILRDIDCDDDYGDNCSALPCGIFLFGEHGTSPSGLHVIDEQAYQASRHFSDIYILFEMLSIPCLVAEASQTFERAVARGAISAQSVALVLQSRLSQRLNNNGRYVSENFQHTDGATEGDACEQLGAQRDDYTSVLGLAENLALSRDPCVKEFVKLLYMIMFRWFANESYRGRMLKRLVDRATSNTDSGREVDFDLDILVTLVCEEQEFIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRVREESKTEISNMAKEKSVISQKLSESEVTNNRLKSEMRAEMDRFSREKKELAEQAQEVESQLEWLRSERDDEIAKLSAEKKALHDRLHDAETQLSQLKSRKRDELKKVVKEKNALAERLKNAEAARKRFDEELKRFATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSLKELETLSRIHEDGLRQIHAIQQRKGSPGGSPLVSPHALPHTHGLYPTASLPMAVGLPPSIIPNGVGIHSNGHVNGAVGPWFNHS >Vigun11g155100.1.v1.2 pep primary_assembly:ASM411807v1:11:36451864:36455007:1 gene:Vigun11g155100.v1.2 transcript:Vigun11g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSRTTSSVEKSYELPDGQIITIGNERFRCPEVLFQPSMIGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFAGIADRMSKEISSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDEAGPSIVHRKCF >Vigun06g069900.1.v1.2 pep primary_assembly:ASM411807v1:6:19973957:19979934:-1 gene:Vigun06g069900.v1.2 transcript:Vigun06g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGKFADGGDGREMAAKRQRTVDPGSSFYGGSTGSNFMYNPSPYGYVSQPPPPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEGFCVLGYPLQVDFALQRNRQNMGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRRSAPRAKSYDEGKDSAEHTGVLRLRGLPFSASKDDIMDFFKDFGLSEDSIHIVMNSEGRPSGEAYVEFASAEDSKAAMVKDRMTLGSRYIELFPSSHSEMEEAISRGR >Vigun05g007300.3.v1.2 pep primary_assembly:ASM411807v1:5:646037:650508:1 gene:Vigun05g007300.v1.2 transcript:Vigun05g007300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTAKNLAITERKVQQKPGGCVGIFFQLIDWKRKLSKKKLFSKKLLPPARAKKFKGDEKMPNSKIHLIANENSGGFPSANKKGGNHGVDVEQKSEMRVPSLVARLMGLESIPTAQRDKSKKALCADEKNESLGGEHCELERQGMGLEMGVVKHDSRPQKLQKTGSYERRAVTRFGAEAFQIKNVLSRGRKYHHHHHHHPKLASSLKSPRIPSGKSASRSSRLIGAATKILEPGLQSRSRAKGSLTYTASMYPPKTGIMTNDVQNQSCYEAGSCKQLMEQTSCKNCGNLLDVVDCRLEVGGEPLDPPPVVSDVITATSMESSEKKGKSFTSLGHDRDVVLLRSQEKFISLDTEEKGKNNAHKSWNEPTVRRLSMPHDCLPKWNSSCQPSRTLEDDASSFRPKHKTQTQEQMLSSERYSSGSTMSDMQVKGVSSSTSDMNGAKDFVSMNRSLTGRTRTRSPTKADSSRFDLERKPYSRQHNSLSHVRTLERKRRIPHATQLEGTGSVYSVGAKQRNLHSNGLGGKRRDSSASSLNNSIIKNKQVAQGERVIKVKDNKINDVVSFTFNSPLKQKIGITAEREETSTDNESIKRPKPLRVDALGAFLEQKLKELTSQRDEELATGVPPKKSSAMILQELISALSSEHLICHDGHHVFNENVGLHYGEKQERLLGTSCNGNHLSPGSVLEASFSSSSLDESSGHGFHPDSMNHSCCGQLEHDTELSDSATSFTKGKIGEILSDLVSQLPRALESLHTYGTELTISKLNHVKDILLHAELVLGITTDRRQDEGPQLIIHRFLVDDLESMTSDTMWTDLSCDDSKQRKELKGFLLDCVVEYLESNCSLYFNSGFRAWTKLPLCMRAEMLAKEVKREINKWLSMVGMVPDEIIEWEMSHSLGKWTDFGIEACEAGVDIDGDILQILVDEVVEDLVGSTHGSITF >Vigun05g007300.1.v1.2 pep primary_assembly:ASM411807v1:5:645811:650545:1 gene:Vigun05g007300.v1.2 transcript:Vigun05g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTAKNLAITERKVQQKPGGCVGIFFQLIDWKRKLSKKKLFSKKLLPPARAKKFKGDEKMPNSKIHLIANENSGGFPSANKKGGNHGVDVEQKSEMRVPSLVARLMGLESIPTAQRDKSKKALCADEKNESLGGEHCELERQGMGLEMGVVKHDSRPQKLQKTGSYERRAVTRFGAEAFQIKNVLSRGRKYHHHHHHHPKLASSLKSPRIPSGKSASRSSRLIGAATKILEPGLQSRSRAKGSLTYTASMYPPKTGIMTNDVQNQSCYEAGSCKQLMEQTSCKNCGNLLDVVDCRLEVGGEPLDPPPVVSDVITATSMESSEKKGKSFTSLGHDRDVVLLRSQEKFISLDTEEKGKNNAHKSWNEPTVRRLSMPHDCLPKWNSSCQPSRTLEDDASSFRPKHKTQTQEQMLSSERYSSGSTMSDMQVKGVSSSTSDMNGAKDFVSMNRSLTGRTRTRSPTKADSSRFDLERKPYSRQHNSLSHVRTLERKRRIPHATQLEGTGSVYSVGAKQRNLHSNGLGGKRRDSSASSLNNSIIKNKQVAQGERVIKVKDNKINDVVSFTFNSPLKQKIGITAEREETSTDNESIKRPKPLRVDALGAFLEQKLKELTSQRDEELATGVPPKKSSAMILQELISALSSEHLICHDGHHVFNENVGLHYGEKQERLLGTSCNGNHLSPGSVLEASFSSSSLDESSGHGFHPDSMNHSCCGQLEHDTELSDSATSFTKGKIGEILSDLVSQLPRALESLHTYGTELTISKLNHVKDILLHAELVLGITTDRRQDEGPQLIIHRFLVDDLESMTSDTMWTDLSCDDSKQRKELKGFLLDCVVEYLESNCSLYFNSGFRAWTKLPLCMRAEMLAKEVKREINKWLSMVGMVPDEIIEWEMSHSLGKWTDFGIEACEAGVDIDGDILQILVDEVVEDLVGSTHGSITF >Vigun05g007300.2.v1.2 pep primary_assembly:ASM411807v1:5:646037:650508:1 gene:Vigun05g007300.v1.2 transcript:Vigun05g007300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTAKNLAITERKVQQKPGGCVGIFFQLIDWKRKLSKKKLFSKKLLPPARAKKFKGDEKMPNSKIHLIANENSGGFPSANKKGGNHGVDVEQKSEMRVPSLVARLMGLESIPTAQRDKSKKALCADEKNESLGGEHCELERQGMGLEMGVVKHDSRPQKLQKTGSYERRAVTRFGAEAFQIKNVLSRGRKYHHHHHHHPKLASSLKSPRIPSGKSASRSSRLIGAATKILEPGLQSRSRAKGSLTYTASMYPPKTGIMTNDVQNQSCYEAGSCKQLMEQTSCKNCGNLLDVVDCRLEVGGEPLDPPPVVSDVITATSMESSEKKGKSFTSLGHDRDVVLLRSQEKFISLDTEEKGKNNAHKSWNEPTVRRLSMPHDCLPKWNSSCQPSRTLEDDASSFRPKHKTQTQEQMLSSERYSSGSTMSDMQVKGVSSSTSDMNGAKDFVSMNRSLTGRTRTRSPTKADSSRFDLERKPYSRQHNSLSHVRTLERKRRIPHATQLEGTGSVYSVGAKQRNLHSNGLGGKRRDSSASSLNNSIIKNKQVAQGERVIKVKDNKINDVVSFTFNSPLKQKIGITAEREETSTDNESIKRPKPLRVDALGAFLEQKLKELTSQRDEELATGVPPKKSSAMILQELISALSSEHLICHDGHHVFNENVGLHYGEKQERLLGTSCNGNHLSPGSVLEASFSSSSLDESSGHGFHPDSMNHSCCGQLEHDTELSDSATSFTKGKIGEILSDLVSQLPRALESLHTYGTELTISKLNHVKDILLHAELVLGITTDRRQDEGPQLIIHRFLVDDLESMTSDTMWTDLSCDDSKQRKELKGFLLDCVVEYLESNCSLYFNSGFRAWTKLPLCMRAEMLAKEVKREINKWLSMVGMVPDEIIEWEMSHSLGKWTDFGIEACEAGVDIDGDILQILVDEVVEDLVGSTHGSITF >Vigun10g059400.1.v1.2 pep primary_assembly:ASM411807v1:10:11606847:11608695:1 gene:Vigun10g059400.v1.2 transcript:Vigun10g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAISFSYIVHMRTISRGVVILFSITMLLFDTTQSVVLEPDENQIKSTTFLSENFAVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHSNDIPHVKKANIPMTKGGYLIYGTAHMYTGVVNVTLYGQNGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun03g060500.3.v1.2 pep primary_assembly:ASM411807v1:3:4954475:4958454:1 gene:Vigun03g060500.v1.2 transcript:Vigun03g060500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTTKIVSMMQSEGLYASQGGPIILSQIENEYQNVEKAFGEDGSQYVQWAAKIAVGLKTGVPWVMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGEAYIRSAEDIAFHVTLFIARKNGSYVNYYMYHGGTNFGRTTSAYVITSYYDQAPLDEYGFLRQPKWGQLRELHAAIKSCSTTLLEGKQSNFSLGQRQEGYVFEAEEGKCVAFLVNNDYVNMFDVQFRNRSYKLPPNSISILPDCQNVTFNTATVNTKSNRRVISPIQTFSSADKWEQFQDVIPNFDHIALISNTLLEQMNVTKDKSDYLWYTLRFENNLSCSESKLNIQSAAHVTHAFADNTYLGGAHGSHDVKSFTTEVPLTLNKGTNNISILSVMVGLPDSGAFLERRFAGLTTVEIQCSEGSFDLTNSTWGYQVGLLGEKLQIYEPSSNVQWSPLGNTSNQNLIWYKTTFERPKGDEGVALNLESMGKGEVWVNGQSLGRYWVSFHDSKGQPSQILYHVPGSFLKDTENVLVLFEEEGGNPLHISLNTVTTTTTDD >Vigun03g060500.4.v1.2 pep primary_assembly:ASM411807v1:3:4952775:4958454:1 gene:Vigun03g060500.v1.2 transcript:Vigun03g060500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGIYMSPKSDRGFPFWLHDIPGIVYRTDNEPFKIYMQNFTTKIVSMMQSEGLYASQGGPIILSQIENEYQNVEKAFGEDGSQYVQWAAKIAVGLKTGVPWVMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGEAYIRSAEDIAFHVTLFIARKNGSYVNYYMYHGGTNFGRTTSAYVITSYYDQAPLDEYGFLRQPKWGQLRELHAAIKSCSTTLLEGKQSNFSLGQRQEGYVFEAEEGKCVAFLVNNDYVNMFDVQFRNRSYKLPPNSISILPDCQNVTFNTATVNTKSNRRVISPIQTFSSADKWEQFQDVIPNFDHIALISNTLLEQMNVTKDKSDYLWYTLRFENNLSCSESKLNIQSAAHVTHAFADNTYLGGAHGSHDVKSFTTEVPLTLNKGTNNISILSVMVGLPDSGAFLERRFAGLTTVEIQCSEGSFDLTNSTWGYQVGLLGEKLQIYEPSSNVQWSPLGNTSNQNLIWYKTTFERPKGDEGVALNLESMGKGEVWVNGQSLGRYWVSFHDSKGQPSQILYHVPGSFLKDTENVLVLFEEEGGNPLHISLNTVTTTTTDD >Vigun03g060500.2.v1.2 pep primary_assembly:ASM411807v1:3:4952775:4958454:1 gene:Vigun03g060500.v1.2 transcript:Vigun03g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGIYMSPKSDSGRYDLVRFIKEIQAQGLYVCLRIGPYIESEWAYGGFPFWLHDIPGIVYRTDNEPFKIYMQNFTTKIVSMMQSEGLYASQGGPIILSQIENEYQNVEKAFGEDGSQYVQWAAKIAVGLKTGVPWVMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGEAYIRSAEDIAFHVTLFIARKNGSYVNYYMYHGGTNFGRTTSAYVITSYYDQAPLDEYGFLRQPKWGQLRELHAAIKSCSTTLLEGKQSNFSLGQRQEGYVFEAEEGKCVAFLVNNDYVNMFDVQFRNRSYKLPPNSISILPDCQNVTFNTATVNTKSNRRVISPIQTFSSADKWEQFQDVIPNFDHIALISNTLLEQMNVTKDKSDYLWYTLRFENNLSCSESKLNIQSAAHVTHAFADNTYLGGAHGSHDVKSFTTEVPLTLNKGTNNISILSVMVGLPDSGAFLERRFAGLTTVEIQCSEGSFDLTNSTWGYQVGLLGEKLQIYEPSSNVQWSPLGNTSNQNLIWYKTTFERPKGDEGVALNLESMGKGEVWVNGQSLGRYWVSFHDSKGQPSQILYHVPGSFLKDTENVLVLFEEEGGNPLHISLNTVTTTTTDD >Vigun03g060500.1.v1.2 pep primary_assembly:ASM411807v1:3:4952775:4958454:1 gene:Vigun03g060500.v1.2 transcript:Vigun03g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVSLVLILLLLLVSLTVGDSDFETEVTYDGRSLIIDGHRKILFSGSIHYPRSTPQMWPALIAKAKEGGLDVIQTYVFWNLHEPQIGQYDFSGRYDLVRFIKEIQAQGLYVCLRIGPYIESEWAYGGFPFWLHDIPGIVYRTDNEPFKIYMQNFTTKIVSMMQSEGLYASQGGPIILSQIENEYQNVEKAFGEDGSQYVQWAAKIAVGLKTGVPWVMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGEAYIRSAEDIAFHVTLFIARKNGSYVNYYMYHGGTNFGRTTSAYVITSYYDQAPLDEYGFLRQPKWGQLRELHAAIKSCSTTLLEGKQSNFSLGQRQEGYVFEAEEGKCVAFLVNNDYVNMFDVQFRNRSYKLPPNSISILPDCQNVTFNTATVNTKSNRRVISPIQTFSSADKWEQFQDVIPNFDHIALISNTLLEQMNVTKDKSDYLWYTLRFENNLSCSESKLNIQSAAHVTHAFADNTYLGGAHGSHDVKSFTTEVPLTLNKGTNNISILSVMVGLPDSGAFLERRFAGLTTVEIQCSEGSFDLTNSTWGYQVGLLGEKLQIYEPSSNVQWSPLGNTSNQNLIWYKTTFERPKGDEGVALNLESMGKGEVWVNGQSLGRYWVSFHDSKGQPSQILYHVPGSFLKDTENVLVLFEEEGGNPLHISLNTVTTTTTDD >Vigun07g197900.1.v1.2 pep primary_assembly:ASM411807v1:7:31792405:31795554:1 gene:Vigun07g197900.v1.2 transcript:Vigun07g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSIGLSANSWLRCHLPTPPSCFPVSVSYSSSSSNSSNFCHYIRRASSEGLPNEVVEDSKFVPLNAEDPIYGPPALLLLGFEADEALKIQQLLKELDGEFLKVIYCTEDMITSSLWEAMHTTQQSLEEIKIAKPLPRICFLSGLSGEEMMMFIDSFPETGLKPAAFAALVPNSANKPLQELIEEVRGDHEMLTGEEL >Vigun05g088600.1.v1.2 pep primary_assembly:ASM411807v1:5:8464354:8470734:1 gene:Vigun05g088600.v1.2 transcript:Vigun05g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPWLSAWRVRRNARIRERKRFEAIEMQKLRKTATRRCRNCLSPYRDQNPGGGRFMCFNCGHVSKRPVLDLPVPPGLGISNSSIVKDLVGKGGKILNSKVWSENGWMCGQEWLENGNWVGGSIPGNPNNWRTSESTGLFGGAEHCSTERSYCGLLFLVCKLLTSFFKSIRWLWRKAFRVSSREECSSDAEHRAFLAKRGENGVGLGESRGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRKLRDEKVEAEKDHSRSSNPSKDKERRKEAERKRQEKRKEKDKGSSKSNSDAEELERRAGKESERKRDFDKKSEMDRREQHKSGLESGKGQNMDNAPNKNVPANNYNRGGTGARYLDRMRGTFLSSSKAFGFSRSNNIPSTVVKENKFNSSVDHVHTAPSRREICPPERPAAKSNLNCDDRITQSVLPESQPWSTAPKKSWQQLFTRSSSVSQSSNSNVICRPNSKPQAETKSPQLSAQSPVTQTFTNPIQFGLPSPFNISTHASVPTSSSLGFSPAIEPLFSPVGNTLHVFRQDEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGTGFGTENAVTKPHSLKSISASSDVNKPSPIESPSSREKQSCSNWFPSTPMGQDRRGFPLDDAAANEKGTWQMWSSAPLVQDGLSIVGGPGSWLLSSQRNTPNKDDFVVSSSQNAVASFFNKEDSIISSIHSPQNVFLPNGHSGENFSPVTGSSGYDPWSQSALFPPLSGGLIGQEAATKNDMIYGSPSESASSHGLDGSPANCWSKKEWHVDGSVESIGKSAVSRPYSGGQQPTSDVQSFWSFD >Vigun05g011400.1.v1.2 pep primary_assembly:ASM411807v1:5:923909:924788:-1 gene:Vigun05g011400.v1.2 transcript:Vigun05g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRKLSLEQIEAMTHATINKIHFSNARERLRHGTTVTYHDCTAAGYGWLLPGWVAEERRVQSGRIYRYYYDPNGSFYESQQKVLEFLERLWGIIVLDT >Vigun08g027500.4.v1.2 pep primary_assembly:ASM411807v1:8:2468942:2474153:1 gene:Vigun08g027500.v1.2 transcript:Vigun08g027500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLLHRLRINSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGGSDVELDDTEWRDKEEKIVRDIEPIVKLTRHILFSPRYKNGELLSMEDERAIAENVLIYHPDYEDKIGSGLCGIMVLSSGQLLRMCCQLLRMCCIFLAF >Vigun08g027500.3.v1.2 pep primary_assembly:ASM411807v1:8:2468942:2474153:1 gene:Vigun08g027500.v1.2 transcript:Vigun08g027500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLLHRLRINSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGGSDVELDDTEWRDKEEKIVRDIEPIVKLTRHILFSPRYKNGELLSMEDERAIAENVLIYHPDYEDKIGSGLCGIMVLSSGQLLRMCCQLLRMCCIFLAF >Vigun08g027500.1.v1.2 pep primary_assembly:ASM411807v1:8:2468942:2474153:1 gene:Vigun08g027500.v1.2 transcript:Vigun08g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLLHRLRINSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGGSDVELDDTEWRDKEEKIVRDIEPIVKLTRHILFSPRYKNGELLSMEDERAIAENVLIYHPDYEDKIGSGLCGIMVDQHPLYLFPRCLFVVRTDCSWIDFSYRVCLEEYIKNKYQISAERFVNKRFLKDLTKRWGI >Vigun08g027500.2.v1.2 pep primary_assembly:ASM411807v1:8:2468942:2474153:1 gene:Vigun08g027500.v1.2 transcript:Vigun08g027500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLLHRLRINSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGGSDVELDDTEWRDKEEKIVRDIEPIVKLTRHILFSPRYKNGELLSMEDERAIAENVLIYHPDYEDKIGSGLCGIMVLSSGQLLRMCCQLLRMCCIFLAF >Vigun04g028150.1.v1.2 pep primary_assembly:ASM411807v1:4:2176309:2176704:1 gene:Vigun04g028150.v1.2 transcript:Vigun04g028150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHMEQQKQLRLTPLCSLGFCHPPSLPPSISHHSSITTKLDTHQFWLLKIINLSVDGTKKLYSDKLKKELA >Vigun05g003800.1.v1.2 pep primary_assembly:ASM411807v1:5:319457:322614:-1 gene:Vigun05g003800.v1.2 transcript:Vigun05g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEIKSPPESSLEGTPGTSINRKKLAIYFIESEDRRMAFGRGYTAGSTPVDIHGKSIVDLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFTSSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATIEKFVPNQGDCDQFSLLLGNCEPAKTWQMTYLYTFLYITAFGAAGIRPCVSSFGADQFDERSKNYKEHLDRFFNFFYLSVTIGAIVAFTAVVYVQMQYGWGSAFGSLAIAMGISNMVFFIGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAPFSSSDFIGLYEVPGRHSAIKGSRKIPHTNHFRFLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPVPACTIMLNVVLTEFLTLSVQQAYTLNTHLGRLKLPVTCMPVFPGLSVFLILSLYYSLFVPIFRRITGHPHGASQLQRVGIGLAVSILSVAWAAIFERYRRNYAIRNEFEATFLTPMPNLSAYWLLIQYCLIGVAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFVATIINNIIKSATGNVDKGQPSWLSQNINTGRFDYFYWLLAALSALNFCVFVYSARRYKYRAQHDHEMEKREMSYVGN >Vigun02g041300.4.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun02g041300.9.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun02g041300.14.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880280:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun02g041300.15.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun02g041300.11.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880293:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun02g041300.10.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880293:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFAGRKKKEAHALRLRNSSFGMVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun02g041300.5.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun02g041300.1.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFAGRKKKEAHALRLRNSSFGMVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun02g041300.12.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880293:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun02g041300.7.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun02g041300.3.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun02g041300.16.v1.2 pep primary_assembly:ASM411807v1:2:16878459:16878844:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun02g041300.2.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFAGRKKKEAHALRLRNSSFGMVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun02g041300.13.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880293:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun02g041300.8.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun02g041300.6.v1.2 pep primary_assembly:ASM411807v1:2:16876767:16880278:-1 gene:Vigun02g041300.v1.2 transcript:Vigun02g041300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSYSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun09g276100.1.v1.2 pep primary_assembly:ASM411807v1:9:43645490:43647178:1 gene:Vigun09g276100.v1.2 transcript:Vigun09g276100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELTEEGQQRKDYVDPPPAPLIDLAEIKLWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIVAQCLGAISGVGLVKAFMKHPYNSLGGGANSVSPGYSEGTALGAEIIGTFVLVYTVFAATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNGKVWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >Vigun04g128700.2.v1.2 pep primary_assembly:ASM411807v1:4:32390290:32397279:-1 gene:Vigun04g128700.v1.2 transcript:Vigun04g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAVNALQFYSNVQPSIRGRNVYVQFSSHQELTTMDQNQAREDEPNRILLVTVHHMLYPITADVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARSTLQGRNIYDGCCQLDIQYSNLDELQVNYNNDRSRDFTNPNLPTEQKGRSSQPGYGDAGGMYSGARTAGFSQMANAAAIAAAFGGGLPPGITGTNERCTILVANLSPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAMLFGKRLEVNYSKYANISQGADTHEYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEDEIVSLLEEHGNIVNCKVFEMNAKKQALVQFDTEEQATEALVCKHASPLSGSVVRISFSQLQNI >Vigun04g128700.1.v1.2 pep primary_assembly:ASM411807v1:4:32390290:32397279:-1 gene:Vigun04g128700.v1.2 transcript:Vigun04g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAVNALQFYSNVQPSIRGRNVYVQFSSHQELTTMDQNQAREDEPNRILLVTVHHMLYPITADVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARSTLQGRNIYDGCCQLDIQYSNLDELQVNYNNDRSRDFTNPNLPTEQKGRSSQPGYGDAGGMYSGARTAGFSQMANAAAIAAAFGGGLPPGITGTNERCTILVANLSPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAMLFGKRLEVNYSKYANISQGADTHEYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEDEIVSLLEEHGNIVNCKVFEMNAKKQALVQFDTEEQATEALVCKHASPLSGSVVRISFSQLQNI >Vigun07g038600.1.v1.2 pep primary_assembly:ASM411807v1:7:3732430:3735073:-1 gene:Vigun07g038600.v1.2 transcript:Vigun07g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIAALFFVVLVALAYQAIQPPPPRTCGSPNGPPITASRIKLRDGRHLAYKEHGVPPQLAKNKIVYLHGFGSCRHDAVIATSLPNGVLEELGVCVVSFDRPGYGESDPDPNRTMKSLALDVEELADKLELGDKFYVVGFSMGGQAVWGCLKFIPHRLAGATLLTPVVNYWWHNLPSNITTKSYYQQPTRDQWALRVAHYFPSLTFWWFTQKFFPSSSAVNRNPKVFSDQDLSILSKIKTRQHQSHVQQQGEAESICRDAIVGFGRWDFDPLDIDNPFPDNTGHVHLWQGDDDKLIPVQLQRYIAQNIPWIQYHEIPGSGHLFPHLHEISANIIKTQLQQ >Vigun07g038600.4.v1.2 pep primary_assembly:ASM411807v1:7:3732430:3734587:-1 gene:Vigun07g038600.v1.2 transcript:Vigun07g038600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLALDVEELADKLELGDKFYVVGFSMGGQAVWGCLKFIPHRLAGATLLTPVVNYWWHNLPSNITTKSYYQQPTRDQWALRVAHYFPSLTFWWFTQKFFPSSSAVNRNPKVFSDQDLSILSKIKTRQHQSHVQQQGEAESICRDAIVGFGRWDFDPLDIDNPFPDNTGHVHLWQGDDDKLIPVQLQRYIAQNIPWIQYHEIPGSGHLFPHLHEISANIIKTQLQQ >Vigun07g038600.3.v1.2 pep primary_assembly:ASM411807v1:7:3732430:3735073:-1 gene:Vigun07g038600.v1.2 transcript:Vigun07g038600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLALDVEELADKLELGDKFYVVGFSMGGQAVWGCLKFIPHRLAGATLLTPVVNYWWHNLPSNITTKSYYQQPTRDQWALRVAHYFPSLTFWWFTQKFFPSSSAVNRNPKVFSDQDLSILSKIKTRQHQSHVQQQGEAESICRDAIVGFGRWDFDPLDIDNPFPDNTGHVHLWQGDDDKLIPVQLQRYIAQNIPWIQYHEIPGSGHLFPHLHEISANIIKTQLQQ >Vigun07g038600.2.v1.2 pep primary_assembly:ASM411807v1:7:3732430:3735073:-1 gene:Vigun07g038600.v1.2 transcript:Vigun07g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLQQAFPIPGYGESDPDPNRTMKSLALDVEELADKLELGDKFYVVGFSMGGQAVWGCLKFIPHRLAGATLLTPVVNYWWHNLPSNITTKSYYQQPTRDQWALRVAHYFPSLTFWWFTQKFFPSSSAVNRNPKVFSDQDLSILSKIKTRQHQSHVQQQGEAESICRDAIVGFGRWDFDPLDIDNPFPDNTGHVHLWQGDDDKLIPVQLQRYIAQNIPWIQYHEIPGSGHLFPHLHEISANIIKTQLQQ >Vigun04g114300.1.v1.2 pep primary_assembly:ASM411807v1:4:28649458:28652259:-1 gene:Vigun04g114300.v1.2 transcript:Vigun04g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2 MAKHDVEGGSFSAKDYHDPPPAPLIDGEELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKAGGDLCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKGYYNRYGGGANELSEGYSTGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDHWMFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSNPTI >Vigun02g014750.1.v1.2 pep primary_assembly:ASM411807v1:2:5440850:5441766:1 gene:Vigun02g014750.v1.2 transcript:Vigun02g014750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTATAPHQHRTTTARPPQVLLARPLLHATTPPRRRRAGAPHQPRTATAQHRRFSSRDRSSTQPLLRACAPSHNHSSPPRRPSSRCRSSFNAAAPRPSRIAPPFTEPLFAIRTTTPLLGSSSAVSRAPNRALNHALSRCRRSIAPPRR >Vigun08g219700.1.v1.2 pep primary_assembly:ASM411807v1:8:37877155:37877818:-1 gene:Vigun08g219700.v1.2 transcript:Vigun08g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGRPLPKFGEWDVNDPSSANDFSVIFNKARNEKKYGPKVSLPPKRCHSNYNPRDALRKSHYKKWFCCMTTPAEP >Vigun04g092100.1.v1.2 pep primary_assembly:ASM411807v1:4:17652198:17655936:1 gene:Vigun04g092100.v1.2 transcript:Vigun04g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQGEFDRLLFFEHARKAAEAEYIKNPTDAENLTRWGGALLELSQFQNFPESKKMTQEAISKLEEALEVNPKKHDTLWCLGNAHTSMAFLNPDQEEAKVYFDKAAQYFRQAVDEDPSNELYLKSLEVAAKAPELYVEIRQHGLGQQQQAATAGSSTSSATKTQKKKKSSDLKYDIFGWVILAVGIVAWVGFAKSNLPQPPPPPPR >VigunL059011.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:5569:5928:-1 gene:VigunL059011.v1.2 transcript:VigunL059011.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun10g162100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38113392:38116907:1 gene:Vigun10g162100.v1.2 transcript:Vigun10g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQHKSLLFISSFHFSTYRIFLLPQKPTFFHTSLFLHYSDKSYLFYSLSLSLSLSLSLSFSVSVCLRRLIPFIMESLPLETAEKIILRWDSTASEDARDKMIFSGDRDEADLYLQAVDEIQRSLSSVSVSEKVNSAIQIAMARLEDEFRNILISHTNPFDPTTEDEASQTLDPPSSSSSPKHPDNDESDEVTQTSLLRFNSDGAASSVTGSYRSTSSIREIDLIPSDAVYDLRCIAERMVSSGYLRECIQVYGSVRKSSVDASFRKLGIEKLSIGDVQRLQWEQLENKIRRWIRAAKVCVRTLFASEKKLCEQIFDGVGTSIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALTELMPDIDVVFDSKSSETIRVQAAEILSRLGEAARGILSEFENAVLKEPSRVPVPGGTIHPLTRYVMNYISLISDYKVTLNELIVSKPSTGSRYSGDPGIPDLDLSEFEEKTPLDVHLIWIIVILQFNLDGKSKHYKDASLAHLFVMNNVHYIVQKVRGSPELREMIGDDYLKKLTGKFRQAATSYQRATWVRVLYCLRDEGLHVSGGFSSGVSKSALRERFKAFNAMFEEVHRTQAVWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLEDAVLDFFEGVPVSQHLRRRSE >Vigun02g138300.1.v1.2 pep primary_assembly:ASM411807v1:2:28790048:28793784:-1 gene:Vigun02g138300.v1.2 transcript:Vigun02g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILYSGLFFIFTIFAPERKTSNQNPNPCELRSLQFPFSVSFLSLKRNSSMAEEAPASETSVTMPQVVEAVVGDQTLNSSENDKSGISVDASTAHGVEESVSKTDASVSDPQKSLELANELMEKGNQAIKENDFGEAADSFSRALEIRVSHYGELAPECVHTYYKYGCALLYKAQEEADPLADVPKKEGGSQHGSTKEGPTVNAESSTASFSSNAVQDVTSTDQGEAVDDGSTKNDEEEGDEGSDAEDLAEADEDETDLDLAWKMLDIARAIVEKQSVNTIEQVDILSTLADVALEREDFETSLSDYQKALSILEQLVEPDDRKIADLNFRICLCLEVGSKAQEAIAYCQKATSVCKARLHRLTNEVKSCSDLTSGSDLTRDVPTGPNSDSNNSIMDKQSEIETLKGLSTELERKLEDLQQLVSNPKSILAEILGIAAAKAGNGKESSLGTVSSSQLATVKTNGFDSPSISTAHTNGSGGVTHLGVVGRGVKRASNASSAEGSTPKKPALESTEDKGDGNAC >VigunL052700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:44522:45346:-1 gene:VigunL052700.v1.2 transcript:VigunL052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FEGHRGLALAADIAGPAQGRPVVLLHGGGQTRGSWKNGLKALVERGYRVFSIDARGHGESGWDPDQDYSLDAQVADLTALLAQLPDSPALVGASMGGVTALATLGQAHPPAARALVLVDVTPKVDPVGAQRIADFMRANPEGFATLQEVSDAVAAYNPHRPRPKDLSGLRRNLREVDGRFYWHWDPAFLGVRRLEPEAYRERLEDAARAIAVPTLLVRGARSEIVGDAEVAHFRALMPRARYVDVAGAGHMVAGDRNDAFNAAILNFLADVDAT >Vigun04g036800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3068555:3070569:1 gene:Vigun04g036800.v1.2 transcript:Vigun04g036800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMDYVRDAWISSQRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun04g036800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3068555:3070555:1 gene:Vigun04g036800.v1.2 transcript:Vigun04g036800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMDYVRDAWISSQRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun04g036800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3068555:3070555:1 gene:Vigun04g036800.v1.2 transcript:Vigun04g036800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMDYVRDAWISSQRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun04g036800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3068550:3070613:1 gene:Vigun04g036800.v1.2 transcript:Vigun04g036800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMDYVRDAWISSQRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun04g036800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3068550:3070613:1 gene:Vigun04g036800.v1.2 transcript:Vigun04g036800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMDYVRDAWISSQRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun08g090500.2.v1.2 pep primary_assembly:ASM411807v1:8:20989410:20995403:-1 gene:Vigun08g090500.v1.2 transcript:Vigun08g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCPNTEISGGFNSFSKRFVTSINYFTLLIPLHFFSLSLQCFTITLLTKHFPQISKPEILIFTLVLKTLSSNWIHRTDVHLCHLRACSSAGAHRSMLLGCVFYLGFSSTGRFHHVGQLREVSNKVHNAELKLFLEVELGLEKEAYKNGETLPSEDSSVQSTFAPVDGEQLNEFSDANKDQNDVQSLDSDEVASGSPLPSVKPQKLDEAIKIPMETIEILRNQVFGFDTFFVISQDPYEGGVLFKGNLRGQATKSYDKISKRLKDNFGDEYKLFLLVNPEDDKPVAVVVPRTTLQPETTGDPVCNAFSLRSWNQRINLGDTFTRLGSSISTCCWDSFKCRFTNKIFWKY >Vigun10g113400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31524554:31525605:1 gene:Vigun10g113400.v1.2 transcript:Vigun10g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPFITFFTFLHRKAKSMFKHPRRIKETLAKRKFSSSSFLEMELSSQFHQVFKLIDSNGDGKISASELSEVLACLGYNKCSAAKEAECMVRVLDFNGDGFVDLDEFMVVVMNGMEKEEKDEEEEEKIDGYLMDAFLLFDSDKNGLISAKELHRVLLNLGCENCSLRECKRMIKGVDKNGDGFVDFEEFRCMMQTGVAS >Vigun07g151900.1.v1.2 pep primary_assembly:ASM411807v1:7:26243096:26252027:-1 gene:Vigun07g151900.v1.2 transcript:Vigun07g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVKKEKPTGEKRERMKNIFKKLHIGGNHDPQRSNDNSPPVPSPSCAADQSQSSGAAPASPSSASATASASTALVSPGGGVSPVVNRQDFFSSEEEFQVQLALAISASNSEFRDDPEKDQIHAATLLSLGGLRIDSARNKDDAAEALSRQYWEYNVLDYEEKVVDGFYDVYGPSNDSVMQGKMPSRMDLEANPGGSEVVIVNRTIDPALEELIQIAQCIALDCPVTSLAQRLAELVTSHMGGPVKDASIMLARWTETRAELRTNLHTIVLPLGSLNIGLSRHRALLFKVLADNINMPCRLVKGSHYTGVEDDAVNIIKLEGEREFLVDLMAAPGTLIPLMPADILSTKDSAFNKAFNPKILPNLPSSKDKEFSYSRPIQPSPGEGSSQNSVIKDHALRWNGKSYFEKSEPSNIGLRRDTGVGPSKTSNRGTPNQPENLPALSGTSLYKGTLGMNTIGDGTRLNVNVVPYTQNSSNDSRNLFADLNPFQIKGMGKASGLNKPVEIKPNNTVSGRPPVLLTKKNRHAYNEDPRKTNYNPNEYKPPLFVSNGSSTSENVDHSSKPLYNSNLNNDVNVQTLAHVTGSVSSSVPELNQIEDLNADFGQGGLENSQNFMVEAVREPDNAEIRHHDRRKHTYDRFLGNNFKLKEPESPSSSIDSITNRVDQILDDVDVGECEIPWEDLFLGERIGIGSYGEVYHADMNGTEVAVKKFLDQDFSGAALSEFKREVRIMRRLRHPNIVLFMGAVTRPPNLSIISEYLPRGSLYRLLHRPNCQIDEKRRIKMALDVARGMNCLHTSTPIIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWTGMNPMQVVGAVGFQNRRLEIPKEVDPIVARIIWECWQQDPNLRPSFAQLTVALKPLQRLVIPSHQDQVPPLVPQEISVNSTP >Vigun02g114100.1.v1.2 pep primary_assembly:ASM411807v1:2:26781116:26784414:1 gene:Vigun02g114100.v1.2 transcript:Vigun02g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFASLAPRQTPSNSLHGSGSEREGEGVSGGSSNSNSGNNVVFPSVETRNLKQFNFAELKAATKSFRSDALLGEGGFGKVYKGWLHEKTLTPTKAGSGMVVAVKKLNSESVQGFREWQSEINFLGRVSHPNLVKLLGYCCDDVEFLLVYEFMPKGSLENHLFRRNTSTEPLSWDTRLKIAIGAARGLAFLHTSEKQIIYRDFKASNILLDEDFNAKISDFGLAKLGPSGGVSHVTTRIMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTGLRALDRNRPLEQQNLIEWAKPSLSDKRKLKSIMDERIEGQYSSKAAMKAAQLTLKCLERDRKKRPPMKDVLETLERIQVIKDKRK >Vigun08g057300.1.v1.2 pep primary_assembly:ASM411807v1:8:7212222:7215181:-1 gene:Vigun08g057300.v1.2 transcript:Vigun08g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQSFLDPKKNWLAAQHMKSLTKRLRKYGLRYDDLYDPYYDLDVKEALNRLPKEVVDARHARLKRAIDLSMKHEYLPDDLQAMQTPFRGYLQDMLSLVKRERAEREALGGLPLYQRSIP >Vigun06g078400.1.v1.2 pep primary_assembly:ASM411807v1:6:20976088:20988223:1 gene:Vigun06g078400.v1.2 transcript:Vigun06g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTSKDEEEGGKEESDRLDHAGGQLYVSLKMENHKLTGDLVPHVYGSLPLVGSWDPSKALFMERESVSMWELSFVVPPNHETLDFKFLLKPKDSNAPCFVEEGPSHLLVGGALQEDARLALFRLDSGEVLEYQVFVKADRVSPFDLAASWRAYQDNFRPSSVRWIPDISINSAPQTGGENGSSVGLELDLEHYVVPTPATSADTAHVYAANLTENPRSLVSGSGSNSYPIKEMEVIVPDSSKTFQSSGMVESKSVGTVSPLQKQESQRGLFVDRGVGSPRLVKSSSSNIFSADTKNSMPAAAGAVAAAAVADQMMGPKEDRHLAIILVGLPARGKTFTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGVNQSADFFRADNPEGMEARNEVAALAFEDMFSWMQEGGQVGIFDATNSSKERRDMLMKLAKGRCKIIFLETICNDVNIIERNIRLKIQQSPDYAEEPDFQAGLQDFKNRLANYEKAYEPVNEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESQDNVRGRIGGDTAISEAGELYSKKLAKFVGKRLKSERAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYAEIKKNMPEEYESRKMDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFADRPLNEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >Vigun05g057000.1.v1.2 pep primary_assembly:ASM411807v1:5:4888973:4892016:-1 gene:Vigun05g057000.v1.2 transcript:Vigun05g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFVYHVVGDLTVGKPELVEFHESETVESAIRAIGEFPEGSIPIWKKRSHVGIENSEMKQQRFVGILSSFDIVAFLAKSECLEDQDKALKIPVSEVVVPNNSLLRVVDPATRLIDALDMMKQGVKRLLVPKSVAWKGMSKRFSAIYYGKWNKNNDSPSNSSNNLPPNMNWSPSTSGTVIRDKYCCLSREDVLRFIIGCLGALAPLPLTSIVSLGAINADYSYIESSTPAIAATKKLPQDPSAVAVIENTSDGQCKILGEISACKLWKCDYLAAAWALANLSAGQFVMGVEDNVSSRSLPEFSVDSPTGNSDLVNGSRKPRKFSSRSIGFFSNSGSHSFGPRSMYRGRSAPLTCKFTSSLAAVLAQMLSHRATHVWVTEDDNDDVLVGVVGYTDILAAVTKPPTTMIPVNRSTEGFGNEIQC >Vigun02g081800.1.v1.2 pep primary_assembly:ASM411807v1:2:23459653:23463129:1 gene:Vigun02g081800.v1.2 transcript:Vigun02g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAQPASKPSKSTMKFLCSYGGRILPRYPDGKLRYHGGHTRVLAVDRSITFSELLLKLEELCGASVRHLRCQLPSEDLDALISITSDEDLANLIEEYDRVSSLKIRAFLSPPTPSRSTNNASSPPSKSASLSSSSASSSSSSSSYCSPTDKSGRSYRTSAPVVDRCVHQMSPAPAHRAAVDKSKSPRRNIPLPRYGYHGSGGQVYLIHHGNHWQ >Vigun02g081800.2.v1.2 pep primary_assembly:ASM411807v1:2:23459653:23461681:1 gene:Vigun02g081800.v1.2 transcript:Vigun02g081800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAQPASKPSKSTMKFLCSYGGRILPRYPDGKLRYHGGHTRVLAVDRSITFSELLLKLEELCGASVRHLRCQLPSEDLDALISITSDEDLANLIEEYDRVSSLKIRAFLSPPTPSRSTNNASSPPSKSASLSSSSASSSSSSSSYCSPTDKSGRSYRTSAPVVDRCVHQMSPAPAHRAAVDKSKSPRRNIPLPRYGYHGSGGQVYLIHHGNHWQ >Vigun03g204550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33088756:33089136:1 gene:Vigun03g204550.v1.2 transcript:Vigun03g204550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASNQPSNFLWTFTTLHIENRVMFSVLPPPTSLVCHILCLFFCFHLFSLFKDREWMLQNFGYVDRHFAVAYADELSDKWMLVDSNRQLHKLRYNQDPHSPRLTKGWTKLRTAFNIQGKRTCAT >Vigun06g026900.1.v1.2 pep primary_assembly:ASM411807v1:6:12067292:12070952:-1 gene:Vigun06g026900.v1.2 transcript:Vigun06g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNSPLHASTLPSALSSTRITGISVNCMPCLPFKPLRKINTIRATSADEGHIEQSPASGSTNPLAVVLDIPRTLWRQTMRPLGDFGFGGRSIWEGGVGLFIVSGAVLFALSLAWLKGFQMRSKFRKYTATFEFDQACGISTGTPVRIRGVTVGDVIRVNPSLRSIEAIVEIEDDKTIIPRNSLVEVNQSGLLMETIIDITPRDPIPTPSTGPLDQECSKEGLIVCDREKIKGIEGVSLDKLVGIFTRLGQDVEKIGIANSYSLAERAASIMEEAKPLLTKMKAMAEDVQPLLTEVRDSNLLKEVENLTRSLTQASDDLRRVHSSIMTPENTELLQKSIYTLIFTLKNIENVSSDILGFTGDETTRKNLKLLIKSLSRLL >Vigun03g002800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:204075:204566:1 gene:Vigun03g002800.v1.2 transcript:Vigun03g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAASCTPSLSANGVFKVLFLDGRLESYTKPIRAAELMLEYPGHFVCDSTYLKVGHRIQGLLADEELQRRKFYFLLPMELLYSVLTHEEMSSLNYKASRATKHASFNNLAKIFPVFGEFCMFPSELKRLEAANQVVREPEPVERYSKQRSWRPALETIDETP >Vigun10g082900.1.v1.2 pep primary_assembly:ASM411807v1:10:23450079:23457656:-1 gene:Vigun10g082900.v1.2 transcript:Vigun10g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELVSALVVMPLFWLCLCNNLFVVSGLCHDDQRSLLLQLKNNLTFDYENRLDSWNPSKDCCGWMGVSCDKKGHVTALDLSGESITGAIDDSSSLFGLQHLQKLNLAHNNFNSVIPSAFKRLENLTYLNLSCVGFLGQIPVEISQMIRLITLDPSCFSNFGLKLENPNLRKLVQNISSIRQLYLDGVSISAAGHEWSSVLMSLHDLQEVRMSGCDLSRPLDPSLSRHEILFVIVLDGNDLSSAVPETFADFKFLTILSLCCCQLTGTFPQKIFNIGTLLVVDVSWNNDLQGFFPDFPLGSLQTLIVSGTKFSGAFPRSIGNMRNLSRLDFSYCQFNGTIPNSLSNLIELSYLDLSYNNFTGQMPSFNMANKLTLLDLSNNGLSGPIPSSHFEGLNNLFTIDLSNNAINEFTSVSSSKLTTLDLSRNNLSGPFPTSIYQLSGISVLVLSSNNLNGTIHLNKLSEFRNLTTLDLSYNNLSVEANFTNADASYFPSITNLRLASCNLKSFPGFLRNHSEIHFLDLSNNHIQGIVPNWIWKRTLAELDISHNLLTHSEGPLRNFSFNLYILDLHDNELQGQIPFIPTEVEYLDFSRNTFGGGIPDSLCNASSLWLLDLSDNNISGQFPRVLNLRQNRLMGPFPDIFLATYTLEILDLRDNKLDGKIPKSLSNCTSLEILNLEKNKIMDVFPCMLMKISTLRVLVLRENYFYDHIGCPNTNATWPVLQIVDLAINNFSGKLPQTFFTRWQATVSDENQPAYTVKRIHYDFKVYYDSMTVTMKGQRRDLVKILSVFTSIDFSSNHFEREIPKQLFDFKELYALNLSNNAFSGKISPSIRNLKELESLDLSNNSLEGNIPTELASLSFLLFLNLSSNHLVGKIPTGTQLQSFPASSFQGNDGLYGPPLTDEFDSREPGVLQQHQTLVSTIDWNLLSVELGLIFGHGMIFGPLLFWKQWRIWYWQLINKILCRIFSQLYFEYATKRGQTYTTLR >Vigun05g140100.1.v1.2 pep primary_assembly:ASM411807v1:5:17204304:17207095:-1 gene:Vigun05g140100.v1.2 transcript:Vigun05g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFWDKRISQEVSGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKISSATKAAV >Vigun07g006500.2.v1.2 pep primary_assembly:ASM411807v1:7:550125:553673:-1 gene:Vigun07g006500.v1.2 transcript:Vigun07g006500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPPSLPTSPSSLLLKSRVRVFHAIPNLTRSPFQASIFSPNQRNLTPIHLPRNVSSAVVVGDNASAMTTLPVDSDARVGEVKRVTKETNVSVKINLDGSGVADSNTGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGNFSAPLDEALIHVSLDLSGRPYLGYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRRGTVPRMD >Vigun07g006500.1.v1.2 pep primary_assembly:ASM411807v1:7:549680:553672:-1 gene:Vigun07g006500.v1.2 transcript:Vigun07g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPPSLPTSPSSLLLKSRVRVFHAIPNLTRSPFQASIFSPNQRNLTPIHLPRNVSSAVVVGDNASAMTTLPVDSDARVGEVKRVTKETNVSVKINLDGSGVADSNTGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGNFSAPLDEALIHVSLDLSGRPYLGYNLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRRGTVPSSKGVLSRS >Vigun08g175500.1.v1.2 pep primary_assembly:ASM411807v1:8:34547808:34551480:1 gene:Vigun08g175500.v1.2 transcript:Vigun08g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEKEQRERKERARLKVLKEKKAKEEAQKQKEALEAVQRSRRIDAVQAQLKADQQMQENLLAGRGIVFYRLLEAVPYQGGGDKIKLPPSCFTELSDQGAFDKGPLYFQLSLVHKESSSSIQDIDKEKQGTTHSGVLEFTADEGSVGLPPHVWNNLFSEGTQESPLVEIRYVWLPKGTYAKLQPERVGFSDLPNHKAILETSLRQHATLSQGDILTVNYGELAYKLRVLELKPSSSVSVLETDIEVDIVDPDTSSEKTDEHVLMPLSFGMSQFGTVEEGKFVYYKFSIDNNVIWEKLSSGNSCIEVKLESETGGGTLIFLSLNILSYSLHDTSMNGHLMILAQRL >Vigun03g283000.1.v1.2 pep primary_assembly:ASM411807v1:3:46306939:46319494:-1 gene:Vigun03g283000.v1.2 transcript:Vigun03g283000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIEVSVLTKAAPSAQVDNLALLIDNSKSINHLHQIHAALLRRDLHHHPILNFKLQRSYSSLGLLHHTVTLFHRTPAPNVFLWSSIINAHAHSALWDCALSYYAQMLAHHVQPNAFTLSSLLKGCTLQPTTVVHSHAVKFGLSSDLYVSTSLLDAYARGGDVVSAQKLFDAMPERSLVSLTAMLTCYAKHGMLREARLLFEGMGVKDVVCWNVMIDGYAQHGCPNEALVLFREMLGKEVRPNEITVLAVLSSCGQLGALECGRWVHSYVKNNGVGVGVKVNLRVGTALVDMYCKCGSLEDARKVFDGMEGKDVVAWNSLIMGYGIHGFGDEALQLFHEMFCVGVRPSDITFVAVLTACAHAGLVGKGWEVFDLMKDRYEMEPKVEHYGCMVNLLGRAGRVREAYDLVRSMKVEPDSVLWGTLLWACRIHNDVSLGEEIAEFLVSNDLATSGTCVLLSNMYAAARNWVGVAKVRSFMKESGVEKEPGCSSIEVNNRVHEFLAGDIRHRRSKDIYSMLGKMNGWLKARGYTPKIDLVLHDIGEHQKEQSLEVHSEKLALAFGLISTSPGTAIKIVKNLRVCLDCHAVMKMMSKISGRKIIMRDRNRFHHFENVTMPISAAVMTTIQLSCIPSQFIICSSLRLNQTSTIISLQCCGSQIS >Vigun04g001400.4.v1.2 pep primary_assembly:ASM411807v1:4:143225:146714:-1 gene:Vigun04g001400.v1.2 transcript:Vigun04g001400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFHVWMREWWWRDSNRKLLQSTHHKMLLVFFFFFLFCFIILSSSFDLTLSLSFAELPVETSSSSFLRLSLSTNHPKRLCSSIQENQMHRAKFSLTPKSEVKDLTGSSFHSYKQSSPLDPIDVSSSSDDEKDLANENVSNQLVLYDPVTNGNNAIELAPDPLRCEPPMLSRKQPSHSVPRILPSVGAFTVQCASCFKWRLIPTKEKYEEIREHILEQPFVCQKAREWRPDVSCDDPEDISQDGSRIWAIDKPNIAQPPAGWERLLRIRAEGSSKFADIYYVAPTGKRLRSMIEIQKFLTEHPEYTRDGVTLSQFSFQIPRPLQENYVRKRSARLTSSYEASGPVEHEQVTPLAWLGPEDHGDLHAGRLRLPVPFMGSHDLDSIGISPPAKRQATQNSFHKEDL >Vigun04g001400.2.v1.2 pep primary_assembly:ASM411807v1:4:142742:146728:-1 gene:Vigun04g001400.v1.2 transcript:Vigun04g001400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKFSLTPKSEVKDLTGSSFHSYKQSSPLDPIDVSSSSDDEKDLANENVSNQLVLYDPVTNGNNAIELAPDPLRCEPPMLSRKQPSHSVPRILPSVGAFTVQCASCFKWRLIPTKEKYEEIREHILEQPFVCQKAREWRPDVSCDDPEDISQDGSRIWAIDKPNIAQPPAGWERLLRIRAEGSSKFADIYYVAPTGKRLRSMIEIQKFLTEHPEYTRDGVTLSQFSFQIPRPLQENYVRKRSARLTSSYEASGPVEHEQVTPLAWLGPEDHGDLHAGRLRLPVPFMGSHDLDSIGISPPAKRQATQNSFHKEDL >Vigun04g001400.3.v1.2 pep primary_assembly:ASM411807v1:4:143225:146714:-1 gene:Vigun04g001400.v1.2 transcript:Vigun04g001400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKFSLTPKSEVKDLTGSSFHSYKQSSPLDPIDVSSSSDDEKDLANENVSNQLVLYDPVTNGNNAIELAPDPLRCEPPMLSRKQPSHSVPRILPSVGAFTVQCASCFKWRLIPTKEKYEEIREHILEQPFVCQKAREWRPDVSCDDPEDISQDGSRIWAIDKPNIAQPPAGWERLLRIRAEGSSKFADIYYVAPTGKRLRSMIEIQKFLTEHPEYTRDGVTLSQFSFQIPRPLQENYVRKRSARLTSSYEASGPVEHEQVTPLAWLGPEDHGDLHAGRLRLPVPFMGSHDLDSIGISPPAKRQATQNSFHKEDL >Vigun10g046600.1.v1.2 pep primary_assembly:ASM411807v1:10:6875773:6878196:1 gene:Vigun10g046600.v1.2 transcript:Vigun10g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSCLLVFSLGIFIQMSYCHSSITTCLPQKNAALFILGDSLFDNGNNNYINTTISYQANYYPYGETFFKYPSGRFSDGRMIPDVVAELAKLPILPPYLHPGRVEHVYGVNFASGGAGALRETSQGFVIDLKTQVSYLKDLKNVFSERLGNAVAEEIVSKSVYLISIGSNDYGTLLNPDSDPVFPPGDHQGFVDSVIGNLTDAIKEIYNVGGRKFGFVNVGPIGCVPAIRVLVNNGSTCLEEFSAIARQHNTALSEKLLELEKQLKGFKYSVNDFYGALSEVLNNPTKYGFKDPSVGCCGGGAYRGDQSCGGNKGTKEYELCDNVNEHLFFDSNHVTDRASQHFAELIWNGNHSVTTPYNLKQLFEF >Vigun03g231200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38519312:38520479:-1 gene:Vigun03g231200.v1.2 transcript:Vigun03g231200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQVTTKDMRVQTPPSRIEVVSGAAVELQGASNFGQAGLQRQPSMTKTNCLCSPTTHAGSFRCRLHRTPSLPRTKSMESEASAVHSVADANKDPLH >Vigun03g231200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38519312:38520479:-1 gene:Vigun03g231200.v1.2 transcript:Vigun03g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQVTTKDMRVQTPPSRIEVVSGAAVELQGASNFGQAGLQRQPSMTKTNCLCSPTTHAGSFRCRLHRTPSLPRTKSMESEASAVHSVADANKDPLH >Vigun11g165700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37284630:37286210:-1 gene:Vigun11g165700.v1.2 transcript:Vigun11g165700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELIVLRGKERECDQASPSFNQSQTPIILLLIKLITVPPHTFLIPSLLTQTCIKKTPSNHINTQTLLFNPFMLSSQTKMATNSLHYSIHHHHHSLLKRHHHHHPSETPPPPTSLFLKPSTPTTTHLTLSHHTSSNSHITSFSLSQTTPSSSSSSSSSTSQTSPSFDLLRHHLSSQNFQQADEETRRLLIVLAGEAAQKRGYVFFSEVQFISGTDLVTIDTLWREHSGNKFGYSVQKKILEKANGDFTKFFIKVGWMKKLDTEVEQYNYRSFPSEFIWDLNEDTPEGHLPLTNALRGTQLLNNVLNHPAFVDTDKEQGGKDDAGNNDNGALPGSKDNSSSPKTLSQTVFKPDYSF >Vigun02g124200.1.v1.2 pep primary_assembly:ASM411807v1:2:27617243:27618322:1 gene:Vigun02g124200.v1.2 transcript:Vigun02g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAGEAPVPAPAVEKPLEEVKAAKEKKTKAPKEKKPKQAKTAAHPPYFQMIKEALVALNEKGGSSPYAIAKYMEEKHKAVLPANFKKILGLQLKNQAARGKLVKIKASYKLSETAKKEKKETKVNAEKKESRPKRSRRATTAAPTSKKTEAVKKAGKKVGPKKSKKVTTPAKPKQPRSIRSPAKRARKATVTGA >Vigun06g116900.1.v1.2 pep primary_assembly:ASM411807v1:6:24492556:24496957:-1 gene:Vigun06g116900.v1.2 transcript:Vigun06g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLDDIINRLLEVRTRPGKQVQLSESEIRQLCVVSRDIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALVDEKILCMHGGLSPDLLNLDQIRNIQRPTDVPDTGLLCDLLWSDPSKEVQEWGMNDRGVSYTFGADKVSEFLQKHDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKPKLNFGSTTTAKPGNSPAGVKSFLGAKA >Vigun06g116900.2.v1.2 pep primary_assembly:ASM411807v1:6:24494026:24496957:-1 gene:Vigun06g116900.v1.2 transcript:Vigun06g116900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLDDIINRLLEVRTRPGKQVQLSESEIRQLCVVSRDIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALVDEKILCMHGGLSPDLLNLDQIRNIQRPTDVPDTGLLCDLLWSDPSKEVQEWGMNDRGVSYTFGADKVSEFLQKHDLDLVCRAHQVILLPLVLNVLPPDLQD >Vigun03g372600.1.v1.2 pep primary_assembly:ASM411807v1:3:57510597:57513747:-1 gene:Vigun03g372600.v1.2 transcript:Vigun03g372600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFRDDGGNRSTSTTLLAHQRSLSTVPLVSGHHPLRGGFSGIIPAPPRMYPTPVPINSEEAIRQEIEREQIRREIEKEEIRREILAGERARRLELEEEVRRELASERALKMPIHRMEGITYGERGVSLTMIPGMTLHNSVNNNKLCGGPQPQLRPRLEIRQLYKQRPNETLTIKQSNAALSVAKCKEMVDDDLSGVKHKEVINVNLGGVKRKRETPFLLDDNHSGTSLEKKPKKDWGCALCQIVTTSEKGLNDHLQGKKHKVKEASFTRKKGLDARKNGETLQSDMNPAYKGKVEPIEKDLAVEKSQDLGGTDNENEATTTEKEVQKSNATMKKFSFYCAFCEVRTHSEIVMQSHKSGKKHLANIRKHNNPNSSAGGACDAANSENSE >Vigun03g372600.2.v1.2 pep primary_assembly:ASM411807v1:3:57510597:57513747:-1 gene:Vigun03g372600.v1.2 transcript:Vigun03g372600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFRDDGGNRSTSTTLLAHQRSLSTVPLVSGHHPLRGGFSGIIPAPPRMYPTPVPINSEEAIRQEIEREQIRREIEKEEIRREILAGERARRLELEEEVRRELASERALKMPIHRMEGITYGERGVSLTMIPGMTLHNSVNNNKLCGGPQPQLRPRLEIRQLYKQRPNETLTIKSNAALSVAKCKEMVDDDLSGVKHKEVINVNLGGVKRKRETPFLLDDNHSGTSLEKKPKKDWGCALCQIVTTSEKGLNDHLQGKKHKVKEASFTRKKGLDARKNGETLQSDMNPAYKGKVEPIEKDLAVEKSQDLGGTDNENEATTTEKEVQKSNATMKKFSFYCAFCEVRTHSEIVMQSHKSGKKHLANIRKHNNPNSSAGGACDAANSENSE >Vigun05g007900.2.v1.2 pep primary_assembly:ASM411807v1:5:682116:688696:-1 gene:Vigun05g007900.v1.2 transcript:Vigun05g007900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLQTAIEVERIVRENGAVPATIAILDGTPCVGLSLEELERLASLGTSARKTARRDIANVVARGENGATTVSATMFFASMVNIPIFVTGGIGGVHRHGEHTMDISSDLVELGRTPVAVVCAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTETSGCKVSCRVDSPEDCASIIDASFKLKLGTGILIAVPIPQEHSTSGHIIESAIQKAIKEARENNITGSAETPFLLARLNDLTGGASLAANIALVKNNALVGAKVAVALAEKRKNCESMD >Vigun05g007900.1.v1.2 pep primary_assembly:ASM411807v1:5:682116:688696:-1 gene:Vigun05g007900.v1.2 transcript:Vigun05g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPASRLANLRRHLDLTEAVNKVAHVNGIGGSMKVKVASEVSQALSLGRAVVALESTIISHGMPYPQNLQTAIEVERIVRENGAVPATIAILDGTPCVGLSLEELERLASLGTSARKTARRDIANVVARGENGATTVSATMFFASMVNIPIFVTGGIGGVHRHGEHTMDISSDLVELGRTPVAVVCAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTETSGCKVSCRVDSPEDCASIIDASFKLKLGTGILIAVPIPQEHSTSGHIIESAIQKAIKEARENNITGSAETPFLLARLNDLTGGASLAANIALVKNNALVGAKVAVALAEKRKNCESMD >Vigun01g113400.3.v1.2 pep primary_assembly:ASM411807v1:1:28817304:28825689:1 gene:Vigun01g113400.v1.2 transcript:Vigun01g113400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTWGCSSSEDSDDEVYLQNASDEEELGFSSVSIPKLQFRNVKSKSCWNEEMGMGEVIEKNGKMWITTGIVRSGKIYSSIEETLYLMELGALQLLENSGRSISLAEMYEKVAGGKSGCCWELFEVYRHLKSLGYIIGRHGVLWSLKSIKSSHRPAALEITEERKELVDMGSVQRLLSELRVNDLRPDFDVYLPNSRFRKSSPGDPIFSLYLSRGHPPSRTEIEALEKQCGGIPLKICLVTEGRVSFFSFDKVDLPVLP >Vigun01g113400.1.v1.2 pep primary_assembly:ASM411807v1:1:28822866:28825355:1 gene:Vigun01g113400.v1.2 transcript:Vigun01g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTWGCSSSEDSDDEVYLQNASDEEELGFSSVSIPKLQFRNVKSKSCWNEEMGMGEVIEKNGKMWITTGIVRSGKIYSSIEETLYLMELGALQLLENSGRSISLAEMYEKVAGGKSGCCWELFEVYRHLKSLGYIIGRHGVLWSLKSIKSSHRPAALEITEERKELVDMGSVQRLLSELRVNDLRPDFDVYLPNSRFRKSSPGDPIFSLYLSRGHPPSRTEIEALEKQCGGIPLKICLVTEGRVSFFSFDKVDLPVLP >Vigun01g113400.4.v1.2 pep primary_assembly:ASM411807v1:1:28817428:28825355:1 gene:Vigun01g113400.v1.2 transcript:Vigun01g113400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTWGCSSSEDSDDEVYLQNASDEEELGFSSVSIPKLQFRNVKSKSCWNEEMGMGEVIEKNGKMWITTGIVRSGKIYSSIEETLYLMELGALQLLENSGRSISLAEMYEKVAGGKSGCCWELFEVYRHLKSLGYIIGRHGVLWSLKSIKSSHRPAALEITEERKELVDMGSVQRLLSELRVNDLRPDFDVYLPNSRFRKSSPGDPIFSLYLSRGHPPSRTEIEALEKQCGGIPLKICLVTEGRVSFFSFDKVDLPVLP >Vigun07g100000.1.v1.2 pep primary_assembly:ASM411807v1:7:17689865:17697550:1 gene:Vigun07g100000.v1.2 transcript:Vigun07g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMEKLKIWKKKTDKECEGPPVLWFETSVSVCRRFQFEPHGQLSVTTIGDSRPIYHKIVDSFLNKFFPSGYPYSVNEGYLRYTQFRALQHTASAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHLGNLICSNLGARMDSEPKRWRILADVLYDIGTGLEVLSPLCPQLFLEMAGLGNFAKGMAVVASRATRLPIYSSFAKEGNLSDLFARGEAISTLFNVVGIGVGIQLASTVCASMQGKLVVGPLLSFIHIYSVTEEMRATPVNTLNPQRTALIVADFLKAGNVSSPADLRYREDLLFPRKLIEDAGNVRVGRALHKAIRPSKLIELKQVFPGEKFLLNGDNRCIDMVLEQDAIGEDALRGWLVAAYAVQFDKSSHELSNSTLLQAYEKMNEVFPVFLKELECKGWHTDRFLDGSGSRFAF >Vigun07g100000.2.v1.2 pep primary_assembly:ASM411807v1:7:17689865:17697550:1 gene:Vigun07g100000.v1.2 transcript:Vigun07g100000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMEKLKIWKKKTDKECEGPPVLWFETSVSVCRRFQFEPHGQLSVTTIGDSRPIYHKIVDSFLNKFFPSGYPYRFVNEGYLRYTQFRALQHTASAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHLGNLICSNLGARMDSEPKRWRILADVLYDIGTGLEVLSPLCPQLFLEMAGLGNFAKGMAVVASRATRLPIYSSFAKEGNLSDLFARGEAISTLFNVVGIGVGIQLASTVCASMQGKLVVGPLLSFIHIYSVTEEMRATPVNTLNPQRTALIVADFLKAGNVSSPADLRYREDLLFPRKLIEDAGNVRVGRALHKAIRPSKLIELKQVFPGEKFLLNGDNRCIDMVLEQDAIGEDALRGWLVAAYAVQFDKSSHELSNSTLLQAYEKMNEVFPVFLKELECKGWHTDRFLDGSGSRFAF >Vigun01g137300.1.v1.2 pep primary_assembly:ASM411807v1:1:31764819:31765991:1 gene:Vigun01g137300.v1.2 transcript:Vigun01g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSKIYTVVISLVGFIICHGFALSISLYDRECVSEHVLHDGDTVSGNFVVIDYDIFWSSDHPGIDFSVTSPAGSVVYSLKGTSGDKFQFKAAIHGIYKFCFQNPASTPETVSFYIHVGHIPNEHDLAKDEHLDPINVKIAELREALESIIIEQKYLKARDTRHRHTNESTRKRVVFYTILEYVLFAATSLLQVVYIRRLFSKSFAYNRV >Vigun11g039700.1.v1.2 pep primary_assembly:ASM411807v1:11:5573631:5582225:1 gene:Vigun11g039700.v1.2 transcript:Vigun11g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILQKLLLAFMHLWLCWSSPTHVHAADGSLKPGDTLNSSSPLLFSKSYKYFMDFLKNKIGNEVLSYLIIKSNHDETIVWEGNREQSVDYTHAFLSLNFSGVLKIDSGSDQKPIILYSPPQPINNTVATLLDTGNFVLQHLHPNGTNILLWQSFDYPTDTLIPTMKLGVNHKTRHRWLLVSQLTDSRATPGAFSLEWEPMDQELMIRRRGKVCWKSGKLRNNRFENIPEDAQGVLKYTIVSNEEEDSFSFTSTNENLTSWWTLSNTGRLFYSYKEGYVARADLCYGYNENGGCQRWQDTPKCRKHDDVFSYKRLRHNYQNQTSDSNHNISHSDCEAACWSDCNCNGFKELSEDGTGCGFYHWNSSKYIKVDGTASGEYFYILENKGSDEKWIWWISTVTAAILLIICASILFLAIKKRKHVLQEKKRKAMAVKLSLNEDFGNDLKKGHGLKVLDYTLVVAATNGFSFENKLGQGGFGPVYKGTLPTGEEVAIKRLSRSSAQGIAEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCTRGKLLDWNKRFNIIQGIAQGLLYLHRYSRLKVIHRDLKASNILLDENMNPKISDFGMARIFTQQDSVSNTNELLGHKYAMEGVFSTKSDVYSFGVLLLEIVSGQKNSRSYDDDHPINLIGHVWELWKDDKCLESVDPSLEEVFDCDEVQRCIHVGLLCVEQYANDRPTMSDVISMLANKNAIVSLPKKPAFYVQREMFNENLSSAEMGTSSTVKFTTSMEIIEIE >Vigun05g257000.2.v1.2 pep primary_assembly:ASM411807v1:5:45097473:45101456:-1 gene:Vigun05g257000.v1.2 transcript:Vigun05g257000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVDGFSAGLVDETNIFEWSVTVIGPPDTLYEGGFFNAIMSFPPNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVCRKYSIKYHIDAF >Vigun03g381600.1.v1.2 pep primary_assembly:ASM411807v1:3:58605599:58610843:-1 gene:Vigun03g381600.v1.2 transcript:Vigun03g381600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPMAPSPQEFIFRSPLPDIPIPTHLPLHSYCFENLSQFQHRTCLIDGDTGDTLTYAEVNLAARRIAAGLHNIGIRQGDVIMLVLRNCPQFALAFLGASHRGAVITTANPFYTPLELAKQATATKTRLVITQSAYVDKIKSFADNTDVMVMCIDTCPPGDDGVLHFSTLTDADETEAPAVKISPDDVVALPFSSGTSGLPKGVMLSHKNLVTTIAQLVDGENAHQYTHSEDVLLCVLPMFHIYALNSILLTGIRSGAAVLIVQKFEMTTLLELIQKYRVTVASFVPPIVLALVKSGEAHRYDLSSVRAVVTGAAPLGKELQEAMESRLPHATFGQGYGMTEAGPLAISMAFAKEPSKVKPGACGTVVRNAEMKIVDTETGVSLPRNKTGEICIRGTKVMKGYLNDPEATERTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLISHPDISEAAVVPMKDEAAGEIPVAFVVRSKGSEITEDEIKKYISQQVVFYKRIGRVFFTDSIPKAASGKILRKVLTARVNEGLVVAN >Vigun04g201800.1.v1.2 pep primary_assembly:ASM411807v1:4:42487603:42490271:1 gene:Vigun04g201800.v1.2 transcript:Vigun04g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGSASKHSKPGGNSTRVGLVKTAANMYGNRIADVNGPLLREEFSTKSSSKAKELHRTRRDIVLYKESKQAAESAKAEAETELSNAKRTVKDLFSMIGESSYRAKAQMREMASSLKKYRKPSKNDGDNEHSRVMRELEHAKRELFQLKLDAASVVEEKLRAEKEIEASRSNVLSWSRVAQKLMKEIEAANEEQVVVELARMDALKELRDMEALENARNKLKEAIKEIEESEELEKKLARAMSEIDILKNELKLVNKRVERGESMEQTKDETGGDVGVLETIKEEIEAAKKDLASIRGQGFKFMASMDVTRNELKEVNAEAVHLKNTESKKGTKVRNISYKLLRAKSKLETVTAAEEKAKSVVMNLSQTLDELRSETEAAKKEKEVIKEEVTATKEEIKKATLEINEGEERLQGAMQELERVKSSEALVLEKLKILTENAMRERSLTNPGSTLIVISKFEYDYLSNHAAEAKQIADKKVEAAKAWVEAVKANEKEIVMKTKMAERKLEEKMAPKRVINNEESENWSKKREKYPSRELQRAMSRKSKKSEGSSTPSRVHKFQKGTSPVTRPLSPFIVKKKKKVIPNWTKIFRGKKEKH >Vigun07g162500.2.v1.2 pep primary_assembly:ASM411807v1:7:27369844:27376358:-1 gene:Vigun07g162500.v1.2 transcript:Vigun07g162500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLNLIIPNPQPHGIIPRRNSPISQGQGSLWMMNLRSGETMDSGAYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMIGEFPERIGQPECQYYLKTGTCKFGATCKFHHPKDQAGIAGRVALNTLGYPLRPNEPECTYYLRTGQCKFGNTCKFHHPQPSNMMLSLQDSSVYPTVHSPTTPGQQSYAGGITNWSRASYIPSPRWQGPSSYAPLILPQGVVSVPGWSAYGGQVGSISTSDSHQQAMRNGQTYGTSRQSELANAGSPGAYSQYRSGTVPVGFYALQRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPSERLIPAPDCVLSPMGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNISASPSADAPSRHLLRSSSGTAALNLSSEGLGESSSAATPRRLSLSETRQIPSGDDDIDDDG >Vigun07g162500.1.v1.2 pep primary_assembly:ASM411807v1:7:27370342:27376423:-1 gene:Vigun07g162500.v1.2 transcript:Vigun07g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLNLIIPNPQPHGIIPRRNSPISQGQGSLWMMNLRSGETMDSGAYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMIGEFPERIGQPECQYYLKTGTCKFGATCKFHHPKDQAGIAGRVALNTLGYPLRPNEPECTYYLRTGQCKFGNTCKFHHPQPSNMMLSLQDSSVYPTVHSPTTPGQQSYAGGITNWSRASYIPSPRWQGPSSYAPLILPQGVVSVPGWSAYGGQVGSISTSDSHQQAMRNGQTYGTSRQSELANAGSPGAYSQYRSGTVPVGFYALQRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPSERLIPAPDCVLSPMGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNISASPSADAPSRHLLRSSSGTAALNLSSEGLGESSSAATPRRLSLSETRQIPSGDDDIDDDG >Vigun01g117500.1.v1.2 pep primary_assembly:ASM411807v1:1:29389441:29393824:-1 gene:Vigun01g117500.v1.2 transcript:Vigun01g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCAKPSTAEEAGNKKGKKGKKENPFAVDYGFNNSANANGSKLTVLKSPTGREIEARYLLGRELGRGEFGVTYLCTDKESGEELACKSISKKKLRTAIDIEDVRREVEIMRHLPQHPNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKTIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLDPDPRRRLTAQEVLDHPWLQNAKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLTVEEAAGLKEGFKLMDINNRGKINIDELRVGLHKLGHQVPDSDVQILMEAGDADKDGHLDYGEFVAISVHLRKMGNDEHLRKAFQFFDENQSGYIEIEELRNALSDDVETNSEEVINAIMHDVDTDKDGRISYDEFATMMKAGTDWRKASRQYSRERFASLSLTLMRDGSLHLNNEKNEGR >Vigun05g244800.1.v1.2 pep primary_assembly:ASM411807v1:5:43791248:43792279:-1 gene:Vigun05g244800.v1.2 transcript:Vigun05g244800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRKRRVTCTILSVDHTDMFYRVCAVCERTLPNPSSTCHPSNPPSKRLFRILMSVATDTEVFTIVCFDRVARLLFGCSADEFFDFAKLHPFSGITLNEIMEGEMFSMTLSKSTNANAQHVRVASAVPLSSSFQPAINLLKQCYQTPHAS >Vigun09g230200.1.v1.2 pep primary_assembly:ASM411807v1:9:40180622:40186142:1 gene:Vigun09g230200.v1.2 transcript:Vigun09g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDYRGLLLLLLLFLLSSNFATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPNLRLKPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQGYILGNPITTSTEKNYKIPFNHGMALISDELYESLQRNCRGEYRNIDPTNTFCARDMQSYEESISGIQVGHVLEPHCEESALRNPIQDTWSSRRSLAHESYPLTMPPLYCRTHAYVLSSYWANDDNVRKALHIRKGTKGKWKRCNYDIPFKTDISNSFQYHVNLSRKGYRSLIYSGDHDMVVPFLSTQAWVRALNYSIVSDWRQWYYNGQVAGYTRTYSNRMTFATVKGGGHTAPEYKPEECLAMFMRWISNKPL >Vigun08g036500.1.v1.2 pep primary_assembly:ASM411807v1:8:3498660:3500863:-1 gene:Vigun08g036500.v1.2 transcript:Vigun08g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALITRTGTAWRLPQRLLSASLLHSGSPGAVAPTSYCAPSPPAKSSPVGLSKPAEFVISKVDSLMNWARTGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVLSMGSCANGGGYYHYSYSVVRGCDRIVPVDIYIPGCPPTAEALLYGLLQLQKKINRRKDFLWWWTK >Vigun05g301600.1.v1.2 pep primary_assembly:ASM411807v1:5:48468939:48475948:-1 gene:Vigun05g301600.v1.2 transcript:Vigun05g301600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKVEVDVVSKSGNDDVHRAVHVWIFAETTQQLLIHQTHNRPGFWDITASAPIPPSHSSLITARKELKKQLGVTLPKDAFELIYVLTEDGDDEKQTKKESIDVYLVTTIDPIPLEAFTLHEKQVSAVKYLSYDEYKGLLANQDSGRHAQLFDIIEKRYKENTGARSSTLQKQLSRYAPISLNAELTGLTDSDKEALVFVVKAAAVIDEIFYLQSWYSNPSLRDWLKEHADESELDKLKWSYYLINKSPWSSLDEETAFLTTADSAIRLVSAATKPVKGWKGLEYRAAFPEPKPRGSNFYPPDMDKTEFETWKENLEKEEQKEATGFFSVIKRHSELVLDSDTYDSEKKESYDDDLYVVPYSEEYKPLLTKAAALLHKAGDITQSPSLKKLLHSKADAFLSNDYYESDMAWMDLDSKLEVTIGPYETYGDKLFGYKASFEAFVGIRDDKATNQLKLFGDNLQLLEQNLPLDNVYKSKEVNAAPIRVIQLIYNAGDVKGPQIVAFNLPNDERIVKDRGTAMVMLKNISEAKFEHILQPIAEHCVAKELQEFVDFDSYFTHTICHECVHGIGPHSITLPDGQKSTVRLELQEYHSAMEEAKADIVGLWALRFLISKGLLSESLLKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLYEKGAFIPHSEDTISVDFSKTESAVESLSREILSIQAKGDKAAAGSLLQKYGVISEPLKLALQKLEKVQVPVDIAPNFPVANKILQ >Vigun06g029500.6.v1.2 pep primary_assembly:ASM411807v1:6:13141813:13148914:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQSGIV >Vigun06g029500.5.v1.2 pep primary_assembly:ASM411807v1:6:13141793:13143623:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCFRYRMLYYIFTFFLSKGMLGMHFPMPLFHQILYFSWN >Vigun06g029500.2.v1.2 pep primary_assembly:ASM411807v1:6:13141787:13149051:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCFSQELFSEKQAQQWWDENFERVCAQYNVVEQRDYFLEISYIS >Vigun06g029500.4.v1.2 pep primary_assembly:ASM411807v1:6:13141749:13143680:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCFRYRMLYYIFTFFLSKGMLGMHFPMPLFHQILYFSWN >Vigun06g029500.1.v1.2 pep primary_assembly:ASM411807v1:6:13141813:13149052:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQLKDMAMRLHVLGSDETEWIEQDEPGVHIYLTSLVGLIYLKRLCFSQELFSEKQAQQWWDENFERVCAQYNVVEQRDYFLEISYIS >Vigun06g029500.7.v1.2 pep primary_assembly:ASM411807v1:6:13141813:13148914:1 gene:Vigun06g029500.v1.2 transcript:Vigun06g029500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDYKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWVENLTRKAQLQAIAIEESAKCKAVEKVIKSLTSQSGIV >Vigun09g126700.1.v1.2 pep primary_assembly:ASM411807v1:9:28094329:28095762:1 gene:Vigun09g126700.v1.2 transcript:Vigun09g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHPIFHQTLVLFLLCVTTLSLVAADPTDGFTQLSLTSSNFQVQKPYDVSQGDRYTFINGVHRLWVYNSDKPHTTTSQTAPRTEIRITGYDYTSGVWQFEGHFYVPSGTTGTCIQQVFGGATHATTSQTRVYGGSLTHYQSTTLQQNIYNKWHRFNVIHDVGANNVKIYIDGIRKFNGNGRGAGVHYMKFGVYAQEGASPYMESRWRDIKLFRRY >Vigun06g204566.1.v1.2 pep primary_assembly:ASM411807v1:6:31876054:31879078:1 gene:Vigun06g204566.v1.2 transcript:Vigun06g204566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGGEREKEEEEEVLVIEGIEFERELGVKFDVYINDEDDVAGGPSKAEFAGSFVNVAHKHKHKHKKMKTNLRLGISELLEDLDAEDDEHVLVTLVPKFGKGHVTVGGITIEFHKIMSNITNVYEENFEIKYFTFPKNPQVKVRPPGHLVDATYLRNYEEALRRMKALPRNDPRNFTQQANIHCAYCDGAYHQVGFPDLDFQDIDPNFALPFWNWDSPNGMPIPPMYTNPKSPLYDSLRNINHKPPKLVDLDYNGVEHIGSTQQQISTNLTTMYRQLVAYRGGEDSDPGGGTVENIPHGPVHVWIGDNTQPNLEDMGSLYSAARDPIFYSHHANVDRMWTIWKTVGGKRSDITDPDWLESGFLFYDEKKTLFLGYVYQDVDVPWLEAKPTPRLRRAVAMNFGGGAALVAETSKTTKFPVVLDSSVSTMVKRPKKSRNEREKEEEEEVLVIEGIEFERELGVKFDVYINDEDDVAGGPSKAEFAGSFVNVAHKHKHKHKKMKTNLRLGISELLEDLDAEDDEHVLVTLVPKFGKGHVTVGGITIKFHK >Vigun08g148150.1.v1.2 pep primary_assembly:ASM411807v1:8:32080971:32084272:-1 gene:Vigun08g148150.v1.2 transcript:Vigun08g148150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNRTLTYFVISSLIFITFDAVAPLVHVAVPIASRRSSVGSLVQRRHCSLFFSLFRCSLMLHIDAIESNNTNFQGLQDPAKLPTDAATVNTFIIHNK >Vigun04g061400.1.v1.2 pep primary_assembly:ASM411807v1:4:6350107:6352764:-1 gene:Vigun04g061400.v1.2 transcript:Vigun04g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVSYEVLHNPFIYIHSQPIPFRNCFSPTSFSIKQTHHKQTSMATMSPMWFILLEITCFFVAHAYVENMSLRTLSGVSHEEAILGLKAFKASITRRDSITSTPPPSYSPSPTPSPLPPQDLKKPHVYPVTSYGADPTGNSDSTEALLAAIADAAKGPSEGFLMENIKDLGGAQVHLQGGKYLISKPLELPQPGIGNFMIHGGTIRASNNFPPDGYLIDLFTTGERNSYNYEYITLKDLLLDSNFRGGGISVKNSLRINIDNCYIAHFTNTGILVQGGHETLIRNSFLGQHITAGGDKHERDFSGTGISLQGNDNAITDVVIFSAAIGIMVTGQANTISGVHCYNKASGFGGTGIYLKLPGLTQTRIVNSYMDYTNIVAEDPVQLHISSSFFLGDANIVLKSVKGVVNGLNIVDNMFSGLNHGVDVVKLDQSNGPFNQIDQVFVARNVVKGMNLKATVAKMSVQGNGTSWTADFSKSLLFPNLIKHVVYTLIVNGSTFPNHALRNVSHNRVVVETDEAVSANVFVAVDQSIAS >Vigun11g096500.1.v1.2 pep primary_assembly:ASM411807v1:11:28096161:28098151:1 gene:Vigun11g096500.v1.2 transcript:Vigun11g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIIFHAQFDVANHKSLKMSFGNEDHSSKLLQAQTHIFNQTFGFINSMSLKCAIDLCIPDVIHNYGQPMPLSQLIASLPIHPSKACFVSRLMQILVQSGFISQNSVTDDDDEKKEVSYALTDASALLLKEHPFSMTCLPQVILDPILVNPWFQFSTWFTNDEPTPFHAHNGMSFWDYASREPKLNHLFNDAMTNDTRLISSVMIEKCKGVLEGLESLVDVGGGTGTMAKAIAKSFPHLKCIVFDLPHVVHGLQGTDNIEYVGGDMFQAIPSAHSIMLKTIMHNWNDEECLKILKRCKEAIEKKDKGKVIIIDVVIGNEKGDSELDQTKLYYDMEMMVLVTGKERNQKDWANLFFSAGFSHYKITPVLGFKSLIEVYP >Vigun01g025750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2955289:2956322:1 gene:Vigun01g025750.v1.2 transcript:Vigun01g025750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTIRFLKKNILGNGLHNPFPSKKKYTQTLTHNTPSPHHALFCIYLRRCCTHPIFTEYPFVGMLPQVLCNLWRAHDYSTQVLKQHGGTGEFTGPWFTSMNYMLTCDSTCITC >Vigun06g140200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26566773:26567255:-1 gene:Vigun06g140200.v1.2 transcript:Vigun06g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWGLTTAILIAIALLFAGSSSAGRDLRPSEHGLFFQASPPANSSPDMRSFFSTSKGSSASDFPPGNMTESLPPQWWGVGGARRSHVGQALMTASLVCGITGGILLVASALLYLFKHRKKPHQNESFRASNTNNNNCNNVSHNNSDNKLQLVAVARDG >Vigun10g108300.1.v1.2 pep primary_assembly:ASM411807v1:10:30766074:30769480:1 gene:Vigun10g108300.v1.2 transcript:Vigun10g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITILFIALSSRKKKNMATYATTTTLFLLLGMATTTLCLQEKGVLKMAQNHVSEAKNWVANALTLHGFESLSLSLSHQTSVVALRDCSKLYEESECRLSHMMSQNSTYTREDALTWISAVMTNHRTCLDGLQEKGYVEAQILERNLTMSLKQALMLYSRNRVIAKGPPLEGTISKGSGILESWNEATYQPHFTVAQDGSGTHRTIQAAVNALAAMGNNRPARAIIYVKSGIYNEKVNIGQNLHNVMFVGDGIDKTVVTGNKNVVQGSTTLGSATFDVSGDGFWARDMTFENSAGPEKHQAVALKVSSDLSVFYRCSFKGYQDTLYVLSNRQFYRDCQIYGTVDFIFGDAAVVLQNCDILVRKPMSQQSNFITAQGRDDPNKNTGIVVQSCRVRAASDFASVTSSHKTFLGRPWRKYSRTVFMRSELDGMIAAKGWGEWSGSFALSTLFYGEYMNTGSGASTVNRVSWPGFHVLRSGGEASPFTVREFVQGERWIPATGVPYSSGI >Vigun02g071200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22246459:22246614:1 gene:Vigun02g071200.v1.2 transcript:Vigun02g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLCLPSSLEAGFHHHHRIFYLPPTAPKPSSSASNIATTRKRKKEREKG >Vigun04g182800.1.v1.2 pep primary_assembly:ASM411807v1:4:40712001:40718141:-1 gene:Vigun04g182800.v1.2 transcript:Vigun04g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFGGVISDIKGRAACYKHDWTSALFSGIKILAPTFYIFFASALPVIAFGEQLNRDTGGSLSTVETLASTAICGIIQSIFGGQPMLILGVAEPTVIMYTILYQFCIKSPDLGVGMFLPWAGWVCVWTAIMLILLATFNACTVITKFTRLAEELFGMLITVLFFQEAIRGVKGEFETPISEHASAEDHQFQWLYINGLLAVIFSFGILLTAMVSRRARTWRYGTGWMRAIVADYGIPLMVLLWTGLSYTVPNKVPSSVPRRLVCPLPWEPASLYHWTVIMDMGKVPVLYIFAAIIPAIMVAGLYFFDHTVASKMAQQKEYNLQKPSAYHYDMFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQMIRKKVVKSAQDCIRQQGTGTELYGAMEAVFVEMDSEPSTKDLNDLKEAVMQSSDSNGETPAFDPEKHIDAYIPERVNEQRMSNFLQSLLVGLSIFAVSIIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFIAPSRRSKILESPHASFVESVPFKTIALFTVLQCVYFLVCYGVQLIPIGGILFPLPFFLLIPIREYLLPRIFKPADLQELDAAEYEEILGVPNEIKDPSEDDNNAEILDEITTYRGELKHRTVSQRKNRSFNEDKQ >Vigun10g186400.2.v1.2 pep primary_assembly:ASM411807v1:10:40264915:40266279:1 gene:Vigun10g186400.v1.2 transcript:Vigun10g186400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFIWTSLALLSLYPLFFTCEVSATSTQLISNLRINIFNVCDLVYCGKGTCHLSSSDLLGFRCDCDSGWKKPNIGSFQLPPCALPNCTVDLNCGNGSSSLPSSPPTTVNDPCLLNLCGDGTCESNGSDFRCKCNEGSANLLDDPKLICIKKCKLLFSYLILLSFSFYCSFILVSTCSKRR >Vigun10g186400.1.v1.2 pep primary_assembly:ASM411807v1:10:40264916:40267125:1 gene:Vigun10g186400.v1.2 transcript:Vigun10g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFIWTSLALLSLYPLFFTCEVSATSTQLISNLRINIFNVCDLVYCGKGTCHLSSSDLLGFRCDCDSGWKKPNIGSFQLPPCALPNCTVDLNCGNGSSSLPSSPPTTVNDPCLLNLCGDGTCESNGSDFRCKCNEGSANLLDDPKLICIKKCTLGGDCEGFHLGFDSSPNETQPPPEKSGTSASPPTSPTAGSGETLRCIRGLHVPAMLILALIFELWI >Vigun07g159300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27112327:27114295:1 gene:Vigun07g159300.v1.2 transcript:Vigun07g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPSVTANTLSLKPNNPNDLFLITSPSFTNRRHSVKPISAIINPYSFKRQQPPPPPQQQVYQPFRPPPEPLPSEYGNLDLAAQIEILSNRLGAWYQYAPLISSLIRQGFSPPTIEETTGISGVEQNRLIVAAQVRDSLVQSNADPDILAAFETGGAELLYEIRLLSASQRVAAAHFLVENRCDGKAAQELARAMKDFPSRRGDKGWERFDYTLPGDCLSFMYYRQGREHRNPSEQRSLALEQALRVAETERAKNVVLEELQGSGEEKDEAEDGEGATRVPVVRLRIGEVAEASSVIVLPVCAEDEREVLEAPFECRSKGEFGVVVAEKGWRRWVVLPGWEPLVGLGKGGVVVSFADARALPWKANRWYKEEAILVVADRSNREVGSDGGFYLVNGYGDAKGLKVERGSTLKEKGFTQSLGTVLLVVRPPKEEDDDQLSDEDWE >Vigun11g067950.1.v1.2 pep primary_assembly:ASM411807v1:11:19854829:19856382:-1 gene:Vigun11g067950.v1.2 transcript:Vigun11g067950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSVTARKTLGRFTTYHFVHNQDLQSDFADYVRKRRLRRLELQGRHNTFIVQCKLLLRNTPKKSSKIGKGWKDFCTFNRLEEGDILVFLVDKKMKKKKIKVYVHKECSF >Vigun07g093600.3.v1.2 pep primary_assembly:ASM411807v1:7:15003985:15004806:1 gene:Vigun07g093600.v1.2 transcript:Vigun07g093600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKTSPSVDVQNKGQENGVKRVAEESRRRNHRESSSDLPKASKRQSTGVTDSPTKEKTSKPRTRKSSNKNKDEIAQSPRIPEYPDPFQLPPPPSPSPDNNNNNNIPKKSRRHKTKEHSSGVPSKSRTKAHSASDSGSPAQSKSRNTHTTFDETEHDLLL >Vigun07g093600.2.v1.2 pep primary_assembly:ASM411807v1:7:15003245:15004806:1 gene:Vigun07g093600.v1.2 transcript:Vigun07g093600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKTSPSVDVQNKGQENGVKRVAEESRRRNHRESSSDLPKASKRQSTGVTDSPTKEKTSKPRTRKSSNKNKDEIAQSPRIPEYPDPFQLPPPPSPSPDNNNNNNIPKKSRRHKTKEHSSGVPSKSRTKAHSASDSGSPAQSKSRNTHTTFDETEHDLLL >Vigun07g093600.1.v1.2 pep primary_assembly:ASM411807v1:7:15003245:15004806:1 gene:Vigun07g093600.v1.2 transcript:Vigun07g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKVKGILKGFRYFTQIFESEKEQEIQIGLPTDVKHVAHIGWDGPSVNSPSWMTEFKTSPSVDVQNKGQENGVKRVAEESRRRNHRESSSDLPKASKRQSTGVTDSPTKEKTSKPRTRKSSNKNKDEIAQSPRIPEYPDPFQLPPPPSPSPDNNNNNNIPKKSRRHKTKEHSSGVPSKSRTKAHSASDSGSPAQSKSRNTHTTFDETEHDLLL >VigunL060627.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:37774:37977:-1 gene:VigunL060627.v1.2 transcript:VigunL060627.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun02g122400.1.v1.2 pep primary_assembly:ASM411807v1:2:27442840:27444467:1 gene:Vigun02g122400.v1.2 transcript:Vigun02g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKAFSIAMFSVFFLLFPLGLYSTTVTITNKCKYTVWPAILSAAGSSSLATTGFVLVSGNSKTLPVPPAWSGQLWGRTLCFLDTNGKFSCVTGDCGTSTVECAGGNPKSPVTLVEFDFNGTGHMNLYDISLVEGFNLPVRVASRGGNCSATDCSVDLNAVCPTEHKVIRNGEAVACKSTCQTEPCSSSLFFKTACSGVRVYAHDHGFFTCSSPHYTLTFCPTSKSWRKAENKKEPSGKNAVKYTGVLAVIISVICGFIIFRIRLRLSNRDWEISLSAGTTNDTAQC >Vigun09g018500.1.v1.2 pep primary_assembly:ASM411807v1:9:1398471:1400569:-1 gene:Vigun09g018500.v1.2 transcript:Vigun09g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLLLHSSLINTLSSLSSITTSIMGVSSLMLLFSYFLLSLLFIVFTLKFLLGSRRLRNLPPGPTPLPIIGNLNLLEQPIHRFFQRTSKHYGKIISLWFGSRLAVVISSHSAFQECFTKHDLALANRLPSLSGKYIFYNNTTVGSCSHGDHWRNLRRITALDVLSTQRVHSFSGIRSDETRRLIHRLARESRQGFARVEITSMFNDLTYNNVMRMISGKRFYGEETDMKNAEEAREFRETVAEMLQLMGLANKADYLPFLRWFDFQNVEKRLKSISKRYDAILNKIIDENRSNRDNRENSMIDHLLKLQETQPQYYTDQIIKGLALAMLFGGTDSSTGTLEWSLSNLLNKPEVLEKAREELKRVVGEDRLLNESDLPRLPYLRKIILETLRLYPPAPVLIPHVTSEDISVEGFNVPRDTAVIINGWAMQRDPEMWDEATCFKPERFDVEGEDKKLVAFGMGRRACPGEAMAMQSVSYTLGLLIQCFDWKRVSEEKLDMRENNWITLSRLIPLQAMCKTRPLYAKLSSN >VigunL002500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:3:401:1 gene:VigunL002500.v1.2 transcript:VigunL002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun08g210600.2.v1.2 pep primary_assembly:ASM411807v1:8:37243331:37250852:-1 gene:Vigun08g210600.v1.2 transcript:Vigun08g210600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLYMALPVPAKPRKAALSSSSSKPLKFPRRSRSTNISIPTTSKGSGKRLLKPQKLNLEVSPHRAVSAVRLMRIELGGAFADLLNEKGKGSGENEMGYVQRTLGFRTRELSHHDLRLVTDIVGGTIRWRRYLDHLINSLCHDKDISSMEPLLLQILRIGFYEVVKLDMPPYAVVDENVELAKVALRPGAGNMVNGILRKLVVLKENGTLPLPKVEGDDRAQARALATLHSHPVWMVRRWTKYLGQEEAIKLMIWNNSEPSFSLRANRASGFSRDDLVAQLNELKVPHKLSLYLDEFVRIKTGLQIVIHAGLLKKGLCSVQDESAGLVISVVDPQPGETIVDGCAAPGGKTLYMASHLSGQGKVFAVDVNSGRLRILKETAKLHQVDGVITAIHADLRTLTDNGQLKSDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEELKKLQDELLDAASKLVKPGGVLVYSTCSIDPEENDDRVAAFLVRHPMVPLLLV >Vigun08g210600.1.v1.2 pep primary_assembly:ASM411807v1:8:37243331:37250852:-1 gene:Vigun08g210600.v1.2 transcript:Vigun08g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLYMALPVPAKPRKAALSSSSSKPLKFPRRSRSTNISIPTTSKGSGKRLLKPQKLNLEVSPHRAVSAVRLMRIELGGAFADLLNEKGKGSGENEMGYVQRTLGFRTRELSHHDLRLVTDIVGGTIRWRRYLDHLINSLCHDKDISSMEPLLLQILRIGFYEVVKLDMPPYAVVDENVELAKVALRPGAGNMVNGILRKLVVLKENGTLPLPKVEGDDRAQARALATLHSHPVWMVRRWTKYLGQEEAIKLMIWNNSEPSFSLRANRASGFSRDDLVAQLNELKVPHKLSLYLDEFVRIKTGLQIVIHAGLLKKGLCSVQDESAGLVISVVDPQPGETIVDGCAAPGGKTLYMASHLSGQGKVFAVDVNSGRLRILKETAKLHQVDGVITAIHADLRTLTDNGQLKSDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEELKKLQDELLDAASKLVKPGGVLVYSTCSIDPEENDDRVAAFLVRHPDFRIDHVDRFVPPDFVTSSGFFFSNPVKHSLDGSFAARLVRDP >Vigun11g147300.1.v1.2 pep primary_assembly:ASM411807v1:11:35598637:35601821:-1 gene:Vigun11g147300.v1.2 transcript:Vigun11g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGKEMMKKILKTVGENGLSRREKETLEKCMPRSKVVMNRAKRGLFAGRHIQFGNSVSEDGGNKTRRTWKPNVQEKRLFSYILDRHVRVKVTTHAIRCIDKAGGIDEYLLKTPYHKMDTEMGILWKAKIEKLYEELGQKEVVFFSPEAEAKFEQDFKDLKLSEREARKEVRRKMFTGMSKHEGAPFSYILAADKLKAGSFVSN >Vigun11g183600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38614639:38614893:1 gene:Vigun11g183600.v1.2 transcript:Vigun11g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPSIRRAWKSVEVPKGYLAVYVGEKKKRFLIPLSYLNQPLFQDLLSQAEEEFGYHHPMGGLTIPCSDDVFKHITHCLSGQ >Vigun03g249700.7.v1.2 pep primary_assembly:ASM411807v1:3:41556677:41561728:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.3.v1.2 pep primary_assembly:ASM411807v1:3:41556668:41561892:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.5.v1.2 pep primary_assembly:ASM411807v1:3:41556677:41561728:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.4.v1.2 pep primary_assembly:ASM411807v1:3:41556669:41561684:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSPCIHHIFLQFLNGMNSGEFQYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.6.v1.2 pep primary_assembly:ASM411807v1:3:41556656:41560698:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.8.v1.2 pep primary_assembly:ASM411807v1:3:41556677:41561040:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.2.v1.2 pep primary_assembly:ASM411807v1:3:41556660:41561891:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.1.v1.2 pep primary_assembly:ASM411807v1:3:41556677:41561682:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHMFEFNQGRMAKKIHAHKNNNDDMETSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.9.v1.2 pep primary_assembly:ASM411807v1:3:41556657:41560561:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSGNGMKLQVETSHVHCAEGELPYSCQVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun03g249700.10.v1.2 pep primary_assembly:ASM411807v1:3:41556657:41560561:-1 gene:Vigun03g249700.v1.2 transcript:Vigun03g249700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSGNGMKLQVETSHVHCAEGELPVEDGWSKKIGYSNVDPVKKANKEGFSKQSGTRSNAPSVVARLMGIETMPLPLDTKSVVPLDESTHENMERKFSKKKMNGKGWAGRGSSNLNSFSHMEFDSFYQHTDDDDDEGGHSFGEPRPREHPQEEELQKFKKEFEEYQSTRFKEWPKLPNGHQMESILSKKKESFPSRSKTQSRDFEESLMMKSKSRLLDICTSPTQIVILKPGSERVCNCNHEENCTSLSGTLHKRKGIEDFLEEVRERLRCELQGIRDGGIETPFNDKSDAKEVTESMTRDAEPNLLRSESTRSYKSKMQLNGQSSPEFFNIDTRRFLSERLRNTVKNELHLDIPEVACYLDNDRVRLKQDTIKGANDKSQWGVLEEKKELQTCSNRHKLDDNVLLHKELSPRNLERSLSAPASGASFGRLLLEDRHILTGALIQRKLEAVEARPVGVKKQKKDGFNIKEKLSNFKYTFGLRGKLFGKRVKSKVESHGSEHGAILRDVRSGPTILMKYGDRHENSTEVPPSPASVSSCADEEHWRQSGYSSLTSTPDASSLDDIFVPKVFRDISSGLNDLKRQLSELDSDGYVDFTTKQEPIEFSLVELDDPAESYVRDLLVASGLYFGSWDKYIGNSVFEEVEESHRKLVKEDERSTKDHNKNKLEHKVLLDLLNEALAIVLGPPLTLSRFRRKLSNSSLQPPPCGKELLKLVWDIVRVSLHPTSNTSLYSVDSFVAQDLASISWSGLTNDEIDTLERETACTIADDLVEEFTKDMLL >Vigun07g273500.1.v1.2 pep primary_assembly:ASM411807v1:7:38915646:38924340:1 gene:Vigun07g273500.v1.2 transcript:Vigun07g273500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLVEIFERKKRIIEQSRQQCHLWEHHLHSKLLLNGIPPPPWLCNSSLHADPQELNKDDLVSEVVLSQPQCRVPFPGVYSNLRNERRALEELTAGRGAYNLPECSINNGVCASSGPAELDSDAVSPPQNQIEASALEIHQDPALSLAKLQRSKSRQKALELRNSAKAPTRLSENDDDDAGFCAGTVSGAVPLTQREDVMESDVVKEFHSNIQSCSMNEMEPRDCVTNCSGQIMKSKSSSQKKSNSLNVTSSSLAKGDAPPLDNLNDSLEIVNQPCCMNGSFAVNDERLAKSKSSSQALKLDSSLGSGKGIEVCSLMQPFTDADSTDVSKVIGCSNENGGSAVKDGNSCLNKQESSIHSMIKLLRSACPSPGHDLFMTGGSVESIDKSAPSPQPLISQDPVVSVVGSLSCENNPDFSMVNRGCSSRSGKIEEVLKSSRSNICESDACFKSAGKKYWNVQLTELDARRLSSSPKYSKLDIENDWSFAEKENVAALVASGKMRTMATCSHEGSLRPVTLLEESEYVETVVDEKVLDAQENIPSDAIPNDNIQHRSAAIVGEVDEDCHGLVEEDLSCVSPKFGLNLSMSKQPSDFIMSVKPMQLNFDDIEQRSMSGICCPDLKEGQQGVPPGEESLNALESLNLLGEEISPACESKQKSFGDIPLMKMQEVLIRKEGPHMESRSSHFEEEGIGRTTLSAVSPDKEIRMVQNEFCVVTSSLLKQSSPSINAGENLSRSLSEEVMPLKLVLVNSKVKNGGSGAKLAYSFSEAVSGNDLQKSTGESVTNFTVGSLVSAPLENVNVSLAQPAPHTLCQDRDLLRQTLLSDGKITSFSADFQFLRSSTYDVGNSCPQHKRRKIEREGYLPASSNLLEKSRDYIDPRPASRSLIIKDDKPEAALEVQQLPSDQEEDIGHRYVSNGSTNEMQYNGECQAMEETSPKESKEEKLIVDGRESSEDTLLLAVANPSGFGIGSTIRCNTDEKVASLHHQVNCGGESVERLSCVEKSTSSAIIYPEGDAKYSDCMSASPGMQCLDLVGTDEALPEFEGFIIQTDSAQTCIAGDEMELETMDLSNKSIKNASVGKSRFMHSPSYRSLTPYKLHNIPELYQSLPNGLLEDLGIGSSLSLSDASPRSLSDYQPNCKGQFTSSVQTLWDRINSNFGSSGKRSSLKLDLPCITEENEIVDEIADTFHRGVDSQGMAGSHKRKPLAEIVDDANHSLSDLQDDVFAGRRDDVVSTEFNLSGTCSKVKNKLENSNRKRFTRKGKENQNISLGANDVKRTTESVHKRPGRSKLSGKDSMKRGPVNNIVSNVSSFIPLVQQKQAAAVVTGKRDIKVKALEAAEAAKRMAEKKENERKMKKEVLRLQREKVELELQKKKKEEERKKKEEQMATKKRQREDEEKKEKEKKRKRVNDMKKQLQEHEKVRGKKEESEIERRTTVEEVHENKKLLDAKENQKNLRAQDKRECNTVKISENESLAMRDSANYKTKEPRPENSESANDFDNNGKLIDNLIKANDDGDSIIEDTLQEQSYEISPYKGSDDEDEDEDEDDMPNNKFIPSWASKHSLSLIVTSQKTDPELIFPQQSFCNIAEVLLPRKLQLR >Vigun08g099900.11.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKKGLLIPMLMIPMHLIRVGHGLLFCSMPLLDKSYT >Vigun08g099900.14.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKDPRNRKINTPKVNTPGSVVKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.13.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKDPRNRKINTPKVNTPGSVVKLGMDCCFVQCHC >Vigun08g099900.15.v1.2 pep primary_assembly:ASM411807v1:8:24530106:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVSIGKVLNFKLLVTQK >Vigun08g099900.7.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFESIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.6.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENAECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFEDPRNRKINTPKVNTPGSVVKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.4.v1.2 pep primary_assembly:ASM411807v1:8:24530106:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLFVFHEYCHWHRHSQRHQLDRQPHQEIGLPSI >Vigun08g099900.2.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKDPRNRKINTPKVNTPGSVVKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.1.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFEDPRNRKINTPKVNTPGSVVKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.9.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFEDPRNRKINTPKVNTPGSVVKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.12.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536254:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKSIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.10.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFESIKGGGHTNPSNIGDLFSEGSLNPYADDPYAFD >Vigun08g099900.8.v1.2 pep primary_assembly:ASM411807v1:8:24516199:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLVNQMQQEHDKKQLSLIAEHNEQLKRAQLQAENELREKTIFMRNDHEAQIKALRCELEDECRKLEEELHVQKSKEDRQRALLQLQWKVMSDKPKEDQEVNSKQDYSISSIKRRSSCGGKSNLQRDVDSPYFEATQTPVPKLLTKVDNIKTGSAVSIPKRHRKVTRHEYEVETSNGRTITKKRKTRSTVMFEDPRNRKINTPKVNTPGSVVKLGMDCCFVQCHC >Vigun08g099900.5.v1.2 pep primary_assembly:ASM411807v1:8:24530106:24536253:-1 gene:Vigun08g099900.v1.2 transcript:Vigun08g099900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLAFPVSKSLDQFKSLYGSISGASKPLPSRPSVDTVSSGSFANLKLTAEKLVKEQASAKTDLENANAKLKKSQECLRALEEKLQNALNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHKLAGLVQDAEKDKETLENKLSASSEALDSLSKQMDDLSLKLDSAQETIRTRDNELEKLKVASEEKEKFHRDEHCRVANVIEEKDNMIRNLEEMLTSSRLATESLNSTLEEVHLQLKVKQDEILRHITSQEKLEKEKSDLQLLNAGLAEKHDMSLHVIENLKETLQSLAGDLSNLDKESLNLLSKFDEMNSLYASCFQLVQKERETFSKHAQFQYSELNNKFVVLELEKNATQMKSYELSKTVDELQKVQESTVAQFTEDSRVAAERIQSLVSETETLISKKKEAEVLISKLEEKVELLLESSRSSDNHVQDLLLKVSALETESKENTERLQAEILKKSEEIDTSQKERIKLEKHADSLDQELIQLRNALEEKEQCVLHCKEQEKKLQDQITENISLLTAVESKLSEARKQYDQMVENKQLELSRHLKEISQRNDQAINDIKRKYELEKMEIVNMEKDKTDNTIAEIEERCDKKLAECNEESRQQLMRIQEEHTLLCT >Vigun06g108300.2.v1.2 pep primary_assembly:ASM411807v1:6:23740736:23750079:-1 gene:Vigun06g108300.v1.2 transcript:Vigun06g108300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGFVVSPVGAVRCVTRHRVGVRAQSLEGGGSTVLSSESVNGSSSVVVVGTQKNHNGGSLVKEEAKVRSLVVEENKKKKKGETEEGLAALWDDGYGRNSVEDYFAAAKEICRSDGGPPRWFCPVECGPPLKDSPTLLFLPGMDGTGFGLTLHHQALGKVFEVRCLHIPVHDRTPFEGLVKLVGEAVKHEHALSPNKPIYLVGDSFGGSLALAVAAHNPTVDLVLILSNPATSVGQSQLQPLFPILEALPDELHVAVPFVLSFIMGDPVKMASVNIGNRLPPVKKIEQLSYNLTALLPCLPELANIIPRDTLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNLLPSTSEAQRLAGILSNCKVRVFKDSGHTLLLEDGIGLLTIIKGTCMYRPSRRHDLVRDFIPPSMTEFRYAMDQVVGLFRSVTGSVFFSTLEDGKIVKGLSGVPEEGPVLYVGYHMLLGFELISLTDGFLSEKGIALRGIAHPELFLPKVESFSSEFSMFDWVKIFGGVPVSASNLFKLLSTKSHVLLYPGGAREALHYKGEEYKLFWPDHPEFVRMAARFGATIVPFGAVGEDDLAQMVLDYNDLMKIPIVNDYIRDMNRDSVKFRGRRDGG >Vigun06g108300.1.v1.2 pep primary_assembly:ASM411807v1:6:23741202:23750079:-1 gene:Vigun06g108300.v1.2 transcript:Vigun06g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGFVVSPVGAVRCVTRHRVGVRAQSLEGGGSTVLSSESVNGSSSVVVVGTQKNHNGGSLVKEEAKVRSLVVEENKKKKKGETEEGLAALWDDGYGRNSVEDYFAAAKEICRSDGGPPRWFCPVECGPPLKDSPTLLFLPGMDGTGFGLTLHHQALGKVFEVRCLHIPVHDRTPFEGLVKLVGEAVKHEHALSPNKPIYLVGDSFGGSLALAVAAHNPTVDLVLILSNPATSVGQSQLQPLFPILEALPDELHVAVPFVLSFIMGDPVKMASVNIGNRLPPVKKIEQLSYNLTALLPCLPELANIIPRDTLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNLLPSTSEAQRLAGILSNCKVRVFKDSGHTLLLEDGIGLLTIIKGTCMYRPSRRHDLVRDFIPPSMTEFRYAMDQVVGLFRSVTGSVFFSTLEDGKIVKGLSGVPEEGPVLYVGYHMLLGFELISLTDGFLSEKGIALRGIAHPELFLPKVESFSSEFSMFDWVKIFGGVPVSASNLFKLLSTKSHVLLYPGGAREALHYKGEEYKLFWPDHPEFVRMAARFGATIVPFGAVGEDDLAQMVLDYNDLMKIPIVNDYIRDMNRDSVKFRDERSGEVANQNLSVPVLAPKIPGRFYFLFGKPIRTKGMENMLKDRENANKIYLQIKSEVESNLNYLIKKRKEDPYRNFIDRKMYQIFYPPETEPTPSFEP >Vigun08g118800.3.v1.2 pep primary_assembly:ASM411807v1:8:28629720:28632596:1 gene:Vigun08g118800.v1.2 transcript:Vigun08g118800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVDTMAREVLRGASAVEGVEATLWRVPEMLSDLILKKLKAPSKPNDVPDIRPEQLVEADGLIFGFPSRFGMMPSQLKAFFDATSGLWASQALAGKPAGIFWSTGFYGGGQELSALTAITQLAHHGMLFVPLGYTFGRGMFEMDEVKGGSAYGAGTFAGDGSRQPTELELQQAFYQGKYLAEVTKKLKG >Vigun08g118800.2.v1.2 pep primary_assembly:ASM411807v1:8:28629720:28632617:1 gene:Vigun08g118800.v1.2 transcript:Vigun08g118800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVYIVYYSMYGHVDTMAREVLRGASAVEGVEATLWRVPEMLSDLILKKLKAPSKPNDVPDIRPEQLVEADGLIFGFPSRFGMMPSQLKAFFDATSGLWASQALAGKPAGIFWSTGFYGGGQELSALTAITQLAHHGMLFVPLGYTFGRGMFEMDEVKGGSAYGAGTFAGDGSRQPTELELQQAFYQGKYLAEVTKKLKG >Vigun08g118800.5.v1.2 pep primary_assembly:ASM411807v1:8:28629720:28632596:1 gene:Vigun08g118800.v1.2 transcript:Vigun08g118800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVYIVYYSMYGHVDTMAREVLRGASAVEGVEATLWRVPEMLSDLILKKLKAPSKPNDVPDIRPEQLVEADGLIFGFPSRFGMMPSQLKAFFDATSGLWASQALAGKPAGIFWSTGFYGGGQELSALTAITQLAHHGMLFVPLGYTFGRGMFEMDEVKGGSAYGAGTFAGDGSRQPTELELQQAFYQGKYLAEVTKKLKG >Vigun08g118800.4.v1.2 pep primary_assembly:ASM411807v1:8:28629720:28632596:1 gene:Vigun08g118800.v1.2 transcript:Vigun08g118800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVYIVYYSMYGHVDTMAREVLRGASAVEGVEATLWRVPEMLSDLILKKLKAPSKPNDVPDIRPEQLVEADGLIFGFPSRFGMMPSQLKAFFDATSGLWASQALAGKPAGIFWSTGFYGGGQELSALTAITQLAHHGMLFVPLGYTFGRGMFEMDEVKGGSAYGAGTFAGDGSRQPTELELQQAFYQGKYLAEVTKKLKG >Vigun08g118800.1.v1.2 pep primary_assembly:ASM411807v1:8:28629720:28632597:1 gene:Vigun08g118800.v1.2 transcript:Vigun08g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVYIVYYSMYGHVDTMAREVLRGASAVEGVEATLWRVPEMLSDLILKKLKAPSKPNDVPDIRPEQLVEADGLIFGFPSRFGMMPSQLKAFFDATSGLWASQALAGKPAGIFWSTGFYGGGQELSALTAITQLAHHGMLFVPLGYTFGRGMFEMDEVKGGSAYGAGTFAGDGSRQPTELELQQAFYQGKYLAEVTKKLKG >Vigun07g090200.1.v1.2 pep primary_assembly:ASM411807v1:7:14213318:14217089:1 gene:Vigun07g090200.v1.2 transcript:Vigun07g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKTQFFFYFCCMCCFSYGFAAAENDEASALLSIKAGLIDPFNSLLDWKLLDKAKGKGAAHCNWTGVQCNSGGEVESLDLSRMNLSGIVSNQIQRLESLTSLNLCCNQFSSSLSSIPNLTTLKTLDVSQNFFTGHFPLGLGKALGLMTLNASSNNFSGFLPEDLGNVSSLETLDFRGSFFEGSVPKSFGNLHRLKFLGLSGNNLTGEIPGELGQLSSLECMIIGYNEFEGGIPAEFGNLTKLKYLDLAEGNLGGEIPAELGKLKLLNTVFLYKNKLEGNIPPTIGTMTSLVQLDLSDNMLSGNIPAEISELKNLQLLNFMRNRLSGPVPSGLGDLPQLEVLELWNNSLSGSLPRNLGKNSPLQWLDVSSNLLSGEIPETLCTKGYLTKLILFNNAFVGPIPASLSTCPSIVRVRIQNNFLSGTIPVGLGKLRKLQRLELANNSLTGGIPNDIGSSTSLSFIDFSTNNLHSSLPSTIISLPNLQTLIVSNNNLRGEIPDEFQDCPSLGVLDLSSNGLSGGIPASLASCQKLVNLNLQNNQLTGEIPKALASMPTLAILDLANNSLSGQIPGNFGSSPALETFNVSHNKLQGPVPENGVLRTINPNDLAGNAGLCGAVLPPCGQISAYPSRRGSTYAKHIIVGWIIGISSILAIGVTTVVARCLYIRWYTEGLCFQERFYKGRKGWPWRLMAFQRLDFTSSDILSCIKDTNMIGMGATGIVYKAEIPQSSTIVAVKKLWRSGSDIEVGSSDELVGEVNLLGRLRHRNIVRLLGFLYNDADVMIVYEFMHNGNLGDALHGKQAGRLLVDWVSRYNIALGIAEGLVYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLAKMMLRKNETVSMIAGSYGYIAPEYGYSLKVDEKIDIYSYGVVLLELLTGKRPLDPEFGESIDIVGWIRRKIDKKSPHEALDPSVGNCKYVQEEMLLVLKIALLCTAKFPKDRPSMRDVIMMLGEAKPRRKSGRSSETFSANKEIPATIRTPPVNGLL >Vigun01g037000.1.v1.2 pep primary_assembly:ASM411807v1:1:4871516:4874689:1 gene:Vigun01g037000.v1.2 transcript:Vigun01g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEVVDAELVLPSYLSFKKVQMYEKYPKGQARGRHWKHLKQIIQAENYQNYPPDEPNYVNIESPPSMHPCKKICDITGFEAPYHDPRTNLRYANTEVFKIIRSLPNEYVQRYLALRNAAIVLK >Vigun11g100600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29502994:29504064:-1 gene:Vigun11g100600.v1.2 transcript:Vigun11g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICAEHKFQLQPSHHLLSLKKSLRDIDIPPRKLLTRRSAADMFSDDTLLHKYLPHIDSDDSDDVGDPYASDEFRMFEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGTVCPEYRRGQCSSGDACEFSHGVFECWLHPSRYRTEACKDGKNCKRKVCFFAHTPRQLRVLPPQDSNKKKKCSNMSPHNHNNNNNNSNHCCLFCHCSSTCSPTSTLFGMSHFSPPLSPSSSSSSPSLPSHRHGVVSYKDVFSELMCAMEGLNFGEASSVSASKPHTLSWLDVSEDHKQFNVHSPTTATCGSFCNGNGRFLREESGSFSMNGNGRFLREESGVVDDVIVPDLAWVNDLLM >Vigun05g204300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39287941:39288961:1 gene:Vigun05g204300.v1.2 transcript:Vigun05g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVFTYPSLHLHIHSQKPSNPSHFSIHSQPRNHFSIRCTSPESTPLEDNFLTPDPSFSTVNSEESFPIEKRRRSEIVRERRGKKLVQPEPPNFEIGWKRTKEIKQEVPVGYVIADFLEKLETLMGKQFGSTELLAKVGEIVAERAREEAEILMDEGKVEERMVTELFRVLKLMEMDLAMVKASVKEETLGERLEQAKARCRQAILVAYSF >Vigun03g153700.1.v1.2 pep primary_assembly:ASM411807v1:3:16289912:16291900:-1 gene:Vigun03g153700.v1.2 transcript:Vigun03g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVYYALLFLASTFTFKFLLQRRLKNLPPHPPTLPIIGNLHLIKPPLHRSLLSLSEKYGPIFSLWFGSRFVVVITSPTLVQECFTKYDTVLANRPRLLTGKYLFYNYTSMGSSPYGDHWRNLRRIITIDVLSTQRLNSFFEVRREETMRVIQKLVRETCKGFALVQIRPRLTEMSFNNMMRMISGKRYYGDDGDVTDAEEAKQFRDIITEVMSLLGANNKGDFLPLLRWFDFDGLEKRLKSISTRADAFLQGLLEEHRSGKHKANTMIEHLLTMQESQPEYYSDHIIKGLIQGMLLAGTDTTAVTMEWAVASLLNHPEILKKAQDEIDNCVGQDRLVEESDIPNLPYLQNIIYETLRLFSPAPMLLPHYSSEQCSIGGFTVPRDTIVLINAWAIQRDPETWSDATCFKPERFEEEGEANKLIPFGLGRRACPGIGLAHRSMGLTLGLLIQCFEWKRPSDEEIDMRENKGVAMPKLIPLEAMCKARPISHKVMHQLAT >Vigun09g054100.1.v1.2 pep primary_assembly:ASM411807v1:9:5407574:5413078:-1 gene:Vigun09g054100.v1.2 transcript:Vigun09g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHMAHEITFQPFTEDHQQMGQGRDMQRLLPLSAGAPTWLNGSNAVTLRQQNFLHLQPESATAHPNDDVRGSIDRNRTESNSEPDDLAEYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQSQRVVDKYSGLGNGVVDDKELDQFMTHYVILLCAFKEQLQQHVRVHAMEAVMACWELEQSLQTLTGVSPGEGTGATMSDDEEDQAESNANLYEGSMDGADSLSFGPLVPTETERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSSGSKSKRKSSGAGETSNQSFM >Vigun09g054100.2.v1.2 pep primary_assembly:ASM411807v1:9:5407576:5413078:-1 gene:Vigun09g054100.v1.2 transcript:Vigun09g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHMAHEITFQPFTEDHQQMGQGRDMQRLLPLSAGAPTWLNGSNAVTLRQQNFLHLQPESATAHPNDDVRGSIDRNRTESNSEPDDLAEYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQSQRVVDKYSGLGNGVVDDKELDQFMTHYVILLCAFKEQLQQHVRVHAMEAVMACWELEQSLQTLTGVSPGEGTGATMSDDEEDQAESNANLYEGSMDGADSLSFGPLVPTETERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSSGSKSKRKSGAGETSNQSFM >Vigun01g087800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24605587:24606250:1 gene:Vigun01g087800.v1.2 transcript:Vigun01g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMKLFLTLVIAMLVMAATRVSAADAPAPGPSSDATTTISTVFSSLFVLAFALLF >Vigun10g128200.1.v1.2 pep primary_assembly:ASM411807v1:10:33614849:33617767:1 gene:Vigun10g128200.v1.2 transcript:Vigun10g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNPRTEKLVRRLTIVTTVTASYFLLTADYGPQPNALDPIKKQILSAQSTLKGYILGSTIEPQENQVGKLDSNKDQP >Vigun09g018700.1.v1.2 pep primary_assembly:ASM411807v1:9:1435244:1436923:1 gene:Vigun09g018700.v1.2 transcript:Vigun09g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLLLHSSLINTLSSLSSITTSIMGVSSLMLLFSYFLLSLLFIVFTLKFLLGSRRLRNLPPGPTPLPIIGNLNLLEQPIHRFFQRTSKHYGKIISLWFGSRLAVVISSHSAFQECFTKHDLALANRLPSLSGKYIFYNNTTVGSCSHGDHWRNLRRITALDVLSTQRVHSFSGIRSDETRRLIHRLARESRQGFARVEITSMFNDLTYNNVMRMISGKRFYGEETDMKNAEEAREFRETVAEMLQLMGLANKADYLPFLRWFDFQNVEKRLKSISKRYDAILNKIIDENRSNRDNRENSMIDHLLKLQETQPQYYTDQIIKGLALAMLFGGTDSSTGTLEWSLSNLLNKPEVLEKAREELKRVVGEDRLLNESDLPRLPYLRKIILETLRLYPPAPVLIPHVTSEDISVEGFNVPRDTAVIINGWAMQRDPEMWDEATCFKPERFDVEGEDKKLVAFGMGRRACPGEAMAMQSVSYTLGLLIQCFDWKRVSEEKLDMRENNWITLSRLIPLQAMCKTRPLYAKLSSN >Vigun08g041250.1.v1.2 pep primary_assembly:ASM411807v1:8:4223044:4223455:1 gene:Vigun08g041250.v1.2 transcript:Vigun08g041250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEDGPCYQLYTKSFYENTLKYKLNDIGIFVTQAEPAGIFTHKEVFTSIYNAIKQIFKCKTISFKIFII >Vigun05g250000.1.v1.2 pep primary_assembly:ASM411807v1:5:44383191:44385985:1 gene:Vigun05g250000.v1.2 transcript:Vigun05g250000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQLGSGYSFPPGFRFHPSDEELIVHYLQNKICSRPLPASIIAEIDLYKYNPWELPNKSLFGEEEWYFFSPRDRKYPNGLRPNRAAASGYWKATGTDKPILSSSGSKRIGVKKALVFYSGRPPKGSKTDWIMNEYRLIDTITKSSRLKGSMRLDDWVLCRVRHKGYSSKISGENQENPSELNLSAKLPRSEEYPTNMNCRADMITDYQYKDYQIIASILVGAPVSPTENMPNMSFKGCKGTNLVSVFEDGFTKVNSQTTFPSFDSYFNPLTRKSNEDEPYGNLISFNRKFNAEDKMDESPSSRELNCYNQNQPEDDIFSGNPPDNGINFQELNDLVFTGRYPQ >Vigun05g250000.2.v1.2 pep primary_assembly:ASM411807v1:5:44383801:44385987:1 gene:Vigun05g250000.v1.2 transcript:Vigun05g250000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNAVEKNESFFFFCDFADKSLFGEEEWYFFSPRDRKYPNGLRPNRAAASGYWKATGTDKPILSSSGSKRIGVKKALVFYSGRPPKGSKTDWIMNEYRLIDTITKSSRLKGSMRLDDWVLCRVRHKGYSSKISGENQENPSELNLSAKLPRSEEYPTNMNCRADMITDYQYKDYQIIASILVGAPVSPTENMPNMSFKGCKGTNLVSVFEDGFTKVNSQTTFPSFDSYFNPLTRKSNEDEPYGNLISFNRKFNAEDKMDESPSSRELNCYNQNQPEDDIFSGNPPDNGINFQELNDLVFTGRYPQ >Vigun01g215300.3.v1.2 pep primary_assembly:ASM411807v1:1:38911652:38915629:1 gene:Vigun01g215300.v1.2 transcript:Vigun01g215300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCGVVECVFVLGCARWFWKRCTYVGSYDSATWPAATADEFDPVPRVCRLILANYDPDLRNPQYYKPAPGHRLNPDWVVKRVNYEDTLGHAPPYLIYLDHDHKEIVLAVRGLNLVNESDYKVLLDNRLGQQMFDGGYVHRGLLKSAQWLLNRESETLKRLWLENGSEYDMVFAGHSLGSGVVSLLTILVVNHRDGLGGIPKEKIRCYAIAPARCMSLNLAVKYANVIHSVVLQDDFLPRTPTPLEDIFKSIFWP >Vigun01g215300.4.v1.2 pep primary_assembly:ASM411807v1:1:38911652:38915629:1 gene:Vigun01g215300.v1.2 transcript:Vigun01g215300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCGVVECVFVLGCARWFWKRCTYVGSYDSATWPAATADEFDPVPRVCRLILANYDPDLRNPQYYKPAPGHRLNPDWVVKRVNYEDTLGHAPPYLIYLDHDHKEIVLAVRGLNLVNESDYKVLLDNRLGQQMFDGGYVHRGLLKSAQWLLNRESETLKRLWLENGSEYDMVFAGHSLGSGVVSLLTILVVNHRDGLGGIPKEKIRCYAIAPARCMSLNLAVKYANVIHSVVLQDDFLPRTPTPLEDIFKSIFWP >Vigun01g215300.2.v1.2 pep primary_assembly:ASM411807v1:1:38911652:38915629:1 gene:Vigun01g215300.v1.2 transcript:Vigun01g215300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCGVVECVFVLGCARWFWKRCTYVGSYDSATWPAATADEFDPVPRVCRLILANYDPDLRNPQYYKPAPGHRLNPDWVVKRVNYEDTLGHAPPYLIYLDHDHKEIVLAVRGLNLVNESDYKVLLDNRLGQQMFDGGYVHRGLLKSAQWLLNRESETLKRLWLENGSEYDMVFAGHSLGSGVVSLLTILVVNHRDGLGGIPKEKIRCYAIAPARCMSLNLAVKYANVIHSVVLQDDFLPRTPTPLEDIFKSIFCLPCLLLLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRYSLANCSLHNVGDFLLK >Vigun01g215300.1.v1.2 pep primary_assembly:ASM411807v1:1:38911652:38915629:1 gene:Vigun01g215300.v1.2 transcript:Vigun01g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCGVVECVFVLGCARWFWKRCTYVGSYDSATWPAATADEFDPVPRVCRLILANYDPDLRNPQYYKPAPGHRLNPDWVVKRVNYEDTLGHAPPYLIYLDHDHKEIVLAVRGLNLVNESDYKVLLDNRLGQQMFDGGYVHRGLLKSAQWLLNRESETLKRLWLENGSEYDMVFAGHSLGSGVVSLLTILVVNHRDGLGGIPKEKIRCYAIAPARCMSLNLAVKYANVIHSVVLQDDFLPRTPTPLEDIFKSIFCLPCLLLLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIEREAEKALQLMKGKSSETVMTEPPTVQKMERLKTIEKEHRDALERAVSLNVPHAVDSAEEEEAEKNEGEEASESGNNNVSKSSGGRSKWDEVVEKLLKKSKTGELNQERDTNVLS >Vigun06g117600.1.v1.2 pep primary_assembly:ASM411807v1:6:24536111:24540535:-1 gene:Vigun06g117600.v1.2 transcript:Vigun06g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRYKEEKEAKKEGFRKYLETSGAVDALTKVLVALYEQNDKPSSAVEFIQQKLSCPSISEYEKLQAEFSDLQIKYSELLAAHQRTCKELEEIKSSHVLATVSTKETTDDESPKDGL >Vigun08g223800.1.v1.2 pep primary_assembly:ASM411807v1:8:38135713:38164818:-1 gene:Vigun08g223800.v1.2 transcript:Vigun08g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFSVAFLLQVFLLSSPSLSAASQHETYRAKHSEEYCAMYDICGQRSDGKALNCPYGSPSVKPDDLLSAKIQSLCPTITGNVCCTADQFDTLRVQVQQAVPILVGCPSCLRNFLNLFCELSCSPNQSLFINVTSILEVNGNMTVDGIDFYVTETFGEGLYESCKDVKFGTMNTRAIDFVGAGASNFEEWFEFLGQKVPPGFPGSPYSILFKTATFDPSPMKPMNASVYSCNDTSLGCSCGDCPSSSVCADPEPSPPSKDPCTIRIGSLKVRCVDFSLAILYIVLVFVLFGWALLQRRRGRGRPESSVEPLLNDRVDEGSSFSHLPKDGNHHAEEQQIDPQGQNVVQFSFVQGCLSSFYRTYGRWAAKKPTIVLCSSLAIVVLLCLGLLRFEVETRPEKLWVGPGSKAAEEKDFFDSHLAPFYRIEQLILATIPESKHDKPPSIITEENIELLFQIQEKVDGILANYSGSLVSLSDICLKPLGEDCATQSILQYFQMDPDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLEPTVALGGFSGNNYSEASAFVITYPVNNAIMKVGDENGKAIAWEKAFIQLAKEELLPLVQTSNLTLSFSTESSIEEELKRESTADVVTILVSYIVMFAYISVTLGDTPHPSSFFLSSKVLLGLLGVLLVVLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSNLPVEEKISHALGEVGPSITLASLSEILAFAVGSFVSMPACRVFSMIAALAVLLDFLLQITAFVALVTLDFVRAKDNRIDCFPCIKLNRSSVEENEGNRQERDGLLTRYMKEVHAPFLGLRVVKILVIAIFVGFTLASIALSTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISHCDSNSLLNEISRASLVPKSSYIAKPAASWLDDFLVWMSPEAFSCCRKFTNGSYCPPDDQPPCCLPDEGPCGLGGVCNDCTTCFRHSDLVNDRPSTAQFTEKLPWFLDALPSADCAKGGHGAYTNSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNAIQAARDFSARISASLKMDIFPYSVFYIFFEQYLEIWKLALINITIALGAIFVVCLVITSSLWSSAIVLLVIVMIILDLMGVMAILGIQLNAVSVVNLIMAIGIAVEFCVHIVHAFTVSLGDRNQRAKTALCTMGASVFSGITLTKLVGVIVLCFSTSEIFVVYYFQMYLALVIIGFLHGLVFLPVVLSLFGPPLRYTVI >Vigun08g223800.4.v1.2 pep primary_assembly:ASM411807v1:8:38144611:38164819:-1 gene:Vigun08g223800.v1.2 transcript:Vigun08g223800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFSVAFLLQVFLLSSPSLSAASQHETYRAKHSEEYCAMYDICGQRSDGKALNCPYGSPSVKPDDLLSAKIQSLCPTITGNVCCTADQFDTLRVQVQQAVPILVGCPSCLRNFLNLFCELSCSPNQSLFINVTSILEVNGNMTVDGIDFYVTETFGEGLYESCKDVKFGTMNTRAIDFVGAGASNFEEWFEFLGQKVPPGFPGSPYSILFKTATFDPSPMKPMNASVYSCNDTSLGCSCGDCPSSSVCADPEPSPPSKDPCTIRIGSLKVRCVDFSLAILYIVLVFVLFGWALLQRRRGRGRPESSVEPLLNDRVDEGSSFSHLPKDGNHHAEIDPQGQNVVQFSFVQGCLSSFYRTYGRWAAKKPTIVLCSSLAIVVLLCLGLLRFEVETRPEKLWVGPGSKAAEEKDFFDSHLAPFYRIEQLILATIPESKHDKPPSIITEENIELLFQIQEKVDGILANYSGSLVSLSDICLKPLGEDCATQSILQYFQMDPDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLEPTVALGGFSGNNYSEASAFVITYPVNNAIMKVGDENGKAIAWEKAFIQLAKEELLPLVQTSNLTLSFSTESSIEEELKRESTADVVTILVSYIVMFAYISVTLGDTPHPSSFFLSSKVLLGLLGVLLVVLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSNLPVEEKISHALGEVGPSITLASLSEILAFAVGSFVSMPACRVFSMIAALAVLLDFLLQITAFVALVTLDFVRAKDNRIDCFPCIKLNRSSVEENEGNRQERDGLLTRYMKEVHAPFLGLRVVKILVIAIFVGFTLASIALSTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISHCDSNSLLNETWWGKK >Vigun08g223800.3.v1.2 pep primary_assembly:ASM411807v1:8:38144611:38164819:-1 gene:Vigun08g223800.v1.2 transcript:Vigun08g223800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFSVAFLLQVFLLSSPSLSAASQHETYRAKHSEEYCAMYDICGQRSDGKALNCPYGSPSVKPDDLLSAKIQSLCPTITGNVCCTADQFDTLRVQVQQAVPILVGCPSCLRNFLNLFCELSCSPNQSLFINVTSILEVNGNMTVDGIDFYVTETFGEGLYESCKDVKFGTMNTRAIDFVGAGASNFEEWFEFLGQKVPPGFPGSPYSILFKTATFDPSPMKPMNASVYSCNDTSLGCSCGDCPSSSVCADPEPSPPSKDPCTIRIGSLKVRCVDFSLAILYIVLVFVLFGWALLQRRRGRGRPESSVEPLLNDRVDEGSSFSHLPKDGNHHAEEQQIDPQGQNVVQFSFVQGCLSSFYRTYGRWAAKKPTIVLCSSLAIVVLLCLGLLRFEVETRPEKLWVGPGSKAAEEKDFFDSHLAPFYRIEQLILATIPESKHDKPPSIITEENIELLFQIQEKVDGILANYSGSLVSLSDICLKPLGEDCATQSILQYFQMDPDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLEPTVALGGFSGNNYSEASAFVITYPVNNAIMKVGDENGKAIAWEKAFIQLAKEELLPLVQTSNLTLSFSTESSIEEELKRESTADVVTILVSYIVMFAYISVTLGDTPHPSSFFLSSKVLLGLLGVLLVVLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSNLPVEEKISHALGEVGPSITLASLSEILAFAVGSFVSMPACRVFSMIAALAVLLDFLLQITAFVALVTLDFVRAKDNRIDCFPCIKLNRSSVEENEGNRQERDGLLTRYMKEVHAPFLGLRVVKILVIAIFVGFTLASIALSTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISHCDSNSLLNETWWGKK >Vigun08g223800.2.v1.2 pep primary_assembly:ASM411807v1:8:38135713:38164818:-1 gene:Vigun08g223800.v1.2 transcript:Vigun08g223800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFSVAFLLQVFLLSSPSLSAASQHETYRAKHSEEYCAMYDICGQRSDGKALNCPYGSPSVKPDDLLSAKIQSLCPTITGNVCCTADQFDTLRVQVQQAVPILVGCPSCLRNFLNLFCELSCSPNQSLFINVTSILEVNGNMTVDGIDFYVTETFGEGLYESCKDVKFGTMNTRAIDFVGAGASNFEEWFEFLGQKVPPGFPGSPYSILFKTATFDPSPMKPMNASVYSCNDTSLGCSCGDCPSSSVCADPEPSPPSKDPCTIRIGSLKVRCVDFSLAILYIVLVFVLFGWALLQRRRGRGRPESSVEPLLNDRVDEGSSFSHLPKDGNHHAEIDPQGQNVVQFSFVQGCLSSFYRTYGRWAAKKPTIVLCSSLAIVVLLCLGLLRFEVETRPEKLWVGPGSKAAEEKDFFDSHLAPFYRIEQLILATIPESKHDKPPSIITEENIELLFQIQEKVDGILANYSGSLVSLSDICLKPLGEDCATQSILQYFQMDPDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLEPTVALGGFSGNNYSEASAFVITYPVNNAIMKVGDENGKAIAWEKAFIQLAKEELLPLVQTSNLTLSFSTESSIEEELKRESTADVVTILVSYIVMFAYISVTLGDTPHPSSFFLSSKVLLGLLGVLLVVLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSNLPVEEKISHALGEVGPSITLASLSEILAFAVGSFVSMPACRVFSMIAALAVLLDFLLQITAFVALVTLDFVRAKDNRIDCFPCIKLNRSSVEENEGNRQERDGLLTRYMKEVHAPFLGLRVVKILVIAIFVGFTLASIALSTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISHCDSNSLLNEISRASLVPKSSYIAKPAASWLDDFLVWMSPEAFSCCRKFTNGSYCPPDDQPPCCLPDEGPCGLGGVCNDCTTCFRHSDLVNDRPSTAQFTEKLPWFLDALPSADCAKGGHGAYTNSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNAIQAARDFSARISASLKMDIFPYSVFYIFFEQYLEIWKLALINITIALGAIFVVCLVITSSLWSSAIVLLVIVMIILDLMGVMAILGIQLNAVSVVNLIMAIGIAVEFCVHIVHAFTVSLGDRNQRAKTALCTMGASVFSGITLTKLVGVIVLCFSTSEIFVVYYFQMYLALVIIGFLHGLVFLPVVLSLFGPPLRYTVI >Vigun10g019300.3.v1.2 pep primary_assembly:ASM411807v1:10:2186946:2220221:-1 gene:Vigun10g019300.v1.2 transcript:Vigun10g019300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKHVRASSSLLKQHVTRAHLLSRPFTTTEGHRPSIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSPDLQIERFMVDLKRLEVQARDGPSDPYALAKWRILNRLHDRNETMYYKVLIAKIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLEDPLYLGLQQHRLDGDDYLAVVDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFESAKSQFWVVDAKGLISEGRENIDPDALPFARNLKEIERQGLREGASLEEVVKQVKPDVLLGLSAVGGLFSKEVLEALKDSTSTRPAIFAMSNPTKNAECTAEEAFSILGDNIIFASGSPFSNVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGARIISDGMLQAAAERYVLLDLLISESTSIINYIKSKIGFKREKYQTNFVVHK >Vigun10g019300.2.v1.2 pep primary_assembly:ASM411807v1:10:2183371:2220222:-1 gene:Vigun10g019300.v1.2 transcript:Vigun10g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKHVRASSSLLKQHVTRAHLLSRPFTTTEGHRPSIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSPDLQIERFMVDLKRLEVQARDGPSDPYALAKWRILNRLHDRNETMYYKVLIAKIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLEDPLYLGLQQHRLDGDDYLAVVDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFESAKSQFWVVDAKGLISEGRENIDPDALPFARNLKEIERQGLREGASLEEVVKQVKPDVLLGLSAVGGLFSKEVLEALKDSTSTRPAIFAMSNPTKNAECTAEEAFSILGDNIIFASGSPFSNVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGARIISDGMLQAAAERLATYMSEEEVLKGIIFPSTSRIRDITEKVAAAVIKEALEEDLAEGYHGMDARELKKLSEDDLAEFVKNNMWNPEYPTLVYKKE >Vigun10g019300.1.v1.2 pep primary_assembly:ASM411807v1:10:2182509:2220221:-1 gene:Vigun10g019300.v1.2 transcript:Vigun10g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKHVRASSSLLKQHVTRAHLLSRPFTTTEGHRPSIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSPDLQIERFMVDLKRLEVQARDGPSDPYALAKWRILNRLHDRNETMYYKVLIAKIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLEDPLYLGLQQHRLDGDDYLAVVDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFESAKSQFWVVDAKGLISEGRENIDPDALPFARNLKEIERQGLREGASLEEVVKQVKPDVLLGLSAVGGLFSKEVLEALKDSTSTRPAIFAMSNPTKNAECTAEEAFSILGDNIIFASGSPFSNVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGARIISDGMLQAAAERLATYMSEEEVLKGIIFPSTSRIRDITEKVAAAVIKEALEEDLAEGYHGMDARELKKLSEDDLAEFVKNNMWNPEYPTLVYKKE >Vigun07g184800.1.v1.2 pep primary_assembly:ASM411807v1:7:30189730:30190994:-1 gene:Vigun07g184800.v1.2 transcript:Vigun07g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVRLHGFWYSPFTLRVVWTLKLKDIPYQYIEEDRYNKSLQLLQYNPVHKKTPVLVHNEKPLCESMIIVEYLDEIWPHNPLLPSDPYNRALARFWVNYTEETFSAGVAFYRSNSDEEREKSIENLSKHLMVVENQCFGGEKKIFGGEVINIVDIAFGSMFKFFEVAEDIIGVKVLQDEKFPQLCSWYNNFKNIPVIKENLPDHQKQVATINSIREKRLASS >Vigun10g126500.5.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSSSEESNWGQRVSEKVLSFYASLPKKGKPQGREVTVLAAFLLSSPSNDLKVVAIGTGTKCIGRSLLRTCGDVVHDSHAEVIARRALMRWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.8.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459003:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.12.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.2.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.11.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.10.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.4.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSSSEESNWGQRVSEKVLSFYASLPKKGKPQGREVTVLAAFLLSSPSNDLKVVAIGTGTKCIGRSLLRTCGDVVHDSHAEVIARRALMRFFYTQIQHLTETNGNHGPTNGGKRFKFDDGNLPFELDTGCLNKAKYTLRKDWKLHMYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCK >Vigun10g126500.1.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSSSEESNWGQRVSEKVLSFYASLPKKGKPQGREVTVLAAFLLSSPSNDLKVVAIGTGTKCIGRSLLRTCGDVVHDSHAEVIARRALMRFFYTQIQHLTETNGNHGPTNGGKRFKFDDGNLPFELDTGCLNKAKYTLRKDWKLHMYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.3.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.9.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.7.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459003:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCYTEFGCLAFGNCSFRRKRFNFTFGEILFSSIPDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun10g126500.13.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYTQIQHLTETNGNHGPTNGGKRFKFDDGNLPFELDTGCLNKAKYTLRKDWKLHMYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCK >Vigun10g126500.6.v1.2 pep primary_assembly:ASM411807v1:10:33454997:33459002:-1 gene:Vigun10g126500.v1.2 transcript:Vigun10g126500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFYTQIQHLTETNGNHGPTNGGKRFKFDDGNLPFELDTGCLNKAKYTLRKDWKLHMYISQLPCGDATLSSVVSPLETVPLGERDSTSPLDNALNQQGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSITVGLPINSPGNFHFEDNLKRALYERILPLSSELTSPFLVNQPTLHAAPVPPKDFQQSESAANTLTCGYSICWNECGLHEVILGTTGRKQGTSAKGALYPSTQSSLCKQRLLEVFLPLRREKLSSSLANGITYRELKDGAEEYNLTSKIFKGKPPFNRWFLKPLDCEAFPISE >Vigun01g249100.1.v1.2 pep primary_assembly:ASM411807v1:1:41612463:41615635:-1 gene:Vigun01g249100.v1.2 transcript:Vigun01g249100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVVVSTSVSLFSNYAQRRPSFFPLSSSNSIAKTNLNLNSQCFSNLLRSHSKSPIYGRHFVLRTSIKQSESEKETELEPVGEDSAVFELGEQKIASWIYFTGILGVVLYVLNVAWIDNSTGYGKAFIDAVSTLSDSHEVVLLILILIFAGVHSGLASFRNTGEKLIGERPFRVLFAGISLPLAVSTIVYFINHRYDGLQLWQVQDAPGLHQLLWISNFISFFFLYPSTFNLLEVAAVDKPKLHLWETGIIRITRHPQMVGQVIWCLAHTIWIGNSVAVAASFGLIAHHLFGVWNGDRRLATKFGEDFELVKSRTSVFPFAAILDGRQKLPQDFYKEFLRLPYLAITALTLGAYFAHPLMQSASFNLHW >Vigun08g166700.1.v1.2 pep primary_assembly:ASM411807v1:8:33841387:33844232:1 gene:Vigun08g166700.v1.2 transcript:Vigun08g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAGARVPLLPDSKGGVPPATVSGAVFNVANSIIGAGIMSLPATLKVLGVIPALVLILVIAFLAEISVEFLMRFTRAGETTTYAGVMRESFGPVGAIAAQIAVVLTNMGCLIMYLIIAADVFSGNNRQGEVHLGVLQQWFGVQWWNSREFALLVILLLILLPLVLYRRVESLKFSSAISTFLAVAFVATCTVLAIIAIIEGRTQSPKLIPRLDQQTTFFDLFTAVPVIVTAYTFHFNVHPIGFELANASDMATAVRLALLLCAAIYFSIGLFGYLLFGDSTQSDILVNFDQNTGSAVGSLLNVLVRLSYGFHVILTFPLLNFSLRTNIDEFFFPKKPLLATDSKRFVSLTIVLLVLSYLAAVAVPDIWYIFQFVGSTSTVCLSFVFPGAIVLRDSYGIATKRDKIIALVMVILAAITSVIAISTNIYKLFQ >Vigun07g167200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28154130:28155125:1 gene:Vigun07g167200.v1.2 transcript:Vigun07g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNLHSDQRADKKKIRYTECLKNHAAAIGGNATDGCGEFIADGEEGTLEALRCSACNCHRNFHRKEIESSDSYSNPLMIVPDTTQIVPILAQLSPHKSGSISPSDVSDEKDCEDGVTKEEGNNATEKVKKRFRTKFTQEQKEKMFVFAERAGWRIQKLDESVVQKFCQEIGIKRRVLKVWIHNNKNTFAKRNPSTS >Vigun06g045800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030593:17030925:1 gene:Vigun06g045800.v1.2 transcript:Vigun06g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPPVEIGTRGTVGSLLMQEIEYFSRLELNSNGWSQNNKSQTINMGSSVSTDSRPSTVSRVETRKKKRGSSKLLPSMCSMVDVIDNSKPNVISAFSYKNLKSDIQFQF >Vigun11g132600.1.v1.2 pep primary_assembly:ASM411807v1:11:34114947:34119435:-1 gene:Vigun11g132600.v1.2 transcript:Vigun11g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNENYSSEEECMEDYRRGGYHAVRIGDAFNDGRYVVQSKLGWGHFSTVWLAWDTLVSRFVALKIQKSAQHYTEAAMDEIKILKQIAEGDLEDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYTDYRGIPLHMVKEICFHILVGLDYLHRELSVIHTDLKPENVLLQSLINPSKDPRKSGASLILPNTKDKAASKNGTYQDSKILNGDPLKNQKKKMKRKAKVAQGFVGRETSEEAEDYKGPEQEDCGNDVKSSVEPVEDKPDSSLSKDESTKNFEKDASQGSHSHTSSSRSMKKKLLAAVDLRCKLVDFGSACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELVGKIPPKIALGGRYSREFFNRHGDLRHISNLRFWPMDKVLMDKYNFSEQDTKDMVDFLVPILDFVPEKRPTAAQCLSHPWLNAGPRTLQPSITSTQPDAINEELSERRKKEAAEKESVEIGLRNIAIKGTSEPLKDTQPLKSSK >Vigun06g179600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29935494:29944729:-1 gene:Vigun06g179600.v1.2 transcript:Vigun06g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEIQAQAVCKFPRVGNGRNDQYQEEEEEVDIRRRGIGGGGGGGGGGGGSDASTNHFQSSWHHSSRIIRVSRASGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLINAASDAISELPSLNNPFPDTPKQPSDEKRPTSGQRGVQPQAFDDADGDTNFLHQTQNQSQNLSLSKSACSSTSETSKGSGLSLSRSEIRVNRVKARERARVRAAKEKGKDRDKEKEKEKENESGIAHHHHHHHHHNVNNPNHMSHTASFTELLTGGIGSTVTVNPTTTASPNGSSVHQIHEGHDEANIFNKGRQQQQQQHWSSAVTPMDYFSQGGLLVGPSSSSARTQHQHQHQHQSSSGQFQLGHALPISPFSGENQSDQMQHFSFMPDHLNMPAVVTSSSSASQPSGGDNYNLNFSISSGLAGFNRGTLQSNSPSFLPHLQRFQPLDGSSNLPFFIGAPAPSSAPPTIDSNNNNNNNHHHHLQFSPVFDGRLQLCYGDGTRHSDHKGKGKN >Vigun03g416000.1.v1.2 pep primary_assembly:ASM411807v1:3:62287429:62288390:-1 gene:Vigun03g416000.v1.2 transcript:Vigun03g416000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGETASEEE >Vigun07g192300.1.v1.2 pep primary_assembly:ASM411807v1:7:31115005:31128573:1 gene:Vigun07g192300.v1.2 transcript:Vigun07g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRHPLFSRSLRILSSSTRSLSRTSNSTIFAATAQSNIRPASCSGITGINDKFLKSKWNDVKYFSSSDSSHEVLGMPALSPTMTQGNIAKWRKKEGEKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDEKDIQNVPASVGGRVEETKPAQQDVTDERKPESTSTMINASELPPHSVLGMPALSPTMNQGNIAKWRKQEGDKIEVGDILCEIETDKATLEFETLEEGYLAKILAPEGSKEVAVGQPIAITVEEASDIEAIKNSVNSSSTNQQKAPQHDAKSEVKAQKNKIARISPAAKLLIAEYGLDASTLTATGHYSTLLKGDVLSEIKSGKLSPKPASPKEKVLSSQSHQQVAASRESKSDLGQSDSYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNVEKGEVVLNDSVDISIAVATDKGLMTPIIKNADQKTISAISSEVKELAAKARDGKLKPQEFQGGTFSISNLGMFPVDRFCAIINPPQACILAVGRGNKVVEPVLGDDGVEKPSIATKLSLTLSADHRVFEGKVGGAFLSALQSNFSDIRRLLL >Vigun07g192300.5.v1.2 pep primary_assembly:ASM411807v1:7:31115005:31128573:1 gene:Vigun07g192300.v1.2 transcript:Vigun07g192300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRHPLFSRSLRILSSSTRSLSRTSNSTIFAATAQSNIRPASCSGITGINDKFLKSKWNDVKYFSSSDSSHEVLGMPALSPTMTQGNIAKWRKKEGEKIEVGDVLCEIETDKATLEFESLEEGYLAKILAPEGSKEVAVGQPIAITVEEASDIEAIKNSVNSSSTNQQKAPQHDAKSEVKAQKNKIARISPAAKLLIAEYGLDASTLTATGHYSTLLKGDVLSEIKSGKLSPKPASPKEKVLSSQSHQQVAASRESKSDLGQSDSYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNVEKGEVVLNDSVDISIAVATDKGLMTPIIKNADQKTISAISSEVKELAAKARDGKLKPQEFQGGTFSISNLGMFPVDRFCAIINPPQACILAVGRGNKVVEPVLGDDGVEKPSIATKLSLTLSADHRVFEGKVGGAFLSALQSNFSDIRRLLL >Vigun07g192300.4.v1.2 pep primary_assembly:ASM411807v1:7:31116368:31128573:1 gene:Vigun07g192300.v1.2 transcript:Vigun07g192300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCYTEKRDYENSSHEVLGMPALSPTMTQGNIAKWRKKEGEKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDEKDIQNVPASVGGRVEETKPAQQDVTDERKPESTSTMINASELPPHSVLGMPALSPTMNQGNIAKWRKQEGDKIEVGDILCEIETDKATLEFETLEEGYLAKILAPEGSKEVAVGQPIAITVEEASDIEAIKNSVNSSSTNQQKAPQHDAKSEVKAQKNKIARISPAAKLLIAEYGLDASTLTATGHYSTLLKGDVLSEIKSGKLSPKPASPKEKVLSSQSHQQVAASRESKSDLGQSDSYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNVEKGEVVLNDSVDISIAVATDKGLMTPIIKNADQKTISAISSEVKELAAKARDGKLKPQEFQGGTFSISNLGMFPVDRFCAIINPPQACILAVGRGNKVVEPVLGDDGVEKPSIATKLSLTLSADHRVFEGKVGGAFLSALQSNFSDIRRLLL >Vigun07g192300.3.v1.2 pep primary_assembly:ASM411807v1:7:31116245:31128573:1 gene:Vigun07g192300.v1.2 transcript:Vigun07g192300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCYTEKRDYENSSHEVLGMPALSPTMTQGNIAKWRKKEGEKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDEKDIQNVPASVGGRVEETKPAQQDVTDERKPESTSTMINASELPPHSVLGMPALSPTMNQGNIAKWRKQEGDKIEVGDILCEIETDKATLEFETLEEGYLAKILAPEGSKEVAVGQPIAITVEEASDIEAIKNSVNSSSTNQQKAPQHDAKSEVKAQKNKIARISPAAKLLIAEYGLDASTLTATGHYSTLLKGDVLSEIKSGKLSPKPASPKEKVLSSQSHQQVAASRESKSDLGQSDSYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVILDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNVEKGEVVLNDSVDISIAVATDKGLMTPIIKNADQKTISAISSEVKELAAKARDGKLKPQEFQGGTFSISNLGMFPVDRFCAIINPPQACILAVGRGNKVVEPVLGDDGVEKPSIATKLSLTLSADHRVFEGKVGGAFLSALQSNFSDIRRLLL >Vigun02g086500.1.v1.2 pep primary_assembly:ASM411807v1:2:24107025:24113118:1 gene:Vigun02g086500.v1.2 transcript:Vigun02g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTTSDADHRNHHETTPSSKRTVAANLHRSKRPVFKVLPGQIAFRLVCHASIVGGLIGSSGSIVSQLRRETACKIHCEDSPGSAEDRVILVIGSLSPRKGLQLGDGEVDVSNAQEAVVRVFERVWELEAEKGVNSNRAVNGEVFSKLLAHTSQIGAVVGKGGKNITAIRNSSGAKIRVCPPPHCAAKDEELVQITGGLLAVKKALIAVSHCLQDCPPLSKVSVSSSTPTVSSSDRSSSDPNAELFPHLNSLLTSLDGLSVHERTTNSNETSGSDSKGAEHEVVFRLLCSNNVAGSVIGKRGAIVRALESKTGASIIFTAPLSESAERIVTISAVENLESCNSPAQDAVILVFARIIEDHIGKGFLPVSSMESPVTARLLVAASTVNCLSNNEGQVISELRELTGADLQILHGESVPSGAADGDVVVQITGEYKCVQDALHKITCRIRDNLSANGVVAEAKLKSNWKVNKEPVKGKPFFARGKSAYPSGRFQRRNAGLHAKTVSQNGDLHTDLSENLERGRGNMFATVTNTTVEIVVSEHVFGSVYGEDGGNLDRIRQISGATVTVYDPSVGTSGGKVVISGTPDQTFAAQSLLQAFIQTGQVS >Vigun08g196700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36264837:36267920:-1 gene:Vigun08g196700.v1.2 transcript:Vigun08g196700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVEVRKGGGGSIKLGTTGTISSLMTRELDHVPSAPKKLVSSTRTKTQTLPVSVPCGNTTQKRLQPRKSSVEASSSGSSRNTNHRGTSNGMPQKTKTKTNGRNTQTIPMLGSDICSVSRTPVREKNDKKIPNIVEVVDIKCGSAEKAWATPLASRLKKLGFSKLSESII >Vigun08g196700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36264837:36267920:-1 gene:Vigun08g196700.v1.2 transcript:Vigun08g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVEVRKGGGGSIKLGTTGTISSLMTRELDHVPSAPKKLVSSTRTKTQTLPVSVPCGNTTQKRLQPRKSSVEASSSGSSRNTNHRGTSNGMPQKTKTKTNGRNTQTIPMLGSDICSVSRTPVREKNDKKIPNIVEVVDIKCGSAEKAWATPLASRLKKLGFSKLSESII >Vigun08g196700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36264837:36268032:-1 gene:Vigun08g196700.v1.2 transcript:Vigun08g196700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVEVRKGGGGSIKLGTTGTISSLMTRELDHVPSAPKKLVSSTRTKTQTLPVSVPCGNTTQKRLQPRKSSVEASSSGSSRNTNHRGTSNGMPQKTKTKTNGRNTQTIPMLGSDICSVSRTPVREKNDKKIPNIVEVVDIKCGSAEKAWATPLASRLKKLGFSKLSESII >VigunL050600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:5458:7073:1 gene:VigunL050600.v1.2 transcript:VigunL050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCARSPIVRRVAALSARGERGDVDSTTERPGPQKGVYRRRIRTMHRQASATATETPYPPIRYAWYVIGVLFVATLLSQLDRQLPALLVRPIRAEFGISDTAFSFLQGYAFALFYTFAGLPFGWLIDRTIRRNLIIVGMVLWSVMTVLSGFAQSYDALVLTRMGVGIGEAVLAPAAYSIIADYVSPERRGRAFSVYYLSLAIGSGASLILGALIARIIPDAGLNLPGVGLMSPWRLTFLVAGAPGLALALLLLTIREPVRRDAVSVLLSKTSAGWGGFFSYLKRHAAAFSRVLTYPGVVAVIGYGTLAWAPTLFDRRFGIPTKTSGLIIGVLVAAGGLVGTLISGWLSDRWAAKRVPAARLRVAMLAWLLVLPTVSLWSLVGAPWLSFALLTVVVTGFAMAQAAAPTAVQAITPNRMRGKAVAIYLLIGGLVGIGFGPMSVALVTDHVFKDDAGLPYALAVVGGPIALLGLWLTWSGLKPYQRTLEALEALEAAAEADTARS >Vigun11g068700.1.v1.2 pep primary_assembly:ASM411807v1:11:20065482:20066885:1 gene:Vigun11g068700.v1.2 transcript:Vigun11g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFTLIFTLTLIITVISRTSGAMPERQCQQLLNPNECNLSWCRMICSAHYHGTGYCVDVYPHKCVCVYNCPR >Vigun07g170600.1.v1.2 pep primary_assembly:ASM411807v1:7:28524142:28536890:1 gene:Vigun07g170600.v1.2 transcript:Vigun07g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQIRSMLLWVLLFLAAYAYLADGVFPEEVRQLRDEAREMFYHAFNGYMDHAFPLDELRPLSCAGEDTLGGYALTLIDSLDTLALLGDRQRFATSVEWLGKNIRFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMRVPSYDNQLLNLAEDLARRLLPAFDTPTGIPFGSVNLLHGVDKHESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYAAAMHYLYHDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDINPAIRTHAAFLSVWRRYGFTPEGFNLASLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMIASLQYGTRCPCGYCHISDVEHHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYVFSTEGHLLPATPQISLAREHCLYYGAYCRSGDLRQTYFVPESEKDKKEPNDTKFYDSWTKRDTYSSDYTTFEPRAVSGSIKGFCPGLNHGQKFGLLYAHLIDERRDYETNQVQQKESTTVQSHSVLVLRSQSSEHLVPEYGSDRNDSQTPQSDSTP >Vigun03g272200.1.v1.2 pep primary_assembly:ASM411807v1:3:44716959:44722872:1 gene:Vigun03g272200.v1.2 transcript:Vigun03g272200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAELRWLCRLIDDYLRPYTESWASVSISKEKEKEILIASSQVVTKIQLRIREFDSRAEMKRAPDEERLCGSNQHSSVDQCLPRIITEMMVLLTVKSEFVQHVAVNALSLTSRFVHTTGNNWVRFIHFLCCWLEMAITKMISCSSGPSSGTENGKFDSSDVEFLTQYGTKDFDWSTVAGVVRVMRVICKYLEEEDYDDGLVQVYHDSVNSCLLKMPWDLLDKFWSCEFGSMKNNSSINQLHLNKFSIMEPVMICLGTFLQFLCSLVDRNDLVETDCDSIDKHPLFITVVNLVPRLAKWCLRKQEHNAERCITNYLNHKLLILMIRLGSLTGLDCRIPFSWIELLHNYFEEFLQQPLTQFHSDQINCLEGSPFLVSLSDGEACLTHSGHLQRQSVYLLLACSFSLISQRGENANHCNCSTLSSCFTTNPDSEHDRFCMKKGFLELYKWIQRHLPTAISTNHDNFLEICMDFMSSFLQLYLREDDLLFDVLLLLCSISSCLQQQSERKDAAYQDVMKDFPFALSDIFIPVYHFHLFLFEIHYDHQVLLDYLISKDTGISCAKYLLRCLHLICNSWKLFVEFPLFGEFLDQSPCKRRKIVGDGLQLLADGIPTSVDNSGSTMLLIKNYKEDSGCGFKRYNIKPFKKAAECLLSLNNSVYNLHQKKLFPYNPEVLLKRLRRFQEFCSQEKGSHGLKTE >Vigun01g014100.2.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1589355:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRENHPDEEQEKIRMKGKSSEPDPDYEGDEDDLDVFDTDGFIVDDPEYEEDGEEESRKQAQKKKKRKSLGTIVLDDEDLELIRENRRINQEALRDGKLKRLRKAGVDSALMEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQVIELYVNPLVVHLKAMLNFRKFKNGTKAEVDEQLKQEKDEYPNRIAYGFGISYEHPGTFILSYIRSTNPHHEFVAIHPKGFKFRKQIFENIELLVSYFQNHINDNVALAKSSIKVGSVSDSLSGGWRNSNVDQHTESNGISRTLKVLYFDAFNLFNICP >Vigun01g014100.1.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1589355:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRENHPDEEQEKIRMKGKSSEPDPDYEGDEDDLDVFDTDGFIVDDPEYEEDGEEESRKQAQKKKKRKSLGTIVLDDEDLELIRENRRINQEALRDGKLKRLRKAGVDSALMEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQVIELYVNPLVVHLKAMLNFRKFKNGTKAEVDEQLKQEKDEYPNRIAYGFGISYEHPGTFILSYIRSTNPHHEFVAIHPKGFKFRKQIFENIELLVSYFQNHINDNVALAKSSIKVGSVSDSLSGGWRNSNVDQHTESNAYNDQRGGRGPRRGRGDRGRGFGPRSGSRDDSADDSFAAGNSWEVRGSGEGNGGRQGRGRGRGRGRGGRGSQGDTNDGGFEPGQNQGRERGRGRGRGRGRRGSQGSDDQGRGGWGRNNHADGDYSDAYKGGGDWGQGSDQGRGWSGSQGNGQRSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGGQGNGQGSSDCGQGSDQGRGWNGSQGNGQGSSDWGQGSDQGRGWRGSQGNGQGSSDWGQGPDQGRGWSGSQANGEGSGGWGGNNSNEERKEDNRDLGQGNGGSGSENLGWGKNATPSGGGGGGGWGGSAGKSW >Vigun01g014100.7.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1586776:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQLTMINGVVVVLDVVVETVDVDLALGLALVMIVLMIPLQQAIVGK >Vigun01g014100.5.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1589355:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRENHPDEEQEKIRMKGKSSEPDPDYEGDAEDDLDVFDTDGFIVDDPEYEEDGEEESRKQAQKKKKRKSLGTIVLDDEDLELIRENRRINQEALRDGKLKRLRKAGVDSALMEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQLTMINGVVVVLDVVVETVDVDLALGLALVMIVLMIPLQQAIVGK >Vigun01g014100.6.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1589355:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRENHPDEEQEKIRMKGKSSEPDPDYEGDEDDLDVFDTDGFIVDDPEYEEDGEEESRKQAQKKKKRKSLGTIVLDDEDLELIRENRRINQEALRDGKLKRLRKAGVDSALMEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQLTMINGVVVVLDVVVETVDVDLALGLALVMIVLMIPLQQAIVGK >Vigun01g014100.4.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1586795:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQVIELYVNPLVVHLKAMLNFRKFKNGTKAEVDEQLKQEKDEYPNRIAYGFGISYEHPGTFILSYIRSTNPHHEFVAIHPKGFKFRKQIFENIELLVSYFQNHINDNVALAKSSIKVGSVSDSLSGGWRNSNVDQHTESNAYNDQRGGRGPRRGRGDRGRGFGPRSGSRDDSADDSFAAGNSWEVRGSGEGNGGRQGRGRGRGRGRGGRGSQGDTNDGGFEPGQNQGRERGRGRGRGRGRRGSQGSDDQGRGGWGRNNHADGDYSDAYKGGGDWGQGSDQGRGWSGSQGNGQRSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGGQGNGQGSSDCGQGSDQGRGWNGSQGNGQGSSDWGQGSDQGRGWRGSQGNGQGSSDWGQGPDQGRGWSGSQANGEGSGGWGGNNSNEERKEDNRDLGQGNGGSGSENLGWGKNATPSGGGGGGGWGGSAGKSW >Vigun01g014100.3.v1.2 pep primary_assembly:ASM411807v1:1:1577380:1589355:-1 gene:Vigun01g014100.v1.2 transcript:Vigun01g014100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRENHPDEEQEKIRMKGKSSEPDPDYEGDAEDDLDVFDTDGFIVDDPEYEEDGEEESRKQAQKKKKRKSLGTIVLDDEDLELIRENRRINQEALRDGKLKRLRKAGVDSALMEYSSNDGGPLFDNIAEDDMADFIVDEEVVILKKADFLRQQKLKGIKPSPSLSKGAKRSFGKEDRLEKRIENDQIQCVDPNKYKSFIPADSYVPGEDHDIDMPERMQMIQDIVGSPIDRMSLEEESSWILGQLASNINPLFSEVNSCRLVDTAKREDIISFLELHHKMKYDIPFIATYRKEQCLSLLEDPKLNDESENISLKMHKMLWIIQELDKKWWLLRKRKNLLMEYYNKHFEEKHQLSFLVEESSFHEQIFDSIINMLKNAETETEIDDIDRRFNLHFPPVEESFDCGFRRPMTKSLYYNCNKAGLGSLSSKFGDPEKFSSLVTLSQVGTNKEEDSEESPEQIASMYKCETFQTSEAVLKGARHMAAVMLSSEIPFRKYIRTIFMDKALVSTNPTLEGNIAIDSFHEFAGVKWLRDKPLSKFEDYQWLLIQKAEEEKLLQVKIHLPDHTINELTMTCNDAYLKDSEGISTRLWNEQRKLILQDVISNFLLPSMEKEARVLLNAKAKNCVLMKYAMQLWNRVSVAPHLKDVNDTVQQKGVMACCWRNGKPGTTFVMLDSGGELVDVMHARSLTLRSQQIIDQQSRKNDQQCILRFLTTYQPQVIVIGAGNASCLRLREDINEIISMISEHNVQNFSQGMNGLPTVVLGEEGLPRLYEDSEISTRQLPRQDGIVRRAVALGRYLLNPLAMVATLCGEENKIVHWKVTPLEKFLTSDEKLKMIEWVMTDVTNQVGIDINLAVRHDWLLAPLQFVSGLGPKKADIFCRQLLGGSDVRNRRDFAKFGLNTNKVFCNAVGFLRVSCDIADNTLDRTRIHPESYNLSEDLARAVYRKLVQENPEADVSEVSAIECIQNDLNLLKEFDLNEYADRLEIENGENKRVTLFDIKMELLHGFKDPRRPYTEPTQDEEFCMITGETGDVLVEGKRVEATVRKVLPRQAFCVLHSGMAAVLFKDDFSDGTENISLTENLREGVVLACKIKLVDKSRCQVHLTCKVSELKNDGDQNFHDMDPYYHQGKTISLSQLEGTEKMELGNKHFLSRMISHPNFQNITGDQAKQFLADQEVGKYIFHPSSRGLYYLTLSLKICNSVYVHKDIVEGGKGSKLKNLAELGETLKIGEETFENINQVIELYVNPLVVHLKAMLNFRKFKNGTKAEVDEQLKQEKDEYPNRIAYGFGISYEHPGTFILSYIRSTNPHHEFVAIHPKGFKFRKQIFENIELLVSYFQNHINDNVALAKSSIKVGSVSDSLSGGWRNSNVDQHTESNAYNDQRGGRGPRRGRGDRGRGFGPRSGSRDDSADDSFAAGNSWEVRGSGEGNGGRQGRGRGRGRGRGGRGSQGDTNDGGFEPGQNQGRERGRGRGRGRGRRGSQGSDDQGRGGWGRNNHADGDYSDAYKGGGDWGQGSDQGRGWSGSQGNGQRSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGSQGNGQGSSDWGQGSDQGRGWSGGQGNGQGSSDCGQGSDQGRGWNGSQGNGQGSSDWGQGSDQGRGWRGSQGNGQGSSDWGQGPDQGRGWSGSQANGEGSGGWGGNNSNEERKEDNRDLGQGNGGSGSENLGWGKNATPSGGGGGGGWGGSAGKSW >Vigun03g123800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11644808:11646217:1 gene:Vigun03g123800.v1.2 transcript:Vigun03g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHPALNLLTQFGCGFVLLRSLSRVFNFIGLLLMITFWFKVWRFSWNSKSAIRFLCTSGGVPQIRFCLDRVAWGVSKPKTAPLRKTRRTRSSSSMRRRTNGPRGRANVGSEDGSEGKGENQKEGGNEDEVFDLMTLKSLVKMERLKANAACADLEKERTAAASSAEEAMAMILRLQNEKSAAEIQATQFRRMAEQKLDYDQEVIESLQWTITQHEVQKCELEDQMEICRDDLRQFMRDEDIQQLEVEVSRDFMYDDEEEDGDRDDNSVVSSPETESQTL >Vigun10g068798.1.v1.2 pep primary_assembly:ASM411807v1:10:16360808:16361328:1 gene:Vigun10g068798.v1.2 transcript:Vigun10g068798.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPQSILFSEMVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYPFFLY >Vigun02g028308.1.v1.2 pep primary_assembly:ASM411807v1:2:10378197:10378644:-1 gene:Vigun02g028308.v1.2 transcript:Vigun02g028308.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVVVVVVVVVLIVVVVVVVVVVVVVVAVVVYGGIGDGDGVMVLVLVVLVVVEVVVIVVVVGVVMVVVVVMDVVVVMVAVVVVVVVVVDVLMVTIVGVVAVVVVVVVMVVAVAVVAMVAVWLW >Vigun04g132600.1.v1.2 pep primary_assembly:ASM411807v1:4:33285520:33287294:-1 gene:Vigun04g132600.v1.2 transcript:Vigun04g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFAAVENRRQYESKVTTFVLVSCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYEKMKDESDHESQYCKFDNELLTLFTSSLYLAALVASFFASTTTRMMGRKASMFAGGLFFLVGALLNGFAINIEMLIVGRLLLGFGVGYCNQSVPVYLSEMAPTSIRGALNMGFQMMITIGILVANLINYGTGKLEYGWRISLGVGAVPAIMLCVGSLFLGDTPNSLLERGKEEEAKKMLQKIRGMDNVDEEFQDLVNATKAAKDVEHPWKNITKPKYRPQLTFCSLIPFFQQFTGINVIMFYAPVLFKTLGFGNDTSLMSSVITGGVNVVATFVSIFSVDKFGRRILFLEGGIQMLICQLAVGIMIALKFGVSGEGYFTNGEASLLLFFICAYVAAYAWSWGPLGWLVPSEICSLEVRSAGQGTNVAVNMLFTFVIAQVFLTMLCHLKFGLFFFFAGFVLIMTVFVVLLLPETRNVRIEEMNRVWKSHWFWGKYIPDDIAIGGHPHITTV >Vigun03g103700.2.v1.2 pep primary_assembly:ASM411807v1:3:8941236:8946867:-1 gene:Vigun03g103700.v1.2 transcript:Vigun03g103700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLTIVVCVLLSVVACVSCLNQEGLYLYQLKLSLDDPDSTLSSWNPRDATPCNWYGVTCAGDASNTSVTELDLSDTNIGGPFPTNILCRLPNLLSINFFNNSINQTLPLDIYLCRSLRHLDLSQNLLTGPLPATLPLLPNLRYLDLTGNNFSGPIPDSFGTFQNLQVLSLVSNLLEGTIPPSLGNVSSLKMLNLSYNPFFPGRIPPELGNLTNLEVLWLTQCNLVGVIPTSLGNLNKLQDLDLALNDLYGSIPSSLTQLTSLTQIELYNNSLSGELPRGMGNLTRLRLLDASMNHLTGRIPDELCSLPLESFNLYENRFEGELPASIADSENLYELRLFGNRLTGRLPANLGKNSPLRWLDVSSNQFWGPIPATLCDNGVLEELLVIYNLFSGEIPASLGTCQSLTRVRLGFNRLSGEVPAGIWGLPHVYLLELVDNSFSGSIARTIAGAGNLSLLILSKNNFSGTIPDEVGWLENLVEFSASDNKFTGSLPDSIVNLGQLGILDFHNNRLSGELPKGIHAWKKLNDLNLANNEISGTIPDEIGGLFVLNFLDLSSNRFSGKIPHGLQNLKLNQLNLSYNRLTGELPPLLAKDMYRSSFLGNPGLCGDLKGLCDGRGEAKNVGYVWLLRAIFVIATLVFLVGVVWFYFRYKNFQDAKRAIDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEVVAVKKIWGGVRKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKAVETTAKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDVVKWVCTTLDQKGVDHLIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGTENQTKPAKKDGKLSPYYYDDASDHGSIA >Vigun03g103700.3.v1.2 pep primary_assembly:ASM411807v1:3:8941236:8946867:-1 gene:Vigun03g103700.v1.2 transcript:Vigun03g103700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLTIVVCVLLSVVACVSCLNQEGLYLYQLKLSLDDPDSTLSSWNPRDATPCNWYGVTCAGDASNTSVTELDLSDTNIGGPFPTNILCRLPNLLSINFFNNSINQTLPLDIYLCRSLRHLDLSQNLLTGPLPATLPLLPNLRYLDLTGNNFSGPIPDSFGTFQNLQVLSLVSNLLEGTIPPSLGNVSSLKMLNLSYNPFFPGRIPPELGNLTNLEVLWLTQCNLVGVIPTSLGNLNKLQDLDLALNDLYGSIPSSLTQLTSLTQIELYNNSLSGELPRGMGNLTRLRLLDASMNHLTGRIPDELCSLPLESFNLYENRFEGELPASIADSENLYELRLFGNRLTGRLPANLGKNSPLRWLDVSSNQFWGPIPATLCDNGVLEELLVIYNLFSGEIPASLGTCQSLTRVRLGFNRLSGEVPAGIWGLPHVYLLELVDNSFSGSIARTIAGAGNLSLLILSKNNFSGTIPDEVGWLENLVEFSASDNKFTGSLPDSIVNLGQLGILDFHNNRLSGELPKGIHAWKKLNDLNLANNEISGTIPDEIGGLFVLNFLDLSSNRFSGKIPHGLQNLKLNQLNLSYNRLTGELPPLLAKDMYRSSFLGNPGLCGDLKGLCDGRGEAKNVGYVWLLRAIFVIATLVFLVGVVWFYFRYKNFQDAKRAIDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEVVAVKKIWGGVRKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKAVETTAKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDVVKWVCTTLDQKGVDHLIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGTENQTKPAKKDGKLSPYYYDDASDHGSIA >Vigun03g103700.5.v1.2 pep primary_assembly:ASM411807v1:3:8941236:8946867:-1 gene:Vigun03g103700.v1.2 transcript:Vigun03g103700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLTIVVCVLLSVVACVSCLNQEGLYLYQLKLSLDDPDSTLSSWNPRDATPCNWYGVTCAGDASNTSVTELDLSDTNIGGPFPTNILCRLPNLLSINFFNNSINQTLPLDIYLCRSLRHLDLSQNLLTGPLPATLPLLPNLRYLDLTGNNFSGPIPDSFGTFQNLQVLSLVSNLLEGTIPPSLGNVSSLKMLNLSYNPFFPGRIPPELGNLTNLEVLWLTQCNLVGVIPTSLGNLNKLQDLDLALNDLYGSIPSSLTQLTSLTQIELYNNSLSGELPRGMGNLTRLRLLDASMNHLTGRIPDELCSLPLESFNLYENRFEGELPASIADSENLYELRLFGNRLTGRLPANLGKNSPLRWLDVSSNQFWGPIPATLCDNGVLEELLVIYNLFSGEIPASLGTCQSLTRVRLGFNRLSGEVPAGIWGLPHVYLLELVDNSFSGSIARTIAGAGNLSLLILSKNNFSGTIPDEVGWLENLVEFSASDNKFTGSLPDSIVNLGQLGILDFHNNRLSGELPKGIHAWKKLNDLNLANNEISGTIPDEIGGLFVLNFLDLSSNRFSGKIPHGLQNLKLNQLNLSYNRLTGELPPLLAKDMYRSSFLGNPGLCGDLKGLCDGRGEAKNVGYVWLLRAIFVIATLVFLVGVVWFYFRYKNFQDAKRAIDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEVVAVKKIWGGVRKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKAVETTAKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDVVKWVCTTLDQKGVDHLIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGTENQTKPAKKDGKLSPYYYDDASDHGSIA >Vigun03g103700.1.v1.2 pep primary_assembly:ASM411807v1:3:8941236:8946867:-1 gene:Vigun03g103700.v1.2 transcript:Vigun03g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLTIVVCVLLSVVACVSCLNQEGLYLYQLKLSLDDPDSTLSSWNPRDATPCNWYGVTCAGDASNTSVTELDLSDTNIGGPFPTNILCRLPNLLSINFFNNSINQTLPLDIYLCRSLRHLDLSQNLLTGPLPATLPLLPNLRYLDLTGNNFSGPIPDSFGTFQNLQVLSLVSNLLEGTIPPSLGNVSSLKMLNLSYNPFFPGRIPPELGNLTNLEVLWLTQCNLVGVIPTSLGNLNKLQDLDLALNDLYGSIPSSLTQLTSLTQIELYNNSLSGELPRGMGNLTRLRLLDASMNHLTGRIPDELCSLPLESFNLYENRFEGELPASIADSENLYELRLFGNRLTGRLPANLGKNSPLRWLDVSSNQFWGPIPATLCDNGVLEELLVIYNLFSGEIPASLGTCQSLTRVRLGFNRLSGEVPAGIWGLPHVYLLELVDNSFSGSIARTIAGAGNLSLLILSKNNFSGTIPDEVGWLENLVEFSASDNKFTGSLPDSIVNLGQLGILDFHNNRLSGELPKGIHAWKKLNDLNLANNEISGTIPDEIGGLFVLNFLDLSSNRFSGKIPHGLQNLKLNQLNLSYNRLTGELPPLLAKDMYRSSFLGNPGLCGDLKGLCDGRGEAKNVGYVWLLRAIFVIATLVFLVGVVWFYFRYKNFQDAKRAIDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEVVAVKKIWGGVRKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKAVETTAKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDVVKWVCTTLDQKGVDHLIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGTENQTKPAKKDGKLSPYYYDDASDHGSIA >Vigun03g103700.4.v1.2 pep primary_assembly:ASM411807v1:3:8941236:8946867:-1 gene:Vigun03g103700.v1.2 transcript:Vigun03g103700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLTIVVCVLLSVVACVSCLNQEGLYLYQLKLSLDDPDSTLSSWNPRDATPCNWYGVTCAGDASNTSVTELDLSDTNIGGPFPTNILCRLPNLLSINFFNNSINQTLPLDIYLCRSLRHLDLSQNLLTGPLPATLPLLPNLRYLDLTGNNFSGPIPDSFGTFQNLQVLSLVSNLLEGTIPPSLGNVSSLKMLNLSYNPFFPGRIPPELGNLTNLEVLWLTQCNLVGVIPTSLGNLNKLQDLDLALNDLYGSIPSSLTQLTSLTQIELYNNSLSGELPRGMGNLTRLRLLDASMNHLTGRIPDELCSLPLESFNLYENRFEGELPASIADSENLYELRLFGNRLTGRLPANLGKNSPLRWLDVSSNQFWGPIPATLCDNGVLEELLVIYNLFSGEIPASLGTCQSLTRVRLGFNRLSGEVPAGIWGLPHVYLLELVDNSFSGSIARTIAGAGNLSLLILSKNNFSGTIPDEVGWLENLVEFSASDNKFTGSLPDSIVNLGQLGILDFHNNRLSGELPKGIHAWKKLNDLNLANNEISGTIPDEIGGLFVLNFLDLSSNRFSGKIPHGLQNLKLNQLNLSYNRLTGELPPLLAKDMYRSSFLGNPGLCGDLKGLCDGRGEAKNVGYVWLLRAIFVIATLVFLVGVVWFYFRYKNFQDAKRAIDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLSSGEVVAVKKIWGGVRKEVESGDVEKGRVQDNAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKAVETTAKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDVVKWVCTTLDQKGVDHLIDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGTENQTKPAKKDGKLSPYYYDDASDHGSIA >Vigun05g207600.1.v1.2 pep primary_assembly:ASM411807v1:5:39741332:39744363:-1 gene:Vigun05g207600.v1.2 transcript:Vigun05g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGPLSQDWEPVVLRKKAPTAAARKDEKAVNAARRSGADIDTIKKYNAGTNKSASSSTSLNTKRLDDDTENLAHDRVPTELKKAIMQARMDKKLTQAQLAQLINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >Vigun07g155900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26690993:26693337:-1 gene:Vigun07g155900.v1.2 transcript:Vigun07g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPTAGYGTIAGATTATPPTTTTTSFVTPRPWREFLDFSALSRPFSYDDAMIRLRRNLSYFRYNYAAVTLLIVFLSLLWHPISMIVFLLVFVAWFYFYFSRHGPLVVFNQTLDDRAVLCGLAFITVVALVSTHVGLNVLVSLIVAVVFVGLHGAFRVTEDLFLEEESGLLSVVGTQPLRTNYTPI >Vigun09g059300.1.v1.2 pep primary_assembly:ASM411807v1:9:6048096:6058478:1 gene:Vigun09g059300.v1.2 transcript:Vigun09g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNHKEIQIRALTGESITLPVTPTTTLHHLKLLLRRSFSPATTSPNFHLFFKGEKLRLQTHIASYPIQRHEFLVLIPFTKKEKEPSTTSHSLQPDVPHTTNASTSTSVLADSTWSSIKEDLSLLRDATESDACNSESGKEKPLETSTEGGLGREKQMELPYHLILNTLRDGCEGGPFGEHNCEVFAKVLESVNCLSELPLGHCKLLKRARSKGGGGGGLRNRVSDGVICLCPPWLKIVVKAFAFVNIFSAFIYLQLRDLTLSLLEEALSELAKFGVKLGIGDIKNLSLLCPHLVCFRDDVDKTSFGDTIVVLNHSTGNKDLVEENPKRVRKWLYISKTVSTLKRRDSSFRKILGWAFEQLRYEFGDEMTMGISLEELLAAVKDNDFVKKEDESKRVKRSKTTSKSNSNHIGCHDTKSLLAVEMIDHLKKGIGSEGQIVHIEDICARKAIYSGIPIDLSEKMRSALKCIGVSKFYSHQAESIQASLHGENVVVATMTSSGKSLCYNLPVLEVLSTNSSSCALYIFPTKALAQDQLRALLHMTKGFDNDFNIGIYDGDTSMSERMLLRDNSRLLITNPDMLHISILPHHQQFSRILSNLRFVVIDETHTYKGTFGSHTALILRRLKRLCSHVYGSVPSFVFSTATSANPREHSMELANLSTLELFQNDGSPSTRKLFVLWNPALRPKPFIKKAQFAMGTDELDDESANFVRSSPIVDASRLFAEMVQHGLRCIAFCKSRKLCELVLSYTREILHETAPHLVDSICAYRGGYIAEQRRKIESSFFGGTICGVAATNALELGIDVGEIDATLHLGFPGSIASLWQQAGRGGRRDKPSLAVYIAFGGPLDQYFMRHPNKLFERPIECCHVDSQNKKILEQHLVCAAHEHPLSVNYDEQYFGPFLESVIISLKARGYMSSILSSDSSRIWNYIGPEKLPSHAVNIRAIETVRYSVIDQKKNEVLEEIEESKAFFQVYEGAVYMCQGKTYLVEKLDLSNKTAFCKEADLKYYTKTRDYTDIHVIGGNVAYPLKVETNKFPKSNARADICKVTTTWFGFYRIWRGSNQIFDAVDLALPHYSYESQAVWVPVPPSIKEAVVKQNYDFRGGLHAASHAILHVVPLHITCNLSDLAPECPNPHDARFYPERILIYDQHPGGCGISVRVQPYFSKFLEAALELLTCCCCSAEVGCPNCVQSFVCHEYNEVLHKDAAIMIIKGILDAGN >Vigun11g000700.2.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGFCLEVMGSNCGNNLSAFGGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRCTEGDIWKSNCAS >Vigun11g000700.7.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRCTEGDIWKSNCAS >Vigun11g000700.5.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRYKVYSYDNETNAWFTEAIGRTCSLLKYSSFNQDFTLKKIKGAATCRDMKNKLNFANEAQFLLVSEESVCDLNRRLSSDVQKGISGRAIVQVSASRFRPNLVVSGGRPYAEDGWRHIKIGNKHFSSLGGCNRCQIINLALNSGQVQKSNEPLATLASYRRVKGKILFGILLKHATIDGEQQQGGDSWLHVGQDIHPD >Vigun11g000700.4.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGFCLEVMGSNCGNNLSAFGGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRCTEGDIWKSNCAS >Vigun11g000700.3.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGFCLEVMGSNCGNNLSAFGGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRYKVYSYDNETNAWFTEAIGRTCSLLKYSSFNQDFTLKKIKGAATCRDMKNKLNFANEAQFLLVSEESVCDLNRRLSSDVQKGISGRAIVQVSASRFRPNLVVSGGRPYAEDGWRHIKIGNKHFSSLGGCNRCQIINLALNSGQVQKSNEPLATLASYRRGKILFGILLKHATIDGEQQQGGDSWLHVGQDIHPD >Vigun11g000700.6.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRYKVYSYDNETNAWFTEAIGRTCSLLKYSSFNQDFTLKKIKGAATCRDMKNKLNFANEAQFLLVSEESVCDLNRRLSSDVQKGISGRAIVQVSASRFRPNLVVSGGRPYAEDGWRHIKIGNKHFSSLGGCNRCQIINLALNSGQVQKSNEPLATLASYRRGKILFGILLKHATIDGEQQQGGDSWLHVGQDIHPD >Vigun11g000700.1.v1.2 pep primary_assembly:ASM411807v1:11:111447:133892:1 gene:Vigun11g000700.v1.2 transcript:Vigun11g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGDGAKEEFLRDFGEHYGYPKGPKSIDQIRATEFKRLQFQDLVYLDHAGATLYSDLQMESVFNDLTSNVYGNPHSQSDSSSSTLDIVKNARLQVLDYCNASPKEYKCIFTSGATAALKLVGEAFPWNCSSSFMYTMENHNSVLGIREYALGCGAAAIAVDIEEDIHPGITGETLTTKMSLHQIQRRNVARSTEGESTGDVYNLFAFPSECNFSGLRFGLDLVNIIKEDSSKILGISSVCKNGQWMVLIDAAKGSATMPPDLSKYPADFVAISFYKLFGYPTGLGALIVRNDAATLLKKTYFSGGTVSASIADIDFVKRREGIEELFEDGTVSFLSIVSIRHGFKILSSLTVSAISRHVASLALYTRRMLLAMRHGNGSSVCILYGHHNSKKLHHEMGSIISFNLKRPDGSWYGYREVEKLASLSTIQLRTGCFCNPGACAKYLGLSHLDLISNTEAGHVCWDDHDIINGKPVGAVRVSFGYMSTYEDAKKFVDFVASSFVSPQNHINHGNQMKGFCLEVMGSNCGNNLSAFGGLENGFVDTGYYLKSITIYPIKSCGGFSARSWPFSSNGLTYDRQWILKSPTGEILTQKKVPEMGFVSTFIDLSQGILFVESPRCKERLQITLESNVYEDAIEDIELYGQRYKVYSYDNETNAWFTEAIGRTCSLLKYSSFNQDFTLKKIKGAATCRDMKNKLNFANEAQFLLVSEESVCDLNRRLSSDVQKGISGRAIVQVSASRFRPNLVVSGGRPYAEDGWRHIKIGNKHFSSLGGCNRCQIINLALNSGQVQKSNEPLATLASYRRVKGKILFGILLKHATIDGEQQQGGDSWLHVGQDIHPD >Vigun06g071950.1.v1.2 pep primary_assembly:ASM411807v1:6:20279418:20279665:1 gene:Vigun06g071950.v1.2 transcript:Vigun06g071950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSLQVPNKLNRLISPKFHHWCRYQSLCGPLLVLLMSHRGRVCH >Vigun09g278500.1.v1.2 pep primary_assembly:ASM411807v1:9:43817651:43820948:1 gene:Vigun09g278500.v1.2 transcript:Vigun09g278500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGFSDIFAPETEFMDELFVEGCWVEARVGCGTEGSKWNRSMESNEAHIIFEEESEAESLMVGKRWWIGPRGNPGPSSSVKERLVVAVGYLKEYANNSNMVIQVWVPARRGCEAGIHHHQDYPYTLDYTNSNNGDATTPFPFQEEWLSHHCPPNIRFLRTHDYSRLHHYDLRPGSLALPVFQRGTGICLGVIDILMPNNVNQDLHGLQVQNMIPPAMTVKGFDDLYQGALNEIVQVLRCVCKAHELPLALTWAPCIQQGKTGCGHSNDENYVSTVDPASFVGDVDVLGFVEACSDYHLLGGQGVVGTAFTTAKPCFANDITAFTKAEYPLAHHANMFGLHAALAIPLRSVSADFVLEFFLPKDCHDTQHQKQMLHSLSMLLQQACRTLHVVLDKEEELVSHHHHHDTNEMESSSWIAHMMEAQQKGKGVSVSLEYLQEPKQEFKVTTNCNEQVFSDLEGTAGAAVVGRRGGRKSGDKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYTSFPELSSANGVSESPTINSDNSKFYSENGLFNQGVTSTSPFKSPTSSCSQTCNPSLKQSTTLINSNNNNNPDIILMSDKQSLVGASVQVHHPPIPIPISIPIQSLDALPPLPQTSSVRNMGGGTFRVKATFGDEKIRFSLQPNWGFRDLQMEIARRFNLKETSKIQVKYLDDAQEWVLLTCDADLEECKDINRSSQSRTVRLFLFHASPLNHSTNAFGSTSPT >Vigun02g136500.1.v1.2 pep primary_assembly:ASM411807v1:2:28610467:28614343:-1 gene:Vigun02g136500.v1.2 transcript:Vigun02g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQGFTSPKQNARNVPLSLGLVKEVLIFQAHQLRKKDLSPLNLACVIRLSVYHQLIHGFAHQVFDYLRHRTKNLNGSWIMNDSALSIVHALEKNSNFSHTDHTLHALATVLAKAGRCSELKSLIDAIRANRFGTFRISSMNLMQWHAAAGDLDTVLQVWEQYTLESNHLCTESYNIVMALYAQMGKDSEAVQVFQRMIDEGSLPNCRSCTIIIEHLVKARMLSEALEVFNLLPLMRIKRTLKQYSVLLEGFVGSKRFDEVKILVDEMQVDGILPSRRVSLLLQQMKEEGILEDKEQLLRGILPDERIRSVSYSVDGGDGEDDENEIEVEDENINDASQCDLVDGVHLKPWLDPRALASALRNWSPDEVAALEGANFVWTTRLVCKMLRSFKTPEAAWSFFCWVANQSGFTHDIYTVQRIMTLLARHGRTDLVNTLISKIRMEGMRLPFSTIRLIIDFYGISKNADAAMKVFNDDRILCGPISNVNLMLLYSSLLRTLTKCGRNSDALDMLDDMILNGICPDIQTFSGLMLYFSQLGDIKTVQKLFAMVRQSGLEPDAYLFKVLIQGYCTSNRAALAWRLFEDMKNSGLVPDSATKELLVKSLWKEGRRREAAAVEESFEEINTVLPLTLQGHEWTVSSADLTRLYNIYSNSFA >Vigun10g192900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40717954:40719540:-1 gene:Vigun10g192900.v1.2 transcript:Vigun10g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKSVMSIDSKSNFDEIQWIIQIRRTLEEELDEDGEFPVSIFSVPKLLKACDPDSYIPQQVALGPYHYWRSELYEMQIHKLAAAKRFLKQLQNPNLDNLINQLSKLEQRIRACHHKFLDFNGETLVLMMAVDASFLLEFLQDRTKMAVKSSHHNAVLRDVVMLENQIPLFVLRKMLELKFSSLEAADEVLISMIIALFKEISPFKIVEEEYQNTVQVSKRAHLLDFLYHMIVLVPEMLLEREDTIEAELEEEEENEESNTDSRQVNKKLFTELWKQLSNFNMGPVNVIKKVLLSNPMKVFVKLPWKIMKQYIFSSQNEEESVSSRSSKSLMNKQPLIEEITIPSVTELVNSGVRFLPTNSISNISFNEKTCMFYLPTVCLDANTKVFLKNLVAYEASVASGPLVITRFTELMNGIIDSEEDARILREKGIIRNHLKSDEEVADMWNGMSKSLRLSKEALLDKVIEDVNKYYNCRLKVKVVKLMTTYVFSSWKVLTFLATILLLLLMTLQAFCSVYSCKYNFSNTSQ >Vigun03g024900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1861288:1861842:1 gene:Vigun03g024900.v1.2 transcript:Vigun03g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEITEVKDPNTKQVTFSKRRTGLFKKANELSILCGAEVAIVVFSPGNKPYSFGHPSVDAVADKFLQQESASDVAVTPNVVEGNDSSNADNIDALNQELCGVQTQISEEHKKAIELDRRKKQQDVTKVTLNKQLQRSYLKVQRKVEDYLDAIEVSEYMLLLAKEPVIGITKQVTAKRRKN >Vigun04g127400.1.v1.2 pep primary_assembly:ASM411807v1:4:32124427:32131106:-1 gene:Vigun04g127400.v1.2 transcript:Vigun04g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTLNSSCPTSHMAASSSSSSCTSPSKTLPLSCILSNTKPTQIMDKNNSLPKFSCSYSEFGFTERSNSYNFNGPLQKGTGFCAANDPELKRKKRIKSYNVFTVEGKLKTSVRNGFKWIKNKLGDIRTVKSESERWITVWMLNTQS >Vigun09g245400.1.v1.2 pep primary_assembly:ASM411807v1:9:41401496:41409517:-1 gene:Vigun09g245400.v1.2 transcript:Vigun09g245400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSILISDTQPWKDLKAHVEDIKKTHLRDLLTDDKRCQSMLLEFDDILLDYSRQQATPETIQKLLKLAEVASLKDKINRMYSGEHINSTENRSVLHVALRASRDAVIQSDGKNVVPEVWNVLDKIKEFSERVRNGSWVGATGKALKDVVAVGIGGSFLGPLFVHTALQTDPEAIESARGRQLRFLANVDPIDVARNITGLNPETTLVVVVSKTFTTAETMLNARTLREWISSTLGPSAVAKHMVAVSTNLKLVEQFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVMEKFLSGASSIDQHFYSQSFESNIPVLLGLLSIWNVSFLGYPARAILPYSQALEKLAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVFLKGEVVSNHDELMSNYFAQPDALAYGKTADQLQKENVSPHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVQGFNFSTTKMLNRYLQASSDIPAVLPTSLPKI >Vigun05g098950.1.v1.2 pep primary_assembly:ASM411807v1:5:9681908:9684891:1 gene:Vigun05g098950.v1.2 transcript:Vigun05g098950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFPNPRPPPVLGRCSSLLRFFERSCYSFPVVVPPSFHRKQGVKDQEAGCCCYAW >Vigun08g217600.1.v1.2 pep primary_assembly:ASM411807v1:8:37759183:37759825:1 gene:Vigun08g217600.v1.2 transcript:Vigun08g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSMLFPCLMVLFLIIFTTGVVGDCNYECYNKARCSLLKCPLHCERECIRMCCTCVCRGNEKPQINM >Vigun01g190800.1.v1.2 pep primary_assembly:ASM411807v1:1:36928721:36931529:1 gene:Vigun01g190800.v1.2 transcript:Vigun01g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKTRADRKPPGVGRGRGRGREEGAGGRQPKGIGRGFDDGSTKGAGGGRGKAGPSGKPGGNRGRGRG >Vigun10g097000.1.v1.2 pep primary_assembly:ASM411807v1:10:28448338:28451260:1 gene:Vigun10g097000.v1.2 transcript:Vigun10g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDTAANRPESGFRAEIDTSAPFESVREAVSRFGGVGYWKPILNGLGNKHFAPELHHTHTEELDPGKLEDHAALLEKELIVKERETLDVLKELESTKRLVENLKSKLQKEESEAKLNFQTSVCNDTSSAKEDKKNQVNNVVQDLKEGGVQYHSSAPGLILKELEQAKLNLNRTTSDIADVRASVESLNKKLEKERLSLEKTRERLTQNSSKICCLEEELNQTKLKLVVAKDAGLDNPSDITKELHRLSSEAENFKRMGEAAKSEVSKAMSEIEQTKAMIKTAEIRLVAARKIKEAARAAEAAALAEMKALSHNDNSPGEFIQKHDGITLSFEEYAALTCKAREAEEHSKKRVVDAMLLVDEANVSKMDIVKKVEEATEEVKTSKKALEEALERVEAANLGKLAVEEALRKWRSDGHRRRSSIHNSTKFKNAYPSHHRKESRLLDVNGLNLVHDEVKPVLKPTLSIGQILSRKLMMPEECEGGAMVGERVSVKRKVSLGQMLGRENADASSFQAEKENVQKPFSAKRKKFGFGRFSLLLTKQQKKKKPTLNLR >Vigun06g027000.7.v1.2 pep primary_assembly:ASM411807v1:6:12107371:12110992:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKISAAEFTCPPWLSFSARKLITRILNPNPVTRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQESG >Vigun06g027000.5.v1.2 pep primary_assembly:ASM411807v1:6:12106759:12115025:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKISAAEFTCPPWLSFSARKLITRILNPNPVTRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQGFKREIRFTSKCSANEIINKIEEAAKPLGFDVLKKNFKMKLKNVKAGRKGNLNVATEIFQVAPSLHVVKINKAKGDTLEFLKFYNNLSTRLEDVVWKNEDDMDDAK >Vigun06g027000.3.v1.2 pep primary_assembly:ASM411807v1:6:12106241:12115021:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKISAAEFTCPPWLSFSARKLITRILNPNPVTRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQGFKREIRFTSKCSANEIINKIEEAAKPLGFDVLKKNFKMKLKNVKAGRKGNLNVATEIFQVAPSLHVVKINKAKGDTLEFLKFYNNLSTRLEDVVWKNEDDMDDAK >Vigun06g027000.1.v1.2 pep primary_assembly:ASM411807v1:6:12106195:12115021:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKISAAEFTCPPWLSFSARKLITRILNPNPVTRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQGFKREIRFTSKCSANEIINKIEEAAKPLGFDVLKKNFKMKLKNVKAGRKGNLNVATEIFQVAPSLHVVKINKAKGDTLEFLKFYNNLSTRLEDVVWKNEDDMDDAK >Vigun06g027000.8.v1.2 pep primary_assembly:ASM411807v1:6:12106194:12115021:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQESG >Vigun06g027000.6.v1.2 pep primary_assembly:ASM411807v1:6:12107371:12110910:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKISAAEFTCPPWLSFSARKLITRILNPNPVTRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQVFNFI >Vigun06g027000.2.v1.2 pep primary_assembly:ASM411807v1:6:12106371:12115021:1 gene:Vigun06g027000.v1.2 transcript:Vigun06g027000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKYMLAEQIQREIATMKLIKHPNVVQLYEVMGSKTKIYIILEFVTGGELFHKILNSGRMREKEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSFGNLKISDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDGGYDGAAADLWSCGVILFVLLAGYLPFDDTNILNLYKKRITVSEILRDEWFKKEYKLPVFEETKERNTDDIEAIFKDSEVHNVREKEDQPTPMNAFELISLSKGLNLENLFDVDQGFKREIRFTSKCSANEIINKIEEAAKPLGFDVLKKNFKMKLKNVKAGRKGNLNVATEIFQVAPSLHVVKINKAKGDTLEFLKFYNNLSTRLEDVVWKNEDDMDDAK >Vigun08g151800.1.v1.2 pep primary_assembly:ASM411807v1:8:32448314:32451676:-1 gene:Vigun08g151800.v1.2 transcript:Vigun08g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQRASSFEATVDIEAKKRRRVGIAAIDDGVEAKDCITIYLVSSKEEFDAPESFVINPVDLNNHFHEDGKIYGYEGLKITIWISSISFYAYADISFLSSSDRGKGITDLKSALQTIFAETLVDSKDEFLQKFMVDYDFVRTNISEGEVLKHKPFKGNICDSNQHTDSSASNVEVVRLLAGNMATGQLYSHIIPLTLLLVDGSSPIDVTDSQWELYVVCQKTIDNQGEIQCRLIGFAALYRFYHYPDDSRLRLSQILVFPPYQHKGYGRFLLEVLYDVAIAENVFDFTVEEPLDDFQHVRTCLDTLRLLRFDPIQNVVTKAVSLLKQGKLSKKAHYPRLLPPLSAVEDVRKSLKINKQQFLQCWEVLIYIGLNPVDKYMENFVSIISNRVKYDILGKDSGTSGKQLVDVPNDDNQEMSFAMFRSEPNEASNTVQMDDNQANQEEQLQKLIQERVEQIKLIAEKVTLHLGNKAE >Vigun06g003800.1.v1.2 pep primary_assembly:ASM411807v1:6:1809308:1831777:-1 gene:Vigun06g003800.v1.2 transcript:Vigun06g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEQQRRLKIEEALEIQSLRRIISAYLNYPDAAEEDIRRYERSYRKLPPAHKALLPHYPEKFQRLRRCISMNSHFIFGMLQVFEPPLDMSQDIEFSEDPHPESAIKDHLVSDGINACSCESAPLRITCSVSDQHCCEEDSNHTCRPQGLTPPNEEVDIESRHQSNTGSLSPGLINTKETTEYCCGSSITDSNGNVPVTSSQQQWLEPPLRLNVPLVDVDKVRCIIRNIVRDWAAEGKKERDQCYNPILEELNMLFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNQSQTAGEWTICPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDSSQVGAWDAVVTCFFIDTAHNIIEYIEIISKLLKDGGVWINLGPLLYHFADVYGQEDEMSIELSLEDVKRVAVSYGLEFEKESTIETTYTTNPRSMMQNRYFAAFWTMRKKSGIGQSGI >Vigun01g182500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36315593:36317191:-1 gene:Vigun01g182500.v1.2 transcript:Vigun01g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMMHRASNRRQPLLQRQPSKLATSVGEVVGGTAAECVAVCCCVPCGVANFLVLAIYKLPAALCRRMLRQRRHRKIAKEGLLRPTRRHCSCGCCEDVTGRIFPMCANDAFDVERLHSPEPDSDDDTLALEKEMWDRFYNTGFWRSSSNRERREPSQTQTQLQLQLQNVVPQPNVFS >Vigun07g094201.1.v1.2 pep primary_assembly:ASM411807v1:7:15204548:15206673:-1 gene:Vigun07g094201.v1.2 transcript:Vigun07g094201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQELGLVWQLEDIQGNQHQLTFNMDVNHPVFTDGWSSLRVFYKLQHIHQILFRYVGNSTFQITVFSNMYNIDCAEVSFKPNSDLQSDFADYVQKRRFRRLGLQGRDNTFIVQCKLVLRNSPKKSSKIGKGWKDFCTFNRLKEGASCPEEAARHIQSFHEASLKGVPDGGDDVVGCSKRRWQSFR >Vigun03g297800.2.v1.2 pep primary_assembly:ASM411807v1:3:48534374:48536941:1 gene:Vigun03g297800.v1.2 transcript:Vigun03g297800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKFFLHHSRTNFFGILNKHCIRVLIYTLVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSISELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEALFAEYGKSVADHTFVIQGFGNVGTWAAKSIYERGGKVIAISDISGAIKNTNGIDIPALLKYKDEGNGTLKDFPGAEVMDPNELLVHECDVLIPCALGGVLNRENASDVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTRSFQDIKAMCKIHNCGLRMGAFTLGVNRVARATLLRGWEA >Vigun03g297800.3.v1.2 pep primary_assembly:ASM411807v1:3:48534373:48536941:1 gene:Vigun03g297800.v1.2 transcript:Vigun03g297800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTAVADIPYGGAKGGIGCNPRDLSISELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEALFAEYGKSVADHTFVIQGFGNVGTWAAKSIYERGGKVIAISDISGAIKNTNGIDIPALLKYKDEGNGTLKDFPGAEVMDPNELLVHECDVLIPCALGGVLNRENASDVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTRSFQDIKAMCKIHNCGLRMGAFTLGVNRVARATLLRGWEA >Vigun03g297800.4.v1.2 pep primary_assembly:ASM411807v1:3:48534777:48536941:1 gene:Vigun03g297800.v1.2 transcript:Vigun03g297800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTAVADIPYGGAKGGIGCNPRDLSISELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEALFAEYGKSVADHTFVIQGFGNVGTWAAKSIYERGGKVIAISDISGAIKNTNGIDIPALLKYKDEGNGTLKDFPGAEVMDPNELLVHECDVLIPCALGGVLNRENASDVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTRSFQDIKAMCKIHNCGLRMGAFTLGVNRVARATLLRGWEA >Vigun03g297800.1.v1.2 pep primary_assembly:ASM411807v1:3:48533518:48536941:1 gene:Vigun03g297800.v1.2 transcript:Vigun03g297800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFQRAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSISELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEALFAEYGKSVADHTFVIQGFGNVGTWAAKSIYERGGKVIAISDISGAIKNTNGIDIPALLKYKDEGNGTLKDFPGAEVMDPNELLVHECDVLIPCALGGVLNRENASDVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNHELKKYMTRSFQDIKAMCKIHNCGLRMGAFTLGVNRVARATLLRGWEA >Vigun06g093300.1.v1.2 pep primary_assembly:ASM411807v1:6:22518693:22523639:-1 gene:Vigun06g093300.v1.2 transcript:Vigun06g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWENEVENGNEEEEVEDTSVEKAFEGKVVPRWQKQITVRAMVVSLFLAVLFTFIVMKLNLTTGIIPSLNISAGLLGFFFVKTWTSVLAKMGRLTHPFTRQENTVIQTCVVASAGIAFSGGFGSYIFAMSSEVANQSTEANGPQDIKNPSLGWMIGFISIVSFLGLFSVVPLRKIMIVDFKLTYPSGTATAHLINSFHTTEGAKLAKKQVRVLGKFFSFSFLWGFFQWFYTASDDCGFNSFPTFGLEAYQNKFFFDFSTTYVGVGMICPYIINISLLIGGILSWAIMWPLIDARKGDWYSADLKQSSLHGLQGYKVFIAIAMILGDGLYNFVKVLGRTFIGLYNEFFRKKAVTSSSDPDSPSSLSYDDRCRTDMFLRDQIPAWFAIIGYVIIAVISVIIVPMIFHQLKWYYIVVIYVIAPALAFCNSYGCGLTDWSLASTYGKLAIFTIGAWAGPSHGGVIAGLAACGVMMNIVSTASDLMQDFKTGYMTMASPRSMFVSQVFGTAMGCVISPCVFWLFYKAFGNLGVAGSAYPAPYALVYRNMAILGVDGLSALPKYCLIFCYAFFGIAIVINLLRDLVGKKWANFIPVPMAMAIPFYIGSYFAIDMCVGSLILFIWQKVDKLSADTFGSAVASGLICGDGIWTLPSSFLALAGVKPPICMKFLSRAENAKVDGFLES >Vigun04g186300.1.v1.2 pep primary_assembly:ASM411807v1:4:41107385:41108694:1 gene:Vigun04g186300.v1.2 transcript:Vigun04g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISNLPDSIVSHILSFVPTKEVVATSVLSKRWNILWRSVPSFDFDYNHTDISSDKVEEAYSHFLHSVYSFLLWRDMDQPLRRFRLRCYFMHPLNIETWIKVAVSGSAKVRHLDLDMSCVLPSVVFSCKTLVVLKLTNLTVEDICFVDLPLLKILHLNHLRLLKDLDLSNLLSGCLNLEDMEVKNLVCESKGTFNRLPKLVRASIHEHLLPLEIVKDVEVLFIDWIYQRNLAFDFQNLVQLELMVQLSKDWHVVLEVLKHCPKLQTLVISLNLDFAGHEEVVLPYPEPVPACILLHLKTCSLKDYSGSIDEFQFARYIMENAKYLRTMTICTGNDADNNTHGEKLDMARELSSCMKSSNTCTLSFE >Vigun01g081000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22799498:22802554:-1 gene:Vigun01g081000.v1.2 transcript:Vigun01g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLIMDPLALFLFSIISLNTFSSHASYTDHCASVVPNSTPNESKFKDFPHGPFQVGYYLGGDKIVGADTFQKLRQKQVTLRFKNVYETDVYGIHKVGLNLIVRSASSYYRVGNFTRGKRLKNRKHFPSSITFTLDGFWSESSGMICMVGSGTGYSMQRLEVVLKLYNVVNSRSNISTLVTGSLESLSPRHEVSYFEPISLFIFPRMDYDFSLDTKEAKKENSDEGEVVPGLSINPASFCSNISPMINGKFDLLYQSECSSAKNCSPVWGDANQLLYIMSLKELVCLDVKQRVRVLIGFRSSGGARWSFNPNATLVGEGWWDEEKNQLYIVGCHFLGMEESMASVHVGDCSTRISLRFPKIWSMNDASSIVGQIWSNKTVGDSGYFKRIVFRSVQNSRVEISGTKYEYFLLDRVRKMCPRQEPHKNKGVRYPDVYSSDMRFDMSVRISKRRVAWGYSAPLVVNDQIQELNSEETFSPNSNHTTPYTSPNSGSTGLYNVSYRISMNLLPNARLGAQKSMLNTTRNVTEALNVSAEGIYDAEAGSLCMVGCRNLGSKNQIPSTNSLDCEVMVKFQFPPLDTNKNGDYIRGSIVSTRENSDPLYFKQLDLTSAAFYTAEASRTLKKVDKEVIMILVCTTLACVFLGLQLYHVKRNPDMVSSISFVMLLILTLGNMVPLVLNFEALFAQNHDKKSILLGNELLEVKEICVRLIVMVGFLLQLRLLQLIWSARKNDRKQMELWIAEKRVLFVIFTLHAAGFLIAFLVHGGVVSSSSLSQLESFWGNLKSYTGLVLDVFLLPQILLNLFRNSKGNALSCSFYYGMSLVKVIPHAYDLFEALVYVDGSSLYEEEIADYYSTASDIIIPLVTLLFAVIIHLQQRFGGCIIISWRIKGKEEYEKVPVVTER >Vigun01g161500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34334180:34335025:-1 gene:Vigun01g161500.v1.2 transcript:Vigun01g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKKKMLKSLISKVIKGLPLFLAPNGARTRRVCYVSDIDEENENATWVPEDVKEGHFAVIAMHGEETKRFVVELDYLTDPAFLKLLEQAREEYGFRQKGALAVPCSPEELQKIIENKRLN >Vigun11g051100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8620199:8624258:-1 gene:Vigun11g051100.v1.2 transcript:Vigun11g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPAMRKAAFAFRALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASRNRHFSYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun05g070300.1.v1.2 pep primary_assembly:ASM411807v1:5:6064775:6067819:1 gene:Vigun05g070300.v1.2 transcript:Vigun05g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSKFESAPAKVVNPQHTLLTTYSHYFSALSSPTVNTPTQKVFQFLQTMASEVVETHEAEKNSEVVKLESDLKQMAQKILEYRTTLPDQLSSTLHSILDAHRPYFPLGASEQNMSREETSSAPEDPETAKKLKLLNEKISSNCSAMPIVLKRMKDCITRIEKFDSYKDATIHPAFKRKKTG >Vigun03g304700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49437699:49438833:1 gene:Vigun03g304700.v1.2 transcript:Vigun03g304700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSKAKAMFFILKITMLNFLPPIYACAPSCTPPRHPKHPPHHGGGNPKVSPPLPPIIINPPVVPPPVVIYPPPSSPPYSPPHRTCPIDALKLGLCLDVLGGLVHVGIGDPVENVCCPVIQGLLDLEAAICLCTVIRAKLLNLNIFLPLALQVLITCGKTPPPGFVCPPLY >Vigun10g167700.2.v1.2 pep primary_assembly:ASM411807v1:10:38661005:38664012:-1 gene:Vigun10g167700.v1.2 transcript:Vigun10g167700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESELKEEKKWWKICIENMGNWLANKNKDEWLKDMRGNLSLAATIITTMTFQTAINPPGGVRPATETGNVKCPEKLQGNPCPGEAVLAVVFPDVYIRFLLWNTICFVSSLAVCLLLVSGFPLNHRFFTWLLSIGTCITMTSLTLTYMVGAEMVTPYPVWWSLSFNLRWRGLLGIYPNKSTL >Vigun10g167700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38662219:38664020:-1 gene:Vigun10g167700.v1.2 transcript:Vigun10g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESELKEEKKWWKICIENMGNWLANKNKDEWLKDMRGNLSLAATIITTMTFQTAINPPGGVRPATETGNVKCPEKLQGNPCPGEAVLAVVFPDVYIRFLLWNTICFVSSLAVCLLLVSGFPLNHRFFTWLLSIGTCITMTSLTLTYMVGAEMVTPYPVWYTTNTMFNKVIYIWFSLLGLVTLVLCLRLFVWTVTKCIHKRKR >Vigun06g042400.1.v1.2 pep primary_assembly:ASM411807v1:6:16468668:16478842:-1 gene:Vigun06g042400.v1.2 transcript:Vigun06g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFHGFSIRDYTSKMRAVDVFKCWPFAAEGVSRWEAESWLPPITVPKFSGWSDEFVGERSNVARDGGDQGRENRESRAVYDESESEKVDKFVTCGCDVEEEEVEEEQEKIEMICPVCREFNAATLTAVNAHIDGCLVRRVREERQRIRRLKLKAPKKRSIAEIFELKEDVEEEKQPLEIETEMKLLPLDAGEVSSAVTKLRWLSDRLEALRSNGISFESVKSVGANSNSPEEEKSERLRPGCRVFNAATETAANAHINGCLATAVRGEHCRRPSLDNPKPKAPKKRSIADILTVALPIDGYGDGELAAGGEIDDEGEEFGEELEKHGFSGVRIKSKKCTNNIVGKKKKVKKVIVKKKNKAEKESVWRVNGESKKINKRKKKKKKLNNVFTATKEDACKRKMQNPVHSLRKLGTVGNKKVKLDDIDESSAREKKLGAKIVSVEKKEKLKNWDLVIKQQKAMSPIRGTLKNHKHISGSTSSGSNIQNGTEESHYDVQIASLDKHLGFSAEDSVLSPKKRNSFDETVLSLSSDTLASSFGKEQSSGNVGGSLNLEERRKDNTATNTDKRKEVSPIVESKQFSNILGQVCMQNILKPCTNQEKSKNLFENPESLSHMTICDNNLHRFDGNTTTLHVSQHLSAFQGGQSSGINTQACESEALSYAGKFIDHLGGPVFQPKHANTRTYLEPSPSYSASCDEINERPEFPFRTYGNKDNSLSGTFSADMVAGWGKGSVRNHCMEQNSYGLPLNSHGELINFGSGGKVGMIQPETSCTFRGSLMEHVNNVFHENSQDYIRIGKRHVVQKTPQDRGNPFPHYSGSWLGIRESHSRKKEDIYPHNSDCCSNLHVQQPLDSEVNLLRNPFIEQNQLDKVPNHREYGMISPRGSSALVSPNSSQPTMRLMGKDVPIGRSSKEKQQIFGGVLWAQDESRRRHYSEDDDSMLGRCYMRDWASGSKLQRQSEHVLQSVKIQSNRALQSTMLMKGPNSEFLNLQSDRISQNASLGTSRNISTNLRPISQAPASCAIYTRQQEHLPEQFVSGAKTQTPGLCFHSQVPSSPYNFHLSTLSNGEQNEEKKRHRVTNSAFGFPFLHPIVEEQAKSSWFKRSHSSFPASSLGSTYQKMPESSTTTSFHQNSWRNDSTTTFVNHSDVLYPNSGTSHYPRNAPLSPYASVSTVAKPPSAIDGCRNILMVNDRVKLDDMIAKYQNPCTNARKRPGANTFDPAIPNKLLNRKNSSPMTGLSRVTSSANLRQNTKEVELNLQVGARSECVLNEARNLNPRSHLGFDSSDQDDVVVSGPVKLGPGAKHILKPSQNLDNSTPIHSAISIAATSDCGRDLELQGKLTKMYIF >Vigun05g199400.1.v1.2 pep primary_assembly:ASM411807v1:5:38562276:38565408:-1 gene:Vigun05g199400.v1.2 transcript:Vigun05g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGRQNPSSDARLFKLCPFWSSRNGLLSSNHSEANVQRSSKTVSSVARSLLPPRRRLRLDPSSYLYFPYEPGKQVRSAVLLKNTSKSHVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVEQPENNEKLSDQKQRVKFKIMSLKVKEGVDYVPELFDEQKDLVAVERILRVVFIDPERQSPALEKLKRQLAEADAAVEARKKPPAEAGPRVVAEGLVIDEWKERREKYLARQQVQAVDSV >Vigun05g194500.1.v1.2 pep primary_assembly:ASM411807v1:5:37638054:37641837:1 gene:Vigun05g194500.v1.2 transcript:Vigun05g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLQSLTLTRSSTLPSLTSPPIPVTARRNSAALPSYSGLRLRATVGIVFSTRAASRTAPRGGRIACEAQNTTVEVTAITDGNWQSLVLEADTPVLVEFWAPWCGPCRMIHPIIDELAKEYVGKLKCYKLNTDESPSTASRYGIRSIPTVIIFKNGEKKDTVIGAVPKTTLTSSIEKFL >Vigun05g235900.1.v1.2 pep primary_assembly:ASM411807v1:5:42906796:42908350:-1 gene:Vigun05g235900.v1.2 transcript:Vigun05g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSFFVALLLLSLTSSDLFVQTRGFNFGINYGQLGDNLPSPSSVAALIKSLKVSKIRLYDSNPDILSAFANSGVEFIIGTRNEDLPSLKDASNAQRWIQQHVQPYISRTKIICIVVGNEVFDSNNPQLTTSLLPAMQSMYNALVSQGLAQKVTVTSAHSYNVIASSYPPSSGAFRQDLIQYIQSILSFHAQTKSPFLINAYPFFAYKGNPNQISLNYVLFQPNSGSTDPVTNLHYDNMLFAQIDAVYAAIKRLGHTDIEVKISETGWPSKGDPGEIGATPQNAETYNSNLLKRIEQNQGTPAKPSVPIDVFVFALFNENLKPGPLSERNFGLYYPNGNPVYNIGLKGYLPGMIGKSNSNVLSINFVVFLLPFFMFTWEFSRY >Vigun10g026100.1.v1.2 pep primary_assembly:ASM411807v1:10:3117165:3119195:1 gene:Vigun10g026100.v1.2 transcript:Vigun10g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVDMRTISKGAIILLSIMALLFDTTDSVVLESGENHIKSATFLSENFEVGPGKVVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLSNGIEFERNDGACQGFLLPHYWGLGGESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRDGFRGPTRKLALRYKIKWIDWDEHQVPLKFYILDSTDRVRSNGSTTIHDCQAEYKIPRNHDNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPKQVFEGNLM >Vigun03g256100.2.v1.2 pep primary_assembly:ASM411807v1:3:42242823:42245140:1 gene:Vigun03g256100.v1.2 transcript:Vigun03g256100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTVQGEELKKLINSPAYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKNKGQRACSIL >Vigun03g256100.3.v1.2 pep primary_assembly:ASM411807v1:3:42242999:42245140:1 gene:Vigun03g256100.v1.2 transcript:Vigun03g256100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTVQGEELKKLINSPAYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKNKGQRACSIL >Vigun03g256100.1.v1.2 pep primary_assembly:ASM411807v1:3:42242722:42245180:1 gene:Vigun03g256100.v1.2 transcript:Vigun03g256100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTVQGEELKKLINSPAYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKNKGQRACSIL >Vigun05g057900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4961989:4963314:1 gene:Vigun05g057900.v1.2 transcript:Vigun05g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVINDNEVDIVIAALHSDLTTFMNEWRPIFSRFHLIIIQDPDLREELQIPEGFRADVYTKDDIERVVGSSSSIRFSGYSCRYFGFLISRKKYVVCIDDDCVPAKDIAGSLVDAVAQHVSNLQTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVNCAVSCGLWLNMADLDAPTQALKPRQKNLRYVDAVLTVPARALLPMSGINIAFNREAIGPAIGPALRLTGEGKLRWETAEDIWCGMCVKVICDHLGLGVKTGLPYIWRTERGDAVQSLKKEWEGVKLMEDVVPFFQSLKLPQSATTAEDCMVEIAKTVKEQLGKVHPVFSQAAETMVEWVKLWKTVASA >Vigun01g019133.1.v1.2 pep primary_assembly:ASM411807v1:1:2041609:2042625:-1 gene:Vigun01g019133.v1.2 transcript:Vigun01g019133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTHHDTTKRCSMALLAFSMVLFVIVSDMSMKSEAREPIEGTHCISDPDCVHRPCASCACKGINNCCVCESKPFFL >Vigun01g178600.1.v1.2 pep primary_assembly:ASM411807v1:1:35944094:35946939:1 gene:Vigun01g178600.v1.2 transcript:Vigun01g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPSASLISSNPNILFSPKFPSSSFSSLSFPNSSHSLFKPLRTSLNPSSPARKTFVARASSELPLVGNIAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLISDITKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEFFSAI >Vigun03g148500.3.v1.2 pep primary_assembly:ASM411807v1:3:15251100:15251895:-1 gene:Vigun03g148500.v1.2 transcript:Vigun03g148500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDAKDPFKGVDWKAVGGEMQKNPSVQPSLKKRLPNRVRQIPEYYFLPRWPLPKAILFCSACIGAGVAAGMLGEIWIEKKVKEDGGVIWEFDK >Vigun03g148500.2.v1.2 pep primary_assembly:ASM411807v1:3:15250353:15252431:-1 gene:Vigun03g148500.v1.2 transcript:Vigun03g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDAKDPFKGVDWKAVGGEMQKNPSVQPSLKKRLPNRVRQIPEYYFLPRWPLPKAILFCSACIGAGVAAGMLGEIWIEKKVKEDGGVIWEFDK >Vigun11g143300.1.v1.2 pep primary_assembly:ASM411807v1:11:35286472:35290547:1 gene:Vigun11g143300.v1.2 transcript:Vigun11g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGKIIAQEMPRKILVTWNRIEKRDIISTMPNEIIANVLSRLTLKEAARTSVLSTTWRYQWTYFNGDLDFDNSLRTLLLRHEHVGLLTKCKVFVREWERFMSRLQHVMKSLKCHSMHGLRICMDMGNPWKVTEWVNFAAEKNVETLDLDFSYNFMEPFFEISENIRNVLSKSFEMRALRVLRLASVDVSGEIIESFLASCPLLETLCVRGSKSVMRLKVQGQGLMLKHLELVECHISHLHICAENLVTFSYTGDYGNFNFETVPSLVEVSFGGKYCNYLLSNMEDVQLYAVLSQVHVLKLELFILYGGILEALPVLGNVRHLELRIRHRCGGQLDRTVSLLSAFPSISVLKIKFMRTTIWELDEGWKANLKHEYPNLRELEVSGYRRDSCQIELLISIFKKAPNLNRIVVDPLTSMHVQRSPDVKASIRETQRDMTIWYVDALKPHVPPSTQLIVL >VigunL074550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:157645:158067:-1 gene:VigunL074550.v1.2 transcript:VigunL074550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFEDYVSDIFKSRQEAKKRGNEALSYIYKILLNSLYGRFGIKPESTITTICEENKYNYLIQNKDFNIANKLSDKDYILSDLNNRDDATDWAPPRLSAVQLAAAITACSRIHMYKSISREDCYYTDTDSVVLKNPIPE >Vigun11g172700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37818551:37821165:-1 gene:Vigun11g172700.v1.2 transcript:Vigun11g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKMPETSTVGLGTELLHYKTELSSYEAACKLDSDLQFFDTTLQARTNEVINTLAAGVEVRALSFDSLKQVTECLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFENSLQTLDFCTALEKCLKRSRDSQLLILVALQQFEEESGLGDNRYVRTLQEFKNFKAAGDPFTEEFFKIFQSVYRHQIVMFEKLQLRKNKLDKKLKYIKSWRKVSSMIFVATFAAVLICSVVAAAIAAPPVAAAIAAATSIPIGSMGKWMDSLWKNYENALKGQKEVISSMQAGTYVAIKDLDSIRVLIDRLEIEIESLLQNVDFAIEEGAVKVAIEEIKKKLGVFMKNVEDLGVQADMCSRDIRRARTVVLQRIIKHPHN >Vigun01g018400.2.v1.2 pep primary_assembly:ASM411807v1:1:1972268:1972738:1 gene:Vigun01g018400.v1.2 transcript:Vigun01g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKNPAIKFAVFLAFLVTSSDLCMKSEARGPVQRWHCSNDSECQFFCPTCGCKCINTWCQCPKKPPSRDNVGFQAQAPPNI >Vigun07g053800.1.v1.2 pep primary_assembly:ASM411807v1:7:5736359:5739818:1 gene:Vigun07g053800.v1.2 transcript:Vigun07g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVCSIQLQALTPEAATLVKQAVTLATRRGHAQVTPLHIATVMLATSTGLLRKACLQCHSHPLQYKALELCFNVSLNRLPASTPSPLLSASYSTTPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIEVEQLIVSILDDPSISRVMREAGFSSALVKTRVEQAVSMDVCSQEQASEQNTTKLQVLGGSNTSPPRSFSQFGGSFIKSMDHVSDEVAGVDDVTSALNALVSKRRNTVIVGESLASAEGVARGVMQRLERGNFVQFVSLPLFSFRNISKEEVERKLLELRSLVKSHVGRGFILYLGDLKWLFEFWSSYCEQRTNCYSSVEHMVMELKKLISGNGENGRLWLMGIATFRTYMKGKACHPSLETIWDLHPFTVPVGSLSLGLNFDSDFQVQERSKATFKDESFEERAKVRKHLTCCRDCSLNFEKEAKSIANSITISKRDCTTSLPTWLKNCKEERSHVMDDQENAKLKEICRKWNSFCSSAHGYPSNIEKQFLFISSSPSPSSPTSASSHERKSSFNLTHLNWPVISEPKEVSKECQLYTETTVSDECYEGNLIMFMPERNIPKPDLLSNPNSSPNSASSSEAAEGLDSTQMFKEHNDENLKILSDALQKMVPQNKETAKEIASTVLLCRSGMRKGENHLVKREDRQETWFFFQGMDSGAKETVSKELAKVVFGSYTNFVPIGVSSFSGGDSTNEESKNKRPRDEFGGSYLQRFGEAVNENPHRVFFMEDLDQVDHFSKKGVKKAIENGTITLPCGESVPLKDAIVIFSSENFSSAPARTTSPSSDENMEKENLNNSEEKTPCLSLDLNMAIEVDAQKNVHLDEATVEIAELVDKQIKFHV >Vigun07g101800.6.v1.2 pep primary_assembly:ASM411807v1:7:18233692:18238193:1 gene:Vigun07g101800.v1.2 transcript:Vigun07g101800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNIINDEGRLRNVFDVGCGVASFGGYLLSSDVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRQMSALVGQMCWKIAAKKNQTVIWVKPLTNDCYLKREPDTHPPLCSPDDDPDAVWGVKMKACISRYSDQMHKARGSGLAPWPARLTTPPPRLADFNYSTEMFEKDMEYWHQEVVNYWKMLGNKIKPETIRNVMDMKAHLGSFAAALKDKDVWTMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWGIFSDIIEKECSPTDLLIEMDRILRPKGFVIVHDKRSVVVFIKKFLPALHWISVATSNLEKDSSQDKDDAILIIQKKIWLTSESIRVSE >Vigun07g101800.2.v1.2 pep primary_assembly:ASM411807v1:7:18233692:18238277:1 gene:Vigun07g101800.v1.2 transcript:Vigun07g101800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRADGKPRKRLVIPVIVLVIVGAFFYLYSRDSGSSSIEYGSKSLTFGGDESAIPKTIPVCDDRLSELIPCLDRNFIYQTRLKLDLTIMEHYERHCPMPERRYNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQRWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNIINDEGRLRNVFDVGCGVASFGGYLLSSDVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRQMSALVGQMCWKIAAKKNQTVIWVKPLTNDCYLKREPDTHPPLCSPDDDPDAVWGVKMKACISRYSDQMHKARGSGLAPWPARLTTPPPRLADFNYSTEMFEKDMEYWHQEVVNYWKMLGNKIKPETIRNVMDMKAHLGSFAAALKDKDVWTMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWGIFSDIIEKECSPTDLLIEMDRILRPKGFVIVHDKRSVVVFIKKFLPALHWISVATSNLEKDSSQDKDDAILIIQKKIWLTSESIRVSE >Vigun07g101800.4.v1.2 pep primary_assembly:ASM411807v1:7:18233692:18238278:1 gene:Vigun07g101800.v1.2 transcript:Vigun07g101800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRADGKPRKRLVIPVIVLVIVGAFFYLYSRDSGSSSIEYGSKSLTFGGDESAIPKTIPVCDDRLSELIPCLDRNFIYQTRLKLDLTIMEHYERHCPMPERRYNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQRWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNIINDEGRLRNVFDVGCGVASFGGYLLSSDVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRQMSALVGQMCWKIAAKKNQTVIWVKPLTNDCYLKREPDTHPPLCSPDDDPDAVWGVKMKACISRYSDQMHKARGSGLAPWPARLTTPPPRLADFNYSTEMFEKDMEYWHQEVVNYWKMLGNKIKPETIRNVMDMKAHLGSFAAALKDKDVWTMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWGIFSDIIEKECSPTDLLIEMDRILRPKGFVIVHDKRSVVVFIKKFLPALHWISVATSNLEKDSSQDKDDAILIIQKKIWLTSESIRVSE >Vigun07g101800.1.v1.2 pep primary_assembly:ASM411807v1:7:18233692:18238277:1 gene:Vigun07g101800.v1.2 transcript:Vigun07g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRADGKPRKRLVIPVIVLVIVGAFFYLYSRDSGSSSIEYGSKSLTFGGDESAIPKTIPVCDDRLSELIPCLDRNFIYQTRLKLDLTIMEHYERHCPMPERRYNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQRWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNIINDEGRLRNVFDVGCGVASFGGYLLSSDVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRQMSALVGQMCWKIAAKKNQTVIWVKPLTNDCYLKREPDTHPPLCSPDDDPDAVWGVKMKACISRYSDQMHKARGSGLAPWPARLTTPPPRLADFNYSTEMFEKDMEYWHQEVVNYWKMLGNKIKPETIRNVMDMKAHLGSFAAALKDKDVWTMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWGIFSDIIEKECSPTDLLIEMDRILRPKGFVIVHDKRSVVVFIKKFLPALHWISVATSNLEKDSSQDKDDAILIIQKKIWLTSESIRVSE >Vigun07g101800.5.v1.2 pep primary_assembly:ASM411807v1:7:18233692:18238193:1 gene:Vigun07g101800.v1.2 transcript:Vigun07g101800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRADGKPRKRLVIPVIVLVIVGAFFYLYSRDSGSSSIEYGSKSLTFGGDESAIPKTIPVCDDRLSELIPCLDRNFIYQTRLKLDLTIMEHYERHCPMPERRYNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQRWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFPNNIINDEGRLRNVFDVGCGVASFGGYLLSSDVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTLRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWRQMSALVGQMCWKIAAKKNQTVIWVKPLTNDCYLKREPDTHPPLCSPDDDPDAVWGVKMKACISRYSDQMHKARGSGLAPWPARLTTPPPRLADFNYSTEMFEKDMEYWHQEVVNYWKMLGNKIKPETIRNVMDMKAHLGSFAAALKDKDVWTMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWGIFSDIIEKECSPTDLLIEMDRILRPKGFVIVHDKRSVVVFIKKFLPALHWISVATSNLEKDSSQDKDDAILIIQKKIWLTSESIRVSE >Vigun08g078900.1.v1.2 pep primary_assembly:ASM411807v1:8:15565770:15594145:1 gene:Vigun08g078900.v1.2 transcript:Vigun08g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARILGGKYKIGEKIGSGSFGEIYIGSHIDTSEIVAIKMEKRKTNQPQLLYESNLYSILQGGSGIPRMKWCGTDGDNNVLVIELLGPSLEDLFYFCGNKLSLKTVLMLADQMLTRIEYLHSKGFLHRDIKPDNFLMGLGKKANQIYMIDFGLAKRYKDPITNKHIPYRENKGLTGTARYASYNTHSGIEQSRRDDLESLGYVLMYFLRGSLPWQGLQAATKRQKYDKICKKKLSTPIEVLCKSYPVEFASYFHYCRSLTFDQRPDYGLLRRLFRNLFTRAGYDSDYLFDWTILKYQQMQQQKTQTQPIAPLAVPTSLEPVEEDNNKGVNGSTQLDVERPSINVDHQRVFKTTSNIQNHNTKIPPQKHIVNNGSSLPIAMPTLSTENVSKPVRLRRVSSTRQ >Vigun07g255000.1.v1.2 pep primary_assembly:ASM411807v1:7:37320526:37322479:-1 gene:Vigun07g255000.v1.2 transcript:Vigun07g255000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQMASSSSSSSITQDSSEVENLASRMESLTFSNLNAFHGYHEPCLQCVRNIHSANATLPTRHHHHHHHHHRRLHAIGVWLSMAKDPRGSRVVQQKIDEGTRQENFHIVKELEHHFHELIKHPYGSFVILKLFQSRNITVAQKNYFIYLITTDLSMLRDLCIHDLGGRVIQQILEIEDVLIAIDQITYAMSRVTVALMKNNNGGYVILQCLKVFPPEHKNVILEVVARNCYDIAVNKSGCCSIQKVIQHDDVPGFYALIDNLISNAENLAKDQYGNYVVQFLVKKNILEVNAMLVSELQYKFVGLSTDKYASNVVEDLLHYSRTEYAAIIVEEIMESPNFIQVVQDQYGNYVLQRALQYTEGLLYESLRAIILSNRDKLSSCLHGKKVLNVAQKGK >Vigun02g020900.5.v1.2 pep primary_assembly:ASM411807v1:2:7305306:7310636:1 gene:Vigun02g020900.v1.2 transcript:Vigun02g020900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLTRITMVSFIHGKLFKGKFPSLLFPIEIKNIQLGKHGSDTGVYDTEGRFVPSKFEAIFTKHAHTQPNALTYDELMQMIQANRDPKDIKGRIGGLVEWKILYKVAKDKSGLLQKETIRGVYDGSLFEMLKKEHSTHTKK >Vigun02g020900.3.v1.2 pep primary_assembly:ASM411807v1:2:7305306:7310636:1 gene:Vigun02g020900.v1.2 transcript:Vigun02g020900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPLETTKQKGLREIGNGIFSSVGLSLFINLGLSQTTRPGKFPSLLFPIEIKNIQLGKHGSDTGVYDTEGRFVPSKFEAIFTKHAHTQPNALTYDELMQMIQANRDPKDIKGRIGGLVEWKILYKVAKDKSGLLQKETIRGVYDGSLFEMLKKEHSTHTKK >Vigun02g020900.1.v1.2 pep primary_assembly:ASM411807v1:2:7305306:7310636:1 gene:Vigun02g020900.v1.2 transcript:Vigun02g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPLETTKQKVGGEKPTPLHENVLQKHAAFFDKNHDGVIYPWETFQGLREIGNGIFSSVGLSLFINLGLSQTTRPGKFPSLLFPIEIKNIQLGKHGSDTGVYDTEGRFVPSKFEAIFTKHAHTQPNALTYDELMQMIQANRDPKDIKGRIGGLVEWKILYKVAKDKSGLLQKETIRGVYDGSLFEMLKKEHSTHTKK >Vigun02g020900.2.v1.2 pep primary_assembly:ASM411807v1:2:7305306:7310636:1 gene:Vigun02g020900.v1.2 transcript:Vigun02g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPLETTKQKGGEKPTPLHENVLQKHAAFFDKNHDGVIYPWETFQGLREIGNGIFSSVGLSLFINLGLSQTTRPGKFPSLLFPIEIKNIQLGKHGSDTGVYDTEGRFVPSKFEAIFTKHAHTQPNALTYDELMQMIQANRDPKDIKGRIGGLVEWKILYKVAKDKSGLLQKETIRGVYDGSLFEMLKKEHSTHTKK >Vigun02g020900.4.v1.2 pep primary_assembly:ASM411807v1:2:7305306:7310636:1 gene:Vigun02g020900.v1.2 transcript:Vigun02g020900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLTRITMVSFIHGKLFKGKFPSLLFPIEIKNIQLGKHGSDTGVYDTEGRFVPSKFEAIFTKHAHTQPNALTYDELMQMIQANRDPKDIKGRIGGLVEWKILYKVAKDKSGLLQKETIRGVYDGSLFEMLKKEHSTHTKK >Vigun09g061300.1.v1.2 pep primary_assembly:ASM411807v1:9:6376867:6380903:1 gene:Vigun09g061300.v1.2 transcript:Vigun09g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKAKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCNKEERRTIAPEHVLKALGVLGFGDYIEEVYAAYEQHKLETMQDSLKGAKWSNRAEMTEEEALAEQQRMFAEARARMNGGAITPKQPDADQSLES >Vigun09g048700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4747215:4747760:-1 gene:Vigun09g048700.v1.2 transcript:Vigun09g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHARINLQQGPIISFLHSVNGIHLSIQTWNFDVSFIRDQKLIRISQREVTTFYPILLEKKNDLLSLILAFFNSHVKSKFESENYTFDVYITKDERVKIVDFNPWDAFTLPLFAWDELESIHSEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSAGSSGWDQFLWSGDEEFRQQSTEAGT >Vigun05g229900.1.v1.2 pep primary_assembly:ASM411807v1:5:42322711:42334100:1 gene:Vigun05g229900.v1.2 transcript:Vigun05g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSEAASAIKSRFGFHDHPSTSLSLVQNTPDLLKSAAKDSLAQSSIVRNLSEWDDESVVGQSSAAVSSSRRFEFCEDPSFWKDHNVQVIIRMRPLSNSEISVQGYGKCVRQESCQTITWTGHPESRFTFDAVADENVSQENLFNVAGLPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKEKEARRDEKLKFTCKCSFLEIYNEQILDLLEPSSNNLQIREDSKKGVYVENLKEIEVNYAREVIQLLIQGAANRKVAATNMNRASSRSHSVFTCIIESQWESQGVTHFRYARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSICCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRIQIQQLKKELSRLRGVVAGGEIQDNDNSVISFPGSPGSFKWEGVQGSFSPLTSVKRISQKKDYDIALVGAFRREKDKEMKLQALREEFQASMKLVKQREDEIQSLKMRLRFREAGIKRLEAVASEKISAETHLLKEKEEHLKEIEGLRAQVDRNKEATRFAMENLQLKEEIRRLKSFCLEGEREQMSEQIMALENKLLEALDWKFMHEPDLKANSDPMMEDVRNDSNLDSKLESSPKSRWQSSLREENEFLRIQAIQNQAEMDTICKKLEVCLEEKEKLKSRIDDLTAKLEHEKSRTVTEAKQQMDLPSTIDMPVINNNDQLELKAMVDAIAAASQREAEAHETAIILAKENDELKMKLKTLIEDNSKLIELYEQAAAENINRNAHKGEEAHEIGSQIDNGCFSLEITTEEAGLKEEVENLQHQLMEMTEENEKLMNLYERAMQERDDLKRSLSCIGQERVETKGDVDCPEKLVEVDGGERDLRIEIISQEMRGGSESKYEPTTTSGSDMDVGSDACEQEKLLKDDSEADVLVNSEKYNLNLSEAKLSEELSCATKKLERVDEHISDAVKTIASFGCAEKATVQVDELSREIEVTEHDIHIKRQQFESLKLKLSEAHERRTIIDKKFSALKYSLSNFPSTFSYFVQRETRARAVVKDLTSHLDHKKGKLAHLQASKQGLDNAKEKNQESEVELTKNIACIKLKLEEENQKHEGEKVLFSVENTQNIDSSLKIWHLRSKATDLLKFEEEKTKLQAEMKLSQQKLGVIRKELENLNKKGANVESQIEAVQLEIKQCLRNTEEKEIALERVVKEKEMLLEFRDNCMSEIEHMIIELQQHVFEYDLKEGEMKILEEELQMDLTRAEKLQTARVIAANNKNTFFSSISYSDMLEKLEDGMQNLHTYVQETRLLLEGISHAA >Vigun05g229900.2.v1.2 pep primary_assembly:ASM411807v1:5:42324316:42334100:1 gene:Vigun05g229900.v1.2 transcript:Vigun05g229900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKEKEARRDEKLKFTCKCSFLEIYNEQILDLLEPSSNNLQIREDSKKGVYVENLKEIEVNYAREVIQLLIQGAANRKVAATNMNRASSRSHSVFTCIIESQWESQGVTHFRYARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSICCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRIQIQQLKKELSRLRGVVAGGEIQDNDNSVISFPGSPGSFKWEGVQGSFSPLTSVKRISQKKDYDIALVGAFRREKDKEMKLQALREEFQASMKLVKQREDEIQSLKMRLRFREAGIKRLEAVASEKISAETHLLKEKEEHLKEIEGLRAQVDRNKEATRFAMENLQLKEEIRRLKSFCLEGEREQMSEQIMALENKLLEALDWKFMHEPDLKANSDPMMEDVRNDSNLDSKLESSPKSRWQSSLREENEFLRIQAIQNQAEMDTICKKLEVCLEEKEKLKSRIDDLTAKLEHEKSRTVTEAKQQMDLPSTIDMPVINNNDQLELKAMVDAIAAASQREAEAHETAIILAKENDELKMKLKTLIEDNSKLIELYEQAAAENINRNAHKGEEAHEIGSQIDNGCFSLEITTEEAGLKEEVENLQHQLMEMTEENEKLMNLYERAMQERDDLKRSLSCIGQERVETKGDVDCPEKLVEVDGGERDLRIEIISQEMRGGSESKYEPTTTSGSDMDVGSDACEQEKLLKDDSEADVLVNSEKYNLNLSEAKLSEELSCATKKLERVDEHISDAVKTIASFGCAEKATVQVDELSREIEVTEHDIHIKRQQFESLKLKLSEAHERRTIIDKKFSALKYSLSNFPSTFSYFVQRETRARAVVKDLTSHLDHKKGKLAHLQASKQGLDNAKEKNQESEVELTKNIACIKLKLEEENQKHEGEKVLFSVENTQNIDSSLKIWHLRSKATDLLKFEEEKTKLQAEMKLSQQKLGVIRKELENLNKKGANVESQIEAVQLEIKQCLRNTEEKEIALERVVKEKEMLLEFRDNCMSEIEHMIIELQQHVFEYDLKEGEMKILEEELQMDLTRAEKLQTARVIAANNKNTFFSSISYSDMLEKLEDGMQNLHTYVQETRLLLEGISHAA >Vigun03g215800.1.v1.2 pep primary_assembly:ASM411807v1:3:35864804:35871086:1 gene:Vigun03g215800.v1.2 transcript:Vigun03g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLERQIEQLMECKPLTESEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQSFLQFDPAPRQIEPDTTRKTPDYFL >Vigun03g215800.2.v1.2 pep primary_assembly:ASM411807v1:3:35866046:35871086:1 gene:Vigun03g215800.v1.2 transcript:Vigun03g215800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISANNDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQSFLQFDPAPRQIEPDTTRKTPDYFL >Vigun06g033500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14189592:14190137:-1 gene:Vigun06g033500.v1.2 transcript:Vigun06g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMAYGLAITRLSEGQMPATCDEYKSLFAPCVPYLVSQEFSTPTPSCCAGAAQQLTKGNNPAALKNLCTCLDASTANLGFHFQKFIQLPTTCKIKLSYSIEKCVHS >Vigun08g150500.1.v1.2 pep primary_assembly:ASM411807v1:8:32316278:32318015:-1 gene:Vigun08g150500.v1.2 transcript:Vigun08g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLHTNNTNNTNNHNSSSWTRMQNKQFESALALYDEDTPDRWQNIARIVGDKSVEEVKKHYEILVEDLKHIESGRVPIPSYNSTHHQDRPLKYLNQQ >Vigun01g106300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27742635:27743920:1 gene:Vigun01g106300.v1.2 transcript:Vigun01g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAELVRVFQLFDRNGDGRITQKELTDSLKNLGISISEPDLTQMIEKIDVNGDGFVDMDEFGELYNAIMDEKNEEEDMREAFNVFDQNGDGFITGEELGAVLCSLGLKHGKTIDYCMSMIKKVDVDGDGMVNYKEFKQMMKEGGFASPSML >Vigun01g075550.1.v1.2 pep primary_assembly:ASM411807v1:1:21242172:21242776:-1 gene:Vigun01g075550.v1.2 transcript:Vigun01g075550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLSTKISGGFNSFLKRSITSINYFTFLISLHFFSLFLQCFTRSLKRFPQISKPEILIFTFVLKTLSSNWLHHIDVHLCHLRTCSSTIFRHVRPRSSVGARRSMLLGRCSISLAFHLHIQVIWLC >Vigun10g013700.2.v1.2 pep primary_assembly:ASM411807v1:10:1501507:1504131:1 gene:Vigun10g013700.v1.2 transcript:Vigun10g013700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSACPTHAATPPCFKYSSEIQSSVSPPLSLHPHSFILNPATRHNLSLSTPQNHAPPSSPPRFDYTPPNETLPNNLYPKRRKVVFARQVFDKIPKRDVKAWRFSNMITRFARNGMIKEALDYTRLMLLEGINPNLVVMIVVLDVIGKVGARNLGREAHGFVVKNVYYKELSILSALIEMYGKCGDVGSGSRVLFSVMKRKGDFWIGLVSGRLEVEMRSVIRLKRFRPGASAVASLVPICAQLRALKQGKEIHAYSLRHWLLPNVPIVSSLMVLYSKCGVIEYSIKLFDQMERKNVVSWTAMIDSCIENGRVCEALGVMRSMAWTTEHKPDTVTMARMLHVCGELKVLKLGKEVHGQVLKRGFASVHYVAAGVIDMYGICGDVDKAKLVFSVVPDKGSTTWSALIRAYGHKEWYQEAIDLFYDMISNGCSPNRFTFEAVLSICDRAGYVEDSFRIFDLMSRYKIEASKEHCTCMIRLLTRYETVQNFGFS >Vigun10g013700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1501507:1503969:1 gene:Vigun10g013700.v1.2 transcript:Vigun10g013700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSACPTHAATPPCFKYSSEIQSSVSPPLSLHPHSFILNPATRHNLSLSTPQNHAPPSSPPRFDYTPPNETLPNNLYPKRRKVVFARQVFDKIPKRDVKAWRFSNMITRFARNGMIKEALDYTRLMLLEGINPNLVVMIVVLDVIGKVGARNLGREAHGFVVKNVYYKELSILSALIEMYGKCGDVGSGSRVLFSVMKRKGDFWIGLVSGRLEVEMRSVIRLKRFRPGASAVASLVPICAQLRALKQGKEIHAYSLRHWLLPNVPIVSSLMVLYSKCGVIEYSIKLFDQMERKNVVSWTAMIDSCIENGRVCEALGVMRSMAWTTEHKPDTVTMARMLHVCGELKVLKLGKEVHGQVLKRGFASVHYVAAGVIDMYGICGDVDKAKLVFSVVPDKGSTTWSALIRAYGHKEWYQEAIDLFYDMISNGCSPNRFTFEAVLSICDRAGYVEDSFRIFDLMSRYKIEASKEHCTCMIRLLTRYGKLDEAQRYLEMSSSVAEDKR >Vigun10g013700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1501506:1504285:1 gene:Vigun10g013700.v1.2 transcript:Vigun10g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSACPTHAATPPCFKYSSEIQSSVSPPLSLHPHSFILNPATRHNLSLSTPQNHAPPSSPPRFDYTPPNETLPNNLYPKRRKVVFARQVFDKIPKRDVKAWRFSNMITRFARNGMIKEALDYTRLMLLEGINPNLVVMIVVLDVIGKVGARNLGREAHGFVVKNVYYKELSILSALIEMYGKCGDVGSGSRVLFSVMKRKGDFWIGLVSGRLEVEMRSVIRLKRFRPGASAVASLVPICAQLRALKQGKEIHAYSLRHWLLPNVPIVSSLMVLYSKCGVIEYSIKLFDQMERKNVVSWTAMIDSCIENGRVCEALGVMRSMAWTTEHKPDTVTMARMLHVCGELKVLKLGKEVHGQVLKRGFASVHYVAAGVIDMYGICGDVDKAKLVFSVVPDKGSTTWSALIRAYGHKEWYQEAIDLFYDMISNGCSPNRFTFEAVLSICDRAGYVEDSFRIFDLMSRYKIEASKEHCTCMIRLLTRYGKLDEAQRYLEMSSSVAEDKR >Vigun10g007900.1.v1.2 pep primary_assembly:ASM411807v1:10:741090:744133:-1 gene:Vigun10g007900.v1.2 transcript:Vigun10g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMSYMGGTGVEVANKGMTMITGTLYDRVIEKRKIENFDDFHTAILDIFNAINMALPGKHYDAPPHDDIKKCYDGWSDESDEDKRKEAFTTFINENVNLSKADESMMITGIVAPPVAMVVKKTGQTVPQLSVIKAIPDVAFVPGATILALIAIKLTKRMAFKNIPSIDPKENTL >Vigun04g016200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1189541:1190568:-1 gene:Vigun04g016200.v1.2 transcript:Vigun04g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGVPFLNREGFMLHRRWVLSAVARQAHDGGGSSGEGGGSAKRCACSPSQHPGSFRCRLHHGEYVWRGRAIK >Vigun09g270600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43312913:43315804:-1 gene:Vigun09g270600.v1.2 transcript:Vigun09g270600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLSTLCSSSHSKPSFPFFNTQSFSVNTNTLFLNTTTNSFQTLLSHSTRYTLPLPCTKTTNTFASFNDDEKPREECGVVGIYGDPEASRLCSLALHALQHRGQEGAGIVAVDNNVLHTVNGVGLVSDVFDETKLSQLPGSCAIGHVRYSTAGHSRLCNVQPFVAGYRFGSVAVAHNGNFVNYRSLRAKLEDNGSIFNTTSDTEVVLHLIATSKHRPFLLRIVDACENLKGAYSLVFLTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVNPGEVVVVDHTGIQSLCLVTHPEPKQCIFEHIYFALPNSVVFGRSVYESRRKFGEILATESPVECDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIACPPIVGSCYYGVDTPSKEELISNRMSVEEIREFIGSDSLAFLPLDTLKRLLEDDAPNYCYACFSGKYPVQPEELKTSNLNEFDWDDALNGSLKSIENEGWVRNQEGVSENKIVSV >Vigun09g193000.1.v1.2 pep primary_assembly:ASM411807v1:9:36795097:36800531:1 gene:Vigun09g193000.v1.2 transcript:Vigun09g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTTLPPYCSIHKTPFTSNSKLILRAIPQIPLQNHASQAITDIKTKFLNAIVDSAFEFVDQLVLPSQTNFAPVEELGEAMDISDIEGHIPDHFPEGVYIRNGANPLFGGLKSSKSVLGKTKSIWVEGEGMLHALYFKRKNDGSYTIFYNNRYVQTATYNLEKQSTKPLFLPAVKGDSLAVMCSMLLNWLRFGKLNKDYSNTNVFEHSNKFYSVSENYIPQEIDIFTLNTLNYWDVNGAWNRPFASHPKKVLGTGELLIFGVDATKPYLEIGIVSADGKELVHKADIELDRSSLCHDIGITRRYIAILDFPLIVDSNRLLRRGQLIKFDKEKYARIGIMPLYGDANSIKWFEVEPNCTFHLINSFEDGHDEVVLWGCRALDSIIPGPEDGLKESELFSRCYEWRLNMKSGEVKEKYLTGPEQFMDFPMINAGFTGIKNRYAYTQVVDPTASFAADIPKYGALAKLYFAEPCSEFPVGETRQEELIRVEYHRFEKNVFCTGSAFVPKEGGVEEDDGWIITFVYNEDTGVSQVHIVDTKKFSGEAVAKITMPCRVPYGFHGAFASISF >Vigun07g135500.1.v1.2 pep primary_assembly:ASM411807v1:7:24554137:24559129:-1 gene:Vigun07g135500.v1.2 transcript:Vigun07g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYLLFTFCLWALTCSLLPSFSSGLMRIGLKKRDLDLDSIRTARMVREKLGLGRPVLGAYDQYVGKPTDEGIVPLKNYLDAQYYGEIGIGTPPQKFNVIFDTGSSNLWVPSSKCYFSLACYTHKWYKPKKSKTYIKNGTSCKITYGSGSISGFFSKDNVKVGDIVVKKQDFIEATREGSLSFLLAKFDGLLGLGFQEISVESAVPLWYNMVQQNLVSEQVFSFWLNGDPNAKEGGELVFGGVDPKHFKGNHTYVPVTRKGYWQIEMGDFFIGGVSTGVCEGGCAAIVDSGTSLLAGPTAVVTEINHAIGAEGVLSVECKEVVSEYGEMLWDLLISGVRPDDVCSQVGLCFAKKGQSESNGIEMVTEKEQRKLSAKDTALCAPCQMLVIWIQNQLKQQKTKDTVFNYVNQLCESLPSPNGESVVNCNGISGLPNITFTVGDKPFTLTPEQYILKTGEGIAEVCLSGFIAFDIPPPRGPLWILGDVFMRVYHTVFDYGNLRVGFAKAA >Vigun03g434200.1.v1.2 pep primary_assembly:ASM411807v1:3:63830654:63832035:1 gene:Vigun03g434200.v1.2 transcript:Vigun03g434200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKFPPQTQKTQPGKEHVMNPLPQTIHADYNPANKLKGKVALVTGGDSGIGRAVCVCFAKEGATVAFTYVKGHEDRDKDDTLKMLLEAKTGGADDPLAIAADIGFDENCKQVVELVVKEYGRIDVLVNNAAEQHLTNSVEEITQQQIERVFATNIFSHFFLVKHALKHMKEGSSIINSTSVNAYNGNPEALDYTATKGAIVAFTRGLSQQVTNRGIRVNAVAPGPVWTPIQPATKSGELVQKLGCELPMKRAAQPSEIAPCYLFLATHQDSSYFTGQVLHPNGGEIVNA >Vigun03g090300.1.v1.2 pep primary_assembly:ASM411807v1:3:7613444:7626949:1 gene:Vigun03g090300.v1.2 transcript:Vigun03g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHFRFYHKKRQIYTISTFAMAIASPVFVSFNYEDTRHDITGLVLDPLRRKGIQVLVKGESRTFDLFQAIEHSRFFIVVLSKNYASSICCLRELVAIINGVESSPRSVLSIFYGVHESEVLSQDGCYGKAFSKHEERFREHKQRMEEVQTWRKALKRVCSLGLHLENATGHGGFEFVQHEINILCPEFSTPENETIYSRYGKFEDIVQYKDGDEVSYKELRGLVRLRDFISLMLTYPVMLEEDGVLRSWISAFPEWKAELFSTGGYSKPWSLLTEKALRCMEGFVPVEIRAEIESGETSIFNRHNDVIPEGLLPLIVDLAVNQLIQTLFSGDYYARYIRLLTRNSAEKESVVNKIVTALEDKHNMFGIGKEFFKAVWINALTRETDSEVQVQEEINKIMVSISMAEGDDMLTTLDTDEKERSNRLLVIVVNADSNRELDLQKVQFPPGIVVLITTESSTQEVKDDGFGIACTMDLNIWTQDHLLPWKLFNTYSGSCISCNTVGSSMTIQKIAVEIVKKSHGHLLAIVLVARHLRYVKDDKYWELVLDKLSRPNPFYDYQDCDRIGISRVIVNAFVNIIWEDLDDELKFYLQLSLPVPNIKIGVRDDILVSYWANVLRYAEEVVEYKRQLQYYIEELLDCFVLLKFESGVVYLPIETYDIIKSLRKSKSLGLTEPPYIGQWHKLVQIELIDDKICELPQSPDCPKLKVLLLPGNADLLDIPDSFFDHMPLLQHLDLSYTSIRDLPPSLTKLIQLKKLYLKGCDLLMEISPQIFQLKNLEELDLDGTLITHLPKDIRELINLQRLVLCFDAYHRVLSRGKKGKQISDTMIIPPGVISNLTQLNYLSLDVDPEDEQWSENVNSVLVEILGLEKLKTVSIYVPKADLLELIPAKKSLDFRLVVGHHMRRLISRVTPELETKFKHFDYSMKFVNGVNIPNGVDMNLGRFKALYLDRHMTIKSLSDFNLSNVRGLKVCILAECNEMETIVDGGNSHDEPVSLMLEFLIVFYMKNLRSICQDCTPFSYLKYIVLRTCPMLTTIFTLRTLISLPFLEEISVEDCQKVTTLISHDSPEERRSAFSLPKLRVISLLYLPNLVNIFNGLRVDHVLEEMIFYCCPKLQSLSRSELPWEYLKFIKGESMWWEALEWSVSEWGYGGRPKIFEQFFKPINVEADMMNLLAAHQETQLNEYHGTIYQGVSSSTELMTMNIHLERPLLSPSTSVSLLGSSEGEAQTQKADIEPTIGQQPLSERKIKQGREGTSLHPEAVKMIRTKSEQVSDRFSSIKYEQKDIVGAKYQRFTSGIVQAHFVASSGLLLKTWDAISSCDEDVVPRVGNGLFWKVCEKSDWTVVVFEVNNDFDLHQELVSSSDLKEKNNFHCFEFLCTKKIPEFSVNESAVSLFIDNLDELDELKSKINSSHPLIVTGHGIGGAIASLFTMLLLESIDSGKKRPLCITFGSPLIGDKKLQEAISRSSTWSSCFLHVVSYKDSLLRNFNPHPVDYVPFGTFLFCSGSGSTCLENPESVFELLLVSSINDQSQGFEVVDYGKLVENLNRKAICKDFTPRGLNLTNSTSLNASIYLLLCAALELTSDLQELQHQNIDINALATKLERLENKFMFQKKVKFDPSKKLNWMKIHMAKLEWYKKHCKSLGIGYYDCFKNAVSTIDIDAVQWQKSLSNYWRDIVEEAELKPQTEAAAFSTPWLFAGTNYRRMVEPLAIAEYYAIGLKDYEAEGRSRHYAVLEKWLKEDKKEKGDSYGKTRRNVEWILTSDSCFWAKLEEALLLCGQWENLKENEKAKGKLLEFENYVYESLKKYEVSPEIFLKKSSYMTWWNKYKGFADNHRLASFMSNPQHFDQYTKGAYFFP >Vigun10g172200.3.v1.2 pep primary_assembly:ASM411807v1:10:39086704:39091446:-1 gene:Vigun10g172200.v1.2 transcript:Vigun10g172200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSAFPNLRENGCFRDKSVSGHSLTIGETVEASSALIDGATAFEVSGTPVDCISLALSGALFSWSKPMLVISGINRGSSCGHLKFYSGVVAGAREALLCGVPSLSISLNWKKDESQETDFKDAVELCLPLIKAAIRDVLQGTFPKSCFLGIEIPTSPLSSKGFKLTKQSMWRSTPNWQAVSTSRYPPGHFLASKQGFGLQFAQIGRDASAAGAARRLTTQKKNLEIVESIGAAGKPDSNRVKKYFRLEFLDKQQEDIDDDLDYRALESGYVAVTPLFLSPHTETDIQMAASDWLSSVLPGEQ >Vigun10g172200.1.v1.2 pep primary_assembly:ASM411807v1:10:39086704:39091446:-1 gene:Vigun10g172200.v1.2 transcript:Vigun10g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSGSVNNAIPSGLQEMLLNRKRTEQPEEEELNNNAQTSISSPQPSSPAGDAVAGDGDSSISKPVVLVTNSDGIESPGLTFLVQALVRLGLYDVHVCVPQSDKSVSGHSLTIGETVEASSALIDGATAFEVSGTPVDCISLALSGALFSWSKPMLVISGINRGSSCGHLKFYSGVVAGAREALLCGVPSLSISLNWKKDESQETDFKDAVELCLPLIKAAIRDVLQGTFPKSCFLGIEIPTSPLSSKGFKLTKQSMWRSTPNWQAVSTSRYPPGHFLASKQGFGLQFAQIGRDASAAGAARRLTTQKKNLEIVESIGAAGKPDSNRVKKYFRLEFLDKQQEDIDDDLDYRALESGYVAVTPLFLSPHTETDIQMAASDWLSSVLPGEQ >Vigun10g172200.2.v1.2 pep primary_assembly:ASM411807v1:10:39086704:39091446:-1 gene:Vigun10g172200.v1.2 transcript:Vigun10g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSGSVNNAIPSGLQEMLLNRKRTEQPEEEELNNNAQTSISSPQPSSPAGDAVAGDGDSSISKPVVLVTNSDGIESPGLTFLVQALVRLGLYDVHVCVPQSDKSVSGHSLTIGETVEASSALIDGATAFEVSGTPVDCISLALSGALFSWSKPMLVISGINRGSSCGHLKKKDESQETDFKDAVELCLPLIKAAIRDVLQGTFPKSCFLGIEIPTSPLSSKGFKLTKQSMWRSTPNWQAVSTSRYPPGHFLASKQGFGLQFAQIGRDASAAGAARRLTTQKKNLEIVESIGAAGKPDSNRVKKYFRLEFLDKQQEDIDDDLDYRALESGYVAVTPLFLSPHTETDIQMAASDWLSSVLPGEQ >Vigun03g244100.1.v1.2 pep primary_assembly:ASM411807v1:3:40664509:40671605:1 gene:Vigun03g244100.v1.2 transcript:Vigun03g244100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRKLCESASLKRPGSNPNPNPNPSSERVPSPLGAFDTLPADILMQIVRLLGPKHAARLSLLSKSWRSIVSDNDLWVHFLHTHHPHSALFAETALSSGYPLPTLSAPSSPRGSLQHVYALRERLPPSVVIDGGSGYCKFGWSKGSSPSGRFATFLEFGNVETPMYTRLRHFFGTIYNRMQVKPSSQPAVVSIPICHYDDTESAKASRQQLKEAIYAALFDMNVPAVCALNQGTLALYAAKQTSGIAVNIGFQVTSIVPILNGKVMRKVGVEVVGLGALKLTGFLRKQMQENNISFESLYTVRTLKEKLCYVAADYEAELLKDTKASFEAVEGLFTLSKERFQTGEILFQPRLAGVRAMGLHQAIALCMDHCYSAELAVNNDWYKTVVLSGGTACLPGLAERLEKELHSALPPYISNGIKVIPPPFGVDTAWFGGTIIGSLSSFPGPWCTTKKQFRQKSKLNRIW >Vigun11g023440.1.v1.2 pep primary_assembly:ASM411807v1:11:2917266:2920820:-1 gene:Vigun11g023440.v1.2 transcript:Vigun11g023440.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISDIKLIRTDTTLDLSQKADKLLQMTLSLKKINLISCEPMVHISDIKLIRTDTTLDLSQKAEKGMLCFGYVFCFYIPSSSFLSDS >Vigun07g195300.2.v1.2 pep primary_assembly:ASM411807v1:7:31475418:31477389:1 gene:Vigun07g195300.v1.2 transcript:Vigun07g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIRLARLGCRNHPFYRVVVTDSKTPRDGKQLEVVGFYNPISGKDDEEKMRLKLERVKYWLSVGAKPTEPVEYLLYRAGLGREGGPFEKFSFAALNQEQPTNVDDSKDNGTSPEAIFSIGLQV >Vigun07g195300.1.v1.2 pep primary_assembly:ASM411807v1:7:31475418:31477389:1 gene:Vigun07g195300.v1.2 transcript:Vigun07g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIRLARLGCRNHPFYRVVVTDSKTPRDGKQLEVVGFYNPISGKDDEEKMRLKLERVKYWLSVGAKPTEPVEYLLYRAGLGREGGPFEKFSFAALNQEQPTNVDDSKDNGTSPEAIFSIGLQV >Vigun01g124200.1.v1.2 pep primary_assembly:ASM411807v1:1:30107017:30107917:-1 gene:Vigun01g124200.v1.2 transcript:Vigun01g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKKAGESVKETASNIGASAKAGMEKTKATLQEKAERMTARDPVQKELATQKKESKINQAELDKQAARQHNAAASEYNSAAKQASTYSTTGEHGHPTGFHQTSAMPGHGTGQPTGHVTEGVVGSHPVGTNRGPGGTATAHNTRAGGNPNDYGYGTGGTYS >Vigun08g133300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30460913:30461989:-1 gene:Vigun08g133300.v1.2 transcript:Vigun08g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSYTTLQRPSNIVVQRHHRKDKNMDHKLARDFSNLSNEAYHVESSSVPFVWESQPGTPKVRFKENSLPPLTPPPSYFQNTTPKVKNKNPPKSTFLQTLFPKRRKDGAPSQTGSQNIWSYSSSSSSSSSPSSSSSSSSMSFSSPRPTSYSVPSSPMIHPRKSVEDEDMYEVTSSSLCFGNARSRGCYSSMFKKVLLGDFL >Vigun06g092500.1.v1.2 pep primary_assembly:ASM411807v1:6:22480810:22483303:-1 gene:Vigun06g092500.v1.2 transcript:Vigun06g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEEGTRRVQHLAQSGLSRVPPEYIQPLHTRPLFHTRTDPRNIPLIDLSFFDPTRRASTRESIASACRDWGAFHVTNHGVPLSLLDAIRRAGRAFFTECPMPEKLRYACSTAASEGYGSKMLATSDQQQDDAVAHILDWRDYFDHHTLPLSRRNPDRWPEFPPDYRDTVARYSDEMKILAQKLLALISESLGLRASCIEDAVGEFYQNITISFYPPCPEPDLTLGLQSHSDIGAISLLIQDDVEGLQVLKSGDDGGDKWVTVEPLSDAVLVLLADQTEIITNGRYRSCEHRAITNPDRARLSVATFHDPAKTVKISPVSELIDESSPAKYRDVVYGDYVFSWYTKGPEGKRNIDAVVLDS >Vigun06g109900.1.v1.2 pep primary_assembly:ASM411807v1:6:23895427:23899175:-1 gene:Vigun06g109900.v1.2 transcript:Vigun06g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 7 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os09g0515200)] MEGVAESQRTLYPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSVERIKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNALVLGGVKNGQKYLGMVNMIGINFEDNHVATGLGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKIQISKITDEGATVYPPFSLKTYWEFSAFKNPTAGAEGSW >Vigun01g036800.1.v1.2 pep primary_assembly:ASM411807v1:1:4839553:4843511:1 gene:Vigun01g036800.v1.2 transcript:Vigun01g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRKMRYQPFSLLTEYNILFIRCLMVLFLSCLTIRLNFCSSSIPSSLKALPLMGHFSFEEADLKHVARDFGNRYQSHPMAVLHPKSVSDIADTIKHIWNLGPGSHLTVAARGHGHSLQGQAQSHGGVVINMESLTVPEMQVYAGESPYVDVSGGELWINILHETLRYGLTPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVQKLEIVTGTGEVVNCSEEQNGDLFHSVLGGLGQFGIITRARIFLEPAPTMVKWIRVLYADFTAFTRDQEKLIFAEKAFDYVEGFVIINRTGLLNNWRSSFNPQDPVQASKFKSDGRTLFCLELAKYYNLEETLVVNQEVEKHLSHLNHIPSTLFQTEVTYVDFLDRVHISEVKLRSKGLWDVPHPWLNLFIPKTKIHHFAEVVFGNIVTETSNGPVLIYPVNKSKWDNRTSVVIPDEDIFYLVAFLASAVPSSNGSDGLEHILSQNKKILEYCERAHLGVKQYLPHYTTQEAWRVHFGSQWQIFQQRKSVYDPLAILAPGQQIFQKSIAFS >Vigun01g012250.1.v1.2 pep primary_assembly:ASM411807v1:1:1345910:1353320:-1 gene:Vigun01g012250.v1.2 transcript:Vigun01g012250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGEHDKEDEETTTIDNMLDSEEKLHNGGIDGRNIVDTGIEVHALNGGDLNSPTVDIVMFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQDSENSTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKTVVGLKNEKNPFDKGRNLGLESGEAKLMLDFFIQMQNMNSNFFYAVDLGEDQRLKNLLWIDAKSRHDYINFCDVVSFDTTYVRNKYKMPLALFVGVNQHYQFTLLGCALISDESAATFSWLFRTWLKGVGGQVPKVIITDHDKTLKSVISDIFPNSSHCVCLWHVLGKVSENLAPVIKKHDNFMAKFEKCIYRSLTSDDFEKRWWKIVDKCELREDECMQSLYEDRKLWAPTFMKDVFLGGMSTVQRSESVNSFFDKYVHKKTSVQDFVKQYESILQDRYEEEAKADSDTWNKLATLKTPSPLEKSVAGTFTHAVFKKIQAEVVGAVACHPKADRQDDTTTVHRVHDMETSKDFFVVVNQVKFELSCICRLFEYRGYLCRHALIVLQYSGHSVFPSQYILKRWTKDAKLRNIIGEESEHMLTRVQRYNDLCQRALKLSEEGSLSQESYSIAFHALHEAHKSCVNVNNSSKSPTEAGTSGAHGQLSTEEDTQSRNIGKPNKKKNPTKKKKVNSEAEVMTVGALDNLQQMEKFSTRAAVTLEGYYGTQQSVQGMLNLMGPTRDDYYGNQQTLQGLGPISSIPTSHDGYYGAHQGISGLAQLDFLRTGFTYSIRDDPNVRATQLHDDPSRHA >Vigun07g192950.1.v1.2 pep primary_assembly:ASM411807v1:7:31196549:31201235:-1 gene:Vigun07g192950.v1.2 transcript:Vigun07g192950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMVNQRVEEHVIIEEGQRKKMKRKKEVLIPRPACSWVYFSKEFIKEYIASHPESPGLKAATKAASNAWKTMSLEEKEKYARHARKVWDGYLSSGAAAPEPKPRKQTKLVTRCFPGRLFNVLQRLTPEQKESVKSMGFGSLLGLRCRTLRRSLCLWLLERFDATNCSLEISSAHLPLTPKDVETVLGLSASGKEVVNSGPEDLISDLRSSYNAINHGISVRLLEDRLATAEAGDDFKRSFVLYVLGTLLSPTARLDVSPSFLHILTNTDLVHQYNWSKFVLDRLVREVSRFHKGKQRAVGGCLLFLQLFYYESITLEGSSAPVSTTVPYLFSWGEEEISEREKREKELGGYGSGELASKKKRHYMVFPECKDHSDGPPIITENTGIGHGPLRTVLNEEAILDFPRSRTMLSGDVDVIVESVKAPCRNREYGCNEKLWIA >Vigun07g282000.1.v1.2 pep primary_assembly:ASM411807v1:7:39641104:39643606:-1 gene:Vigun07g282000.v1.2 transcript:Vigun07g282000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLAKAAYDAKMLKLLREYSQVLVVSSDNVGSNQLQGIRRGLHADSVVVMGKNSLMKRSIILDAQKTGNKAFLNLVPLLVGNVALIFTKGDIREVSEQIAKYKVVQPILMCPKYMSHDTYQNCSYMQSGQLPLGLTCCNQQQSSQVSEPFLVCSKFQPHQHCFMMRSRQFLMTSIWSPLLLLAGNL >Vigun07g282000.2.v1.2 pep primary_assembly:ASM411807v1:7:39641104:39643630:-1 gene:Vigun07g282000.v1.2 transcript:Vigun07g282000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLAKAAYDAKMLKLLREYSQVLVVSSDNVGSNQLQGIRRGLHADSVVVMGKNSLMKRSIILDAQKTGNKAFLNLVPLLVGNVALIFTKGDIREVSEQIAKYKVVQPILMCPKYMSHDTYQNCSYMQSGQLPLGLTCCNQQQSSQVSEPFLVCSKFQPHQHCFMMRSRQFLMTSIWSPLLLLAGNL >Vigun06g223500.1.v1.2 pep primary_assembly:ASM411807v1:6:33214954:33221241:1 gene:Vigun06g223500.v1.2 transcript:Vigun06g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFPILIPFALESPSCVTVPHMAFSKPPKHHRPLVRGGVTVRPLHRRLNSATLFRCSSAADDAVITLHVGGMMCEGCANSVKKLLESRPQVLSARVNLASEIAIVSPAPEEKTAPNYLKQLGEELAEHLTTCGFTSTLQGKLACLSLKLDKIVPRFEYTLDGSSKVSTLLHCSKYKEDMHQRFPSLIVVASNILMFAMPNTALAETCEADNSFFNMPILLAVALIGATVGGLLARQRRNELQRVNEQLIQINAALRKQAKIESYAPSLSYAPIGGGRIPDNEIIVDPKKQELISKLKNGKNFLRNQQLDKAFIEFKNALELAQNLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISEREGEDSGNTEAFGAIADCYTELGELEKAGHFYDKYIARLEKD >Vigun06g223500.3.v1.2 pep primary_assembly:ASM411807v1:6:33214954:33222475:1 gene:Vigun06g223500.v1.2 transcript:Vigun06g223500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFPILIPFALESPSCVTVPHMAFSKPPKHHRPLVRGGVTVRPLHRRLNSATLFRCSSAADDAVITLHVGGMMCEGCANSVKKLLESRPQVLSARVNLASEIAIVSPAPEEKTAPNYLKQLGEELAEHLTTCGFTSTLQGKLACLSLKLDKIVPRFEYTLDGSSKVSTLLHCSKYKEDMHQRFPSLIVVASNILMFAMPNTALAETCEADNSFFNMPILLAVALIGATVGGLLARQRRNELQRVNEQLIQINAALRKQAKIESYAPSLSYAPIGGGRIPDNEIIVDPKKQELISKLKNGKNFLRNQQLDKAFIEFKNALELAQNLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISEREGEDSGNTEAFGAIADCYTELGELEKAGHFYDKYIARLEKD >Vigun06g223500.2.v1.2 pep primary_assembly:ASM411807v1:6:33214954:33222475:1 gene:Vigun06g223500.v1.2 transcript:Vigun06g223500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFPILIPFALESPSCVTVPHMAFSKPPKHHRPLVRGGVTVRPLHRRLNSATLFRCSSAADDAVITLHVGGMMCEGCANSVKKLLESRPQVLSARVNLASEIAIVSPAPEEKTAPNYLKQLGEELAEHLTTCGFTSTLQGKLACLSLKLDKIVPRFEYTLDGSSKVSTLLHCSKYKEDMHQRFPSLIVVASNILMFAMPNTALAETCEADNSFFNMPILLAVALIGATVGGLLARQRRNELQRVNEQLIQINAALRKQAKIESYAPSLSYAPIGGGRIPDNEIIVDPKKQELISKLKNGKNFLRNQQLDKAFIEFKNALELAQNLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISEREGEDSGNTEAFGAIADCYTELGELEKAGHFYDKYIARLEKD >Vigun06g223500.4.v1.2 pep primary_assembly:ASM411807v1:6:33214954:33222475:1 gene:Vigun06g223500.v1.2 transcript:Vigun06g223500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFPILIPFALESPSCVTVPHMAFSKPPKHHRPLVRGGVTVRPLHRRLNSATLFRCSSAADDAVITLHVGGMMCEGCANSVKKLLESRPQVLSARVNLASEIAIVSPAPEEKTAPNYLKQLGEELAEHLTTCGFTSTLQGKLACLSLKLDKIVPRFEYTLDGSSKVSTLLHCSKYKEDMHQRFPSLIVVASNILMFAMPNTALAETCEADNSFFNMPILLAVALIGATVGGASLQRQGKYRDAIKYHSMVLAISEREGEDSGNTEAFGAIADCYTELGELEKAGHFYDKYIARLEKD >Vigun06g125200.1.v1.2 pep primary_assembly:ASM411807v1:6:25215930:25221594:-1 gene:Vigun06g125200.v1.2 transcript:Vigun06g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNHTLNLNDDIRERSKIIKQHEDNLKFLNSQSNRLAESIFDLQVSLAKYHSNNVITSDSGNGSFHTEEETMEQILKKENSAAGVFSWLKGNAQTSSLTLTKDVVGVVATLGKVESDDLSRILSEFLGLETMLAIVCSSYEGINALEKYDNEGLINCNAGLHGIGSSIGKRINGRFSVISLADLRPFVGGLVADDPQKKLDLPKPRLPNGECPSGFVDYAVNMIHLDSKHLSFVTEIGYGLRETLFYGLFSRLQIYKTRNEMLHALPCIHEGALSLDGGIIKRSGMFALGSRKDVEVKFPLIYGGSGVPPDYIETEEAVRKLNWETSKLSADKHREQQLLDYRKGNLQ >Vigun06g125200.2.v1.2 pep primary_assembly:ASM411807v1:6:25215930:25221291:-1 gene:Vigun06g125200.v1.2 transcript:Vigun06g125200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILKKENSAAGVFSWLKGNAQTSSLTLTKDVVGVVATLGKVESDDLSRILSEFLGLETMLAIVCSSYEGINALEKYDNEGLINCNAGLHGIGSSIGKRINGRFSVISLADLRPFVGGLVADDPQKKLDLPKPRLPNGECPSGFVDYAVNMIHLDSKHLSFVTEIGYGLRETLFYGLFSRLQIYKTRNEMLHALPCIHEGALSLDGGIIKRSGMFALGSRKDVEVKFPLIYGGSGVPPDYIETEEAVRKLNWETSKLSADKHREQQLLDYRKGNLQ >Vigun03g206000.1.v1.2 pep primary_assembly:ASM411807v1:3:33371264:33373689:-1 gene:Vigun03g206000.v1.2 transcript:Vigun03g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWANPRPLLHLSLLLLPFFSSSTQSLRFELQSGHTKCISEDIKSNSMTVGKYLIVNPNEGQPLPDSHRITVRVTSSYGNNYHYGDRVQTGQFAFAAAEAGDYMSCFWAIDHNPPITLTVDFDWKTGVAAKDWSNVAKKGQIDVMELELRKLQDSVASIHDEMFYLREREEEMQELNRATNNRMFWLSLLSLFVCLAVAGLQLWHLKTFFEKKKLI >VigunL060037.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:12925:13479:1 gene:VigunL060037.v1.2 transcript:VigunL060037.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun03g265600.5.v1.2 pep primary_assembly:ASM411807v1:3:43544736:43546546:-1 gene:Vigun03g265600.v1.2 transcript:Vigun03g265600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSEGEEETKLSGGNQLLTVDDDLTEMGKKAAWSVSSCKPGNGVSSLRDDNLETYWQSDGAQPHLVNVQFQKKVRLQLIVLYVDFKLDESYTPSKVSIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGVDPRDTFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSIR >Vigun03g265600.4.v1.2 pep primary_assembly:ASM411807v1:3:43539923:43546675:-1 gene:Vigun03g265600.v1.2 transcript:Vigun03g265600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSEGEEETKLSGGNQLLTVDDDLTEMGKKAAWSVSSCKPGNGVSSLRDDNLETYWQSDGAQPHLVNVQFQKKVRLQLIVLYVDFKLDESYTPSKVSIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGVDPRDTFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSIR >Vigun03g265600.6.v1.2 pep primary_assembly:ASM411807v1:3:43544736:43546546:-1 gene:Vigun03g265600.v1.2 transcript:Vigun03g265600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSEGEEETKLSGGNQLLTVDDDLTEMGKKAAWSVSSCKPGNGVSSLRDDNLETYWQSDGAQPHLVNVQFQKKVRLQLIVLYVDFKLDESYTPSKVSIRAGDGFHNLKTVELVKPTGWVYLSLSGVDPRDTFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSIR >Vigun03g265600.3.v1.2 pep primary_assembly:ASM411807v1:3:43542341:43546675:-1 gene:Vigun03g265600.v1.2 transcript:Vigun03g265600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSEGEEETKLSGGNQLLTVDDDLTEMGKKAAWSVSSCKPGNGVSSLRDDNLETYWQSDGAQPHLVNVQFQKKVRLQLIVLYVDFKLDESYTPSKVSIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGVDPRDTFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSIR >Vigun04g173200.2.v1.2 pep primary_assembly:ASM411807v1:4:39718788:39724536:-1 gene:Vigun04g173200.v1.2 transcript:Vigun04g173200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLNFHKEADQVLFTGNSCVKTVTLNRTQKLNTLSFDMICQIKRYLQMYEKDPSVKLVILKANGKAFCAGGDIVSVTVCSLAAGHWTTSLFFYKKLLTLEYLIATCPKPTVSLINGIVMGAGAGLSMNTNFRVVTEKAIFAMPETFIGHVPDVGASYFLSRLPGYFGEYIGLTGAKLDGAEMVACGLATHFVHSLKLNTLESVLQSITSDVTMATLIETFTDKAVLKEDSSFKRLEVINKCFSKGTVEEIIICLEKELENGGEKWIKDALSSMSSSCPLSLKIFLKSIRLGRVQNFKQCLHRDYTIGAHILRRTVSNDFYEGSRARLFSKDNKPKWEPSKLELVSDEMVDQCLRNVDDEDLECLELSDHRSNSQIQSRL >Vigun04g173200.1.v1.2 pep primary_assembly:ASM411807v1:4:39718788:39724536:-1 gene:Vigun04g173200.v1.2 transcript:Vigun04g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLNFHKEADQVLFTGNSCVKTVTLNRTQKLNTLSFDMICQIKRYLQMYEKDPSVKLVILKANGKAFCAGGDIVSVTVCSLAGHWTTSLFFYKKLLTLEYLIATCPKPTVSLINGIVMGAGAGLSMNTNFRVVTEKAIFAMPETFIGHVPDVGASYFLSRLPGYFGEYIGLTGAKLDGAEMVACGLATHFVHSLKLNTLESVLQSITSDVTMATLIETFTDKAVLKEDSSFKRLEVINKCFSKGTVEEIIICLEKELENGGEKWIKDALSSMSSSCPLSLKIFLKSIRLGRVQNFKQCLHRDYTIGAHILRRTVSNDFYEGSRARLFSKDNKPKWEPSKLELVSDEMVDQCLRNVDDEDLECLELSDHRSNSQIQSRL >Vigun06g063100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19176323:19178205:1 gene:Vigun06g063100.v1.2 transcript:Vigun06g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGTHNAGKGSEMSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKTVAARDKDAPPASNATNSAYESGSYGAGGIMMHQGHVYASGGFHQVASSGAAIKGGPAYPGPGSNANRPR >Vigun03g214200.1.v1.2 pep primary_assembly:ASM411807v1:3:35600600:35603149:-1 gene:Vigun03g214200.v1.2 transcript:Vigun03g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDYYKILKVNRNASDEELKRAYKRLAMKWHPDKNHQHHPVTKEEAEAKFKQVSEAYDVLSDPKKRQIYDFYGHYPLNSMKMKEEKGDGGVRVPKNEKVGVVERKLVCTLEELYKGCRKKLKISRTVHHEFGKLETVEEILKIDIKPGWKKGTKITFPGKGNQEAEASAADDLIFVVDEKPHALFKRDGNDLVVTQKILLVEALVGKTLNLTTLDGRELTIQVTDVKPKFVLVVPNEGMPISKELGKKGNLRIKFDVMFPSRLTTQQKYELKRILSNTDD >Vigun03g445700.3.v1.2 pep primary_assembly:ASM411807v1:3:64739773:64742887:1 gene:Vigun03g445700.v1.2 transcript:Vigun03g445700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLEWPKKDNRRMLHVVYRVGDLDRTIKFYTECFGMKLLRHRDIPDEKYANAFLGFGPEESHFVVELTYNYGVTSYDIGDGFGHFAIATQDIYKSIELIRAKGGNITREPGPVQGGTTVIAFVKDPDGYTFGFIQRPTVHDPFCQVMLRVGDLERSTKFYEKALGMKVLRKVDKPESKYTAVMLGYGEEHETTVLELTNNYGVTEYSKGNAYAQIAIGTDDVYKSAEVVSQVIQEVGGKITRQPGPIPGLNTKITSFLDPDGWKTVLVDNVDFLEELK >Vigun03g445700.1.v1.2 pep primary_assembly:ASM411807v1:3:64739685:64742900:1 gene:Vigun03g445700.v1.2 transcript:Vigun03g445700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLEWPKKDNRRMLHVVYRVGDLDRTIKFYTECFGMKLLRHRDIPDEKYANAFLGFGPEESHFVVELTYNYGVTSYDIGDGFGHFAIATQDIYKSIELIRAKGGNITREPGPVQGGTTVIAFVKDPDGYTFGFIQRPTVHDPFCQVMLRVGDLERSTKFYEKALGMKVLRKVDKPESKYTAVMLGYGEEHETTVLELTNNYGVTEYSKGNAYAQIAIGTDDVYKSAEVVSQVIQEVGGKITRQPGPIPGLNTKITSFLDPDGWKTVLVDNVDFLEELK >Vigun03g445700.2.v1.2 pep primary_assembly:ASM411807v1:3:64739646:64742942:1 gene:Vigun03g445700.v1.2 transcript:Vigun03g445700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLEWPKKDNRRMLHVVYRVGDLDRTIKFYTECFGMKLLRHRDIPDEKYANAFLGFGPEESHFVVELTYNYGVTSYDIGDGFGHFAIATQDIYKSIELIRAKGGNITREPGPVQGGTTVIAFVKDPDGYTFGFIQRPTVHDPFCQVMLRVGDLERSTKFYEKALGMKVLRKVDKPESKYTAVMLGYGEEHETTVLELTNNYGVTEYSKGNAYAQIAIGTDDVYKSAEVVSQVIQEVGGKITRQPGPIPGLNTKITSFLDPDGWKTVLVDNVDFLEELK >Vigun04g047100.1.v1.2 pep primary_assembly:ASM411807v1:4:4074445:4076224:-1 gene:Vigun04g047100.v1.2 transcript:Vigun04g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTHKRGEDMGVGGVMSCKRLLLGALLSLGVIWFMFLAISVNRQTKRTVIAPMNVVSKHLKLVSMQRHVLHSSNSRLFIVSKRRVPNGPDPIHNRRAVKSREPPTQA >Vigun03g263200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43227702:43229403:-1 gene:Vigun03g263200.v1.2 transcript:Vigun03g263200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHCDFTQKLLPFNTALTKFPLQRTQPFFLQPHRMADPSRAANTPAPHPIQPKPHALTDDNNPNPPKEIPPPPEKPEPGDCCGSGCVRCVWDIYYEELEQYNNLYKQNDPSPKPSS >Vigun03g350100.1.v1.2 pep primary_assembly:ASM411807v1:3:55072343:55075524:-1 gene:Vigun03g350100.v1.2 transcript:Vigun03g350100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFFQLSVAIFIAFVIAFRAHRKKSLSNSGAIAGFFVMALHIFVGYRFGAVLLAFFFSSSTLTKFGEDKKRTLDPEFKQGGQRNWIQVLANSGIASVLVIAIWVLTEGQDKCLNSKDSPLITALIGAVIGHYSCCNGDTWSSELGILSEDKPRLITTFKHVKKGTNGGVTKTGILAAAAAGSVIGLSFVLLGFSTTRCESSAAFKQLLVLPIATLAGLCGSLIDSLLGATLQFSGFCSVRRKVVGKPGPTVKKISGSNVLDNNAVNFVSILLTSFFTAIACWYIF >Vigun10g098850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28980017:28980343:-1 gene:Vigun10g098850.v1.2 transcript:Vigun10g098850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSNLDLLQLMSMPAYASLYPLFIFNNSHFSFKLTCFSFLCKLKNIYPQGNDILNSPTAHASTLFQTFLRGNPFNIVPYDNFHLSFILHSHKIIGLFSIVISIVSTT >Vigun07g142500.2.v1.2 pep primary_assembly:ASM411807v1:7:25291715:25300467:-1 gene:Vigun07g142500.v1.2 transcript:Vigun07g142500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILAGDLKMFSTFNEDLYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLIFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPLAPTPVNLPIAAVAKPAAYTSLGAHGPFPPAAATANANALAGWMANASASSSVQAAVVTASTMPVPQSQVSILKRPRTPPATSAMVDYQNADHEPLMKRLRPGHSVEEVSYPLGRQASWSLDDLPRTVTMTLHQGSSVKSMDFHPSHHTLLLVGSNNGEITLWELSLREKLVSKPFKIWDVSACTLPFQAAAVKDAPFSVSRVTWSPDGSFVGIAFTKHLIHLYAYTGSNELTQRIEVDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLNGRKLFNFDGHEAPVYSICPHHKESIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGTRLFSCGTSEDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQVKFWDMDNVNLVTSTDANGGLQSLPRLRFNKEGNILAVTTVDNGFKILANASGLRSLRTIETPGFEALRSPLESTAIKNGVDPMGRSVEKPRTVEDVIDRPNKPWQLSEILDPVQCRSVTMPESTDSSSKVVRLLYTNSGVGILALGSNGTQKLWKWARNEQNPTGKATANVVPQHWQPNSGLLMTNDISGVNLEEAVPCIALSKNDSYVLSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSIPIQLPAGKSPVGDTRVQFHSDQLRLLVVHETQLAIYDASKMERIRQWVPQDVLHAPISYAAYSCNSQLIYATFCDANIGVFDADSLRLRCRIAPSICLSPAALSGNPSLYPLVVAAHPLEPNQFAVGLTDGSVKVIEPSESEGKWGSSPPMDNGILNGRPASSSTTSNHTADQAQR >Vigun07g142500.1.v1.2 pep primary_assembly:ASM411807v1:7:25291715:25300467:-1 gene:Vigun07g142500.v1.2 transcript:Vigun07g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILAGDLKMFSTFNEDLYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLIFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPLAPTPVNLPIAAVAKPAAYTSLGAHGPFPPAAATANANALAGWMANASASSSVQAAVVTASTMPVPQSQVSILKRPRTPPATSAMVDYQNADHEPLMKRLRPGHSVEEVSYPLGRQASWSLDDLPRTVTMTLHQGSSVKSMDFHPSHHTLLLVGSNNGEITLWELSLREKLVSKPFKIWDVSACTLPFQAAAVKDAPFSVSRVTWSPDGSFVGIAFTKHLIHLYAYTGSNELTQRIEVDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLNGRKLFNFDGHEAPVYSICPHHKESIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGTRLFSCGTSEDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQVKFWDMDNVNLVTSTDANGGLQSLPRLRFNKEGNILAVTTVDNGFKILANASGLRSLRTIETPGFEALRSPLESTAIKVSGTSTVNVSPVNCKVERSSPVRPSPILNGVDPMGRSVEKPRTVEDVIDRPNKPWQLSEILDPVQCRSVTMPESTDSSSKVVRLLYTNSGVGILALGSNGTQKLWKWARNEQNPTGKATANVVPQHWQPNSGLLMTNDISGVNLEEAVPCIALSKNDSYVLSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSIPIQLPAGKSPVGDTRVQFHSDQLRLLVVHETQLAIYDASKMERIRQWVPQDVLHAPISYAAYSCNSQLIYATFCDANIGVFDADSLRLRCRIAPSICLSPAALSGNPSLYPLVVAAHPLEPNQFAVGLTDGSVKVIEPSESEGKWGSSPPMDNGILNGRPASSSTTSNHTADQAQR >Vigun03g064600.1.v1.2 pep primary_assembly:ASM411807v1:3:5336870:5340728:-1 gene:Vigun03g064600.v1.2 transcript:Vigun03g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRGLEGRHRFPASIVDLYKRRLTKDNAKNVEKEKPIQLSIRDRNSRCNFSFLKTSLLFITICITCVMLLYSTKLYNGNHLWGGSDPRYTSDVDINWDDMLQITEKLTGKKEFQGVGLLNFNKTELEHWKQLIPNVTHVVLNLEYAAENVTWESLYPEWIDEEEGTQVPICPSLPSLRSPGIRLNLIALKLPCVNGGNWSRDVARLHLQLAAARLATSFKSNYPVYVLFVSKFFPIPNLFTCKELARHEGNLWLYRPNLTVLRQRLLLPVGSCELSLPLRDKEVIYAGNARTEAYVTILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETLGEYHRSGLEAAGWKIRRIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLVILRNIDFLFGMPEITATGNDGTVFNSGVMVVEPSNCTFKLLMDHIDEFESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEKKQMKTLLFGAEPPVLYVLHYLGMKPWLCFRDYDCNWNADIFHEFASDVAHERWWKVHDEMPKLLQQFCMLKSKQKAQLEWDRRQAQIANFSDGHWRIRVKDKRLKKCIDKLCSWKNMLKHWGETNWTGDDSCYTPTPPAIATTSLSDL >Vigun03g064600.2.v1.2 pep primary_assembly:ASM411807v1:3:5336869:5340729:-1 gene:Vigun03g064600.v1.2 transcript:Vigun03g064600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSTKLYNGNHLWGGSDPRYTSDVDINWDDMLQITEKLTGKKEFQGVGLLNFNKTELEHWKQLIPNVTHVVLNLEYAAENVTWESLYPEWIDEEEGTQVPICPSLPSLRSPGIRLNLIALKLPCVNGGNWSRDVARLHLQLAAARLATSFKSNYPVYVLFVSKFFPIPNLFTCKELARHEGNLWLYRPNLTVLRQRLLLPVGSCELSLPLRDKEVIYAGNARTEAYVTILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETLGEYHRSGLEAAGWKIRRIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLVILRNIDFLFGMPEITATGNDGTVFNSGVMVVEPSNCTFKLLMDHIDEFESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEKKQMKTLLFGAEPPVLYVLHYLGMKPWLCFRDYDCNWNADIFHEFASDVAHERWWKVHDEMPKLLQQFCMLKSKQKAQLEWDRRQAQIANFSDGHWRIRVKDKRLKKCIDKLCSWKNMLKHWGETNWTGDDSCYTPTPPAIATTSLSDL >Vigun06g170800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29234368:29235013:-1 gene:Vigun06g170800.v1.2 transcript:Vigun06g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLFLSELEYFVACFVVFILSITVFAIPYLRCGDNDNEAEESRDIESQTAQTRTTRTERVVVAGARAGIIPRHFIPNSLIAGFALRALPPVTIFQKDETSPSQNLCSICIEHFSDGNLVQPFGVCVHRFHPFCIHSWLLQGKINCPLCRKQLSINLHH >Vigun03g363400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56711653:56711850:1 gene:Vigun03g363400.v1.2 transcript:Vigun03g363400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKPTKIEVKIEDKEELEEARRRNASVTTVTTTAPAGTTSLLRQLDRGGEDPASKAHRIGLSS >Vigun08g059100.2.v1.2 pep primary_assembly:ASM411807v1:8:7613380:7620033:1 gene:Vigun08g059100.v1.2 transcript:Vigun08g059100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENAVIGVRDSVRVTRAMARALREVSASSRPCFKKQRKLEKSSRAALADVTNEYRKPQLNKCAVSRFKTRGGYKNKKRNTKGASNVSMQVLSTQDVRAKSAKDLSTMSMVQSHEPVVAERLEDRELVPPSMSVMAGPQLSMQDSMLSHELLSSPNKDIDMISEKLKHSDGLGIVDIDSVKLKDPQVWSSYAPDIYNNIRVREFERRLIDYMGELQKDVTPSMRAILIDWLVEVSEEYKLVPDTLYLTVNLIDRFLSQSLIQKQGLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYSKAEVLKMESEVLNLLHFQLSVPTAKTFLRRFILAAQYSCKLLAVSTIHDSCVCCFTSQMDPQPVRTSMEFNHGTLYELQSVRT >Vigun08g059100.1.v1.2 pep primary_assembly:ASM411807v1:8:7613380:7620033:1 gene:Vigun08g059100.v1.2 transcript:Vigun08g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENAVIGVRDSVRVTRAMARALREVSASSRPCFKKQRKLEKSSRAALADVTNEYRKPQLNKCAVSRFKTRGGYKNKKRNTKGASNVSMQVLSTQDVRAKSAKDLSTMSMVQSHEPVVAERLEDRELVPPSMSVMAGPQLSMQDSMLSHELLSSPNKDIDMISEKLKHSDGLGIVDIDSVKLKDPQVWSSYAPDIYNNIRVREFERRLIDYMGELQKDVTPSMRAILIDWLVEVSEEYKLVPDTLYLTVNLIDRFLSQSLIQKQGLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYSKAEVLKMESEVLNLLHFQLSVPTAKTFLRRFILAAQYSCKVSYVEVEFLANYLAELTLVEYSFSQFRPSMIAASAVLLARWTLNQSEHPWNSTMEHYTNYKVSELKTIVLALADLQLNTKGCPLNAIREKYKQQKFMSVANLSPKPVQSLFEAEV >Vigun07g015200.1.v1.2 pep primary_assembly:ASM411807v1:7:1413405:1416544:1 gene:Vigun07g015200.v1.2 transcript:Vigun07g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLISSSFSRFRSDQASPDRHHVIEMSSAGDGNAGGKVNLDKFFEDVEGVKEELKELEGLAQSLRSSHEQSKTLHNANAVRDLRSRMDGDVSVALKKAKLIKLKLEALDRSNAANRNLPGCGPGSSSDRTRTSVVNGLKKKLRDSMENFNDIRQLVSSEYRETVQRRYFTVTGENPDDNTLDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKELEKSLKELHQVFLDMTVLVQHQGEQLDDIESHVARAQSFVHTGTENLQTARKHQKNTRKWTCYCIILLLVIILFVVLFTVRPWEKSSSGGNGGNQSTPTTPSPPPPA >Vigun08g008900.1.v1.2 pep primary_assembly:ASM411807v1:8:795731:803403:-1 gene:Vigun08g008900.v1.2 transcript:Vigun08g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNPEDGLKKLEYLSLVSKVCTELESHTGTGDKVLAEFITELGRSSENVEEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKPKDLKKEKESANGKTKFKALAIADDRDRAKELRKEIESEAREKQSKQIEEDDGYRDRDRRDRRRDRYDEDDRRDHRRRGRDRDDEDDRRDYSRRGRDRDDEDDRRDYSRRGRDRNDKDRDRDGDRHERRRRDDYEENGRGRENGDRDGNRKGTLHVSGEPELYAVYKGRVSRVMETGCFVQLEDIRGKEGLVHASQIATRRITNAKDIIKRDKEVYVKVISVSGQKLSLSMRDVDQHTGKDLLPLKKSSEDDALRMNPQGSRDGPVTRTGLSGIRIVEEDDVGSSRRPLKRMSSPEKWEAKQLIASGVMSVSEYPTYDEEGDGLLYQEEGAEEELEIELNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDDNLSQYSVIMLDEAHERTIHTDVLFGLLKQLVRRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGMTTLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKVRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Vigun08g044900.2.v1.2 pep primary_assembly:ASM411807v1:8:4710665:4721226:1 gene:Vigun08g044900.v1.2 transcript:Vigun08g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFKALLPQTRTSLRTLYSYRRAFSAQPNYAEHDDDDSQEQILVEGRAKSRAAILNRPSSLNSLNASMVGRLKRLYDSWEENSDIGFVLMKGSGRAFCSGADVLRLYHTLNEGNADEAEQFFKTLYSFVYLQGTYLKPHVAILDGITMGCGSGISLPGMFRVVTDKTFFSHPEAQIGFHPDAGASYILSRLPGYLGEYLALTGDKLNGVEMIACGLATHYSLNARLALLEEHLGKLITDEPSVVEASLAQYGDIVYPDKSSVLHRIDTIDRCFSHDTVEEIIEALEKEAVDSYDNWCSTTLRRIREASPLSLKVILRSIREGRFETLDKCLVREYRMSLRGISKNVSSDFFEGVRARMVDKDFAPKWDPPSLKEISEDMVDYYFSPLESELVLPTALREPYM >Vigun08g044900.1.v1.2 pep primary_assembly:ASM411807v1:8:4710665:4721237:1 gene:Vigun08g044900.v1.2 transcript:Vigun08g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFKALLPQTRTSLRTLYSYRRAFSAQPNYAEHDDDDSQEQILVEGRAKSRAAILNRPSSLNSLNASMVGRLKRLYDSWEENSDIGFVLMKGSGRAFCSGADVLRLYHTLNEGNADEAEQFFKTLYSFVYLQGTYLKPHVAILDGITMGCGSGISLPGMFRVVTDKTFFSHPEAQIGFHPDAGASYILSRLPGYLGEYLALTGDKLNGVEMIACGLATHYSLNARLALLEEHLGKLITDEPSVVEASLAQYGDIVYPDKSSVLHRIDTIDRCFSHDTVEEIIEALEKEAVDSYDNWCSTTLRRIREASPLSLKVILRSIREGRFETLDKCLVREYRMSLRGISKNVSSDFFEGVRARMVDKDFAPKWDPPSLKEISEDMVDYYFSPLESELVLPTALREPYM >Vigun10g060300.2.v1.2 pep primary_assembly:ASM411807v1:10:12259891:12279776:1 gene:Vigun10g060300.v1.2 transcript:Vigun10g060300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFYYFIKSENDPTKDPLILWLTGGPGCSSLCSLVFQIGPLRFKTEKSDGSVPNLILMPHSWTKVCSIIFVDLPLGTGFSYAKNLTANRSDWKLVHHTHQFLRKWLIDHPEFISNEFYMGADSYSGIPGPAIVQEISKGNEIGLQPPINLKGYLLGNPITTRKEKNDQIPFSHGMGLISDELYESLKRNCKGEYTYVDSTNELCLRDLNYYDELLSGINTFNILDRYCKYDSAKKHEGPWIRSLTQNFESSLNSHLTELDLDTRCQTYRFFLVTQWANDESVRKSLHIREGTKGKWERCWSSDYEYEIYSSFPFHVNLSAKAYRSLIYSGDHDTVVPFMSTQAWIRALNYSILQDWRHGF >Vigun10g060300.1.v1.2 pep primary_assembly:ASM411807v1:10:12259986:12279776:1 gene:Vigun10g060300.v1.2 transcript:Vigun10g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFGIICFNFGIIFVIVLAVFARVSSQLGSKVEELPGFEGPLPFELETGYVGLGERDDDMQVFYYFIKSENDPTKDPLILWLTGGPGCSSLCSLVFQIGPLRFKTEKSDGSVPNLILMPHSWTKVCSIIFVDLPLGTGFSYAKNLTANRSDWKLVHHTHQFLRKWLIDHPEFISNEFYMGADSYSGIPGPAIVQEISKGNEIGLQPPINLKGYLLGNPITTRKEKNDQIPFSHGMGLISDELYESLKRNCKGEYTYVDSTNELCLRDLNYYDELLSGINTFNILDRYCKYDSAKKHEGPWIRSLTQNFESSLNSHLTELDLDTRCQTYRFFLVTQWANDESVRKSLHIREGTKGKWERCWSSDYEYEIYSSFPFHVNLSAKAYRSLIYSGDHDTVVPFMSTQAWIRALNYSILQDWRHGF >Vigun10g060300.4.v1.2 pep primary_assembly:ASM411807v1:10:12260049:12279777:1 gene:Vigun10g060300.v1.2 transcript:Vigun10g060300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNMSFTKKIESIGFVGPLRFKTEKSDGSVPNLILMPHSWTKVCSIIFVDLPLGTGFSYAKNLTANRSDWKLVHHTHQFLRKWLIDHPEFISNEFYMGADSYSGIPGPAIVQEISKGNEIGLQPPINLKGYLLGNPITTRKEKNDQIPFSHGMGLISDELYESLKRNCKGEYTYVDSTNELCLRDLNYYDELLSGINTFNILDRYCKYDSAKKHEGPWIRSLTQNFESSLNSHLTELDLDTRCQTYRFFLVTQWANDESVRKSLHIREGTKGKWERCWSSDYEYEIYSSFPFHVNLSAKAYRSLIYSGDHDTVVPFMSTQAWIRALNYSILQDWRHGF >Vigun10g060300.3.v1.2 pep primary_assembly:ASM411807v1:10:12258017:12279733:1 gene:Vigun10g060300.v1.2 transcript:Vigun10g060300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLIPGYLVRKEFIYSNIFQLPKLITYIVSKMNTFGIICFNFGIIFVIVLAVFARVSSQLGSKVEELPGFEGPLPFELETGYVGLGERDDDMQVFYYFIKSENDPTKDPLILWLTGGPGCSSLCSLVFQIGPLRFKTEKSDGSVPNLILMPHSWTKVCSIIFVDLPLGTGFSYAKNLTANRSDWKLVHHTHQFLRKWLIDHPEFISNEFYMGADSYSGIPGPAIVQEISKGNEIGLQPPINLKGYLLGNPITTRKEKNDQIPFSHGMGLISDELYESLKRNCKGEYTYVDSTNELCLRDLNYYDELLSGINTFNILDRYCKYDSAKKHEGPWIRSLTQNFESSLNSHLTELDLDTRCQTYRFFLVTQWANDESVRKSLHIREGTKGKWERCWSSDYEYEIYSSFPFHVNLSAKAYRSLIYSGDHDTVVPFMSTQAWIRALNYSILQDWRHGF >Vigun07g257700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37492359:37493413:1 gene:Vigun07g257700.v1.2 transcript:Vigun07g257700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRIKSVSFLILVLLASFCTSLLRVSESRPLPIYSPTKDGVITEVNGVFRTLKSSGPSPGIGHKLDKLQNLGEVKKSGPSPGQGH >Vigun09g021600.1.v1.2 pep primary_assembly:ASM411807v1:9:1684079:1688032:-1 gene:Vigun09g021600.v1.2 transcript:Vigun09g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVVPANRRRAVNAAEELDFETTEGVKAIASFEEMGIKDDLLRGIYQYGFEKPSAIQQRAVTPIIQGRDVIAQAQSGTGKTSMIALTVCQVVDTSVREVQALILSPTRELAAQTEKVILAIGDFINIQAHSCIGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKMLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVVLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRNNNFTVSSMHGDMPQKERDAIMGEFRAGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >Vigun04g160500.1.v1.2 pep primary_assembly:ASM411807v1:4:38286359:38290346:1 gene:Vigun04g160500.v1.2 transcript:Vigun04g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESENSKDSSYDRIAEVKAFDETKLGVKGLLDSGITKIPRMFYHAKVNDNTETTPNDLKFNVPIIDLKDIDTNSSLRVKALDKVRRACKEWGFFQVVNHGIGVEVLDEMLCGIQRFHEQDAEVRKTFYSRDRSKKVRYFSNGSLFRDPAANWRDSIAFFSSPDPPNPEEIPAVCRDIVVEYTDKIRAFGLTMFELFSEALGLPTSYLNELDSIKGEFHLCHYYPPCPEPELTMGTSKHTDISFMTILLQDHIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDVGPRISVASFFTSSFPEYVSKVVGPIKELLSEENPPIYRDTTIKDVAAHYHKKGLDGNRSLDPFRLCSD >Vigun04g160500.2.v1.2 pep primary_assembly:ASM411807v1:4:38286365:38290342:1 gene:Vigun04g160500.v1.2 transcript:Vigun04g160500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESENSKDSSYDRIAEVKAFDETKLGVKGLLDSGITKIPRMFYHAKVNDNTETTPNDLKFNVPIIDLKDIDTNSSLRVKALDKVRRACKEWGFFQVVNHGIGVEVLDEMLCGIQRFHEQDAEVRKTFYSRDRSKKVRYFSNGSLFRDPAANWRDSIAFFSSPDPPNPEEIPAVCRDIVVEYTDKIRAFGLTMFELFSEALGLPTSYLNELDSIKGEFHLCHYYPPCPEPELTMGTSKHTDISFMTILLQDHIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDVGPRISVASFFTSSFPEYVSKVVGPIKELLSEENPPIYRDTTIKDVAAHYHKKGLDGNRSLDPFRLCSD >Vigun04g160500.3.v1.2 pep primary_assembly:ASM411807v1:4:38286359:38290346:1 gene:Vigun04g160500.v1.2 transcript:Vigun04g160500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHAKVNDNTETTPNDLKFNVPIIDLKDIDTNSSLRVKALDKVRRACKEWGFFQVVNHGIGVEVLDEMLCGIQRFHEQDAEVRKTFYSRDRSKKVRYFSNGSLFRDPAANWRDSIAFFSSPDPPNPEEIPAVCRDIVVEYTDKIRAFGLTMFELFSEALGLPTSYLNELDSIKGEFHLCHYYPPCPEPELTMGTSKHTDISFMTILLQDHIGGLEVLHENQWVDVHPVHGSLVINIGDLLQLLTNDMFISVYHRVLSRDVGPRISVASFFTSSFPEYVSKVVGPIKELLSEENPPIYRDTTIKDVAAHYHKKGLDGNRSLDPFRLCSD >Vigun10g123600.1.v1.2 pep primary_assembly:ASM411807v1:10:33196448:33200778:-1 gene:Vigun10g123600.v1.2 transcript:Vigun10g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGESSCASPWLKISEEVDPAAASAVLRLVDQMGASTSVPPKWDARGTYDPFFRNFITILHIQPGRISISVHAKPPICNAYGTLHGGSVGTLSEILSTACARTVVAEDKELFLGEISISYMSATPVNEEVLANASIVKSGRNLTVVAAEFKLKKSGNMAYSTRATFYNMPLSSL >Vigun07g148400.1.v1.2 pep primary_assembly:ASM411807v1:7:25913147:25915873:-1 gene:Vigun07g148400.v1.2 transcript:Vigun07g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDMLKVQNCLLKVNIHCDGCEQKVKKILQKIDGVYSVNIDAERGKVMVSGHIDPAKLIKKLKRSGKHAEVWGGQRGMMYNQNYPTYPQFKNLHIDNTKGGKDNKSQHHKGGAPQKGGGGAGGGGQLPHFQNMKEGSKVPPKNHKSVNFNLSEDELDDSDEDFDDFDDDYDELEDDYDDFDEEDEEEEYGHGHGNGRGHGQGQGHPMQHNKMMAQMAQMGQMGNGRGPHGPGGMMMNGSGMNSHKGNGGGNFGSVKKGEVIDLAIKGKGGNFNEGKNGNGGKKGNGDGGQKNKGGKQKGGGGGGDNNHNHGNKKKNPKAKNGGGFLVRFLGLGKKNKKGGGSPDTTTNKNKDNRGGNNNIINSSKGKKNGGGKMDKIDFDFQDFDISPPKGKNGKGGGNNGKNSNGKGHGANNGNVGHMGPMSHQMGPMGQRGPMNQMGQMEHMRNMNMNIPAVQGLPAAAAAAAMNGGYYQGMQGMPMHMQQPNPYNIQQQQQQQQLMAMMMNQQQQQQQQQANMSMYPPHMMYGRAPSMNYFPPPPMPSHPMADPITHVFSDENTESCSIM >Vigun11g014100.2.v1.2 pep primary_assembly:ASM411807v1:11:1717789:1726608:1 gene:Vigun11g014100.v1.2 transcript:Vigun11g014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAESNTMEDGTRENATDQVVSRFNEFLKNELALEEKSCKEAINLLGETKHILLTNISSMGNGTSEEAERYWFAFISYSVKKLIHKNEEAGKEENVNSGLSLCRILRAAKLNIADFFKELPQFVVKAGPTLSNIYGTDWENRLEAKEIHANAIHLKILSKYYKRVFGEFFVTTDSNAEKNSSVTVHASEYHRFGWLLFLALRLHAFSRFRDLVTCTNGLISILAILIIHVPTRFRNFNIHDSSRFVKKNNKAVDLLASLCNIYNTTEDELRKTMEKANNVIADILKKKPCLASECETENLENIDKDGLTYFKDLMEESSLPSSLNLLEKDYDYMIRNKSELDERLFINEDDSLLASGSLSGGSVSAGGVKRKFDSLASPAKTITSPLSPHRSPSSHANGIPGSANSKMAATPVSTAMTTAKWLRTVISPLPPKPSQELERFLTSCDRDVTSDVVRRAQIILQATFPSSPLGELCVTGSLQSANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQVFHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLAVARPALSAEINRLGLLAEPMPSLDEIAMQINFSCGGLPPVPTLPKLESSPTQNGDIRSPKRNVLMERNSFTSPVKDRLLPFSNLKSKLPPPPLQSAFSSPTKPNPAGGGETCAETGINIFFGKIIKLGAVRISGMVERLQLSQQIRENVYCLFQRILNQRTSLFFNHHIDQIILCCFYGVAKISQLNLTFREIVYNYRKQPHCKPQVFRSVFVDWSLARRNGRTGQDHVDIITFYNEIFIPSVKPLLVELDPSGATTKNDRIPEVINKNDGHLAQCPGSPKISSFPTLPDMSPKKVSATHNVYVSPLRSSKMDALISHSSKSYYACVGESTIAYQSPSKDLTAINNRLNGKVRGPLNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPVKSEQPDS >Vigun11g014100.1.v1.2 pep primary_assembly:ASM411807v1:11:1717789:1726608:1 gene:Vigun11g014100.v1.2 transcript:Vigun11g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAESNTMEDGTRENATDQVVSRFNEFLKNELALEEKSCKEAINLLGETKHILLTNISSMGNGTSEEAERYWFAFISYSVKKLIHKNEEAGKEENVNSGLSLCRILRAAKLNIADFFKELPQFVVKAGPTLSNIYGTDWENRLEAKEIHANAIHLKILSKYYKRVFGEFFVTTDSNAEKNSSVTVHASEYHRFGWLLFLALRLHAFSRFRDLVTCTNGLISILAILIIHVPTRFRNFNIHDSSRFVKKNNKAVDLLASLCNIYNTTEDELRKTMEKANNVIADILKKKPCLASECETENLENIDKDGLTYFKDLMEESSLPSSLNLLEKDYDYMIRNKSELDERLFINEDDSLLASGSLSGGSVSAGGVKRKFDSLASPAKTITSPLSPHRSPSSHANGIPGSANSKMAATPVSTAMTTAKWLRTVISPLPPKPSQELERFLTSCDRDVTSDVVRRAQIILQATFPSSPLGELCVTGSLQSANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQVFHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLAVARPALSAEINRLGLLAEPMPSLDEIAMQINFSCGGLPPVPTLPKLESSPTQNGDIRSPKRNVLMERNSFTSPVKDRLLPFSNLKSKLPPPPLQSAFSSPTKPNPAGGGETCAETGINIFFGKIIKLGAVRISGMVERLQLSQQIRENVYCLFQRILNQRTSLFFNHHIDQIILCCFYGVAKISQLNLTFREIVYNYRKQPHCKPQVFRSVFVDWSLARRNGRTGQDHVDIITFYNEIFIPSVKPLLVELDPSGATTKNDRIPEVINKNDGHLAQCPGSPKISSFPTLPDMSPKKVSATHNVYVSPLRSSKMDALISHSSKSYYACVGESTIAYQSPSKDLTAINNRLNGNRKVRGPLNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPVKSEQPDS >Vigun01g176400.1.v1.2 pep primary_assembly:ASM411807v1:1:35771252:35773925:-1 gene:Vigun01g176400.v1.2 transcript:Vigun01g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASAEGGAPEVTLETSMGSFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKRELKHTGAGILSMANAGPNTNGSQFFITLAPCPSLDGKHTIFGRVCSGMEIIKRLGSVQTDNNDRPIHDVKILRTSVKD >VigunL083400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:60468:60776:-1 gene:VigunL083400.v1.2 transcript:VigunL083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun02g014900.2.v1.2 pep primary_assembly:ASM411807v1:2:5455554:5460240:1 gene:Vigun02g014900.v1.2 transcript:Vigun02g014900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASPPLHSATILWMYFVLPVFAELQRVQHQPKHDGTLNFLVVGDWGRKGKYNQSLVATQMGKMGDILDLDFVVSTGDNFYKSGIKGLNDPNFVQSFSNIYTAKSLRKQWYSVLGNHDYRGNALAQLSPILRRIDRRWFCQRSFILNAGVADFFFIDTTPFINKYFNTSSRHYDWRGVLPREKYIKNLLKDLEEALVKSSARWKIVVGHHAIRSIGHHGDSVELINHLVPLLKMVFLLQTLFLHKRDPPLRSTSVLSELHSTFLWFFCFRRFSCTNPKFHLCSF >Vigun02g041700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17044674:17045740:1 gene:Vigun02g041700.v1.2 transcript:Vigun02g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTWKMKRFKFYVPYPPTMVFSGGKRFLHLSLVVLFGVTVLQMWVCLHSCEVGAIRVLPSNAMAKVKYGHGIEEDEKVKEDLLHKYLSGSTFGVINNGTQNRFDENKRTVPSCPDPLHN >Vigun03g443000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64528623:64530971:1 gene:Vigun03g443000.v1.2 transcript:Vigun03g443000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKGSNMGKSGGRRKKGGSNPNQGVVDNLGSGVGVPTANGGSELLDSSIFLKKANEMKEEGNKRFQNKDYAGALEQYESALRLTPKTHPDRAVFHSNRAACLMQMKPIDYEAVISECTMALQVQPRFVRALLRRARAYEAVGKYEMAVQDVQFLLGADPSNRDALEIAQRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVAKKGANSAVGSVVLPNSNKLDSKAQPVLPTENGSDNKSHLPKLVLKPSSGSPKPSNPGKDDQKEQSSGIHGQRSQAAILWRPLKLVYDHDIRLAQMPVNCNFRVLRDVVSKRFPSSSSVLIKYKDCDGDLVTITSTDELRLAESSVDNHLLKELGEVKSDSVSMLRLHIVEVSPEQEPPLLEEEEEKPVENEGVKGDESGSHSSLGESASEVPDSEVDKTEKEAPKEKQGATGDTESKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQDLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKEVVAEQLQVAYEWVKEKYSLARQKYEEALSIKSDFYEGLLALGQQQFEMAKLHWSFALAKKIDLSGWDSKETLQLFDSAEEKMKAATDMWEKLEEQRAKELKDPNATKKDELLRRRKKQGGTSEGESSAGQGDISAEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMSGWKENLDAATERFKLAGASEADISMVLKNHSSNGDAKDGDDKKVENTRHNKTIKPDINKANEV >Vigun09g166700.2.v1.2 pep primary_assembly:ASM411807v1:9:33452194:33458183:1 gene:Vigun09g166700.v1.2 transcript:Vigun09g166700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSKIYADDVSLLVVTLDTNPFFWATFPFPFAEFLSQVLAFLNSILLLGQLNQVIVIATGCNSCAYVYDSSSERSHGATTGTMPALYSNLLHNLNEFLAKDRQLDASQKPGTVPSSLLSGSLSMALCYIQRAFRLGPMPPQPRILCLQGTADGPEQYVAIMNAIFSAQRSTVPIDSCYIGSNNSAFLQQASYITGGIYYKPPQLDGLYQYLSVLLP >Vigun09g166700.1.v1.2 pep primary_assembly:ASM411807v1:9:33452194:33458183:1 gene:Vigun09g166700.v1.2 transcript:Vigun09g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSKIYADDVSLLVVTLDTNPFFWATFPFPFAEFLSQVLAFLNSILLLGQLNQVIVIATGCNSCAYVYDSSSERSHGATTGTMPALYSNLLHNLNEFLAKDRQLDASQKPGTVPSSLLSGSLSMALCYIQRAFRLGPMPPQPRILCLQGTADGPEQYVAIMNAIFSAQRSTVPIDSCYIGSNNSAFLQQASYITGGIYYKPPQLDGLYQYLSTVFATDLHSRAFLRLPKSVGVDFRASCFCHKRTIDMGFVCSVCLSIFCEHHDKCSTCGSVFGQAQLDASAANRKRKA >Vigun10g083800.1.v1.2 pep primary_assembly:ASM411807v1:10:23675154:23679629:-1 gene:Vigun10g083800.v1.2 transcript:Vigun10g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGSLDSSKPTNNDVGNVPNGTSSSVKNSVPATALTSNEATLGRHLARRLVQVGVTDVFTVPGDFNLTLLDHLIAEPKLTNVGCCNELNAGYAADGYARCRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNTNDFGTNRILHHTIGLPDFSQELRCFQTVTCCQAVVNNIDDAHEMIDTAISTCLKESKPVYISISCNLPGIPHPTFSREPVPFSLSPRLSNKLGLEAAVEAAAAFLNKAVKPVMVGGPKLRVAKACDAFVELADASGYPFAVMPSAKGLVPEYHPHFLGTFWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVISNGPAFGCILMKDFLTELGKRLQHNNTAYENYARIFVPDGKPLKAEPGEPLRVNILFKHVQQMLSGDTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVRPKRVISCIGDGSFQVTVQDISTMIRNEQNPIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGDGKCWTTKVNCEEELVGAIQTATGEKKDCLCFVEVIVHKDDTSKELLEWGSRVCAANSRPPNPQ >Vigun06g045200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16973404:16979761:1 gene:Vigun06g045200.v1.2 transcript:Vigun06g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKRLINPPLPPISSSFTVYRFHVLASASISHTPHSLSDVVSSFHRTINDPNSTPSEPACNSLIDDLRKARQYDVVVSVYRKMASACVSPWHTSLTVLIESFVNTHHPSFAVGVLGLMIKRGFRAHVYNMNLVLKGFCRSGQFDKAMDLLSQMKKNSDYVAPDNVTYNTLITGLCKAKRLVEARVLFDAMKVGESKPNLVTYSVLIDCLCKNDQVCEGLVLLEEMEREGLKADVFLYSSLISVFCGKGDVERGIELFDDMKRKKVSPNVVTYSCLMHGLSKIGRWREASDMLKDMTARGIRPDVVTYSVLADGLCKNGRAEDAIKVLDLMEQKGEEPSTFTYNVVVNGFCKEDRVEDAFGIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLWNLLVSDKFHMKPDVFTFNYLIQGLCKEGHLRDAVRVHSTMVEMGFLGNIVTYNVLIEGYLSVRKLIKALGLWKNAVDSGFSPNSMTYRVFILGLCKMQVLNIAKGLFIKMKDSGIKPTVVEYNALMASLCREDSLEQARSLFQAMRNVNHDVDVVSFNIVIDGTLKAGDTKHAKELLSEMLNMDLIPDAVTFSILINRFSKLGLLDEAMSLFEKMVSSGHVPDGVVFDSLLKGCGLKGEIEKVISLLHQMADKDVVLDSKLTSTILACLCHMSRDLDVEKILPNFSQHTEHTSKGTTIKCHEFLMKLNDVHPELKLFVAR >Vigun05g173700.1.v1.2 pep primary_assembly:ASM411807v1:5:31619721:31620996:-1 gene:Vigun05g173700.v1.2 transcript:Vigun05g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFFGHHGLLATPPAMTPGTSPATMFFTLSLCAKHNVPCIFSSMRNLDRDLATSPSTISRRQLPWSSSSSHSSSHFRRRCKCDRRCRFFLSFLTQTTVAAFPVILLPPPNEATACDIEQSRQRHATSIRDPNPPAEMPSRMRESKLE >Vigun11g017001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2148300:2149389:1 gene:Vigun11g017001.v1.2 transcript:Vigun11g017001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRKHANGEDKLVFSLRRERGLASSYLYLFQEFWCPGIAIQGVKGFQKCFEAKDSDVVVASFPKTGTTWLIALTFAIVNRKHFSAENHPLLTSNPHTLVSSLEFKIFCDEIHDPLLHLSNMLEPRLFSTHTPFTALPKSLIQSNAKIIYICRNPFDTFVSAWTYFNKIRSMSFPALELEEAFEMYCDGIVSFGPWWSHMLGYWKESMARPNKVLFLKYEDLKENVNFYVKNIAEFLGCPFTAEEESDGEIESIIELCSFEKMKDLEVNVSGKLDKFIDNKFFFRKGEIGDWVNYFSPSMINKLSRIIEEKLSGSGLSFNMYS >Vigun08g135400.1.v1.2 pep primary_assembly:ASM411807v1:8:30681456:30683806:1 gene:Vigun08g135400.v1.2 transcript:Vigun08g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGKSSFLLSFSLGTFFLLLTHVCAENTTEPRKNANLSPFESWRSAYFCMQNTSYYCTIKDGFTIGMEGVINVQDSDIKNFCNNGCYDHTLYVLTCIKDVKSNFFFQTKQPVSYVWNVTSRACATQLSGFNTNVTNHNPNSGSSLYGRVYMPLVSALTTMAFIATFGV >Vigun07g135300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24539553:24540575:-1 gene:Vigun07g135300.v1.2 transcript:Vigun07g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRHKKSSSSFFSIFNVFSSKKHRGGYYDAPDSSRRVWPSDYDKGNWGVAEPNIDMKAEAFIAKYKKRVSESALYQLDPAAENA >Vigun07g026600.1.v1.2 pep primary_assembly:ASM411807v1:7:2391186:2392134:1 gene:Vigun07g026600.v1.2 transcript:Vigun07g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVLSSQISSCDVFFFSNTMHSIIMQVMVNHVLKFLMKNIRCRLLGAVLALVLVHILLFSTFCVRHDQRFSRDTFLSRKLLSSSSSSSSSDSASRSERKLSGNKKQTKKAVEPSLRKAPSSVPNPTQNK >Vigun05g159500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25586628:25586768:-1 gene:Vigun05g159500.v1.2 transcript:Vigun05g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >VigunL025900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:96859:97080:-1 gene:VigunL025900.v1.2 transcript:VigunL025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDNSRSGPRRTVVGNLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISIN >Vigun10g167500.8.v1.2 pep primary_assembly:ASM411807v1:10:38649679:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVEEGFLSNVGSLQGVQHVILLSQLSAYSSKSGFQSMMKSNAKKLAEQDESVLKTSGIPYTIIRTGALQDTPGGKQGFSFDQGCAASGSISKEDAAFVCVAALDCTPQTGFIFEVANGDNKVSDWKDCLSTLMEKANKKLQ >Vigun10g167500.1.v1.2 pep primary_assembly:ASM411807v1:10:38649680:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPCNLVMASPTWKKGRIGILRCWGLLIINEMASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVESLAGDTSDNRFVKKALRGVRTIICPNEGFLSNVGSLQGVQHVILLSQLSAYSSKSGFQSMMKSNAKKLAEQDESVLKTSGIPYTIIRTGALQDTPGGKQGFSFDQGCAASGSISKEDAAFVCVAALDCTPQTGFIFEVANGDNKVSDWKDCLSTLMEKANKKLQ >Vigun10g167500.6.v1.2 pep primary_assembly:ASM411807v1:10:38649679:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVEEGFLSNVGSLQGVQHVILLSQLIAVKVAFNL >Vigun10g167500.4.v1.2 pep primary_assembly:ASM411807v1:10:38649557:38652743:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVESLAGDTSDNRFVKKALRGVRTIICPNEGFLSNVGSLQGVQHVILLSQLSAYSSKSGFQSMMKSNAKKLAEQDESVLKTSGIPYTIIRTGALQDTPGGKQGFSFDQGCAASGSISKEDAAFVCVAALDCTPQTGFIFEVANGDNKVSDWKDCLSTLMEKANKKLQ >Vigun10g167500.3.v1.2 pep primary_assembly:ASM411807v1:10:38649557:38652743:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVESLAGDTSDNRFVKKALRGVRTIICPNEGFLSNVGSLQGVQHVILLSQLIAVKVAFNL >Vigun10g167500.7.v1.2 pep primary_assembly:ASM411807v1:10:38649680:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPCNLVMASPTWKKGRIGILRCWGLLIINEMASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVEEGFLSNVGSLQGVQHVILLSQLSAYSSKSGFQSMMKSNAKKLAEQDESVLKTSGIPYTIIRTGALQDTPGGKQGFSFDQGCAASGSISKEDAAFVCVAALDCTPQTGFIFEVANGDNKVSDWKDCLSTLMEKANKKLQ >Vigun10g167500.2.v1.2 pep primary_assembly:ASM411807v1:10:38649679:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPCNLVMASPTWKKGRIGILRCWGLLIINEMASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVEEGFLSNVGSLQGVQHVILLSQLIAVKVAFNL >Vigun10g167500.5.v1.2 pep primary_assembly:ASM411807v1:10:38649680:38652742:1 gene:Vigun10g167500.v1.2 transcript:Vigun10g167500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPCNLVMASPTWKKGRIGILRCWGLLIINEMASLVRNALSFIAGRDGWSVTLPTMVLAYAATLSSTLSFQNSVPFSSQRSGTPYGSSFPSSRDNGSVVPPLVVCHAKKKLSFMDQILDYIEGGPKLRKWYGAPDILEKDATAIEDDEDNYPEDEVRDAVLVTDGDSEMGQMVILSLIVKKARVKALVKDKRVALEAFGSYVESLAGDTSDNRFVKKALRGVRTIICPNEGFLSNVGSLQGVQHVILLSQLIAVKVAFNL >Vigun10g023100.2.v1.2 pep primary_assembly:ASM411807v1:10:2737188:2740923:1 gene:Vigun10g023100.v1.2 transcript:Vigun10g023100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLMSGILILGFVSATLCLNNYVETKVKYFNVMDYGAYADGTTDDSNAISNAWQDVCGEEGPATLVIPSNKIFMVKRLNLNGPCMAPNVRIKFEGKIVAPSMNEWVGDSFSWIQIFYVNGLTIEGDGGMIDGNGSTWWKKCRKCRRPTSLRFHSCNGLTVKSLSMRNSPKAHISVNGCNGALFSHININSPPKTPNTDGFDIANSKNILIQDSTLKTGDDCIAINGGCSYINATRIFCKGGHGISIGSLGRNKSYETVEEVHVQNCRHHKWSKNQDMAGWIRLCKKNHV >Vigun11g187800.1.v1.2 pep primary_assembly:ASM411807v1:11:38793673:38798921:1 gene:Vigun11g187800.v1.2 transcript:Vigun11g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVVVDSEYLKEVDKARRDLRALIANRNCAPLMLRLAWHDAGTYDAKTKTGGPNGSIRNEEEYSHGSNNGLKKAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSKVSPKEGRLPDAKQGVSHLRDIFYRMGLTDREIVALSGGHTLGRAHPDRSGFDGPWTEDPLKFDNSYFVELLKGDYIGLLKLPTDKALLEDPEFRRYVELYAKDEDVFFRDYAEAHKKLSELGFVPSSKAISIKDGTILAQSAVGVVVTAAVVILSYLYEVRKRGK >Vigun07g164200.3.v1.2 pep primary_assembly:ASM411807v1:7:27632477:27637769:-1 gene:Vigun07g164200.v1.2 transcript:Vigun07g164200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKLEIVERDEDLEDQVAAAEVQSQPWREQITLRGVFVSMMIGIIYSIIVMKLNLTTGMVPNLNVSAALLAFVFVRTWTKVLSKAGIVAKPFSRQENTIIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGVGNEGNSPNAIKEPGFGWMTAFLFVVCFVGLFVLIPLRKIMIVDLNLTYPSGLATAVLINGFHTQGDKMAKKQVRCFTKYFSISFLWGLFKWFFSGIEDCGFEQFPTFGLQAWKQTFYFDFSMTFVGAGMICSHLVNVSLLLGAVISYGLIYPLTDRRRGDWFPDNLEESNMKGLYGYKVFLSIALILGDGIYNFTKILICTVLNVHERIKNKNNKNVTADRKEKPSEDIKEAETFLTESIPMRIGIVGYVVLTIISIIILPRMFPQLKWYYVVVAYIFAPSLAFCNAFGAGLTDINMAYNYGKVALFTLAALTGKENGVVAGLVGCGLVKSVVSVSCILMQDFKTAHYTRTSPRAMFVCQVIGIAMGCVAAPLSFFLYYKAFDVGNPQGEFKAPYALIYRNMAIIGVQGFSALPQHCLQLCFGFFAFAIGANMIRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMFIGSVVVFAWHKLDSVKAESMVPAAASGLICGEGLWTLPAAILALARIHPPICMKFVPS >Vigun07g164200.1.v1.2 pep primary_assembly:ASM411807v1:7:27632477:27637769:-1 gene:Vigun07g164200.v1.2 transcript:Vigun07g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKLEIVERDEDLEDQVAAAEVQSQPWREQITLRGVFVSMMIGIIYSIIVMKLNLTTGMVPNLNVSAALLAFVFVRTWTKVLSKAGIVAKPFSRQENTIIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGVGNEGNSPNAIKEPGFGWMTAFLFVVCFVGLFVLIPLRKIMIVDLNLTYPSGLATAVLINGFHTQGDKMAKKQVRCFTKYFSISFLWGLFKWFFSGIEDCGFEQFPTFGLQAWKQTFYFDFSMTFVGAGMICSHLVNVSLLLGAVISYGLIYPLTDRRRGDWFPDNLEESNMKGLYGYKVFLSIALILGDGIYNFTKILICTVLNVHERIKNKNNKNVTADRKEKPSEDIKEAETFLTESIPMRIGIVGYVVLTIISIIILPRMFPQLKWYYVVVAYIFAPSLAFCNAFGAGLTDINMAYNYGKVALFTLAALTGKENGVVAGLVGCGLVKSVVSVSCILMQDFKTAHYTRTSPRAMFVCQVIGIAMGCVAAPLSFFLYYKAFDVGNPQGEFKAPYALIYRNMAIIGVQGFSALPQHCLQLCFGFFAFAIGANMIRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMFIGSVVVFAWHKLDSVKAESMVPAAASGLICGEGLWTLPAAILALARIHPPICMKFVPS >Vigun07g164200.2.v1.2 pep primary_assembly:ASM411807v1:7:27632477:27638717:-1 gene:Vigun07g164200.v1.2 transcript:Vigun07g164200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKLEIVERDEDLEDQVAAAEVQSQPWREQITLRGVFVSMMIGIIYSIIVMKLNLTTGMVPNLNVSAALLAFVFVRTWTKVLSKAGIVAKPFSRQENTIIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGVGNEGNSPNAIKEPGFGWMTAFLFVVCFVGLFVLIPLRKIMIVDLNLTYPSGLATAVLINGFHTQGDKMAKKQVRCFTKYFSISFLWGLFKWFFSGIEDCGFEQFPTFGLQAWKQTFYFDFSMTFVGAGMICSHLVNVSLLLGAVISYGLIYPLTDRRRGDWFPDNLEESNMKGLYGYKVFLSIALILGDGIYNFTKILICTVLNVHERIKNKNNKNVTADRKEKPSEDIKEAETFLTESIPMRIGIVGYVVLTIISIIILPRMFPQLKWYYVVVAYIFAPSLAFCNAFGAGLTDINMAYNYGKVALFTLAALTGKENGVVAGLVGCGLVKSVVSVSCILMQDFKTAHYTRTSPRAMFVCQVIGIAMGCVAAPLSFFLYYKAFDVGNPQGEFKAPYALIYRNMAIIGVQGFSALPQHCLQLCFGFFAFAIGANMIRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMFIGSVVVFAWHKLDSVKAESMVPAAASGLICGEGLWTLPAAILALARIHPPICMKFVPS >Vigun07g164200.4.v1.2 pep primary_assembly:ASM411807v1:7:27632477:27636113:-1 gene:Vigun07g164200.v1.2 transcript:Vigun07g164200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKLEIVERDEDLEDQVAAAEVQSQPWREQITLRGVFVSMMIGIIYSIIVMKLNLTTGMVPNLNVSAALLAFVFVRTWTKVLSKAGIVAKPFSRQENTIIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGVGNEGNSPNAIKEPGFGWMTAFLFVVCFVGLFVLIPLRKIMIVDLNLTYPSGLATAVLINGFHTQGDKMAKKQVRCFTKYFSISFLWGLFKWFFSGIEDCGFEQFPTFGLQAWKQTFYFDFSMTFVGAGMICSHLVNVSLLLGAVISYGLIYPLTDRRRGDWFPDNLEESNMKGLYGYKVFLSIALILGDGIYNFTKILICTVLNVHERIKNKNNKNVTADRKEKPSEDIKEAETFLTESIPMRIGIVGYVVLTIISIIILPRMFPQLKWYYVVVAYIFAPSLAFCNAFGAGLTDINMAYNYGKVALFTLAALTGKENGVVAGLVGCGLVKSVVSVSCILMQDFKTAHYTRTSPRAMFVCQVIGIAMGCVAAPLSFFLYYKAFDVGNPQGEFKAPYALIYRNMAIIGVQGFSALPQHCLQLCFGFFAFAIGANMIRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMFIGSVVVFAWHKLDSVKAESMVPAAASGLICGEGLWTLPAAILALARIHPPICMKFVPS >Vigun02g105400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25984886:25985593:-1 gene:Vigun02g105400.v1.2 transcript:Vigun02g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFYDFSDTDNESAIEEIISQAQDACVLDQLSAINCAGITNSVLPSHLETRFRNLKSFPPTKARTFPTPTSRNEPSFNFSSSKQNPDSGYTSSHDKKGVEEKAKDESVSSPPFTPSSSEESSMSSIFKPAQKNGSKQHSPLRSSGSPSPSPPRRRGCLWCSPKKKEQKKKGKENWGDEILSSLGSFSMKEQQKILKKAMKEEEKVSREAEKIVQWAKQASSRMKASDIDDELTD >Vigun05g207700.2.v1.2 pep primary_assembly:ASM411807v1:5:39754430:39763788:1 gene:Vigun05g207700.v1.2 transcript:Vigun05g207700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLYRLCKASFSPDGPVSEEAVTKVREKLEKIKPSDVGLEQEAQVVRNWSGQMPECNGNHQQPPPIKYLHLYEDDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGSVHVQSYDWIDFPGPTDPSEARAAKLVKDTEMKAPTPTTVLYPTLGGNIHTFKAVTPCAIFDVLSPPYSSEHGRHCTYFRKSQRKDLPGNLQLNGVTVSDVTWLEEFQPPDDFVIRRGIYSGPVIRT >Vigun05g207700.1.v1.2 pep primary_assembly:ASM411807v1:5:39754430:39763788:1 gene:Vigun05g207700.v1.2 transcript:Vigun05g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLYRLCKASFSPDGPVSEEAVTKVREKLEKIKPSDVGLEQEAQVVRNWSGQMPECNGNHQQPPPIKYLHLYEDDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGSVHVQSYDWIDFPGPTDPSEARAAKLVKDTEMKAPTPTTVLYPTLGGNIHTFKAVTPCAIFDVLSPPYSSEHGRHCTYFRKSQRKDLPGNLQLNGVTVSDVTWLEEFQPPDDFVIRRGIYSGPVIRT >Vigun05g207700.3.v1.2 pep primary_assembly:ASM411807v1:5:39754865:39763788:1 gene:Vigun05g207700.v1.2 transcript:Vigun05g207700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLYRLCKASFSPDGPVSEEAVTKVREKLEKIKPSDVGLEQEAQVVRNWSGQMPECNGNHQQPPPIKYLHLYEDDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGSVHVQSYDWIDFPGPTDPSEARAAKLVKDTEMKAPTPTTVLYPTLGGNIHTFKAVTPCAIFDVLSPPYSSEHGRHCTYFRKSQRKDLPGNLQLNGVTVSDVTWLEEFQPPDDFVIRRGIYSGPVIRT >Vigun05g279200.1.v1.2 pep primary_assembly:ASM411807v1:5:46862242:46863941:1 gene:Vigun05g279200.v1.2 transcript:Vigun05g279200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINSTKGLLALFAFVFFALGNAQNTLVPAIITFGDSAVDVGNNDYLPTIFKANYLPYGRDFFNHQPTGRFCNGKLATDITAETLGFKSFAPAYLSPQASGKNLLIGANFASAASGYDEKAAILNHAIPLSQQLKYFKEYQGKLAKVAGSKKAALIIKDALYILSAGSSDFVQNYYVNPIISKALTPDQYSAYLVGAFSIFVKDLYKLGARKVGVTSLPPLGCLPAARTLFGFHENGCVSRINNDALGFNNKIKTAAANLQKQLPGLKIVVFDIFKPLYELVQSPLKSGFAEARKGCCGTGIVETTSLLCNPKSPGTCSNATQYVFWDSVHPSQAANQVLADALILQGIALIT >Vigun04g001100.1.v1.2 pep primary_assembly:ASM411807v1:4:119053:122765:1 gene:Vigun04g001100.v1.2 transcript:Vigun04g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLQTKSATAFPTDSTILNSCIYAIKPQCSSLNNFFSKSSNKSFSEKSKMSRMNTEKKLGAGRVVAVAIENNKTSQHAAKWAVDNLLPKDQSLLLIHVRQKSCSANPANEAVGDNESKELFESFRVFCNRKSIQCREVLLEDMDVSKALLENITVNSIEFLVLGAPSRGGLVRRFRTTDVPSAVSKGAPPFCTVYIISKGKISSVRSATAPLTPKPVAARNQLQPQQVQPMLQPQPRHQPLMRNPEGFLDSHQTRNYPPRPSHGSGTRLVLDDDDIMISPFVRAGKSYESSKLPDSDISFVSSGRPSIDRIFPTMYDDLDSASGRLSGVSDSDARSFASSLSSQGANSIDDYSFSSQSRLSDCTDDVEFEMRRLKMELKQTMDMYSSACKEAMSAKQRAMELQRWKAEEQKKSEDSHTGDASSIQLMEMEQERIRAEALEKIAALEAQKRMTHVERRKPSESFVGHGPAMYRRYSIEEIEEATNMFSDSLKIGEGGYGPVYRSELDCTQVAIKVLKPDAAQGREQFQQEVEVLSRIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGSSRPPLPWQLRFQIASEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQLVTARPPMGLTHHVTRSIENGTFAEMLDPAVEDWPVEHALHFAKLSLGCAEMRRKDRPDLGKVVLPELNKLRAFAEESMSPMMMFGLRTGGGGGCGGGYIPRNSNTSDTSDVSGFSGYESRSSSSSQGRL >Vigun04g001100.2.v1.2 pep primary_assembly:ASM411807v1:4:119053:122765:1 gene:Vigun04g001100.v1.2 transcript:Vigun04g001100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGQSTTFFPRTNLSCSSMSDKNHVQRILPMKPWATTNPRNSSNRFEFSAIEKIQCREVLLEDMDVSKALLENITVNSIEFLVLGAPSRGGLVRRFRTTDVPSAVSKGAPPFCTVYIISKGKISSVRSATAPLTPKPVAARNQLQPQQVQPMLQPQPRHQPLMRNPEGFLDSHQTRNYPPRPSHGSGTRLVLDDDDIMISPFVRAGKSYESSKLPDSDISFVSSGRPSIDRIFPTMYDDLDSASGRLSGVSDSDARSFASSLSSQGANSIDDYSFSSQSRLSDCTDDVEFEMRRLKMELKQTMDMYSSACKEAMSAKQRAMELQRWKAEEQKKSEDSHTGDASSIQLMEMEQERIRAEALEKIAALEAQKRMTHVERRKPSESFVGHGPAMYRRYSIEEIEEATNMFSDSLKIGEGGYGPVYRSELDCTQVAIKVLKPDAAQGREQFQQEVEVLSRIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGSSRPPLPWQLRFQIASEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQLVTARPPMGLTHHVTRSIENGTFAEMLDPAVEDWPVEHALHFAKLSLGCAEMRRKDRPDLGKVVLPELNKLRAFAEESMSPMMMFGLRTGGGGGCGGGYIPRNSNTSDTSDVSGFSGYESRSSSSSQGRL >Vigun09g139500.1.v1.2 pep primary_assembly:ASM411807v1:9:30127953:30128666:-1 gene:Vigun09g139500.v1.2 transcript:Vigun09g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITVNGKSSVKEETPTIILVCSRKEDMRRFEETEDCFILDFDPYDLFDFSMLSLDHKNNHQGDASKDVYIVGENGKVACRDYPHPRDLCFKFPFTTTPHKSYCELCYCRVCDIRAPCKHWTRFTSPHCDEVYRRIFNSLD >Vigun07g085400.2.v1.2 pep primary_assembly:ASM411807v1:7:12859296:12861556:1 gene:Vigun07g085400.v1.2 transcript:Vigun07g085400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKAKRTYRYIVYKIEEKQKQVVVEKLGEPANGYEDFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRVN >Vigun07g085400.1.v1.2 pep primary_assembly:ASM411807v1:7:12859296:12861556:1 gene:Vigun07g085400.v1.2 transcript:Vigun07g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTYRYIVYKIEEKQKQVVVEKLGEPANGYEDFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRVN >Vigun06g181200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30097970:30101867:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun06g181200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30097983:30101573:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun06g181200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30097971:30101599:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun06g181200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30093434:30101566:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun06g181200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30097970:30101867:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun06g181200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30097984:30101610:-1 gene:Vigun06g181200.v1.2 transcript:Vigun06g181200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFNYYLLAKLLPSNFRDNHFPSIALTLFSATNSVLSASYSTAGLRYQSEGKRSDFRNSSGDLGKAGSDFQESLSFVASVCDGAEEEESEEDNEEGEGSSDDDSLEFITSFRGSNHQQRETIAIVEIGEVEFRHPLVREVCRLITLRSAWNPDLEGHLRHLLRSLKPPLVCAVLRSQTDERVALNYFYWADRQWRYRHDTVVYYTMLYVLSKTKLCQGARRVLRLMTRRGIECSPEAFGYVMVSYSRAGKLRNALRVLTLMQKAGVEPDLSICNTTIYVLVKGNKLEKALRFLERMQMTGIKPNVVTYNSLIKGYCDINRIEDALELIAEMPSKGCRPDKVSYYTVMVFLCKERKIDQVKHLMEKMVQDSNLIPDQVTYNTLIHVLSKHGHADDALAFLKEAEEKGFHVDKIGYSAIVHSFCQKGRMAEAKSLVIDMHSRGCNPDVVTYTAIINGFCRLGRIDEAKKMLQQMNKHGCKPNTVSYTALLNGLCHSGKSLEAREMISVSEEHWWTPNAITYGAVMHGLRREGKLSEACDLTREMVEKGFFPTPVEINLLIQSLCRNQEVVEAKKYLEECLNKGCAINVVNFTTVIHGFCQIGDMEGALSMLDDMYLSNKHPDAITYTTLFDALGKKGRLDEAAELIVKMLSKGLDPTPVTYRSVIHRYCQWGRVDDMLNLLEKMLVRQPFQTVYNQVIEKLCDFGNLEEAEKLLGKVLRTASKLDANTCHVLMESYLKKGFALSAYKVASQMFRRNLIPDLKLCQKVRKKLVLDGKLAEADNLMLRFVERGIQQK >Vigun07g082800.1.v1.2 pep primary_assembly:ASM411807v1:7:12039872:12041276:1 gene:Vigun07g082800.v1.2 transcript:Vigun07g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPLHLKNHKYPTMTTTSVALFLCFAFLFCVSEGAKVTFTNKCTYTVWPGTLTGDQKAQLSSTGFELGSGASSSLDLPSPWSGRFWARTGCSNNNGRFSCATADCGSGQVTCNGAGGNPPATLVEITVAENGGQDFYDVSNVDGFNIPMSVAPQGGSGECKTSTCRNNINAACPADLQMKGSDGSVIGCKSACLAFGDDKYCCKGAYDKPETCPPTSYSEFFENQCPEAYSYAYDDKNSTFTCSNRPDYVITFCP >Vigun04g116100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29036117:29037975:1 gene:Vigun04g116100.v1.2 transcript:Vigun04g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRKVLYLLILAPQVTFKSNSDDVFSLQLQISSSFNKVLVRFFLHFRSSLFVWN >Vigun04g111100.1.v1.2 pep primary_assembly:ASM411807v1:4:27812873:27840304:1 gene:Vigun04g111100.v1.2 transcript:Vigun04g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKNKPPRLIHLETSITRHKAHVKKCEFILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLDKVDSLEDIVTIPPGLAKVAPVLSLKPLPTSASASQTSEQADDTASQDSNSDVGARTPPPKSSTVSSTATTPVANFATPVSINVTVPNLSSPPPISSVTPGSNSLRSSLEISSVVNASFVSQSSPVKEDEINSFPVRRPSPSLSDVALVRNISRNSLSSQTTNNIPVVSGNTVSSNGPLGSVSSTSEITKRNILVADDRLGSNGMVPPLVSPLSNRMIIPQVARGTDGTSSVDSSNANEGATLSGRVFSPSAIPGMQWRPGSPFQNQNDVGHVRARTEIVPDQRERYLQKFQQVQQQGQSALLNIPSYAGGSHKQFSVQQQNPLLQQFNSQGSPVASQSGVGLGIQSSGLSGISSTSVPQPPISVHSPSSQQSLLSVVSKDPDVGNSTVDESQQQIFPDDSGTESTASTGISKNFMSEDELKSTYAIDSPAGVPASLPEPVQTSRDIDLSPGQPLQSNQRTGNLGVIGRNSTDLGPVGDNFSAFTANSGGVRDQLYNLQMLEAAHLKLPQPKDSERPRTYTPKHPTITPPSFPQVQAPIVNNPAFWERVGLEPYGTDTLFFAFYYQQNTYQQYMAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTDFTFEYNYLEDEPIV >Vigun05g262650.1.v1.2 pep primary_assembly:ASM411807v1:5:45517496:45521010:-1 gene:Vigun05g262650.v1.2 transcript:Vigun05g262650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQGYHQPSTIEEVRTLWIGDLQYWVDEAYLNHCFTHTGEVLSIKIIRNKITSQPEAYGFIEFVSHAAVERVLQTYNDMQMLDTEQTFRLNWASFDIDERRPDAGLEHSIFVGDLASDVTDFLLEETFWVHYPSVRGAKVVTDPNTGRCKANTCVKFSDENERNRAMTEMNGVYCSTRAMRISAATPKKTTAGYAAPAPVPKPVYQIPAYTAPVQAQPPEYDANNTTIFVGSLDLNVSEEELKQNFLQFGEIVSVKIPPGKGCGFVQFGTRQSAEEAIQKMQGKMIDQQVVRISWGRTLAARQVILLLEMTPF >Vigun06g199900.1.v1.2 pep primary_assembly:ASM411807v1:6:31521190:31523359:-1 gene:Vigun06g199900.v1.2 transcript:Vigun06g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGDSDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSRLSSATKQAATA >Vigun08g137300.1.v1.2 pep primary_assembly:ASM411807v1:8:30950800:30953882:-1 gene:Vigun08g137300.v1.2 transcript:Vigun08g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNNSKPLLGNQNHGGNSFNSLRTTFLSKLPDKVRCGLDFESPFEFHHHFSNTTHLTQGEKEYYERQFATLKSFEEVDSIVTLDCTDAEDIEKQAQHERAMKISNYANAALLALKIYVTIRSGSIAVAASTLDSLLDFIAGGILWFTHLAMKNINMYKYPIGKLRVQPVGIIIFAAVMATLGFQVLITAIEQLIENSSPEKMSYDQLVWLYSVMIFATLVKLALWLYCRSSGNKIVRAYADDHHFDVVTNVIGSVAAVLGDKFYWWIDPVGAILLSIYTITNWSGTVMENAVSLVGQSASPEVLQKLTYLVVMHSHQIKRIDTVRAYTFGVLFLWRLT >Vigun02g145500.1.v1.2 pep primary_assembly:ASM411807v1:2:29357872:29361134:-1 gene:Vigun02g145500.v1.2 transcript:Vigun02g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEFEMLEQDSLEMRRKNLNSTSLVVESALESSETAIRRTTALTEQLNAVEEENKALKESLNRKINEVKFSRAMLARTASKLMRLESEIESRGHVTLEQTRSNLAIRDLSLSSMSDIGSDDKVSCADSWASALISELEHFRSIRQKESLSCKNVGPSDLSLMDDFLEMEKLAVVSVENATEISQASVEENNEIDGFSETRPNEISSGVKGKEIIPVSDHLSEFSISNQESCSNDMLKGDIPGWLLEVVKMIMDQNCATHKNLDDIREDIRLALSYLKSTDQYTFDSSKGSGHFDGSKPLHFSKHTSWEPLNNSGQEDLCGTDAQILSIKGTKQQSQRDMGQSIGKIIELIEGISMPAEDFDNSDSLYQRENICTRKSQGMPTGYMVRVFQWKTSELSSVLQQFLHVCYDLLNNRADHEKFATELTTALDWIMNHCFSIQDVSSMRDDIKKQFDWDETLSEIEAETGMSADAFKLHLTREQLSCLPPLTNSDCHGVPTEEMPYVDKEEIKNIEDKVISSESEKEALEGMLQSATNQLQESEKTVGSLRLELETVKELNRMLEDQVQNHAFINEDLETQLTETELQEANHRVLALEVELESKNQYCEELETKCVELQLQFESMTKKDKDINQKDEPLQTDWEITAASEKLAECQETILNLGKQLKALAAPKDVSLFDNAIASQRRTVTNTNSVPPKEMKVKNRSSLFDQMVADDDTKSNVNAITASERSSSPTSIPSFKQPLEKILLLNGLKGQEDSASVNSLAIVPTKKSGGRSFWRRLFGRKKSKKKTQFSLNT >Vigun01g050100.1.v1.2 pep primary_assembly:ASM411807v1:1:7868334:7871388:-1 gene:Vigun01g050100.v1.2 transcript:Vigun01g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNMQYVTSTSFLLLTYAKYLTSAHTVVNCGGIAVTPKRLRSIAKTGGLLAWREPPLQMSYMVGYGPRYPRRIHHRGSSLPSIGVHPGKIQCRAGFGVMNSQSLNLNILVGALVGGPDQHDRFPNERLDYKKSEPATYINSPLVGALAYLAHSFGQL >Vigun01g160000.1.v1.2 pep primary_assembly:ASM411807v1:1:34157978:34163393:-1 gene:Vigun01g160000.v1.2 transcript:Vigun01g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRCEIAHSKFNRICVFCGSSPGKKSSYQDAAIELGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVKKLEDYVPCHEGVASKLSWQIEQQLAYPQDYDMSR >Vigun02g177000.2.v1.2 pep primary_assembly:ASM411807v1:2:31876616:31878756:-1 gene:Vigun02g177000.v1.2 transcript:Vigun02g177000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPEDPDESSAPPPQPPPPRPRSSRPPLPPRPRSSRPPLPPRPPPPPRHTPKPRVFKRRYFYNDPSFSRSPFQKSFRPQTPPEVSEIVNKNPWTSARLDGQKNSEVVFTKLGESSNSEEEEDTGTICYDGYDAKKPKF >Vigun02g177000.3.v1.2 pep primary_assembly:ASM411807v1:2:31876508:31878900:-1 gene:Vigun02g177000.v1.2 transcript:Vigun02g177000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPEDPDESSAPPPQPPPPRPRSSRPPLPPRPRSSRPPLPPRPPPPPRHTPKPRVFKRRYFYNDPSFSRSPFQKSFRPQTPPEVSEIVNKNPWTSARLDGQKNSEVVFTKLGESSNSEEEEDTGTICYDGYDAKKPKF >Vigun02g177000.4.v1.2 pep primary_assembly:ASM411807v1:2:31876921:31878545:-1 gene:Vigun02g177000.v1.2 transcript:Vigun02g177000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPEDPDESSAPPPQPPPPRPRSSRPPLPPRPRSSRPPLPPRPPPPPRHTPKPRVFKRRYFYNDPSFSRSPFQKSFRPQTPPEVSEIVNKNPWTSARLDGQKNSEVVFTKLGESSNSEEEEDTGTICYDGDVATASLFYFFGFMH >Vigun02g177000.1.v1.2 pep primary_assembly:ASM411807v1:2:31876713:31878536:-1 gene:Vigun02g177000.v1.2 transcript:Vigun02g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPEDPDESSAPPPQPPPPRPRSSRPPLPPRPRSSRPPLPPRPPPPPRHTPKPRVFKRRYFYNDPSFSRSPFQKSFRPQTPPEVSEIVNKNPWTSARLDGQKNSEVVFTKLGESSNSEEEEDTGTICYDGYDAKKPKF >Vigun08g167300.1.v1.2 pep primary_assembly:ASM411807v1:8:33878614:33881116:1 gene:Vigun08g167300.v1.2 transcript:Vigun08g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLENTLTHTPNYYLLTYSSRVSEMASSSSSTFIIGLITLLILVFGSANATLYTNFYSSSCPKLLDTVKCEVESAISKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKNAGPNKNSARGFEVIDKIKSAVEKVCPGVVSCADILAIAARDSVQILGGPTWNVKLGRRDSRTASQSAANNGIPRPTSNLNQLISRFNSLGLSSKDLVALSGGHTIGLARCTTFRARIYNETNIDSSFAHMRQSTCPRNSGSGDNSLAPLDFATPTFFDNHYFKNLIQKKGLLHSDQQLFNGGSTDSTVQTYSTNPASFFTDFSAAMIKMGDISPLTGSTGEIRKNCRRVN >Vigun08g035666.1.v1.2 pep primary_assembly:ASM411807v1:8:3438980:3439312:-1 gene:Vigun08g035666.v1.2 transcript:Vigun08g035666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASPARFDPARFWPDLYGPGRAFFHMLHFQSKIRFQQNYCNKNNPAVYNITDHKTNNPTIYINDILLSASHQDTVATSITSLN >Vigun05g050900.1.v1.2 pep primary_assembly:ASM411807v1:5:4360866:4362890:1 gene:Vigun05g050900.v1.2 transcript:Vigun05g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESPSVMPKLISFLSSLLKRVAESNDLNHQQQLHQKISVFHGLTCPTISIQSYLERIFKYANCSPSCFIVAYVYLDRFTQRQPSLPINSFNVHRLLITSVMVAAKFMDDLYYNNAYYAKVGGITTIEMNFLEVDFLFGLGFHLNVTPGTFQAYCAHLQREMLLMQPLNLADSSLSLGKSLKAHLCFNEDESSSHQKQQQLAV >Vigun04g014300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1031192:1032232:1 gene:Vigun04g014300.v1.2 transcript:Vigun04g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNVVGFHHHKGSLTFPSHLKLGTYATINMTTRVSCRLDDGSYVEWAEVSYTVEGSKCNLLLTRLVHSDAHFNTVTFTINDSIIWPQFKSLSLHITKTKTGLLHTLDLKADSPITWTKTRKNVDARGGAKNTKVYLYGTANRCGLLVLECEKIDYHGCAKAVTMAHYFVSSNGLAAVNRTSETTEIGFSVVVKVGICDGKFDIALEGPEQHSVSALLYMFDQVNSSGIWKPSMCPHCANIKRECSRTPANTITIDNSGNFIGHGCGAYVGGNFNVYN >Vigun07g071550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8937602:8937901:-1 gene:Vigun07g071550.v1.2 transcript:Vigun07g071550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHAGQNPRRQRRPPRANHREPTTVKELSTFGPLSSFILLRVCGRGRSFVSASPRHHHNSKPWDRVCILAATNDLRRRLDVFVLAHHRISWLLRLSQL >Vigun07g121000.20.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367728:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.16.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367127:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.13.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22360700:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367728:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22368536:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.4.v1.2 pep primary_assembly:ASM411807v1:7:22360700:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTVDHVSNVCV >Vigun07g121000.19.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367728:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.17.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367584:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.18.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367634:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.15.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367125:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.1.v1.2 pep primary_assembly:ASM411807v1:7:22367738:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTVDHVSNVCV >Vigun07g121000.14.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22360700:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22360232:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22364094:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22360232:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun07g121000.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22367676:22369397:-1 gene:Vigun07g121000.v1.2 transcript:Vigun07g121000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPNESNKISIRFQRLSMSFAAVVLLLLPTIGAVTAAPTAYEMLERFRFPEGLLPKGVTSYELDPSSGKFRADLNGSCSFSLEGSYQLNYQKTITGYISDGRLTELHGISVKVLFFWLNILHVVRVGDDLDFSVGVASASFSLDNFFVSPQCGCGLDCGDLRMRKLKLGKGNPSLSTV >Vigun02g039900.3.v1.2 pep primary_assembly:ASM411807v1:2:16465597:16469214:-1 gene:Vigun02g039900.v1.2 transcript:Vigun02g039900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNVSLRQIFAERSKERLLSRKDFSELRLNGNSSSSNGGVSRHALSDRVVRFCNGFVEFFGQLRDMARSDRRKVVFAAKAGLSLAVVSLFIYVKEEQLSKYSIWAILTVVVVFEFSVGATLNKGFNRSLGTISAGGLALGIAELAVLSGKFEEVIIVLSIFIAGFCASYVKLLPAMKTYEYGFRVFLLTFCIVLVSGRTSREFFSTAFYRLILIAIGAGICLFVNIFIYPIWSGEDLHKLVVKNFNGVAASLEGCVNGYLQCVAYERVPSKILVYQASDDPLYRGYRAAVQSSTQEDTLVDFALWEPPHGPYKTFNYPWRSYVKVSGALRHCAFMVMAMHGCILSEIQVKGTCLSVVNARYRLCCHFR >Vigun02g039900.2.v1.2 pep primary_assembly:ASM411807v1:2:16459414:16469214:-1 gene:Vigun02g039900.v1.2 transcript:Vigun02g039900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNVSLRQIFAERSKERLLSRKDFSELRLNGNSSSSNGGVSRHALSDRVVRFCNGFVEFFGQLRDMARSDRRKVVFAAKAGLSLAVVSLFIYVKEEQLSKYSIWAILTVVVVFEFSVGATLNKGFNRSLGTISAGGLALGIAELAVLSGKFEEVIIVLSIFIAGFCASYVKLLPAMKTYEYGFRVFLLTFCIVLVSGRTSREFFSTAFYRLILIAIGAGICLFVNIFIYPIWSGEDLHKLVVKNFNGVAASLEGCVNGYLQCVAYERVPSKILVYQASDDPLYRGYRAAVQSSTQEDTLVDFALWEPPHGPYKTFNYPWRSYVKVSGALRHCAFMVMAMHGCILSEIQVLLLYIVGFQVSYMYRHLQRKGWFSVMNFRELALKELKYCVNLEAKWKRWKS >Vigun02g039900.1.v1.2 pep primary_assembly:ASM411807v1:2:16459414:16469214:-1 gene:Vigun02g039900.v1.2 transcript:Vigun02g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNVSLRQIFAERSKERLLSRKDFSELRLNGNSSSSNGGVSRHALSDRVVRFCNGFVEFFGQLRDMARSDRRKVVFAAKAGLSLAVVSLFIYVKEEQLSKYSIWAILTVVVVFEFSVGATLNKGFNRSLGTISAGGLALGIAELAVLSGKFEEVIIVLSIFIAGFCASYVKLLPAMKTYEYGFRVFLLTFCIVLVSGRTSREFFSTAFYRLILIAIGAGICLFVNIFIYPIWSGEDLHKLVVKNFNGVAASLEGCVNGYLQCVAYERVPSKILVYQASDDPLYRGYRAAVQSSTQEDTLVDFALWEPPHGPYKTFNYPWRSYVKVSGALRHCAFMVMAMHGCILSEIQAPPEKRLVFSNELQRVGTEGAKVLRQLGSKVEKMEKLSHIDILLNVHDAAEQLQMKIDQQSFLLVNSESWEASKKPKEVESHENLIDLKDHENKHSLISSLSETGPDSRLNIGIESSVPELHVSQSMMCKKISWPRLSFLGDNMLLEQDSKVYESASSLSLATFASLLIEFVARLQNLVDEFQDLSEKANFKDPFDQPMLK >Vigun11g013201.1.v1.2 pep primary_assembly:ASM411807v1:11:1579554:1580858:-1 gene:Vigun11g013201.v1.2 transcript:Vigun11g013201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPIIETNKGKRRRRASSSSPERPIINRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIDNPGEKRSKVRGRWINYDRAAISEFLGNPLPLQPWQRCDFTTRRRSHEPYDENEVALLICAANQSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVDTIISKEIHKFVRYEVNSRNVKAKGALGFPALITALCQEQGVEVDLTEKIRPAITKRFIEHFCTHPEDLEQQEEPQLDQQAEDQPAEEQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYHNVRGPYPGMTPPDFFTYLQWPGDSPIFPGGGGPVAGEGPSGAADEDGANIEDEIDFGGD >Vigun03g028500.3.v1.2 pep primary_assembly:ASM411807v1:3:2145858:2146682:-1 gene:Vigun03g028500.v1.2 transcript:Vigun03g028500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun03g028500.1.v1.2 pep primary_assembly:ASM411807v1:3:2145858:2146661:-1 gene:Vigun03g028500.v1.2 transcript:Vigun03g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun03g028500.2.v1.2 pep primary_assembly:ASM411807v1:3:2145354:2146799:-1 gene:Vigun03g028500.v1.2 transcript:Vigun03g028500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun01g084100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23859819:23863494:-1 gene:Vigun01g084100.v1.2 transcript:Vigun01g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFSDFKYSDGLTVVGISFCTAIVCEAISWVLIYRTNSYKNLRSSIDKASKKLETMKTDSNKINIKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGLLNSLFEGKVVAKLPFKPFGLIMKMSHRGLQGNDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGASAGLFPMPDPKTS >Vigun07g104800.6.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRRCQRIFILSLLSLSVVAPLVFVSHRLNLLNPLGRRDFFEDLYRAAYRTDTMKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSEVHSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.3.v1.2 pep primary_assembly:ASM411807v1:7:19157436:19164027:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.10.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19162203:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQKLTFFFNLKTSEVHSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.5.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRRCQRIFILSLLSLSVVAPLVFVSHRLNLLNPLGRRDFFEDLYRAAYRTDTMKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSEVHSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.2.v1.2 pep primary_assembly:ASM411807v1:7:19157436:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.8.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSEVHSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.7.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRRCQRIFILSLLSLSVVAPLVFVSHRLNLLNPLGRRDFFEDLYRAAYRTDTMKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.4.v1.2 pep primary_assembly:ASM411807v1:7:19157436:19164027:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRRCQRIFILSLLSLSVVAPLVFVSHRLNLLNPLGRRDFFEDLYRAAYRTDTMKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.1.v1.2 pep primary_assembly:ASM411807v1:7:19157436:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRRCQRIFILSLLSLSVVAPLVFVSHRLNLLNPLGRRDFFEDLYRAAYRTDTMKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun07g104800.9.v1.2 pep primary_assembly:ASM411807v1:7:19157437:19163985:-1 gene:Vigun07g104800.v1.2 transcript:Vigun07g104800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNAVEQEDAEELDEPNQVVYTENNFVSTIGYESENNHDSKESRDAGYRGATLEINGFNQHGKEHQGTQQNELSFTSQGRNIHDSQRLLEKNIEVTTKKVQEIKDQLILAKAYLKIAPPSSNLRLRDLERLSREMELLVGEATQDSDLSMSEVHSALQKMRHMEASLSKVYRAFPDCSNMAAKLNTMKRQVEEQVRSQRHQATYLVHLAARTAPKGIHCLSMQLTAEYFALRPEERKLPNENNIHRPELYHYAVFSDNVLACAVVVNSTISSAKDQGKLVFHVLTKSLNLPAISMWFLINPPGKATVHVQSIDNFEWLSKDNSFQESNSSDPRYTSELNYLRFYLPDIFPALNKVILFDHDVVVQQDLSALWNMDMKGNVIGAVGTCQEGKIPFHRIDMFLNFSDPLIGKRFDVNACTWAFGMNLFDLQQWRRHNLTAVYHNYLQMGLSTVGSLPLGWLTFYNKTELLNRQWHILGLGYSSDVDRNEIERAAVIHYDGLRKPWLDIAMGRYKSFWTKYVNYDNHFLQRCNLQA >Vigun06g219800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33004555:33005206:1 gene:Vigun06g219800.v1.2 transcript:Vigun06g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLITVFVLALAFSSMSMSLAARHLLQNTAPNFPTIPSLPKPAALPPLPSLPTLPQTTVPPLPSLPTTLPSLTNTLPPLPQLNLPPMNSLPSIPTFTIPTTMPSIPFLSPPPSTSSP >Vigun04g051600.4.v1.2 pep primary_assembly:ASM411807v1:4:4690196:4693649:1 gene:Vigun04g051600.v1.2 transcript:Vigun04g051600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKFGEKLYTGLVTTMTAHLKEISQSIESAQGEIFLDELNRKWVDHNKALQMIRDILMYMDRTFIPSNHKTPVHELGLNLWRDVVIHSSKTQARLLDTLLELVLRERNGEVINRGLMRNIIKMLMDLGLPVYQHDFEKHFLDVSANFYCCESQKFIETCDCGDYLKKAERRLNEELERVSHYLDPRSESKITNVVEKEMIESHMHTLVHMENSGLVSMLVDDKYEDLQRMYNLFRRVTAGLTIVKEVMTSFIRDTGKQLIMDPERLRDPVDFVQRLLDLKDKYDKVITMSFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIGFYAGQGTELGDGPTLSVQVLTTGSWPTQPSPPCNLPSEILGVCDRFRTYYLGTHNGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNVEQLTCKEIEQATAIPMSDLRRCLQSLACVKGKNVLRKEPMSKDIAEDDAFFFNDKFTSKFFKVKIGTVVAQRESEPENLETRQRVEEDRKPQIEAAIVRIMKSRRTLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Vigun04g051600.1.v1.2 pep primary_assembly:ASM411807v1:4:4690194:4693649:1 gene:Vigun04g051600.v1.2 transcript:Vigun04g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKKRAFQIEAFKHRVVVDPKYAEKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYTGLVTTMTAHLKEISQSIESAQGEIFLDELNRKWVDHNKALQMIRDILMYMDRTFIPSNHKTPVHELGLNLWRDVVIHSSKTQARLLDTLLELVLRERNGEVINRGLMRNIIKMLMDLGLPVYQHDFEKHFLDVSANFYCCESQKFIETCDCGDYLKKAERRLNEELERVSHYLDPRSESKITNVVEKEMIESHMHTLVHMENSGLVSMLVDDKYEDLQRMYNLFRRVTAGLTIVKEVMTSFIRDTGKQLIMDPERLRDPVDFVQRLLDLKDKYDKVITMSFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSHDTMQGFYAGQGTELGDGPTLSVQVLTTGSWPTQPSPPCNLPSEILGVCDRFRTYYLGTHNGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNVEQLTCKEIEQATAIPMSDLRRCLQSLACVKGKNVLRKEPMSKDIAEDDAFFFNDKFTSKFFKVKIGTVVAQRESEPENLETRQRVEEDRKPQIEAAIVRIMKSRRTLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Vigun04g051600.2.v1.2 pep primary_assembly:ASM411807v1:4:4690196:4693649:1 gene:Vigun04g051600.v1.2 transcript:Vigun04g051600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKKRAFQIEAFKHRVVVDPKYAEKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYTGLVTTMTAHLKEISQSIESAQGEIFLDELNRKWVDHNKALQMIRDILMYMDRTFIPSNHKTPVHELGLNLWRDVVIHSSKTQARLLDTLLELVLRERNGEVINRGLMRNIIKMLMDLGLPVYQHDFEKHFLDVSANFYCCESQKFIETCDCGDYLKKAERRLNEELERVSHYLDPRSESKITNVVEKEMIESHMHTLVHMENSGLVSMLVDDKYEDLQRMYNLFRRVTAGLTIVKEVMTSFIRDTGKQLIMDPERLRDPVDFVQRLLDLKDKYDKVITMSFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSHDTMQGFYAGQGTELGDGPTLSVQVLTTGSWPTQPSPPCNLPSEILGVCDRFRTYYLGTHNGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNVEQLTCKEIEQATAIPMSDLRRCLQSLACVKGKNVLRKEPMSKDIAEDDAFFFNDKFTSKFFKVKIGTVVAQRESEPENLETRQRVEEDRKPQIEAAIVRIMKSRRTLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Vigun04g051600.3.v1.2 pep primary_assembly:ASM411807v1:4:4690196:4693649:1 gene:Vigun04g051600.v1.2 transcript:Vigun04g051600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKKRAFQIEAFKHRVVVDPKYAEKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYTGLVTTMTAHLKEISQSIESAQGEIFLDELNRKWVDHNKALQMIRDILMYMDRTFIPSNHKTPVHELGLNLWRDVVIHSSKTQARLLDTLLELVLRERNGEVINRGLMRNIIKMLMDLGLPVYQHDFEKHFLDVSANFYCCESQKFIETCDCGDYLKKAERRLNEELERVSHYLDPRSESKITNVVEKEMIESHMHTLVHMENSGLVSMLVDDKYEDLQRMYNLFRRVTAGLTIVKEVMTSFIRDTGKQLIMDPERLRDPVDFVQRLLDLKDKYDKVITMSFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRRGLKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSHDTMQGFYAGQGTELGDGPTLSVQVLTTGSWPTQPSPPCNLPSEILGVCDRFRTYYLGTHNGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNVEQLTCKEIEQATAIPMSDLRRCLQSLACVKGKNVLRKEPMSKDIAEDDAFFFNDKFTSKFFKVKIGTVVAQRESEPENLETRQRVEEDRKPQIEAAIVRIMKSRRTLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Vigun10g157900.1.v1.2 pep primary_assembly:ASM411807v1:10:37729285:37730467:-1 gene:Vigun10g157900.v1.2 transcript:Vigun10g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIIVNTSSFLLRQRCTKRWCSVVTENLRMQMEGTRVKAKPRSDNDNKEDKFWMRDPKSGNWIPEKHFGEVDAAELREKFLPNAHTKSTHQLN >Vigun01g224400.1.v1.2 pep primary_assembly:ASM411807v1:1:39742590:39745028:1 gene:Vigun01g224400.v1.2 transcript:Vigun01g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRSVCDVIKQYRELEEDVCEIEAGRVPIPGYLTSSFSLEMAENQCYDACRKKPATVKTSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRKFVITKTPTQVASHAQKYYIRQKLSGGKDNKRRPSIHDITIVNLTSDQEKLNESHIPSQKLKLNSMSKLQLEWINRHNNIGSLMAFNPNYDTLMSPSSAQDFYECAFHETYANLKNPGFNKEAIFGIHAL >Vigun01g224400.2.v1.2 pep primary_assembly:ASM411807v1:1:39742590:39745028:1 gene:Vigun01g224400.v1.2 transcript:Vigun01g224400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRSVCDVIKQYRELEEDVCEIEAGRVPIPGYLTSSFSLEMAENQCYDACRKKPATVKTSDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRKFVITKTPTQVASHAQKYYIRQKLSGGKDNKRRPSIHDITIVNLTSDQEKLNESHIPSQKLKLNSMSKLQLEWINRHNNIGSLMAFNPNYDTLMSPSSAQDFYECAFHETYANLKNPGFNKEAIFGIHAL >Vigun05g069900.1.v1.2 pep primary_assembly:ASM411807v1:5:6028650:6031016:1 gene:Vigun05g069900.v1.2 transcript:Vigun05g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVVDLLTLEGIPYHKNCFRCSHCKGYLTMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFQTARSSEKQNEMNKTPNRLSSMFSGTLDKCSVCSKTVYPLEKMTLEGECYHKTCFRCAHAGCHLTHSSYAALDGVLYCRHHFQQLFMEKGNYHHVLQAAANRKNVTPPPEPVEEEEPPKPKEPEEEETEEKS >Vigun02g179200.2.v1.2 pep primary_assembly:ASM411807v1:2:32034890:32042029:1 gene:Vigun02g179200.v1.2 transcript:Vigun02g179200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQVLSQNLQGIRSRSPIRRMSRRMVYYLQENWKRLWVLALWVSVMIGLFTWKFIEYKRKNAYHVMGYCLLAAKGAAETLKFNMALILFPVCRNTITWLRSTKLSYIAPFDDNINFHKTIAAAVVIGVILHAGNHLACDFPKLVNSSHKTYEKYLDGVFGDHKPSYGDLIKGVEGVTGILMVILMAIAFTLATKWFRRNLIKLPKPFNRLTGFNAFWYSHHLFVIVYVLLIIHGVNLYLERKWHLQTTWMYLAVPIVLYVGERTLRYFRSGFYTVRLIKVAIYTGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELRRVFSAACEPPVAGKSGLLRADETTKKCLPKLRIDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILKDLLNNIVKMEELADSVSDSSRGSDLSTGSTDSPSFNKISPKRKKTLKTTNSYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKICSKHCNGRIGVFYCGAPVLAKELSKLCFEFNEKGQTKFEFHKEHF >Vigun02g179200.1.v1.2 pep primary_assembly:ASM411807v1:2:32032957:32042029:1 gene:Vigun02g179200.v1.2 transcript:Vigun02g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSPKHERRWASDTVPDIAFVSAGTSPGTESYSVADEYVEVTLDVQYDHTVVLRGVEPVAVVNVDEGYAASGTETPVSSAWSPSIRRSSPSRWRQFSQELKTEAVAKARQFSQELKAELRRFSWGQGGSETAFVPRDLRKQRAQLERNRSGTKKALRGLKFISSKSNGVDAWNEVQSNFDSLARDGFLYRTDFAQCIGMKDSKEFALELFDALGRRRRLRVEKISRDELSEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQVLSQNLQGIRSRSPIRRMSRRMVYYLQENWKRLWVLALWVSVMIGLFTWKFIEYKRKNAYHVMGYCLLAAKGAAETLKFNMALILFPVCRNTITWLRSTKLSYIAPFDDNINFHKTIAAAVVIGVILHAGNHLACDFPKLVNSSHKTYEKYLDGVFGDHKPSYGDLIKGVEGVTGILMVILMAIAFTLATKWFRRNLIKLPKPFNRLTGFNAFWYSHHLFVIVYVLLIIHGVNLYLERKWHLQTTWMYLAVPIVLYVGERTLRYFRSGFYTVRLIKVAIYTGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELRRVFSAACEPPVAGKSGLLRADETTKKCLPKLRIDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILKDLLNNIVKMEELADSVSDSSRGSDLSTGSTDSPSFNKISPKRKKTLKTTNSYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKICSKHCNGRIGVFYCGAPVLAKELSKLCFEFNEKGQTKFEFHKEHF >Vigun04g031100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2485213:2486997:1 gene:Vigun04g031100.v1.2 transcript:Vigun04g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWISRTTLRFGLSSSIPKFHPFLSNPSSLSSFRSHTQTPLLHDHYVTHAVFQFDSIIRTHPVPPIYEFGKILGSLTKMKRYPTVISLSKQMEMKGIHHNLFTLSILINCFCHLSQMTFAFSILGKIFKLGYHPNVVTLTTLMRGLCTNGEVKKALNFHDKVVAQGFRFNEVGYGTLIQGLCDIGETKAAIQLLRIIEVRSIKPNVVMYTTIIHHLFIDELANEAFDLYSEMVAKGISPDLYTCNILIDALCKKGRIKQAKNVLAMMVKAYVKPDIVTLNTLMDGYYLVNEVKNAKHVFNLITKVGVTPTVYTYNIMINGSGKSKKVDEAMNLFRDMHKRNMVPDTVTYNSLIDGLCKSGRIAYVWDLIDEMHDRNQQPNVIIYNCLIDALCKSYHLDEAFELLKTMMEKGIQLDMYTWNILIDGMCRGGRVEKAQEIFHDLLIKGYPLDVYSYSIMINGLCKKGLLDEALTLWSKMEDNSCMPNAVTFGIMIRALFSKDETDKAKIFLREMISRGLLKS >Vigun01g143000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32422050:32422986:-1 gene:Vigun01g143000.v1.2 transcript:Vigun01g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCISLKLRLRLPPARKAWKSFTSTIGKLSKSKSKSMKKPRKPSKPITKLATSKAPPKFIVGKRFFRHKRLVTVRNLILGFHKKPAPVYIDKLFKEPSCELVGQLKLKPPTAQKPRTKRVSGEEGTRKSGGRSCGSDGMWESLALASPQMQGIDERAEEFITRFRQEMAAQEMIARNL >Vigun10g154600.1.v1.2 pep primary_assembly:ASM411807v1:10:37477168:37480981:-1 gene:Vigun10g154600.v1.2 transcript:Vigun10g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGMGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFNRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFQCGRASKGVNSNWVIHEYHALNFLESQNAFVLCRLIKKPEKKTEGGTAALICDEGESSRSVVSDYENQAIAEGVPSGGTLTGMETICQVTYQAEKCISPTEPSLIEIEQDDDAYFRNENNNGRSPSEIMQIPYETMHTPCETIHTPYEIMQIPCETMQTLYETLQIPCETMQSSCESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTPFESMHTPCEPVQTPCETMQISCESMQNPCEPVQISCETMQISHETNQIPFEILQFPLETMQTPSQTTQIPFETIEVPFQTTQTPLETTQILPKLPNSLLADEYLVNQSKSLKRAYCESSYRDAEVVPELDTSFEDISTLYTEYLNSEEYHALKRFKTSYDVGHGDTHLLFSSQEASEEKQESIFQDDLWGWETSSCDSTTNKLVEINYSEISSFPCT >Vigun03g331200.2.v1.2 pep primary_assembly:ASM411807v1:3:52866884:52872549:1 gene:Vigun03g331200.v1.2 transcript:Vigun03g331200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRIGKLDTLLSFTRQRSTQIFIVLGLFYILFVFLEIPFVFRTAQEQPSRLPPLVRPPNGVVSGLTLNDAAFDSSLYQSACRVGRAIWAELKSGKAQGPVSKPDNQSRPCPGSISVSGPVFFSRGRSMVIPCGLTLGSHLTVVGEPLKPQRRKCQFMMELQGLTTVEGEEPPRVLHFNPRLKGDWSGKPVIELNTCYRMHWGTAMRCDGWKSRAGEDTVDGLVKCEKWIRGDDDDDGDTDRHAVESNAEWWLKRLIGRTKRVPIDWPFPFSVNKLFVLTLSAGLEGYHVHVDGRHVTSFPYRTGFALEDATGLILSGDISVRSVFAASLPSVHPNISSQQQLEFSTRWRAPSLPHFGVELFVGILSAGNHFAERMAVRKSWMQHSLIKSSKVVARFFVALNPRKEINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVSAEYIMKGDDDTFIKVDDVITRVRNVPYSMSFYIGNINYRHRPMRHGKWAVTYEEWPEEEYPPYANGPGYILSSDIASYILSEFEKHKLRLFKMEDVSMGMWVEQFNRTKPVYYFHSLRFCQFGCVEGYITAHYQSPRQMICLWEKWQMQTIPECCNMR >Vigun03g331200.4.v1.2 pep primary_assembly:ASM411807v1:3:52866884:52872549:1 gene:Vigun03g331200.v1.2 transcript:Vigun03g331200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPELGKILVRKIDGLVKCEKWIRGDDDDDGDTDRHAVESNAEWWLKRLIGRTKRVPIDWPFPFSVNKLFVLTLSAGLEGYHVHVDGRHVTSFPYRTGFALEDATGLILSGDISVRSVFAASLPSVHPNISSQQQLEFSTRWRAPSLPHFGVELFVGILSAGNHFAERMAVRKSWMQHSLIKSSKVVARFFVALNPRKEINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVSAEYIMKGDDDTFIKVDDVITRVRNVPYSMSFYIGNINYRHRPMRHGKWAVTYEEWPEEEYPPYANGPGYILSSDIASYILSEFEKHKLRLFKMEDVSMGMWVEQFNRTKPVYYFHSLRFCQFGCVEGYITAHYQSPRQMICLWEKWQMQTIPECCNMR >Vigun03g331200.1.v1.2 pep primary_assembly:ASM411807v1:3:52866884:52872549:1 gene:Vigun03g331200.v1.2 transcript:Vigun03g331200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRIGKLDTLLSFTRQRSTQIFIVLGLFYILFVFLEIPFVFRTAQEQPSRLPPLVRPPNGVVSGLTLNDAAFDSSLYQSACRVGRAIWAELKSGKAQGPVSKPDNQSRPCPGSISVSGPVFFSRGRSMVIPCGLTLGSHLTVVGEPLKPQRRKCQFMMELQGLTTVEGEEPPRVLHFNPRLKGDWSGKPVIELNTCYRMHWGTAMRCDGWKSRAGEDTVDGLVKCEKWIRGDDDDDGDTDRHAVESNAEWWLKRLIGRTKRVPIDWPFPFSVNKLFVLTLSAGLEGYHVHVDGRHVTSFPYRTGFALEDATGLILSGDISVRSVFAASLPSVHPNISSQQQLEFSTRWRAPSLPHFGVELFVGILSAGNHFAERMAVRKSWMQHSLIKSSKVVARFFVALNPRKEINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVSAEYIMKGDDDTFIKVDDVITRVRNVPYSMSFYIGNINYRHRPMRHGKWAVTYEEWPEEEYPPYANGPGYILSSDIASYILSEFEKHKLRLFKMEDVSMGMWVEQFNRTKPVYYFHSLRFCQFGCVEGYITAHYQSPRQMICLWEKWQMQTIPECCNMR >Vigun03g331200.5.v1.2 pep primary_assembly:ASM411807v1:3:52866884:52872549:1 gene:Vigun03g331200.v1.2 transcript:Vigun03g331200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPELGKILVRKIDGLVKCEKWIRGDDDDDGDTDRHAVESNAEWWLKRLIGRTKRVPIDWPFPFSVNKLFVLTLSAGLEGYHVHVDGRHVTSFPYRTGFALEDATGLILSGDISVRSVFAASLPSVHPNISSQQQLEFSTRWRAPSLPHFGVELFVGILSAGNHFAERMAVRKSWMQHSLIKSSKVVARFFVALNPRKEINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVSAEYIMKGDDDTFIKVDDVITRVRNVPYSMSFYIGNINYRHRPMRHGKWAVTYEEWPEEEYPPYANGPGYILSSDIASYILSEFEKHKLRLFKMEDVSMGMWVEQFNRTKPVYYFHSLRFCQFGCVEGYITAHYQSPRQMICLWEKWQMQTIPECCNMR >Vigun03g331200.3.v1.2 pep primary_assembly:ASM411807v1:3:52866884:52872549:1 gene:Vigun03g331200.v1.2 transcript:Vigun03g331200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRIGKLDTLLSFTRQRSTQIFIVLGLFYILFVFLEIPFVFRTAQEQPSRLPPLVRPPNGVVSGLTLNDAAFDSSLYQSACRVGRAIWAELKSGKAQGPVSKPDNQSRPCPGSISVSGPVFFSRGRSMVIPCGLTLGSHLTVVGEPLKPQRRKCQFMMELQGLTTVEGEEPPRVLHFNPRLKGDWSGKPVIELNTCYRMHWGTAMRCDGWKSRAGEDTVDGLVKCEKWIRGDDDDDGDTDRHAVESNAEWWLKRLIGRTKRVPIDWPFPFSVNKLFVLTLSAGLEGYHVHVDGRHVTSFPYRTGFALEDATGLILSGDISVRSVFAASLPSVHPNISSQQQLEFSTRWRAPSLPHFGVELFVGILSAGNHFAERMAVRKSWMQHSLIKSSKVVARFFVALNPRKEINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVSAEYIMKGDDDTFIKVDDVITRVRNVPYSMSFYIGNINYRHRPMRHGKWAVTYEVIKTCWLLQCLYHS >Vigun06g198600.6.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383747:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEDCNDDV >Vigun06g198600.2.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383852:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEDG >Vigun06g198600.4.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383673:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEGQEKTR >Vigun06g198600.9.v1.2 pep primary_assembly:ASM411807v1:6:31380897:31381949:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEGQEKTR >Vigun06g198600.7.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383674:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEGQEKTR >Vigun06g198600.8.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383003:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEDCNDDV >Vigun06g198600.5.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383747:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEDCNDDV >Vigun06g198600.3.v1.2 pep primary_assembly:ASM411807v1:6:31380749:31383852:1 gene:Vigun06g198600.v1.2 transcript:Vigun06g198600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARCLLRSATSRAAGAANLAAGARTRPARSPFRLPKQTSISNRVFRLPVEASFCVESMLPYHSATASALLNSMLSVSRHSYGWTPEGS >Vigun05g172100.1.v1.2 pep primary_assembly:ASM411807v1:5:30847512:30853173:-1 gene:Vigun05g172100.v1.2 transcript:Vigun05g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTEISILHHVGIVLIAIWILSALNSCHSVVYFVALIYLFLVHERFVTRLRKKLQFEERKQANQRRVLSDSETVRWLNHAVENIWPICMEHIASQKILFPIIPWFLEKYKPWTAKEAVVQHLYLGRNPPMFTEIRVLRQTEDDHLVLELGMNFLSADDMSAILAVKLRKRLGFGMWAKLHITGMHIEGKILVGVKFLPTWPFLGRLRVCFVEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFVSPQQECWFSVDEMEPVAYAKVEVIEATDMKPSDLNGLADPYVKGHMGGYRFRTKIQRKTLTPKWHEEFKIPIITWESDNVLVIAVRDKDHFYDDILGDCSVNINDFRDGQRHDIWLQLENMKMGRLRLAITILEANGKENMEFEVRKNSFGAHNTADNSSPSHVPPENSQKLADNYEPIDIEGQKETGVWVHHPGSEVSQKWKPRKGKSRRLDTEIRGESNDLVGSGRSTESGSLNNDSSSSDNNPEEKHRLRSFRRGLHKIGSVFHRNKGGRSRFKRTLYLHVITLGQ >Vigun08g171000.2.v1.2 pep primary_assembly:ASM411807v1:8:34146299:34157286:-1 gene:Vigun08g171000.v1.2 transcript:Vigun08g171000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDHDEPEKKRPHLTSVSSRTSRNSINSPTTNKTADAGVLQFQNQQLVQQIDVQKHALHGLEEKIRELKGKQSSYDDLLIALNQLWTQLVDDMILLGIQAGRGKGKDTLRYLTDIENPKGSLPLCPAEDIFLCRLIQKDSFKGISDDEISSYVQEALALRQSSTMELLKLLKDIIDDQMERSGGIAQILDGDLSSEDAITLMTKIDDMMKEEANDLQEVIDSLHAKHKEYTVGIQNFINECLQEKSDIKHLAGELDEIVAELEESRRKLVNLEMQKDTSIGMNSPNADAVNGNLSPENIADRSMGLRELKDSIEEAKIVDADRFSELQEAQEDNQTLTKQFQDLQNDLKDDKYIRSSRIYSLANDQLQHWTSELARYKTLVESLQAGSVHIAKWENELNLKLESADSARQLFDNSDHRIDELEHQLQKCTLEKNDLEIKMEEAKQDAGRKDIKSEFRVMASALSKEMGMMEAQLKRWKDAAHEAVSLREKAHSLKEILNMKTSEVKSLANKCAEQVLEIRSLKMLTEKLQKENQELEFVLDMYSQENYDKSYSEVRESESKAQSQAGVLQNALDEHSLELRVKAANEAEAACEQRLSAAEAEIEDLRAKLDVSERGILELTEAIKVKEAEAEAYISEIETIGQAYEDMQTQNQNLLDQVIERDEYNIKLVSDSVKAKQAYNTILTQKQALAKQLQQLNTSIESSKARIAHSEEQMKAILSEAIKCNEEEKHLAVTLEFTRWELADAEKELKLLKSSVSSSEKEYDQIQKDTEAIEMELESERSSRKKLEEELRELNSQIAELTSETGETTIQKLEKEIRICKNMIKCTVCTDRPKEVVIVKCYHLFCNPCIQRNLELRHRKCPACGTAFGQSDVRFVKI >Vigun08g171000.1.v1.2 pep primary_assembly:ASM411807v1:8:34146298:34157286:-1 gene:Vigun08g171000.v1.2 transcript:Vigun08g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDHDEPEKKRPHLTSVSSRTSRNSINSPTTNKTADAGVLQFQNQQLVQQIDVQKHALHGLEEKIRELKGKQSSYDDLLIALNQLWTQLVDDMILLGIQAGRGKGKDTLRYLTDIENPKGSLPLCPAEDIFLCRLIQKDSFKGISDDEISSYVQEALALRQSSTMELLKLLKDIIDDQMERSGGIAQILDGDLSSEDAITLMTKIDDMMKEEANDLQEVIDSLHAKHKEYTVGIQNFINECLQEKSDIKHLAGELDEIVAELEESRRKLVNLEMQKDTSIGMNSPNADAVNGNLSPENIADRSMGLRELKDSIEEAKIVDADRFSELQEAQEDNQTLTKQFQDLQNDLKDDKYIRSSRIYSLANDQLQHWTSELARYKTLVESLQAGSVHIAKWENELNLKLESADSARQLFDNSDHRIDELEHQLQKCTLEKNDLEIKMEEAKQDAGRKDIKSEFRVMASALSKEMGMMEAQLKRWKDAAHEAVSLREKAHSLKEILNMKTSEVKSLANKCAEQVLEIRSLKMLTEKLQKENQELEFVLDMYSQENYDKSYSEVRESESKAQSQAGVLQNALDEHSLELRVKAANEAEAACEQRLSAAEAEIEDLRAKLDVSERGILELTEAIKVKEAEAEAYISEIETIGQAYEDMQTQNQNLLDQVIERDEYNIKLVSDSVKAKQAYNTILTQKQALAKQLQQLNTSIESSKARIAHSEEQMKAILSEAIKCNEEEKHLAVTLEFTRWELADAEKELKLLKSSVSSSEKEYDQIQKDTEAIEMELESERSSRKKLEEELRELNSQIAELTSETGETTIQKLEKEIRICKNMIKCTVCTDRPKEVVIVKCYHLFCNPCIQRNLELRHRKCPACGTAFGQSDVRFVKI >VigunL032525.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:218675:219007:-1 gene:VigunL032525.v1.2 transcript:VigunL032525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun09g007700.1.v1.2 pep primary_assembly:ASM411807v1:9:574263:580222:-1 gene:Vigun09g007700.v1.2 transcript:Vigun09g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPEANGSNVADEETLGSSIHFGTAEAVEYVRSLTDVGAMTRLLHECIAHQRAVDVELDELLSQRTDLDRHLLQLQRSSDVLDIVNSDAEYMLSNVASTSDLADQVSRKVRELDLAQSRVRNTLLRIDAIVERANSLEGVHRALEDEDYESAARYVQTFLQIDAQYKDSGSDQLQRDRLLAAKKQLEGIVRKKLSAAVDQRDHPAILRFIRLFTPLGVEEEGLQVYVGYLKKVIAMRSRMEFEQLVETMDQRNVNFVGCLTNLFKDIVLAIEENSEILSGLCGEDGIVYAICELQEECDSRGSAILNKYMEYRKLAKLSSEINAHNTSLLAVGGGPEGPDPREVELYLEEILSLMQLGEDYTEFMISKIKGLTSIDAELLPRATKAFRSGSFSKVAQDLTGFYVILEGFFMLENVRKAIRIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLGNEYHEALQQKIRETNLGTKLFFGGVGVQKTGTEIATALNNMDVSSEYVLKLKHEIEEQCAEVFPAPADREKVKSCLTELADSNNAFKQALNAGIEQLVSTITPRLRPVLDSVGTISYELSEAEYADNEVNDPWVQRLLHSVETNVAWLQPLMTSNNYDTFVHLIVDFIVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFSVMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAAVKL >Vigun02g141401.1.v1.2 pep primary_assembly:ASM411807v1:2:29012364:29013125:1 gene:Vigun02g141401.v1.2 transcript:Vigun02g141401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTKSSTSVVLAATTVFRVSSISCAVTFVKTLGLGLFHGDMGYQHVPFLSPFIR >Vigun04g075066.1.v1.2 pep primary_assembly:ASM411807v1:4:9169246:9172541:-1 gene:Vigun04g075066.v1.2 transcript:Vigun04g075066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWRLAALSVAPGGQTKFLVGSGYAGLVTSGEVRNGDLRCVIDAGGEVRICGTLVWGYERGDNVKTKAQSKNVVFSLMDPRISADELTIMSQSGSQLTQSSQYSDAERFVYKCLVKSISEIPLIKKEIMCVTVATTIKFSLDNDGWYSLVCNHCNKRTNETGPFKCTYCDQENNIPMFKYKLQLQVCDDAFNYANFVVWDQECRNIIGISAEELQKKMIKVGEDDPKCFPDDLDVMLGCTLAFKVRTQPRNRCAFVIKVHKNIIDLGGDTSSGMIAEFRSKRVCNLAADTENDLMSLSGTADNDPDNSSLGTPSKRILPNSGVSVESSQDIESGELSATKPMKTIKKEIE >Vigun08g169200.1.v1.2 pep primary_assembly:ASM411807v1:8:34027745:34028205:1 gene:Vigun08g169200.v1.2 transcript:Vigun08g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKIVIKVQVASEKCRKEAMVIAAECEGACVESIELLYGECSDEVVVTGEEVDAFRCAKLIRVENMEEGGEEGGQEEEDETITEKIYPPSCPICCSTSIIPSFIVYDYTYPNNCSIL >VigunL054200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000032.1:11266:11487:-1 gene:VigunL054200.v1.2 transcript:VigunL054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMSNKLAIIMVFTILVVLAMEPHHVECKFITPMNPCKPFRCFTLCHEVKQEYYNGFYCMENSEGSFCVCN >Vigun03g013100.1.v1.2 pep primary_assembly:ASM411807v1:3:878951:882394:1 gene:Vigun03g013100.v1.2 transcript:Vigun03g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPSTVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPGTVHEGRIYQLKLFCGKDYPENPPSVRFQTRINMTCVNHETGVVEPHLFPMLANWQRESTMEDILMQLKKEMTSPQNRKLAQPPEGNEDGRIDQKGLVLRCCIM >Vigun03g111500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10102343:10104603:1 gene:Vigun03g111500.v1.2 transcript:Vigun03g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSSSGLDWKQTLLEAQDLELPKPNLMRKQQQQQQQQHQQTQPSESLKCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRVKKPNTPTPSSTTATTTPTTASPSTCTATVTTSIGKMDAMLGGGHMTIQTPLADDHKNMASSLYQALIRPPPLLLQQNLMNARDLDGKDFGIGIGNNGIFPSSTLPLPHQSQSLLFPFSTSSSSFDTNPCSVSTSLRSSNVYNYGEEFKAAEEPTINSTAIAPGTGGANTQPWEIAATSGVGLGTSNYWNWEDFDSLVSTDLKDPWDDSDIKP >Vigun06g037975.1.v1.2 pep primary_assembly:ASM411807v1:6:15538802:15540781:-1 gene:Vigun06g037975.v1.2 transcript:Vigun06g037975.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFCIRGEMSLVLRQMNKTFYSNKEIFLQELIIMLQILAYNLGVIVTSKHKDHDQYIWESQPGASFIVNNDINAQQPSRGTNITLFLKDNQIGCVRRSWALLFENSHFMNLLRNNFNSNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGESINGILCLYVKDLVYLWNPTINEFKVIPPSPFKNAPYYIYIGIKYHGFGYDCVRDDYKVVREVSFLLILMTMWNHMILRSNSWTILQLCDCVPTSFDDNNKFYLEGMCHWLGYAESCIQHVVSFDLINKSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPIGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHGGYSQLVVHKESLLTIARINS >Vigun04g000200.1.v1.2 pep primary_assembly:ASM411807v1:4:37825:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLEVDQSTITIFICLVPVVMDACVKLWLFKFLPRLSPRVTNIFQEMKRH >Vigun04g000200.6.v1.2 pep primary_assembly:ASM411807v1:4:37825:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGCQSIEGIILNLVGKLC >Vigun04g000200.7.v1.2 pep primary_assembly:ASM411807v1:4:37825:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGCQSIEGIILNLVGKLC >Vigun04g000200.4.v1.2 pep primary_assembly:ASM411807v1:4:37824:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLEVDQSTITIFICLVPVVMDACVKLWLFKFLPRLSPRVTNIFQEMKRH >Vigun04g000200.2.v1.2 pep primary_assembly:ASM411807v1:4:37825:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLEVDQSTITIFICLVPVVMDACVKLWLFKFLPRLSPRVTNIFQEMKRH >Vigun04g000200.5.v1.2 pep primary_assembly:ASM411807v1:4:37825:47083:1 gene:Vigun04g000200.v1.2 transcript:Vigun04g000200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMSSSTVLCDSLILFNQKLLLNSFVSHGSSNFVIQLGSKTRRLNGFISKAEKHSGHSRKSSWWQKFFFEDDGNWLGLRDDDMVETEAEAVAAGEAESTRELSEGEKFEAWKQRAEAIVELREAQEDRKNQEYRKWEDWLLDGDGINREGNTSSSDWEQGMKDYRDNVRDDSGDLPADKGLVESARYLIFGGEDEDMLYEDRVFQYASSNSAKFLALLIIVPWAMDFLVHDYILMPFLDRYVKTVPLAAQMLDVRRYQKLEIVEELKTERGRFELEVEIGKSPPLSDEEVWWELRHKALELREERRLENRRAFANIWSDTVYGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLEVDQSTITIFICLVPVVMDACVKLWLFKFLPRLSPRVTNIFQEMKRH >Vigun08g004600.1.v1.2 pep primary_assembly:ASM411807v1:8:393990:399248:-1 gene:Vigun08g004600.v1.2 transcript:Vigun08g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSSSSFSQSLPSNMLCFALLVLSVSLKTKALLKLPPNVSVPAVFVFGDSVVDTGNNNNRTTSFARSNFAPYGRDFEGGVPTGRFSNGKVPSDLIVEELGIKELLPAYLKPNLEGSDLVTGVCFASGGSGYDPLTSILEGSMALSGQLDLFKEYTVKLKGLVGDERAKFIIANSLFIVVAGSSDISNTYRTRSLLYDPPSYSDLLLNSASTFLTELNELGARRIAVFSAPPIGCLPFQRTVGGGIEKKCAPRPNNLAQLFNTKLSNLVGSLNRNFPSSRNVFINVYDPLLDIIVNYQKYGYKVGDTGCCGTGRIEVAILCNSFDTTCPNVQDYVFWDSFHPTESAYKRLIAPILQKYLYQFK >Vigun08g004600.2.v1.2 pep primary_assembly:ASM411807v1:8:393990:399248:-1 gene:Vigun08g004600.v1.2 transcript:Vigun08g004600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSSSSFSQSLPSNMLCFALLVLSVSLKTKALLKLPPNVSVPAVFVFGDSVVDTGNNNNRTTSFARSNFAPYGRDFEGGVPTGRFSNGKVPSDLIVEELGIKELLPAYLKPNLEGSDLVTGVCFASGGSGYDPLTSILEGSMALSGQLDLFKEYTVKLKGLVGDERAKFIIANSLFIVVAGSSDISNTYRTRSLLYDPPSYSDLLLNSASTFLTELNELGARRIAVFSAPPIGCLPFQRTVGGGIEKKCAPRPNNLAQLFNTKLSNLVGSLNRNFPSSRNVFINVYDPLLDIIVNYQKYVGDTGCCGTGRIEVAILCNSFDTTCPNVQDYVFWDSFHPTESAYKRLIAPILQKYLYQFK >Vigun05g062000.1.v1.2 pep primary_assembly:ASM411807v1:5:5343101:5345892:-1 gene:Vigun05g062000.v1.2 transcript:Vigun05g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDSDESDEEYDPVEDVQRVIESVVQIGDYRRPQRRECHNLVRRFKLMLPILEELRDIPQPFTKNGVAWLVKFKEALLYAKDLLQLCSQGSKIYLSLETETIMGTFQKVYERLGQAFGAVPCEELGISDEVKEQLELMHVQLKRARRRTDTQDIELAMDMMVVFSDEDDRNSDSAIIERLAKKLELHSVEELNVETVAIRQLAEERKGQHIESTKRIIKLLNKFKHVAGMPETSVLDDPVAPKMLERCTSLVIPHEFLCPITLEIMTDPVIIASGQTYERESIERWFQANHRTCPKTRQPLQHTSLAPNCALRSLIEEWCENNNFKLPKRYDSAQESCPGKDEIPALVESLGSIHLEEQRKAVEKIRMLSKENAENRVLVAERGGIPPLVQLLSYPDSKIQEHAVTALLNLSIDEGNKRLISSEGAIPAIIEVLENGSCVAKENSAATLFSLSMIDEIKEIVGQSNGLPPLVNLLKNGTVRGKKDAITALFNLSLNHANKGRAIRAGIVAPLLQLLKDVNLGLIDEALSILLLLVLNSEGRQEIGQLSFIETLVQFMREGSPKNKECAASVLLELCLNNSSFILAALQFGVYEHLIEIKQNGTNRAQRKAMTILELVTKSEQI >Vigun09g176400.1.v1.2 pep primary_assembly:ASM411807v1:9:34829259:34830599:1 gene:Vigun09g176400.v1.2 transcript:Vigun09g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHKALAESPRPEIHAEFEASSKKRKWEEPFAEDFFKDQTTLEKKKSIFDIEIHPETPFSSEKWRQYLTIQSGQIQLCNTRTTSEDHKRSPEPPPSHHMSLDLELNLTCESLRKKDDSYNNVNEKQSSGSPGGLSEPDHDLFSEPSKCKKDSEGINIRSPSWLSSTEDDYNEMVATVCMRCHMLVMLCKSSPSCPNCKFMHPPDQNPSKFLKRRCSLFC >Vigun02g096000.1.v1.2 pep primary_assembly:ASM411807v1:2:25180150:25184993:1 gene:Vigun02g096000.v1.2 transcript:Vigun02g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLINANPIIYEKKERRTRTAPTAIQDEYAVEPIDQQEIFDHIRDIKDPEHPYSLEELKVITEEAVEVDDQRNYVRITFTPTVEHCSMATIIGLCLRVKLMRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVDECLAPSYY >VigunL059093.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000602.1:3642:4070:1 gene:VigunL059093.v1.2 transcript:VigunL059093.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANASIITPPPSPSSLAQNATVPPPSSTIATPFSSPPRSFSTMRQPWQPPRANQNTAISIFSAFLTTARRHSQPLQRASAHGHHEQQRLFTTCSAAPSSREPVPPLHLQPSRPPEKPPRRRSSFHTNLALLCSQRSKRKP >Vigun07g113000.2.v1.2 pep primary_assembly:ASM411807v1:7:20915104:20924646:-1 gene:Vigun07g113000.v1.2 transcript:Vigun07g113000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYREIGLRLKEYPEEDVIKARKLVASFLKVPEEVEERIEEAAEKGELTELVLMVIWNRLDIARRDEEKDAIRSLDLLYRRAETEILKREATPAMRLLNDLLIMYDGHDFEQWLKKCKKVMVDAFPQEDPFSILVPPGLESFDIDKHQGPLRPSLEDDEILLRVDFVREVDELLREVRSEKSEVQNESGFDLESVANRLKQQEKQQTIRQVEALLDLAVGLKW >Vigun07g113000.1.v1.2 pep primary_assembly:ASM411807v1:7:20915104:20924646:-1 gene:Vigun07g113000.v1.2 transcript:Vigun07g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLTSSLSLCYCSFPALTTLPTFPSSYKCTSGAVLRRCVKREKEEELLQGMPKEYYDDEWQAQQRKKTKELQRRRRQEEEEEERKMGEYREIGLRLKEYPEEDVIKARKLVASFLKVPEEVEERIEEAAEKGELTELVLMVIWNRLDIARRDEEKDAIRSLDLLYRRAETEILKREATPAMRLLNDLLIMYDGHDFEQWLKKCKKVMVDAFPQEDPFSILVPPGLESFDIDKHQGPLRPSLEDDEILLRVDFVREVDELLREVRSEKSEVQNESGFDLESVANRLKQQEKQQTIRQVEALLDLAVGLKW >Vigun05g020600.2.v1.2 pep primary_assembly:ASM411807v1:5:1720125:1723553:-1 gene:Vigun05g020600.v1.2 transcript:Vigun05g020600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKRHIASSLARELLALQPLFILFLFSLHHNTVQCQGRLSKHVSSEPPSPSRPSPPSSSGYKDDPKKIIFSLVLGAVTGLVCSVFFALVVRCVVQYLNRTPILKGPVIFSPKIAPKTLQIALAKENHLLGSSPNGKYYKTVLDNGLTIAVKRLTPFGSNSPEAKKKSLKRQIQTELELLASLRHRNLMSLRAYVREPDGFSLVYDYVSTGSLSDVMNRVWENELAFGWEVRLRIAVGVVKGLQYLHFTCAPQILHFNLKPTNVMLDGDFEPRLADYGLAKLLPNLDRGTSLYTPPECFHNCRYTDKSDIFSFGMILGVLLTGKDPTDPFFGEAASGGSLGCWLRHLQQAGEARDALDKSMLGEEGEEDEMLMAVRIAAACLSDMPADRPSSDELVHMLTQLHSF >Vigun05g020600.1.v1.2 pep primary_assembly:ASM411807v1:5:1720125:1723553:-1 gene:Vigun05g020600.v1.2 transcript:Vigun05g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKRHIASSLARELLALQPLFILFLFSLHHNTVQCQGRLSKHVSSEPPSPSRPSPPSSSGYKDDPKKIIFSLVLGAVTGLVCSVFFALVVRCVVQYLNRTPILKGPVIFSPKIAPKTLQIALAKENHLLGSSPNGKYYKTVLDNGLTIAVKRLTPFGSNSPEAKKKSLKRQIQTELELLASLRHRNLMSLRAYVREPDGFSLVYDYVSTGSLSDVMNRVWENELAFGWEVRLRIAVGVVKGLQYLHFTCAPQILHFNLKPTNVMLDGDFEPRLADYGLAKLLPNLDRGTSLYTPPECFHNCSRYTDKSDIFSFGMILGVLLTGKDPTDPFFGEAASGGSLGCWLRHLQQAGEARDALDKSMLGEEGEEDEMLMAVRIAAACLSDMPADRPSSDELVHMLTQLHSF >Vigun05g292884.1.v1.2 pep primary_assembly:ASM411807v1:5:47903504:47908168:-1 gene:Vigun05g292884.v1.2 transcript:Vigun05g292884.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFFDCKLPRVINDCFGFAGSLLLNKLIQFLQQGSVNVDGYLLALSLGLTSIIKRKRTLLCCAPLLSLALHCSLALLLKNSDLGNREP >Vigun07g283500.1.v1.2 pep primary_assembly:ASM411807v1:7:39727098:39729052:1 gene:Vigun07g283500.v1.2 transcript:Vigun07g283500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVLGFWSSPFVHRVIWALKLKGISYEYIEVDRHNKGDLLLQSNPVYKKVPVLIHGGRAIAESTIILQYIEDTWPHNPLLPKDNHQKALARFWIKFGEDSIASITDLFLRPCKDEQERASAWKRAQETVTVIEEQGLGDEKFFGGNNIGMVDIAYGCLSHWLEGLEEIAGRKLIEPNKFPRLHAWTQNFKQVPVIRENLPDYGKLLIHLQWRMQQ >Vigun06g102500.3.v1.2 pep primary_assembly:ASM411807v1:6:23250618:23255776:-1 gene:Vigun06g102500.v1.2 transcript:Vigun06g102500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSLYNVVISIDVKGFGESDSWSHHFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYYYCDYYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPADINAALKYDLRLHPYFESKDESSKPVGDLFPTPSDPSQYLDGTRIYIQGSMWDGFANGMGDFTDGPYEIQNPENFFKDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLSNGYVEEVPNAIWEYHKYIPYSKPTKVHDQIQLYGDAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYLIEVVNTTSEKLSNVAIETSVWDLEGTRPYYSLNENLSLLPKKVAPIVEMKYPKSKDPKPVYFLLLKFYNMSDKSVISRNFYWLHLSGGDYKLLEPYREKKIPLKITSKVSIEESVYNIQMHVTNSSEIPESRSSTVKPSDGFCGTQSLETPHCSVGKEHETVLFKGIHECFSGKSDGLKVTEIKGSDVGVAFFLQISVHKKDYKEGEDTRILPVHYSDNYFSLVPGETMPINISFEVPQGVTPQVILHGWNYNGGELIYEVV >Vigun06g102500.5.v1.2 pep primary_assembly:ASM411807v1:6:23250618:23257406:-1 gene:Vigun06g102500.v1.2 transcript:Vigun06g102500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHRKRTLDSGWFAARSTEVQFTGTQLTTTHPPTGPTSPWMEALVPATVLATLVKNKVVPDPFYGLQNEHIIDIADSGRDYYTFWFFTTFDCKLSSNEHCDLNFRGINYSADVYLNGHEIILPKGMFRRHSIDVTDIVHADGTNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSISVTGPVKIIDPHLVSTFSDDYKKAYLHTTIELQNRSSWTAECSLSVQVTIEPEDSIFLVEHLQSQNLSIPANSRVQYTFPELIFSKPYLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHHFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYYYCDYYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPADINAALKYDLRLHPYFESKDESSKPVGDLFPTPSDPSQYLDGTRIYIQGSMWDGFANGMGDFTDGPYEIQNPENFFKDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLSNGYVEEVPNAIWEYHKYIPYSKPTKVHDQIQLYGDAKDLDDFCLKSSS >Vigun06g102500.2.v1.2 pep primary_assembly:ASM411807v1:6:23250618:23257406:-1 gene:Vigun06g102500.v1.2 transcript:Vigun06g102500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSRSSNSSNEHCDLNFRGINYSADVYLNGHEIILPKGMFRRHSIDVTDIVHADGTNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSISVTGPVKIIDPHLVSTFSDDYKKAYLHTTIELQNRSSWTAECSLSVQVTIEPEDSIFLVEHLQSQNLSIPANSRVQYTFPELIFSKPYLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHHFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYYYCDYYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPADINAALKYDLRLHPYFESKDESSKPVGDLFPTPSDPSQYLDGTRIYIQGSMWDGFANGMGDFTDGPYEIQNPENFFKDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLSNGYVEEVPNAIWEYHKYIPYSKPTKVHDQIQLYGDAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYLIEVVNTTSEKLSNVAIETSVWDLEGTRPYYSLNENLSLLPKKVAPIVEMKYPKSKDPKPVYFLLLKFYNMSDKSVISRNFYWLHLSGGDYKLLEPYREKKIPLKITSKVSIEESVYNIQMHVTNSSEIPESRSSTVKPSDGFCGTQSLETPHCSVGKEHETVLFKGIHECFSGKSDGLKVTEIKGSDVGVAFFLQISVHKKDYKEGEDTRILPVHYSDNYFSLVPGETMPINISFEVPQGVTPQVILHGWNYNGGELIYEVV >Vigun06g102500.1.v1.2 pep primary_assembly:ASM411807v1:6:23250618:23257406:-1 gene:Vigun06g102500.v1.2 transcript:Vigun06g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHRKRTLDSGWFAARSTEVQFTGTQLTTTHPPTGPTSPWMEALVPATVLATLVKNKVVPDPFYGLQNEHIIDIADSGRDYYTFWFFTTFDCKLSSNEHCDLNFRGINYSADVYLNGHEIILPKGMFRRHSIDVTDIVHADGTNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSISVTGPVKIIDPHLVSTFSDDYKKAYLHTTIELQNRSSWTAECSLSVQVTIEPEDSIFLVEHLQSQNLSIPANSRVQYTFPELIFSKPYLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHHFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYYYCDYYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPADINAALKYDLRLHPYFESKDESSKPVGDLFPTPSDPSQYLDGTRIYIQGSMWDGFANGMGDFTDGPYEIQNPENFFKDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLSNGYVEEVPNAIWEYHKYIPYSKPTKVHDQIQLYGDAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYLIEVVNTTSEKLSNVAIETSVWDLEGTRPYYSLNENLSLLPKKVAPIVEMKYPKSKDPKPVYFLLLKFYNMSDKSVISRNFYWLHLSGGDYKLLEPYREKKIPLKITSKVSIEESVYNIQMHVTNSSEIPESRSSTVKPSDGFCGTQSLETPHCSVGKEHETVLFKGIHECFSGKSDGLKVTEIKGSDVGVAFFLQISVHKKDYKEGEDTRILPVHYSDNYFSLVPGETMPINISFEVPQGVTPQVILHGWNYNGGELIYEVV >Vigun06g102500.4.v1.2 pep primary_assembly:ASM411807v1:6:23250618:23254802:-1 gene:Vigun06g102500.v1.2 transcript:Vigun06g102500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSLYNVVISIDVKGFGESDSWSHHFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYYYCDYYGLLVWQEFWITGDVDGRGDPVSNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQIPPADINAALKYDLRLHPYFESKDESSKPVGDLFPTPSDPSQYLDGTRIYIQGSMWDGFANGMGDFTDGPYEIQNPENFFKDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLSNGYVEEVPNAIWEYHKYIPYSKPTKVHDQIQLYGDAKDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYLIEVVNTTSEKLSNVAIETSVWDLEGTRPYYSLNENLSLLPKKVAPIVEMKYPKSKDPKPVYFLLLKFYNMSDKSVISRNFYWLHLSGGDYKLLEPYREKKIPLKITSKVSIEESVYNIQMHVTNSSEIPESRSSTVKPSDGFCGTQSLETPHCSVGKEHETVLFKGIHECFSGKSDGLKVTEIKGSDVGVAFFLQISVHKKDYKEGEDTRILPVHYSDNYFSLVPGETMPINISFEVPQGVTPQVILHGWNYNGGELIYEVV >Vigun03g232900.1.v1.2 pep primary_assembly:ASM411807v1:3:38800795:38803890:1 gene:Vigun03g232900.v1.2 transcript:Vigun03g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPLLPFLFPFLATSFVTVFAFQVSIKPYNLSPLNCSAKITCNASLYHISHNLTIQQIASFYSVTSSHITPIKHGTKQDYLVTVPCSCKHTSDLSGYFYDTIYKVRPRDTFVNISNLVFSGQAWPVNGTFHPDENLAIHLPCGCSESGSQIIVTYTVQPDDTPTLIADLLNASLVDMMSMNNVLTPNFKFIDAGWVLFVPKGSKGVLPSTAAENEKKLKWTTTIIGILAGVTLLSVTTTIILFVRVNKVTQMSSEDSHLFSRRSMANRTISSKYNFQKEYIEDVISLESERPIVYNLEDIEEATNNFDESRRIGSGGYGSVYFGVLGNKEVAVKKMRSNKSKEFYAELKVLCKIHHINIVELLGYANGEDDLYLVYEYVPNGSLSDHLHDPLLKGNQPLSWSVRVQIALDAAKGLEYIHDYTKARYVHRDIKSSNILLNDKFRAKVGDFGLAKLVDRTDDENFIATRLVGTPGYLPPESLKELQVTPKTDVFAFGVVLSELLTGKRALFRESKEEIKMKSLISVVNKIFQDDEPEIALEDAIDKNLEASYRMEDVYKMAEIAEWCLEEDPIERPEMRDIIGALSQIVMSTIEWEASLCGNSQVFSGLYSGR >Vigun09g112700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24658845:24659694:1 gene:Vigun09g112700.v1.2 transcript:Vigun09g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFQGEDQEMRKAPKGQFVVYVGEQLTRFTLPLSYLKNPIFQQLLKKSAEEYGYSDSRGIVLPCDESTFQNFINSNITIYTS >Vigun11g177700.1.v1.2 pep primary_assembly:ASM411807v1:11:38197869:38202520:1 gene:Vigun11g177700.v1.2 transcript:Vigun11g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIVAVPSIPITDRPAKGAEMEYSEDDKKKKLGSFKKVAISASSKFKHSLHKKGRRHSRVMSIAIEDEVDAEELQSVDAFRQTLILEELLPSKHDDHHTMLRFLRARKYDIEKTKQMWADMLQWRREFGADTIMEDFEFKELEQVLKYYPQGHHGVDKLGQPVYIERLGQVDSNKLLQVTTLDRYLKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARDLIQRLQKIDGDNYPETLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCGDKGGCLLSDKGPWNDTEILKMVQNGGGKCKRKSLSRIEVKTIIEDDAACQKERDSLKKESAAMEDPGAAPEVTFAPGNKSWKKAEQKDQLALSKDCLSSNNNSNRFRIPFSGGIMSILMAVITVIRVAKKVPRKITGADMFGNTAYCNSNEMKAPAICMDDQMAMMKRMAELEEKVNILSKKPEMPPEMEALLNKALSRIGTLEQDLAITKKSLDDALIRQVELQGHNDKKKKKRMFRW >Vigun11g177700.2.v1.2 pep primary_assembly:ASM411807v1:11:38197764:38202520:1 gene:Vigun11g177700.v1.2 transcript:Vigun11g177700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIVAVPSIPITDRPAKGAEMEYSEDDKKKKLGSFKKVAISASSKFKHSLHKKGRRHSRVMSIAIEDEVDAEELQSVDAFRQTLILEELLPSKHDDHHTMLRFLRARKYDIEKTKQMWADMLQWRREFGADTIMEDFEFKELEQVLKYYPQGHHGVDKLGQPVYIERLGQVDSNKLLQVTTLDRYLKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARDLIQRLQKIDGDNYPETLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCGDKGGCLLSDKGPWNDTEILKMVQNGGGKCKRKSLSRIEVKTIIEDDAACQKERDSLKKESAAMEDPGAAPEVTFAPGNKSWKKAEQKDQLALSKDCLSSNNNSNRFRIPFSGGIMSILMAVITVIRVAKKVPRKITGADMFGNTAYCNSNEMKAPAICMDDQMAMMKRMAELEEKVNILSKKPEMPPEMEALLNKALSRIGTLEQDLAITKKSLDDALIRQVELQGHNDKKKKKRMFRW >Vigun10g135185.1.v1.2 pep primary_assembly:ASM411807v1:10:34645110:34657619:1 gene:Vigun10g135185.v1.2 transcript:Vigun10g135185.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLVFFRKPVEVVITYGYKYVVRHVTYIVRYKKIVLELNDTVKDLGFEKERIHHQIDEAEKNLNNIESKVIDWVRKVSEIETVVEVFENDAGHNRTRSPNCYVFPYLWNRHRLSKEAKKMKVDVKMLIDDCPEVDKVAYRQNVTSNDATLSDSSFEEFSSTKLTMEKVMRELEDPTVRMIGLYGPSGVGKSTLIKQIARKANKLFNVVVKVEIRVNPKLQKVQEEIAYVLGLRLEGEGENVRADCLRRRLKKEKGSTLLILDDLWEKLDLNKLGIPLDDEDVVVDDDLSNQNKDLNHRAWKKRNDNKGLNNRVLKTEKIHGGPKGCKILLTSRDKKVLCDEMDIKSTFCVKELDDNDALMLFQKLAGISNEMSDTKQEIVKKYCAGLPMAIVSVARALRNKSESVWEATLEQLKKQELVGVQTSMNISVNMSYDHLESEEIKSIFLVCAQMGHQPLIMDLVKYCFGLGILEGVSSLWEARDRIKTSIQKLKDSGLLLDESSNNHFNMHDMVRDAALSIAYKDHNVFTLRNGKLDDWPELQRCTSISICNSDIIDGLPQVINCPQLKFFQIDTNDPSLEIPESFFRRMKNLRVLILTGFHLSSLPYSIEFLLKLRMLILLHIYSLQCTLDCNLSIVGKLSKLRILSFFGSQLKNFPAESQWLDKLQLLDISDCSELEIIPPNLISSLTCLEELCIRKSLIKYKGQNSFLSELKNLHKLKRVELSISFVSDFPKHLFLDNLKDYKIVIGDLENFSIEELGMPDKYEAFRLLALQQKDDINIHLREGVKFLFKTVQSLLLGKINGVQNINELNIDGFPDLKHLSIKNNDIKHNMENLEMICYGPIIVTSFAKLKTIKVEMCDRLMNLFSFHMVKFPTTIETCEISECDSDEDRFLASLEIIEVSECKSLGEIFQIPMDYGKVEFLKLHTLTLKSLPSLTCFYTKTKAQTTDMGCGEIASEEDEQSNRLTPLFGKLV >Vigun07g006900.2.v1.2 pep primary_assembly:ASM411807v1:7:584113:589647:-1 gene:Vigun07g006900.v1.2 transcript:Vigun07g006900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRIGPKRELKFSLESFWDGKSSAEELQQVAADLRSAIWKQMAEAGTKYIPSNTFSYYDQVLDTTAMLGAVPARYNWNGGEIGFDVYFSMARGNASVPAMELTKWFDTNYHYIVPELGPDVKFSYSSHKAVVEYKEAKVLGINTVPVLVGPVSYLLLSKPAKGVDKSFSLLSLIDKILPVYRKVVVDLKAAGATWVQFDEPTLVKDLDAHQLQAFTHAYAELESSLSGLNVLIETYFADIPAEAYKTLTSLKAVTAYGFDIVRGTKTLDLIKQGFPSGKFLFAGVVDGRNIWANNLASSLNTLQALEDIVGTDKVVVSTSCSLLHTAVDLVNETKLDQEIKSWLSFAAQKVVEVNALAKALSGQKDEVYFSANAAALASRKSSPRVTNEAVQKAVATLKGSNHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTADLRRVRREFKANKISEEDYIRFIKEEINNVVKLQEELGIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKNEVDDLENAGITVIQIDEAALREGLPLRKSEEAFYLNWAVHSFRITNCGVRDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTDEIAERINKMLAVVESNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRHRLASAINKSM >Vigun07g006900.1.v1.2 pep primary_assembly:ASM411807v1:7:584113:589647:-1 gene:Vigun07g006900.v1.2 transcript:Vigun07g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTLVCFHRSSLPLHHSPIIHQFRCENEGSRMFYRTICHHLVSVASSSAVGSRLGPLQSDRAMASHIVGYPRIGPKRELKFSLESFWDGKSSAEELQQVAADLRSAIWKQMAEAGTKYIPSNTFSYYDQVLDTTAMLGAVPARYNWNGGEIGFDVYFSMARGNASVPAMELTKWFDTNYHYIVPELGPDVKFSYSSHKAVVEYKEAKVLGINTVPVLVGPVSYLLLSKPAKGVDKSFSLLSLIDKILPVYRKVVVDLKAAGATWVQFDEPTLVKDLDAHQLQAFTHAYAELESSLSGLNVLIETYFADIPAEAYKTLTSLKAVTAYGFDIVRGTKTLDLIKQGFPSGKFLFAGVVDGRNIWANNLASSLNTLQALEDIVGTDKVVVSTSCSLLHTAVDLVNETKLDQEIKSWLSFAAQKVVEVNALAKALSGQKDEVYFSANAAALASRKSSPRVTNEAVQKAVATLKGSNHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTADLRRVRREFKANKISEEDYIRFIKEEINNVVKLQEELGIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKNEVDDLENAGITVIQIDEAALREGLPLRKSEEAFYLNWAVHSFRITNCGVRDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTDEIAERINKMLAVVESNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRHRLASAINKSM >Vigun02g000900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:577436:578816:1 gene:Vigun02g000900.v1.2 transcript:Vigun02g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHHSRSFMDLAGSTYTNHDNHHLNASNHNQQHHYLVETAHHREQPWKQPLRIEQICAAAARRNHHSTCEPKRAAPPWKLVSPRTKTAPSFTNYTTRTRTCTSSFHTSAPPSQIRICNLTEQHRNAI >Vigun05g136800.2.v1.2 pep primary_assembly:ASM411807v1:5:16488989:16493387:1 gene:Vigun05g136800.v1.2 transcript:Vigun05g136800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDIPGGEEMDLGEVKVGEEREIGSNGLKKKLLKEGQGWETPEVGDEVKVHYTGTLLDGTKFDSSRDRDSPFSFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILTEGEKWENPKDPDEVLVKYEARLEDGKLVGKSDGVEFTVREGHYCPALSKAVKTMKKGEKVVLTVKPQYGFGEKGKPAQAEEGAVPPNASLEITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGASVKVKLIGKLQDGTTFLSKGHDEEEKLFEYKTDEEQVVDGLDRAVLTMKNGEVALLTIAPEYAFGSSESQQELAVVPPNSTVYFEVELVSFEKEKESWDLNTEEKLEAANKKKEEGNVLFKAGKYARASKRYEKAVKYIEYDSAFGEEEKKLAKTLKVACNLNNAACKLKLKDYKEAEKLSTKVLDLESTNVKALYRRAQAYMQLSDLDLAELDIKKALEIDPNNRDLKLEYRTLREKVKENNRKEAKFYGNMINKLTKIGS >Vigun05g136800.1.v1.2 pep primary_assembly:ASM411807v1:5:16488847:16493549:1 gene:Vigun05g136800.v1.2 transcript:Vigun05g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDIPGGEEMDLGEVKVGEEREIGSNGLKKKLLKEGQGWETPEVGDEVKVHYTGTLLDGTKFDSSRDRDSPFSFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILTEGEKWENPKDPDEVLVKYEARLEDGKLVGKSDGVEFTVREGHYCPALSKAVKTMKKGEKVVLTVKPQYGFGEKGKPAQAEEGAVPPNASLEITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGASVKVKLIGKLQDGTTFLSKGHDEEEKLFEYKTDEEQVVDGLDRAVLTMKNGEVALLTIAPEYAFGSSESQQELAVVPPNSTVYFEVELVSFEKEKESWDLNTEEKLEAANKKKEEGNVLFKAGKYARASKRYEKAVKYIEYDSAFGEEEKKLAKTLKVACNLNNAACKLKLKDYKEAEKLSTKVLDLESTNVKALYRRAQAYMQLSDLDLAELDIKKALEIDPNNRDLKLEYRTLREKVKENNRKEAKFYGNMINKLTKIGS >Vigun05g150200.1.v1.2 pep primary_assembly:ASM411807v1:5:22375289:22383643:1 gene:Vigun05g150200.v1.2 transcript:Vigun05g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATLLIPNPFNTKLPGPRYSHRRFTCSSVTTQSRSAKEHLLALIADQDRGLRTQSDPVKRAAIVEAIDAMAVVGAGSVTTGDSLSATWRLLWTTEKEQLFIIEKAPLFGTRAGDVLQVIDVRNGTLNNVITFPPDGVFFVRSSIEVASPQRVNFRFTSAVLRGKNWEIPLPPFGQGWFDTVYLDDDLRVVKDIRGDYLVVDRASYNWKE >Vigun10g106000.2.v1.2 pep primary_assembly:ASM411807v1:10:30397024:30400170:-1 gene:Vigun10g106000.v1.2 transcript:Vigun10g106000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLKTTPNVFHKHQNPLAFVANSVNARTSVPVSQKRRSRYFAAVSMSATPVETSLVKSAPSSQNTGIPIMVNACTGKMGKAVINAAEAAGLHVVPVSFGCEEESGQTFEIGGKDFLVHGPSDRESALVSALERYPNLIVVDYTVPNAVNGNAELYCKVGVPFVMGTTGGDRDLLQKTVEDSKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKVDASGTAKAVISCFNKLGVSFDMDQIKLIRDPKQQLEMVGVPEEHLAGHAFHMYHLSSPDDTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIGAKDEKRLYNMIDVLREGNMR >Vigun10g106000.1.v1.2 pep primary_assembly:ASM411807v1:10:30397024:30400170:-1 gene:Vigun10g106000.v1.2 transcript:Vigun10g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLKTTPNVFHKHQNPLAFVANSVNARTSVPVSQKRRSRYFAAVSMSATPVETSLVKSAPSSQNTGIPIMVNACTGKMGKAVINAAEAAGLHVVPVSFGCEEESGQTFEIGGKDFLVHGPSDRESALVSALERYPNLIVVDYTVPNAVNGNAELYCKVGVPFVMGTTGGDRDLLQKTVEDSKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKVDASGTAKAVISCFNKLGVSFDMDQIKLIRDPKQQLEMVGVPEEHLAGHAFHMYHLSSPDDTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIGAKDEKRLYNMIDVLREGNMR >Vigun03g253200.1.v1.2 pep primary_assembly:ASM411807v1:3:41946801:41947368:-1 gene:Vigun03g253200.v1.2 transcript:Vigun03g253200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLRLEEMSCGQCSSCNGGGNQNPSASFCGGSGSIDLGVSVMCYCGEKAALRTARTLKNKGKKFWGYPKYKSGSDQCGGCNYFKWFTDNEI >Vigun07g036300.1.v1.2 pep primary_assembly:ASM411807v1:7:3485363:3489039:1 gene:Vigun07g036300.v1.2 transcript:Vigun07g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDLTPRMAPNLDRHLVFPLLEFLQERQLYDDDLILKAKIDLLNNTNMVDYAMDIHKSLYHTEDVPHDMVERRAEVVARLKSLEEAAAPLVSFLQNAAAVQELRADKQYNLQMLNDRYQIGPAQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFASPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQHSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRSSKLDAKIDSHTGTVIMEPNHPNVYEQLIDHTKALNGRTYKLVSQLLEHAQGQAAR >Vigun08g049500.5.v1.2 pep primary_assembly:ASM411807v1:8:5549256:5554066:-1 gene:Vigun08g049500.v1.2 transcript:Vigun08g049500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHRNNVENVVLGHHRSKSTSVAGGHFRLWHSLSTASFRRLVFDAVSCGASSRYAERSDTSSEKHHHQAKQQHRHKTKLNNAKSEKLSDLLNLAEVEADAETRKKEDKLEELKSLVKELHEEEEKEEESMTRRREAAWKVRLLAKEDLEVRGTLAMLGAIPPLVAMLDESKNDVDLLVASLYALLNLGIGNDANKAAIVKVGSVEKMLKLIESPDGVDSSVSEAIVANFLGLSALDSNKPIIGSSASISFLVRTLQSLDDKSSSQAKQDALRALYNLSIYPANVAFVLETDLVLFLVNSIGDMEVTERALAILSNLVSTREGRKAISAVQDSIPILVDVLNWTDSPECQEKASYILMVMAHKSYGDKQAMIEAGVASSLLELSLLGSTLAQKRASRILEILRVDKGKQISGSYGLGAAVSAPICGSSSAKPDDGGRECYDEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPHDIVPSDHFKSLTSSSTSKSLPF >Vigun08g049500.6.v1.2 pep primary_assembly:ASM411807v1:8:5549256:5554066:-1 gene:Vigun08g049500.v1.2 transcript:Vigun08g049500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHRNNVENVVLGHHRSKSTSVAGGHFRLWHSLSTASFRRLVFDAVSCGASSRYAERSDTSSEKHHHQAKQQHRHKTKLNNAKSEKLSDLLNLAEVEADAETRKKEDKLEELKSLVKELHEEEEKEEESMTRRREAAWKVRLLAKEDLEVRGTLAMLGAIPPLVAMLDESKNDVDLLVASLYALLNLGIGNDANKAAIVKVGSVEKMLKLIESPDGVDSSVSEAIVANFLGLSALDSNKPIIGSSASISFLVRTLQSLDDKSSSQAKQDALRALYNLSIYPANVAFVLETDLVLFLVNSIGDMEVTERALAILSNLVSTREGRKAISAVQDSIPILVDVLNWTDSPECQEKASYILMVMAHKSYGDKQAMIEAGVASSLLELSLLGSTLAQKRASRILEILRVDKGKQISGSYGLGAAVSAPICGSSSAKPDDGGRECYDEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPHDIVPSDHFKSLTSSSTSKSLPF >Vigun08g049500.7.v1.2 pep primary_assembly:ASM411807v1:8:5548343:5554018:-1 gene:Vigun08g049500.v1.2 transcript:Vigun08g049500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHRNNVENVVLGHHRSKSTSVAGGHFRLWHSLSTASFRRLVFDAVSCGASSRYAERSDTSSEKHHHQAKQQHRHKTKLNNAKSEKLSDLLNLAEVEADAETRKKEDKLEELKSLVKELHEEEEKEEESMTRRREAAWKVRLLAKEDLEVRGTLAMLGAIPPLVAMLDESKNDVDLLVASLYALLNLGIGNDANKAAIVKVGSVEKMLKLIESPDGVDSSVSEAIVANFLGLSALDSNKPIIGSSASISFLVRTLQSLDDKSSSQAKQDALRALYNLSIYPANVAFVLETDLVLFLVNSIGDMEVTERALAILSNLVSTREGRKAISAVQDSIPILVDVLNWTDSPECQEKASYILMVMAHKSYGDKQAMIEAGVASSLLELSLLGSTLAQKRASRILEILRVDKGKQISGSYGLGAAVSAPICGSSSAKPDDGGRECYDEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPHDIVPSDHFKSLTSSSTSKSLPF >Vigun08g049500.4.v1.2 pep primary_assembly:ASM411807v1:8:5549256:5554066:-1 gene:Vigun08g049500.v1.2 transcript:Vigun08g049500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHRNNVENVVLGHHRSKSTSVAGGHFRLWHSLSTASFRRLVFDAVSCGASSRYAERSDTSSEKHHHQAKQQHRHKTKLNNAKSEKLSDLLNLAEVEADAETRKKEDKLEELKSLVKELHEEEEKEEESMTRRREAAWKVRLLAKEDLEVRGTLAMLGAIPPLVAMLDESKNDVDLLVASLYALLNLGIGNDANKAAIVKVGSVEKMLKLIESPDGVDSSVSEAIVANFLGLSALDSNKPIIGSSASISFLVRTLQSLDDKSSSQAKQDALRALYNLSIYPANVAFVLETDLVLFLVNSIGDMEVTERALAILSNLVSTREGRKAISAVQDSIPILVDVLNWTDSPECQEKASYILMVMAHKSYGDKQAMIEAGVASSLLELSLLGSTLAQKRASRILEILRVDKGKQISGSYGLGAAVSAPICGSSSAKPDDGGRECYDEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPHDIVPSDHFKSLTSSSTSKSLPF >Vigun08g049500.2.v1.2 pep primary_assembly:ASM411807v1:8:5546862:5554066:-1 gene:Vigun08g049500.v1.2 transcript:Vigun08g049500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHRNNVENVVLGHHRSKSTSVAGGHFRLWHSLSTASFRRLVFDAVSCGASSRYAERSDTSSEKHHHQAKQQHRHKTKLNNAKSEKLSDLLNLAEVEADAETRKKEDKLEELKSLVKELHEEEEKEEESMTRRREAAWKVRLLAKEDLEVRGTLAMLGAIPPLVAMLDESKNDVDLLVASLYALLNLGIGNDANKAAIVKVGSVEKMLKLIESPDGVDSSVSEAIVANFLGLSALDSNKPIIGSSASISFLVRTLQSLDDKSSSQAKQDALRALYNLSIYPANVAFVLETDLVLFLVNSIGDMEVTERALAILSNLVSTREGRKAISAVQDSIPILVDVLNWTDSPECQEKASYILMVMAHKSYGDKQAMIEAGVASSLLELSLLGSTLAQKRASRILEILRVDKGKQISGSYGLGAAVSAPICGSSSAKPDDGGRECYDEEEDMMSEEKKAVKQLVQQSLQNNMRKIVKRANLPHDIVPSDHFKSLTSSSTSKSLPF >Vigun07g147100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25805652:25808608:-1 gene:Vigun07g147100.v1.2 transcript:Vigun07g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSENEDTMIENESEDTSEEMSSSESEQEEDVKLAEPSKNAVYNRDALLDKLGDISWPENVEWIHKLSIDVDQEQEVDVNDDLARELAFYTQALEGTRQAFEKLQSMGLPFLRPADYYAEMVKTDVHMEKVKGRLLTEKRKMEEAEERRKAREAKRLSKEIQAQKLKERAKQKKQDIESVKKWRKQRQQSGFADNGDDADTAFDFEDGKVFERSNKKRPGVAPWDRSGGKAKKAFGKGQKQKKRDGKNSRFGFGGKKGLKKQNTAETTNDYGKFNKGAAAVNKKRKR >Vigun03g131800.2.v1.2 pep primary_assembly:ASM411807v1:3:12860598:12865186:1 gene:Vigun03g131800.v1.2 transcript:Vigun03g131800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEAQKAVDRLDGRMVDGREITVQFAKYGPNAERIHKGRIIETAQRSRYRSRSRSPRKRYRDDKDRDYRKRSRSRSYDRYERDRYRGKDRDYRHRSRSRSTSLDYKGRGRARYDDEHHSRSRSRSVDSRSPVRRSPSPRKSPSPQRSTSPQRSTSPRKSPRGESPANRSRSRSRDGRSPSPRSVSPRGRPEASRSPSPRNSNGDE >Vigun03g131800.1.v1.2 pep primary_assembly:ASM411807v1:3:12860591:12865275:1 gene:Vigun03g131800.v1.2 transcript:Vigun03g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEAQKAVDRLDGRMVDGREITVQFAKYGPNAERIHKGRIIETAQRSRYRSRSRSPRKRYRDDKDRDYRKRSRSRSYDRYERDRYRGKDRDYRHRSRSRSTSLDYKGRGRARYDDEHHSRSRSRSVDSRSPVRRSPSPRKSPSPQRSTSPQRSTSPRKSPRGESPANRSRSRSRDGRSPSPRSVSPRGRPEASRSPSPRNSNGDE >Vigun03g131800.3.v1.2 pep primary_assembly:ASM411807v1:3:12860598:12865186:1 gene:Vigun03g131800.v1.2 transcript:Vigun03g131800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEAQKAVDRLDGRMVDGREITVQFAKYGPNAERIHKGRIIETAQRSRYRSRSRSPRKRYRDDKDRDYRKRSRSRSYDRYERDRYRGKDRDYRHRSRSRSTSLDYKGRGRARYDDEHHSRSRSRSVDSRSPVRRSPSPRKSPSPQRSTSPQRSTSPRKSPRGESPANRSRSRSRDGRSPSPRSVSPRGRPEASRSPSPRNSNGDE >Vigun04g143100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35585122:35590003:1 gene:Vigun04g143100.v1.2 transcript:Vigun04g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLERLDLSSNRLKGEALESFMDMCTLQYLNIYGNNMTRDLSSIVHNLSSGCVRHSLRELYLSYNQITGSLPDFSIFSNLKILDISVNRLSGKIPDSSKFPPQLESLSIESNSLEGGVPKSLGSTCTLRLLHLSNNSFNEELPLIIQNLSGCARSSLQELELRMNQIKGTLPDFSTFSSLKTLSLDENKLNGKIPKDIQFPTQLETLKINLNSLGGVMSDSHFANMSKLKLLDLSDNSLALEFSQNWTPTFQLGYIGLRSCKLGPTFPKWFHTQNDIEILDISNCGISDFVPEWFWAKVAIQGMTKMNISFNNLKGTIPNFPLDVTPHFVVLASNQFEGPIPSFLRGSAFLDLSQNKFSDFSSFICANGTVEALGQLDLSNNQLSGQIPDCWNHFKSLAYLDLSHNNFSGMIPTSMGSLVELQALLLRNNSLTKEIHFSLRNCIKLVMLDLGENRLSGTIPSWIGEIYQDLQILSLRKNNFYGSLPVQLCYLQGIQLLDLSLNNLSGRIPKCFKNFTSMTQKTSLIDYTHQGYFVSLRSIGQYMNYYDLYSLLTWKGADHIFLNNELLLLKSIDLSSNQLSEEIPMEIEDLFELISLNLSRNNLTGEIPSNIGRLTSLKFLDLSRNQICGSIPTSLTQIDRLTMLDLSHNNLSGQIPIGTQLQSFDASSYEYNSNLCGKPLDKLCVAEEPPHEQNVESDEDGNFLINRAFYVSMTLGFITGLCGTFGSILISCPWQQVYFRLLNNLIDNICRMIIIKVAKCKRWIRG >Vigun10g091500.2.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRWIG >Vigun10g091500.5.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNFGVMQVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >Vigun10g091500.7.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >Vigun10g091500.1.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRFVAYSIGVDFGAIGSTYIAFFVNIYASSPFLGRNLSPDG >Vigun10g091500.6.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNFGVMQVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >Vigun10g091500.4.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNFGVMQVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRWIG >Vigun10g091500.8.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNFGVMQVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >Vigun10g091500.9.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >Vigun10g091500.3.v1.2 pep primary_assembly:ASM411807v1:10:26334094:26336182:1 gene:Vigun10g091500.v1.2 transcript:Vigun10g091500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENPVKVFCVLVHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLLLCFWAALTVPWKFKSIHPHSEENRC >VigunL000101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000101.1:12691:13563:1 gene:VigunL000101.v1.2 transcript:VigunL000101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYRKDFKHISQKIKKLEDKLEKDSSKIEALMKEGEESTDLIPKLEDNIPKLQKLLLDEEKILDEITKSSKGG >Vigun09g094100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13727499:13729420:-1 gene:Vigun09g094100.v1.2 transcript:Vigun09g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCALIKPKGEMRRRQRVQKFQTSFSNLTVAATNKEMEEDQRWWASFAKGRKLPERQWGSSLGSKLRIRQWKIGHEDGVRQFKTKAAKWGFVGQLLASKKLDFGDGVDGRI >Vigun03g302400.11.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGT >Vigun03g302400.3.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGT >Vigun03g302400.7.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGTLCCTSPGL >Vigun03g302400.10.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQDGIDGT >Vigun03g302400.8.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGTLCCTSPGL >Vigun03g302400.1.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGTLCCTSPGL >Vigun03g302400.12.v1.2 pep primary_assembly:ASM411807v1:3:49203009:49204101:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGT >Vigun03g302400.2.v1.2 pep primary_assembly:ASM411807v1:3:49202823:49205794:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQELGLSVPR >Vigun03g302400.9.v1.2 pep primary_assembly:ASM411807v1:3:49203009:49203383:1 gene:Vigun03g302400.v1.2 transcript:Vigun03g302400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRTLISSAKSVIKSNVRASSKPTLTSVPLRQSLLTRISPELRCAQSLLPLHSAVAAARMTSCLSVTSRSCRALSQGTLCCTSPGL >Vigun09g102600.1.v1.2 pep primary_assembly:ASM411807v1:9:17583578:17586772:-1 gene:Vigun09g102600.v1.2 transcript:Vigun09g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIWGHLPVLMRANSKESIEYVLQALWRTRKTGLGTSDRCIIQDMLQLHNESDLDPLLVCLRMLIRRCVYENTSKEDIPKLFPDEVLPELQKLLTLLLQKFQRQWQEDVMKDQNIVPRLKAMTWNMANLEKGSADPAAVIDLKLQNDAPFQLGVQDVKFQLATDSIDMMLKAMHCIRDQFSTMDEALNGH >Vigun09g102600.2.v1.2 pep primary_assembly:ASM411807v1:9:17581861:17586774:-1 gene:Vigun09g102600.v1.2 transcript:Vigun09g102600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIWGHLPVLMRANSKESIEYVLQALWRTRKTGLGTSDRCIIQDMLQLHNESDLDPLLVCLRMLIRRCVYENTSKEDIPKLFPDEVLPELQKLLTLLLQKFQRQWQEDVMKDQNIVPRLKAMTWNMANLEKGSADPAAVIDLKLQNDAPFQLGVQDVKFQLATDSIDMMLKAMHCIRDQFSTMDEALNGH >Vigun09g102600.3.v1.2 pep primary_assembly:ASM411807v1:9:17585140:17586780:-1 gene:Vigun09g102600.v1.2 transcript:Vigun09g102600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIWGHLPVLMRANSKESIEYVLQALWRTRKTGLGTSDRCIIQDMLQLHNESDLDPLLVCLRMLIRRCVYENTSKEDIPKLFPDEVLPELQKLLTLLLQKFQRQWQEDVMKDQNIVPRLKAMTWNMANLEKGSADPAAVIDLKLQNDAPFQLGVQDVKFQLATDSIDMMLKAMHCIRDQFSTMDEALNGH >Vigun09g206400.1.v1.2 pep primary_assembly:ASM411807v1:9:38093691:38095130:-1 gene:Vigun09g206400.v1.2 transcript:Vigun09g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQCLLLSPFASSSALFLSSLFLRHSLADVGTAAHYGPPFLPSACYGRDASQFPSNNFFGAVGEGLWDNGAACGRQYQVRCISAAVRRTCIPGQMIQIKIVDRAQSSVSRPSLAGTTLVLSSRAFQVIANASAPFINVEYQQV >Vigun07g032600.1.v1.2 pep primary_assembly:ASM411807v1:7:3074694:3078419:1 gene:Vigun07g032600.v1.2 transcript:Vigun07g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSLFKIASILTFTQREIQQTMALPRHQLFLFLVAMFVSFADSTVPEDPLRLFHQASPKDKNFIMQSTQHVLKLKSFGKLGLVSSSLKMVNVNDYGAHGDGKTDDTLAFKKAWKVACSSAGAVFVVPQKSYVLKPITFSGPCKSNIAVQISGTLEASDNPSDYSGDRTHWIMFHSVQKLSVNGGGTIDGNGNIWWQNSCKRNHKLPCKKAPTALTFYRCNYLTVEDLTIKNGQQMHVSFEESKNVIASGLTVTAPEDSPNTDGIHITNTQNIQILNTVIGTGDDCISIESGSTNVQATKITCGPGHGISIGSLGSGKSKDFVSGVTINGAKFSGTTNGVRIKTWQGGSGSASNIKFQNIEMDKVMNPIIIDQNYCDQATPCKKQKSAVEIRNVLYENIKGTSASDVGVQFECSEKFPCKGIVLQNVELEREDGEGAKASCNSVQLSYIGDVNPQCP >Vigun11g002100.2.v1.2 pep primary_assembly:ASM411807v1:11:220036:226072:-1 gene:Vigun11g002100.v1.2 transcript:Vigun11g002100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHAAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNQHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDSAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTAQKSRPHTLFASGPPTLPSVPQANGVAPVPPRPFANGVAPGSIPALRPPPPQAAAFQPMQVPGQPTWHQQQPGQPMLPPAMPPPPQVQQFRPPHPGMQQMPPPPQAPPRPLPPPAVMGGQPPPVWRPPPPPQHQGGRPMPYPQSMPPPPPPQ >Vigun11g002100.3.v1.2 pep primary_assembly:ASM411807v1:11:220211:226054:-1 gene:Vigun11g002100.v1.2 transcript:Vigun11g002100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHAAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNQHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDSAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTAQKSRPHTLFASGPPTLPSVPQANGVAPVPPRPFANGVAPGSIPALRPPPPQAAAFQPMQVPGQPTWHQQQPGQPMLPPAMPPPPQVQQFRPPHPGMQQMPPPPQAPPRPLPPPAVMGGQPPPVWRPPPPPQHQGGRPMPYPQSMPPPPPPQ >Vigun11g002100.1.v1.2 pep primary_assembly:ASM411807v1:11:220036:226072:-1 gene:Vigun11g002100.v1.2 transcript:Vigun11g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHAAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNQHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDSAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTAQKSRPHTLFASGPPTLPSVPQANGVAPVPPRPFANGVAPGSIPALRPPPPQAAAFQPMQVPGQPTWHQQQPGQPMLPPAMPPPPQVQQFRPPHPGMQQMPPPPQAPPRPLPPPAVMGGQPPPVWRPPPPPQHQGGRPMPYPQSMPPPPPPQ >Vigun03g286400.1.v1.2 pep primary_assembly:ASM411807v1:3:46831099:46834314:1 gene:Vigun03g286400.v1.2 transcript:Vigun03g286400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTEVNKMTYNGDNKSIEKSFQNCVLLCAVREASEKEMRGLNQLGAVETIYEEECEFSSTSSRSLSPSFSSSPASLHSRVKAWSLKIGRETDVLIRVQGTCFRLHKDRVVSQSSYLKRHLTETSDLTISPPLNITAETFAAVAEFCYNVRVQMTPANVAVIRTASEMLGMTAADGLSHIAETCFCEVVCTNPEQALTVLRSCIPLLPEAETTATLVSRCIEALVTIHGITRLNEVNEMQPRDFQIVAESFGRRFENHDVLYKMIDWYLQENKFKKVTEDERTGICSCIDCTKLSSVALVECVQNPRMPLRLVMRAVLVEHLNTRHSIAIASSQVQRHQLRFESNEGRQRRRSMTLGDFLHRDAALRQTERLKTAMDSTNARIGSLEEEMRCMNRVLRECEGKEGEEERGVLGSERSASFHFVPVENGRVKKGERWSRSSSRIEFDGRKEERQGKRSSFSVDVGSVTPKMNGTFRQRFVIGLRNAFRVQNSTST >Vigun09g009133.1.v1.2 pep primary_assembly:ASM411807v1:9:714722:719910:1 gene:Vigun09g009133.v1.2 transcript:Vigun09g009133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTSLVLESSENGTDLSQEDIDTIEETAEETILSRQTSVNLVPFIGQRFVSQEAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQIKPSDDGKVQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFRNTHNHELLKSNEVRLLPAYCPISPDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRIVDRDNDAIDLIAMCKRLKDENHNFKYEFKIDSNNRLEHIAWSYSSSIQSYEAFGDAVVFDTTYRVDAYDMLLGCWLGVDNHGMPCFFSCALLRDENIQSFSWALKAFLGFMKGKAPQTILTDHNTWLKEAIAVELPETKHAFCIWHILSKFSDWFSLLLESQYDEWKAEFHRLYNLELVEDFEEGWRQMVDKYGLHTNKHIISLYSLRTFWALPFLRRYFFAGLTSTSQSESINAFIQRFLSAQSQLDRFVEQVCDI >Vigun11g196300.2.v1.2 pep primary_assembly:ASM411807v1:11:39577948:39580555:1 gene:Vigun11g196300.v1.2 transcript:Vigun11g196300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGEGTTYKGVTIHQPKRWHTVTGKGLCAVMWFWVLYRAKQDAPVVLGWRHPWEGHHDDHGKDH >Vigun11g196300.1.v1.2 pep primary_assembly:ASM411807v1:11:39577956:39580555:1 gene:Vigun11g196300.v1.2 transcript:Vigun11g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGEGTTYKGVTIHQPKRWHTVTGKGLCAVMWFWVLYRAKQDAPVVLGWRHPWEGHHDDHGKDH >Vigun02g086000.1.v1.2 pep primary_assembly:ASM411807v1:2:24041976:24044215:-1 gene:Vigun02g086000.v1.2 transcript:Vigun02g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHVLIFPLPLPAHVVSMLKLAELLALQNLRITFLTTDTIHSRLTRFGDIQVLSESYPTLHFKTLSDCYDEGGHPGFGDRIWDFISSVTLHAKPFLRDILLSHTPQIPKLSCVIQDGIFGSLSAGVAAELNISIPIIHFRTISSCCFWAYISATKLLQCQELPIRGDDDMDRIIKNLPGMENLLRCRDLPSFFRPNKQGNSTFESYVDRSRQSLAADAFILNSFEDLEGPVLNQIRHHFSKVFTVGPLHHHLITRKTESNQGKEIPRFKNSIFQVDRSCMTWLDAQPEGSVMYVSFGSSTIVKKEDLMEIWQGLVNSKKRFLWVMRPDIVAGKHNEDEIPTEVKEGTKERGFIVEWAPQEEVLAHKAIGGFLTHSGWNSTLESLVAGVPMICWPYFADQQINSRFVSEVWKVGLDMKDVCDRDVVEKMVNDVMVHRRDEFLKSARAIAMLAHKSVSPGGSSYTSLHDLIEYIKGENN >Vigun04g073900.1.v1.2 pep primary_assembly:ASM411807v1:4:8804968:8807237:1 gene:Vigun04g073900.v1.2 transcript:Vigun04g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVRGNEIFNGKYTTRSTAKSFLLVPFTLLLIMLPLSLMRSSHEPTPPEVSSSAAVSSSLNNTEIKQCDIFSGRWMHTSRPPYYTNDTCHWIIDQQNCIKFGRPDREYLHWRWRPDECELPLFNATQFLNLLRGKKMVFVGDSVGRNQMQSLLCLLSHVSEPEDASHRYSSDVMYFKRYIYHEYNFTLANLWSPYFVRSSDADPKGHSFNSIMRLYVDEADEAWRSKVEEFDIVIISSGQWFFRPLLFYEEGKLVGCNKCGMENVTDLTHLFGYRKAFRTAFRTLNELEGYKGVTFFRTFSPAHFEDGDWNKGGKCVRTRPFTKQEMKLDGYILDMYMTQVEEFVEAQRVATKRGLKFVMMNTTEIMLLRPDGHPNNYGHSQDKNVTLNDCVHWCLPGPVDTWNEFLVHMLLDLESEACYSSKLERVF >Vigun04g073900.2.v1.2 pep primary_assembly:ASM411807v1:4:8804968:8807237:1 gene:Vigun04g073900.v1.2 transcript:Vigun04g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSRPPYYTNDTCHWIIDQQNCIKFGRPDREYLHWRWRPDECELPLFNATQFLNLLRGKKMVFVGDSVGRNQMQSLLCLLSHVSEPEDASHRYSSDVMYFKRYIYHEYNFTLANLWSPYFVRSSDADPKGHSFNSIMRLYVDEADEAWRSKVEEFDIVIISSGQWFFRPLLFYEEGKLVGCNKCGMENVTDLTHLFGYRKAFRTAFRTLNELEGYKGVTFFRTFSPAHFEDGDWNKGGKCVRTRPFTKQEMKLDGYILDMYMTQVEEFVEAQRVATKRGLKFVMMNTTEIMLLRPDGHPNNYGHSQDKNVTLNDCVHWCLPGPVDTWNEFLVHMLLDLESEACYSSKLERVF >Vigun04g087066.1.v1.2 pep primary_assembly:ASM411807v1:4:14838077:14841580:-1 gene:Vigun04g087066.v1.2 transcript:Vigun04g087066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSLSVLLFAIFFTVTCCFVASNEFQVGGSNGFHAGFKYKKDSVMKVTNPILFSNSGNTKLKLGHPRTFYFMSGALGHCEMGQKMIVRVMVDESLPQHAKSSSYHIAISPTGVSQVLFFQFLLACIR >Vigun11g118800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32488046:32488627:1 gene:Vigun11g118800.v1.2 transcript:Vigun11g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKFFSLSLLLFISTTMLYQANAQPGGQTTLTFYLQDTVKGSGATVTPIIGLPGRDWTFDQFGTIIAMDDPVTVGPSPLSTQVGRAQGLLVVSAHDGANVNAIISVVFTNAEFSGSTLQIQGVSRQREHYKELSVVSGTGRFGFLRGYATLETVNYDPGTSHSAIRFNVTLRP >Vigun11g057865.1.v1.2 pep primary_assembly:ASM411807v1:11:12096447:12097373:-1 gene:Vigun11g057865.v1.2 transcript:Vigun11g057865.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKISSDQNNQPHVPVALRRAKCSNLAQCETHMYIDRAILHRCDHTSTNAPDPIRTPQLSVLGRELVVLGWVTSWEVLVLHLFLRYF >Vigun03g277700.1.v1.2 pep primary_assembly:ASM411807v1:3:45479996:45485650:-1 gene:Vigun03g277700.v1.2 transcript:Vigun03g277700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPFSRTKCMVLKPEKMSLFQLCLVLFGRDLQRVEFIVEPRLYPKWRLFSSLLVQKLLHSMANILKCSGDIIEYLLNPHASSHNNVLMLIFNCIRGKEVVNKESKNYVSIIGHLDKRVGLLDKSVKIEDPDRYNAVLSVMASKVSYENKAFVHDIVVDHWKMELVECCDYWNDCQEKATTQCFVMLDKSEEQHKYTVAFRGTELFDADAWSTDVDISWFEIPGVGRIHSGFVKALGLPLDFNKEELIWPKEIETDEKRPRAYYSIRDLLKKHLSGNDKAKFSLTGHSLGGALAILFPAMLMLHDETFLLERLQGVYTFGQPRVGNKTFASYMDKNLKHYSIKYSRFVYCNDIVPRLPFDDGILKFEHFGSCLYYDSSYNGKKVEEEPNKNYFSWKAMIPMKVNALWELIRSFTIVYKYGDEYEEGWLLRFVRVLGLVLPAGIPAHGTQDYVNITRLGIPLHLD >Vigun03g145300.1.v1.2 pep primary_assembly:ASM411807v1:3:14816678:14818705:-1 gene:Vigun03g145300.v1.2 transcript:Vigun03g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAILVPGGSPESE >Vigun08g156000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32855226:32856924:1 gene:Vigun08g156000.v1.2 transcript:Vigun08g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFFSVALWFLCLLPHALPHPCIGVTYSAPSGSSNHHSQEVERISGGLRQLKARSLRLENADPSITRSLLYTNTTLFLTIPNYMVSQIAQNRSVAESWLYTHVVPFYPRVKITTISVGNAFPDVHPESLNDLIPAISNVHVSLRVLGIRKIKVSTSFSFVTALSSPFPPSNAQFQEPPGVTLFGPLLQFLQDTDSSFLINLYPYNLYRLNPEIPLGIALFQEYPFNFRDDFTTGVRYRNLFDVMVDAVVSALAVAGYETIPLVVTETGWPSFSNLGNEFDANLGYAGIYLKGLVKHLKSGMGTPLLKDGVREVFVYEMFDKDEGTGRSWGVLYANGTAKYRVDFSSSSLASSPVRVAVIIVFLIFVIT >Vigun08g144000.1.v1.2 pep primary_assembly:ASM411807v1:8:31639140:31642489:1 gene:Vigun08g144000.v1.2 transcript:Vigun08g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAQVKEAILFTLLTCVFAIADSDLASDRAGLVSLRSALGGRTLLWNTTQTNPCRWTGVTCTNDRVTMLRLPAMGLSGSLPSGLGNLTELQTLSLRFNALTGPIPADFVNLKALRNLYLQGNFFSGELPDAVFALQNLVRLNLGNNNFSGEISPKFNGLTRLSTLYLERNNFTGSIPDLSVPPLDQFNVSYNSLTGPIPNRFSSRDQTAFLGNSLCGKPLQSCPGSEEGKSKLSGGAIAGIVIGSVVGLLLILLLLFFLCRKRSGKNDESVSTGKRDVEGEVSREKSVESGNSGSAVAGSVEKSEVQSSGGGDKSLVFFGNVNRVFSLDELLRASAEVLGKGTFGTTYKATLEMGVSVAVKRLKDVTAAEREFREKIEQVGKMVHHNLVPLRGYYFSRDEKLVVYDYMPMGSLSALLHANGGVGRTPLNWETRSSIALGAARGIAHIHSHGPTSSHGNIKASNILLTKSFEARVSDFGLAYLALPTSTPNRVSGYRAPEITDARKVSQKADVYSFGIMLLELLTGKAPAHSSLNDEGVDLPRWVQSVVEGEWNTDVFDMELLRYQSVEDEMVKLLQLALECTAQYPDKRPSMDVVATKIEEICHSSLEKEEGKNHDFKDPDHGFSQQYYSVDSGVSQA >Vigun07g233900.2.v1.2 pep primary_assembly:ASM411807v1:7:35575141:35582472:1 gene:Vigun07g233900.v1.2 transcript:Vigun07g233900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQMKESSEQHLVIKPHLQNPMNPAKKTTKAAQNGKGPPPLEIDGQALPHSKNKGRRRGRGGRKPDQGDVMMRPRCRPCTATLTSSANGNVENDFISDMGFPTSSKSLSFARRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVAITPEVSSKTVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWREFKIKLVDEEEGVNGPKREREYRVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELATKRYCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVMSRPLSDADRIKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILRTIQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDSVARTFCNELAQMCQVSGMEFNPEPVIPIYNAKPEQVEKALKHVYHVSASKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLLDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSTGEGNGHASKGTRAAGDYSVKPLPDLKENVKRVMFYC >Vigun07g233900.1.v1.2 pep primary_assembly:ASM411807v1:7:35574239:35582483:1 gene:Vigun07g233900.v1.2 transcript:Vigun07g233900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQMKESSEQHLVIKPHLQNPMNPAKKTTKAAQNGKGPPPLEIDGQALPHSKNKGRRRGRGGRKPDQGDVMMRPRCRPCTATLTSSANGNVENDFISDMGFPTSSKSLSFARRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVAITPEVSSKTVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWREFKIKLVDEEEGVNGPKREREYRVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELATKRYCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVMSRPLSDADRIKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILRTIQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDSVARTFCNELAQMCQVSGMEFNPEPVIPIYNAKPEQVEKALKHVYHVSASKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLLDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSTGEGNGHASKGTRAAGDYSVKPLPDLKENVKRVMFYC >Vigun03g105000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9080080:9080986:-1 gene:Vigun03g105000.v1.2 transcript:Vigun03g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSLFGGRRSNVYDPFSLDVWDPFKDFSFPESGRENSAFVSTRVDWKETPEAHVFRADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDRWHRVERSSGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKAEVKAIEISG >Vigun05g221000.2.v1.2 pep primary_assembly:ASM411807v1:5:41240937:41244364:-1 gene:Vigun05g221000.v1.2 transcript:Vigun05g221000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGNNEISTRLEKYHPSRVLTLVYQPFAVGTLAILAYNEAKINTRIRNMFGYILFFISTLLVLIVNSAASGEGGLGIFLAICALSGAFGVADAHVQGGMVGDLSYMQPEFIQSFLAGLAASGVLTSALRLITKAAFDHSSDGLRKGAILFFAISTFFELLCVILYAFIFPKLPIVKYYRSKAASEGSKTVSADLAAGGIRTLPGTDEENTKDPERKGNKQLLSENIDYAFDMFLIYVLTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVCDLIGRYIPLIKCLKLESRKMITIATVSRFILIPAFYFTAKFGDQGWMILLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFLVGGIFAGVVLDWLWLIGKGW >Vigun05g221000.3.v1.2 pep primary_assembly:ASM411807v1:5:41240937:41244364:-1 gene:Vigun05g221000.v1.2 transcript:Vigun05g221000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGNNEISTRLEGKSAAIVVCWLLGNGCLFSWNSMLTIEDYYVNLFPKYHPSRVLTLVYQPFAVGTLAILAYNEAKINTRIRNMFGYILFFISTLLVLIVNSAASGEGGLGIFLAICALSGAFGVADAHVQGGMVGDLSYMQPEFIQSFLAGLAASGVLTSALRLITKAAFDHSSDGLRKGAILFFAISTFFELLCVILYAFIFPKLPIVKYYRSKAASEGSKTVSADLAAGGIRTLPGTDEENTKDPERKGNKQLLSENIDYAFDMFLIYVLTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVCDLIGRYIPLIKCLKLESRKMITIATVSRFILIPAFYFTAKFGDQGWMILLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFLVGGIFAGVVLDWLWLIGKGW >Vigun05g221000.4.v1.2 pep primary_assembly:ASM411807v1:5:41240937:41244364:-1 gene:Vigun05g221000.v1.2 transcript:Vigun05g221000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGNNEISTRLEGKSAAIVKYHPSRVLTLVYQPFAVGTLAILAYNEAKINTRIRNMFGYILFFISTLLVLIVNSAASGEGGLGIFLAICALSGAFGVADAHVQGGMVGDLSYMQPEFIQSFLAGLAASGVLTSALRLITKAAFDHSSDGLRKGAILFFAISTFFELLCVILYAFIFPKLPIVKYYRSKAASEGSKTVSADLAAGGIRTLPGTDEENTKDPERKGNKQLLSENIDYAFDMFLIYVLTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVCDLIGRYIPLIKCLKLESRKMITIATVSRFILIPAFYFTAKFGDQGWMILLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFLVGGIFAGVVLDWLWLIGKGW >Vigun05g221000.1.v1.2 pep primary_assembly:ASM411807v1:5:41240937:41244364:-1 gene:Vigun05g221000.v1.2 transcript:Vigun05g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGNNEISTRLEGKSAAIVVCWLLGNGCLFSWNSMLTIEDYYVNLFPKYHPSRVLTLVYQPFAVGTLAILAYNEAKINTRIRNMFGYILFFISTLLVLIVNSAASGEGGLGIFLAICALSGAFGVADAHVQGGMVGDLSYMQPEFIQSFLAGLAASGVLTSALRLITKAAFDHSSDGLRKGAILFFAISTFFELLCVILYAFIFPKLPIVKYYRSKAASEGSKTVSADLAAGGIRTLPGTDEENTKDPERKGNKQLLSENIDYAFDMFLIYVLTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVCDLIGRYIPLIKCLKLESRKMITIATVSRFILIPAFYFTAKFGDQGWMILLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNILVLFLVGGIFAGVVLDWLWLIGKGW >Vigun05g222801.1.v1.2 pep primary_assembly:ASM411807v1:5:41479609:41480369:1 gene:Vigun05g222801.v1.2 transcript:Vigun05g222801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEGLHDSFLLGFFFPRFWVLDTEFFSLKIFILVQNANRRIKDVEICVPIVYGTIAFYFGRKASESQSHKWTMYVRGASNEDLGVVIKRVVFQLHPSFNNPTRVVESPPFELSESGWGEFEIAITLYFHSDVCEKQLDLATWKYWGCTA >Vigun11g031400.1.v1.2 pep primary_assembly:ASM411807v1:11:4106253:4107787:-1 gene:Vigun11g031400.v1.2 transcript:Vigun11g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVGKITTEIGVHSTAEKWYNLFAKSLHDVQHLTDSVHATQLHQGEDWHHNDTIKHWTYIVDGKVAKCHEKIESVDEKNKTIYYKLFGEDIDHRFKVFKLIFQAIDKENGGAIIKWSVEYEKLNEEVHAPYGWVEYLHNCTRDIDRNLVMKA >Vigun07g185400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30236361:30238371:1 gene:Vigun07g185400.v1.2 transcript:Vigun07g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTFPKKETFTQKKFSTCLQEMATGFLDNAMIGGSHSSITSSQQLLSSSNSTSMKEEAPLATWISHIPANVREKNQSNSIFPGSDTKNTSIPLNLLETLPPLTMAPCFDESVASLPSSSPPPNFPNLTLVLQEPSMVYSSSSNMKSGESVTSNPTYQVPYIGQNQHQTGGDADKMNKNLKSFNENWLSSTRTQPMKYGGRGKLFKGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEDAAIAYDTAAYILRGEYAQLNFPDLKHVIQANSLNGTTAALVEAKLQAISQGSHRKPTDSPAGSSNKNTDENAKLKSANDKDSTMKEWQFDTERSKSTHHEISDVETVQLSRMPSLDMDIIWDELLVSNS >Vigun04g066900.1.v1.2 pep primary_assembly:ASM411807v1:4:7523062:7524290:-1 gene:Vigun04g066900.v1.2 transcript:Vigun04g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLFLMLFAVATLLHGSMAQTRHVVGDSAGWTIPYGGAATYTAWASDKTFVVGDTLVFNFTNGHHDVAKVTKSVYDACNGASTLFTLTSGPATVALNETGEQYYICTFGSHCSLGQKLTINVVNRPSRSGSPPRASPVPTPTQAPSTVPAPPPGPSTGPVTFIVRESLGWIVPTNGAATYASWASDKTFRVGDILVFNYRSNRHNVEEVTKENFDSCNSTSPLATYTTPPARVTLNKSGAHYFICGAPGHCQGGQKLAINVTGNSSSATTASSPSPSLTTNPSTPSPASSLVVPLPQNSEAASIELAGVSATLLSLVAAFFY >Vigun06g020200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9677436:9677842:1 gene:Vigun06g020200.v1.2 transcript:Vigun06g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKIKIVLLGMMCIGLVMCSSSRDIEQNVKSDEDGWVFCYIDVGHCQDNDVCNKECVSASFARGGYCKDNKCCCKI >Vigun07g182900.1.v1.2 pep primary_assembly:ASM411807v1:7:29906211:29910428:1 gene:Vigun07g182900.v1.2 transcript:Vigun07g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLISNSAKAFSFSRRMSSHPPALKTRLRGVVFDMDGTLTVPVIDFPAMYRAVLGDAEYLRLKAENPSGIDILAHIETWPPHKKHQAYDAIAEVERQGLQRLQIMPGASELCAILDSKKIRRGLITRNMKTAVDLFHERFGITFSPALSREFLPYKPDPAPLLHICSLWEVQPNEVIMIGDSLKDDVACGKRAGAFTCLLDQKGIYDSPEYADVEFKPDFKVSSLADVYSILDVNFDLTS >Vigun01g226100.7.v1.2 pep primary_assembly:ASM411807v1:1:39890107:39895667:-1 gene:Vigun01g226100.v1.2 transcript:Vigun01g226100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIRRMIRMTMTVCLICFRLLTPPGTPLFPSLEMESRKTVMSQLGTPTTRPVALKSRLANHQSEPAGRTNFVSKQPASSPGLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTASSKSSRPSTPTRSTIPSNRSMAAASKNTVSTTKPSVSTTKPLSSAAKTPVPAAKSSIPSRSSTPMSRSAARSSTPTSRPTLPPSRSTSRASTPTRRPSLPSNEPIISASSVKASLSPKPTTVTSRQPTPVTTRKPAPVNSRQPAVPSRGSSPTVRSRPWKPSDMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPRRQSCSPSRGRASNGVVHISGNSMPAVSRGRSKVNDNVSPVVMGNKMVERVINMRKLAPPMIDDKNSPRSNLSGKSTSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGSHHGRTISVSGSPHATSSNASSELSVNQNGICLDSSEVDDDIGSERCGQSPASVRGR >Vigun01g226100.5.v1.2 pep primary_assembly:ASM411807v1:1:39890107:39895667:-1 gene:Vigun01g226100.v1.2 transcript:Vigun01g226100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESQMHSALRRREQQFGGFRTSVMKDKEEELALFLEMKRREKERSDLLLNGSEDFDAPLGSNASSNPIFNISSSTPAPVRKTGVDDFLNSENDKNDYDWLLTPPGTPLFPSLEMESRKTVMSQLGTPTTRPVALKSRLANHQSEPAGRTNFVSKQPASSPGLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTASSKSSRPSTPTRSTIPSNRSMAAASKNTVSTTKPSVSTTKPLSSAAKTPVPAAKSSIPSRSSTPMSRSAARSSTPTSRPTLPPSRSTSRASTPTRRPSLPSNEPIISASSVKASLSPKPTTVTSRQPTPVTTRKPAPVNSRQPAVPSRGSSPTVRSRPWKPSDMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPRRQSCSPSRGRASNGVVHISGNSMPAVSRGRSKVNDNVSPVVMGNKMVERVINMRKLAPPMIDDKNSPRSNLSGKSTSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGSHHGRTISVSGSPHATSSNASSELSVNQNGICLDSSEVDDDIGSERCGQSPASVRGR >Vigun01g226100.1.v1.2 pep primary_assembly:ASM411807v1:1:39890107:39895667:-1 gene:Vigun01g226100.v1.2 transcript:Vigun01g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESQMHSALRRREQQFGGFRTSVMKDKEEELALFLEMKRREKERSDLLLNGSEDFDAPLGSNASSNPIFNISSSTPAPVRKTGVDDFLNSENDKNDYDWLLTPPGTPLFPSLEMESRKTVMSQLGTPTTRPVALKSRLANHQSEPAGRTNFVSKQPASSPGLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTASSKSSRPSTPTRSTIPSNRSMAAASKNTVSTTKPSVSTTKPLSSAAKTPVPAAKSSIPSRSSTPMSRSAARSSTPTSRPTLPPSRSTSRASTPTRRPSLPSNEPIISASSVKASLSPKPTTVTSRQPTPVTTRKPAPVNSRQPAVPSRGSSPTVRSRPWKPSDMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPRRQSCSPSRGRASNGVVHISGNSMPAVSRGRSKVNDNVSPVVMGNKMVERVINMRKLAPPMIDDKNSPRSNLSGKSTSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGSHHGRTISVSGSPHATSSNASSELSVNQNGICLDSSEVDDDIGSERCGQSPASVRGR >Vigun01g226100.4.v1.2 pep primary_assembly:ASM411807v1:1:39890107:39895667:-1 gene:Vigun01g226100.v1.2 transcript:Vigun01g226100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESQMHSALRRREQQFGGFRTSVMKDKEEELALFLEMKRREKERSDLLLNGSEDFDAPLGSNASSNPIFNISSSTPAPVRKTGVDDFLNSENDKNDYDWLLTPPGTPLFPSLEMESRKTVMSQLGTPTTRPVALKSRLANHQSEPAGRTNFVSKQPASSPGLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTASSKSSRPSTPTRSTIPSNRSMAAASKNTVSTTKPSVSTTKPLSSAAKTPVPAAKSSIPSRSSTPMSRSAARSSTPTSRPTLPPSRSTSRASTPTRRPSLPSNEPIISASSVKASLSPKPTTVTSRQPTPVTTRKPAPVNSRQPAVPSRGSSPTVRSRPWKPSDMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPRRQSCSPSRGRASNGVVHISGNSMPAVSRGRSKVNDNVSPVVMGNKMVERVINMRKLAPPMIDDKNSPRSNLSGKSTSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGSHHGRTISVSGSPHATSSNASSELSVNQNGICLDSSEVDDDIGSERCGQSPASVRGR >Vigun01g226100.6.v1.2 pep primary_assembly:ASM411807v1:1:39890107:39895667:-1 gene:Vigun01g226100.v1.2 transcript:Vigun01g226100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIRRMIRMTMTVCLICFRLLTPPGTPLFPSLEMESRKTVMSQLGTPTTRPVALKSRLANHQSEPAGRTNFVSKQPASSPGLSSSSGGTRRPSSSGGPGSRPATPTGRPTLTASSKSSRPSTPTRSTIPSNRSMAAASKNTVSTTKPSVSTTKPLSSAAKTPVPAAKSSIPSRSSTPMSRSAARSSTPTSRPTLPPSRSTSRASTPTRRPSLPSNEPIISASSVKASLSPKPTTVTSRQPTPVTTRKPAPVNSRQPAVPSRGSSPTVRSRPWKPSDMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSSSGRPRRQSCSPSRGRASNGVVHISGNSMPAVSRGRSKVNDNVSPVVMGNKMVERVINMRKLAPPMIDDKNSPRSNLSGKSTSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSLYSVRSGSHHGRTISVSGSPHATSSNASSELSVNQNGICLDSSEVDDDIGSERCGQSPASVRGR >VigunL011300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:24436:25515:1 gene:VigunL011300.v1.2 transcript:VigunL011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun03g206900.1.v1.2 pep primary_assembly:ASM411807v1:3:33676714:33678573:1 gene:Vigun03g206900.v1.2 transcript:Vigun03g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNPPSKIKPPPISLQTFQTPSFLCKPFTFHLPNQVHIFHSMDATTSSELPPGFRFHPTDEELIVYYLCNQATSKPCPASIIPEVDLYKFDPWELPDKTEFGENEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIYSGSKLVGVKKSLVFYKGRPPKGDKTDWIMHEYRLAESKQSANRKIGSMRLDDWVLCRIYKKKNMGKTLEHKEAHPKVQITNLIAENNDEQKTMMNLPRTWSLTYLLDMNYLGPILSDGSYCSTFDFQISNANIGIDPLVKSQPVEMGNNYVADSGKY >Vigun05g156701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25505205:25506882:-1 gene:Vigun05g156701.v1.2 transcript:Vigun05g156701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVNGEDGCCGGRHGCARGRRTRWWLARLQVQWRREDGGAAEKAPLVRGGGAELRNLLQWCGVPPRGGRKRGEDGDALVARALQRCSGDGTATMRMRCGSSVVERGGRKKTNSRFDGGRWWRNSGGTAAAAWWC >Vigun02g147100.4.v1.2 pep primary_assembly:ASM411807v1:2:29490644:29492602:-1 gene:Vigun02g147100.v1.2 transcript:Vigun02g147100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKYTSNRVIFKFPLLVKKVHLFEVFFSAKILIEPEATMGLTVSRLMRLFYAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERILEARDELHRMLSEDELRDATVLVFANKQDLPNALSVAEITDKLGLHSLRLRRWFIQPTCATSGQGLYEGLDWLSSHISNKTR >Vigun10g009700.1.v1.2 pep primary_assembly:ASM411807v1:10:957926:961715:-1 gene:Vigun10g009700.v1.2 transcript:Vigun10g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEDSFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTSNRVVSYDTAKEFADQIGIPFMETSAKDATNVEDAFMAMSASIKNRMASQPSANNARPPTVQIRGQPVGQKGGCCSS >Vigun02g144300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29258210:29259372:-1 gene:Vigun02g144300.v1.2 transcript:Vigun02g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDILKTPTSDTSMDMIMMMQMEKFPELCEPFYNNTTTTPLYPENEFLTSTTNTTLPVFSNVNQNVITPPPTLINPPPPPSSNFVIQPPMTPPLEPNPEKKNSVAAMREMIFRVAVMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQAGATRPLSVFGFPGTVSNATNNVNYASFMKSCQPCHMLGSAPSKHMLS >Vigun05g195100.1.v1.2 pep primary_assembly:ASM411807v1:5:37779149:37782524:-1 gene:Vigun05g195100.v1.2 transcript:Vigun05g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFWLTQVNKPIMLEKKQLVLVLILLCCVPFHVQNCLGLEDEISRSQFPKGFLFGACTSSYQIEGAPLEDGKGLSNWDVFSHIPGKITNDENGDIADDHYHLYLEDMKLMSSLGINVYRFSISWARILPKGIYGGINPNGIKFYNKIIDNLLLRGIEPFVTIHHHDLPQELEEKYGGWLSPLIQRDFVYFAEICFKSFGDRVKYWTTINEPNLLADFGFIRGKYPPGHCSPPFGNCSTGNSDVEPLIAMHNMLLSHAKAVELYRKHFQKKQGGIIGIVAHTFMYEPLRDEECHRQAVKRVLSFVVSWCLDPLVFGEYPAEMRSILGSQLPRFSHEEKNLIKGSLDFIGINNYGTLYAKDCSLSACPLGADRPIRGFVETTGIRDGIPIGDETGNPRFFVVPRGLEKIVDYIKTRYNNRPMYITENGYSSPPKPDVTMNDLVQDFKRVEYHKAYLAALLRAMRNGADVRGYMIWSLMDNFEWASGYDVRYGLYYVDRDTLERIPKLSVQWFSSFLNNTTNTEEQDLSEQYVRSKDAMTSGFKVI >Vigun07g234900.2.v1.2 pep primary_assembly:ASM411807v1:7:35652585:35660435:-1 gene:Vigun07g234900.v1.2 transcript:Vigun07g234900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSSLIGSVVRRLLLNFMMRSDVPFNRATYSILSDGSQSSVLQRVGSAAANIVLFPFSYLVSSSGEGSKGPIADISIHVLLVLVHYHKCVMSDDYANNKSSTSDFLLKENSHFSENPYCKALEHAIDCELDRLDIEGNAHSAQNIKLPFALLFDTLGICLADEAAVLLLYSLLQGNSAFLEYVLVRTDLDTLLMPILEALYNASTRTANQIYMLLIILLILSQDSSFNASIHKLILTGVPWYKERLLHQTSLGSFMVIILIRTVQYNLSKLRDVYLQTTCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLAEHKDNKLHTTKGNSFEGNNLVEDMSTELHIYTDFLRLVLEIINAILTYALPRNPEVVYAIMHRQEVFLPFKNHPRFNELIDNIYTVLDFFNSHMDAQRENGDWSVNEVLQVITVNCRSWRGDGMKMFTQLRFTYEQESHPEEFFIPYVWQLVLSHCGFSFNTGAINLFPVDLQTEGFENGVVGSTLQNGDFDKPEYQLDP >Vigun07g234900.1.v1.2 pep primary_assembly:ASM411807v1:7:35652585:35660435:-1 gene:Vigun07g234900.v1.2 transcript:Vigun07g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPSTPRKSGTFSPETAEYLIGTLVGSQPFPLSSEFWQKLLELPLNVQWPAQRVQQACELLAKNNCHTRHLAKILFHLARCLQESVSSSGVSPLVYEKAVNAVYFSSIFLKYLIESVQGDIQLYLSLEDDEAVPKDVLRDQTIENFVMRNVLNFIASVEVSPDTFLLHLELLNFMIIAMSTQLLCGSSPGPNDVNPFLDAAMAQDSSLIGSVVRRLLLNFMMRSDVPFNRATYSILSDGSQSSVLQRVGSAAANIVLFPFSYLVSSSGEGSKGPIADISIHVLLVLVHYHKCVMSDDYANNKSSTSDFLLKENSHFSENPYCKALEHAIDCELDRLDIEGNAHSAQNIKLPFALLFDTLGICLADEAAVLLLYSLLQGNSAFLEYVLVRTDLDTLLMPILEALYNASTRTANQIYMLLIILLILSQDSSFNASIHKLILTGVPWYKERLLHQTSLGSFMVIILIRTVQYNLSKLRDVYLQTTCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLAEHKDNKLHTTKGNSFEGNNLVEDMSTELHIYTDFLRLVLEIINAILTYALPRNPEVVYAIMHRQEVFLPFKNHPRFNELIDNIYTVLDFFNSHMDAQRENGDWSVNEVLQVITVNCRSWRGDGMKMFTQLRFTYEQESHPEEFFIPYVWQLVLSHCGFSFNTGAINLFPVDLQTEGFENGVVGSTLQNGDFDKPEYQLDP >Vigun11g068100.1.v1.2 pep primary_assembly:ASM411807v1:11:19900841:19904577:-1 gene:Vigun11g068100.v1.2 transcript:Vigun11g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHLFMLMVACIFVPSLKTSTAISSIEVSETINDGETLVSTDGKYELGFFSPGNSHKRYLGLWYKNIPVRKVLWVANRDNPINDSSGILTLNTTGNLLLTQNGSLVWNTTSHKQAQNPVAELLESGNLVIRNKGETNKEEYLWQSFNYPSDTLLPGMKLGWDLRTGFEWKYISWKNPDDPSPGDFSRVLKLYKYPEIYIMKGTQKLFRFGPWNGLYFSGTPSLYNNTIFYFNMVINMNEIYYSYTLANSETISFTVTNETGKAYRYVWNEDDQNWSPIGYYPSEFCDTYGLCGPYGNCMRTQTQVCQCLKGFKPKSPQKWNLSDWRGGCVRNEPLSCRGKDEDGFNKFEGLKVPDTTHTWLDERIGLEECRVKCLSNCSCMAYSNSDIRNGGSGCVIWFGDLIDMRQFETGGQDLYIRMPASELEPVYSHNKMKTLPIVVSTVAAVCGVLLCSYLFCRIRRNKSEKSLARELHQNQVDDLEVQLFDLFTIATATNNFSVENKIGQGGFGPVYKGVLLDMQEIAVKTLSRSSWQGATEFINEVKLIAKLQHRNLVKLLGCCIQGQEKMLVYEYMTNGSLDSFIFDDRKSNLLEWPQRFHIICGIARGLMYLHQDSRLRIIHRDLKASNILLDKNLNPKISDFGLARIFGGDQIEGNTRRVVGTYGYMAPEYAVDGLFSVKSDVFSFGILMLEIVCGKKNRGLYHTDESLNLVGHAWMLWKTGKALELVDSSMKESCIIAEVLRCLHVSLLCVQQYPKDRPTMASVILMLETQMELLEPKEHGFISRNVLAEEDLRLNSKETSSSNHVTISMLEGR >Vigun09g214300.1.v1.2 pep primary_assembly:ASM411807v1:9:38864283:38872434:1 gene:Vigun09g214300.v1.2 transcript:Vigun09g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTPTLAKSLLAAVTASRTCRSRSARRLFSAITRASENSPNVLSRSQIVDALAAYNVTSAKFLSLSFTRSFHATNPSLRSAASSQVAQTEFTDMAWEGILGAVDAARVSKQQIVESEHLMKALLEQKDGLARRVFTKAGLDNTSVLQATEDFIAKQPKVTGDTTGPVIGSHLSSLLDNSRKYKKEMGDEYVSVEHLLLAFHSDKRFGQQLFKNLQLSERTLKDAVQAVRGSQRVTDQNPEGKYEALDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCSQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAILKLEMEKLSLKNDTDKASKERLSKLENDLSVLKQKQKELAEQWDNEKVFMTRIRSIKEEIDRVNLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLTEFRQSGKSLLREEVTDLDITEIVSKWTGIPLSNLQQTEREKLVLLEQVLHKRVVGQDMAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILETLRSTHDDKTAVYDQMKRQVVELARQTFRPEFMNRIDEYIVFQPLDSEQISKIVELQMERVKNRLKQKKIDLHYTEEAVKHLGVLGFDPNFGARPVKRVIQQLVENEIAMGVLRGDFKEEDSIIVDADVTASAKERSMNRLLIKKLDSPVADAMVVNH >Vigun11g029200.1.v1.2 pep primary_assembly:ASM411807v1:11:3810271:3817436:-1 gene:Vigun11g029200.v1.2 transcript:Vigun11g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MAATTTLSFFHFPYPKLSSHSQFSLSSPSSRFIPYTVASRHNLCISTTATLSLLAFRNSNSPTCLATASRNTQPMLPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSDERVEAAVQSLRVEFGEQYVWGAKCDVRNAEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLVEASDEDLIEVVTTNTLGLMICCREAIKMMVDQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELKMQDVKNVLVHNLSPGMVTTDLLMSGVNTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSKKPTYIRFLTGLKAYSQIFSRLAFGARRNRYILED >Vigun11g029200.3.v1.2 pep primary_assembly:ASM411807v1:11:3810357:3818218:-1 gene:Vigun11g029200.v1.2 transcript:Vigun11g029200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MLPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSDERVEAAVQSLRVEFGEQYVWGAKCDVRNAEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLVEASDEDLIEVVTTNTLGLMICCREAIKMMVDQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELKMQDVKNVLVHNLSPGMVTTDLLMSGVNTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSKKPTYIRFLTGLKAYSQIFSRLAFGARRNRYILED >Vigun11g029200.4.v1.2 pep primary_assembly:ASM411807v1:11:3806837:3818218:-1 gene:Vigun11g029200.v1.2 transcript:Vigun11g029200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MLPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSDERVEAAVQSLRVEFGEQYVWGAKCDVRNAEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLVEASDEDLMFAAYGATKRSVVHLTKSLQAELKMQDVKNVLVHNLSPGMVTTDLLMSGVNTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSKKPTYIRFLTGLKAYSQIFSRLAFGARRNRYILED >Vigun11g029200.2.v1.2 pep primary_assembly:ASM411807v1:11:3806837:3818218:-1 gene:Vigun11g029200.v1.2 transcript:Vigun11g029200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MLPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSDERVEAAVQSLRVEFGEQYVWGAKCDVRNAEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLVEASDEDLIEVVTTNTLGLMICCREAIKMMVDQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELKMQDVKNVLVHNLSPGMVTTDLLMSGVNTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSKKPTYIRFLTGLKAYSQIFSRLAFGARRNRYILED >Vigun11g029200.5.v1.2 pep primary_assembly:ASM411807v1:11:3810357:3818218:-1 gene:Vigun11g029200.v1.2 transcript:Vigun11g029200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MLPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSDERVEAAVQSLRVEFGEQYVWGAKCDVRNAEDVKNLVSFAQEKLKYIDIWINNAGSNAYSYKPLVEASDEDLMFAAYGATKRSVVHLTKSLQAELKMQDVKNVLVHNLSPGMVTTDLLMSGVNTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSKKPTYIRFLTGLKAYSQIFSRLAFGARRNRYILED >Vigun03g308300.1.v1.2 pep primary_assembly:ASM411807v1:3:50018758:50022130:1 gene:Vigun03g308300.v1.2 transcript:Vigun03g308300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHRRPHWHPNENPICISCSLCPSLTQSLCYAFSSLTSPDHHSPHLTITHLTPLTAANANEDAGASPTTTADEDPGAKQPSLSRSRAAQTASAAGSSPATTSLFSCRSRSCSSSSSPAAFSSLLAGATSPTSSFINSILSSIRFGDLLSWQVPDANNMFFFLCSDDSR >Vigun01g050300.1.v1.2 pep primary_assembly:ASM411807v1:1:7963646:7965473:-1 gene:Vigun01g050300.v1.2 transcript:Vigun01g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLLDTWGSMFGMRAWIALEEKGVKYERKEENLSNKSPLLLQMNPIHKKIPVLIHNGKPVTESAIIVQYIDEVWNHNPSLMPSDPYERAQARFWVDYIDAKVYPTWNKMWLSKGEEHEAGKKELISIFKQLEETLGDKPFYGNDKFGFVDIALIPFYSWFYTFETYGNFEMEAQCPKLVAWAKRCMQRETVSTILPNQNELYNAVVEMQKEYESK >Vigun07g223100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34515007:34517530:1 gene:Vigun07g223100.v1.2 transcript:Vigun07g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGFPAAGPSDFYGGATGFPTQSMAVQPTINNHPATTHHPLYRSQPSILLHPSSHIPQHQTSSFIGKRTLAEFQTQNLTNTNNVVMSNNNNQLLPNYQFRSVKPRTFQHNRFSTFSPELSALPSHRYGASLLHHIRPNAVNAQPVTTPILSHTNFFPVQGRVTATHETEKTSIDHRLQELEKELLEDNDDDQGDAVSVVTSSEWSHTIQNLITPPKRASSSPSSSTTSSNSSAESISVIQCLTEVAKAISERRFEVATQILARLSQNSDQRFVNCMVSALKSRMSHAEYPPPVAELFSREHAESTQLLFEHSLFFRVALMVANIAILESAFDDKTDNAKLCVVDFDIGNGKHYASLLHELSLRRKGSPAVVKIIAVTENSADERMSSAGVMLGRLAEQLGIGFEFKVLTRRIDELTRESLGCDADEVLAVNFAFRLYRMPDESVSTENPRDELLRRVKALAPRVVTLVEQESNTNTAPFVARVAESCAYYGALFDSLDTTMTRENSWRIKMEEGLSRKIANSVACEGRDRVERCEVFGKWRARMDMAGFRLKPLSQRVAESIKDRLGDENRVAVKVEKGGICFGWMGRTLTVASAWC >Vigun01g011600.1.v1.2 pep primary_assembly:ASM411807v1:1:1297476:1297853:1 gene:Vigun01g011600.v1.2 transcript:Vigun01g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSKVLGRRRISMVGRYTRPWRWNRLFNLEIEKTRVKKHLNHTIAAIMQTTVVFTSQLCLPLS >Vigun10g041600.1.v1.2 pep primary_assembly:ASM411807v1:10:5763867:5768061:-1 gene:Vigun10g041600.v1.2 transcript:Vigun10g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSHALTKAANFFGWDESNYRSDAELVDKIVQGVLNLPVLSATKFPVGLQSHVEDLIRIIKSKSMTVCKIGICGAGGSGKTTLAKAIYHQIQGTFRNKSFIEDVGQVGGIRGDIHVQEKLLLDILKTKVKIPSDDVGRTMIWERLYGKRVLIVVDDVPNYFEILALRNCSRWFSGGSVIMFTVRDESLLKHYLLDSVYRIELMNANKSLELLSWHAFREAKPKEEYNDLAKAVVAYCGGLPLALEVIGNSLFERTKEKWNTVLFELKKIPPHNVIEKLKISFNGLRNEMEKEFFLDVCCFFIGKGIAYATKILNGCGVDVDTGIRVLIERGLIKVKNNKFGMHPLLREMGREIICEISGKESGKNSELWFDKDVEYALPDNTLFSSKQTKVIQRLPVKMVLTTKDFFEPYLSDRQLKLAENPEYLSQKLRWITWQGFSSEYLPNDFYLHDAIAVDLKHSLLRLVWKEPQVLRSLKFLNLSHSMHLTETPDFSGLPTLEHLILKDCPRLCKVHQSIGCLRNLILLNLKDCECLNNLPREIYKLKSSRTFILSGCSIIDLMEKDTVRMKSLITVITENTVVKEVPFSMVSSKRIGYISLRGLEGLSHNIFPSIIRSWMSPTMNSISYVHSFCMDMEDNSWDDIVPLLSSLKNLRSILVQCDTEFQLSLQLETILAEYGVNIIESGISKQHFRSSLIGVGRYNEFFSTVSDSISEVLVSSESCDVSLAAVNNCLAHMGEGHSVSFTVPRDRNLKGMAFCVVYLSTPKIIEPEFTTVVIVNYTKCTCHIHNHGTIISFSDEDWRGIMSNLEYGDKVEIFVTFGHGLVLKNTVVYLICGKSHNLKKEPESKKNCLIRFIKKVVMCDFW >Vigun08g193700.1.v1.2 pep primary_assembly:ASM411807v1:8:36045705:36049126:-1 gene:Vigun08g193700.v1.2 transcript:Vigun08g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMVLLRLAEWVLYQFLANSYYRAARKMKSYGFHFGYLSSKPPPQPSSFPSVVKYDFEGRESQTLVACDIHRVLLRSHSFFPYFMLVAFEGGSIFRAFLLLLSCPVLWILNHELRLKVMTFITFCGLRTNAMDSISRAVLPKFYLENLNLHAYEVLASAGSKVVFTSVPRVMVEGFLKEYLSVGAVVGTELHTVGCYFSGFLSGSGLLVKHRALKDYFGDTKPDIGIGSSTVHDHLFLSLCKEAYVVNNEESKSNSSSVMPREKYPKPLIFHDGRLAFLPTPSAALCMFMWFPFGIVLAIYRILLGILLPYGWALSLGVWSGLNLQIKGILPEKSEQKQGVLYVCTHRTLLDPVFLSTCLAKPLTAVTYSLSKVSELIAPIRTVRLTRDRTKDGETMQRLLREGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAINTHVSMFYGTTASGLKCLDPIFFLMNPRPSCYIQVLGKLPRELTCAGGRSSCEVANFIQKQLAGALGFECTTLTRRDKYLMLAGNEGIVKQHKCPTKC >Vigun05g194100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37608844:37609371:-1 gene:Vigun05g194100.v1.2 transcript:Vigun05g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVTANASNLILILFFCLLVVTIFSTIVVLLLLKSCEIVSDILLETLEDDVEDGRITLVVESFLNYQDSLTQISHSRERFQPYSQAFGVRSINKGSSMKLPQIMIYGQNQNELSPFCNNCVICLEGFITGESCQILSPCNHLFHSYCIKNWLKDNDTCPICRSVYYKYDNLRSG >Vigun01g006600.4.v1.2 pep primary_assembly:ASM411807v1:1:805444:811326:-1 gene:Vigun01g006600.v1.2 transcript:Vigun01g006600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLSNKEKTLVLVGRTGNGKSSTGNSILNKKAFKSRTRMCELQKTVAKDGSIINVIDTPVFTGGDELEYNGETLDDYIGQGCPQPLKDVLLQCDNRKVLFDNKTKDATKQLQQVQQLLNLVNMIISKNNGQPYTNKTFVKSQEGSKLKQESSNLLKRLEEERVERLKIEENGKLTQIMMNDEIQTLKYNLESTNRRPTSRRPHVPRQLQPEFDMIERKSWCNEEKTLVLVGRTGNGKSATANSILGRNAFKSNRYSSSMSRICEIQKNVIKDGSIINVINTPGLFDGSDYVEKEMIKCLDLAKDGIHAILVVFSVRTRFSEEEQATLRVLQTLFGHKIIDYMIIVFTGGDELEYNKVTLDDYLGEECPQALKHILHQCDNRKVLFDNKTKDETKQLQQVQQLLNLVNMVILKNNGQPYTNRTFVKSQEGSKLNQQTINLSEKLEEERVERLKIEENFKLTQAMLNNEIQTLRSNLESANRRPSMPRLQPMLRQVMSSCHVL >Vigun01g006600.1.v1.2 pep primary_assembly:ASM411807v1:1:805445:811538:-1 gene:Vigun01g006600.v1.2 transcript:Vigun01g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLSNKEKTLVLVGRTGNGKSSTGNSILNKKAFKSRTRMCELQKTVAKDGSIINVIDTPGLFDGSDSIEKEIIKCIDLAKDGIHAILVVFSVRTRFSEEEQIILSVLQTLFGQKIVDYMIIVFTGGDELEYNGETLDDYIGQGCPQPLKDVLLQCDNRKVLFDNKTKDATKQLQQVQQLLNLVNMIISKNNGQPYTNKTFVKSQEGSKLKQESSNLLKRLEEERVERLKIEENGKLTQIMMNDEIQTLKYNLESTNRRPTSRRPHVPRQLQPEFDMIERKSWCNEEKTLVLVGRTGNGKSATANSILGRNAFKSNRYSSSMSRICEIQKNVIKDGSIINVINTPGLFDGSDYVEKEMIKCLDLAKDGIHAILVVFSVRTRFSEEEQATLRVLQTLFGHKIIDYMIIVFTGGDELEYNKVTLDDYLGEECPQALKHILHQCDNRKVLFDNKTKDETKQLQQVQQLLNLVNMVILKNNGQPYTNRTFVKSQEGSKLNQQTINLSEKLEEERVERLKIEENFKLTQAMLNNEIQTLRSNLESANRRPSMPRLQPMLRQVMSSCHVL >Vigun01g006600.3.v1.2 pep primary_assembly:ASM411807v1:1:805445:811538:-1 gene:Vigun01g006600.v1.2 transcript:Vigun01g006600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLSNKEKTLVLVGRTGNGKSSTGNSILNKKAFKSRTRMCELQKTVAKDGSIINVIDTPGLFDGSDSIEKEIIKCIDLAKDGIHAILVVFSVRTRFSEEEQIILSVLQTLFGQKIVDYMIIVFTGGDELEYNGETLDDYIGQGCPQPLKDVLLQCDNRKVLFDNKTKDATKQLQQVQQLLNLVNMIISKNNGQPYTNKTFVKSQLQPEFDMIERKSWCNEEKTLVLVGRTGNGKSATANSILGRNAFKSNRYSSSMSRICEIQKNVIKDGSIINVINTPGLFDGSDYVEKEMIKCLDLAKDGIHAILVVFSVRTRFSEEEQATLRVLQTLFGHKIIDYMIIVFTGGDELEYNKVTLDDYLGEECPQALKHILHQCDNRKVLFDNKTKDETKQLQQVQQLLNLVNMVILKNNGQPYTNRTFVKSQEGSKLNQQTINLSEKLEEERVERLKIEENFKLTQAMLNNEIQTLRSNLESANRRPSMPRLQPMLRQVMSSCHVL >VigunL005300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:69420:70691:-1 gene:VigunL005300.v1.2 transcript:VigunL005300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFSLTDGQNFIWVRILLIGLLEIRNC >Vigun02g044800.1.v1.2 pep primary_assembly:ASM411807v1:2:17948875:17951544:1 gene:Vigun02g044800.v1.2 transcript:Vigun02g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIMNAVICSGYIFILYLINPQLVAVADDGSYVPTENIVLSCGSKTSEFVQYDGRNWNGDIVSPYVPSDADTKSQAVRAPNTLQSIPEVPYMTARIFHSEFTYTFNVTPGPKFIRLHFYPASYINLNISNAFLSVSAANFTLLHNFSASLNADYFNLAYFIKEFIVHVSGSVLQLTFYPSYNSSYAFAFVNGIEVVSMPLNLYTMGGDDAPLPLVGHDQDVVNIYNDSAMETLYRLNVGGEQISPKYDTGMFRSWDTDEVYFLGGNVEVRPFNMRMLILYSDNAPPYSAPADVYRISRSMAPFQNPLITLNYNMTWFFPVDSGFLYLVRLHFCEIYQGITKINQPGAAVHQDYVVMIPHVNEGKQDLWLDLHPYKDSKPMYYTSFLNGVEIFKLSNFGDKSLAGLNPPKSLLSGTAVKGPHVPHFNKSSKKLKFVLIGCGLLAVVLPILLCLLLFRLKVINLRRVVSWCGLTVHTPNRIEKAKKWLLCTQFSMREIKLWTNDFHEDLLIGSGGFGNVYKGSFTGGTTYVAIKRANLMSEQGVSEFETEILLLSQLRHHNLVSLLGYCNEDGEMILVYEFMANGSLHHHLHLTQRDESPLGWIQRLEICIGVARGLHYLHTGTKHRIIHRDIKTTNILLDHNWVPKISDFGLSKAGYPSLVTTNVKGSIGYLDPECYERHKLSEKSDIYSLGVVLLEVLTARPAVSVGEDDDEHVNLAEWTMSCVENGNVEQIVDPKLKEKIIKECFELYLGVAMKCLAERGAERPSIGEVLENLVLAMHLQKNEGSVSDGKDNAVLHGNSDLTPGVEFSEIMMPVAR >Vigun03g196550.1.v1.2 pep primary_assembly:ASM411807v1:3:27806514:27808483:1 gene:Vigun03g196550.v1.2 transcript:Vigun03g196550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLHCAHCLAINRVITFLAPSFAEAYAILDEDSPDEAVSLDRVGRVDNACHGREGYSDEFFYMYAVLFTNLHVRLPFDEFTVGVLRILNVAPSQLHPNAWVALQAFRFLCRILGLKPSPAVFLHHYSTRPKEPNTFFRVVVNPVGRSYLFDGDTPKFPFYWTRNPLHYDEWPRTMMSAEDCEVFNLLDSFPRRLPTKRIVAILSSPRPRGDMLALMVSHEGVGAGQKSHFHLLREKLNERKKGGDNAPRTSSTYQPKGATDVGSPRPPPIAEKKKRKTAQKDRGSSRPPSQSAVACPGMPFTNEEANLITESPFPTLMKAFTEFQSRALVIGRHMGHELIKVGQTEDLEAKVASLKKKLRVANTEKDKLAGEVSDFQKQLQQAIGDKKSWRNRSLEAEEKLKKSSEEASALKRSLDEMKTAHAELDKEVWELREGVVEEHELGFRKALRQATLLFDIPADDDHFDVGKDVYQKALVRIEDIPVISDQAKDTPPTPTVEDT >Vigun08g031100.1.v1.2 pep primary_assembly:ASM411807v1:8:2839307:2841298:-1 gene:Vigun08g031100.v1.2 transcript:Vigun08g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSHRFMPSLSTSSSSATHEPLLHIFIHSSISTLQPMASQDPTLLKLHSARSDLKTLLQASSEAQHDITQTEKRFSLYQQSLSTASRRILPLQSLTMSRKALDARITRAVSPAVELLNTFKRTEDLQAKLVTFSADLSSEKSQQRRLEKLVEYVGCVEEVKEGIEKICEEVEMVVQRLQEVVEFVSRTKAADQGRDVRLKDALVTLKVLYEREVDEMRFEGLLDQALLHVQDEFEELLLRMKHRNMKDLVHHHGGEERELGSEMEVEALRRISTTLAANDCMDICIDIYLKARYRRAAKALMKLNPDYLRTYTPEGIDEMEWETLETAITLWIQHFEVAVKKVLLSEKKLCEKVLGDFMEGLVWPECFIKISDKIMAVFFRFGEGVARSSKEPQKLFKLLDMFESLEKLKPHVSEIFEGEPGADICTRFRELEKLIIDASSKVFWEFGLQIEGNIDGLPPPQDGSVPKLVRYAINYLKYLTAANYKTSMIKVLRTQQTWRGKDGSSTDTDETLTEEGLLKHAISNVMEALQRNIESKRVCCRDKVLVHVFTMNTYWYIYMRTKNTQLGEVLGEKRMREDYKGVAEECAYLYQKEAWGVW >Vigun04g096300.22.v1.2 pep primary_assembly:ASM411807v1:4:20427146:20432322:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.9.v1.2 pep primary_assembly:ASM411807v1:4:20427031:20432247:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.23.v1.2 pep primary_assembly:ASM411807v1:4:20427146:20432322:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.12.v1.2 pep primary_assembly:ASM411807v1:4:20427146:20431987:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSWNVFHFICLLFLFAFIHFCSFFSFQVFWPSKLELQMGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.5.v1.2 pep primary_assembly:ASM411807v1:4:20427029:20432334:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.19.v1.2 pep primary_assembly:ASM411807v1:4:20427146:20432322:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.13.v1.2 pep primary_assembly:ASM411807v1:4:20427042:20432335:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.21.v1.2 pep primary_assembly:ASM411807v1:4:20427030:20432248:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.14.v1.2 pep primary_assembly:ASM411807v1:4:20427029:20432334:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.18.v1.2 pep primary_assembly:ASM411807v1:4:20427042:20432335:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.16.v1.2 pep primary_assembly:ASM411807v1:4:20427030:20432248:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.6.v1.2 pep primary_assembly:ASM411807v1:4:20427031:20432247:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.20.v1.2 pep primary_assembly:ASM411807v1:4:20427146:20432322:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.15.v1.2 pep primary_assembly:ASM411807v1:4:20427030:20432248:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.17.v1.2 pep primary_assembly:ASM411807v1:4:20427030:20432248:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.4.v1.2 pep primary_assembly:ASM411807v1:4:20427030:20432248:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.2.v1.2 pep primary_assembly:ASM411807v1:4:20427019:20432185:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun04g096300.3.v1.2 pep primary_assembly:ASM411807v1:4:20427019:20432185:-1 gene:Vigun04g096300.v1.2 transcript:Vigun04g096300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKVFSPDSKKDKKKQKSDKSSSNKEREIAADVKLIDAEKEQSKHAASLAFATAVAAEAAVAAAHAAAEVVRLTSMPHYTGKTMEEIAAVKIQTAFRGYMARRALRALRGLVRLKTVVQGQSVKRQASSTLRSMQTLARLQSQIRERRIRMSEENQALQRQLQQKHEKQLEKLRAAQVGEEWDHSLQSKDQIEAKLLHKQEAALRRERALAYSFSHQQTWKGNSKSLNPTFMDPNNPQWGWSWLERWMATRPWDGHSTVDHNDHASVKSAASRAMTVGEIGKGYSLQDHNHDKRASPFGQKARRPSPHISPSKAPSASGKARPSSSKGSVFWGGDEDSRSMFSIQSERYRRHSIAGSSVRDDESLASYPAIPSYMAPTSSAKARFKIQRPSPEKGGAAVRKRLSFSPSAAPRRHSDPPKP >Vigun05g225400.1.v1.2 pep primary_assembly:ASM411807v1:5:41771313:41777125:1 gene:Vigun05g225400.v1.2 transcript:Vigun05g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPICPIGLACASEISALLSPPSPLQVQEYYHNLLSSRGCTGISVKQDGTFGKGVYADMDFKEGKLVLKDPMFVGVQHSLNKIDCLVCSFCFRFIGSIELQIGRRLYMKQLRANESHGCDVGNSSQHFQGMDSSDEEESTEQCTSGISKTKVPLPEGVVESLMNGQLVLPCSEKFSLLPAVPCPGGCGEAYYCSMSCAEADWESSHLLLCTGESCDPARREALLKFIKHANETNDIFILAAKAISSTILRYRKLKAASLEKQVKHDTSCVSNHRSVSLLFEAWRPISMGHKRRWWDCIALPDDVDSSDEASFRLQIKELAFESLQLLKAAIFDKECEPLFSLEIYGQIIGMFELNNLDLVVASPVEDYFLYIDDLTHPSKEEAEKITQPILDALGEDYSICCEGTAFFPLQSCMNHSCCPNAKAFKRDEDKDGQATIIAQSLIRKGEEITISYVDEDLPYEERQASLADYGFRCRCPKCIKEEP >Vigun06g082900.1.v1.2 pep primary_assembly:ASM411807v1:6:21451204:21456039:1 gene:Vigun06g082900.v1.2 transcript:Vigun06g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREIFFWKNTIVPQNFIHSSSSCLNCLVSQNPKQKPHISALSCESLIENKTAKEMLRSLARAAATHHSWNLKLAPFSSVSHTSRPPKPTTPLKPDPKKSTAAAAAAALYDEQERIRQLAADDKNPSLDVGRNGRPLFTSSPSLSHLSRNDVCTYFKLTKDTLNAVLPEGLPVGMVNEFQDSMRTALLVRQSFLDLRDNFRRVVDPPMWSSNGKGVKVRKQVVLDGPVSCGKSIALAMLVQWAREEGWLVLYVPKGKEWTHGGFFYKHPQTGLWDTPVQAENVLKDFLKYNESYLKELPCQIFDPIVLGEGAGVGWLKDADSLAIPEGTNLYELVKTGIEQTHAAVGVVVRLRKELSLVKDRPVLIAVDQYNNWFTFSEYEEPVTIRSCRPIHARELTMVKAFRSMMHDDMMVGAFSHSTAVGKLRKELPDVPVDARVMFPRYSLDEAETVCHYYLRQRLIRREAFSEENWKKIYFLSNGNGAEIRGLVPFMR >Vigun06g126200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25326012:25327053:-1 gene:Vigun06g126200.v1.2 transcript:Vigun06g126200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPDIKRGNITPEEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKKLRNQGTDPKTHHRLTVPPEKKKGKKKNKQKHENKKATSEKTLVYLPKAVRVKALSCVPRTESTFTLDSNSGSASTSQEKVQSPEEEAREVNMVWGVGNEGDNGGVGIFFGEDHDLVNTSSYVECHSDDVHTDHGTLQKLYEEYLQLLKDEEKPADELDSFAQSLLV >Vigun06g126200.1.v1.2 pep primary_assembly:ASM411807v1:6:25326012:25327797:-1 gene:Vigun06g126200.v1.2 transcript:Vigun06g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHRGPWTPREDALLTKYIQAHGEGQWRSLPKRAGLLRCGKSCRLRWMNYLRPDIKRGNITPEEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKKLRNQGTDPKTHHRLTVPPEKKKGKKKNKQKHENKKATSEKTLVYLPKAVRVKALSCVPRTESTFTLDSNSGSASTSQEKVQSPEEEAREVNMVWGVGNEGDNGGVGIFFGEDHDLVNTSSYVECHSDDVHTDHGTLQKLYEEYLQLLKDEEKPADELDSFAQSLLV >Vigun05g077800.1.v1.2 pep primary_assembly:ASM411807v1:5:7220609:7221862:-1 gene:Vigun05g077800.v1.2 transcript:Vigun05g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIAAQLTVFALLVTAVSAATTGYHNHTVGGVAGWSFNSTTNTTATNYTSWASNQTFDLGDYLIFNTNSNQTVIQTYNKTTYLNCTADDSDNGTFVYGSGRSGFGESLTIAVPLTIVGPNYFFSDASDGVQCKHGLAFEIDVQHGAGLPPSLNQPPPPPYQEPPGPDANQSPPVSIAQSPTGGAFASRADVRFTFYGFCAALLLLQQLFQ >Vigun03g130100.1.v1.2 pep primary_assembly:ASM411807v1:3:12618376:12619864:1 gene:Vigun03g130100.v1.2 transcript:Vigun03g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLQYLFLALLIFFFLSKLTFAKQSKINGLKMNVIDRCWRKNSEWRMHRYQLATCSVGYAGKMINNIGNDLIHYKVTDASDDPINPKPNTLRYGTSIIQGKVWITFQKDMQIKLEKPLLISSFTTIDGRGVNVHIANNACLMIFKATNIIIHGIRIHHCKPQAPGIVMGPEGKVIPLGHVDGDAIRLITASRIWIDHNTLYDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNFYQGWLQYAIGGSMEPSLKSEANLFVAPMIGNKEVTWRKSSDKSGGTWNFHSIRDIFENGASFNITKGGGVQKPNYTKEQNFKVLDAKFVRSLTRSSGVL >Vigun04g023800.1.v1.2 pep primary_assembly:ASM411807v1:4:1809153:1819307:-1 gene:Vigun04g023800.v1.2 transcript:Vigun04g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTGLQHMDGGGGGVAVLPNPVSKVDSSANGGGGFGLSLSESPILIFSFFHKAIRNELDALHRLAMAFATGNCSDIQPLFQRYRFLRSMYRHHSNAEDEVIFPALDIRVKNVAQTYSLEHQGESEIFEHLFELLNSSVHNVESFPKELASCTGALQTSVSQHMAKEEEQVFPLLLEKFSLEEQASLVWQFLCSIPVNMMTEFLPWLSTSISPDGSQDLRKCLSKIVPEEKLLQKVIFTWMEGRSRGNTVENRVDHSQVRCSRNPLSHQNGKIKCACESTTTGKRKYSTCITDVSDTITTHPIDEILFWHNAIKKELNEIAVQTRKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKFSFRKEHAEEESQFNDFRSLIESIQSEGATSSSETEFYSTLCSHADHILETIQRHFHNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLKLIERVLPWLTSSLTEDEAQMFLKNMQLAAPTIDSALVTLFCGWACKARKDGLCLSSSVSGCCPAQRITDIEENIVQSSCPASAYSGRDCSVFAESDGTQQRSVEQNILEVHNEDVSEVSESESIHKRCCSSRSCCVPALGVSRNNLGLGSLTTTKSLRSLSFTASAPSINSSLFVWETDNSSCDVGSTERPIDTIFKFHKAIRKDLEYLDIESGKLCDGDETIIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISCVLSELSVLHEVLQRTHMSADLSENSFGIPDANDRDNVKKYNELATKLQGMCKSIRVTLDQHIFREELELWPLFGKHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTEDEQSKMMDTWKQATKNTMFNEWLNECLKESPVSTTQAEASERSTSQRGGDYQESLNLNDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLMTSRWIAAQQKLPKAPSGESSSKQIEGCSPSFRDPEKHIFGCEHYKRNCKLRAACCGKLFTCRFCHDNASDHSMDRKATMEMMCMQCLTIQPVGSVCMSPQCNGLTMAKYYCNICKFFDDERNVYHCPFCNICRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSSCFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRYQDILCHDCDQKGTSRFHWLYHKCGSCGSYNTRVIKSEATNSSCH >Vigun04g023800.2.v1.2 pep primary_assembly:ASM411807v1:4:1809153:1819307:-1 gene:Vigun04g023800.v1.2 transcript:Vigun04g023800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTGLQHMDGGGGGVAVLPNPVSKVDSSANGGGGFGLSLSESPILIFSFFHKAIRNELDALHRLAMAFATGNCSDIQPLFQRYRFLRSMYRHHSNAEDEVIFPALDIRVKNVAQTYSLEHQGESEIFEHLFELLNSSVHNVESFPKELASCTGALQTSVSQHMAKEEEQVFPLLLEKFSLEEQASLVWQFLCSIPVNMMTEFLPWLSTSISPDGSQDLRKCLSKIVPEEKLLQKVIFTWMEGRSRGNTVENRVDHSQVRCSRNPLSHQNGKIKCACESTTTGKRKYSTCITDVSDTITTHPIDEILFWHNAIKKELNEIAVQTRKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKFSFRKEHAEEESQFNDFRSLIESIQSEGATSSSETEFYSTLCSHADHILETIQRHFHNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLKLIERVLPWLTSSLTEDEAQMFLKNMQLAAPTIDSALVTLFCGWACKARKDGRDCSVFAESDGTQQRSVEQNILEVHNEDVSEVSESESIHKRCCSSRSCCVPALGVSRNNLGLGSLTTTKSLRSLSFTASAPSINSSLFVWETDNSSCDVGSTERPIDTIFKFHKAIRKDLEYLDIESGKLCDGDETIIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISCVLSELSVLHEVLQRTHMSADLSENSFGIPDANDRDNVKKYNELATKLQGMCKSIRVTLDQHIFREELELWPLFGKHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTEDEQSKMMDTWKQATKNTMFNEWLNECLKESPVSTTQAEASERSTSQRGGDYQESLNLNDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLMTSRWIAAQQKLPKAPSGESSSKQIEGCSPSFRDPEKHIFGCEHYKRNCKLRAACCGKLFTCRFCHDNASDHSMDRKATMEMMCMQCLTIQPVGSVCMSPQCNGLTMAKYYCNICKFFDDERNVYHCPFCNICRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSSCFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRYQDILCHDCDQKGTSRFHWLYHKCGSCGSYNTRVIKSEATNSSCH >Vigun02g095700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25159215:25163094:1 gene:Vigun02g095700.v1.2 transcript:Vigun02g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAILSDAISHILQCATTILSPPATRLSSNDIQQFEDNLKRILLTVQKAMHSKIQDRSVLSLWLKNVKDMVNDLNDLMEDHRHNTEATTATISLIKAGQNMAHRHKFKHQIKDAIEELKRLSNEAESLVISEEARENERKLTRINEEFENVEAVGRENVKKDIKDQLKMFVNSHVVSVPVVTIVGVAGIGKSKLARLVYGDEEVKALFPSRIWVNLETFNVESIATRVTETANQGKRFLLVLDDLRVENGEGCLQKLQGELAEAGVGGAVVVTTRSNFVANKIAESGTVKLKPHVLQGLNEEESWCLFQNRPGSRKINEDMGRRVVREYCGGVPMKIIAIARLLEDLDSPVSEIELMEKFLREIRFTYYDELSLQQKLCFAYCSLFPQEHEIDAGRLIHLWMAEGFLSRNLCSDPQEFGLACFNDFVPFVFQETGSDEFGVVKRYKMNRLMHELARTVAWDENIIVDSAEVKVHERVVRSSFHFALDVQCGIPKALFEKAKKLRSILLLGKTNKSRLPHEVKLTISTCEKILETFKCLRVLDLHDLGIKMVPSSIGELKHLRLLDLSHNNIEKLPSSITKLFHLQTLKLSQCHVLKELPKDLENLSCLIHLYLEGCLDLTHMPRGIGKLSSLQTLSLFVVSKNYHLGGLRELTDLDDLRGHLEILHLEQLNFCAPLEAKDKYLRDKKHLHCLTLRWDHEEKEEEDEKKRNGIAGKDKESLECLDPNPNLAVLSVVGYYGKTFSNWLSSIKCLVKFSLNDCYNCQYLPPLDHLPHLRVLELRRLDSLVFVSRNSDQISADTEASSSSSSSSSSSSSTPFFPSLKELTISDCPKLRSWWETANWESNRPFFTRISKLHIQCCPDLHCMPLYPYLDEELVVVDSSVKSMRDTVHATISDGFLPFSKLKTMLIARITQSPPERWLKNFISLQTLQIRDCSKLFYLPQGFRSLSSLQSLTIERCAELDLDLSRTEWEGLKHLRFLIIKEIPKLKSLPWGVEDVTSLEKLELHECPALTNLPETIGNLSLLTKLVICKCENLDSLPKGLEKLGSLDTLAITDCPLLTPRCQPETGDDWPQIAHIKNRILKQSSQDLRDLWSHGRIGLRKYF >Vigun01g007300.1.v1.2 pep primary_assembly:ASM411807v1:1:879174:881102:-1 gene:Vigun01g007300.v1.2 transcript:Vigun01g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMVWENRFENPRSIVHLLILRSLWKPSSLFFVQTHTPLFCNCSLRFVSVLQVLRLDTRTRNFVRTVNFFRRRTNPQLESLIGGLNLMQLLVYDFSCVLYVVLVTLVSDYTMRLSMLVLIRFLSSNLWTLQCCWYI >Vigun01g007300.3.v1.2 pep primary_assembly:ASM411807v1:1:879634:881094:-1 gene:Vigun01g007300.v1.2 transcript:Vigun01g007300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMVWENRFENPRSIVHLLILRSLWKPSSLFFVQTHTPLFCNCSLRFVSVLQVLRLDTRTRNFVRTVNFFRRRTNPQLESLIGGLNLMQLLVYDFSCVLYVVLVTLVSDYTMRLSMLPILFAYNTFMHRQRRMTGLIKRVVLRPFIVVAVEFVKCNFLNADRSDRG >VigunL059130.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000248.1:20465:20824:1 gene:VigunL059130.v1.2 transcript:VigunL059130.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun02g000780.1.v1.2 pep primary_assembly:ASM411807v1:2:461168:462588:-1 gene:Vigun02g000780.v1.2 transcript:Vigun02g000780.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRHSCKAKYVGILNQKLSVKQRESIARTPFWWFMSLNHSVKISRNLLPVLCYRWVERRGGFAIGREVVEFNLLDVCLGLGLRVLGEKIDISDDDEDSDCRKLFSSGKVHVKRIYEFLLEYDNDSGSIELFTSLYILLGISEFLLPNRDGIVFPKIFKLVDDLQSIGKAINEVVVCNEELGYEFVREAFQTFGTSYNRSIDKENEELKRLIENEEREIAELEALLSHLEDMVAKKEEHNRTEGDGKDDPHDDGNDEEEVDVGVHYTVNDPASDVEGDDSAGDDVDVDVGQQSNMYDRMKSQPRKRIKSRAIRTPFAGFGSRRKTKLLTLG >Vigun05g152600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:23834616:23836430:1 gene:Vigun05g152600.v1.2 transcript:Vigun05g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPSDGTRSHVLLFPFMSKGHTIPLLHFAKLLLRRSISVTVVTTPANHPFITEFLKGTAASVVTLLFPTVTNIPVGVESTDKLPSMSLFYEFASATSAMQPQFEQLLQTLPRVNFMVTDGFLWWTLDSATAFGIPRFVYYGMNCYSSSICIEAMRKGILNGSQPDNELVALTQFPWIRVCKEDFDPSFRDPNPNNDAHTFNMNSISSTHRSHGMLFNSFYELESTFVDYVNAGASPKGWCVGPLCLAEWTPKVYSDEGLKPRWVTWLDQKLEEKRRVVYVAFGSQAEISVEQLKEIALGLEESEVSFVWVMRKEEWGVPDGFEERVKGRGMVVREWVDQREILMHGSVELFVSHCGWNSVLESVCAGVPILAWPMMAEQYLNARMVEEEVKVGLRVETCDGSVRGFVKREGLKKTMMEMMEGEKGRKAREKVRELAEKAKLATQEGGSSWSTLESLLNETCASQDKE >Vigun04g051100.3.v1.2 pep primary_assembly:ASM411807v1:4:4552956:4556059:-1 gene:Vigun04g051100.v1.2 transcript:Vigun04g051100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSHTFMFQTHEDHDHHQLHLRSTSSSLNAFPSFPPHHFQGGGAGAGFMMKRSMSFSGIENKCDEVHGDDELSDDGSQLGEKKKRLSLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKEYEVLKKQFEAVKADNDSLKAQNQKLHAEVINAIIHSFCIYSSVFNTKLRCSSFLLLSHQNSVPVI >Vigun04g051100.1.v1.2 pep primary_assembly:ASM411807v1:4:4552956:4556059:-1 gene:Vigun04g051100.v1.2 transcript:Vigun04g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSHTFMFQTHEDHDHHQLHLRSTSSSLNAFPSFPPHHFQGGGAGAGFMMKRSMSFSGIENKCDEVHGDDELSDDGSQLGEKKKRLSLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKEYEVLKKQFEAVKADNDSLKAQNQKLHAELQSLKNRECCETGTVVSQKKDTEGSWSNGSNNSSEINLDLSRTPVMNSPVSSQNGKSLIPSSHNKPTSMTQLLQCSSRSDLQDESFCNMFHNIDEQQNFWPWTEQQHQFH >Vigun04g051100.2.v1.2 pep primary_assembly:ASM411807v1:4:4552956:4556059:-1 gene:Vigun04g051100.v1.2 transcript:Vigun04g051100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSHTFMFQTHEDHDHHQLHLRSTSSSLNAFPSFPPHHFQGGGAGAGFMMKRSMSFSGIENKCDEVHGDDELSDDGSQLGEKKKRLSLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKEYEVLKKQFEAVKADNDSLKAQNQKLHAELIILGRCQCDSVRTESCELTLQSLKNRECCETGTVVSQKKDTEGSWSNGSNNSSEINLDLSRTPVMNSPVSSQNGKSLIPSSHNKPTSMTQLLQCSSRSDLQDESFCNMFHNIDEQQNFWPWTEQQHQFH >Vigun07g079700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11075291:11076613:1 gene:Vigun07g079700.v1.2 transcript:Vigun07g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINITLRETIKPSLPTSSECKTQKLCLFDVFQLNTYFPLILFYSKTTNLKEFSDVSTQLKKSLSEALTIFYPLAGRRCDYFSIDCNDEGAIFMEASVNTTMEVFLKPPKLESLNQLLPCEPNKCHPHQEVLPQLLVQVNKFQCGGISIGLCNLHILLDAYSCSAFLKTWFSICKGSKGEISWPDFSSAASSFPPRNTIGVRAGMLNINKDSNIEENCTTRRFLFDDKAIDELKSMSTCDETKPTRYQVVSSFISKHMIVAIKEDKKTRPMVALHVVDMRKRMGESFSRGVVGNLLWPALVVLEGVNKKTEIIDLVEILREGLGKLSKDLFLKVQNDPGFLWSDECAELMLEGIAENKPISLVFTSWANMGFNEVDFGCGKPLWVAQRGGTKQSIPNTVILMETREGIEAWITMPEKHISILEHDLDFLQFALPNPTILI >Vigun05g173500.1.v1.2 pep primary_assembly:ASM411807v1:5:31587726:31607216:1 gene:Vigun05g173500.v1.2 transcript:Vigun05g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGHAAQWRSAAKEALDRTSITVNFLCWLHFTSNYLCSPFHAYGVSMLPTLNVTGDILLADHLSPLLGNVGHGDLVLVRSPLNPKIRLTKRVVAVEGDTVTYFDPLHSEASQVAVVPKGHVWIQGDNIYASRDSRHFGPVPYGLIEGKVFFRVWPPGSIGLLD >Vigun01g104500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27510093:27510314:1 gene:Vigun01g104500.v1.2 transcript:Vigun01g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAARAARESLDLAFHMSNILDTGLDRHTLSVLIALCDLGVNPEALAAVVKELRKEKPSLSSSLPEAPSFP >Vigun07g187900.1.v1.2 pep primary_assembly:ASM411807v1:7:30459082:30466665:1 gene:Vigun07g187900.v1.2 transcript:Vigun07g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVAGVDVLSEKAAMMRESLQKSQTIADNVVTILGSFDHRLSALETAMRPTQIRTHSIRKAHENIDRTLKAAEVILAHFDQYRQAEAKILKGPHEDLENYLQAIENLRSNIQFFGSKKGFKSSDGIVVHANNLLAKAISKLEDEFRQLLLSYSKPVEPERLFDCLPNSMRPSSGSPHHEGDPSGKMPSNHHSESHNNNADAVVYTPPALIPPRFLPLLHDLAQQMVEAGHQQQLLKIYRDARSNVLEESLQKLGVEKLNKEDVQKLQWEILEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFDSLSEQCFAEVTKNSVSMLLSFGEAIAKSKRSPEKLFVLLDMYEIMQELHSEIETLFKGEACSGIREAATGLTKRLAQTAQDTFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFEGGNDSSQLASVTVRIMQALQTNLDGKSKQYKDLGLTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCLSIQGLTSSGGGSGTAGGDGATGSSSGASRAIVKDRFKAFNVMFEELHQKQSQWTVPDTELRESLRLAVAEVLLPAYRSFVKRFGPLVESGKNPQKYIKYTAEDLDRMLGEFFEGKNMSETKR >Vigun03g147700.1.v1.2 pep primary_assembly:ASM411807v1:3:15160600:15164065:-1 gene:Vigun03g147700.v1.2 transcript:Vigun03g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTCTPLSSSSSSHHTTLKPNLNPLLGADATSRPCSLPFKRRTCFTTRAILSSTREDVLKHFNERRALKIISGLQNFNKDNVASVVTAADKGGATHVDIACDPELVKLAIGLTSCPVCVSSVDPATFPAAVEAGALMVEIGNYDSFYEQGIIFTPEKILGLTKETRRILPSIVLSVTVPHTLSLPDQVKLAESLELVGVDVIQTEGGKCSNPTKSGVMGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNRLNDVVAMIAEVRSIANSLQTSFQTSTTYEVETQRQ >Vigun03g137100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13430986:13433438:1 gene:Vigun03g137100.v1.2 transcript:Vigun03g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENQGSSSSLSSFGRSIFGVRQEQVHSVEASHESDSCNLELGLFQKRVTDRFQDLSVASDEEFLTISWIQKVLNAFILCQEEFRAILSNNKEHVTKPPLDRMVSEFFDRSVKALDICNASRDGIEKIRTWQKHLEIVFCALGSSKRALTEGQFRRARKALMDLALAMLDEKESGSIFSQRNRSFGRHNSSKDHHSTGHSRSHSWSVSRSWSATKQLQSIANNLVPPRPTEIAATSRLAIPVYTMNCILLIVLWTLVAAIPCQDRGLSIHFSVPRQLSWSTPVSVLHERITEESKKRERRNSNGLLKEIHQIESSSRRMTDLIDSAQFPLADDQKTQIDCDVMELMHVCEALRNGLDPLERQVREVFRKIMACRTEGLDYLGTSSHNEQ >Vigun03g137100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13430986:13433438:1 gene:Vigun03g137100.v1.2 transcript:Vigun03g137100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENQGSSSSLSSFGRSIFGVRQEQVHSVEASHESDSCNLELGLFQKRVTDRFQDLSVASDEEFLTISWIQKVLNAFILCQEEFRAILSNNKEHVTKPPLDRMVSEFFDRSVKALDICNASRDGIEKIRTWQKHLEIVFCALGSSKRALTEGQFRRARKALMDLALAMLDEKESGSIFSQRNRSFGRHNSSKDHHSTGHSRSHSWSVSRSWSATKQLQSIANNLVPPRPTEIAATSRLAIPVYTMNCILLIVLWTLVAAIPCQDRGLSIHFSVPRQLSWSTPVSVLHERITEESKKRERRNSNGLLKEIHQIESSSRRMTDLIDSAQFPLADDQKTQIDCDVMELMHVCEALRNGLDPLERQVREVFRKIMACRTEGLDYLGTSSHNEQ >Vigun03g245000.1.v1.2 pep primary_assembly:ASM411807v1:3:40796076:40799162:-1 gene:Vigun03g245000.v1.2 transcript:Vigun03g245000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFPNGMPVPFVNEMFVLARDGVEFDIDKIPASGSHGGHVKTKGIVYLSNIRMVFVAKSPVGQFGAFDMPLLYVHGEKFNQPIFHCNNLAGHVEPVVPNDQHRALYSTHSFKIIFKEGGCGTFIPLFFNLIASVRQYNQHYNMQQAQSYVDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPNSDSELRRRTYQPQADGGHV >Vigun09g020400.1.v1.2 pep primary_assembly:ASM411807v1:9:1578540:1580996:-1 gene:Vigun09g020400.v1.2 transcript:Vigun09g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTQAYGEPWYWDNRYTNEPGPFDWYQKYITLAPIINLYVPPTQRILIVGCGNSAFSEGMVVDGGYTDIVNIDISAVVIEAMKSKYQDIPQLKYMKMDVRNMSDFESESFDAAIDKGTLDSILCGNNSRQNATKMLEEIWRVLKDKGVYVLVTYGSPLYRLRLLRESCSWTTKLHVIEKLASEEKSEQPVWELTKPVPLNDDGTSVEEALGKNPDVHYIYICTKEISANSNTKA >Vigun04g108100.1.v1.2 pep primary_assembly:ASM411807v1:4:26297514:26299594:-1 gene:Vigun04g108100.v1.2 transcript:Vigun04g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYYGFLVVLFLYSLKVLFRSRKFRNLPPGPLAYPIVGNLLQLEQPFHRFFTRLSKKHGKIFSLWFGNRLVIVASDLPVVQECFSKYDTVLANRPHFLLGKHISYNNSTILHSCYGEHWRHLRRILSLEVVSTHRLNASYEIRRDELTKLLQRLARTSRNDFTQVDLKSMVMETSLNTMMRLVSGKRFYGDDCDVSDVEKAREFRGILREMVSLAGVNNRGDFLPFMRWFDLDNLEKRLKNIGKRIDTFLQSIVDELRASNKTTNTMISQLLVQQKSQPEQYSDQIIKGLCMSMLLAGTDTSALTLEWAMANLLNHPEVLKRAKEELDAHVGSDRLVDESDMSKLPYILNIFFETIRLHPAAPLWSPHMSSEDCTIGGYNLPKNTILLVNAWSIHHDPTLWKNPTEFRPERFEKECESSSLLSFGIGRRSCPGNMLAQRTVGLALASLIQCFEWQRIGKGEIDMTEAKGITISRQNPLDVMCKARQIPAVMDLY >Vigun08g031400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2859328:2860450:1 gene:Vigun08g031400.v1.2 transcript:Vigun08g031400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENPEQHQQSPEQQDCKNQPDMQVAAPSDGSAASKSRAQKRYVVKIRSDSETKRLIAGVKPDNRRKSVTEVGDGDGDGDKTSSGKGKVGKWKKKWGNRKVNPKKTNTEEREASGEGSGTTALEKEKASEKVTIESELKEKEDGLVKMNEVEERVGVLSINSGNGKEKAKSEEMKTGVRDFHQRRRYQQHSKVRVGRAEKNMVWVRKDEI >Vigun08g031400.1.v1.2 pep primary_assembly:ASM411807v1:8:2859377:2860795:1 gene:Vigun08g031400.v1.2 transcript:Vigun08g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENPEQHQQSPEQQDCKNQPDMQVAAPSDGSAASKSRAQKRYVVKIRSDSETKRLIAGVKPDNRRKSVTEVGDGDGDGDKTSSGKGKVGKWKKKWGNRKVNPKKTNTEEREASGEGSGTTALEKEKASEKVTIESELKEKEDGLVKMNEVEERVGVLSINSGNGKEKAKSEEMKTGVRDFHQRRRYQQHSKVRVGRAEKNMVWVKVL >Vigun03g344500.3.v1.2 pep primary_assembly:ASM411807v1:3:54368143:54369825:-1 gene:Vigun03g344500.v1.2 transcript:Vigun03g344500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDIQTTKSRVDLEVYHGVPAVAETDQRTRFLSGRKMMSNEVHSKLKAKGLTTCVVGNCNNHEEVLKVKQKQHKVGKRNINGHSSMSGLVPLNADYYVPRPHPPKNN >Vigun03g344500.2.v1.2 pep primary_assembly:ASM411807v1:3:54368143:54369825:-1 gene:Vigun03g344500.v1.2 transcript:Vigun03g344500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASLRSTTFLLLFILLPLRFSSAHTQNQGKKTMVVDDIQTTKSRVDLEVYHGVPAVAETDQRTRFLSGRKMMSNEVHSKLKAKGLTTCVVGNCNNHEEVLKVKQKQHKVGKRNINGHSSMSGLVPLNADYYVPRPHPPKNN >Vigun03g344500.1.v1.2 pep primary_assembly:ASM411807v1:3:54368144:54369441:-1 gene:Vigun03g344500.v1.2 transcript:Vigun03g344500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASLRSTTFLLLFILLPLRFSSAHTQNQGSLTFHFPNHVPLFSFLLLIDCVEYCSGKKTMVVDDIQTTKSRVDLEVYHGVPAVAETDQRTRFLSGRKMMSNEVHSKLKAKGLTTCVVGNCNNHEEVLKVKQKQHKVGKRNINGHSSMSGLVPLNADYYVPRPHPPKNN >Vigun10g014801.1.v1.2 pep primary_assembly:ASM411807v1:10:1642466:1643901:1 gene:Vigun10g014801.v1.2 transcript:Vigun10g014801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKGLSFKNFYQNSMMFLDPSEDFLVFDEGFFLEWGKSLVLGTNYFCDPAGNVLNIKFDENLLAERKFRGVFQILGFYNLRFMCYVCTLLCGDRFFRLRVFDSYWKEVEYPVVGESRTGITYDLLGSPRFFQCFRVKLLRSMPCILLPDEFQMFSKDDLKLDRKVELYDPLRKKFELSVDTTDVGSIVLFGLAEFRKYYGLKKGFFLHLSYVGKNQFIHKIFSLEGVEIVYCRGNDIEHAAVDEGDGSCIPDNAGVSPIAGNASLITDGVVKYLTGYGIRSSCLYLNSNFAGHFLDKSRKNYLLTNETGIYWPCSIRWTGRSNFECYLTCGWKTFCKDNALGAGDGIKFVIENDKRNIIYVVKV >Vigun02g001900.2.v1.2 pep primary_assembly:ASM411807v1:2:1041349:1046282:-1 gene:Vigun02g001900.v1.2 transcript:Vigun02g001900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGREGVVRQYIRSKVPRLRWTPELHRCFVYAIETLGGHHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMKGDSCRQDKTSTQHRKQRDDEVGDVGFHYCFKSIGKESDSQPSCSDFSLKRARIEKTSFLSSGFPQCSQRICEAVLPNPYTTFYDYLQSMAEQKGIKKICAASIFQTQSLSTTFLPMLPTQPSDFLQVAYLNEKQPLNEVEKSCRVQAEDEDMGGCELSLSISLPQPYPSSQRSNASSVSEISEAFSLCPKFNNNNNNYMGCSTSSNVSNKVNVDLSLAI >Vigun02g001900.3.v1.2 pep primary_assembly:ASM411807v1:2:1041383:1046553:-1 gene:Vigun02g001900.v1.2 transcript:Vigun02g001900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGREGVVRQYIRSKVPRLRWTPELHRCFVYAIETLGGHHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMKGDSCRQDKTSTQHRKQRDDEVGDVGFHYCFKSIGKESDSQPSCSDFSLKRARIEKTSFLSSGFPQCSQRICEAVLPNPYTTFYDYLQSMAEQKGIKKICAASIFQTQSLSTTFLPMLPTQPSDFLQVAYLNEKQPLNEVEKSCRVQAEDEDMGGCELSLSISLPQPYPSSQRSNASSVSEISEAFSLCPKFNNNNNNYMGCSTSSNVSNKVNVDLSLAI >Vigun02g001900.1.v1.2 pep primary_assembly:ASM411807v1:2:1041380:1046553:-1 gene:Vigun02g001900.v1.2 transcript:Vigun02g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGREGVVRQYIRSKVPRLRWTPELHRCFVYAIETLGGHHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMKGDSCRQDKTSTQHRKQRDDEVGDVGFHYCFKSIGKESDSQPSCSDFSLKRARIEKTSFLSSGFPQCSQRICEAVLPNPYTTFYDYLQSMAEQKGIKKICAASIFQTQSLSTTFLPMLPTQPSDFLQVAYLNEKQPLNEVEKSCRVQAEDEDMGGCELSLSISLPQPYPSSQRSNASSVSEISEAFSLCPKFNNNNNNYMGCSTSSNVSNKVNVDLSLAI >Vigun10g084250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23812725:23813069:1 gene:Vigun10g084250.v1.2 transcript:Vigun10g084250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNFKLANVSGTSEDKLLASRTMTDRFSRLAREGSRGPERLHCDMRTSCRSRSGIKALDHSCPASDILTPSKYSCLILVRFWTSFLRFGFSSFSPCPVKEEKEERSRVTNLVI >Vigun04g153900.1.v1.2 pep primary_assembly:ASM411807v1:4:37206712:37208467:-1 gene:Vigun04g153900.v1.2 transcript:Vigun04g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNISLRCLAMVAIAFFFIVQGTLGEVECERLSEDTCAFAVSREGKRCVLEKRVKRSGEEAYTCRTSEIETETQNGHIETEECIKGCGLDRKSFGISSDSLLEVGFTKTLCSPHCYQNCPNIVDLYFNLAAGEGVFLPQLCASHGANARRQTAEIRSSGTVAPGPVQSLQFIATSPQPFDAVELTHEPAVAPFPQF >Vigun09g061800.2.v1.2 pep primary_assembly:ASM411807v1:9:6425995:6428088:1 gene:Vigun09g061800.v1.2 transcript:Vigun09g061800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSLFSMSTTPQDQEAEPKLVFFASMASNWHFPFKTCATTTPMIVLQTKVEIIVTEGDLEGTKIASTVREIGASMLVVGLHDCSFLYKLTMAHSHNSIATIFNCRVLAIKQPCVSPLRPMISAVSVLDSSTNLDVSLIHLSRLQVHGTPPPKIPYRICPNPSAIIWRSRKSRRW >Vigun09g061800.1.v1.2 pep primary_assembly:ASM411807v1:9:6425995:6428088:1 gene:Vigun09g061800.v1.2 transcript:Vigun09g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKIVVVVEDVDAARTALQWALTNIIRYGDTITLLHVYHSTRSRSRTKARVLRLNGFKLALSFQDMCNNYPNTKVEIIVTEGDLEGTKIASTVREIGASMLVVGLHDCSFLYKLTMAHSHNSIATIFNCRVLAIKQPCVSPLRPMISAVSVLDSSTNLDVSLIHLSRLQVHGTPPPKIPYRICPNPSAIIWRSRKSRRW >Vigun05g234700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42827894:42829490:-1 gene:Vigun05g234700.v1.2 transcript:Vigun05g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFMLSSQSFPLKPHQPLQNTPPFPLTKIHYTAPRNLIRGKRVHSTSKYGNFLGLRPEHQPETLDFDLPWCHPSDRAHFDVIIIGAGPAGIRLAEQVSLYGVKVCCVDPDPLSVWPNNYGVWVDEFESLGLEDCLDKTWPMACVHVNDSKTKYLDRSYGRVGRRKLKERLIEGCVSNGVRFHKAKAWEVEHQEFESCVLCDDGVELKGSLVVDASGFGSRFIEYDKVRNQGYQIAHGVLAEVEEHPFDLDKMVLMDWRDSHLGNEPSLRVSNSKFPTFLYAMPFSSNLVFLEETSLVSRPVLSYMEVKKRMVARLRHLGIRVKRVLEDEKCLIPMGGPLPRIPQNVMAIGGTSGVVHPSTGYMVARTMAVAPVVAFAIAECLGSTRMIRGKALYARVWNSMWPIESRMAREFYSFGMETLLKLDLNGSRSFFNAFFDLKPYYWQGFLSSKLTLQELLWLSMSLFGHASNPSKLDIATKCPLPLAKMMGNIALDYIG >Vigun04g046100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3986655:3987260:1 gene:Vigun04g046100.v1.2 transcript:Vigun04g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLVTLVLVVALSTKALLGEAGAAPEQIVDTSGKKVRAGENYYIVPASSDVGGLALSTTGQDCPLDVVAVDGYQGQQLSFLPVNDKKGVIRVSTDLNIFFSTYTSCPQSTVWKLKDYDYSTSQWFLTTGGSLGNPGSQTITNWFKIEKYEDAYKMVYCPSVCNYCNYPCSDIGIYQDQYGKRLALTSEPYKVQFQKVQY >Vigun01g250100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41684643:41685539:-1 gene:Vigun01g250100.v1.2 transcript:Vigun01g250100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMTLTVLVILLSVVSSASCARLVGGKTEIPDVRRNREVQELGRFSVEEYNNGLKLWGNDSDNEREKLTFSEVVEAQQQVVSGLKYYLKISATHRGIHRMFSSVVVVKPWLSSKKLLHFAPASTVNTNQ >Vigun07g179800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29704998:29705594:1 gene:Vigun07g179800.v1.2 transcript:Vigun07g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVKFMFAVVVVAAVVEVVPSVGGRVLKMKEKEDIVKQYRKMKRESKFNVVSVYDKGPVPPSGPSTCTYIPGTGGSNCPPVKEINILPQNTHQTSYPRLVVPFAVATNQH >Vigun06g097600.1.v1.2 pep primary_assembly:ASM411807v1:6:22866594:22872941:1 gene:Vigun06g097600.v1.2 transcript:Vigun06g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESVLCLLTEDLLIRILEKLGPDRKPWRLVCKDFLRVESVSRKSIRILRIEFLLGLLEKFCNIETLDLSLCPRIEDGVVSVLLSQGSASWTRGLRRLVLSRATGLGHAGLEILIRACPMLEAVDVSHCWGYGDREAAALSCAARLRELNMDKCLGVTDIGLAKIAVGCEKLERLSLKWCLEISDLGIDLLCKKCLDLKFLDVSYLKVTSESLRSIASLSKLEVIDMVGCSLVGDDGLRFLEKGCPLLKAIDVSRCDCVSSSGIISVINGHGDLEQLDAGYCLSELSAPLVKCLENLKQLKIIRIDGVRVSDYILQTMGTNCKSLVELGLSKCVGVTNKGIIQLLSGCGNLKVLDLTCCRFISDAAISTIADYCPDLVCLKLESCDMVTEKCLYRLGLNCSLLEELDLTDCSGVDDIALRYLSRCSKLVRLKLGLCTNISDIGLAHIACNCPNMTELDLYRCVRIGDDGLAALTSGCKRLTKLNLSYCTRITDRGMEYISHLGELSDLELRGLSNITSIGIKSVAISCQRLADLDLKHCENIDDSGFWALAFYSQNLRQINMSFCIVSDVVLCFLMGNLKRLQDSKLVSLPKVTVNGLEVALRACCGRIKKVKLQRSLRFLLSSEMLETMHARGCKIRWD >Vigun03g184600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24173983:24174906:-1 gene:Vigun03g184600.v1.2 transcript:Vigun03g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVVPVDFNFDSNCSSPFITAPSSPQRFGNNANFFFSAPTSPTRPTPFFFHDSHSSSVPFLREQQQQQPQPNANHDDFEFNFTGHVDRPSLSADELFHAGKIRPLTPPLPSPSPVTSPGKNKNREEEEEEEEEEEKKKKKVRGRERVSVSGRRGSSRSLSPLRISDIMSDSEEKTVPSPTSNSKLSFLSSIPFTRKWRIRDFLLFRSASEGRATDKNPLRKYVILSKSEDVRNSSFRSAESSGSVSKRRGPVSAHELHYTVNRAASEEMKKKTFLPYKQGLLGCLGFNPGMHHISKGMGSLTRS >Vigun07g152401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26320190:26322698:-1 gene:Vigun07g152401.v1.2 transcript:Vigun07g152401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCWICKVDCETVEGMNLQSHIKEHKNMTMNMVKTIKFLIIITIGALFHFMF >Vigun07g220100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34274172:34274291:1 gene:Vigun07g220100.v1.2 transcript:Vigun07g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSYRMSACGLPNAYSHILPAAELVVMMLPCEIYLQFS >Vigun07g017900.1.v1.2 pep primary_assembly:ASM411807v1:7:1487375:1490488:-1 gene:Vigun07g017900.v1.2 transcript:Vigun07g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIKGLAAAIVVAVLVAALPADAGDNNPVFSPCSDTRVQRSDGFSFGIVFAPKDKFFFNNNSSVQLSPCDTRLSLSNSNYQISVFRPKVDEISLLTVNSSSFTADTYGYMVAFAGRRYAARSPPAFVANGTYTVTSFTLVLEFKKGRLQNLYWKRDGCAKCSSNSKAVCLNNQDCALQTSSCKSHGGTVDCSIGIQLAFSGTDKHLAVLNSWYEVKNLRQYSLYGLYSNLRDSLTSQYDKFF >Vigun01g087700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24594483:24595385:1 gene:Vigun01g087700.v1.2 transcript:Vigun01g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLSLHNLEECSFEGSSITVPSQDIFHKPSVEEQKNEEVTTMEEKVDKSKQDQASNSKSYMVLDYLKLLNDEPVRESKVFDFFNVINTGNSSSSRENYTTKRKYENNNEVKPSKTKTFSCNFCKKEFSSSQALGGHQNAHKQERALAKGHQGIEVGTFGYPHFLYYPYLTNSFYGSYSRTLGVRMESMIHKPSYLSSSLGFRFGRSSLDGLRKKDLNANNEIVIMENDKTLRKEGHNLTIRPNTPFLSKSSTNVAIKSNPTLSPPFNPVHFGGQSKQEGTSNPNSSFGIDLSLKL >Vigun03g017500.1.v1.2 pep primary_assembly:ASM411807v1:3:1240990:1242689:1 gene:Vigun03g017500.v1.2 transcript:Vigun03g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSYYGYQEKNTFTSCEEMRMESVICPKPRRLGLMNHSSINTHIRPFRHPISYQSEVEDSGVGAELLDIILPKESCYLGRSGGQVVASSPPFFCGSPPSRASNPVIQDEQFGNGNFSPFTEASSSSARGCVPMKFGQTPAAVRVEGFDCLNRDRSSCSISAVA >Vigun05g211100.2.v1.2 pep primary_assembly:ASM411807v1:5:40197930:40202157:-1 gene:Vigun05g211100.v1.2 transcript:Vigun05g211100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNLSPSWPDFSSQHQKMPLPPLNNDTTTANTGHYQHHQNQNPSWVDEFLDFSSARRGAHRRSVSDSITYLDAPMRCGENNNHNNNNGNGNEFDRFDDEQFMSMFTDEVVLSGVPLPPPTTLSSSNPSSPSDQNFFHDEKESCRKERKVQKEEEEHRHQFKNEADEVESQCMQEIAQPPNDTNTSSSNEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSATSLQCCLQGLHFWITSVCF >Vigun05g211100.4.v1.2 pep primary_assembly:ASM411807v1:5:40197930:40202157:-1 gene:Vigun05g211100.v1.2 transcript:Vigun05g211100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNLSPSWPDFSSQHQKMPLPPLNNDTTTANTGHYQHHQNQNPSWVDEFLDFSSARRGAHRRSVSDSITYLDAPMRCGENNNHNNNNGNGNEFDRFDDEQFMSMFTDEVVLSGVPLPPPTTLSSSNPSSPSDQNFFHDEKESCRKERKVQKEEEEHRHQFKNEADEVESQCMQEIAQPPNDTNTSSSNEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSATSLQCCLQGLHFWITSVCF >Vigun05g211100.3.v1.2 pep primary_assembly:ASM411807v1:5:40197930:40202157:-1 gene:Vigun05g211100.v1.2 transcript:Vigun05g211100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNLSPSWPDFSSQHQKMPLPPLNNDTTTANTGHYQHHQNQNPSWVDEFLDFSSARRGAHRRSVSDSITYLDAPMRCGENNNHNNNNGNGNEFDRFDDEQFMSMFTDEVVLSGVPLPPPTTLSSSNPSSPSDQNFFHDEKESCRKERKVQKEEEEHRHQFKNEADEVESQCMQEIAQPPNDTNTSSSNEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSATSLQAEISVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYEQQRLKKMENAAESPPLPLPLPTEKEIQVLNV >Vigun05g211100.1.v1.2 pep primary_assembly:ASM411807v1:5:40197930:40202157:-1 gene:Vigun05g211100.v1.2 transcript:Vigun05g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNLSPSWPDFSSQHQKMPLPPLNNDTTTANTGHYQHHQNQNPSWVDEFLDFSSARRGAHRRSVSDSITYLDAPMRCGENNNHNNNNGNGNEFDRFDDEQFMSMFTDEVVLSGVPLPPPTTLSSSNPSSPSDQNFFHDEKESCRKERKVQKEEEEHRHQFKNEADEVESQCMQEIAQPPNDTNTSSSNEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSATSLQAEISVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYEQQRLKKMENAAESPPLPLPLPTEKEIQVLNV >Vigun08g116200.1.v1.2 pep primary_assembly:ASM411807v1:8:28318384:28321227:1 gene:Vigun08g116200.v1.2 transcript:Vigun08g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKQEQPVAEARPEEKPAEEKKEEKPEEKPEEKPAEEKKEEPKPPSPCVLFVDLHCVGCAKKIERYIMKMRGVEGVVIDMAKNEVTIKGIVEPQAICNIITKKTKRRASVISPMPEAEGEPIPEVVNSQVSGPVTVELNVNMHCEACADQLKRKILQMRGVQTAMTEFTTGKVLVTGTMDANKLVDYVYRRTKKQAKIVPQPEPEAEPEKKEESKEGEKGGAEESKPEEKKEEEKPAEEAKKEEGGEGEKKEQKGGEEAMEEAKKEENVVVAANNIDDEGLKRMMYYYQYPPLYVIERIPPPQLFSDENPNACCIT >Vigun11g154600.1.v1.2 pep primary_assembly:ASM411807v1:11:36379182:36381144:1 gene:Vigun11g154600.v1.2 transcript:Vigun11g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNEELEMGGSNGVGASSRWNPTKEQISMLENLYKQGIKTPSAEEIQQITARLRAYGHIEGKNVFYWFQNHKARQRQKQKQETIAYFNRFLHTPQPFFSPPICPNGLCPPYCIPQVQSENGFYPQHPKVLVPVGFRRRPSEIFVPTDMSNVVVQQRISDCNLSYSNQETLDLFPLHPTGILEGKTMSSQASVSAHSSTDINEDDPSPLNQPFFDFFTTSGQGS >Vigun02g156500.1.v1.2 pep primary_assembly:ASM411807v1:2:30273755:30275241:1 gene:Vigun02g156500.v1.2 transcript:Vigun02g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWASSTANALKLSSASRPHLLPPFSFSRCLSTVLDGFKYAESHEWVKHEGSVATIGITDHAQDHLGEVVYLELPEPGVSVSKNSGFGAVESVKASSDINSPISGEIVEVNKKLKEKPGLVNSSPYEDGWIIKVKPSDPSELDSLLGPKEYTKHCEEEGGSH >Vigun11g179200.1.v1.2 pep primary_assembly:ASM411807v1:11:38318299:38324721:-1 gene:Vigun11g179200.v1.2 transcript:Vigun11g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPNFNNGPSSPDDSLSSPIGNTFSSPGSRRRRRSSTPSAFATPSERRSRFASSEATPTAPRSRHGVGGGGRIPPTPTSTTDDAGASSDGGDGYDMDDARPTFVWGTSISVEDVNDAIHRFLRDFREASSSQGDDDGLHLHTEGKYEKLIRQVIEVEGDSLDVNARDVFDHDPDLYTKMVRYPLEVLAIFDLVLMNMVSRLKPMFEKHIQTRIFNLKTSTSMRNLNPSDIERMVSLKGMIIRCSSIIPEIREAIFRCLVCGFCCDPIPVERGRITEPTRCLREECQSRNSMTLVHNRCRFADKQIVRLQETPDQIPEGGTPHTVSLLLHDKLVDTGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTDKSRMQVEDSMDIDSGSGRNEEEVIFEEEKVARLKEISKRPDVYEILTKSLAPNIWELDDVKKGLLCQLFGGNALKLASGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFDNPENIEQDVLDLSTLTDYVSYARKHIHPQLSDEAAEELTRGYVEIRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVEKNDVTEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERIRRESLLQATRNLIMEKMQIGGPSMRLLDLLEELKKQETGVSEVHLNDLRNAIGTLATEGFVTMHGDSLKRS >Vigun03g350000.1.v1.2 pep primary_assembly:ASM411807v1:3:55070273:55071271:1 gene:Vigun03g350000.v1.2 transcript:Vigun03g350000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITNPPFGTHKLLHLCIASSSSTFNFNHTHIRGEKMYAPAQYQEPSYVEHVRRRHQEKGFLYACFFALCCCFCCYETCECCLDVLCCCCP >Vigun01g188000.1.v1.2 pep primary_assembly:ASM411807v1:1:36759244:36764751:1 gene:Vigun01g188000.v1.2 transcript:Vigun01g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPIHFRPDKFDYRAQQKEPNRISASSHPNTNANNHSALMYAPFPSLSSHSVAMNSLTASFTARICVTRRDLLPMRRRSAFSRVATKLTHPRIVCMAEPYLITKLDSAEKTWKELSVKLADPDIVSDPKEYQKLAQSVSELDEVVSVYRKFKDCEKLLEETKALAKDGGNDEDMVEMISYEIDSLSKQLAELEDQLKVLLLPSDPLDARNIMIEVRAGTGGDEAGIWAGDLVRMYERYSERNSWKYSLVSSSAAEKGGYKTYVMEIKGNRVYSKLKYESGVHRVQRVPLTEAQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAIDLVHKPTGIRIFCTEERTQLKNKNRAFQLLRAKLYEIKVREQQESLRNQRKSQVGTGARAEKIRTYNYKDNRVTDHRLKTNYELTSFLDGDIEDAVQSCATMEQKELLEELAESVGATAG >Vigun11g024700.1.v1.2 pep primary_assembly:ASM411807v1:11:3174400:3176130:-1 gene:Vigun11g024700.v1.2 transcript:Vigun11g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNHVIPLLTPHRMGKFNISHRIVMAPLYRARAYNNVPQPHAILYYSQRATKGGLLITEATSISPSSKYPNSPGIWSREQIEAWKPVVDAVHAKGGIFFCQIVHVGRASEEDGEAPVSSTNKPLKSGNGMQPRALETDEIPSIINDFRIAARNAMEAGFDGVEIHGAHGFLIDQFLKDQVNDRRDRYGGSLENRCRFALEVVEAVVEEIGADRLGVRLSPFSDYNECVDSKPQELGLYMAQSLNKHGVVYLHMVEPRWNISGENVESPYTLEPMKKAFNGTFIVAGGYNREEGNKAVTENYTDLVAYGRLFLANPDLPKRFEVDAPLNKYNRDTFYTQDPIVGYTDYPYLEATA >Vigun08g072800.1.v1.2 pep primary_assembly:ASM411807v1:8:12128014:12130341:-1 gene:Vigun08g072800.v1.2 transcript:Vigun08g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLLKRDCVLMTLLLMLLLIRSGSAHNECDENLSCGPGEPLIRFPFQLVKEMEQPCTYPRLCFSCTEKTQTMLLLPTIKLQVVYINYQFQEIFFSDPENCFSNKFMQIINFVQSYQFESPPFDEPHGNLSFFNCTSARHPIKRYPGDSYSQDTVSCPIFISNPYDSVLEMDITSCTKMFHIPSPIRFPESKYLRLIWPKPNCSECEEKGKRCKWKSNNNDTEGDIECFECTRKIIHVPKSLIFAISGSIFLGLLVIAVFKGILYFRKKQEDQERVDKFLEDYRAEKPARFTYADLKRITNGFKENLGEGAHGAVFRGKLSSEIQVAVKILNNTDVEGKEFINEVGIMGKIHHINVVRLLGFCAEGFHRALVYNFFPNGSLQSFIFPPEDQDHFLGWETLQHIALGIAKGIEYLHQGCNHPIIHFDINPHNVLLDDNFTPKISDFGLAKLCSKNPSVVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDMSSPEDFNVLYPDWIHNLVDGDMHIHVEDEGDVKIAKKLAIIGLWCIQWQPGNRPSIKSVIHMLETQEENQIAVPPNPFHSATSTTVKGPTSTRRPLHLEVIEE >Vigun01g134300.2.v1.2 pep primary_assembly:ASM411807v1:1:31255763:31260544:1 gene:Vigun01g134300.v1.2 transcript:Vigun01g134300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQKCEKGMQFFKENLCKLQDENPEHMPIGFEVTFPSLLDLARILDVEVPDDSPILKNILAMRDLKLKKIPREILHKVPTTLLHSLEGMQNLDWKQLLKLQCQDGSFLFSPSSTAYALIQTKDENAHKYLDKTLHKFNGGVPNVYPVDLFEHTWIFDRLDRLGISRYFKSEIKDCVTYVSRYWTEKGICWARNSEVQDIDDTAMGFRLLRLHGHQVSASVFENFKKNGEFFCFSGQSNQAVTGMFNLYRASQVLFQGEEILEDAKNFSVKFLTEKREANQLLDKWIITKDLPGEVNYALDMPWYASLPRVETRFYLEQYGGSSDVWIGKTLYRMPFVNNDVYLELAKLDYSICQAVHCAEWEKIQGWYSDAGLERFGLSKQCLLFAYFIAAASIFEPERSRERLAWAKTAALLQTLGSFIKDQQTTNVFVDLFNNSINGRNFSNKKLNKNKREEELLKILLANLDYLGFEVLRSHGRELSHYLNQAWQGWLSSWQNEGNSLGREAELIVQIINVMGGYWSEELQLNPQYQRLVQTTNRVCHGLRNYKSSKAHDSGRDKRITCMTTPQIESDMRELVQLVLQNSSDGVHTNVKNSFLTVAKGFYYGAYCDPETINSHIQKVLFEKVM >Vigun11g040850.1.v1.2 pep primary_assembly:ASM411807v1:11:5840936:5843437:-1 gene:Vigun11g040850.v1.2 transcript:Vigun11g040850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTISNSCEPNARPPRPRSKNASGNRTNIGWKHGTDVLGNGKKHHIVGTRYDSEPCGSVYEEVKVVMMKVVAEVDTNQSSSSMISSMMFKNKGMEKFSQGDKEKVDAQMAEFFYTSAIPFNVIRNPAFAKMCEMIGRYGVGYKPPSYHDIREKLLKQAFKEEWKRTGYTIMSDGWTDKKRRSICNFLVNSPKGTVFLYSLDTSDISKTADKVFKMLDDVVEFVGEENVVQVVTDNATNFKAAGDLLMKKREHLYWTPCVTHCIDLIFEDFEKHLKVYQITIKKGRRITTYIYGRAMLISMLKKFTKGRDLISPGMTRFATSYLTFACLHELKASLMSFFSSNERKIEHVVLDSRFWKNVTTCLKVATPLMVVIRLVDSDVKPAMGFIYEEMDSAKEKIKFNFNNIKKRPLHAAAYYLNPHMHYEPNFRNDDVEVKEGLYECMKRLVKDVVERKKINLPLIEFHFTKGLFSMENAKDCRKVMLPGEWWEMFGDGTPELKRFAIRVLNLTCSSSGCERNWSSFEMMNDLVYVMYNLKLKSKQIRKIVALSFDDIESDDEWITKDGDNVRFELVQGEGDEGNVDIVGPSSVDPTLEAFDLDNIVFDANVDDAHLSYDEELDGDGEDDVGDDIIRGLEPEI >Vigun11g136750.1.v1.2 pep primary_assembly:ASM411807v1:11:34601815:34602849:-1 gene:Vigun11g136750.v1.2 transcript:Vigun11g136750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMKNLKRRVLKLDSLSPLHFHSMMYIFSYLCRSRSGYESFGFLHAKFLLKMVEFNL >Vigun03g255800.1.v1.2 pep primary_assembly:ASM411807v1:3:42213496:42216419:1 gene:Vigun03g255800.v1.2 transcript:Vigun03g255800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKEKTKRVKGRRQSRSSGNKYLRPGTLAQLRCSKPAGSASAAGKCCCTDLGKKRVAVLHARKRAKGHEENARVFDKSPVMLSPVNLVSHGAGFVGTPKTPRLDDSLSESKLESLPMELLVKILCLLHHDQLRAVFHVSQRIRKAVMIARQFYFNYTTPDRSRQEMLSTMTPRPTEHWPFLSKGDGKGVRVPSPHTPKAPRHGPRPPSRLKVSEMRQVAAVLFPESAFPSRCLVPSVIPRPLYKSLASNRVLFYEDELCQAVAQNKLR >VigunL024533.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:55100:55895:-1 gene:VigunL024533.v1.2 transcript:VigunL024533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGGSNFSIPYIFVSNFFQINKTYGVFVTIIGIFITLIYPLLIGN >Vigun08g155450.1.v1.2 pep primary_assembly:ASM411807v1:8:32783590:32784506:-1 gene:Vigun08g155450.v1.2 transcript:Vigun08g155450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSSLSPNIITCCCFCHFKHAAVETWKLLLIFIFLEVKRMRKTINIKDKRKKEKSESGEWQRGQRILCFSQFDLMMAAPKERAFLGVFSLSVNHNLSLFKNSFSSLFVFLSLFLLSCILSSVELMICSHSEKPHWFLTILHKGKRNMGPTYPTAHHTMSLHRPPVSGSQKREIKYLAHKSHNSCWASERLKLCP >Vigun07g193500.1.v1.2 pep primary_assembly:ASM411807v1:7:31272421:31279441:-1 gene:Vigun07g193500.v1.2 transcript:Vigun07g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKEKERESGKEKVIEKRSSLRCFPPSFRSLHFLNSHHNFFLSLYLSLSLHNYFLFHHSQFHHINNNSYFWGSSPPLRLRSLPKIMQNSVLDPQDQRIASCTEDSTAMTIEFLRARLLSERSISKSARQRADELAKKVMELEEQLRMVILQRKMAEKATADVLAILENQGISGVSDEFDSGSDLENPFDSSMSNDCSKEDEGPMNSKGRQHGSDEMSGSNVDSSLGSSKSLSWKGRHDLSHSLEKCKTKTTNVRRQSSFSSFSSSPKHRLGKSCRKIRHRQPRSVMEESRDKFVQVNCQVNELVSSSEEFPNFQDGGSDILKIESKIQEENGSEANLLSKNRHIDGYGREKEMEKAIEHQAQLIDQYEAMEKAQREWEEKFRENNSTTPDSCDPGNQSDMTEDKDEGKVQIPYAAKVVTSKAEESKGEPRGVCLSEEKFKAGDREIMPKTHDDTDGYRNQKSTTFSTSDLLGQENSHSPLKENQNESLVNGQSPSSDTSHLDPGRHSYSDLRPTRSFPTDIHGVQHQNDASENKMDLYALVTREQTHKFDGVLESLKQARISLQQELNRLPVVEGGYTAKPLPSVSKSEDRFDIPIGCSGLFRLPTDFSDEATARFNVRHPAAGFGSNYHLNGTMSRTSDGQFLTNPPYSGTMLSLSADDQALSTRYLENGSRFSSNKSPFDPFSNGGPLSSSKYSYPTFPINPSYENATPQMPFGDEVSRPYSNSTVGVPLANRFSFNGDHLR >Vigun05g274000.3.v1.2 pep primary_assembly:ASM411807v1:5:46433636:46436032:1 gene:Vigun05g274000.v1.2 transcript:Vigun05g274000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILNAMLGYWHIVAEITLLDSNVIIYKFVQDLHFFVTGGDDENEIILASVLQGFFDAITLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHSMDAESPLTEQTLSQAWTTAREHLTRTLLK >Vigun05g274000.1.v1.2 pep primary_assembly:ASM411807v1:5:46433636:46436031:1 gene:Vigun05g274000.v1.2 transcript:Vigun05g274000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASCPLIKNILLLDSEGKRVAVRYFSDDWPTNSSKIAFEKFVFSKTVKTNARTEAEITLLDSNVIIYKFVQDLHFFVTGGDDENEIILASVLQGFFDAITLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHSMDAESPLTEQTLSQAWTTAREHLTRTLLK >Vigun05g274000.2.v1.2 pep primary_assembly:ASM411807v1:5:46433636:46436031:1 gene:Vigun05g274000.v1.2 transcript:Vigun05g274000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASCPLIKNILLLDSEGKRVAVRYFSDDWPTNSSKIAFEKFVFSKTVKTNARTEDLHFFVTGGDDENEIILASVLQGFFDAITLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHSMDAESPLTEQTLSQAWTTAREHLTRTLLK >Vigun05g138700.1.v1.2 pep primary_assembly:ASM411807v1:5:16847772:16851549:1 gene:Vigun05g138700.v1.2 transcript:Vigun05g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIFSVLIILDYAVPSAAVAFEKFFEPGIIFIQRWLPLFYVPYLVVLPLSLKDIPASSAIKICFIVVGGWLATVCVTGLTAIGVRKAVKTELIDAEPMEKPSPFSSIEVWAWTGVLLISFAASLVFPSALGTRARTYLPFLLASSVRLHDWLRIAIKLEEGLPPNNFLCSIAELAAIALGFLSKSGLDAVLGYYLTNSSSNPGVGYIVMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTCHNLYHILIVLNCHCWMSTCFRTIITVSILPRCITVALALSIVSFFEGATSVTSLVWENRHA >Vigun04g005600.1.v1.2 pep primary_assembly:ASM411807v1:4:375321:376594:1 gene:Vigun04g005600.v1.2 transcript:Vigun04g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKKVQNFFVGRIMDGHQGGNTNWVIKEDDEHSNDSSSIGLMSEEEEDSMDSLCSSSSSSELTEDASSPTSSSSTHSNGPLYQLSDLMNHLPIKRGLSMFYEGKAQSFTSLARVESIEDLPKKETPYSKRMKSCKSFGGGLDSHRIWYTPKATITKKTSRGSFALSKRGSFHGGSRPSIAVHKNF >Vigun07g025500.1.v1.2 pep primary_assembly:ASM411807v1:7:2288896:2294690:1 gene:Vigun07g025500.v1.2 transcript:Vigun07g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAASASSHGCISAIATCIPCSSSKFSSQSSLTLAAPQRASTRRSFRVCRAMVQQAVQGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVAGKATEWQKIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGSGSPGLFAARVIFENFPSSLANLSKMDVVIKDGNAKITANLTLLNSIDSKFLLSTKLSVEGPLRMKEEYVEGGFVSPTIIEERVPEQLKGALGQAANAFQQLPAPIRDPVANGLKVPLSGSFQRLFMISYLDEEILIIRNTAGIPEVLTRLDAAPSSLGDTSPEYES >Vigun02g123800.1.v1.2 pep primary_assembly:ASM411807v1:2:27592079:27594306:1 gene:Vigun02g123800.v1.2 transcript:Vigun02g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQTELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKTT >Vigun05g047400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3966059:3966664:-1 gene:Vigun05g047400.v1.2 transcript:Vigun05g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTDSDFAFLESVRQYLIGHDSINLMPATSHDPLSNPKRDGDFENIVFRSEDATAAVARHVYAPPAWKHYRGVRRRPWGKFAAEIRDPKKNGARVWLGTFDTEEKAALAYDKAAFRMRGQKAKLNFPHLIDSDNFETLSEPVMETDHKRKLSESFEACSPSSSCSEDSSESQGTKRRKNLTDLLNKLAKSRSQARVVG >Vigun05g259900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45338807:45339793:1 gene:Vigun05g259900.v1.2 transcript:Vigun05g259900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAGVFAEEETHAAARCSTPNRLDCRIPAASAPPPPPKKKPLSFGRKREPPKNGYFHPPDLDQLFSLVLPTTTAFN >Vigun10g015900.1.v1.2 pep primary_assembly:ASM411807v1:10:1761491:1763086:-1 gene:Vigun10g015900.v1.2 transcript:Vigun10g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKYLLMIFVIILPFFCVCVDGKHFVLVHGALHGAWCWYKVAHQLKSEGHNVTTLDMAACGVNTEKIEEVDSVSEYHKPLITFLASLPPQEKVILVGHSLGGLSVSIAMEKYPQRISVAVFITAYVVSQNLTYPALLQEVNRRLGHVLEEQYFILDENNAPFLSPIGVEFLRSRLYQLSTTEDLTLGVSVVRPLPPFMNDAKLLAKQSAVSKKRNGRVSKVFIIAEKDNLVTEDFQRWIIQKTGPYADVKKIKNSDHMAMFSKPKDLALELLNIAYKF >Vigun11g084400.1.v1.2 pep primary_assembly:ASM411807v1:11:25212116:25213244:1 gene:Vigun11g084400.v1.2 transcript:Vigun11g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMGKNQHVLALLLLLSICTSQVVSRNLHEASMSKRHEQWMKKYGKTYKNAAEKQKRFLIFKHNVQFIESFNAAGNKPYKLGINHIADQTNEEFIASHTGYKGSHELRVTAQTSFKYENVTPIPATVDWRQNGAVTPIKDQGQCGSCWAFSTVAATEGIYQITTGKLVSLSEQELVDCDTVDQGCDGGLMEDGFEFIIKNGGITSEANYPYTGVNGTCDTKKEASPEAQIKGYEAVPANSEESLQKAVANQPVSVSIDAGGSAFQFYSSGVFTGPCGTQLDHGVTAVGYGITDNGTDFWIVKNSWGTEWGEQGYIRMERGVDAKEGLCGIAMDASYPIA >Vigun03g292600.1.v1.2 pep primary_assembly:ASM411807v1:3:47768679:47775169:1 gene:Vigun03g292600.v1.2 transcript:Vigun03g292600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLPIPGIPLPKQQQSLSSNIRRRATTVTAELQTAVVRTGGTDFQFPSVDAPTHKVTVHDRQRGIVHEFVVPEDQYILHTAESQNITLPFACRHGCCTSCAVRIKNGQIRQPEALGISAELKEKGYALLCVGFPTSDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMADE >Vigun11g033800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4523808:4524481:-1 gene:Vigun11g033800.v1.2 transcript:Vigun11g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGTTVSDFVNDTAAFTKSVDDQFAALDLNNDGVLSRSELRKAFESMRLIEAHFGIDVTTTPEKLATLYDSIFDKFDVDRSGTVDRDEFREEMKKIMFAIADGLGSFPIQMVLEDDPNSLLQKAADLEASKT >Vigun10g089700.1.v1.2 pep primary_assembly:ASM411807v1:10:25876442:25880578:-1 gene:Vigun10g089700.v1.2 transcript:Vigun10g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSSSSSFLKSEPHFIYDVFINFWGEDIRRKFVSHLHSVLLQAQVKTLINEENLQEGITLEENMRAIAASKIAIIVFSKTYTESTCCLRELEKIIECRETFGQIVLPVFYELYPFDVRYQQDDFGKSLEETGHKSYSGEQLEHALSSWSRALNIAASITGWDVKDFRHDAELVEEIVSRVQALLDYKDLFITQFPVGLESHVEKVIGCIENHSTKVCMIGIWGMGGSGKTTLAKAIYNQIYHPFIGKSFIENVREVRDQVNRHVDLQEKFLYEVLKSKFEVKTIGMGRSIIENELSKKRLLIVLDDANEFCHLENLCGNRGWFGQGTVIIITTRDVRVLNQLKVDFVYKMDVMNENDSLELLCWHAFRDAKPSEDFNELARNIVTYCGGLPLALVVLGSHLYDKTLEMWESVTLKLQIFPDERILRNLEKGFEALCDDMEKDIFLDVCCFFIGKDRGYVTEILNGCGLHADIGMTILIERGLIKVERNNKLEMHPLLRDMGRDIIRRRWPKEPGKRSRLWFHEDVKHVLQNKTGSKATEGLSLKLHSTNRDCFKAHAFKQMKRLRLLQLDHVQLVGDYGYLSKQLRWICWQGFPSQYIPNNFHMENVIAIDLKHSHLQLVWRQPRVLEGLKFLNLSHSKYLRETPDFSGLPSLEQLILKDCTSLCKVHPSIGDLCNLLLINLRDCTSLTSLPKEVYKLKSLKTFNLSGCFKIDILKEDIMQMESLITLISENTVVKQVPCSIVSSKHMAYISLHGFEGLSHNFFSSTIRSWMSPTMNSQSYISPLCLDTNNDNWGELAPLHSCLTNLRSMLVQCDTEFQLSMQLNTILVEYGANFIESRISNHRLRFSLIGVGSCNEFFNTLSDNVSKGFARSKCCDVPLPGDNHPYWLSHIGDGNSVSFTMPKDCDMKGIALCVAYLSTPEMVATECFRSVLIVNYTKCTCHIHNHGTVMSFNDEDWHGIMSNLESGDKVEFFVSCGHGLVFKNTAVYLIYGD >Vigun07g178500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29517804:29519812:1 gene:Vigun07g178500.v1.2 transcript:Vigun07g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRRYLIYVSQHVSQSEPLSPVTSPGGSFFHPHQHSSSTSFPIIAIAIIGIMATAFLLVSYYIFVIKCCLNWHRIDILRRFSPSRRREDPSPMYSPGTEARGLDEAMIRLIPVIQYKTRGDDRESGECAVCLNEFQEEEKLRIIPNCSHVFHIDCIDVWLQSNANCPLCRTSISLTSRFHVEQLLALRSSSPPDQTPPRENLIGRDEDFVVIELGNIHDRSQNLQERGNGLELPSPTSVMRSCTPRKLEQGNVQKKAMKLHKVTSMGDECIDIRAKDKQFSVQPIRRSFSMDSSGDRQFYLAVQEALRLQNRQVNEVSTTEGCSGGGGSNRVKRSFFSFGHGSRSRSSVQPVSLEP >Vigun10g086200.1.v1.2 pep primary_assembly:ASM411807v1:10:24628057:24630942:-1 gene:Vigun10g086200.v1.2 transcript:Vigun10g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVFNKVGSYWFNQKATTQLNSVGDDINSLSNSIEGGTKWLVNKIKGKMQKPLPELLKEYDLPIGIFPRDATNYEFNEETGKLIVYIPQVCEVGYKDSSVLRFFTTVNCYMEKGKLAEIEGMKTKVLIWVKVTTIMSDGSKLYVTAGMKKTRSREAYEFTRDGVCVDKF >Vigun01g088800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24743453:24745386:1 gene:Vigun01g088800.v1.2 transcript:Vigun01g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIQSRYTVFPSETTPNETLFSLCEQIKLRTHAPLLYVFKPHPHHDASSFVNILRHALSKALTIYYPVAGRLSWIQGGRWELHCNAKGAQILEAICKDVTLDDLGDFVPTHLVSQLIPNIDYDVPIEDTPLLVAQLTRFPCGGVTIGVALCRGAIDGIATMRFMNTWAKLARGENVEKAPCHDRNVLNSYKGNSGLHDHSEFHPPPPWLGPLEKDTKVAVATVKLTGEQVKKLKHKGNLANTGPSSQQRYSTFEVVSGHLWRCVSKARYAGKREQPTRLTTLVNCRHRMKPPLPEDYAGNTAFPTVTPTCSFGEIMQRPLSYAVGNVRVALERVTGEFVESALDHIDREKDMNLIRYNFHYPAPSVHKGAYKGNPNLFVVSWMNFSFKDADFGWGEPLYFGPGFMDSEGKAFLLNEANGDGIIVAISLEASHMDAFKKFFYADIEQESLISKL >Vigun05g164125.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26508893:26510557:1 gene:Vigun05g164125.v1.2 transcript:Vigun05g164125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVQVHGRFVWKKMVVRCWFVNLLKGGRWWLCWLQIWFCNGCCVGVALARVSAAGTHGGDGSRLFSTGSLCEWGLLLRLQTVREEASQRRGDGVSDLRRNCGGVGSEMKLFQVMDCEKMEIKNGGCDSDS >Vigun03g112250.1.v1.2 pep primary_assembly:ASM411807v1:3:10237669:10238472:1 gene:Vigun03g112250.v1.2 transcript:Vigun03g112250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGESSAYIRRSSGTKSVGASSSFSGLRGKLCGCGERVVFLQANTTKNKGIFFWRCRSWRSDDNCKYFEWVHDGEESMMEDKPEIEEEDDELVAVNDKYVIQLIRKNTKLKLKLETEMTAGKIQFYIFVVAWILTLMFGVIMCLKSNCSVN >VigunL088100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000069.1:45247:46614:1 gene:VigunL088100.v1.2 transcript:VigunL088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSWTKFSLKILHKIIKKKWLHFKEKLLSDVLKPKVEVRNIEKGRNIRERHFRKRVLIVLDNVNDHSLLINLSDSLLWFDKGTVIIITTKHEQLLKTHDVNAVFRINLLNAKESLELLSWHAFREAKPKEEYHDLAKAIVTHCGGLPLALEVIGTYLYERTKEEWHRVLFKLGKTPQHDVLPVLKICFEGLPNQIERNLFLDIYCFFVGKDRAYVTKILNGCGVDADSGIGILIERSLIIVKKNNKFGLHPLLREMAREIIGEITSGMEAKKTSRLWFDMDADYVLLEHILFSSQEKKFIQRFPPKWFPTVKDFFERDYLEVRDAIRRMKLGGHCEYRSKELGLIRLEKFSSEYHPIGFQHDAIAIDLKHRLPRLVWKEPQVLA >Vigun06g136400.1.v1.2 pep primary_assembly:ASM411807v1:6:26241432:26254665:1 gene:Vigun06g136400.v1.2 transcript:Vigun06g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRTRTFPFIPIASKVCASPLEASYSTHIVGDKPVLVRDFIHSALYHPLHGYFSRRSGSVGVLPNAIKFNQLQGRKAYMKYLDNIYRQSDISWFTPVELFKPWYAHAIAEAIMRTANFSVPLKIYEIGGGSGTCAKGIMDYIMLNAPAKVYNSMTYTSVEISPSLAEVQRETVGEVRSHIPKFRVECRDAADRSGWGKVEQQPCWVIMLEVLDNLPHDLIYAENQISSWMEVWVERQHDHETFSELYKPMQDSLITRCVEIMDLDKTNTTHSSAVSTTLKSIWSKVYPKPRRCWLPTGCLKLLEVLHEVLPKMSLIASDFNYLPDVKLPGERAPLVSTKKDGSSTDYDSYMEAKGDADIFFPTDFWLLERIDHYCSGWLKLHGDHTSKKGKKRRTITLETSSFMEEFGLPTKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >Vigun05g283800.1.v1.2 pep primary_assembly:ASM411807v1:5:47203195:47204801:-1 gene:Vigun05g283800.v1.2 transcript:Vigun05g283800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSEDQKIQQNEAIGSFAPRYEADTVQPIGSPWSTGLFDCHENQTNAVMTSFFPCVTFGQIAEILDGGELSCHLGSFIYLLMMPALCSQWIMGSKYRTKLRKRYNLVEAPYTDIVSHIFCPCCSLCQEFRELKIRGLDPALGWNGILAQNHAKQQSGQTLNVPPPNQFMSK >Vigun06g001600.3.v1.2 pep primary_assembly:ASM411807v1:6:847887:869463:1 gene:Vigun06g001600.v1.2 transcript:Vigun06g001600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDQSQNFSTNPSDYKLLEEVGYGATATVYRAIYLPFNQVVAVKCMDLDRCDINLDDLRREAQMMSLVDHPNVLKAYCSFAVERSLWVVMQFMDEGSCLHLMKIAYTEGFEEEAIGSILKETLKALQYLHHHGHIHRDVKAGNILLGSSGAVKLADFGVAACLFDAGDRQRYRNTFVGTPCWMAPEVLQPSSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKHVKPPELSVKKLFADLPPLWNRVKALQLKDAAQLAMKKMPSAEEEAISQSQYQRGVSTWNFHIDDLKAQASLVRDDDDIVEMREEDQNKNNFFTNYKAGAIDSEKSPLNKITSQVGAIDIKQTQQNECFYKNKKIPESKIQEPALPKNIICKRNGSTSEAMTSNIQKDIIMSKIRGQTVKTRQTQSGPLLPVTVLNHFKSEREQTSERLENGNQLPGEKNNREVRRPPNFSGPLMLSARASANSLSAPIKSSGGFRDSLDDKSRANLVQIKGRFSVTSENLDLVKDIPGSSVSLRSSQGSSLRKSASVSDGMLDSEQMPISQASQDSATNNISASLLMTHLQNLLQQTLMQQDLIVNLLSSLQSAEGIEGRHSSCREGTLSAAQNNGASDSYGHFD >Vigun06g001600.4.v1.2 pep primary_assembly:ASM411807v1:6:847883:869446:1 gene:Vigun06g001600.v1.2 transcript:Vigun06g001600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDQSQNFSTNPSDYKLLEEVGYGATATVYRAIYLPFNQVVAVKCMDLDRCDINLDDLRREAQMMSLVDHPNVLKAYCSFAVERSLWVVMQFMDEGSCLHLMKIAYTEGFEEEAIGSILKETLKALQYLHHHGHIHRDVKAGNILLGSSGAVKLADFGVAACLFDAGDRQRYRNTFVGTPCWMAPEVLQPSSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKHVKPPELSVKKLFADLPPLWNRVKALQLKDAAQLAMKKMPSAEEEAISQSQYQRGVSTWNFHIDDLKAQASLVRDDDDIVEMREEDQNKNNFFTNYKAGAIDSEKSPLNKITSQVGAIDIKQTQQNECFYKNKKIPESKIQEPALPKNIICKRNGSTSEAMTSNIQKDIIMSKIRGQTVKTRQTQSGPLLPVTVLNHFKSEREQTSERLENGNQLPGEKNNREVRRPPNFSGPLMLSARASANSLSAPIKSSGGFRDSLDDKSRANLVQIKGRFSVTSENLDLVKDIPGSSVSLRSSQGSSLRKSASVSDGMLDSEQMPISQASQDSATNNISASLLMTHLQNLLQQTLMQQDLIVNLLSSLQSAEGIEVSQNGKLPLIPCSSQINRGTFVIDRSTQQLQGGNVICCSK >Vigun06g001600.2.v1.2 pep primary_assembly:ASM411807v1:6:847407:869442:1 gene:Vigun06g001600.v1.2 transcript:Vigun06g001600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDQSQNFSTNPSDYKLLEEVGYGATATVYRAIYLPFNQVVAVKCMDLDRCDINLDDLRREAQMMSLVDHPNVLKAYCSFAVERSLWVVMQFMDEGSCLHLMKIAYTEGFEEEAIGSILKETLKALQYLHHHGHIHRDVKAGNILLGSSGAVKLADFGVAACLFDAGDRQRYRNTFVGTPCWMAPEVLQPSSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKHVKPPELSVKKLFADLPPLWNRVKALQLKDAAQLAMKKMPSAEEEAISQSQYQRGVSTWNFHIDDLKAQASLVRDDDDIVEMREEDQNKNNFFTNYKAGAIDSEKSPLNKITSQVGAIDIKQTQQNECFYKNKKIPESKIQEPALPKNIICKRNGSTSEAMTSNIQKDIIMSKIRGQTVKTRQTQSGPLLPVTVLNHFKSEREQTSERLENGNQLPGEKNNREVRRPPNFSGPLMLSARASANSLSAPIKSSGGFRDSLDDKSRANLVQIKGRFSVTSENLDLVKDIPGSSVSLRSSQGSSLRKSASVSDGMLDSEQMPISQASQDSATNNISASLLMTHLQNLLQQTLMQQDLIVNLLSSLQSAEGIEVSQNGKLPLIPCSSQINRGVDTAAAGRERYLLLKIMELQTRMVTLTDELTAEKLKYMQLQQQLTTLQTKEQNREKGEYVA >Vigun06g001600.1.v1.2 pep primary_assembly:ASM411807v1:6:847883:869446:1 gene:Vigun06g001600.v1.2 transcript:Vigun06g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHDQSQNFSTNPSDYKLLEEVGYGATATVYRAIYLPFNQVVAVKCMDLDRCDINLDDLRREAQMMSLVDHPNVLKAYCSFAVERSLWVVMQFMDEGSCLHLMKIAYTEGFEEEAIGSILKETLKALQYLHHHGHIHRDVKAGNILLGSSGAVKLADFGVAACLFDAGDRQRYRNTFVGTPCWMAPEVLQPSSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPSAEKLLKHSFFKHVKPPELSVKKLFADLPPLWNRVKALQLKDAAQLAMKKMPSAEEEAISQSQYQRGVSTWNFHIDDLKAQASLVRDDDDIVEMREEDQNKNNFFTNYKAGAIDSEKSPLNKITSQVGAIDIKQTQQNECFYKNKKIPESKIQEPALPKNIICKRNGSTSEAMTSNIQKDIIMSKIRGQTVKTRQTQSGPLLPVTVLNHFKSEREQTSERLENGNQLPGEKNNREVRRPPNFSGPLMLSARASANSLSAPIKSSGGFRDSLDDKSRANLVQIKGRFSVTSENLDLVKDIPGSSVSLRSSQGSSLRKSASVSDGMLDSEQMPISQASQDSATNNISASLLMTHLQNLLQQTLMQQDLIVNLLSSLQSAEGIEVSQNGKLPLIPCSSQINRGVDTAAAGRERYLLLKIMELQTRMVTLTDELTAEKLKYMQLQQQLTTLQTKEQNREKGEYVA >Vigun04g044800.2.v1.2 pep primary_assembly:ASM411807v1:4:3861622:3866933:-1 gene:Vigun04g044800.v1.2 transcript:Vigun04g044800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTAEIDEGYTVDVVAARQDLLMDVRDKLLFEPEYAGNLREKIPPKSSLRIPWSWLPGALCLLQEVGESKLVLETGQTNLQHQNAKPYTDDMILSMALAECAVAKIGFEKKKVSQGFEALARAQSLLRSKPSLSKITLLYQIEESLEELAPACTLELLSMPHAPENVDRRRGAISALRELLRQGLDVEASCQVQDWPSFLCQAFDSLLAKEIVDLLPWDNLAMMRKNKKTIESQNLKAVIDSNCFYRVFKAHMAIGFSSKQKELINKAKGICECLIVSEGIDLKFEESFCLLLLGQCTEAEVVEKLKQLELNSNPKNNSVLGKAIMDASAVNPSLEIWLKDSVLALFPDTKDCSPALGHFFNAQQKFSGSKNSKGGAQQMLPTICHRPLSSSGSLERRDVEESRSYMSSSPTVGFAVKQLTPTDLRSSLLSGRNENVSNPIEPPVQVKRNLGSHRGSGIWHGYFPQGHIFGRITYFTALGCIAFASIKLSGIGVSKTLIGSHSAFTKANGNINWTADSADNPVRPAYIRESTVTNKLKRIISMFKIHLLQQSGARNHDLHTTSTSSSSINISRRPMPVEEAETIVRQWQTIKAEALGPSHEVNCLAQVLDESMLAQWNGLATAAKERSCYWRFLLLKLSIIRADILSDGNGADMAEIEALLEEAAELIDGSRQKNPNYYLSYKVKYAMKRQDDGSWKFCENDIIETP >Vigun04g044800.1.v1.2 pep primary_assembly:ASM411807v1:4:3861622:3868091:-1 gene:Vigun04g044800.v1.2 transcript:Vigun04g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTCAAAIAPSLRGIARIGFCDHKVSLAGSHSEVNSASFCVSSRAGKNDVLLERRKLKSADTRVVDNAQTKSSIGIEIPVSCYQLIGVPDRAEKDEIVKAVMGLKTAEIDEGYTVDVVAARQDLLMDVRDKLLFEPEYAGNLREKIPPKSSLRIPWSWLPGALCLLQEVGESKLVLETGQTNLQHQNAKPYTDDMILSMALAECAVAKIGFEKKKVSQGFEALARAQSLLRSKPSLSKITLLYQIEESLEELAPACTLELLSMPHAPENVDRRRGAISALRELLRQGLDVEASCQVQDWPSFLCQAFDSLLAKEIVDLLPWDNLAMMRKNKKTIESQNLKAVIDSNCFYRVFKAHMAIGFSSKQKELINKAKGICECLIVSEGIDLKFEESFCLLLLGQCTEAEVVEKLKQLELNSNPKNNSVLGKAIMDASAVNPSLEIWLKDSVLALFPDTKDCSPALGHFFNAQQKFSGSKNSKGGAQQMLPTICHRPLSSSGSLERRDVEESRSYMSSSPTVGFAVKQLTPTDLRSSLLSGRNENVSNPIEPPVQVKRNLGSHRGSGIWHGYFPQGHIFGRITYFTALGCIAFASIKLSGIGVSKTLIGSHSAFTKANGNINWTADSADNPVRPAYIRESTVTNKLKRIISMFKIHLLQQSGARNHDLHTTSTSSSSINISRRPMPVEEAETIVRQWQTIKAEALGPSHEVNCLAQVLDESMLAQWNGLATAAKERSCYWRFLLLKLSIIRADILSDGNGADMAEIEALLEEAAELIDGSRQKNPNYYLSYKVKYAMKRQDDGSWKFCENDIIETP >Vigun09g215300.1.v1.2 pep primary_assembly:ASM411807v1:9:38986031:38987420:1 gene:Vigun09g215300.v1.2 transcript:Vigun09g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEPNLSSMEPTSVDTSLNLNVIPSSHIHLAGEVLVEELQRLNSENKMLTETLKHVCESYVALQKHLSEFNRLKNANFEREETASLKRKVESENCSNLFCVNAHTECSSEEETFKKPKQSSTTPKVQKVFVRTDASDTSLYVRDGYQWRKYGQKVTRDNPSPRAYFKCSYAPGCPVKKKVQRSVEDRRVLVTTYEGEHNHGEEHQTEITANSGKSESGSGGRIEALELVQSKVVDMNAQKSLMQQFLVQQMATSLTRDPNFTAALASAISGRILDHTSTPKR >Vigun09g215300.3.v1.2 pep primary_assembly:ASM411807v1:9:38986030:38987420:1 gene:Vigun09g215300.v1.2 transcript:Vigun09g215300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEPNLSSMEPTSVDTSLNLNVIPSSHIHLAGEVLVEELQRLNSENKMLTETLKHVCESYVALQKHLSEFNRLKNANFEREETASLKRKVESENCSNLFCVNAHTECSSEEETFKKPKQSSTTPKVQKVFVRTDASDTSLILDMLKYVRDGYQWRKYGQKVTRDNPSPRAYFKCSYAPGCPVKKKVQRSVEDRRVLVTTYEGEHNHGEEHQTEITANSGKSESGSGGRIEALELVQSKVVDMNAQKSLMQQFLVQQMATSLTRDPNFTAALASAISGRILDHTSTPKR >Vigun09g215300.2.v1.2 pep primary_assembly:ASM411807v1:9:38986031:38987420:1 gene:Vigun09g215300.v1.2 transcript:Vigun09g215300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETLKHVCESYVALQKHLSEFNRLKNANFEREETASLKRKVESENCSNLFCVNAHTECSSEEETFKKPKQSSTTPKVQKVFVRTDASDTSLYVRDGYQWRKYGQKVTRDNPSPRAYFKCSYAPGCPVKKKVQRSVEDRRVLVTTYEGEHNHGEEHQTEITANSGKSESGSGGRIEALELVQSKVVDMNAQKSLMQQFLVQQMATSLTRDPNFTAALASAISGRILDHTSTPKR >Vigun09g215300.4.v1.2 pep primary_assembly:ASM411807v1:9:38986031:38987420:1 gene:Vigun09g215300.v1.2 transcript:Vigun09g215300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEPNLSSMEPTSVDTSLNLNVIPSSHIHLAGEVLVEELQRLNSENKMLTETLKHVCESYVALQKHLSEFNRLKNANFEREETASLKRKVESENCSNLFCVNAHTECSSEEETFKKPKQSSTTPKVQKVFVRTDASDTSLILDMLKYVRDGYQWRKYGQKVTRDNPSPRAYFKCSYAPGCPVKKKRSVEDRRVLVTTYEGEHNHGEEHQTEITANSGKSESGSGGRIEALELVQSKVVDMNAQKSLMQQFLVQQMATSLTRDPNFTAALASAISGRILDHTSTPKR >Vigun08g190900.1.v1.2 pep primary_assembly:ASM411807v1:8:35858258:35860909:1 gene:Vigun08g190900.v1.2 transcript:Vigun08g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKLHNSDEDEKKEDEVVLPGFRFHPTDEELVGFYLRRKVEKKPLRIELIKQIDIYKYDPWDLPKASSVGEKEWYFFCIRGRKYRNSIRPNRVTGSGFWKATGIDKPIYCVKEPHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPNGKSTTNTQPNDIQEAEVWTLCRIFKRVPSYKRYTPNLKDSNTAPITKSSSSKTCSLESDTSKPCLTFTNSPSSLLLQQNQMMMKPVFGHVEQQPPTTFSYSSFWNHHQNLELPHDNWDDLTSVVQFAVDPSSLSHHCKEFNTF >Vigun11g068300.5.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988420:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCLLFLSYLCRISNSLDSLAVSQSIEDGKTLVSALGITEVGFFSPGNSTRRYLGIWYTNVSPVTVVWVANRNTPLENKSGVLKLNEKGILVLLNATNNIVWSSSNISSKAGNNPIAQLLDSGNFVVKKGQETNEDGVLWQSFDHPCDTFMPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGCVPRNKSNCTNSHTDRFWKYTNMKLPDTSSSRFYETISLDECQQSCIMNCSCTGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGTYGYIPPEYAVRGHFSVKSDVFSYGVIVLEVLCGKKNREFSDPEHCNNLLGHAWKLWTEDRALELLLDGVLEEQCMLFEVIRCIQVGLLCVQQRPEDRPDMSSVVLMLNGDKLLPNPKAPAFYTQIDVTSKANSSSENHKSFSVNELSITVLDAR >Vigun11g068300.1.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988454:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCLLFLSYLCRISNSLDSLAVSQSIEDGKTLVSALGITEVGFFSPGNSTRRYLGIWYTNVSPVTVVWVANRNTPLENKSGVLKLNEKGILVLLNATNNIVWSSSNISSKAGNNPIAQLLDSGNFVVKKGQETNEDGVLWQSFDHPCDTFMPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGCVPRNKSNCTNSHTDRFWKYTNMKLPDTSSSRFYETISLDECQQSCIMNCSCTGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGTYGYIPPEYAVRGHFSVKSDVFSYGVIVLEVLCGKKNREFSDPEHCNNLLGHAWKLWTEDRALELLLDGVLEEQCMLFEVIRCIQVGLLCVQQRPEDRPDMSSVVLMLNGDKLLPNPKAPAFYTQIDVTSKANSSSENHKSFSVNELSITVLDAR >Vigun11g068300.2.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988455:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGT >Vigun11g068300.3.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988455:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGTYGYIPPEYAVRGHFSVKSDVFSYGVIVLEVLCGKKNREFSDPEHCNNLLGHAWKLWTEDRALELLLDGVLEEQCMLFEVIRCIQVGLLCVQQRPEDRPDMSSVVLMLNGDKLLPNPKAPAFYTQIDVTSKANSSSENHKSFSVNELSITVLDAR >Vigun11g068300.6.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988454:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCLLFLSYLCRISNSLDSLAVSQSIEDGKTLVSALGITEVGFFSPGNSTRRYLGIWYTNVSPVTVVWVANRNTPLENKSGVLKLNEKGILVLLNATNNIVWSSSNISSKAGNNPIAQLLDSGNFVVKKGQETNEDGVLWQSFDHPCDTFMPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGCVPRNKSNCTNSHTDRFWKYTNMKLPDTSSSRFYETISLDECQQSCIMNCSCTGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGT >Vigun11g068300.4.v1.2 pep primary_assembly:ASM411807v1:11:19984287:19988420:1 gene:Vigun11g068300.v1.2 transcript:Vigun11g068300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCLLFLSYLCRISNSLDSLAVSQSIEDGKTLVSALGITEVGFFSPGNSTRRYLGIWYTNVSPVTVVWVANRNTPLENKSGVLKLNEKGILVLLNATNNIVWSSSNISSKAGNNPIAQLLDSGNFVVKKGQETNEDGVLWQSFDHPCDTFMPGMKIGWNLETGLEKFLSSWKSFDDPAVGEYAVKLNLSGYPQMMKFKGPDKVFRGGSWNGLSLVANPGPTYGTSPRFVLNEKEVYYEYGIEESSGFIIYTLTPSGTGEILFWTTQSRTQQVLSSGEQDQCENYAFCGANSICNYDGNHPSCECLRGYVPKSPEQLNISTWLRGCVPRNKSNCTNSHTDRFWKYTNMKLPDTSSSRFYETISLDECQQSCIMNCSCTGYASLNVLDGGSGCLLWFDDLVDMRNFSNWGQDFYIRVPASELDHGNDKKNMVGIIVGVTIFGFIITCVCILGIKNPEAARKFCNKHYKNMQRREVIDLPTFDFSVLASATGNFSTENKLGEGGFGPVYKGTLIDGKELAVKRLSQKSLQGLDEFKNEVALMTKLQHRNLVKLLGCCIEEEEKMLIYEYMPNHSLDYLIFGKRKFLDWRKRFNIISGIARGLLYLHQDSTLRIIHRDLKPSNILLDANLDPKISDFGLARLVLGDQVEAKTNRVAGT >Vigun09g172000.3.v1.2 pep primary_assembly:ASM411807v1:9:34169489:34172437:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNPFLQIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >Vigun09g172000.6.v1.2 pep primary_assembly:ASM411807v1:9:34169485:34172054:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >Vigun09g172000.4.v1.2 pep primary_assembly:ASM411807v1:9:34169489:34172437:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >Vigun09g172000.5.v1.2 pep primary_assembly:ASM411807v1:9:34169485:34172054:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNPFLQIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >Vigun09g172000.2.v1.2 pep primary_assembly:ASM411807v1:9:34169485:34172824:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >Vigun09g172000.1.v1.2 pep primary_assembly:ASM411807v1:9:34169485:34172824:-1 gene:Vigun09g172000.v1.2 transcript:Vigun09g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIYEPFQQVGMWGDGFKVDGGLNSIASPILMVDTNVETKSEYIPQESREPSGADQETTDKDVNKMRRRLAQNREAARKSRLRKKAYVKQLESSRTKLMQLELEIGRARKQGLYMGTALDASYIGSTSETINPVIVAFEIEYGQWVEEQHRRNEELRHAFQTQAPDVQLNVVVQSVLNHYSNLFRMKADAAKADVLYLLSGVWKASVERIFLWIGGSRPSQLLNPFLQIIVPQLEPLTDPQIVGINNLRLSSQQAEDALSQGLEKLQQSLVHDMAVDPLGVGNFGLQMALAIDKFEALEGFVNQADHLRQQTLLHMSRILSPHQAARGLLALGEYFHRLRTLCSLWTSRPCELP >VigunL078500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000577.1:24706:27865:1 gene:VigunL078500.v1.2 transcript:VigunL078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKRENGVRMCDGKECFENESSTTSIEDAVKVLLMGLGEDINREGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEAGLDHTEFAGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFSDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIEKDKIHDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLINFKCSSIERALNCWLGIRTNGALNTNEGLGFDEKLHSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKTLLQSIVHFYGIKLQVQERLTKQIAETISPLIGGNLIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDKYIS >Vigun05g055300.1.v1.2 pep primary_assembly:ASM411807v1:5:4738885:4743521:-1 gene:Vigun05g055300.v1.2 transcript:Vigun05g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCLTLTVNPSSVIWKCKASLPTAPHALDATYIRRAAHLADKSAGFTSPHPNFGCVILSPAGHVAGEGYLYAQGTAAAEVQAIDAAGERCRGATAYLNMEPGDCHGDHSAVSALFQGGVKRVVIGMRHPLQHLRGNAVRALRNQGLHVDVLGEDLTGNLVEDAQKECLLVNAPLICRAASRVPFSVLKYAMTLDGKIAASSGHASWISSKQSRNLVFELRGRSDAVIVGGNTVRRDNPRLTARHGGGHMPMRIVMSQTLDLPEEANLWDMSEVSTIVVTQRGARRSFQKLLASKGVEVVEFDILTPREVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVYAFVAPKIIGGKNAPSPVGDLGMVEMTQALNLIDVCYEQVGPDMLISGFLQPLPDVIPVIPSRDETFVVDPTVSPYESSIIFFYKTWDLYGALSNFSPHPIQIRDENGDSVTWLSVEHYYQAHKFVGVDDPIAQDCVERIKSAKSPEEAARIGRSMQKQKPDLIRSDWENIKIDVMYEALKCKFSTYPHLKTLLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLGESSSSSETSSLTV >Vigun05g055300.2.v1.2 pep primary_assembly:ASM411807v1:5:4738885:4743521:-1 gene:Vigun05g055300.v1.2 transcript:Vigun05g055300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLQHLRGNAVRALRNQGLHVDVLGEDLTGNLVEDAQKECLLVNAPLICRAASRVPFSVLKYAMTLDGKIAASSGHASWISSKQSRNLVFELRGRSDAVIVGGNTVRRDNPRLTARHGGGHMPMRIVMSQTLDLPEEANLWDMSEVSTIVVTQRGARRSFQKLLASKGVEVVEFDILTPREVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVYAFVAPKIIGGKNAPSPVGDLGMVEMTQALNLIDVCYEQVGPDMLISGFLQPLPDVIPVIPSRDETFVVDPTVSPYESSIIFFYKTWDLYGALSNFSPHPIQIRDENGDSVTWLSVEHYYQAHKFVGVDDPIAQDCVERIKSAKSPEEAARIGRSMQKQKPDLIRSDWENIKIDVMYEALKCKFSTYPHLKTLLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLGESSSSSETSSLTV >VigunL087601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:151367:151675:1 gene:VigunL087601.v1.2 transcript:VigunL087601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun03g055700.1.v1.2 pep primary_assembly:ASM411807v1:3:4560379:4560960:-1 gene:Vigun03g055700.v1.2 transcript:Vigun03g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLRFTMFLLFLILSADVTVKRTEAKECWSPSYSFRGLCVNSHVCAIVCRTEGAAGGKCKGFLLHCHCVRPC >Vigun03g410300.1.v1.2 pep primary_assembly:ASM411807v1:3:61820867:61822783:1 gene:Vigun03g410300.v1.2 transcript:Vigun03g410300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGRTTSLLSEDEMELRRGPWTVDEDLTLINYIATHGEGRWNTLALSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLVERIQAAATATATASVTTNDAYTSENNLKNNHMEVHNNLKEKMGFIEPSPPMNNDFVASYVMQSHTPDNSSTALSSSDSFGTQVSTKSDLTDYYSVPVSSNNNNTATPADYYQPSQINCITGTPGFFPPGLDFQSLDPWNLQNGDSSDNFWNVENMFFLEQHLMNDNM >Vigun01g053600.1.v1.2 pep primary_assembly:ASM411807v1:1:10060235:10065746:-1 gene:Vigun01g053600.v1.2 transcript:Vigun01g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSEASKSSVDRSSDNVEHALGEDAAIPDGSHHEDLSKPKEKLKRKKKHKKSSLSRSIYSKEKKKAKLSELSEYEAAKPESSSFTSVDEPNEPTELDESEVGNKRGTVEENKISELMLLRYTNSEETIRKISTECLINPLLQIQPHATEMLRTELAACAGKDPNKTRKRSEKLPIRGGKKLSSMNKQKEPFESSGLAPPNQTKKGKEKKFTSKNTQKEPSESSGLASPNQTRKGKEPVTSMNTQKEPFEAPPNQTTKGKEKVTSMNTQKEPFESSGLASPDQTAKGKEKVTWMNTVLDSSESAAPTQSTKGKEKVLMNTTPKCSGSLNNLFDFETIMRSKLAAAVNAASPWKDVNVQCSSPMDRATQEKNIFNSSSNLENGLAQYSASNYGNTGSRTSRTRPGFLNYKDVDDDWSEMELEALLSFVKKYGLGNWHVKFVDPDLQIIRNKTARELSEKWEKVASKMLPYIPSSYMVPVTADRSTLHASHGNGFHSTVNLPTVQPPRAKVPIHRPSARIGIPPIQLLEGNQALNWGRIKPSSNGTFGPYFQGSSTGAFHSLPPLNSARPRELNTNFNTMNAQLMAQFHNERSNLLAQNFRPTSVQTPQIPPLSLGETTVSSPANVGTEARPQLLLGGQILYPNNLRLNENAGYHHDNDNRK >Vigun01g053600.2.v1.2 pep primary_assembly:ASM411807v1:1:10060235:10065746:-1 gene:Vigun01g053600.v1.2 transcript:Vigun01g053600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSEASKSSVDRSSDNVEHALGEDAAIPDGSHHEDLSKPKEKLKRKKKHKKSSLSRSIYSKEKKKAKLSELSEYEAAKPESSSFTSVDEPNEPTELDESEVGNKRGTVEENKISELMLLRYTNSEETIRKISTECLINPLLQIQPHATEMLRTELAACAGKDPNKTRKREKLPIRGGKKLSSMNKQKEPFESSGLAPPNQTKKGKEKKFTSKNTQKEPSESSGLASPNQTRKGKEPVTSMNTQKEPFEAPPNQTTKGKEKVTSMNTQKEPFESSGLASPDQTAKGKEKVTWMNTVLDSSESAAPTQSTKGKEKVLMNTTPKCSGSLNNLFDFETIMRSKLAAAVNAASPWKDVNVQCSSPMDRATQEKNIFNSSSNLENGLAQYSASNYGNTGSRTSRTRPGFLNYKDVDDDWSEMELEALLSFVKKYGLGNWHVKFVDPDLQIIRNKTARELSEKWEKVASKMLPYIPSSYMVPVTADRSTLHASHGNGFHSTVNLPTVQPPRAKVPIHRPSARIGIPPIQLLEGNQALNWGRIKPSSNGTFGPYFQGSSTGAFHSLPPLNSARPRELNTNFNTMNAQLMAQFHNERSNLLAQNFRPTSVQTPQIPPLSLGETTVSSPANVGTEARPQLLLGGQILYPNNLRLNENAGYHHDNDNRK >Vigun02g054200.1.v1.2 pep primary_assembly:ASM411807v1:2:19725097:19726972:1 gene:Vigun02g054200.v1.2 transcript:Vigun02g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERALTKVSSLKVGLGNSWITKKAKEEFSNISGDISTFSNTVEEKAKWIFNKLKGKPQKGLPELLREYNLPAGLFPQNIICYEFDETKGKLIVYLPSASEVSFKDSSVVRYATRVKGVLTRGKLSAIDGMKTKVLVWVKVTSVAVEGYKSDKVWFTAGVKKSRPKDAYDTPRDAVRVAEF >Vigun03g257800.1.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396064:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSIKSGNGKFPVAVDVSVGHGYAEKSPKLMILGLATVLLTIWWQL >Vigun03g257800.9.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun03g257800.6.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42395724:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIYLVTFSILTYPGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSIKSGNGKFPVAVDVSVGHGYAEKSPKLMILGLATVLLTIWWQL >Vigun03g257800.3.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun03g257800.7.v1.2 pep primary_assembly:ASM411807v1:3:42392460:42396064:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSIKSGNGKFPVAVDVSVGHGYAEKSPKLMILGLATVLLTIWWQL >Vigun03g257800.5.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun03g257800.2.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun03g257800.8.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGSNSAKFTIVNKCSFPVWPGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun03g257800.4.v1.2 pep primary_assembly:ASM411807v1:3:42392461:42396065:-1 gene:Vigun03g257800.v1.2 transcript:Vigun03g257800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVTLIFLFFSHFLKGILSGAGTAQLATTGFALQPAQSDAFVVPTNWSGRLWGRTLCSTDSAGKFSCVTGDCGSSAMECNGGGAAPPATLAEFTLNGGGGLDFYDVSVVDGYNLPMLVEAQGGGGNCTATGCAADLNGGCPAELKVRAAASGEGVACKSACEAFGDPRYCCSGPYSTPETCKASSYSQLFKSACPRAYSFAYDDATSTFTCASADYRITFCPSSTKRSRLARNRSI >Vigun01g179700.1.v1.2 pep primary_assembly:ASM411807v1:1:36022466:36026339:1 gene:Vigun01g179700.v1.2 transcript:Vigun01g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVKEGPIRVVRKYYLRRNSLSLWACQMQMQMQQTQQQATTAPISTSTPPPSSGGSASEAPPKQVAQAMDKLGQAERIIADIRIGADRLLEALFVAAAQPHQGNKPLQMFLKEDACMRQYLQDLRSLGKELEESGVLSESLRSRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGLEASDSASKKCCGSEEITVDPKEEIRFLRTLPDVLKSVEKDVPSLKILTFERLDWLKRASALSSSANESSLEHNYHGSNKLRLGSVGTVAEEKVAVIEMLFPSIFRAVISLHPAGSMDPDAVAFFSPHESGSYVHARGFSVHHVFRHITEYAATALQYFLGNQTETSLYCLLHWISSYQTLFSKPCSKCSRLLAMDKKSTLLLPPVHRPYWQFSFSKILSISSKDQNSDTKTYHIGCLSEEI >Vigun02g174900.1.v1.2 pep primary_assembly:ASM411807v1:2:31721752:31722914:-1 gene:Vigun02g174900.v1.2 transcript:Vigun02g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYPDQKEPTFSPCTSYGPHTYTLWMKSLVFNSNGCTVYDSNGLVVYRVDNYDTKGRRDVNLLDLRGRVLCTIHKRLLGFGRWDVYRSSDDSSNSENQEKPWFQVKRCHEMMTGKLTCQISMVECQKYCIEKIGAKTAFRIVNTDDGDIVAHAKQKHSSSGVILGSDVLTLDVEGGIDHSLVMAFVTVFGLICGRM >Vigun10g112932.1.v1.2 pep primary_assembly:ASM411807v1:10:31406375:31407288:-1 gene:Vigun10g112932.v1.2 transcript:Vigun10g112932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDGYNDRRQFYRTRTKPFGLKVLVDFKENPKAAQEYTKNPMMMNKIQKVVNVGIVQMSCLGVRQV >Vigun01g195600.2.v1.2 pep primary_assembly:ASM411807v1:1:37265943:37267261:1 gene:Vigun01g195600.v1.2 transcript:Vigun01g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQIQDKCGSRDKESLNALREKRNMSDNHKTRSSAPKLFGFPLTEQEEFAERKYDVGEDRKFRCHYCKRVFANSQALGGHQNAHKKERQRARRFQIHSHRRSSVPPSSPLSVTPLTFLTSHAIIRSVPLSVTLPPIYLSSPSPSTSTSAKHFPSRPVFVPSSTHLTATATTTTPCFPLQLYASPSVLQSASTVLDFAADREVDVHLKL >Vigun01g195600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37265943:37267261:1 gene:Vigun01g195600.v1.2 transcript:Vigun01g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNHKTRSSAPKLFGFPLTEQEEFAERKYDVGEDRKFRCHYCKRVFANSQALGGHQNAHKKERQRARRFQIHSHRRSSVPPSSPLSVTPLTFLTSHAIIRSVPLSVTLPPIYLSSPSPSTSTSAKHFPSRPVFVPSSTHLTATATTTTPCFPLQLYASPSVLQSASTVLDFAADREVDVHLKL >Vigun06g105000.1.v1.2 pep primary_assembly:ASM411807v1:6:23436320:23443207:1 gene:Vigun06g105000.v1.2 transcript:Vigun06g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFNYHRLDQQLLHTVAYDALVWSSLHGLVVGDSSVQRSGTVPGVGLVHAPIALLPMPFPQKQWRQASELAPIFNELVDRVSLDTDFLQHSLSRTKEADEFTSRLLDIHSNMLQINKKEEIRLGLHRSDYMLDEKTNSLLQIELNTIASSFAGLSSLVTELHRYILSRHGKLLGLDSKSIGANDAVIQTAEALAKAWSEYNNPRAVIMIIVQAEERNMYDQHLVCAVLREKHNITSIRKTLAEVDEEGEILPDGTLCVDGQQISVIYFRAGYTPVDYPSESEWKARLLMEQSSAIKCPSISYHLAGSKKIQQELAKPGVLERFLENKEDIAKLRKCFAGLWSLDDSNIVAQAIEKPELFVMKPQREGGGNNIYGDDVRKTLQKLQKSGSQEDAAYILMQRIFPAISATVLMRKGCWSKDHAISELGVFGTYLRNKDKVIINKQSGYLMRTKVSSSDEGGVAAGFAVLDSVYLT >Vigun09g117300.1.v1.2 pep primary_assembly:ASM411807v1:9:25820927:25824379:1 gene:Vigun09g117300.v1.2 transcript:Vigun09g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLPFLLTFLALSQAQPLSDDPPPPSSTVCIIGAGIGGSSVAHFLRKYSPESTPATDIRVFERNGVVGGRIATVTVAGETFEAGASILHPKNLHTVDYVKILNLKANEPDSDSLSLGIWNGKKFVFKTVTLSTNVPLINKLLELPFVDTLLSLFNSARIFLRYGFSLFKMQNFVESAVERFLNYYKDTSSRPIFETVDEMLQWAGLYNLTTRTLHDELVDAGLSNLLINELVTVITRINYGQSVSMSGLGGAVSLAGSGGGLWSVKGGNWQMAAGLINRSDVALHLLEEIKSVADLGEFYELNSTKGNSYKCEVAVVATPLDELDIQFIPPISIPERKLQHTYTTFVRGLLNPAYFGLKAVTKIPDLVGTVEDPSLPFSCIAVLKKHNEKESTYKLFSRQPMEDTLLDSIFSVRKETIRINWAAYPHYHAPEVFAPFILDKRHLYYVNAFENAASTMETSAVAAENIARLILSRYFGKEIVNSSNLSATSRGEGTHLDL >Vigun08g038250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3696908:3697246:1 gene:Vigun08g038250.v1.2 transcript:Vigun08g038250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGHIRYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALIVLICFQKFLTILFNIFSCLLK >Vigun03g247000.1.v1.2 pep primary_assembly:ASM411807v1:3:41051997:41057523:1 gene:Vigun03g247000.v1.2 transcript:Vigun03g247000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQTMQRAAVANDQPRRSSVGEAAAEGEKVFRGDEEFGDASSNYLKTIFALALWLGTFHLNAGLILLALLVLSLSQALLLIGLLLVLMLIPIDENSKFGRKLARYICKHVCSYFPVILHVEDMKAFHPNRAYVFGYEPHSVLPIGVVALADNTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLTPVTKKRFTSLLDAGCSCIIIPGGVQETFLMEHGSEIAFLKARRGFVRIAMEKGKPLVPVFCFGQSNVYKWWKPGGKLFLNFSRAVKFTPIYFWGIFGSPIPFKHPLHVVVGRPIELEKNPEPTPEEVAKVHSQFVEALQGLFERHKARAGFPDLELRIV >Vigun02g114800.1.v1.2 pep primary_assembly:ASM411807v1:2:26854738:26855885:1 gene:Vigun02g114800.v1.2 transcript:Vigun02g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLSLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVETEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCSNNELHLHTVSANIGMFGMMK >Vigun08g043000.1.v1.2 pep primary_assembly:ASM411807v1:8:4445075:4447661:-1 gene:Vigun08g043000.v1.2 transcript:Vigun08g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLLEMVTTFVLVLVLHPPFLKTVESLNFNITNFNDPESEQNMAYIGDGKASNGSVELNIVDYLFRVGRALYAKPMHLWDQSSNVLTDFTTRFTFSIDRAKNGTYADGFAFYMAPHGYPIPPNSGGGTFALFNTTSNTFIPHNHVLAVEFDTFNGTIDPPMQHVGIDDNSLSSVTYAKFDIDKNLGKKCNVLITYSASNKTLFVAWSFNGTATSHSNSSLSYKIDLMEILPEWVDVGFSASTGEFTEHNVIYSWELSSTLNSDATDNSSGGDGNGKRNVWVIAVATCSAVLVAVVASVAVWAMIMKKRRDKVDKSNDGEGGANLVKFDLDRATIPRRFDYKELLAATNGFADDRKLGRGGSGQVYKGVLNDLGRVVAVKRIFAKFANSERVFINEVRVISRLIHRNLVQFVGWCHEQGEFLLLFEYMPNGSLDTHLFGDKKPLTWDVRYKVALGVAAAVRYLHEDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKFVDPRLRTQMTGVVGTFGYLAPEYINGRRASKESDIYSFGVVALEIACGRRTYHDGEFHVPLMNWVWQQYVKGNVMDVVDERLNKEFNVDEMRSLIVVGLWCTNPNDKERPKAAQVIKVLELEAPLPELPLDMHDRFPPSLVTYSEQPNFQSIQTLPFTDSFISVGR >Vigun05g149550.1.v1.2 pep primary_assembly:ASM411807v1:5:21836370:21838695:-1 gene:Vigun05g149550.v1.2 transcript:Vigun05g149550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDKFEQRKSELTSQSNMENANDGEQSIHENPSDWDIWIDSVGKKKGRVFGLGSVGRMFISTSSQQLHFEEVDALRSQIQALNESLQRQEEEKGTFPKGQEISVKILSSVSIQGLQEFKEEVILIAKLQHQNLVILRGYMS >VigunL060827.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:38333:38596:1 gene:VigunL060827.v1.2 transcript:VigunL060827.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun04g168700.1.v1.2 pep primary_assembly:ASM411807v1:4:39316756:39318437:-1 gene:Vigun04g168700.v1.2 transcript:Vigun04g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLVVAFSFLAFLTNLPSGFSDDAVFDISGNPVVTDRRYYILPAIRGPQGGGLKLAKTGNSQCPLTVLQDYSEVFRGSPVKFTVAEPTPLILTDSSLDIEFVDKPECAESPKWIVFLDNEIYTPYVGLSGQTGPILDGKFKIEKQGLGYKLVFCVDDSQNCFDIGRSDAQNGEDGRRLSFTQEDVFNVVFVQAFEDDDKVIKSVV >Vigun03g267400.2.v1.2 pep primary_assembly:ASM411807v1:3:43783056:43790656:-1 gene:Vigun03g267400.v1.2 transcript:Vigun03g267400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVARANHQSLRSHVSRFFSSSGNSSYNVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAVVPGLMAAGETACASVHGANRLGANSLLDIVVFGRACANRVAEIRRPGDKQKPLEKDAGMKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQSNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRGLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDESWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >Vigun03g267400.1.v1.2 pep primary_assembly:ASM411807v1:3:43783056:43790656:-1 gene:Vigun03g267400.v1.2 transcript:Vigun03g267400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVARANHQSLRSHVSRFFSSSGNSSYNVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGVPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAVVPGLMAAGETACASVHGANRLGANSLLDIVVFGRACANRVAEIRRPGDKQKPLEKDAGMKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQSNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRGLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDESWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >Vigun03g267400.3.v1.2 pep primary_assembly:ASM411807v1:3:43783056:43788025:-1 gene:Vigun03g267400.v1.2 transcript:Vigun03g267400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGVPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAVVPGLMAAGETACASVHGANRLGANSLLDIVVFGRACANRVAEIRRPGDKQKPLEKDAGMKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQSNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRGLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDESWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >Vigun10g021800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2611776:2612241:-1 gene:Vigun10g021800.v1.2 transcript:Vigun10g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKRVVLRDYVAGFPKESDMKLVEGRIKLKVPEGSDNVLVKNLYLSCDPIIRVLMIKIEVVDEYHHYTPDAVGYQTFFQH >Vigun04g162800.1.v1.2 pep primary_assembly:ASM411807v1:4:38640101:38642745:-1 gene:Vigun04g162800.v1.2 transcript:Vigun04g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTIPACYNIFKVNPNQFWKTDKVLKTELPILAIQIAFVAVLSCLFSIIYKPLHQTHLISQISVGFLLTQPLLGRFTEIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMDLNTILNVKKKAASIAIAGIVFPVLVAPCLYALFRKVYGHIMMFPLEESTYNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKTALTTAMISDTYGWILFTLFIPFSINGKGAIYTVLCTIIFVVVCIFVVRPLIQWFIDRKADKDEWNDNQLLFIIMGVLACSCISDFLGAHAIVGAFVFGLILPHGKFAEMVMSISDDFVGGFLVPLFFTGTGMRLMLTAIFSQESWPFTIVIILLLCALKILSTLFVTCFFGMRIRDGLTLGLILNNKGVMALIMLNIAWDSKIFFVPTYAVITSAVLLMTIIVSPVINVVYKPRQRFEQNKLKTIQKLRVDVELRFIACVHNTRQAASMVNIIECFNAMRVSPVHVFALYLVELTGRVAALVVAHIGKPRSQLGEQNLTRSQEELESIHNTFDALGEAYDAIRVETLNVVSAYKIIHEDIYHSADEKHTSLILLPFHKQLTLEGTLEVTNVVHKDINQNVMQGAPCSVGIFVDRDFGLVSKMNLHIRVVFVGGPDDREALAIAWRMAGRPGTQLSVVRILLLGEAAKVDASVPDEAQGILSAVIDTDKQKELDEEYVSTFRLTAVNNNDSISYSEIDVHSGEDITTVLNEIEKIGCDIYIVGQGNCRNSKVFSNLLEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMVFGYNLNQKATNKGTFESVV >Vigun09g275600.1.v1.2 pep primary_assembly:ASM411807v1:9:43613812:43615573:1 gene:Vigun09g275600.v1.2 transcript:Vigun09g275600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAHLYPNNQFYGSNNLMLCLNSQAYAHKNNLQQPGQQQWLQHLHQASQMSQRTSLLDPTFSSFSNSNLHQNAFNKYNHNAQSLPSYPQSLPTELDEQREIDHYIRSQNEKLRVLLQEQRKQHMAELLKKMELNALHLLRQKDEEIVEATKRTRELKEFLRRLEVEKESWRKVAEENEAMVLCLHNNLEQMKERAVHRMTSEDAESCCDDNVGITISMGEGTGTGEKRVWRGGVGEDEEIRKKTMDCKCCNSQKSCFMFLPCRHLCSCKTCEPFLQVCPVCSTPKKSSIETLIV >Vigun06g133700.2.v1.2 pep primary_assembly:ASM411807v1:6:26010064:26017527:-1 gene:Vigun06g133700.v1.2 transcript:Vigun06g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAASAGLGPRYAPDDPTLPQPWKGLIDGSTGVLYYWNPETNVTQYEKPAPLTSPLPAPVASAPSLAPIPVAHTMQAGGMAPQHGQQLMQGQPSQQQVGHLAQQHGQSMPPQQQQQQSPHMVQTTQQNPSQGGQSAPQQNSLMTQSVPQPGLHQARQQMMQPQGQMLQQPQGQQIQHQMPPQTMHSQHFGQGMPQDHGSHIVPPQVHQFPTQNMHYMSYQQNIITPRQPNSQHIQPNTVSPGQPNPQQVQHNMHGQPFENQQTAFQKVEETEFKNGSQVGLSPSQYPQRSTLPAQNNQNLPADTASGQMPNVGVNAGQPQQFRTSLSGSVQKSPSAMPLQQGGSDLYYQHGPNFNKQMSPGMMHGHPSNVHPVGQKMSHEDNLRGRAGNEYYYNSNKDMPTMGRPQPDMTQIPVPRNQQDMRIGNTPFQNVMPSGNGSGIAGNAMPSMFVPPMGGPSPLSNNTLMRPSYMGSSDASDLSPAEIYCQQHEVTATGGDIPPPFMTFDATGFPPEILREIYSAGFSNPTPIQAQTWPVALQGRDIVAIAKTGSGKTLGYLIPAFILLRQRQNNSLNGPTVLVLAPTRELATQIHNEVIKFGRSSRVSCTCLYGGAPKAFQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVDEIPARRQTLMYTATWPKEVRKIASELLVNPVQVNIGSADELAANKAITQYVEVVPQMEKQRRLEQILRSQERGSKVIIFCSTKRLCDQLARNIGRTFGAAAIHGDKSQGERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKHAGDLIKVLEGANQHVLPELRQMAMRGPSNFGKERGGMSRFDSGGGRWETGGRGSMRDGGFGGRGGMRDGGFGGRGGGMRDGGFGGRGGGMRDGGGFGGRGGGMRDGGFGGRGGGGFGGRGGMRDGAGGRGDFFPGRGNRGRGSGGLRGGHVGWGRGERGMDDRGQGRGRGRFDNRRDASYKSRGRSYSRSPDRVRTWDYSSSSRSRSRSRSRSWSRGRSRSRSRSWSRGRSYSRSRSRSRSHTRSRSRSRSPSYDRRDRSVQQVPDRKDLRAHEVGTSDPRMLPLSPGPGTQENSSLGSEQGQQQSVIGSSDPGNPEAVADISHQSGSGV >Vigun06g133700.1.v1.2 pep primary_assembly:ASM411807v1:6:26009656:26017578:-1 gene:Vigun06g133700.v1.2 transcript:Vigun06g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAASAGLGPRYAPDDPTLPQPWKGLIDGSTGVLYYWNPETNVTQYEKPAPLTSPLPAPVASAPSLAPIPVAHTMQAGGMAPQHGQQLMQGQPSQQQVGHLAQQHGQSMPPQQQQQQSPHMVQTTQQNPSQGGQSAPQQNSLMTQSVPQPGLHQARQQMMQPQGQMLQQPQGQQIQHQMPPQTMHSQHFGQGMPQDHGSHIVPPQVHQFPTQNMHYMSYQQNIITPRQPNSQHIQPNTVSPGQPNPQQVQHNMHGQPFENQQTAFQKVEETEFKNGSQVGLSPSQYPQRSTLPAQNNQNLPADTASGQMPNVGVNAGQPQQFRTSLSGSVQKSPSAMPLQQGGSDLYYQHGPNFNKQMSPGMMHGHPSNVHPVGQKMSHEDNLRGRAGNEYYYNSNKDMPTMGRPQPDMTQIPVPRNQQDMRIGNTPFQNVMPSGNGSGIAGNAMPSMFVPPMGGPSPLSNNTLMRPSYMGSSDASDLSPAEIYCQQHEVTATGGDIPPPFMTFDATGFPPEILREIYSAGFSNPTPIQAQTWPVALQGRDIVAIAKTGSGKTLGYLIPAFILLRQRQNNSLNGPTVLVLAPTRELATQIHNEVIKFGRSSRVSCTCLYGGAPKAFQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVDEIPARRQTLMYTATWPKEVRKIASELLVNPVQVNIGSADELAANKAITQYVEVVPQMEKQRRLEQILRSQERGSKVIIFCSTKRLCDQLARNIGRTFGAAAIHGDKSQGERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKHAGDLIKVLEGANQHVLPELRQMAMRGPSNFGKERGGMSRFDSGGGRWETGGRGSMRDGGFGGRGGMRDGGFGGRGGGMRDGGFGGRGGGMRDGGGFGGRGGGMRDGGFGGRGGGGFGGRGGMRDGAGGRGDFFPGRGNRGRGSGGLRGGHVGWGRGERGMDDRGQGRGRGRFDNRRDASYKSRGRSYSRSPDRVRTWDYSSSSRSRSRSRSRSWSRGRSRSRSRSWSRGRSYSRSRSRSRSHTRSRSRSRSPSYDRRDRSVQQVPDRKDLRAHEVGTSDPRMLPLSPGPGTQENSSLGSEQGQQQSVIGSSDPGNPEAVADISHQSGSGV >Vigun07g202101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32343051:32343894:1 gene:Vigun07g202101.v1.2 transcript:Vigun07g202101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRVLASLSSNFKEPLQLFLLRRWHPSPPTSSCSSPPSSTSSPTLVSPSNSSPLKIIFSSHTLHWVSPSTTMNIQSFSESYFLSLFSLFIRGRNECVCKIFLFHSSGVQSNAVY >Vigun03g155900.6.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687764:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.3.v1.2 pep primary_assembly:ASM411807v1:3:16662922:16688177:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.9.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687677:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.5.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687938:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.11.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687677:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFETVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.7.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687764:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.1.v1.2 pep primary_assembly:ASM411807v1:3:16662922:16687764:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.10.v1.2 pep primary_assembly:ASM411807v1:3:16663893:16688042:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFETVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun03g155900.8.v1.2 pep primary_assembly:ASM411807v1:3:16663983:16687764:-1 gene:Vigun03g155900.v1.2 transcript:Vigun03g155900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHLHDYVFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVNAVKCGRIQVDGEMVSISYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTAEVRDSAKGKPASTKFTRISTNGTQSIVLCEPITGRTHQIRVHLKYSGHPIANDMLYISEQTVDRSVKGSSADRSARISDVSLTSDLDEVPNACRENSSEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLI >Vigun02g160300.2.v1.2 pep primary_assembly:ASM411807v1:2:30627110:30631678:-1 gene:Vigun02g160300.v1.2 transcript:Vigun02g160300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEKDLFGEDSDNDNGSHSSPASSSSSSAASSSSSSSSSASSSKSSEGAADSSSASGSGSSGAEDEEENGDVVDSTKDRADHPHDYEDKDLFDSDNEDYCKTLARSPYPIPVLPATRNANNQGRGGFGRGRWQQGYQNDRGAGLLPRPGPYPQRQNFGYGNRFQNGRHDERFVSELKLSKSEETLSRKCIAFQEPCEIACYSRIEGGEVYFDDRSLRLFKRHITEDVGADLNEGYDTFIPKKDLGSEGFGDLLACIRDKNIPLQNIHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSDLDRRRCYVGYCFESLATEDPRRADGEGIHHVDANVEFCSVIKTKLGAHRILMGAEMDCCDSTNDGKRFYVELKTSCELNYHTEERFEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITQRVKMKNYWQV >Vigun02g160300.1.v1.2 pep primary_assembly:ASM411807v1:2:30627070:30631663:-1 gene:Vigun02g160300.v1.2 transcript:Vigun02g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEKDLFGEDSDNDNGSHSSPASSSSSSAASSSSSSSSSASSSKSSEGAADSSSASGSGSSGAEDEEENGDVVDSTKDRADHPHDYEDKDLFDSDNEDYCKTLARSPYPIPVLPATRNANNQGRGGFGRGRWQQGYQNDRGAGLLPRPGPYPQRQNFGYGNRFQNGRHDERFVSELKLSKSEETLSRKCIAFQEPCEIACYSRIEGGEVYFDDRSLRLFKRHITEDVGADLNEGYDTFIPKKDLGSEGFGDLLACIRDKNIPLQNIHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSDLDRRRCYVGYCFESLATEDPRRADGEGIHHVDANVEFCSVIKTKLGAHRILMGAEMDCCDSTNDGKRFYVELKTSCELNYHTEERFEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKEDEDYILQFAPPFNRLELLQAQSCPDVITSHLELL >Vigun09g146500.3.v1.2 pep primary_assembly:ASM411807v1:9:30992334:30994880:1 gene:Vigun09g146500.v1.2 transcript:Vigun09g146500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRLYCCSNCRNQVSLHDDIISKAFHGRNGRAFLFSHAMNIVTGPKEDRHLLTGLHTVADVYCGDCREVLGWKYERAYEASQRYKEGKFILEKSKIVKDNW >Vigun09g146500.2.v1.2 pep primary_assembly:ASM411807v1:9:30992334:30994946:1 gene:Vigun09g146500.v1.2 transcript:Vigun09g146500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRLYCCSNCRNQVSLHDDIISKAFHGRNGRAFLFSHAMNIVTGPKEDRHLLTGLHTVADVYCGDCREVLGWKYERAYEASQRYKEGKFILEKSKIVKDNW >Vigun09g146500.4.v1.2 pep primary_assembly:ASM411807v1:9:30992334:30994787:1 gene:Vigun09g146500.v1.2 transcript:Vigun09g146500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRLYCCSNCRNQVSLHDDIISKAFHGRNGRAFLFSHAMNIVTGPKEDRHLLTGLHTVADVYCGDCREVLGWKYERAYEASQRYKEGKFILEKSKIVKDNW >Vigun03g300800.1.v1.2 pep primary_assembly:ASM411807v1:3:48958509:48964619:1 gene:Vigun03g300800.v1.2 transcript:Vigun03g300800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLTHYAGSGSGPLHAGSNNPGSPGETPDHATAADGYASEDFVPGSSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVITRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEAADTAMVQQDFLSANQLPVETEGNNPLPAPPPLDEECESMDSTNSNDGEPAPSKPENTQSSYPVLYPAYYSPVFPFPLPYWSGYSPEPAKKETHEVLKPTAVHSKSPINVDELVGISKLSLGESIGDSGPSTLSLKLLEEGPSRQSAFHATPTCGSSNMNGSAIHAV >Vigun04g003600.1.v1.2 pep primary_assembly:ASM411807v1:4:245782:248763:-1 gene:Vigun04g003600.v1.2 transcript:Vigun04g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDLVPLVGENYALKLKHSMQELLSKISEKSSNLSPLVSTFYELMQAKVDPPFEVIWAYAAITFRGRNPEKGDALDKILVAKDLFQLLSACSGSVCASKSIVLLAPVVFVLHGVIVELLGRELRCKREKKAMREVKSLVDVVLGYISLSCHNKVYEEESDSARLIFPFTDLAHLWVTMNGDAFRSLLPLVSSDVCDWICSRGFHVDYLGGAIIMEVFLLKLCLSFNLATSREGLEMKLKSWAVSSISSFQNIYFLEILMRTALETPLPLISIVKPEDEILSRKVIFDAVLFVDYPFLYSNANIQNLTLTRLIVTHGAVEYFRGLGDQNRTISYMKEFSASRLPLQIIKWVTSQNSLEEKAGRANGSSPKALILWLLSLENQGIRVFEDDILKNRAALGLDTSKPEHPASNLKKKIADDDLFYVDNAGEEGNTGEDDKQNKLISDAFVAAAQTMKLPKNKARKRKGKHSEKKIKFVKYDLHQNSKPVKARTSTADDSSSGESEVEDPISDTDA >Vigun06g238950.1.v1.2 pep primary_assembly:ASM411807v1:6:34352375:34353388:1 gene:Vigun06g238950.v1.2 transcript:Vigun06g238950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSGLLVEAAQVFYQMPRRSLVTWNVFLTGLIKWEEVKLALSVFNQMPSRSVVSEMIEVDGIEPTQVTLLTIFPAIVNIGCIKICQSAHGYAEKRGFNSSDIRITNALIDLYAKCGCIASVNRFLQELPDQRKNLVSWTSTISGFAMNGMGREALETFENMEKAGLRPNRVTFLSVLSACSHGGLVEEGLNFFVKMVKDCEFVPDIKHYGWVIDMLGRAGRLEEEEKVASEVPHKVANAVMWRTLLGACSVHNNVKIGQRVTRKVLEMERGHSGDYVLMSNILVGVGRFKDAQRLRDMVDKRIAFKLPGYSIV >Vigun08g134000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30537441:30538525:-1 gene:Vigun08g134000.v1.2 transcript:Vigun08g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKALGVFKSKLLNPCKRLLFFFRLKPKKLVFIRALKFRAHKSSFPKASPKRPTMSSLLQSVFRSPKKSKHTDTVQGLRSPSNVHETPLFPSPLTPVCAVEKSEEGSRQEVEDACKSFENYLVEMIVEEGKTRDLMDVEELLYCWKNLKCPVYIDLVSRFYGELCKDLFSPDTGDSNSSQ >Vigun02g192001.2.v1.2 pep primary_assembly:ASM411807v1:2:32909159:32910433:1 gene:Vigun02g192001.v1.2 transcript:Vigun02g192001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQVMNAAASALVLLVFVSSAAAAAVAVTEGCREDLISFSGCLSYVSYPPNNLTESASEKCCMAFSRAVESVCICYVVGDPLILGFPLNTTRLFSLSSLYSSSLPPLSTASTQNLGTLASESFIFSLVYYFYRHDIYIYIYILTRNKERNMQWRRRRRRRSKRRWWRSSWRRRGKRRWWRRRGKRRGRRRRRRRVRVRRRVFWEKGTLIERWRRNRSSWSLFFFFNHHSTPHCLGIHGHLLCTSQHVTFPILIGVFSRSWDK >Vigun02g192001.1.v1.2 pep primary_assembly:ASM411807v1:2:32909230:32910433:1 gene:Vigun02g192001.v1.2 transcript:Vigun02g192001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQVMNAAASALVLLVFVSSAAAAAVAVTEGCREDLISFSGCLSYVSYPPNNLTESASEKCCMAFSRAVESVCICYVVGDPLILGFPLNTTRLFSLSSLCPSPFSASFPALCSSNSSSLPPLSTASTQNLGTLASESFIFSLVYYFYRHDIYIYIYILTRNKERNMQWRRRRRRRSKRRWWRSSWRRRGKRRWWRRRGKRRGRRRRRRRVRVRRRVFWEKGTLIERWRRNRSSWSLFFFFNHHSTPHCLGIHGHLLCTSQHVTFPILIGVFSRSWDK >Vigun03g031500.1.v1.2 pep primary_assembly:ASM411807v1:3:2390396:2399727:1 gene:Vigun03g031500.v1.2 transcript:Vigun03g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLSACKSVTSPSLPIAGLSARKGGIKSSQCSFVAGTNRVSFPRQVSQVSHVRKQRQTRRCGALHATCSGEKILIANRGEIAVRVIRTAREMGIPCVAVYSIIDKDALHVKLADEAVCIGEAPSNQSYLLIPNVLSAAISHKCTMLHPGYGFLAENAVFVEMCWEHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSEGLLQSTEEAIRLAKEIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSTDLIEEQIRVAMGEKLRVKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPNREKAIERMKRALDDTVITGVPTTIDYHKLILDIEDFRNGKVDTAFIPKHEEELAMPPQKMVLS >Vigun03g449250.1.v1.2 pep primary_assembly:ASM411807v1:3:65032632:65033648:-1 gene:Vigun03g449250.v1.2 transcript:Vigun03g449250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGASLRNWSGMEWNVCGATLLRTHHLKHIHLHKTQFFKLSVIFWLLFLRWTNRV >Vigun02g173500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31619702:31620756:-1 gene:Vigun02g173500.v1.2 transcript:Vigun02g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGQAIKLSPSTPDLFGGRISMRKTTSKSVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWASQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun01g129000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30699775:30702163:1 gene:Vigun01g129000.v1.2 transcript:Vigun01g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPILVTIFLALPALSLSDSCPLNISLLHSRSSSDSKARWCGYIFKSLRILQSDYLHRTGFFPPSNASFSCWIALQNHTRQYDIRSSCNLHSSSLSLSCNNITNQSQFEHHFPLSALQPIRNTCNQSLNSAASCTLCTNALSNLDSLLPDNTTDCKTIASIYAASFSDLQHPGTAECLFNVGFPSGKRRRTILIAVFCTLAFFLLVFGVVWAYFRLKQKKKDICKTEMGLGSGLDSMNQSTTLIRFSFDEIKKATRNFSGDNIIGKGAYGNVYRGVLFDGTQVALKRFKNCSVAGDPSFTHEVEVIASVRHVNLVALRGYCTATTNLEGHQRIIVTDLMENGSVHDHLFGSAKQKLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDRKFEAKVADFGLAKFKPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGKRALQIDTDGKPSAVTDFAWSLVRNGRALDVIEDAMPELGPVDILEKYVFVAVLCCHPQLYARPTMDQVVKMLETEESVSSLIEQPLSCIAGRIHTEKSV >Vigun04g031001.1.v1.2 pep primary_assembly:ASM411807v1:4:2482959:2485049:-1 gene:Vigun04g031001.v1.2 transcript:Vigun04g031001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKECHKSDDRGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVRSQDDRRFQRFEYNHKDILLYESIFGRGFVSTGGLETTKEFVAKLGLKPGQKVLDVGCGTGGGDIYMAENFDVEVVGIDLSINMISLAIKRVIGLKCSVEFECADCTKKSYPENTFDVIYSRDTLLHIKDKPSLFRSFYKWLKPGGTLLITDYCKSVGSLSVGYANYIQKGGYYIHEMKTYSQMLENAGFNDVIVEDQSNLFMKTLQQELNALESKKDDFIDEFSKEDYNIISERWKTNQMRGVDGEQIWGLFIAKKK >Vigun07g188200.1.v1.2 pep primary_assembly:ASM411807v1:7:30494044:30497089:1 gene:Vigun07g188200.v1.2 transcript:Vigun07g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGGGTVFLNSNSDAVGGVKAKRVKGVKGDSVYVGVGVEVGASQNKILADVTNLYQQPKQHVSVEHLLKDKEMLVKHLANRDAFIESCKAELQKCQSNYQKLRMQNAELALTNSQMLAEINSSRQKQRELQFELGMKNGILNAMKLELTTKEHTVQLKHETNVKEVRACPNKQSVQSFPEDNRGNAKRRRVSKPRSSAPAVSKQVKSMERVDNQRYSLRRQSTGLKDEKPEPTEDSVEVVEVKKDISKANESGTTSLESKVHDEAREATESSRPTNTEPVHAKKNIENKRNSTRRQTNRFKPENPEPTEDLIVLDDAKFNVSQLSDDVSEKCCPTSTLTSGQENNSCSFESQENRRSSVGRPLRRTVEKVVSYKEVPINVKMRRDKSGNFIVNNSA >Vigun02g149000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29645952:29646929:-1 gene:Vigun02g149000.v1.2 transcript:Vigun02g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLEQLKEEEEEEEEIEALSLCDLPVNLINDQAQSKPKPDSPIIEKEDFDFHLWGTPFSSKSEMCAADEVFFEGQILPLSLSLKRCQLRSESLGHGSLREFRSNSSRSSSIRSQNSTSSSSSTTTTTPGISKPRPQNQNQNQNHFHAHPSPQPQLKVTVPRQTSFGNQGRKSSAWDFFRLGVVPAPEIALQDLKVRNKNHIVRNNSSNSSLSANSAVKRSYSVKGNHVLKQFVGIGGGFWSGCKCSVETVQSDITMIKGSTKSANKTESVTHAMKEKVVERKKQKQRQKQGKRTMSSRRTFEWIKELSHDASYADDEALLSKS >Vigun03g446300.2.v1.2 pep primary_assembly:ASM411807v1:3:64778559:64784803:-1 gene:Vigun03g446300.v1.2 transcript:Vigun03g446300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSKGGAVELSARNVLHRKWALLLCVASFCAGMFFTNRLQGQTQITVKWKFQIHRMLSTMMSTMMSSKPRKSETDESSTRKKYFMVIGINTAFSSRKRRDSVRTTWMPRANERQKLEEEKGIIIRFVIGHSSTSGGILDKAIEAEERLHADFLRLNHVEGYLELSAKTKTYFSTAVALWDAEFYIKVDDDVHVNLATLALTLSMHRMKPRVYVGCMKSGPVLAQKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISQDLATYISINQDVLHRYANEDVSLGSWFIGLDVEHVDDRRMCCGTPPDCEWKAQAGNICVASFDWKCSGICRSVERMKEVHLRCGEDENALWSATL >Vigun03g446300.1.v1.2 pep primary_assembly:ASM411807v1:3:64778559:64784803:-1 gene:Vigun03g446300.v1.2 transcript:Vigun03g446300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSKGGAVELSARNVLHRKWALLLCVASFCAGMFFTNRIWSMPEYVEFPGTTSEIERIKLNSDGCNLNTVARPNSNYSKVEVSNTQNVVNNDVNNDVKRPRKSETDESSTRKKYFMVIGINTAFSSRKRRDSVRTTWMPRANERQKLEEEKGIIIRFVIGHSSTSGGILDKAIEAEERLHADFLRLNHVEGYLELSAKTKTYFSTAVALWDAEFYIKVDDDVHVNLATLALTLSMHRMKPRVYVGCMKSGPVLAQKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISQDLATYISINQDVLHRYANEDVSLGSWFIGLDVEHVDDRRMCCGTPPDCEWKAQAGNICVASFDWKCSGICRSVERMKEVHLRCGEDENALWSATL >VigunL012800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:60451:61583:1 gene:VigunL012800.v1.2 transcript:VigunL012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKSQNGMSLSIDLLVKNRLRVLNCQNKNFM >Vigun03g271100.5.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511058:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.4.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSIRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.11.v1.2 pep primary_assembly:ASM411807v1:3:44506082:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.8.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSIRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.3.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.9.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSIRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.10.v1.2 pep primary_assembly:ASM411807v1:3:44506082:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.1.v1.2 pep primary_assembly:ASM411807v1:3:44506083:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.6.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSTFSSVRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun03g271100.7.v1.2 pep primary_assembly:ASM411807v1:3:44506103:44511057:-1 gene:Vigun03g271100.v1.2 transcript:Vigun03g271100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHNINFALLLSIFVFCSTIAATATNCRPSCGQHSLQYPFGFCSDSKVKLNCSNDGTKVKIGEFEVQNITSDGIFVSLPVKCNRSMGIVDPLFGVNFSPTFNNSFLLQGCKSKFNSCDITPSSFVGDHNPVEGCDRKNESEDFICFKQLPRNSSRREDVLQQSDLMGIGCNFLFSAIAYDKSKGKELLLQLQMLELGWWLKGPCNCSNNASCTVVKLGTKDQGYRCRCHEGFVGDGFKDGDGCRSIRQCKGSTLWPGGCRKAIKIVVLVGVVIIAAILVVALSLLCYFTRRRSSWLRKQVTVKRLLREAAGDSTVPLYPYKEIERATSFFDEKHRLGTGAFGTVYAGHLHNDECVAIKKIKYRDTNSVDQVINEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGGILPWTIRLTIATETANAIAYLHSAINPPIYHRDIKSSNILLDYNFQSKVADFGLSRLGMSETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIISAMKVVDFSRPKSETNLAALAVERIRRGCLDDIIDPFLEPHRDAWTLYSVHKVAELAFRCLAFHSDMRPTMIEVAEELEVIRRSEWETLEETICTASSVGSVCSSPRIGRENSLVGASFERVGGQGSETLIVPPKTEMFLQSMTEVKDNSPVSVHDAWLSGTSSPSTNC >Vigun08g038700.1.v1.2 pep primary_assembly:ASM411807v1:8:3749328:3758206:-1 gene:Vigun08g038700.v1.2 transcript:Vigun08g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLISQVARRQSKFGQIQSVFATSYFSVNKFGHRPGFRLLGAQERFQSSYLGSIARRARDADEAAEVAYLKELYHQNDPEAVIRVFESQPSLHNSPSALSEYVKALVKVDRLDESELLKTLRRGMSNSVASEEETAAGLSALRNLGKSTKDNIIGTASNPIHMVAKEGGNIKDQLWRTLRTIAVSFFVISGIGALIEDKGISKGLGINEEVQPTMETSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSSSGSEFEEMYVGVGARRVRDLFAAARKRAPCIIFIDEIDAIGGSRHPKDQMYMKMTLNQLLVELDGFKQNEGIIVIGATNFPESLDDALVRPGRFDRNVDVPYPDVKGRQQILESHMSKVLKAEDVDLWTLARGTHGCSGAELANMVNMAAIKAAMDGAKAVRMADLEYAKDKMLMGSERKSAVISAEERKNTAFHEGGHALVAMYTDGALPVYKATIVPRGTSLGMVMQLPEDDYLSISRKQMLARLDVCMGGRVAEELIFGENEVTGGASSDLKQATSLARTMVTKYGMGKEVGLVTHDYTDGGRSMSSETRLLIENEVKQLLEKAYNNAKTILTTHNKELHALANALLEHETLTGTQIKTLLAEVRNQMQPQTVEAQSSSQSNTVPPSSSNPASAAAAAAAAAAAAAASAAATATAAATATAAAAKAKSAPVGS >Vigun07g113700.1.v1.2 pep primary_assembly:ASM411807v1:7:21041891:21043925:-1 gene:Vigun07g113700.v1.2 transcript:Vigun07g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNPLHFPSPLRLLSYLLLLLTAAATTSLAANIQHGVVRKPVPDFPIFREAPAFRNGNTCNNDRIHISMTLDSNYLRGTMAAVLSILQHSTCPENVEFHFLWARFEPQVFTTIKSTFPYLRFKIYRFESDRVRGKISKSIRQALDQPLNYARIYLSDILPGHVKRVIYLDSDIVVVDDIVKLWEVDLQGKVLAAPEYCQANFMLYFTDLFWKDKELNKTFEGRKPCYFNTGVMVMDVEKWREGKYRAKVEAWMAVQKQKRIYHLGSLPPFLLVLAGDLKSVDHRWNQHGLGGDNLEGRCRSLHPGPISLLHWSGKGKPWLRLDSRRPCSVDHLWAPYDLYRPNTHAFEE >Vigun03g052000.1.v1.2 pep primary_assembly:ASM411807v1:3:4218306:4221975:1 gene:Vigun03g052000.v1.2 transcript:Vigun03g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGWMQDTEEQNSASWSNPNANELSCFSLCAYKPISDIHQEWHVPNNATHNHSHITDMAFSTNFAQHQPLHTFSSSTTIDVAQGHNFLTPKPYFYPFEHASRAASDVGFLDPQALNKVHHGGVFFSSNGNNQLALPNLAMPPQQDGVGFSGFKNIGDSDNVEGSKALFLSTSNILKPLESFPPSGVQPTLFQKRAALRKSMEDNCRGKSKGNSDGSKRKMCNNGEGVEGSGLNFDSDESGEVEESGRNGGNSSNANSTVTGGVDEKGKKKTGIPAKNLMAERRRRKKLNDRLYMLRSVVPNISKMDRASILGDAVEYLKELLQRIGDLHNELESTTTTPASSSLTSSFLHPLTPKLATRMQEELCLSSLPSPNGQPVTVEVGLSEGGVKIQMCCERKPGLLLSTMRALDNLGIDIHQAVITYFNGFAMDILRAQLCNEGGQDVHPEQIKAVLLDSAGFHGMI >Vigun01g060300.1.v1.2 pep primary_assembly:ASM411807v1:1:12610229:12642080:1 gene:Vigun01g060300.v1.2 transcript:Vigun01g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRYSCKSAVETLAWINDIIHFLAPYSSLIDAHVVNFFKDRLWENVDAEWIECLRREPVQNLLLIPSGAVQYEWPTSLKEFILKLRSMVFCQDQADMNMALPGLQMTSLNSVLAQGMNVKKKHEVEILSAVISTVAKGVRADAVADVGAGQGYLAQVLAFQYQHPVIAIDACSHHGRVTDARAARIKKYYTSQMIKSGSGFRSLNVPKTITCNVLSIDSLKTLVEISLTGDDVEQSRIKAENQEDLGKLNWHSNANKKSSIVLAGLHACGDLSVTMLKTFLECKDVKAVVSLGCCYNLLSEERIEDGESQCGFPMSHAARSTSLSLGKSARDLACQSAERWRSLDMHAGIHNFELHAFRAAFQMVLSKYYPEIVMSTPSIGRKGKALRRRHQRRSAESQLHLKGSTSHTRQKFPSEAPFVSKTDWTLGSISEIQNLPSEIPCSEGAGGEGIKSDDKFLHFENFCQSGLSHLGIKHSHDINLEGIWKEAEPFADLVGPYWSLRAALGPLLETLILLDRLLFLQEQGSTLEACLLPIFDPNISPRNVAVIAKKIDKDLRSS >Vigun03g276400.1.v1.2 pep primary_assembly:ASM411807v1:3:45309802:45311386:1 gene:Vigun03g276400.v1.2 transcript:Vigun03g276400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAQVKTRARTALGVGASATSPKRRKIAINNSNNISPSSFVQLKSLSNTTSPESEERCSGESPASCCSSNGSFDENRIIKFSDLEVENAQVETSTCNCGEQQMRREMSLSSELRIANSQEVDSAEKKPTMQTKTKSRCGVPPAPQKRPTESELEEFFAVAEKDIQKRFSEKYNYDIVKDMPLEGRYEWVKLKP >Vigun10g145800.1.v1.2 pep primary_assembly:ASM411807v1:10:36364917:36372815:-1 gene:Vigun10g145800.v1.2 transcript:Vigun10g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKRTMKADAPNLKRCKIDGTENAIQKKRRVNGLYSLGVSGDAEYFSSGSGSWSSEGSYWGGGGEVQSNSNSFLFNGKMVKEACRPPLLRSSRGRLQKLPSRFNDSVLEADGDSSFEDNDKSYVEDGRGGIGVSVEKSDSVCCSSSVGRRTGVMAESNTSGISFEGVDQKPNGLKKNEVFKLEDFSLGDIVWAKCGKRYPAWPAVVIDPALEAPESVLSCCIPGALCVMFFGYSKNGTQRDYAWVKQGMVFPFSEFLDRFQGQTRLYKSKPSDFRMALEEALLAEDGVLESHLGRGEISDAEAHPARLMEATCYYVDEEYYGQEQVTRYCAGCGLMLPCKTMKKMKDSNCAPRFYCKHCTKLRNSKQYCGICKRIWHHSDGGNWVCCDGCNVWVHAECDKITSRLFKDLENTDYYCPDCKGKFNSKLPASQTYNPKIKSIENSQKSMIPDSVLVVCKGMEGIYIPKLHLVMCNCGSCGSRKQTLSEWEKHTGCRAKKWKHSVKVKSTMLPLEKWLAEHIPLEGISQQLDQQQVLAFLQEKYEPVNAKWTTERCAVCRWVEDWEDNKIIICNRCQIAVHQECYGAKNVQDLTSWVCRVCETPDVERECCLCPVKGGALKPTDVEMLWVHVTCAWFRPQVVFQDHEAMEPAVGILKIPPNSFVKTCVICKQSHGSCITCCKCATYFHVMCASRAGYTMELHSMEKNGTQITKKLIYCSVHRVPNPDSVLVVHTPLGIFSPRTSLQNQKGCFRGSRLISSKNIELIESSTTENEVVEPLSAARCRVYRRSTNKRANEPIIHWPKGPSLHSLDAITLLNDFKDGDESKVFTSFKERLHHLREMEKLRVCFGKSGIHGWGLFARRNILEGEMVVEYRGVNVRRSVADLREAKYRSEGKDCYLFKISEEVVVDATNVGNIARLINHSCMPNCYARIMSLGDQESRIVLIAKTNVSAGEELTYDYLFDPDERDDLKVPCLCKAPNCRRFMN >Vigun09g234200.2.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40492269:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGCHIREKVKLLCTGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.5.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40491631:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.6.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40491631:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.1.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40492269:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISITSTELNYLVFRYLHESGFTHSAFAFGYEAGIDRCKNDDNVVPPGALVTFIQKGIQYLELEANLSGSDADMDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGCHIREKVKLLCTGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.7.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40491596:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGCHIREKVKLLCTGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.3.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40492269:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun09g234200.4.v1.2 pep primary_assembly:ASM411807v1:9:40485570:40492269:-1 gene:Vigun09g234200.v1.2 transcript:Vigun09g234200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISITSTELNYLVFRYLHESGFTHSAFAFGYEAGIDRCKNDDNVVPPGALVTFIQKGIQYLELEANLSGSDADMDEDFSFLQPLDLITKEPNELHNIVKAKKENLRQHKSESNKIVNGSTHDNARREHVQEREKERKEKENEQRLEKIRLEKEKEQPKDEYKETQHTDQNQNHTEKHEDKTTVENLNNRAHGGPEPMEISQSPMSSPNDTPCDDVKILKGHSSEVFACAWNPSAPLLASGSGDSTARIWKIADGPCDSGVENEPVNVVVLQHFKESTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELNSTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWNIKTGEWKQLFEFHTGPTLDVDWRNNVTFATCSTDKMIHVCKIGENRPIKTFSGHQDEVNAIKWDPTGSLLASCSDDHTAKIWSLKQDNFLHDLKEHVKGIYTIRWSPTGPGTNSPNQQLVLASASFDSTIKLWDVELGSVIYSLNGHRDPVYSVAFSPNGEYLASGSMDRYVHIWSVKEGKIVKTYTGKGGIFEVNWNKDGDKVAACFSNNIVCVLDFRM >Vigun07g194000.1.v1.2 pep primary_assembly:ASM411807v1:7:31349123:31351883:1 gene:Vigun07g194000.v1.2 transcript:Vigun07g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGEDDSDNPATTAGAEWTFSARNHAPSSDPCWKAIERGGGTLGLGDLRFVQRVGSGDIGSVYLVELKGSNGCLFAAKVMDKKELVARNKDTRAKVEREILQAVDHPFLPTLYASLDSPRWSCLLTEFCPGGDLHVLRQRQPDKRFHHAAVRFYASEVVVALEYLHMMGIIYRDLKPENVLIRSDGHVMLTDFDLSLKGDDTTSTAQIVFDQDPPGNACSNDHSRNQCAPAMSSCMLPNCIVPSVPCFHPKRGRGKRSSRCGSVEIVAEPIEIRSTSFVGTHEYLAPEVISGEGHGNAVDWWTLGVFIFELFYGMTPFKGLEHELTLANIVARALEFPKEPMIPGAARDLISQLLVKDSTMRLGSTMGALAIKHHPFFNGVNWALLRCATPPYIPASDKCKELVRLYNCTTNTIDFY >Vigun05g301700.1.v1.2 pep primary_assembly:ASM411807v1:5:48481013:48487653:-1 gene:Vigun05g301700.v1.2 transcript:Vigun05g301700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVQPSDTIMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKTLGSAGTSSSQPASNPPITVSTPNSSSLVQTQSANNNAPATDVTTTNVTTDTYGQAASNLVAGSNLEQTIQQIMDMGGGSWDRDTVSRALRAAYNNPERAVDYLYSGIPEAAEIAVPAAPYPNSQTTETGGVTAGTVPGVPNSSPLNMFPQEAISGVGAGVGSLDFLRNNPQFQALRSMVQSNPQILQPVLQELGKQNPGLLRVIQEHHAEFLQLINEPIEGSEGDIFDQPEQDMPHAINVTPAEQEAIGRLEAMGFDRALVIEAFLACDRDEQLAANYLLENAGDFEN >VigunL059334.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000261.1:24350:24755:1 gene:VigunL059334.v1.2 transcript:VigunL059334.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSMCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >Vigun08g102600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25465284:25468352:-1 gene:Vigun08g102600.v1.2 transcript:Vigun08g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSLNFLLCFFLSVSILRFSSARKLTESEPQLKFQYHRGPLLTGKISVNLIWYGNFKPSQKAIVTDFITSLSPPKPLTAQPSVATWWKATEKYYQTPSPKLALSLGSQILDENYSFGKSLTTDQIVKLASKGPQRNAINVVLTSADVAVEGFCSSRCGTHGSSVGARVNGNRYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPALVAPNNDVGVDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGVNGRKYLLPALVDPKTSACSTIV >Vigun01g138300.1.v1.2 pep primary_assembly:ASM411807v1:1:31853765:31856564:1 gene:Vigun01g138300.v1.2 transcript:Vigun01g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMKIQPIDSPVHEEAIRLEPVKPVMKSRLKRLLERQFSGVLRNSAAEKIVAEELPRSGKDGFADFEPSSACLAKMVQSFMEENHEKHSAAVKCARNRYNSFEDSSDAETQAFGSFSDSSYSSSGETQEILKGLVACASVYERNLLADTTKIIEKNKATCKRKDGCCRKIVTEGLLALGYDASVCKSRWEKSTFCPAGEYEYIDVIMGKERVVVDVDFRSEFEIARPTKTYKAILHTLPYVFVGTCDRLQSIVAIASEAAKLSLKKRCMHVPPWRKAEYVKAKWLSPYTCSRGVKEETEEKKQLAEALLLTAAACETSREDDEKSKSKLVVQKPLEIKPKSSQSGLAAVFHEKP >Vigun03g303450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49342234:49343419:-1 gene:Vigun03g303450.v1.2 transcript:Vigun03g303450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIILKPWFPSSSPLSCFYHHQVTAQTANAPPSTTQIGAICHPRHKSEQICHPRCRSDTDRSRSVTSDCESIENLVFYERFSHYRKDPTPKPPVNKTHISKVLKDVFHSPLVCL >Vigun03g445100.3.v1.2 pep primary_assembly:ASM411807v1:3:64708862:64712326:1 gene:Vigun03g445100.v1.2 transcript:Vigun03g445100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVYAPRAILKCHLYQDSASAQQTYPCKINNNVNLVNCNPKPFGSPSSNILFHSSSFSGKCDKLQKSLHLFHHVATHKWLDSVSSDDEYRSSRNIAISLFRRYRNFIDRGGTDNLKEFITAGVNAYSLGCTDEGLRKELMDMKNSGIEIDVMQSFGGSTSLKSKIISEEVDECIFWLSIIFITILCTPQPTIVRWSSTPPVSDEVRLQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMGEAEKPSVVASRMRLVFSTLEVVSPQWPRA >Vigun03g445100.2.v1.2 pep primary_assembly:ASM411807v1:3:64709223:64712326:1 gene:Vigun03g445100.v1.2 transcript:Vigun03g445100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVYAPRAILKCHLYQDSASAQQTYPCKINNNVNLVNCNPKPFGSPSSNILFHSSSFSGKCDKLQKSLHLFHHVATHKWLCGLQDSVSSDDEYRSSRNIAISLFRRYRNFIDRGGTDNLKEFITAGVNAYSLGCTDEGLRKELMDMKNSGIEIDVMQSFGGSTSLKSKIISEEVDECIFWLSIIFITILCTPQPTIVRWSSTPPVSDEVRLQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMGEAEKPSVVASRMRLVFSTLEVVSPQWPRA >Vigun03g445100.1.v1.2 pep primary_assembly:ASM411807v1:3:64708862:64712326:1 gene:Vigun03g445100.v1.2 transcript:Vigun03g445100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVYAPRAILKCHLYQDSASAQQTYPCKINNNVNLVNCNPKPFGSPSSNILFHSSSFSGKCDKLQKSLHLFHHVATHKWLCGLQDSVSSDDEYRSSRNIAISLFRRYRNFIDRGGTDNLKEFITAGVNAYSLGCTDEGLRKELMDMKNSGIEIDVMQSFGGSTSLKSKIISEEVDECIFWLSIIFITILCTPQPTIVRWSSTPPVSDEVRLQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMGEAEKPSVVASRMRLVFSTLEVVSPQWPRA >Vigun09g196300.1.v1.2 pep primary_assembly:ASM411807v1:9:37090395:37095702:1 gene:Vigun09g196300.v1.2 transcript:Vigun09g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVAPAASPLPTRHVRILLSHTCLQYSIIISTQLPKTGIYKRATHLTWRSRTIAHSHSHIRSQTKMAEKEVTGEAQRKLRSKFLQVLRSRRQDEVPLTVEHAKPVASPLHQNSPPTKEEIEIMESCPKADIENLEELLQEENFYLNIEEGKQGRLPVLILKLKESDKQKKRPAVVFLHSTNKYKEIMRPLLKAYASRGYIAISVDSRYHGERATCTTTYRDALVDAWKTGQTMPFIYDTVWDLIKLADYLTQREDIDSSRIGITGISLGGMHAWFAAFADTRYAVVVPLIGVQGFRWAIDNDKWKARVDSIKHVFETACDDLGKDVIDKEVVEKVWDRIAPGLASEFDSPYSILAIAPRPLLILNGAEDPRCPIGGFEVPISKASQAYKEFQCLNNLKFIAEDGVGHQLTRLQVKESSDWFDRFLKP >Vigun04g018400.1.v1.2 pep primary_assembly:ASM411807v1:4:1368223:1371972:-1 gene:Vigun04g018400.v1.2 transcript:Vigun04g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVCCISKFATLSYVRSRPFSSLLPSHPFLSTTSTNFSLASSFSSSATLSFTWDDAIAISQSQSNTQDRSRYLQGFFNKVQLCNRGSEKQSEFLPFVIEGHIVGFIHNGFVEHLRGFGDVFVFPKEKFNGGPNGDFVSLHPMLKTADERTSAVAYVVQQLGEERIPGIRNELYPVTSSFGAPIFFSLERAAAPYFGIKAYGVHMNGYVEVDGQKHLWIGKRSDTKQTYPGMLDHLVAGGLPHGIDCQENVIKECEEEAGIPRSISVKAIPVGAVSYMDIDGYRYKRDVLFCYDLKLPQDFIPNNEDGEVDSFKLIPVRQVAEVIRKTEFFKTNCSLVIIDFLFRHGYITPEYHGYLDLLRSLRIGDCS >Vigun08g071800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11700643:11701513:-1 gene:Vigun08g071800.v1.2 transcript:Vigun08g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCALTRSDLQRIFEKVDVNGDGFLSLEELKMVLEKTGFKYSVEELECLVGKKRLELSEFLVFYECMVKQKNGEEEGGDEIEDVERDLVKTFKVFDLDGDGFITSQELECVLKRLGMWDERCGKDSTSMICSYDTNFDGKLDFQEFKDMMLLTNS >Vigun06g165400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28725743:28727580:1 gene:Vigun06g165400.v1.2 transcript:Vigun06g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCDISYPMHHSSYKRKTHHSGLHTPTPFIITVLAMSSSFAIHFFLISIPLFSVCSLSASHAPNTKPHPFLLPIKKDPATNLFYTSVGVGTPRHNFDLTIDLAGENLWYDCNTHYNSSSYRPIACGSKQCTDVACIGCNGPFKPGCTNNTCPANTANPLAKYLFGGGLAKDFIYLVQHKLSGLLSSCVDTDGYPSFTNDESPLNGLPKNSRGIIGLSKSHLALPIQLASAYKLPSRFSLCLPSSNHQGFTNLLLGAGEYPLGTSKFLHTTPLIVNPISTGPISEKGVASNEYFIDVKAVKIDGHVVNLKPSLLSIDNKGNGGTKISTMSPFTELQTSVYKPFIRDFLKKASDRRLKKVVAVAPFEACFDSTSIGNSATGLVVPTIDLVLQGGVQWSIHGANSMVMAKKNVACLAFVDGGTEPRMSAVKASIVIGGYQLQDNHLVFDVASSKLSFSSSLLLHNATCSHS >Vigun04g134051.1.v1.2 pep primary_assembly:ASM411807v1:4:33708843:33712208:1 gene:Vigun04g134051.v1.2 transcript:Vigun04g134051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSLNILYAALLLFHASGFALPFTTPIKPSPDAKCREKEREALLNFKQSLVDDHGILSTWNDHQNNTDCCTWEGIQCNHRTAHVHLLHLHGTNSQYLKGAINLTSLIPLQYIQHLDLSNNKFRLNHISQAICSFTNLKYLNLSFTLFSGRIPIQLGKLSLLRYLDLGGNLLLGPLPFQIGNLRHLKYLDLGGNYLSGEIPFQLTNLKQLQYLNLGDNSLSGAIPFQLRNLKRLHYLNLAGGDFNIEAEDSEWLSNLHSLTTLELSSLHNLSTSHQWLQSINALIPNLVELRLVDCSLSDTDIKTLFPSHSNFSTSLTVLDLSSNVLTSSTFLLLSNFTLQELYLRGNDIAFSSFLYPNFPSLNILDLSWNNLTSSVFEGNFNFGSKLRVLYLYDCILTDRSFPISSASTINSSSSLVILYLSSNLLKSSTVFYWLMNCTKNLQTLHLYDNMLEGPIPDGFGKVMNSLESFFATRNKLQGKIPSFFGNMCRLQTLDLSNNKLNGEISHFFQNSSWCNSHIFQNLDLSYNQINGKIPESIRLLSELQYLNLEENSLEGDVTESHLSNFSKLQTLSLSYNTLSLRFVSSWVPPFQVTALRLASCELGPSFPGWLQTQNSLQWLDLSDNELNNSIPVWFWNTLQNLFELDIPSIFLDANQFEGALPSFLLQASGLFISNNKFSDLYSFLCYKIMVPYLATLDLSNNQIKGQIPDCWQSLNQLLFLDLSNNELSGNIPISMGNLFKLEALVLRNNKLTGELPSSLKNCTNLFMLDVGENKLSGPIPSWLGESMQQLIILNMQGNHFSEHFPIQLCYLRHIQLLNLSRNKLSKAIPACLKNFTIMSEKSINRTETQRLIYEYNTTTYWEIYGFFVSLAYSLDITWVWKGVEQEFRDPQLNLKSIDLSSNNFTGEIPKEVVCLVGLVSLNLSRNNLSGEIPSEIGNLSSLESLDLSRNDLHGRIPSSLSQLDFLGKLDLSYNSLSGRIPLGRHLQTFEGSSFEGNIDLCGEEINKSCPGENRTAKAEAATEKDEDDSDFFEALYMSMGVGFFVGFWGLLGPILIWKPWRIAYLSFLNKLYQLLV >Vigun04g186900.2.v1.2 pep primary_assembly:ASM411807v1:4:41169008:41170424:1 gene:Vigun04g186900.v1.2 transcript:Vigun04g186900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIISNFPNDILCYILSFLPSQQVVATSVLSKRWNLLWRSVHSFDFDFGYHGRSIDTYEVDYYRFLFSMSSFLVWRDRNQPLHRFRLSCADIFNNFTSKSIKTAVSGFGRVQHIDLNLDSQMVMPSVVFTCKTLVVLRLAHITLSEISFVDLPSLKILHFDFVSVSKDLNFSQLLSGCPNLEDLEVKNMVCESKGTFVRLPKLVRASIDEHLLPLETVKNVEVLFIDWICPRNLDIDFPNLVQLQLIVRFREDWPGVLRVLKHCPKLQTLINPELFVASYKEEDVRPYQQSVPACISSHLKSCCLKGYSGSVDEFQFARYVMENAKYLRTMKICIFGG >Vigun11g214300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40881114:40881257:-1 gene:Vigun11g214300.v1.2 transcript:Vigun11g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQPRRKTSLRKRCFMVAKQQKTRFYIFGRCIAMLLCWHDHAISD >Vigun03g293500.2.v1.2 pep primary_assembly:ASM411807v1:3:47875873:47881253:-1 gene:Vigun03g293500.v1.2 transcript:Vigun03g293500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVSSLCWCYGRNQPGHQDVIFTATEPLDETLGHSFCYVRSSARFLSPTHSDRFLSPSTSLRFSPTQEPRTRPESHETGFKAISGASVSANSSLPTTVIHFDEETLDCVGATTKGNIVNGFESTASFTALPLQPVPRGGEPFQVSGFFLSGPIEANAVSGPLPAAEGGGGGGDVPFSAPLSGLYGKKSRKKKVISGFRKAFNRNATEMKRPWVVPVPEKKEAGEDRVHVVVSEEQGWLYVGIYDGFNGPDAPEFLMGHLYRAVHSELQGLFWELEDEQPLPQESNPVVEGTESKRRKLWELLAEDGLDLSGSDRFAFSVDDALSVNNANAGSAVSRRWLLLSKLKQGLSKQKEGEGRGWNLGNEEKEKEKPSGRKRKVGPVDHRLVLNALSRALQVSEVAYLDMTDKLLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAHYEPKDVDSSVESGSKGGVESGAENIVEESLGLGQSANAQQMRLAALQLSTDHSTSIEEEIIRIKNEHPDDTQCIVNDRVKGRLKVTRAFGAGFLKQPKLNDAVLEMFRNEYIGTAPYISCTPSLRHHRLCQRDQFLILSSDGLYQYLNNEEVVSHVESFIEKFPEGDPAQHLIEEVLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >Vigun03g293500.1.v1.2 pep primary_assembly:ASM411807v1:3:47875873:47881253:-1 gene:Vigun03g293500.v1.2 transcript:Vigun03g293500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVSSLCWCYGRNQPGHQDVIFTATEPLDETLGHSFCYVRSSARFLSPTHSDRFLSPSTSLRFSPTQEPRTRPESHETGFKAISGASVSANSSLPTTVIHFDEETLDCVGATTKGNIVNGFESTASFTALPLQPVPRGGEPFQVSGFFLSGPIEANAVSGPLPAAEGGGGGGDVPFSAPLSGLYGKKSRKKKVISGFRKAFNRNATEMKRPWVVPVPEKKEAAAAEARGGEGNVQWALGKAGEDRVHVVVSEEQGWLYVGIYDGFNGPDAPEFLMGHLYRAVHSELQGLFWELEDEQPLPQESNPVVEGTESKRRKLWELLAEDGLDLSGSDRFAFSVDDALSVNNANAGSAVSRRWLLLSKLKQGLSKQKEGEGRGWNLGNEEKEKEKPSGRKRKVGPVDHRLVLNALSRALQVSEVAYLDMTDKLLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAHYEPKDVDSSVESGSKGGVESGAENIVEESLGLGQSANAQQMRLAALQLSTDHSTSIEEEIIRIKNEHPDDTQCIVNDRVKGRLKVTRAFGAGFLKQPKLNDAVLEMFRNEYIGTAPYISCTPSLRHHRLCQRDQFLILSSDGLYQYLNNEEVVSHVESFIEKFPEGDPAQHLIEEVLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >Vigun03g351500.1.v1.2 pep primary_assembly:ASM411807v1:3:55305467:55312014:1 gene:Vigun03g351500.v1.2 transcript:Vigun03g351500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEVQLQPPPVMQNPSDSDPLLPNQEEEESPGSSGEIKDDEEDVEAGLLPCCRICLESDSDPEDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVELFEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAIGGFAYIMDKDGSFRNSFDDGWDRILSKHPIPFYYCIGVLAFFVLIGFFGLILHCSSLNTNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLRGCYFPPKLDPEHEGRLKMLKLL >Vigun11g109600.1.v1.2 pep primary_assembly:ASM411807v1:11:31088445:31091376:-1 gene:Vigun11g109600.v1.2 transcript:Vigun11g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMWHIPFLLVGRAVCNEQNHRNPLLSHSQPNGFIFVSFSSSLCSLTDQEALGGKLKLKDFFKVSFFISKRSKPSSGSLSSAPIMRRRSADFRRPGKRRLQDVVWWISSSVLILFFIYILAKGSTKIDSAHQFSRRTFRRDRVIEGFNVTDEMLSPESVTRQINDQISLAKAFVVIAKESNNHQFAWELTSQIRISQIFLSNAATRRTPLTIKESEYAIRDMALLLFQAQQLHYDSASMIMRLKGKIQGLEEQMSSASEKSSKYGQIAAEEVPKSLFCLGVRLTSQWFTNLSLQKNLKDQRQVDMKLKDNDLYHFCVFSDNILATSVVINSTSINSKNPDKIVFHLVTDEINYAAMKAWFSLNDFRGVTVEVQRFEDFSWLNASYVPVLKQLQDSEIQNYYFKGNNDDNKTPIKFRNPKYLSMLNHLRFYIPEVFPELKKVVFLDDDVVVQKDLSDLFTIDLNGNVNGAVETCMETFHRYHKYLNYTHPLIRTHFDPDACGWAFGMNVFDLVQWRKRNVTGIYHYWQEKNADRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGMERYKPLWEKYVDYSHPLLQQCNFH >Vigun11g056100.5.v1.2 pep primary_assembly:ASM411807v1:11:10641140:10644215:1 gene:Vigun11g056100.v1.2 transcript:Vigun11g056100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYDVEKLRDEVIYLHSLWHQGPPQPPITTTTTTTHFPQPQYTSHVLRFHYPAPARTGSSLRVPSISFKKKKNEKKQKGRDRDHSARPDPEWPSPVRHDPDPSTCWAKPKTGPAPSSDVPQQERERLAAVQVQKKACKALKEFLSNWDNDDDGDGDGDGDGDGDDDDNDDDGAWEEIQEFFVGLFLEDDELRGYYQRCFESGEFCCLVCGAIGKKNHGKRFKDCVALVQHSMSILRTLKRGVHRGFGMALCKVLGWDADRLPTIVMKGEPLGLETSTPAEAEGEPNLNDVVNDGDGKDGSQPCESDDKAECLEHGDVRVEEPT >Vigun11g056100.3.v1.2 pep primary_assembly:ASM411807v1:11:10641142:10643763:1 gene:Vigun11g056100.v1.2 transcript:Vigun11g056100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYDVEKLRDEVIYLHSLWHQGPPQPPITTTTTTTHFPQPQYTSHVLRFHYPAPARTGSSLRVPSISFKKKKNEKKQKGRDRDHSARPDPEWPSPVRHDPDPSTCWAKPKTGPAPSSDVPQQERERLAAVQVQKKACKALKEFLSNWDNDDDGDGDGDGDGDGDDDDNDDDGAWEEIQEFFVGLFLEDDELRGYYQRCFESGEFCCLVCGAIGKKNHGKRFKDCVALVQHSMSILRTLKRGVHRGFGMALCKVLGWDADRLPTIVMKGEPLGLETSTPAEAEGEPNLNDVVNDGDGKDGSQPCESDDKAECLEHGDVRVEEPT >Vigun11g056100.4.v1.2 pep primary_assembly:ASM411807v1:11:10641140:10644215:1 gene:Vigun11g056100.v1.2 transcript:Vigun11g056100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYDVEKLRDEVIYLHSLWHQGPPQPPITTTTTTTHFPQPQYTSHVLRFHYPAPARTGSSLRVPSISFKKKKNEKKQKGRDRDHSARPDPEWPSPVRHDPDPSTCWAKPKTGPAPSSDVPQQERERLAAVQVQKKACKALKEFLSNWDNDDDGDGDGDGDGDGDDDDNDDDGAWEEIQEFFVGLFLEDDELRGYYQRCFESGEFCCLVCGAIGKKNHGKRFKDCVALVQHSMSILRTLKRGVHRGFGMALCKVLGWDADRLPTIVMKGEPLGLETSTPAEAEGEPNLNDVVNDGDGKDGSQPCESDDKAECLEHGDVRVEEPT >Vigun05g135100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16094926:16096873:1 gene:Vigun05g135100.v1.2 transcript:Vigun05g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSFSNTPTKKNELSSNLFSIFFNFCFSIFSHPLYFSYFIFFSPYLLRLLSFLSPLFITTALLLVALLTFTPNNLVQDKCGSEPSESKWGILLSVLQYFLAWLHSKADEMDEEMGLLGEVEAYLVMFQASIFEVFEPKSEGFEAVEAVECLTEAREDSCPIGDPKPSAKLDENLVPQPKFDFPLEDLPIFSARQSFEQDCPQRKIHEEKQTVLDEDPVEKVDEVEATVPVVEVKSLESLFQENEGLEELCCEKEQKEVRPLITEFNKVEESKEKLALRSGSKVNPMSDGEFGFGASGRVKSLSQRLEAKIGSPESKWVYSGCNDGGFGSMRVEKEWRRTLACKLFEERHNADGSEGMDMLWETYETESNKVVQKSKSKKGKKGEVEKSEDEEEEEEEMEGKLCCLQALKFSTGKMNLGMGRPNLLKFSKAIKGIGWLHHVGKHGRKSSHSN >Vigun01g014600.2.v1.2 pep primary_assembly:ASM411807v1:1:1616366:1618341:-1 gene:Vigun01g014600.v1.2 transcript:Vigun01g014600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTMFMFMPQFVNFRLFPRCHALKTLPFSTHRMGMGMADTLCTHLHQSSGSVENSLSKVKPELDSQCVIQVLNSCHPKQPLLGVRFFVWAGVQSGYRHSAYTYNKACKLLGIRQNPQIIRDVVLSYEAEGCLVNANMFREVLKLCKEAQLAEEALWVLRKLEQSFNIRADTVMYNVVIRLCCKNGDIETAEKLTGEMSLNGLYPDLITYMALVEGFCDAGRPEHAYSLLKVMRVHRCSPNLVLLSAILDGLCRSGSMEMALELLDKMEKGGDCSPNVVTYTSVIQSFCKRGQWAEALDILDRMKASGCHANHVTVFTLVDSLCVEGRVEEAYKLIDKFVVEHGVSYGDCCSSLVISLIRIKKVEEATKLFMEMLSGDARPDTLASSLLLKELCMKAQLAFVKEAT >Vigun01g014600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1616530:1618341:-1 gene:Vigun01g014600.v1.2 transcript:Vigun01g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTMFMFMPQFVNFRLFPRCHALKTLPFSTHRMGMGMADTLCTHLHQSSGSVENSLSKVKPELDSQCVIQVLNSCHPKQPLLGVRFFVWAGVQSGYRHSAYTYNKACKLLGIRQNPQIIRDVVLSYEAEGCLVNANMFREVLKLCKEAQLAEEALWVLRKLEQSFNIRADTVMYNVVIRLCCKNGDIETAEKLTGEMSLNGLYPDLITYMALVEGFCDAGRPEHAYSLLKVMRVHRCSPNLVLLSAILDGLCRSGSMEMALELLDKMEKGGDCSPNVVTYTSVIQSFCKRGQWAEALDILDRMKASGCHANHVTVFTLVDSLCVEGRVEEAYKLIDKFVVEHGVSYGDCCSSLVISLIRIKKVEEATKLFMEMLSGDARPDTLASSLLLKELCMKAQVLDGFYLLEAIENKGCLSAIDSGIYSILLVGLCQRSHLTEATKLAKIMLKKSVSLKPPYKDGAIDILIESGEKDLVNQLTGIRKGL >VigunL046266.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:489060:490728:-1 gene:VigunL046266.v1.2 transcript:VigunL046266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGISMNPIIEIAQDTLF >Vigun06g135250.1.v1.2 pep primary_assembly:ASM411807v1:6:26163116:26166455:-1 gene:Vigun06g135250.v1.2 transcript:Vigun06g135250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGVSVWLLYVFGALLVLRFLLRNLNWFLYEHKLGEKQYYLPPGDMGWPLIGNMCSFFSALRTNNPESFMDSFYTRYGKTGIYKALMFGNPSVMVTTPEACKRVLTDDNKFTVGWPRATVELMGKKSFISISYEEHKHLRRLTSASINGYEALSVYLTYIEEAVIIKEDAHVMENLEKEFTALNYGLRAMPINLPGFGFYTALKAKDMMNALIDVEDENGKKLSGEDITDILLMYLNAGHESSGHIIMWTTYFLQTHPHFFKKAKEEQEEIVRKRPPTQKGLSLAEVRKMEYLSKVIDETLRLISFSLTVFREAKSDVNINGYLVPKGWKVLVWFRSVHLDPEIYPNPKEFNPGRWNELHKAGEFLPFGAGSRLCPGNDLAKLEISVFLHHFVLNYELERLNPLAPMRFLPHPRPIDNCMARINRVK >Vigun09g115900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25403236:25405502:-1 gene:Vigun09g115900.v1.2 transcript:Vigun09g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGFVQKMVGDLCFLDSSPFAKLLDSCVRSKSGIDARRIHGRIIKTQFSSEIFIQNRLVDAYGKCGCFEDARKVFVHMPLRNTFSHNAILSVLTKFGKLDEALNVFKSMPEPDQCSWNAMVSGFAQHDRFEEALKFFVDMHSEDFVVNEYSFGSALSACAGLTDFNVGVQIHALISKSRYLLDVYMGSALVDMYSKCGAVTCAQKAFDEMVVRNIVSWNSLITCYEQNGPAGKALEVFVRMMDNGVEPDEITLASVVSACASLSATREGLQIHARVIKRDKFRNDLVLGNALVDMYAKCKRVREARLVFDRMPVRDVVSETSMVSGYARAASVKAARLMFSKMMERNVVSWNALIAGYTQNGENEEAVRLFLHLKRDSLWPTHYTFGNLLNACANLADLKLGRQAHTHILKHGYWFQSREESDIFVGNSLIDMYMKCGMVEDGCRVFEHMVERDTVSWNAMIVGYAQNGNGTVALEIFRKMLLSGEKPDHVTMIGVLSACSHAGLVEEGRRYFHSMRLEYGLAPLKDHFTCMIDLLGRAGCLDEANDLMQTMPMQPDAVVLGSLLAACKVHGNIKLGKHVAEKLMEIDPLNSGPYVLLSNMYAELGRWKDVVKVRKQMRQQGVVKQPGCSWIEIQSRVHVFMVKDKRHPRKKDIHLVLKILTEQMKRAGYVPEADDDEICEEESDSELVLHCEMESEADTAVA >Vigun01g041948.1.v1.2 pep primary_assembly:ASM411807v1:1:5912481:5912994:-1 gene:Vigun01g041948.v1.2 transcript:Vigun01g041948.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETVLYNCHNSPTPYSFTKCLVFIKKRSATLLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFFFSL >Vigun03g224200.1.v1.2 pep primary_assembly:ASM411807v1:3:37217223:37221883:-1 gene:Vigun03g224200.v1.2 transcript:Vigun03g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTFGGVIGYALAPKKQNSFIRDSLVSLAKSRGIELVRVDSDKPLADQGPFDCVLHKLYGDDWKRQLREFQSRFPNAVILDAPEAIERLHNRISMLQVVSELQIQDRTETFGIPKQIVIYDKATLMDPQAGESLKFPVIAKPLVADGSAKSHKMALVFTRDALDKLKPPIVLQEFVNHGGVIFKVYVVGEHVRCVKRKSLPDVSEEKTVGVSENLLSFSQVSNLANHDAGNDSDGYYRLMHLEDTEMPPNAFVVDIARGLRRALKLNLFNFDVIRDARYGNRYLIIDINYFPGYAKMPGYEAVLTQFFCEVMLKKEQEEQQGESHVPVSTKDNEESFQVESCPSFDAKQGVCSNH >Vigun03g224200.2.v1.2 pep primary_assembly:ASM411807v1:3:37217223:37221883:-1 gene:Vigun03g224200.v1.2 transcript:Vigun03g224200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTFGGVIGYALAPKKQNSFIRDSLVSLAKSRGIELVRVDSDKPLADQGPFDCVLHKLYGDDWKRQLREFQSRFPNAVILDAPEAIERLHNRISMLQVVSELQIQDRTETFGIPKQIVIYDKATLMDPQAGESLKFPVIAKPLVADGSAKSHKMALVFTRDALDKLKPPIVLQEFVNHGGVIFKVYVVGEHVRCVKRKSLPDVSEEKTVGVSENLLSFSQVSNLANHDAGNDSDGYYRLMHLEDTEMPPNAFVVDIARGLRRALKLNLFNFDVIRDARYGNRYLIIDINYFPGYAKMPGYEAVLTQFFCEVMLKKEQEEQQGESHVPVSTKDNEESFQVESCPSFDAKQGVCSNH >Vigun07g287000.1.v1.2 pep primary_assembly:ASM411807v1:7:40000107:40001191:1 gene:Vigun07g287000.v1.2 transcript:Vigun07g287000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSSPASYIHLVQHLIEKCLIFHMTKEECMEALSKHANINPVITSTVWNELEKENKEFFEPYYTKWKRKDERVCEEETTQIIQKMISDSDSSKDAKGH >Vigun05g130700.6.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169142:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.5.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169142:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.2.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169141:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.4.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169116:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELATGLNFRFSFFPNAAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.3.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169116:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELATGLNFRFSFFPNAAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.7.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169142:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.8.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169142:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun05g130700.1.v1.2 pep primary_assembly:ASM411807v1:5:15165688:15169141:-1 gene:Vigun05g130700.v1.2 transcript:Vigun05g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNCKNLFFVLIITCFLFSDVVIATYTITSSQFIKDNETITSTGGNYTLGFFTPHNSTKRYVGIWWQPKFTVIWVANRNQPLNDSSGVVTISEDGNLVVLNGQNQVIWSTNVSNVGSNTTSKLLDSGNLVLQESSSERTIWESFQHPTNALLPNMKISTNKATGEKVKQTSWKSPSDPSTGSFSISVERLSIPELFIWKETRPFWRSGPWNGKTFTGIPFMNTYYLQGLHIGDDGEGNVEFLFKEVEEIGFIIYILNATGNCEERWWNGEKKEWVVTWNSHHFECDVYGVCGPFAVCNYESSPTCSCLKGFEPRNKEEWNRQNWTSGCFRRTPLQCERDSNQNKSADHTEDGFFEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNEGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNIPSLIDPGIYDPKLHRYFYRCIHLGLLCVQEYAADRPNMATVISMLNSEIADLPPPRKPAFILRENMLNSLSSVRGNDLNSLNSVSISDIHGR >Vigun11g081900.3.v1.2 pep primary_assembly:ASM411807v1:11:24277609:24289568:-1 gene:Vigun11g081900.v1.2 transcript:Vigun11g081900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDLGWDFHLRKLSVSGRDSNTANDPASDPSLLPSVKKLHALCKTENSEDLVARVYTSVNKIFQRSAASLSQSRTSNGLLLLAILQFYLDFGEIVLHDADPSLRTFFRSCLSREFADPVVAEATLEFLINNKKKLLTSFPNLLPQFFPLLLKLIAWNGERLEKLFLKAFPALMSPGSFLPLFPSLVDLPILVVALEKVEKSSGPLIGSSIASIQKNTAPKMLLALMDEAYTGSTIEDGVGDSEYEDNSAIDVADPLFLELLKDENDGIAERPWSSPVMTAILQASVNSPYSDKLKAVLSLTPRLLDVYFSVALQTVNNSLICALIPLLMSRYATIFPDKIFSYEVRKRLLEFMLSTFQGSPNFIALLKKPIMDRLGEAYDSPVKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSSRVGMTQEVSLGHDKDTYRRSSQSRLLCFVVTAIAKLATHHRELIPRARVSLGKVARSRISDARVWRRACDFLGLMKDPAICSSILGPSRPTQGTAQKVGSINWSEGSTKMIAHIPFYILGEQEGPPFHDFSFSDIIPKR >Vigun11g081900.2.v1.2 pep primary_assembly:ASM411807v1:11:24277609:24289568:-1 gene:Vigun11g081900.v1.2 transcript:Vigun11g081900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDLGWDFHLRKLSVSGRDSNTANDPASDPSLLPSVKKLHALCKTENSEDLVARVYTSVNKIFQRSAASLSQSRTSNGLLLLAILQFYLDFGEIVLHDADPSLRTFFRSCLSREFADPVVAEATLEFLINNKKKLLTSFPNLLPQFFPLLLKLIAWNGERLEKLFLKAFPALMSPGSFLPLFPSLVDLPILVVALEKVEKSSGPLIGSSIASIQKNTAPKMLLALMDEAYTGSTIEDGVGDSEYEDNSAIDVADPLFLELLKDENDGIAERPWSSPVMTAILQASVNSPYSDKLKAVLSLTPRLLDVYFSVALQTVNNSLICALIPLLMSRYATIFPDKIFSYEVRKRLLEFMLSTFQGSPNFIALLKKPIMDRLGEAYDSPVKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSRRYPLVMIRILTEGHRSPGFYVLL >Vigun11g081900.1.v1.2 pep primary_assembly:ASM411807v1:11:24277609:24289568:-1 gene:Vigun11g081900.v1.2 transcript:Vigun11g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDLGWDFHLRKLSVSGRDSNTANDPASDPSLLPSVKKLHALCKTENSEDLVARVYTSVNKIFQRSAASLSQSRTSNGLLLLAILQFYLDFGEIVLHDADPSLRTFFRSCLSREFADPVVAEATLEFLINNKKKLLTSFPNLLPQFFPLLLKLIAWNGERLEKLFLKAFPALMSPGSFLPLFPSLVDLPILVVALEKVEKSSGPLIGSSIASIQKNTAPKMLLALMDEAYTGSTIEDGVGDSEYEDNSAIDVADPLFLELLKDENDGIAERPWSSPVMTAILQASVNSPYSDKLKAVLSLTPRLLDVYFSVALQTVNNSLICALIPLLMSRYATIFPDKIFSYEVRKRLLEFMLSTFQGSPNFIALLKKPIMDRLGEAYDSPVKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSSRVGMTQEVSLGHDKDTYRRSSQSRLLCFVVTAIAKLATHHRELIPRARVSLGKVARSRISDARVWRRACDFLGLMKDPAICSSILGPSRPTQGTAQKVGSINWSEGSTKMIAHIPFYILGEQEGPPFHDFSFSDIIPKR >Vigun08g077300.2.v1.2 pep primary_assembly:ASM411807v1:8:14293624:14297402:-1 gene:Vigun08g077300.v1.2 transcript:Vigun08g077300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSPNFVEPRHRSSASFSEDTGKRRSQRNKDFKDVEKLLHTPFQEKSITCRPNWKLVLVVVILGTLVTIFHPPAVYNTDHLSNSIRPTFINGWRGGFGGIDSRYASFLNIEWDQISNVLENLKDKDTYQGVGLLNFNDSENDHWKELIPEAEHVILSLDYVSSNITWEVLYPEWIDEEEEYEFPTCPALPRIQVPGKPRLDLIAVKLPCHKSGRWSRDVARLHLQIEAARLAASSKGYHPVRVLLVTDCLPIPNLFTCKDLIQHEGSAWLYEPNLNRLRDKLQLPIGSCELAVPMKAKENFYSERPQREAYATILHSAHLYVCGAITAAQSIRMAGSTRDLVILVDDTITDYHRGGLEAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKVMKTRLFGADPPILYVIHYLGYKPWLCFRDYDCNWNVDILQEFASDVAHARWWKVHDAMPENLRKYCLLRSKQKASLEWDRRQAEKGNYSDGHWKIKIEDLRLKTCFEDFCFWESMLWHWGEKNWTDNSTANNSPPVVQTKSLSSL >Vigun08g077300.1.v1.2 pep primary_assembly:ASM411807v1:8:14293624:14297402:-1 gene:Vigun08g077300.v1.2 transcript:Vigun08g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSPNFVEPRHRSSASFSEDTGKRRSQRNKDFKDVEKLLHTPFQEKSITCRPNWKLVLVVVILGTLVTIFHPPAVYNTDHLSNSIRSRPTFINGWRGGFGGIDSRYASFLNIEWDQISNVLENLKDKDTYQGVGLLNFNDSENDHWKELIPEAEHVILSLDYVSSNITWEVLYPEWIDEEEEYEFPTCPALPRIQVPGKPRLDLIAVKLPCHKSGRWSRDVARLHLQIEAARLAASSKGYHPVRVLLVTDCLPIPNLFTCKDLIQHEGSAWLYEPNLNRLRDKLQLPIGSCELAVPMKAKENFYSERPQREAYATILHSAHLYVCGAITAAQSIRMAGSTRDLVILVDDTITDYHRGGLEAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKVMKTRLFGADPPILYVIHYLGYKPWLCFRDYDCNWNVDILQEFASDVAHARWWKVHDAMPENLRKYCLLRSKQKASLEWDRRQAEKGNYSDGHWKIKIEDLRLKTCFEDFCFWESMLWHWGEKNWTDNSTANNSPPVVQTKSLSSL >Vigun09g029100.1.v1.2 pep primary_assembly:ASM411807v1:9:2411525:2417732:1 gene:Vigun09g029100.v1.2 transcript:Vigun09g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAENLNKKGSGKSSYSKEQIKSKLFSSKLKASSTKHRRKEHKEKSRSHKLRVGTNTSKKRVADSSIKAPLEDSSNKKVIIRKTLHKTDGNSSRMVSSTKLQGRKNPHSSRKEGNGVDEEAKNKKRKRNKKKSRQRDSMDHDDASRLRRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELLRAKKQILKCKLSIRDVIRQLDSLSSVGSIEDSVIAPDGSVYHEHIFCANCKLHEAFPDNDIILCDGTCNRAFHQRCLNPPLDTENIPPGDQGWFCQFCECKIEIIESTNAHLGTQFSLESSWQDVFKEEADMPDGHMALLNPEEEWPSDDPEDDDYNPERKEDSHSINTEGADENASNDSTSSSSLWSLNEECPPADDDLSRQYYSVNSFIDSDESGEIACGRRQRKAVDYKKLYDEMFGKDAPPCEQVSEDEDWGPGKRKRREKESDAVNTLMTLHESENKHPNNESNDRIRKGSSGIQMRRSCFRIPLDAVEKLRQVFAENELPPRSVKEGLSKELGLDPEKVSKWFKNARYSALKTRRYQSEGEQLQSFTSQISKHSTSPNMEKDEFLRSEVPKITLIHSQKDDQSITGQEKNKLCNSLLKRRKKKPSPPLSRENSNKESMEMSDDVNLKKLLKEKKKRVNFVYEGDSEIAELEFERLSKVKSKVDSMKQKLNEVQNCRAKGSTNEPSIIYVPTAQLREKVE >Vigun09g029100.2.v1.2 pep primary_assembly:ASM411807v1:9:2412151:2417711:1 gene:Vigun09g029100.v1.2 transcript:Vigun09g029100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAENLNKKGSGKSSYSKEQIKSKLFSSKLKASSTKHRRKEHKEKSRSHKLRVGTNTSKKRVADSSIKAPLEDSSNKKVIIRKTLHKTDGNSSRMVSSTKLQGRKNPHSSRKEGNGVDEEAKNKKRKRNKKKSRQRDSMDHDDASRLRRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELLRAKKQILKCKLSIRDVIRQLDSLSSVGSIEDSVIAPDGSVYHEHIFCANCKLHEAFPDNDIILCDGTCNRAFHQRCLNPPLDTENIPPGDQGWFCQFCECKIEIIESTNAHLGTQFSLESSWQDVFKEEADMPDGHMALLNPEEEWPSDDPEDDDYNPERKEDSHSINTEGADENASNDSTSSSSLWSLNEECPPADDDLSRQYYSVNSFIDSDESGEIACGRRQRKAVDYKKLYDEMFGKDAPPCEQVSEDEDWGPGKRKRREKESDAVNTLMTLHESENKHPNNESNDRIRKGSSGIQMRRSCFRIPLDAVEKLRQVFAENELPPRSVKEGLSKELGLDPEKVSKWFKNARYSALKTRRYQSEGEQLQSFTSQISKHSTSPNMEKDEFLRSEVPKITLIHSQKDDQSITGQEKNKLCNSLLKRRKKKPSPPLSRENSNKESMEMSDDVNLKKLLKEKKKRVNFVYEGDSEIAELEFERLSKVKSKVDSMKQKLNEVQNCRAKGSTNEPSIIYVPTAQLREKVE >Vigun07g017500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1459081:1459365:1 gene:Vigun07g017500.v1.2 transcript:Vigun07g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIVRQGSFSSSKATRKGVEVPKGYLAVYVGVNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTIPCDEDEFLSLTSRLNELL >Vigun07g269800.1.v1.2 pep primary_assembly:ASM411807v1:7:38537987:38542679:1 gene:Vigun07g269800.v1.2 transcript:Vigun07g269800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGVFGTRCKTCLNLAISRIKLLQNKRDVQLKQMCKEISQFLQAGQEAIARIRVEHIIREQNIWAAYEILELFCEFVLARVPIIENQRECPSELREAIASIIFAAPRCSDVPDLLHIKNLFTTKYGKEFVSAVSELRPDSGVNRTIIEKLSVTAPSGEVKLKVLREIAEEYNIAWDSSKTEAEFRKNHEDLLGGAKQVSAGATLSHTASRNGSNNSTSRTTEPSNKSVPDRQEYKQLEAPSLSNNNFSLNINEIEQSHKNNDVPAGDAKSETRFQSSDVLEKARAAIASADRASAAARAATALVQSSFGSLKLEGK >Vigun03g296900.1.v1.2 pep primary_assembly:ASM411807v1:3:48328019:48332904:-1 gene:Vigun03g296900.v1.2 transcript:Vigun03g296900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHKPDLHIGEENSHLSSEDATSIPLPQNQKQSQTHFSIQVSPSSPHPPPPNSPNTPCHHERFSNNPSEISPRKRIPVMALPCCLFPTPFGAKFPTSKLLPRFRFLMFLPLPSLYFLLSNPSPLDFFSVIACSAALLISLNLALLLFTRLFPAKFSSSSSSSSSSTHPVVWSIGSNPKQVKSTSWGCWVQVYSNGDVYEGEFQKGKCWGSGVYHYHMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYREGLRHGMGIYRFYSGDVYGGEWYNGQCHGFGVHTCNDGSRYVGEFKWGVKHGHGQYHFRNGDIYAGEYFADKMHGFGVYQFQNGHRYEGAWHEGRRQGLGMYTFRNGETQCGHWQNGILDDPKRQNSPTGSPCDVDHAKVSNAVQDAHSAAEKAYSMVKVDDRMNKVVAAANKAANAARVAAVKAVQNRMHHNNSNDDK >Vigun03g321700.2.v1.2 pep primary_assembly:ASM411807v1:3:51716707:51721256:-1 gene:Vigun03g321700.v1.2 transcript:Vigun03g321700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPISICDAEISLQTKKVDAFTASFSESLHSLVAIAQETARCQVQLDEAKAKLRDTEDDLVKALAVKTRKEAKRMALVDAIASTKASVEELNASIQEQRAKKQEYAAIISQQSLALAASEGKLNESVEQEDETQEVISWYNRVLGFHVKGGRGVKFTFKNINMNNPNEEYFFTILHEKDTYSLLSCEPSLSETKELIHELNNTNDLFKFVRVMRTKFQEAVAQGEPACYLSAKPISLGQF >Vigun03g321700.1.v1.2 pep primary_assembly:ASM411807v1:3:51716707:51721256:-1 gene:Vigun03g321700.v1.2 transcript:Vigun03g321700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPISICDAEISLQTKKVDAFTASFSESLHSLVAIAQETARCQVQLDEAKAKLRDTEDDLVKALAVKTRKEAKRMALVDAIASTKASVEELNASIQEQRAKKQEYAAIISQQSLALAASEGKLNESVEQEDETQEVISWYNRVLGFHVKGGRGVKFTFKNINMNNPNEEYFFTILHEKDTYSLLSCEPSLSETKELIHELNNTNDLFKFVRVMRTKFQEAVAQGSLVETAGEHEESAFNSASAPVLSMSSVRSDFLGKENEHQVEPTEGNTKVGRKYVHRRLKAVLSPGSASSVRQSPRLMANKES >Vigun03g321700.3.v1.2 pep primary_assembly:ASM411807v1:3:51716707:51721256:-1 gene:Vigun03g321700.v1.2 transcript:Vigun03g321700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDAIASTKASVEELNASIQEQRAKKQEYAAIISQQSLALAASEGKLNESVEQEDETQEVISWYNRVLGFHVKGGRGVKFTFKNINMNNPNEEYFFTILHEKDTYSLLSCEPSLSETKELIHELNNTNDLFKFVRVMRTKFQEAVAQGSLVETAGEHEESAFNSASAPVLSMSSVRSDFLGKENEHQVEPTEGNTKVGRKYVHRRLKAVLSPGSASSVRQSPRLMANKES >Vigun11g136900.1.v1.2 pep primary_assembly:ASM411807v1:11:34618426:34620484:1 gene:Vigun11g136900.v1.2 transcript:Vigun11g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKKSGGGNGNKENENGTTTVVLKLDFHCDGCASKITRHLRALQGVETVKAESDAGKVTVTGKLDPVKVRDNLAEKMKKKVEIVSPQPKKDKDKDKEKEKEENKDAKTNNKNQDKKNKDKEVVATAVLKMALHCQGCLDRISKTVRKTKGVKEMAIDKEKETVTVKGTMDVKALAENLMEKLRRKVEVVPPKKEKEGDKEGEKDKEGSGKKKNSGGGGGGGGGGDSNEGGIQKIDYSRMEYLPQSAFGLGHGYGYGYGHGHMGGYGYVPVYPEQMHFHLHAPPPQIFSDENPNACSVM >Vigun09g148300.1.v1.2 pep primary_assembly:ASM411807v1:9:31167095:31169078:1 gene:Vigun09g148300.v1.2 transcript:Vigun09g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVSEHDFPNLEIIPQTFSSCFFSAQLCPTDKTTEVSLPPLEGFSGHDWTQQLPYSSKQLCLNEFPDLENLDLDFSLPPLGEDLEEVEQKPLNIVVVPEESHHCGREKGFGDALLAIENGSAICVKKEEVQNIQQMLVPRAGSNSKKKKKKKPCALEFEEIMKHFDVPINEAAKQMNVGLTMLKRRCRELNIMRWPHRKLKSLQLLIENVKELGLADEVSMLEKHKRLLEKLPGLELNAKAKKLRQACFKANYKRRRFMGMTLQA >Vigun05g269000.2.v1.2 pep primary_assembly:ASM411807v1:5:46040867:46043450:-1 gene:Vigun05g269000.v1.2 transcript:Vigun05g269000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDIKESEWIDRIISEGAVPLLEPDNCSNGWATPPGDVFMVRGPEYFKTRIKVPAGDYLLKPLGFDWIKSSVKIGEILNDPNSRVRKVIDNEFPGAEKPFVWAFNVQVPNKDNYSAIAYFTTKERVVEDSLMEKFLKGDNAFRNSRLKLIANIVKGPWIVRKAVGEQAICVIGRALSCKYCTGENFIEVDIDIGSSMVASAIVHLAFGYISTLTVDLAFLIESQDESELPEKVLGAFRFSDLDPASARSVEPSPVVNCDEVQTSLPTRLWKSIGQGFSHILHSGPQEDGSSSDSQHAKIVPDHKDNPTDAKN >Vigun05g269000.1.v1.2 pep primary_assembly:ASM411807v1:5:46040867:46043450:-1 gene:Vigun05g269000.v1.2 transcript:Vigun05g269000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDIKESEWIDRIISEGAVPLLEPDNCSNGWATPPGDVFMVRGPEYFKTRIKVPAGDYLLKPLGFDWIKSSVKIGEILNDPNSRVRKVIDNEFPGAEKPFVWAFNVQVPNKDNYSAIAYFTTKERVVEDSLMEKFLKGDNAFRNSRLKLIANIVKGPWIVRKAVGEQAICVIGRALSCKYCTGENFIEVDIDIGSSMVASAIVHLAFGYISTLTVDLAFLIESQDESELPEKVLGAFRFSDLDPASARSVEPSPVVNCDEVQTSLPTRLWKSIGQGFSHILHSGPQEDGSSSDSQHAKIVPDHKDNPTDAKN >Vigun05g269000.4.v1.2 pep primary_assembly:ASM411807v1:5:46040867:46042630:-1 gene:Vigun05g269000.v1.2 transcript:Vigun05g269000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDIKESEWIDRIISEGAVPLLEPDNCSNGWATPPGDVFMVRGPEYFKTRIKVPAGDYLLKPLGFDWIKSSVKIGEILNDPNSRVRKVIDNEFPGAEKPFVWAFNVQVPNKDNYSAIAYFTTKERVVEDSLMEKFLKGDNAFRNSRLKLIANIVKGPWIVRKAVGEQAICVIGRALSCKYCTGENFIEVDIDIGSSMVASAIVHLAFGYISTLTVDLAFLIESQDESELPEKVLGAFRFSDLDPASARSVEPSPVVNCDEVQTSLPTRLWKSIGQGFSHILHSGPQEDGSSSDSQHAKIVPDHKDNPTDAKN >Vigun03g029500.1.v1.2 pep primary_assembly:ASM411807v1:3:2211626:2220525:1 gene:Vigun03g029500.v1.2 transcript:Vigun03g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLWPPPKVSMELHVKNREKLLTSLRQHLSDSSRPLHGFVLLQGGEEQTRYDTDHLELFRQESFFAYLFGVTEPGFYGAIDIATGDSLLFAPRLPSEYAVWLGKIKELSCFKEHYMVSKTSFSDEIASVLQQHYQGAGKPLLFLLHGLNTDSSNFSKPAEFQGIDKFDKDLTTLHPILTECRVIKSKLEIALIQFANDISSEAHVEVMRKTKVGMKEYQLESIFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKTLEDGDMALFDMGAEYNFYGSDITCSFPINGKFTSDQSLIYNAVLDAHNAVISSMKPGVNWVDMHILAEKVILESLKRGNILLGDVEDMMAARLGAVFMPHGLGHFLGIDTHDPGGYLQGLERRKEPGLKSLRTIRDLREGMVITVEPGCYFIDALLLPAMTSPMTTKFLNQEVINRYKDFGGVRIESDVLVTANGCYNMTKCPREIGEIERVMAGAPWPAKNISTHA >Vigun09g002300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:175875:176288:-1 gene:Vigun09g002300.v1.2 transcript:Vigun09g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLVFILTVTAFAIVLKIRSNKVYSRNYGDGGKKSEGDCCAVCLCEISDGGKKIRTLPGCHHRFHLHCIAPWFHDHSTCPLCRNNITLHDNHHGHPQLFRDSLLLFFRSFSDLFIVLHYIVLPSATNRECFPLLH >Vigun01g164700.1.v1.2 pep primary_assembly:ASM411807v1:1:34643048:34649552:1 gene:Vigun01g164700.v1.2 transcript:Vigun01g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRTTPHKNDEKRKTQLIIHMPKHSQQPRSSPPKRRTDFSVFTRTPSSFSNPASGSSSGEVRLSNVTARSLQGKGMTTKQVSENTMVRCSIYQEGRPVCSSEFFDAGVVEVDSAVRDESLDLGSNRDYCNERNDISKMSESTLLESSVSDSSSLAATPGNVVWARTECQLWWPAEIMEETSALSKPGSDGHVLVHFYGNLPSAWIDPMTDISTFEESFEARSNNPSENFQQALKQALQRKAQLSSCQKLTADSSPQSDMQERPFDNCPSRTTSKTIDDFQERRRGKRERKPKVHFDEVTYPVKSERKLRRLKIMRYLGLAAPIGSPF >Vigun06g235100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34053179:34057354:-1 gene:Vigun06g235100.v1.2 transcript:Vigun06g235100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTKFRLITYSQEIVDGQPIFVSSNCLPTKALKYEPAGHSFHSAALKLRGVQEDKNEAEDKKVADDKEQTYLPSDSYSSKSKKKSGTGDKQQDHYALLGLGHLRYLATEDQIRKSYRETALRFHPDKQAALLLAEETEAAKQAKKDEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPTLGDDNTPLKDVDNFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLTEKARKEDYARIRTLVDNAYKRDPRILRRKEEEKAEKQRKKEAKFLAKKLQEEEAARIAEEEKQRKEEEERQAAEAALQHKKVKEKEKKLLRKERARLRTLSGPIVSQRLLDISDDDVEGLCMSLDIEQMRSLCENMEGIKVLLEQASVLRDAMSSKKEVADEKTNQQNANGSIKANGSASRSNVEKKEKPWSKEEIDLLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEIMKATKTVLLQKPDSSKAFDTFLEKRKPGAQSIESPLSTREELEGVSTPASTNNTEDSHSKSTDNENSASTNGVSSSSEQDVWSAVQERALVQALKAFPKETSQRWERVATAVPGKTVNQCKKKFTMMKENFRNKKSAV >Vigun07g290250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40225136:40225609:1 gene:Vigun07g290250.v1.2 transcript:Vigun07g290250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNSICVLFMVLFAVCLNLHSLVSANSDSKGSIDINVIGISIENDLSPGSAKLFFYADFEDEDVEIRPGNGNAYVKLLGMGNHSGGFDTR >Vigun05g270300.1.v1.2 pep primary_assembly:ASM411807v1:5:46173434:46178061:-1 gene:Vigun05g270300.v1.2 transcript:Vigun05g270300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSSVSGENGDGCSFPVKLGDCLEELVRFSFSSCTHHLNLSSQFCSTLLKDDPTYPSSSHSQSQPDDSLEGVPPYPLYKRLASALLRCIDSGTFCRTGSNLAMGHEFEDSSIQQKQREWQKLILEKGFEIVNVLKGVSFELHVQEPFFSQLTDGLKTIEGRCASGKCNRIKSGNLILFNKSVVFEVQGVRRYPTFFAMLEAESLDKVLPGVESGEEGVKVYRRFYTEEQERENGVLAIIVSKFTPQPYDSLASLFCGLSYEGVQGLLGLMQTTGTISDALPPPISTLLASFNIPCTPNENGLSHGARALAKHACRSASSYWGSLNGNDSNKNRLAKDAINRLIAHCCWLNVHIVPPHGVVFEIRVADGYGARWNEDGSKFIGFLEPYMQDGHSKGWKH >Vigun10g147700.1.v1.2 pep primary_assembly:ASM411807v1:10:36601215:36602592:-1 gene:Vigun10g147700.v1.2 transcript:Vigun10g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHKGPWTPKEDALLTKYIQAHGEGQWKSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPEEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLCKKLKNQGAEDTDTPNNTLESPEQEPANGSKKKKNGGKKKNKQKKNKGDTTNNAEAAKTQVYLPKPIRVKALYLPRTDSNTYTFDSNSASASTNQEKEESPFTKESNLASEFGNVGESDDFGFFSEDHDLVNASDIECHSYFPSDHGSLQQLYEEYYHLLNMDHSQFVEMNSFGESLLV >Vigun01g043100.2.v1.2 pep primary_assembly:ASM411807v1:1:6078768:6085208:-1 gene:Vigun01g043100.v1.2 transcript:Vigun01g043100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLDSIAEMRSSTLSLLCLLSFATLLGVNGAAGVAPSVVPRHDVSSFNKTLFPSNFVFGLGSSAYQAEGASNVDGRGPCIWDTFTQQHPEKIWDRSTGDVGADFYHRYKEDIKIVKEIGLDSYRFSISWTRIFPKGRDVVNPLGVKFYNDVINEIHANGLFPFVTLFHWDFPQALEDEYGGFRSHKVVEDFRKYADFCFKTFGDRVKHWVTLNEPLSYSLNGYNGGTFAPGRCSKYAGNCTAGDSATEPYIVGHNLLLAHESAATLYKTKYQARQKGRIGITIPTHFFLPKSNTAADNKAASRALDFFFGWYAHPVTYGDYPESMRSLVGARLPAFTKAESEGLKNSIDFLGVNYYTTYYAEHAAPATTNRTFYTDMLASLSSK >Vigun01g043100.1.v1.2 pep primary_assembly:ASM411807v1:1:6078768:6085208:-1 gene:Vigun01g043100.v1.2 transcript:Vigun01g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLDSIAEMRSSTLSLLCLLSFATLLGVNGAAGVAPSVVPRHDVSSFNKTLFPSNFVFGLGSSAYQAEGASNVDGRGPCIWDTFTQQHPEKIWDRSTGDVGADFYHRYKEDIKIVKEIGLDSYRFSISWTRIFPKGRDVVNPLGVKFYNDVINEIHANGLFPFVTLFHWDFPQALEDEYGGFRSHKVVEDFRKYADFCFKTFGDRVKHWVTLNEPLSYSLNGYNGGTFAPGRCSKYAGNCTAGDSATEPYIVGHNLLLAHESAATLYKTKYQARQKGRIGITIPTHFFLPKSNTAADNKAASRALDFFFGWYAHPVTYGDYPESMRSLVGARLPAFTKAESEGLKNSIDFLGVNYYTTYYAEHAAPATTNRTFYTDMLASLSTERNGLSVGTPTDLNWLFIYPKGIHHLMLHIKDQYKNPDVYITENGIAESRNDSIPVDEARKDAIRIRYHNGHLRFLLQAIKDGVNVKGYYAWSFSDSFEWDAGYTVRFGLIYVDYKNNLKRYPKFSAFWLQKFLLAS >VigunL060127.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:28660:28923:1 gene:VigunL060127.v1.2 transcript:VigunL060127.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun10g010650.1.v1.2 pep primary_assembly:ASM411807v1:10:1082358:1082713:1 gene:Vigun10g010650.v1.2 transcript:Vigun10g010650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFSHPLSSCSCGSRNHSVSSPGSLSGTPHCHCGEIAVLRVARTAKNCGKLFWGCPHYKRSVGEDFKACNYFKWCTEDNGDERGRAGHS >Vigun07g152700.1.v1.2 pep primary_assembly:ASM411807v1:7:26383185:26385051:-1 gene:Vigun07g152700.v1.2 transcript:Vigun07g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHDLEEESSSPPLVFHVRRSEPELVAPAKPTPRETKLLSDIDTQAGLRTQIPIIQFYRNDPSMAGKDPVEAIRHALAETLVFYYPLAGRIKDGPDGSLVVDCNEEGVMFIEADADVTLHQFGHTLKPPFPCFQQLLYQPPGSEAVTHTPLFIIQVTRLKCGGFILALRFNHAIVDGVGLIQFTITMAGIARGVFQEPPFKPVWRRDLLSARDPPRVTFNHREYEQLTDSNDAVLESFEQRSFFFGPAETAAIRALLPHDLDQHTTTFEVLTSYVWRCRTRALQINPDEDVRMMCIVDARDKFKSSLLTGYYGSCFAFPAAVAAAGELCSEPLEYAVRLIQKARGEVSEEYVHSVADLMVSSGRPLFTVVRSCLVLDTTEAGFRDLDFGWGKALYGGMAVAGAGMFPAVNFHVPSQNAKGEEGILVLVSLPSQVMKIFANELDDNLVQNNTTI >Vigun03g368900.7.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAAQVVDEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.4.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGADEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.6.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLIVFCTFFLTLFSFKSHSFADNPSWVKAGYYYSGNEISASDIKATLFTHLLCAFAFINPTNYNIFINSSEEQKFSTFTKTVKLQNPSVSTLLSIWGGREDSSVFTSMLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.2.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLIVFCTFFLTLFSFKSHSFADNPSWVKAGYYYSGNEISASDIKATLFTHLLCAFAFINPTNYNIFINSSEEQKFSTFTKTVKLQNPSVSTLLSIWGGREDSSVFTSMLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGADEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.3.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAAQVVDEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.1.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLIVFCTFFLTLFSFKSHSFADNPSWVKAGYYYSGNEISASDIKATLFTHLLCAFAFINPTNYNIFINSSEEQKFSTFTKTVKLQNPSVSTLLSIWGGREDSSVFTSMLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAAQVVDEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.5.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLIVFCTFFLTLFSFKSHSFADNPSWVKAGYYYSGNEISASDIKATLFTHLLCAFAFINPTNYNIFINSSEEQKFSTFTKTVKLQNPSVSTLLSIWGGREDSSVFTSMLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAAQVVDEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYAYELWREGRGVEFVDPSLDDTSSPCKIMRCMQVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun03g368900.8.v1.2 pep primary_assembly:ASM411807v1:3:57181632:57185551:-1 gene:Vigun03g368900.v1.2 transcript:Vigun03g368900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSHRKLFIDSSIRTARFYGFHGIDLTGARPSSEVANFGTLLKEWRAAITSEARNSTKPELLLVMAGYYLKASDSLSYPFDSMQENLDWVHFVAYDYYLPKMASATAFHAALYGSSDWDNTDSGIREWRRRGFSSKKLLIGLPYHGYAWKLVTPAANGGVGTPASGPAITMDGSMGYKFIKSYIKSFGNGVVSRYNASFVVNQFTVASTTWVNFDDVEVIRAKVFYARENGLLGYNVFQVGNDENWELSIGAAQVVDEEIKDHHKRRVLIIVLLAVLTLVLLLGIVFCYYNRGAVASVTNLLYKLRYLPEAEKDIDANGSDLTVFSYLTIKAATSNFSKDNRLGEGGFGTVYKGKLRKGQEIAVKRLSETSNQGPEEFKNEITLTARLQHVNLVRLLGYCTKKNEKILIYEYLQNKSLDNFLFDPTKSILLDWNKRVNIIEGVTQGLLYLQEYSNFTIIHRDLKASNVLLDDNMNPKISDFGMARIFRKYDLEANTERIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRTSCYYGLHENITLLEYVALLCVQENSVDRPSMLEVDSLLKNESAVIGTPKVPAFSVQKRGDEEERSHSGINFYSINDVTISQLAPR >Vigun09g220900.1.v1.2 pep primary_assembly:ASM411807v1:9:39462214:39466350:-1 gene:Vigun09g220900.v1.2 transcript:Vigun09g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLEHFVHEKDAESRQEDEVASLSRALELQASIDELGPTGIASKDFGGIKSVKPLAMIRPSSPVDVARVVKAAAASPSLTVAARGNGHSVNGQAMAEKGLVLDMRALEEPFEIVWVEGTAYLDVSGGALWEDVLKRCVSEVGLAPRSWTDYLGLTVGGTLSYAGVSGQTFRYGPQTSNVTELEVVTGKGETLCCSLTQNSELFFGALGGLGQFGIITRARVVLQQAPDMVRWIRVVYSEFEEYAGDAESLVGEVEECFDYVEGFVLVNSDDPANGWPTVPLDPEQVFDPIHIPSTAGPVLYCLELGLHYGNADHRSRARVDMDVDRLLGRLRFVRGLKFQTDVSYMEFLLRVKRVEEHAKANGTWDAPHPWLNLFVSKSHIVDFDREVFKKILKDGVDGPILVYPLLRTKWDNRHSVVVPDSDIFYIVALLRFSPPPPKGPPPELLVAQNNEIIQFCTSRGLDFKQYFPHYHSREDWMKHFGKQWTRFVERKANFDPMAILAPGQRIFSRTPQPRPIT >Vigun04g180800.3.v1.2 pep primary_assembly:ASM411807v1:4:40484715:40493329:1 gene:Vigun04g180800.v1.2 transcript:Vigun04g180800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATHFHFHFHFQSFPSSSYSSSSSSYSFSSSLHIFPLHFPKPKLKFLIRCSHSEAETLPDSAIQRIADKLRSLGIDDQSSSSLPATASGAGEIFVPLPENLPKRRVGHTIDPSWAKQEERVPTLAELSLPNAEIKRLTTVGLRIRQKLRVGKAGITEGIVNGIHERWRNSEVVRIACDDLSRFNMKRTHDLLERKTGGLVVWRSGSKIILYRGPDYKYPYFLSDKVLRDDQSGDALQHHMDENDKGWDKRETHLSENNSVTYTRQSLNAQTAKPALIQGVGTPRKVRFQLPGEAELAKDADYLLTGIGPRFFDWWGYDPLPVDADLLPAVIPGYRKPFRLLPYGVKPKLTDDEMTTMRRLGKHLPCHFALGRNRKLQGLAVAIIKIWERCEIVKIAIKRGVENTDGEVMAEEIKYLTGGTLLARDKEFIVFYRGKDFLPAAVSSAIEQRRSIGMYKLKTGNSLSITDAPDLNYGTIEYDSEVQGMNFQKDTKPGILTEAEKTTKSTSIKLSMALEEKTKAEKLLAELENAESPQEEEMNREGITEEEKYMLRRIGLKMGPFLLLGRRGVFDGTVENMHLHWKYRELVKIICNKCLEDVQQIAQTLAAESGGILIAVERLRKGHAIIVYRGKNYSRPASLRPRTLLNKREALKRSKEAQRRQSLKLHVLKLGRNIDRLKLQMAQDMEANSPQTPVDNQQAIKEQRIELSDSYGAHQVEPGNSINWNSPHEPSVDNRQAIQEQSVGLVDGGGALKSEADNFINWNPTTETSVDNPRAMQGQPVELIDNSEAHQGEPEISANWKLPEEAPVDNQEAIQDQPVELVDGGGALKSEADNFINWNPTIETSVDNPRAMQGQSVELIDNGEAHQGEPEISANWNLPEEAPVDNQEAIQDQPVDLIDGGGAHQDRPVYWPGVIPKNTVLDGVSDSVDGVSINKVMESSVISSKSDADLSALVRDMSSNELPSGSVYLSNKERLLLRKQALTMKKRSVLSVGKSNSVTGIAKAINAHFRKYPLAVVNVKGRAKGTSVQEVVSKLEQATGAVLVSQELHKVILYRGWGEGEQPSTAINVNKLGQEGAAKPSVSPELWEAIRIECGLQ >Vigun06g046600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17134959:17140771:-1 gene:Vigun06g046600.v1.2 transcript:Vigun06g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKRLINPPLPPTSSSFTVYRFHVSASASVSHTPHSLSDAVSSFHRTINDPNSTPSELACNSLINDLRKARQYDVVVSVYRKMASACVSPWHTSLTALIESFVNTHHPSFAVGVLGLMIKCGFRAHVYNINLVLKGFSRSGQFDKAMDLFSQMKKNSDYVAPDIVTYNTLLTGLCKAKRLVEARVLFEAMKVGESKPNLVTYSVLIDCLCKNDQVCEGLVLLEEMEREGLKADVFLYSSLISVFCGKGDVERGIELFDDMKRKKVSPNVVTYSCLMHGLSKIGRWRDASDMLKDMTARGIRPDVVTYSGLADGLCKNGRAEDAIKLLDLMEQKGEEPSTFTYNVVVNGFCKEDRVEDAFRIVEMMVKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLWKLLVSDKFHMKPDVFTFNYLIQGLCKEGHLRDAVRVHSTMVEMGFLGNIVTYNVLIDGYLRVRKLIKALGLWKNAVDSGFSPNSMTYSVFILGLCKMQVLNIAKGLFIKMKDSGIKPTVVEYNALMASLCREDSLEQARSLFQEMRNVNHDVDVVSFNIVIDGTLKAGDTKHAKELLSEMLNMDLIPDAVTFSILINRFSKLGLLDEAMSLYEKMVSSGHVPDGVVFDSLLKGCGLKAETEKIISLLHRMADQDVVLDSKLSSTILACLCHMSRDLDVEKILPNFSQHTEHTTKGTTIKCHEFLMKLNDVHPE >Vigun08g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36447018:36448218:-1 gene:Vigun08g199500.v1.2 transcript:Vigun08g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLFLNASATFRVRFRAQARSTLPVVKPTPLTIPTPHPHWASLQADIEAHLKQAIPIKEPLEVFEPMHRLVFDAPRSTVPALCLAACELVGGQRDQAMDAAAALLLNLANAHAHEELGLREGSNIALLTGDGIVPFGFELLANGPGRGSPERVMRVIIEISRAVGSGGLIDSQHMKKTSEGENMKRVVERGEGGLHACAAACGAVVGGGSEEEIERLRRFGFHVGMMTGMAQRGFHNRDVEEHRNLALNELQFFKDTDLRMISTFLNFY >Vigun06g101900.1.v1.2 pep primary_assembly:ASM411807v1:6:23211314:23213089:-1 gene:Vigun06g101900.v1.2 transcript:Vigun06g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRTSPKQNRMLVLNPVLIRETLNKIDECMVRLQELQYTVAGGTKVVSGVSLSPRTSLRCKQESVRRKHDAARRSPHTNLDAGEEWRQMSLSAMLVDETVGEILQASQFAREIVSAVSKKNATKAPQTPLSQLSNQKLDPENIQFHDRRKKEKQIKVLSDSPQPLRARSRINFKVCPPKAAAEFEKENHNVIKCLANKVSIKNNPLFLSTNLSRQQQFCKTKSPVISRNMGTQHKCLIKCPPEKASPFQGQVKKPSTVSISSSLARPATTNLSLSKKSSPKRWVRSFSPSRVAARLLASSPLKSKKTEKKIDGVTDLRKSSSKGPLTSELCRSFSPSRLTARFVSPLKSKKNAPQSDGIVNGVKQRRPAKFPPPKI >Vigun06g101900.5.v1.2 pep primary_assembly:ASM411807v1:6:23211314:23213089:-1 gene:Vigun06g101900.v1.2 transcript:Vigun06g101900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQELQYTVAGGTKVVSGVSLSPRTSLRCKQESVRRKHDAARRSPHSEEWRQMSLSAMLVDETVGEILQASQFAREIVSAVSKKNATKAPQTPLSQLSNQKLDPENIQFHDRRKKEKQIKVLSDSPQPLRARSRINFKVCPPKAAAEFEKENHNVIKCLANKVSIKNNPLFLSTNLSRQQQFCKTKSPVISRNMGTQHKCLIKCPPEKASPFQGQVKKPSTVSISSSLARPATTNLSLSKKSSPKRWVRSFSPSRVAARLLASSPLKSKKTEKKIDGVTDLRKSSSKGPLTSELCRSFSPSRLTARFVSPLKSKKNAPQSDGIVNGVKQRRPAKFPPPKI >Vigun06g101900.4.v1.2 pep primary_assembly:ASM411807v1:6:23211314:23213089:-1 gene:Vigun06g101900.v1.2 transcript:Vigun06g101900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRTSPKQNRMLVLNPVLIRETLNKIDECMVRLQELQYTVAGGTKVVSGVSLSPRTSLRCKQESVRRKHDAARRSPHSEEWRQMSLSAMLVDETVGEILQASQFAREIVSAVSKKNATKAPQTPLSQLSNQKLDPENIQFHDRRKKEKQIKVLSDSPQPLRARSRINFKVCPPKAAAEFEKENHNVIKCLANKVSIKNNPLFLSTNLSRQQQFCKTKSPVISRNMGTQHKCLIKCPPEKASPFQGQVKKPSTVSISSSLARPATTNLSLSKKSSPKRWVRSFSPSRVAARLLASSPLKSKKTEKKIDGVTDLRKSSSKGPLTSELCRSFSPSRLTARFVSPLKSKKNAPQSDGIVNGVKQRRPAKFPPPKI >Vigun06g114000.2.v1.2 pep primary_assembly:ASM411807v1:6:24220125:24221280:1 gene:Vigun06g114000.v1.2 transcript:Vigun06g114000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAPPVPQIQNTNDHEIESPKKNRIQVSNTKKPLFFYVNLAKRYIQQRDEVVLSALGMAITTVVTIAEILKNNGFATEKSEILHCLLFCVVLCKL >Vigun06g114000.1.v1.2 pep primary_assembly:ASM411807v1:6:24220125:24221280:1 gene:Vigun06g114000.v1.2 transcript:Vigun06g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAPPVPQIQNTNDHEIESPKKNRIQVSNTKKPLFFYVNLAKRYIQQRDEVVLSALGMAITTVVTIAEILKNNGFATEKKVSTSSVSMKDENKGRLVQKAKIEIVLEKSEKFDNLMAPTTNTESKTGANESNKK >Vigun02g169100.1.v1.2 pep primary_assembly:ASM411807v1:2:31232117:31234883:1 gene:Vigun02g169100.v1.2 transcript:Vigun02g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKEVTSQDSSSPAEHFSQVDNTNIHQVKENSVALNLEENSTSSPAENSSGEESLLMDSICSDVSLMNSMWLDETPLMDMLWDSTPKLENEKLPMWEDNCPWLLDCQDFGIHDFGFNCSSEIESNALQTLDMDRKGL >Vigun05g147280.1.v1.2 pep primary_assembly:ASM411807v1:5:20954302:20954632:1 gene:Vigun05g147280.v1.2 transcript:Vigun05g147280.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALILSFGLLLTALRSRKARSWRYGSVAGYLSLSFWDEIGESDNDKDNMLLQLEQECLDIYHRRVEETRKHKADMY >Vigun01g115800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29237968:29240580:-1 gene:Vigun01g115800.v1.2 transcript:Vigun01g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLVAKLVSRAFEEASRVVGLYDNLRDLTKTLSLIKAVLLDAQQKQEHNHELREWLTQIKTVFSDAEDLLDEFECQTLRNKVVKAHGSTKDKVSHFFSTFNPLVFRYQMAQQIKDISNRLDKVAADRHKFSLQTIDVDTRVVHRRDMTHSRVSDSDVIGRKHDKEKIVELLLQQNPNDDDTRISVIPIVGIGGLGKTTLAKFVFNDSRIQEHFPLKMWVCVSDDFDIKQLTIKIINSANDSASADAPSHQMNLNMLDLEQLQNQLKNKLFGQKFLLVLDDVWNEDRVKWVELRNLIQVSAAGSKILVTTRSHSIASMMGTVPSHILEGLSEEDSLSLFVKWAFKEGEEEKHPHLLNIGRQIVIKCKEVPLAVRTLGSLLFLKFEASEWEYVRDNEIWNLPQKRDDILPALKLSYDLMPSYLRQCFALFSLYPKDYVMDSYEITALWGALGLLALPTTNRTREDVANQYLHELLSRSFLQDFEKIGTLYSFRIHDLVHDLALFVAKYECLHVTSNSQNISDNVRHLSFTESSLFENLVTKETAAVRTVLFTIGATVTNNEALLNTCLSKFKCLRVLDLNGSTFKTLPRAITKLKHLRYLDISRNPYIKRLPDSICKLQSLQVFSVNGCMELEALPKGLRKLSNLWGFEFSTKQSILPLSEIANLGSLEVLNIELCNNVESIFGGVKFPALKTLVVSDCRTLKSLLLNSQNFPELESLIVDKCNNLDLELWKGDHEEESPKLKLKLIGFSSLSQLVTLPKWLQEAANSLQCLYVSSCPNIETFSDWLTTLTHLKTLIISYCPKLVSLPDNILHLSELENLRIEGCPDLCKKYAPHVGEFWPKISHIKNIFIDEPEGLEEERE >Vigun08g168200.1.v1.2 pep primary_assembly:ASM411807v1:8:33939692:33940287:-1 gene:Vigun08g168200.v1.2 transcript:Vigun08g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVSMPLVAVARDLPEIFGEAQKMKNGGNRIDKNWLFGDSGFGWGTSGGGGGGGSSGGGGTSSGSMPGHGAMPGGGGGSGTSSGGSPGSGGVSGSGGCNQPSCGGRSGGGGSSVEYHV >Vigun09g071700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7866037:7868711:1 gene:Vigun09g071700.v1.2 transcript:Vigun09g071700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQEVKHLCKFCSKSFPCGRSLGGHMRSHVTNVSSETEKLSSFNGGDRDRDRDRDTGTMGSEGGTSNGGYGLRENPKKTWRFTNSVDDTLLVLDKLCKECGKGFHSWKALFGHMKCHSERVSQNNSSLMEDQDSWTENTNASQKMVSDDSHSDNEATAPNRRRRSKRRRTRYVAPSTSSVSFVSEAEQEQEQEEVAMSLVMLSRDISPWCGFNSVNSLHFESPSLVPTNLDSKAEGKRVVSNGENKVSKPKDIKWEFGNSDASNLNYKGKISSELLGTESANSTFEDNGIDDNRNNKYTSIKAKYMDSELKTGSSKSWVKNKSPEGELSKSSNKRGKFECATCKKIFHSYQALGGHRASHKKIKGCFASRNESSENSTELEPDLSPDPTTESKLLKNEYVEEHEMVTVTTTTITGHECPICLKVFSSGQALGGHKRSHHLPNGSDIATRNCQSQRVVLEGEDPEIRDFFDLNLPASNEEEGNSHGHAEAYKPWWVVGGSSSHKQEALIGLISN >Vigun09g071700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7865816:7868711:1 gene:Vigun09g071700.v1.2 transcript:Vigun09g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQEVKHLCKFCSKSFPCGRSLGGHMRSHVTNVSSETEKLSSFNGGDRDRDRDRDTGTMGSEGGTSNGGYGLRENPKKTWRFTNSVDDTLLVLDKLCKECGKGFHSWKALFGHMKCHSERVSQNNSSLMEDQDSWTENTNASQKMVSDDSHSDNEATAPNRRRRSKRRRTRYVAPSTSSVSFVSEAEQEQEQEEVAMSLVMLSRDISPWCGFNSVNSLHFESPSLVPTNLDSKAEGKRVVSNGENKVSKPKDIKWEFGNSDASNLNYKGKISSELLGTESANSTFEDNGIDDNRNNKYTSIKAKYMDSELKTGSSKSWVKNKSPEGELSKSSNKRGKFECATCKKIFHSYQALGGHRASHKKIKGCFASRNESSENSTELEPDLSPDPTTESKLLKNEYVEEHEMVTVTTTTITGHECPICLKVFSSGQALGGHKRSHHLPNGSDIATRNCQSQRVVLEGEDPEIRDFFDLNLPASNEEEGNSHGHAEAYKPWWVVGGSSSHKQEALIGLISN >Vigun02g123200.2.v1.2 pep primary_assembly:ASM411807v1:2:27539773:27542226:-1 gene:Vigun02g123200.v1.2 transcript:Vigun02g123200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSQPLPLKRQGEHLSDDGASAIKPSRLKIAIPSEDFDKKNSNRRIKDVEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGASNEDLGVVIKRVVFQLHPSFNNPTRVVESPPFELSESGWGEFEIAITLYFHSDVCEKQLDLYHHLKLYPEDESGPQSTKKPVVVESYNEIVFPEPSEGFLARIQNHPVVNVPRLPAALNLPSPVPIDTMSDKERGDTKDHSLSQWFLNFSEADELLKLAAARQQVQAHIVKLRRQLSLVEGLPQLSKPPSGYECT >Vigun02g123200.1.v1.2 pep primary_assembly:ASM411807v1:2:27539830:27542217:-1 gene:Vigun02g123200.v1.2 transcript:Vigun02g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVRVPPISRQGEHLSDDGASAIKPSRLKIAIPSEDFDKKNSNRRIKDVEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGASNEDLGVVIKRVVFQLHPSFNNPTRVVESPPFELSESGWGEFEIAITLYFHSDVCEKQLDLYHHLKLYPEDESGPQSTKKPVVVESYNEIVFPEPSEGFLARIQNHPVVNVPRLPAALNLPSPVPIDTMSDKERGDTKDHSLSQWFLNFSEADELLKLAAARQQVQAHIVKLRRQLSLVEGLPQLSKPPSGYECT >Vigun06g025800.2.v1.2 pep primary_assembly:ASM411807v1:6:11836302:11839122:-1 gene:Vigun06g025800.v1.2 transcript:Vigun06g025800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSAARCARRFVNLTRALSTEARAQKVERIANELLDLNRFERHDFTVLWRLKMGLHRYGAPMAAVVTPSMPAARSPGVEAGAAVAEKTVFDLKLEKYDAAAKIKIIKEVRSFTDLGLKEAKDLVEKVPCVLKKGLTKEEANTIMEKLKELGAAVVLDIKAPKRVVSALMAKKIR >Vigun08g179700.2.v1.2 pep primary_assembly:ASM411807v1:8:34945301:34951320:-1 gene:Vigun08g179700.v1.2 transcript:Vigun08g179700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGIYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIRREVATMKLIKHPNVVRLYEVMGSKTKIYIVLEFVTGGELFDKIVNHGRMSENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLVAGYLPFDDPNLMNLYKKISAAEFTCPPWLSFSARKLITRILDPDPTTRITIPEILDDEWFKKDYKPPVFEENGEINLDDVEAVFKDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLENLFDTEQGFKRETRFTSKSPADEIINKIEEAAKPLGFDVQKKNYKMRLANVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSTSLDDVVWKTEDDMQMRETN >Vigun08g179700.1.v1.2 pep primary_assembly:ASM411807v1:8:34945301:34952213:-1 gene:Vigun08g179700.v1.2 transcript:Vigun08g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGIYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIRREVATMKLIKHPNVVRLYEVMGSKTKIYIVLEFVTGGELFDKIVNHGRMSENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLVAGYLPFDDPNLMNLYKKISAAEFTCPPWLSFSARKLITRILDPDPTTRITIPEILDDEWFKKDYKPPVFEENGEINLDDVEAVFKDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLENLFDTEQGFKRETRFTSKSPADEIINKIEEAAKPLGFDVQKKNYKMRLANVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSTSLDDVVWKTEDDMQMRETN >Vigun08g113700.1.v1.2 pep primary_assembly:ASM411807v1:8:28023085:28026803:1 gene:Vigun08g113700.v1.2 transcript:Vigun08g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQHIPCLEDNYSYLIVDESTKEAAAVDPVEPEKILEAANSHGVTLKLVLTTHHHWDHAGGNEKIKQLVPGIKVYGGSIDNVKGCTDKVENGDKVSLGADVNILALHTPCHTKGHISYYVTGKADEHPAVFTGDTLFIAGCGKFFEGTAEQMYQSLSVTLGSLPKTTRVYCGHEYSVKNLQFALTVEPDNLRTQQKLTWAQNQRQAGQATIPSTIEDELETNPFMRVDLPEIQEKVGCKSPVEALGEIRKRKDNWKG >Vigun06g004500.1.v1.2 pep primary_assembly:ASM411807v1:6:2285997:2302445:-1 gene:Vigun06g004500.v1.2 transcript:Vigun06g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAAELQREAADPAILLHHHQCCAGNGIGDSTSLIPESRRPHLSSLQIPARSVENTLSSFTKTDGSTLISSPGSSRGLPPRPNSARVKSTMKSLLSERSFRAKNGSQDTERTVLIVPDNLPSDCPLAKPSTSKPSTSKPSTSRSLSLNKILFASSSKAAHSLPVTPTANSGAEIVQGRHPGCDSDLSKREVNQHITRSVSVPVNIKAANLRRTDSRRLVRVISARSLLTTAEGISTQNASGSEIVVEDTSEDIPEEDAVCRICLVELAEGGNTLRMECSCKGELALAHQDCAVKWFSIKGNKTCDVCKQEVLNLPVTLLKISNPQTVAPQPMNAAGPQQREVPSYRIWQDLSVLILVSMLAYFCFLEELLVSDMGTHALAISLPFSCVLGLLSSMIASTMVNGSYMWAYACFQFAIVILFAHVFYTILNVNAILSVLLSSFTGFGISISLNTLVTEYVRWRMRRLIQSSTTQQLRRDHAHHHQEHQRLQRPQHEQHQ >Vigun11g066800.1.v1.2 pep primary_assembly:ASM411807v1:11:16905531:16908185:1 gene:Vigun11g066800.v1.2 transcript:Vigun11g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAVSLVSASFSVAKEGKLGMSLRNTTIFGLSLADALKADFSSLSFSCKRELQQKFCPLRVQSVATTPGVTKASPEGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKAAGIPKENYTVMHLDLASLDSVRQFVDNFRQSGRPLDVLVCNAAVYLPTASEPTYTADGFELSVGTNHLGHFLLSRLLLEDLNISDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNTSAMIDGGSFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEDESGKRLAQVVSDPSLTKSGVYWSWNKASASFENQLSQEASDADKARKVWEISEKLVGLA >Vigun10g098000.5.v1.2 pep primary_assembly:ASM411807v1:10:28710337:28715904:1 gene:Vigun10g098000.v1.2 transcript:Vigun10g098000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRERKKGEREEKEYENSSVGLKSKSVRQSIGSIEDEPLTIDESLLIDPKLLFIGSKIGEGAHGRVYEGRYRERIVAIKVLHRGSTLEERVSLENRFAREVNMMSRVHHENLVKFIGACKDPLMVIVTEMLPGLSLRRYLIAIRPKQLELYVAIKFALDIALAMDWLHANGIIHRDLKPDNLLLTENQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPNLPEDISPDLAFVIQSCWVEDPNMRPSFSQIIRMLNKFILTLQPPPFSAQAQPEVDDEPEAVTSNGTITDFSTRNKVKFSFIRHLFSSKRTKS >Vigun10g098000.3.v1.2 pep primary_assembly:ASM411807v1:10:28710205:28715958:1 gene:Vigun10g098000.v1.2 transcript:Vigun10g098000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRERKKGEREEKEYENSSVGLKSKSVRQSIGSIEDEPLTIDESLLIDPKLLFIGSKIGEGAHGRVYEGRYRERIVAIKVLHRGSTLEERVSLENRFAREVNMMSRVHHENLVKFIGACKDPLMVIVTEMLPGLSLRRYLIAIRPKQLELYVAIKFALDIALAMDWLHANGIIHRDLKPDNLLLTENQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPNLPEDISPDLAFVIQSCWVEDPNMRPSFSQIIRMLNKFILTLQPPPFSAQAQPEVDDEPEAVTSNGTITDFSTRNKVKFSFIRHLFSSKRTKS >Vigun10g098000.2.v1.2 pep primary_assembly:ASM411807v1:10:28710205:28715958:1 gene:Vigun10g098000.v1.2 transcript:Vigun10g098000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVHHENLVKFIGACKDPLMVIVTEMLPGLSLRRYLIAIRPKQLELYVAIKFALDIALAMDWLHANGIIHRDLKPDNLLLTENQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPNLPEDISPDLAFVIQSCWVEDPNMRPSFSQIIRMLNKFILTLQPPPFSAQAQPEVDDEPEAVTSNGTITDFSTRNKVKFSFIRHLFSSKRTKS >Vigun10g098000.1.v1.2 pep primary_assembly:ASM411807v1:10:28710290:28715912:1 gene:Vigun10g098000.v1.2 transcript:Vigun10g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRERKKGEREEKEYENSSVGLKSKSVRQSIGSIEDEPLTIDESLLIDPKLLFIGSKIGEGAHGRVYEGRYRERIVAIKVLHRGSTLEERVSLENRFAREVNMMSRVHHENLVKFIGACKDPLMVIVTEMLPGLSLRRYLIAIRPKQLELYVAIKFALDIALAMDWLHANGIIHRDLKPDNLLLTENQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPNLPEDISPDLAFVIQSCWVEDPNMRPSFSQIIRMLNKFILTLQPPPFSAQAQPEVDDEPEAVTSNGTITDFSTRNKVKFSFIRHLFSSKRTKS >Vigun09g253000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42007002:42007859:1 gene:Vigun09g253000.v1.2 transcript:Vigun09g253000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNNDVRGTENFVVIQIRGATEEASFEETPQPPPPPPPPKFNNLSAMKLFQRFRKIFMRLVFSLPSRRSNDSKHKVSDRFEPPKTSCSSYYSSYSHYNEAIADCIEFFNKSAQDGIFDGRKSDVV >Vigun07g269200.2.v1.2 pep primary_assembly:ASM411807v1:7:38472484:38480329:1 gene:Vigun07g269200.v1.2 transcript:Vigun07g269200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSANSNYYKQLSGLRPGWLLANHLNDVSDAQWRNFRGNIPILTLVFGIFTLLAYLMKAFFDLRVRGMSVVWLLFSLAYLSYLHGACIAFILSIATGNFILVKIFAQKEHFPLIVWSYNIFFLLCNRIYEGYSFSIFGQQWAFLDNYRGSFRWHICFNFVVLRMISFGFDYHWTNRDSHFDQEKHYQRCHICKSGKSCYQVLQERSLHNDRFGYITYLSYLVYAPLYIAGPILSFNAFASQVDVPQNAISVRNVTLYGFRWMLSIILMELMTHLCYYNAFAKSDLWKHLSPMDVFIIGYGVLTFMWLKFLLIWRFFRFWSLINGIETPENMPKCINNCHNLEGFWKNWHASFNKWLVRYIYIPLGGSKKKLLNVWVIFTFVAIWHDLEWKLLSWAWLTCIFFIPELVFKSAGKAFQAQSSFGVCIFRELSAVAGAVTITCLMVANLVGFVVGPGGINWLLSSFLHKEGLPVLGGMLVTFYVGTKIMFHIDEAKQRLS >Vigun07g269200.1.v1.2 pep primary_assembly:ASM411807v1:7:38472484:38480329:1 gene:Vigun07g269200.v1.2 transcript:Vigun07g269200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSANSSKCENWKRKELWFLVIYAIVFYVIIINRSLQLSRDYYKQLSGLRPGWLLANHLNDVSDAQWRNFRGNIPILTLVFGIFTLLAYLMKAFFDLRVRGMSVVWLLFSLAYLSYLHGACIAFILSIATGNFILVKIFAQKEHFPLIVWSYNIFFLLCNRIYEGYSFSIFGQQWAFLDNYRGSFRWHICFNFVVLRMISFGFDYHWTNRDSHFDQEKHYQRCHICKSGKSCYQVLQERSLHNDRFGYITYLSYLVYAPLYIAGPILSFNAFASQVDVPQNAISVRNVTLYGFRWMLSIILMELMTHLCYYNAFAKSDLWKHLSPMDVFIIGYGVLTFMWLKFLLIWRFFRFWSLINGIETPENMPKCINNCHNLEGFWKNWHASFNKWLVRYIYIPLGGSKKKLLNVWVIFTFVAIWHDLEWKLLSWAWLTCIFFIPELVFKSAGKAFQAQSSFGVCIFRELSAVAGAVTITCLMVANLVGFVVGPGGINWLLSSFLHKEGLPVLGGMLVTFYVGTKIMFHIDEAKQRLS >Vigun03g130800.1.v1.2 pep primary_assembly:ASM411807v1:3:12719804:12720373:-1 gene:Vigun03g130800.v1.2 transcript:Vigun03g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTFEDQTTSPVTPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYNIVGGAALPDTAEKITIDSILSDGPNGGSVVKLSLKYHSKGDAPPNEDELKAGKAKSDALFKVIELRLTFWPMLEFI >Vigun03g130800.2.v1.2 pep primary_assembly:ASM411807v1:3:12719804:12720373:-1 gene:Vigun03g130800.v1.2 transcript:Vigun03g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTFEDQTTSPVTPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYNIVGGAALPDTAEKITIDSILNAPPNEDELKAGKAKSDALFKVIELRLTFWPMLEFI >Vigun04g167200.1.v1.2 pep primary_assembly:ASM411807v1:4:39194920:39197883:1 gene:Vigun04g167200.v1.2 transcript:Vigun04g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFYSTSAKPFHSDHYCKIVSLIDSCKSMQQIKQTHSQLVTTALISHSVSAHKLLKLAAHVSLSYAHKLFDQIPQPDLFIYNTMIKAHSMLPHSCHNSFSVFRSLIRDSGLFPNRYSFVFAFSACGNGLSMQEGQQVRVHAVKVGLENNIFVLNALIGMYGKWRLVEEGWKVFQWAVDRDLYSWNTMIAAYVGSGDMIRAKELFDGMQEKDVVSWSTIIAGYVQVGCFMEALDLFNEMLQIGPRPNEYTLVSAFAACSNLVALDQGKWIHAYIGRGEVKMNERLLASIIDMYAKCGEIESASRVFFNYKVKQKVWPWNAMIGGFAMHGKPNEAINVFEQMKVEKVSPNKVTFIALLNACSHGYMVEEGKLYFRLMVSDYAITPEIEHYGCMVDLLSRSGFLKEAEDMISSMPMAPDVAIWGALLNACRIYKDIERGHRIGRTIKDMDPNHIGCHVLLSNIYSTSGRWNEARMLREKNEVSNERKKIPGCSSIELKGTFHQFLVGDRSHPESREIYSFLDEMTIKLKSAGYVPELGELLHDIDDEEDKETALSVHSEKLAIAYGLMNTTYGTPIRIVKNLRICGDCHQATKFISKVYDRVIIVRDRTRYHHFKDGICSCKDYW >Vigun04g148700.3.v1.2 pep primary_assembly:ASM411807v1:4:36415558:36444422:-1 gene:Vigun04g148700.v1.2 transcript:Vigun04g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MEQSLLRGESSGLGSRRRGLFRRSDAITHGSNYEKAAALIDLAEDGVGLPEQILDSSNFQNYARFYFVFTKFNLIWSLSYFALIVLNFLEKPLWCKKLATQSCNDREYFYLGQLPYLTDAECLIYEGITLLVIIIHTFFPLSYEGSRIYWKNAFNQFKVFCLLVLVADTLLYVFYLSPLAFDFLPFRIAPYVRVVLFVLNIRELRETITILFGMLDTYLNVLALGLLFLVFASWVAYVFFEDTIQGKTVFTSYGATLYQMFLLFTTSNNPDVWVPAYKSSRWYCLFFVLFVLVGVYFVTNLILAVVYDSFKSELVKQVFEKDLLRRTMLEKAFNLLDTLNIGALNKNQCIRLFEELNKYRTLPKISSEEFELIFDELDDSHDIKINKDEFADICNAIALKFQKEDVMSYFEYLAFYHWPSSKRLKEFVKTPMFGYIVSFILVVNLVAVIIETTLDIENNSGQKLWQIVEFVFGWIYVVEMLLKIYAYGFENYWRDGQNRFDFIITVIIVIGETVTFAVPDDDLPFIANGEWIRYLLLARMLRLIRLLMHVKRYRAFVATFLTLIPSLMPYLGIIFCVLCIYCSLGVQLFGGIVNAGNPELESTALAENDYLVFNFNDYPNGIVTLFNFLVTATWDEVMTSYKELTGTSWTYLYFISFYLVTVLLLLNLVIAFVLEAFFAEMDLESSESSEETDKDVEGDKYRKRSIGTKTRSQRVDALLHHMLSAELCQNQPSNTAT >Vigun04g148700.1.v1.2 pep primary_assembly:ASM411807v1:4:36415516:36444670:-1 gene:Vigun04g148700.v1.2 transcript:Vigun04g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MEQSLLRGESSGLGSRRRGLFRRSDAITHGSNYEKAAALIDLAEDGVGLPEQILDSSNFQNYARFYFVFTKFNLIWSLSYFALIVLNFLEKPLWCKKLATQSCNDREYFYLGQLPYLTDAECLIYEGITLLVIIIHTFFPLSYEGSRIYWKNAFNQFKVFCLLVLVADTLLYVFYLSPLAFDFLPFRIAPYVRVVLFVLNIRELRETITILFGMLDTYLNVLALGLLFLVFASWVAYVFFEDTIQGKTVFTSYGATLYQMFLLFTTSNNPDVWVPAYKSSRWYCLFFVLFVLVGVYFVTNLILAVVYDSFKSELVKQVFEKDLLRRTMLEKAFNLLDTLNIGALNKNQCIRLFEELNKYRTLPKISSEEFELIFDELDDSHDIKINKDEFADICNAIALKFQKEDVMSYFEYLAFYHWPSSKRLKEFVKTPMFGYIVSFILVVNLVAVIIETTLDIENNSGQKLWQIVEFVFGWIYVVEMLLKIYAYGFENYWRDGQNRFDFIITVIIVIGETVTFAVPDDDLPFIANGEWIRYLLLARMLRLIRLLMHVKRYRAFVATFLTLIPSLMPYLGIIFCVLCIYCSLGVQLFGGIVNAGNPELESTALAENDYLVFNFNDYPNGIVTLFNFLVTATWDEVMTSYKELTGTSWTYLYFISFYLVTVLLLLNLVIAFVLEAFFAEMDLESSESSEETDKDVEGDKYRKRSIGTKTRSQRVDALLHHMLSAELCQNQPSNTAT >Vigun04g148700.2.v1.2 pep primary_assembly:ASM411807v1:4:36415531:36444422:-1 gene:Vigun04g148700.v1.2 transcript:Vigun04g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MEQSLLRGESSGLGSRRRGLFRRSDAITHGSNYEKAAALIDLAEDGVGLPEQILDSSNFQNYARFYFVFTKFNLIWSLSYFALIVLNFLEKPLWCKKLATQSCNDREYFYLGQLPYLTDAECLIYEGITLLVIIIHTFFPLSYEGSRIYWKNAFNQFKVFCLLVLVADTLLYVFYLSPLAFDFLPFRIAPYVRVVLFVLNIRELRETITILFGMLDTYLNVLALGLLFLVFASWVAYVFFEDTIQGKTVFTSYGATLYQMFLLFTTSNNPDVWVPAYKSSRWYCLFFVLFVLVGVYFVTNLILAVVYDSFKSELVKQVFEKDLLRRTMLEKAFNLLDTLNIGALNKNQCIRLFEELNKYRTLPKISSEEFELIFDELDDSHDIKINKDEFADICNAIALKFQKEDVMSYFEYLAFYHWPSSKRLKEFVKTPMFGYIVSFILVVNLVAVIIETTLDIENNSGQKLWQIVEFVFGWIYVVEMLLKIYAYGFENYWRDGQNRFDFIITVIIVIGETVTFAVPDDDLPFIANGEWIRYLLLARMLRLIRLLMHVKRYRAFVATFLTLIPSLMPYLGIIFCVLCIYCSLGVQLFGGIVNAGNPELESTALAENDYLVFNFNDYPNGIVTLFNFLVTATWDEVMTSYKELTGTSWTYLYFISFYLVTVLLLLNLVIAFVLEAFFAEMDLESSESSEETDKDVEGDKYRKRSIGTKTRSQRVDALLHHMLSAELCQNQPSNTAT >Vigun10g171500.1.v1.2 pep primary_assembly:ASM411807v1:10:39026579:39028410:-1 gene:Vigun10g171500.v1.2 transcript:Vigun10g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLFCFLLALQIVSLVSAVRKPFLPAQEEVLQSPPPYTTESKKGFMDIGTSEAMQEAYGRGVSKIGSSPPSCEHKCYGCVPCEAIQVPSTSTRRSHLGIQYANYEPESWKCKCGPSFYSP >Vigun08g029600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2699336:2700619:-1 gene:Vigun08g029600.v1.2 transcript:Vigun08g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTATAPSFSFEDPTIPWAKRKRSKRSRDHPSEEEYLALCLIMLARGTTTTAATVTTATNRHTTVSPSQHPTPDPSTKLTYKCSVCDKTFPSYQALGGHKASHRKLAVADDQPTSSGITATSTVSTTASGCRAHECSICHKSFPTGQALGGHKRCHYEGNNSNNNNGNSMVTASEGVGSTHTVSHGHHHRDFDLNIPAFPEFATKVGEDEVESPHPVMKKQRLFVIPKIEIPHFQ >Vigun11g029000.2.v1.2 pep primary_assembly:ASM411807v1:11:3776708:3777221:1 gene:Vigun11g029000.v1.2 transcript:Vigun11g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFSFMIIFLLSIGIENEMKMAEGKICDVKLYNYCDENCYNDCPKMYGSRAIGFCNFDPPVCICRRQC >Vigun05g155050.1.v1.2 pep primary_assembly:ASM411807v1:5:24845999:24848621:-1 gene:Vigun05g155050.v1.2 transcript:Vigun05g155050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRLSKLYESSVAFGNQRKKCCIKNESLGAEEEEEEAIMRARKRASSWKRETPVFSTKMLLKKASRSGRWSGRRFTICGGQKKFVVGWKAFLDARKLFVTIDPKVDNTKFFSLLFADSDWSQHSKVSHDSEGSEGSQVGELSDCAELWCFDHFLFMKRKGACMGNEFPRLLHRMNIKVGDSALKSSLEKNVIVVYLCVSKQELLHTVVREAYEVIGHEVGRSKRQYGRASVGTKKDEIERERTKDTDPITPSADIEDERVQNFEDHFYSDSGQQSHELGTRFKTLVNRSIGVKHKQFKVDTGSGSDEDLAKHVDGVEEPNLPENNMMKLHGRVQFKSRALRSPYTRNDPKKLSLVKLLMW >Vigun03g239200.9.v1.2 pep primary_assembly:ASM411807v1:3:39896968:39905841:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.8.v1.2 pep primary_assembly:ASM411807v1:3:39896968:39905842:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.2.v1.2 pep primary_assembly:ASM411807v1:3:39896968:39905710:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.12.v1.2 pep primary_assembly:ASM411807v1:3:39899155:39905802:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.6.v1.2 pep primary_assembly:ASM411807v1:3:39897765:39905710:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.10.v1.2 pep primary_assembly:ASM411807v1:3:39896968:39905841:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.11.v1.2 pep primary_assembly:ASM411807v1:3:39897536:39905806:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.1.v1.2 pep primary_assembly:ASM411807v1:3:39897784:39905784:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun03g239200.7.v1.2 pep primary_assembly:ASM411807v1:3:39897765:39905710:1 gene:Vigun03g239200.v1.2 transcript:Vigun03g239200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEVGSSSEWSKEQDKAFENALAIHPEDASDRWEKIAADVPGKTLEEIKHHYELLVEDVNQIESGCVPLPSYNYPSEGSASHASDEGAGKKGGSSWNSNNESSHGNKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSAPQGPITGQTNGSAAHSGKSTKPAPPTPSATPSVGIYAAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGLGAPVPGTVVPGAPMNLGPVPYPMPHTSAHR >Vigun07g000500.1.v1.2 pep primary_assembly:ASM411807v1:7:50799:60829:-1 gene:Vigun07g000500.v1.2 transcript:Vigun07g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METALTCFFCGRVPPTPKLGPAATATATPTHHFPCNNASTHLRSSFPPSFRLYRLIPNATNTSQPKVSTNSKTRRRRRRSCETQVVVEDAAAVHHNGDPIGKKDLGKSVTRWIRDSMRAMSSDLAAAEMQGEMELWERMGPGLTFIMQAQPYLNAVPMPIGLEGVCLKACTHYPTLFDHFQRELRAVLQDLQNDNSIQDWRDTKSWKLLKQLANSAQHRAVVRKIAQPKSVQGVLGMDLGKVKAIQHRIDEFTNRMSELLCVERDAELEFTQEELDAVPKPDDVSDSSKPLDFLVSHSQPQQEHCDTICNLNAISTSTGLGGMHLVLFKVEGNHRLPPTALSPGDMVCVRTYDSRGAITTSCIQGFVNSFGDDGCSITIALESRHGDPTFSKLFGKNVRIDRIQGLADTLTYERNCEALMLLQKNGLRKKNPSISVVATLFGDAEDVAWLEKNNFADWAEEKSDRILGSDSFDDSQRRAIALGLNKKRPVLVIQGPPGTGKTGLLKHLIACAVQQGERVLVTAPTNAAVDNMVEKLSNVRLNVVRVGNPARISKTVGSKSLEEIVNAKLASFREEYERKKSDLRKDLRHCLRDDSLAAGIRQLLKQLGRSLKKKEKQIVNEVLSSAQVVLATNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLRTSLLERAATLHEGILTTRLTTQYRMNDAISSWASKEMYGGLLKSSETVSSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSLYNEGEAEIVLQHVFSLIYAGVSPAAIAVQSPYVAQVQLLRDRLDEFPEAAGTEVATIDSFQGREADAVILSMVRSNTLGAVGFLGDSRRINVAITRARKHVALVCDSSTICHNTFLARLLRHIRHFGRVKHAEPGSFGGYGLGMNPILPSIN >Vigun01g179466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36014447:36015256:-1 gene:Vigun01g179466.v1.2 transcript:Vigun01g179466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATNVQPQNERGHVYRFSPVPQSETDRVIHEHQPVSVSVQSSGTTSGSAMPQNYYTEEIFSISSRACELIQDCLGLMLLMMLVFLPLYKRLWTPNENPVAPIFVLNSMYLSNFTTGSGGVSATWDAKFTVTNANVSSIYFRDIHFTIFYKRNPEDAISVASSYPFYLEKGEYVKLHLKFTSEPLVEKWLVEEMGKDKMKDGSLSFGMQVKVEAIYYGETWVADVLMSPHCEDLKVQFLAGKNSGRLGNPNRRFTIPIHWKPFSFF >VigunL004700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:53596:55113:-1 gene:VigunL004700.v1.2 transcript:VigunL004700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun02g040100.1.v1.2 pep primary_assembly:ASM411807v1:2:16519837:16523255:1 gene:Vigun02g040100.v1.2 transcript:Vigun02g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDSAEAIVLNFVNEQNRPVNTQNVADALQKFNLKKAAIQKALDNLADGGRISFKEYGKQKIYLARQDQFQIPNNEELNQMKEQNADTQKQLEDQKKAISEVEAEIKSLQSNLTLEQIFEREVNLRLEVQEMEEKLSKLRGGVTLVKPEERKAVEGMLSEMISHWRKRKRMFKDLWDTLTENSPKDPKEFKEELGIEYDEDVGVSLQSYNDLIQNGKKRPRGQ >Vigun11g183700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38615802:38616651:-1 gene:Vigun11g183700.v1.2 transcript:Vigun11g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPSVKKASLHTIKASSKAVDVPKGYLAVYVGEKMKRFMIPVSFLNQPSFQDLLSQAEEEFGYDHPLGGLTIPCGEDVFLDITSRFNSC >Vigun05g294101.1.v1.2 pep primary_assembly:ASM411807v1:5:47981887:47983905:-1 gene:Vigun05g294101.v1.2 transcript:Vigun05g294101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGGSVCERRGQYPHRTASIPFYDAVELPNMLIMEWL >Vigun05g225500.1.v1.2 pep primary_assembly:ASM411807v1:5:41777732:41779913:-1 gene:Vigun05g225500.v1.2 transcript:Vigun05g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSHFRFLSLCLLALVASTHAQLQFGFYAKSCPKAEQIILKFVHEHIHNAPSLAAALIRMHFHDCFVRGCDGSVLLNSTTNQAEKNAPPNLTVRGFDFIDRIKSLVEAECPGVVSCADILTLAARDTIVATGGPFWKVPTGRRDGVVSILEEARNNIPAPFDNITTLQTLFANQGLDLKDLVLLSGAHTIGVAHCSSLSNRLFNFTGKGDQDPSLDSEYAENLKTFKCNDINKLNTTKIEMDPGSRKTFDLGYYRQVIKRRGLFESDAALLNNAVTKAQIIELLEGSVENFFGEFASSIEKMGRIKVKTGTEGEIRKHCAFVNS >Vigun03g197100.1.v1.2 pep primary_assembly:ASM411807v1:3:28028589:28032197:-1 gene:Vigun03g197100.v1.2 transcript:Vigun03g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASLISGSTDYTRIFSIKDNVMREKKPILAWEEVKLFKTMVPPLVAAFLVLSPISTTPLSSAQAVDIQRGTTLFRQACIGCHDAGGNIIQPGSTLFTKDLQRNGVDTEEAIYRVTYYGKRRMPGFGKECMPRGQCTFGARLEDEDIKILAEFVKLQADQGWPSIDTQEK >Vigun08g214600.2.v1.2 pep primary_assembly:ASM411807v1:8:37506082:37510370:1 gene:Vigun08g214600.v1.2 transcript:Vigun08g214600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKFVDDEWGPSGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERKGSVRMDSVGLENDGIPRRISNIIPNVHDTYHKLLEIAASFQSPPGKPATKISSVARRVRGVLRQVDKPKFVSAFCQSNCGDVSPNVLGAFCIDTGRPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVELFNGASEQIKGKVDFRHAFIDLSQLEVNLSRVGVSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNLIKTPGKEQIDCQHPKPILLDTGEMKLPYDWAPSILPIQILRVGQFVILSVPGEFTTMAGRRLRDAVRTVLSGNKGFGSNIHVVIAGLTNTYSQYVTTYEEYEMQRYEGASTLYGPHTLSAYIQEFTKLARALISGQPVEPGPQPPDLLDKQISLLTPVVMDATPIGVKFGDCSSDVPKNSTFKRGDMVSVTFWSACPRNDLMTEGTFSLVEFLQGKTTWVSAYDDDDFCLRFKWSRPFKLSSHSKATIEWRIPQDATPGVYRIKHFGAAKGLLGSIRHFAGSSSAFVVA >Vigun08g214600.1.v1.2 pep primary_assembly:ASM411807v1:8:37504118:37510370:1 gene:Vigun08g214600.v1.2 transcript:Vigun08g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWTLLLLLLLLLLRSDAVHSDSEYLIGVGSYDITGPAADVNMMGYANAEQIASGVHFRLRARAFIVAQPEGNRVVFVNLDACMASQLVVIKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIVNTVVQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPEAERSKYKYNVDKEMTLLKFVDDEWGPSGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERKGSVRMDSVGLENDGIPRRISNIIPNVHDTYHKLLEIAASFQSPPGKPATKISSVARRVRGVLRQVDKPKFVSAFCQSNCGDVSPNVLGAFCIDTGRPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVELFNGASEQIKGKVDFRHAFIDLSQLEVNLSRVGVSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNLIKTPGKEQIDCQHPKPILLDTGEMKLPYDWAPSILPIQILRVGQFVILSVPGEFTTMAGRRLRDAVRTVLSGNKGFGSNIHVVIAGLTNTYSQYVTTYEEYEMQRYEGASTLYGPHTLSAYIQEFTKLARALISGQPVEPGPQPPDLLDKQISLLTPVVMDATPIGVKFGDCSSDVPKNSTFKRGDMVSVTFWSACPRNDLMTEGTFSLVEFLQGKTTWVSAYDDDDFCLRFKWSRPFKLSSHSKATIEWRIPQDATPGVYRIKHFGAAKGLLGSIRHFAGSSSAFVVA >Vigun10g192000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40624597:40625728:-1 gene:Vigun10g192000.v1.2 transcript:Vigun10g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAATTYAADPTTPNTTFVQADPSNFRAVVQKLTGASDDPAAPKLPLTLPSRLAAQSPLVGPKRPNFKLHERRNAAAKNLDLPVLMVSSNNVSLLRNRTCENLVMASPVSPLEMMLARGSPRTPHEEEEERAIAEKGFYLHPSPLSTPRASQPPELLPLFPLHSPTAAAAATHHHHHLN >Vigun06g123200.1.v1.2 pep primary_assembly:ASM411807v1:6:25063144:25063856:-1 gene:Vigun06g123200.v1.2 transcript:Vigun06g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRAVMVSMVILLITGCEAQGSINCPEVFDDVAPCVSFLKSNTKHPSEDCCQGIKTLNGEAGSQENREAICLCLKQGLASVGDYDPKRIPLVPTECGLSVDLPPIDDKTDCKNVHWI >Vigun10g198100.1.v1.2 pep primary_assembly:ASM411807v1:10:41097844:41098279:1 gene:Vigun10g198100.v1.2 transcript:Vigun10g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAAMDKEHTSVRVLLLVLLLMVWFCVCSGNADDEEQKRKPSFWEWQKLRIAYSAYSGLFFPNGWYNTLKQLLNHAYLRLFPPNIDFRREDKGAAEVNVKNSFSQSDADTKIHKEL >Vigun02g150600.3.v1.2 pep primary_assembly:ASM411807v1:2:29756168:29760417:-1 gene:Vigun02g150600.v1.2 transcript:Vigun02g150600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSVYLKCGVSWPKNFPSNAKQGQKSGSGSHSGRQQHAHGKPPLYYAIKTRQTSTQLHSAMEDVRATSAWVASRSSHVLVDSAGIEKVVSTIESIPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDDLASGLKAALQNDKSAFDADRLQKYTGPQLRELLRWPRPLPLEDERVRLLHEVGSELERNFEGKASNLVQCCGKSAVNLVALVARHFPGFRDHTVYKGRQVFLYKRAQIFAADLWGAFGGQGYGEFKDIGSLTIMADYIVPAVLRQLGVLKYSPTLASTIESSGEIGPGTEEEVELRACSVHAVEKMRELISKKSGRQVLSVELDLWLWAAGVQCTSLQHHRTLSIYY >Vigun11g031900.1.v1.2 pep primary_assembly:ASM411807v1:11:4188367:4189982:1 gene:Vigun11g031900.v1.2 transcript:Vigun11g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKISIEIEVHATAAKWFNLFTTQLHEVQNLTNRVHETKLHRGRDWHHTESIKHWTCTIDGKVTKCQESIESVDAANKRISYKLFGGDIGSKYKVFKLIFQAIDKDGGAIIKWTIEYERIGQEVDPPYGYVEYLHTASGEVDGHLKA >Vigun10g197000.1.v1.2 pep primary_assembly:ASM411807v1:10:41006825:41008403:-1 gene:Vigun10g197000.v1.2 transcript:Vigun10g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAGVVGGNASSASWMQFKGKERKHAKINRSRVCCSYYSSSSVMDPYKTLRIQRGASESEVRKAFRQLALQYHPDVCRGNNCGVQFHEINEAYDIVIARLRGESSATETYESIYDNDNDESLRGMNDPDWDMWEEWMGWEGAGIRDYSSHINPYI >Vigun03g261100.1.v1.2 pep primary_assembly:ASM411807v1:3:42787925:42796677:1 gene:Vigun03g261100.v1.2 transcript:Vigun03g261100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQKDWVIATALTLLLFVSFPAFSYGLVPPRTLLNKLSEGKYLTNQEHWFDQTLDHFSPYDHRQFRQRYYEFLDYFRIPDGPIFLLIGGEGTANGIANDYLAVLAKKFGAALVSLEHRYYGKSSPFNSLETENLKYLSSKQALSDLATFRQYYQESLNAKLNRTKIENPWFIFGGSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGVSAGPECKAVLQEITQLVEQKLASSGSKVKAIFDADDLEIDGDFFYYLADAAVTAFQYGNPDKVCEPLVKAKKDGEDLVDAYAKYVKEYYAGTFGVSVQSYDQKYLKRTDINEDSSARLWWFQVCTEVAYFQVAPSNDSIRSSKVDTKYHLDLCENVFGKGTFPDVDATNLYYGGTKIAGSKIIFTNGSQDPWRHASKQTSSPDMPSYLVSCSNCGHCSDYRGCPQAPFAIEGSEKNCTSPDAVHKVRQKISENMDLWLSECVNTGRSFI >Vigun02g019100.1.v1.2 pep primary_assembly:ASM411807v1:2:6670412:6670967:-1 gene:Vigun02g019100.v1.2 transcript:Vigun02g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVWFKIAFLFAIIFAMEATHGLSFSRIEIEKGGVVDSSQCFTNQDCIPFSPSLSCKGLNAICKDGACVCG >Vigun05g271600.1.v1.2 pep primary_assembly:ASM411807v1:5:46281912:46286738:1 gene:Vigun05g271600.v1.2 transcript:Vigun05g271600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSRSVEDIFEDFKGRRAGIIKALTTDVEDFYSQCDPEKENLCLYALPSEQWEVNLPVEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDTWLLALAFYFGARFGFDKTDRKRLFSMINELPTIFEVVTGGGAKKPVKEKSSVSNNSGNKSKPNSKVRVSETQGRQSKALLQPKDEDEGAEEQEEDEHGETLCGACGENYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRAR >Vigun10g065200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:14209964:14210834:1 gene:Vigun10g065200.v1.2 transcript:Vigun10g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDGDDEMEMDFLRDRYQEMGSRNFEVFLSFRGEDTRASFTSHLYTALQNAGIVVFKDDESLTRGKQISPSLRIAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSKVRH >Vigun11g087400.4.v1.2 pep primary_assembly:ASM411807v1:11:26133955:26135473:1 gene:Vigun11g087400.v1.2 transcript:Vigun11g087400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPTWAELLGHNDWASLLDPLHPSLRTLILRCGDFIQGTYDAFNNDKNSPFCGSSRYGKTSFFRKVMLDDADHYDVTAFLYATARVSVPEAFLLHSLSREAWDRESNWIGYVAVTSDSRSKELGRREIYVVWRGTTRDLEWINVFGASQESASALLNAESVKELKARNKDGSSSSDEEDDSGTPKVMLGWLTIYTSDDPNSPFTKTSARTQVVSHVKSLLEQYKSENPSVLIMGHSLGASLSIVSGFDLVENGVTDVPVTAIVFGSPQVGNRAFNNRLKRMGNLKVLHVTNVIDLIPHYPGRLLGYEHTGVELVIDTRKSPSLKESKNPSDWHNLQAMLHVVAGWNGARGVFELKVKRSLALVNKSCSFLKDECGVPESWWVEKNKGMVKREDGEWVSSAPDEEDVPVPEQI >Vigun03g275400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45153651:45153905:-1 gene:Vigun03g275400.v1.2 transcript:Vigun03g275400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRLKNMALVLFLFFILQQQSVFVVEGRHLRSTLCENHTSGGDGMSDDVVHEEGSRRVEYEVDDFRPTTPGHSPGVGHSINN >VigunL059291.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000576.1:112602:113129:1 gene:VigunL059291.v1.2 transcript:VigunL059291.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRRLSRPRRKPRHRCCTRGLRRLRLLRASRDHHHAPHSNLNLPRHREPATASSSSRLRRASFFSTRPAVRIPHDRTIRARRNSPTSPATPAPTLLHPRE >Vigun06g040900.1.v1.2 pep primary_assembly:ASM411807v1:6:16168622:16171508:-1 gene:Vigun06g040900.v1.2 transcript:Vigun06g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTYKPKNILITGAAGFIASHVCNRIVRNYPDYKIVVLDKLDYCSNLKNLMPSRSSPNFKFIKGDIGSADLVNYILLTESIDTIMHFAAQTHVDNSFGNSFEFTQNNIYGTHVLLEACKVSGGQVKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFLLLAMKGRILPIHGDGSNVRSYLYCEDVAEAFEIILHRGEVGHVYNIGTKKERRVIDVARDICRLFNLDPDTHVKFVENRPFNDQRYFLDDEKLKNLGWCESTTWEEGLRKTLSWYVKNPDWWGDVSGALLPHPRMLTMPGVEKYYDSTEMTKNASNVDASHANQNKMVVPTIRSNVPPRKQSVKFLIYGGAGWIGGLVGTLCEKQGIPFEYGKARLDDGSQSQILADINTSKPTHVFNASGVTGALNLKWFEAHKPEAIRANVVGVLTLAHVCKDQGLPMMNYAFGGNLEDTKNSTGDAFYFRTQAKVEELLKEYDNVCTLRIQLPVSSDLSNPHNFISKITSSDKVANMPNSITVLDELVPISIEMAKRNCRGIWNLTNPGVVTCNEILEMYKDYIDPSFKWVNFTLEEQGQFPSPSTNAMDASQLQKEFPELLPVRDSLLKYVFEPKKKTLGY >Vigun02g195200.1.v1.2 pep primary_assembly:ASM411807v1:2:33099327:33104778:1 gene:Vigun02g195200.v1.2 transcript:Vigun02g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKIGTILITNDDGIDAPGLRALVQSLVSTDLFNIQVCAPDSEKSAVSQSITWLQPVAVKQVNMDGTTAFAVSGTPADCASLGVSKALFPTVPDLVVSGINMGSNCGYHIVYSGTVAGAREAFFNDVPSISISYNWIKGKSNLNDFTLAAQVCLPIISSVLVEAKNPSYPRKCFLNVDVPNDVANHKGYKLTKQGKSIIKMGWRQVTSETEGQKMSSDMTNTDAEIPKNFDPSSISPEHLLFAREVRGSVVDDDDDTDYRRLLEGYITVTPLAAVSNAEVDCQDYFKNWLQSVPELSSSSCL >Vigun02g195200.2.v1.2 pep primary_assembly:ASM411807v1:2:33099327:33104778:1 gene:Vigun02g195200.v1.2 transcript:Vigun02g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKIGTILITNDDGIDAPGLRALVQSLVSTDLFNIQVCAPDSEKSAVSQSITWLQPVAVKQVNMDGTTAFAVSGTPADCASLGVSKALFPTVPDLVVSGINMGSNCGYHIVYSGTVAGAREAFFNDVPSISISYNWIKGKSNLNDFTLAAQVCLPIISSVLVEAKNPSYPRKCFLNVDVPNDVANHKGYKLTKQGKSIIKMGWRQVTSETEGQKMSSDMTNTDAEIPKNFDPSSISPEHLLFAREVRGSVVDDDDDTDYRRLLEGYITVTPLAAVSNAEVDCQDYFKNWLQSVPELSSSSCL >Vigun10g178500.1.v1.2 pep primary_assembly:ASM411807v1:10:39673886:39676675:1 gene:Vigun10g178500.v1.2 transcript:Vigun10g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVFVVAITVAAWQCEGSEHGASFQIFPLRMKTGHGGRYIPEVSCQSWRVGVEAHNVIEWKTVPLDCEGYVGNYMLGDQYRSDSKTVCGEAYSYANTLNITAKDIWVFDVDETTLSNLPYYAHHGFGVEPYNSTLFNKWVDLGEAPALPESLKLYKKLLSLGIKIVFLTGRPLEQKAITVTNLKIAGYHKWEKLIVKDTSIYHGKTAVTYKSSERKKLEEQGYNIIGNIGDQWSDILGTNTGDRTFKLPDPMYYIS >Vigun09g056200.2.v1.2 pep primary_assembly:ASM411807v1:9:5602637:5605089:1 gene:Vigun09g056200.v1.2 transcript:Vigun09g056200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSNNLVGVLNLLAFLLSIPILVTGVWLSKQANTECEWWLERTLIVLGVFLLVVSLAGLVGACCRVSCLLWLYLFVMFLLILLVLCFTVFAFVVTNKGAGQVLSNRGYKEYRLGDYSNWFQNRVNNAHTWKRIRSCLRSSKLCSQFQTQFANDTLQQFYAENLSSLQSGCCKPSDSCNFEYQGPSVWNKVESANYSNPDCNAWDNDPNVLCFNCQTCKAGFLQSLKTDWKKVTTVNVIFLVFLIIVYSVGCCAFRNNLRDNWKH >Vigun09g056200.1.v1.2 pep primary_assembly:ASM411807v1:9:5602805:5605232:1 gene:Vigun09g056200.v1.2 transcript:Vigun09g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSNNLVGVLNLLAFLLSIPILVTGVWLSKQANTECEWWLERTLIVLGVFLLVVSLAGLVGACCRVSCLLWLYLFVMFLLILLVLCFTVFAFVVTNKGAGQVLSNRGYKEYRLGDYSNWFQNRVNNAHTWKRIRSCLRSSKLCSQFQTQFANDTLQQFYAENLSSLQSGCCKPSDSCNFEYQGPSVWNKVESANYSNPDCNAWDNDPNVLCFNCQTCKAGFLQSLKTDWKKVTTVNVIFLVFLIIVYSVGCCAFRNNLRDNWKH >Vigun04g202300.4.v1.2 pep primary_assembly:ASM411807v1:4:42534046:42542772:1 gene:Vigun04g202300.v1.2 transcript:Vigun04g202300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVWEGVMELTKWAQEKKTDPLLWSIQVSAALNSAGVSLPSVDLAHRLVSYICFDNHVPLAWKLLEKAMAVRILPPLLALSLLSTRVLPHRHLHPAAYALYIDLLNRHAFSLSSNIHFPNYPKVMASIHHALRFSHLYSSSSHDPHPGLVLVHLLFTLVSQLLEASLDDEGLLQHKPRWIDVDNMLIDASPNHTKDTLHRKNTAMAIETISRFLHHKVTSRILSLVQRNMPAHWGPFVHQLQRLAANSTVLRSLKQVTPDSLLPLNFNSAPGIKGLSSEWKSTPKLELSAVVAASCAVQSCKDNWSSLWLPIDLILEDAMDGNHVAEASAVEVLTGLVKALQAVNGAAWHCAFLGLWIAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVTNLIEEEEGELIEEAERSPTNQRMDKQALGERRGELVISLQLLGDYEDLLTPPQSVIWGSNQAAAKATLFVSGHSGYLEYTNVNDLPTNCSGNLRHLIVEACIARHLLDTSAYFWPGYVSAPFNQLPHSIPNHLPSWSSLMKGSPLTPQLVNVLVATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHIVFFIVKMLSPPVPPKYSGTESYLINHAPLLNVLLIGISSVDSVQIFSLHGVVPLLAAVLMPICEAFGSSVPNLSWTAVTGEKLTCHAVFSNAFILLLRLWRFNHPPVEHVMGGAATPALGSQLGPEYLLLVRNCMLASFGKSPKDRVSCRRFSKMITFSLEPLFMESFPKLNIWYRQHRECIASTCSTLAPGGPVSQIVEALLSMICKKINRSAQSLTPTTSGSSNSSCSSLDDALMKLKVPAWDILEATPFVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIISYLSAEVTRGIWKPAFMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAIDGNAPATLPLPLAAFLSLTITYKLDKSYERFVVLAGPSLIALSSGCPWPCMPIVGALWAQKVKRWSDFFVFSASATVFHHSRDAVVQLLRSCFTSTLGLGSACIYNNGGVGTLLGHGFGSHFYRGITPVAPGFLYLRVHRSIRDVMFLTEEIVSLLMLSVRDIANSGLPKGEVEKLKKTKYGMRYGQVSLAESMTRVKHAALLGASFLWISGGSGLVQSLITETLPSWFLSAQGLEHEGAEPGVVVAMLRGYALACFAVLGGAFAWGIDSSSPASKRRPKGL >Vigun04g202300.3.v1.2 pep primary_assembly:ASM411807v1:4:42534046:42542772:1 gene:Vigun04g202300.v1.2 transcript:Vigun04g202300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVWEGVMELTKWAQEKKTDPLLWSIQVSAALNSAGVSLPSVDLAHRLVSYICFDNHVPLAWKLLEKAMAVRILPPLLALSLLSTRVLPHRHLHPAAYALYIDLLNRHAFSLSSNIHFPNYPKVMASIHHALRFSHLYSSSSHDPHPGLVLVHLLFTLVSQLLEASLDDEGLLQHKPRWIDVDNMLIDASPNHTKDTLHRKNTAMAIETISRFLHHKVTSRILSLVQRNMPAHWGPFVHQLQRLAANSTVLRSLKQVTPDSLLPLNFNSAPGIKGLSSEWKSTPKLELSAVVAASCAVQSCKDNWSSLWLPIDLILEDAMDGNHVAEASAVEVLTGLVKALQAVNGAAWHCAFLGLWIAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVTNLIEEEEGELIEEAERSPTNQRMDKQALGERRGELVISLQLLGDYEDLLTPPQSVIWGSNQAAAKATLFVSGHSGYLEYTNVNDLPTNCSGNLRHLIVEACIARHLLDTSAYFWPGYVSAPFNQLPHSIPNHLPSWSSLMKGSPLTPQLVNVLVATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHIVFFIVKMLSPPVPPKYSGTESYLINHAPLLNVLLIGISSVDSVQIFSLHGVVPLLAAVLMPICEAFGSSVPNLSWTAVTGEKLTCHAVFSNAFILLLRLWRFNHPPVEHVMGGAATPALGSQLGPEYLLLVRNCMLASFGKSPKDRVSCRRFSKMITFSLEPLFMESFPKLNIWYRQHRECIASTCSTLAPGGPVSQIVEALLSMICKKINRSAQSLTPTTSGSSNSSCSSLDDALMKLKVPAWDILEATPFVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIISYLSAEVTRGIWKPAFMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAIADGNAPATLPLPLAAFLSLTITYKLDKSYERFVVLAGPSLIALSSGCPWPCMPIVGALWAQKVKRWSDFFVFSASATVFHHSRDAVVQLLRSCFTSTLGLGSACIYNNGGVGTLLGHGFGSHFYRGITPVAPGFLYLRVHRSIRDVMFLTEEIVSLLMLSVRDIANSGLPKGEVEKLKKTKYGMRYGQVSLAESMTRVKHAALLGASFLWISGGSGLVQSLITETLPSWFLSAQGLEHEGAEPGVVVAMLRGYALACFAVLGGAFAWGIDSSSPASKRRPKGL >Vigun04g202300.1.v1.2 pep primary_assembly:ASM411807v1:4:42534040:42542872:1 gene:Vigun04g202300.v1.2 transcript:Vigun04g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVWEGVMELTKWAQEKKTDPLLWSIQVSAALNSAGVSLPSVDLAHRLVSYICFDNHVPLAWKLLEKAMAVRILPPLLALSLLSTRVLPHRHLHPAAYALYIDLLNRHAFSLSSNIHFPNYPKVMASIHHALRFSHLYSSSSHDPHPGLVLVHLLFTLVSQLLEASLDDEGLLQHKPRWIDVDNMLIDASPNHTKDTLHRKNTAMAIETISRFLHHKVTSRILSLVQRNMPAHWGPFVHQLQRLAANSTVLRSLKQVTPDSLLPLNFNSAPGIKGLSSEWKSTPKLELSAVVAASCAVQSCKDNWSSLWLPIDLILEDAMDGNHVAEASAVEVLTGLVKALQAVNGAAWHCAFLGLWIAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVTNLIEEEEGELIEEAERSPTNQRMDKQALGERRGELVISLQLLGDYEDLLTPPQSVIWGSNQAAAKATLFVSGHSGYLEYTNVNDLPTNCSGNLRHLIVEACIARHLLDTSAYFWPGYVSAPFNQLPHSIPNHLPSWSSLMKGSPLTPQLVNVLVATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHIVFFIVKMLSPPVPPKYSGTESYLINHAPLLNVLLIGISSVDSVQIFSLHGVVPLLAAVLMPICEAFGSSVPNLSWTAVTGEKLTCHAVFSNAFILLLRLWRFNHPPVEHVMGGAATPALGSQLGPEYLLLVRNCMLASFGKSPKDRVSCRRFSKMITFSLEPLFMESFPKLNIWYRQHRECIASTCSTLAPGGPVSQIVEALLSMICKKINRSAQSLTPTTSGSSNSSCSSLDDALMKLKVPAWDILEATPFVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIISYLSAEVTRGIWKPAFMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAIDGNAPATLPLPLAAFLSLTITYKLDKSYERFVVLAGPSLIALSSGCPWPCMPIVGALWAQKVKRWSDFFVFSASATVFHHSRDAVVQLLRSCFTSTLGLGSACIYNNGGVGTLLGHGFGSHFYRGITPVAPGFLYLRVHRSIRDVMFLTEEIVSLLMLSVRDIANSGLPKGEVEKLKKTKYGMRYGQVSLAESMTRVKHAALLGASFLWISGGSGLVQSLITETLPSWFLSAQGLEHEGAEPGVVVAMLRGYALACFAVLGGAFAWGIDSSSPASKRRPKVLGIHLDFLANALDGKVSLRCDCATWRAYVSGVMSLMVSCTPLWIQELEVGILKRMSNGLRQMDEEDLALRLLEIRGTSVMGEVAEMICQTR >Vigun04g202300.2.v1.2 pep primary_assembly:ASM411807v1:4:42534046:42542772:1 gene:Vigun04g202300.v1.2 transcript:Vigun04g202300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVWEGVMELTKWAQEKKTDPLLWSIQVSAALNSAGVSLPSVDLAHRLVSYICFDNHVPLAWKLLEKAMAVRILPPLLALSLLSTRVLPHRHLHPAAYALYIDLLNRHAFSLSSNIHFPNYPKVMASIHHALRFSHLYSSSSHDPHPGLVLVHLLFTLVSQLLEASLDDEGLLQHKPRWIDVDNMLIDASPNHTKDTLHRKNTAMAIETISRFLHHKVTSRILSLVQRNMPAHWGPFVHQLQRLAANSTVLRSLKQVTPDSLLPLNFNSAPGIKGLSSEWKSTPKLELSAVVAASCAVQSCKDNWSSLWLPIDLILEDAMDGNHVAEASAVEVLTGLVKALQAVNGAAWHCAFLGLWIAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVTNLIEEEEGELIEEAERSPTNQRMDKQALGERRGELVISLQLLGDYEDLLTPPQSVIWGSNQAAAKATLFVSGHSGYLEYTNVNDLPTNCSGNLRHLIVEACIARHLLDTSAYFWPGYVSAPFNQLPHSIPNHLPSWSSLMKGSPLTPQLVNVLVATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHIVFFIVKMLSPPVPPKYSGTESYLINHAPLLNVLLIGISSVDSVQIFSLHGVVPLLAAVLMPICEAFGSSVPNLSWTAVTGEKLTCHAVFSNAFILLLRLWRFNHPPVEHVMGGAATPALGSQLGPEYLLLVRNCMLASFGKSPKDRVSCRRFSKMITFSLEPLFMESFPKLNIWYRQHRECIASTCSTLAPGGPVSQIVEALLSMICKKINRSAQSLTPTTSGSSNSSCSSLDDALMKLKVPAWDILEATPFVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIISYLSAEVTRGIWKPAFMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAIADGNAPATLPLPLAAFLSLTITYKLDKSYERFVVLAGPSLIALSSGCPWPCMPIVGALWAQKVKRWSDFFVFSASATVFHHSRDAVVQLLRSCFTSTLGLGSACIYNNGGVGTLLGHGFGSHFYRGITPVAPGFLYLRVHRSIRDVMFLTEEIVSLLMLSVRDIANSGLPKGEVEKLKKTKYGMRYGQVSLAESMTRVKHAALLGASFLWISGGSGLVQSLITETLPSWFLSAQGLEHEGAEPGVVVAMLRGYALACFAVLGGAFAWGIDSSSPASKRRPKVLGIHLDFLANALDGKVSLRCDCATWRAYVSGVMSLMVSCTPLWIQELEVGILKRMSNGLRQMDEEDLALRLLEIRGTSVMGEVAEMICQTR >Vigun06g039500.1.v1.2 pep primary_assembly:ASM411807v1:6:15855554:15863180:1 gene:Vigun06g039500.v1.2 transcript:Vigun06g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISGNKSVFQQTNDERAKAQGITLLQNSPQQGNKNVESARTQNVANAGLNKAMTTMDEFKSGFPSEGLSATSNKWWGNRDRDDCAGSSANGAKTLLPEEKAGEEKMVHETPKTGNSETPHGSSLLTAVRKRAVEEGREALKLGVFRGYGINKLSKREKILLHQVFGSSLPKPWMET >Vigun04g063800.1.v1.2 pep primary_assembly:ASM411807v1:4:6916221:6917157:1 gene:Vigun04g063800.v1.2 transcript:Vigun04g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITASEVAGLAVGALLFSATLAATKVDAFFSSSQRSSLGMCKRCGNLRRLACSTCKGTGSIREGGLFGMKPVEDLFETIDNSESKVKQIACVKCQAKGYFSCPNCSEL >Vigun07g106400.1.v1.2 pep primary_assembly:ASM411807v1:7:19486335:19505372:-1 gene:Vigun07g106400.v1.2 transcript:Vigun07g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGSKGKTMKWVTLLKDFKEKVGLTQSPSSAALSASPPSSSSSRDNNVFSASQSFSSSPSRDKHELELDFKRFWEEFRSSSSEKEKEAALNLSIDAFCRLVKQHANVAQLVTMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLSAVQVLKFFSEVTKDGISPGANLLTSVEILVSGPIDKQSLLDSGIFCCLIQALNALLDPDATIQRSNSAIDHEENLILQNDYDGEVGKNRRLEVEGSVVHIMKALASHPSAAQSLIEDDSLQLLFQMVAKGSLIVFSRYKEGLVPLHSIQLHRHAMQILSLLLVNDYGSTAKYIRKHHLIKVLLLAVKDFDPDCGDAAYAVGIVDLLLKCVELSYRSEAANVRLREDIHNAHGYQFLVQFALTLSNMTKNQGFQSAHSDTFDEQNIALDGSQNSREQNFNEQEQSSSQYLSPTLSRLLDVLVSLAQTGPNESPRANVGKSSKSTQNRGGGHSKSRTLSSDWLGDELWEKENDKIKDLEAVQMLQDILLKANTWKLQAEVLNRLFKLFSGHIENYSLCQQLRTVPLLILNMAGFPSHLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLKQHKILAPDQQTVNSHQLERKNSSNNFKKHMDNKDGIITSPKLMESGSGKFPIFDVEGTIAIAWDCMVSLLKKAEANQASFRSSGGVTVILPFLVSDVHRSGVLRILSCLIIEDTSQAHPDELGTLIEILKSGMVTSALGSQYRLSLDAKCDTMGALWRILGVNNSAQKVFGDATGFSLLLTTLHGFQSDGGDTDPSSLNVYIKVFTYLLRVVTAGVSDNAVNRMKLHTTISSQTFFDLLSESGLLCVEHEKQVIQLMLELALEIVIPPFLASEGLTKSNAIENESSHNLLLTPSGPINPEKERVYNASAVRVLIRSLLLLTPMVQLKLLDLIENLAHAGPFNQESLTSSGCVELLLDTVQPFLSGSSSLLTYALKIVEVLGSYRLSASELRMLIRYVLQMRMKNSGHFIVEMMEKLILMEDMASENISLAPFVEMDMSKIGHAAIHVSLGERSWPPAAGYSFVCWFQFRNFLKSQSKDTDISKSASSKKRSGSSGLHERHILRIFSVGATNNDTATYAELYLQEDGVLTLATSNSSFLSFSGLELEEGRWHHLAVIHSKPNALAGLFQASFAYVYLNGKLRHTGKLGYSPSPPGKQLQVTIGTSVGNARVSDLTWKLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDTDLTLAANGQRLDSTSKQGDLKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTTTEFIRSSGSFSMLNLVDPMSAAASPIGGIPRFGRLGGDSYICKQGVIGETICPIGGMELVLALVEAAETRDMLHMALTLLACALHQNPQNLKDMQTYRGYHLLALFLRRKMSLFDMQSLEIFFQIAACEASFSEPKKLETIQTTLSPSSSLLETGLEDNFLSKFPDENSSVGSPGDMDDFSAQKDSFSHISELENTDVAAETSNCIVLSNADMVEHVLLDWTLWVTASVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVKFVIMTFDPPGLVSQRPIMRESMGKHVIVRNMLLEMFIDLQVTIRSEELLELWHKLVSSKLITYFLDEAVHPTSMRWVMTLLGVCLTSSPTFAIKFRTGGGYQGLVRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPNDGSLTELKFIELLDSVIAMAKTTFDRVSMQAMLAHQTGNLSQVGASLVAELVEGNSDMAGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMCPPFTAVCRRAEFLESCIDLYFSCVRAAHAVKMAKELSAVTEEKTLNDGDDTCSSQNTFSSLPLDQDQSMKTSISLGSFPQGLVSSSSDDMTAPPNSMAGEKSPNNITVSELESNKSVREDIQTVQSLDADNADQGSVASSAHEFSFHSMKGNLDILQPPDSQSSVSFAALDSPVLSEKSSSKVPHTPSSAPVVALTSWLGSGSHNEAKSPLTATPSFDSAMSATEFDLSPNQKSSSQGTSVNAHFVITSRLLLDTDDSGYGGGPCSAGATAVLDFIAEVLSDFVTEQIKASQLIESILESVHLHVDGESVLVFQGLCLSRFINFLERRLLRDDEEDEKKLDKIRWSSNLDALCSMIVDRVYMGAFPQPSAVLKTLEFLLSMLQLANKDGRIEEAAPSGKRLLSISRGSKQLEAYIHSILKNTNRMILYCFLPSFLVNIGEDDLLLRLGLLNEPKKRLFSTSSQDESGIDISTVLQLLDAHRRIIFCPSNTDTDLNCCLCVNLISLLRDKRQIVQNISIDVFKYLLVHRRAALEDLLVSRPNQGQQLDVLHGGFDKLLTRSLSEFFEWYQNIEQVVNKVLEQCAGIMWVQYIAGSAKFPGVRIKGMEGRRKKEMARKSREAAKLDLRHWEQVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHLQQLVHERGIFPLSKSFFTEEPEWQLCPIEGPYRMRKKLECCKLKIDTIQNILEGQFELEKAEFSRGKIENGPDASDSKPYFQLLTDDSKQNGSDSEQFDEPFFDKLDSVKDGVYGKNEWNDDKASSINEASLHSALEHGAKSSAISVPIEGSTHGRSEMGSPRQSSSMRIDDVKIVDDKSDKELHDNGEYLIRPFLEPFEKIRFKYNCERVMGLDKHDGIFLIGEFCLYVIENFYIDDSGCFCEKEYEDELSVIDQALGVKKDVTGSADFQSKSTSSWNTPVKSLVGGRAWAYSGGAWGKEKVHTGGNLPHPWRMWKFDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVALNLPRNSMLDTTISGSSKQESSEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSNPKTFRRLDKPMGCQTPEGEDEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVILPPWAKGSTREFISKHREALESDYVSENLHHWMDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKLLPHPLKYSGHLGAHEIRKSSSPITQIVTLHDKILIAGTNNVLKPRTYTKYVAWGFPDRSLRFMSYEQDKLLSTHENLHGGNQIQCVSASHDGQILVTGADDGLVNVWRVSKFGSRSLRRLKLEKALCGHTAKITCLQVSQPYMLIVSGSDDCTVIIWDLSSMSFVRQLPEFPAPISAIFVNDLTGEIVTAAGILLAVWSINGDCLALIKTSQLPSDSILSVTSSTFSDWLDTKWYATGHQSGAVKVWQMVHCSNPDSSLSKSGFGGAGVLNLGGKEPEYKLILRKVLKFHKHPVTALHLTTDLKQLLSGDSGGHLLSWTLPEDSLRGSLNRG >Vigun07g106400.2.v1.2 pep primary_assembly:ASM411807v1:7:19486066:19505189:-1 gene:Vigun07g106400.v1.2 transcript:Vigun07g106400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGSKGKTMKWVTLLKDFKEKVGLTQSPSSAALSASPPSSSSSRDNNVFSASQSFSSSPSRDKHELELDFKRFWEEFRSSSSEKEKEAALNLSIDAFCRLVKQHANVAQLVTMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLSAVQVLKFFSEVTKDGISPGANLLTSVEILVSGPIDKQSLLDSGIFCCLIQALNALLDPDATIQRSNSAIDHEENLILQNDYDGEVGKNRRLEVEGSVVHIMKALASHPSAAQSLIEDDSLQLLFQMVAKGSLIVFSRYKEGLVPLHSIQLHRHAMQILSLLLVNDYGSTAKYIRKHHLIKVLLLAVKDFDPDCGDAAYAVGIVDLLLKCVELSYRSEAANVRLREDIHNAHGYQFLVQFALTLSNMTKNQGFQSAHSDTFDEQNIALDGSQNSREQNFNEQEQSSSQYLSPTLSRLLDVLVSLAQTGPNESPRANVGKSSKSTQNRGGGHSKSRTLSSDWLGDELWEKENDKIKDLEAVQMLQDILLKANTWKLQAEVLNRLFKLFSGHIENYSLCQQLRTVPLLILNMAGFPSHLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLKQHKILAPDQQTVNSHQLERKNSSNNFKKHMDNKDGIITSPKLMESGSGKFPIFDVEGTIAIAWDCMVSLLKKAEANQASFRSSGGVTVILPFLVSDVHRSGVLRILSCLIIEDTSQAHPDELGTLIEILKSGMVTSALGSQYRLSLDAKCDTMGALWRILGVNNSAQKVFGDATGFSLLLTTLHGFQSDGGDTDPSSLNVYIKVFTYLLRVVTAGVSDNAVNRMKLHTTISSQTFFDLLSESGLLCVEHEKQVIQLMLELALEIVIPPFLASEGLTKSNAIENESSHNLLLTPSGPINPEKERVYNASAVRVLIRSLLLLTPMVQLKLLDLIENLAHAGPFNQESLTSSGCVELLLDTVQPFLSGSSSLLTYALKIVEVLGSYRLSASELRMLIRYVLQMRMKNSGHFIVEMMEKLILMEDMASENISLAPFVEMDMSKIGHAAIHVSLGERSWPPAAGYSFVCWFQFRNFLKSQSKDTDISKSASSKKRSGSSGLHERHILRIFSVGATNNDTATYAELYLQEDGVLTLATSNSSFLSFSGLELEEGRWHHLAVIHSKPNALAGLFQASFAYVYLNGKLRHTGKLGYSPSPPGKQLQVTIGTSVGNARVSDLTWKLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDTDLTLAANGQRLDSTSKQGDLKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTTTEFIRSSGSFSMLNLVDPMSAAASPIGGIPRFGRLGGDSYICKQGVIGETICPIGGMELVLALVEAAETRDMLHMALTLLACALHQNPQNLKDMQTYRGYHLLALFLRRKMSLFDMQSLEIFFQIAACEASFSEPKKLETIQTTLSPSSSLLETGLEDNFLSKFPDENSSVGSPGDMDDFSAQKDSFSHISELENTDVAAETSNCIVLSNADMVEHVLLDWTLWVTASVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVKFVIMTFDPPGLVSQRPIMRESMGKHVIVRNMLLEMFIDLQVTIRSEELLELWHKLVSSKLITYFLDEAVHPTSMRWVMTLLGVCLTSSPTFAIKFRTGGGYQGLVRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPNDGSLTELKFIELLDSVIAMAKTTFDRVSMQAMLAHQTGNLSQVGASLVAELVEGNSDMAGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMCPPFTAVCRRAEFLESCIDLYFSCVRAAHAVKMAKELSAVTEEKTLNDGDDTCSSQNTFSSLPLDQDQSMKTSISLGSFPQGLVSSSSDDMTAPPNSMAGEKSPNNITVSELESNKSVREDIQTVQSLDADNADQGSVASSAHEFSFHSMKGNLDILQPPDSQSSVSFAALDSPVLSEKSSSKVPHTPSSAPVVALTSWLGSGSHNEAKSPLTATPSFDSAMSATEFDLSPNQKSSSQGTSVNAHFVITSRLLLDTDDSGYGGGPCSAGATAVLDFIAEVLSDFVTEQIKASQLIESILESVHLHVDGESVLVFQGLCLSRFINFLERRLLRDDEEDEKKLDKIRWSSNLDALCSMIVDRVYMGAFPQPSAVLKTLEFLLSMLQLANKDGRIEEAAPSGKRLLSISRGSKQLEAYIHSILKNTNRMILYCFLPSFLVNIGEDDLLLRLGLLNEPKKRLFSTSSQDESGIDISTVLQLLDAHRRIIFCPSNTDTDLNCCLCVNLISLLRDKRQIVQNISIDVFKYLLVHRRAALEDLLVSRPNQGQQLDVLHGGFDKLLTRSLSEFFEWYQNIEQVVNKVLEQCAGIMWVQYIAGSAKFPGVRIKGMEGRRKKEMARKSREAAKLDLRHWEQVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHLQQLVHERGIFPLSKSFFTEEPEWQLCPIEGPYRMRKKLECCKLKIDTIQNILEGQFELEKAEFSRGKIENGPDASDSKPYFQLLTDDSKQNGSDSEQFDEPFFDKLDSVKDGVYGKNEWNDDKASSINEASLHSALEHGAKSSAISVPIEGSTHGRSEMGSPRQSSSMRIDDVKIVDDKSDKELHDNGEYLIRPFLEPFEKIRFKYNCERVMGLDKHDGIFLIGEFCLYVIENFYIDDSGCFCEKEYEDELSVIDQALGVKKDVTGSADFQSKSTSSWNTPVKSLVGGRAWAYSGGAWGKEKVHTGGNLPHPWRMWKFDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVALNLPRNSMLDTTISGSSKQESSEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSNPKTFRRLDKPMGCQTPEGEDEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVILPPWAKGSTREFISKHREALESDYVSENLHHWMDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKLLPHPLKYSGHLGAHEIRKSSSPITQIVTLHDKILIAGTNNVLKPRTYTKYVAWGFPDRSLRFMSYEQDKLLSTHENLHGGNQIQCVSASHDGQILVTGADDGLVNVWRVSKFGSRSLRRLKLEKALCGHTAKITCLQVSQPYMLIVSGSDDCTVIIWDLSSMSFVRQLPEFPAPISAIFVNDLTGEIVTAAGILLAVWSINGDCLALIKTSQLPSDSILSVTSSTFSDWLDTKWYATGHQSGAVKVWQMVHCSNPDSSLSKSGFGGAGVLNLGGKEPEYKLILRKVLKFHKHPVTALHLTTDLKQLLSGDSGGHLLSWTLPEDSLRGSLNRG >Vigun11g035500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4789205:4789675:-1 gene:Vigun11g035500.v1.2 transcript:Vigun11g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDPATSTNSTTETLSEEEPKRSPDGLFLFTVHMLIVNKLGHGTPLTLHCKSKNDDLQVHVIQYDQSFRWKFRPNFWGTTLFYCYFWWSGGRGAYDIYKDRRDHDRCTHNCDWYVTKEGVEGYTEENKNYGKKRRRDILFKWDETDKLTKGGEV >Vigun11g216200.2.v1.2 pep primary_assembly:ASM411807v1:11:40991467:40998743:-1 gene:Vigun11g216200.v1.2 transcript:Vigun11g216200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRIALQRKGAAAMIAAEEYARRFESGDVVNTPGNVTGEEQGQANRSYCRICKCGENEGSEKAQKMLSCKSCSKKYHRNCLRSWGRNRDLFHWSSWTCPLCRICEACRRTGDPSKFMFCKRCDGAYHCYCLQPPHKSVCNGPYLCTKHARCHSCGSNVPGNGLSVRWFMAYTNCDACGRLFTKGNYCPVCLKVYRDSESTPMVCCDTCQLWVHCQCDNISDEKYHQFQVDGNLQYKCPTCRGECYQVKNPEDAAQEIWRRRNVAERDLIASLRAAAGLPTQDEIFSISPFSDDEDSGPLKLKSEYTRSFKFSLKNLANDSPKKKSSSKKTAKKKDSESFMTNKVDTHNSFDGHSDIKSVHSLDDDKNDDIQSQRNDGPDVYSSPATGSLSQTEASFPISQPGVLKHKFVDEVMVSDEERKPRVVRIKSNKPNILDSEEESGKQSVKTQNVKGKKLVINLGARKINVASSPRSDTSSCQKDQDLVTVNGNEDISQSKKGDRFALDKQDGTARHIDGKGNRVDSGQSKLFTVSGREGNLIKLGKVKPDVSEFNPTAGRGNMSDGRIKHSIDGMIGQVGIKATSRGERTNLGKQSEGSSDANDEVDDNNNRTPSHSLPKDSKPLLRFKFKKPSIETQNSPHREEEKTTIKGQRSKRKRPSPFKTSFNESEGVSQSHQDNAMDEIMDANWILMKLGNDAIGKRVEVHQTSDNSWHKGVVTDVVEGTSKLYVALDDGKVKTLELRKQGVRFVPQKQKRSKT >Vigun11g216200.1.v1.2 pep primary_assembly:ASM411807v1:11:40991467:40998743:-1 gene:Vigun11g216200.v1.2 transcript:Vigun11g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCALGFPRGLHASDDGSAANGFAQDVGALRDFLADTCRDDATVQVPVPKVLPPPPPPPDGIPLNADALDESASMKAKRIALQRKGAAAMIAAEEYARRFESGDVVNTPGNVTGEEQGQANRSYCRICKCGENEGSEKAQKMLSCKSCSKKYHRNCLRSWGRNRDLFHWSSWTCPLCRICEACRRTGDPSKFMFCKRCDGAYHCYCLQPPHKSVCNGPYLCTKHARCHSCGSNVPGNGLSVRWFMAYTNCDACGRLFTKGNYCPVCLKVYRDSESTPMVCCDTCQLWVHCQCDNISDEKYHQFQVDGNLQYKCPTCRGECYQVKNPEDAAQEIWRRRNVAERDLIASLRAAAGLPTQDEIFSISPFSDDEDSGPLKLKSEYTRSFKFSLKNLANDSPKKKSSSKKTAKKKDSESFMTNKVDTHNSFDGHSDIKSVHSLDDDKNDDIQSQRNDGPDVYSSPATGSLSQTEASFPISQPGVLKHKFVDEVMVSDEERKPRVVRIKSNKPNILDSEEESGKQSVKTQNVKGKKLVINLGARKINVASSPRSDTSSCQKDQDLVTVNGNEDISQSKKGDRFALDKQDGTARHIDGKGNRVDSGQSKLFTVSGREGNLIKLGKVKPDVSEFNPTAGRGNMSDGRIKHSIDGMIGQVGIKATSRGERTNLGKQSEGSSDANDEVDDNNNRTPSHSLPKDSKPLLRFKFKKPSIETQNSPHREEEKTTIKGQRSKRKRPSPFKTSFNESEGVSQSHQDNAMDEIMDANWILMKLGNDAIGKRVEVHQTSDNSWHKGVVTDVVEGTSKLYVALDDGKVKTLELRKQGVRFVPQKQKRSKT >Vigun05g166000.1.v1.2 pep primary_assembly:ASM411807v1:5:27502858:27504972:-1 gene:Vigun05g166000.v1.2 transcript:Vigun05g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKKEVAGCALVKIMASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYTCNEMPTQDGRRIGEGGGASSLAHSPGYYYPSPWNNDPLADGYHRGDNNM >Vigun05g166000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27502858:27504972:-1 gene:Vigun05g166000.v1.2 transcript:Vigun05g166000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYTCNEMPTQDGRRIGEGGGASSLAHSPGYYYPSPWNNDPLADGYHRGDNNM >Vigun09g056100.1.v1.2 pep primary_assembly:ASM411807v1:9:5593838:5599345:-1 gene:Vigun09g056100.v1.2 transcript:Vigun09g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGDQIVLSLRPGGGRGGGSRLFPLSTSSSSPFPPSADLPLSRPHESFSLKTGDSRFEVRERVQYTRDQLLQLHKAVEVLDDILKIKQDIVAELFGENQSWGGRAESNLPHQLPNRHSELDNRDWRGRSGQLPASQDEKSWENLRDNSSQINRQYHLNSQFARTQISSTQGGGPTPTLAKAEVPWSARRGSLSEKDRVLKTVKGILNKLTPEKFDVLKGQLIDSGITSADILKDVISLIFDKAVLEPTFCPMYAQLCYDLNEKLPPFPSGEPDGKEITFKRVLLNNCQEAFEGVDLKRMAAPEQETDHMDKERLLKLRTLGNIRLIGELLKQKMVPERIVHHIVQELLGPQDSMSCPVEENVEAICQFFNTIGKQLDESPKSRRINDIYFNRLKELSSNLQLIPRIRFMIRDVLELRSNKWVPRREEIKAKTITEIHSEAEKNLGLRPGATASMRNNRGGVQGNFGPGGFPIARPGTGGLMPGMPGARKMPGMPGFDNNNWEMPKTRSMPRGDFSGVQAAGSSSPLLSKTTVLNSKLLPQGSSGTISGRNSALVHGAATVSAPPANISLAHETTPQLSSHDRTVAPVPVSSEKPQAPAGGLNTNDLRRRTISLLEEYFSVRILEEALQCVEELKSPSYHPEVVKEAINLALDKSPPCVEPVANLMEYLYIKKILTAIDIEAGFLLFGSLLDDIGIDLPKAPSNFGVIIGKLILIGGLDFKVVREILKKVEDDMFQRAIFDSAVGTIKSAASGQSVLDLQTSDIEACQSLLK >Vigun09g001900.1.v1.2 pep primary_assembly:ASM411807v1:9:153803:157303:-1 gene:Vigun09g001900.v1.2 transcript:Vigun09g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQIKKALPAISDALTKTKTKTKLLLALGATLLVALAVVAGVSSRKNSGDNASSSTSSHAIIKSACSSTLYPELCLSSIASEPGLADKVSSHKNVIEQSLNITAGIVERNYFTVQKLLNEKGLTKRQKTALHDCLETIDETLDELHKAIDELKDYPSKKPLSHHADDLKTLISSAITNQETCLDGFSHDLADKKLREHLQAGQVHAEHLCSNALAMTKNLTDTDMAMAMHNDDKNEHRKTMEDPHEIMWPRWISAADRRLLQTGTVNPDVVVAADGSGNFRTVSAAVAAAPERSSKRYVIRIKAGVYRENVDVPKKKTNIMFLGDGRTNTIITASRNVVDGSTTFNSATVAVVGEGFLARDITFQNTAGPSKHQAVALRVGADLSAFYRCDFLAYQDTLYVHSNRQFFISCYIAGSVDFIFGNSAAVIQNSDIHARRPNRGQKNMVTAQGRVDPNQNTGIVIQKSRIGATKDLESVKSSFPTFLGRPWKEYSRTVIMQSTISDVIDPAGWHEWNGNFALNTLFYGEYQNTGPGAATSRRVTWRGFKVITSAAEAQRFTPANFIAGSSWLSSTGFPFSLGL >Vigun03g266800.1.v1.2 pep primary_assembly:ASM411807v1:3:43719926:43723932:-1 gene:Vigun03g266800.v1.2 transcript:Vigun03g266800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNPPFKIILGSSSKARREILSEMGYEFTIMTADIDEKGIRREKPEDLVMALAEAKADAIVQRLPNGGRSEEDDCTTLLMLITADTVVVYRGTIREKPTSEKEAREFIKGYSGSHAAVVGSVVVTNLSTGKRCGGWDSAEVYFLEIPDEVIDSLIDEGITFNVAGGLMLEHPLTLPFVDAVVGSTDTVMGLSKALTEKLFLEAQ >Vigun03g266800.2.v1.2 pep primary_assembly:ASM411807v1:3:43719926:43723932:-1 gene:Vigun03g266800.v1.2 transcript:Vigun03g266800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNPPFKIILGSSSKARREILSEMGYEFTIMTADIDEKGIRREKPEDLVMALAEAKADAIVQRLPNGGRSEEDDCTTLLMLITADTVVVYRGTIREKPTSEKEAREFIKGYSGSHAAVVGSVVVTNLSTGKRCGGWDSAEVYFLEIPDEVIDSLEILVNLNTISFLI >Vigun03g266800.3.v1.2 pep primary_assembly:ASM411807v1:3:43719926:43723623:-1 gene:Vigun03g266800.v1.2 transcript:Vigun03g266800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTIMTADIDEKGIRREKPEDLVMALAEAKADAIVQRLPNGGRSEEDDCTTLLMLITADTVVVYRGTIREKPTSEKEAREFIKGYSGSHAAVVGSVVVTNLSTGKRCGGWDSAEVYFLEIPDEVIDSLIDEGITFNVAGGLMLEHPLTLPFVDAVVGSTDTVMGLSKALTEKLFLEAQ >Vigun05g169400.2.v1.2 pep primary_assembly:ASM411807v1:5:29071959:29076407:-1 gene:Vigun05g169400.v1.2 transcript:Vigun05g169400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVDKNNGIAGSTTESNYYVRIISMINRELLKPYASVLLHRHSYALVEVVPSKADFSISLLSQFEKPDVTYNVILVEGSMIGGAW >Vigun04g057700.1.v1.2 pep primary_assembly:ASM411807v1:4:5679264:5686437:-1 gene:Vigun04g057700.v1.2 transcript:Vigun04g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSNNGIIDLMRIKVAACHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSSKRLVRDFYKILNEVKTGEIPPALDLPDSFEQLVSDMKSKQYDAKSFAFLLRGMMEKFERDTREAKFAELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPRLSDNSYHHFIVSTDNILAAAVVVSSTVQSSQYPDKIVFHVITDKKTYAGMHSWFALNPITPAIVEVKGIHQFDWLTRENVPVLEAVENQNGIRNYYHGNNYARNNLSETNPQKYAAKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVVQRDLSLLWEINLNGKVNGAVETCRGADEWVMSKHFRNYFNFSHPLIAQHLDPDECAWAYGMNVFDLRAWRSTNIRETYHTWLKENLRSNLTMWKLGTLPPALIAFKGHVLPIDHSWHMLGLGYQNKTDIESVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFVRNCHILDS >Vigun03g335600.1.v1.2 pep primary_assembly:ASM411807v1:3:53366566:53370898:1 gene:Vigun03g335600.v1.2 transcript:Vigun03g335600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYATFMPSSSPKFNLYAKIGAPFYPHSVRFLSPLKIRASTTLDYSNVSANDKSSPLKTSNWQWKFKDNVINIYYEEHVKESPEPSQNILMMPTISDVSTVEEWRSVAGDIVQRNGNANWRATIVDWPGLGYSDRPKMDYNADVLEKFLVDFINSPNSPIKQPENDLIIFGGGHAASIVARAAKKGLVKPKAIAAVAPTWAGPLPIVFGRDSSMETRYGFLRGTLKAPAVGWMMYNMLVSNENAIQSQYKSHVYANPENVTPGIVESRYALTKRKGARYLPAAFLTGELDPVTSREEFLELFAALEGKTPVLVVSTKGSPKRSKAEMEALKGAKGVSKFVEVAGALLPQEEYPALVAEELYQFLQEYFGSVA >Vigun11g203800.1.v1.2 pep primary_assembly:ASM411807v1:11:40117770:40120952:1 gene:Vigun11g203800.v1.2 transcript:Vigun11g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLSRKQLQALCKKNKIPANITNVAMADALAALDQVEGLDEILNSSEVDVGTPNVNLRTAGKASTQRKAARAEVEGSTVKVPASARRGARAGIASGVAEQENKDDNVPPVTPAAGRRRATAVSTRKKKEVEIVEEDGDKNDAPKTVGRRRATSRSVCPTKNETPGGASVQRTYSTRRSVRLLENGLSKMSLVDTEDTGFVKDDEDNVSQELSNVSEQVEDSCNTEKGSSLQMDSTVVSEDTLESEVCSSEQNTGYECQSHESASDVKLVSVTEIDKVVGPHGSDEGELEKINNLELGAEPNASDEAGSDPLLDLEETCDSSDLETENKESVVACLESFPVEASADAITEVTGQEIADMVPDKVSVDVTDQGVAGSLSMITDCKIYDQVSNECGEKVSNDEDVNNGALLSQKEQVGVMDEKISHEGDDKEDKNNEPEVEDESDYSSLLEGSSDDQGSAMGVNETIEYSTGDVNQDVKDETEHMNVACGDMTDEDYQHLLTVDDKDSKESDSMIGSEGVPDLDSISSSAGELQEEELKEVKPEQTEAGTHSAPDVTEAQAEESEEPVTGQVSVESASGPIDGEVVSEDVSAIPDQDTVTVSSENVSSDVPVQSVVSDRLKVNRTSDDLNKMTMGELKRMLRNLNLGGEKSNYNKTTDKEGDKKRTALQELPQNQMTSGEAQIDD >Vigun11g104701.1.v1.2 pep primary_assembly:ASM411807v1:11:30308850:30309267:-1 gene:Vigun11g104701.v1.2 transcript:Vigun11g104701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHYYNTTHVVPTQYITNFSNTLNNTTHFLFFSLSGVSVMAGFEQQVKDRAKELKVLLKKGVKIVGDSCKKGWNKVKHIKR >Vigun08g044000.3.v1.2 pep primary_assembly:ASM411807v1:8:4611170:4614964:-1 gene:Vigun08g044000.v1.2 transcript:Vigun08g044000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTDVTVTTKAKTKTLLSLLTEPIQWLQMLSSKLNPTFVIGVFLIYGVGQGLSGSLFKVVADYYWKDVQKLQPSTVQLFVGVYFIPWVLKPLWGILTDAFPIRGYRRRPYFIISGVIGTISAATVAFTGNLAATAALMCFLGVSASLAIADVTIDACIARNSIEVRELAPDLQSLCGFCSGTGALVGYLASGFFVHRLGPQESLGLLALSPALTIVLGFVIYEHRTSHIEKKQAVMESVGSTIRSMLKTIRYPQVWKPSLFMFLSLALNVTTHEGHFYWYTDPKLGPAFSQEFVGVIYAIGAVASLVGVLIYHKRLKDYAFRDLVFYAQLLYGVSGVLDLIFILRWNLIIGIPDYFFVVIEESATRITGKIRWMPMMVLSTQLCPLGIEGTFFALLMCIDSMGALLSRWGGGLLLRLLHVTRTDFTNLWLAVLIRDILRFSILALVFLVPRTGQYDQLLPSVVSENNTTHELDEENLELVPINGKTEL >Vigun08g044000.1.v1.2 pep primary_assembly:ASM411807v1:8:4611170:4614179:-1 gene:Vigun08g044000.v1.2 transcript:Vigun08g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTDVTVTTKAKTKTLLSLLTEPIQWLQMLSSKLNPTFVIGVFLIYGVGQGLSGSLFKVVADYYWKDVQKLQPSTVQLFVGVYFIPWVLKPLWGILTDAFPIRGYRRRPYFIISGVIGTISAATVAFTGNLAATAALMCFLGVSASLAIADVTIDACIARNSIEVRELAPDLQSLCGFCSGTGALVGYLASGFFVHRLGPQESLGLLALSPALTIVLGFVIYEHRTSHIEKKQAVMESVGSTIRSMLKTIRYPQVWKPSLFMFLSLALNVTTHEGHFYWYTDPKLGPAFSQEFVGVIYAIGAVASLVGVLIYHKRLKDYAFRDLVFYAQLLYGVSGVLDLIFILRWNLIIGIPDYFFVVIEESATRITGKIRWMPMMVLSTQLCPLGIEGTFFALLMCIDSMGALLSRWGGGLLLRLLHVTRTDFTNLWLAVLIRDILRFSILALVFLVPRTGQYDQLLPSVVSENNTTHELDEENLELVPINGKTEL >Vigun08g044000.2.v1.2 pep primary_assembly:ASM411807v1:8:4611170:4614964:-1 gene:Vigun08g044000.v1.2 transcript:Vigun08g044000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENTDVTVTTKAKTKTLLSLLTEPIQWLQMLSSKLNPTFVIGVFLIYGVGQGLSGSLFKVVADYYWKDVQKLQPSTVQLFVGVYFIPWVLKPLWGILTDAFPIRGYRRRPYFIISGVIGTISAATVAFTGNLAATAALMCFLGVSASLAIADVTIDACIARNSIEVRELAPDLQSLCGFCSGTGALVGYLASGFFVHRLGPQESLGLLALSPALTIVLGFVIYEHRTSHIEKKQAVMESVGSTIRSMLKTIRYPQVWKPSLFMFLSLALNVTTHEGHFYWYTDPKLGPAFSQEFVGVIYAIGAVASLVGVLIYHKRLKDYAFRDLVFYAQLLYGVSGVLDLIFILRWNLIIGIPDYFFVVIEESATRITGKIRWMPMMVLSTQLCPLGIEGTFFALLMCIDSMGALLSRWGGGLLLRLLHVTRTDFTNLWLAVLIRDILRFSILALVFLVPRTGQYDQLLPSVVSENNTTHELDEENLELVPINGKTEL >VigunL052550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000338.1:22905:25054:1 gene:VigunL052550.v1.2 transcript:VigunL052550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLERALAFNALTVEDLDHVELYSCFPCVPKMARRILGWPAERPASVFGGLTFGGGPIGNYMTHAAASMVERLRREGVNGLLFANGGYATHNHTLLLTRRPQPAGTFPQDFDVQAEADRRRGALTPIDEAYAGPARIETYTVLYDREGAPRPRMTTPDAWALRTPNKPALIAAETGLSWSFEALADTAARWGNALRSLGVLPGDKVAVLTPNRLEAFGVYWAALRVGTQFVPLNWHLKPEEAGYILDNSGAKLLLAHGLLANLAVAMGETRDLACVAFGGDIPGFTRLETLAVAAPRDATGPEVEGGPMLYSSGTTGKPKGIVQEQGPSQPYGVMPAGVRVLVDAYELGPETVYLCPAPIYHAAPLVWSMSVMRSGGAVVLMDRFDAETALAAIDRFGVTLAQFVPTHFVRMLRLPPDMRARYRLETLRKVVHAAAPCPAPVKQAMLDWLGPRIFEFYSGSEGLGMTAIGPEEWLAHPGSVGKAVFGKLHILDEEGRALPAGEVGTVYFEGGGAFRYHGDEAKTAESRAPGGLSTLGDMGWVDAQGYLYLTDRRSHMIISGGVNIYPQEVEDLLIMHPAVDDVAVIGAPDAEMGETVKAVVVVRAGVMADASLASNLIAYCRAKLAGFKCPTSVDFVPSLPRLENGKLLKREVRAAYWP >Vigun04g163800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38805393:38806670:-1 gene:Vigun04g163800.v1.2 transcript:Vigun04g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQHQQPIDPYRHLDFVLNPNGTLTRSRHIPTTPPSSDPTLAVLTKDITINAHNNTWLRLFLPQTALTSDPERQKLPLIIFFHGSGFVVSSAASTMFHLFCSAMASAVPAVVASVEYRLAPEHRLPAAYDDAVEALEFVRSSQEEWLKKHADIGNCYIMGNSAGATIAYIAGLRVTDTVRDLEPLKIQGLILRQVFFGGVQRCGSEVRLENDEVLPLSVADLLWELALPVGAARDHEYANPRAEKWVGKLERVRDLGWRVLVSGNGGDPLIDREKELVEILKEKGVEVVSDFEEEGSHGVEYRNESKATKFIEVVKNFVSYSDV >Vigun02g129350.1.v1.2 pep primary_assembly:ASM411807v1:2:28091814:28093298:-1 gene:Vigun02g129350.v1.2 transcript:Vigun02g129350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSKRWHSWLIEHVRSVETFATIVSSRSNKVNRINLTYCIVKEFWIKIRTPKMLRPVLQFEWRNWKILSSVVGRSKIQDKQKQ >Vigun06g068600.2.v1.2 pep primary_assembly:ASM411807v1:6:19811829:19817019:1 gene:Vigun06g068600.v1.2 transcript:Vigun06g068600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHPFAFIPSCTTITCSKPFSHDNHFVPSPMALRIVPNNARKRTFLCSASSQPKDLNGMDFNLDVIKEDLELRGDGEGAFLGQGLNATSDEKNIVEEAAQDGEDDDAGYSDRGSYTGRPEKDYDKDPELGTILGSFLENPQEAQSQLEDRLRKKRHKVLHTKTGSGKPMKVSFNKFDFSNSFIWFEFYNVPLAKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLDARPSYDYIQGANVEPTTFYNIGNLEVQDNLARIWVDIGTVEPLLLDVLVNALTQISSDFVGIKQVMFGGAEFESWNEDLKSEEAGYGVHKI >Vigun06g068600.3.v1.2 pep primary_assembly:ASM411807v1:6:19811829:19817019:1 gene:Vigun06g068600.v1.2 transcript:Vigun06g068600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHPFAFIPSCTTITCSKPFSHDNHFVPSPMALRIVPNNARKRTFLCSASSQPKDLNGMDFNLDVIKEDLELRGDGEGAFLGQGLNATSDEKNIVEEAAQDGEDDDAGYSDRGSYTGRPEKDYDKDPELGTILGSFLENPQEAQSQLEDRLRKKRHKVLHTKTGSGKPMKVSFNKFDFSNSFIWFEFYNVPLAKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLDARPSYDYIQGANVEPTTFYNIGNLEVQDNLARIW >Vigun06g068600.4.v1.2 pep primary_assembly:ASM411807v1:6:19811829:19817019:1 gene:Vigun06g068600.v1.2 transcript:Vigun06g068600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHPFAFIPSCTTITCSKPFSHDNHFVPSPMALRIVPNNARKRTFLCSASSQPKDLNGMDFNLDVIKEDLELRGDGEGAFLGQGLNATSDEKNIVEEAAQDGEDDDAGYSDRGSYTGRPEKDYDKDPELGTILGSFLENPQEAQSQLEDRLRKKRHKVLHTKTGSGKPMKVSFNKFDFSNSFIWFEFYNVPLAKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLDARPSYDYIQGANVEPTTFYNIGNLEVQDNLARIWVDIGTVEPLLLDVLFCWDQASNVWWSRI >Vigun06g068600.1.v1.2 pep primary_assembly:ASM411807v1:6:19811829:19817019:1 gene:Vigun06g068600.v1.2 transcript:Vigun06g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHPFAFIPSCTTITCSKPFSHDNHFVPSPMALRIVPNNARKRTFLCSASSQPKDLNGMDFNLDVIKEDLELRGDGEGAFLGQGLNATSDEKNIVEEAAQDGEDDDAGYSDRGSYTGRPEKDYDKDPELGTILGSFLENPQEAQSQLEDRLRKKRHKVLHTKTGSGKPMKVSFNKFDFSNSFIWFEFYNVPLAKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLDARPSYDYIQGANVEPTTFYNIGNLEVQDNLARIWVDIGTVEPLLLDVLVNALTQISSDFVGIKQVMFGGAEFESWNEDLKSEEAGYGVHKI >Vigun07g230800.1.v1.2 pep primary_assembly:ASM411807v1:7:35255345:35257710:1 gene:Vigun07g230800.v1.2 transcript:Vigun07g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLNRTLLWYKYRNASFMRFSSKPHFQNTKILIYHMALLPAENIFPIIFLLLTTFSVSKAELHAHYYDQTCPQLEKLISETVLKASKHDPKVPARVLRMFFHDCFIRGCDASILMDSTANNQAEKDGPPNISVRSFYVIDEAKAKIESACPRTVSCADIIAISARDVVAMSGGPYWNVLKGRKDGRVSKASDTINLPAPTFNVSQLIQSFAKRGLTVKDLATLSGGHTLGFSHCSSFEARLRNFSSLHDTDPSMNAEFALDLRKKCPKPNHNHNAGQFLDSTASVFDNDYYKQLLAGKGVFFSDQSLVGDHRTKWFVEAFAKDQGLFFKEFTASMLKLGNLRGSRNGEVRVNCRMAN >Vigun10g128550.1.v1.2 pep primary_assembly:ASM411807v1:10:33642573:33647627:1 gene:Vigun10g128550.v1.2 transcript:Vigun10g128550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKLLDGCGANLHSDKFILNGFTWYIVIVLPRDTCDYMEFYLDADKDGANLPQGWKKTANLNLALINQINDRMTIRKGFEIEFSAKAYFNGMVNMLSWIELNKPDSGFIVNDTCVVEVGIFVTKFVDANDEYHSVCNIDDNPTNDYWDFFSHNDNDISSFHDMFRSSFKFMEQNFVPVLEDVCLQVPSLVVSQEKRSWRFTHWAFTALGRVLHFLNTKKMEDMDEEACNHLQSLWDEVNAFGFDLTWLEFHVEYALEMKSYKENDAMDVKKVKENIDALEIETNWLRKVVISKEKELEMAGRNLMKEERFEENKNNFDKKLGYETY >Vigun05g276600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46645824:46646780:1 gene:Vigun05g276600.v1.2 transcript:Vigun05g276600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGTIPTSSSPSTNLEFISRAKQRVKEGLATRRPWKVMFDFHSFALPAGFSDAVRRVRENVGYFQMNYAIVVLIVLFLSLLWHPISLIVFVVLVAAWLFLYFLRDEPLVVFGRMISDRVVLIVMAVLTIALLLLTGAVPNILLALLIGAVLVVAHAAFRRTDDLFYDEEEATARFVPPPHPGAPLS >Vigun01g162100.1.v1.2 pep primary_assembly:ASM411807v1:1:34401453:34403477:-1 gene:Vigun01g162100.v1.2 transcript:Vigun01g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIDQHGTGGNWIALPQKVGLKRCGKSCRLRWLNYLRPNIKHGQFSDAEDKIICSLFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKMMAMNASPQKKPLQITLLSILESSTPSSSPLSFRDTTNSYYHPHASFTGVASFSYSSASADSFFQAQESFMDPTQKCQFKDSTNNTMFVFGGEATATSCSSSDASCNNQISQIMEPEFGEASFVEQIGVAADNPLYSGGSASVSGWTDKQNGLWEQNPLDYYGLEEIKQLISTNNSFNNFLFDDKKTQERVTYY >Vigun09g203600.1.v1.2 pep primary_assembly:ASM411807v1:9:37825194:37828309:1 gene:Vigun09g203600.v1.2 transcript:Vigun09g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKAAQSSFRDRTHEFHNITERLKKSGSGPPGPSSSSPSRSEEQLSAIANQSDFNRRASKIGFGIHQTSQKLAKLARLAKRTSVFDDPTMEIQELTGVIKQDITALNSAVVDLQLLCNSRNESGNVSVDTTSHSSTVVDDLKTRLMSATKEFKDVLTMRTENMKVHENRRQLFSSSGSKDSANPFIRQRPLAAKAAASSSNAPAPPWANDSPSSSQVFPKKRMDMESQPLLQQQQQEGVVPLQDSYMQSRTEALQNVESTIHELSSIFNQLATLVSQQGEIAIRIDENMDDTLSNVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMVFLFFVA >Vigun09g009700.1.v1.2 pep primary_assembly:ASM411807v1:9:741936:743127:1 gene:Vigun09g009700.v1.2 transcript:Vigun09g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQSINSHSLSANQILLLHTISCPNPLCKKIMVIVTTESDLVSAVAPARLYKAIVLDSSNFFPKALPDFVKSVEIIEGNGGPGTIKKFTLPEGYVKQKVDVVDEENYVYQYTIVEGDLLTEPLEKVCNEYKLVPNSDGGCIVKATRKYYTKGDAELTQDFLNSTNQMSALFAKAVDDYLLANPDYN >Vigun03g088100.2.v1.2 pep primary_assembly:ASM411807v1:3:7372080:7377077:-1 gene:Vigun03g088100.v1.2 transcript:Vigun03g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPVKTIQSPDGDTIDCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPVRRTKEEDVLRASSVRRYGRKKHRAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQKPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLVDSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNC >Vigun03g088100.1.v1.2 pep primary_assembly:ASM411807v1:3:7372080:7377077:-1 gene:Vigun03g088100.v1.2 transcript:Vigun03g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPVKTIQSPDGDTIDCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPVRRTKEEDVLRASSVRRYGRKKHRAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQKPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLVDSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNC >Vigun05g139100.1.v1.2 pep primary_assembly:ASM411807v1:5:16969390:16972163:-1 gene:Vigun05g139100.v1.2 transcript:Vigun05g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSPTPPQLLLLLFVLAASAATPSALTCTTQRLTDSANKLFANCVDLPVLNSFLHWTHDAANASLSVAFQAAPPSPGGWVSWGINLKGVGMVGAQVLAAFKGGDRGAVTVNTLDLKSYSDIVPGKLSFDVWDMRGEEVGGVIRIFAKVKVPEKVESVNHVWQVGPSVTAGRIDRHDFKPANLNSKGILSFTGEQSNVAPAVDPITKKKNIHGILNAVSWGVLFPLGVMIARYMRSFPSADPAWFYIHVGCQISAYAIGVAGWGTGMKLGSQSEGVQYSVHRNLGIALFCFATLQIFALFLRPVKTHKYRYIWNAYHHCIGYTVVILGIINIFRGFSILHPEQKWKTTYIGVLIALGVVALFLEVITWIIVLKRKSNKSP >VigunL069000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:78424:78933:1 gene:VigunL069000.v1.2 transcript:VigunL069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun05g279500.2.v1.2 pep primary_assembly:ASM411807v1:5:46883829:46888182:-1 gene:Vigun05g279500.v1.2 transcript:Vigun05g279500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSTTAASGFVLEDVPHLSDYIPHLPTYPDPLQDNPSYSVVAQYYVNVDDTVAQQIVVHKNSPRGSHFRRAGPAQKVYFDSEEVCACIVTCGGLCPGLNTVIREIVCGLYHMYGVHRVLGIQGGYTGFYSRNTVPLTPKVVNDIHKRGGTILGTSYGGHDTSKIVDSIEDRGINQVYILGGYGTQYEAAMIFEEVRRRGLKVAVVGIPKTIDNDIPVIDKSIGFDTAVEEAQRAISSAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGPGGLLEFIERRLKEQGHMVIVIAEGAGQELLCGHPPIVNKQEASADQLLPDVGLWLSQKIKDHFAKCRKMPINLKYIDPTYMIRAIPSNASDNVFCTLLAQSAVHGAMAGYTGFTVGPVNGRNCYIPFHLINEGEKRVVITDRMWARLLSSTHQPSFLNPKDSIAVREERTEHQTSDGQSQPEIAD >Vigun05g279500.1.v1.2 pep primary_assembly:ASM411807v1:5:46883829:46888140:-1 gene:Vigun05g279500.v1.2 transcript:Vigun05g279500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSTTAASGFVLEDVPHLSDYIPHLPTYPDPLQDNPSYSVVAQYYVNVDDTVAQQIVVHKNSPRGSHFRRAGPAQKVYFDSEEVCACIVTCGGLCPGLNTVIREIVCGLYHMYGVHRVLGIQGGYTGFYSRNTVPLTPKVVNDIHKRGGTILGTSYGGHDTSKIVDSIEDRGINQVYILGGYGTQYEAAMIFEEVRRRGLKVAVVGIPKTIDNDIPVIDKSIGFDTAVEEAQRAISSAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGPGGLLEFIERRLKEQGHMVIVIAEGAGQELLCGHPPIVNKQEASADQLLPDVGLWLSQKIKDHFAKCRKMPINLKYIDPTYMIRAIPSNASDNVFCTLLAQSAVHGAMAGYTGFTVGPVNGRNCYIPFHLINEGEKRVVITDRMWARLLSSTHQPSFLNPKDSIAVREERTEHQTSDGQSQPEIAD >Vigun05g279500.3.v1.2 pep primary_assembly:ASM411807v1:5:46883829:46888136:-1 gene:Vigun05g279500.v1.2 transcript:Vigun05g279500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSTTAASGFVLEDVPHLSDYIPHLPTYPDPLQDNPSYSVVAQYYVNVDDTVAQQIVVHKNSPRGSHFRRAGPAQKVYFDSEEVCACIVTCGGLCPGLNTVIREIVCGLYHMYGVHRVLGIQGGYTGFYSRNTVPLTPKVVNDIHKRGGTILGTSYGGHDTSKIVDSIEDRGINQVYILGGYGTQYEAAMIFEEVRRRGLKVAVVGIPKTIDNDIPVIDKSIGFDTAVEEAQRAISSAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGPGGLLEFIERRLKEQGHMVIVIAEGAGQELLCGHPPIVNKQEASADQLLPDVGLWLSQKIKDHFAKCRKMPINLKYIDPTYMIRAIPSNASDNVFCTLLAQSAVHGAMAGYTGFTVGPVNGRNCYIPFHLINEGEKRVVITDRMWARLLSSTHQPSFLNPKDSIAVREERTEHQTSDGQSQPEIAD >Vigun05g049800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4295812:4297141:1 gene:Vigun05g049800.v1.2 transcript:Vigun05g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGAKQRIPSSPPAPSSTDPHEQEPKGSREIFYANFRIYCPINIPSTSEAAGVRIMRNIGSFGLYYTLFVWIILFITLIPKRKVSLILLVIMTYVTTIYCLILRAFPNSVVLHRIIDKRVVLTLLAVATALQLILTEAGIHLAVVLASSVPLVLVHAVLWASFDAFEVETASAKGEMVPLTGHDESVAENYSDAV >Vigun04g117151.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29595093:29596586:-1 gene:Vigun04g117151.v1.2 transcript:Vigun04g117151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGLKVIIFMVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDHHGMLSSWTTPDCCQWKGICCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSYNSFSHIPEFLGSLRNLRYLDLSCCYFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLRGNSFQGNLPTELQSLSKLEYLDLAFNSFEGNIPSQLGNLSKLEHLDLSFNIFEGYIPSQLGSLSNLQQLYLGGEDYNGDLEFNDRGKLLSNFISLSHLYLWSIHGLNSCHSCLQNIAKLPKLRELSLIDCSLSDHFILSLRPFKFNFSTSLLAFDLSENAFTSPVILQWVSNITSNLVELDLSYNNLEDSVSKHFGMAMNSLEILDLSSNSFKGEVLKSFMNICTLHSLYMHQNNLTEDLSSILQSLSSGCIRYSLQDLNLGENYINGSLPDISAFSVLKSLDLSNNQLSGKIAEGNKLSTQLESLSISSNF >Vigun09g023200.1.v1.2 pep primary_assembly:ASM411807v1:9:1860155:1866638:-1 gene:Vigun09g023200.v1.2 transcript:Vigun09g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGNTTNPEELQIVIHRFASVQCRSPSCDLLQNTRDYVKKCAPIYNLAIKGDWKEARTMLMADSRLATAAISQGWATLLHVAAEANQLHFVEELVQQLNPYDLELQDFKGNTAFCFAAAIGNVKIAEAMVRRNRSLPTIRGGEGLTPLHMAALQGKSEMAWYLYPYTVHNFHAEDWDLLFFLSINTAIYDLALKMLQDNPMLALARNENEETGLHVLARKSSAFSCEGRRCPNQFMNSRKNQSLALQLVRCLWDTLVSLDCTEIQLIRVINEPSQVIFIAAEVGNCEIILELAKSYPDLPWEVNDKNQSIFHIAVLYRHATIFNLIHEIRSIRNFVVTYEDADQNNLLHCAAKLAPPNQLNLVSGAAFQMMHELRWYEEVKKVMPPCFIEKRNFNGKTPRELFTEEHAELLTKAESWMKSVANSCMIVSTLIATEVCTAAFSIPRGDDDENGNPNCNAIIFIIFAISDATSLISSSISILIFLSMLTIARYAEDDFFMSLPMKLICGLVTLFISIASMMVAFSTAFFITYAHGHNWVPTFISVLALAPITLFTFLLFPLWSDIVYSAYFSRSIFRPNKHVLY >Vigun09g023200.2.v1.2 pep primary_assembly:ASM411807v1:9:1860155:1867051:-1 gene:Vigun09g023200.v1.2 transcript:Vigun09g023200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGNTTNPEELQIVIHRFASVQCRSPSCDLLQNTRDYVKKCAPIYNLAIKGDWKEARTMLMADSRLATAAISQGWATLLHVAAEANQLHFVEELVQQLNPYDLELQDFKGNTAFCFAAAIGNVKIAEAMVRRNRSLPTIRGGEGLTPLHMAALQGKSEMAWYLYPYTVHNFHAEDWDLLFFLSINTAIYDLALKMLQDNPMLALARNENEETGLHVLARKSSAFSCEGRRCPNQFMNSRKNQSLALQLVRCLWDTLVSLDCTEIQLIRVINEPSQVIFIAAEVGNCEIILELAKSYPDLPWEVNDKNQSIFHIAVLYRHATIFNLIHEIRSIRNFVVTYEDADQNNLLHCAAKLAPPNQLNLVSGAAFQMMHELRWYEEVKKVMPPCFIEKRNFNGKTPRELFTEEHAELLTKAESWMKSVANSCMIVSTLIATEVCTAAFSIPRGDDDENGNPNCNAIIFIIFAISDATSLISSSISILIFLSMLTIARYAEDDFFMSLPMKLICGLVTLFISIASMMVAFSTAFFITYAHGHNWVPTFISVLALAPITLFTFLLFPLWSDIVYSAYFSRSIFRPNKHVLY >Vigun09g023200.3.v1.2 pep primary_assembly:ASM411807v1:9:1860428:1866976:-1 gene:Vigun09g023200.v1.2 transcript:Vigun09g023200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGNTTNPEELQIVIHRFASVQCRSPSCDLLQNTRDYVKKCAPIYNLAIKGDWKEARTMLMADSRLATAAISQGWATLLHVAAEANQLHFVEELVQQLNPYDLELQDFKGNTAFCFAAAIGNVKIAEAMVRRNRSLPTIRGGEGLTPLHMAALQGKSEMAWYLYPYTVHNFHAEDWDLLFFLSINTAIYDLALKMLQDNPMLALARNENEETGLHVLARKSSAFSCEGRRCPNQFMNSRKNQSLALQLVRCLWDTLVSLDCTEIQLIRVINEPSQVIFIAAEVGNCEIILELAKSYPDLPWEVNDKNQSIFHIAVLYRHATIFNLIHEIRSIRNFVVTYEDADQNNLLHCAAKLAPPNQLNLVSGAAFQMMHELRWYEEVKKVMPPCFIEKRNFNGKTPRELFTEEHAELLTKAESWMKSVANSCMIVSTLIATEVCTAAFSIPRGDDDENGNPNCNAIIFIIFAISDATSLISSSISILIFLSMLTIARYAEDDFFMSLPMKLICGLVTLFISIASMMVAFSTAFFITYAHGHNWVPTFISVLALAPITLFTFLLFPLWSDIVYSAYFSRSIFRPNKHVLY >Vigun10g033600.2.v1.2 pep primary_assembly:ASM411807v1:10:4494226:4495801:-1 gene:Vigun10g033600.v1.2 transcript:Vigun10g033600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKVLNLSRSKYLRKTPDFSRLPSLEHLILKDCPRLCEVHKSIGALGNLTLINLKDCTRIKNLPREIYMLKSLKTLILSGCSRIHLLEKDIVQMESFITLITENTVVKQVPFSIVSSKSIGYLSLRGFEGLSHNLFPFIIRSWMLPSMNPLSYYHSFFMDVEVNSWDDIAPLLRILVNLRSVLLQCETEFQLSQQVQDILVEYGVNITESHTSKQHFRSFSIGVGRWKEFFDAFSDRISKVIAGSESCEVSLPGDNDPNCLAHMGEGYSVSFTVPRDRDIKGMALCIVYLSNPEIVATEGLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGDGLVVRRTIVYLIFGESNDLEKESPSKKNSLIRFMKKL >Vigun11g112700.1.v1.2 pep primary_assembly:ASM411807v1:11:31521516:31522656:1 gene:Vigun11g112700.v1.2 transcript:Vigun11g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSYEKSGLRKGTWTPEEDTKLLSYVTRYGCCNWRQLPKFAGLARSGKSCRSRWMNYLRPNLKRGNFTPKEEECIIRMHKKLGNRWSAIAAELLGRADSEVKNHWHASLKKRSREETLAKEESSVPKSSKNKESMDLVLNCNGFPATSRITNTSSSLFSFSSLSSSSKEFSSGPCFS >VigunL043366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:439767:440948:1 gene:VigunL043366.v1.2 transcript:VigunL043366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTEWNREVLMAERTNLMFHNKVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLRQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVIRRTDCGTIRGISVNTQNETMPESSWTQTLIGRVLADDIYRGSRCIAIRNQDIGIGLFNRLKTFQTQPISIRTPFTCRNTSWICRLCYGQSPTQGHLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGLPNRCEHLIMEKLNSMRIWFILHVHVTGILLFYVI >Vigun07g078200.2.v1.2 pep primary_assembly:ASM411807v1:7:10679417:10681694:1 gene:Vigun07g078200.v1.2 transcript:Vigun07g078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVRPPPLTSTSDQPPLFDGTTRLYTSYGCPYAQRVWITRNYKGLQDKIKLVPIDLQDRPAWYKEKVYPENKVPALEHNGKILGESLDLIKYVDASFEGTPLFPTDPAKKEFGEQLISHVNTFTRDLFLSLKGDAVQEASSAFEYLENALGKFDDGPFLLGELSFVDIAYIPFVERFQIVFAELFKHDITEGRPKLATWIKELNKIDAYTETKVDPQEVIDLFKERFLPKQ >Vigun07g078200.1.v1.2 pep primary_assembly:ASM411807v1:7:10679423:10681655:1 gene:Vigun07g078200.v1.2 transcript:Vigun07g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVRPPPLTSTSDQPPLFDGTTRLYTSYGCPYAQRVWITRNYKGLQDKIKLVPIDLQDRPAWYKEKVYPENKVPALEHNGKILGESLDLIKYVDASFEGTPLFPTDPAKKEFGEQLISHVNTFTRDLFLSLKGDAVQEASSAFEYLENALGKFDDGPFLLGELSFVDIAYIPFVERFQIVFAELFKHDITEGRPKLATWIKELNKIDAYTETKVDPQEVIDLFKERFLASSKI >Vigun06g142100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26773316:26773963:-1 gene:Vigun06g142100.v1.2 transcript:Vigun06g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPIIKTSFTLLVTLSFLLLLLLTKPVLSTRDIHLVSRELRENIDQLCKKTTDPALCSKTIQSHSTENTVEPIKALDVEVEATLAEAKNALIKIQSLEAKGGASKSTKDSLNVCEDQYSSMLDAIAETKKAIAKNDVITAKFKFSAVISYQSACQDTFKSDKLPFNEDSDAVYKLGGIALDIIADIEKSLPPPRPTPVQSAPSAFSSVIGTVS >Vigun11g156800.1.v1.2 pep primary_assembly:ASM411807v1:11:36565036:36568007:-1 gene:Vigun11g156800.v1.2 transcript:Vigun11g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHNFQDVQKPKYDCLLFDLDDTLYPYSSGVCQQIAKNIEEYMIEKLGMDADKVAELNVPLYKTYGTTMAGLKAIGYDFDYDEYSSFVHGRLPYNVLLKPDPVLRGILQSLPIRKIIFTNADTNHAISALKILGLEDCFESIISFDTLNPSNDKDGSESRPTTAEVLDICERLCRNDSDMELPSTPLVCKPFDQAFEYAFKIADIDPQRTLFFDDSIRNLQTAKRLGLHTVAVGTSVRTLGVDHALESIHNMREAFPELWDAEEKHEFVHYNAGIETPVEA >Vigun08g083000.1.v1.2 pep primary_assembly:ASM411807v1:8:17563846:17566370:-1 gene:Vigun08g083000.v1.2 transcript:Vigun08g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLVRRIKKLLSYSECSTSPNHQTCLDVREEYANAFRTESYTEFWTRVLAYSNNQSGDSTTSSRLPSYRLFAEHLLDPDQPTVNRALSVAQCRPKVHSLLSDYFAHTANASLLCSHLLRDIDRLRLKYTSLKTILQCLPSNQIPSPMLITHLTEFSNFSNPFAASGLVRATQCQCSDLQKRLESSRDKARAKLQLAAKIKYGSACLVAAITASLVVLIMSHGLALVVAMPGLASMNLGSETKLVAVTARLNAAAKGSYIVNKDLETTGRLVGRVNDELEYMRRMVRFWLERKEDKVQADGGVVRLLKKNQCSFSEQLDELEEHLYLCFMTINRARELVLSQISY >Vigun05g038500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3094832:3095992:-1 gene:Vigun05g038500.v1.2 transcript:Vigun05g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKVSEEVEERNPSDETMAWDEVIKEAAALGSGRRLRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPNSQSSSTPVLPSKITNLLLQRLKARNNTRTKPCTVSSTNQQQNQQEDVRGTESTCFTLDQFSDLLNDSEDYSTNNNEFGNDSAQIDCITSSLESCLNENDDCREKEKETEMEFDFNSVTQTSSADVNSGEEREEDSEEGSDLSVQDFQFLDNVVPSSYQYSVFEVTEEIEEQLEAENCGDEPSMFRDVMKRMKYERKFSASLYAFNGIPECLKLKVESGNKDRSGVCISNELTNLKMACSKNKIEGIEKNEEQIEAMDRKEPQISSIDDELLLWNSLDLPVICCVN >Vigun05g179600.2.v1.2 pep primary_assembly:ASM411807v1:5:33968639:33971692:-1 gene:Vigun05g179600.v1.2 transcript:Vigun05g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALYQTARVSPISLTYYGMCLENGDYTVLLHFAEIMFTDDNTYRSLGRRIFDVYIQGKLELKDFNIANEAGGVGKNLKRKFSTTVSNKSLEIRFYWAGKGTTAIPEKSVYGPLISAISVTRADSGGMAIAVIIGIAISAIVFVILVVFGWRLHIRKRNSLAKELKHLNLQVGLFTMRQIKVATNNFDIANKIGEGGFGPVYKGTLSDDTIIAVKMLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVEGDQLLLVYEYLENNSLARALFRQEESSLQLDWPTRYKICVGIARGLAFLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGVVALEIVTGKSNTISRPKQEALNLLDWANLLKEKGNLMELVDRRLGSNFDEKEVMMMIRVGLLCTNATSNLRPTMSSVLSMLEGKIVIPEFISDPSEIMDEKKLESMRQYYSQMEENESNETHTYHSSSKDGPWTASSSSAVHLYPLHIDSSYWEKRN >Vigun08g183100.1.v1.2 pep primary_assembly:ASM411807v1:8:35276066:35280030:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.8.v1.2 pep primary_assembly:ASM411807v1:8:35275275:35280030:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.2.v1.2 pep primary_assembly:ASM411807v1:8:35275123:35280202:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.5.v1.2 pep primary_assembly:ASM411807v1:8:35272690:35280030:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.3.v1.2 pep primary_assembly:ASM411807v1:8:35275123:35280202:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.6.v1.2 pep primary_assembly:ASM411807v1:8:35275195:35280030:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.4.v1.2 pep primary_assembly:ASM411807v1:8:35272690:35280050:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVENLGMGNCWIEGRICSTSNSCNEDDEETFPWKRQTRDLSQDNSFTQKTMTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun08g183100.7.v1.2 pep primary_assembly:ASM411807v1:8:35275204:35280030:1 gene:Vigun08g183100.v1.2 transcript:Vigun08g183100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRKSMKFGMTDDSFSDSQSSPKCYTKDLQGLAYKFLNNIPKFVKIVEVGPRDGLQNEKNIVPTAVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVNNLGSIRMPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLARYRAVIRAAKQLSIPVRGYVSCVVGCPVEGLIPPSKVAYVAKELHDMGCFEISLADTIGVGTPGSVVPMLLAVMGVVPIEKLAVHFHDTYGQSLPNILVSLQMGISIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGIGVKTNVDLPKLVMAGDFISTHLGRPSTSKTAIAFNRVTTDSSS >Vigun07g273300.1.v1.2 pep primary_assembly:ASM411807v1:7:38864296:38864819:-1 gene:Vigun07g273300.v1.2 transcript:Vigun07g273300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKMKTLTSFVQILCIAFLLTSGESDYTPTPAGSVCVTGGGYCPGNDRCQKYCKFFAYKKGGYCIPPDSERCCCIKDVPPM >Vigun03g016100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1123407:1124150:-1 gene:Vigun03g016100.v1.2 transcript:Vigun03g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEIVPPRFSFSHDVSGLPKKQDASRKDTTPLVGSNHEFEFSTGRSLEFESSSADELFSNGVILPLPVQMQQPKRNTTRKHTLYGESPYTRLPPLPCSSDKTKKESIREVVDVNGGGCCEKKTQSNSFWVFSRSKSLSCDRKKSFMSYSPPLSRSNSTGSVPPLSKRVSSTKHSSAKPLSSSSSSTLNLYPVQRSRSGKSYGGSYANGLWISPVLNLPTPCISKASAGFFGLGSFLRVGRAKKSKK >Vigun03g019600.1.v1.2 pep primary_assembly:ASM411807v1:3:1416131:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSISMAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQAGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.5.v1.2 pep primary_assembly:ASM411807v1:3:1416131:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQAGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.4.v1.2 pep primary_assembly:ASM411807v1:3:1416469:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSISMAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.6.v1.2 pep primary_assembly:ASM411807v1:3:1416469:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQAGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.7.v1.2 pep primary_assembly:ASM411807v1:3:1416131:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.3.v1.2 pep primary_assembly:ASM411807v1:3:1416131:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSISMAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun03g019600.8.v1.2 pep primary_assembly:ASM411807v1:3:1416469:1422935:1 gene:Vigun03g019600.v1.2 transcript:Vigun03g019600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAQEVSALQEGGNQRAKEIYFKEWDPRRHSFPDSSHVDRLRHFIKHVYINRRFSGEKTNDKPPRVKGDKDDFYENKKTDMYQGGPKSPPYEDHYSDRSSPGGRSPGYDQENRQYGDYKISPGRPPILNDWHREERFGDRRKYDDQRISDGNHKESHSPDHTKDPDSSSPPVVRPVREILGENVVPLRISEPPKTNGVQAANGSTFAQRTASSSSLASSDVTRTEVKPETIKSLIDFDDDPEPAVTPAITQAQQTTVAEVGMLAYSNDNNWASFDFSPEANAPQGPSNVNPLESVLTQLTVPVSLPSQVSRAQGPLTGSTLTASSFSTFPPTSASVTSFEVTATSTFNNAGQWASLQYQQQQPLFTAAASQPSILQATPPVGAALNNQPWTVPSVPSLQGHPSTSIPHASHLVPKPANEAMSSVVLQPSAVEMKPSGRNELPEDLFTVKYPSFPAPVPGWQTGLPPSMGIPIQYNNAVPMPSFPQASKSINPFDASNEPTPVQVPTFPSMSSLQGALPSVTPSAKIHPSNMGNPSLAWNPSSSYALVPPPQAQTIAPVMGPGAYMGQQMVTNMPMPRHQGFGRLATEGTVTANTFLAGGNPFG >Vigun01g143500.1.v1.2 pep primary_assembly:ASM411807v1:1:32473961:32481283:-1 gene:Vigun01g143500.v1.2 transcript:Vigun01g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGYDYPKFRENENLSVPPGFVSLTSFILRKGGNVKKNDKSTTFPSASEQEPNCMKTELETNDINAYRQGLMHRPWINMDLSNNHKPEESHTKHLPMNLPLNPLRPKGTIRGCPSCSNCVKVTARWHPKDAIREALEEAPIFRPTEEEFKDTLKYIASVRSKAETYGICRIVPPTCWKPPCFLQREHIWEKSEFVAQIQRIDGHQKIMASACENTKSKRKRDVKVALGSQLCKRNTSTPNNHNVEECDCESEPGPKFSLKTFKEYADVFKNEYFNYNDKKKSIASNVKLAIPQQSEPSVENIEGEYGRIVQNPSEEIEVLCCNKLEAGIFSSGFPKVSDSVGAHSYPEYLKSGWNLNNMLSGSLLSFESPDVSCNLAPNVSVGMCFSAVNWKVEEHHLYSLSYIHLGEPKVWYSVPGRFAVNFETIRKKYLPDLHAGKHHMHDTMERQLSCSILKAEGIPVYRCVQYPREFVLVFPGAYHSGFDCGFNCSEAVSFAPLEWLLHGQNVVELYREQRKKTLLSYDKLLLGAANEAVRAQWETGLCMKSTSSDSLTYKGAYQKNEFLTKAFNSRIQSESLKRKFLTSSLVSQRMDEKFYATCRRECSICLCDLYLSAVGCSCSNDKFACLDHAKHFCSCTWSNKILLFRYEISELNVLRQALDGKLSAVFKWAKEYLGLTLNSVRSKPRPENVCGSTFPSQDLHMKKSISQTAANESIEKRRQLQEILNSSKRKQNEAVSRFSKKKQNEVVSQVPQTSGGTHSKTKTDLLRSSCASGKKGINSVGTKIDTEKVGSKVTTSKKEDPKASNVAPVTNLRYLSFLQEHELLDVSSDSMSSSSSSDSEAA >Vigun01g158700.1.v1.2 pep primary_assembly:ASM411807v1:1:34064051:34068324:1 gene:Vigun01g158700.v1.2 transcript:Vigun01g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVIGFSLLTMDSVAYRGLFYTFFILNFVLVCQLILLHPLASAENAAELFERVSQSIKVKHYTEALDDLNAAIEADPTLSKAYLFRASVLRQICRYEQSERSYKQFLELKPGDSAAEKELSQLLQAQSALETAQSLYDLGNFSKSLDFIDKVVLVFSPDCTKAKLLKVKVLIADKDYEGAIAESGFLLKEDENNLEALLLRGRAYYYLADHDVATRHFQKGLRSDPEHSELKKAYFRLKNLLKKSKSAEDNASKGKLRVSVEEFKVALAVDPDHLAHNVHLHLGLCKVLVKLGRGKDALESCSEALKINEELVEALVQRGEAKLLVEDWEGAVDDMKSAAQKSPQDMSIREALMRAEKALKISKRKDHYKTLGISKTASAADIKRAYKKLALQWHPDKNVDKREEAEAKFREIAAAYEVLSDEDKRVRYDRGEDLEEMGGGGGGGFNPFGGGGQQFTFNFEGGFPGGGGFGGGFPGGFEFHF >Vigun06g070525.1.v1.2 pep primary_assembly:ASM411807v1:6:20072218:20073439:1 gene:Vigun06g070525.v1.2 transcript:Vigun06g070525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQSEEWHNEFGASDSKVLPKIQTQSASNVPPMSETTSSIRCSLQDVVEAPSTSSWSQTSQRGHMRVQSKKPKRITWTEEEHRRFLEALDKYEKGNWKKISAYIQTKNTTQVASHAQKYFIRQRRSEEQRRRKSIHDMVLENTANHTNIHAQNSSSSKTSPAISQFNSMQSLEAQPLQSIRPTQSIQMQPHQMQPQQGQLPQPHQIQPQQVPLLQSQQVQLPQPHQIQPQQVRPPQSQQVQLPQPHQIPPQQVRLQQPHQMQPQQVRLSQLHQIQSQQVRLPQPHQMQSQQVRLPQPPQSVHHTQSNQIQPTHSFNNNTQFSHMQPAHQMINVNSNNIIQRPPQFNQPTTNVIVQVNPINNIIQHPTQFNQMQPTR >Vigun02g019200.1.v1.2 pep primary_assembly:ASM411807v1:2:6685571:6686892:1 gene:Vigun02g019200.v1.2 transcript:Vigun02g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMSKKSFILALLFVIFAIEVYYGHSISMESVTKDDAIVVCPCQTKKDCLRNIRSLPCGPSVVTCFEGYCRCNHNQKSDNLFPREPIGEAGVNDST >Vigun01g165400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34755570:34757646:1 gene:Vigun01g165400.v1.2 transcript:Vigun01g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRKRLHEYSLMHYKLTRNANSLHCASSFHCKTMPSQEQGPHFVLFPLMAQGHMIPMMDIAKLLVHHAVTVTVVTTPRNASRFAPVFDRYVESGIRLAQVPFPCEEVGLPEGCENIDMIPSLGTARTFFEATSLLHQPAETLFGELSPPPSCIISDMCLPYTSHIAKKFNIPRISFVGVSCFCLLCHHNILVNNVKENIASSSEYFVVPGIADKIEVTMEQSGVSLGETWEQFREDVMAAEMATYGVIMNSFEELEPAYARDYKKVKDGKVWCIGPVSLINKDELDKAQRGRASVDESQHVKWLDCQKEGSVIYACLGSLCNLTAPQLIELGLALEASERPFIWVIRGGNHSAALEKWIEENGFEERTSGRSLLIRGWAPQLLILSHPAIGGFITHCGWNSTLEAISGGVPMITWPLFADQFLNESLVVKVLKVGVKVGVETPMTWGKEEERGVEVKKEDIERAIAEIMNETSESEERRKRVRELSEMAERAVEKGGSSFSNVSFLIENIMQKNKGDEP >VigunL007701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:67686:68534:-1 gene:VigunL007701.v1.2 transcript:VigunL007701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIGKNRKAHVDFEFRPHFFQSTTKQYQEPPHKISFSLAKVLNLTNFGTKLSSRGAPTNLAKCKMHPIQNFVHQHFYSTHLGTFGGLSNPHISKTTNFIFSQNSPPSLLAMPRCTANLAMCKTLRTQNFRAPRISWYSFGAFGGLSNPHISKTTNFIFSQNSPPSHLAMPRCTDQLGHVQNPPTQNFRAPRISWYSFGAFGGLSNPHISKTTNFIFSQNSHQAISYAAVHRPTWPCAKPSNSKLSCTKISWYSFGGIWRPFQPSHLKNHEFHFFTKPHQAI >Vigun01g166400.2.v1.2 pep primary_assembly:ASM411807v1:1:34818963:34821757:-1 gene:Vigun01g166400.v1.2 transcript:Vigun01g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMELVLQFRTLKLVFFKTCCSSLPHFSFFPSLYIHINIFISLTPINLLFSLSLLHYLLLLNQADPMSPAMALEQRSKSDAAEEQIEYEKGVKHLCEKGHIRAVPKKYILPVADRPTKSVKGSNVLNQNLQLPIIDFAELLGPNRPQVLQSLANACQQYGFFQLVNHCVSDDVVRNMIDVSGRFFDLPLEERVKYMTTDMGAPVRCGTSFSQTKDSVLCWRDFLKLLCHPLPDFLPHWPLSPLDFRKVVGSYAEESRHLFLVVMEAILESLGIMEKEEEESVMKEFENGSQMMVANFYPRCPEPELTLGIPPHSDYGFLTLLLQDDVAGLQVQYQDKWVTVQPIPNAFVVNVGDHLEIYSNGKYKSILHRVVVNEVKSRVSVASLHSLPFNCTVKPSPKLIDEANPKRYMDTDFATFLAYVSSQEPNKKDFLESRKLQ >Vigun01g166400.1.v1.2 pep primary_assembly:ASM411807v1:1:34818963:34821757:-1 gene:Vigun01g166400.v1.2 transcript:Vigun01g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEMELVLQFRTLKLVFFKTCCSSLPHFSFFPSLYIHINIFISLTPINLLFSLSLLHYLLLLNQDPMSPAMALEQRSKSDAAEEQIEYEKGVKHLCEKGHIRAVPKKYILPVADRPTKSVKGSNVLNQNLQLPIIDFAELLGPNRPQVLQSLANACQQYGFFQLVNHCVSDDVVRNMIDVSGRFFDLPLEERVKYMTTDMGAPVRCGTSFSQTKDSVLCWRDFLKLLCHPLPDFLPHWPLSPLDFRKVVGSYAEESRHLFLVVMEAILESLGIMEKEEEESVMKEFENGSQMMVANFYPRCPEPELTLGIPPHSDYGFLTLLLQDDVAGLQVQYQDKWVTVQPIPNAFVVNVGDHLEIYSNGKYKSILHRVVVNEVKSRVSVASLHSLPFNCTVKPSPKLIDEANPKRYMDTDFATFLAYVSSQEPNKKDFLESRKLQ >Vigun01g194700.1.v1.2 pep primary_assembly:ASM411807v1:1:37210357:37214632:-1 gene:Vigun01g194700.v1.2 transcript:Vigun01g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAHAANHINPKGLLCNAAAGASAGVIAATFVCPLDVIKTRFQVHGVPQLTNGSVRGSIIVASLEQIFRKEGLRGMYRGLAPTVLALLPNWAVYFSTYEHLKSLLQADDESHHLSIGSNMIAASGAGAATTMFTNPLWVVKTRLQTQGMRSGVVPYRGTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLANKDNTAMDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVIDCIRKVFQQEGVSGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVSLFPSDPRPQIL >Vigun08g121200.2.v1.2 pep primary_assembly:ASM411807v1:8:28962437:28966991:1 gene:Vigun08g121200.v1.2 transcript:Vigun08g121200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSRNSCALLASFLFLLVLVFSLQCRLASSAVVSGMKQHNDHVSHHTPLVQTNQSTCSLFVGAWVRDETETYPLYHSSSCPIIDPEFNCQMYGRPDSGYLRYRWKPLNCELPRFNGVEFLLKMKGKTVMFVGDSLGRNQWQSLICMLSAAAPRTRTKLVTGDPLSVFRFLDYGVSISFYRAPYLVEVGVVQGNRILKLEKVDGNGDAWRNADVLSFNTGHWWTHQGSLKGPTEWNVGGTTVMATTKNCYGETAPIRSTTYPGAYPEQKRVVDMVVREMKNPAYLLDITMLSALRKDGHPSVYSGELSPQKRVNPNRADCSHWCLPGLPDTWNELFSTALLH >Vigun08g121200.1.v1.2 pep primary_assembly:ASM411807v1:8:28962437:28966991:1 gene:Vigun08g121200.v1.2 transcript:Vigun08g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSRNSCALLASFLFLLVLVFSLQCRLASSAVVSGMKQHNDHVSHHTPLVQTNQSTCSLFVGAWVRDETETYPLYHSSSCPIIDPEFNCQMYGRPDSGYLRYRWKPLNCELPRFNGVEFLLKMKGKTVMFVGDSLGRNQWQSLICMLSAAAPRTRTKLVTGDPLSVFRFLDYGVSISFYRAPYLVEVGVVQGNRILKLEKVDGNGDAWRNADVLSFNTGHWWTHQGSLKGWDYVGLRGKFYPDMDRLAALEMGMKTWANWVDTNIDRSKTRVFFQSISPTHYNPTEWNVGGTTVMATTKNCYGETAPIRSTTYPGAYPEQKRVVDMVVREMKNPAYLLDITMLSALRKDGHPSVYSGELSPQKRVNPNRADCSHWCLPGLPDTWNELFSTALLH >Vigun05g301100.1.v1.2 pep primary_assembly:ASM411807v1:5:48444369:48449086:1 gene:Vigun05g301100.v1.2 transcript:Vigun05g301100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSASMAELSEELRFSLVNTVPANHDSNPSDRQCNDTTTPLPVDSPSPFPHWNLNETSQFISNEPAGGTSMIDSSQFRSCQNFQRDHNYSHSAEEHSSSQFGSYQNLQKDHNYSHRAEEHNFVPCRPNSCYPCPEGYTGWPYQYDRHNNLTIPANLRNAAFNLNNFEKPDSGAMYVTPGYRLTSRPQMFTPRGRTMSGNIDQHSLDTNLGMTLCSSNQGGAEPLLAIGKRDERFMTISSGSNINEFTSGTEMPNLNSTGHSERAFLPPISTYHNQQGSRGSLNSGLDTNVAFSGFQNERQIISDLAPASNHEALFDSRPGLCLGPSYAFQRPASNDQNIYLGQVSRDLGLGGVKDISMEFTDIGRNVGPERCMDLNSLPIVASQTVPFESGQNNVNGQLLPSSSKMITDKLSTELLHKNNDKFSSQVFSTPSLAMAPRSTRGQDLTSDHGQSQAGGSVHQSNSRLQPQSTSDLGIQTGSGNMTAQVSRSSLKRAASQPLSSTVQSQHRKTMPTQFVHPSIPTRTRLAPSVPITSRAISPLAQPAQSITPQATQSVVPPLFPTTWTKSALPPPNKTRAIPPRMHAAPFPHLITGESHLSIIPHPIHHLVQTYLISINC >Vigun05g301100.2.v1.2 pep primary_assembly:ASM411807v1:5:48444046:48449086:1 gene:Vigun05g301100.v1.2 transcript:Vigun05g301100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSASMAELSEELRFSLVNTVPANHDSNPSDRQCNDTTTPLPVDSPSPFPHWNLNETSQFISNEPAGGTSMIDSSQFRSCQNFQRDHNYSHSAEEHSSSQFGSYQNLQKDHNYSHRAEEHNFVPCRPNSCYPCPEGYTGWPYQYDRHNNLTIPANLRNAAFNLNNFEKPDSGAMYVTPGYRLTSRPQMFTPRGRTMSGNIDQHSLDTNLGMTLCSSNQGGAEPLLAIGKRDERFMTISSGSNINEFTSGTEMPNLNSTGHSERAFLPPISTYHNQQGSRGSLNSGLDTNVAFSGFQNERQIISDLAPASNHEALFDSRPGLCLGPSYAFQRPASNDQNIYLGQVSRDLGLGGVKDISMEFTDIGRNVGPERCMDLNSLPIVASQTVPFESGQNNVNGQLLPSSSKMITDKLSTELLHKNNDKFSSQVFSTPSLAMAPRSTRGQDLTSDHGQSQAGGSVHQSNSRLQPQSTSDLGIQTGSGNMTAQVSRSSLKRAASQPLSSTVQSQHRKTMPTQFVHPSIPTRTRLAPSVPITSRAISPLAQPAQSITPQATQSVVPPLFPTTWTKSALPPPNKTRAIPPRMHAAPFPHLITGESHLSIIPHPIHHLVQTYLISINC >Vigun05g256500.1.v1.2 pep primary_assembly:ASM411807v1:5:45072928:45073723:1 gene:Vigun05g256500.v1.2 transcript:Vigun05g256500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGKIKKGAGGRKGGGPKKKPVARSVRAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKASKEPKSPSKATKSPKKA >Vigun09g118700.1.v1.2 pep primary_assembly:ASM411807v1:9:26174251:26180468:1 gene:Vigun09g118700.v1.2 transcript:Vigun09g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTLRFLFLLFLCSHLTCVLSWKKEEFRTCHQTPFCKRARSRIPGSSSLIATDVTISDGDLTAKLTPKSEPQAKPLILTLSVHQHGILRLKIDEDPSLSPPKKRFEVPDVVVPEFTSSKLWLPRLSEEDNGLASSVYLSDGHSAVIRHDPFELFVRDDNSGERVISLNSHGLFDFEQLKAKSEDDNWEETFRSHTDRRPYGPQSISFDVSFYGADFVYGIPERATTLALRPTRGPNVEESEPYRLFNLDVFEYIHDSPFGLYGSIPFMVSHGKTRGSSGFFWLNAAEMQIDVLAPGWEAESAGSHIALPSHRIDTLWMSEAGVVDTFFFIGPSPKDVLQQYTAVTGTPAMPQMFSIAYHQCRWNYRDEEDVEHVDSKFDELDIPYDVLWLDIEHTNGKRYFTWDRTLFPHPEEMQKKLADKGRHMVTIVDPHIKRDDDFYLHKEASKKGYYVKDSSGKDFDGWCWPGSSSYPDTLNPEIRSWWADKFSYQNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHYGGVEHRELHNAYGYYFHMATADGLVKRGDGNDRPFVLSRALFAGSQRYGAVWTGDNTAEWDHLRVSIPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRAHAHHDTKRREPWLFGERNTELIKDAIHVRYALLPYFYTLFREANTTGVPVVRPLWMEFPSDEATFSNDEAFMVGSSLLVQGIYTERAKHASVYLPGKESWYDLRTGTVYKGGVTHKLQVTEESIPAFQRAGTIVARKDRFRRSSTQMANDPYTLVIALNSSQSAEGELYIDDGSSFKFLQGAYIHRRFIFSNGKLTSIDLAPASGSNKRYSSDAFIERIILLGQASGSKNALIEPSNQKIDIELGPLWFLRARAPAVVTVRQPNVRVAEDWTITVI >Vigun06g007320.1.v1.2 pep primary_assembly:ASM411807v1:6:3755448:3756166:-1 gene:Vigun06g007320.v1.2 transcript:Vigun06g007320.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGDGSGSDPQNSEAPVPSSEGQKPLVGEGPKPPSSQVIRYWKYCRRVSHASCCSESFLVSVNQRHQEREEPLLSNRCRVPLFPVRESPSALTRRARILMSGSLFKKKTFLFSLPFASSSFRKPRLILLDLY >Vigun01g060700.1.v1.2 pep primary_assembly:ASM411807v1:1:12761003:12770284:1 gene:Vigun01g060700.v1.2 transcript:Vigun01g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKSFGDRAYRGRPAELDEKLEKAKNKKKKKDRDAAADAAVSVPSKRRRVQHDSVLSASDDGVYQPKTKETRAAYEAMLSVIQHQLGGQPLSIVSAAADEILAVLKNDTLKNTDKKKDIEKLLNPIPNHVFDQLVSIGKLITDFQEAADVPNGNSAMDGEEGLDDDVGVAVEFEENEDDDEESDLDIVQDDEEEEEDGVEQNGSGAMQMGGGIDDEDMEDGNEGMSLNVQDIDAYWLQRKISLAFEQQIDPQQCQKLAEEVLKILAEGDDREVESKLLFHLEFDKFSLIKFLLRNRLKIVWCTRLARAQDQEERERIEEEMKGTELQPILEQLHATRASAKERQKNLEKSIREEARRLKDDTGGDGDKERDRGRRGLADRDGESGWLKGQRQMLDLDNIAFAQGGFFMAKKKCDLPDGSYRHLSKGYEEIHVPALKAKALDPNEKLVKISSMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNPEDGSIDHSAYKIVYVAPMKALVAEVVGNLSNRLQEYDVKVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKDYIRLVGLSATLPNYEDVALFLRVDLKKGLFYFDNSYRPVPLSQQYVGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETAKTARAIRDAALAKDTLGRFLKEDSASREILQTHTDLVKSNDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIVTGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWIGYTYLYVRMLRNPSLYGIAPDVLTRDITLEERRADLIHTAATILDRNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFNGIPSDLLTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFAWDDRIHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIHVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSVMRVVYVAPIEALAKERYRDWERKFGGGLKLRVVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVVVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNRKPALVFVPTRKHVRLTAVDLITYSGADSGEKPFLLRSPEELEPFLDKISDEMLKVTLREGVGYLHEGLNSLDHDIVTQLFDAGWIQVCVLNSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCITIEEDMDLSPLNLGMIASYYYISYTTIERFSSSVTSKTKMKGLLEILSSASEYAQLPIRPGEEEVVRKLINHQRFSFENPKVTDPHVKANALLQAHFSRQFVGGNLALDQKEVLLSANRLLQAMVDVISSNGWLSLALLTMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGKSIETVFDLLEMEDDERRELLGMSDSQLLDIARFCNRFPNIDLSYEVLDSDNVRAGEDVTLLVTLERDLEGKTEIGPVDAPRYPKAKEEGWWLVVGDTKTNLLLAIKRVSLQRKLKAKLEFAAPADTGRKSYALYFMCDSYLGCDQEYGFTVDVKEADGDDEDSGRE >Vigun02g166650.1.v1.2 pep primary_assembly:ASM411807v1:2:31072093:31073153:-1 gene:Vigun02g166650.v1.2 transcript:Vigun02g166650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCVVGDDQKGSTKKFVDVVGGSRRDFGTEVCLISQWCSKYVRQCSGNAFNIRITCNSAKIINVVFSRIHVTLLP >Vigun01g235700.2.v1.2 pep primary_assembly:ASM411807v1:1:40712043:40715435:1 gene:Vigun01g235700.v1.2 transcript:Vigun01g235700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCVNSKTEKDGALRLCKERKMLIKVAIDSRHAFAAAHLSYIQSLRNIGVALRRYAEAEMLLESSLSISDHTPSLSTYPSPSPSESPLNNESHHHVSYMKTGGSEAVNVMIDPHAENLWVDEAIESDASWDFFDAADSAKSSEFTVHASHYKDEEETGSFLENDYCNLPVECCRQKGDGEMRKLESSTNVGVVGRSSSKNSKNVAVNTEREDPSEFISHRAKDFLSSVKVIKHRFVRASESGREVSRLLEANKIKVGYSEPKGKSPATIFLATFMFACFSENAIPAISQEPAQKIISWKRTESSQSASMRNPLVKTSETYMDDSGSDFVEEPCMISGSHSCTLERLYAWERKLYDEVKAGEFIRKKFDRKCDQLRHQFAKDESSRVIDKTRSIVKDLHSQIIVAIYSADLISKRIEMIRDEELFPQLLEFTQGLMRMWKGMVECHHAQYITMSLAYNSRSSTRGLEGDRRREIMGEVLKELECFGLSLHNWINSHTSYIESLNGWLQNCVLEPRERSKNRKPFSPRRALAPPIFVLSRDWCAGIKALPYEELSHAVKCFVSNSVVASTIDDSSHLCCIHGSLTKLVHQLTKFSEASLKMYEDIRQKVEAAQTAYHGCKSFRTAKIRS >Vigun01g235700.1.v1.2 pep primary_assembly:ASM411807v1:1:40712043:40715435:1 gene:Vigun01g235700.v1.2 transcript:Vigun01g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCVNSKTEKDGALRLCKERKMLIKVAIDSRHAFAAAHLSYIQSLRNIGVALRRYAEAEMLLESSLSISDHTPSLSTYPSPSPSESPLNNESHHHVSYMKTGGSEAVNVMIDPHAENLWVDEAIESDASWDFFDAADSAKSSEFTVHASHYKDEEETGSFLENDYCNLPVECCRQKGDGEMRKLESSTNVGVVGRSSSKNSKNVAVNTEREDPSEFISHRAKDFLSSVKVIKHRFVRASESGREVSRLLEANKIKVGYSEPKVGKSPATIFLATFMFACFSENAIPAISQEPAQKIISWKRTESSQSASMRNPLVKTSETYMDDSGSDFVEEPCMISGSHSCTLERLYAWERKLYDEVKAGEFIRKKFDRKCDQLRHQFAKDESSRVIDKTRSIVKDLHSQIIVAIYSADLISKRIEMIRDEELFPQLLEFTQGLMRMWKGMVECHHAQYITMSLAYNSRSSTRGLEGDRRREIMGEVLKELECFGLSLHNWINSHTSYIESLNGWLQNCVLEPRERSKNRKPFSPRRALAPPIFVLSRDWCAGIKALPYEELSHAVKCFVSNSVVASTIDDSSHLCCIHGSLTKLVHQLTKFSEASLKMYEDIRQKVEAAQTAYHGCKSFRTAKIRS >Vigun03g244500.2.v1.2 pep primary_assembly:ASM411807v1:3:40749009:40754557:-1 gene:Vigun03g244500.v1.2 transcript:Vigun03g244500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGRRIRRFVPRRLSHRSLLPLHASSSSSCNVSNTVSCCYCDYKISSFNIPLFLLGRRYPRFFKIWFSIGVGFGLSALIGVSVILLWELARTLHLCGGCIKLGNFASNLLFGLPPLVPGLSLSLADVGYACVSTIISVFVHEFGHAVAATSEGIQIEYIAIFIAILFPGALVAFNYDLLQTLPRLTALRVYSAGIWHNAVCCAACGLALFFLPLILFPFYSSGHNPMVLNVPPTSPLSGYLAPGDVILSINDVTIRNAQEWLTYNVKLNNVNLSHRTGELEIVNKMKGYCVPSFMMEEIKNTKWLGNQHVCPGELTAFVKLCSANVTLHDGQNKTDILNNGRSMYCLNAKDVVKRIRCGDDRGLATLRGGGCTCLQDEFCLAPVQEPGLVWVEIAYSSPSHECLSHEQNRFPFSETSGVKATNCGGTFIFVGDVVSMAHSIKLTSYQPRWGPQIVAYFPSILERILIWTFHTSLTLALLNALPVSQGSIKQRT >Vigun03g244500.3.v1.2 pep primary_assembly:ASM411807v1:3:40749009:40754557:-1 gene:Vigun03g244500.v1.2 transcript:Vigun03g244500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGRRIRRFVPRRLSHRSLLPLHASSSSSCNVSNTVSCCYCDYKISSFNIPLFLLGRRYPRFFKIWFSIGVGFGLSALIGVSVILLWELARTLHLCGGCIKLGNFASNLLFGLPPLVPGLSLSLADVGYACVSTIISVFVHEFGHAVAATSEGIQIEYIAIFIAILFPGALVAFNYDLLQTLPRLTALRVYSAGIWHNAVCCAACGLALFFLPLILFPFYSSGHNPMVLNVPPTSPLSGYLAPGDVILSINDVTIRNAQEWLTYNVKLNNVNLSHRTGELEIVNKMKGYCVPSFMMEEIKNTKWLGNQHVCPGELTAFVKLCSANVTLHDGQNKTDILNNGRSMYCLNAKDVVKRIRCGDDRGLATLRGGGCTCLQDEFCLAPVQEPGLVWVEIAYSSPSHECLSHEQNRFPFSETSGVKATNCGGTFIFVGDVVSMAHSIKLTSYQPRWGPQIVAYFPSILERILIWTFHTSLTLALLNALPGSIKQRT >Vigun03g244500.1.v1.2 pep primary_assembly:ASM411807v1:3:40749009:40754557:-1 gene:Vigun03g244500.v1.2 transcript:Vigun03g244500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGRRIRRFVPRRLSHRSLLPLHASSSSSCNVSNTVSCCYCDYKISSFNIPLFLLGRRYPRFFKIWFSIGVGFGLSALIGVSVILLWELARTLHLCGGCIKLGNFASNLLFGLPPLVPGLSLSLADVGYACVSTIISVFVHEFGHAVAATSEGIQIEYIAIFIAILFPGALVAFNYDLLQTLPRLTALRVYSAGIWHNAVCCAACGLALFFLPLILFPFYSSGHNPMVLNVPPTSPLSGYLAPGDVILSINDVTIRNAQEWLTYNVKLNNVNLSHRTGELEIVNKMKGYCVPSFMMEEIKNTKWLGNQHVCPGELTAFVKLCSANVTLHDGQNKTDILNNGRSMYCLNAKDVVKRIRCGDDRGLATLRGGGCTCLQDEFCLAPVQEPGLVWVEIAYSSPSHECLSHEQNRFPFSETSGVKATNCGGTFIFVGDVVSMAHSIKLTSYQPRWGPQIVAYFPSILERILIWTFHTSLTLALLNALPVYFLDGEYILDETLSHFTSLSPRKRKKVLRLCLLAVSLASIIVCFGELLQLSFF >Vigun07g114800.1.v1.2 pep primary_assembly:ASM411807v1:7:21247477:21251191:-1 gene:Vigun07g114800.v1.2 transcript:Vigun07g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDEVNLSESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANAIPVDGVFSFDHVDRNTGLFNRVYQVAPQKETRCNIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVNLCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRKWLQCGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGADRDHPACNPFGPNGKNLAGLKLPKSLVCVAGLDLLQDWQLEYVEGLKNCGQDVKLLYLKEATIGFYFLPNNDHFYILMEEIKNFVNPNC >Vigun07g114800.4.v1.2 pep primary_assembly:ASM411807v1:7:21247477:21251191:-1 gene:Vigun07g114800.v1.2 transcript:Vigun07g114800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDEVNLSESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANAIPVDGVFSFDHVDRNTGLFNRVYQVAPQKETRCNIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVNLCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRKWLQCGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGADRDHPACNPFGPNGKNLAGLKLPKSLVCVAGLDLLQDWQLEYVEGLKNCGQDVKLLYLKEDPIHN >Vigun07g114800.2.v1.2 pep primary_assembly:ASM411807v1:7:21247096:21251191:-1 gene:Vigun07g114800.v1.2 transcript:Vigun07g114800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDEVNLSESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANAIPVDGAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRKWLQCGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGADRDHPACNPFGPNGKNLAGLKLPKSLVCVAGLDLLQDWQLEYVEGLKNCGQDVKLLYLKEDPIHN >Vigun07g114800.3.v1.2 pep primary_assembly:ASM411807v1:7:21247096:21251191:-1 gene:Vigun07g114800.v1.2 transcript:Vigun07g114800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDEVNLSESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANAIPVDGAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRKWLQCGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGADRDHPACNPFGPNGKNLAGLKLPKSLVCVAGLDLLQDWQLEYVEGLKNCGQDVKLLYLKEATIGSHT >Vigun07g114800.5.v1.2 pep primary_assembly:ASM411807v1:7:21247477:21251191:-1 gene:Vigun07g114800.v1.2 transcript:Vigun07g114800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDEVNLSESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANAIPVDGVFSFDHVDRNTGLFNRVYQVAPQKETRCNIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVNLCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRKWLQCGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEDIEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGADRDHPACNPFGPNGKNLAGLKLPKSLVCVAGLDLLQDWQLEYVEGLKNCGQDVKLLYLKEDPIHN >Vigun07g213500.1.v1.2 pep primary_assembly:ASM411807v1:7:33497953:33503623:1 gene:Vigun07g213500.v1.2 transcript:Vigun07g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSASASGYTKGLSLLLLGQRDEDKPMRVAPWNQYQLVDEETDRELQLASTKNRLTRGCASFVCFGRTSAGLDTPSPLKVGPAQQHDVSPGPLVSDKGNDPSAHLDDGNDNRKVTLKSSIKKPQIVKPVHVEAASEQEAAGGQGICAPDGQAERRKVQWTDNCGSELVEIREFEPSEVDGSDDEFDSGNDRTCSCAIM >Vigun04g089633.1.v1.2 pep primary_assembly:ASM411807v1:4:16022531:16023148:1 gene:Vigun04g089633.v1.2 transcript:Vigun04g089633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKVGDNVIKRSLTNNTFQVVTDVAASAEELTNPFVKEGFEVYGRQTKGTKIVDLIKVVEHQERVLGELQKELEDLNEMMIERMNQRQHQHGKMKFSEFGEPSVGHSQTPNLNHPTGCIEFGNSGDMGHVEEVHFPNQEAQESEQSNMYMRRRDGPRLRVRSMAIRTPFATFSGRKRHK >Vigun04g157800.1.v1.2 pep primary_assembly:ASM411807v1:4:37985002:37990649:1 gene:Vigun04g157800.v1.2 transcript:Vigun04g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVRCNCGEGSCEEWGIVELQGVVEPQPGFHDSLPNLHIGTLCRPSSQEVYTFTVGYHELTGSKVPLKKPLVVLKKVKHPDGESGCKVELQVVGVIRHKILFKNRPKALISKPQMASRERQKPIMLGSSP >Vigun11g034901.1.v1.2 pep primary_assembly:ASM411807v1:11:4710111:4718814:1 gene:Vigun11g034901.v1.2 transcript:Vigun11g034901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWKVVAWRRSLPRCVGRKWRQRELQLSWIDGERRSINFGKNLSSRTEQYGAGNPRRDDDRDVADRDVAGDGTCVIKKMEYFLRGRRGEWRWRSPVGNGAVDALARWQWRRSVAASALLQQCESLHGRGRGTNPGAKGKRDSSVGSGINGSEESGVEWRILRRWEVPWDWQTVSLTSLACVIGFLSTGVMDAIHRFKPDVLSLNVEAVMLLLDIGITTAVILGIIYSVADTFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVSAFIANVLTRVAVSIFSGGPPKGQTDALVILAPLVGSSNLGTACRLVVSCVLIPLLEETVFRGFLMTSLTKWVPTPVAVVIISSLFALAHLRPAQFPHIFVIGSVLGFSYAQTRNLLTPITIHAFWNLLVIYVTFFSRKLDGNILSLTWM >Vigun11g034901.2.v1.2 pep primary_assembly:ASM411807v1:11:4710308:4718814:1 gene:Vigun11g034901.v1.2 transcript:Vigun11g034901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLWKVVAWRRSLPRCVGRKWRQRELQLSWIDGERRSINFGKNLSSRTEQYGAGNPRRDDDRDVADRDVAGDGTCVIKKMEYFLRGRRGEWRWRSPVGNGAVDALARWQWRRSVAASALLQQCESLHGRGRGTNPGAKGKRDSSVGSGINGSEESGVEWRILRRWEVPWDWQTVSLTSLACVIGFLSTGVMDAIHRFKPDVLSLNVEAVMLLLDIGITTAVILGIIYSVADTFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVSAFIANVLTRVAVSIFSGGPPKGQTDALVILAPLVGSSNLGTACRLVVSCVLIPLLEETVFRGFLMTSLTKWVPTPVAVVIISSLFALAHLRPAQFPHIFVIGSVLGFSYAQTRNLLTPITIHAFWNLLVIYVTFFSRKLDGNILSLTWM >VigunL010603.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:481:1067:1 gene:VigunL010603.v1.2 transcript:VigunL010603.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILKKRSKEFLFVVDFLWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNEIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFFLGVPLLY >Vigun11g119200.1.v1.2 pep primary_assembly:ASM411807v1:11:32528026:32533028:-1 gene:Vigun11g119200.v1.2 transcript:Vigun11g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAPKFFTTAPLRTVSPFACRFSVRSIADSVPFNKIRIQRDDDTAFDAYVVGKNNAPGIVVLQEWWGVDFEIKNHAVMISQLGRGFKALIPDLYRGKVGLDVAEAQHLMNGLDWQGAVKDIHASVNWLKANGSAKAGVTGFCMGGALAIASSVLVPNVDAAVAFYGVPSSELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEKKLKESGVAHEVHIYPNNGHAFMNRSPEGIKRRKNMGMSDEDEAAVQLAWSRFQSWMTHYLTV >Vigun06g038500.1.v1.2 pep primary_assembly:ASM411807v1:6:15635519:15637637:-1 gene:Vigun06g038500.v1.2 transcript:Vigun06g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVFVLEGEMSLVLRQMNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRLVPHKANKTLSIIDTGIGMTQTDLAYNLGVGFYSTYLIADKVIVTSKHKDHDQYIWESQPGASFIVNNDINAQQPSRGTNITLFLKDNQLEYLEEVTIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWFHNRERDSKHVAQKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGERFQNMEKLYWPSQIQEDYLEGIGILGSSSINGILCLYVNNGRQLVYLWNPTINELKVIPPSPFENAPYYIYIGINYHGFGYDCVRDDYKVVRQVSFFVNSDDDVEPHDVFPLSCIWEMYSLGSNSWTNLELRASVPTSFDDNNKFYLEGMCHWLGYAESCIQHVVSFDLINKVWITTLPPLDIPMEIYDNKHFVRRQLFLLNQSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPVGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHGGYSQLVVHKKSLLTIARINS >Vigun03g082900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882705:6886137:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882567:6886778:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882567:6886777:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882705:6885003:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882567:6886778:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882705:6886137:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g082900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6882567:6886778:1 gene:Vigun03g082900.v1.2 transcript:Vigun03g082900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAATAILLAAMFGMFSITKSTVSHECAAARNQNQQALRRDKVTVLMNGFSESRIPLLHSLAVAYSLSPIVSSVLVLWGNPSTASTVLNQLARNLSLSPSSTPISLLLQASASLNNRFLPRPSHISTDAVLICDDDVEVDSKSLEFAFRVWEKNPNRLVGLFARSHDIDLNRREWVYTVHPDRFSIVLTKFMLLRAHYLFLYTCVGGAGMARARGIVDAVRNCEDVLMNLVVAEEEQVGPLLVGAKRVRDYGDARNEGEEEVRVGLSSRKGEHRKRRGWCIGEFHRVLGRMPLRYSYGKVVDDVGEQGLCYKGGKIVFCDQS >Vigun03g062900.1.v1.2 pep primary_assembly:ASM411807v1:3:5155070:5155639:-1 gene:Vigun03g062900.v1.2 transcript:Vigun03g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKPKSLIKKSLQKEKQIVVHPLPLRKPSVTHSNASGLYTLPLLQPSFKFLAINHPNSTNSSRGAFSRKEETKSLEPSSSEVREEGFKCSALCMPLPGFGKTKPVKARKEETQMHAVNPVLSLSKPSSLEKFERSCLVSQARMHENEGDNSISSYFDLPSELFKFSSHNA >Vigun10g112866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31357319:31357639:-1 gene:Vigun10g112866.v1.2 transcript:Vigun10g112866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVSNSSSINILRRCALLRPRVLTLEFCSLLHRFGASAPMDNGSNNRFSSYAHASSRLLLISPSPSSKLTNSLSLFSSPNASVQIFVNFEGFVWFRECDCESNA >Vigun07g023100.4.v1.2 pep primary_assembly:ASM411807v1:7:1971088:1977008:1 gene:Vigun07g023100.v1.2 transcript:Vigun07g023100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAAETESKENIWCRETVPKVFKLVCCTLPLSNTDLVSLLLVSPSLHRTLLSCQPLWEFLNLRELKNAGNRLIAALSLPRYRHVKQINLEFARDVEDTHLILIKDKCFNSLQSLESLNLNACQKISDTGIEAITSCCPQLKSFSIYWNIRVSNTGLQYIVKNCKHIVDLNISGCKNISDQGVQLVADNYPELESLNLTRCVKLTDEGLKSLLQKCIFLQSLNLYALSSFTDEAYRNLGLLSRLKFLDLCGAQNLSDEGLSCISKCKNLESLNLTWCVRVTDEGVISIAKGCTSLQFLSLSSYV >Vigun07g023100.5.v1.2 pep primary_assembly:ASM411807v1:7:1971088:1977008:1 gene:Vigun07g023100.v1.2 transcript:Vigun07g023100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAAETESKENIWCRETVPKVFKLVCCTLPLSNTDLVSLLLVSPSLHRTLLSCQPLWEFLNLRELKNAGNRLIAALSLPRYRHVKQINLEFARDVEDTHLILIKDKCFNSLQSLESLNLNACQKISDTGIEAITSCCPQLKSFSIYWNIRVSNTGLQYIVKNCKHIVDLNISGCKNISDQGVQLVADNYPELESLNLTRCVKLTDEGLKSLLQKCIFLQSLNLYALSSFTDEAYRNLGLLSRLKFLDLCGAQNLSDEGLSCISKCKNLESLNLTCLFGIVGVTDKSLEELSKSCSNKITTLDVNGCIGIKKRSREDLLQLFPYLKCFKVHS >Vigun07g023100.2.v1.2 pep primary_assembly:ASM411807v1:7:1971088:1977008:1 gene:Vigun07g023100.v1.2 transcript:Vigun07g023100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAAETESKENIWCRETVPKVFKLVCCTLPLSNTDLVSLLLVSPSLHRTLLSCQPLWEFLNLRELKNAGNRLIAALSLPRYRHVKQINLEFARDVEDTHLILIKDKCFNSLQSLESLNLNACQKISDTGIEAITSCCPQLKSFSIYWNIRVSNTGLQYIVKNCKHIVDLNISGCKNISDQGVQLVADNYPELESLNLTRCVKLTDEGLKSLLQKCIFLQSLNLYALSSFTDEAYRNLGLLSRLKFLDLCGAQNLSDEGLSCISKCKNLESLNLTWCVRVTDEGVISIAKGCTSLQFLRHV >Vigun07g023100.1.v1.2 pep primary_assembly:ASM411807v1:7:1971088:1977008:1 gene:Vigun07g023100.v1.2 transcript:Vigun07g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAAETESKENIWCRETVPKVFKLVCCTLPLSNTDLVSLLLVSPSLHRTLLSCQPLWEFLNLRELKNAGNRLIAALSLPRYRHVKQINLEFARDVEDTHLILIKDKCFNSLQSLESLNLNACQKISDTGIEAITSCCPQLKSFSIYWNIRVSNTGLQYIVKNCKHIVDLNISGCKNISDQGVQLVADNYPELESLNLTRCVKLTDEGLKSLLQKCIFLQSLNLYALSSFTDEAYRNLGLLSRLKFLDLCGAQNLSDEGLSCISKCKNLESLNLTWCVRVTDEGVISIAKGCTSLQFLSLFGIVGVTDKSLEELSKSCSNKITTLDVNGCIGIKKRSREDLLQLFPYLKCFKVHS >Vigun07g023100.3.v1.2 pep primary_assembly:ASM411807v1:7:1971088:1977008:1 gene:Vigun07g023100.v1.2 transcript:Vigun07g023100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAAETESKENIWCRETVPKVFKLVCCTLPLSNTDLVSLLLVSPSLHRTLLSCQPLWEFLNLRELKNAGNRLIAALSLPRYRHVKQINLEFARDVEDTHLILIKDKCFNSLQSLESLNLNACQKISDTGIEAITSCCPQLKSFSIYWNIRVSNTGLQYIVKNCKHIVDLNISGCKNISDQGVQLVADNYPELESLNLTRCVKLTDEGLKSLLQKCIFLQSLNLYALSSFTDEAYRNLGLLSRLKFLDLCGAQNLSDEGLSCISKCKNLESLNLTWCVRVTDEGVISIAKGCTSLQFLRYINLRSL >Vigun08g095900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23049547:23049780:1 gene:Vigun08g095900.v1.2 transcript:Vigun08g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGYGFAEAYVTRKLYKEKLKKREEEEGKKTKMVKISTVERGCEKKTSTGCFPWVSNESKSSRISDCNHAEYAN >Vigun10g027150.1.v1.2 pep primary_assembly:ASM411807v1:10:3281319:3282169:1 gene:Vigun10g027150.v1.2 transcript:Vigun10g027150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQCSSCNVGGNQNPSASFCGGSGSIDVGVSVMCYCGEKATLRTARTLKNKGKKFWGCPKYKSGSDQCGGCNYFKWFTDNEIEEKGWSSQKIEEMGGGKLKIEEMGCDGKLSIKNVEEMGCGGNKNAENTAAVRSVVAEEMEKCMKSIENRLSVLTVVVGVLCVLNIIAVYVLVTKP >Vigun03g449450.1.v1.2 pep primary_assembly:ASM411807v1:3:65054748:65055295:-1 gene:Vigun03g449450.v1.2 transcript:Vigun03g449450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLERKLGHLAESIEREKKRIHDEHSQLKSDSKLSISRISANLEQMECRANNAEREAELLKEQLKHLKGQLDEYL >Vigun07g239100.1.v1.2 pep primary_assembly:ASM411807v1:7:36054468:36055834:1 gene:Vigun07g239100.v1.2 transcript:Vigun07g239100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPQPGQDPIKYGDVFDVSGDLAKKPIAPEDAAMMQSAETRVLGQTQLGGAASVMQSAATQNEQAGLVGHLDVNDVASDRGVTVSETRVPGRRIITEAVGGKVVQQYAEPTPVQTGRVSAVGESAITIGEALEATAQTVGHKAVDQSDASAIQAAEVRATGNNVTSPGGLAAMAQSAAAYNADCTRDEDKVKLGDVLGGATAKLAADKAVTMEDAERVASAELRNNPDETATPGGVAASVAAAARINENVGAF >Vigun03g031400.1.v1.2 pep primary_assembly:ASM411807v1:3:2378588:2387661:1 gene:Vigun03g031400.v1.2 transcript:Vigun03g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKKEPPSLGKRREPELPVTVPDTASKLKRPRSAERTCVHEVAVPSGYVSSKDSELHGTLSNPLHKGPMAKSYPFTLDPFQQVSIACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDRQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLSPNATCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYAFPIGGTGLYLVVDENEQFREDNFLKLHDTFGKQNSADGRRGGKSGGRGGRGGNTSGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTPEEKETVEQVFRNAVLCLNEEDRCLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNNLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPDIEKRVSKLEQEVTLLDASGEAEVSEYHKLKLEIAQLEKKMMAKIIRPEIILYFLVPGRLVKIREGGTDWGWGVVVNVVKKPSGGGYIVDTLLHCSPCSTENNSRPKPCPPRPGEKGEMHVVPVQLPLISTLGKLRVSIPSDLRPLEARQSVLLAVQELVNRFPGGLPKLNPVKDMDVRDSEIVELVNQIEEIEKKMFAHPMHKRQDVDQIKCFERKAEANHEIQQLKTKMRDSQLQKFREELKNRSRVLKKLGHIDADGVVQLKGRAACLVDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPGDKSNEQIQLRTELAKPLQQLQDSARRIAEIQHECKLDINVDECVESTVRPYLMDVIYSWSKGVNFAEIIQMTDIFEGSIIRSARRLDEFLNQLRAAANAVGEADLEKKFAAASESLRRGIMFANSLYL >VigunL060900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000454.1:69644:70778:1 gene:VigunL060900.v1.2 transcript:VigunL060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEEKTIITKFAKSKFFFPKVIRISATDHNATDSSSEEEDDEEKLIHLHRVKRVVSEIRIVHANKTKGHNKNMDNNNDSCCSTKYKEAMKQQHHQQKQEHKFRGVRQRPWGRWAAEIRDPIRRKRVWLGTFDTAEEAAVVYDRAAINLRGADAVTNFIKPPLKECESGVKRRSCGACASPTSVLLFQPWMEPLSLEETFKEEVFDGLNDEVDSFLFSDHALYEQPLPTVFSNIDVSLDEDLESCKWDVDSFFTDDTFQ >Vigun08g135800.2.v1.2 pep primary_assembly:ASM411807v1:8:30738754:30743862:-1 gene:Vigun08g135800.v1.2 transcript:Vigun08g135800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASNSCINILYLLTKKLTMKFYFPRVHPFLFIVVILCPMVFADLNSDKQALLDFAASVPHRRDLKWNSATSICTSWVGVTCNQNGTRVVSLRLPGIGLVGTIPANTLGKIDSLRNISLRANLLSGSLPPDISSLPSLQYLYLQHNNLSGNIPTSLSTHLNVLDLSYNSFTGAIPKTLQNLTQLTRLNLQNNSLSGEIPNLNVTKLRQLNLSYNNLNGSIPAALQTFPNSSFEGNSLCGLPLNPCSSAPPAPPPSLTPAPPTPSRHSSKSKLSKAAIIAIAVGGGVLLLLAALIIVLCCLKKKNDGSPRATKGKGPSGGRTEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTAYKAILEESTTVVVKRLKEVVVGKREFEQQMEIVRRVGQHPNVVPLRAYYYSKDEKLLVYDYIPNGNLSTLLHGNRASGRTPLDWNSRIKISVGIARGIAHIHSVGGPKFTHGNVKSSNVLLNQDNDGCISDFGLTPLMNVPATPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPQQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKVPDMRPTMEEVVRMIEEIRQSDSENRPSSEENRSKEESTAQTP >Vigun09g256300.1.v1.2 pep primary_assembly:ASM411807v1:9:42227356:42229721:-1 gene:Vigun09g256300.v1.2 transcript:Vigun09g256300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTTLLLVAFQVLVTLSSAGADYIPPSRFDGFVYGQGSLNLLDTVLIEAFYDPVCPDSRDSWPGLKQALHHYGSRVSLLLHLLPLPYHDNAFVASRALHIASNLNASSTFPLLEWFFKHQERFYGPNTRNLSRASIVEEIVKSATLVLGSSYYNAIKNGFNDTNTDYQTRVSFKYATSRGVYGTPFFYVNGFLLPDTGDAIDYKAWRKVIDPLVGTKKSIQNTDSLHFFL >Vigun10g199300.1.v1.2 pep primary_assembly:ASM411807v1:10:41151221:41158163:-1 gene:Vigun10g199300.v1.2 transcript:Vigun10g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSSGLFWFQIKCSDSKQGGRGFGENSNRIKTNKSDIGFVSQQSVKGPAPKQSRSLSSQAPRLSSQLDGKSRNDFLDVDFEERLKTVRRSALEQKKTEEQKEFGAIDYDAPVSSDEKTIGLPTKIGVGVAVVLFGLVFAFGDFLPSGSVSPADDSAVVSSKLSEEEKATLQSRLKEFEATLSISPRDPTALEGAAVTLAELGEYAKAASLLDDLTKVKPNDPDVFRLLGEVKYGLKDYEGSVVAYKSSAKVSKDIQLEVLRGLTNSLLAAKKPEEAVQLLLTYRKDLSSENLSKNSNSNPSDSQKLDPVQVELLLGKAYSDWGHVSDAVAVYDQLISTYPNDFRGYLAKGIILKENKNIGDAERMFIQARFFAPEKAKALVDRYSR >Vigun05g146500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20233616:20234413:1 gene:Vigun05g146500.v1.2 transcript:Vigun05g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFALFLLSALTLYPPSTTAQPVTDANGNIVKNGGRFFILPLFFGAGGGGIRRARTGYETSPLSVVQSPLETDDGQPWIITSIFKSGFIPEGSRVSISYEYVELGDSVESNEWSAVAGLPEGTLVKVGYPNTINGSFSIHRASSANSYKFLFCSASSSVCGNVGIVLDKAGNRLLAIKQETSFEFVLVELPSAVSK >Vigun07g137200.1.v1.2 pep primary_assembly:ASM411807v1:7:24741733:24743942:1 gene:Vigun07g137200.v1.2 transcript:Vigun07g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSVMPLLVMILVQLIYAGMNFSSKLAIQSGMHPLVLVAYRQIFATFSVAPFAYCFERNTAPPMTMRIAMQILSSSLTGITGNQILYFVGLKHSTATIACALNNLLPAFTFALAVLCRQECLRMKTKAGVAKVFGTILSVGGAVVLSFYHGKLLGLGESKIQWNYAEKIDRETSSGGETNLLLGPVAVICSALVWAVWFIIQANISKSYPVPFTSTFYTCLMASIQCLAIGLSVEREASAWSLRSTIRLTSALYAGTVSTGLTYVLLSWSIEKKGALYASVFSPLLLVIIAVVSWALLHEKLYIGTAIGSLVIVIGLYFMLWGKNKEVDENDGIDEITEAMEDDVKDLELQPYNPFNGNGKHHEDDDKRKEKVTGRLSA >Vigun09g224200.2.v1.2 pep primary_assembly:ASM411807v1:9:39711716:39716240:1 gene:Vigun09g224200.v1.2 transcript:Vigun09g224200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQGSTIAGFVDNASIQRKQSSFDGVPVYVKELIAGGFAGALSKTAVAPLERVKILWQTRTPGFHSLGVYESMNKLVKREGFQGLYKGNGAGVIRIVPYAALHFMTYERYKSWILNNYPVLGSGPCIDLLAGSAAGGTSVLCTYPLDLARTKLAYQVVDTRGDIKDGIRGVQPTHNGIKGVLTSVYKEGGARGLYRGAGPTLTGILPYAGLKFYMYEKLKTNLPEEHQKSIVMRLSCGALAGLFGQTLTYPLDVVKRQMQVGSLQNASHENVRYKNTIDGLRTIVRTQGWRQLFHGIVPSAAISFTTYDMTKSWLGIPPQQQKSRSVSAA >Vigun09g224200.1.v1.2 pep primary_assembly:ASM411807v1:9:39711716:39716240:1 gene:Vigun09g224200.v1.2 transcript:Vigun09g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQGSTIAGFVDNASIQRKQSSFDGVPVYVKELIAGGFAGALSKTAVAPLERVKILWQTRTPGFHSLGVYESMNKLVKREGFQGLYKGNGAGVIRIVPYAALHFMTYERYKSWILNNYPVLGSGPCIDLLAGSAAGGTSVLCTYPLDLARTKLAYQVVDTRGDIKDGIRGVQPTHNGIKGVLTSVYKEGGARGLYRGAGPTLTGILPYAGLKFYMYEKLKTNLPEEHQKSIVMRLSCGALAGLFGQTLTYPLDVVKRQMQVGSLQNASHENVRYKNTIDGLRTIVRTQGWRQLFHGVSINYIRIVPSAAISFTTYDMTKSWLGIPPQQQKSRSVSAA >Vigun07g168600.1.v1.2 pep primary_assembly:ASM411807v1:7:28234360:28235689:-1 gene:Vigun07g168600.v1.2 transcript:Vigun07g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSAENATKAYLSTLKMGQKCKEPDVAEFISALAAGNNAQLMVVACAGVADSTTLALVAAAHQTGGQVICVVPGHEELRASKFALGVASHQVQFMVGEAQELLLNQYDQAADFVLIDCNLENHEEIFRAVQEGRKQNGTVVVGYNAFSCRKSCLACGSKTQLLPIGEGLLVTRFGITETSPKYGSRMGKFKSRWVVKVDKCTGEEHVFRVRSPHRKVIQA >Vigun03g061300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5036821:5037186:-1 gene:Vigun03g061300.v1.2 transcript:Vigun03g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADPSAGRTFIWIITGVLFMSIVAGGACLVAYMLLPESETSSSWIPEIGVALVCLPWFFWLLTFVYRVLSRAFGCRVSLAGVGSADVEVASRAKAGNLNRDSSIASHESEMALAKSMAS >Vigun08g071400.2.v1.2 pep primary_assembly:ASM411807v1:8:11553083:11559080:-1 gene:Vigun08g071400.v1.2 transcript:Vigun08g071400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGKVIKCRAAVAWEPEKPLSIETIEVAPPGKGEVRLKILFNSLCRTDVYWWDVKNPLFPRILGHEASGIVESVGEGVTDLKPGDHALPIFTGECGECKYCKSEESNLCELLRINSDRGVMLSDGKTRFSKNGQPIYHFLGTSTFSEYTVLHAGCVAKINPAAPLDKVCVVSCGFCTGFGATVNVAKPKPNDTVAVFGLGAVGLSACEGARVSGASRIIGVDLLSSRFEQAKKFGVTDFVNPKEHNKPVQQVIAEMTGGGVDRAVECTGSIQASISAFECTHDGWGVAVLVSVPHKDAEFKTHPMKFMEGRTLKGTFYGHFKPRTDIPGVVEKYLNKELELEKLITHSVPFSEINTAFDLMLKGEGIRCLIRMEE >Vigun08g071400.1.v1.2 pep primary_assembly:ASM411807v1:8:11553083:11559080:-1 gene:Vigun08g071400.v1.2 transcript:Vigun08g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGKVIKCRAAVAWEPEKPLSIETIEVAPPGKGEVRLKILFNSLCRTDVYWWDVKGQNPLFPRILGHEASGIVESVGEGVTDLKPGDHALPIFTGECGECKYCKSEESNLCELLRINSDRGVMLSDGKTRFSKNGQPIYHFLGTSTFSEYTVLHAGCVAKINPAAPLDKVCVVSCGFCTGFGATVNVAKPKPNDTVAVFGLGAVGLSACEGARVSGASRIIGVDLLSSRFEQAKKFGVTDFVNPKEHNKPVQQVIAEMTGGGVDRAVECTGSIQASISAFECTHDGWGVAVLVSVPHKDAEFKTHPMKFMEGRTLKGTFYGHFKPRTDIPGVVEKYLNKELELEKLITHSVPFSEINTAFDLMLKGEGIRCLIRMEE >Vigun08g089500.1.v1.2 pep primary_assembly:ASM411807v1:8:20670080:20672797:1 gene:Vigun08g089500.v1.2 transcript:Vigun08g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQHPILHLFLLYVLLNAARTSCKSTIEPCSDTNSCNALLGYTLYTDLKVSEVASLFQIDPIDLLTANAFDISYSDVDHHILPSKLFLKVPITCFCADGIRRSVSTHYRTRLSDTLSSIADSVYGGLVSPDQLREANSIADPSLLDVGHNLVVPLPCTCFNGSDNSLPSIYLSYVVKPMDTLAAIAARYFTTLTDLMNVNDMGSAVISDGDILAVPIPACASNFPKHATDFGLLVPNGSYTITASHCVQCSCGPQNLDLYCIPSSLAVSCSSMQCKRSNLTLGNVTVQHMSSGCNVTSCNYDGFVHGNILTMMASSLQSHCPDQQRFPPLRAPPSSVARESVVAYAPSVSPSQPPLPSQSPSSASEGTGLTAPKSSVMPATRSFPVFSPANGHVGRISSAAPSLVNHIPILLPSFMLLLITLM >Vigun02g142900.1.v1.2 pep primary_assembly:ASM411807v1:2:29144252:29147583:1 gene:Vigun02g142900.v1.2 transcript:Vigun02g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVGVRTRARAALAMEPDTSARPTPKRKTITHTNQDPPKSSKSPRTRTRTTSSSLVKPLTLLPQQPEINRRCLSPTSAEIPAFCCSNNGSTSLDEDMIKLLDLEVESAQVETSTCNGAAQIEGKEMNRSSKHLENSEDTESVEINSCRASSKVQTMPTVSELEEFFVTAEKDIQKRFQDKYNYDVVKDMPLEGRYEWVQLKP >Vigun02g142900.3.v1.2 pep primary_assembly:ASM411807v1:2:29144588:29147495:1 gene:Vigun02g142900.v1.2 transcript:Vigun02g142900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVGVRTRARAALAMEPDTSARPTPKRKTITHTNQDPPKSSKSPRTRTRTTSSSLVKPLTLLPQQPEINRRCLSPTSAEIPAFCCSNNGSTSLDEDMIKLLDLEVESAQVETSTCNGAAQIEGKEMNRSSKHLENSEDTESVEINSCRASSKVQTMPTVSELEEFFVTAEKDIQKRFQDKYNYDVVKDMPLEGRYEWVQLKP >Vigun02g142900.2.v1.2 pep primary_assembly:ASM411807v1:2:29144194:29147570:1 gene:Vigun02g142900.v1.2 transcript:Vigun02g142900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVGVRTRARAALAMEPDTSARPTPKRKTITHTNQDPPKSSKSPRTRTRTTSSSLVKPLTLLPQQPEINRRCLSPTSAEIPAFCCSNNGSTSLDEDMIKLLDLEVESAQVETSTCNGAAQIEGKEMNRSSKHLENSEDTESVEINSCRASSKVQTMPTVSELEEFFVTAEKDIQKRFQDKYNYDVVKDMPLEGRYEWVQLKP >Vigun07g188666.1.v1.2 pep primary_assembly:ASM411807v1:7:30596075:30599866:1 gene:Vigun07g188666.v1.2 transcript:Vigun07g188666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHSKIILLSAHHTETLFHIVSLKARGTKPAFGVVSGWVRIVLVLAVSNRCRLFVLSEKLIMQLPQSEFGLHKTIKEALSCADIFGSPVVSERKVISALFSIPLIAPTSTQDTKLRKAFRLANNGSSLIRFCK >Vigun10g092200.1.v1.2 pep primary_assembly:ASM411807v1:10:26432685:26434532:1 gene:Vigun10g092200.v1.2 transcript:Vigun10g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKDNVTVSDASQVKIDVQPEPLEPNVDIHALGTSGGATGVIWQWKKKDVLMRGSLGLRGIALFLSLISLMLMASNKHGDWKEFDKYQEYRYLLAVAILSSLYSGVQVFRQVHELSTGKNMIQPTTAGLIDFVGDQVVAYLLLSSTSSAIPITDRMRETSDSIFTDSSAAAIAFSFFAFWCLALSAVISGYKLSTRTYT >Vigun08g128500.2.v1.2 pep primary_assembly:ASM411807v1:8:29867567:29870667:1 gene:Vigun08g128500.v1.2 transcript:Vigun08g128500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMRSDTDNQCLKKVKMEVQDEIPPLHTHKRPKLEAPPKCDSSDDSLSIPPTSYNPLDEPSPLGLRLKKSPSLLDLIQMRLSQQEESKKKDHKASAASAASAAADSKLKASNFPGTILKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKANYPEDGPGTLEVVLARRPLFFREINPQPRKHTLWQATSDFTGGQASINRRHFLQCPQGLLGKHFEKLVQCDPRLNCLSQQPDFVLDSPYFDPGTSSIHDHIETSDGFDRKSEERGGIFALQDVESGSAAQSSSSKSDPNLGKAVENVSQEITSPSPVMNIHAMKDFRSRGAETLKFLSNLDQIKLPGLHPSMSMDDLKDPSTAEDANTMAKNGNGPRDPNVMSRVNSLYSLLQCAEDTMTRNGNGPRDADVLSRVNSLYCLLQKDTAAAEDSNTMTRNANGLDADDSGKVGVSNSNSTQLSPGKTKVADLESQPDDASGCKQGGTGMSRKESSGDLLLNLPRIASLPQFLFHMSEDSVHKVR >Vigun08g128500.1.v1.2 pep primary_assembly:ASM411807v1:8:29867567:29870667:1 gene:Vigun08g128500.v1.2 transcript:Vigun08g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMRSDTDNQCLKKVKMEVQDEIPPLHTHKRPKLEAPPKCDSSDDSLSIPPTSYNPLDEPSPLGLRLKKSPSLLDLIQMRLSQQEESKKKDHKASAASAASAAADSKLKASNFPGTILKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKANYPEDGPGTLEVVLARRPLFFREINPQPRKHTLWQATSDFTGGQASINRRHFLQCPQGLLGKHFEKLVQCDPRLNCLSQQPDFVLDSPYFDPGTSSIHDHIETSDGFDRKSEERGGIFALQDVESGSAAQSSSSKSDPNLGKAVENVSQEITSPSPVMNIHAMKDFRSRGAETLKFLSNLDQIKLPGLHPSMSMDDLVSHIGHCISEQMGSDNPNFAGDGQYSRSIVEEFTQYLFNDSQHATTSDEQRVMSRVNSLYCLLQKDPSTAEDANTMAKNGNGPRDPNVMSRVNSLYSLLQCAEDTMTRNGNGPRDADVLSRVNSLYCLLQKDTAAAEDSNTMTRNANGLDADDSGKVGVSNSNSTQLSPGKTKVADLESQPDDASGCKQGGTGMSRKESSGDLLLNLPRIASLPQFLFHMSEDSVHKVR >Vigun09g127800.2.v1.2 pep primary_assembly:ASM411807v1:9:28348340:28352414:-1 gene:Vigun09g127800.v1.2 transcript:Vigun09g127800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGKFSPPSEAQRRNHPVKRVLSRKGSRPRSSNVGERVKRDTQRQRARVSVNKDSGGDVVRGGGQKEKTVAKEEKNMVPEDAAKESKSSKDLDSNESKNEFVDGWPKWLLDNIPTNVLAKLVPKSADSYEKLAKIGRGTYSNVYKAREKGTGKIVALKKVRFDTSDSESIKFMAREIMMLQKLDHPNVIKLKGLATSRMQYSLYLVFDFMQSDLTRIISRPGETLTEPQIKCYMQQLLCGLQHCHERGIMHRDIKASNLLIDRKGVLKIADFGLATSIESGKPLTNRVVTLWYRAPELLLGSTDYGYSIDLWSAGCLLAEMFVGRPIMPGRTEVEQIQMIFKLCGSPSEDYFKKLKLTTSYRPTHHYKPTYQENFSKFPSSSHPLLTTLLHLNPTNRGTAASALQTEFFRCSPLACDPSALPVINKDEDERLQTKRTKRHRVSKRAQPSQTSKSEAESRKTQIPEQPRQVTESSKEKNVEQQKQSQETVHSATSSTSSGSRPFTNEGSTNTSLSPSFLSSGSVRKSPKTEGSPNALKNINHYNALLQASMIQATQVPHLPKSFSHLDYRLGPDKLPNLYTLSNKHI >Vigun09g127800.1.v1.2 pep primary_assembly:ASM411807v1:9:28348340:28352414:-1 gene:Vigun09g127800.v1.2 transcript:Vigun09g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGKFSPPSEAQRRNHPVKRVLSRKGSRPRSSNVGERVKRDTQRQRARVSVNKDSGGDVVRGGGQKEKTVAKEEKNMVPEDAAKESKSSKDLDSNESKNEFVDGWPKWLLDNIPTNVLAKLVPKSADSYEKLAKIGRGTYSNVYKAREKGTGKIVALKKVRFDTSDSESIKFMAREIMMLQKLDHPNVIKLKGLATSRMQYSLYLVFDFMQSDLTRIISRPGETLTEPQIKCYMQQLLCGLQHCHERGIMHRDIKASNLLIDRKGVLKIADFGLATSIESGKPLTNRVVTLWYRAPELLLGSTDYGYSIDLWSAGCLLAEMFVGRPIMPGRTEVEQIQMIFKLCGSPSEDYFKKLKLTTSYRPTHHYKPTYQENFSKFPSSSHPLLTTLLHLNPTNRGTAASALQTEFFRCSPLACDPSALPVINKDEDERLQTKRTKSRHRVSKRAQPSQTSKSEAESRKTQIPEQPRQVTESSKEKNVEQQKQSQETVHSATSSTSSGSRPFTNEGSTNTSLSPSFLSSGSVRKSPKTEGSPNALKNINHYNALLQASMIQATQVPHLPKSFSHLDYRLGPDKLPNLYTLSNKHI >Vigun11g220300.1.v1.2 pep primary_assembly:ASM411807v1:11:41264032:41267931:1 gene:Vigun11g220300.v1.2 transcript:Vigun11g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFKRFELIEQQPFPFCYSSPFQTLTFPSFFEHSLDLDLDLLVAPSPFDAVADLLHAPSLAYRRAERQLETELRLQSLGDRVAELESRFDRLIGGDRKYTWTAEIKGAEKNGFDRKYKWVAEIVEEEKKKKKKQVKKVVKDKNVTWTIQVESEGEEEEEKKKLVKGVAKNVKWTAEISGKGTNSGSSRKYTFQVESGDAEKKEKVKEKEKEKEKEKEKKKGNGLRIVEIHEPSNHRDVVLRQAFAKRFGAVQNDRGKRKDVSPQDAALLIQISFRAYLIRRSKALRALRELAVAKSKLKEIRAQFNNFSYRRHVARDAEERQRFSEKIIVLLLTVDAIEGADIMVRSAKRSMVDELEAMLDVVDPQPGGRSASLSFKRRTFDMPDGVIRKEIEEGVAQVVQMLEEAENNSSNTFEA >Vigun04g022600.1.v1.2 pep primary_assembly:ASM411807v1:4:1725020:1732321:-1 gene:Vigun04g022600.v1.2 transcript:Vigun04g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKKAFSINIEEAAVDFFNHLLQEKPRIPVFIPLILIAWAVERWLFSASSWVPLALAVWTTIQYGKYQRKLLVEDLDKKWKRIILNVSPITPLEHCEWLNKLLTEVWSNYFNAKFSIRLSAIVEKRIKLRKPRLLERVELQEFSLGSCPPSLALRGMRWSTIGDQRFLQLGFDWDTSEMSILLLAKLAKPLIGTARIVINSLHIKGDLLATPILDGKALLYSFVSTPEVRIGVAFGSGGSQSLPATEWPVVSSWLEKLFADTLVKTMVEPRRRCFTLPAVDLRKKAVGGIIYIRVISANKLSGSCFKTSRRQPNGSTNGYSEENFDDKDLETFVEVEVEELTRRTDVRFGSTPRWDAPFNMVLHDNTGTLRFNLYQSHPNNVRCDYLASCEIKLRHVEDDSTIMWAIGPDSGVIAKQAQFCGEEIEMVVPFEGPNSAELKVSIVVKEWQFSDGSHSLNSIRSSNSQQSINGSPNFQLKTGRKISVTVAEGRDLAAKDKNGKFDPYIKLQYGKVVQKSRTGHTPNPVWNQTFEFDEIGGGEYLKIKGFSEEIFGDENIGSAHVNLEGLVDGSVRDVWIPLERVRSGELRLQISVRVDDQEGSKGSSFGSGNGWIELVLIEGRDLVAADLRGTSDPYVRVHYGSFKKRTKVIYKTLNPQWNQTLEFPDDGSPLMLYVKDHNALLPTSSIGECVVEYQRLPPNQMADKWIPLQGVKRGEIHVQITRKVPELQMRQSLDSEPSLSKLHEIPNQIKQMMIKFRSFIEDGNLEGLSTTLSELETLEDTQEGYIAQLETEQMLLLSKIKELGKEIINSSPSLSRRSSSAGN >Vigun02g033000.2.v1.2 pep primary_assembly:ASM411807v1:2:13881215:13883507:1 gene:Vigun02g033000.v1.2 transcript:Vigun02g033000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRQERETETLNHARQLVYRNDHNLSPQPAPNLGCCQPIASGGYRAASSMGDPTMHARFPRYMSGSGSASSSFHLPTPPPPQLQLHQPSHHLLIASPPAPPPPLSFPAAPYSHHHHAVVNDYYVGHVLGSSTKNHALNDCTCIGAPVGQALVGGGKDRSLQNPQEETLNWGRGYSGTQQLLDTPSAINRCHEGFQ >Vigun02g033000.1.v1.2 pep primary_assembly:ASM411807v1:2:13880376:13883507:1 gene:Vigun02g033000.v1.2 transcript:Vigun02g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPENNPLDLNNFPDEYSRDGKQLFQDTFSSEGYQRNKDVLKDDESEKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNHARQLVYRNDHNLSPQPAPNLGCCQPIASGGYRAASSMGDPTMHARFPRYMSGSGSASSSFHLPTPPPPQLQLHQPSHHLLIASPPAPPPPLSFPAAPYSHHHHAVVNDYYVGHVLGSSTKNHALNDCTCIGAPVGQALVGGGKDRSLQNPQEETLNWGRGYSGTQQLLDTPSAINRCHEGFQ >Vigun02g033000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:13882587:13883027:1 gene:Vigun02g033000.v1.2 transcript:Vigun02g033000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTMHARFPRYMSGSGSASSSFHLPTPPPPQLQLHQPSHHLLIASPPAPPPPLSFPAAPYSHHHHAVVNDYYVGHVLGSSTKNHALNDCTCIGAPVGQALVGGGKDRSLQNPQEETLNWGRGYSGTQQLLDTPSAINRCHEGFQ >Vigun04g192700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41668931:41673534:-1 gene:Vigun04g192700.v1.2 transcript:Vigun04g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLSYRHLPSNLKRCFAYCALFPKDYEFDKNELILLWIAQDFLHCSQQSNNLEDIGQQYFNDLLTRSFFLQSDLKTCFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRQFSFAFWDVKYFDEFGSLTDAKRLRSFFPIKEIGRRYIGHYPLQFKILVHELFSNFKFLRVLSLDKYYELREVPDSIGDLKHLHSLDLSRTGIQKLPDSICLLYNLLILKLNYCSSLEELPLNLHKLTKLRCLEFKYTKVTKMPMHFGELKNLQVLNTIFFDRNNEFSTKHLGGLNLHGRLSINEVQNIVNPVDALEANMKNKDLVKLELKWKSDHIPDDPRKEKKVLENLQPSKNVEHLSIENYGGTEFPSWVFDNSLSNLVFLRLEDCKYCLCIPPLGLLSSLKTLEIVGLDGIVSIGAEFYGSSSSSFASLEKLKFLNMKELEEWEYMIGAFPRLQILRVCVCPKLKGMPEQLLHVKHLYISCSMITSSHKIVSHNSLEALNCCAFTIMNFPMSRSYDLLAKIEIIGSCDSLTTFPLDFFPNLKALLLVGCRNLQIISQKHTHNRLKHLSISGSSRFDSFPSEGLSAPRLQTIDIYGAENLKLLPKNECKSCFRLLFCYR >Vigun02g179900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32088496:32092691:-1 gene:Vigun02g179900.v1.2 transcript:Vigun02g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDVTEADCSTNDILQFDSPSSSISKGMKRKWGLIDGCIGQSTCSSLSLGLGLSTSSSDSKESSATSCTAISSVEEIDEESSMPMDIELDFTLNLDCEKVESPKKLVSSNLKIFELQPKIDLELSLSTQPSKSDITSVCLSPSQSPPLQLNLEIPLVHSTTPNVDEGSTSCRLKPGLVSLNPGASVMLNRAEKKVMDLSPNKLKSSVTCTSRLTQLKQPLHCNSNPMTCQVEGYGKGYQGASGLCISHGGGRRLNKAGSNKGAEGWTLHCKSCGGGRRCEYLGCTNSTVGHTDFCIAHGGSQRCSHHEGCIQAVRGKSCLCIRHGRGKRHQTEKCTKNAEGLAGLCISHGGGHRCQASGCTKGEQGNTMFCRAHGVGKRCTAPACTKDARGTPPLCKGHGGGKCCAYQGGGICTKNVNGGANFCVAHGGGMRCAVPDCLKRARGRVDLCVRHEGGKRCKLEGCGKGAQGATNFCETRGGRKRCSWGHPGSKYSNQHGDPSYSLATGKTGPSALHGGLVLDKRVHGGVSSGPVIQDTHDKLGELKQIVINQDMDVDMIKMGNPQKDDVIACSDAKLNEVASTHLPAGEEDHTPILVAVPEGRVHGGSLMAMLKGNSSLGKGLLSDPSEINKVSMVSQSKI >Vigun02g179900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32088496:32092691:-1 gene:Vigun02g179900.v1.2 transcript:Vigun02g179900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSQNLSFTANPSANAFKNLGSSMQVDVTEADCSTNDILQFDSPSSSISKGMKRKWGLIDGCIGQSTCSSLSLGLGLSTSSSDSKESSATSCTAISSVEEIDEESSMPMDIELDFTLNLDCEKVESPKKLVSSNLKIFELQPKIDLELSLSTQPSKSDITSVCLSPSQSPPLQLNLEIPLVHSTTPNVDEGSTSCRLKPGLVSLNPGASVMLNRAEKKVMDLSPNKLKSSVTCTSRLTQLKQPLHCNSNPMTCQVEGYGKGYQGASGLCISHGGGRRLNKAGSNKGAEGWTLHCKSCGGGRRCEYLGCTNSTVGHTDFCIAHGGSQRCSHHEGCIQAVRGKSCLCIRHGRGKRHQTEKCTKNAEGLAGLCISHGGGHRCQASGCTKGEQGNTMFCRAHGVGKRCTAPACTKDARGTPPLCKGHGGGKCCAYQGGGICTKNVNGGANFCVAHGGGMRCAVPDCLKRARGRVDLCVRHEGGKRCKLEGCGKGAQGATNFCETRGGRKRCSWGHPGSKYSNQHGDPSYSLATGKTGPSALHGGLVLDKRVHGGVSSGPVIQDTHDKLGELKQIVINQDMDVDMIKMGNPQKDDVIACSDAKLNEVASTHLPAGEEDHTPILVAVPEGRVHGGSLMAMLKGNSSLGKGLLSDPSEINKVSMVSQSKI >Vigun02g179900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32088496:32092679:-1 gene:Vigun02g179900.v1.2 transcript:Vigun02g179900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSQNLSFTANPSANAFKNLGSSMQVDVTEADCSTNDILQFDSPSSSISKGMKRKWGLIDGCIGQSTCSSLSLGLGLSTSSSDSKESSATSCTAISSVEEIDEESSMPMDIELDFTLNLDCEKVESPKKLVSSNLKIFELQPKIDLELSLSTQPSKSDITSVCLSPSQSPPLQLNLEIPLVHSTTPNVDEGSTSCRLKPGLVSLNPGASVMLNRAEKKVMDLSPNKLKSSVTCTSRLTQLKQPLHCNSNPMTCQVEGYGKGYQGASGLCISHGGGRRLNKAGSNKGAEGWTLHCKSCGGGRRCEYLGCTNSTVGHTDFCIAHGGSQRCSHHEGCIQAVRGKSCLCIRHGRGKRHQTEKCTKNAEGLAGLCISHGGGHRCQASGCTKGEQGNTMFCRAHGVGKRCTAPACTKDARGTPPLCKGHGGGKCCAYQGGGICTKNVNGGANFCVAHGGGMRCAVPDCLKRARGRVDLCVRHEGGKRCKLEGCGKGAQGATNFCETRGGRKRCSWGHPGSKYSNQHGDPSYSLATGKTGPSALHGGLVLDKRVHGGVSSGPVIQDTHDKLGELKQIVINQDMDVDMIKMGNPQKDDVIACSDAKLNEVASTHLPAGEEDHTPILVAVPEGRVHGGSLMAMLKGNSSLGKGLLSDPSEINKVSMVSQSKI >Vigun02g179900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32088496:32092669:-1 gene:Vigun02g179900.v1.2 transcript:Vigun02g179900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSQNLSFTANPSANAFKNLGSSMQVDVTEADCSTNDILQFDSPSSSISKGMKRKWGLIDGCIGQSTCSSLSLGLGLSTSSSDSKESSATSCTAISSVEEIDEESSMPMDIELDFTLNLDCEKVESPKKLVSSNLKIFELQPKIDLELSLSTQPSKSDITSVCLSPSQSPPLQLNLEIPLVHSTTPNVDEGSTSCRLKPGLVSLNPGASVMLNRAEKKVMDLSPNKLKSSVTCTSRLTQLKQPLHCNSNPMTCQVEGYGKGYQGASGLCISHGGGRRLNKAGSNKGAEGWTLHCKSCGGGRRCEYLGCTNSTVGHTDFCIAHGGSQRCSHHEGCIQAVRGKSCLCIRHGRGKRHQTEKCTKNAEGLAGLCISHGGGHRCQASGCTKGEQGNTMFCRAHGVGKRCTAPACTKDARGTPPLCKGHGGGKCCAYQGGGICTKNVNGGANFCVAHGGGMRCAVPDCLKRARGRVDLCVRHEGGKRCKLEGCGKGAQGATNFCETRGGRKRCSWGHPGSKYSNQHGDPSYSLATGKTGPSALHGGLVLDKRVHGGVSSGPVIQDTHDKLGELKQIVINQDMDVDMIKMGNPQKDDVIACSDAKLNEVASTHLPAGEEDHTPILVAVPEGRVHGGSLMAMLKGNSSLGKGLLSDPSEINKVSMVSQSKI >Vigun06g084300.1.v1.2 pep primary_assembly:ASM411807v1:6:21661844:21663972:1 gene:Vigun06g084300.v1.2 transcript:Vigun06g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSLYNVFFFSVLFCVLAIASSQLSSDFYATSCPTALSTIKSAVKSAVSKERRMGASLLRLHFHDCFVNGCDASVLLDDTSSFTGEKSAAANVNSLRGFDVIDDIKSQLESACPGTVSCADILAVAARDSVVALGGSSWTVGLGRRDSTTASKDAATTDIPSPQLDLSDLITAFSNKGFSTKEMVVLSGAHTTGQAKCQFFRGRIYNETNIDSDFATSTQSNCPSTDGDSNLSPLDVTTNVLFDNAYFKNLVNKKGLLHSDQQLFSGGSTDSQVTTYSTSASTFYADFSSAMVKMGNLSPLTGTSGQIRTNCRTVN >Vigun05g159800.1.v1.2 pep primary_assembly:ASM411807v1:5:25589463:25590924:1 gene:Vigun05g159800.v1.2 transcript:Vigun05g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFGHTRYQWDQGYFQPEIYRRVEAWSKIPEKLAFYDYIENNPAKGGLFRAGSMDNGDGIAVGWLGHPFFRDKEGHELFVRRMPTFFETFPVVLVDRDKIVRANVPFRRVESKYSVEQVGLIVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDCATLKSDGVFRSNPRGWFTFGHASFALLFFFGHICHGSRTLFRDVFAGIDPNLDAQVEFGAFQKHGDPTTRRQVV >Vigun04g192200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41649818:41652697:-1 gene:Vigun04g192200.v1.2 transcript:Vigun04g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSSSLMVESVIYGRDADKKIILDWLTSEIDNHNHPSILSIVGMGGLGKTTLAQHVYNDPKIDDAKFDIKAWVCVSDHFDVLTVTKTILESIADKKDDSGNLNMVHKNLKEKLSGKKFLLVLDDVWNEKREEWEVVQTPLNYGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHALKDDDLELNDEKKKIGKSIVEKCKGLPLALKTIGCLLYTKASISYWKSVVESDIWNLPKELGIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLRHSQHIENVEEVGEQYFDDLLTRSFFLQSSIKMRFVMHDLLNDLAKYVGGNFCFMFKFDKGVRIPKTTRHFSFSIDNEVYDDGMGSLIDAKRLRSFIPMTNNIDGLPCELILIDELFSKFKFLRVLSLSGFGDLITEVPDSVGALKHLRSLDLSYTNIQKLPDSICLLFNLLLLKLNRCLYLEELPSNIHKLTKLHCLEFEHTKVTKMPMHFGELKNLHLLDAFRVHSESSIKQLGGLNLHGSLSIYQVQNIVNPLDALEANLKDKQLVELGLIWNSNHVPNDAREEKEVLENLQPSIHLEHLSIWSYHGIEFPSWLFDNSLSNLVFLRLNNCKNCLCLPSLGELSCLKNLEIEGLDGIVSIGVSDGFYGSNSSSFASKERLSFRNMKEWEEWECKTTSFPRLQYLFVDQCCKLKGLPEQLIHLKNIFIGGCDKLTISVNNMDTWSLQFLNINSCPRVNIPITAFNSLEVMRITHGCPSLIIFPLDFFPKLRTLLLFHCQNLQRISQEETHNHLKELQILCCPQFESFPSEGLSARWLQKIEIKAAPNLKLLPKRMHTLLPSLSSLEITYCPQVEMFEEGSLPSNLKEVSLSSFRLITSLREALDAEPCLERLYVENVDTEYFPDEGLLPPSLTFLRIFNCPNLKKLDYKGLSHLSSLIIIR >Vigun04g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41664115:41667618:-1 gene:Vigun04g192500.v1.2 transcript:Vigun04g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDKLASTQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEHKQFTDPHVKAWVLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVQSIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLAQHVYHDPKMEEAKFDIRAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHALRDDDLELNDDLKEIGRRIVVKCKGLPLALKTIGRLLHTKSSISDWENILESDMWNLPKEASEIIPALLLSYHYLPTPLKRCFAYCALFPKDYEFAKKELILLWMAENFLHCPQEIRHPEEVGEQYFNDLLSRSFFQQYEGNFVMHDLLNDLAKYVYGDICFRLKFDKGKYIPKTTRHFLFTPGDVKCFNGLESLSDAKRLRSFIAIARLRGRYLGNYPWQFMISLHDLFSKIKFLRVLSFYGISDLKKVPDSIGNLKHLQSIDLEYTNIQKLPDSIGLLYNLLILKLSNCYDLEELPSNLHKLTKLRCLEFERTKVTKMPKHFGELKNLQVLSTFLVDRNNECNIKQLGGLNLHGSLSIKEVQNIVNPLDALEANLKNKHLVNLELEWKRKHIPDDPLKEKKVLENLQPSKHLERLSIENYGGTEFPSWVFNNSLSTLVFLCLENCKYCLCLPPLGLLSSLKTLKIRGFHGIVSIGAEFCGSNSTSFTSLESLEIDNLKEWEEWECKTTFPCLRYLFINRCPKLKGTSEQLLNLKELFVSLRGKLIIYSCPLVNIPITHYDFLEEVIIDGGCDSLTIFPLDFFPKLHLLHITGSRNLRGISNEHTHNHLKEMKIDECPQFESFPSEGLSAPQLWKIEIKGARNLKLLPKRMQILLPSLTELRITDCPQVEMFEEGSLPSNLKEVSLSCFRLIASLREALGADTCLETLSIEEVDVQCFPDEGLLPPSLTSLEIYDCPTLKKLNYKGLSHLSSLRLFGCPNLECLPEEGLPKSISFLEIWNCPLLNPRCQNSESEDWGKIAHIQQLRVGKWEKDKEVQN >Vigun02g083600.13.v1.2 pep primary_assembly:ASM411807v1:2:23710936:23718608:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.3.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.1.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.10.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDELLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.9.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEVF >Vigun02g083600.11.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGTETPEKFSRPFLDFLAWYR >Vigun02g083600.7.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIVF >Vigun02g083600.6.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.4.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIVF >Vigun02g083600.2.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGTETPEKFSRPFLDFLAWYR >Vigun02g083600.5.v1.2 pep primary_assembly:ASM411807v1:2:23710936:23717004:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.8.v1.2 pep primary_assembly:ASM411807v1:2:23707409:23718608:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCTKLTLFTVAAVTIQFIGLSIFVFGFFPVKPLLTGHSGSESFRRPTCDVRDVSNHSDASLLPDSLRFLYQEVSEVPPLYDRLVLMVIDGLPAEFVLGKKGQPPSKVFMEAMPYTQSLLANGTAVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAYLEDNLLAQFFKIGWKMVMHGDDTWLKLFPGLFERHDGVTSFFVKDTVQVDQNVSRHLVDELSRDDWKFLILHYLGLDHVGHLGGRNSVLMASKLVEMDEVVKTIHINTLQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun02g083600.12.v1.2 pep primary_assembly:ASM411807v1:2:23710936:23718608:1 gene:Vigun02g083600.v1.2 transcript:Vigun02g083600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGNHGGSSYEETDSIALFIGPKPHTFHHSSSNPDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDSLTDDQKLRALQLNSWQLFRLLQAQLPGLSCRNLLCDTFITNSEPTISECKGREEKLFCCLYVNAATLHDAWKAKVFSRFNGTEGYNSFLAAYHEFLSSASEWLSHKATDKPVNLLVLGVAALIISCLILLGLVFFIHKEIPSWETQDHENYMTPWKFDEVFVLFGILTLVISMGSSSMIEEEHYIWNFLTSTINFLFFRKAIQSLHLNKAHDILSSTEEKRNISGCQISSLFLILFTGRILGGWHQGGVNWTNLPDIAKWLEQAGNQYINLIQIASCAMVIILGISILYLVQSKTKVVTVIWFSLLMSGLLVLQHFVKHQDMSIPYNNDENLSIQVFYAVLGITTVAVVLILPWIMPMQTPEICSRKNICMSASVPVEVQNMTRIFVLKDSLYIVGCLYITFWCLLQLLLQQSINAMPVLLLFIQFLASMLTFSSSGSCHKQWVEITALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTFLSGLLMFIITYASPMLFFLSLVLYISVKAMIYPLVIRNGNSGEILKTLLGFPCLVPLTINSVLLTVYTIVLLLMRNHLFIWSVFSPKYLYVCAATACVYIGAIIVVATVIYTFIVLFWLRKSFSLSSKEKEL >Vigun03g006600.1.v1.2 pep primary_assembly:ASM411807v1:3:454535:457836:-1 gene:Vigun03g006600.v1.2 transcript:Vigun03g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRREEVEELKRQLRLAVPLFSVGILQYILQTISVMFVGHLGTLPLDGATMATSFASVTGFNLLMGLATALDTFCGQSNGAGQYHMLGIYMQRSMLVVLSMSVFIAMIWANTEPILKAMHQDKVISEEAGSYARYMIPSLFAYGLLQCMLKFLQTQNIVLPMVVTSGIAAVLHVPFCWLLVFKSGLGSRGAALANSISYWVNAILIFLYVRFSSSCKQSWTGFSKMAFHNLPEFLKLAVPSALMLCLKVWTFELMVLMSGLLPNPILETSVLAICLNTFGLAWMIPFGFSAAVSIRVSNELGGGNPKAASVAVRVVLSMAFIEGMVLVSAMILLRNVWGHVYSNDKEVIRYVSFMMPTLAISSFLDGIQSALSGILAGCGWQKIGACVNLASFYVVGVPSAVVLAFVVHMKAKGLWLGIILAFVVQVLFYIVIAFRTDMEEQARKAQSRVERSAISPTTRECVIPH >Vigun08g090800.1.v1.2 pep primary_assembly:ASM411807v1:8:21139863:21143131:-1 gene:Vigun08g090800.v1.2 transcript:Vigun08g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSITDLGTGGSLVVLFLCLTTHFSVLPFAEAVWFPIPTTGTKCMSEEIQKNVVVLADYYVVTENGPQISTVSVKVTSPYGNSLYHNENATQGQFAFTTEESGTYVACFWLDSNHEHEATLSLDWKIGIAAKDWDSVAKKEKIEGVELELRKLEGAVEAIHGYLVYMKDKEAWMREVSEKTNSRVAWYSIMSLGICVLVSLLQVWHLKRFFLKKKLI >Vigun10g195500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40903448:40905482:-1 gene:Vigun10g195500.v1.2 transcript:Vigun10g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGHHIPQKHQSFSSGFFPSPPIQNVHPLLAKLVTLSSTHFPTFPTMEPNNQPDQPNPRNIIFNKYEMGRVLGQGNFAKVYHGRNLLTNDNVAVKVIKKEKLKKERLVKQIKREVSVMKLVRHPHIVQLKEVMATKGKIFLVMEYVRGGELFTKVNKGKLSEDLARKYFQQLISAVDYCHSRGVTHRDLKPENLLLDQNEDLKVSDFGLSALPEQRRADGMLVTPCGTPAYVAPEVLKKKGYDGSKADIWSCGVILYALLCGYLPFQGENVMRIYRKAFRAQYELPEWVSPPAKNLISNLLVADPAKRYSIPEIMKDPWFQFGFMRPIAFSIKESVEDNIHYFDDVDNNNNEEDEVSMRKPSRPFYNAFEIISSLSHGFDLRSLFETRKRSPSMFICKFSASAVMAKVEAAAKKLNFKVTGKKEFVVRMQGAEEGRKGRLGMTVEVFEVAPEVAVVEFSKSAGDTLEYIKLCEEQMRPSLKDVVWSWQGDR >Vigun02g082200.1.v1.2 pep primary_assembly:ASM411807v1:2:23522684:23527030:-1 gene:Vigun02g082200.v1.2 transcript:Vigun02g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEAVRSVSSEVSSDLLIQVKGSRYLLHKFPLLSKCLRLQKLCSESPDSSSQHQIVRLPDFPGGVEAFELCAKFCYGITITLSPYNIVAARCGAEYLQMTEEVEKGNLVQKLEVFFNSCILRGWKDTIVSLQTTKALPIWSEDLGITSRCIEAIAAKVLSHPSKVSLSHSHSRRVKDDVSCNGTESLRHNKSGNKGWWAEDLAELSIDLYWRTMIAIKSGGKIPSNLIGDALKIYASRWLPNITSNGEHLKKQAVSDSEWDSVGEIASKHRLLLESVVSLLPAGKGAVSCGFLLKLLKASNILNASSSSKMELARRIGLQLEEATVNDLLIPSLSYADDTVYDVELVMTILEQFMSQGQSPPTSPARSRMAFERRRSRSAENINLEFQESRRSSSASHSSKLKVAKLVDRYLQEVARDANFQLSKFIALAEIIPDFARHDHDDLYRAIDIYLKAHPELNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRLVVQVLFFEQARAAQAGGKVTDLPTNIKALLTAHGIDPAKPTAPLSTTTSINAEDTWSVSNFKSPKSRSSTLRMKLAEDDDFNQNGLTHDAIGRNSRFKAICAIPTQPKKMLSKFWSTNRTATEKN >Vigun07g266600.2.v1.2 pep primary_assembly:ASM411807v1:7:38225110:38230553:1 gene:Vigun07g266600.v1.2 transcript:Vigun07g266600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHEFVLLLLFSNFMPLLLAAGDQGRCPPSFHCGYLGNITFPFTVIGRQDCGFLSIRNCNDHDPHTPKFIQVQANGNWDQVVAIYPLFSIPTTHFATFQFRDKHFYDLLQNRNCEAFTNSYTLPLISHFATFRLAHNATLFLCNKTLNVTNINTSKYNGCLGYDLYYNHFTTDDDASRSSFTACKKVVLPIKDVPDANDPFTFVTGDVNVIVALTDQCSDCHYRKGQCQLDSREQFCCANVPEKRSRWKVKLGLGLGIGVPCMILIGLLFFLLLRKRKHATSGGQLKSRDSYSDSSSISHRASSAEYFGVPLFSYAQLKEVTNNFDHAQELGDGGFGTVYYGKLPDGREVAVKRLYEHNWRRVEQFMNEVKILTRLRHKNLVSLYGCTSRHSRELLLVYEYISNGTVACHLHGGLAKPGLLPWPTRMKIAIETASALAYLHASDIIHRDVKTNNILLDNNFCVKVADFGLSRDFPNDVTHVSTAPQGSPGYLDPEYYSCYQLTGKSDVYSFGVVLIELISSKPAVDMNRSRDEINLSNLAVRKIQESAIGELVDPCLGFDSDSRVKGMIVSVAGLALQCLQREKELRPSMDEVLHELQRIEGGKDEIDVDNGGIAESRAHSPPPASPEWDEVVLLKNTKPTSPDTVTDKWESKCTTPNISG >Vigun07g266600.1.v1.2 pep primary_assembly:ASM411807v1:7:38220042:38230553:1 gene:Vigun07g266600.v1.2 transcript:Vigun07g266600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPNTQFFILKPLYFRITNSYIIIFYLLAQITSSQVDPKFTACAPKTCPNNNQSISFPFYIQGTQLPYCGSPGFEISCAPDGSPTLNLSHTQYLIHQIFYQNQSLRVSNAAFSTLQSNATGGCLVPTQNLTLPPSNEFRVAPNQTGMVLFYGCDAPSLHEHRVGCSAGNRTSSVLALDKRDENISFVAENCKGAVVDTVVEDGIGRVAEALRKGFLLSWTASNCSVCNSTGGRCGFDSDMYTFRCYCTDRVHSAKCGPDDPVPEKRSRWKVKLGLGLGIGVPCMILIGLLFFLLLRKRKHATSGGQLKSRDSYSDSSSISHRASSAEYFGVPLFSYAQLKEVTNNFDHAQELGDGGFGTVYYGKLPDGREVAVKRLYEHNWRRVEQFMNEVKILTRLRHKNLVSLYGCTSRHSRELLLVYEYISNGTVACHLHGGLAKPGLLPWPTRMKIAIETASALAYLHASDIIHRDVKTNNILLDNNFCVKVADFGLSRDFPNDVTHVSTAPQGSPGYLDPEYYSCYQLTGKSDVYSFGVVLIELISSKPAVDMNRSRDEINLSNLAVRKIQESAIGELVDPCLGFDSDSRVKGMIVSVAGLALQCLQREKELRPSMDEVLHELQRIEGGKDEIDVDNGGIAESRAHSPPPASPEWDEVVLLKNTKPTSPDTVTDKWESKCTTPNISG >Vigun02g000035.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10101:10460:1 gene:Vigun02g000035.v1.2 transcript:Vigun02g000035.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun08g156600.1.v1.2 pep primary_assembly:ASM411807v1:8:32886459:32891730:-1 gene:Vigun08g156600.v1.2 transcript:Vigun08g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDARRMATATKLSDVVLDCVMPYIHDPKDRDAVSQVCHRWYELDSLTRKHVTIALCYTTTPDRLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVKEISHYFDCLKSLHFRRMIVTDSDLEVLACSRGHVLQALKLDKCSGFSTDGLYYIGRFCRNLRILFLEESSLVENDGDWLHQLALNNTVLETLNFYLTDIANVRIQDLELIARNCPNLNSVKITDCEILDLVNFFRYASSLEEFCGGSYNEESEKYAAISLPAKLSRLGLTYITKNEMPMVFPYTALLKKLDLLYAMLDTEDHCTLIQRCPNLEVLESRNVIGDRGLEVLARCCRKLKRLRIERGDDDQGMEDEEGVVSQRGLIALSHGCPDLEYLAVYVSDISNASLEHIGTHLKKLCDFRLVLLDREEKITDLPLDNGVRALLRGCDKLRRFALYLRPGGLTDVGLGYIGQYSPNVRWMLLGYVGETDEGLLEFSKGCPSLQKLEMRGCSFFSEYALAVAATRLTSLRYLWVQGYGASPSGRDLLAMARPYWNIELIPSRRVVVSNQQEEPIVVEHPAHILAYYSLAGPRTDFPDTVIPLDTATYVDT >Vigun07g199800.1.v1.2 pep primary_assembly:ASM411807v1:7:32048881:32056013:1 gene:Vigun07g199800.v1.2 transcript:Vigun07g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVSENSFIIESDEEDVEKDSNKGGGDGNDSDSSSYSTENPPQRKPSSYNISWPQSYRQSIDLYSSVPSPNIGFLGTPSLSRLSSSFLSTSLTRRHTPEALPSVTKPLLQEPEDEQHQRRSSHTLLPPLSSRRSSLIKKDSKVSHEVPSRHCSFGQATLNGINVLCGVGILSTPYAAKEGGWFGLSVLFLFAIISFYTGLLLRSCLDSDPELQTYPDIGQAAFGTTGRIAISIVLYVELYACCIEYIILEGDNLSSLFPNARLNLGAFELDSHTLFAVITALAVLPTVWLRDLSILSYISAGGVVASILVVLCLFWVGVDNVGFHSKGTTLNIATFPVAVGLYGYCYSGHAVFPNIYTSMANQNQFPGVLLACFGICTLLYAGAAVMGYTMFGEAILSQFTLNLPQELVATKIAVWTTVVNPFTKFALTISPVAMSLEELIPSNHSKSYLYSFFIRTGLVLSTLVIGLSVPFFGLVMSLIGSLFTMLVSLILPCVCFLKILRSKVTRLQAAVCVTIIIVGVVCSAFGTYSALSEIIKSLRG >Vigun06g159100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28205386:28206110:1 gene:Vigun06g159100.v1.2 transcript:Vigun06g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLQILFLVAMLMPLVTADSQTLHQKESPFLSTIKSHNNTKNSVTPSSESEELASLRGAKSLHGRGAMTCDKYPRVCRAKGSEGPDCCKKKCVNVSRDRNNCGMCGKKCKYSQVCCKGKCVNPMSDKHHCGKCGNKCTKGDFCVFGLCSYA >Vigun10g189100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40430559:40430834:1 gene:Vigun10g189100.v1.2 transcript:Vigun10g189100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYTKHQLHSIDIAEQQHFTEATMGRKNMKHEDFPKEEKKERHAKNAEKNATDQDINVCAEAFIKNFRHHLLLQRLQSMDNLNQTISREH >Vigun03g073300.1.v1.2 pep primary_assembly:ASM411807v1:3:6046287:6048670:-1 gene:Vigun03g073300.v1.2 transcript:Vigun03g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFQFSSRAIPTLLLLCFFLIFGNFRNAEARNRHYKWDVKYEFRSPDCFKKLVITINGNTPGPTIQAQEGDTVVVQVNNTLLTENLSIHWHGIRQIGTPWFDGTEGVTQCPILPGDTFIYRFVVDRPGTYLYHAHYGMQREAGLYGLIRVAPRDPEPFTYDFDRSIILNDWYHKSTYEQAAGLSSIPFQWVGEPQSLLIHGKGRFNCSQLSTDVCDMSKCSPFTQTVIPGKIYRLRIASLTALSALSFQIEGHNMTVVEADGHYVEPFVVKNLFIYSGETYSVLVKTDQDPSRNYWITSNVVSRNRTTPPGLGIFNYYPNHPKRSPPTVPPSPPAWDDAEPRLAQSLAIKARQGYIHKPPTTSDRVIILLNTQNNISEYRHWSVNNVSFTLPHTPYLIALKENITNAFDPTPPPDGHEFANYDIFNVSPNANATSSNGIYRLKFNTTVDLILQNANTMTKNNSETHPWHLHGHDFWVLGYGKGRFDVNNDTKKYNLENPIMKNTVPVHPFGWTALRFRSDNPGVWAFHCHIESHFYMGMGVVFEEGIERVGKLPSSIMGCGQTKGFHKP >Vigun09g117900.5.v1.2 pep primary_assembly:ASM411807v1:9:25982028:25985329:1 gene:Vigun09g117900.v1.2 transcript:Vigun09g117900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSFGEVSGNTPMDSAEKRLNELGYKQELRREMTMFKTLAISFSTMTLFTGITPLYGSSLQYAGPATLVWGWIVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIALIDIVSIWWQVIGGLVIVIMLPLVALSTKSASFVFTHLELAPESTGISSTPYAAILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRQLHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARLVMSEENFKPGPFYLGKARRPVCLVAFLWICYTCSVFLLPTLYPIAWDTFNYAPVALGVGLGLIMLWWLLDARKWFKGPVRNIDIQNGKV >Vigun09g117900.1.v1.2 pep primary_assembly:ASM411807v1:9:25981828:25985391:1 gene:Vigun09g117900.v1.2 transcript:Vigun09g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTLAISFSTMTLFTGITPLYGSSLQYAGPATLVWGWIVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIALIDIVSIWWQVIGGLVIVIMLPLVALSTKSASFVFTHLELAPESTGISSTPYAAILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRQLHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARLVMSEENFKPGPFYLGKARRPVCLVAFLWICYTCSVFLLPTLYPIAWDTFNYAPVALGVGLGLIMLWWLLDARKWFKGPVRNIDIQNGKV >Vigun09g117900.3.v1.2 pep primary_assembly:ASM411807v1:9:25981436:25985411:1 gene:Vigun09g117900.v1.2 transcript:Vigun09g117900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSFGEVSGNTPMDSAEKRLNELGYKQELRREMTMFKTLAISFSTMTLFTGITPLYGSSLQYAGPATLVWGWIVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIALIDIVSIWWQVIGGLVIVIMLPLVALSTKSASFVFTHLELAPESTGISSTPYAAILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRQLHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARLVMSEENFKPGPFYLGKARRPVCLVAFLWICYTCSVFLLPTLYPIAWDTFNYAPVALGVGLGLIMLWWLLDARKWFKGPVRNIDIQNGKV >Vigun09g117900.4.v1.2 pep primary_assembly:ASM411807v1:9:25982175:25985346:1 gene:Vigun09g117900.v1.2 transcript:Vigun09g117900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSFGEVSGNTPMDSAEKRLNELGYKQELRREMTMFKTLAISFSTMTLFTGITPLYGSSLQYAGPATLVWGWIVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIALIDIVSIWWQVIGGLVIVIMLPLVALSTKSASFVFTHLELAPESTGISSTPYAAILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRQLHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARLVMSEENFKPGPFYLGKARRPVCLVAFLWICYTCSVFLLPTLYPIAWDTFNYAPVALGVGLGLIMLWWLLDARKWFKGPVRNIDIQNGKV >Vigun09g117900.2.v1.2 pep primary_assembly:ASM411807v1:9:25981436:25985413:1 gene:Vigun09g117900.v1.2 transcript:Vigun09g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERSFGEVSGNTPMDSAEKRLNELGYKQELRREMTMFKTLAISFSTMTLFTGITPLYGSSLQYAGPATLVWGWIVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIALIDIVSIWWQVIGGLVIVIMLPLVALSTKSASFVFTHLELAPESTGISSTPYAAILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDKGVPFSHLWRQLHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARLVMSEENFKPGPFYLGKARRPVCLVAFLWICYTCSVFLLPTLYPIAWDTFNYAPVALGVGLGLIMLWWLLDARKWFKGPVRNIDIQNGKV >Vigun04g014600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1048087:1049776:1 gene:Vigun04g014600.v1.2 transcript:Vigun04g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSGACFQRHRGSLPFPCHLQLGTHATINMTTSTRKNSEKGEVSYSVEGSTCKLMLATAIDNFGHCPVLAFAIDDSIISSDGEKLSFQIFKKKTGPCLFDSLSVWFRNPIPWTKTKENVRAWDDVTDTKVYLYGTPNRCGLLVLECEKTDYYSHRCKELMGKQQQTIYQRMQRI >Vigun07g188300.2.v1.2 pep primary_assembly:ASM411807v1:7:30501188:30502934:1 gene:Vigun07g188300.v1.2 transcript:Vigun07g188300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYECTPLAWEFYYQEEQGLQDLKHSLLYTTLELEATIASAKEEITRRECELIHVNDLLSRVTKERDEAQAKCQKLMLEKLKLQQELHQHQNKHQQEQEQQQQLGQTNQRDTTSQSEEEVQEGFSEKHSSDCEETSMPSSGGSSTPHPTPLQVVLELAEKKPLPEKGKLLKAVVEAGPLLQTLLLAGPLPQWQHPPPHLNSIEIPPVAISPQDSSKTTFPFNNKRDLLLHSDSPVSKSRKVITQHFPTPTTASHHSLSHPSFS >Vigun07g188300.1.v1.2 pep primary_assembly:ASM411807v1:7:30501070:30502934:1 gene:Vigun07g188300.v1.2 transcript:Vigun07g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYECTPLAWEFYYQEEGLQDLKHSLLYTTLELEATIASAKEEITRRECELIHVNDLLSRVTKERDEAQAKCQKLMLEKLKLQQELHQHQNKHQQEQEQQQQLGQTNQRDTTSQSEEEVQEGFSEKHSSDCEETSMPSSGGSSTPHPTPLQVVLELAEKKPLPEKGKLLKAVVEAGPLLQTLLLAGPLPQWQHPPPHLNSIEIPPVAISPQDSSKTTFPFNNKRDLLLHSDSPVSKSRKVITQHFPTPTTASHHSLSHPSFS >Vigun02g018100.2.v1.2 pep primary_assembly:ASM411807v1:2:6355601:6372268:-1 gene:Vigun02g018100.v1.2 transcript:Vigun02g018100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIAVHFGCQTSKNKLSVLWEHPSASVKFGSRLRKMYIFFHYLLVDYKLQISSESMSRIELHHSHDLTKKLLLFQLRNAPLIYEKDVSKSKYFKEASDNHWFRGVDFTPSCSIGQSSTLCFELPKSVEVPKFNQHYRNYNEVDDSVFTLERKHLGFSSNLNFVPIVIPPEGFNLPYKILFKINSLIQHGCLPVLAIDIDFFQLVDPGKVKLEYIESALHRLDQIKVCCYEPARWLEKQYKKYSENSLLPIISAISLDDGLVYVHRVQVTPSKIYFCGPEVNLSNRVLRNYPEDTDNFLRVSFLDEDMDKLHSSDLVPRSSSADMDRETKLHERVLATLKNGIEIGDKKFEFLAFSPSQLRDNSVWMVASRAGLTASDIRKWMGEFHEIRNVAKYAARLGQSFSSSRETVSVGRHETEIIPDVEFRRGETKYCFSDGIGKISYELAQEVAEKCGCRDNTPSAFQIRYGGYKGVVAVDPTSSTKLSLRKSMCKYKSENTKLDVLAWSKYKPCYLNRQIIALLSTLGVQDRVFRKKQREVLNQLKVLSRRPLMVLDYMSTGEIAKVLKEMLICGFHPSKEPFLSMMLQTLYASKLQELQLKTRILVKKGRALLGCLDETRTLKYGEVFVQIAHQRNKQNLAMSSLSSNRNASNKSKHIVKGKVVVAKNPCLHPGDVRVLRAVDVPSLHHMVDCVVFPQKGRRPHPNECSGSDLDGDIYFVSWDPDLIPPRQENPMDHAPSPVVNVDHDVTLQEVQEYFAHYIVKDRLGIVASAHMVFADKDPQKAMSPACIELAKLHSVAVDFAKSGVPAEIPQHLRVEEYPDFMEKPERPSYQSNSIIGKLYREVKNVAQHKSLTKPFRRRVARQSYDRDMEIDGFEKYTASASEYKNMYDFKLGNLMDYYGIETEAEIISGNILKMSKSFSERKDLEGINHAVMSLRKEARNWFNVMIKNKSNSQVVDDVYAVASAWYHVTYHPRYWGSYNQGLNRDHFLSFPWCVHDTLIQIKKDKIKQRTFTMNHLKILKLIPLLLCFFLLWIIVPYLRN >Vigun02g018100.1.v1.2 pep primary_assembly:ASM411807v1:2:6355602:6372267:-1 gene:Vigun02g018100.v1.2 transcript:Vigun02g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIAVHFGCQTSKNKLSVLWEHPSASVKFGSRLRKMYIFFHYLLVDYKLQISSESMSRIELHHSHDLTKKLLLFQLRNAPLIYEKDVSKSKYFKEASDNHWFRGVDFTPSCSIGQSSTLCFELPKSVEVPKFNQHYRNYNEVDDSVFTLERKHLGFSSNLNFVPIVIPPEGFNLPYKILFKINSLIQHGCLPVLAIDIDFFQLVDPGKVKLEYIESALHRLDQIKVCCYEPARWLEKQYKKYSENSLLPIISAISLDDGLVYVHRVQVTPSKIYFCGPEVNLSNRVLRNYPEDTDNFLRVSFLDEDMDKLHSSDLVPRSSSADMDRETKLHERVLATLKNGIEIGDKKFEFLAFSPSQLRDNSVWMVASRAGLTASDIRKWMGEFHEIRNVAKYAARLGQSFSSSRETVSVGRHETEIIPDVEFRRGETKYCFSDGIGKISYELAQEVAEKCGCRDNTPSAFQIRYGGYKGVVAVDPTSSTKLSLRKSMCKYKSENTKLDVLAWSKYKPCYLNRQIIALLSTLGVQDRVFRKKQREVLNQLKVLSRRPLMVLDYMSTGEIAKVLKEMLICGFHPSKEPFLSMMLQTLYASKLQELQLKTRILVKKGRALLGCLDETRTLKYGEVFVQIAHQRNKQNLAMSSLSSNRNASNKSKHIVKGKVVVAKNPCLHPGDVRVLRAVDVPSLHHMVDCVVFPQKGRRPHPNECSGSDLDGDIYFVSWDPDLIPPRQENPMDHAPSPVVNVDHDVTLQEVQEYFAHYIVKDRLGIVASAHMVFADKDPQKAMSPACIELAKLHSVAVDFAKSGVPAEIPQHLRVEEYPDFMEKPERPSYQSNSIIGKLYREVKNVAQHKSLTKPFRRRVARQSYDRDMEIDGFEKYTASASEYKNMYDFKLGNLMDYYGIETEAEIISGNILKMSKSFSERKDLEGINHAVMSLRKEARNWFNVMIKNKSNSQVVDDVYAVASAWYHVTYHPRYWGSYNQGLNRDHFLSFPWCVHDTLIQIKKDKIKQRTFTMNHLKILKLIPLLLCFFLLWIIVPYLRN >Vigun04g113500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28462701:28467473:-1 gene:Vigun04g113500.v1.2 transcript:Vigun04g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQEMERFGMENDYEGGQWIGGEFYYKSRKEKRTQTKDDVLYGVFADSDDDDDYSSRKRRKDRDFSKKQDLTKPVNFVSTGTFMPNQEIDNKSKEQNEKDGYVSEDRPGLGSGFGMGSGGTSGSGLGFNSGNTANGSERNDDSDENGHDNFLPTAFGKKIKEGAMRREREREKERLEKKRGKHQSSVQDGFSDVGKFEKHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEARLRAKNSGIGFNESKETMPLPVLQQEKKNVQEVLQPVVGKTKERLWSKQARLKKKKEEEYVTAEELLASKQEQEFEVVQKVYDMRGPHARVINLSDLNAEEKAKENDIPMPELQYNVALIVGLAEADIQQIDRDLRRERETALSLKKEKEKLETEAAFQKKQLDNMEEIKHVLDHVEEENTLGTLTLESLAHCFRDLHKRYADDYKLCNLSCIACFYALPLFIRVFQGWDPLRNPSHGLELVSEWKALLREEDSVDIWDVSSPYTQLVLEVVLPAVRISGINTWQARDPEPMLWFLELWEKLLPQSVLATILDNIVMPKLSSAVDTWEPHRETIPIHTWVHPWLPLLGHKLEGIYQVIRFKLSTVLGAWHPSDGSAYAILSPWKSVFDSASWEQLMLRFIVPKLQLVLQEFQVNPASQNLDQFYWVMNWASAIPIHLMVDMMEKFFFAKWLQVLYHWLCSNPNFEEVTKWYLGWKELIPKELLANESIRYQLNRGLDMMNQAVEGMEVVQPGLKENISYLRVLEQRQFEAQQKAAAYAQQQAAASLGGAVNADGTHELSLKEVIEAHAQQHGLLFKLKPGRMHNGHQIYGFGNVSIIIDSLNQKVYAQNEEMWSMESLQGLLELHNKSLSKRR >Vigun05g028800.1.v1.2 pep primary_assembly:ASM411807v1:5:2300078:2301645:-1 gene:Vigun05g028800.v1.2 transcript:Vigun05g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKCFGESSSSGREYPTVIEELCRHFSLADIRKSTNNFDDNRVIGHGTCCKVFKGCLRHNDGSYYPVAVKRFHWEYSEGFKREVELLCQLHHPNCVSIVGFCKHKKESIVVYEYMSNGSLDQHLGSDVREALPWKKRLEICVGAARGLHYLHSGLKRTIIHHDIKPSKILLDDNMHPKLSGFSCSLLGAHFKEKPKPIKTDFAGTYGYAPMEYLRDCTVTDKCDVYAFGVVLLEVVSGSLYFLIQTELLGKCVEENIDPKIKGEIAAECWQVFIDIALRCIKNEADERPAMGEVEVELERALLLQQQADLTNMDRDYTLLSKNIIIPKSEGGFEFVTG >Vigun05g028800.2.v1.2 pep primary_assembly:ASM411807v1:5:2300078:2301645:-1 gene:Vigun05g028800.v1.2 transcript:Vigun05g028800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKCFGESSSSGREYPTVIEELCRHFSLADIRKSTNNFDDNRVIGHGTCCKVFKGFCKHKKESIVVYEYMSNGSLDQHLGSDVREALPWKKRLEICVGAARGLHYLHSGLKRTIIHHDIKPSKILLDDNMHPKLSGFSCSLLGAHFKEKPKPIKTDFAGTYGYAPMEYLRDCTVTDKCDVYAFGVVLLEVVSGSLYFLIQTELLGKCVEENIDPKIKGEIAAECWQVFIDIALRCIKNEADERPAMGEVEVELERALLLQQQADLTNMDRDYTLLSKNIIIPKSEGGFEFVTG >Vigun01g182800.1.v1.2 pep primary_assembly:ASM411807v1:1:36331733:36339477:-1 gene:Vigun01g182800.v1.2 transcript:Vigun01g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENAISSGLARTKSEDVAEMVATSSVKSPPSAEGGGVLSRKSSKRMTAASPSGGGGRNTHIRRARSAQLKVDVDEVGSGVALSRASSASLGLSFSFTGFTLPPDEIADSKPFSDEDIPEDIEARTHKPKFQTEHTLPIYLKATVKIHLAINATNRTLLHGYQYSMVRFSLVQSFISCDANVQDYPFFLFTDVTYKVVIKGITTTKEKDILKGITGSVNPGEVLALMGPSGSGKTSLLNLLGGRLIQSTIGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVRETLTYAARLRLPNILTKEQKEKRALDVIEELGLERCQDTMIGGSYVRGVSGGERKRVCIGSEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEAGKTVVITIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMDYFQFIGCAPLITMNPAEFLLDLANGNVNDISVPSELKDRVQMGNAEVDTCNDKPSVSVVQEYLEEAYDSRVAEIEKTKLMLPVPLDEELKSKIYSCKRQWGQVGLSNFLYFILGLLWWQSDANNPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERTTDMYRLSAYFLARTTSDLLLDLVLPVFFLLVVYFMASLRLSAGRFFLSILTVFLCIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQKVPIFISWIRYLSFNYHTYKLLLKVQYNQITQTINGITLDSGFTEVAALLAMVFGYRLLAYLSLRRMKLQAGN >Vigun01g126700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30388367:30389166:1 gene:Vigun01g126700.v1.2 transcript:Vigun01g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEEIVQNSDKAVTECTKVVSATHPIIKSPGKHTNTSHKGNPKNPNTPPQVKPKKTFETVVTSPSKYNKSSAMVVPSAPPQRYRPYDLMQQPRRTVNFLSQMEARCMIPIQVRRENPPSNETLSEWASSLQLGNGKTKPLDLKLRLRLL >Vigun09g121200.1.v1.2 pep primary_assembly:ASM411807v1:9:26926663:26929305:1 gene:Vigun09g121200.v1.2 transcript:Vigun09g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIPACYNISIVNSNQFWKTDKVLKTELPILAIQIAFVVVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGIINVEILSHVGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPILVAPCLYALFRKVYGHSMMFPLEESTNNAFIVWTLVLTVTGFPVVAYTLSELKLLYTGLGKEALTAAMISDTYGWILFTLFVPFSINGKGAVYTMLCTIIFIVVCIFVVRPLIQWFIDCKADKDEWNDNQLLFIMMGVLACSCISDFLGSHAIVGAFVFGLILPHGKFAELFMSISDDFVGGFLVPLFFSGTGMRLMLIAVFSQGSWPFTIVIILSLCALKILSTLFVTFFFGMRILDGLALGLILNNKGAMALIMLNIAWDKMIFSVPTYAVLTSAVLLMTIVVSPIINVIYKPRQRFEQNKLKTIQKLRLDVELRIIACVHNTRQAASVISLVECFNAMRVSPVYVCALYLVELTRRVAALVATHIGKPSCQLGEQNLTKSQEELESIRNTLDALGKTYDAIRVETLNVVSAYTTIHEDIYHSADEKRTSLILLPFHKQLTLEGTLEVTSVLYKDINQNVMQGAPCSVGIFIDHDFGLVPKMNLHIRVVFVGGPDDREALAIAWRMARRSGTQLSVVRILLLGEAAEVDASIHDEVQGILSTVIDTDKQKELDEEYISIFRLTAVNNNDLISYSEMDVNSGEDIPAVLNEIEKFGCDIYIVGQGNCRNSKVFSNLLEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMDFGNNLNQKATNKDMFESIV >Vigun05g070200.1.v1.2 pep primary_assembly:ASM411807v1:5:6047056:6061191:1 gene:Vigun05g070200.v1.2 transcript:Vigun05g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMACGLPQSRVLHGGVGTSYRHRSVGQLGCFDFRGRGVGCAGFDSSRSVSKFRVSGMSASACWSNSRVFTGREIKVLNIKRSLSCKNNSLFTGSRVVWSKCQGNDSLAYVTGNGRTVDYVEGSGEDAGLGPVSSVELDAPLEEEEQAGRKEGGSEIGSDELSVDELKELLQKARKELEVAQINSTMFEEKVKKISETAISLHDEAVISLNALNSTLDTIKEIDNEELVAKEAVQTATMALSLAEARLQVAIESLEPTKEVPDSAQGSNESNGDKDIEEHEKAILFAQEDIKECQANLANCEAELRRLQSRKEELQKEVSKLQEIAEKAQLNAVKAEEDVTNIMLLAEQAVAFELEATKRVNDAEIALQRADKSNSNSNTDTIETTQAPDVEAILEEEKVVHSFSGDANVERDKDLSIDDESLVSNLSPETLSDKANQILEDKTQSDYLSDNENAVQAKKQETQKDLAKDSSPFAPKALLKKSSRFFSASYFSFNEDGTEFTPASVFQGVIISVRKQLPKLIFGVLLMGAGVTFFANKVDRNAQLLPQADVIMTSVEEVSSSAKPLVRHLQKLPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAVAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAIGLVVHFICGQPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIGVTLGLLIFGKTILVSLMGRMFGISFISAIRAGLLLAPGGEFAFVAFGDAVNQGIMSSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQNDVRRLLPEESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRGLGLPVYFGDAGSREVLHKLGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAALLSQAKLPTSEIAATINEFRSRHLAELSELCEASGNSLGYGYNNNNKIAGKPKSQSTDSLDETPVSEGTLAI >Vigun05g070200.3.v1.2 pep primary_assembly:ASM411807v1:5:6047680:6061191:1 gene:Vigun05g070200.v1.2 transcript:Vigun05g070200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMACGLPQSRVLHGGVGTSYRHRSVGQLGCFDFRGRGVGCAGFDSSRSVSKFRVSGMSASACWSNSRVFTGREIKVLNIKRSLSCKNNSLFTGSRVVWSKCQGNDSLAYVTGNGRTVDYVEGSGEDAGLGPVSSVELDAPLEEEEQAGRKEGGSEIGSDELSVDELKELLQKARKELEVAQINSTMFEEKVKKISETAISLHDEAVISLNALNSTLDTIKEIDNEELVAKEAVQTATMALSLAEARLQVAIESLEPTKEVPDSAQGSNESNGDKDIEEHEKAILFAQEDIKECQANLANCEAELRRLQSRKEELQKEVSKLQEIAEKAQLNAVKAEEDVTNIMLLAEQAVAFELEATKRVNDAEIALQRADKSNSNSNTDTIETTQAPDVEAILEEEKVVHSFSGDANVERDKDLSIDDESLVSNLSPETLSDKANQILEDKTQSDYLSDNENAVQAKKQETQKDLAKDSSPFAPKALLKKSSRFFSASYFSFNEDGTEFTPASVFQGVIISVRKQLPKLIFGVLLMGAGVTFFANKVDRNAQLLPQADVIMTSVEEVSSSAKPLVRHLQKLPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAVAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAIGLVVHFICGQPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIGVTLGLLIFGKTILVSLMGRMFGISFISAIRAGLLLAPGGEFAFVAFGDAVNQGIMSSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQNDVRRLLPEESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRGLGLPVYFGDAGSREVLHKLGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAALLSQAKLPTSEIAATINEFRSRHLAELSELCEASGNSLGYGYNNNNKIAGKPKSQSTDSLDETPVSEGTLAI >Vigun05g070200.2.v1.2 pep primary_assembly:ASM411807v1:5:6047337:6061191:1 gene:Vigun05g070200.v1.2 transcript:Vigun05g070200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMACGLPQSRVLHGGVGTSYRHRSVGQLGCFDFRGRGVGCAGFDSSRSVSKFRVSGMSASACWSNSRVFTGREIKVLNIKRSLSCKNNSLFTGSRVVWSKCQGNDSLAYVTGNGRTVDYVEGSGEDAGLGPVSSVELDAPLEEEEQAGRKEGGSEIGSDELSVDELKELLQKARKELEVAQINSTMFEEKVKKISETAISLHDEAVISLNALNSTLDTIKEIDNEELVAKEAVQTATMALSLAEARLQVAIESLEPTKEVPDSAQGSNESNGDKDIEEHEKAILFAQEDIKECQANLANCEAELRRLQSRKEELQKEVSKLQEIAEKAQLNAVKAEEDVTNIMLLAEQAVAFELEATKRVNDAEIALQRADKSNSNSNTDTIETTQAPDVEAILEEEKVVHSFSGDANVERDKDLSIDDESLVSNLSPETLSDKANQILEDKTQSDYLSDNENAVQAKKQETQKDLAKDSSPFAPKALLKKSSRFFSASYFSFNEDGTEFTPASVFQGVIISVRKQLPKLIFGVLLMGAGVTFFANKVDRNAQLLPQADVIMTSVEEVSSSAKPLVRHLQKLPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAVAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAIGLVVHFICGQPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIGVTLGLLIFGKTILVSLMGRMFGISFISAIRAGLLLAPGGEFAFVAFGDAVNQGIMSSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQNDVRRLLPEESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRGLGLPVYFGDAGSREVLHKLGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAALLSQAKLPTSEIAATINEFRSRHLAELSELCEASGNSLGYGYNNNNKIAGKPKSQSTDSLDETPVSEGTLAI >Vigun07g160800.1.v1.2 pep primary_assembly:ASM411807v1:7:27261160:27262910:1 gene:Vigun07g160800.v1.2 transcript:Vigun07g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTVTELKQKLKDAIERIVEDEDYSVHTADKAIRVLSALKDLKCTASTSINVDLMTPVPPLFQCPLSGHLMTDPVILTTGQTFDRPVIQRWLNEINGANPCTQLVLSHCILTPNFMLQGMISEWCRENGVNLPNPVFDMYNEPLTEAHRHRLRSLLFKLSLSLPEQKAAAKELRELAKRMSAVRTVLGSTQMIKLLMHPLSSGTAPPDPELQDDLIGTFVNLAIHDTNKKLLAENEKVVSFLIDSLKSGQVQTRSNAAAAFASMASLDSNKHIIGRAGAIKYLVDLLEEGDPSAMKDAESALFKLCFVRENIGRTVREGAVQIILGKIVDRILVDEMLSLLALLATHTNAVAALVDHGGVRLLLDILRDTTVESVKENGAVILHLICYHDKEKRVEIKEEEVANGTFSKIVQNGSSRARRKANSILHCLGIGKSTLQKSPNSKSENTQSPSSGA >Vigun10g110400.1.v1.2 pep primary_assembly:ASM411807v1:10:31049455:31052354:1 gene:Vigun10g110400.v1.2 transcript:Vigun10g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGSIPTEITTLTNLTYIDLFNNHLQGSMPPQLGNLTQLQVLSLYKNSLVGSIPSTLGNLKSLYALYLDSNDLEGSIPTEIGNLTKLQQLSLFNNLLTGSIPPNLGQLESLTNFFFQSNQIIGVIPVEFGNLKSLQRLYLSNNSLNGSIPPTLGRLGNLRHLFLDSNQIEGHIPEELGNLSKLEVLQLSHNKISGLLPPKLLQMDKMSSLHLSSNQLCGRIPLETMRCPYATIVDLSHNLFNGSITSQFGCVNDLNLSHNFLVGEIPLLSFGPSRLDLSYNNLSGKVHKELASLSYINLSYNSFDFSQNLDSVSEVPNYCFFHEDSLINDHHMPNFTYCHLLHQTNPQTRKSKPVIMLIVLPIIFFILLLLLSILYFSRSKPKKKCEGIATKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHRVESQNPSFDKSFRNEVKMLTEIRHKNIVKLHGYCLHNRCMFLVYEYMERGSLFYILNNEVEAVELNWSKRVNIIKGIAKALSYMHHDCSPPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTKKCDVFSFGVVTMETLMGKHPRELISASSNPTTQNMLVKDLLDSRLPLPLKKDAQDINLVINVALSCLCLKPNLRPSMQQVTEKLSSFKFPLNLPFHEIFIHQVMSQDIFHLSSNFQE >Vigun03g316600.1.v1.2 pep primary_assembly:ASM411807v1:3:51050646:51055016:-1 gene:Vigun03g316600.v1.2 transcript:Vigun03g316600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESDSAPLSISNGEDPQLGASSPPPSPPPQPSDLNHAAEHEILSGELHSKLDLKGEDETEDKVSDLNDRGGVCDEETAKGDADSRGSETNSWDEELGVDADEDGVGIGDVHGDDGEEHREERNSDVTHHYPLRPEAEDCAFYMKTGNCKFGFNCKFNHPIRRKTQAVREKAGEREESTDRSSTTECKYYQRSGGCKFGKSCKYNHTRGKISTTPAPVPVFELNFLGLPIRQGERECPYYMRTGSCKFGANCKFNHPDPTVGGCDPPSGFGNGGPMSLQGVSRPSVPSWSSPRSLNEASPFVPMMLSPTQGVSTQSSDWNGYQASVYLPERNMHPPSTFVLNNPTVDTNVYLHHQKQISVEEFPERPGEPECSYFLKTGDCKFKSNCKFHHPKNRIARLPPCNLSDKGLPLRPDQSVCSHYSRYGICKFGPACKFDHPINLQPLMMSGLGDQPYSNSASVEVVAGIGESTSETDVTIQQSV >Vigun01g055880.1.v1.2 pep primary_assembly:ASM411807v1:1:10904863:10910266:-1 gene:Vigun01g055880.v1.2 transcript:Vigun01g055880.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIWALIFQLKLRSNTMIQKREIELQRGKQRNSEGWREYQAYVSEGMQDSQDWKTIMKYQRKNGSLFNSPATTAGVFQRLKNAECLGYLQSVLEKFGNAVPTIHPLDIYARLCMIDSLERLGINHHFIEEIRSVLDDTYRFWVQGVEDIFLDPTTCAMAFRILRLNGYDVSSDPFYQYSEDKFAESLKGYLKDVGAVIELYRASQAIIHPDESILVRQSLWTK >Vigun01g055880.2.v1.2 pep primary_assembly:ASM411807v1:1:10908562:10910261:-1 gene:Vigun01g055880.v1.2 transcript:Vigun01g055880.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLINPLCCSSSTSDSLVTSSYVKEKMENTSWCLGESKDRIRKLFNKVELSISSYDTAWVAMITSPASHQTPLFPQCLNWLLANQHLDGSWGLPDRHPLLMNDALLSTLASILALKQWGVGEDQINRGLHFIKSNIASIQDEKQHLPIGFGINFPSLIEYAQNLGINLPIEATIEYNDPKERNRTPKR >Vigun01g188300.1.v1.2 pep primary_assembly:ASM411807v1:1:36773247:36775403:-1 gene:Vigun01g188300.v1.2 transcript:Vigun01g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPVDKAPTAPSAPAPQPAQAPKKSKK >Vigun04g112000.1.v1.2 pep primary_assembly:ASM411807v1:4:28107433:28111888:-1 gene:Vigun04g112000.v1.2 transcript:Vigun04g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGSLATFVGFRIQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPAELSVSELERLTRVFTQKIHDLIGVQTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKSVSGQRFVIQGFGNVGSWAAQLISEKGGIVVAVSDVTGAIKNSNGLDIPSLLKHSRENRGVKGFHGGDAIDPKSILVEDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKRGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELRTYMTKGFKDVKDMCKTHDCDLRMGAFTLAVNRVARATVLRGWEA >Vigun07g120300.1.v1.2 pep primary_assembly:ASM411807v1:7:22263172:22267602:-1 gene:Vigun07g120300.v1.2 transcript:Vigun07g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSTHQMETEKEEQRQKTPLSTEPPFAVASLSLSLPTVFPFRQPKISSTPQPNKVKVPTQASSLTHLSLSTASPTPSKTSFKSSLSATPIHAPLSLGPNRPRDPSNSAALRRAAVVWFRNDLRVLDNECLASANNESLSVLPVYCFDPADYGKSASGFDKTGPYRANFLIESVADLRRNLQARGSDLVVRVGKPETVLVEMAKAVGADAVYAHREVSHDEAKTEEKVEAAMKEENVEVKYFWGSTLYHVEDLPFKLEDMPTNYGGFRDRVQKLEIRKTIEALDQLKGMPSRGDVEIGDIPSLMDLGLNPSSAMPQDGKAGANASMVGGETEALQRLKKFAAECEAQPHKGNKDGTHSIYGANFSCKISPWLAMGCLSPRIMYEELKKTASRSISASSNRTDGGNGSSKTGTNWLMFELLWRDFFRFITKKYSSAKKQLEAAPATACAGAFA >Vigun06g109200.1.v1.2 pep primary_assembly:ASM411807v1:6:23831508:23833305:1 gene:Vigun06g109200.v1.2 transcript:Vigun06g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLTSSSPSLNFTVRRCEPQLVPPAIPTPHEIKLLSDIDDQEGLRFHVPFIQIYPKQTSMAAKDPVQVIRQALSQTLVFYYPFAGRLREGPRRKLMVDCTGEGVMFIEADADVTLDQFGDSLHPPFPCFHELLYHVPGSQQITDTPILLVQVTRLRCGGFILAFTFNHTMCDGAGLSQFMSTWAEMARGATKLSIPPVWRRELLMARDPPRITCNHREFEHVPDTVKGTLASDEDDMVLRSFFFGPSQIAAIRGLIPPNIQHCTTFDLITACIWRCRTKALQIEAEEEVRVMVVVNARAKFNPPLPVGYYGNAIAYPAAVSTAGKLCENPFGYAVNLINKVKGEVTEEYMHSVADLMVNKGRCLFTTLRSFIVSDLRRFSFREIDFGWGKAVYGGDAKGGGAFPAIIYLMLHENANGEEGAVIPIWLPAKAMERFEHELYDMLPN >Vigun05g006600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:577405:578873:-1 gene:Vigun05g006600.v1.2 transcript:Vigun05g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESCDAKPQVKATLRLGSESYSVEPKKGSLSEQLVSLKEESMTILKDFITKHNVPHDVPDESLEASSDDDVDADDIPEKPQAKSKKTKLT >Vigun05g006600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:577405:578641:-1 gene:Vigun05g006600.v1.2 transcript:Vigun05g006600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESCDAKPQVKATLRLGSESYSVEPKKGSLSEQLVSLKEESMTILKDFITKHNVPHDVPDESLEASSDDDVDADDIPEKPQAKSKKTKLT >Vigun05g137800.1.v1.2 pep primary_assembly:ASM411807v1:5:16732174:16733831:-1 gene:Vigun05g137800.v1.2 transcript:Vigun05g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQDPEHRPKLSPIQVQGIPIIDLSPMTHQTVSDPSSIERLVKEIGSACKEWGFFQVINHGVSLTLRETIDKASRMFFAQSLEEKKKVSRDEVSLIGYYDTELTKNVRDWKEVYDFLARDPTFIPASSDEHDHRLIQWTNASPQYPSNFRDIIEEYIEEMEKLSFKLMELIALSLGLEAKRFEEFFVKDQTSFVRFNHYPPCPYPHLALGVGRHKDGGALTILAQDEVGGLEVKRKADQEWVPVKPTPDAYIINVGDIVQVWSNDLYESVEHRVMVNSEKERFSIPFFFNPAHDTEVKPLEEMTNEEKPSKYRPYKWGKFLVHRKGSNFKKQNEENIQIYHYKIA >Vigun07g222400.1.v1.2 pep primary_assembly:ASM411807v1:7:34472717:34475054:1 gene:Vigun07g222400.v1.2 transcript:Vigun07g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSTAANLKPYNLLQPPIPLPKPNQSLLFHPFKSFHQSTILRLRPPRRTAGFSVCVLAEDPKRNLQIKTNEEEEQELNPGSASARVEEKLARKRSQRFTYLVAAVMSSFGVTSMAVFAVYYRFSWQMEGGDFPWSEMLGTFSLSVGAAVAMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIVNAVPAIALLSYGLFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVAAAHQLHHTDKFNGVPYGLFLGPRELEEVGGVEELEKEISRRIRSGS >Vigun07g018650.1.v1.2 pep primary_assembly:ASM411807v1:7:1546496:1547968:1 gene:Vigun07g018650.v1.2 transcript:Vigun07g018650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVQRRRVLGQHRRRRRREPRFEPQAHQVLCRFRMSFVNRACECLLSVCIVVVLTMMTWSGTFRRMQGNAVLLMNVEIMTTLTILFDPTVRLVVIHLH >Vigun10g045200.1.v1.2 pep primary_assembly:ASM411807v1:10:6624156:6626337:1 gene:Vigun10g045200.v1.2 transcript:Vigun10g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSRNSAVNVLCEKLKKLPMKVKIFLGVLLAVIALLVLKSTIRRRFYFFLASQVIHSVGLIALTYKLFALKTCSGLSLITQELTALFLTARLLSRKFNVHKVQSVIDLVSLSSTLVIIWMIRFKLKSSYIKELDNMKLYFVVVPSAILATLIHPYINGWRITGFFMAFSIYLEAVSVIPQLRFMQNAKMIETFTGYYVFALGVSRFMALAHWIVQICDTRGTFLFLAGSGYFWFLAAFVAEIVQSFILADFCYYYTKRYLNFVS >Vigun05g298100.1.v1.2 pep primary_assembly:ASM411807v1:5:48263372:48267492:-1 gene:Vigun05g298100.v1.2 transcript:Vigun05g298100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVLFLPIWVLSISLSSPILHAASFISVSQNAQAESPGIHEVRAVHHQNLTKRILIASVASSGLLGGVFLIIVYVWFRRHKTLKRSRSKSQETTEAAKGETLSSVNAKLNYSRMADKKSSIAIFEYQLLEAATNSFSTSSIMRESGSRIIYRANFDEHFQAAVKKADSDADREFENEVSWLSKMRHQNIIKLMGYCVHGQSRFLVYEFMENGSLETQLHGPNRGSSLTWYVRLRIAVDIARALEYLHEHSNPPVVHRDLQSSNVLLDSNFNAKLSDFGFAMVSGMQHKNMKMSGTLGYVAPEYISHGKLTDKSDVYAFGVVLLELLTGRKPMENMTSNQYQSLVSWAMPQLTDRSKLPNILDPVIRDTMDLKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLIPLVPVELGGSLRLTEPISSENSH >Vigun07g217800.1.v1.2 pep primary_assembly:ASM411807v1:7:33983191:33991846:1 gene:Vigun07g217800.v1.2 transcript:Vigun07g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPTAKDEENASQQGGGGKSKKKAVVIDDDEYSIGTELSEEPALEEKPAAAGKKKGKKGNAKNKSNDDGVDDDEDVPEVVFAGKKKGKNKKGGGSSGFTASGFSLLGDEEGDEEDKSEEDGPAVSFSGKKASNKGGGSLFNASAFDAIDDDAGGEEQEDEPVVSFTGKKKSSKGSKKSGGSLFSASAFDAIDDGGDGEVADDKNNDFDDDEPVITFTGKKKSSKGSKKGGAVFSASVLTEIDDGEEKEDGGGDDDDDIGPITFSGKKKKSSKKAASSGGKAVSVEDDVSVPESGKDGDDMDEDDVSLVSFSGKKKSSKKKGSSTAAKGSEENVDVVEPEAPTKSADASNSNVNKSEGVAETSKNKKKNKKKSGRTAQEEEDLDKLLAELGEAPIPKPTASAPQDDKVQPTPEVGPAAADASGEKEGEEEVVESAAAKKKKKKKEKEKEKKAAAAAAAAAGSAPEKESVEVKAEVIEPKKNDSKAKAADKKVPKHVREMQEALARRKEAEEKKKREEEEKLKKEEEERRRQEELERQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRRQILNSTGGLTLPSGDSGAPAKKPIYQTKKAKPNNRNQNGAAAQAADIVEAKETTTDVVSEEPVNIEEVESIQVDDKIELPVTAENDVVEDDEDDEWDAKSWDDVNLNAKGAFADEEADSEPKPVIKKEIKNAVPTQNAGAASSTVSGSVTDETENAKQANAVVTDRNKKHDSDLNRSKKSAAPPQPNDENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNSPIVKALKQQTKDVQNEFNMRLTQIVTQFKEQGMNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTYSEEVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGEQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAMGIKITAQGLEHAIAGTGLYVVKPDDDLEDIKEAAMEDMRSVMSRIDRTGEGVCVQASTLGSLEALLEFLKTPEVSIPVSGISIGPVHKKDVMKASVMLEKKREYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIDNIKEEKKKEAADEAVFPCVFKILPNCIFNKKDPIVLGVDILEGIAKIGTPICIPSREFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNPEEQQKMFGRHFEIDDELVSHISRRSIDILKANYRDDLSMEEWRLVVKLKNLFKIQ >Vigun07g217800.3.v1.2 pep primary_assembly:ASM411807v1:7:33983191:33991846:1 gene:Vigun07g217800.v1.2 transcript:Vigun07g217800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPTAKDEENASQQGGGGKSKKKAVVIDDDEYSIGTELSEEPALEEKPAAAGKKKGKKGNAKNKSNDDGVDDDEDVPEVVFAGKKKGKNKKGGGSSGFTASGFSLLGDEEGDEEDKSEEDGPAVSFSGKKASNKGGGSLFNASAFDAIDDDAGGEEQEDEPVVSFTGKKKSSKGSKKSGGSLFSASAFDAIDDGGDGEVADDKNNDFDDDEPVITFTGKKKSSKGSKKGGAVFSASVLTEIDDGEEKEDGGGDDDDDIGPITFSGKKKKSSKKAASSGGKAVSVEDDVSVPESGKDGDDMDEDDVSLVSFSGKKKSSKKKGSSTAAKGSEENVDVVEPEAPTKSADASNSNVNKSEGVAETSKNKKKNKKKSGRTAQEEEDLDKLLAELGEAPIPKPTASAPQDDKVQPTPEVGPAAADASGEKEGEEEVVESAAAKKKKKKKEKEKEKKAAAAAAAAAGSAPEKESVEVKAEVIEPKKNDSKAKAADKKVPKHVREMQEALARRKEAEEKKKREEEEKLKKEEEERRRQEELERQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRRQILNSTGGLTLPSGDSGAPAKKPIYQTKKAKPNNRNQNGAAAQAADIVEAKETTTDVVSEEPVNIEEVESIQVDDKIELPVTAENDVVEDDEDDEWDAKSWDDVNLNAKGAFADEEADSEPKPVIKKEIKNAVPTQNAGAASSTVSGSVTDETENAKQANAVVTDRNKKHDSDLNRSKKSAAPPQPNDENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNSPIVKALKQQTKDVQNEFNMRLTQIVTQFKEQGMNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTYSEEVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGEQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAMGIKITAQGLEHAIAGTGLYVVKPDDDLEDIKEAAMEDMRSVMSRIDRTGEGVCVQASTLGSLEALLEFLKTPEVSIPVSGISIGPVHKKDVMKASVMLEKKREYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIDNIKEEKKKEAADEAVFPCVFKILPNCIFNKKDPIVLGVDILEGIAKIGTPICIPSREFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNPEEQQKMFGRHFEIDDELVSHISRRSIDILKANYRDDLSMEEWRLVVKLKNLFKIQ >VigunL018500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:43582:43881:-1 gene:VigunL018500.v1.2 transcript:VigunL018500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVARAVVPFDYGMVLSDSNIGLLYLFAISSLGVYGIITAGWSSN >VigunL059205.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000643.1:17091:17438:1 gene:VigunL059205.v1.2 transcript:VigunL059205.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIIYAHKHMSKPIIYAHKHMSKPVSSTTPLSSVSPLVLSTKPNHTSFHHLTATCIHTHYHYPPASPSFTIHQYFHRESVAISPSYAEPISYSSSPSPCKKLPNQTRTQTHKTT >Vigun05g250500.2.v1.2 pep primary_assembly:ASM411807v1:5:44421798:44427591:1 gene:Vigun05g250500.v1.2 transcript:Vigun05g250500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNYAVTEPEKTASCNGDCDKGAACVCSLSSPTCSSSGSSSARVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQASSFSPFSSMDMPTYDLQPQIFCRVVNIQLLANKENDEVYTQVTLLPQAELAGMYLEGKELEELGADEEGNETTPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHNVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGENGELRLGIRRAARPRNGLPESIVGSQNYYPNFLSSVANAISAKSMFHVFYSPRASHADFVVPYQKYVKSIKNPVTIGTRFKMKFEMDESPERRCTSGIVTGMSDLDPYKWPKSRWRCLMVRWDEDIEINHQDRVSPWEIDPSASLPPLSIQSSRRLKKLRPGLQGASPSHLITGGSGFMDSEESVRSSKVLQGQEKSGFMSLYYGCDTVTKQPEFEIRSPSHPNFASSTGVRKIAAGEFMRVHPPSYAGFTEPNRFQRVLQSQEICQLRSLTGKVDLNFGAWGKPSCTNHNLQQATKPNFHSLAPEVIQTAYFPYGDIHKAGQGSSTSMSCSKPNNFQRENVTFNSPSSQSRIMRNEAGRPEVTIQNEQKLQDNISGAASLGTNMRISNDESFNGKVHKQGSLVGRAIDLSRLNSYSDLLIELERLFSMEGLLRDPNKGWRILYTDSENDIMVVGDDPWHEFCEVVSKIHIHTQEEVEKMTIGMMNDDTQSCLEQAPVMIEASKSSSVGQPDSSPTVVRI >Vigun05g250500.1.v1.2 pep primary_assembly:ASM411807v1:5:44420878:44427613:1 gene:Vigun05g250500.v1.2 transcript:Vigun05g250500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNYAVTEPEKTASCNGDCDKGAACVCSLSSPTCSSSGSSSARVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQASSFSPFSSMDMPTYDLQPQIFCRVVNIQLLANKENDEVYTQVTLLPQAELAGMYLEGKELEELGADEEGNETTPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHNVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGENGELRLGIRRAARPRNGLPESIVGSQNYYPNFLSSVANAISAKSMFHVFYSPRASHADFVVPYQKYVKSIKNPVTIGTRFKMKFEMDESPERRCTSGIVTGMSDLDPYKWPKSRWRCLMVRWDEDIEINHQDRVSPWEIDPSASLPPLSIQSSRRLKKLRPGLQGASPSHLITGGSGFMDSEESVRSSKVLQGQEKSGFMSLYYGCDTVTKQPEFEIRSPSHPNFASSTGVRKIAAGEFMRVHPPSYAGFTEPNRFQRVLQSQEICQLRSLTGKVDLNFGAWGKPSCTNHNLQQATKPNFHSLAPEVIQTAYFPYGDIHKAGQGSSTSMSCSKPNNFQRENVTFNSPSSQSRIMRNEAGRPEVTIQNEQKLQDNISGAASLGTNMRISNDESFNGKVNACKLFGFPLSGEVTTQNLQNSAKRSCTKVHKQGSLVGRAIDLSRLNSYSDLLIELERLFSMEGLLRDPNKGWRILYTDSENDIMVVGDDPWHEFCEVVSKIHIHTQEEVEKMTIGMMNDDTQSCLEQAPVMIEASKSSSVGQPDSSPTVVRI >Vigun11g023500.6.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSVVTELKGQDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSSGLATLNCVYYREIDGFHRALKLLREKKKDDGNSDLKLD >Vigun11g023500.4.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSVVTELKGQDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSSGLATLNCVYYREIDGFHRALKLLREKKKDDGNSDLKLD >Vigun11g023500.5.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSVVTELKGQDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSSGLATLNCVYYREIDGFHRALKLLREKKKDDGNSDLKLD >Vigun11g023500.3.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERWSGVLRVPLHPNSRAFHRVGVSLCLSPETKTLCVPIANAIFFCGDRVEGSGNPVIERISNLQKLSEIVVSKFGSSINAWVIEASVFNGPFAVYKDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSRYYFNNMPPSMQMHFEIIESLDVS >Vigun11g023500.7.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSVVTELKGQDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSSGLATLNCVYYREIDGFHRALKLLREKKKDDGNSDLKLD >Vigun11g023500.1.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERWSGVLRVPLHPNSRAFHRVGVSLCLSPETKTLCVPIANAIFFCGDRVEGSGNPVIERISNLQKLSEIVVSKFGSSINAWVIEASVFNGPFAVYKDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSRYYFNNMPPSMQMHFEIIESLDVS >Vigun11g023500.2.v1.2 pep primary_assembly:ASM411807v1:11:2938920:2942243:-1 gene:Vigun11g023500.v1.2 transcript:Vigun11g023500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNIVPIANAIFFCGDRVEGSGNPVIERISNLQKLSEIVVSKFGSSINAWVIEASVFNGPFAVYKDFIPSMNQYGEPRSYNPIGFPASTSTVSLLSNCLEEAKKVILRTQVGSRSGCTFACSFSQPKTFILGFSKGGTVLNQIVTELGFSDIGSNVNSPNVGSENTYIVPKTKEDLLNSISDIHYVDVGLNTAGAYLTNHDVFERISKRLMQGAPQLRFLLHGTPRQWNDKRRDWIRNEKDKMLRLLESEVPKSLGKLKVFSRYYFNNMPPSMQMHFEIIESLDVS >Vigun05g153300.1.v1.2 pep primary_assembly:ASM411807v1:5:24360021:24362131:1 gene:Vigun05g153300.v1.2 transcript:Vigun05g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDMLPFVLLAFPILLLFVLRIRKTSKKPPFPPGPRGLPFIGNLYLLDSSTLCLKLYELSKKYGPIFSLQLGSRPAIVISSPKLAKEVMKTHDLAFCGRPSLLCSMKLSYNGLDMAFSPYRDYWRHTRKISIIHFLSLKRVLMFSPFRKCEVSHLVKKISEHASSSKETNLHELLTCLTSAVVLRTALGRRYEEEGIEKSMFHDLLREAQELVASTFYTDYIPFVGGVVDKLTGLMGRLEKMFKLLDGFYQNAIDEHLDPERKKLTNEGDFIDALLQLKNDRSFSMHLTPSHIKPLIMNMILAGTDTIAAAVVWAMTALMQNPRVMKKAQEEIENGFGGKDFIEENDIEKLPYLKAVIKETMRLYPPLPLLVERETIKKCSIREYEIPEKTLVYVNAWAVHRDPETWKEPEEFYPERFLNSKIDFRGYDYELIPFGVGRRICPGIHMGVITMELVLANLLHSFDWEMPQGMERDDIDTDMLPGLVQHKKNPLILVAKKRE >Vigun01g136150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31599067:31599375:1 gene:Vigun01g136150.v1.2 transcript:Vigun01g136150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIERIMSSDSVKLICPFTCRYYFTFFFFSSEHLHPEVYFIGSFMVFLNDRNILLYFVPDKKGISLTCSFLFFHYWGWTFTNGSLILNYSSLTLQNIYYCI >Vigun07g190000.3.v1.2 pep primary_assembly:ASM411807v1:7:30819609:30828596:1 gene:Vigun07g190000.v1.2 transcript:Vigun07g190000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDPQSLMLVTVIRNLQKLGYVFKIFAVGHGKAHSIWESIGGGISRLNTEKQGLIDWSIFEGIIVGSLEAREAMSSLMQEPFCSIPLIWIIQEDSLSSRLPVYEQMGWEHLVSHWRNAFSRASVVVFPDFTYPMLYSGVDTGNLFVIPGSPVDVWAAERYRETHGKDQLRELSGFDKYDMVILVVGSSVFYDELSWDYAVAMHSIGPLLTKYARRNDATESYKFVFLCGNSTDGSDDALQEVASRLGLHKGSVRHYGLNGDVNSVLLMADIILYGSAQEVQGFPPLLIRAMTFEIPVIAPDFPVLKKYIVDGEHGIFFPKQNPEALMTAFSLLISNGRLSKFAKAIGSSGRRLAKNVLSLDCITGYARLLENVLSFPSDALLPGPVSEIQQGSWEWDLLQNEINLGIHWSNVDGEFFNGKVSVVYALELELGGLNYSTSVFENGTEFSEQNELTQLDWDVLREIEISEENEMFETEEVEERMEKDIGVWDNIYRNARKSEKLKFEVNERDVGELERTGQPVCIYEIYNGAGVWPFLHHGSLYRGLSLSRRGQRQSSDDVDAVGRLPLLNDTYYQEILCEMGGMFAIANRVDNIHRRPWIGFQSWRAAGRKVALSRKAEKVLEQRMQENSRGDVIYFWGHLEMDLSIIGKNNAISFWYMCDILNGGNCRTVFQDGFRQMYALPPDVEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSIDALRRDSSKYGLCLLGSSEIETKHCYCRVLELLINVWAYHSARRMVYINPNTGSTEEQHPIEQRKGFMWVKFFNFSLLKSMDEDLAEAADDGDHPRDMWLWPMTGEVHWHGIYEREREERYRLKMDKKRKTKEKLFERMKYGYKQKSLGR >Vigun07g190000.1.v1.2 pep primary_assembly:ASM411807v1:7:30817382:30828596:1 gene:Vigun07g190000.v1.2 transcript:Vigun07g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRNAASQPEIDDAGGDIGFHAIRGGFPFKRNPNHYRHRGSFDRQLPRMSNSSSSNSNSSSRSHLHSRLTRKGLLLWLFPFSKSKSGLYALIIAVVFLFAFASMVMQNSITSVFRQRAERNRYRLEGLRFGTTLRFVPGRVSQGFLSGNGLDRIRSQPRPAVRPPRIALILGHMTIDPQSLMLVTVIRNLQKLGYVFKIFAVGHGKAHSIWESIGGGISRLNTEKQGLIDWSIFEGIIVGSLEAREAMSSLMQEPFCSIPLIWIIQEDSLSSRLPVYEQMGWEHLVSHWRNAFSRASVVVFPDFTYPMLYSGVDTGNLFVIPGSPVDVWAAERYRETHGKDQLRELSGFDKYDMVILVVGSSVFYDELSWDYAVAMHSIGPLLTKYARRNDATESYKFVFLCGNSTDGSDDALQEVASRLGLHKGSVRHYGLNGDVNSVLLMADIILYGSAQEVQGFPPLLIRAMTFEIPVIAPDFPVLKKYIVDGEHGIFFPKQNPEALMTAFSLLISNGRLSKFAKAIGSSGRRLAKNVLSLDCITGYARLLENVLSFPSDALLPGPVSEIQQGSWEWDLLQNEINLGIHWSNVDGEFFNGKVSVVYALELELGGLNYSTSVFENGTEFSEQNELTQLDWDVLREIEISEENEMFETEEVEERMEKDIGVWDNIYRNARKSEKLKFEVNERDVGELERTGQPVCIYEIYNGAGVWPFLHHGSLYRGLSLSRRGQRQSSDDVDAVGRLPLLNDTYYQEILCEMGGMFAIANRVDNIHRRPWIGFQSWRAAGRKVALSRKAEKVLEQRMQENSRGDVIYFWGHLEMDLSIIGKNNAISFWYMCDILNGGNCRTVFQDGFRQMYALPPDVEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSIDALRRDSSKYGLCLLGSSEIETKHCYCRVLELLINVWAYHSARRMVYINPNTGSTEEQHPIEQRKGFMWVKFFNFSLLKSMDEDLAEAADDGDHPRDMWLWPMTGEVHWHGIYEREREERYRLKMDKKRKTKEKLFERMKYGYKQKSLGR >Vigun07g190000.4.v1.2 pep primary_assembly:ASM411807v1:7:30822716:30828596:1 gene:Vigun07g190000.v1.2 transcript:Vigun07g190000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPFCSIPLIWIIQEDSLSSRLPVYEQMGWEHLVSHWRNAFSRASVVVFPDFTYPMLYSGVDTGNLFVIPGSPVDVWAAERYRETHGKDQLRELSGFDKYDMVILVVGSSVFYDELSWDYAVAMHSIGPLLTKYARRNDATESYKFVFLCGNSTDGSDDALQEVASRLGLHKGSVRHYGLNGDVNSVLLMADIILYGSAQEVQGFPPLLIRAMTFEIPVIAPDFPVLKKYIVDGEHGIFFPKQNPEALMTAFSLLISNGRLSKFAKAIGSSGRRLAKNVLSLDCITGYARLLENVLSFPSDALLPGPVSEIQQGSWEWDLLQNEINLGIHWSNVDGEFFNGKVSVVYALELELGGLNYSTSVFENGTEFSEQNELTQLDWDVLREIEISEENEMFETEEVEERMEKDIGVWDNIYRNARKSEKLKFEVNERDVGELERTGQPVCIYEIYNGAGVWPFLHHGSLYRGLSLSRRGQRQSSDDVDAVGRLPLLNDTYYQEILCEMGGMFAIANRVDNIHRRPWIGFQSWRAAGRKVALSRKAEKVLEQRMQENSRGDVIYFWGHLEMDLSIIGKNNAISFWYMCDILNGGNCRTVFQDGFRQMYALPPDVEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSIDALRRDSSKYGLCLLGSSEIETKHCYCRVLELLINVWAYHSARRMVYINPNTGSTEEQHPIEQRKGFMWVKFFNFSLLKSMDEDLAEAADDGDHPRDMWLWPMTGEVHWHGIYEREREERYRLKMDKKRKTKEKLFERMKYGYKQKSLGR >Vigun07g190000.2.v1.2 pep primary_assembly:ASM411807v1:7:30818803:30828596:1 gene:Vigun07g190000.v1.2 transcript:Vigun07g190000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDPQSLMLVTVIRNLQKLGYVFKIFAVGHGKAHSIWESIGGGISRLNTEKQGLIDWSIFEGIIVGSLEAREAMSSLMQEPFCSIPLIWIIQEDSLSSRLPVYEQMGWEHLVSHWRNAFSRASVVVFPDFTYPMLYSGVDTGNLFVIPGSPVDVWAAERYRETHGKDQLRELSGFDKYDMVILVVGSSVFYDELSWDYAVAMHSIGPLLTKYARRNDATESYKFVFLCGNSTDGSDDALQEVASRLGLHKGSVRHYGLNGDVNSVLLMADIILYGSAQEVQGFPPLLIRAMTFEIPVIAPDFPVLKKYIVDGEHGIFFPKQNPEALMTAFSLLISNGRLSKFAKAIGSSGRRLAKNVLSLDCITGYARLLENVLSFPSDALLPGPVSEIQQGSWEWDLLQNEINLGIHWSNVDGEFFNGKVSVVYALELELGGLNYSTSVFENGTEFSEQNELTQLDWDVLREIEISEENEMFETEEVEERMEKDIGVWDNIYRNARKSEKLKFEVNERDVGELERTGQPVCIYEIYNGAGVWPFLHHGSLYRGLSLSRRGQRQSSDDVDAVGRLPLLNDTYYQEILCEMGGMFAIANRVDNIHRRPWIGFQSWRAAGRKVALSRKAEKVLEQRMQENSRGDVIYFWGHLEMDLSIIGKNNAISFWYMCDILNGGNCRTVFQDGFRQMYALPPDVEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSIDALRRDSSKYGLCLLGSSEIETKHCYCRVLELLINVWAYHSARRMVYINPNTGSTEEQHPIEQRKGFMWVKFFNFSLLKSMDEDLAEAADDGDHPRDMWLWPMTGEVHWHGIYEREREERYRLKMDKKRKTKEKLFERMKYGYKQKSLGR >Vigun07g190000.5.v1.2 pep primary_assembly:ASM411807v1:7:30822805:30828596:1 gene:Vigun07g190000.v1.2 transcript:Vigun07g190000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPFCSIPLIWIIQEDSLSSRLPVYEQMGWEHLVSHWRNAFSRASVVVFPDFTYPMLYSGVDTGNLFVIPGSPVDVWAAERYRETHGKDQLRELSGFDKYDMVILVVGSSVFYDELSWDYAVAMHSIGPLLTKYARRNDATESYKFVFLCGNSTDGSDDALQEVASRLGLHKGSVRHYGLNGDVNSVLLMADIILYGSAQEVQGFPPLLIRAMTFEIPVIAPDFPVLKKYIVDGEHGIFFPKQNPEALMTAFSLLISNGRLSKFAKAIGSSGRRLAKNVLSLDCITGYARLLENVLSFPSDALLPGPVSEIQQGSWEWDLLQNEINLGIHWSNVDGEFFNGKVSVVYALELELGGLNYSTSVFENGTEFSEQNELTQLDWDVLREIEISEENEMFETEEVEERMEKDIGVWDNIYRNARKSEKLKFEVNERDVGELERTGQPVCIYEIYNGAGVWPFLHHGSLYRGLSLSRRGQRQSSDDVDAVGRLPLLNDTYYQEILCEMGGMFAIANRVDNIHRRPWIGFQSWRAAGRKVALSRKAEKVLEQRMQENSRGDVIYFWGHLEMDLSIIGKNNAISFWYMCDILNGGNCRTVFQDGFRQMYALPPDVEALPPMPEDGGYWSALHSWVMPTPSFLEFIMFSRMFVDSIDALRRDSSKYGLCLLGSSEIETKHCYCRVLELLINVWAYHSARRMVYINPNTGSTEEQHPIEQRKGFMWVKFFNFSLLKSMDEDLAEAADDGDHPRDMWLWPMTGEVHWHGIYEREREERYRLKMDKKRKTKEKLFERMKYGYKQKSLGR >Vigun03g409600.1.v1.2 pep primary_assembly:ASM411807v1:3:61675215:61750241:1 gene:Vigun03g409600.v1.2 transcript:Vigun03g409600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSTEALRISVWKGDVVLKDLKLKAEALNALKLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPAADSRTLKEEDREKLFEAKLQQIEEAESATLDAISKSKLGSPSSGNSWLSSLISTIIGNLKISISNVHIRYEDSVSNPGHPFSSGVTLAKLAAFTVDEQGNETFDASGALDRLRKSVQLERLALYHDSDHLPWEIDKRWEDINPPEWIEIFEEGINEPTDDPKFVSKWARNRAYLVYPINAVLQYHRLGNQERVNPEIPFEKVTLVLTEISLTLTESQYHDWIKLLEAVSRYKTYMGVSHLRPAVSISKAPCLWWQFAAQASLQQQQKCYRLSWDQIRHLCQCRRQYIQMYVAFLQQSSNVNRTEIRGIEKDLDSKVILLWRLLAHAKVESVKSKVAAEERKIKKKSWFSFAWGDTEESCLDDASEEQELREEWQAINKLLSYQPEEDLMLRSAKDVQNMVQLLVTVSIGQGAARIISVNQEEIVCGRFEQLHVSTKFRHRSVYCDVLLKFYGLSAPEGSLTQSVYSEQKVNALVASFVYLPIGENIDWRLSATIAPCHVTVLMESIDRVMEFIKRSKAVSPTVALETATALQVKFEKVTRRAQEQFQMALEEQSRFAFDIDLDAPKVRVPLRISGSDRCGSHFLLDFGHFTLHTAESESDEKRQNLYSRFYIAGRDIAAFFTDCGSEFGTCSLVKRINDSEVLNSPIAKNDENVYHLIDRCGMAVLVNQIKVPHPSYPSTLISIQVPNLGIHFSPERNFRIMELLSLFNKTMETCNQPTTDSFQSKPVPWSSSDLTTDCRILVWKGIGNSVATWSPCFLVLSGSYLYVFESAKCQSYQRYLSMAGRQILDVPSTYVGGSAYCIALSIKRMDIQQALESSSTWILDFQNEDEKANWFRGLVQATYQASAPPLIDLLGDSEGDATSYNVLSTTDSKTADTVINGSLVELKFFIYGKVGDTTDGKLDESLILETVADGGKVQVLRADGDLTVKLKLHSLKIKDELQSRLSVAPCYLAVSVLTNETSSSDMFDSHGKEFFHDDDDCFTDALSDFIAQTDGGHGLHNMDLDHKGLVGVASDFESLESILHETDIELIRGTPRTPREIYYEAQGSDTSNFVSVSFITRSSASPDYDGIDTQMCVRMSNLEFFCNRPTIVALISFGLDISSGNKVTSPADMATTSSDNLSVKEKTDEKGAVTGLLGYGKDRVVFYWNMNVDSVTVFLNKEDGSQLATLVQESFLLDLKVHPSSLSIDGTLGNFRLRDTSLGSEQCWDWLCDIRNPGVDSLIKFKFNSYSAEDDDYEGYHYSLQGNLSAVRIVFLYRFVQEIMMYFMELASPHPDEAIKLVDKVGGFEWLIQKYEMDGATALKLDLALDTPIIIVPRNSTSKDFIQLDLGKLQLKNEFSWHGSQEEDPSAVRIDLLHAQILGINMSVGIDGCLGKPMIREGQGLDIFVRRSLRDVFRKVPTFSLEVQVDLLHGIMSAKEYKVILDCTFMNLSEQPRLPASFRGGQSGSRDTIRLLVDKVNLNSQLLLSRTVTIIAVSINHALLELGNGTGGEFPLAHIAMEGLWVSYRMTSLSETDLFVTIPKFSILDVRPDTKPEMRLMLGSFVDASKQPVTGPFLFNPGSCRKTTSEAGIDDMPISTMILIDYRWRVSSQSYVIRVQQPRVLVVPDFLLAVAEFFVPSLGALSGREEKMDPKNDPISKNCSIVLMESIYKQKEDVVHLSPSKQLVADWVGIDEYTYDGCGKVICLSIETDAKEVRTTRFRPIIVIGHGKKLRFVNVKIENGSLLRKYAYLSNDSSYSISSEDGVDMADPGNFPSNDEKSLDNVNQISGTSTDAQSCSNGTQSFSFETQVVSSEFTFYDGTKSFLDDSSYGEKLVRAKLDLSFMYASKEKDSWIRALLKDFTVEAGSGLTILDPVDISGGYTSVKDKTNISLLSTDICLHLSLSALSLMLNLQSQASAALSFGNAIPLVQCTNYDRIWVSEKETGHITFWRPRAPANYVVLGDCVTSRPIPPSQAVMAVSNTYGRVRKPVDFRLIGSFLNIQGRGGSESHSVDDNDCSLWMPIAPSGYTALGCVVHVGNEPPPNYIVHCLRSDLVTSAKYTDCLLNIPLNSHFTSGFSIWRLDNAVGSFFAHSSTGCPPKDRCYDLNHLLVWNSNRAPLIGPMSDYPSDHEDKNIQTSKSVNTSGWDILKSISKATNCYMSTPNFERIWWDKGSELRRPVSIWRPIARHGYAVLGDCITEGLEPPALGIIFKNDSPDISSKPVQFTKVSHIGSKGIDEVFFWYPIAPPGYVSLGCVVSKLDEPPRLDLFCCPRMDLVSQANIHEVPLSRSSSSKSPQCWSIWKVENQACTFLARSDLKKPSSRLAYIIGDSVKPKTRENINTELKLRYFSLTILDSLCGMMRPLFDTTITNIKLATHGGIHGMNAVLIASIVASTFNAHLEAWEPVVEPFDGIFKFETFDTNAQSPSGVGKRVRISATSILNVNVSAANLESFVASILSWRQQLELEEKTSKLNVEVGGQQGNGENTTFSALDEDDLQTVVVENKLGCDIFVKKFEHAVDTVDKLQHGNCASVWIPPPRFSNRLNVANESREARYYVAVQILEAKGLPITDDGNSHNIFCALRLLVDSQASEQQKLFPQSARTKCVKPVLSRIKDQVEGRVKWNELFIFEVPRKAPAKLEIEVTNLGAKAGKGEVVGALSFSVGHGANTLKKVASVRMFQPASDTQSIRSYPLSRLQNVEAKQDGCLFVSTSYFERNKIANLQNDIESENDDDDRDIGFMVGLSLESDWVSIRSLLPLSVTPVSLQKEYIGMEVVMKNGKKHVIFRGLVTVVNDSDVILNIMTSHASHTHGPSLGVNSSSTVTEEVFQNQYYLPSTGWGNNWPGVHKDNPGHWSTRDFSNSSKDFFEPPLPPGWKWSSGWSIDKSQYVDKEGWAYGPDIISLRWPPTSSQFSTKSASDVVRRRRWVRTRQPFSDQGTEYLQSGASTVHPGTSAVLSWRSTSKDSEQCLQVRPKFDNSQPSYSWGCAIAVGSSYIYSKDQLLDPGSRLTAVTPNASLKLNELEKKDILLCCNPNSGGKQLWFSVCTDASVLNTELNVPVYDWRISISSPLKLENRLPCPAEFSISEKIKEGNCIERHRGIVSSRQTVHIYSADIQKPLYITLRVQGGWVMEKDPFLVLDPSFSNHVSSFWITHQQSKRKLRVSIEHDMGGTSAAQKMLRLFVPYWIVNDTSLSLAYRVVEVEPLENAEMDSVPLSRAVKSAKTALKNPISSLDRRHSNSRRSLQVLEVIEDNSPFPSMLSPQDYVGRSGSTMFHSPKDTYLSTRLGISVSMRSSEVYSSGISLLELEKKERIDVKAFDSEVSYYKLSALLNMTSDRTKVVHFQPHTLFINRFGCSICLQQCDTQSAVWIHPTDRPKPFGWKLSARVELLKLRIDGYKWSTPFSVSYEGVMRISLKKDVGDELMQIRVAVRSGAKRSRFEVVFRPDSLSSPYRIENHSMFLPIRFRQVEGTGDSWQLLFPHSSASFLWEDLGRRRLLELLVDGTDPAKSLKYDIDEISDHQPVHVKDGPTRALRVTIVKEEKSNVVKISDWLPENEPTGAPRRHLSSMNDSQKQQLMSITDCEFHINFDLAELGISIIDHTPEEIMYLSVQNLVLAYSTGLGSGISRFKVRMCGLQVDNQLPLTPMPVLFRPQRAVSETDYILKCSITVQSNGSVDLCVYPYIGLHGPESSAAFLINIHEPIIWRLHEMIQQVKLSRLYDSQTTAASVDPIIQIGVLNISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFNENVCMRQSSMISMAISNVRKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQETKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPMSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVISGDNLLTLYDEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKILMVTHRRVILLQQPSNIIAQRKFSPARDACSILWDILWDDLATMELTHGKKDNPRGPPSRLILYLQSRALDTKENHRIIKCVPDTRQALQAYSSIDHALNTYGPGVSKGVLKNKVTKPYSPHVDALSADLSPQQMPGSTPLSSTF >Vigun03g409600.2.v1.2 pep primary_assembly:ASM411807v1:3:61704264:61750241:1 gene:Vigun03g409600.v1.2 transcript:Vigun03g409600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQILDVPSTYVGGSAYCIALSIKRMDIQQALESSSTWILDFQNEDEKANWFRGLVQATYQASAPPLIDLLGDSEGDATSYNVLSTTDSKTADTVINGSLVELKFFIYGKVGDTTDGKLDESLILETVADGGKVQVLRADGDLTVKLKLHSLKIKDELQSRLSVAPCYLAVSVLTNETSSSDMFDSHGKEFFHDDDDCFTDALSDFIAQTDGGHGLHNMDLDHKGLVGVASDFESLESILHETDIELIRGTPRTPREIYYEAQGSDTSNFVSVSFITRSSASPDYDGIDTQMCVRMSNLEFFCNRPTIVALISFGLDISSGNKVTSPADMATTSSDNLSVKEKTDEKGAVTGLLGYGKDRVVFYWNMNVDSVTVFLNKEDGSQLATLVQESFLLDLKVHPSSLSIDGTLGNFRLRDTSLGSEQCWDWLCDIRNPGVDSLIKFKFNSYSAEDDDYEGYHYSLQGNLSAVRIVFLYRFVQEIMMYFMELASPHPDEAIKLVDKVGGFEWLIQKYEMDGATALKLDLALDTPIIIVPRNSTSKDFIQLDLGKLQLKNEFSWHGSQEEDPSAVRIDLLHAQILGINMSVGIDGCLGKPMIREGQGLDIFVRRSLRDVFRKVPTFSLEVQVDLLHGIMSAKEYKVILDCTFMNLSEQPRLPASFRGGQSGSRDTIRLLVDKVNLNSQLLLSRTVTIIAVSINHALLELGNGTGGEFPLAHIAMEGLWVSYRMTSLSETDLFVTIPKFSILDVRPDTKPEMRLMLGSFVDASKQPVTGPFLFNPGSCRKTTSEAGIDDMPISTMILIDYRWRVSSQSYVIRVQQPRVLVVPDFLLAVAEFFVPSLGALSGREEKMDPKNDPISKNCSIVLMESIYKQKEDVVHLSPSKQLVADWVGIDEYTYDGCGKVICLSIETDAKEVRTTRFRPIIVIGHGKKLRFVNVKIENGSLLRKYAYLSNDSSYSISSEDGVDMADPGNFPSNDEKSLDNVNQISGTSTDAQSCSNGTQSFSFETQVVSSEFTFYDGTKSFLDDSSYGEKLVRAKLDLSFMYASKEKDSWIRALLKDFTVEAGSGLTILDPVDISGGYTSVKDKTNISLLSTDICLHLSLSALSLMLNLQSQASAALSFGNAIPLVQCTNYDRIWVSEKETGHITFWRPRAPANYVVLGDCVTSRPIPPSQAVMAVSNTYGRVRKPVDFRLIGSFLNIQGRGGSESHSVDDNDCSLWMPIAPSGYTALGCVVHVGNEPPPNYIVHCLRSDLVTSAKYTDCLLNIPLNSHFTSGFSIWRLDNAVGSFFAHSSTGCPPKDRCYDLNHLLVWNSNRAPLIGPMSDYPSDHEDKNIQTSKSVNTSGWDILKSISKATNCYMSTPNFERIWWDKGSELRRPVSIWRPIARHGYAVLGDCITEGLEPPALGIIFKNDSPDISSKPVQFTKVSHIGSKGIDEVFFWYPIAPPGYVSLGCVVSKLDEPPRLDLFCCPRMDLVSQANIHEVPLSRSSSSKSPQCWSIWKVENQACTFLARSDLKKPSSRLAYIIGDSVKPKTRENINTELKLRYFSLTILDSLCGMMRPLFDTTITNIKLATHGGIHGMNAVLIASIVASTFNAHLEAWEPVVEPFDGIFKFETFDTNAQSPSGVGKRVRISATSILNVNVSAANLESFVASILSWRQQLELEEKTSKLNVEVGGQQGNGENTTFSALDEDDLQTVVVENKLGCDIFVKKFEHAVDTVDKLQHGNCASVWIPPPRFSNRLNVANESREARYYVAVQILEAKGLPITDDGNSHNIFCALRLLVDSQASEQQKLFPQSARTKCVKPVLSRIKDQVEGRVKWNELFIFEVPRKAPAKLEIEVTNLGAKAGKGEVVGALSFSVGHGANTLKKVASVRMFQPASDTQSIRSYPLSRLQNVEAKQDGCLFVSTSYFERNKIANLQNDIESENDDDDRDIGFMVGLSLESDWVSIRSLLPLSVTPVSLQKEYIGMEVVMKNGKKHVIFRGLVTVVNDSDVILNIMTSHASHTHGPSLGVNSSSTVTEEVFQNQYYLPSTGWGNNWPGVHKDNPGHWSTRDFSNSSKDFFEPPLPPGWKWSSGWSIDKSQYVDKEGWAYGPDIISLRWPPTSSQFSTKSASDVVRRRRWVRTRQPFSDQGTEYLQSGASTVHPGTSAVLSWRSTSKDSEQCLQVRPKFDNSQPSYSWGCAIAVGSSYIYSKDQLLDPGSRLTAVTPNASLKLNELEKKDILLCCNPNSGGKQLWFSVCTDASVLNTELNVPVYDWRISISSPLKLENRLPCPAEFSISEKIKEGNCIERHRGIVSSRQTVHIYSADIQKPLYITLRVQGGWVMEKDPFLVLDPSFSNHVSSFWITHQQSKRKLRVSIEHDMGGTSAAQKMLRLFVPYWIVNDTSLSLAYRVVEVEPLENAEMDSVPLSRAVKSAKTALKNPISSLDRRHSNSRRSLQVLEVIEDNSPFPSMLSPQDYVGRSGSTMFHSPKDTYLSTRLGISVSMRSSEVYSSGISLLELEKKERIDVKAFDSEVSYYKLSALLNMTSDRTKVVHFQPHTLFINRFGCSICLQQCDTQSAVWIHPTDRPKPFGWKLSARVELLKLRIDGYKWSTPFSVSYEGVMRISLKKDVGDELMQIRVAVRSGAKRSRFEVVFRPDSLSSPYRIENHSMFLPIRFRQVEGTGDSWQLLFPHSSASFLWEDLGRRRLLELLVDGTDPAKSLKYDIDEISDHQPVHVKDGPTRALRVTIVKEEKSNVVKISDWLPENEPTGAPRRHLSSMNDSQKQQLMSITDCEFHINFDLAELGISIIDHTPEEIMYLSVQNLVLAYSTGLGSGISRFKVRMCGLQVDNQLPLTPMPVLFRPQRAVSETDYILKCSITVQSNGSVDLCVYPYIGLHGPESSAAFLINIHEPIIWRLHEMIQQVKLSRLYDSQTTAASVDPIIQIGVLNISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFNENVCMRQSSMISMAISNVRKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQETKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPMSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVISGDNLLTLYDEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKILMVTHRRVILLQQPSNIIAQRKFSPARDACSILWDILWDDLATMELTHGKKDNPRGPPSRLILYLQSRALDTKENHRIIKCVPDTRQALQAYSSIDHALNTYGPGVSKGVLKNKVTKPYSPHVDALSADLSPQQMPGSTPLSSTF >Vigun08g221500.1.v1.2 pep primary_assembly:ASM411807v1:8:37989477:37992659:-1 gene:Vigun08g221500.v1.2 transcript:Vigun08g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREVSISLDGVRDKNLMQLKKLNLALFPVRYNDKYYADALASGEFTKLAYYSDICVGAIACRLEKKEGGGQVRVYIMTLGVLAPYRGLGIGTKLLNHALDLCSKQNISEVYLHVQTNNEDAINFYKKFGFEITETIQNYYTNITPPDCYVLTRYTAASTAKK >VigunL059010.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000129.1:3130:3401:1 gene:VigunL059010.v1.2 transcript:VigunL059010.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLWVGLLYVHHNIAASHFIQFELQGGHYMVHLKSKKQRVDADEVFTLAQLKSWSWIVYKNPKALFSYSDWCLLM >Vigun01g111750.1.v1.2 pep primary_assembly:ASM411807v1:1:28567422:28569352:-1 gene:Vigun01g111750.v1.2 transcript:Vigun01g111750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGKEPNSNSSYSLHHSDHSRMAIVSKPLDGDNFSTWRRAMVISLNAKSKLGFVDGTLKEPSAKDKLDVIFYDIAHEIWEDLQNRLSQISPLQMTVASYYTKLKKLWDELGSYNNATCTCGTYNKRRKLMQFIIRLNDSYSAICGQLLMNSLPDVTQAYSSIIQEEKQRHLGTTCPPSHFNSSNRKSLHCSHCDRDYHTKETC >Vigun05g208900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39963415:39964565:1 gene:Vigun05g208900.v1.2 transcript:Vigun05g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGGVVKKGHEEGLKLAVSLLQEFELPEGLLPLQDVIEVGHVKGTGYMWIVQKKKVEHEFKKIKKLVSYDSEITGYVSKKKIKKLKGVKAKELMLWPPVSEITVDESPTGKIHFKSLAGITKTFPVEAFAAGQ >Vigun05g107400.2.v1.2 pep primary_assembly:ASM411807v1:5:10996642:11000278:1 gene:Vigun05g107400.v1.2 transcript:Vigun05g107400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEVLTLDYNVIDGKKLRESLPTLSSIKMLSMSYNKFKGAIVARDLTSLKVLSLSECDINATLPAADWFMLNKLEELDLSENHFEGLLPSSFVNMTSLRTLELSDNYFLGNFASNIASLTSLEYFDFQGNQFEVPISFTPFANNSNLKFIYGDGNKVIMDSQSRLQIWIPKFQLQVISLSSTTETNSIPFPNFLLYQYNITILDFSSLRLEGEFPSWLLENNTQLTDIILRNYSFNNFKLPSRPLLKIRNIDVSDNIITGEIFGSNISSIFPYLQILDMSINDIQGSIPRDFGQMHLLHTLDLSYNNLSREIPKNISGDELKILKLSNNKLHGSVFPTLSTLKNLEELCLDKNSLSGSINSFSAESLIALDLSNNHLMGKLPSVLGNLSNFERSISTRFVELEALRYLDISGNNFSGFLPSFANSSVKFIHMSNNKFTGLSKSTFSKSSLVILDLSYNRITSKIQDMILDLQNSSLNILILKGNNFSGHIPQHVCQLKELFILDLSSNNLSGLLPSCFGKMPFEDQNIDALNLHGDFNSYDGFPRPGEWIFPYAEEKVNFTTKKTSYTYKGNILGNMSAIDLSNNKLNGNIPSEFGNLTRIRALNLSYNDFIGQIPASFSNLVQIESLDLSFNKLSGQIPPQLNRLHFIAIFSVAHNNLSGETPERKGQFITFDESSYEDNPFLCGPPLPKGCNLYIQPHAISPNRSHTDGGNGGFVDMFVFWLSFGVSCTSTFLVIVATLYINPYWRRRWFYNIELVCTNGYYFIEDKILLKFSNHGST >Vigun06g170050.2.v1.2 pep primary_assembly:ASM411807v1:6:29164525:29165626:1 gene:Vigun06g170050.v1.2 transcript:Vigun06g170050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERHDVNYQKDFKHISQKIKKLEDKLEKDSSKIEALMKKGEESTDLIPKLEDNILKLQKLLLDEEKILDEITKSSKGYQ >Vigun06g170050.1.v1.2 pep primary_assembly:ASM411807v1:6:29164525:29165626:1 gene:Vigun06g170050.v1.2 transcript:Vigun06g170050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERHDVNYQKDFKHISQKIKKLEDKLEKDSSKIEALMKKGEESTDLIPKLEDNILKLQKLLLDEEKILDEITKSSKVWEGSITSLPVLPYYFLRLPMIWTKGHFP >Vigun03g128400.1.v1.2 pep primary_assembly:ASM411807v1:3:12424111:12424485:-1 gene:Vigun03g128400.v1.2 transcript:Vigun03g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQAASQTRFRALKHEYGINGEATIIVRVIACFQPLHFCQAEYFRHLLKPVT >Vigun05g179400.2.v1.2 pep primary_assembly:ASM411807v1:5:33921215:33924349:-1 gene:Vigun05g179400.v1.2 transcript:Vigun05g179400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKSIMFVGDSLSRNQWQSLTCLLHSAVPNSNYTLDRVGDVSIFTLTEYKVKVMLDRNVYLVDVVKEKIGRVLKLDSIEGSKLWQGIDTLIFNTWHWWYRRGPTQPWDYIQVGNKIIKDMDRMKAFEEALKTWGKWVDTNVDPTKVKVFFQGISPSHYNGSLWNEPSAKTCIRQKTPVPGSTYPGGLPPAVAVLKGVLRTIKTPVTLLDITTLSLLRKDGHPSIYGLGGATAMDCSHWCLPGVPDTWNEILYNLI >Vigun05g179400.1.v1.2 pep primary_assembly:ASM411807v1:5:33921215:33926088:-1 gene:Vigun05g179400.v1.2 transcript:Vigun05g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGFFFLFFSLLLLALKVRGSGYAENGDFFTGTWVLDESYPLYQPSTCPFIEREFRCEGNGRPDLIYTHYRWHPLASKFLRFDGRDFLEKMRGKSIMFVGDSLSRNQWQSLTCLLHSAVPNSNYTLDRVGDVSIFTLTEYKVKVMLDRNVYLVDVVKEKIGRVLKLDSIEGSKLWQGIDTLIFNTWHWWYRRGPTQPWDYIQVGNKIIKDMDRMKAFEEALKTWGKWVDTNVDPTKVKVFFQGISPSHYNGSLWNEPSAKTCIRQKTPVPGSTYPGGLPPAVAVLKGVLRTIKTPVTLLDITTLSLLRKDGHPSIYGLGGATAMDCSHWCLPGVPDTWNEILYNLI >Vigun05g179400.4.v1.2 pep primary_assembly:ASM411807v1:5:33921215:33924226:-1 gene:Vigun05g179400.v1.2 transcript:Vigun05g179400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKSIMFVGDSLSRNQWQSLTCLLHSAVPNSNYTLDRVGDVSIFTLTEYKVKVMLDRNVYLVDVVKEKIGRVLKLDSIEGSKLWQGIDTLIFNTWHWWYRRGPTQPWDYIQVGNKIIKDMDRMKAFEEALKTWGKWVDTNVDPTKVKVFFQGISPSHYNLWNEPSAKTCIRQKTPVPGSTYPGGLPPAVAVLKGVLRTIKTPVTLLDITTLSLLRKDGHPSIYGLGGATAMDCSHWCLPGVPDTWNEILYNLI >Vigun05g179400.3.v1.2 pep primary_assembly:ASM411807v1:5:33921215:33926088:-1 gene:Vigun05g179400.v1.2 transcript:Vigun05g179400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGFFFLFFSLLLLALKVRGSGYAENGDFFTGTWVLDESYPLYQPSTCPFIEREFRCEGNGRPDLIYTHYRWHPLASKFLRFDGRDFLEKMRGKSIMFVGDSLSRNQWQSLTCLLHSAVPNSNYTLDRVGDVSIFTLTEYKVKVMLDRNVYLVDVVKEKIGRVLKLDSIEGSKLWQGIDTLIFNTWHWWYRRGPTQPWDYIQVGNKIIKDMDRMKAFEEALKTWGKWVDTNVDPTKVKVFFQGISPSHYNLWNEPSAKTCIRQKTPVPGSTYPGGLPPAVAVLKGVLRTIKTPVTLLDITTLSLLRKDGHPSIYGLGGATAMDCSHWCLPGVPDTWNEILYNLI >Vigun04g001300.1.v1.2 pep primary_assembly:ASM411807v1:4:125312:131628:-1 gene:Vigun04g001300.v1.2 transcript:Vigun04g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHPPPQPDGGSAAPNPNPNPSQEKKSINPELWQACAGPLVNLPPSATHVIYFPQGHSEQVAASLKKDVDAQIPNYPNLPSKLLCLLHSLTLHADPETDEVYAQMTLQPVPSFDKDALLRSDLALKSTKPQPDFFCKQLTASDTSTHGGFSVPRRAAEKIFPHLDYSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPANISSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKSVYSHQPSLGMRFRMMFETEDSGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGEKRSRVSIWEIEPVTAPFFLCPPPFFRSKRPRQPGMPDDDLSDFDNIFKRTMPWLGDDMCMKDAQGLPGLSLAQWMNVQQNPTLASSLQPNFAPSLPGSILQNIPGADISRQLGFSAPQISQSNNVAFNTHRLLQTAQQLDQLQKLPSTSSTLGAVLPPQQQLGDITQQSRQNLANQTIPQSQVQAQLLHPQNIVQTNNILQQQQPSIQNHQMHRSLSQNPSQQQTIISQGQQQNLIQSPIPDHVQQLQMSDNQIQLHLLQKLQQQKQTHLVQQTVLQQPTQLTQIQDQQRQILDKTHNLSRALTPGQVLEIPPMLQNSLPEANSISNQITKANFQNSIQFPQQPKLQQQQPGLLSEVSGHMGLLPTPTTNNQLSAAGSSILNGATGAGQSVITDDIPSCSTSPSANNCASALPPLINSRLQRNTIVGDDMAQSASTILSSSALETMSSNANLLKDLQSKSDVKPSLNISKSQNQGHFGLQSYLNGSAVHTDCLDTSSSTTSVCLSQSDAHMHQNNNPLAYNPHSMLFRDNSQDGEVQADARGNIPYANNIDSQMGMPLNPDSLLTKGTLGLGKDLSNNFSSEALLGNYENNRDAQQELSSSMVSQSFGVPDMAFNSIDSTIDDSSFLNRGTWAPPPAPPPPPLPPAQFQRMRTYTKVYKRGAVGRSIDITRYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHESDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNGGLPNQACSSSDGGNT >Vigun07g203300.3.v1.2 pep primary_assembly:ASM411807v1:7:32505287:32510313:-1 gene:Vigun07g203300.v1.2 transcript:Vigun07g203300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEVLQRKLETTPTWVIAIVCFVMLAISILIEHSIEELGKWLKKKHKKPLHEALEKVKGELMLLGFISFLLVVFEDRITSICISETVASTWNPCDSDYKSKGPEDYVDKCAKKDKGNVAFMSKYGIHQLHIFVFVLAIFHILQCIITLTLGRTKMSIWRKWEDETKSLEHQFYHDPERFRFARDTTFGRRHLNSWSKSPILLWIVSFCRQFYGSVNKVDYMALRHGFIVAHLTPASETKFDFQNYIKRTLDEDFAVVVGISPTIWLFAVLTLLTYTNGWYSYLWLPFIPLITILLVGTKLQMIITDMALRIQDRGEVVKGAPVVEPGDELFWFNRPRLVLFLIHLVLFQNAFQLAFFAWSTVSISS >Vigun07g203300.1.v1.2 pep primary_assembly:ASM411807v1:7:32505287:32510313:-1 gene:Vigun07g203300.v1.2 transcript:Vigun07g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEVLQRKLETTPTWVIAIVCFVMLAISILIEHSIEELGKWLKKKHKKPLHEALEKVKGELMLLGFISFLLVVFEDRITSICISETVASTWNPCDSDYKSKGPEDYVDKCAKKDKGNVAFMSKYGIHQLHIFVFVLAIFHILQCIITLTLGRTKMSIWRKWEDETKSLEHQFYHDPERFRFARDTTFGRRHLNSWSKSPILLWIVSFCRQFYGSVNKVDYMALRHGFIVAHLTPASETKFDFQNYIKRTLDEDFAVVVGISPTIWLFAVLTLLTYTNGWYSYLWLPFIPLITILLVGTKLQMIITDMALRIQDRGEVVKGAPVVEPGDELFWFNRPRLVLFLIHLVLFQNAFQLAFFAWSTYDNGFKINSCFHRTAADIVIRLTMGVLTQVLCSYVTLPLYALVTQMGSTMKPTIFKDNVASALKNWHHTAKKHLKHNKDSTSNTPFSSRPGTPTHGMSPVQLLHKHPRHSDSPLVSPRTSNYENNQWDVEGSHSPSHHARDVDHEETMQMQPPAAELPPIRTQHEINIALSEFSFGRGRNPGRTDEY >Vigun07g203300.2.v1.2 pep primary_assembly:ASM411807v1:7:32505287:32510313:-1 gene:Vigun07g203300.v1.2 transcript:Vigun07g203300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEVLQRKLETTPTWVIAIVCFVMLAISILIEHSIEELGKWLKKKHKKPLHEALEKVKGETVASTWNPCDSDYKSKGPEDYVDKCAKKDKGNVAFMSKYGIHQLHIFVFVLAIFHILQCIITLTLGRTKMSIWRKWEDETKSLEHQFYHDPERFRFARDTTFGRRHLNSWSKSPILLWIVSFCRQFYGSVNKVDYMALRHGFIVAHLTPASETKFDFQNYIKRTLDEDFAVVVGISPTIWLFAVLTLLTYTNGWYSYLWLPFIPLITILLVGTKLQMIITDMALRIQDRGEVVKGAPVVEPGDELFWFNRPRLVLFLIHLVLFQNAFQLAFFAWSTYDNGFKINSCFHRTAADIVIRLTMGVLTQVLCSYVTLPLYALVTQMGSTMKPTIFKDNVASALKNWHHTAKKHLKHNKDSTSNTPFSSRPGTPTHGMSPVQLLHKHPRHSDSPLVSPRTSNYENNQWDVEGSHSPSHHARDVDHEETMQMQPPAAELPPIRTQHEINIALSEFSFGRGRNPGRTDEY >Vigun03g301900.2.v1.2 pep primary_assembly:ASM411807v1:3:49138739:49142405:-1 gene:Vigun03g301900.v1.2 transcript:Vigun03g301900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFPRVMGLAKMCRNRNISFKSLDPPSSLTHGIHIFHCPDAVGIVAKLSECIASRGGNILAADVFVPENKQVFYSRSDFVFDPVKWPRVQMEEDFLKLSQTFNAVRSVVRVPALDPKYKIAVLASKQDHCLVDLLHGWQDGRFPVDITCVISNHYRGSNTHVIRFLERHDIPYHYLCTTNENKREGEMLQLVQNTDFLVLARYMQILSGNFLRSYGNDVINIHHGLLPSFKGGRPSKQVERVSHRDNLQSFVQKSENLEKQCLSKAIRSYCELRVLPYEEKKTVVF >Vigun03g301900.3.v1.2 pep primary_assembly:ASM411807v1:3:49138739:49142405:-1 gene:Vigun03g301900.v1.2 transcript:Vigun03g301900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFPRVMGLAKMCRNRNISFKSLDPPSSLTHGIHIFHCPDAVGIVAKLSECIASRGGNILAADVFVPENKQVFYSRSDFVFDPVKWPRVQMEEDFLKLSQTFNAVRSVVRVPALDPKYKIAVLASKQDHCLVDLLHGWQDGRFPVDITCVISNHYRGSNTHVIRFLERHDIPYHYLCTTNENKREGEMLQLVQNTDFLVLARYMQH >Vigun03g301900.1.v1.2 pep primary_assembly:ASM411807v1:3:49138739:49142405:-1 gene:Vigun03g301900.v1.2 transcript:Vigun03g301900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFPRVMGLAKMCRNRNISFKSLDPPSSLTHGIHIFHCPDAVGIVAKLSECIASRGGNILAADVFVPENKQVFYSRSDFVFDPVKWPRVQMEEDFLKLSQTFNAVRSVVRVPALDPKYKIAVLASKQDHCLVDLLHGWQDGRFPVDITCVISNHYRGSNTHVIRFLERHDIPYHYLCTTNENKREGEMLQLVQNTDFLVLARYMQILSGNFLRSYGNDVINIHHGLLPSFKGGRPSKQAFEAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLSKAIRSYCELRVLPYEEKKTVVF >Vigun11g125600.1.v1.2 pep primary_assembly:ASM411807v1:11:33287456:33288658:-1 gene:Vigun11g125600.v1.2 transcript:Vigun11g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQVITVLLLVFALAQIQPSTSQTLQGKVSCVDCTLNYPLSDVKVSVKCDGVRKLVVATTENDGSFKANLPSDKKNCFAKVLGGPVQLYASGKEQISQIIKGKEYNRYTISTPLSFRTSCPQRTNCKSGKQVGSSKTVDLPLPPEWGLAPSSYYVPFFPIIGIP >Vigun06g138700.1.v1.2 pep primary_assembly:ASM411807v1:6:26426743:26431718:-1 gene:Vigun06g138700.v1.2 transcript:Vigun06g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALTASNWNRSLIRIQNTRPRFGATRPTVVRCSINSYRLSKLTPSELQSLKSRPRIDFSSIFGVVNPIVDDVHKRGDVAVKEYTSKFDKVELEKIVEVVSDLPDPVLDPPIKEAFDVAYNNIYAFHSAQKSPEKSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLANPPTRDGTTCKEVLYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADEHAVPSHVAADLLSQAEHGPDSQVVLVIAGDGVDLNAIQEELSKQCQSLPRGEFASKALSHSFIVYARDMLEAINFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFMKYITVQSLTEEGLRKLGPYVATMAEVEGLEAHKRAVTLRLQDIEAKKVSR >Vigun06g138700.2.v1.2 pep primary_assembly:ASM411807v1:6:26426743:26431718:-1 gene:Vigun06g138700.v1.2 transcript:Vigun06g138700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALTASNWNRSLIRIQNTRPRFGATRPTVVRCSINSYRLSKLTPSELQSLKSRPRIDFSSIFGVVNPIVDDVHKRGDVAVKEYTSKFDKVELEKIVEVVSDLPDPVLDPPIKEAFDVAYNNIYAFHSAQKSPEKSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLANPPTRDGTTCKEVLYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADEHAVPSHVAADLLSQAEHGPDSQVVLVIAGDGVDLNAIQEELSKQCQSLPRGEFASKALSHSFIVYARDMLEHLSGYQFLKLICT >Vigun05g157500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25552059:25552304:-1 gene:Vigun05g157500.v1.2 transcript:Vigun05g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun05g275800.1.v1.2 pep primary_assembly:ASM411807v1:5:46592869:46598969:1 gene:Vigun05g275800.v1.2 transcript:Vigun05g275800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEKEKEKVEERYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRHRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEESRSPFVKKFKTILHPGEVNRIREFPQKSQIVATHTDSPEVFIWDVEAQPNRNAVLGAATSRPDLVLTGHKDNAEFALAMCPSEPFVLSGGKDKCVVLWSVHDHISTLAVEEAPTVKQGSKSGGNNAKATESPTIGPRGIYQGHTDTVEDVQFCPSSAQEFCSVGDDSRLILWDARVGSAPVVKVDKAHNADLHCVDWSPHDSNFILTGSADNTVHMFDRRKLKSSGVGSPVYKFKGHDAAVLCVQWSPDKSSVFGSAAEDGILNIWDYEKVGKTTDSAGSKSPNTPSGLFFRHAGHRDKVVDFHWSACDPWTIVSVSDDCESSGGGGTLQIWRMMDLIYRPEEEVLTELDKFRSHIFGCNS >Vigun04g032800.1.v1.2 pep primary_assembly:ASM411807v1:4:2690446:2692706:-1 gene:Vigun04g032800.v1.2 transcript:Vigun04g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSSNNICPLSFFIMFFSSYLHVGLCQTSLDQLLPGLGQGSFLQDAQCIQKLVPCQTYLKSQTNPPPETCCAPLKELHDNKSPCICNILNKPSLLQSVGVSKDDLLKLPQACSIDTDFSSCNGGSQDGESPAAEGEGSVPDEDIAERTSSTKTITPYEITSLGVPGFVALLSALVFSSY >Vigun07g270700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38648416:38649892:-1 gene:Vigun07g270700.v1.2 transcript:Vigun07g270700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSRKQLASKPKQRPHHSVQESKATRKLRIIYNDPDATDSSDDESEVIQRSKKAKRSFCEIPLPPLPEAFTSPETNSFEVNSNSNAVRVRVCIEGQPQTKKRVLTQTPSSRRNTSGKYRGVRQRKWGKWAAEIRDPFQSTRIWLGTFNTAEEASQAYEARRLEFEAKAKARAYKNISEPLPTTSEKSICCNSSDAAAAAAVSVSEKFSTTSDDSEDVLSHPSPSSVLELDSSSSRPIDNGSVLSEEAFEANDLVSEFAELEIPDLSLLNLPSLFNSSTAALASGAEPDFGLDFDWVSLEDYGQGFDDFGGLEDIRICGFDNNEPSKLPDFDFGDFCAEEFAGWTEEPLNIPCA >Vigun03g213800.1.v1.2 pep primary_assembly:ASM411807v1:3:35511585:35515853:1 gene:Vigun03g213800.v1.2 transcript:Vigun03g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEKMLGTHDHYNTPSWWLGRCISSCFSPSEKMEPTLRNLPTAASESPHTNPKKKRGGWKAITFILGNETFERLAVFGLFANFMVYLTRELHLDQVTASNILSLWFGITNFAPLVGAFISDAYVGRFRTIAFASFGTLSGMIVVTLTSWLPELHPPPCSPEELASGKCVRASNSQMGVLILGLCCLTIGSSGVRPCSIPFGVDQFDPTTEEGKKGINSFFNWYYTTFTMVLLVTQTVVVYIQDSVSWKIGFGIPTLCMLCSIIMFFVGTTLYVRVKPEGSIFSGVAQVLVAAYRKRKLRFPMSEEKPEDSQACFYDPPLAGTTVVSKLPLTKQFRALNKAALIMEGELNPDGTIVNKWRLVSIQQVEEVKCLVRIIPIWAAGILSLTSMAQQGTFTVSQAMKMNRNLGPNFQVPPGSLVVISFITIALWLPIYDRFLVPKLRKITNHEGGITLLLRIGIGMVFSILSMLVAGMVEKVRRDSANSNPTPLGIAPMSVFWLAPHLILMGFCEAFNIIGQIEFFNRQFPEHMRSIGNSLFSCSFAVANYVSTIIVNVVHHTTRTPTHPDWLTNDINAGRIDYFYYLIAVLATLNLFFFIFVARRYQYKGSVDFNDETHEVELGSHKP >Vigun07g125700.17.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.21.v1.2 pep primary_assembly:ASM411807v1:7:23259382:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGKPLNIIQEAIIYF >Vigun07g125700.15.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.9.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.3.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.18.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.19.v1.2 pep primary_assembly:ASM411807v1:7:23259382:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGKPLNIIQEAIIYF >Vigun07g125700.6.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.5.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.4.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.1.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.2.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.16.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.7.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun07g125700.13.v1.2 pep primary_assembly:ASM411807v1:7:23257777:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGKPLNIIQEAIIYF >Vigun07g125700.11.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLQIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.12.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.20.v1.2 pep primary_assembly:ASM411807v1:7:23259382:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGKPLNIIQEAIIYF >Vigun07g125700.10.v1.2 pep primary_assembly:ASM411807v1:7:23255593:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRVKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVRFTCTVLVLPPTSF >Vigun07g125700.14.v1.2 pep primary_assembly:ASM411807v1:7:23257777:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPGNQSTAPFGTEVDCHGSLNLNVPISLSVEKRKVTNLSDTALCDSTTPPDGSQNSNKKLKTISNDVITVNSDSSNNLLGACDESKHTITCVILDKSDKHNSNKLIDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGKPLNIIQEAIIYF >Vigun07g125700.8.v1.2 pep primary_assembly:ASM411807v1:7:23254177:23265398:-1 gene:Vigun07g125700.v1.2 transcript:Vigun07g125700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPTDSVSKPRKQLGEAANDVLGTLSLKINQLKKQIQAERIVYIKEKIQSNEKKLQCHTSGVLKAISTRGSSQEENRKTPILSRIDRPLCKFSGFSPVSGDKDHSNQDVLSATSIKIPYIERLPPYTSWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEELTEHEEEKHEFSEAEDRVMWMAFEEYGLNEEVLNIVSEFVGGTSSEIQERYKSIKEKNIGMLDQHSENPGDYECIGISPEKSLSAALDSFDNLFCRRCLIFDCRLHGCSQPLVYPSEKQTVWCDPEGDKKPCSDQCYLQKLNEVKGVSTDATSGSDQNKRTTTMEEADGILAPSTIEEPDPSRTCDTDEQDKSIGDGPKDPTSKIEFKLPSSMEGKVDAMPGLSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGLKTCMEIATYMHAGGVSMSHGSIIAPSSIMDDKGKFDAEYTDQEMPSRSRLLRKRGKTRKFKYSWKSTGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKECTCVNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRRGEGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDASEELFYDYRYGPDQAPPWARKPEGSKSDESTVCQGRAKKHQSH >Vigun02g124700.1.v1.2 pep primary_assembly:ASM411807v1:2:27668947:27671362:1 gene:Vigun02g124700.v1.2 transcript:Vigun02g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEIEEVGNCEAQPLPLLSLNHVSLLCRSVWESMRFYEDVLGFVPIKRPSSFNFTGAWFYNYGIGIHLIENPHVDEFDTCVNEFRPINPKDNHISFQCTDVELVKKRLEERGMRYVTAVVEEGGAKVDQVFFHDPDGYMIELCNCENIPIIPISSCSLKPRGHSFKKTAPYKCGFMENVMMESLSTDMINFSF >Vigun05g099800.1.v1.2 pep primary_assembly:ASM411807v1:5:9770052:9774836:1 gene:Vigun05g099800.v1.2 transcript:Vigun05g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFSRRCTRRLGTRMWRRGANFEGDTANFIETEQLLETEEFKFSFLQVRGSIPLLWEQIVDLSYKPHLRVISHEETPKIVERHFHDLVQRYGEIVALDLTDKHGEEGQLSAAYAAEMQKQQNTRYVPFDFHHYCGSSNFDNLKILYDEISEDFEKQRYFLIDRQGNVLEEQIGLFRANCIDSLDRTNVTQCYLAQKSLNLQLQRIGVLTSSECISMFEEEYGKFRILWAEQGDEISLEYAGTHALKGDLVRYGKQTMTGMIKDGVSALSRYYLNNFHDGIRQDALDLISGHYNVSRNVPSPFQSNNFEPLTYLPVASALIIGGLTATTFTLQQAGRNTHHYVSSALCAGITAGVMAIVKANGRHLCSRPRLCGLL >Vigun02g204300.1.v1.2 pep primary_assembly:ASM411807v1:2:33763866:33767932:-1 gene:Vigun02g204300.v1.2 transcript:Vigun02g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGDEALTPAGRLFLQEETKQVIHCVIGLKNPIDVELVKSEVRKSTMLQHPRFSSLMVRDERGVEHWRATEVEIDRHFRVIEEALGEEGDERAINGYLAELSIDCDGLSMDKPLWEIHLLMAHNCLIFRIHHALGDGISLMSMFLASCRKLNDPQALPAIASSPSTRSNTSNPPFNFYNLLVSLWFSFLFVLDFILRCFWVRDPKSSITGGAGVELWPRKIATATFSLQDMKTVKTAVNATINDVLFAVISCGISRYLDSRAHNGLRDGVQLTGLAMVNLRKQPGLQELSNLMKSNSGARWGNKFGMILLPIYYHKSNSTDPLEYLKRAKATIDRKKRSLEASFSYKIGDFVMSTLGPKFAGLLNYRILCHTTFTISNVVGPQEEIMIGGNPITFLRANSSALPHALILNMVSYAGRADMQVQVAKDIIPDPEFLVKFFEDALHEMKEQVTAKI >Vigun07g104600.1.v1.2 pep primary_assembly:ASM411807v1:7:19148689:19154604:-1 gene:Vigun07g104600.v1.2 transcript:Vigun07g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFSPSLSLNTLFFSKTLPFPRSSFLRRPLSKSLIPIFTRRCTFSVRAESQNGAEPVAVHYDFDLFTIGAGSGGVRAARFAANNGASVAICELPFSTVASETTGGVGGTCVIRGCVPKKLLVYASKFSHEFEESHGFGWSYDSEPKHDWSSLIANKNAELQRLTGIYKNILNNAGVKLIEGHGKIIDAHTVDVNGKQYSAKHILVSVGGRPFIPDIPGKEYAIDSDIALDLPSQPGKIAIVGGGYIALEFAGIFNGLKSDVHLFIRQKKVLRGFDEEIRDFVGEQMSLRGIEIHPEESPQAITKSADGSFTLKTNKGTMNGFSHIMFATGRRPNTKNLGLESAGVKLAKDGSIEVDEYSQTSVPSIWAVGDVTNRINLTPVALMEAGAIVKTLFQDNPTKPDYRTVPSAVFSQPPIGQVGLTEEQAVQQYGDIDIFTADFRPLKSTLSGLPDRVFMKVVVSAKTNKVLGLHMCGEDAPEIIQGFAIAIKAGLTKADFDATVGVHPSAAEEFVTMRTPTRRIRKSQSSEGKSGSVKATAGV >Vigun07g104600.2.v1.2 pep primary_assembly:ASM411807v1:7:19148689:19154519:-1 gene:Vigun07g104600.v1.2 transcript:Vigun07g104600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFSPSLSLNTLFFSKTLPFPRSSFLRRPLSKSLIPIFTRRCTFSVRAESQNGAEPVAVHYDFDLFTIGAGSGGVRAARFAANNGASVAICELPFSTVASETTGGVGGTCVIRGCVPKKLLVYASKFSHEFEESHGFGWSYDSEPKHDWSSLIANKNAELQRLTGIYKNILNNAGVKLIEGHGKIIDAHTVDVNGKQYSAKHILVSVGGRPFIPDIPGKEYAIDSDIALDLPSQPGKIAIVGGGYIALEFAGIFNGLKSDVHLFIRQKKVLRGFDEEIRDFVGEQMSLRGIEIHPEESPQAITKSADGSFTLKTNKGTMNGFSHIMFATGRRPNTKNLGLESAGVKLAKDGSIEVDEYSQTSVPSIWAVGDVTNRINLTPVALMEAGAIVKTLFQDNPTKPDYRTVPSAVFSQPPIGQVGLTEEQAVQQYGDIDIFTADFRPLKSTLSGLPDRVFMKVVVSAKTNKVLGLHMCGEDAPEIIQGFAIAIKAGLTKADFDATVGVHPSAAEEFVTMRTPTRRIRKSQSSEGKSGSVKATAGV >Vigun07g104600.3.v1.2 pep primary_assembly:ASM411807v1:7:19148689:19154519:-1 gene:Vigun07g104600.v1.2 transcript:Vigun07g104600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFSPSLSLNTLFFSKTLPFPRSSFLRRPLSKSLIPIFTRRCTFSVRAESQNGAEPVAVHYDFDLFTIGAGSGGVRAARFAANNGASVAICELPFSTVASETTGGVGGTCVIRGCVPKKLLVYASKFSHEFEESHGFGWSYDSEPKHDWSSLIANKNAELQRLTGIYKNILNNAGVKLIEGHGKIIDAHTVDVNGKQYSAKHILVSVGGRPFIPDIPGKEYAIDSDIALDLPSQPGKIAIVGGGYIALEFAGIFNGLKSDVHLFIRQKKVLRGFDEEIRDFVGEQMSLRGIEIHPEESPQAITKSADGSFTLKTNKGTMNGFSHIMFATGRRPNTKNLGLESAGVKLAKDGSIEVDEYSQTSVPSIWAVGDVTNRINLTPVALMEAGAIVKTLFQDNPTKPDYRTVPSAVFSQPPIGQVGLTEEQAVQQYGDIDIFTADFRPLKSTLSGLPDRVFMKVVVSAKTNKVLGLHMCGEDAPEIIQGFAIAIKAGLTKADFDATVGVHPSAAEEFVTMRTPTRRIRKSQSSEGKSGSVKATAGV >Vigun11g166800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37395176:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun11g166800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37395177:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun11g166800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37395141:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun11g166800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37395176:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun11g166800.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37394737:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun11g166800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37391984:37395081:-1 gene:Vigun11g166800.v1.2 transcript:Vigun11g166800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDPMKSEWIDRIKSEGSIPLLDANNCSNGWASPPGAAFKVRGPEYFKTKVKIPAGDYLLKPIGLDWIKSSVKMGEILKHSNSRVRKVIDNEFPAGDKPFVWAFNMQLPTKDNYCVVAYFTNIEPITGDSLIEKFLKGDDDFRNSRLKMIANIVNGPWIVKRAVGEQAICVIGRALTCKFCVAENYIEVDIDIGSSMVATAIVHLAFGYITTLTVDLAFLIESQVESELPEKLLGAFRLSNLNPASARQVEPSSVSSTATLQRSLSKRLWKSIGQILLPGSQENDSTSASQNTKTVDQKNV >Vigun08g008700.1.v1.2 pep primary_assembly:ASM411807v1:8:783323:788395:1 gene:Vigun08g008700.v1.2 transcript:Vigun08g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVEEKLKTVGVGAGAGMVVGGQTLVAEMKLLKEMQEHSGVRKTLNSELWHACAGPLVSLPQVGSLVFYFPQGHSEQVAASTRRTATSQIPNYPNLPSQLLCQVQNVTLHADKETDEIYAQMSLQPLNSEKEAFPISDFGLKPSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTIQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLRVGVRRVNRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLAKYRKSVLGTQVSVGMRFGMMFETEESGKRRYMGTIVGISDVDPLRWPGSRWRNIQVEWDEPGCGDKQNRVSVWEIETPESLFIFPSLTSSLKRPLQSGFYENEWGTLLRRPFMRVPENGTMEVANSMPNLYSEHLMKMLFKPQMINNNGALLSAMQQESAAARGPLQEMKTTFAADNNMPLRNLHSQSIPDQPNPLNMQSLLKSDQPEKLHTLPSGIVTEKPKLEPEVLAPDHMLDYASTSMEGCNIEKVATNPVNQQGLANQLSFHNQNQNPLTQSSPWPMQAQIESSSMPHPQMIDMAQAETAMVNSLLPQLDIDEWMAYANRTTTGPLPELQEHNSLQPQVLNPPLPNHEVWDHYVKSLKFLSQTDQQLASICQPGTFNGINGMPSPNSLRDLSAESNNNQSEICVNVDVSNSVGTTVVDPSTSSTILDEFCTMKEREFQNPNDCMVGNLSSSQDVQSQITSASLAESHAFSLRDIPDNSGGTSSSHVDFDDNSFLQNNSWQQVPAPIRTYTKVQKAGSVGRSIDVTTFKNYEELIRAIECMFGLDGLLNDTKGSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSGALQGMNV >Vigun01g141600.5.v1.2 pep primary_assembly:ASM411807v1:1:32259355:32265153:-1 gene:Vigun01g141600.v1.2 transcript:Vigun01g141600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHQVLRSLCFNTHWNYAIFWKLKHRARMILTWEDAYYNNPDDYDSSENKHCQNILEQIGYGKFSHNALGLAVAKMSYHAYSLGEGIVGQVAVTGKHRWICADNQVAGSGLSFEFADGWQSQFSAGIRTIAVVAVAPLGVIQLGSLNKVIEDMGFVTHIRNLFLSTQNCPIAQCPSQVQGSLKSSLSQSISNMMNVEHHDFKEMKPLYGRKCEEGSSGCKDVRLESENNVSSFLSDFITDNDLICPSDKVRVDSACFPSAFLDTVVCETDKLHCVDNNQKGVLNFTQPSDANSQHVEKPKFGSEPSYNDMSNVLNFPAGCELHEALGPSFLKGSKSFDWPAQLNQDMKTVEMSDEISTSQLTSESRPEHLLEAMVANISHSNNDVNSELSFCTSMQSAMASAKNHEASTHNVYAINSEGYSIDQFSLVKEDKHHSLSSSSGICGVMSSKGVSSTFPSSSSGQLERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERAIKHMLFLQSITKHADKLTDFGDTKSKLHHMEADILGSSSYEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGGTKVHGEKIWICFVVEGQNNRNVHRLDILWPLVQILQSKSTVYSQ >Vigun01g141600.4.v1.2 pep primary_assembly:ASM411807v1:1:32259341:32265153:-1 gene:Vigun01g141600.v1.2 transcript:Vigun01g141600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHQVLRSLCFNTHWNYAIFWKLKHRARMILTWEDAYYNNPDDYDSSENKHCQNILEQIGYGKFSHNALGLAVAKMSYHAYSLGEGIVGQVAVTGKHRWICADNQVAGSGLSFEFADGWQSQFSAGIRTIAVVAVAPLGVIQLGSLNKVIEDMGFVTHIRNLFLSTQNCPIAQCPSQVQGSLKSSLSQLDISKENLSSDVLPTDFYNSQKSMKSETADVLMSLQCSEKNYEPYSACQKMSDNVAKQEGPELYSDESSILLQSISNMMNVEHHDFKEMKPLYGRKCEEGSSGCKDVRLESENNVSSFLSDFITDNDLICPSDKVRVDSACFPSAFLDTVVCETDKLHCVDNNQKGVLNFTQPSDANSQHVEKPKFGSEPSYNDMSNVLNFPAGCELHEALGPSFLKGSKSFDWPAQLNQDMKTVEMSDEISTSQLTSESRPEHLLEAMVANISHSNNDVNSELSFCTSMQSAMASAKNHEASTHNVYAINSEGYSIDQFSLVKEDKHHSLSSSSGICGVMSSKGVSSTFPSSSSGQLERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERAIKHMLFLQSITKHADKLTDFGDTKSKLHHMEADILGSSSYEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGGTKVHGEKIWICFVVEGQNNRNVHRLDILWPLVQILQSKSTVYSQ >Vigun01g141600.1.v1.2 pep primary_assembly:ASM411807v1:1:32259347:32265153:-1 gene:Vigun01g141600.v1.2 transcript:Vigun01g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHQVLRSLCFNTHWNYAIFWKLKHRARMILTWEDAYYNNPDDYDSSENKHCQNILEQIGYGKFSHNALGLAVAKMSYHAYSLGEGIVGQVAVTGKHRWICADNQVAGSGLSFEFADGWQSQFSAGIRTIAVVAVAPLGVIQLGSLNKQVIEDMGFVTHIRNLFLSTQNCPIAQCPSQVQGSLKSSLSQLDISKENLSSDVLPTDFYNSQKSMKSETADVLMSLQCSEKNYEPYSACQKMSDNVAKQEGPELYSDESSILLQSISNMMNVEHHDFKEMKPLYGRKCEEGSSGCKDVRLESENNVSSFLSDFITDNDLICPSDKVRVDSACFPSAFLDTVVCETDKLHCVDNNQKGVLNFTQPSDANSQHVEKPKFGSEPSYNDMSNVLNFPAGCELHEALGPSFLKGSKSFDWPAQLNQDMKTVEMSDEISTSQLTSESRPEHLLEAMVANISHSNNDVNSELSFCTSMQSAMASAKNHEASTHNVYAINSEGYSIDQFSLVKEDKHHSLSSSSGICGVMSSKGVSSTFPSSSSGQLERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERAIKHMLFLQSITKHADKLTDFGDTKSKLHHMEADILGSSSYEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGGTKVHGEKIWICFVVEGQNNRNVHRLDILWPLVQILQSKSTVYSQ >Vigun01g141600.2.v1.2 pep primary_assembly:ASM411807v1:1:32259341:32265153:-1 gene:Vigun01g141600.v1.2 transcript:Vigun01g141600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHQVLRSLCFNTHWNYAIFWKLKHRARMILTWEDAYYNNPDDYDSSENKHCQNILEQIGYGKFSHNALGLAVAKMSYHAYSLGEGIVGQVAVTGKHRWICADNQVAGSGLSFEFADGWQSQFSAGIRTIAVVAVAPLGVIQLGSLNKVIEDMGFVTHIRNLFLSTQNCPIAQCPSQVQGSLKSSLSQEGPELYSDESSILLQSISNMMNVEHHDFKEMKPLYGRKCEEGSSGCKDVRLESENNVSSFLSDFITDNDLICPSDKVRVDSACFPSAFLDTVVCETDKLHCVDNNQKGVLNFTQPSDANSQHVEKPKFGSEPSYNDMSNVLNFPAGCELHEALGPSFLKGSKSFDWPAQLNQDMKTVEMSDEISTSQLTSESRPEHLLEAMVANISHSNNDVNSELSFCTSMQSAMASAKNHEASTHNVYAINSEGYSIDQFSLVKEDKHHSLSSSSGICGVMSSKGVSSTFPSSSSGQLERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERAIKHMLFLQSITKHADKLTDFGDTKSKLHHMEADILGSSSYEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGGTKVHGEKIWICFVVEGQNNRNVHRLDILWPLVQILQSKSTVYSQ >Vigun01g141600.3.v1.2 pep primary_assembly:ASM411807v1:1:32259341:32265153:-1 gene:Vigun01g141600.v1.2 transcript:Vigun01g141600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLHQVLRSLCFNTHWNYAIFWKLKHRARMILTWEDAYYNNPDDYDSSENKHCQNILEQIGYGKFSHNALGLAVAKMSYHAYSLGEGIVGQVAVTGKHRWICADNQVAGSGLSFEFADGWQSQFSAGIRTIAVVAVAPLGVIQLGSLNKVIEDMGFVTHIRNLFLSTQNCPIAQCPSQVQGSLKSSLSQKMSDNVAKQEGPELYSDESSILLQSISNMMNVEHHDFKEMKPLYGRKCEEGSSGCKDVRLESENNVSSFLSDFITDNDLICPSDKVRVDSACFPSAFLDTVVCETDKLHCVDNNQKGVLNFTQPSDANSQHVEKPKFGSEPSYNDMSNVLNFPAGCELHEALGPSFLKGSKSFDWPAQLNQDMKTVEMSDEISTSQLTSESRPEHLLEAMVANISHSNNDVNSELSFCTSMQSAMASAKNHEASTHNVYAINSEGYSIDQFSLVKEDKHHSLSSSSGICGVMSSKGVSSTFPSSSSGQLERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERAIKHMLFLQSITKHADKLTDFGDTKSKLHHMEADILGSSSYEQGSSWAMEVGGHLKVHSILVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGGTKVHGEKIWICFVVEGQNNRNVHRLDILWPLVQILQSKSTVYSQ >VigunL037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:319165:319272:1 gene:VigunL037900.v1.2 transcript:VigunL037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Vigun08g046800.1.v1.2 pep primary_assembly:ASM411807v1:8:5004013:5004288:-1 gene:Vigun08g046800.v1.2 transcript:Vigun08g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESESQGPSWISYAVSLLIVLLIVLHVFALVYWIYRLATDNRSQQQQQQQQQELQQVRRKAH >Vigun07g296100.1.v1.2 pep primary_assembly:ASM411807v1:7:40645210:40647129:1 gene:Vigun07g296100.v1.2 transcript:Vigun07g296100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKRKRNTNSDVRKKRKRKEEAETGKAEEKSEERSLEALRLLQSATGLQLSSLELESLRQDCVLEVPNHSDIQILGKTVKAVFGSSWREHLCEGSVVEGKVNAGSPAVLIISSSALRCIHLLRGFRSFTKQCHAAKLFAKHLKLQEQISLLKNRVNIASGTPSRIKKLIDAEALDLSRLQVLVLDLQPDVKGYSLLTLPQVRDEFLEVFKNYFYEAMIQGGLRICLYGYQAGVGLKDKHKQGHTIPDT >Vigun02g049700.1.v1.2 pep primary_assembly:ASM411807v1:2:19036169:19042715:1 gene:Vigun02g049700.v1.2 transcript:Vigun02g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLSIGSSAALPLPLRCNAKVGSLDAFPSFLPREVHTIQDPFARNFAMRIQRLPVPVRFSENPIMSSCVKPMVQSKETPVVLLHGFDSSCLEWRYVLPLLEESGIETWAIDILGWGFSDLEKLPPCDVVSKRDHFYQFWKYYIRRPMTLVGPSLGSAVAIDFAVNYPEAVEKLVLIGASVYAEGTGKLATLPRAVAYAGVNLLKSFPLRLYATYLTFTNISFSNSLDWTNVGRLHCLLPWWNDATVDFMTSGGYNVSPLIGKVKQKTLIIWGENDRIISNKFAVQLHCELPDATIRQIPHCGHLPHLERPDSTIKLIVEFLQREAKQLEPYAAQV >Vigun02g049700.2.v1.2 pep primary_assembly:ASM411807v1:2:19037166:19042724:1 gene:Vigun02g049700.v1.2 transcript:Vigun02g049700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVKPMVQSKETPVVLLHGFDSSCLEWRYVLPLLEESGIETWAIDILGWGFSDLEKLPPCDVVSKRDHFYQFWKYYIRRPMTLVGPSLGSAVAIDFAVNYPEAVEKLVLIGASVYAEGTGKLATLPRAVAYAGVNLLKSFPLRLYATYLTFTNISFSNSLDWTNVGRLHCLLPWWNDATVDFMTSGGYNVSPLIGKVKQKTLIIWGENDRIISNKFAVQLHCELPDATIRQIPHCGHLPHLERPDSTIKLIVEFLQREAKQLEPYAAQV >Vigun05g217200.1.v1.2 pep primary_assembly:ASM411807v1:5:40904535:40909478:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.3.v1.2 pep primary_assembly:ASM411807v1:5:40904201:40909415:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.7.v1.2 pep primary_assembly:ASM411807v1:5:40905513:40909415:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.2.v1.2 pep primary_assembly:ASM411807v1:5:40904201:40909415:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.5.v1.2 pep primary_assembly:ASM411807v1:5:40904198:40909415:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.6.v1.2 pep primary_assembly:ASM411807v1:5:40905317:40909415:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun05g217200.4.v1.2 pep primary_assembly:ASM411807v1:5:40904535:40909478:1 gene:Vigun05g217200.v1.2 transcript:Vigun05g217200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSHSTRSSRSTADKNVPACLEIGFCGQKSARRTFSDHVVSLHQLPSLPNRIFSNGKSRSSCIFTQQGRKGINQDAMIVWEDFISEDVTFCGVFDGHGPHGHLVARKVREALPLKLLSFLHSSESGQNGSGKTCFRGTMKPESAESEKDVAAEENLNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTVVKQGSNLFMGNIGDSRAIMGSKDSNDVMVATQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVHRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDKDQFIVLASDGIWDVLSNEEVVGIVSSAPTRSSAARILVDSAAREWKLKYPTSKVDDCAVVCLFLDGKMDSESDCDEQCFSSAIMQSNESSNLAETDDGQKSEPTLQRNFTVRSSKENGRGGVSVDGVEDGTSGPEDENWLALEGVTRVNSLVQLPRFSEEKPNC >Vigun06g203500.2.v1.2 pep primary_assembly:ASM411807v1:6:31778974:31793599:-1 gene:Vigun06g203500.v1.2 transcript:Vigun06g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIAATKEPEEGSAKTNEAVSCEEEESMEQESVVPATVFCIRLRQPKSNLLYKMSVPEICRNFSAVSWCGKLNAIACASETCARIPSSTGNPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTCCPRALLIANFHGRVTIWTQPSQGPANHVLDTSCWLREHEWRQDIAVVTKWLSGVSLYRWFSSKQSAPANSRSTFEEKFLSQQCQTSARWPNFLCVCSVFSSGTVQLHWSQWPPSNATPPKWFCTSKGPLGCGPSGIMAGDAIITESGAMHVAGVPIVNPSTIVVWEVMPGPGNGFQVIPKTSTSNGVPPPISSPNWIGFAPLAAYLFSWQDYLLSEEKQGKSQPNQNLCGSIPLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTCGGSVIAVVIAEGQYMSPYDPDEGPSITGWRVQRWESSLQHVVLHPIFGNPTSSMGGQPPMQTVWQTKVDLSIPPTNDFKNHQASAGGMNTDVQKVAEFGFDKSKRVYFDPFDLPSDVRTLARIVYSAHGGEIGIAFLRGGVHIFSGPNFTPIDNYQIGVGSAIAAPAFSSTSCCSASVWHDTSKDQTILKIIRVLPPAIPTGQVKTNSSYWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSAQHRQQYCPSLDRIKCRLLEGANAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPDPWQLSSETLSSIDPEAVAVEPALVPCVQAYVDSVLDLASHFITRLRRYASFCRTLASHAVTAGTGNNRNVVASPTQSSATPATSQGGQNGTTSSSGSTQMQAWVQGAIAKISSTTEGVSNPAPNPPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLSRYVGGVQRTTDTNTQKPQPNGSAPAKVEEPVKPGSTLVRPDDGQAGRVNQLVTAPKGGEEPSPGRSRIGTGNAGQGYTFEEVKVLFLVLMDLCRRTTGLQHPLPVSQVGSNNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDSDDLDSTNEAPKLVNLDFSSLETCDVYDGGNGLLSRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTALWKTGLEGIWYKCIRCLRQTCAFASPTSTNLPGQNEKDIWWISRWAHGCPMCGGTWVRVV >Vigun06g203500.1.v1.2 pep primary_assembly:ASM411807v1:6:31778680:31793799:-1 gene:Vigun06g203500.v1.2 transcript:Vigun06g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIAATKEPEEGSAKTNEAVSCEEEESMEQESVVPATVFCIRLRQPKSNLLYKMSVPEICRNFSAVSWCGKLNAIACASETCARIPSSTGNPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTCCPRALLIANFHGRVTIWTQPSQGPANHVLDTSCWLREHEWRQDIAVVTKWLSGVSLYRWFSSKQSAPANSRSTFEEKFLSQQCQTSARWPNFLCVCSVFSSGTVQLHWSQWPPSNATPPKWFCTSKGPLGCGPSGIMAGDAIITESGAMHVAGVPIVNPSTIVVWEVMPGPGNGFQVIPKTSTSNGVPPPISSPNWIGFAPLAAYLFSWQDYLLSEEKQGKSQPNQNLCGSIPLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTCGGSVIAVVIAEGQYMSPYDPDEGPSITGWRVQRWESSLQHVVLHPIFGNPTSSMGGQPPMQTVWQTKVDLSIPPTNDFKNHQASAGGMNTDVQKVAEFGFDKSKRVYFDPFDLPSDVRTLARIVYSAHGGEIGIAFLRGGVHIFSGPNFTPIDNYQIGVGSAIAAPAFSSTSCCSASVWHDTSKDQTILKIIRVLPPAIPTGQVKTNSSYWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSAQHRQQYCPSLDRIKCRLLEGANAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPDPWQLSSETLSSIDPEAVAVEPALVPCVQAYVDSVLDLASHFITRLRRYASFCRTLASHAVTAGTGNNRNVVASPTQSSATPATSQGGQNGTTSSSGSTQMQAWVQGAIAKISSTTEGVSNPAPNPPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLSRYVGGVQRTTDTNTQKPQPNGSAPAKVEEPVKPGSTLVRPDDGQAGRVNQLVTAPKGGEEPSPGRSRIGTGNAGQGYTFEEVKVLFLVLMDLCRRTTGLQHPLPVSQVGSNNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDSDDLDSTNEAPKLVNLDFSSLETCDVYDGGNGLLSRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTALWKTGLEGIWYKCIRCLRQTCAFASPTSTNLPGQNEKDIWWISRWAHGCPMCGGTWVRVV >Vigun06g203500.3.v1.2 pep primary_assembly:ASM411807v1:6:31778974:31793599:-1 gene:Vigun06g203500.v1.2 transcript:Vigun06g203500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIAATKEPEEGSAKTNEAVSCEEEESMEQESVVPATVFCIRLRQPKSNLLYKMSVPEICRNFSAVSWCGKLNAIACASETCARIPSSTGNPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTCCPRALLIANFHGRVTIWTQPSQGPANHVLDTSCWLREHEWRQDIAVVTKWLSGVSLYRWFSSKQSAPANSRSTFEEKFLSQQCQTSARWPNFLCVCSVFSSGTVQLHWSQWPPSNATPPKWFCTSKGPLGCGPSGIMAGDAIITESGAMHVAGVPIVNPSTIVVWEVMPGPGNGFQVIPKTSTSNGVPPPISSPNWIGFAPLAAYLFSWQDYLLSEEKQGKSQPNQNLCGSIPLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTCGGSVIAVVIAEGQYMSPYDPDEGPSITGWRVQRWESSLQHVVLHPIFGNPTSSMGGQPPMQTVWQTKVDLSIPPTNDFKNHQASAGGMNTDVQKVAEFGFDKSKRVYFDPFDLPSDVRTLARIVYSAHGGEIGIAFLRGGVHIFSGPNFTPIDNYQIGVGSAIAAPAFSSTSCCSASVWHDTSKDQTILKIIRVLPPAIPTGQVKTNSSYWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSAQHRQQYCPSLDRIKCRLLEGANAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPDPWQLSSETLSSIDPEAVAVEPALVPCVQAYVDSVLDLASHFITRLRRYASFCRTLASHAVTAGTGNNRNVVASPTQSSATPATSQGGQNGTTSSSGSTQMQAWVQGAIAKISSTTEGVSNPAPNPPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLSRYVGGVQRTTDTNTQKPQPNGSAPAKVEEPVKPGSTLVRPDDGQAGRVNQLVTAPKGGEEPSPGRSRIGTGNAGQGYTFEEVKVLFLVLMDLCRRTTGLQHPLPVSQVGSNNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDSDDLDSTNEAPKLVNLDFSSLETCDVYDGGNGLLSRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTALWKTGLEGIWYKVLFNFLISFLLLFGTVYKMSAADLCFCLTNLHQSSWSK >Vigun05g045500.1.v1.2 pep primary_assembly:ASM411807v1:5:3753434:3777772:-1 gene:Vigun05g045500.v1.2 transcript:Vigun05g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMDEEVVLAAKKIAIGVCVMEKKVKCGYEVFSAPMEQILQRLQAFGEFEVVYFGDKVILEEPIESWPVCDCLIAFHSSGYPLEKAEAYSALRKPFLVNELEPQHLLHDRRKVYERLEKFEIPVPRYALVNREVPYQQLDYFIEEEDFVEVHGMRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKEMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDTACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRMLVPRTRPDRESDSEAEVEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVAKSSGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSSSKTIPSNGSAEFSWMVDGAGLPPNASELLPKLVSLIKKVTEQVRLLATDEDENLAETSLYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKARFDITQIPDVYDSCKYDLLHNAHLNLEGLDKLFKVAQALADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKSNQDHDSSSVKNEKEDTEAKSKHPNKNDEIRKSITLSDISMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLLEEESLVCYNALQRLYRTKELDYMSYIVLRMFENTEVDLEDPKRFRIELTFSRGADLSPLEKNDSEASSLHQEHTLPIMGPERLQEVGSYLTLEKMEMMIRPFAMPAEDFPPPATPAGFSGYFSKSVLERLVNLWPFHKHAHSNGK >Vigun05g045500.2.v1.2 pep primary_assembly:ASM411807v1:5:3753434:3777772:-1 gene:Vigun05g045500.v1.2 transcript:Vigun05g045500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMDEEVVLAAKKIAIGVCVMEKKVFSAPMEQILQRLQAFGEFEVVYFGDKVILEEPIESWPVCDCLIAFHSSGYPLEKAEAYSALRKPFLVNELEPQHLLHDRRKVYERLEKFEIPVPRYALVNREVPYQQLDYFIEEEDFVEVHGMRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKEMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDTACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRMLVPRTRPDRESDSEAEVEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVAKSSGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSSSKTIPSNGSAEFSWMVDGAGLPPNASELLPKLVSLIKKVTEQVRLLATDEDENLAETSLYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKARFDITQIPDVYDSCKYDLLHNAHLNLEGLDKLFKVAQALADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKSNQDHDSSSVKNEKEDTEAKSKHPNKNDEIRKSITLSDISMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLLEEESLVCYNALQRLYRTKELDYMSYIVLRMFENTEVDLEDPKRFRIELTFSRGADLSPLEKNDSEASSLHQEHTLPIMGPERLQEVGSYLTLEKMEMMIRPFAMPAEDFPPPATPAGFSGYFSKSVLERLVNLWPFHKHAHSNGK >Vigun11g105500.1.v1.2 pep primary_assembly:ASM411807v1:11:30395835:30398893:1 gene:Vigun11g105500.v1.2 transcript:Vigun11g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELFNAVAENGNVDNFVDVLQRVCEGRKVPLNGVFDQVTCAGDSLLHVAADKGRERIVELICCHFPELLIKRNVRGDTALHVAVSSKNSTTVRFILSHYAIEKAKHDGMRYNKEITREKNKYENTPLHEAVYSGDVGVVKEILTADKDVVHFLNKSRRSPLYLAAVNGNVEILYLLLEVPFPTHQPLPLCHGNSPLHAAIFERNRALIEVILKKKPELVYLRDEDEGTPLHYAAYIGYAEGFRILLENSFLKSDQTVLEGNKKGHLPIHLACKRGHLKVVKKFLQHKCVTNLYVLLNQKGQNILHVAAKNGKSKVVEYLLGNSKIDESIINQKDNNGNTPLHLASLNLFPKVLYLFTQDKRTDVKLLNNNDLTAQDIIGLALKSKTTIRKFLARRVLKEAGVPSKVNDMLHFRQQQILKMDLSLKDLLNTFLVVATLMVTVTFAAAFTVPGGVYSSDDPNPKKRGMAVLGDKALFWVFTTFNMTAMYSSVIACGLMLMALIFYRKLATRATILAMGCLVFAFLTVPVAFLAAVRLVVANNSALAYLITAIGVMYTSIILSALFAFFPIGIRLLFFRHVGRIVLQILIALIDYDFFFRVNLRMKIPNLEVVLPSVICLHANETLGSKL >Vigun03g103200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8861999:8863234:-1 gene:Vigun03g103200.v1.2 transcript:Vigun03g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAPILRLEILQGPGEGKTLDFKPGSAVRIGRVVKGNTLPIKDPGISSKHLSILNESGEWILRDLDSSNGTVLDTSHIPPNTPFALHHDSTIKIGEFTSIHVIFLPSQQQQQQHALPPRRNPTRRGRTDPAPALVPALSQPVKPRGRRRGLGVKDGDKSQVQSVDVNEASVVDVESECVNPPARVTRKSKRGGSEAKGSSVDNLILAEKVEEKKDTRDPSLVVVSDSGDDNFDNPVVEEPKKARGTRNSKNTRGVTAKVENVEKKTKMGVVGKRELDKEGEDGNGGKEACDGKEKGNSNEDDRNWPDLNKMTLGEWLDFLEVHLPKQIRDETEEMFDSMTQTAEGLREYIAKMQQNAKDKMTLER >Vigun06g023500.1.v1.2 pep primary_assembly:ASM411807v1:6:11011845:11016376:-1 gene:Vigun06g023500.v1.2 transcript:Vigun06g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLLCSSYVFPTSQNSFRSSHFSQFRPNGTSNFHSKLRFQRPHLVRAMASDSDTSKRQVEIVYDPEARFNQLADEVDREAPLSRLTLFSPCKINVFLRITNKREDGYHDLASLFHVISLGDIIKFSLSPSKTKDSLSTNVSGVPLDDRNLIIKALNLYRKKTGSDKFFWIHLDKRVPTGAGLGGGSSNAATALWAANQFSGGIASEKELQEWSSEIGSDIPFFFSQGAAYCTGRGEVVENIPPPVSLDVPMVLIKPPQACSTAEVYKRLRLDQTSNVDPLTLLEKISKLGISQDVCINDLEPPAFEVLPSLKRLKQRISAAGRGEYGAVFMSGSGSTIVGIGSPDPPQFVYDDDEYKDVFLSDAYFLTREENQWYQEPASNPSSASASVVSESV >Vigun06g023500.2.v1.2 pep primary_assembly:ASM411807v1:6:11011845:11018576:-1 gene:Vigun06g023500.v1.2 transcript:Vigun06g023500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLLCSSYVFPTSQNSFRSSHFSQFRPNGTSNFHSKLRFQRPHLVRAMASDSDTSKRQVEIVYDPEARFNQLADEVDREAPLSRLTLFSPCKINVFLRITNKREDGYHDLASLFHVISLGDIIKFSLSPSKTKDSLSTNVSGVPLDDRNLIIKALNLYRKKTGSDKFFWIHLDKRVPTGAGLGGGSSNAATALWAANQFSGGIASEKELQEWSSEIGSDIPFFFSQGAAYCTGRGEVVENIPPPVSLDVPMVLIKPPQACSTAEVYKRLRLDQTSNVDPLTLLEKISKLGISQDVCINDLEPPAFEVLPSLKRLKQRISAAGRGEYGAVFMSGSGSTIVGIGSPDPPQFVYDDDEYKDVFLSDAYFLTREENQWYQEPASNPSSASASVVSESV >Vigun01g118700.5.v1.2 pep primary_assembly:ASM411807v1:1:29485825:29488866:-1 gene:Vigun01g118700.v1.2 transcript:Vigun01g118700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVSSKKASPPSSQQFISVTTPLLDLEKETGESSIGVFHERETEDAEKYELEVDDSPIEQVRLTVPITDDPTQPALTFRTWILGLVSCVLLAFVNQFFSYRTNPLQISSVSAQIATLPLGKLMAATLPTKPIRVPFTKWSFSMNPGPFTLKEHVLITIFATSGSSGVDAISIITIVKAFYHRSIHPVAAYLLVMSTQMLGYGWAGIFRRFLVDSPFMWWPQNLVQVSLFKAFHEKEKRPKGGYTRIQFFFLVFVASFAYYTLPGYLFQAISTISFVCLIWNDSITAQQIGSGMNGIGIGSFGLDWNTVAAFLGSPLAVPGFAIINMLIGFMLDMYVLIPLAYWTNLYDAKKFPLISSHTFDATGATYNVTRVLNPRTFDIDLDSYNNYSKIYLSITFAFEYGLSFAILTATISHVVLFHGEMIFQTWRKTTRAPKEQLADVHTRIMKKNYEQVPEWWFATILTLMVVVALVACECFGKQLQLPWWGILLSLTIALVFTLPIGVIVATTNIKTGLNVISELIIGFIYPGKPLANVVFKIYGHDSMVQAVSFLSDFKLGHYMKIPPKSMFIVQLVGTVVASTVYFATSWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPQRMFAKDGVYPGMNWFFLIGLLAPIPVWLLSRKFPNHKWIGLINIPIIAAGASNIPPARSVNYITWGIVGIFFNFYVYRKFKAWWVRHTYILSAALDAGVAFMSVILYFTLQSNGIFGPAWWGLDADHCPLAKCPTDPGVYAEGCPIL >Vigun01g118700.1.v1.2 pep primary_assembly:ASM411807v1:1:29485550:29488868:-1 gene:Vigun01g118700.v1.2 transcript:Vigun01g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVSSKKASPPSSQQFISVTTPLLDLEKETGESSIGVFHERETEDAEKYELEVDDSPIEQVRLTVPITDDPTQPALTFRTWILGLVSCVLLAFVNQFFSYRTNPLQISSVSAQIATLPLGKLMAATLPTKPIRVPFTKWSFSMNPGPFTLKEHVLITIFATSGSSGVDAISIITIVKAFYHRSIHPVAAYLLVMSTQMLGYGWAGIFRRFLVDSPFMWWPQNLVQVSLFKAFHEKEKRPKGGYTRIQFFFLVFVASFAYYTLPGYLFQAISTISFVCLIWNDSITAQQIGSGMNGIGIGSFGLDWNTVAAFLGSPLAVPGFAIINMLIGFMLDMYVLIPLAYWTNLYDAKKFPLISSHTFDATGATYNVTRVLNPRTFDIDLDSYNNYSKIYLSITFAFEYGLSFAILTATISHVVLFHGEMIFQTWRKTTRAPKEQLADVHTRIMKKNYEQVPEWWFATILTLMVVVALVACECFGKQLQLPWWGILLSLTIALVFTLPIGVIVATTNIKTGLNVISELIIGFIYPGKPLANVVFKIYGHDSMVQAVSFLSDFKLGHYMKIPPKSMFIVQLVGTVVASTVYFATSWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPQRMFAKDGVYPGMNWFFLIGLLAPIPVWLLSRKFPNHKWIGLINIPIIAAGASNIPPARSVNYITWGIVGIFFNFYVYRKFKAWWVRHTYILSAALDAGVAFMSVILYFTLQSNGIFGPAWWGLDADHCPLAKCPTDPGVYAEGCPIL >Vigun08g213800.1.v1.2 pep primary_assembly:ASM411807v1:8:37459054:37464210:1 gene:Vigun08g213800.v1.2 transcript:Vigun08g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNSLQITRSGTGDYDDDGHAKRTGTTRSAVAHIITATIGAGVLSLAWSTSQLGWIAGPFFLFFCAIVTCVSSFLLSDCYRTLDPLSGKRNYTYMDAVRNYLGNKRTWLAGFLQYLSLYGVTVAYVITTATCLRAILKSNCYHKEGHEAPCRYGDTEYMMLFGLVQIIMSFIPDLHNMAWVSIVAAIMSFAYSSIGLGLGITTVIENGRIMGSLTGVPAANISDKLWLVFQAIGDIAFAYPYSVILLEIQDTLESPPPENKTMKKASMIAILITTFFYLCCGCFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFCQPIYGAVDRWCSKRYPNSGFVNNFYQLKLPWLRSFRLNLFRICFRTAYVISTTGLAILFPYFNQVLGVLGALGFWPLAIYFPVEMYFVQRKIEAWSRKWIVLRTFSFICFLVSLLGLVGSLEGIISEKLS >Vigun08g213800.3.v1.2 pep primary_assembly:ASM411807v1:8:37460922:37464210:1 gene:Vigun08g213800.v1.2 transcript:Vigun08g213800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNSLQITRSGTGDYDDDGHAKRTGTTRSAVAHIITATIGAGVLSLAWSTSQLGWIAGPFFLFFCAIVTCVSSFLLSDCYRTLDPLSGKRNYTYMDAVRNYLGNKRTWLAGFLQYLSLYGVTVAYVITTATCLRAILKSNCYHKEGHEAPCRYGDTEYMMLFGLVQIIMSFIPDLHNMAWVSIVAAIMSFAYSSIGLGLGITTVIENGRIMGSLTGVPAANISDKLWLVFQAIGDIAFAYPYSVILLEIQDTLESPPPENKTMKKASMIAILITTFFYLCCGCFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFCQPIYGAVDRWCSKRYPNSGFVNNFYQLKLPWLRSFRLNLFRICFRTAYVISTTGLAILFPYFNQVLGVLGALGFWPLAIYFPVEMYFVQRKIEAWSRKWIVLRTFSFICFLVSLLGLVGSLEGIISEKLS >Vigun08g213800.2.v1.2 pep primary_assembly:ASM411807v1:8:37453452:37464210:1 gene:Vigun08g213800.v1.2 transcript:Vigun08g213800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEIPEDSLSPLIQHPLRRTGNLQKAVAHIITGVIGAGVLSLAWSVAQLGWIGGPFIILVFAGTTFVSSNLLSDCYTFSHPQHGNIRCSSLIDAVHFYQGETKKTVCGVLLYASLYGTTTAYIITSATSIRAILKSNCYHKEGHEAPCRYGDTEYMMLFGLVQIIMSFIPDLHNMAWVSIVAAIMSFAYSSIGLGLGITTVIENGRIMGSLTGVPAANISDKLWLVFQAIGDIAFAYPYSVILLEIQDTLESPPPENKTMKKASMIAILITTFFYLCCGCFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFCQPIYGAVDRWCSKRYPNSGFVNNFYQLKLPWLRSFRLNLFRICFRTAYVISTTGLAILFPYFNQVLGVLGALGFWPLAIYFPVEMYFVQRKIEAWSRKWIVLRTFSFICFLVSLLGLVGSLEGIISEKLS >Vigun01g080300.1.v1.2 pep primary_assembly:ASM411807v1:1:22592486:22603499:1 gene:Vigun01g080300.v1.2 transcript:Vigun01g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQEVDRSKGDFIRLERESVIPILKPKLIMTLANLIEHSSDRTEFLKLSKRIEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLEQQNLSPEEIDVLEQNFLTYLFQVMEKSNFKIVTDDEIDVAHSGQYLLNLPITVDESKLDKTLLKKYFEEHHHDDLPDFSDKYVIFRRGIGIDRTSDYFVMEKVDMLIGRFWAYLLRLTRLEKLLSRRSKRRNKKIPKDNEINSEVDEQDLYVERIRLENMQLSCRNLLGKTLIQEPTFDRIIVVYRRARTKSKDERGIFVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVVSSLEMPSADWWVIIAVLSTVIGYCAKTYFTFQQNMAQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSFFILMEQGKATRQDLDQWCEELIKEEFGEDCNFDVDDAVEKLEKLGIVTRDSVGRYQCVGLKRANEIIGTTTEELVLKARQGNMAP >Vigun01g080300.2.v1.2 pep primary_assembly:ASM411807v1:1:22593056:22603499:1 gene:Vigun01g080300.v1.2 transcript:Vigun01g080300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYSLFDPVHGAQKLEQQNLSPEEIDVLEQNFLTYLFQVMEKSNFKIVTDDEIDVAHSGQYLLNLPITVDESKLDKTLLKKYFEEHHHDDLPDFSDKYVIFRRGIGIDRTSDYFVMEKVDMLIGRFWAYLLRLTRLEKLLSRRSKRRNKKIPKDNEINSEVDEQDLYVERIRLENMQLSCRNLLGKTLIQEPTFDRIIVVYRRARTKSKDERGIFVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVVSSLEMPSADWWVIIAVLSTVIGYCAKTYFTFQQNMAQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSFFILMEQGKATRQDLDQWCEELIKEEFGEDCNFDVDDAVEKLEKLGIVTRDSVGRYQCVGLKRANEIIGTTTEELVLKARQGNMAP >Vigun07g229500.2.v1.2 pep primary_assembly:ASM411807v1:7:35116599:35118996:-1 gene:Vigun07g229500.v1.2 transcript:Vigun07g229500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLEIPIINDLTLILGSISQSKAPGVVVDFTDPSSVYDNVKQATAFGMKSIVYVPRIELDTVAALSALCEKATMGVLVAPTLSIGSILLQQAAISASFHYSNVEIVESRANAKDLPSADANQIANNLSNIGQIYNREDSSTDVLARGQVLGDGVRVHSLVLPGLPSSTAVHFSGPGDVYSVKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFI >Vigun07g229500.1.v1.2 pep primary_assembly:ASM411807v1:7:35116599:35118996:-1 gene:Vigun07g229500.v1.2 transcript:Vigun07g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNCHFHTTNLLNSKNVNSRKKKRFIFCSAQPTQSNIKVVINGATKDIGRAAVVAVTKARGMEVAGAVDTCHVGEDIGKVCGMEEPLEIPIINDLTLILGSISQSKAPGVVVDFTDPSSVYDNVKQATAFGMKSIVYVPRIELDTVAALSALCEKATMGVLVAPTLSIGSILLQQAAISASFHYSNVEIVESRANAKDLPSADANQIANNLSNIGQIYNREDSSTDVLARGQVLGDGVRVHSLVLPGLPSSTAVHFSGPGDVYSVKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFI >Vigun07g173200.1.v1.2 pep primary_assembly:ASM411807v1:7:28845851:28851126:1 gene:Vigun07g173200.v1.2 transcript:Vigun07g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVFAPALEGIKLVKSEQGEILTQPFLEACKHILPVIDKFGASMALVKSDIGGNITRLETKYASNPTRFNYLYTLVQVEIETNTTKSSSSCTNGLLWLTRAMDFLVALFQNLVEHADWSMAQACTDSYNKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVIQGTGGDISADIHKFCADFSPLLEENHKFLARCGLDDMKAS >Vigun04g045500.1.v1.2 pep primary_assembly:ASM411807v1:4:3960529:3962147:1 gene:Vigun04g045500.v1.2 transcript:Vigun04g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDTSPGRSISFPHLDDNLPQNQVSVDSYSFNNKGKENDMKNTGKNSSFTYRIHDHVKMGPNLSEILKGKLSLGARIIQEGGRGNIFKNVFGMQEKEQLLKASQCYVYTTAGPIAGVLFISTEKVAFCSERPITFSSVTGELARAPYKVLIPIGRIKEVNESQNVNNVEQKYIEIVTEDDSEFWFVGFLRYEKALKNLNKAISMSIYNRKQ >Vigun06g053800.1.v1.2 pep primary_assembly:ASM411807v1:6:17967622:17968955:1 gene:Vigun06g053800.v1.2 transcript:Vigun06g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQLFNLSRSSLVKPSRPLLYNPIKNYGQGSKGRGLMEERAPSTAEEFERVAEEKKAKEAQKGVASQTLGKAIDGAEEAVIGKPKVESVKNRYKGGE >Vigun09g027300.1.v1.2 pep primary_assembly:ASM411807v1:9:2178658:2185649:1 gene:Vigun09g027300.v1.2 transcript:Vigun09g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANESTQNTLVVRKPCFGLPTGCPQCLTAYIFLKFSQVPFNLDYHPNYPDSDQIPYFEAGDCVAYNNEKEGIIEGLKRDVGDLDSGISSLPDWIATKVLLTTWLADALEYELWMGCSGSPAYKIYYSDLPWPVGKVLYWKKALWVKQKHGITKDNAEKKEEEIYRRANSAYDALSTLLGEQNYLFENRPSSLDAIFLAHALVVLQALPESSILRANFLERIILVRYVQQCNTKIVEASALPSNYPDFHAEPSSSSSASKGRSTSGSKPKTKPKRKPQTKEEKTFRRRAKYFVVAQLVAVILFLSVRSGFGDYADLDLDDVGYDFDE >Vigun07g103400.1.v1.2 pep primary_assembly:ASM411807v1:7:18625687:18636207:-1 gene:Vigun07g103400.v1.2 transcript:Vigun07g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKTDGVKASKIGNCCCDLEQHESLNELLFLNKKWCSLRQFMVSMSTSQQLCRTILRLSFSSPLINCCVRLHIGTICDRFEPLLSYCYNTD >Vigun03g318500.1.v1.2 pep primary_assembly:ASM411807v1:3:51304793:51307992:1 gene:Vigun03g318500.v1.2 transcript:Vigun03g318500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQWPFEQMEGVFSSTFYLSLSFFISILLFFKLARRSKPKTILNLPPSPPKLPFIGNLHQFGALPHRALRDLCLKYGDIMMLQLGQMQTPTLVVSSVDLVTEIIKTHDLAFSDRPQNSSCKILLYGCTDVGFSLYGENWRLKRKICVLELLTSKRVQSFRVIREEEAGELVKKLREASSNDASYVNLSELIMATSNNIVCKCALGRRFAGDGYSRVKELAREAMIHITGFTVRDYFPWLGWVDVVTGKIQKYKATAAAMDAVFEEAIAERLRVRREGEHSKMKDFLDILLDHHQDNTLGYQFTKNDIKSLITDMFLGGTDTTAAALEWCMSELMRNPTVMQRVQEEVRRVVGHKSKVEENDVNEMRYLKCVVKETIRLHPPTPLLAPRVTMSDVKLNGYDIPAKTTVYINAWAMQMDPKLWDRPEQFLPERSENSEVDFKNQENFHFIPFGFGRRGCPGMGFAISSIEYLLANLLYWFDWKLPETAAATLDIDMSEVFGLVVSKKLPLLLKPKTFSS >Vigun03g143700.1.v1.2 pep primary_assembly:ASM411807v1:3:14539018:14540990:-1 gene:Vigun03g143700.v1.2 transcript:Vigun03g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGIDHTGNYSGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCMQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDISFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEDEEEDVA >Vigun01g229800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40228441:40229234:-1 gene:Vigun01g229800.v1.2 transcript:Vigun01g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFISFLSLLLLLVAFSHTQATPDVPKTTEKKIEVVVEATVYCQSCDHFGTWSMIGAKPIPSAKVSVTCKSYNGHVSYYKVFETDKNGYLYAPLQGFKMQHYVLDHPLHACYVKPVWSPLESCSLLSNVNYGLNGAPLRYENKRLHGSKYEAVIYAAGPLAFRPSECSKTHY >Vigun01g201500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37775832:37777784:1 gene:Vigun01g201500.v1.2 transcript:Vigun01g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVISAVSLILVVGVALGVVVTVNKKGDESSIQTNQKSVEIICQNTDDKNLCHNTLSSVKGLDTADPKAYIATAVKATMDSVIKAFNMSDRLSTEHGDTDNGTKMAIDDCKDLLQSAIQSLQLSTDMVQNNNIQAVHDQTADFKNWLSSVISYQQACMEGFDDGKEGEKSIKEQFQTESLDKVQKLTAITLDIVTGLSHILEKFGLKLNLKPASRRLLSMDGYPTWFSAADRKLLDQIKRKGWRANITPNVVVAQDGSGQFKTIADAIASYPNGFQGRYYIYVKAGVYDEYITVPKTAVNLFLYGDGPGKTIVTGHKNFRDGVKTMQTATFANTAPGFIAKAMTFENTAGPDGHQAVAFRNQGDMSAVIGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTVIQHSVIVVRKPLDNQLNTITADGTSEKNMDTGIVIQDCDIIAEAELFPVRFQIKSYLGRPWKKYSRTVVMESTIGDFLHPEGWCPWAGEYFEDTLYYAEYNNAGPGAAMEGRIKWKGYHGLISREEAAQFTAGQFLKAGLGAGTDWLKALRVPHVLDFAKA >Vigun11g116500.1.v1.2 pep primary_assembly:ASM411807v1:11:32165371:32172432:-1 gene:Vigun11g116500.v1.2 transcript:Vigun11g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLSPTSLLQITLFLLVFLLVVNKSQGSPITTKVDALPPAFPPSTQPQPFIPLIAPSPLRPFTNNSVPKLSGLCSLNFSSAQDIMTTTATDCWTSFAPYLANVVCCPQFDAMLVTLIGQSSKYSGVLALNATHASHCLSDVQKVLVGQGANENLRKICSVNPTNLTEASCPVVSVDEFESVVDTSRLLTACRKIDPVNECCDQVCQNAIDYAARKIALNDLSTSDGNHSMPGPVARINDCKNIVVRWLASKLDPSTANSVFRGLSNCNLNKVCPLVFPSVSSVVKECGNLIHNQTACCKAIKSYVSYLQEQSFVTNLQALKCAASLGKKLQNANVSKDVYSLCHISLKDFSLQVGLQESGCLLPSLPSNAVFDGTSGIGFICDLNDNIVAPWPTSSYLLPSSCNRTTKLPSLPTATSSQNGLFINTLVLPLLFTSILLPKRLL >Vigun10g063100.1.v1.2 pep primary_assembly:ASM411807v1:10:13529296:13531355:-1 gene:Vigun10g063100.v1.2 transcript:Vigun10g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALKPIVHASLHAFHNAPPHTVRGSVWCVCKSQESDSEGSSAEGDAKSQELLARIAMLQTEKVRLTDFLEERSAYLSQFGEEAKAEFDKIGEDALKELDEAGARITANIESEMLAFEESTELNKLEIEESEKKIEEFEDQMQKDRNEGLFFKNLGQKELADKEKPKEEVEKIKDVKIENSENQTLKNVYLFFIGLLTFGIVDSVASSSGADWKRVSVLGGILVLLFSLFINEQNKDQKKD >Vigun04g081050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:12182757:12183911:1 gene:Vigun04g081050.v1.2 transcript:Vigun04g081050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARLPTTEPSKGKRRRRASSSSPERPISNRFKDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPSEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTKRRSHEPYDENEVALLICAANRSYQVEPTGNPLRILRGDMKTLAQVWTIFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALIIAFCQEQGVEVELTEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMKHTHQQNASIHRGQLHLQEYLYQNVRGPYQA >Vigun03g344300.1.v1.2 pep primary_assembly:ASM411807v1:3:54345533:54352881:-1 gene:Vigun03g344300.v1.2 transcript:Vigun03g344300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGGSCLKTHDFLQPLERLETKGSAKEEATDEISWVVEKAGPSVEHLLPGGIGTYSINHISYVNNNNNNNNQRLPKAETSLFVRQTTSTDRNDENSNCSSYTTSSGFTLWEDSSGKRGKTGKENNSGDRPSTGECAATKLGQWTSTERTSQSFCTNRHDSFSSRSSSQTTGQKNQSFMEMMKSARDSAQDEVLENEETFFLKKEPSNNQRELRVKVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWSNEQEKLMPWQRNNDKPAENFQHRGTDNGSPSPALLFSSKNEEKNISISPTIPGSTQNVESGLSTATTSKTMDHQAGIMNKAFPIPISSQLNFFPPANMGGPGGVVSQLAHIPASDAENKYQSSVECQTMAATSEKLKEKELAIEGGAISISSVYSKGLLHTLTHALQSSGVDLSQASISVQIELGKQANMRPTVPVSVCGAKDGEVPSNNQKMMRSRVASSGKSDQAIKKLKICRN >Vigun03g344300.2.v1.2 pep primary_assembly:ASM411807v1:3:54345533:54352881:-1 gene:Vigun03g344300.v1.2 transcript:Vigun03g344300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGGSCLKTHDFLQPLERLETKGSAKEEATDEISWVVEKAGPSVEHLLPGGIGTYSINHISYVNNNNNNNNQRLPKAETSLFVRQTTSTDRNDENSNCSSYTTSSGFTLWEDSSGKRGKTGKENNSGDRPSTGECAATKLGQWTSTERTSQSFCTNRHDSFSSRSSSQTTGQKNQSFMEMMKSARDSAQDEVLENEETFFLKKEPSNNQRELRVKVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWSNEQEKLMPWRNNDKPAENFQHRGTDNGSPSPALLFSSKNEEKNISISPTIPGSTQNVESGLSTATTSKTMDHQAGIMNKAFPIPISSQLNFFPPANMGGPGGVVSQLAHIPASDAENKYQSSVECQTMAATSEKLKEKELAIEGGAISISSVYSKGLLHTLTHALQSSGVDLSQASISVQIELGKQANMRPTVPVSVCGAKDGEVPSNNQKMMRSRVASSGKSDQAIKKLKICRN >Vigun03g344300.4.v1.2 pep primary_assembly:ASM411807v1:3:54346401:54352881:-1 gene:Vigun03g344300.v1.2 transcript:Vigun03g344300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGGSCLKTHDFLQPLERLETKGSAKEEATDEISWVVEKAGPSVEHLLPGGIGTYSINHISYVNNNNNNNNQRLPKAETSLFVRQTTSTDRNDENSNCSSYTTSSGFTLWEDSSGKRGKTGKENNSGDRPSTGECAATKLGQWTSTERTSQSFCTNRHDSFSSRSSSQTTGQKNQSFMEMMKSARDSAQDEVLENEETFFLKKEPSNNQRELRVKVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWSNEQEKLMPWRNNDKPAENFQHRGTDNGSPSPALLFSSKNEEKNISISPTIPGSTQNVESGLSTATTSKTMDHQAGIMNKAFPIPISSQLNFFPPANMGGPGGVVSQLAHIPASDAENKYQSSVECQTMAATSEKLKEKELAIEGGAISISSVYSKGIGNS >Vigun03g344300.3.v1.2 pep primary_assembly:ASM411807v1:3:54346401:54352881:-1 gene:Vigun03g344300.v1.2 transcript:Vigun03g344300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGGSCLKTHDFLQPLERLETKGSAKEEATDEISWVVEKAGPSVEHLLPGGIGTYSINHISYVNNNNNNNNQRLPKAETSLFVRQTTSTDRNDENSNCSSYTTSSGFTLWEDSSGKRGKTGKENNSGDRPSTGECAATKLGQWTSTERTSQSFCTNRHDSFSSRSSSQTTGQKNQSFMEMMKSARDSAQDEVLENEETFFLKKEPSNNQRELRVKVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWSNEQEKLMPWQRNNDKPAENFQHRGTDNGSPSPALLFSSKNEEKNISISPTIPGSTQNVESGLSTATTSKTMDHQAGIMNKAFPIPISSQLNFFPPANMGGPGGVVSQLAHIPASDAENKYQSSVECQTMAATSEKLKEKELAIEGGAISISSVYSKGIGNS >Vigun03g357801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55978700:55979089:1 gene:Vigun03g357801.v1.2 transcript:Vigun03g357801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRCNYIVHPRTKQKQEQEQARHFGMFESVCSVVSFRDSQTFEIMKLEPRTLTNSHSHPHKTKKPLLLHGKWNFPSNFSATLLCPVPTQVGHKLVLFSLCPFLLSLYKPKKNQLYNLIATKKHIKQC >Vigun10g098666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28916650:28917099:1 gene:Vigun10g098666.v1.2 transcript:Vigun10g098666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIALYHIRKFESFKGRFLRYQGGEEHVVHGVDADRWSYFEALSILKDEFKYDGMVKLWWKPKRGRMDRDLRPLVTNKDVLQLFDYTESRKEEVQIYVEHVLRTVEPIEFIEWTQSGTEGGGGQEGVVGLNENVGQEGAAGLSDNGG >Vigun06g115700.1.v1.2 pep primary_assembly:ASM411807v1:6:24371970:24377390:1 gene:Vigun06g115700.v1.2 transcript:Vigun06g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGSRPTFFEMAAAQQLPASLRAALTYSIGVLALRRPFLHKLLDFEDESFALLMLVLESHTLRTTDASFSESLYGLRRRPANITAKIDDTAAATAGGGSLRRRQKILSVVFLVVLPYMKSKLHSIYNREREARLQATLWGDENGGYDDGRGDYSPLSTPTSDAGASVTMRITKRVQKIVGFCYPWLHASTEGLQFAYQMLYLLDATGYYSLALHALGIHVCRATGQELMDMSSRISKMRNRERERLRGPQWLKTLQGALLSCTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVQKDGIPLPPDRTICPLCSQKRVNPSVVTVSGFAFCYACIFKYITQYKRCPVTLMPATVDQIRRLFHDV >Vigun04g021000.1.v1.2 pep primary_assembly:ASM411807v1:4:1581823:1583826:-1 gene:Vigun04g021000.v1.2 transcript:Vigun04g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLVKNTIDIDIRCEHSHPPMAFTVPIGSGAAIIPCGNTTATTRYTRFFPSPIQTPRNHLHVACAKKFSSESRRFRAVTEPQATAEVEDEEPMDEEFVALYNKVNDLLREEPDFWEGPQWDLLGFFVKYSWIIGFGVAVCFSLYGCFTYQEPSKEVREEMERKYGVSTGVESSAESGANSSSGSSDVFEDTDAYDSDVFDSNPTEVAPSLD >Vigun02g095533.1.v1.2 pep primary_assembly:ASM411807v1:2:25136102:25141020:1 gene:Vigun02g095533.v1.2 transcript:Vigun02g095533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNFIHRRQSMASNGIVREILTRYNYEEWKILMKNYLRGENLWDVVEGGSALAPNDADKDAKVLHIIQLSCAPNMFDEIKHFESAQIAWNHLAAVCGYEFTAQSHIRRGVVSDNVNQHKKLYEFVEKGDWSGTRSYLREEREAIFWVPSSGRTVLHVATMEGHLKIVDGLVKLGKEALLEKQDEDGNTALALAAGYTGDMDIAKRIVNAEGGVGVLALPNNKGEIPLLLAANSGHKKMTRFLFLRTPPHILQQQSSRNRVLLLERCIQAHIFDVALKMLESYPELPTESISYGFGGLHELARMSSSFSNVAEVPDSESLQTLVYNLTDRTLLNFVLILLNVSWKLIMEFAIRGPQTGQRIQMVLQIVEETIFGQNKNEVPQILKYYKDRVAKFNSSQLGEASVNNAMLDAAKHGNVEFIKAMKEANHDLLWAMDNHGRGIFSYAVLYRKHNVFQLMQTLCGNKYAINYTTDMFGNNLLHLAARLGPFSDLNRRPGAALQMQREIQWFKAVEEVVHLKCREEKNNEGKKPREIFIETHKELMKDGEKWAKETAGTFAIVGVLVITVMFAAVFTVPGGYNPDTGVPIFVNKGAFTVFIISDVVSLFASIISVLIYVDIQTSRYAEIDFLQRLPLKIMSGLGFLSMSLVLMMVAFCAALSIVLQKSRNYVYLFEAVLILIIFPFGLILPSQLRLLLETFAFITSNPIAGSRNSRKHGFIRRILYC >Vigun02g095533.2.v1.2 pep primary_assembly:ASM411807v1:2:25136101:25141021:1 gene:Vigun02g095533.v1.2 transcript:Vigun02g095533.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNFIHRRQSMASNGIVREILTRYNYEEWKILMKNYLRGENLWDVVEGGSALAPNDADKDAKVLHIIQLSCAPNMFDEIKHFESAQIAWNHLAAVCGYEFTAQSHIRRGVVSDNVNQHKKLYEFVEKGDWSGTRSYLREEREAIFWVPSSGRTVLHVATMEGHLKIVDGLVKLGKEALLEKQDEDGNTALALAAGYTGDMDIAKRIVNAEGGVGVLALPNNKDVALKMLESYPELPTESISYGFGGLHELARMSSSFSNVAEVPDSESLQTLVYNLTDRTLLNFVLILLNVSWKLIMEFAIRGPQTGQRIQMVLQIVEETIFGQNKNEVPQILKYYKDRVAKFNSSQLGEASVNNAMLDAAKHGNVEFIKAMKEANHDLLWAMDNHGRGIFSYAVLYRKHNVFQLMQTLCGNKYAINYTTDMFGNNLLHLAARLGPFSDLNRRPGAALQMQREIQWFKAVEEVVHLKCREEKNNEGKKPREIFIETHKELMKDGEKWAKETAGTFAIVGVLVITVMFAAVFTVPGGYNPDTGVPIFVNKGAFTVFIISDVVSLFASIISVLIYVDIQTSRYAEIDFLQRLPLKIMSGLGFLSMSLVLMMVAFCAALSIVLQKSRNYVYLFEAVLILIIFPFGLILPSQLRLLLETFAFITSNPIAGSRNSRKHGFIRRILYC >Vigun07g212000.1.v1.2 pep primary_assembly:ASM411807v1:7:33329049:33336588:1 gene:Vigun07g212000.v1.2 transcript:Vigun07g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGNDPKRGRLWPQMIMALAIAFISTTVVSVNADVYPSYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPEKSPSPTPYYYKSPPPPSPTPYYYNSPPPPPYYYKSPPPPSPTPYYYKSPPPPPYYYKSPPPPSPIPHPPYYYKSPPPPSPKPYYYSSPPPPYYYSSPPPPVVYHPHPYPHHYNHGLIVKVVGKVYSYKCYDWNHPEKSHNKKHLKGAVVEVECKAGWKVIKAYGETKSNGKYSITVKDFDYVKYGATVCQAKLYAPPKHSPFNIPTKFNEDTKLKVKSKDKYEVVLKAKSFAYAPKKYFKECEKPSPTPYYYKSPPPPTPVYKYNSPPPPSPSPKPTPSYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPSPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSSPPPYYYKSPPPPPPYYYTSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPVKSPPPIYN >Vigun07g212000.2.v1.2 pep primary_assembly:ASM411807v1:7:33329049:33336588:1 gene:Vigun07g212000.v1.2 transcript:Vigun07g212000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGNDPKRGRLWPQMIMALAIAFISTTVVSVNADVYPSYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPEKSPSPTPYYYKSPPPPSPTPYYYNSPPPPPYYYKSPPPPSPTPYYYKSPPPPPYYYKSPPPPSPIPHPPYYYKSPPPPSPKPYYYSSPPPPYYYSSPPPPVVYHPHPYPHHYNHGLIVKVVGKVYSYKCYDWNHPEKSHNKKHLKGAVVEVECKAGWKVIKAYGETKSNGKYSITVKDFDYVKYGATVCQAKLYAPPKHSPFNIPTKFNEDTKLKVKSKDKYEVVLKAKSFAYAPKKYFKECEKPSPTPYYYKSPPPPTPVYKYNSPPPPSPSPKPTPSYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPSPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSSPPPYYYKSPPPPPPYYYTSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPVKSPPPIYN >Vigun06g202100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31697624:31697983:-1 gene:Vigun06g202100.v1.2 transcript:Vigun06g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSKRVSFSPDVNEKPTMFLKHSVLGNRKRVIGSWSFSRMSKDPLLSPVRFLLRLGEKVANSIRVVSMRRRSSRKVSSSTLVRSRSLSDPNDSSYRAKAVEDCIEFLHSSSSRERPS >Vigun09g093100.1.v1.2 pep primary_assembly:ASM411807v1:9:13380359:13383056:1 gene:Vigun09g093100.v1.2 transcript:Vigun09g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPDQNIKLLCSYGGKIRPRTTDGELRYVGGHTRVLTVNRSISFSELMVKLTEFCGSSVILKCQLPKENLETLISITNDEDLANIIEEYDRASLKLVHPMKIRAVLLPPRSVKKVSSTSTLSSASSSASPSPSRSPHTSAESLPYAAAYRHGCNHRTPLLPAAYAIGVRNGSAKACCYTQFGGNHRFWRRGPHRYNYCH >Vigun04g180200.1.v1.2 pep primary_assembly:ASM411807v1:4:40421215:40423584:-1 gene:Vigun04g180200.v1.2 transcript:Vigun04g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLNCLTLNTTTVIASFLSLIFLFFCLYRRISSEKRAPIVKGAWPILGHLSLLNGSQTPHKTLGALADKYGALFTIKLGVKEALVLSNWEMSKELFTTNDLAVSSRPKLVAVEVMSYNQAFVGLSPYGPYWRELRKIVTFEFLSNRRIEQLSHIRVSEVQTSFKELCNLCVDNKKQNESSYSCATLVDMKEWLEHLTFNIIVRMVVGKRYFGVVHVEGKEKAERFMKNLDEFMKLMGTFTVADGVPCLRWLDFGGYEKAMKATAEEMDKLLSEWLEEHREKKGLDGKVKGDQDFMDVMISALNGAPIDGFDADTICKATTLELILGGTDSTAVTLTWALSLLLRNPLAMEKAKEEIDMHIGKDGWIKESDISKLVYLQAIVKETLRLYPPAPLSSPREFTQNCTLGGYHIKKGTRLMHNLWKIHRDPSVWSDPLEFKPERFLSTHEHVDLRGRHFELLPFGSGRRICAGMSLGLNVIHFTLANLLHSFDISNPSTEPIDMTEFFGFTNTRATPLEVLVKPRLSPNHYEAL >Vigun11g016250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2048098:2048907:1 gene:Vigun11g016250.v1.2 transcript:Vigun11g016250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLITSGKCQGFFKKPSESVRGFQQTPVKFIDLNFFIYSSSLSHLAHSHSLVSLCDLTHFHFLSGILCYEVFCDVNSRFWLWKTREPSLPPTVIIETHLRIRCHQCLSIFIFVPNTQVR >Vigun10g151000.1.v1.2 pep primary_assembly:ASM411807v1:10:37023392:37026605:-1 gene:Vigun10g151000.v1.2 transcript:Vigun10g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSGSSWLTAVKRAFRSPTKDSDKRSGRRREDCDQEEDEEKKREKRRWIFRKTHMSQEGANTNNNNTQQKSKHDVAAAAGGGSRTDQDQKHALAVAVATAEAAMATAQAAVEVARLTKPASHAREHYASVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRSSLDGSRKSTFSDTASVWDSRYLHDISDRKSVSREGSSIADDWDERPHTVEEVKAMLQQRKEAAMKRDKTLSQAFSQQIWRNGRTSSIGNEDELEERPKWLDRWMATKPWENRGRASTDQRDPIKTVEIDTSQPYSYLGTNYRRSHPNYQYNPNHHQPQRHSIASPLHRSHQNGSIHQSPATPSPAKSRPIQVRSASPRCIREDRNYPTSQTPSLRSNYHYTGNLYQNGRGVGSGTSSNGAATLPNYMAATESAKARIRSQSAPRQRPSTPERDRVGSAKKRLSFPAPDPYSVGVSYGNYGHSLRSPSFKSVSGSHFGLEQQSNYSSCCTESVGGGEVSPSSTGDLRRWLR >VigunL060300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:34695:37467:-1 gene:VigunL060300.v1.2 transcript:VigunL060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYKNEERPKSQQNINSPLSLRSISSSVLIFFLWMFHSNYKHRVQYSPKSMGKIVGVGFRPTEQELVDFYLKHKLLGDDSRVDVIPVIDLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDISSWNTNTLIATKKNLVYYTGIVSCGVKSYWVIHEYHAVTFHESERCFVLCRLMRKSGKTTEGGTDPPICGEEEPSIIKVFDYENQATEEGIPYGGTFSGVETIFLADPQTETYVSQIQPSPREEASFPNYPLNNVCFGNEKSFMQDEYLNRILADENLDNDEESSCTFVDNFSQKDTNIVDSSFVHDKYFNYDEYHSSKRLKLSKDVADDASTNQETKESMIGDGFWRMESSSCDSTPNGVLEINCTEVSSSRSIS >Vigun09g209400.17.v1.2 pep primary_assembly:ASM411807v1:9:38378661:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.9.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.7.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.6.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.1.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382181:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.16.v1.2 pep primary_assembly:ASM411807v1:9:38379175:38382208:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.10.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382181:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.8.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.14.v1.2 pep primary_assembly:ASM411807v1:9:38379194:38382208:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.3.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.18.v1.2 pep primary_assembly:ASM411807v1:9:38379175:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.4.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun09g209400.15.v1.2 pep primary_assembly:ASM411807v1:9:38379175:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.2.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun09g209400.13.v1.2 pep primary_assembly:ASM411807v1:9:38378659:38382156:1 gene:Vigun09g209400.v1.2 transcript:Vigun09g209400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFLTEVSRSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun04g128100.4.v1.2 pep primary_assembly:ASM411807v1:4:32266142:32273750:1 gene:Vigun04g128100.v1.2 transcript:Vigun04g128100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSATILCIYVFSISAFYIKVLMDNYHGTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRFNVYNRGCLNNFLEVFCTKVKPSRNNFRAFVQEEVPRPPPPIISRESEPDLGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDRRAPTIRSEARHSSEGRSESWEIASEVLANSNLTESRGHVASKEVL >Vigun04g128100.3.v1.2 pep primary_assembly:ASM411807v1:4:32266142:32273750:1 gene:Vigun04g128100.v1.2 transcript:Vigun04g128100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSATILCIYVFSISAFYIKVLMDNYHGTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRFNVYNRGCLNNFLEVFCTKVKPSRNNFRAFVQEEVPRPPPPIISRESEPDLGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDRRAPTIRSEARHSSEGRSESWEIASEVLANSNLTESRGHVASKEVRQKLGGSF >Vigun04g128100.1.v1.2 pep primary_assembly:ASM411807v1:4:32263971:32273750:1 gene:Vigun04g128100.v1.2 transcript:Vigun04g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVYEAWKGSNKFLFGGRLIFGPDARSLLVTLLLIIVPVIVFCVFVGRHLRHEFSSYNAGYAILVLAVIFNIYVLILLFLTSSRDPGVIPRNLHPPEEEFRYDSSVSVDIGGRQTPSLQFPRTKEVMVNGHPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSATILCIYVFSISAFYIKVLMDNYHGTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRFNVYNRGCLNNFLEVFCTKVKPSRNNFRAFVQEEVPRPPPPIISRESEPDLGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDRRAPTIRSEARHSSEGRSESWEIASEVLANSNLTESRGHVASKEVRQKLGGSF >Vigun04g128100.2.v1.2 pep primary_assembly:ASM411807v1:4:32263971:32273750:1 gene:Vigun04g128100.v1.2 transcript:Vigun04g128100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVYEAWKGSNKFLFGGRLIFGPDARSLLVTLLLIIVPVIVFCVFVGRHLRHEFSSYNAGYAILVLAVIFNIYVLILLFLTSSRDPGVIPRNLHPPEEEFRYDSSVSVDIGGRQTPSLQFPRTKEVMVNGHPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSATILCIYVFSISAFYIKVLMDNYHGTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRFNVYNRGCLNNFLEVFCTKVKPSRNNFRAFVQEEVPRPPPPIISRESEPDLGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDRRAPTIRSEARHSSEGRSESWEIASEVLANSNLTESRGHVASKEVL >Vigun04g181000.1.v1.2 pep primary_assembly:ASM411807v1:4:40512545:40517647:1 gene:Vigun04g181000.v1.2 transcript:Vigun04g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQASAFSLAVPSVATPLRCRRNSLIRARVEPSDKSVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKPAEAAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGDEQAITLEEIKESTANM >Vigun06g170200.2.v1.2 pep primary_assembly:ASM411807v1:6:29178381:29186779:1 gene:Vigun06g170200.v1.2 transcript:Vigun06g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEKTPYTFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFHQNRFQRKACGDRLWRFQILKENEFKQRIRKVKIEEDEEITWEKATHTIKRASHYLSALQTSDGHWPAHLAGCHFFTPIMIISIYSTGHLDSVISEKHRKEILRYLYNHQNEDGGWGLHIEGPSTMYCTALNYVTLRILGEGPNGGHNNACANARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVEDPNGDSFKKHLARVPDYLWLSEDGMCVQIVENRPGDFKSRFHHISKGAWAFADRDHGLQLSDGTAECLKCCLLLSMLPEEIVGEKLETERIYDSVDFLLSLQSKNGGISAWEPARAQKWLENLNPAEFLADIVIEYEYIECTGSTIQALVLFKKLYPNYRREEIENLIVKACKYLEDQQSSKGTWVGIWAVCFTYSAWFALGGLAAAGNTYSNCAAIRKAVKFLLSTQNDDGGWGESYLSCPLKTYVALEGNRSHVAQTAWALMALIEAGQGERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCVLHYPFYRNYFPIWALSEYRNKVLVPSTTTKLEKS >Vigun06g170200.3.v1.2 pep primary_assembly:ASM411807v1:6:29181751:29186779:1 gene:Vigun06g170200.v1.2 transcript:Vigun06g170200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTALNYVTLRILGEGPNGGHNNACANARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVEDPNGDSFKKHLARVPDYLWLSEDGMCVQAINTQSWDAGFAVQAFLASGLIDDLGPTLEKAHDFIKKSQIVENRPGDFKSRFHHISKGAWAFADRDHGLQLSDGTAECLKCCLLLSMLPEEIVGEKLETERIYDSVDFLLSLQSKNGGISAWEPARAQKWLENLNPAEFLADIVIEYEYIECTGSTIQALVLFKKLYPNYRREEIENLIVKACKYLEDQQSSKGTWVGIWAVCFTYSAWFALGGLAAAGNTYSNCAAIRKAVKFLLSTQNDDGGWGESYLSCPLKTYVALEGNRSHVAQTAWALMALIEAGQGERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCVLHYPFYRNYFPIWALSEYRNKVLVPSTTTKLEKS >Vigun06g170200.4.v1.2 pep primary_assembly:ASM411807v1:6:29181751:29186779:1 gene:Vigun06g170200.v1.2 transcript:Vigun06g170200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTALNYVTLRILGEGPNGGHNNACANARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVEDPNGDSFKKHLARVPDYLWLSEDGMCVQAINTQSWDAGFAVQAFLASGLIDDLGPTLEKAHDFIKKSQIVENRPGDFKSRFHHISKGAWAFADRDHGLQLSDGTAECLKCCLLLSMLPEEIVGEKLETERIYDSVDFLLSLQSKNGGISAWEPARAQKWLENLNPAEFLADIVIEYEYIECTGSTIQALVLFKKLYPNYRREEIENLIVKACKYLEDQQSSKGTWVGIWAVCFTYSAWFALGGLAAAGNTYSNCAAIRKAVKFLLSTQNDDGGWGESYLSCPLKTYVALEGNRSHVAQTAWALMALIEAGQGERDPTPLHRAAKLLINSQLEDGDWPQQAVGAYKSSCVLHYPFYRNYFPIWALSEYRNKVLVPSTTTKLEKS >Vigun06g170200.1.v1.2 pep primary_assembly:ASM411807v1:6:29178381:29186779:1 gene:Vigun06g170200.v1.2 transcript:Vigun06g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEKTPYTFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFHQNRFQRKACGDRLWRFQILKENEFKQRIRKVKIEEDEEITWEKATHTIKRASHYLSALQTSDGHWPAHLAGCHFFTPIMIISIYSTGHLDSVISEKHRKEILRYLYNHQNEDGGWGLHIEGPSTMYCTALNYVTLRILGEGPNGGHNNACANARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVEDPNGDSFKKHLARVPDYLWLSEDGMCVQAINTQSWDAGFAVQAFLASGLIDDLGPTLEKAHDFIKKSQIVENRPGDFKSRFHHISKGAWAFADRDHGLQLSDGTAECLKCCLLLSMLPEEIVGEKLETERIYDSVDFLLSLQSKNGGISAWEPARAQKWLENLNPAEFLADIVIEYEYIECTGSTIQALVLFKKLYPNYRREEIENLIVKACKYLEDQQSSKGTWVGIWAVCFTYSAWFALGGLAAAGNTYSNCAAIRKAVKFLLSTQNDDGGWGESYLSCPLKTYVALEGNRSHVAQTAWALMALIEAGQGERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCVLHYPFYRNYFPIWALSEYRNKVLVPSTTTKLEKS >Vigun08g168300.1.v1.2 pep primary_assembly:ASM411807v1:8:33944755:33947261:-1 gene:Vigun08g168300.v1.2 transcript:Vigun08g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEETLLILGLLAMASLIPSHATARILAQAPSMSSTKEKNDINDIKHGGNPSIGSAFGVCSTLNCFNSSLSQDGQGDNGGGHQGDHSQGGPGDNGGDHGNDQSGRGSGDYGDNHGGDRSGNGSGDNGDNHGGDRHDGGSGDNGGNHGGDRHGGGSGDYGGDHGGDRPGGGFGDYGGNHGGDRPGGGSSDYGGNHGGDNGGNHGGESSGGSSDHGGNHGGESGGGSGDYGGNHGGNRTSGGHSDYGGDHGSDKPGRGANDYGGDHEGNRPGGGHGDYGGNHGGGKPSRGHDDYGGNHGGNKPNGGHGYYGGDHGDDKPGERSGDYGGNHGGEKPGEGSGDYGNNHGDDRPSNGSGDNGGNHGSDRHDGGSGDYGGNHGGDRPDGGHGDYGGNHGNDKPHKGHGDSGGDHEGNRPSGGRGDYGGHHGGDKQSRGHNDYGGNHGGDKPGGGQGDYGGDHGGDKPSGGSSDYGGNHGGNKHGGRPGDYGGNHKGDKPSGGSSDYGGNHGGDKPGGGPGDYGGNHGSDKPGEGPGGYGGDHGGDKPGGPGDYGRDHGGDRAGGGPGDYGGNHGGDKPGGGSNDYGGDHGGDKPGGGPGDYGGNHGGDKPGGGESRNGRPGNCGGGLGGGDC >Vigun03g435400.6.v1.2 pep primary_assembly:ASM411807v1:3:63942103:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun03g435400.1.v1.2 pep primary_assembly:ASM411807v1:3:63942103:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun03g435400.4.v1.2 pep primary_assembly:ASM411807v1:3:63942103:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun03g435400.2.v1.2 pep primary_assembly:ASM411807v1:3:63942025:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun03g435400.5.v1.2 pep primary_assembly:ASM411807v1:3:63942103:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun03g435400.3.v1.2 pep primary_assembly:ASM411807v1:3:63942103:63946177:1 gene:Vigun03g435400.v1.2 transcript:Vigun03g435400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPCSRSWSISEDSLRRFVQFASESCIQELLAASDTNRGKDSDGWKVLTLDNGVEISKRRSGSLHTFRSRWILRSVSPQQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGDNSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQSNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLKKLAQACPSEGEI >Vigun05g076000.4.v1.2 pep primary_assembly:ASM411807v1:5:6936241:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun05g076000.6.v1.2 pep primary_assembly:ASM411807v1:5:6936402:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun05g076000.7.v1.2 pep primary_assembly:ASM411807v1:5:6936402:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASTCIENRKRLVLYFNVGEVVFVRVSFTIV >Vigun05g076000.3.v1.2 pep primary_assembly:ASM411807v1:5:6936301:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun05g076000.1.v1.2 pep primary_assembly:ASM411807v1:5:6936244:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun05g076000.2.v1.2 pep primary_assembly:ASM411807v1:5:6936828:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun05g076000.5.v1.2 pep primary_assembly:ASM411807v1:5:6936402:6942823:1 gene:Vigun05g076000.v1.2 transcript:Vigun05g076000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLEAKQSGGGGRIVSDIPYSNGSNHSNDIMPSGAISQPRLATPTLAKSMFNSPGLSLALQSDVDGQGDMNRLMPENFEQNGLRRSREEEHESRSGSDNMDGASGDDFDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLNLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSMREAMRNPMCSNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLTSSIGPPLPNSSLELGVGSNGFGGLSTVPSTLPDFGVGISSPLAMMSPSTRPTATSTVVTPGLDRSVERSIVLELALAAMDELVKMAQTGEPLWIRSLEGGREILNYEEYTRTMTPCIGLRPNGFVTEASRQTGMVIINSLALVETLMDSNRWSEMFPCMIARTSTAEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGLWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPLLSSGTGFGAQRWVATLQRQCECLAILMSSAVPSREHSAISSGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHANCVSLLRASAMNANQSSMLILQETCTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGSAAGGEQHGGASQKRASGCLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHSES >Vigun09g257000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42290641:42293371:-1 gene:Vigun09g257000.v1.2 transcript:Vigun09g257000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLLCTGALLAGGLYWFVCVLGPAEQKGKRATDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHHEATRLHEEMAVDLIQAGPGDRVLDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKAGLDSLCEVVCGNFLNMPFPDNSFDGAYSIEATCHAPKLEQVYAEIFRVLKPGALYVSYEWVTTDKYRSDDPEHVEVIQGIERGDALPGLRSHTDIAETARKVGFVVVKERDLAKPPAQPWWSRLKMGRIAYWRNHIVVTVLAALGIAPKGTVDVHEMLFKTADYLTRGGDSGIFSPMHMILCRKPHDSDDDHVQT >Vigun10g002900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:265582:267835:1 gene:Vigun10g002900.v1.2 transcript:Vigun10g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLILSSPSSSTPLPFFISNTLPFHAKPNLSVKLNCTLQKSQFWGRNLSWGPSQSPHGRPKFDAARPIITAVVKRRKELPFDNVIQRDKKLKFVLRVRNILVAQPDRVMSLKQLGKFKRDLGLDKRRKLIAILKKFPAVFQIMEEGVYSLKFKMTPEAERLYFEELRIRNEMEELVIVKLRKLLMMSLEKRILLEKIAHLRTDLGLPQGFRDTICHRYPQYFKVVATERGPALELTHWDPELAVSAAELSAEDNRIREMEEQNLIIDRPPRFHRVKLPKGLNLSKGEMRRIMQFRDLPYISPYSDFSELRPGTREKEKHACGVVHEILSLTLEKRTLVDHFTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLREAYRDSQLVDKDRLLLVKEKLRVLVNVPRFPKGPARKTLEDSLREGDDGREYESDQGEEAWSEEVDDLVSDEAFEDVVDDWSDEDDDSLPPDFDDDDDEVLEIGQGKANKQVKYTRQNDEEEALLPVFSDGRPREQW >Vigun10g002900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:265582:267760:1 gene:Vigun10g002900.v1.2 transcript:Vigun10g002900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLILSSPSSSTPLPFFISNTLPFHAKPNLSVKLNCTLQKSQFWGRNLSWGPSQSPHGRPKFDAARPIITAVVKRRKELPFDNVIQRDKKLKFVLRVRNILVAQPDRVMSLKQLGKFKRDLGLDKRRKLIAILKKFPAVFQIMEEGVYSLKFKMTPEAERLYFEELRIRNEMEELVIVKLRKLLMMSLEKRILLEKIAHLRTDLGLPQGFRDTICHRYPQYFKVVATERGPALELTHWDPELAVSAAELSAEDNRIREMEEQNLIIDRPPRFHRVKLPKGLNLSKGEMRRIMQFRDLPYISPYSDFSELRPGTREKEKHACGVVHEILSLTLEKRTLVDHFTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLREAYRDSQLVDKDRLLLVKEKLRVLVNVPRFPKGPARKTLEDSLREGDDGREYESDQGEEAWSEEVDDLVSDEAFEDVVDDWSDEDDDSLPPDFDDDDDEVLEIGQGKANKQVKYTRQNDEEEALLPVFSDGRPREQW >Vigun10g002900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:265582:267760:1 gene:Vigun10g002900.v1.2 transcript:Vigun10g002900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLILSSPSSSTPLPFFISNTLPFHAKPNLSVKLNCTLQKSQFWGRNLSWGPSQSPHGRPKFDAARPIITAVVKRRKELPFDNVIQRDKKLKFVLRVRNILVAQPDRVMSLKQLGKFKRDLGLDKRRKLIAILKKFPAVFQIMEEGVYSLKFKMTPEAERLYFEELRIRNEMEELVIVKLRKLLMMSLEKRILLEKIAHLRTDLGLPQGFRDTICHRYPQYFKVVATERGPALELTHWDPELAVSAAELSAEDNRIREMEEQNLIIDRPPRFHRVKLPKGLNLSKGEMRRIMQFRDLPYISPYSDFSELRPGTREKEKHACGVVHEILSLTLEKRTLVDHFTHFREEFRFSQQLRGMLIRHPDMFYVSLKGDRDSVFLREAYRDSQLVDKDRLLLVKEKLRVLVNVPRFPKGPARKTLEDSLREGDDGREYESDQGEEAWSEEVDDLVSDEAFEDVVDDWSDEDDDSLPPDFDDDDDEVLEIGQGKANKQVKYTRQNDEEEALLPVFSDGRPREQW >Vigun11g138300.1.v1.2 pep primary_assembly:ASM411807v1:11:34735817:34736497:1 gene:Vigun11g138300.v1.2 transcript:Vigun11g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNTMKSTRVVILIIIMLAFVQILETKGNKLDCVIACAIECLPPNHYYFCFKDCVSKKCQKSTGVSNCARSCGVNKTITVDIDAGGKITNVVDSCLENCLKLQ >Vigun07g082100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11786560:11790438:-1 gene:Vigun07g082100.v1.2 transcript:Vigun07g082100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSLLAPTLQFQTQSAVTGNLRQRLSRPGVDFSAYGSAIQYCSDHRLLRQGRQLHARLILLSVKPDNFLASKLILLYSKCDHAREARKVFDATPRRNTFTWNAMLLGYSFNAMFRDALNLFVSLTSSSNANSSPDKFTISCILKTIPSSFCSPKLAKEVHCFVLRRWFDSDIFILNALITCYCRCDEVCLARKVFDGMSNRDTVTWNAMIGGYSQRRYYDECKRLYMEMLTSSGVAPNVVTAMSMMQACGQSMDLAFGIEVHRFLEENGIEMDISLSNAVVAMYAKCGHLEYARKLFEEMREKDEVTYGAIISGYMDYGFVEEAMNIFRRVENPGLNMSNAVISGMVQNEEFEGLFDLLRDMQGSGLCPNAVTLASVLPSFSYFSNLRGGKEVHGYAIRRGYEQNVYVATSIIDAYAKLGYIHGAKRVFDLSPNRSLVIWTSIISAYAAHGDASLALGLYTQMLDKGIQPDPVTLTSVLTACAHSGLVDEAWDIFNSMPSQCGFQPLVEHYACMVGVLSRAGKLSEAKLFISEMPIKPSAKVWGALLHGASVYGDVEMGKFACDHLFEIEPENTGNYMIMANLLSNAGKWEQAREVRERMKEIGLQKVRGSSWIETSGGLLSFIAKDVSIGRSDEI >Vigun07g082100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11786561:11790437:-1 gene:Vigun07g082100.v1.2 transcript:Vigun07g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSLLAPTLQFQTQSAVTGNLRQRLSRPGVDFSAYGSAIQYCSDHRLLRQGRQLHARLILLSVKPDNFLASKLILLYSKCDHAREARKVFDATPRRNTFTWNAMLLGYSFNAMFRDALNLFVSLTSSSNANSSPDKFTISCILKTIPSSFCSPKLAKEVHCFVLRRWFDSDIFILNALITCYCRCDEVCLARKVFDGMSNRDTVTWNAMIGGYSQRRYYDECKRLYMEMLTSSGVAPNVVTAMSMMQACGQSMDLAFGIEVHRFLEENGIEMDISLSNAVVAMYAKCGHLEYARKLFEEMREKDEVTYGAIISGYMDYGFVEEAMNIFRRVENPGLNMSNAVISGMVQNEEFEGLFDLLRDMQGSGLCPNAVTLASVLPSFSYFSNLRGGKEVHGYAIRRGYEQNVYVATSIIDAYAKLGYIHGAKRVFDLSPNRSLVIWTSIISAYAAHGDASLALGLYTQMLDKGIQPDPVTLTSVLTACAHSGLVDEAWDIFNSMPSQCGFQPLVEHYACMVGVLSRAGKLSEAKLFISEMPIKPSAKVWGALLHGASVYGDVEMGKFACDHLFEIEPENTGNYMIMANLLSNAGKWEQAREVRERMKEIGLQKVRGSSWIETSGGLLSFIAKDVSIGRSDEI >Vigun09g189500.1.v1.2 pep primary_assembly:ASM411807v1:9:36441328:36444200:1 gene:Vigun09g189500.v1.2 transcript:Vigun09g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATETQPQQHKDSSEVLGSAAGKRWTLNDFDIGKPLGRGKFGHVYLAREKSSNHIVALKVLFKSQLQQSQVVHQLRREVEIQSHLRHPHILRLYGYFYDQKRVYLILEYAPKGELYKELQKCKYFSERRAATYVASLAKALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVHVDLKFPPKPIVSSGAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNAESSGVYRG >Vigun07g224300.1.v1.2 pep primary_assembly:ASM411807v1:7:34623410:34631859:-1 gene:Vigun07g224300.v1.2 transcript:Vigun07g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVVEDADTDTVYVAVGKNAEKTQQLLHWTVKNFSGKNVCLLHIHRPYSLDSFSCATDRNLSGYEPKDLPIQAFQDQGNQSVHELLDQYILDLVPAGVRACKLLIEMDDIEKGITEAIAQHSIRWLVVGAAADGYNMGKLAKQDSEKAIFIREQALLSCNIWFICKGNLICTRVYSKHTSDIEGGPALLVLNSNTERSTSKSTPDALKYLDSGDMKEIQSISFNRSLNTKRSFNKVADRSKLADLMFHEDEAFESRCAQEIRRRKEVEEQLARSKQEVQKMKNQQDEILEELQMVKDQNSALMNQISESQCTVTEFEEKIISAVDLLISFREKRDRLRIEHANAVREVQVLRKFGEADTSFSYQVEFPAFSFVEINEATHDFDPSWKIGEGRYGSVYKGLLGNMHVAIKMLPSYGHESLSKFQRQVEVLSRVRHPNLLTLIGSCAESRSLVYEYLNNGSLESHLARKEKNPLPWQIRMSTATDICSALIFIHSSNPCIIHGNLKPSKVLLDANLVAKLSDLGIPSLVQQSLDSADANTIYNNPNEHLAYVDPEYLLTGKFTPESDVYSFGVILLQLLTGRPLLGLVRDVKCALEKENLKAVLDFSAGEWPLYQTELLAYLALRCCEKSRLNRPDLVSEIWTVLEPFKATRIDKPYLISKKLRRVPSHFVCPIVQEVMEDPYIAADGFTYEEEAIRGWLNSGHDTSPMTNLKLDHTDLVPNYALHNAILEWQQQ >Vigun07g224300.2.v1.2 pep primary_assembly:ASM411807v1:7:34623410:34631859:-1 gene:Vigun07g224300.v1.2 transcript:Vigun07g224300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVVEDADTDTVYVAVGKNAEKTQQLLHWTVKNFSGKNVCLLHIHRPYSLDSFYRNLSGYEPKDLPIQAFQDQGNQSVHELLDQYILDLVPAGVRACKLLIEMDDIEKGITEAIAQHSIRWLVVGAAADGYNMGKLAKQDSEKAIFIREQALLSCNIWFICKGNLICTRVYSKHTSDIEGGPALLVLNSNTERSTSKSTPDALKYLDSGDMKEIQSISFNRSLNTKRSFNKVADRSKLADLMFHEDEAFESRCAQEIRRRKEVEEQLARSKQEVQKMKNQQDEILEELQMVKDQNSALMNQISESQCTVTEFEEKIISAVDLLISFREKRDRLRIEHANAVREVQVLRKFGEADTSFSYQVEFPAFSFVEINEATHDFDPSWKIGEGRYGSVYKGLLGNMHVAIKMLPSYGHESLSKFQRQVEVLSRVRHPNLLTLIGSCAESRSLVYEYLNNGSLESHLARKEKNPLPWQIRMSTATDICSALIFIHSSNPCIIHGNLKPSKVLLDANLVAKLSDLGIPSLVQQSLDSADANTIYNNPNEHLAYVDPEYLLTGKFTPESDVYSFGVILLQLLTGRPLLGLVRDVKCALEKENLKAVLDFSAGEWPLYQTELLAYLALRCCEKSRLNRPDLVSEIWTVLEPFKATRIDKPYLISKKLRRVPSHFVCPIVQEVMEDPYIAADGFTYEEEAIRGWLNSGHDTSPMTNLKLDHTDLVPNYALHNAILEWQQQ >VigunL057000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000430.1:50429:59690:1 gene:VigunL057000.v1.2 transcript:VigunL057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGKTKAKSIDLSFPSSKKNQSGLPAQKSGSQTQAESSTQKSPAEPSTQLIISGSTQKTCPSNYAMAIPTLLAFQDQGLTKLPSKSWKQIFGEEPDNLPENLKDIISSLAQSKVTLHPKQSGKQVVSQPQPITQKNPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPYGFLFKPNHVNKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSYKDFKNNQILKFSQPFDPIGYNYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYHFPQWFSNWWDSFGPIQKILPDSVLEGFNQFKSRFGNETPFHVSLHFFSKFSLAWIFTWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIAAAIAASASDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMNLEDSEEEEEVISE >Vigun03g017900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1261252:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNEVGEERKLRISCSDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun03g017900.9.v1.2 pep primary_assembly:ASM411807v1:3:1261222:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELIREDNCDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun03g017900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1261204:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNEVGEERKLRISCSDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun03g017900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1261222:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNEVGEERKLRISCSDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun03g017900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1261223:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNEVGEERKLRISCSDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun03g017900.8.v1.2 pep primary_assembly:ASM411807v1:3:1261222:1264325:-1 gene:Vigun03g017900.v1.2 transcript:Vigun03g017900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELIREDNCDPDATDSEEESELANGESKRNGRKRVVVEMKFPSMAEKRASSTKSKGVRLRAWGKYCAEIRVPFENRRVWLGTFNTQKEASMAYNKKRQEFDHKKALLKAHASSQPS >Vigun01g249500.1.v1.2 pep primary_assembly:ASM411807v1:1:41633254:41641047:-1 gene:Vigun01g249500.v1.2 transcript:Vigun01g249500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSATATFASYTSISFLSASQSQSHLSPFLNFPHKFTVKTPWQWQHHHYHTHKLLISSSGNTQIDQNLESGLLQRPTPPDPQDDARLARRSSDWKAAKAYKDSGLIYNGRIEGYNSGGLRVRFYSILGFLPFPELSPVHTCKEPEKPIQEIARGLVGSVISAKVILADEDNKRLIFSEKEGAWSKFSKQINVGDIFEGKVGYVEDYGAFVHLRFPDGLYHLTGLIHISEVSWDLVQDVRDILKVGDQVRAKVVGIDGVKSRITLSIKQLEEDPLLETLDKVIPQDGSSDLDSLNGGEDDSIEPLPGLETILEELQQEDGIYDVRISRQGFEKRVVSQDLQLWLSNAPPTNQRFTLLARAGRQVQEIHLTTSLDQEGIKKALQRVLERVP >Vigun01g249500.3.v1.2 pep primary_assembly:ASM411807v1:1:41636752:41641047:-1 gene:Vigun01g249500.v1.2 transcript:Vigun01g249500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSATATFASYTSISFLSASQSQSHLSPFLNFPHKFTVKTPWQWQHHHYHTHKLLISSSGNTQIDQNLESGLLQRPTPPDPQDDARLARRSSDWKAAKAYKDSGLIYNGRIEGYNSGGLRVRFYSILGFLPFPELSPVHTCKEPEKPIQEIARGLVGSVISAKVILADEDNKRLIFSEKEGAWSKFSKQINVGDIFEGKVGYVEDYGAFVHLRFPDE >Vigun01g249500.2.v1.2 pep primary_assembly:ASM411807v1:1:41636752:41641047:-1 gene:Vigun01g249500.v1.2 transcript:Vigun01g249500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSATATFASYTSISFLSASQSQSHLSPFLNFPHKFTVKTPWQWQHHHYHTHKLLISSSGNTQIDQNLESGLLQRPTPPDPQDDARLARRSSDWKAAKAYKDSGLIYNGRIEGYNSGGLRVRFYSILGFLPFPELSPVHTCKEPEKPIQEIARGLVGSVISAKVILADEDNKRLIFSEKEGAWSKFSKQINVGDIFEGKVGYVEDYGAFVHLRFPDGLYHLTGLIHISEVSWDLVQDVRDILKVGDQVRAKVVGIDGFRQSDGRRKHERETVRMNVIA >Vigun01g046400.2.v1.2 pep primary_assembly:ASM411807v1:1:6910354:6914454:1 gene:Vigun01g046400.v1.2 transcript:Vigun01g046400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVLIWGVVQLKCDVSSFCAANSIRQGLFSGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDVRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun06g013800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:6494696:6497954:1 gene:Vigun06g013800.v1.2 transcript:Vigun06g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMFEEMGFCNDLDTMSTVLGEEDMTARQTDPEAIVDDDFSDEEIGVDELERRMWKDKMRLKRMKEQSKSKEGIDAVKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADHAIPGRNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGNEEWWPQIGLPKDHSPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDYIPPLSSGGGSGSLVVNDGNEYDVEGGEDEPNFDVEDRKHENGHTPNLGMERMGGTLAVQQPPFSIKGEAVTNLDFIRKRKVSNEFNVMDMKIYTCEQPQCPYSQVRLGFPDRISRDNHQLICAYRGPSDFGGPNFHVNEVKPVIYPQSFVPPKSTAQSANMVPPVIDLTGLEVSEDGQKMISDLMTNYETNVQGNKNISSCNRMAAENPCLRQHGMQQQQQQQPQDNFIRGQGITMEGNIFDEPNMSNNHHIFAREEGQYERFKALNNAPFETNQNNHNFNSMFGSFCDLASFDFKEDMQGVGMDALQKQPDFSIWYQ >Vigun04g024300.1.v1.2 pep primary_assembly:ASM411807v1:4:1875420:1882511:1 gene:Vigun04g024300.v1.2 transcript:Vigun04g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNSQPELADWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAIGYLEAVIEKLQATREQRIEEPILYIKMQIAIFKLEQGDQKESKRLLEDGKTTLDSMTDIDPSVYANYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVQYQELCRVHNAALRAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIENVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQIKSLRDRLDSWTGKVHTALLSIEAETPDLIGS >Vigun03g025500.1.v1.2 pep primary_assembly:ASM411807v1:3:1893771:1900185:-1 gene:Vigun03g025500.v1.2 transcript:Vigun03g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDLLLFSLSRVLCSPIAVFFQIQGCIICLTLALGWACAAYVRNREIKQMKDCMKNGNSFSFLSHDINELEHSYQLNLPRVTVIMPLKGFGEHNLHNWRSQITSLYGGPIEFLFVVESTEDPAYHAVSRLIAEFQDHVDAKVVVAGLSTTCSQKIHNQLVGVETMHKDSKYVLFLDDDVRLHPGSIGALTREMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSGLKDGGYSDDMTLAAISGAHKRLITSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYTTNVNWIMNRALFTTHCYLSWGFVAPYIMAMVHVAAALRFYNKEFFPEEITYNSGGLLLVTILAICTLVELLSMWNLTRIEVQLCNMLSPEAPQLSLASYNWCLVFIAMLVDNFLYPVSAFRSHFSQSINWSGIRYYLKDGKISKIERTQRSKDMGPVFTDLGGKHLYGKKGMPTRGSFLSSLSRSLAQWHQPKKFDN >Vigun10g179900.1.v1.2 pep primary_assembly:ASM411807v1:10:39792802:39800015:-1 gene:Vigun10g179900.v1.2 transcript:Vigun10g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRNGANRGRGAAKQQRLQNPVVEGEAIATRTRRRRAAAAAAAVPKVNHNNENKPKQPQQHPPPEQVVVVNEHVVVAAKEEENRGLGKGVGAAGAGAGKEEVGEKEMVGHDSGGRSNDKANAGGEDDANTPEIPQKIQVGNSPYYKVERKLGKGGFGQVYVGRRCGGNLNERTGPGALEVALKLEHRTSKGCTYGPPYEWQVYNTLGGSHGVPQVHYKGRQGDYYVMVMDILGPSLWDVWNNSNSHAMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTSDEKKLFLVDLGLATKWRDSTTGSHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMGTSPEIICCFCPLPFKHFLEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLIGHKRGRLAMEEEDDDQPKKKTRIGLPASQWISVYNARRPMKQRYHYNVSDVRLSQHIEKGNEDGLYISSVASCQSVWALIMDAGTGFAGQVYELSPFFLHKEWIVEQWELGYYISAIAGAVNGSSLVVMSKGTQFVQQSYKVSDSFPFKWINKKWREGFYVTSMATSGPRWGVVMSRGAGYSDQVIELDFLYPSEGIHKRWDSGYRITSTAATWDQAAFVLSVPRRKPLDETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >Vigun05g224400.1.v1.2 pep primary_assembly:ASM411807v1:5:41687215:41688288:-1 gene:Vigun05g224400.v1.2 transcript:Vigun05g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAIIFRVSFLTILIKLALATNYIVGGPNGGWDTNSNLQSWASSQILSVGDSLVFKYLPNHDVVEVTKADYDSCQPSNPIQSYNDGATTIPLTSPGKRYFICGTMGHCSQGMKVEVNTLATAASPEDSTTSPAESPEEIPAAPSPSFQTDIESPISSPVIPSNEFPASVSPLAQHSQDVSASSTREGNLQASFIVSSFLMMFMAF >Vigun04g062400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6495518:6497980:-1 gene:Vigun04g062400.v1.2 transcript:Vigun04g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKAVIFFLLHLFSHLQAYTPVDNFTISCGSSGTSYDGQRTWTGDTGSVLLTNEDATVSAKATTTQSPSTNQVPYATARLSHSQFSYSFSFSTGGPKFLRLFFFPASYPSFPRTHASFSVHSNQFILLQDFNASLNADAENKEVIFKEYIVFVEEGQSLILIFTPSQSNSYAFINGIEVLSMPNNLYYTSANDTGFTNVGNTTPYVLATGFAMQTEYRIEVGGQEISPQNDTGLFRKWAGDDETYFVKQNPGLSAVADTKMNITVSPDYVAPEALYRTSRSMGTNATLNQISNLTWEFPVDSGFTYLLRLHFCEFEPDIKEVGDRVFFIYIASQLAERRADVMMWSEKRKGFGVYKDYAVFISKNDYQKKVNLSLQMHPYGDETKYSSAFLNGLEIFKISDPRSNNLAGPNPIETPQEKGNRRNRGRIIGITAGTVSGVVFISLVVSFVLLSTNFKWSALLFPMTKSTKYHNFSLPSDQCRRFSFVEIKAATKNFDNAFIVGDGGFGHVYKGYIDDNSVPVAIKRLRQGSQQGASEFVNEIQMLSQLRHRHLVSLIGYCCDNKEMILVYEFMGRGNLREHLYGTDNPCLSWKQRLKICIGAARGLSYLHSGAKHMIIHRDVKTTNILLDEKWVAKVSDFGLSKMGPNEMSKAHVSTAVKGSFGYLDPEYYIRQRLTEKSDVYSFGVVLFEVLCARSPLIHSEETEQVSLANWARYCCQNGIVAEIVDPILKGKIAPDCLTKFCEIGVCCLSQEGMQRPSMNDVVLMLESVLKLQESADEYETEEDIDRVFDAGEHRNSYKDNDKFMLELFSEIVDTKPR >Vigun01g017700.1.v1.2 pep primary_assembly:ASM411807v1:1:1913343:1914269:-1 gene:Vigun01g017700.v1.2 transcript:Vigun01g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRCFIVNVTLLLAFFIMSSDLCMMKAEARGPIYRLPCDNNQQCQVGCKNPNCGCSSVCIEHVCQCPHDLTSTDTVKSPHQVPPHHPQPPHQAPSPHHGSPHHQPPHHHLPHHHQHHHQAPTPQHLQHHHQAPAPHHHQHHHQAPTPQHLQHHHQAPAPHHHQHHHQAPTPQHLQHHHQAPAPHHHQHHHQAQPPHHQAPPPHHAPSN >Vigun01g108100.1.v1.2 pep primary_assembly:ASM411807v1:1:28061061:28067266:1 gene:Vigun01g108100.v1.2 transcript:Vigun01g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNANMGSLFESIGNFFTGGDQIPWCDGDVIAGCEREVAEAARGDSEDRKNESIMRLSWALVHSRQKEDVQRGIAMLETSLGNDKSPLHQREKLYLLAVGYYRSNDYGRSRQLVEQCLQIAPDWRQALALKRIVEDRIAKDGVIGIGITATALGLIVGGIATALARRG >Vigun10g106250.1.v1.2 pep primary_assembly:ASM411807v1:10:30470577:30472372:1 gene:Vigun10g106250.v1.2 transcript:Vigun10g106250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLILCLTFPLFLLFFFKYSTTSKNPSFPPGPRGLPIVGNLHQLESLRRVIVVSSPRLAKVVMKDHDLECCDRPNLLGQQKLSYNGLDIAFSPYNSYWREIRKICVIHIFSSKSVSCFSSVRNFEVKQMIKTISRHASTSEVTNLNQLLTSLNSTIICRTALGRRYEEEGTERSMFHRLFSECEAMIGGILFFSDYIPFMGWVDTLRGLRARLQRSFKEMDKFYQQVIDEHMADSHKNTPHEDDIVDVLLQLKKHYSFSIDITNDNIKAVLMENLVTLYRKLILVQRRYILRSLSGEKAFLDEDDVQKFPYLKAVIKETLRLHPPAPLLIPRETREKVMIDGYEIPAKTIIYVNGWAIQRDPEAWEDAEEFIPERFLNSSVDLRGQDFGFIPFGAGRRMCPGLHMGLASLDVILSNLLYSFDWELPEGMKSEDIDTETLPGLTQHQKNPLCVMAKSQM >Vigun03g054300.1.v1.2 pep primary_assembly:ASM411807v1:3:4426739:4433516:-1 gene:Vigun03g054300.v1.2 transcript:Vigun03g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGATGWYRGKVKAVPSGDCVVIMAMPTGKPGPLPEKSITLSSLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVAFRVDYTVPAISRDFGTVFIGDKNVAVLVVSAGWAKVREQGQQKGEASPYLAELLRLEEQAKQEGFGRWSKVPGAAEASIRNLPPSALGDSGNLDAMALLAANKGRPMEGIVEQVRDGSTLRVYLLPDFQFVQVFVAGIQAPQMGRRAVSESVVEPEVPADETNGDVPGEPRAPLTSAQRLAASAAPAETSADPFAPEAKFFTETRVLNRDVRIVLEGVDKFSNLIGSVYYPDGDSAKDLALELVENGFAKYVEWSANMMEEEAKRKLKTAELQAKKNRLRMWTNYVPPATNSKAIHDQNFTGKVVEVVSGDCIIVADDSIPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVVLADGSSVPPGVPEGRAMDFGSVFLLSTGKADGDDVPSSVSPAGSQPNGVNVAELIVGRGFGTVIRHRDFEERSNYYDALLTAESRAISGRKGIHSAKDSPVMHITDLTTASAKKAKDFLPFLHRSRKIPAVVEYVLSGHRFKLLIPKETCSIAFAFSGVRCPGRNEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMATTLLEAGFAKLQTSFGSDRIPDFLLLERAEQSARSQKLKIWENYVEGEEVSNGAPVENKQQEVLKVTVTEVLGGGKFYVHPVGDQKIASIQQQLSFLNLQEAPLIGAFNPKKGDIVLCLFGADKSWYRAMIVNGPRGPVESPNDLFEVFYIDYGNQEVVPYSQLRPLDPSVSAAPGLAQLCSLAYVKVPILEEDFGQEAAEYLSELTLNSGKEFRAKVEERDTSGGKAKGQGTGTVLAVTLVAVDSEISVNAAMLQEGLGRLEKRNRWDRKEKQQAFDNLEIFQGEARTNRRGMWQYGDIQSDDEDTAPPARKAGGRK >Vigun03g244600.1.v1.2 pep primary_assembly:ASM411807v1:3:40760744:40776198:1 gene:Vigun03g244600.v1.2 transcript:Vigun03g244600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSHSRFLLQTLLNRTQNLEKGVELDHHWVEFDDVRYHIQVSMKNPHLLLLSVSLPTPSSETIFVRGLPFGAIEAIKAAYGNLVQILDPPRDGYNLTLKFNLAKLPANPDQKHAFMVKVASIREVVLGAPLRVILQHLAARTVAPDLDPPVALVHRPNECFFLFPQADKVTVVYPMRFNDSIDIVLATSFLQEFVEARRTAGLHNTPPCSWSVTPPLEIKGVPSDALSANAGFVTFVIYPRHVEGHKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPDAENSKKTSFNRSFKRLGLKDSSTN >Vigun04g111700.1.v1.2 pep primary_assembly:ASM411807v1:4:28032897:28042972:-1 gene:Vigun04g111700.v1.2 transcript:Vigun04g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNIQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKVLVLAGKAQQEEIGDGANLTISFAGELLQGAEELIRMGLHPSEIISGYTKAINKTVQILDDLVEEGSETMDVRDKEQIVSRMKAAVASKQFGLENTICSLVADACIQVCPKNPANFNVDNIRVAKLLGGGLHNSTVVRGMVLKSDAVGTVKQAEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYSKSEEAKIEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLVVLKISSKFELRRFCRTTGSVAMLKLSQPNPDDLGYVDSVSVQEIGGVRVTIVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAKRVKDFSFGETGLDQYAIAKFAESFEMIPRTLAENAGLNAMEIISSLYAEHASGNAKVGIDLEEGVCKDVSTLGIWDLHVTKLFALKYAADAACTVLRVDQIIMAKPAGGPRRGEQPAGADED >Vigun04g169200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39356590:39357411:-1 gene:Vigun04g169200.v1.2 transcript:Vigun04g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSAPSLTLSFFFLFAITTINFTPSFSEASEKVVDSQERPVSSAAKYYVLPFFSGPEGGGVTLGQAENSACPVAVLQTTDESDRGLAVKFGTGGNTSSGDIFTEKPLDVAFVDKPSCASSSNWVVVSDDFPGIWVGIGGEGDHPGKKVVTGKFIVQKFDVGYKLVFCFDSSSNCYGIGRHDDVQGRRLVLNNKPFEFSFNYGS >Vigun02g150800.1.v1.2 pep primary_assembly:ASM411807v1:2:29789734:29792711:-1 gene:Vigun02g150800.v1.2 transcript:Vigun02g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLFPIFFLSFLGLTVTGQESIEFLNLCETSDDILQASSQADELPLAVSVSGEDLKEVSFSVLLAERWLRHNVLAHYPASNITTVVVGTTAFCHEHHQHNHLSEVLSSLKNVYHSLKRWGLEKDIKVSVAFTLDCLNKVYSTNDLKMVKPLLQFLQEVNSTYSVIPHHGFSHFSDKSLSLVSSHFESLKKLGFFYLTNINVIAIVTKGRKNTASRKLSVVDFSPIGSLPERPAPTPEIAKPPMASSNVPFPPLAQVVSSPPPILSPAFSPEEPPFSVPASSPHGFTLPPCDPLHNGSPYPQIFPVQKVWCVAKPSVPEDTLQQAMDYACGEGGADCMEITPQGNCYYPDTVVAHASYAFNSYWQKHKRNGGTCNFGGTAMLINADPSFLHCRFILS >Vigun03g339200.1.v1.2 pep primary_assembly:ASM411807v1:3:53761449:53764648:-1 gene:Vigun03g339200.v1.2 transcript:Vigun03g339200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERKEWKNVCVIGAGPSGIVVARELRREGHEVVVLEQNHDIGGQWLYDPNVEQEDDPLGRNPWLKVHSSIYQSLRLMSPREVMGFTDFPFSVKKGRDPRRFPSHREYLLYLKDFCEWFKVTEIIKFNTKVLYVGPLNYGVSCEDLKWVVRSKEKKSNEEVEQVFDAVVVATGHYSKPRLPCIQGMEKWRRKQMHSHIYRSPEPFRGEIVVVVGNSFSGQEISMELVKVVKELHLSSKSLDIYEGLSKVISKYENFHLRPQIDNLREDGSVTFTDGSCIIADTILYCTGYEYSFPFLDTKGMVVVDDNRVGPLYEHTFPPSLAPSLSLVGIPRRILGLPFFESQGKWIAQLLSGKKVLPSYQEMMKSIEEFYHSKETAGIPKRHTHEIEDFEYCDKYGENVGFPKLEEWRKELTVSSIVNYFVNLETCRDSWYDDEKLQEALQTPYFTQLQHPSF >Vigun05g062400.1.v1.2 pep primary_assembly:ASM411807v1:5:5365069:5376873:-1 gene:Vigun05g062400.v1.2 transcript:Vigun05g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLEQGLSPSAQKIVYLKVINRTLLVVSPTHFELWSTSQHRVRLGKYKRDSDSLQREGENMQAVWSPDAKLIAILTSTFFLHIFKVQFSDKRIHTGGRQPSALCLAIISLLLTEQVPFAVKDLSVSNIISDNKHMLLGLSDGTLYSISWKGEFFGASEFDPQPTNSFDYSQMPLTIENGLSPKSHPKALMFNHVIPRKSEINQLELCLPLRLLFVLYSDGQLVSCSVSKKGLKQVDCIKAEKKLSGGDAVCVSVALEQQILAVGTKRGTVELYDLAESVSLIRAVSLYDWGYSMDDTGPVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTVRQIGLSSMSSPTSKQNHDCKYEPLMGGTSLMQWDEYGYRIYAIEEGCSGRILSFSFGKCCLSRGVSGTTYIRQVIYGEDRLLIVQSEETDELKMLHLKLPVSYISQNWPVQHVAASQDGMYLAIAGLHGLILYDIRLKRWRVFGDVTQEQKIQCKGLLWLGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDHMLLTYRPFDVHIFRVKLFGELSPSGNPDLQLSAVRELSIVTAKSHPAAMRFIPDQHPRESISNNYSSVSSDSLTREPARCLILRANGELSLLDLDDGRERNLTDSVELFWVTCGQSEDKTNLIEEVSWLDYGHRGMQVWYPSPGADPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRISFSASAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISRPNVSRNQISVVKHAKSSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFAAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYDQASIDSDKLSPKFLGYFLFRSIERKQSSDKSSSFKEQSAHVTSVKNILENHASYLMAGKELSKLVAFVKGTQFGLVEYLQREKEGSARLENFASGLELISQKFQMGTLQSRLDADFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDVRLWKTYSTTMESHPAFTEYQDLLADLEQRLSSVSNVERK >Vigun07g173300.1.v1.2 pep primary_assembly:ASM411807v1:7:28855300:28857368:1 gene:Vigun07g173300.v1.2 transcript:Vigun07g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAVKGQVKGQVKGLLKGLRYISQIFDEEDEKQQIEIGFPTDVKHLAHIGAENAKASQPSWLTEFKEPSEGSSGTVAKPSVKATSEDNNSDANSKGNNSDAASKSSDAASKSSDATSKGSDATSKEGKRGRKSRARSSENQSTMSSPSRESSTEGSKPSRKSQSSDTSKKSNRQSSEDDKPPASSKPRRKSKTSSEDKEGGSVRRSSDDKEGSSRRAPRGRRQSKGGSLTDFPFSESAPQ >Vigun05g275900.1.v1.2 pep primary_assembly:ASM411807v1:5:46600466:46603307:-1 gene:Vigun05g275900.v1.2 transcript:Vigun05g275900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSYSYSPFSFSVECHPQIQMHDPILFFLFFASLSSFLFLSFSLFKRFSKKQHHTAQVPTLLPPQHAPKKKKRKPKKKRTDCQTQVEENPDSNSDSGLRLESASYYPFTSSSSFMQRKIKQQYDELVKCNHLKKLTLPQVVHFANSLVDARNELQHKADVIQRKFVITKALLCKADRSSFDRLHQQIYKLELEQKRLEEDAFVYNSLQQQLKLSPAYQKMLELGACMEKEKATGLGENRDDDFADISFEELLAREKKDSYWQKNGKSRLCSS >Vigun06g067350.1.v1.2 pep primary_assembly:ASM411807v1:6:19604071:19605033:1 gene:Vigun06g067350.v1.2 transcript:Vigun06g067350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDPVRGLYMCQRWSFFKQEQCLIIGHYDRRAGKLRNEVGCRMCKWWSAHFRSSGWRNEMGAECANGGWWAVFRNSGWRSGETLVKRISIELWSQWSQ >VigunL029000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:142280:143682:1 gene:VigunL029000.v1.2 transcript:VigunL029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDVRKITNLTLSPSILFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Vigun02g189400.1.v1.2 pep primary_assembly:ASM411807v1:2:32734658:32738143:1 gene:Vigun02g189400.v1.2 transcript:Vigun02g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKNVLKSIREKGFGTFLRELKDEGYLRCLPDGNLLQTKIHNIGATLVGVDKFGNKYYEKLGDTQYGRHRWVEYAEKTRYNASQVPPEWHGWLHFITDHTGDELLLLKPKRYGVEHKENLSGEGEQYIYHSKGHALNPGQRDWTRYQPWESKA >Vigun02g042100.1.v1.2 pep primary_assembly:ASM411807v1:2:17124374:17126594:-1 gene:Vigun02g042100.v1.2 transcript:Vigun02g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKNKGNAVGAKTARACDCCIRNRARWYCAADDAFLCQACDSSVHSANPLARRHHRVRLKIISHINSSNTLSAAKSNIGAPSWHKGFAKKPRTPRHGKHTKKSLKSAFNVVPEVCPQDTNTNSREESMDQLLYRVPATPSSEQGVSVTDERKVDLLGCESAIEIAEFSADVESLLGKGLESEFVGMEELELVGTKEVEEHASWECCVDGENVKVEDEALELGFHCGKELNFSLTCEDVKEKVVELDKNQQVLKENDQLKKKILLLQLDYEAVISAWKSQKSPWTTAHKPDLGTQQFSSHCMGTCGVEFYHPCGELSELSGCHSTMAEEGREARVLRYREKRRKRLFSKKIRYEVRKKNAEKRPRMKGRFVKRPSLPTPTSVPLLNRK >Vigun03g163800.1.v1.2 pep primary_assembly:ASM411807v1:3:18493263:18496589:-1 gene:Vigun03g163800.v1.2 transcript:Vigun03g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHQQVHHKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVMGYSDTDVAEYLYSSKPDIDSLSNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPEAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGKVLKEKESGKRDWKQVIKKRIEDTSMTLKKQANKYSSHIRHWWRGKKTTTSKKRVKTEL >Vigun06g193100.2.v1.2 pep primary_assembly:ASM411807v1:6:30992375:30998432:-1 gene:Vigun06g193100.v1.2 transcript:Vigun06g193100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITVVAFVVISCFCLASSKSELCSYTSIFSFGDSLADTGNLYLSSEPPLSNHCFFPPYGETFFHHVSGRCSDGRLIIDFIGESLGIPPLKPYLGKKNDEWNWKDGGANFAVAGATALESTFFEDRGIPVKTNYSLSVQFTWFKDLLSTLCNSSPQSCLEEVKNSLFLVGEIGGNDLNHALYIGKSIIEVKTYVPHVINAISSITKELIGLGAHTLMVPGNLPIGCGAIYLTIYETKDEKQYDKFGCLKWLNEFSKYYNHNLQIELNKLRALYPHVNIIYVDYYNAAMTLYRRPAKFGFIGFKACCGKGGSYNFNASIMCGDPSVNVK >Vigun06g193100.1.v1.2 pep primary_assembly:ASM411807v1:6:30992622:30998432:-1 gene:Vigun06g193100.v1.2 transcript:Vigun06g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITVVAFVVISCFCLASSKSELCSYTSIFSFGDSLADTGNLYLSSEPPLSNHCFFPPYGETFFHHVSGRCSDGRLIIDFIGESLGIPPLKPYLGKKNDEWNWKDGGANFAVAGATALESTFFEDRGIPVKTNYSLSVQFTWFKDLLSTLCNSSPQSCLEEVKNSLFLVGEIGGNDLNHALYIGKSIIEVKTYVPHVINAISSITKELIGLGAHTLMVPGNLPIGCGAIYLTIYETKDEKQYDKFGCLKWLNEFSKYYNHNLQIELNKLRALYPHVNIIYVDYYNAAMTLYRRPAKFGFIGFKACCGKGGSYNFNASIMCGDPSVNVCGDPSKYIGWDGIHLTEAAYKLIAQAFIEGPHSQPQFNSLCLANANFGYTRII >Vigun06g106100.1.v1.2 pep primary_assembly:ASM411807v1:6:23553584:23560816:1 gene:Vigun06g106100.v1.2 transcript:Vigun06g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIGHASDDKQKKRDSGEILSEEEKSVVTLRELFEECISDTDKSHQPPELAGGNPSPTTEFHRRRKKLLKPCKIRSIEHLPSFSPSCLSKRTKPKSKSIGKNIIQCNPHSSRTLVNFSLSDLRHATNNFSNENIIGRGGYAYVYKGSLQDGQLIAVKQLRRGTAEDRISVLLSELGILAHVDHPNNAMLIGFGVEGGMYLVFQLSPLGNLGSLLHGPNKNTLDWGKRYKIILGIADGLLYLHEICQRRIIHRDLKSENILLTENFEPQICDFGLSKWLPEPCTHHIVPKFEGTVGYFAPEYVMHGIVNEKTDIYSFGVLLLEIITGRHALDHLNDSIVLWAKPLLVANNIKDLVDPSLGDDYDWEQMERVVLTASLCVEQFPILRPSMSQIAILLRGDDYVMEHTERSNKMHKNTTQGAI >Vigun08g217500.1.v1.2 pep primary_assembly:ASM411807v1:8:37753103:37757433:-1 gene:Vigun08g217500.v1.2 transcript:Vigun08g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDKSVDQNTPSGKLLQHRASPDSDVTLSQGHDTKNDPSKPEGATSSPSAVVKNEEGKDSDATACALESDQEGSTCSLPPEKHLQSPDTLLNELPPLQSSQESPSIIREKVSKDGYNWRKYGQKHVKGNEFIRSYYKCTYPNCQAKKQLQQSNNGNITDCVCIGQHNHPRPQLNSTVSVECVFPVVEQAARKPLLANVKDKTSVEQGCTSQQIKPLQSFPTAKVSPVIELKAAHLQLSKAKNQVHDNEDPESKRQKKDSSNADSIGVNMSTRESRVVVQTSSEVDLVNDGYRWRKYGQKLVKGNANPRSYYRCSNPGCPVKKHVERASHDSKIVITTYEGQHDHELPPGRTVTHNAATNTHTTTMNGKAGTESEDTAVNRGEQSGLGSASKLSEQLNGKSTTKSKVRDMVQFSVISLSNEGPEVKLNEQQQKDNSGTKDDSVSSDVICNSSSGVPCRSNEQLKSEVKPLSEGTKAIHDNPSTESEFNKQPAADAEPVQS >Vigun08g217500.2.v1.2 pep primary_assembly:ASM411807v1:8:37753103:37757433:-1 gene:Vigun08g217500.v1.2 transcript:Vigun08g217500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDKSVDQNTPSGKLLQHRASPDSDVTLSQGHDTKNDPSKPEGATSSPSAVVKNEEGKDSDATACALESDQEGSTCSLPPEKHLQSPDTLLNELPPLQSSQESPSIIREKVSKDGYNWRKYGQKHVKGNEFIRSYYKCTYPNCQAKKQLQQSNNGNITDCVCIGQHNHPRPQLNSTVSVECVFPVVEQAARKPLLANVKDKTSVEQGCTSQQIKPLQSFPTAKVSPVIELKAAHLQLSKAKNQVHDNEDPESKRQKKDSSNADSIGVNMSTRESRVVVQTSSEVDLVNDGYRWRKYGQKLVKGNANPRSYYRCSNPGCPVKKHVERASHDSKIVITTYEGQHDHELPPGRTVTHNAATNTHTTTMNGKAGTESEDTAVNRGEQSGLGSASKLSEQLNGKSTTKSKVRDMVQFSVISLSNEGPEVKLNEQQQKDNSGTKDDSVSSDVICNSSSGVPCRSNEQLKSEVKPLSEGTKAIHDNPSTESEFNKQPAADAEPVQS >Vigun04g091832.1.v1.2 pep primary_assembly:ASM411807v1:4:17252556:17254819:-1 gene:Vigun04g091832.v1.2 transcript:Vigun04g091832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDGKAPDVFIMMQIIRCYCHAGDIDLALQTFETHINEGRPIAAELFVTLAEGAMTGYTEKGMQIAQDILVRMNERNYFVNSKLGSELLLVAAGEKVLKLHLITSLIHNRGYTTANYIWDMMRVRKINPSFPAVEAYYQGLKDREIPEDDPRLLMVSKTYDRMNRFGNRPT >Vigun09g014900.2.v1.2 pep primary_assembly:ASM411807v1:9:1102218:1106152:1 gene:Vigun09g014900.v1.2 transcript:Vigun09g014900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCKNWKLEEIKRREKEKFEVFGEKRKKREGTRFLRLDRNVSHERSLLFPSLLYHPNFQPLPFLPFLSTLILPSPFLSSLLFHHFSFSLFCNTLRFRSCILSSFTLWSDVILIVLRMEFLNQEISMHSPEGELKISFGYQCNSGRGIPCKEISRTSSFSCLSGAALSANATLANTNICNGVIGEEILPSLDSPKSFRTVPSSSSFPKLDILSSSVHSSFSNLSCSPSTPTNMLEYDSCSLKSMSAPSRTEGFLNAMDVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIVSYFNMLSCDFEPDFTKASDNVAVGESFPYNLDDDSLILQEHQSPSKFKGNNSGLDCFANITPPSKSEASPKSFSHSTVLDGLQHVLSQVENDFLCMVEQEMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCCTDNRVNGNERLKAIQLTDCHTVDNEAERARVLADHPDDPKTIVAGKVKGKLKVTRALGAGYLKKKILNDALMGILRVPDLTSPPYISTDPSLNVHKISDSDQFVIVASDGLFDFFSNDEAVQLVESYILRNPFGDSAKFLIEQLVARAADSAGHFSRSHAKFDFHCMNEFMLFYD >Vigun09g014900.1.v1.2 pep primary_assembly:ASM411807v1:9:1102218:1106152:1 gene:Vigun09g014900.v1.2 transcript:Vigun09g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCKNWKLEEIKRREKEKFEVFGEKRKKREGTRFLRLDRNVSHERSLLFPSLLYHPNFQPLPFLPFLSTLILPSPFLSSLLFHHFSFSLFCNTLRFRSCILSSFTLWSDVILIVLRMEFLNQEISMHSPEGELKISFGYQCNSGRGIPCKEISRTSSFSCLSGAALSANATLANTNICNGVIGEEILPSLDSPKSFRTVPSSSSFPKLDILSSSVHSSFSNLSCSPSTPTNMLEYDSCSLKSMSAPSRTEGFLNAMDVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIVSYFNMLSCDFEPDFTKASDNVAVGESFPYNLDDDSLILQEHQSPSKFKGNNSGLDCFANITPPSKSEASPKSFSHSTVLDGLQHVLSQVENDFLCMVEQEMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCCTDNRVNGNERLKAIQLTDCHTVDNEAERARVLADHPDDPKTIVAGKVKGKLKVTRALGAGYLKKKILNDALMGILRVPDLTSPPYISTDPSLNVHKISDSDQFVIVASDGLFDFFSNDEAVQLVESYILRNPFGDSAKFLIEQLVARAADSAGFSMEELMNVPDGRRRKYHDDVTVMVIFLGMNQRTSKASICT >Vigun09g014900.4.v1.2 pep primary_assembly:ASM411807v1:9:1102221:1106152:1 gene:Vigun09g014900.v1.2 transcript:Vigun09g014900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPEGELKISFGYQCNSGRGIPCKEISRTSSFSCLSGAALSANATLANTNICNGVIGEEILPSLDSPKSFRTVPSSSSFPKLDILSSSVHSSFSNLSCSPSTPTNMLEYDSCSLKSMSAPSRTEGFLNAMDVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIVSYFNMLSCDFEPDFTKASDNVAVGESFPYNLDDDSLILQEHQSPSKFKGNNSGLDCFANITPPSKSEASPKSFSHSTVLDGLQHVLSQVENDFLCMVEQEMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCCTDNRVNGNERLKAIQLTDCHTVDNEAERARVLADHPDDPKTIVAGKVKGKLKVTRALGAGYLKKKILNDALMGILRVPDLTSPPYISTDPSLNVHKISDSDQFVIVASDGLFDFFSNDEAVQLVESYILRNPFGDSAKFLIEQLVARAADSAGFSMEELMNVPDGRRRKYHDDVTVMVIFLGMNQRTSKASICT >Vigun09g014900.3.v1.2 pep primary_assembly:ASM411807v1:9:1102625:1106152:1 gene:Vigun09g014900.v1.2 transcript:Vigun09g014900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNQEISMHSPEGELKISFGYQCNSGRGIPCKEISRTSSFSCLSGAALSANATLANTNICNGVIGEEILPSLDSPKSFRTVPSSSSFPKLDILSSSVHSSFSNLSCSPSTPTNMLEYDSCSLKSMSAPSRTEGFLNAMDVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIVSYFNMLSCDFEPDFTKASDNVAVGESFPYNLDDDSLILQEHQSPSKFKGNNSGLDCFANITPPSKSEASPKSFSHSTVLDGLQHVLSQVENDFLCMVEQEMEERPDLVSIGSCVLLVLLHGNDLYTLNLGDSRAVLATCCTDNRVNGNERLKAIQLTDCHTVDNEAERARVLADHPDDPKTIVAGKVKGKLKVTRALGAGYLKKKILNDALMGILRVPDLTSPPYISTDPSLNVHKISDSDQFVIVASDGLFDFFSNDEAVQLVESYILRNPFGDSAKFLIEQLVARAADSAGFSMEELMNVPDGRRRKYHDDVTVMVIFLGMNQRTSKASICT >Vigun09g227700.1.v1.2 pep primary_assembly:ASM411807v1:9:39960355:39963649:1 gene:Vigun09g227700.v1.2 transcript:Vigun09g227700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPKINEGNHSEDDENIIINPHFDDGLNNWSGRGCKIAVHDSVGDGKILPKSGKFFASATERTQSWNGIQQEITGRVQRKLAYEVTALVRIFGNNVTTSDVRTTLWVQEQDLREQYIGIANVQATDKDWVQMQGKFLLNGSPSKVVVYLEGPSPGTDILVNNLVVKHAAKTPPSTPPDCEIAAFGVNIIENSNLDDGTNGWFPLGSCTLSVGSGSPRIIPSMARESLGPHESLSGHYIHVTNRTQIWMGPTQIITEKLKLFLTYQVSAWVRIGSGSNGPQNVNVALNVDNQWVNVGQVEIADGRWHEIGGSFRIEKQASKVMVSIQGPASGVDLMIAGLQIFAVDRQARFKYLRRQTEKIRKRDITLKFSGLDSIGNLGTLVRVRQIQNDFPIGTCISRSNIDNEDFVDFFVKHFNWAVFGNELKWFWTEPQQGKLNYKDADEMLDLCQKNKIDTRGHCIFWEVDSTVQQWIKSLNKTDLMKAVQNRMNDLLTRYNGKFRHYDVNNEMLHGSFYQDKLGKDIRANMFKTAHQLDPSATLFVNDYHVEDGCDTRSSPEKYIQHILDLQQQGAPVGGIGIQGHIDSPVGPIVCSALDKLGTLGIPIWFTELDVSSINEYVRADDLEVMLREAMAHAAIDGVMLWGFWELFMSRENSHLVSAEGELNEAGKRFLTLKQEWLSHSHGYADAQGEFSFRGFSGTYNVEVVTLAKKVSKTFVVDKGDSSIVVSIDL >Vigun06g221600.1.v1.2 pep primary_assembly:ASM411807v1:6:33095245:33096323:1 gene:Vigun06g221600.v1.2 transcript:Vigun06g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKNSPPMSVPQFGGWDQNEAGAYDYSVVFTQARATKKHQKTNITEIKSINLGSEGGFSNSNDARHHHHHHHHFHHFRSHFQSHGHGHEDSSVMGKRNMLSCINRCIKP >Vigun03g117600.1.v1.2 pep primary_assembly:ASM411807v1:3:10918977:10922300:-1 gene:Vigun03g117600.v1.2 transcript:Vigun03g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQARICGENWWSSTIDSARSVFPVTSSSIASSPCSVAAHNDAGIYSTRQTDLKATKCCVEETNNLLSNTTYLGFSDAHKPPKSESASGSSGSGSLLIDSTIQMMGFGLTSSTSENWNQPLLVRCNNGRPESNFDSVLQEETGIDSSNSQIRRDWTPKSFSSAGGGDQQIDNFKPLNQEFSVDYQSLSSLTSNGLSSNRDFAIGSASYGNPSTLQSLYEPDPHPQLPQHSLFTNRSMSYSSKASYGTPCTELAPTWSKVSTFLKPTTTAKQHPIGLHFSNNTTFWNASADALNDIRAGTFTSSQSQYQTPTFDPEKHNFPSTPLNKLNSEETIDSATMARKDACEPALKRPRIETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTSYMKNGAPIQVQQECDDLKESEGAHEDLKSRGLCLVPISSTFPVATESSSSGELWTPTFRGALLR >Vigun01g019350.1.v1.2 pep primary_assembly:ASM411807v1:1:2071412:2072616:1 gene:Vigun01g019350.v1.2 transcript:Vigun01g019350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRYVVNYCKVFSGEEIPLKREFSRQISPYKKTRRRRKEEKEGEEEKEEEKKEKNKKKKEKEKKKEKKKEKKEKEKEKEKEKKKKKKKKKKKEEEKKKKEKKEKEKKEKKKKKEKEEKKKKKKRKRKKKKKRNKEKMKEKKEKKKRTTTTTVAATAAVVAAATTTTKKKRKKN >Vigun03g371800.1.v1.2 pep primary_assembly:ASM411807v1:3:57461679:57465141:1 gene:Vigun03g371800.v1.2 transcript:Vigun03g371800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPLARDLCIYVCICILLIAHQSSCFYLPGVAPEDFWKGDPLRVKVNKLSSTKTQLPYSYYSLPYCRPKRIVDSAENLGEVLRGDRIENSPYVFKMREPQLCNAVCRIILDDKTAQEFREMIDDEYRVNMILDNLPLVVPIRRLDQESPMVYLHGFLVGLKGQYSGIKEEKYFIHNHLAFVVKYHTDQQLGLSRIVGFEVKPFSVKHDYEGEWNENKTRLTTCDPHAKKLVTSSESPQEIKSKKEIIFSYDVEFEASDVKWAYRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPLNSDLLCIYVGTGVQFFGMILVTMMFAALGFLSPSNRGGLMTAMLLLWVLMGLFAGYTSGRLYKLFKGTDWKKIAFGTAFVFPATAFTAFFVLNALIWGQRSSGAVPFQTMFALLLLWFGISFPLVFVGGFVGFNKKPPIEDPVKTNKIARQIPVQPWYMNSLCSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYNWWWRSYLTSGSSAFYLFLYTVFYFFTKLEITKPISGILYFGYMMLLSYAFFVLTGTIGFSACFWFTRLIYASVKID >Vigun03g084100.1.v1.2 pep primary_assembly:ASM411807v1:3:6982853:6986353:-1 gene:Vigun03g084100.v1.2 transcript:Vigun03g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSQLHLHFGLFIILFNLHLHHLFIAVSAATLSITTDTQALISFKSQLSNHTLIPLSSWNQSSSPCNWTGVQCDNLGQRVTALKLSGLGLSGQLSPYIGNLSSLHSLQLQNNQLTGPIPHQIGNLLSLRVLNISSNMLEGKLPSNITLLNQLQILDLSSNNILSKIPQDIGSLKNLEVLKLGKNSLYGAIPASLGNISSLKNISFGTNFLTGGIPSDLGRLHDLIELDLILNNLNGTVPPSVYNLSSLVNFALASNSLGGDIPQDVGLRFPKLIVFNICFNYFTGGIPGSLHNLTNIQVIRMASNFLEGTVPPGLGNLPFLRMYNIGYNRIVSSGVRGLDFITSLTNSTRLNFLAIDGNMLEGVIPETIGNLSKDLSTLYMGQNRFNGSIPRSIGLLSGLKLLNISYNSISGEIPHELGQLTELQELSLAGNELSGVIPDSLGNLLKLNLIDFSRNKLVGTIPTSFGNLQSLLYMDLSSNQLNGSIPTEILNLPTLSNVLNLSMNSLGGPIPQIGRLSGVASIDFSSNELDGGIPSSFSNCLSLENLFLNRNQLSGSIPKALGEVRALETLDLSSNQLSGTIPVELQNLQVLRLLNLSYNDLEGAIPSGGVFQNLSAVHLEGNGNLCLQSPCVNRGEGRKVRRYIIVAIAVALVLCLTIGSILYIKSRKVKVGSLSSSSLSTSEQLKPLAPMISYDELRLATEEFNQENLLGVGSFGSVYKGNLSHGTTVAVKVLDILRTGSLKSFFAECEAMKNSRHRNLVKLITSCSSVDFKNNDFRALVYEYLCNGSLEDWIKGKRKHANGNGLNLMERLNIAIDVACALDYLHNDSEIPVVHCDLKPSNILLDADMTAKVGDFGLARLLVQRSTNQVSISSTHALRGSIGYIAPEYGWGEKASAAGDVYSFGIVLLELFSGKSPTDECFSGGISIRRWMHSALKEKTMEAIDPELVSLILHDDPSEGPNNLQLYCVDAIVGVAICCTADNPDERIGIRDAVSQLKASRDSLLNLSDHTSAAHANSYLNVSIK >Vigun07g024800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2238841:2241075:1 gene:Vigun07g024800.v1.2 transcript:Vigun07g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPWLVKYQYAATLFVTIALSKVTCFYFNFPTFEPENQSNLWLHDNSKIFLDAIQVTPDIRGSMENYSGRVIYRKPYRLWKQKKNHNQMASFNTTFVLRITPETTPGGEGLAFILTSDMTLPLHSEGEWLGIVNATSNGTSQAAILALEFDTRHSFTEDGPDNHVGININSINSIQQVSLTNNRLNLSSGLDVKFHIQYINDTISVSGAMSGTSEESMETLLVTPPLNLSSFLQQEVYLGFSASTSNYTQRNCVRSWEFSGDDIRDDDKSLLWVYVAVPTVVVLIIIGGLVIFLLRRQKIRHMEWPEDAYPRIEDQIQYSSMAPKKFRLREITKATGGFSLQNKLGQGGFGTVYKGLLENKEVAVKRVSKNSRQGKKEFVAEVTTIGSLHHRNLVKLTGWCYENRELLLVYEFMPKGSLDKYLFGDKDSGNNYNLEGGYSITLNWETRLGVIHGVAQALDYLHDGCEKRVLHRDIKASNIMLDSDYNAKLGDFGLARTIQKRDETHHSTKGIAGTPGYMAPETFLIGRATVETDVYAFGVLVLEVVCGKRPGNVYARDDYKNSIVYWVWDLYGQGKVVGGVDARLKKEEIKEEEVECVLVLGLACCHPNPHKRPSMRTVLQVLNGEASPPQVPKERPAFMWPAMPPYFKDAEDSSLIQATLTPFTFTEIIGR >Vigun01g047600.1.v1.2 pep primary_assembly:ASM411807v1:1:7106993:7111846:-1 gene:Vigun01g047600.v1.2 transcript:Vigun01g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVRIVFAVFVLATQVIGVPAIPPWKKLHVPWKNHHSKEKFVNKRQAFAIDTTFNLPASVKNAWPPGGNFASGIIDLGGLQVYEASTSASTLTKVWGVYEGGDDGKGFSVYEPTGIPQGFHMLGSYSQPNNKPLFGWSLVAKDVSANTNNPTLKKPVNYTLVWNTGSLKIDQDGPIYVWLPIAPDGYKAVGHVVTNTTDQPSLDRVMCVRSDFTDQCETNNLIWGLDTFNVFDVRPNNRGIQAPGVRVGTFAAQTGTPNPPSIACLKNNNPIPKYMPNLEQIKAILQVYSPVMYLHPDENYFPSSVEWFFTNGAVLYKKGQMNPEMIKPNGSNLPQDPHNDGAYYIGLPSDAAAQEKVKKGDLKSAISYVHVKPMFGGTFTDVAIWTFYPFNGPARAKVEFLTLNLGKIGEHVGDWEHVTLRVSNFNGELKQVYFSQHSKGMWLDSSEIEYQSGNKPVYYSSLHGHASYPHAGLHLVGQNDVGIRNDTDKGDSVLDLGASQLVSAEYLGSEVVEPPWLNYYREWGPKIDYNIDEEVKKLEKILPGRLKSTLENIVKKLPSEVLGEEGPTGPKVKDNWNGDER >Vigun05g215000.3.v1.2 pep primary_assembly:ASM411807v1:5:40698661:40701231:-1 gene:Vigun05g215000.v1.2 transcript:Vigun05g215000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNEDKGKRIIIAVSTLFLVAIVGTIIFGINLIQNGSNSNSNGNGDNKEIVSTKNEHVAATVKAVESLCHPTNYKEECEENLIAGAGNSTTTNPYELIKLAFNITIEKISDKLAETKVLHDIEKDPRAKMALETCKQLMDLSVWELERAFSGMSELNLFTVDKLLMNLKVWLSGALTYQDTCLDEFENTTSDAGKKMQDLLTTGMHMTSNGLGIITALYDTFTNLTATKVLGRRLFQDSESPSSVGNRGGLNATLLLNSKPNVTVAKDGSGDFRTINEALQKVPKKNKTPFVIYIKEGIYQEYVEVTKKMSHVVFIGDGGKKTRITGNKNYMDGVTTYRSATVAIQGDYFVGMNMGFENSAGPEKHQAVALRVQADKSVFYNCSMDGYQDTLYVHAMRQYYRDCTISGTIDFVFGNALVVFQNCTFMVRKPMEHQQCIVTAQGRKEKQQPSGIVIQGGSIVSDPEFHPVRFNNKAYLARPWKSYSRTIFMDTYIDDLIQGEGYLPWQGLDGPTGMDTCFYAEYHNTGPGSDKSKRVNWNGILNLNSKAARLFSPSKFFHGLDWVRATGVPCFPGVPPHYRHNKTTFIW >Vigun05g215000.1.v1.2 pep primary_assembly:ASM411807v1:5:40698604:40701337:-1 gene:Vigun05g215000.v1.2 transcript:Vigun05g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNEDKGKRIIIAVSTLFLVAIVGTIIFGINLIQNGSNSNSNGNGDNKEIVSTKNEHVAATVKAVESLCHPTNYKEECEENLIAGAGNSTTTNPYELIKLAFNITIEKISDKLAETKVLHDIEKDPRAKMALETCKQLMDLSVWELERAFSGMSELNLFTVDKLLMNLKVWLSGALTYQDTCLDEFENTTSDAGKKMQDLLTTGMHMTSNGLGIITALYDTFTNLTATKVLGRRLFQDSESPSSVGNRGGLNATLLLNSKPNVTVAKDGSGDFRTINEALQKVPKKNKTPFVIYIKEGIYQEYVEVTKKMSHVVFIGDGGKKTRITGNKNYMDGVTTYRSATVAIQGDYFVGMNMGFENSAGPEKHQAVALRVQADKSVFYNCSMDGYQDTLYVHAMRQYYRDCTISGTIDFVFGNALVVFQNCTFMVRKPMEHQQCIVTAQGRKEKQQPSGIVIQGGSIVSDPEFHPVRFNNKAYLARPWKSYSRTIFMDTYIDDLIQGEGYLPWQGLDGPTGMDTCFYAEYHNTGPGSDKSKRVNWNGILNLNSKAARLFSPSKFFHGLDWVRATGVPCFPGVPPHYRHNKTTFIW >Vigun05g215000.2.v1.2 pep primary_assembly:ASM411807v1:5:40698604:40701337:-1 gene:Vigun05g215000.v1.2 transcript:Vigun05g215000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNEDKGKRIIIAVSTLFLVAIVGTIIFGINLIQNGSNSNSNGNGDNKEIVSTKNEHVAATVKAVESLCHPTNYKEECEENLIAGAGNSTTTNPYELIKLAFNITIEKISDKLAETKVLHDIEKDPRAKMALETCKQLMDLSVWELERAFSGMSELNLFTVDKLLMNLKVWLSGALTYQDTCLDEFENTTSDAGKKMQDLLTTGMHMTSNGLGIITALYDTFTNLTATKVLGRRLFQDSESPSSVGNRGGLNATLLLNSKPNVTVAKDGSGDFRTINEALQKVPKKNKTPFVIYIKEGIYQEYVEVTKKMSHVVFIGDGGKKTRITGNKNYMDGVTTYRSATVAIQGDYFVGMNMGFENSAGPEKHQAVALRVQADKSVFYNCSMDGYQDTLYVHAMRQYYRDCTISGTIDFVFGNALVVFQNCTFMVRKPMEHQQCIVTAQGRKEKQQPSGIVIQGGSIVSDPEFHPVRFNNKAYLARPWKSYSRTIFMDTYIDDLIQGEGYLPWQGLDGPTGMDTCFYAEYHNTGPGSDKSKRVNWNGILNLNSKAARLFSPSKFFHGLDWVRATGVPCFPGVPPHYRHNKTTFIW >Vigun03g339300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53771149:53773974:1 gene:Vigun03g339300.v1.2 transcript:Vigun03g339300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSLNQLESEKIEPEDVEEKANLDEILTVKKVPPKIVSRYLGGTKSSCHDVCKYGIPQVVEAKPWILTLRRVTTKEWKPKVSEENVNTLAWRKKSRPSQTPKIERTNSAVDIKEVVTYEETVTSEKNSPPFEETDVPSEHNNIKQEQECSKSETKGEVVKNKSPGSNSRSKQTRKPSTGGKKRSTTSTSLALSSKRSGKKPRSISSNGPKNMTRESSMKLPENVEAKPELASDDNVPEKILHVAEPVSANSSEDPTVACDDETKLSEPSLSSPGDRTLNHTNKKNCKSPASSVSSRKGLRSVAGNKGKVITQLKRRSLPLSPSPPSSSSSSIFTSKSFPVKQNNAVSRFNKRRYDHHQGEDVKMGYRIRPKMSTKVGFANKNGVASRKLNFRRGRVIELQPQMNNVPRRLKFRPARILDDDMRRDINGARKSMIEDNKVGGGEVNAANTKAEKLRGKLRNVEGSKRRIIVGRKVGGDKSKIGGSKSGSDENVVLKHQDVEGKKQNPRLYNNVIEETASILTEQRKSKVKALVGAFETVISLDSHKDATAAEVSTS >Vigun01g097450.1.v1.2 pep primary_assembly:ASM411807v1:1:26369077:26370361:-1 gene:Vigun01g097450.v1.2 transcript:Vigun01g097450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARLPTTEPSKGKRRRRATSSSTERPISNHFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKEFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLEPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTRNPLRILRGDIKTLAQVWTTFLLANIVPIGHVYEVNTRNDKAKGALGFPALITTLCQDQGVEVELTEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQPAEDQPAMEEQQTGPTHQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQDVRGPYPGMTPPEFFTYLQWLGDSPIFPGGSGPTAGEGPSGAADADGADIKDEIDFGGD >Vigun06g096700.1.v1.2 pep primary_assembly:ASM411807v1:6:22802786:22806626:1 gene:Vigun06g096700.v1.2 transcript:Vigun06g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAPVSLPPGFRFHPTDEELVSYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQSCAIGMKKTLVYYRGRAPHGCRTGWVMHEYRLDETQCETNTGLQDAYALCRVFKKTAVIPPKVGDQHYVNVTNQIIASDQSSSINELYSEGRGEVLESSNYFMSLDTCPSSNINVNTFNNINGGRRDNETTWSHFLSEDLLNLPTTSTSSFPNYGSMSYPPSKVDVALECARMQHRFSMPPLQMQDLEFPQVGISKLKMAQASSSMSGTRNETDILQEILSVAHASQELINQSNYSSQPFMNANENFAPHESDFTFMVGTNYNHVNDMNTMGFVDKAWEDQNTRSIEIGDLDDGFKTENLRWMGMSSKNVGKSFIEEQQNIVPIDDISNFQTNTEGNEMKGFEKHSCNKEITDTEIDDFSMGFMNDEDPNENLLNEENIDYSNSTNFDVVEETKTNHGMFVSTHQVADTFFHQVAPSQTLKVQLNPVMAGNQSIENATVSHGASFFRKFKAYATGKLRKPSNTIASAVEFIFALLLMHCVLLKEFVEDWKSDLKHGGSVYTMKRMREASETVTRNEEEKVWLVGMKYGKGFSMILKKISIFLTISLALFTMWANHIIVDS >Vigun06g096700.2.v1.2 pep primary_assembly:ASM411807v1:6:22802786:22806626:1 gene:Vigun06g096700.v1.2 transcript:Vigun06g096700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAPVSLPPGFRFHPTDEELVSYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQSCAIGMKKTLVYYRGRAPHGCRTGWVMHEYRLDETQCETNTGLQDAYALCRVFKKTAVIPPKVGDQHYVNVTNQIIASDQSSSINELYSEGRGEVLESSNYFMSLDTCPSSNINVNTFNNINGGRRDNETTWSHFLSEDLLNLPTTSTSSFPNYGSMSYPPSKVDVALECARMQHRFSMPPLQMQDLEFPQVGISKLKMAQASSSMSGTRNETDILQEILSVAHASQELINQSNYSSQPFMNANENFAPHESDFTFMVGTNYNHVNDMNTMGFVDKAWEDQNTRSIEIGDLDDGFKTENLRWMGMSSKNVGKSFIEEQQNIVPIDDISNFQTNTEGNEMKGFEKHSCNKEITDTEIDDFSMGFMNDEDPNENLLNEENIDYSNSTNFDVVEETKTNHGMFVSTHQVADTFFHQVAPSQTLKVQLNPVMAGNQSIENATVSHGASFFRKFKAYATGKLRKPSNTIASAVEFIFALLLMHCVLLKEFVEDWKSDLKHGGSVYTMKRMREASETVTRNEEEKVWLVGMKYGKGFSMILKKISIFLTISLALFTMWANHIIVDS >Vigun06g096700.3.v1.2 pep primary_assembly:ASM411807v1:6:22802786:22806626:1 gene:Vigun06g096700.v1.2 transcript:Vigun06g096700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLVYYRGRAPHGCRTGWVMHEYRLDETQCETNTGLQDAYALCRVFKKTAVIPPKVGDQHYVNVTNQIIASDQSSSINELYSEGRGEVLESSNYFMSLDTCPSSNINVNTFNNINGGRRDNETTWSHFLSEDLLNLPTTSTSSFPNYGSMSYPPSKVDVALECARMQHRFSMPPLQMQDLEFPQVGISKLKMAQASSSMSGTRNETDILQEILSVAHASQELINQSNYSSQPFMNANENFAPHESDFTFMVGTNYNHVNDMNTMGFVDKAWEDQNTRSIEIGDLDDGFKTENLRWMGMSSKNVGKSFIEEQQNIVPIDDISNFQTNTEGNEMKGFEKHSCNKEITDTEIDDFSMGFMNDEDPNENLLNEENIDYSNSTNFDVVEETKTNHGMFVSTHQVADTFFHQVAPSQTLKVQLNPVMAGNQSIENATVSHGASFFRKFKAYATGKLRKPSNTIASAVEFIFALLLMHCVLLKEFVEDWKSDLKHGGSVYTMKRMREASETVTRNEEEKVWLVGMKYGKGFSMILKKISIFLTISLALFTMWANHIIVDS >Vigun01g081200.2.v1.2 pep primary_assembly:ASM411807v1:1:22868257:22871855:1 gene:Vigun01g081200.v1.2 transcript:Vigun01g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAMKKASPVTLDHVLLASQETKEAREARIRGLFEFFDRENRGFLDYALIEAGLSALQIPSEYKYARDLLNACDANHDGRVDFQEFRRYMDDKELEIYRIFQAIDVAHNGCILPEELWEALVKAGIKIDDEELARFVEHVDKDNNGVITFQEWRDFLLLYPHEATMENIYHYLERLCMVDIGEQSVIPAGISKHFHASRYLIAGGVAGATSRTATAPLDRLKVVMQVQTTRAHIMPAIKAIWKEGGLLGFFRGNGLNVLKVAPESAIRFYSYEMLKTFIVRAKGEETQANIGAKGRLLAGGIAGAIAQTVIYPMDLVKTRLQTYACESRRIPSLGTLSKDIWLQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDMSKQYIIRDGEPGPLVQLGCGTVSGAVGATCVYPLQVVRTRMQAQRSYKGMGDVFRKTYKNEGIRGFYKGIFPNLLKVVPSASITYMVYESMKKSLHLE >Vigun01g081200.1.v1.2 pep primary_assembly:ASM411807v1:1:22868233:22871930:1 gene:Vigun01g081200.v1.2 transcript:Vigun01g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPVTLDHVLLASQETKEAREARIRGLFEFFDRENRGFLDYALIEAGLSALQIPSEYKYARDLLNACDANHDGRVDFQEFRRYMDDKELEIYRIFQAIDVAHNGCILPEELWEALVKAGIKIDDEELARFVEHVDKDNNGVITFQEWRDFLLLYPHEATMENIYHYLERLCMVDIGEQSVIPAGISKHFHASRYLIAGGVAGATSRTATAPLDRLKVVMQVQTTRAHIMPAIKAIWKEGGLLGFFRGNGLNVLKVAPESAIRFYSYEMLKTFIVRAKGEETQANIGAKGRLLAGGIAGAIAQTVIYPMDLVKTRLQTYACESRRIPSLGTLSKDIWLQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDMSKQYIIRDGEPGPLVQLGCGTVSGAVGATCVYPLQVVRTRMQAQRSYKGMGDVFRKTYKNEGIRGFYKGIFPNLLKVVPSASITYMVYESMKKSLHLE >Vigun08g187000.1.v1.2 pep primary_assembly:ASM411807v1:8:35612500:35613208:-1 gene:Vigun08g187000.v1.2 transcript:Vigun08g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSTSIFSLAIVLLVIVAAMKPVNGFSCVQAKLQLLTCLSFLTTNQKSPSSACCNGVRNVRASAPTKPELREACVCLKSTANETLNLNKNKAVQLPKLCNVDIGFPISKDIDCNTISL >Vigun03g244000.2.v1.2 pep primary_assembly:ASM411807v1:3:40655272:40658760:-1 gene:Vigun03g244000.v1.2 transcript:Vigun03g244000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLGKSDSPKKGWMPETKLEAKMVEAMQRRESHGCSVKSFNTIILKFPKIDESLRKCKAIFEQFDEDSNGTIDQEELKKSFSKLEISFTEEEINDLFEACDINEDMGMKFNEFIVLLCLVYLLKDDPAALHAKSQIGIPNLEATFETLVDTFVFLDKNKDGYVSKNEMVQAINETTTGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTSWVGIEDEENEEA >Vigun03g244000.1.v1.2 pep primary_assembly:ASM411807v1:3:40655272:40658760:-1 gene:Vigun03g244000.v1.2 transcript:Vigun03g244000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLGKSDSPKKGWMPETKLEAKMVEAMQRRESHGCSVKSFNTIILKFPKIDESLRKCKAIFEQFDEDSNGTIDQEELKKSFSKLEISFTEEEINDLFEACDINEDMGMKFNEFIVLLCLVYLLKDDPAALHAKSQIGIPNLEATFETLVDTFVFLDKNKDGYVSKNEMVQAINETTTGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTSWVGIEDEENEEA >VigunL038100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:319576:319797:1 gene:VigunL038100.v1.2 transcript:VigunL038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDNSRSGPRRTVVGNLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISIN >Vigun03g107700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9609550:9610753:-1 gene:Vigun03g107700.v1.2 transcript:Vigun03g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSPRRYQEHRGGVNLLPSPRHSHSSNSSTSSSSSNGLHHTQFTPLTPSAPSPTSYPPKPLTRSESANPYPTTFVQADSSSFKQVVQMLTGSSETAKQASSASASKANSEAAARPHSHIPPMKKQSGFKLYERRNTLKNLNINPLLPVFSNTVSGFSPRNTEVLSPSILDFPALVLSPVTPLIPDPFHRSRSIDTQAEDKAIQEKGFFLHPSPASTPRDAEPPRLLPLFPTTSPGSSSS >Vigun10g148400.1.v1.2 pep primary_assembly:ASM411807v1:10:36682027:36685163:-1 gene:Vigun10g148400.v1.2 transcript:Vigun10g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFAGNLEYDTRQSELERLFSKYGRIDRVDMKSGFAFVYYEDERDAEEAIRALDNVPFGHEKRRLSVEWARGERGRHRDGSKANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGNVLHVRIRRNFAFVQFETQEDATKALECTNMSKILDRVVSVEYALRDDGERGDNYDSPRRGGGGYDRSPSPVYHRRPSPDYGRPRSPVYDRYNGGGPDRRRSPDYGRHRSPDYGRRRSPDYGRRRSPDYGKPRSPDYVKPRSPDFGKPRSPEFGRYRSRSPVRRSRT >Vigun10g148400.5.v1.2 pep primary_assembly:ASM411807v1:10:36681985:36685163:-1 gene:Vigun10g148400.v1.2 transcript:Vigun10g148400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFAGNLEYDTRQSELERLFSKYGRIDRVDMKSGFAFVYYEDERDAEEAIRALDNVPFGHEKRRLSVEWARGERGRHRDGSKANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGNVLHVRIRRNFAFVQFETQEDATKALECTNMSKILDRVVSVEYALRDDGERGDNYDSPRRGGGGYDRSPSPVYHRRPSPDYGRPRSPVYDRYNGGGPDRRRSPDYGRHRSPDYGRRRSPDYGRRRSPDYGKPRSPDYVKPRSPDFGKPRSPEFGRYRSRSPVRRSRT >Vigun10g148400.2.v1.2 pep primary_assembly:ASM411807v1:10:36682027:36685163:-1 gene:Vigun10g148400.v1.2 transcript:Vigun10g148400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLIQRLYSFMCISPHLYSGFAFVYYEDERDAEEAIRALDNVPFGHEKRRLSVEWARGERGRHRDGSKANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGNVLHVRIRRNFAFVQFETQEDATKALECTNMSKILDRVVSVEYALRDDGERGDNYDSPRRGGGGYDRSPSPVYHRRPSPDYGRPRSPVYDRYNGGGPDRRRSPDYGRHRSPDYGRRRSPDYGRRRSPDYGKPRSPDYVKPRSPDFGKPRSPEFGRYRSRSPVRRSRT >Vigun10g148400.4.v1.2 pep primary_assembly:ASM411807v1:10:36682027:36685163:-1 gene:Vigun10g148400.v1.2 transcript:Vigun10g148400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILVFVAGFAFVYYEDERDAEEAIRALDNVPFGHEKRRLSVEWARGERGRHRDGSKANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGNVLHVRIRRNFAFVQFETQEDATKALECTNMSKILDRVVSVEYALRDDGERGDNYDSPRRGGGGYDRSPSPVYHRRPSPDYGRPRSPVYDRYNGGGPDRRRSPDYGRHRSPDYGRRRSPDYGRRRSPDYGKPRSPDYVKPRSPDFGKPRSPEFGRYRSRSPVRRSRT >Vigun10g148400.3.v1.2 pep primary_assembly:ASM411807v1:10:36681985:36685163:-1 gene:Vigun10g148400.v1.2 transcript:Vigun10g148400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLIQRLYSFMCISPHLYSGFAFVYYEDERDAEEAIRALDNVPFGHEKRRLSVEWARGERGRHRDGSKANQRPTKTLFVINFDPIRTRVRDIEKHFEPYGNVLHVRIRRNFAFVQFETQEDATKALECTNMSKILDRVVSVEYALRDDGERGDNYDSPRRGGGGYDRSPSPVYHRRPSPDYGRPRSPVYDRYNGGGPDRRRSPDYGRHRSPDYGRRRSPDYGRRRSPDYGKPRSPDYVKPRSPDFGKPRSPEFGRYRSRSPVRRSRT >Vigun04g105200.1.v1.2 pep primary_assembly:ASM411807v1:4:25137538:25139906:-1 gene:Vigun04g105200.v1.2 transcript:Vigun04g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNHQNTTFFPIPHGQITTSKFINTQDPSQATNQGNADIRKKHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAMAYDVAALKFKGSKAKLNFPERVAVPPSPLPPATTTTTSSASAQPSSFTPPPPPPHVGGDHQTSQTSEEAEGFPGLMEYARLLKCRDDDDFQRVALGLYQHHPSEDVIYGSSQSPPVPFFLSSSSSSAFPSSTSQGDYGSSGFYSHGGSGFDEGNKRGS >Vigun10g006700.2.v1.2 pep primary_assembly:ASM411807v1:10:650095:652555:-1 gene:Vigun10g006700.v1.2 transcript:Vigun10g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTFTAIPENKPQIQHIVNIPEQIEPEMHDQCCIYKVPHHLHKLNVEAYTPYFISIGPLHSEKPELKQEKLKQREKIGNSYSEPELHKKENFVDMILLDSVFIMELFLRRTNKSEQKNDVMFTTSWICKMTQRDLSLLENQLPMFVLEELHKRVILGDSDTKENCLKFTELALNYFEDYYPHKSIQKVEMIKNCESCLNFTDLIRYTYLPRQIQVNMNSSHFTPCAVESVLRTATKLNEAGVSFESVEGRSYLDVKFEKSPILSWFLCFGCLPFSECFKSRLQIPHLKVDQVTECILRNLIALEQCHYSDQPFICNYVNLIDSLIHTQDDVELLVDSEIIVHELGSHNELATMINCLCRHVVVTSNYYGRITRELNEHYNCRWKHYMGMLKSVYFRDPWRFSSTIVGTAVFLFAILNFLRVIGVLRPKY >Vigun10g006700.3.v1.2 pep primary_assembly:ASM411807v1:10:650095:652555:-1 gene:Vigun10g006700.v1.2 transcript:Vigun10g006700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTFTAIPENKPQIQHIVNIPEQIEPEMHDQCCIYKVPHHLHKLNVEAYTPYFISIGPLHSEKPELKQEKLKQREKIGNSYSEPELHKKENFVDMILLDSVFIMELFLRRTNKSEQKNDVMFTTSWICKMTQRDLSLLENQLPMFVLEELHKRVILGDSDTKENCLKFTELALNYFEDYYPHKSIQKVEMIKNCESCLNFTDLIRYTYLPRQIQVNMNSSHFTPCAVESVLRTATKLNEAGVSFESVEGRSYLDVKFEKSPILSWFLCFGCLPFSECFKSRLQIPHLKVDQVTECILRNLIALEQCHYSDQPFICNYVNLIDSLIHTQDDVELLVDSEIIVHELGSHNELATMINCLCRHVVVTSNYYGRITRELNEHYNCRWKHYMGMLKSVYFRDPWRFSSTIVGTAVFLFAILNFLRVIGVLRPKY >Vigun10g006700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:650141:652331:-1 gene:Vigun10g006700.v1.2 transcript:Vigun10g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTFTAIPENKPQIQHIVNIPEQIEPEMHDQCCIYKVPHHLHKLNVEAYTPYFISIGPLHSEKPELKQEKLKQRYFHAFWKRLSHKQCLAFSQYKIFLEENREKIGNSYSEPELHKKENFVDMILLDSVFIMELFLRRTNKSEQKNDVMFTTSWICKMTQRDLSLLENQLPMFVLEELHKRVILGDSDTKENCLKFTELALNYFEDYYPHKSIQKVEMIKNCESCLNFTDLIRYTYLPRQIQVNMNSSHFTPCAVESVLRTATKLNEAGVSFESVEGRSYLDVKFEKSPILSWFLCFGCLPFSECFKSRLQIPHLKVDQVTECILRNLIALEQCHYSDQPFICNYVNLIDSLIHTQDDVELLVDSEIIVHELGSHNELATMINCLCRHVVVTSNYYGRITRELNEHYNCRWKHYMGMLKSVYFRDPWRFSSTIVGTAVFLFAILNFLRVIGVLRPKY >Vigun11g107900.1.v1.2 pep primary_assembly:ASM411807v1:11:30722798:30730928:1 gene:Vigun11g107900.v1.2 transcript:Vigun11g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSNHSPPLEFKGLSKEEEDSLLGQVEIWRYMTSFTDSMALKAVVELRIADIIDSYGKPLSLSQIVENIENAPSPDASLLERVMRVMVRRKIFSAEKSETGETLYGMTRASKWILRDTKITIAPFLLLENHPIHLNPAHYISEIVREGTKNGTAFFRCHGHEQFEMTGLDSEYNRLFNEGMVCTTRFVTKAVINGYRDGFNKIESLVDVGGGIGGSLSEIVRAYPHIKGINFDLPHVVATAPNFDGITHVGGDMFVSIPSADAIYMKWILHDWSDEHCVKILKNCRKAIPEKTGKVIIVDHVLRPEGNEAFTDVGIAFDMMLLAHNAGGKERTEENWKWLFKETGFARYNIIKINALPSIIEAYPI >Vigun11g107900.2.v1.2 pep primary_assembly:ASM411807v1:11:30722798:30730928:1 gene:Vigun11g107900.v1.2 transcript:Vigun11g107900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSNHSPPLEFKGLSKEEEDSLLGQVEIWRYMTSFTDSMALKAVVELRIADIIDSYGKPLSLSQIVENIENAPSPDASLLERVMRVMVRRKIFSAEKSETGETLYGMTRASKWILRDTKITIAPFLLLENHPIHLNPAHYISEIVREGTKNGTAFFRCHGHEQFEMTGLDSEYNRLFNEGMVCTTRFVTKAVINGYRDGFNKIESLVDVGGGIGGSLSEIVRAYPHIKGINFDLPHVVATAPNFDGITHVGGDMFVSIPSADAIYMKWILHDWSDEHCVKILKNCRKAIPEKTGKVIIVDHVLRPEGNEAFTDVGIAFDMMLLAHNAGGKERTEENWKWLFKETGFARYNIIKINALPSIIEAYPI >Vigun09g153900.1.v1.2 pep primary_assembly:ASM411807v1:9:31932217:31935249:1 gene:Vigun09g153900.v1.2 transcript:Vigun09g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGDQIDDSKNEDAPGDESSQVQQEEPEEKIRKRDYIDIRSHPNVMKLLYKQGDQIVLFADKVLKFTGSGKMKYRYLMITDFAVYLIDPATDSLRRRIALAAVEKICVSELTDNFLIVIIPTEYDLLIASARKNEIITAFVEAYEPEVVSSNRFEYNASSDLVKQIEFEQIEGGVKTRILRK >Vigun05g166900.1.v1.2 pep primary_assembly:ASM411807v1:5:27865123:27865888:-1 gene:Vigun05g166900.v1.2 transcript:Vigun05g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYFLVAILALTSSIASAYDPSPLQDFCVALNDTKNAVFVNGKLCKDPKVVKAQDFFRHVEAGNTSNPLGAQVSQVFVDQLPGLNTLGISMARIDFAPKGLNAPHTHPRGTEILIVVEGSLYVGFVSSNQDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAALSSQNPGTIIIANALFKSTPSISSQVLTKAFQVDKSIIDYLQKQFWTDNNH >Vigun01g002450.1.v1.2 pep primary_assembly:ASM411807v1:1:312326:314043:1 gene:Vigun01g002450.v1.2 transcript:Vigun01g002450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEWPHTIAFLSSTSYPYFLFFLLSIAVLKLTRRSKNKASTLPYRSFSSLSQKHGSLMWLQLGQTQTLVISSADLVREIMQTQDLAFSNRPKIAAARYILYGGNDIGFSSYGESWKLKRKYIGEASLRDASSVNLSELLLEASDNIICKCALGQKYSNNRVKELARRLMIQLAVHTVGDLFPLLSWAFDTLLDELIEEHKKVQSEADHCSTEKGFVDILIQHQKNAMPDSELTNNDIKSILLGINQSGRNDINEMEYMKCVVKETLRLHPAAPLLAPRETSCALKLRGYDIPAKHWDPEVWEKAEEFIPERHGEKHVVPLKGQFIPFGFGRRACPGMSFGVVSVEYTLANLLYWFNWKLPSSHSSAQDIDMSETYGLITSKKVPLHFKPVPLNQVSDFL >Vigun04g038466.1.v1.2 pep primary_assembly:ASM411807v1:4:3222457:3231888:1 gene:Vigun04g038466.v1.2 transcript:Vigun04g038466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSFMIEIFLSFNYFLCDVAWWLEFNTRRQMSTFCTCFLCFHHHYCHACIAFVIAGIESKQLWFSTSTYWRYERERASIEEMSDETSIISGVDRFKRPFAHLEEHYGNSERNTPLQRQHVFLPRERVCAPKDESKQQNNIENVPGSDAIGSQNGTSRSNYSTRSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCPPCLILILVLLRTFFHALIL >Vigun05g204600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39303253:39304316:-1 gene:Vigun05g204600.v1.2 transcript:Vigun05g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDSCCTRCTTFVITIGLTALFLWLSMRVDEPHLYLEKIYVPTLNKTLNPSPGNTTILFTLRLANRNKDTGIKYDDVQLTFKVFVSNNTTRPLGNATVQRFYQGRNKKAFKPGSLNGGGNLTTTVAGKVVYRVDFTTAIKYKIIWWYTKRHRLWGGASVEMNDSGSKVNRKPVRLGGKNPVVIPSGAPQFRGCYRALVTCFVAASVLDAHGINCLEFIIFHFCVYYFI >Vigun11g070601.1.v1.2 pep primary_assembly:ASM411807v1:11:20559468:20560293:1 gene:Vigun11g070601.v1.2 transcript:Vigun11g070601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWFQTPVQTGEVRHANVPWMIHISGLGADEHIKLSMWLRALTVQAQRRNYQGRALPLS >Vigun04g182666.1.v1.2 pep primary_assembly:ASM411807v1:4:40693524:40694011:1 gene:Vigun04g182666.v1.2 transcript:Vigun04g182666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRDCRVETPAQLLRMSPAIAVPFSLCRRFPSLDIFIFPSWEVLTFAKTSPLLPYTALRRRTLMVECTKSENGVDLNLCYGTL >Vigun01g051100.1.v1.2 pep primary_assembly:ASM411807v1:1:8382585:8387834:1 gene:Vigun01g051100.v1.2 transcript:Vigun01g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVLLGSGFSMFGMRAKIALAEKGIKYEYIEEDVTNKSPLLHEMNPIHKKIPVLIHHGRPICESLIIVEYIDMVWQNNSPLLPLDPYLKAQARFWADFVDQKVYHASKRVWISKGEEQEMAKKDFLESLKQLEEFLGDKPYFGGDTFGFVDVALIPFYCWFYTYETFGNFKVEAVCPKLISWAKRCMQRESVFKTLADEKELYEAVLDYKTKFILN >Vigun01g051100.3.v1.2 pep primary_assembly:ASM411807v1:1:8382585:8383911:1 gene:Vigun01g051100.v1.2 transcript:Vigun01g051100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVLLGSGFSMFGMRAKIALAEKGIKYEYIEEDVTNKSPLLHEMNPIHKKIPVLIHHGRPICESLIIVEYIDMVWQNNSPLLPLDPYLKAQARFWADFVDQKVYHASKRVWISKGEEQEMAKKDFLESLKQLEEFLGDKPYFGGDTFGFVDVALIPFYCWFYTYETFGNFKVEAVCPKLISWAKRCMQRESVFKTLADEKELYEAVLDYKTKFILN >Vigun01g051100.2.v1.2 pep primary_assembly:ASM411807v1:1:8382585:8387834:1 gene:Vigun01g051100.v1.2 transcript:Vigun01g051100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVLLGSGFSMFGMRAKIALAEKGIKYEYIEEDVTNKSPLLHEMNPIHKKIPVLIHHGRPICESLIIVEYIDMVWQNNSPLLPLDPYLKAQARFWADFVDQKVYHASKRVWISKGEEQEMAKKDFLESLKQLEEFLGDKPYFGGDTFGFVDVALIPFYCWFYTYETFGNFKVEAVCPKLISWAKRCMQRESVFKTLADEKELYEAVLDYKTKFILN >Vigun03g322900.1.v1.2 pep primary_assembly:ASM411807v1:3:51870392:51872479:-1 gene:Vigun03g322900.v1.2 transcript:Vigun03g322900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVFGVWFLWAAAYAMTLSRCYPNTCRSYCGNITIDYPFALQYGCGHPGFRDLLFCMNEVLMFHVSSGSYRVLEIDYAYQALTLHEPHMSTCHNLVLGSRGNGFSVEPWRAPYMNPAADNVFMLIACSPRSPLFQGFPGKHLPCRNVSGMGCEDYLSCPAWEMMGHKRLGSAAFFGSGPPECCAVPYQAIREINLTKLQCEGYSSAYSVAPLKVDGPGGWSYGIRVRYSLQGNDEFCGACEATGGTCGYGSDGIRQVCMCGDFNSTSNCDSVGIPSGARSTYKHDQVLAGLLTFVVLWMTTTIQISKV >Vigun06g062050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18973933:18974832:-1 gene:Vigun06g062050.v1.2 transcript:Vigun06g062050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFNKYAKIELYKWSSSEFAEEYALVYTSLEDVFRSSTLRLSVDTDMVLCRLVGDMCKTHQVRCHVKISALRDSRGDCKFGEVVVSQQGDVDPTVRDDSAWFKFWCEDGGAHIFLKSTEQKLDRVMERTCWEFCYSFDVGDRRTLKYFVSIRCNKETGLSVGFGGPFLYKGVIFEEKLGLPSKVVVSGEPPKELTENAESEFKGKKYTLLKRDENGCTPSSGKAKKAINKAAQTVAVVHTAAQATGVINNIGGHTQGNFNGAVLNNVKFYGPQQLPRTVESNNSVGRIMNSIQSLFY >Vigun08g005100.1.v1.2 pep primary_assembly:ASM411807v1:8:436290:438584:1 gene:Vigun08g005100.v1.2 transcript:Vigun08g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCFSIPIALIWVFLFKGASCATFTLINKCDYTVWPGILANAGSSGLDSTGFELRPGGSRIFQAPPNWSGRFWGRTGCNFDPNTQQGSCTTGDCGSNQVQCNGGGASPPATLAEFTIGSGSGTQDFYDVSLVDGYNLPLIVDPSGGSGTCGSTGCVADLNQRCPNELRVADRAACRSACEAFQSPEYCCSGAYASPATCKPSIYSQVFKSACPKSYSYAYDDATSTFTCTGADYTITFCPSTTSQKSARDYSPPPPSGNGSETVTGEIPLIINSPWFSNFFTGISSNTHSCPFPFILTLTVIIFFSLECS >Vigun10g070800.2.v1.2 pep primary_assembly:ASM411807v1:10:17081821:17085843:-1 gene:Vigun10g070800.v1.2 transcript:Vigun10g070800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITVGLQSRVEDVMQIINNKSTKVCIIGIGGREGSGKTTLAKAIYNTIHGTFMEKSFIKDIAQVSQTRGHVPLQEQLLSDVLKTKVEIRSVEMGSRMIREILSVKRMLIVLDDMIEKGSPFLDLIRKSGTWFGAGTVIIMTTKEEGLLSMREVDFVFRIKLMNPNESLELLSWHAFREAKPKEEYNDLAKGIVTRCQGLPLALEVIGSYLYERTKEEWNRIFLKLDKIPMLREVDGIFTISFDGLGNQMEKYLFLDLCSSFMGKGRASATNILNNCGLDADSGIRVLIERNLIHVERNNKLGMHPLLQKMGMEIIFDIPRKEPEVVWFDRDAEYARSENTGTKVIHRLPGKMFFSRRDFFKPYPLEVRDPSRLLKLAEDSEYLSKKLRRINLQGFSQEYIPNAFYLHDAIVINLKHSHLRFFWKQPQDLTWLKVLNLSHSKYLMETPDFSRLPSLEQLILKDCPGLCEVHQSIGCLNNLILLNLKDCTSLSNLPIEIYKLKSLKTLILCGCSKVDLLERDILKMESLIILIAQNTTVKQVPFSIVSSQSIGYISLRRFQGLSHNLFPSIIRFRMPPTLNPQSYIHSFMNVEDNIWHDIAPFLSNLAHLRSVLVQYDAEFQLSKQVKAILVEYGVNITEPGILKHQFRSSLIGVGRYRELFNMYQVLASSELSDVSLPGDNNPYWLAHMGEGDSVSFTVPQDRVMKGMALSVVYLSTSKTIEPEFTSVFIVNYTKCTCQIHNHDTVISFNDEDWHGIISNLESGDKVEIFVTVVHGLVAKNTVVYLIYGESNDFEKVPEPKKNSLVRFIKKIAI >Vigun10g070800.1.v1.2 pep primary_assembly:ASM411807v1:10:17081821:17085843:-1 gene:Vigun10g070800.v1.2 transcript:Vigun10g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSSSKLQRKYDVLINFNGEDIRNKFVSHLDSVLSSAGLTTFLHHPNSVPPMDIQQPILDLCRVAIVVFTKTYSQSAWCLHELQQIIKWHQTYCRHVLPVYYEVEPSDVRLQKGNFGKDLKTTAQQTFSGQQLEDGISRWSHALTKAANFFGWDESNYRSDAELVDKIVKSVLNLSVLSATKFPVGLQSRVEDVMQIINNKSTKVCIIGIGGREGSGKTTLAKAIYNTIHGTFMEKSFIKDIAQVSQTRGHVPLQEQLLSDVLKTKVEIRSVEMGSRMIREILSVKRMLIVLDDMIEKGSPFLDLIRKSGTWFGAGTVIIMTTKEEGLLSMREVDFVFRIKLMNPNESLELLSWHAFREAKPKEEYNDLAKGIVTRCQGLPLALEVIGSYLYERTKEEWNRIFLKLDKIPMLREVDGIFTISFDGLGNQMEKYLFLDLCSSFMGKGRASATNILNNCGLDADSGIRVLIERNLIHVERNNKLGMHPLLQKMGMEIIFDIPRKEPEVVWFDRDAEYARSENTGTKVIHRLPGKMFFSRRDFFKPYPLEVRDPSRLLKLAEDSEYLSKKLRRINLQGFSQEYIPNAFYLHDAIVINLKHSHLRFFWKQPQDLTWLKVLNLSHSKYLMETPDFSRLPSLEQLILKDCPGLCEVHQSIGCLNNLILLNLKDCTSLSNLPIEIYKLKSLKTLILCGCSKVDLLERDILKMESLIILIAQNTTVKQVPFSIVSSQSIGYISLRRFQGLSHNLFPSIIRFRMPPTLNPQSYIHSFMNVEDNIWHDIAPFLSNLAHLRSVLVQYDAEFQLSKQVKAILVEYGVNITEPGILKHQFRSSLIGVGRYRELFNMYQVLASSELSDVSLPGDNNPYWLAHMGEGDSVSFTVPQDRVMKGMALSVVYLSTSKTIEPEFTSVFIVNYTKCTCQIHNHDTVISFNDEDWHGIISNLESGDKVEIFVTVVHGLVAKNTVVYLIYGESNDFEKVPEPKKNSLVRFIKKIAI >Vigun11g013900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1679972:1685245:-1 gene:Vigun11g013900.v1.2 transcript:Vigun11g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELITDAALSKFFEKTFDNVFSRLGDIFRGDKSKKKQLSNLKVKLLAVEVVTDDAEQKQFTDQRVREWLLSAKGFMFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLKRNADVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVLNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRTDEAKFDVKAWVCVSDEFDVFKVSKTILEHVTRSTDNSGDIEMVHQSLKETLTGKKFLLILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTIRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNKIGEKIVKKCKGLPLALKTMGSLLYNKLSVSEWEFVFQSEIWDLPEERCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFKKEHLIELWITENFLQHGKSPEETGQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELSQTNEIQKASRHFFFEPGDDGGFPGFGTLCKTQRLRTFLPTPNSFWICNMSIHELFTKFKFLRILSLSNCCDIEELPDSVGNLQHLRSLDLSGTDIKKLSESICSLSHLQILKLNYCMDLEELPSNLHLITTLCRLEFTFTKVRKVPPGLEELKNLKVMMDIFKVDHSMESGIQRLGKLNNLHESLSIQGLQDIENPRDALEADLKNKTHLTGLALVWERTGNFIDSKREEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVLLKLDGCESCQRLPPLGLLPFLKKLDISGFDEIVSIDVDFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLLMLSIKDCPKLKGQLPELPAPLGMLEMIDCQQLEGFAPRVLKLELHNCGKVQLDWATMEWFRMGGHHMKALFSERDGSHTLDELEIVESITDDSKTPLMTFPLDSFPTVTKLVLSGFGNLQMISLDQAHHHLDVLTISKCPKLESLPGSMHMLLPSLTSLCIKDCPRLESFTDGGLPSNLESLRIEDCPRLESLPDGGLLSNLREMRIIKCSKLKSLPGNMHMLLPSLKHIWIEDCPRLESFTDGGLPSNLESLIRIEDCPRLESLPDGGLLSNLREMRIIKCSKLKSLPGNMHMLLPSLKHLWIEDCPRLESFPDGGLPSGLHEMTLKNCSRLVGSLKGAFRDGSYLGDLSIKELDAKCFPEEGLLPASLTDLTISACPNLEELDYKGLSQLSSLRSLTLECCPKLQCLPKEGLPQSISYLFIIGCPLLEQRCQEGGKDRKKIAHIQHSNLY >Vigun09g112466.1.v1.2 pep primary_assembly:ASM411807v1:9:24515121:24521230:-1 gene:Vigun09g112466.v1.2 transcript:Vigun09g112466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQWRALVFSPKRACLAQARLTEARPSFSTRIVAQATRRNPMTLVARAHGGASYVGRNPMDLPNESPCIVVVPQGWDVIPRKPRDGASCKGVILRRPHGDASLLGHNSTATWWYLILRIRISQRKKRKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEKKKEKEKKKKKKKKKEKEKKKEKKKKKKKKKEKKEEKKEEKKEEKKKEKKKEKKKEKEEKKEEEKEEKKEEKKEEKKEEKKEEKKKKKEEKKEEKEEKRRRRRRRRRRRRRRRRRRRRRREGGEEKKEKKEKEEKKKKKKKEKKKKKEKKKKKKEKKEKKKEKKEKKKKKKEKKKKKEKKEKKKKEKKEKKEKKKKKEKKRRRRRRRRRRRRRRRRRRRRRRREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGEGRRRRRRRRRRRRRRRMRRRRRRRRRRRRRRRRRRRSRRRRRRRRRRRSRRRRRRRRRRRRRRRRRKRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRREEGGEEEEGGEEGGEEEGGEEGQGGEEEEGEEEEEEEGEEEEEEEEEEEEEEEGEGEEEGEGEEEEEEEEEEEEEGEEEEEGEEEGEEEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKEKEKKKKKKKRRRRRRRRRRIRRRRRRRRRRRRTRRRRRIRRRRRRRRRRRRRMRRMRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRKEEKKKKKKEKKEKKKEKKKEKKKKEKKKEKGEEEEEEEGEGEGEEEEGEEEEEEEEGEGEEGEGEEEEEEEKEKEKKKEKENKEKEKKEEKEKKDEKKKEDKEKKKKKKEKKKKNEKNEKKKKEEKEKKEEKEKKEKEKKKKKKKKKKKKKKKKKKKKKKKKKKRRKKKKKKKKKKKKKKKKKKKKKKKKKEKNEKRRRRRRRRRRRRRRRMRRRRRRRRRRRRRRRRRRREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGEEEEEEEEEEGEEEEEGEGGEEEEGGEEGEEGEEEGEEEGEEGEEEGEEGEEEGEEGEEEGEGGEKKKEEEKKKKKDEKKKKKEEKKKKKEEKKKKKEKKKEKKKKKKEKKKKKKKKKEKKKKEKKKKKKKEKKKKKKKKEKKKKKKKKKKKKEKKKKKKEKKKEKKKEKKKKKKKKKEKKKKKKEKKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKRKKEKKKKKKRRRRRKKKKKKKEKEEKKKKKKEKKKEKKKKEKKEKKKEKKEKKKEKEEKKKKKEEEKKKKKKEEKKKKKEEKKKKKEEKKKKKEKKKKEKKKKKKEKKKKKKKKKKEKKKKGEEEEEREKKKKEEEEEEEEEEEEEEEKKKKKKEKKKKKKKEKKKRRRRRRRRRRRRRRRRRREKKRRRRKKKEEEGEGE >Vigun11g006750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:719145:720660:1 gene:Vigun11g006750.v1.2 transcript:Vigun11g006750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPRYSSFLIVLLFLHNISSSKALKTGFSVELIHRDSPKSPFYRPTETHFQRVQNAILRSIHRANYSRLDSSHDVETTVIRSSAGEFLMNYSVGTPPFQILGLVDTGASTIWMQCQPCKNCYKQSRPIFDPSISSTYSSIPCVAAECLSAENTFCSFNNGKHCAYRVSYGDGSASAGDFSWDTITLTSSSENRDIALPKIVIGCGHSNTGIFGEQSSGVVGLGNGPFSLATQLRPKTGGIFSYCFTPMYEGDVKPSFLHFGDRGEVSVKSAVPTPLIINSALPFKYFLEMEAMSVGSKRIEFPRYGEEGNILLDSGTPLTFLPYEVYSSLEGEMVNAVNLVRTDSPSRNLKLCYEITSGQQYQIPTVFAHFKGGAVVELHSINTFLKVSETKICLAFSVQVQPPSLGMWHNKTSWLAITQNRTQ >Vigun09g137300.2.v1.2 pep primary_assembly:ASM411807v1:9:29854350:29859975:1 gene:Vigun09g137300.v1.2 transcript:Vigun09g137300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRESSPDWLRSFKVPSPSHLTLSSDSGSLRDGKSRNDDKTDEEGSSPKSSQSLKVAGSKRKTPVSSEVESQTPSKRKKLDKKKAKEGNKEGEKTANESNIDKNIEHKVSIQSVWTLSSDSESFHDHSPKGEDHIDQVETSQPQLSDEEDSADGLVFGKYPSKKGSEEKSSKKQIDIDSHTPVKGNKIKGSEKGKSSGGDVNVEEEETFGKLAESDVSSTRLPLMLSEKVHRTKALIECQGDSIDLSGDMGAVGRIIISDSPSGDQEMYLDLKGTIYKTSIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQFNVYEAETMVEGTLDGFFFDSDEEAGKMQKSTNQTDQNENGEEQANGKSKGKADKTSGAEKKRGRNTGGKAQAKIAKKKTPGSKRSKTKK >Vigun09g137300.1.v1.2 pep primary_assembly:ASM411807v1:9:29855310:29859975:1 gene:Vigun09g137300.v1.2 transcript:Vigun09g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRESSPDWLRSFKVPSPSHLTLSSDSGSLRDGKSRNDDKTDEEGSSPKSSQSLKVAGSKRKTPVSSEVESQTPSKRKKLDKKKAKEGNKEGEKTANESNIDKNIEHKVSIQSVWTLSSDSESFHDHSPKGEDHIDQVETSQPQLSDEEDSADGLVFGKYPSKKGSEEKSSKKQIDIDSHTPVKGNKIKGSEKGKSSGGDVNVEEEETFGKLAESDVSSTRLPLMLSEKVHRTKALIECQGDSIDLSGDMGAVGRIIISDSPSGDQEMYLDLKGTIYKTSIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQFNVYEAETMVEGTLDGFFFDSDEEAGKMQKSTNQTDQNENGEEQANGKSKGKADKTSGAEKKRGRNTGGKAQAKIAKKKTPGSKRSKTKK >Vigun01g199100.2.v1.2 pep primary_assembly:ASM411807v1:1:37568207:37569044:1 gene:Vigun01g199100.v1.2 transcript:Vigun01g199100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKERSNPIIIFPNHNQNQSSPGLYSAKKFELTASNVDLVLEDVRPYLIADGGNVDVVSVEDGVVSLRLQGACESCPSSTTTMTMGIERVLKEKFGDAVKDIRQVYDNQPKETTVEAVNSHLDVLRPAIKNYGGSVEVLSVEGEECQVKYVGPDSIGSGIKAAIKERFPDILNVTFSS >Vigun08g030300.1.v1.2 pep primary_assembly:ASM411807v1:8:2766568:2770587:-1 gene:Vigun08g030300.v1.2 transcript:Vigun08g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MGSSILDALNVRVEGTGDKYLVLAHGFGTDQSAWQRVLPYFTRHYSVILYDLVCAGSVNPDHFDYRRYTTLDAYVDDLLNILEALRVPRCAYVGHSISAMIGMLASIRRPDLFSKLILIGASPRFLNDKDYHGGFEQGEIEQVFSAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGILGLVSVPCCIMQTARDMSVPETVAKYMKEHIGGKSTIQWLDTEGHLPHLSAPSYLARQLEIALSQ >Vigun07g198600.2.v1.2 pep primary_assembly:ASM411807v1:7:31906522:31910910:-1 gene:Vigun07g198600.v1.2 transcript:Vigun07g198600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSFPDRGSRHMGRPHPEDGGGHDARNIRVKLVLLGDSGVGKSCIVLRFVRGQFDATSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFSKAQYWVKELQKHGSPDIVMALVGNKADLQEKREVAVQDGTEYAEKNGMFFIETSAKTADNINELFEEIAKRLPRPSVS >Vigun07g198600.1.v1.2 pep primary_assembly:ASM411807v1:7:31906522:31910910:-1 gene:Vigun07g198600.v1.2 transcript:Vigun07g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSFPDRGSRHMGRPHPEDGGGHDARNIRVKLVLLGDSGVGKSCIVLRFVRGQFDATSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFSKAQYWVKELQKHGSPDIVMALVGNKADLQEKREVAVQDGTEYAEKNGMFFIETSAKTADNINELFEDIVDYATSKLPPDVLAA >Vigun11g210400.1.v1.2 pep primary_assembly:ASM411807v1:11:40568848:40577813:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEVSAYLFVVSNTKEVMDSFHITK >Vigun11g210400.6.v1.2 pep primary_assembly:ASM411807v1:11:40568670:40577783:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEFVVSNTKEVMDSFHITK >Vigun11g210400.7.v1.2 pep primary_assembly:ASM411807v1:11:40568705:40577783:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEFVVSNTKEVMDSFHITK >Vigun11g210400.8.v1.2 pep primary_assembly:ASM411807v1:11:40569039:40577783:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEFVVSNTKEVMDSFHITK >Vigun11g210400.2.v1.2 pep primary_assembly:ASM411807v1:11:40568840:40577934:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEFVVSNTKEVMDSFHITK >Vigun11g210400.4.v1.2 pep primary_assembly:ASM411807v1:11:40568705:40577783:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEVSAYLFVVSNTKEVMDSFHITK >Vigun11g210400.5.v1.2 pep primary_assembly:ASM411807v1:11:40568705:40577783:1 gene:Vigun11g210400.v1.2 transcript:Vigun11g210400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLQICVLNCFSSMGSRNRILLKHVSILLVFFLSLSCCSTSETLTPSLLNLVVSNTTLLHRNFTALSEFRMINRRILSDCSASNPSLKVNVLSNSTLSDEEFVTVTVTGVSNPSDGDWVAMISPSNSDVKSCVLNELFYLQTGDTAKLPLLCHYPVKAQYMKNDPNYLSCKNKECKKYQDGKCVISTCSGSIQFHVINIRSDIEFVFFSGGFLKPCLVGRSTPVSFANPKRPLYGHLSSIDSTGESMRLTWVSGDKEPQQIQYGNGEAVTSTVTTFSQADMCSSALPSPAKDFGWHDPGYIHSALMTGLKPSSPFSYKYGSDSVGWSNQIQFSTPPAGGSDELRFIAFGDMGKTPLDASKEHYIQPGALSVIKAIAKDVNSNKVNSVFHIGDISYATGFLAEWDFFLHLINPVASRVSYMTAIGNHERDYVDSGSVYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYAWMKKDMASVNRQKTPWLIFMGHRPMYTSHPGLFSSENKFNEAVEPLLFQNKVDLALFGHVHNYERTCSVFQHKCKAMPTKDKNGVDTYDGRNYSAPVHVVIGMAGFTLDNFSSNAESWSLKRITEFGYLRSHATTKDLSLEVSAYLFVVSNTKEVMDSFHITK >Vigun07g286000.1.v1.2 pep primary_assembly:ASM411807v1:7:39888401:39899760:-1 gene:Vigun07g286000.v1.2 transcript:Vigun07g286000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDLGAETSASQPMEVEEEMEEAEEIEPPPAAVPFSHLFACADRLDWFLMIVGSLAAAAHGTALVVYLHYFAKVLWVPQQGSAEDQFHRFKELALTIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIAFINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLSKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVINGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSFNHDGSAPASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNMKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDTTMDQIEEAAKIAHAHTFISSLDKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEDGQLVEMGTHDELLTLDGLYAELLRCEEATKLPKRMPVRNYKETATFQIEKDSSESHSFKEPSSPKMIKSPSLQRVSAIFRPSDGFFNSQESPKIRSPPSEKMMENGQSLDSADKEPSIKRQDSFEMRLPELPKIDVQCVHRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRLDSHSGDLSVKMTETTDARHRKQPSIWRLAELSFAEWLYAVLGSTGAAIFGSFNPLLAYVIGLVVTDYYKIDEAHHFQREIDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNETGWFDEEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAFLIGVLLHWRLALVALATLPVLCVSAVAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYQLQLNKIFKQSFLHGVAIGFAFGFSQFLLFACNALLLWYTAICINKSYVDTPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDSKAMKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVNGGQTIAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKQYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVILKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKALRQHRLV >Vigun07g286000.2.v1.2 pep primary_assembly:ASM411807v1:7:39888401:39898680:-1 gene:Vigun07g286000.v1.2 transcript:Vigun07g286000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDLGAETSASQPMEVEEEMEEAEEIEPPPAAVPFSHLFACADRLDWFLMIVGSLAAAAHGTALVVYLHYFAKVLWVPQQGSAEDQFHRFKELALTIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIAFINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLSKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVINGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSFNHDGSAPASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNMKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDTTMDQIEEAAKIAHAHTFISSLDKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEDGQLVEMGTHDELLTLDGLYAELLRCEEATKLPKRMPVRNYKETATFQIEKDSSESHSFKEPSSPKMIKSPSLQRVSAIFRPSDGFFNSQESPKIRSPPSEKMMENGQSLDSADKEPSIKRQDSFEMRLPELPKIDVQCVHRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRLDSHSGDLSVKMTETTDARHRKQPSIWRLAELSFAEWLYAVLGSTGAAIFGSFNPLLAYVIGLVVTDYYKIDEAHHFQREIDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNETGWFDEEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAFLIGVLLHWRLALVALATLPVLCVSAVAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYQLQLNKIFKQSFLHGVAIGFAFGFSQFLLFACNALLLWYTAICINKSYVDTPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDSKAMKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVNGGQTIAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKQYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVILKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKALRQHRLV >Vigun02g106000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26014361:26015720:1 gene:Vigun02g106000.v1.2 transcript:Vigun02g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFDGVVRSKRLIHCAASYGERGSPCSLSHLQNYEYLRIQPHGNFKEHHTNNMEREKGTKRGTNRGRQQAQKLHRLGFSYLASSFLYIVIKVKAFYNGFLGEVSGEAKTMSIEAPMTTETYFSVPVLPN >Vigun05g176900.2.v1.2 pep primary_assembly:ASM411807v1:5:32892004:32894972:-1 gene:Vigun05g176900.v1.2 transcript:Vigun05g176900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRKNPSGTSILVPSVQELAKQNLSAVPRRYIQPHHEDMLLVSQEAHGLQIPVIDMHNLLSAESKASELEKLHLACKDWGFFQLVNHGVSISLVEKVKLEIQEFFNLPMSEKKKFWQSSEHMEGFGQAFVVSEDQELDWADLYYMTTLPKHLRMPHLFPKLPLPFRDTLEIYSQEMKDLALNIIGHMGKALKIEEKEIKELFEDGIQLMRMNYYPPCPEPDKVIGLTPHSDGIGLTILLQVNEVEGLQIRKDGLWVPVKPLPHAFIVNVGDILEVRIKKKIITNGNYKSIEHRATVNAEKERLSIATFYAPHEDGVVGPASSLISEETPPRFRSIGVKDYFKGLFSRKLDGKSYVEVMRI >Vigun05g176900.1.v1.2 pep primary_assembly:ASM411807v1:5:32892004:32894968:-1 gene:Vigun05g176900.v1.2 transcript:Vigun05g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRKNPSGTSILVPSVQELAKQNLSAVPRRYIQPHHEDMLLVSQEAHGLQIPVIDMHNLLSAESKASELEKLHLACKDWGFFQLVNHGVSISLVEKVKLEIQEFFNLPMSEKKKFWQSSEHMEGFGQAFVVSEDQELDWADLYYMTTLPKHLRMPHLFPKLPLPFRDTLEIYSQEMKDLALNIIGHMGKALKIEEKEIKELFEDGIQLMRMNYYPPCPEPDKVIGLTPHSDGIGLTILLQVNEVEGLQIRKDGLWVPVKPLPHAFIVNVGDILEIITNGNYKSIEHRATVNAEKERLSIATFYAPHEDGVVGPASSLISEETPPRFRSIGVKDYFKGLFSRKLDGKSYVEVMRI >Vigun11g128200.1.v1.2 pep primary_assembly:ASM411807v1:11:33566379:33572053:1 gene:Vigun11g128200.v1.2 transcript:Vigun11g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILHFLQDKTILITGATGFLAKVLLEKILRVQPNVKKLYLLLRAADAKSATHRLHNEIIAKDLFRVLKEKVGVNFNTFISKKTTLVPGDISREDLGIEDTILREEIYDQTDVIINLAATTNFDERYDISLGLNTFGVKYVINFAKKCSKLEVLVHVSTAYVCGEGGEEGLILEKPYHLGDSLNGVSGLDINAEDKVVREKLTELRQLGATEKEIKEVMKDLGLSRAKLYGWPNTYVFTKAMGEMLVEQLKGNLSVVIIRPTIVTSTFKEPFPGWAEGVRTIDSLAVAYGKGKLTCFLGDLRAIVDAIPADMVVNAILVAMLAHAKHPSDDVIYHVGSSVRKPLRYSKLQEVGLRYFTAKPWINKDGRPVKVGKVTVLNNMDSFRRYMFIRYLVVLKGLELANTAFCQYFQGTYLDLNRKIQIVMRLVDLYKPYLFFKAAFDDMNTEKLRMAAREGGVERDLFYFDPEVINWDDYFLNIHLPGVVKYIFK >Vigun03g279000.1.v1.2 pep primary_assembly:ASM411807v1:3:45699669:45701581:1 gene:Vigun03g279000.v1.2 transcript:Vigun03g279000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQEQEQTLGHKDLAHKTLLQSDALYQYILDTSVHPREHESLKELRQMTKNHPWNLLAIPPDEGQFLSMLVKLMNAKNTMEIGVYTGYSLLSTALALPTDGKILALDVKREYYDMGLPFIEKAGVAHKIDFREGPALPFLDELLKDESKKGSLDFVFVDADKDNYLNYHKRVIELVKIGGLIGYDNTLWHGSVAAPPDAPMDDFVKTHRAHMIELNKYLAQDSRIEICQLPVGDGLTLCRRMI >Vigun09g239700.1.v1.2 pep primary_assembly:ASM411807v1:9:40990498:40991927:1 gene:Vigun09g239700.v1.2 transcript:Vigun09g239700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHEEPPNQPKICKYIVATLKEVFSSCQTLGARLSTSSFEDEYPVNDFDEEQEVFISAVISRTMEKQKHKTSVLRHSFSWVYSPATKELLVTEAVAPQKKVEGGGEENDEREEFLSVKSCFSRCSSSPCGSAFYSVKTSLSRCSSFNDLHQKSKHWRRSVIEEFCHCEGWPFGLCRRAVLLPPLPKSPSESWLSRKMKPSTKKP >Vigun07g186500.6.v1.2 pep primary_assembly:ASM411807v1:7:30347301:30364169:-1 gene:Vigun07g186500.v1.2 transcript:Vigun07g186500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKGVLFVDFPPVLQLQLKRFEYDFMRDTMVKINDYYEFPLQLDLDRDNGKYLSSKANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTREDSKRAIEEQYGGEEELPHINPGFNNSPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAQHLRVRLKKEQEEKEKKRKEKAEAHLYTIVKIAREDDMCAQIGKDIFFDLVDHDKVWSFRIKKQMPFMSVKEEVAREFRIPVPCQRFWLWARRQNYTYRLNRPLTSSEETRLVGELTEVSINGNNSELTLFLEFGINPGFGFCPPPVKTKEDLLLFFKVYDPSQEKLRYVGSLYVKGSGKPLDILSTLKRMAGFAVDEEIELFEEIKFEPRVMCEHVDRNMTYRDNQLEDGDIICYQKFPKAGSGEQLHCPNNVYSFLEYVHNRQVVRFRFLGKPKEDEFSLELSKLHTYDDVVMKVAQHLGLSDSSKIRLTSHNCYSQQPKAQPIKYQGVENLSDMLIHLNQISDILYYEILDIPLPELQCIKTLKIAFHLATKDEVVISTVRLPKQSTVEVLLNDIKSKVDLSHPDAQLRLLEVFYHKIYKIFSPSETIENISDEYWKLRAEEIPEEERNLGPLDLLIHVYHFFGDTSHKYLKIQNFGDPFLFVIREGETLADVKLRIQKKLQVPDAEFSSWKFAFVLHDRPEFLQDSDIVSARFQRREIFGSSEQYLGLEHADSAPKRSYAVNQVRAVYNNHFSNFQNRHALEKPVKIYN >Vigun07g186500.3.v1.2 pep primary_assembly:ASM411807v1:7:30352383:30369538:-1 gene:Vigun07g186500.v1.2 transcript:Vigun07g186500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLDQDEVMMDPIPDAPEMEESSSSAEAQTVDSLSSGRFTWTIKNFSRLARKVYSEVFYVGGHKWQILLFPKGNSVDHLSLYLDVADSMTLAPGWSRFAQFCLTVVNQFNTQYSFRKESQHLFNARESDWGFTNFMPLTELCDPSRGYCVNDTCIVEADVAIRKVLDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKALYHMPTTENDMPLRSIPLALQSLFYKLQHNDTSVSTKELTKSFGWDTHDSFLQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIDCINVDYKSMREESFYDLQLDVKGCQNIYDSFDKYVEVEQLEGDNKYHAEWHGLQEARKGVLFVDFPPVLQLQLKRFEYDFMRDTMVKINDYYEFPLQLDLDRDNGKYLSSKANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTREDSKRAIEEQYGGEEELPHINPGFNNSPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAQHLRVRLKKEQEEKEKKRKEKAEAHLYTIVKIAREDDMCAQIGKDIFFDLVDHDKVWSFRIKKQMPFMSVKEEVAREFRIPVPCQRFWLWARRQNYTYRLNRPLTSSEETRLVGELTEVSINGNNSELTLFLEFGINPGFGFCPPPVKTKEDLLLFFKVYDPSQEKLRYVGSLYVKGSGKPLDILSTLKRMAGFAVDEEIELFEEIKFEPRVMCEHVDRNMTYRDNQLEDGDIICYQKFPKAGSGEQLHCPNNVYSFLEYVHNRQVVRFRFLGKPKEDEFSLELSKLHTYDDVVMKVAQHLGLSDSSKIRLTSHNCYSQQPKAQPIKYQGVENLSDMLIHLNQISDILYYEILDIPLPELQCIKTLKIAFHLATKDEVVISTVRLPKQSTVEVLLNDIKSKFED >Vigun07g186500.2.v1.2 pep primary_assembly:ASM411807v1:7:30347287:30369538:-1 gene:Vigun07g186500.v1.2 transcript:Vigun07g186500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLDQDEVMMDPIPDAPEMEESSSSAEAQTVDSLSSGRFTWTIKNFSRLARKVYSEVFYVGGHKWQILLFPKGNSVDHLSLYLDVADSMTLAPGWSRFAQFCLTVVNQFNTQYSFRKESQHLFNARESDWGFTNFMPLTELCDPSRGYCVNDTCIVEADVAIRKVLDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKALYHMPTTENDMPLRSIPLALQSLFYKLQHNDTSVSTKELTKSFGWDTHDSFLQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIDCINVDYKSMREESFYDLQLDVKGCQNIYDSFDKYVEVEQLEGDNKYHAEWHGLQEARKGVLFVDFPPVLQLQLKRFEYDFMRDTMVKINDYYEFPLQLDLDRDNGKYLSSKANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTREDSKRAIEEQYGGEEELPHINPGFNNSPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAQHLRVRLKKEQEEKEKKRKEKAEAHLYTIVKIAREDDMCAQIGKDIFFDLVDHDKVWSFRIKKQMPFMSVKEEVAREFRIPVPCQRFWLWARRQNYTYRLNRPLTSSEETRLVGELTEVSINGNNSELTLFLEFGINPGFGFCPPPVKTKEDLLLFFKVYDPSQEKLRYVGSLYVKGSGKPLDILSTLKRMAGFAVDEEIELFEEIKFEPRVMCEHVDRNMTYRDNQLEDGDIICYQKFPKAGSGEQLHCPNNVYSFLEYVHNRQVVRFRFLGKPKEDEFSLELSKLHTYDDVVMKVAQHLGLSDSSKIRLTSHNCYSQQPKAQPIKYQGVENLSDMLIHLNQISDILYYEILDIPLPELQCIKTLKIAFHLATKDEVVISTVRLPKQSTVEVLLNDIKSKVDLSHPDAQLRLLEVFYHKIYKIFSPSETIENISDEYWKLRAEEIPEEERNLGPLDLLIHVYHFFGDTSHKYLKIQNFGDPFLFVIREGETLADVKLRIQKKLQVPDAEFSSWKFAFVLHDRPEFLQDSDIVSARFQRREIFGSSEQYLGLEHADSAPKRSYAVNQVRAVYNNHFSNFQNRHALEKPVKIYN >Vigun07g186500.1.v1.2 pep primary_assembly:ASM411807v1:7:30347287:30369538:-1 gene:Vigun07g186500.v1.2 transcript:Vigun07g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLDQQDEVMMDPIPDAPEMEESSSSAEAQTVDSLSSGRFTWTIKNFSRLARKVYSEVFYVGGHKWQILLFPKGNSVDHLSLYLDVADSMTLAPGWSRFAQFCLTVVNQFNTQYSFRKESQHLFNARESDWGFTNFMPLTELCDPSRGYCVNDTCIVEADVAIRKVLDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKALYHMPTTENDMPLRSIPLALQSLFYKLQHNDTSVSTKELTKSFGWDTHDSFLQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIDCINVDYKSMREESFYDLQLDVKGCQNIYDSFDKYVEVEQLEGDNKYHAEWHGLQEARKGVLFVDFPPVLQLQLKRFEYDFMRDTMVKINDYYEFPLQLDLDRDNGKYLSSKANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTREDSKRAIEEQYGGEEELPHINPGFNNSPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAQHLRVRLKKEQEEKEKKRKEKAEAHLYTIVKIAREDDMCAQIGKDIFFDLVDHDKVWSFRIKKQMPFMSVKEEVAREFRIPVPCQRFWLWARRQNYTYRLNRPLTSSEETRLVGELTEVSINGNNSELTLFLEFGINPGFGFCPPPVKTKEDLLLFFKVYDPSQEKLRYVGSLYVKGSGKPLDILSTLKRMAGFAVDEEIELFEEIKFEPRVMCEHVDRNMTYRDNQLEDGDIICYQKFPKAGSGEQLHCPNNVYSFLEYVHNRQVVRFRFLGKPKEDEFSLELSKLHTYDDVVMKVAQHLGLSDSSKIRLTSHNCYSQQPKAQPIKYQGVENLSDMLIHLNQISDILYYEILDIPLPELQCIKTLKIAFHLATKDEVVISTVRLPKQSTVEVLLNDIKSKVDLSHPDAQLRLLEVFYHKIYKIFSPSETIENISDEYWKLRAEEIPEEERNLGPLDLLIHVYHFFGDTSHKYLKIQNFGDPFLFVIREGETLADVKLRIQKKLQVPDAEFSSWKFAFVLHDRPEFLQDSDIVSARFQRREIFGSSEQYLGLEHADSAPKRSYAVNQVRAVYNNHFSNFQNRHALEKPVKIYN >Vigun07g186500.5.v1.2 pep primary_assembly:ASM411807v1:7:30347287:30369240:-1 gene:Vigun07g186500.v1.2 transcript:Vigun07g186500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPIPDAPEMEESSSSAEAQTVDSLSSGRFTWTIKNFSRLARKVYSEVFYVGGHKWQILLFPKGNSVDHLSLYLDVADSMTLAPGWSRFAQFCLTVVNQFNTQYSFRKESQHLFNARESDWGFTNFMPLTELCDPSRGYCVNDTCIVEADVAIRKVLDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKALYHMPTTENDMPLRSIPLALQSLFYKLQHNDTSVSTKELTKSFGWDTHDSFLQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIDCINVDYKSMREESFYDLQLDVKGCQNIYDSFDKYVEVEQLEGDNKYHAEWHGLQEARKGVLFVDFPPVLQLQLKRFEYDFMRDTMVKINDYYEFPLQLDLDRDNGKYLSSKANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTREDSKRAIEEQYGGEEELPHINPGFNNSPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAQHLRVRLKKEQEEKEKKRKEKAEAHLYTIVKIAREDDMCAQIGKDIFFDLVDHDKVWSFRIKKQMPFMSVKEEVAREFRIPVPCQRFWLWARRQNYTYRLNRPLTSSEETRLVGELTEVSINGNNSELTLFLEFGINPGFGFCPPPVKTKEDLLLFFKVYDPSQEKLRYVGSLYVKGSGKPLDILSTLKRMAGFAVDEEIELFEEIKFEPRVMCEHVDRNMTYRDNQLEDGDIICYQKFPKAGSGEQLHCPNNVYSFLEYVHNRQVVRFRFLGKPKEDEFSLELSKLHTYDDVVMKVAQHLGLSDSSKIRLTSHNCYSQQPKAQPIKYQGVENLSDMLIHLNQISDILYYEILDIPLPELQCIKTLKIAFHLATKDEVVISTVRLPKQSTVEVLLNDIKSKVDLSHPDAQLRLLEVFYHKIYKIFSPSETIENISDEYWKLRAEEIPEEERNLGPLDLLIHVYHFFGDTSHKYLKIQNFGDPFLFVIREGETLADVKLRIQKKLQVPDAEFSSWKFAFVLHDRPEFLQDSDIVSARFQRREIFGSSEQYLGLEHADSAPKRSYAVNQVRAVYNNHFSNFQNRHALEKPVKIYN >Vigun02g143400.1.v1.2 pep primary_assembly:ASM411807v1:2:29169739:29171349:-1 gene:Vigun02g143400.v1.2 transcript:Vigun02g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSVPAPFLTKTYELVDDPGTNEVISWSDSGNTFVVWKQAEFAKDLLPKCFKHNNFSSFVRQLNTYGFRKIVPDKWEFANEHFRRGHKELLCDIKRRKTVPQLPALPPEAGKSSGGGNSPLNSGGGDDAGSTSTSSSSSGWKNTGLVETNTTAPHELSSENQKLKKDNETLSCELARARKQCDELVGFLKNRLMVGPDQIDRIMRQGSCGSEKAVGEEGGGECLKLFGVWLKEDTLADKRHNNHKRAREDQMGFGGPRLKESKPVVDFEPLNLIMTSNKVCN >Vigun06g238200.1.v1.2 pep primary_assembly:ASM411807v1:6:34293276:34296628:1 gene:Vigun06g238200.v1.2 transcript:Vigun06g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNAQIHGLGTPSFASSRTLFLGQRLNTKAFIKVKSRPRRVGRLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIITNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTITRAKFEELCSDLLDRLRTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVKEAEKFSKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLGELKEAISGGSTQTIKDAMAALNQEVMQLGQSLYNQPGAAGAGGPTPPPGADAGPSESSGKGPEGDVIDADFTDSK >Vigun11g113500.1.v1.2 pep primary_assembly:ASM411807v1:11:31650914:31654136:1 gene:Vigun11g113500.v1.2 transcript:Vigun11g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDRNMLQNYVPVYVMLPLGVVTVDNVFADPNGLKEQLLKLRATGIDGVMVDVWWGIIEQKGPKQYDWRAYRILFQLVQECGLKLQAIMSFHQCGGNVGDVVNIPIPKWVLDIGESDPDIFYTNRSGTRNKEYLTIGVDDKPMFHGRTAIEIYSDYMQSFRENMSDFLESGLIIDIEVGLGPAGELRYPSYPQSQGWQFPGIGEFQCYDKYLKADFKAAAARAGHPEWELPDDAGQYNDVPESTGFFKLNGTYVTEKGKFFLTWYSNKLLSHGDQILEKANKAFQGCKVKLAIKVSGIHWWYRVENHAAELTAGYYNLNDRDGYRPIARMLSRHHAILNFTCLEMRDTEQSSDAKSAPQELVMQVLSGGWREDILVAGENALPRYDSTAYNQIILNARPQGVNKNGPPKLMMFGVTYLRLSDDLLQQSNYDTFKKFVLKMHADQGYCADPQNYNHVITPLKPSGPKIPIEVLLEATKPIPPFPWLPETDMKIDG >Vigun07g161700.4.v1.2 pep primary_assembly:ASM411807v1:7:27311438:27314280:-1 gene:Vigun07g161700.v1.2 transcript:Vigun07g161700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEETCAREELRVDQGQTAGITTSNGGTSGLDFDEIVSNSTHLSSSSNKRSRPMLEFDLGEPFINNNGLNQQQERSLSAAIPQSFPSFVGELERDLQAQESVFPVSQNSLQPELFHQLGNVETGTNYGSFFPIASPTAAEGTVTSLINGNYHPTSNLTPHLHGGDSSSTLKLSSTQNQLVGANGAAAPYFPRTQWTSYPNLQKPHSQLSTGLEAISEMVQLGGLNSFSYGRSGRSSNESLFLNTRKHYGPRSNIYSIPNLREMGEPSSRNVKMKMTLSENSQTSTELHVGSLFNPITQSNGNSQPPRIISNSLYDPIYEKRGLPVDPILRTFLMGREAYMM >Vigun07g161700.3.v1.2 pep primary_assembly:ASM411807v1:7:27311438:27314280:-1 gene:Vigun07g161700.v1.2 transcript:Vigun07g161700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEETCAREELRVDQGQTAGITTSNGGTSGLDFDEIVSNSTHLSSSSNKRSRPMLEFDLGEPFINNNGLNQQQERSLSAAIPQSFPSFVQGELERDLQAQESVFPVSQNSLQPELFHQLGNVETGTNYGSFFPIASPTAAEGTVTSLINGNYHPTSNLTPHLHGGDSSSTLKLSSTQNQLVGANGAAAPYFPRTQWTSYPNLQKPHSQLSTGLEAISEMVQLGGLNSFSYGRSGRSSNESLFLNTRKHYGPRSNIYSIPNLREMGEPSSRNVKMKMTLSENSQTSTELHVGSLFNPITQSNGNSQPPRIISNSLYDPIYEKRGLPVDPILRTFLMGREAYMM >Vigun07g161700.2.v1.2 pep primary_assembly:ASM411807v1:7:27311438:27314280:-1 gene:Vigun07g161700.v1.2 transcript:Vigun07g161700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEETCAREELRVDQGQTAGITTSNGGTSGLDFDEIVSNSTHLSSSSNKRSRPMLEFDLGEPFINNNGLNQQQERSLSAAIPQSFPSFVQGELERDLQAQESVFPVSQNSLQPELFHQLGNVETGTNYGSFFPIASPTAAEGTVTSLINGNYHPTSNLTPHLHGGDSSSTLKLSSTQNQLVGANGAAAPYFPRTQWTSYPNLQKPHSQLSTGLEAISEMVQLGGLNSFSYGRSGRSSNESLFLNTRKHYGPRSNIYSIPNLREMGEPSSRNVKMKMTLSENSQTSTELHVGSLFNPITQSNGNSQPPRIISNSLYDPIYEKRGLPVDPILRTFLMGREAYMM >Vigun07g161700.5.v1.2 pep primary_assembly:ASM411807v1:7:27311438:27314280:-1 gene:Vigun07g161700.v1.2 transcript:Vigun07g161700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEETCAREELRVDQGQTAGITTSNGGTSGLDFDEIVSNSTHLSSSSNKRSRPMLEFDLGEPFINNNGLNQQQERSLSAAIPQSFPSFVGELERDLQAQESVFPVSQNSLQPELFHQLGNVETGTNYGSFFPIASPTAAEGTVTSLINGNYHPTSNLTPHLHGGDSSSTLKLSSTQNQLVGANGAAAPYFPRTQWTSYPNLQKPHSQLSTGLEAISEMVQLGGLNSFSYGRSGRSSNESLFLNTRKHYGPRSNIYSIPNLREMGEPSSRNVKMKMTLSENSQTSTELHVGSLFNPITQSNGNSQPPRIISNSLYDPIYEKRGLPVDPILRTFLMGREAYMM >Vigun06g024400.3.v1.2 pep primary_assembly:ASM411807v1:6:11343468:11348720:-1 gene:Vigun06g024400.v1.2 transcript:Vigun06g024400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSGGDDRKIYRGRKSSSSLDEEAGVGGNMGGEVPLKKGPWTAAEDAILLEYVNKHGQGNWNAVQKYSGLARCGKSCRLRWANHLRPDLKKGAFTQEEENRILELHAKMGNKWARMASELPGRTDNEIKNYWNTRIKRMQRAGLPIYPPEICERILNGNQESKNIGPLNIEASQHDNLSQTDKFGIPEMDLKTVKFHHDPSQVPGIFDMPENMFEQSSDSSYLFPTIYPSKRRRESEILYNSFGSCTSNALPLFDQYGNYTGDQTRFYPPFDPVLNTSNQFHGDNINGSHAMLNGNVSSSVPLFGARKLELPSLQYTETQHGSWVTHGSPLPSLESVDTLIQSPPVESIPSDLISPQSSGLLDAIVYNSKSVKNSNTDLFFPVITGTSNEAAGSSTLNHPYKTEWDEQGESNSPLGQSAASVMTDYTPISSVDGPQSIETTQDHDDKDQALTWFPNSSRKKRKPEQVDFSQRPDALFDLAWFGNNTDYGFNQSDLKDALNALLGEDYSGNFAEHSKDKQ >Vigun06g024400.4.v1.2 pep primary_assembly:ASM411807v1:6:11343468:11346068:-1 gene:Vigun06g024400.v1.2 transcript:Vigun06g024400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGLPIYPPEICERILNGNQESKNIGPLNIEASQHDNLSQTDKFGIPEMDLKTVKFHHDPSQVPGIFDMPENMFEQSSDSSYLFPTIYPSKRRRESEILYNSFGSCTSNALPLFDQYGNYTGDQTRFYPPFDPVLNTSNQFHGDNINGSHAMLNGNVSSSVPLFGARKLELPSLQYTETQHGSWVTHGSPLPSLESVDTLIQSPPVESIPSDLISPQSSGLLDAIVYNSKSVKNSNTDLFFPVITGTSNEAAGSSTLNHPYKTEWDEQGESNSPLGQSAASVMTDYTPISSVDGPQSIETTQDHDDKDQALTWFPNSSRKKRKPEQVDFSQRPDALFDLAWFGNNTDYGFNQSDLKDALNALLGEDYSGNFAEHSKDKQ >Vigun06g024400.1.v1.2 pep primary_assembly:ASM411807v1:6:11343468:11348720:-1 gene:Vigun06g024400.v1.2 transcript:Vigun06g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSGGDDRKIYRGRKSSSSLDEEAGVGGNMGGEVPLKKGPWTAAEDAILLEYVNKHGQGNWNAVQKYSGLARCGKSCRLRWANHLRPDLKKGAFTQEEENRILELHAKMGNKWARMASELPGRTDNEIKNYWNTRIKRMQRAGLPIYPPEICERILNGNQESKNIGPLNIEASQHDNLSQTDKFGIPEMDLKTVKFHHDPSQVPGIFDMPENMFEQSSDSSYLFPTIYPSKRRRESEILYNSFGSCTSNALPLFDQYGNYTGDQTRFYPPFDPVLNTSNQFHGDNINGSHAMLNGNVSSSVPLFGARKLELPSLQYTETQHGSWVTHGSPLPSLESVDTLIQSPPVESIPSDLISPQSSGLLDAIVYNSKSVKNSNTDLFFPVITGTSNEAAGSSTLNHPYKTEWDEQGESNSPLGQSAASVMTDYTPISSVDGPQSIETTQDHDDKDQALTWFPNSSRKKRKPEQVDFSQRPDALFDLAWFGNNTDYGFNQSDLKDALNALLGEDYSGNFAEHSKDKQ >Vigun06g024400.2.v1.2 pep primary_assembly:ASM411807v1:6:11343468:11348720:-1 gene:Vigun06g024400.v1.2 transcript:Vigun06g024400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSGGDDRKIYRGRKSSSSLDEEAGVGGNMGGEVPLKKGPWTAAEDAILLEYVNKHGQGNWNAVQKYSGLARCGKSCRLRWANHLRPDLKKGAFTQEEENRILELHAKMGNKWARMASELPGRTDNEIKNYWNTRIKRMQRAGLPIYPPEICERILNGNQESKNIGPLNIEASQHDNLSQTDKFGIPEMDLKTVKFHHDPSQVPGIFDMPENMFEQSSDSSYLFPTIYPSKRRRESEILYNSFGSCTSNALPLFDQYGNYTGDQTRFYPPFDPVLNTSNQFHGDNINGSHAMLNGNVSSSVPLFGARKLELPSLQYTETQHGSWVTHGSPLPSLESVDTLIQSPPVESIPSDLISPQSSGLLDAIVYNSKSVKNSNTDLFFPVITGTSNEAAGSSTLNHPYKTEWDEQGESNSPLGQSAASVMTDYTPISSVDGPQSIETTQDHDDKDQALTWFPNSSRKKRKPEQVDFSQRPDALFDLAWFGNNTDYGFNQSDLKDALNALLGEDYSGNFAEHSKDKQ >Vigun07g273800.1.v1.2 pep primary_assembly:ASM411807v1:7:38970022:38972244:1 gene:Vigun07g273800.v1.2 transcript:Vigun07g273800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDNSVTRWQPQPVQPTEWTRYHDKLFERALLLVPEDLPDRWNQIAVHVPGKTPAEVREHYDALVHDVFEIDSGRVEVPSYVDDLAPPQLSGGAGISAWDSANQISFGSKGKQGETERKKGTPWTEEEHRLFLIGLSKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSGKKERKRSSIHDITTVDSNSLPVPIDQNWVPPPGASMPQSQDMLSYASTSMQDQMGPFGYSNYGFEM >Vigun04g192050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41615519:41618105:-1 gene:Vigun04g192050.v1.2 transcript:Vigun04g192050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIMHDLLNDLAKYVCGDFCFRLKFDKGNSIPKTTRHFSFAFDDVRYFDCFGSLTDAKRLRSFLPIKHIGNLTPSAWQFKISIHDLFSKIKFLRVLSLSCCSGLKEVPDSIGDLKHLNSLDLSGTWITKLPDSTCLLYNLLILKLNYCRNLEELPSNLHKLTKLSCLEFESTKVTKMPMYFERLKNLQVLNTFCIDRNSEFSTRQLGGLKLNHHGRLSIKEVQNIMNPLDALEANLKNKHLVVLELIWNSNHVSDDPGKENKVLENLQPSKHLEHLSILNYGGTQFPSWVFDNSLSNLVSLWLADCKYCLCLPPFGLLSSLRILQIIGFDGIVSIGAEFYGANRSSFKSLEILVFYSMKEWKNGNVNYFFSTSSTSFYR >Vigun11g204900.4.v1.2 pep primary_assembly:ASM411807v1:11:40194926:40197453:-1 gene:Vigun11g204900.v1.2 transcript:Vigun11g204900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGITLDETTHIFRSKLPDIPLPNNLLLHKYCFLKLPEVANRTCLIAAARTYTYADTHRASRKVAAGMSKLGIQKGDTVMILLPNSPEFVFTFMAVSMLGAVATTANPSYTAAELAKQLAVSNAKLVVTLSAHVHKLNNQQAQHRFFKVVTVDDPPENCSAFPEGDESEVPEVEISVEDAVALPFSSGTTGLAKGVILTHKSLVTSVAQLIEGENPHMYLKEEDVVLCVLPLFHVFAMHIVMMCGMRTGSAILLIEKFEMRTLLEAIEKHRVTVAILVPPLVVALTKNTAVEKYDLSSIRLVMSGAAPLGLQAEEALRKRLPNAILGQGYGMTESGPVLTMSLGFAKFPFPTKCGSCGTVVRNAEMKVIHPLTSLSLPRNEHGEICVRGSQIMKGYLNDEKATAETIDADGWLHTGDIGYVDDDDEVFLVDRAKELIKFKAFQVPPAELEDLLRSHPSIADAAVVPRCCKGFCS >Vigun11g204900.2.v1.2 pep primary_assembly:ASM411807v1:11:40194926:40197452:-1 gene:Vigun11g204900.v1.2 transcript:Vigun11g204900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGITLDETTHIFRSKLPDIPLPNNLLLHKYCFLKLPEVANRTCLIAAARTYTYADTHRASRKVAAGMSKLGIQKGDTVMILLPNSPEFVFTFMAVSMLGAVATTANPSYTAAELAKQLAVSNAKLVVTLSAHVHKLNNQQAQHRFFKVVTVDDPPENCSAFPEGDESEVPEVEISVEDAVALPFSSGTTGLAKGVILTHKSLVTSVAQLIEGENPHMYLKEEDVVLCVLPLFHVFAMHIVMMCGMRTGSAILLIEKFEMRTLLEAIEKHRVTVAILVPPLVVALTKNTAVEKYDLSSIRLVMSGAAPLGLQAEEALRKRLPNAILGQGYGMTESGPVLTMSLGFAKFPFPTKCGSCGTVVRNAEMKVIHPLTSLSLPRNEHGEICVRGSQIMKGYLNDEKATAETIDADGWLHTGDIGYVDDDDEVFLVDRAKELIKFKAFQVPPAELEDLLRSHPSIADAAVVPQKDDAAGEVPVAFVVGFDLTEDAVKDFVAKQRIHKVYFVDAIPKSQTGKILRKELRAKLAKIIERN >Vigun11g204900.3.v1.2 pep primary_assembly:ASM411807v1:11:40194926:40197452:-1 gene:Vigun11g204900.v1.2 transcript:Vigun11g204900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGITLDETTHIFRSKLPDIPLPNNLLLHKYCFLKLPEVANRTCLIAAARTYTYADTHRASRKVAAGMSKLGIQKGDTVMILLPNSPEFVFTFMAVSMLGAVATTANPSYTAAELAKQLAVSNAKLVVTLSAHVHKLNNQQAQHRFFKVVTVDDPPENCSAFPEGDESEVPEVEISVEDAVALPFSSGTTGLAKGVILTHKSLVTSVAQLIEGENPHMYLKEEDVVLCVLPLFHVFAMHIVMMCGMRTGSAILLIEKFEMRTLLEAIEKHRVTVAILVPPLVVALTKNTAVEKYDLSSIRLVMSGAAPLGLQAEEALRKRLPNAILGQGYGMTESGPVLTMSLGFAKFPFPTKCGSCGTVVRNAEMKVIHPLTSLSLPRNEHGEICVRGSQIMKGYLNDEKATAETIDADGWLHTGDIGYVDDDDEVFLVDRAKELIKFKAFQVPPAELEDLLRSHPSIADAAVVPQKDDAAGEVPVAFVVGFDLTEDAVKDFVAKQGMMFVVNR >Vigun11g204900.1.v1.2 pep primary_assembly:ASM411807v1:11:40194926:40197452:-1 gene:Vigun11g204900.v1.2 transcript:Vigun11g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGITLDETTHIFRSKLPDIPLPNNLLLHKYCFLKLPEVANRTCLIAAARTYTYADTHRASRKVAAGMSKLGIQKGDTVMILLPNSPEFVFTFMAVSMLGAVATTANPSYTAAELAKQLAVSNAKLVVTLSAHVHKLNNQQAQHRFFKVVTVDDPPENCSAFPEGDESEVPEVEISVEDAVALPFSSGTTGLAKGVILTHKSLVTSVAQLIEGENPHMYLKEEDVVLCVLPLFHVFAMHIVMMCGMRTGSAILLIEKFEMRTLLEAIEKHRVTVAILVPPLVVALTKNTAVEKYDLSSIRLVMSGAAPLGLQAEEALRKRLPNAILGQGYGMTESGPVLTMSLGFAKFPFPTKCGSCGTVVRNAEMKVIHPLTSLSLPRNEHGEICVRGSQIMKGYLNDEKATAETIDADGWLHTGDIGYVDDDDEVFLVDRAKELIKFKAFQVPPAELEDLLRSHPSIADAAVVPQKDDAAGEVPVAFVVGFDLTEDAVKDFVAKQVVFYKRIHKVYFVDAIPKSQTGKILRKELRAKLAKIIERN >Vigun04g117200.1.v1.2 pep primary_assembly:ASM411807v1:4:29661027:29664944:-1 gene:Vigun04g117200.v1.2 transcript:Vigun04g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDHHGMLSSWTTPDCCQWKGICCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSYNSFSHIPEFLGSLRNLRYLDLSCCYFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLRGNSFQGNLPTELQVSLSWRNIPSQLGNLSKLEHLDLSFNIFEGYIPSQLGNYNGDLEFNDRGKLLSNFISLSHLYLWSIHGLNSCHSCLQNIAKLPKLRELSLIDCSLSDHFILSLRPFKFNFSTSLLAFDLSENAFTSPVILQWLDLSYNNLEDSVSKHFGMAMNSLEILDLSSNSFKGEVLKSFMNICTLHSLYMHQNNLTEDLSSILQSLSSGCIRYSLQDLNLGENYINGSLPDISAFSVLKSLDLSNNQLSGKIAEGNKLSTQLESLSISSNFLEGGIPKLFGNACALRSLDMMANNLSDELSTIIHQLSGCAKYTLEHLSLQENQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPKSFGNACALHSLRMEDNKLSVEYSLEVLYLHMNEINGTLIDLSMFTSLRVLSIGENKLSGKILKNIQFPPQLEELDIQSNSLNGLSYLDLSNNPLTLTFTQNWIPPFQLSSIRLKSCLLGTFPKWLRKQNKYDELDISNSKILDMVPRWFWAKLASGNVGSIDISNNSLHGIIPNIFGKNIVDFLILASNQFEGPIPPFLRDSHSFLCASDETLLYHLDLSYNQLFGHISDYLSDNNFSGKIPPSIGSLLDLQVLLLRNNNLTHEIPFSLRRCTKLVMLDMSENKLSGHIPAWIGSKMLVLKILSLGRNNFNGTLPLQICYLKYLQILDLSLNNLSGKIPSCIHNFTSMTNKTFSSYYRSPMYYAITSRGYIESYELKAFLMWKGLEKKFKTTELLLLNKEIPTEIENIVDLISLNLSRNNLIGKIPSYIGKNQLVGSIPSNLSHNRLSGVIPTSTQLQSFNISSYEDNLNLCGLPLQKLCHDGLTQESFIESHQYSLFSNRGFYISVTLGFVISFWTVFGTILFKHSWRHAYFKFFENLTG >Vigun09g169200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33820708:33821627:1 gene:Vigun09g169200.v1.2 transcript:Vigun09g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPRSTGTVKWFNEDKGFGYITPQDGSRDLFVHFTSFRSDGFRSLTSGQAVEYLVVHEDDGRGSAIDVTLATAVDVTPTTTGDVTPAA >Vigun05g248300.1.v1.2 pep primary_assembly:ASM411807v1:5:44205715:44211803:-1 gene:Vigun05g248300.v1.2 transcript:Vigun05g248300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSSAHDPEPPIRLNLPPLSPSLFDAEPSELQQPNGSAVRHSSDPSSPTSSGYAGERGSSTATTVSQVEDILHNEIQEITIHDPQPLSHSSWLPGKRHSDEDDASISWRRRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDNVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELIHGQIIVILTKSVNRCFEKNPKFDMTPLLGGTELLFSSLVHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDSADSGVLFAILMCRHKVISLVGAQKASLHPDDMLLLANFVMSSESFRTSEAFSPVCLPRYNALAFLYAYIHFFDDDTYLMLLTTSSDAFYHLKDCRISIENVLLKSNVLSEAQRSLIDGGMRVEDLPPLPHSGSSHLGQHRLPSDSPERLREPDCGIGGAAGLWHFIYRSIYLDQYISSEFSSPINTPKQQKRLYRAYQKLFASMHDKGLGPHKTQFRKDENYVLLCWVTPDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLLGASPFSW >Vigun04g048700.5.v1.2 pep primary_assembly:ASM411807v1:4:4210077:4216340:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAMDALRDRATFVKESLHKSQTITDSMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEGILNHFDQTRLAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSEAIVTHANTLLAKAITKLEEEFKHLLTNYSKPVEPDRLFECLPNSLRPSNSGKGEGGGKNHSGKQSSEAVTFALPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYVKFLYDYQSTLKLLFHEFDPNDPDGQLAIITTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILLDDLVDFTMPHCPSK >Vigun04g048700.2.v1.2 pep primary_assembly:ASM411807v1:4:4210076:4216340:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAMDALRDRATFVKESLHKSQTITDSMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEGILNHFDQTRLAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSEAIVTHANTLLAKAITKLEEEFKHLLTNYSKPVEPDRLFECLPNSLRPSNSGKGEGGGKNHSGKQSSEAVTFALPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLIVGEKKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYVKFLYDYQSTLKLLFHEFDPNDPDGQLAIITTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILLDDLVDFTMPHCPSK >Vigun04g048700.3.v1.2 pep primary_assembly:ASM411807v1:4:4210076:4212859:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLIVGEKKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYVKFLYDYQSTLKLLFHEFDPNDPDGQLAIITTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVPASENTNAVTRTMIKDRFKTFNDQIEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFLKRFGPVIENGKNPYKYIVYSPEHLEQMLGEFFESKIWGEPKR >Vigun04g048700.4.v1.2 pep primary_assembly:ASM411807v1:4:4210077:4216340:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAMDALRDRATFVKESLHKSQTITDSMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEGILNHFDQTRLAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSEAIVTHANTLLAKAITKLEEEFKHLLTNYSKPVEPDRLFECLPNSLRPSNSGKGEGGGKNHSGKQSSEAVTFALPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYVKFLYDYQSTLKLLFHEFDPNDPDGQLAIITTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVPASENTNAVTRTMIKDRFKTFNDQIEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFLKRFGPVIENGKNPYKYIVYSPEHLEQMLGEFFESKIWGEPKR >Vigun04g048700.1.v1.2 pep primary_assembly:ASM411807v1:4:4210077:4216340:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAMDALRDRATFVKESLHKSQTITDSMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEGILNHFDQTRLAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSEAIVTHANTLLAKAITKLEEEFKHLLTNYSKPVEPDRLFECLPNSLRPSNSGKGEGGGKNHSGKQSSEAVTFALPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLIVGEKKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYVKFLYDYQSTLKLLFHEFDPNDPDGQLAIITTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVPASENTNAVTRTMIKDRFKTFNDQIEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFLKRFGPVIENGKNPYKYIVYSPEHLEQMLGEFFESKIWGEPKR >Vigun04g048700.6.v1.2 pep primary_assembly:ASM411807v1:4:4211065:4216340:-1 gene:Vigun04g048700.v1.2 transcript:Vigun04g048700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKAMDALRDRATFVKESLHKSQTITDSMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEGILNHFDQTRLAEAKILRGPHEDLESYLGAVDQLRANVRFFSSKKSFKSSEAIVTHANTLLAKAITKLEEEFKHLLTNYSKPVEPDRLFECLPNSLRPSNSGKGEGGGKNHSGKQSSEAVTFALPTLIPPRVIPLLHDLAQQMVQAGHQQQLFRIYRDTRATVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLIVGEKKICDQIFDGVDSLKSQCFAEVTAGSVAMLLSFGEAVAKSKRSPEKLFVLLDMYEIMRELQPEIERLFESKTCTEMREAAINLSKRLAQTAQETFVDFEEAVEKDATKTTVMDGTVHPLTSYVINYLSINLEITFS >Vigun05g166800.1.v1.2 pep primary_assembly:ASM411807v1:5:27810028:27810940:-1 gene:Vigun05g166800.v1.2 transcript:Vigun05g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYFLVAILALTSSIASAYDPSPLQDFCVALNDTKNAVFVNGKLCKDPKVVKAQDFFRHVKAGNTSNPLGAQVSQVFVDQLPGLNTLGISMARIDFAPKGLNAPHTHPRGTEILIVVEGSLYVGFVSSNQDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAALNSQNPGTITIANALFESTPSISSQVLTKAFQVDKSIIDYLQKQFWTDNNH >Vigun03g011300.1.v1.2 pep primary_assembly:ASM411807v1:3:757639:761499:1 gene:Vigun03g011300.v1.2 transcript:Vigun03g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKEKEEDEGEVQTCGKRLKCTRNDEEDDDGVEAVEEEESELPLKPGIFFYPTTPTSFVVSDALEPDFPVIYVNKVFEISTGYRADEALGRNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGVEFQGELLNFRKDGTPLVNRLRLAPIHDDDGTVTHVIGIQLFSEANIDLNRVSYPVFKETCNQEFDKNGKYSPKSGQCLYSQQQEMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGKEVTGTLELMTKKLGWGRLTRELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVDMQPMDDTFVLNLDAKNPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAQQPTWREVCGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTTENPTWREIPTSWAPPSRLGHSLSVYGRTKLLMFGGLAKSGHLRLRSGEAYTIDLEDEQPQWRQLEYSAFTGLASQSGVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDL >Vigun11g000800.1.v1.2 pep primary_assembly:ASM411807v1:11:134449:138409:1 gene:Vigun11g000800.v1.2 transcript:Vigun11g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSREALLQRRRRTTTSTHAKTASGCAVSLSLLFLLWTLIFLLSLWISRGHGYTPNPTDGSEEYSVPLPNWEEDKLGQCKSSDSAEKGLVEETIAYIAPEALHSEDAQTNGFVTESLPNEETRDYAEHGTCNKQDCDTPITEVHEVESGNSSARLEDHVQKSDHLSWTVPLGLDEFKSRAISSKIKSGTGSSGSVMHRVEPGGEEYNYASASKGAKVLGSNKESKGASNILSRDKDKYLRNPCSVEQKFVIIELSEETLVDTIEIANFEHHSSNLKAFELLGSMSFPTDGWVFLGNFTASNVRHTQRFVLQEPKWVRYLKLNLQSHYGSEFYCTLSVVEVYGVDAVERMLEDLINTQDNMFAPGDGNTDKRTVSPQHSNPSETEDVHKNNFGGINSDHASDISSSNHEKVNTIIPDPVEETRQQVGRMPGDTVLKILMQKVRTLDLNLFVLEQYMDDLNSRYVNIFKEYSKDMGGKDIHIQNIKEDIKNLIEQQDAITKDARNLKFWKAHISMQLDNLVRDNAALRSEVDEVRRKQASLENKSAAVFFVCCIFSLLVIFRLSLDMATTVYRVLSVNTTDYSAKFCAISSPWFLLFLNCIIIIFILIL >Vigun02g085500.1.v1.2 pep primary_assembly:ASM411807v1:2:23985784:23988863:-1 gene:Vigun02g085500.v1.2 transcript:Vigun02g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHGVMVARIRDKITFKDWLDKWFNDKTHDSPDKSESLSSSNLESPVTRNQWEFYLQEIENYYQELLSSKLEEGNCGLDNDDSQIGPNEPHVTEHNNYNMSGAEKIEDLKSQLKEAQKTILLKRKEAKDSKERHAKAEWAICLCNNRAEEVEGRIREEVGAKEELKKEWEAEREQTEEMRMEVEERRRRLISLTEVQSELSNKLQIWMLAKTRAETQLERAVGERTEMVREIEELRRQRDVLNRRIEFCKQKDAIGMAARLADTRCTFREYTAEEIRLATDNFSERLRLKSGGDWSNVYRGRFNHSTVAIKMLPSFSLQHFQSKVRLLGDIRHPHLIAMVGFCSEPKCIVLEYMRNGSLRDMLFSRRKNRALRWHDRIRIAIEVCSGLGFLNGAEPRPVIHCHLTPSTVLLDRNLVAKITGFGLHDCTDEQCNVESDLQAIGVLLMHLLTGRNWAGLVEEVMTVDMDREALASVLDEMAGQWPLDLAIELAGLALMCVSTKTKPNSELSIAKVLEELNEIRRKGDEIVGREGRKAILGGCIDREGTSDVPSVFLCPILQEVMKNPHLAADGFSYELEAIDHWLQSGRDTSPMTNMRLKHTFLTPNHTLRSLIEDWQTNKSPKLTH >Vigun09g132750.1.v1.2 pep primary_assembly:ASM411807v1:9:29136967:29139565:1 gene:Vigun09g132750.v1.2 transcript:Vigun09g132750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIENEVVVAEMFAKEVMNFVEPQGIIWSRKCS >Vigun09g096100.1.v1.2 pep primary_assembly:ASM411807v1:9:14547369:14549680:1 gene:Vigun09g096100.v1.2 transcript:Vigun09g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITATAFKSLTMADSCLLSSPSSLFHNITKPHVFSPPSKPLTLQFSCLNSSPSLSLSLAARTHRSPVLTHVAQTSDWAQQGDNTATFQAQEEDQEEGGLLDWQPEGEGTEDEGFVEPPEEAKLFVGNLPYDVDSQKLAMLFEQAGVVEIAEVIYARDTDRSRGFGFVTMSTVEEAEKAVEKFNSYDYDGRLLTVNKASPRGAQPERRPRRNFEPAFSVYVGNLPWDVDGTRLEQVFSEHGKVLSARVVYDRESGRSRGFGFVTMSDESEMNDAVAALDGQTLDGRTIRVNVAEDRPPRRSSF >Vigun02g100900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25576037:25579623:1 gene:Vigun02g100900.v1.2 transcript:Vigun02g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGFVIQNLGSFVQDQLGPYWGVEQQTQKLSNNLTAIRAVLRDAERKQTTSHAVKDWLQKLTDAAYVLDDILDECSIHSTKVHSDGHTSCLSRLHPKDIVFRFNIGKRMKDITQRFHDINEEKSRFNLEHGVTQVQTVDDDWRQTSSDITEPVVYGRDQDREQIVKFLVEDASNSEDLSIYPIVAMGGLGKTTLAKQVFNDHRVCKHFDLTIWVCVSDDFNTKTILQSMIECITGQNPNLNSLEALRKRVEELLHGKRYLLVLDDVWNEDQEKWKQLKGKLQCARAAKGATILITTRLEEVASTMQTHPAYHLKELSGDDSWSLFKHHAFGPNREEMEELVAIGKEIVRKCIGLPLAIKTLGSLLRDQSEVRQWKNVKESEIWDIREDSNSMTSEENSIMRVLKLSYSNLELSLKRCFSFCAIFPKDFVIDKEELIHLWMANGFIECEGNIEVEDVGNKVWNKLYRRSFFQEAKFDEFGIITSFKMHDLFHDLARSIMGEECVAFGKGRLTPLSSRVHYSTLLSDVSFRGFTNAFKKVESLRTFLDLRTSFELNNLCPVPSNHSLRALCTNSSLLSPLKDLTHLRYLSLSHSDKASLNNSICQMPKLQILKLQDFIFLRGLPKDLTQLQDLRHIVMNQCNSVVKTPPKISKLRHLRTLSLFVVGSKPGCGLAELHALKLGGALRIRGLKNVPNEWDAKQANLTAKEDLNILHLSWDGSANSKSSNVSVERVLEALKPPSTLKSFEMNGYEGKQLSSWMRSSIILRDLVKVKLWNCENCEELPPFGKLPHLKRLEVSGMENVKCIDGETYEGVEEKAFPSLEELSVDNLPNLKRLLRDERVEMVPHLFQLRIQRVSNLKCPRLPAVEKLDARGIGEAASFMEVVGNTACLKTLRIEFIKGVVVLPDQFSRLGALQELNIADWYDVEYFPEHVLEGLTSLRILRIYRCEKLKSLSEGVRHLACLERLTIRECPELMVLPSNMSQLTALRDVSILYCSTLPEGLQRVPSLRSLYILDCNSTSLPDWLGDITSLQQLSIDYCMELRSLPSSIQRLTNLSHLSISDCPYLYTRCKRETGEDWQYINHVPKIELHLTWKPTFCDELKSVFRTSFRNVSLCP >Vigun06g153100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27719300:27720034:1 gene:Vigun06g153100.v1.2 transcript:Vigun06g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSCFFIILFLLGFAESQPFTHPFNHTDLQAAIRDMRAKSYYGFAMLLQMLTGKTAQPNGDFTFLMPDDKKLSFSSISADEVGEFLLKHAIPMPLYFSDLSHFPTGTLVPSGNTSQMIRIHNRGKGDFFLNNAKIVSANVCLKSAIKCHGVDAIIDYYN >Vigun10g101600.1.v1.2 pep primary_assembly:ASM411807v1:10:29493381:29494557:1 gene:Vigun10g101600.v1.2 transcript:Vigun10g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPGNYIAAQNWLKITGRFE >Vigun03g285000.1.v1.2 pep primary_assembly:ASM411807v1:3:46625972:46628786:1 gene:Vigun03g285000.v1.2 transcript:Vigun03g285000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNLILVWSLSLTLSLYNLHPTSAQLSPNHYANVCPNLESIVRQVVNTKFQQTFVTVPATLRLFFHDCFVQGCDASVLVASTGNNQAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPQCRNKVSCADILALATRDVIVLSGGPSYTVELGRFDGLVSRASDVNGRLPQPTFNLNQLNSLFAANGLTQTDMIALSGAHTLGFSHCSKFANRIYSFNGQTPVDPSLNKQYATQLQQMCPRNVDPRIAINMDPTTPRRFDNVYYQNLQQGKGLFTSDQILFTDQRSRNTVNSFASNANVFNSNFIAAMTKLGRVGVKTARNGKIRTDCSVL >Vigun04g060700.1.v1.2 pep primary_assembly:ASM411807v1:4:6244508:6246943:-1 gene:Vigun04g060700.v1.2 transcript:Vigun04g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGDAVSTESSVVAKEKRDEEKTVSATDINGDLSAAISKISLHGTRVFPSKKRLIVLDINGLLAAVVSPPPKDREGDITIARRAIFKRPSYLEFLEFCFEKFEVGIWSSRLKKNADRIINCLMPFLKYKLLFCWDLSYCTETHYKTLENKYKNVVFKDLRKLWEKHDPNLPWEKGYYNESNTLLLDDSPYKALLNPPNTSVFPHTFSYHDENDNSLAEGGELRVFLEGLAKAEDMRKYVEEHPFGQEAITEKCESWNFYSQVMQSLSV >Vigun05g027800.1.v1.2 pep primary_assembly:ASM411807v1:5:2245167:2247232:1 gene:Vigun05g027800.v1.2 transcript:Vigun05g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHTLLLPPLEVIREILLRLPVKSLLRFKCVSKSWLSLISDPQFAISHYDLAATPTHRILMRSNDFYAQSIDTNAPFIDRGKGTLCLLRAVHLLLPPPFPPRIPDFFHFDDFRNQPHILGSCRGFILLYYERGDDLILWNPSIGVHKQLPHFQYDNITREFLYGFGYDPSKDDYLITIIPFSSSFSAENETHVFSFKTASWNSVLVNVPYSNPGNYLTFRAGSLFNETLHWLVLSKEKKVLVILAFDLVQKSFSEISLLDHLNTKKCQVCGLRVIGGCLGVCYSDEGSASTEIWVMKEYKVQSSWTKSIVVPTCDFSPICITKDGGIFGSDMRSLEKYNDKGELLQHRAYGVQEELFYCLNLQCAWYRESLLSLPTVIRNTSEDDHQQLIRKIRAANLTRIYS >Vigun07g072200.1.v1.2 pep primary_assembly:ASM411807v1:7:9016786:9024806:1 gene:Vigun07g072200.v1.2 transcript:Vigun07g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDEKLREFIEKHGTGGNWISLPHKAGLKRCGKSCRLRWLNYLRPNLKHGEFSEDEDRIICTLFGSIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKMMGIVNPSALKKPHQVTTLSSMLQNSTPSSSSSSSSLSLKSNHSSNHQPHVAFTGSETISYESLLSGNSCSTFENLYQVNCGFMLGGETSCSSSSDGSCNNNNNNQMNHVKGAELGYVGVGGFGDQIGGLSYLSSEVEDTQKLVCSNGGRTSGWTGEESKLWGESPLEYGLEEIKQLISTSSCNNFMFDDSKTGEMVMYY >Vigun05g004100.1.v1.2 pep primary_assembly:ASM411807v1:5:332050:335672:-1 gene:Vigun05g004100.v1.2 transcript:Vigun05g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTDPLVIGGVIGDVLEPFTTRVSLRILYNNSLQVINCCELKPYQILNQPRVEIGGDDLRTLYTLVMVDPDAPSPGNPNQREYLHWLVTNIPASTGTNFGEEIVSYESPRPSAGIHRIVFALFRQERRQSVQPPGWRQNFNTKDFAEIYSLGLPVAATYFNCRRANEPTARRRQ >Vigun08g091700.1.v1.2 pep primary_assembly:ASM411807v1:8:21380735:21384897:-1 gene:Vigun08g091700.v1.2 transcript:Vigun08g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGWFGPLIDLSKASSHIGDFVQLLVFVHRSFPVQCKSSKRVTTRTDIEVGDDTTPYFLVSLWQKNMASMVAAGDVLLLQNFKIAKYGDAVEARTVQWSSLISLVHPRHSLLSKAVEELVTGCRVGATTKDKLRRVIKWVQQSRSTICNIKLHSNQTQQIERLPRNWTVLEEERPRDCFSLIEVSLLTTSCKAIVCASIGEIVPLHTSRTLGDTKNEKIFISRRVYRTKDANLVDDLICTGCQLCGSPLDSKLEQSAVPLICWKSSTRLHSICSIYRPFMLYLWDESDYMPVLVKNRTAEILFGNIKAGKVYSAYKEQMHNQNLGPRNECKDKDASERPAINPRPSGEGLKSANTLEVDKGLESEDKHLPDKFNFYRVWLILLKMLLKQGKNSPLKFEINIDPSLDIESGKFEMVSAKMPCFGTK >Vigun09g097466.1.v1.2 pep primary_assembly:ASM411807v1:9:14981508:14982472:1 gene:Vigun09g097466.v1.2 transcript:Vigun09g097466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNFNQEQVLNRMSRYQFCIIHLEDEVVGFVDRAFAILYDHELERQWTLTDEEGNRHVVTYNKNLQKPMVIGGWNDLREFYELHDNHNIYFGYVGHSCFHITFFPCKCKPLSIGRFLKRLQADEPLFNGPKLHFCIFLNPNQCHASHLDLPSDFGNYLRQGRFKYILLHGPRKIVKCKLLLRNHPKKSNKIGSGWKEFCTAHGFDQPIDLVFEVDQMKSNQTVKVLTYCNL >Vigun07g030500.1.v1.2 pep primary_assembly:ASM411807v1:7:2793373:2809539:-1 gene:Vigun07g030500.v1.2 transcript:Vigun07g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTESATNSVKAVAFSFLTSDDLRRSSLVKITNPILLNPLLHPVPSGLYDPALGPLDDKSLCKSCGQGSKLCPGHFGHIELVSPVYNPLMFNILSSIIQRTCFSCHHFQASRKEVDMRTTQLELIMKGDIIRAKSLDSIISDDSGDDVESHCHSAEQLGENWTSLQFSEAMSVLKKFLKRQYKKCQNCGVVNPRISKPTFGWFHMNVLSADGARANTFRAVESEINNDDMSLGVGETTDEEDISFAGAAKRDKIKKGNLSHKLAAQNKLSGSLLPSQVKGILELLWENETKLCSYITDIQDQGFGKKAGHSMFFLENIFVPPIKFRPPARAGDDVMEHPQTVLLTKVLQGNISLGDAHINKLDPSKVLSRWMDLQQSVNLLFDSKTASGQRDVAAGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLSYPERVTPWNVMKLRNAILNGPESHPGATHYADKQATVKLPRNGKVLSMISRKLPSSRGVILDQGKISDQEFEGKVVYRHLRDGDVVLVNRQPTLHKPSIMAHIVRVLKGEKTVRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVKPTSGDPIRALIQDHIVSASLLTKKDTFITYEVFNQLLYSSGVSMAGVGSFSGKHGQKVFMTNSECDMFLFPPAIWKPEPLWTGKQVISALLYYITRDAPPFTVEKNAKIPSNFFKTQVREGERYTRRDKSRNKDLPDEDKLLIYKNNLVRGVVDKAQFGDYGIIHTVQEFYGSNVAGNLLSALSRLFTSFLQMHGFTCGVDDLMIIEEKDVERMDQLSSCEEIGDIVHREFIGVLDENNIDTITMQLNIEKKVRSNGEAALTYLDRKMISSLNSRTSSGILKELLSEGILKPSGKNCISLMTTSGAKGSMVNFQQISSHLGQQELEGKRVPRMVSGKTLPCFAPWDCSPRAGGFIIDRFLTGLHPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLECLKVCYDHTVRDADGSIIQFHYGEDGVDVHQTSFINKFEALSSNKGLVYSNGRRELDRSSPYVNKLPDALKGKAKNFFRDSLKQRRNLGLEEEQFLELMEHKYVSCLAQPGESVGVLASQSVGEPATQMTLNTFHLAGRGEMNVTLGIPRLQEIVMAASRDIKTPFMTCPLRTEKSMEDAICLADKLKKITVADIIKSMKVSVVQVAVHGGQVCSIYKLVMKLYKPKQYPKYTDITYEDWEDTLRVFFVRELEDAIESHMALLSKISGIKKFKTDPQSNYSNSSEDAHDNGSESETKGKNNDDDDEDGVVEDTEGYEDLGSDAQKRKRQGTDEVDYEDGLEEEVHDGELSEEIENDEDGSDVDVNENDNAMTLDANNSEGLEKSSKSIAEKNSLKREKKKSRAIIKKYDRAVFVKAEKKHFEIHFKFTGEPHILLAQIAQRTAKKVCIQNFGRVGECKAVTCKESGVIYYGEKRRSRDDIPASVKEKIPALQTSGIHFKTFWELQDDLDVRYIYSNSVHAMLSAYGVEAARETIIREVQNVFKSYGISVNIRHLTLIADFMTHSGGYRPMNRTGSIADCTSPFIKMCFETASKFIVEAAYHGQVDNLETPSSRICLGLPVKVGTGCHDLIQKLEI >Vigun05g292900.1.v1.2 pep primary_assembly:ASM411807v1:5:47917914:47919078:-1 gene:Vigun05g292900.v1.2 transcript:Vigun05g292900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESVENPLHLKSVNHISLICTSVVESINFYQNILGFFPIRRPGSFDFDGAWLFGYGIGIHLLQAEDPSDIPRKKEINPKDNHISFQCESMGAVEKKLEEMEIEYVRATVEEGGVKVDQLFFHDPDGFMIEICNCDVLPVIPLASDMVRSCSRMNLQMLQQRQIHQIVKQDSTFPSGHTKIQNTIV >Vigun03g098000.1.v1.2 pep primary_assembly:ASM411807v1:3:8325651:8327484:-1 gene:Vigun03g098000.v1.2 transcript:Vigun03g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKMTKLFLLFLVLVSFTCLSFALPSAYSIHGRDKLDKFSSEEEVLQLFQVWQKEHGREYSNPEEKAKRFHIFQRNLRYINEMNAKRKSLTQHRLGLNKFADMSPEEFAETYLKQIEMPSNMESRKVQDDDDCQNLPESVDWREKGAVTEVRDQGECQSHWAFSVTGAIEGINKIVTGDLVTLSAQELVDCDPASHGCAGGFYFNAFGYVIDNGGIDTEENYPYTAKNGSCRVNANKVVSIDNLLVVDGTEEGLLCRVSKQPVSVSIDATGLQFYSGGVYGGDNCSKNSRSATLVCLIVGYGSVGGEEYWIVKNSWGKEWGENGYLLIKRNVSDEWPYGVCAINAAAGYPIKEVSSSSPLLSADI >Vigun09g047850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4608968:4609306:-1 gene:Vigun09g047850.v1.2 transcript:Vigun09g047850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEYIAVVLSLCLLSVSMVSPQGVPGVATPPVISGQCPGGSALVLRACVSNVLNITVGIPPPNTSPSCCTILGPLTISQAQICVALALNNFTVPGLFVADVTARLLRTCP >Vigun11g047550.1.v1.2 pep primary_assembly:ASM411807v1:11:7349271:7350582:-1 gene:Vigun11g047550.v1.2 transcript:Vigun11g047550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCHSCFSCTCNGWGKKNPSVSSSGVGGSKSLGLPPICYCGEKSVIRTAKIAKNSGKQFWGCSKYKSGSEDGGCNYFKWWSEDAIEESGNSEKCEGMVDILVKTKESDGDRKMISNLEKSIKIFEKWMKVLIGMVIFVFVVNEVVAELVELEVVVGMVEWKVVAELVELEVAGEMVEWELAAEML >Vigun06g003350.1.v1.2 pep primary_assembly:ASM411807v1:6:1505648:1510828:-1 gene:Vigun06g003350.v1.2 transcript:Vigun06g003350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAGFALDHCWVRSSLPSVFAFLLYFFAPFVAARVLLVCCLFVFLRDTRHNTTNPRIVCRSTSPMVFFLSVFFFQHHW >Vigun04g118100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30062963:30063103:-1 gene:Vigun04g118100.v1.2 transcript:Vigun04g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSLSVPKSGALMQRCSRHFREQKNRFYIIWRCTVFLMRCEDRF >VigunL060214.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:258728:258889:1 gene:VigunL060214.v1.2 transcript:VigunL060214.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun10g132600.1.v1.2 pep primary_assembly:ASM411807v1:10:34230886:34234384:-1 gene:Vigun10g132600.v1.2 transcript:Vigun10g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMKNNFKDPDHLYSPWSFSKLMAFFFLLISISYLFYSLRFVSHTYDCDQPPPHIPTVTHRHTSNNPPPPEQKTPPFEQTNLSHIVFGIGASAKLWKQRKEYIKMWWRPNEMRGVVWLEQRVKTEPGDENSLPLLRISGDTSRFKYRNPKGHRSAIRISRIVSETLRLGMKDVRWFVMGDDDTVFVAENLVKVLQKYDHNQFYYIGSSSESHLQNIYFSYNMAYGGGGFAISYPLAVALEKMQDRCIQRYPGLYGSDDRIQACMAELGVPLTKEKGFHQFDVYGNLFGLLAAHPLAPLVSLHHLDVVEPIFPNVSRVRALKRLSGPMKLDPAGLMQQSICYDKARTWTVSVSWGYAVQIFRGIFSAREMEMPARTFLNWYRRADYTAYPFNTRPVSRHVCQKPFVYFLSEAVYDSAANETSSYYIRVQQNPDCKWKMEDPTRIKSVEVRKKIDPHLWDKAPRRNCCRVRRTKKKGSMVIDVGECRGDEVVEL >Vigun04g038800.3.v1.2 pep primary_assembly:ASM411807v1:4:3260120:3265235:-1 gene:Vigun04g038800.v1.2 transcript:Vigun04g038800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASMRKKVQRKCKIIGYNLKVDALDEILSFASHFEGNEDEAIDVLLKELEKSLKSTIIDKETVHRVVSQLLEVEADVEDSSDPGTSTSSALRVVDAFDFPKYKYDTIRKQFYEHTGTLSIHGEASAKAALYRDRFLLLSQRLSRDQHFSKPAFESEFSHFGSCEISPIQSLVGQTGRKWVMGVISQLEDGHYYLEDLTAAVKINLSSAKITTGLFSENTIVVVEGEMLVEGIFQVLTCGFPPLEDRDKSLKVLAGHDFFCGGTLTKEETIRLEEMEKRAVNDMFVILSDVWLDNEEALTKLETVLDGFESVEVVPSLFVFMGNFCSRPCNLSFHSYSSLRMQFGKLGEMIAARTRLKEQSKFLFIPGPDDAGPSTALPRCALPKYLTEELQKHIPNAIFSSNPCRVKFYTQEIVFFRQDMLHRMRRSCLMSPSTEETDDLFQHLVATITHQSHLCPLPLTVQPIIWNYDHCLYLYPTPHTIVLGDRSQQKAFKYTGITCFNTGSFSIDSTFVAYRPCSQEVELSAL >Vigun04g038800.1.v1.2 pep primary_assembly:ASM411807v1:4:3259985:3265276:-1 gene:Vigun04g038800.v1.2 transcript:Vigun04g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASMRKKVQRKCKIIGYNLKVDALDEILSFASHFEGNEDEAIDVLLKELEKSLKSTIIDKETVHRVVSQLLEVEADVEDSSDPGTSTSSALRVVDAFDFPKYKYDTIRKQFYEHTGTLSIHGEASAKAALYRDRFLLLSQRLSRDQHFSKPAFESEFSHFGSCEISPIQSLVGQTGRKWVMGVISQLEDGHYYLEDLTAAVKINLSSAKITTGLFSENTIVVVEGEMLVEGIFQVLTCGFPPLEDRDKSLKVLAGHDFFCGGTLTKEETIRLEEMEKRAVNDMFVILSDVWLDNEEALTKLETVLDGFESVEVVPSLFVFMGNFCSRPCNLSFHSYSSLRMQFGKLGEMIAARTRLKEQSKFLFIPGPDDAGPSTALPRCALPKYLTEELQKHIPNAIFSSNPCRVKFYTQEIVFFRQDMLHRMRRSCLMSPSTEETDDLFQHLVATITHQSHLCPLPLTVQPIIWNYDHCLYLYPTPHTIVLGDRSQQKAFKYTGITCFNTGSFSIDSTFVAYRPCSQEVELSAL >Vigun04g038800.2.v1.2 pep primary_assembly:ASM411807v1:4:3260120:3265235:-1 gene:Vigun04g038800.v1.2 transcript:Vigun04g038800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASMRKKVQRKCKIIGYNLKVDALDEILSFASHFEGNEDEAIDVLLKELEKSLKSTIIDKETVHRVVSQLLEVEADVEDSSDPGTSTSSALRVVDAFDFPKYKYDTIRKQFYEHTGTLSIHGEASAKAALYRDRFLLLSQRLSRDQHFSKPAFESEFSHFGSCEISPIQSLVGQTGRKWVMGVISQLEDGHYYLEDLTAAVKINLSSAKITTGLFSENTIVVVEGEMLVEGIFQVLTCGFPPLEDRDKSLKVLAGHDFFCGGTLTKEETIRLEEMEKRAVNDMFVILSDVWLDNEEALTKLETVLDGFESVEVVPSLFVFMGNFCSRPCNLSFHSYSSLRMQFGKLGEMIAARTRLKEQSKFLFIPGPDDAGPSTALPRCALPKYLTEELQKHIPNAIFSSNPCRVKFYTQEIVFFRQDMLHRMRRSCLMSPSTEETDDLFQHLVATITHQSHLCPLPLTVQPIIWNYDHCLYLYPTPHTFWVTEVSRKHSSTQESLVLILVPSLLTARLWHIVPALKKLNCQPCSKLGIVIVGLIL >Vigun02g020300.2.v1.2 pep primary_assembly:ASM411807v1:2:7158198:7160137:-1 gene:Vigun02g020300.v1.2 transcript:Vigun02g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSRTGREMQRYNSNGGRQVVGCIPYRYKEDVDGSASNELEVLVVSSQKGQTLMFPKGGWELDESIEEAAYRESLEEAGVTGIVQHELGQWSFISKRHGTYYEGHMFPLLVKEQLDLWPEKDLRRRTWV >Vigun02g020300.1.v1.2 pep primary_assembly:ASM411807v1:2:7157705:7160137:-1 gene:Vigun02g020300.v1.2 transcript:Vigun02g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSRTGREMQRYNSNGGRQVVGCIPYRYKEDVDGSASNELEVLVVSSQKGQTLMFPKGGWELDESIEEAAYRESLEEAGVTGIVQHELGQWSFISKRHGTYYEGHMFPLLVKEQLDLWPEKDLRRRTWMSVDEVREVCHHWWMKEALDILVERLTLQKNRNI >Vigun06g201700.1.v1.2 pep primary_assembly:ASM411807v1:6:31666283:31670605:-1 gene:Vigun06g201700.v1.2 transcript:Vigun06g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGIIFLTTHLCSPSNSISFLSPCPRPLLPQLRTSSRALPLTRIRSSVSSSSATTTTTSELTPDKLPTIDSSVTGGAYDFEKATTSLTNELISSPKKVILLRHGLSTWNAESRIQGSSDLSVLTEVGKEQAERCKKALENIYFDQCFASPISRAKQTAEIVWRGREKPLVYLDSLREIPLYHLEGMTNVDAKRIYPKEYTIWREDPANFVMNGRYPARDLWITARDCWKEMLLSPGESFLVVTHKSILRALICTALGLTPERFRSIDINNGGLCVFNFNVRGEAMLKGLNMTAHMYSDHVYPG >Vigun02g018200.2.v1.2 pep primary_assembly:ASM411807v1:2:6382939:6383525:-1 gene:Vigun02g018200.v1.2 transcript:Vigun02g018200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFYQTLFLGMVIVAMVLPQGSVVAESVTGEQITTQVDRAIECSELKCSVGTQFCSICCRGQGYLDGTCVKGANYYNCQCVKEL >Vigun02g018200.1.v1.2 pep primary_assembly:ASM411807v1:2:6382938:6383526:-1 gene:Vigun02g018200.v1.2 transcript:Vigun02g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFYQTLFLGMVIVAMVLPQVVAESVTGEQITTQVDRAIECSELKCSVGTQFCSICCRGQGYLDGTCVKGANYYNCQCVKEL >Vigun03g318100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51253789:51254683:-1 gene:Vigun03g318100.v1.2 transcript:Vigun03g318100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGKQSYIRLKNEEELEEEIVKREVKKARAWLKFRALAGRRRPRLRVAALRRFLRKRTKFLSKFRVSWRKALKRLRNGQSHMNDLFGGNLLLMQGNLAPFDCGKKPYMAHVLQPSPRTIL >Vigun07g229700.2.v1.2 pep primary_assembly:ASM411807v1:7:35154382:35158785:-1 gene:Vigun07g229700.v1.2 transcript:Vigun07g229700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFCGNFEYDARQSELERLFRRYGKVDRVDMKSGFAFIYMEDERDAEAAIRALDRVEFGRKGRRLRVEWTKHERGVRRPSSSRRPSANGRPSKTLFVINFDTYHTRTRDLERHFEPYGKIVSVRIRRNFAFVQYESEDDASRALEATNMSKLLDRVISVEFAVKDDDDRRNGYSPERGRDRQRDRSRDGRRSPSPYRRERGSPDYGRGPSPYQRERGSPDYGRDRSRSRSPPRRERASPAYGRRSPSPYRREREDSDPVRDSSRSPYHKERGRTDHAISPSHSPEERGRTSPQNGRGSSRSPRDTGKTSPENGHGSGSPNEKGNASPYNGYGRSPNAVPDARDSPNYGGPESPMHERYRSQSPPADE >Vigun07g229700.3.v1.2 pep primary_assembly:ASM411807v1:7:35154399:35158785:-1 gene:Vigun07g229700.v1.2 transcript:Vigun07g229700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFCGNFEYDARQSELERLFRRYGKVDRVDMKSGFAFIYMEDERDAEAAIRALDRVEFGRKGRRLRVEWTKHERGVRRPSSSRRPSANGRPSKTLFVINFDTYHTRTRDLERHFEPYGKIVSVRIRRNFAFVQYESEDDASRALEATNMSKLLDRVISVEFAVKDDDDRRNGYSPERGRDRQRDRSRDGRRSPSPYRRERGSPDYGRGPSPYQRERGSPDYGRDRSRSRSPPRRERASPAYGRRSPSPYRREREDSDPVRDSSRSPYHKERGRTDHAISPSHSPEERGRTSPQNGRGSSRSPRDTGKTSPENGHGSGSPNEKGNASPYNGYGRSPNAVPDARDSPNYGGPESPMHERYRSQSPPADE >Vigun07g229700.1.v1.2 pep primary_assembly:ASM411807v1:7:35154381:35158852:-1 gene:Vigun07g229700.v1.2 transcript:Vigun07g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFCGNFEYDARQSELERLFRRYGKVDRVDMKSGFAFIYMEDERDAEAAIRALDRVEFGRKGRRLRVEWTKHERGVRRPSSSRRPSANGRPSKTLFVINFDTYHTRTRDLERHFEPYGKIVSVRIRRNFAFVQYESEDDASRALEATNMSKLLDRVISVEFAVKDDDDRRNGYSPERGRDRQRDRSRDGRRSPSPYRRERGSPDYGRGPSPYQRERGSPDYGRDRSRSRSPPRRERASPAYGRRSPSPYRREREDSDPVRDSSRSPYHKERGRTDHAISPSHSPEERGRTSPQNGRGSSRSPRDTGKTSPENGHGSGSPNEKGNASPYNGYGRSPNAVPDARDSPNYGGPESPMHERYRSQSPPADE >Vigun11g032400.1.v1.2 pep primary_assembly:ASM411807v1:11:4269093:4270086:-1 gene:Vigun11g032400.v1.2 transcript:Vigun11g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIRGTWWKYSSSSSSLSLSLHYLPSSSSLIIMTLAGKITTEIGVHATAEKWFNLFAKQLHHVQNLAERVHGTKLHHGEDWHHPESIKHWTYVIDGKVTTCLESIESVDEANKTITFKVFDGDIDQHFKVFKIIFQAIDKNNGGAILKWTIEYERVSEEVDPPYGYVEYLHKFTRDIDAHLLKA >Vigun03g149500.1.v1.2 pep primary_assembly:ASM411807v1:3:15448332:15466992:1 gene:Vigun03g149500.v1.2 transcript:Vigun03g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADDPMPTRWSFQDFKFYYDAKFGRKKAEKNGETADEAVVSNGGSLGAVLNGNPHAKKASEMAVYEQFRTEGQNQIHSNGFSPVNMDERPQRSLLPPFESAEMRTLAESLSRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLVQMDGLTRTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPVARRAMFEELLPVQAEEEPIPYDLLVDQTEGYSGSDIRLLCKETAMQPLRRLMSQLEQRQEEVVPEDELPKIGPIRSEDIETALRNTRPSAHLHAHKYDKFNADYGSQILQ >Vigun11g075800.1.v1.2 pep primary_assembly:ASM411807v1:11:22122380:22122989:1 gene:Vigun11g075800.v1.2 transcript:Vigun11g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKVTLVAIVFALIACNGFVLGKEECNESKDCKGKIDLCKDSAICVNKVCRCSVSIVGKQDKKCKTAADCPYCPPGLCDKQHCDVTTGKCSCLC >Vigun06g112100.1.v1.2 pep primary_assembly:ASM411807v1:6:24076135:24078488:-1 gene:Vigun06g112100.v1.2 transcript:Vigun06g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPRVKLGSQGLEVSKLGFGCMGLTGVYNDPVPEEVGISIIKYAFSKGITFFDTSDFYGPHANEVLVGKALRDLPRDQVQIATKFGIVKMVSDNVIVNGSPEYVRSCCEGSLQRLGVSYIDLYYQHRVDTTVPIEDTMGELKKLVEEGKIRYIGLSEASPDTIRRAHAVHPITALQMEWSLWTREIELDIVPLCRELGIGLVPYSPLGRGFFGGKAIVESVPADSFLAIQPRLQGENFDKNKVLYSRIEKLAQKYGCTPSQLALAWILHQGDDLAPIPGTTKIKNLDSNISSCEVKLSENDLREITDAVPISEVAGDWTTDTFVRCSWKFANTPPKA >VigunL080301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000612.1:12736:16819:-1 gene:VigunL080301.v1.2 transcript:VigunL080301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIIVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQSIFAQWTQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWEDLKIIMALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERFYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILPHPKGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGETCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWREYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun02g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17074956:17076458:-1 gene:Vigun02g041900.v1.2 transcript:Vigun02g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASRGFERKAMPLASRGFKRKVTVSLRLPRLKGKDAASHGIGPGVPCAEDAASHGIGPGVMLLTGHGEEEAAQVSQWEETRRGNNLGGSVLVGDTFGGGWQRQ >Vigun04g153600.1.v1.2 pep primary_assembly:ASM411807v1:4:37099770:37119298:1 gene:Vigun04g153600.v1.2 transcript:Vigun04g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSISTITGDKSSNSSNKNRDGSSSLREFKLNESTFLASLMPKKEIGVDRFLDAHPEYDGRGALIAIFDSGVDPAADGLQITSDGKPKVLDVIDCTGSGDIDTSKVVKADADGHICGASGASLVINTSWKNPSGEWHVGYKLVYELFTETLTSRLKKERKKIWDEKNQEEIAKTVKQLADFDQQHIKVEDVRLKRAREDIQNRLDILRKQSESYDDRGPVIDAVVWHDGEVWRVALDTQSLEDDPNSGKLVDFVPLTNYRIERKYGVFSKLDACTFVANVYNDGNVLSIVTDSSPHATHVAGIATAFHPKEPLLNGVAPGAQIISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEGTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPGLSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSDGLEYTWSSRGPTADGDLGVCVSAPGGAVAPVPTWTLQRRMLMNGTSMASPSACGGIALVISAMKAEGIPVSPYSVRIALENTAVPIGDLPEDKLSTGQGLMQVDKAFEYIQKCQNVPCVWYQIKIQQSGKSNPSSRGIYLREASACTQSTEWTVQVNPKFHEDADNLEDLVPFEEYIELHSTEETVVKSPDYLLLTNNGRSFNVLVDPSNLSDGLHYFEVYGIDYKAPWRGPLFRIPITITKPKAVTNLPPQISFSKLLFQPGHIERRYIEVPHGATWAEATMKTSDFDTARRFYVDAVQLCPLQRPLKWESAVIFPSPAAKSFAFRVVSGQTLELVISQFWSSGIGSHESASVDFEVVFHGIKVNQDVILDGSDAPVRIDTETLLVSEELAPVAILNKIRVPYRPIDSKISALSTDRDKLPSGQQILALTLTYKIKLEDGAQIKPHIPLLNDRIYDTKFESQFYMISDSNKRIYSSGDVYPSSSNLPKGEYTLQLYLRHDNVQILEKMRHLVLFIERNLEEKDVIRLSFFSQPDGPLMGNGSFKSSSLVPGIKEGLYIGPPQKEKLPKNSPLGSVLLGTISYGKLSFAGQGENTNPEKHPASYTISYIVPPNKIDEDKGKGSSLSSKKNVSERLNEEVRDTKIKVLASLKQETCEERSEWKELSALLKSEYPKYTPLLATILEGLVSRSNVKDKINHDEEVIGAADEVIDSIDKEELAKFFALKNDPEEEEAENIRKKMELTRDQLAEALYQKGLALAEIESLKLADLTWCILSKDGDKSPTSAATEGAKGGLDKKQSSDDRRDLFEENFKELKKWVDVKSPKYGILLVTRERRAQRLGTALKVLCDIIQDDAEPAKKKFFDLKLSLLDEIGWTHLATYERQWMHVRFPPSLPLF >Vigun04g153600.2.v1.2 pep primary_assembly:ASM411807v1:4:37099770:37119298:1 gene:Vigun04g153600.v1.2 transcript:Vigun04g153600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSISTITGDKSSNSSNKNRDGSSSLREFKLNESTFLASLMPKKEIGVDRFLDAHPEYDGRGALIAIFDSGVDPAADGLQITSDGKPKVLDVIDCTGSGDIDTSKVVKADADGHICGASGASLVINTSWKNPSGEWHVGYKLVYELFTETLTSRLKKERKKIWDEKNQEEIAKTVKQLADFDQQHIKVEDVRLKRAREDIQNRLDILRKQSESYDDRGPVIDAVVWHDGEVWRVALDTQSLEDDPNSGKLVDFVPLTNYRIERKYGVFSKLDACTFVANVYNDGNVLSIVTDSSPHATHVAGIATAFHPKEPLLNGVAPGAQIISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEGTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPGLSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSDGLEYTWSSRGPTADGDLGVCVSAPGGAVAPVPTWTLQRRMLMNGTSMASPSACGGIALVISAMKAEGIPVSPYSVRIALENTAVPIGDLPEDKLSTGQGLMQVDKAFEYIQKCQNVPCVWYQIKIQQSGKSNPSSRGIYLREASACTQSTEWTVQVNPKFHEDADNLEDLVPFEEYIELHSTEETVVKSPDYLLLTNNGRSFNVLVDPSNLSDGLHYFEVYGIDYKAPWRGPLFRIPITITKPKAVTNLPPQISFSKLLFQPGHIERRYIEVPHGATWAEATMKTSDFDTARRFYVDAVQLCPLQRPLKWESAVIFPSPAAKSFAFRVVSGQTLELVISQFWSSGIGSHESASVDFEVVFHGIKVNQDVILDGSDAPVRIDTETLLVSEELAPVAILNKIRVPYRPIDSKISALSTDRDKLPSGQQILALTLTYKIKLEDGAQIKPHIPLLNDRIYDTKFESQFYMISDSNKRIYSSGDVYPSSSNLPKGEYTLQLYLRHDNVQILEKMRHLVLFIERNLEEKDVIRLSFFSQPDGPLMGNGSFKSSSLVPGIKEGLYIGPPQKEKLPKNSPLGSVLLGTISYGKLSFAGQGENTNPEKHPASYTISYIVPPNKIDEDKGKGSSLSSKKNVSERLNEEVRDTKIKVLASLKQETCEERSEWKELSALLKSEYPKYTPLLATILEGLVSRSNVKDKINHDEEVIGAADEVIDSIDKEELAKFFALKNDPEEEEAENIRKKMELTRDQLAEALYQKGLALAEIESLKDGDKSPTSAATEGAKGGLDKKQSSDDRRDLFEENFKELKKWVDVKSPKYGILLVTRERRAQRLGTALKVLCDIIQDDAEPAKKKFFDLKLSLLDEIGWTHLATYERQWMHVRFPPSLPLF >VigunL059319.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000678.1:24374:25755:1 gene:VigunL059319.v1.2 transcript:VigunL059319.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGKGSLKQQLAAIKLVLEDLRSKKDERIKEFLEIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKK >Vigun09g075150.2.v1.2 pep primary_assembly:ASM411807v1:9:8505336:8514421:-1 gene:Vigun09g075150.v1.2 transcript:Vigun09g075150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFKNTTKVFFHMNVLQVSRWMKCLKNITKGSCFSSRIGEMVFGISINGELLQSEFLNEQRDDMKEREATIELRQRIKDKELLLEFLLLLQQRKHEVAEKLQHTISFLCCRETNLIYSR >Vigun09g075150.3.v1.2 pep primary_assembly:ASM411807v1:9:8505336:8514421:-1 gene:Vigun09g075150.v1.2 transcript:Vigun09g075150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFKNTTKVFFHMNVLQVSRWMKCLKNITKGSCFSSRIGEMVFGISINGELLQSEFLNEQRDDMKEREATIELRQRIKDKELLLEFLLLLQQRKHEVAEKLQHTISFLCCRETNLIYSR >Vigun09g075150.1.v1.2 pep primary_assembly:ASM411807v1:9:8505336:8514421:-1 gene:Vigun09g075150.v1.2 transcript:Vigun09g075150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFKNTTKVFFHMNVLQVSRWMKCLKNITKGSCFSSRIGEMVFGISINGELLQSEFLNEQRDDMKEREATIELRQRIKDKELLLEFLLLLQQRKHEVAEKLQHTISFLCCRETNLIYSR >Vigun09g256900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42282644:42283942:1 gene:Vigun09g256900.v1.2 transcript:Vigun09g256900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLKLRNKILKVLPKAVAAVTMTFQNPPFSPGRDHKSKPIVSMIPHEARRKTHDRNGIDGVYSQEPTSPKISCMGQIKHKKKQIKQAKTKSATRPKEVACNKSSTASRDTEVKKHASTFQKMLLFHAAKPKSEGRKSNASAPGDIRDRDDGHGAAARAPHVSQMRRFSSGRDALANFDWRVAQAAAAADEEEIDYYSDDYRVESSDGEEEDEEVMIPFSAPILVGGGVGVLNLKPRKEINLWKRRTMAPPMPLQLHGTN >Vigun08g060600.1.v1.2 pep primary_assembly:ASM411807v1:8:8042850:8049071:1 gene:Vigun08g060600.v1.2 transcript:Vigun08g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQKTEEERIEKIIRSLLKLPENKRCINCNLLGPQYVCTTFSTFVCTNCSGIHREFTHRVKSVSMAKFSPEEVSALQAGGNERANQIFLKEWDPLRNSKPDSSNIQKLREFIKFVYVERKYTGESSTSTLSRIRLSEKEPKRSGSFRLEFRTPHSSPGPTPRSDDKNLRYLYDESRSPRYAQRYSRNGGQIRSPIQIEVVDDRYKDDERRNRRLANIEAKMKKNSSDDLKKVENPQLPVIAAPLGDVSKEKAQPLQIKPPGGKVSVEKKPSEQKNNNPETPPPPIAQPNENNWAIFEASTEDNVPKTPPNTRTSYPSTEKAPSTKNPIDLLLSELSGPVTPMNGGMPQVPSSVNNDPTTTTVQNAITWDFPPTSAGKTTASSNNTSVWPCTSTPATEPAQPSNEAPPHTEVCHAHNPSSVQYLPSVSVGFSSTTQPSNSSVIDVASNNKPSVTPSEKDPSWSFTEQPSQTTSNPTQETKSDPSKVENKASGRHEIPENLFTPSYLSGQAPLPNWQNVQPSGMGYGMQYYHNAVPPSAISNSPMSMNPFDLTDSRNLTHVSSLPSITSVRGAPQALSSPRTALMHTASMGSLVSQSPSYTSPVMGVYFDQVDNQQKPPRPQRAESFNGDITSFGSVDPLQHSNRTFTTCKSSISLSNARGNPFD >Vigun08g060600.2.v1.2 pep primary_assembly:ASM411807v1:8:8042850:8049071:1 gene:Vigun08g060600.v1.2 transcript:Vigun08g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQKTEEERIEKIIRSLLKLPENKRCINCNLLGPQYVCTTFSTFVCTNCSGIHREFTHRVKSVSMAKFSPEEVSALQAGGNERANQIFLKEWDPLRNSKPDSSNIQKLREFIKFVYVERKYTGESSTSTLSRIRLSEKEPKRSGSFRLEFRTPHSSPGPTPRSDDKNLRYLYDESRSPRYAQRYSRNGGQIRSPIQIEVVDDRYKDDERRNRRLANIEAKMKKNSSDDLKKVENPQLPVIAAPLGDVSKEKAQPLQIKPPGGKVSVEKKPSEQKNNNPETPPPPIAQPNENNWAIFEASTEDNVPKTPPNTRTSYPSTEKAPSTKNPIDLLLSELSGPVTPMNGGMPQVPSSVNNDPTTTTVQNAITWDFPPTSAGKTTASSNNTSVWPCTSTPATEPAQPSNEAPPHTEVCHAHNPSSVQYLPSVSVGFSSTTQPSNSSVIDVASNNKPSVTPSEKDPSWSFTEQPSQTTSNPTQETKSDPSKVENKASGRHEIPENLFTPSYLSGQAPLPNWQNVQPSGMGYGMQYYHNAVPPSAISNSPMSMNPFDLTDSRNLTHVSSLPSITSVRGAPQALSSPRTALMHTASMGSLVSQSPSYTSPVMGVYFDQVDNQQKPPRPQRAESFNGDITSFGSVDPLQHSNRTFTTCKSSISLSNARGNPFD >Vigun09g186100.2.v1.2 pep primary_assembly:ASM411807v1:9:35944986:35950756:-1 gene:Vigun09g186100.v1.2 transcript:Vigun09g186100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQVLASRTKIYIILEFITGGELFDKIIHHGRLSEGDSRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNIKISDFGLSALPEQGVSILRTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLLAGYLPFDELDLTTLYSKIERAEFSCPPWFPVGAKMLINRILDPNPETRITIEQIRNDEWFQRSYVPVSLLEYEDVNLDDINAAFDEAEEQRADQQCDNEDMGPLMLNAFDLIILSQGLNLGTIFDRGQDSVKYQTRFVTQKPAKVVLSSMEVVAQSMGYKTHIRNYKMRVEGVSANKTSYFSVIMEIFEVAPTFYMVDIQKAAGDTGEYLKFYKNFCSNLEDIIWKPPHEATKSRISKTKSKRR >Vigun09g186100.1.v1.2 pep primary_assembly:ASM411807v1:9:35944986:35950756:-1 gene:Vigun09g186100.v1.2 transcript:Vigun09g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLYEVLASRTKIYIILEFITGGELFDKIIHHGRLSEGDSRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNIKISDFGLSALPEQGVSILRTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLLAGYLPFDELDLTTLYSKIERAEFSCPPWFPVGAKMLINRILDPNPETRITIEQIRNDEWFQRSYVPVSLLEYEDVNLDDINAAFDEAEEQRADQQCDNEDMGPLMLNAFDLIILSQGLNLGTIFDRGQDSVKYQTRFVTQKPAKVVLSSMEVVAQSMGYKTHIRNYKMRVEGVSANKTSYFSVIMEIFEVAPTFYMVDIQKAAGDTGEYLKFYKNFCSNLEDIIWKPPHEATKSRISKTKSKRR >Vigun06g147100.1.v1.2 pep primary_assembly:ASM411807v1:6:27223418:27228063:-1 gene:Vigun06g147100.v1.2 transcript:Vigun06g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRNIVLLLLSITVVAPIVLYTDRLGTFEPPSDKQEFVEDVTTHAFSAADSRHLNLLPQETSTTVKEPVRVVYTEEDSINRRNFPRGLQLVKSREHLSARVLSTTDEDQTKKENPIKLVTGGIRQGNQVGGSLEKGDGTGENVNGEVAIDVDGNDGKLARSTSLSTQDPQIKEQPTEPSSKAKQKGSRLSETNKHNDQTPSDYRVKQLKDQLIQAKVFLSLPVVKSNPHLTRELRLRVKDVSRTLGDASKDSELPRNANERIKAMEQTLMKGKQAQDDCAAVVKKLRAMLHSTEEQLHVLKKQTLFLTQLTAKTLPKGLHCLPLRLTTEYHNMNSSEQEFPNQENLEEPQLYHYAIFSDNILATAVVVNSTVTNAKDASKHVFHIVTDRLNYAAMRMWFLVNPPGKATIQVQNIEDFTWLNSSYSPVLKQLGSQSMIDYYFKAHRATSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTDLWSIDLKGNVNGAVETCGESFHRFDRYLNFSHPLIAKNFDPHACGWAYGMNIFDLVEWKRQNITEVYHNWQNLNRDRQLWKLGTLPPGLITFWKRTFPLNRSWHVLGLGYNPNVDHKDIEQSAVVHYNGNMKPWLEISIPKFRSYWTKYVDYNHLYLRECNINP >Vigun06g147100.2.v1.2 pep primary_assembly:ASM411807v1:6:27223418:27228063:-1 gene:Vigun06g147100.v1.2 transcript:Vigun06g147100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRNIVLLLLSITVVAPIVLYTDRLGTFEPPSDKQEFVEDVTTHAFSAADSRHLNLLPQETSTTVKEPVRVVYTEEDSINRRNFPRGLQLVKSREHLSARVLSTTDEDQTKKENPIKLVTGGIRQGNQVGGSLEKGDGTGENVNGEVAIDVDGNDGKLARSTSLSTQDPQIKEQPTEPSSKAKQKGSRLSETNKHNDQTPSDYRVKQLKDQLIQAKVFLSLPVVKSNPHLTRELRLRVKDVSRTLGDASKDSELPRNANERIKAMEQTLMKGKQAQDDCAAVVKKLRAMLHSTEEQLHVLKKQTLFLTQLTAKTLPKGLHCLPLRLTTEYHNMNSSEQEFPNQENLEEPQLYHYAIFSDNILATAVVVNSTVTNAKDASKHVFHIVTDRLNYAAMRMWFLVNPPGKATIQVQNIEDFTWLNSSYSPVLKQLGSQSMIDYYFKAHRATSDSNLKFRNPNCAEGSD >VigunL019950.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000253.1:182770:186655:1 gene:VigunL019950.v1.2 transcript:VigunL019950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTTLSLSINPSLSPPFPSPATLITTPSSFSTFRQNSLRFAFYRSFGLCSLQGSSSAKSRKSFALGATEMTIAEIVCWRSGGGRGSSDFSLLDPESNSRPRRIALFVEPSPFAYKNRFQNFIKYLREMGDEVMVVTTHEGVPKEFHGAKLIGSRSFPCPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVITAIGRDLEAARATAANKIRLWNKGVDSESFHPRFKSHEMRLRLSNGEPDKPLIVHVGRLGVEKSLDFLRSLMDSLPEARIAFIGDGPYSGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPEDQDGKIGFLYTPGDLEDCLSKLKPLLDDKDLRETMGIAARLEMEKYDWRAATRKIRNENYNAAIWFWNKKGLNY >Vigun09g027350.1.v1.2 pep primary_assembly:ASM411807v1:9:2195645:2197979:1 gene:Vigun09g027350.v1.2 transcript:Vigun09g027350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTPAVESKTQFSPLPQDIEEHHVEINDGNIVRVEPCEEEHLDWDGAVIFLRLIMTSMLLIGLALHQRKLYQSTFDPIPPKFFLDSFEVPHLEVSEGEVSSTWVMNVTIWNVMNFSDINIINLEARISYEENETLAVITPIMPQYVLSKEVSLLENGATKKVHLNLSTTGWEENQPIVDDTVVQAIDEDMQRGTTRFSLHMIIIGEVSLGNGWVQTFTMHPKCTDLVVKVVPGNTTTITHHRPKECVGLGFPSRASVFSDHGHRISFTFRHTVSWDHYYLGIVSAYSDHCVDGFNHLEGSLCVWRSQSCRPWIATVEQVNLW >Vigun08g098300.1.v1.2 pep primary_assembly:ASM411807v1:8:23789727:23794595:-1 gene:Vigun08g098300.v1.2 transcript:Vigun08g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSSLPTTFFIISFLLPTLLLAESTQPPHSCDSSSNSPYYAFCNTKLPIPQRAKDLVSRLTLDEKLAQLVNSAPAIPRLGIPKYQWWSEALHGVADAGLGIRFNGTIKSATSFPQVILTAASFDQNLWYNISKAIGREARAVYNAGQALGMTFWAPNINVFRDPRWGRGQETVGEDPLMNAKYSVAYVRGLQGDSFEGGKLGERLQASACCKHFTAYDVDQWKGLDRFVFDAQVSKQDLADTYQPPFQSCIEQGRASGIMCAYNRVNGVPNCADFNLLTKTARQQWKFDGYITSDCGAVSIIHEKQGYAKTAEDAIADVFRAGMDVECGDYITKHAKSAVSQKKLTISQIDHALQNLFSIRMRLGLFDGNPTKLPYGTIGPNEVCSKEHLQLALEAARDGIVLLKNTDSLLPLPKTSHSVAVIGPNANASSLVSLGNYYGRPCKLVTLLQGFEGYAKDTTYHPGCDDGPQCASARIEEAVEVARKVDYVVLVMGLDQSQERESHDREYLGLPGKQEELIKSVAEASKRPIVLVLLCGGPVDITSAKFDKKVGGILWAGYPGELGGVALAQVIFGDHNPGGKLPITWYPKDFIRIPMTDMRMRADSASGYPGRTYRFYTGPKVYEFGYGLSYTKYSYHLLSLSHNTLHINQSSTHLTTENSETIRYKLVSDLGEQTCESMSLSITLGVTNHGNMAGKHPVLLFLKKGQVRNGNPVKQLVGFQSVKLNAGETAQVGFELSPCEHLSVANEAGSLVIEEGSYLLLVGDQEYPLKVTV >Vigun08g049100.1.v1.2 pep primary_assembly:ASM411807v1:8:5498001:5501995:-1 gene:Vigun08g049100.v1.2 transcript:Vigun08g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIMQEEGNQEEAYGESVEEYKPKRGVRECLCIPIQWFSMLCREMHWSFVFGVVVIYGISQGIGVALAEVGTKYYMKDVQKVQPSEAQVYKGITSIPWIVKPIWGLLTDVLPFFGYRRRPYFIFAGIIGVVSMLLLSLHENLHLVLALLSLTAGSAGVAIADVTIDACVAQNSISHPSLAADMQSLCAASSSVGSLFGYFISGIFVHLIGPMGVFGLMTIPAGLVVSVGFLLSEPRMHHTSYRQVKQNFVDASKSMWTTLKSEDVWRPCLYMYLSITLSLDVREGMFYWYTESKGGPSFSQESVGFIFSISSVGALLGAILYQYALKEYAFRSLLFWTQLIYGLSGMLDLVLVLRWNLKFGIADYVFVVIVESIAQMTNRLKWMPMLVLSSKLCPSGIEGTFFALLMSIDNVGLLSASWGGGFVLHILRITRTRFDNIWLAILIRNILRITPLWLLFLVPRADPTSSILPTTETMDSKVAIETSDTTNVELVSLVHSVDAK >Vigun09g210500.1.v1.2 pep primary_assembly:ASM411807v1:9:38464378:38466915:1 gene:Vigun09g210500.v1.2 transcript:Vigun09g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNPAFVMNVGEGSIMILSNGSYQRSSSMTNKDDTFYYKPPSETLNLSIIKLDASSFRIEVANEATVAKLKQAVEEIFAHVPLKGAGKILWPLVWRQFCLSYQGHKLLTETDYLRDYGIKDGDQLHFVRHISDTGSYQSKQSKKRDFNLKNKHRRSSHQLNIYQRAELNDDEDDIGLNGIVIEKGNIQKYKAEQIRGGKSWLSSFLGRLFSNSRLPVVRRQGTEGRICCSMIARFIVGSFTKTRRVLRYWRRQHYSRRPTWREF >Vigun04g088500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15479917:15480390:-1 gene:Vigun04g088500.v1.2 transcript:Vigun04g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEFEFPATDCSGCIADDVVFCGKVITRRTEPGPAPQSETKARVLPRSESSGWTSGIVTWLRSPSGKEKRWRRSASCRKRKSEMFGTVLKFPLQMELSDIKTRQDRRECPLPPLPPRVPEKDDDDEGGESCWELVRPLRRGGTFKNLLFRCIPIL >VigunL022100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:7513:7821:1 gene:VigunL022100.v1.2 transcript:VigunL022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >VigunL009400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:15079:15663:1 gene:VigunL009400.v1.2 transcript:VigunL009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMPRKMLFAAIPSICALSSKKISIYNEEMIVARCFIGFIIFSRKSLGNTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQCISKAEKIELIRESLVVLRMVRVGGSIKNK >Vigun03g378500.2.v1.2 pep primary_assembly:ASM411807v1:3:58246739:58253685:1 gene:Vigun03g378500.v1.2 transcript:Vigun03g378500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPATTGETKKIAVRSSIVESLRGCGLSGMRIDKEELKKQLTMPRYLRYAMRDSIRLQDPAAGESRYINRADGEDSAAPPCPMVVFINPNSGGRHGPALKERLQQLMSEEQVFDLSDVKPHEFVRYGLSCLEMLASLGDSCAKETRERIRVMVAGGDGTVGWVLGCLTELRTQAREPVPPVGIIPLGTGNDLSRSFDWGGSFPFAWRSAIKRILQRASTGSVNRLDSWRVSLAMPEGTSVKLPHCLKPTEQFTLDQSFEIKGALPETVASYEGVYYNYFSIGMDAQVAYGFHHLRNERPYLASGPISNKIIYSGYSCTQGWFFTPCVSDPGLRGLKNILRMHIKKINSSEWEQIAIPTSVRAIVALNLHSYGSGRNPWGKPKPEYLEKKGFVEADVADGLLEIFGLKQGWHASFVMVELISAKHLAQASAIRLEVRGGRWKNAYMQMDGEPWKQPLSKEFTTFVDIKREPFQSLVISGKK >Vigun03g378500.1.v1.2 pep primary_assembly:ASM411807v1:3:58246739:58253685:1 gene:Vigun03g378500.v1.2 transcript:Vigun03g378500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSQMLLSNGVLVNTHWRFEKKMDDSIQTLLSSTNEENRAQKRGEPEGLPQRRKESSGRREKRKPKKKMGSPATTGETKKIAVRSSIVESLRGCGLSGMRIDKEELKKQLTMPRYLRYAMRDSIRLQDPAAGESRYINRADGEDSAAPPCPMVVFINPNSGGRHGPALKERLQQLMSEEQVFDLSDVKPHEFVRYGLSCLEMLASLGDSCAKETRERIRVMVAGGDGTVGWVLGCLTELRTQAREPVPPVGIIPLGTGNDLSRSFDWGGSFPFAWRSAIKRILQRASTGSVNRLDSWRVSLAMPEGTSVKLPHCLKPTEQFTLDQSFEIKGALPETVASYEGVYYNYFSIGMDAQVAYGFHHLRNERPYLASGPISNKIIYSGYSCTQGWFFTPCVSDPGLRGLKNILRMHIKKINSSEWEQIAIPTSVRAIVALNLHSYGSGRNPWGKPKPEYLEKKGFVEADVADGLLEIFGLKQGWHASFVMVELISAKHLAQASAIRLEVRGGRWKNAYMQMDGEPWKQPLSKEFTTFVDIKREPFQSLVISGKK >Vigun08g164700.1.v1.2 pep primary_assembly:ASM411807v1:8:33679809:33682359:1 gene:Vigun08g164700.v1.2 transcript:Vigun08g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIRANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRIAAQQATAA >Vigun05g253700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44843046:44844030:1 gene:Vigun05g253700.v1.2 transcript:Vigun05g253700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun03g448800.1.v1.2 pep primary_assembly:ASM411807v1:3:64977359:64984669:1 gene:Vigun03g448800.v1.2 transcript:Vigun03g448800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGGQITVSSTVQLHGMGCGRNWKVVKFCNGELMGRKLHLKTAYSKNLNPQHHICMSLATDLLSTESKLRDLEMERRNARTVLAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHIARAYNSGNGVTFGDGYVEVLAATQTPGEAGKKWFQGTADAVRQFHWLFEDPRSKGIEDVLILSGDHLYRMDYMDFVKNHRESGADITLSCLPMDDSRASDFGLMKIDNKGRILSFSEKPKGEELKSMKVDTTVLGLSKDEAQKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEVIPASAREYYMKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPMYTSRRNLPPSKIDNSKIVDSIISHGSFLNNSLIEHSVVGIRSRINSNVHLKDTVMLGADFYETDAEVAELLAEGRVPIGIGENTKIKDCIIDKNARIGKNVVIANSEGIKEADRSSEGFYIRSGVTVVLKNSVIEDGLVI >Vigun04g012100.1.v1.2 pep primary_assembly:ASM411807v1:4:895529:897952:1 gene:Vigun04g012100.v1.2 transcript:Vigun04g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGLAVLLLCSSSMMANGAVSEISWRQISVINGEGPYIGIVVPNTFELDPLLRSSRFLPHHNFPYFDYAGKHFRIGVLENKRVVVVMCGLGMLNAGISTQLLLTLFDVEGVLHYGIAGNANPKFQIGDVTIPQYWAHTGLWHWQRLGEVNGDFNTKFGYLEFSDYSNSTKDFNTDTNLLNKVWYQPEEIFPVNGIPEAGHHIFWTPVDKTYFKMAGKLKNVKLESCVNTTCLPRKPIVTRVEKGVAANVFVDNKAYREFLYSKFDATTIDMESAAVALVCLQQKKPFIAIRALSDLAGGGSALSNEINVFSSLASQNSFEVLVKFISLLN >Vigun06g035300.1.v1.2 pep primary_assembly:ASM411807v1:6:14845841:14850348:-1 gene:Vigun06g035300.v1.2 transcript:Vigun06g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAENGDFSVHVTNEEVVAALLPMQEHWLPLSNLDLLLPPVDVGIFFCYNNPILTSTTMEDCATNKMIFGTMVGSLKKALAQTLISYYVLAGEVVLNNMGEPEVLCNNRGVDFLEAEADIELKNLNFYNPDQSIEGKFVPKKKNGVLVVQTTALKCGGIIVACTFDHRIADAYSTNMFLVSWAAMANPTTTILAATSCHPCFRRSLLSPRRPGSIHPSLHQMYTSISHLPPPPTTAGTTLLSRIYYVTAEQLQHMQSLAATRTKLECFSAFLWKMVARAASRDTNSKRVTAKMGIVVDGRKRLGNGDKESEAMMECYFGNVLSIPFGGKLVEELVKEPLGYVVEAVHEFLAAAATEEHFLGLIDWVEAKRPIPGVSRIYCNRADEGPAFVVSSGQRFPVEKVDFGWGKAVFASYHFPWGGEAGYVMPMPSPLGNGDWVVYMHLAEKHLDIIETEAAHVFKPLTWDYLMQ >Vigun03g254000.2.v1.2 pep primary_assembly:ASM411807v1:3:42039821:42044679:1 gene:Vigun03g254000.v1.2 transcript:Vigun03g254000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTRQPRIRKSSRPSWTLTLSLLVTCCFLVLILLTLRILSASNANSSTALSKPNDLSSIARTSHVQEDDNQGERWVEIISWEPRAFLYHNFLSKEECEYLINIAKPKMQKSSVVDAKTGKSMDSRVRTSSGAFMARGRDQIVRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDNVNTKNGGQRIATVLMYLSDVEEGGETVFPDAKGNVSSVPWWNELSECGKKGLSIKPKMGTALLFWSMKPDATLDPLSLHGGCPVIKGNKWSCTKWMRLKPYKA >Vigun03g254000.3.v1.2 pep primary_assembly:ASM411807v1:3:42039821:42044678:1 gene:Vigun03g254000.v1.2 transcript:Vigun03g254000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTRQPRIRKSSRPSWTLTLSLLVTCCFLVLILLTLRILSASNANSSTALSKPNDLSSIARTSHVSQEDDNQGERWVEIISWEPRAFLYHNFLSKEECEYLINIAKPKMQKSSVVDAKTGKSMDSRVRTSSGAFMARGRDQIVRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDNVNTKNGGQRIATVLMYLSDVEEGGETVFPDAKGNVSSVPWWNELSECGKKGLSIKPKMGTALLFWSMKPDATLDPLSLHGGCPVIKGNKWSCTKWMRLKPYKA >Vigun03g254000.4.v1.2 pep primary_assembly:ASM411807v1:3:42039821:42044678:1 gene:Vigun03g254000.v1.2 transcript:Vigun03g254000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTRQPRIRKSSRPSWTLTLSLLVTCCFLVLILLTLRILSASNANSSTALSKPNDLSSIARTSHVQEDDNQGERWVEIISWEPRAFLYHNFLSKEECEYLINIAKPKMQKSSVVDAKTGKSMDSRVRTSSGAFMARGRDQIVRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDNVNTKNGGQRIATVLMYLSDVEEGGETVFPDAKGNVSSVPWWNELSECGKKGLSIKPKMGTALLFWSMKPDATLDPLSLHGGCPVIKGNKWSCTKWMRLKPYKA >Vigun03g254000.1.v1.2 pep primary_assembly:ASM411807v1:3:42039821:42044678:1 gene:Vigun03g254000.v1.2 transcript:Vigun03g254000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTRQPRIRKSSRPSWTLTLSLLVTCCFLVLILLTLRILSASNANSSTALSKPNDLSSIARTSHVSQEDDNQGERWVEIISWEPRAFLYHNFLSKEECEYLINIAKPKMQKSSVVDAKTGKSMDSRVRTSSGAFMARGRDQIVRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDNVNTKNGGQRIATVLMYLSDVEEGGETVFPDAKGNVSSVPWWNELSECGKKGLSIKPKMGTALLFWSMKPDATLDPLSLHGGCPVIKGNKWSCTKWMRLKPYKA >Vigun11g190500.1.v1.2 pep primary_assembly:ASM411807v1:11:39000650:39004082:1 gene:Vigun11g190500.v1.2 transcript:Vigun11g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCDLQINIDGQQIFLLKEKVISKYCGGLKKILNHRKRRGHGKQELGIRINDFPGGPEGFELVSMFCYNNGKIQITEANVCLLHCCAVYLGMTEEILSNNLLQQTETFLERIFNWEWSDTLVILKSCERFYAYADGYGLLEKIISVLAKFVQNSDSNLLMSSSPSASSSSSSPDTNSAKRFSFSSKKTTPEKLKSTLSRKAWWFDDLATLPPNIIEKLVQAIGAYKADNKDLALTRFLLHYLKLATQTRVVNCSNNSEYGALAETATYGVISVGKKMFSCRGLFWVLRIVSKFGLSRDVRRELEKVIGGVIEEATLDDLLVSGHDMGVYYDINLVIRLVRVFVDMNGSDSDDGMCLQKQKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCYDGVYKAIDIYLQSHPSITFEERTRLCRCLNYSKLTFEASKDLAKNPRIPPRVAMQALISQQSKVPTTDYVTETPRVKPSQLVLYNEKTRNSITQEKKDMELNIDKMQWGVIELAKLHKEMNNHVSKLILLDPARTSSSPRFC >Vigun11g190500.2.v1.2 pep primary_assembly:ASM411807v1:11:39000648:39004082:1 gene:Vigun11g190500.v1.2 transcript:Vigun11g190500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCDLQINIDGQQIFLLKEKVISKYCGGLKKILNHRKRRGHGKQELGIRINDFPGGPEGFELVSMFCYNNGKIQITEANVCLLHCCAVYLGMTEEILSNNLLQQTETFLERIFNWEWSDTLVILKSCERFYAYADGYGLLEKIISVLAKFVQNSDSNLLMSSSPSASSSSSSPDTNSAKRFSFSSKKTTPEKLKSTLSRKAWWFDDLATLPPNIIEKLVQAIGAYKADNKDLALTRFLLHYLKLATQTRVVNCSNNSEYGALAETATYGVISVGKKMFSCRGLFWVLRIVSKFGLSRDVRRELEKVIGGVIEEATLDDLLVSGHDMGVYYDINLVIRLVRVFVDMNGSDSDDGMCLQKQKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCYDGVYKAIDIYLQSHPSITFEERTRLCRCLNYSKLTFEASKDLAKNPRIPPRVAMQALISQQSKVPTTDYVTETPRVKPSQLVLYNEKTRNSITQEKKDMELNIDKMQWGVIELAKLHKEMNNHVSKLILLDPARTSSSPRFC >Vigun11g190500.4.v1.2 pep primary_assembly:ASM411807v1:11:39000727:39004082:1 gene:Vigun11g190500.v1.2 transcript:Vigun11g190500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISKYCGGLKKILNHRKRRGHGKQELGIRINDFPGGPEGFELVSMFCYNNGKIQITEANVCLLHCCAVYLGMTEEILSNNLLQQTETFLERIFNWEWSDTLVILKSCERFYAYADGYGLLEKIISVLAKFVQNSDSNLLMSSSPSASSSSSSPDTNSAKRFSFSSKKTTPEKLKSTLSRKAWWFDDLATLPPNIIEKLVQAIGAYKADNKDLALTRFLLHYLKLATQTRVVNCSNNSEYGALAETATYGVISVGKKMFSCRGLFWVLRIVSKFGLSRDVRRELEKVIGGVIEEATLDDLLVSGHDMGVYYDINLVIRLVRVFVDMNGSDSDDGMCLQKQKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCYDGVYKAIDIYLQSHPSITFEERTRLCRCLNYSKLTFEASKDLAKNPRIPPRVAMQALISQQSKVPTTDYVTETPRVKPSQLVLYNEKTRNSITQEKKDMELNIDKMQWGVIELAKLHKEMNNHVSKLILLDPARTSSSPRFC >Vigun11g190500.3.v1.2 pep primary_assembly:ASM411807v1:11:39000727:39004082:1 gene:Vigun11g190500.v1.2 transcript:Vigun11g190500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCDLQINIDGQQIFLLKEKVISKYCGGLKKILNHRKRRGHGKQELGIRINDFPGGPEGFELVSMFCYNNGKIQITEANVCLLHCCAVYLGMTEEILSNNLLQQTETFLERIFNWEWSDTLVILKSCERFYAYADGYGLLEKIISVLAKFVQNSDSNLLMSSSPSASSSSSSPDTNSAKRFSFSSKKTTPEKLKSTLSRKAWWFDDLATLPPNIIEKLVQAIGAYKADNKDLALTRFLLHYLKLATQTRVVNCSNNSEYGALAETATYGVISVGKKMFSCRGLFWVLRIVSKFGLSRDVRRELEKVIGGVIEEATLDDLLVSGHDMGVYYDINLVIRLVRVFVDMNGSDSDDGMCLQKQKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCYDGVYKAIDIYLQSHPSITFEERTRLCRCLNYSKLTFEASKDLAKNPRIPPRVAMQALISQQSKVPTTDYVTETPRVKPSQLVLYNEKTRNSITQEKKDMELNIDKMQWGVIELAKLHKEMNNHVSKLILLDPARTSSSPRFC >Vigun08g057500.1.v1.2 pep primary_assembly:ASM411807v1:8:7249527:7255731:-1 gene:Vigun08g057500.v1.2 transcript:Vigun08g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFGGVISDIKGRAACYKHDWTSALCSGIKILAPTFYIFFASALPVIAFGEQLNRDTGGSLSTVETLASTAICGIIQSIFGGQPMLILGVAEPTVIMYTILYQFCIKSPDLGVEMFLPWAGWVCVWTAIMLILLATFNACTVITKFTRLAEELFGMLITVLFFQEAIRGVKGEFETPISEHASAEDHQFQWLYINGLLAVIFSFGILLTAMVSRRARTWRYGTGWMRAIVADYGIPLMVLLWTGLSYTVPNKVPSSVPRRLVCPLPWEPASLYHWTVIMDMGKVPVLYIFAAIIPAIMVAGLYFFDHSVASKMSQQKEYNLQKPSAYHYDMFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQMIRKKVVKSAQDCIKQQGTGTELYGAMEAVFVEMDSEPSTKDLNDLKEAVMQSSDSNGETPAFDPEKHIDAYIPERVNEQRMSNFLQSLLVGLSIFAVSIIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFIAPSRRSKILESPHASFVESVPFKTIALFTVLQCVYFLVCYGVQLIPIGGILFPLPFFLLIPIREYLLPRIFKPADLQELDAAEYEEILGVPNEIKDPSEDDNNAEILDEITTYRGELKHRTVSQRKNRSFNEDKQ >Vigun05g009100.2.v1.2 pep primary_assembly:ASM411807v1:5:756440:760557:1 gene:Vigun05g009100.v1.2 transcript:Vigun05g009100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAPa MASWWDLRRLFGFQSIRTRFQDFRTREVQLGSHTVSSHGYAVARTHKHDWLILLLLVLVAIGLYVVHPFHRFVGKDMMTDLRYPLKSNTVPVWSIPIYAVLLPIVIFLVVYIRRRDVYDLHHAVLGLLFSLLITAVITEAIKNGVGRPRPDFFWRCFPDGKDVYDKLGDVICHGEKGVVKEGYKSFPSGHTSWSFSGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLFASLVGISRVDDYWHHWQDVFAGGLLGLTVSTFCYLQFFPPPYHSEGWGPYAYFRMLEESRQMTQVPNVPNSGHAQLTEVQAEGEEGQGCHGCMGLSLSRDRNATLNDIESGRG >Vigun05g009100.1.v1.2 pep primary_assembly:ASM411807v1:5:756440:760557:1 gene:Vigun05g009100.v1.2 transcript:Vigun05g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAPa MASWWDLRRLFGFQSIRTRFQDLSSRRLGISAVSGAHFSSINFPLIDKKNEEDFRTREVQLGSHTVSSHGYAVARTHKHDWLILLLLVLVAIGLYVVHPFHRFVGKDMMTDLRYPLKSNTVPVWSIPIYAVLLPIVIFLVVYIRRRDVYDLHHAVLGLLFSLLITAVITEAIKNGVGRPRPDFFWRCFPDGKDVYDKLGDVICHGEKGVVKEGYKSFPSGHTSWSFSGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLFASLVGISRVDDYWHHWQDVFAGGLLGLTVSTFCYLQFFPPPYHSEGWGPYAYFRMLEESRQMTQVPNVPNSGHAQLTEVQAEGEEGQGCHGCMGLSLSRDRNATLNDIESGRG >VigunL023100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:17586:18587:-1 gene:VigunL023100.v1.2 transcript:VigunL023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoA MVQEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFMPVRNVNYSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASQNLIDLFLPFLHAEEDNFHLEKNQDKVTLPLFTFHDILVKDKLRKNKKEIALKSIFIDQLELPPRIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun11g025201.1.v1.2 pep primary_assembly:ASM411807v1:11:3271085:3271703:-1 gene:Vigun11g025201.v1.2 transcript:Vigun11g025201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQCSSCNVGGNQNPSVSFYGGSGSIDVGVSVMSYCGEKATLRTARTLKNNGKKFWGCPKYKSGSDQCGGCNYFKWFTDNEIEEKGWSSQKIEEMGGGKLKIEEKVGLSIKNVEEMGYGGNMNAKNTAAVRSVVAEEMEKCMKSIENRLSVLTGVVGVLCVLNIIVVYVLVTKP >Vigun04g126500.1.v1.2 pep primary_assembly:ASM411807v1:4:31948996:31949244:1 gene:Vigun04g126500.v1.2 transcript:Vigun04g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRDGYVHCSEEKKNRLKEGDKIVFEVDHLQKQPIIEVFINGCNCDVAKSINLV >Vigun03g219950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36589432:36591102:1 gene:Vigun03g219950.v1.2 transcript:Vigun03g219950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLLGFHISFIWAEIGFRHLYFAHPAFNLAPLFCVLFPFRLYTPCMLFPLLLLCIFVLGFIACKHHCSSLVTCCTPYLLTLTPFAFLLSLFGLVLYFICCTPILTSPPLFSFHTPP >Vigun06g151500.1.v1.2 pep primary_assembly:ASM411807v1:6:27602946:27606241:1 gene:Vigun06g151500.v1.2 transcript:Vigun06g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSPPSPKPNTTLLTLPYPTLPHSPTLNSFLFLLFLLSTHLTFLFYYHTIIVLPHSLPFLFLLFPFFFLSLLTPSLSLSHCTTTMGNVNGRDDVNGSPSETDGEEEEDDDEAAASAPTHAVADCMSANPGYRAPPSDMMGHSPPASPRTTQSPFMFAPQVPVVPLQRPDEMHTPSPSWMQTTSGYEDMYSELGIPTMITWSYDGKEVAVEGSWDNWKTRMSLQRSGKDFTIMKVLPSGVYQFRFIVDGQWRYAPDLPWAQDDAGNAYNILDLQDYVPEDIGSISSFEPPKSPDSSYNNLQLSSEDYAKEPPLVPPYLQMTLLNIPSTNMEIQPLTARPQHVVLNHLYMQKGKGSPSVVALGTTHRFRAKYVTVVLYKSLQR >Vigun08g035500.1.v1.2 pep primary_assembly:ASM411807v1:8:3414012:3420941:-1 gene:Vigun08g035500.v1.2 transcript:Vigun08g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGVALEEGKERSSQSKPLRPLRLLVLFFSLCVVFSVISIYTVKHFGIESVATTMSSNFQPCYYEEHGGLDKWIRPPLSLIHNMSDKELLWRASFVPRIKDYPYPRIPKIAFMFLTKGPLPLAPLWERFFKGHEKLFSIYIHSLPSYQPQFPPTSVFYGRQIPSQVSEWGRMSMCDAERRLLGNALLDMSNEWFILVSESCIPLYNFSFIYHYTMKSKHSFMGAFDDQGPFGRGRYNENMAPLVNITEWRKGSQWFEVNRKLAVTIVEDTKFHPVFERYCRPACYVDEHYFPTMLTIQAANVLANRSLTWVDWSRGGAHPATFGRNDITEEFFNSVRGGSTCLYNNRNSSVCVLFARKFAPSALEPLLQMVDSKVLNY >Vigun09g029900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2465558:2466324:1 gene:Vigun09g029900.v1.2 transcript:Vigun09g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTKFFWVLVLCVVAMTYQANALETVETLKGESLIKKVCTLSATRNLCIEVLSSDSYKSPTANLKDLAIISLRFAATNASGILAETKILIDDDKLSPDVQQGLADCKETILDAESQLEDSIAAIMVDSKGETQLWLKAALAAIDTCDASIPGDDDILSVESVAFRKLCNIAITVTKLLLHPNKL >Vigun06g167500.1.v1.2 pep primary_assembly:ASM411807v1:6:28942588:28944884:1 gene:Vigun06g167500.v1.2 transcript:Vigun06g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHCFQNPPKLNSGIHVAGTVQELGGLSSYVTGSFDSKLALILVSDVFGYEAPNLRKLADKVAASGFLVVVPDLLYGDYADLFNPQFDRDSWRKAHEKGKAWEDTQPLIADLKRKGIKSIGAAGFCWGGGVVIKLAISSDIQAAVILHPGPISDDEINEVKIPIAILGAEVDHLFPAERLKQVEEKLSSRSEVESFVKLFPGVTHGWTIRYNDDDEATVKSAKEAHQDMLNWFIKHVK >Vigun06g167500.3.v1.2 pep primary_assembly:ASM411807v1:6:28942724:28945199:1 gene:Vigun06g167500.v1.2 transcript:Vigun06g167500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHCFQNPPKLNSGIHVAGTVQELGGLSSYVTGSFDSKLALILVSDVFGYEAPNLRKLADKVAASGFLVVVPDLLYGDYADLFNPQFDRDSWRKAHEKGKAWEDTQPLIADLKRKGIKSIGAAGFCWGGGVVIKLAISSDIQAAVILHPGPISDDEINEVKIPIAILGAEVDHLFPAERLKQVEEKLSSRSEVESFVKLFPGVTHGWTIRYNDDDEATVKSAKEAHQDMLNWFIKHVK >Vigun06g167500.2.v1.2 pep primary_assembly:ASM411807v1:6:28942608:28944866:1 gene:Vigun06g167500.v1.2 transcript:Vigun06g167500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHCFQNPPKLNSGIHVAGTVQELGGLSSYVTGSFDSKLALILVSDVFGYEAPNLRKLADKVAASGFLVVVPDLLYGDYADLFNPQFDRDSWRKAHEKGKAWEDTQPLIADLKRKGIKSIGAAGFCWGGGVVIKLAISSDIQAAVILHPGPISDDEINVLLQRSRFLLLYWEPKLTIFSQQKG >Vigun07g269100.1.v1.2 pep primary_assembly:ASM411807v1:7:38462468:38464327:-1 gene:Vigun07g269100.v1.2 transcript:Vigun07g269100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAKRDLSSNEEESELRRGPWTLEEDSLLIHYIARHGEGRWNMLAKSAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESGSKRFIDAVKCFWMPRLLQKMEQNNSPSPHCSMTSMVNIGNSGEASMSSTSSSFNIPSMFSSSPPQREFIMDGANHLSTVSNPINPSPDSFPFSQLLEISEHPKSPPNVLENNVYSYPIQDNCYADTNNYGMEGLNMDPLSAMGTYDFPQFDFQSAGNGWMLDSVEDTTLWNMDAM >Vigun01g105300.3.v1.2 pep primary_assembly:ASM411807v1:1:27633968:27638588:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAERPLNGDVEEEDDDDDADLEAWERTYAEDRSWEALQEDESGLLRPIDTTAIYHAQYRRRLRNLAATAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSNHLLHPRISSVPSD >Vigun01g105300.2.v1.2 pep primary_assembly:ASM411807v1:1:27633964:27638629:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAERPLNGDVEEEDDDDDADLEAWERTYAEDRSWEALQEDESGLLRPIDTTAIYHAQYRRRLRNLAATAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSKSVTAS >Vigun01g105300.4.v1.2 pep primary_assembly:ASM411807v1:1:27633934:27638588:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAERPLNGDVEEEDDDDDADLEAWERTYAEDRSWEALQEDESGLLRPIDTTAIYHAQYRRRLRNLAATAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSKSVTAS >Vigun01g105300.5.v1.2 pep primary_assembly:ASM411807v1:1:27633968:27638588:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSNHLLHPRISSVPSD >Vigun01g105300.6.v1.2 pep primary_assembly:ASM411807v1:1:27633968:27638630:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSKSVTAS >Vigun01g105300.7.v1.2 pep primary_assembly:ASM411807v1:1:27634417:27638630:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSKSVTAS >Vigun01g105300.1.v1.2 pep primary_assembly:ASM411807v1:1:27633968:27638588:-1 gene:Vigun01g105300.v1.2 transcript:Vigun01g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAERPLNGDVEEEDDDDDADLEAWERTYAEDRSWEALQEDESGLLRPIDTTAIYHAQYRRRLRNLAATAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIGKQVEVFIREFFDQNPLSHVGLVTIKDGIANCITELGGSPESHINALMGKLECSGDASLQNALDLVLGCLNQIPSYGHREALILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFVCKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEYATANLIKMGFPQRSAEGSVAICTCHEEAKAGGGYTCPRCKVRVCELPTECRICGLTLISSPHLARSYHHLFPIVMFDEVSPSQNDSSRSFPNTCFGCQESLLSQGNKPGLSVVCPKCKQQFCLDCDIYIHESLHNCPGCESSRHSKSVTAS >Vigun04g125400.2.v1.2 pep primary_assembly:ASM411807v1:4:31748886:31752587:-1 gene:Vigun04g125400.v1.2 transcript:Vigun04g125400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISLSLSSSSHTWIYDVFLSFRGEDTRFQFTHNLYHSLCEKGIHTFIDQEGLRKGEEITPALFHAIQNSRISIIVFSKNYASSTYCLNELVRILECAKEEGRSIYPIFYGVDPSEVRHQTGTYAEALSKHEARFHNDANNEKVQKWRKALHEAANLSGWHFQHRSQPEYEFIRKIVEAISREINYIPLYVADNPIGLEYARKGVKSLLEDGSEINMIGIYGIGGIGKTTIARDVYNTIFFYFQGSCFLPDIREKAIDKYGIVQLQELVLSEILEEKDIKVGDVNRGIPLIKRRLQQKKVLLVLDNVDKLEQLKALAGGYDWFGSGSRIIITTRDKHLLDAHGVVNLYEVKPLHVEKALELFNWHAFRSDKVGPPYMSISNRAVSYACGLPLALEVIGSHLFGKSLDECHSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNNCELGNVTPMLKAHGFYAEDGLRVLADRSLIKINSSDFVRMHDLIRDTGREIVRQESTLEPGRRSRLWFNQDIVHVLEGNTLEGYNNVQVQWNGKAFKKMKNLSILIVEDATFSLSPEHLPNSLRVLDWSCYPSPSLPSDFNPKRFEIILMPESCLLMFKPQKMLESLSVINLEDCKFLTDLPSLGEASLLTTLRLDRCFNLVNIDESIGFLDKLRLLSAKGCAKLKTLAPCIMLTCLETLDLRRCVSLESFPEVLGKMEKIRTIYLDHTDIEKLPFSIGNFVWLELLSLKGCKRLCQLPGSISIMPKVKVLIGYGHEAYNFFEERLSSEVSPMAMRIDGSNRYLDVYYQYISPNNAIQVCSPNPLFHSDFNLLFQKLEREANWSSRCTVSRMHFSFRKKFPKIALCCSSYYLAMKSVMIQTYKLRVFINDTMQFSAMCNFLFKWEEQILWCDLEGKAEEVFSEQEWNNVEIVFELDFPMRRNSIYVNTTMSIGRGILSWSLIGVYEEGNNKEDIKFEDRLSIFPLSNIEPPSLPSSLYYVVRGMTE >Vigun04g125400.1.v1.2 pep primary_assembly:ASM411807v1:4:31748886:31752587:-1 gene:Vigun04g125400.v1.2 transcript:Vigun04g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISLSLSSSSHTWIYDVFLSFRGEDTRFQFTHNLYHSLCEKGIHTFIDQEGLRKGEEITPALFHAIQNSRISIIVFSKNYASSTYCLNELVRILECAKEEGRSIYPIFYGVDPSEVRHQTGTYAEALSKHEARFHNDANNEKVQKWRKALHEAANLSGWHFQHRSQPEYEFIRKIVEAISREINYIPLYVADNPIGLEYARKGVKSLLEDGSEINMIGIYGIGGIGKTTIARDVYNTIFFYFQGSCFLPDIREKAIDKYGIVQLQELVLSEILEEKDIKVGDVNRGIPLIKRRLQQKKVLLVLDNVDKLEQLKALAGGYDWFGSGSRIIITTRDKHLLDAHGVVNLYEVKPLHVEKALELFNWHAFRSDKVGPPYMSISNRAVSYACGLPLALEVIGSHLFGKSLDECHSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNNCELGNVTPMLKAHGFYAEDGLRVLADRSLIKINSSDFVRMHDLIRDTGREIVRQESTLEPGRRSRLWFNQDIVHVLEGNTGSDKIEFIKLEGYNNVQVQWNGKAFKKMKNLSILIVEDATFSLSPEHLPNSLRVLDWSCYPSPSLPSDFNPKRFEIILMPESCLLMFKPQKMLESLSVINLEDCKFLTDLPSLGEASLLTTLRLDRCFNLVNIDESIGFLDKLRLLSAKGCAKLKTLAPCIMLTCLETLDLRRCVSLESFPEVLGKMEKIRTIYLDHTDIEKLPFSIGNFVWLELLSLKGCKRLCQLPGSISIMPKVKVLIGYGHEAYNFFEERLSSEVSPMAMRIDGSNRYLDVYYQYISPNNAIQVCSPNPLFHSDFNLLFQKLEREANWSSRCTVSRMHFSFRKKFPKIALCCSSYYLAMKSVMIQTYKLRVFINDTMQFSAMCNFLFKWEEQILWCDLEGKAEEVFSEQEWNNVEIVFELDFPMRRNSIYVNTTMSIGRGILSWSLIGVYEEGNNKEDIKFEDRLSIFPLSNIEPPSLPSSLYYVVRGMTE >Vigun05g272000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46303360:46306181:1 gene:Vigun05g272000.v1.2 transcript:Vigun05g272000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQTPDRDSESDPKTPTRLYNPYKDLEVPIRNLYQLPTSPEYLFVEEARRKRRSWGENLTFYTGCGYLAGAVGGAASGLVEGVKSFESGDTAKLRINRVLNSSGHAGRSWGNRLGVIGLLYAGIESGIEAARDTDDVWNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGVAVTAKQALKRYVPI >Vigun07g258100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37537139:37538862:1 gene:Vigun07g258100.v1.2 transcript:Vigun07g258100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAPIHVLMVSYPGQGHINPLLRLAKFLADKGFFVTFSTADAVGKLMRTANDISHKSVTPVGDGFLKFEFFDDGGVTSSDDSKRTLSDFNAQVERSGRQYVSQVIKEHAETNHPVSCIINNPFVPWVCDVAADHGIPSAMLWVQSAASFTAYYSYFHKLVPFPSQADPYHDVKLASVVLKYNEVPDFLHPFSPYQTLGTVILEQFKNLSKPFCVLVDSFEELESDYINYLSEFVNIRPIGPLFKSPTATDTSEIRGDFLKSDDCIEWLNSRAAASVVYISFGSIVCPPQEQVTEIAHGLLESEVSFLWVLKPPTKVFGLPPYVLPDGFLEETREKGKVVQWSPQEEVLGHGSVACFVTHCGWNSSMEGVTLGVPMLTFPAWGDQVTNAKFLVDVYGVAIKLGYGQTEKKIVSREEVKKRLLEATVGPKAEELKKNALRWKMAAEAAVAANGSSAKNLDAFLKDIKKRGAVRVKEI >Vigun02g121100.1.v1.2 pep primary_assembly:ASM411807v1:2:27344242:27350926:-1 gene:Vigun02g121100.v1.2 transcript:Vigun02g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMACAGRGSLVMAENKDEAYSETSECSSALTMPMASRCRYLFHKLCGSTDSWNKSTTPKGRRLFHRDVEKEEFQYANSPCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTTKSLNSLAYGLRYELLQRPVLRMWRILNATSEITTAQVKLSQYVIRRHSNPATQAEQVELYEAMRAVTWALFASRKALNSITINYKNGFVQAFHRDLKDNNTFYIYSDLSNYSMGVSNSKEANSVSTHQAWDDKAPRGNYSAIWYREPLDPVSGEKIGKAMKIAPEDLINIAGLSQVPDGVASWHVAVSKFTDSPLLSAALPVWDSSNETIMAVVGVTTALYSVGQLMRELVEMHSGHMYLTSQEGYLLATSTNAPLLANSTKPKLKMAVDCEDDVIRQGAEWLQRTYGNNFPPSHEIHVENVKLGHQRYYIDSFFLNLKRLPLVGVIIIPRKYIMGQVDERAFKTLVILISASLCILVIGCVCILILTNGVSKEMNLRAELISQLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKIVRGDSARVVQIFANLINNSIKFTPSGHIVLRGWSESPNSSVGSPNSPLDQKKLWSLQKCREKPNANHSKKTSMKDNKVILWFEVEDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRNLVNKMGGDIKVVKKEGAGTLMRLCLLLSAPMDVTEQQCAVDLTDTGLVVLLGLHGNMGRLITSKWLQKNGVCTMEACDWNGLTQILRELFHARSSVHNTDFDAHYPAKEELKTKLLNIRDMRNPVFVIVVDIGLLDLSTDIWKEQLNFLHRYFGRAKFLWMLNHDTSNNVKMELRRKGHLLMVNKPLYKGKMIHILESVMKERNFELQKKNMIVPRTTMKEGDLHEFLEIDSTHFDAASSDDSDISDIAGSNPASANGDKPVEKVEKSHLSSAYHMNNCLVRLTNENDYMEENDLRKEESSSPSPNHDSAEHQPKSLSTKESPSISTADQDEDSECGETHRVTSKSVDGKKSLEGLKILLAEDTPVLQRVATIMLEKMGADVVAVGDGQQAVEALNCMFNAEDCRRESLQKDRNTRSQTEILSSRPYDLILMDCQMPKMDGYEATKEIRKSEVGTSFHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKMMVSTILSLTKTTSSTLS >Vigun11g206500.7.v1.2 pep primary_assembly:ASM411807v1:11:40299672:40304889:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLTGHVNGRDLGPLESVHEDTRRLLGEKTASLIWAASQFDPTLEAEERLTFLHNQARRVLESLDLD >Vigun11g206500.9.v1.2 pep primary_assembly:ASM411807v1:11:40299684:40304845:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLIGHVNGRDSPPLATIHEDTRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >Vigun11g206500.6.v1.2 pep primary_assembly:ASM411807v1:11:40299684:40304871:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLIGHVNGRDSPPLATIHEDTRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >Vigun11g206500.5.v1.2 pep primary_assembly:ASM411807v1:11:40301359:40304830:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLIGHVNGRDSPPLATIHEDTRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >Vigun11g206500.10.v1.2 pep primary_assembly:ASM411807v1:11:40299684:40304830:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLTGHVNGRDLGPLESVHEDTRRLLGEKTASLIWAASQFDPTLEAEERLTFLHNQARRVLESLDLD >Vigun11g206500.11.v1.2 pep primary_assembly:ASM411807v1:11:40299684:40304871:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRIFRVTTTCQNLME >Vigun11g206500.8.v1.2 pep primary_assembly:ASM411807v1:11:40300845:40304865:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLIGHVNGRDSPPLATIHEDTRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >Vigun11g206500.2.v1.2 pep primary_assembly:ASM411807v1:11:40299672:40304866:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMDFEKCNGIMEVADLLRDKQVDENLRLKCGEFLLLLIGHVNGRDSPPLATIHEDTRRLLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVLESLDLY >Vigun11g206500.12.v1.2 pep primary_assembly:ASM411807v1:11:40300846:40304872:-1 gene:Vigun11g206500.v1.2 transcript:Vigun11g206500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKPLWSETTGKGDGNAKVEDDGVVSEVGKSLQQQRVYREVTLALRTGLRDARAEFSFLRVRALRSILKFLRSVAEAESTIDLFNQTQCIPQLQVVPVLFQHSLKESGDEYNESKVGDLSHIFGVEPMKVTSPSTDAEVALALRVLEGCCLLHPESTILAHQNNAIQVLMNILSTRGVLEQGACLDALISLMVDSSSNQMVAWHFPSQQLQICITFL >Vigun03g378750.1.v1.2 pep primary_assembly:ASM411807v1:3:58274106:58276804:-1 gene:Vigun03g378750.v1.2 transcript:Vigun03g378750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKCFLSLFSWFLIVSVVLLQAPTGNGSNGGLKNGEITLRVRVPMRDGFPQFVKVVWDPSQQKYNVFGYCMDVFNAVLTYLPFNVSIHIVPIDVESSKISGRHPILKQISHKMMKEKNEVVVGDVTILAYRSELVDFTVPYTVSGVKMVVPVKHGRDQNMWTFVLKSCSRFVLMVWLLLALLLMQSYTANLTSILTLDQLQPSFLNVNDLRRGGYYVGYQFGSFVYMC >Vigun03g378750.3.v1.2 pep primary_assembly:ASM411807v1:3:58274106:58276804:-1 gene:Vigun03g378750.v1.2 transcript:Vigun03g378750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKCFLSLFSWFLIVSVVLLQAPTGNGSNGGLKNGEITLRVRVPMRDGFPQFVKVVWDPSQQKYNVFGYCMDVFNAVLTYLPFNVSIHIVPIDVESSKISGRHPILKQISHKMMKEKNEVVVGDVTILAYRSELVDFTVPYTVSGVKMVVPVKHGRDQNMWTFVLKSCSRFVLMVWLLLALLLMQSYTANLTSILTLDQLQPSFLNVNDLRRGGYYVGYQFGSFVYMC >Vigun03g378750.2.v1.2 pep primary_assembly:ASM411807v1:3:58274106:58276804:-1 gene:Vigun03g378750.v1.2 transcript:Vigun03g378750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKCFLSLFSWFLIVSVVLLQAPTGNGSNGGLKNGEITLRVRVPMRDGFPQFVKVVWDPSQQKYNVFGYCMDVFNAVLTYLPFNVSIHIVPIDVESSKISGRHPILKQISHKMMKEKNEVVVGDVTILAYRSELVDFTVPYTVSGVKMVVPVKHGRDQNMWTFVLKSCSRFVLMVWLLLALLLMQSYTANLTSILTLDQLQPSFLNVNDLRRGGYYVGYQFGSFVYMC >Vigun09g020500.1.v1.2 pep primary_assembly:ASM411807v1:9:1581387:1584969:-1 gene:Vigun09g020500.v1.2 transcript:Vigun09g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRWVMDGGGFWDLDISTPQTLDGLACAVPGDPLPLGLSRGTRLSRPRQLEFMHRFMNAPLIPSYAKPHGLSLHRLISLPFSDNWVLFLLGQFNLQRFVSSVKSSEEKPKGVSSWLKTFGRHLQQKSLYALGFSSEFQLGANDTLLFGLDAYDDAEKPRGKFAFHHKFPDHDLTVEAVSPGLFVDNNTGNYWDVPFSTAVDLASVTTSDSSTAYRLSARYTSGSPKQFQNIHNHDDRVPPSLLPGLAFKSAFSYRKKVDIWRSEAPKLKLVQPYDVFLSNPHVSASGIIGAASTAAFGDNSARAQIEDGSPGYFLQVSGVKSFFVADMFATVSFTAQLGNFQRPFLDLTRFQARLDFSSGFKFLSAATGLTQDLLNSQKPSLEAVQAILPNATVSLQQQIVGPVSFRVDSGIKVDLKNPDWPIHAQEPVFALEYALQVLGSAKAVAWYCPKRQEFMAELRFLET >Vigun09g021000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1628367:1629390:1 gene:Vigun09g021000.v1.2 transcript:Vigun09g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKTNKAVIVLQGRYAGKKAVIVRTFDEGTRERPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFIKLVNYQHLMPTRYTLDVDLKDAVTPDVLHAKDKKVTALKETKKRLEDRFKTGKNRWFFTKLRF >Vigun01g241100.3.v1.2 pep primary_assembly:ASM411807v1:1:41079930:41084152:-1 gene:Vigun01g241100.v1.2 transcript:Vigun01g241100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITRSSGGRKPSEIMRFFVTTFIGIVFGFFIGVSVPTLSITKLNLPSGLLPSIDLSYIEDRYTGRQAWSFVNNANKRSSSQVQALNDTSKIWVPSNPRGAERLPPGIVEAESDFYLRRLWGKPSEDLTSKPNYLVTFTVGYEQKNNIDAAVKKFSGNFTVLLFHYDGRTTEWDEFEWSKQAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAEEYLKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQGLPSLGNQGESQTGKAPWQGVRERCRKEWTMFQTRLANAEDAYYKSIGIDTSNSTVH >Vigun01g241100.1.v1.2 pep primary_assembly:ASM411807v1:1:41079902:41084892:-1 gene:Vigun01g241100.v1.2 transcript:Vigun01g241100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITRSSGGRKPSEIMRFFVTTFIGIVFGFFIGVSVPTLSITKLNLPSGLLPSIDLSYIEDRYTGRQAWSFVNNANKRSSSQVQALNDTSKIWVPSNPRGAERLPPGIVEAESDFYLRRLWGKPSEDLTSKPNYLVTFTVGYEQKNNIDAAVKKFSGNFTVLLFHYDGRTTEWDEFEWSKQAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAEEYLKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQGLPSLGNQGESQTGKAPWQGVRERCRKEWTMFQTRLANAEDAYYKSIGIDTSNSTVH >Vigun01g241100.2.v1.2 pep primary_assembly:ASM411807v1:1:41079902:41084892:-1 gene:Vigun01g241100.v1.2 transcript:Vigun01g241100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITRSSGGRKPSEIMRFFVTTFIGIVFGFFIGVSVPTLSITKLNLPSGLLPSIDLSYIEDRYTGRQAWSFVNNANKRSSSQVQALNDTSKIWVPSNPRGAERLPPGIVEAESDFYLRRLWGKPSEDLTSKPNYLVTFTVGYEQKNNIDAAVKKFSGNFTVLLFHYDGRTTEWDEFEWSKQAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAEEYLKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQGLPSLGNQGESQTGKAPWQGVRERCRKEWTMFQTRLANAEDAYYKSIGIDTSNSTVH >Vigun08g077900.1.v1.2 pep primary_assembly:ASM411807v1:8:15409677:15411968:-1 gene:Vigun08g077900.v1.2 transcript:Vigun08g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFWDKRISQEVSGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKISSATKAQI >Vigun04g066300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7335541:7335954:1 gene:Vigun04g066300.v1.2 transcript:Vigun04g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVQLLFRSYIIVPFLVLLYLFIHFFFVYAPSSLHLNMDMCFLPLFFFSGCGCSTSGLFSLEFLIACALFCILMIKVHRRRWGRASLVFKHFGNHAWVFLPCLFVFPSYLCVTRFIFLWEIKDLHWNFHSFLEGTI >Vigun08g038500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3726206:3729337:-1 gene:Vigun08g038500.v1.2 transcript:Vigun08g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATYISSSQLRTHNTRHDAWISIHGKIYDVSSWLHRHPGGALPLLTVAGTDATDAFLAFHPPSAAALLPAFSTGALLSDYAVSAASSDYRKLHSKLSELNLFERKGHTTLILLSLILTLLPLCVCGVVFSDSTFVHLLSGAMIGFLWIQSGWIGHDSGHYNVMLNRRLNRAAQILSGNVLAGISIAWWKWNHNAHHIACNSLDFDPDLQHLPFFVVSSKFFNSLTSRFYDRKLYFDSLARFLVSYQHWTYYPVMCFARVNLFAQSFFLLLSNRKVESRGIELLGLLSFWIWYPLLVSFLPNWWERVLFVVTSFSVTGIQHVQFCLNHFSSKVYLGPPSGDDWFEKQTNGTLDVSCSAWMDWFHGGLQFQVEHHLFPRLPRCHLRKIAPLVKDLCKKHNLPYNCVSFWKANVLTIQTLRNAALQARDGSGPAPKNLVWEAVNTHG >VigunL014602.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000322.1:32079:34846:1 gene:VigunL014602.v1.2 transcript:VigunL014602.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVEDAVPALSREQRLRPDLAQLLGQVTIEVGVLGVEARPTGAGGLIQHGPVHRHQAPQTGHQRKAEAIGDALGPGPLVAFALRPVGVDRRDHRRAAAGEAGGDDRRIQAAGDFQQDGGTILGQGRHAGVDQIGQALGIEPGSRWPRVIGRSPQPGRLARVPARPHRAGADGDHARQGADLAPEIAAGQEVADLGPVDRQPLGRARALSGRDRGDERHLVANPGQAVQRPGRIAQQRGRRIARLEDRHVAPPGMADQEPRGVGRRHADHQVKREILRAALAGRGGVEEVADHGPALVWHGPDDRRAGAADVDGDLVGAMGQGPVPLGLDRGALGLGRLARHASGPDHGEILAHQTARIGRHRPGLQPAVSHERPGPHANHPVGVEPEAPQIAQELITALEAGAADRRPGPQDREDGGGVQHGFMVGGVVRRRAGLAAAPRALQDPREVEATEIARRRAAGEQAGGDFARAWTAGGAAQIEGGFGRDRPDRQPGRVRHQARLDQGLGGRLVARAHRAAVVQRHQQAGLDEAEVGVGGDIEKDDVLVDRRSMNIAGQPQRHRGLPADCPVARRGGRAAGPIAQNQASWGCIGSRRPSSSTERVRSSAPPRRITRRVVVELDMVLTFAVGPHQRRGGVTDLAGRNADEAMGGATGRVAAAGSDEVVLAAAGFLDRALLDGQGQGLGGAQAQQDETGGNGGHGRLLFRNAADRGLSSQRPACLIFKRYPVDIFRPQPGGRWRGRRPSADGGVSAPHSSAPPRYRSRKPTRRPGPRPTDRRTVGPRSAGMAPAVRRCADRPGRASGRLPPAQFRGTAPRGSRRGHGRARRDRRRSERARGAAIDDAWRAARRPAPGPRPDRAGAGGRVRPRPGRRGGAGCRPVPVSRRDLRPPIAIAPRAPVSRTGAAPPRSG >Vigun02g077000.1.v1.2 pep primary_assembly:ASM411807v1:2:22950412:22954143:1 gene:Vigun02g077000.v1.2 transcript:Vigun02g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKPGLRKPVFTKVEQLRPGTSGHTLTVKVVNVKMVMQKGRSDGPQSRQMRIAECLVGDETGMIIFTARNDQVDLMKEGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTDAASFTVKEDNNLSLIEYELVNVVVE >Vigun02g077000.2.v1.2 pep primary_assembly:ASM411807v1:2:22950412:22954143:1 gene:Vigun02g077000.v1.2 transcript:Vigun02g077000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKPGLRKPVFTKVEQLRPGTSGHTLTVKVVNVKMVMQKGRSDGPQSRQMRIAECLVGDETGMIIFTARNDQVDLMKEGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTDAASFTVKEDNNLSLIEYELVNVVVE >Vigun10g117100.1.v1.2 pep primary_assembly:ASM411807v1:10:32260139:32264989:-1 gene:Vigun10g117100.v1.2 transcript:Vigun10g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGKRQGGRALPPPPRGPPPPPPSRPRLEPVDREKTCPLLLRVFTKIGGHHLMEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRNAKLSFAFVFPDKNGRFKVQEVGKTLSYGNGRLDDGKALAELGFEIGDYLDVAIL >Vigun03g264100.1.v1.2 pep primary_assembly:ASM411807v1:3:43312391:43314664:-1 gene:Vigun03g264100.v1.2 transcript:Vigun03g264100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSRDMAMASPSSIFSAYASMTASIMLLRSITNDLIPQPIRGYLINTFRYFFKPRSNTLTLIIQEYSSGIARNHLYDAAEVYLSTKITPQNERLSVSKTPKEKKLSLRLEKGEELVDWFDGVKLNWNLICSEFEKGNGSYDHSRNSSTRTEKKYFELSFEKKHKEMVVETYLPFVIDKEKEMKDEERVLKMHTLNTFYGHGGFMWDSINLDHPSTFETVAMEAEMKNHIMEDLNRFLRRREFYRKAGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLDNLVTDSDLRKLLLATANRSILVIEDIDCSVDLPGRRHGDGRKQPNVQLSLCGLLNFIDGLWSSCGDERIIILTTNHKERLDPALLRPGRMDMHINMSYCSYHGFKVLASNYLGISWDHHLFGEIEGLIEGTHITPAQVAEELMKSEDAEVALQGFLKVLKRKKMEGDVSENDGSDKTELNQSKSSKVGCKQKRPVCISKRKNSTGTQRRTRARTLQ >Vigun10g013150.1.v1.2 pep primary_assembly:ASM411807v1:10:1448203:1449009:-1 gene:Vigun10g013150.v1.2 transcript:Vigun10g013150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGESSAYIRRNSGTKSVGASSSFSGLRGKLCGCGEKVVLLQANTTKNKGRFFWRCRNWRSDDNCKYFEWVHDGEESVMEEKPEIEEEDDELVGVNDKYVIQLIRKNIKLKLKLEAEMTGGKIQFYIFVVAWILTLMFGVIMCLKSNCSVN >Vigun11g021400.4.v1.2 pep primary_assembly:ASM411807v1:11:2613298:2617385:1 gene:Vigun11g021400.v1.2 transcript:Vigun11g021400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCILRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKGGFNLSATESRYGVVQCTRDLTNEVCRQCLEDILTEVPKCCEQKVTWMVWSGSCIIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIICFSLYCFWYRNKVRKANYKENTRDGVREEELPLPSFHKIQSEETWNTDLPRIPFITILHSTDNFSQASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKIIVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRVMGT >Vigun11g021400.5.v1.2 pep primary_assembly:ASM411807v1:11:2613298:2617385:1 gene:Vigun11g021400.v1.2 transcript:Vigun11g021400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCILRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKGGFNLSATESRYGVVQCTRDLTNEVCRQCLEDILTEVPKCCEQKVTWMVWSGSCIIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIICFSLYCFWYRNKVRKANYKENTRDGVREELPLPSFHKIQSEETWNTDLPRIPFITILHSTDNFSQASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKIIVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRVMGT >Vigun11g021400.3.v1.2 pep primary_assembly:ASM411807v1:11:2613298:2617385:1 gene:Vigun11g021400.v1.2 transcript:Vigun11g021400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCILRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKGGFNLSATESRYGVVQCTRDLTNEVCRQCLEDILTEVPKCCEQKVTWMVWSGSCIIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIICFSLYCFWYRNKVRKANYKENTRDGVREELPLPSFHKIQSEETWNTDLPRIPFITILHSTDNFSQASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKIIVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRWIHGS >Vigun11g021400.2.v1.2 pep primary_assembly:ASM411807v1:11:2613298:2617385:1 gene:Vigun11g021400.v1.2 transcript:Vigun11g021400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCILRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKGGFNLSATESRYGVVQCTRDLTNEVCRQCLEDILTEVPKCCEQKVTWMVWSGSCIIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIICFSLYCFWYRNKVRKANYKENTRDGVREEELPLPSFHKIQSEETWNTDLPRIPFITILHSTDNFSQASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKIIVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRWIHGS >Vigun09g247600.1.v1.2 pep primary_assembly:ASM411807v1:9:41536100:41540746:-1 gene:Vigun09g247600.v1.2 transcript:Vigun09g247600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRATLGGILAKVSEGTGRNRRSGFWGESTRGSGNTRFLSVQSCKTSRTSKNLRNSKPRGVARAVLTSDINEDSVAYQRVPTFETPEVNPKSVASIILGGGAGTRLFPLTGRRAKPAVPIGGCYRLIDIPMSNCINSGIRKIFILTQFNSFSLNRHLSRTYSFGNGMNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFIWVFEDAKNKNVEHILILSGDHLYRMDYMDFVQRHVDTNADITVSCVPMDDSRASDYGLMKIDKTGRIVQFAEKPKGSDLKAMRVDTTLLGLSPQEAEKHPYIASMGVYVFRTETLLQLLRWKCSSFNDFGSEIIPSAVSEHNVQAYLFNDYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRFLPPTKVEKCKIVDAIISHGCFLRECSVQHSIVGVRSRLESGVELQDTMMMGADYYQTESEIASLLAEGKVPIGVGENTKIRNCIIDKNAKIGRNVIIANADGVEEADRAKEGFYIRSGITITLKNATIKDGTVI >Vigun08g166600.1.v1.2 pep primary_assembly:ASM411807v1:8:33835009:33838411:1 gene:Vigun08g166600.v1.2 transcript:Vigun08g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIESHSDIIEAVQPLLSPECGHSAEHRSAPNGSISGAVFNISTTMIGAGIMSVPATMKVLGIVPGFVVILLVALVTDVTVEFMLRYTSSGKSTTYAGMMGESFGSVGSLAVKICVLITNFGILIIYLIILGDVLCGNESNGTTHLGVLQEWFGMNWWTSRAFTLLIVVLFIMLPLVMLRRVDSLRYTSAISILLALVFLAICSSMAISALLSGKTQSLRMVPDFSQVTVLDLFTTIPVFVTGFGFHVNVHPIRAEIGKVRHMGKAVKISLVICIVVYFAIGFFAYLLFGDSIMPDVLVNFDENSDTHVGRLLNDIVRISYALHLALVFPIMNYSLRINIDELIFSNKNLPPLASDTPRFVSLTLSLLALTYLVAVAIPNIWYFFQFLGSTTVVCTSFVFPAAIVLRDMHGISETKDRVIAISVIVFAVGTSGIAIWTNLNPGNG >Vigun03g124400.1.v1.2 pep primary_assembly:ASM411807v1:3:11716421:11720232:-1 gene:Vigun03g124400.v1.2 transcript:Vigun03g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGGRSARPAPRAAPRPAPQPVHNAPPPAPAPSGGGGMLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAPTPAANSFGSDACNIHTKAFQDCINSYGNDISKCQFYMDMLAECRKNSGASLSA >Vigun10g048750.1.v1.2 pep primary_assembly:ASM411807v1:10:7483835:7485248:-1 gene:Vigun10g048750.v1.2 transcript:Vigun10g048750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFEKKIPLHHDTIGSGKKITTYIYSRTSLISLLHKFTKGKDLIRPANTRFATSYLTLGCLNENKGSLIRMFTSNEWQSSQLVKTRDGGLVENLILDKEFWKNILNCLRGALPLIKVLRMVDSDEKPAMGFIYEEMDIAKEKIQSLFNGVSKSYTPLWEIIDHRWDSQLHRPLHAAGYYLNPMLHYYVEFKADYEVKRGMYDCLERLVGDIDGIGKIDSQIESFKSKSGFFGSAIAQRALKTRTPSQWWESYGDEHPELQKFAIRVLSLTCSSSECERNWSAFERVHTKKRNRLQQKTMNDVVFVMANSRLKKKKDVRKTKDYNMDDLASDDEWTVEENEASSSLDASYEELLLEVGENEDASRGGGVAPMDHLEVPPIVDNDQGPKGEDIDENEDAMEDNDDYPTFDMKEFLG >Vigun11g063000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14256342:14257109:1 gene:Vigun11g063000.v1.2 transcript:Vigun11g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIHNMIEFFPFIYMDTKFPGVIFQSDLALRQPQNNYAVMKANVDCMHLIQVGLTLSDCHDNLPTFGTSNHFIWEFNFCEFDITRHPHAPSSIALLQRQGMDFHKNRNFGVSIVRFTELMMLSGLLCNSHIHWINFHGAYDFAYMIKVLGHRFLHMQPLLPPNLGDFLQLVKLFFGQEVYDIKYLVRFCPNLYGSLDIVSELLGLDNSVRKSHHAGSDSVVTLHVFNEINRLYFHTQNDLEKHGGIVYGIEMS >Vigun01g228301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40066942:40070620:-1 gene:Vigun01g228301.v1.2 transcript:Vigun01g228301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPSSSVPLCLVAVLLVLSLPRSSHGLGSGATLAVSDASATVCAVVAGESIRRIQCYRQGQNVSIIPNVNFSMISGGRNYFCGLRSSNSDLYCWNTNSSFETRRLYNDSSVPLENLAVGDTHVCATEVGGGTVRCWRTGDTFQLPSVTDTFGSISSGSGFSCGILKNSSRVRCWGDTSVSDLSERIENAFGNMSMLSLVAGGSHVCGLNSTGFVACGGNNDSGQLDFPQGGAFEYSAVALGADHGCAIRGLNGSVVCWGGNGGFSGTNITDGVSFEVIVSGSNFVCGLTTNDLTVVCWGPGWSNSSTFELPFPTVLPGSCVQSSCGECGSYLDSQSLCSGSGNICKPMTCKRQTTAPPPPSPSPPPPSMSPPPPSPPPPPPSSSRSKTLTNGLLAFAIIGSVGAFAGICTIVYCLWSGVCFGKKKVHNSVQPTITRGSSGSNGGGASNNSNSSISSMIMRQTSIIMRRQRSGTSSTKHPDRAEEFTLAELVAATDNFSPENKIGAGSFGVVYKGKLADGREVAIKRGETGSKMKKFQEKESAFESELAFLSRLHHKHLVGLVGFCEEKDERLLVYEYMKNGALYDHLHDKKNVEKGSSVLNDWKMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILIDATWTARVSDFGLSMMSPEPDRDHRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKYGEDGGTPLSVVDFAVPRILAGELVKILDPRVGPPDVNEAEAVELVAYTAIHCVNLEGKDRPTMADIVINLERALAICESSHHSISSGSITVVSE >Vigun09g015100.1.v1.2 pep primary_assembly:ASM411807v1:9:1111706:1116132:-1 gene:Vigun09g015100.v1.2 transcript:Vigun09g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDSSLPLSNKKENVTPVTSKIAELNESRSELLGRIQSLKQDLQSWRSKLDTQVKVYRDELSDLKKTLNVEVDQLRLEFQDLRATLQQQQEDVTASLRNLGLQDASDVKHAPAEETKIEEVEKEEHEVVAEDNSSKVAEN >Vigun05g077000.1.v1.2 pep primary_assembly:ASM411807v1:5:7090791:7093105:-1 gene:Vigun05g077000.v1.2 transcript:Vigun05g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASSSASQTHALSSISDQRVSLTLAFLKALGMERPLPQIFDTSGIYSNLFRSFLKVNDIKKGRISCTIAIKLPIANFYGTLHGGSVASFVESLSTACARTVVAEDKELFLGEITLSYLSAAPINEEVQAEACVVKSGRNVTMIALEFKLKKTGNLTCVAHTTFYNFPVAKL >Vigun11g185300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38647659:38648718:1 gene:Vigun11g185300.v1.2 transcript:Vigun11g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRQASSTARQAASKSVEVPKGYLAVYVGEKQKRFLIPISYLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCNEELFQHRTSCLKGQ >Vigun06g129800.3.v1.2 pep primary_assembly:ASM411807v1:6:25620913:25626137:1 gene:Vigun06g129800.v1.2 transcript:Vigun06g129800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALLYNYKQLPIQQMLDFDFISRRETPSVAGIINPGSEGFQKTFFGQQEIVIPVHATIEAACAAHPTADVFINFASHRSAGGSSMSALKQATIRVVVVIAEGVAESDTKQLIAYARANNKVVIGPGSVGGIQAGAFKIGDAAGTIDNIIHCKLYRPGSVGFVSKSGEIFNELCNSIARVTDGIYEGIAIGGDDCPGSTLSDHVLRFNNIPQIKIIVVLGEHDGCDEYSIVEALKQGKVSKPVVAWVSETCAGPFKSEAQFGDAGDKSGFELEAAQAKNQALRDAGAVVPTSYQTFEAALKETFDKLVEEGKITPVKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDERGEDQCYGGVSMSTIIEKGYGVGGVISLLWFKRSLPHYCTQFIEMCIMLCADHGPCASGAHNTIVTARAGKDLISCLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVEGMKKKGIRVPGIGHRVKNRDRKDKRVELLQKFARTHFPCVKYMEYAVEVEAYTLSKAKNLILNVDGAIGSIFLDLFAGSGMFSEQEIDEIVEIGYLNGFFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVVYTT >Vigun06g129800.2.v1.2 pep primary_assembly:ASM411807v1:6:25620913:25626137:1 gene:Vigun06g129800.v1.2 transcript:Vigun06g129800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALLYNYKQLPIQQMLDFDFISRRETPSVAGIINPGSEGFQKTFFGQQEIVIPVHATIEAACAAHPTADVFINFASHRSAGGSSMSALKQATIRVVVVIAEGVAESDTKQLIAYARANNKVVIGPGSVGGIQAGAFKIGDAAGTIDNIIHCKLYRPGSVGFVSKSGEIFNELCNSIARVTDGIYEGIAIGGDDCPGSTLSDHVLRFNNIPQIKIIVVLGEHDGCDEYSIVEALKQGKVSKPVVAWVSETCAGPFKSEAQFGDAGDKSGFELEAAQAKNQALRDAGAVVPTSYQTFEAALKETFDKLVEEGKITPVKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDERGEDQCYGGVSMSTIIEKGYGVGGVISLLWFKRSLPHYCTQFIEMCIMLCADHGPCASGAHNTIVTARAGKDLISCLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVEGMKKKGIRVPGIGHRVKNRDRKDKRVELLQKFARTHFPCVKYMEYAVEVEAYTLSKAKNLILNVDGAIGSIFLDLFAGSGMFSEQEIDEIVEIGYLNGFFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVVYTT >Vigun06g129800.1.v1.2 pep primary_assembly:ASM411807v1:6:25620913:25626137:1 gene:Vigun06g129800.v1.2 transcript:Vigun06g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVITIQVENKIIVKLRVPTPEFSSERFIFFFAMATGQLFSRTTQALLYNYKQLPIQQMLDFDFISRRETPSVAGIINPGSEGFQKTFFGQQEIVIPVHATIEAACAAHPTADVFINFASHRSAGGSSMSALKQATIRVVVVIAEGVAESDTKQLIAYARANNKVVIGPGSVGGIQAGAFKIGDAAGTIDNIIHCKLYRPGSVGFVSKSGEIFNELCNSIARVTDGIYEGIAIGGDDCPGSTLSDHVLRFNNIPQIKIIVVLGEHDGCDEYSIVEALKQGKVSKPVVAWVSETCAGPFKSEAQFGDAGDKSGFELEAAQAKNQALRDAGAVVPTSYQTFEAALKETFDKLVEEGKITPVKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDERGEDQCYGGVSMSTIIEKGYGVGGVISLLWFKRSLPHYCTQFIEMCIMLCADHGPCASGAHNTIVTARAGKDLISCLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVEGMKKKGIRVPGIGHRVKNRDRKDKRVELLQKFARTHFPCVKYMEYAVEVEAYTLSKAKNLILNVDGAIGSIFLDLFAGSGMFSEQEIDEIVEIGYLNGFFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVVYTT >Vigun03g269800.1.v1.2 pep primary_assembly:ASM411807v1:3:44226058:44234006:-1 gene:Vigun03g269800.v1.2 transcript:Vigun03g269800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAELTTEQVLSRDIPWETYMSTKLISSTSLQLLRRYDHRSESHRAQLLDDDGPSYVRVFVRVLRDIFKEDTIEYVLALIDEMLAANPKRARLFHDSTLADEDTYEPFLRLLWKGNWYIQEKSCKILALIVSVRPKNQNGVVSNGEASNGKKPFTTIDDVLIGLVKWLCEQLKKPFHPTRGVPTAINCLATLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTLPRLIDVVKSSTKEKVVRVIVLTLKNLMSKGTLGAQMVDLQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIRKLSSFDMYKQEVLLGHLDWSPMHKDPLFWRENITNFEENDFQILRVLITILDTSSDPRTLAVACYDLSQFIQNHPAGRIIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >Vigun07g010800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:942938:944048:-1 gene:Vigun07g010800.v1.2 transcript:Vigun07g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATHLSGFIIFFHVGIRRLVSSTSLYLQNPSHFRSKLWYFSDPKWKTLDLYAVLIALPVFSFTEFFLFFSFSGHPAYKFSFFQQSLAVLAFWLLTILIIFRERVGGTSLVDEGFVFLSGGVVFLMEYSVMGKGVSGLAGSVYGYLGGLTLVCAGACVYLAVKPSAFFAEFLLSCGLVFKGTWLLQLGFSLYTDLFGLKGCRKINFLESQLQLVDVHCDLDEDSLRGVTLMNFLFTVHATGVVVLAFGAFGVLAGKRSLKSGEAKGPLLSESESSSFRPWALPDVEME >Vigun02g059900.2.v1.2 pep primary_assembly:ASM411807v1:2:20523798:20529363:-1 gene:Vigun02g059900.v1.2 transcript:Vigun02g059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYGGVLVASLFMLFMLMFLRNGIMKTPIGQGYLTIPILVNGTNPLAWINPTVPPAIQNPDDHSKVISSDILVSSLFAGSNFSEDEQQALQTWNQLNHLIDHTQGLPSAAEAIKEAASAWNSLISSIEEQKQGRANDSLRTKEKQCPHFLNNMNSTELGNSSYKMQVPCGLTQGSSITVIGIPNGLLGNFRIDLSGEPLPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEDRCPSPTPEKVEKVDELEQCNKIVGKNISQLHTADMHSRASRQSLAAEEQSINRKYFPFKQGLPFVATLRVGSEGIQMTVDGKHITSFSFRETLEPWLVSEIKISGDLKLISILASGLPTSEDSEHIIDLESLKSSPISAQTPLDLFIGVFSTANNFKRRMAVRRTWMQYNAVRSNTTAVRFFVGLHKSPIVNEELWREAQTYGDVQLMPFVDYYSLITWKTLAICIFGTQVSAKFVMKTDDDAFVRVDEVLDSLHRINVDHGLLYGLINSDSKPHRNTDSKWYISPEEWSEGTYPPWAHGPGYVVSHDIARTVAKKFRENHLKMFKLEDVAMGIWIADMKKEGVEVRYENENRVYPEGCKDGYVVAHYQGPREMLCLWQKLEEGKGAKCCGDRR >Vigun02g059900.1.v1.2 pep primary_assembly:ASM411807v1:2:20523790:20529408:-1 gene:Vigun02g059900.v1.2 transcript:Vigun02g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYGGVLVASLFMLFMLMFLRNGIMKTPIGQGYLTIPILVNGTNPLAWINPTVPPAIQNPDDHSKVISSDILVSSLFAGSNFSEDEQQALQTWNQLNHLIDHTQGLPSAAEAIKEAASAWNSLISSIEEQKQGRANDSLRTKEKQCPHFLNNMNSTELGNSSYKMQVPCGLTQGSSITVIGIPNGLLGNFRIDLSGEPLPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEDRCPSPTPEKVEKVDELEQCNKIVGKNISQLHTADMHSRASRQSLAAEEQSINRKYFPFKQGLPFVATLRVGSEGIQMTVDGKHITSFSFRETLEPWLVSEIKISGDLKLISILASGLPTSEDSEHIIDLESLKSSPISAQTPLDLFIGVFSTANNFKRRMAVRRTWMQYNAVRSNTTAVRFFVGLHKSPIVNEELWREAQTYGDVQLMPFVDYYSLITWKTLAICIFGTQVSAKFVMKTDDDAFVRVDEVLDSLHRINVDHGLLYGLINSDSKPHRNTDSKWYISPEEWSEGTYPPWAHGPGYVVSHDIARTVAKKFRENHLKMFKLEDVAMGIWIADMKKEGVEVRYENENRVYPEGCKDGYVVAHYQGPREMLCLWQKLEEGKGAKCCGDRR >Vigun08g191250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35890252:35890428:1 gene:Vigun08g191250.v1.2 transcript:Vigun08g191250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIAVVSLFPIRILDAKGENNHNQDLGDVLSPLPFTEVIHDIIRNIESVYVEFQSQF >Vigun04g097000.1.v1.2 pep primary_assembly:ASM411807v1:4:20782423:20783832:1 gene:Vigun04g097000.v1.2 transcript:Vigun04g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISSPAVTTVNRAGAGAGMVAPFTGLKSLGGFPTRKTNNDITSVANNGGRVQCMQVWPTTGKKKFETLSYLPDLTEEQLLKEIDYLLRNGWIPCLEFTLQDPFPYREQNRSPGYYDGRYWTMWKLPMFGCTDATQVLQEVVEARTAHPNGFVRIIGFDNVRQVQCISFIAYKAPGF >Vigun03g262666.1.v1.2 pep primary_assembly:ASM411807v1:3:43183160:43192460:1 gene:Vigun03g262666.v1.2 transcript:Vigun03g262666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGSPIEKAILSWAVKLGMNFDFIRSNSTILHVFPFNSAKKRGGVAEKLPDNVVHVHWKGAAEIVLGTCTQYLDSDGHLKSIEEEKIFFKNGIEDIAAQSLRCVGIAYRSYDVEKVPSNEEELNQWSLPDYELVLLAIVGIKADIGLSMGIQGTEVVKEGSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVASISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMHR >Vigun02g112300.3.v1.2 pep primary_assembly:ASM411807v1:2:26648264:26651545:1 gene:Vigun02g112300.v1.2 transcript:Vigun02g112300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVELLEVASMPIIQVLLISALGALMATRYFDHLLSPDIRKALNKIVFFVFTPSLIFASFAQSVSLEDMISWWFMPVNVGLTFLIGGIIGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGARDVCRSNALSYASFSMALGGIFIWTYTLQTIKSRSLKFKALEAAEIMKVPNKEFDANAETLLLKDNDSQNTIEVPTSTYYGDTENQIPVDQDQSSVPEKTESLWHRIVEVITQFLEELMSPPAIATFFGFLFGAVAWLRNLIIGGNAPLRVIQDSLQLLGNGTIPCITLLLGGNLTQGLKSSTIKPLTLISIIIGRLFLLPLIGLFIVKAAANFGLLPVDPLFQYVLVMQYAMPPAMNISTMAQLFDVGTEECSVILLWTYSAAAIALTAWSTFLLWLLS >Vigun02g112300.2.v1.2 pep primary_assembly:ASM411807v1:2:26647396:26651545:1 gene:Vigun02g112300.v1.2 transcript:Vigun02g112300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVELLEVASMPIIQVLLISALGALMATRYFDHLLSPDIRKALNKIVFFVFTPSLIFASFAQSVSLEDMISWWFMPVNVGLTFLIGGIIGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGARDVCRSNALSYASFSMALGGIFIWTYTLQTIKSRSLKFKALEAAEIMKVPNKEFDANAETLLLKDNDSQNTIEVPTSTYYGDTENQIPVDQDQSSVPEKTESLWHRIVEVITQFLEELMSPPAIATFFGFLFGAVAWLRNLIIGGNAPLRVIQDSLQLLGNGTIPCITLLLGGNLTQGLKSSTIKPLTLISIIIGRLFLLPLIGLFIVKAAANFGLLPVDPLFQYVLVMQYAMPPAMNISTMAQLFDVGTEECSVILLWTYSAAAIALTAWSTFLLWLLS >Vigun02g112300.1.v1.2 pep primary_assembly:ASM411807v1:2:26646124:26651545:1 gene:Vigun02g112300.v1.2 transcript:Vigun02g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVELLEVASMPIIQVLLISALGALMATRYFDHLLSPDIRKALNKIVFFVFTPSLIFASFAQSVSLEDMISWWFMPVNVGLTFLIGGIIGWILVKLLKPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGARDVCRSNALSYASFSMALGGIFIWTYTLQTIKSRSLKFKALEAAEIMKVPNKEFDANAETLLLKDNDSQNTIEVPTSTYYGDTENQIPVDQDQSSVPEKTESLWHRIVEVITQFLEELMSPPAIATFFGFLFGAVAWLRNLIIGGNAPLRVIQDSLQLLGNGTIPCITLLLGGNLTQGLKSSTIKPLTLISIIIGRLFLLPLIGLFIVKAAANFGLLPVDPLFQYVLVMQYAMPPAMNISTMAQLFDVGTEECSVILLWTYSAAAIALTAWSTFLLWLLS >Vigun11g138800.1.v1.2 pep primary_assembly:ASM411807v1:11:34776827:34777552:1 gene:Vigun11g138800.v1.2 transcript:Vigun11g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSDRVAILMMIMLGFEVILSIEAKKLPCPAECALECFASEDPYPVCFAKCLEKCNNSTGSTGSTGANNCISKCGVNKTITATIDARGYVTDVVDSCLRECSDLKK >Vigun05g282300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47119077:47119727:-1 gene:Vigun05g282300.v1.2 transcript:Vigun05g282300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTPCHKTPSSSATNSSTSKPHKTETPKQSKTQKQSKPKRNRDPTKHPVYHGVRMRNWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAALSIKGHTAVLNFPNLVNMLPRPVTCAPRDIQVAAAEAAAMVEFDRECSDEAESSELSEIVELPNIEESLESVESRSEFALIDSVDSWLYPPMAMGSICEMMVGEDECGFFHFQSDHQKTCSV >Vigun10g127400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33540208:33540708:1 gene:Vigun10g127400.v1.2 transcript:Vigun10g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTGAASVHVILLLLVPVASLWLDSTEGATCRPSGRIRGEKPPPRRCNRENDSECCRRGRSYPTFKCSPPVSHHTKAILTLNSFEKGGDGGGPSECDNKFHSDNTPVVALSTGWFNDRKRCHHNITIFGNGRRVHAVVASQAVWKALGVPKSHWGELNIHWSDA >Vigun09g101950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:17429771:17430139:1 gene:Vigun09g101950.v1.2 transcript:Vigun09g101950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVYLMYNLKLKSRQIQKIVVLPFDDIESDDEWIIEEANDVVKIVQVEGQIDVQNVPLDGATIDPALDALDLDNITFDANEDAQVSLGKNLDEDDDGDNDVIRELDIDISHLNLCFTVFV >Vigun04g147700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36312730:36313047:-1 gene:Vigun04g147700.v1.2 transcript:Vigun04g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFIKQRGCERKQNGGAASRSVQPKVNLLAQRPQLAWVFFFFTNRRPQGFLLFSSVRSDQQHHPPSHGRVFLTQPRTLSLVAAHPGTTSITWQAMTCVDDAGHR >Vigun04g044000.1.v1.2 pep primary_assembly:ASM411807v1:4:3773448:3782393:1 gene:Vigun04g044000.v1.2 transcript:Vigun04g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVELDIFTPRLCFMTNKDIKVSTLSIITLNFVPMATQRFGYDVFLSFRGEDTRYGFTGNLYKALCDRGIHTFIDDEELQRGDEITAALMKAIEESRIAIVLLSQNYASSSFCLDELATILHCHTKGLLVIPLFYKVNPSDVRHHRGSYGEALTKHQRMFKDKKKLQKWKMALRQVADLSGYHIKDGDGYEYKFIGSVVDEVCHKINPTRLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGVHGMGGVGKTTLALAVYNLIADCFDGSCFIQNVREKSNKHGLEHLQSILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKCVFLDIACCFKGYELTEVEVMLRALYDDCMKHHISVLLEKSLIKIGWFNTIEMHELIEDMGRQIDQKQSSKEPGKRRRLWLSKDITDVLKDNTGTSRIEMLCFDISMSEKEETLDWNGNAFGNMKNLKILVIRNGKVSGGPNCFPESLRVLEWHEYPSNCLPSNFDPTKLVTCKLPNSHFTSFGFGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFERCKSLIAVEESIGFLNKLKILKAQRCTKLRRFPPLNLPSLEKLELSDCSSLENFPEILGEMGNIGELSLSKLAIKELTVSFQNLTGLRELDVKCDFLQLSHIALTPSLCDLNVGKCKEWKWVKSKDGEEEMGSTVFSDLRYFQLNSCNLNDDFFRAGFTQLTTVISLNLSETDITFIPECIKEFHCLYDLNVNRCTRLQEIRGVPPNLHTFWAIECTSLTSSGSSMLLNQELNQLRRTTFMYRGGSIPRWFDKESRGPSISFWFRNEFPPKVLCLLIAPVLDDITLNLVIPVVLINGKDLEYTSLSRRKRRVRMVELDHIHLFDLHDFQFLEDLRKMASEKEWKHVEITYQGLFDTSLIKSMGIHIVKSERRGIKDIRYDDPYTTTKVSPFNFFITFLPFFSRFLFALILFISIMAYPIQL >Vigun09g218700.2.v1.2 pep primary_assembly:ASM411807v1:9:39255583:39261520:1 gene:Vigun09g218700.v1.2 transcript:Vigun09g218700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKWCVVTGGRGFAARHLVEMLIRHSEYSVRIADLEDSIVLDSAEQLGLLGQALDSGRAQYVSVDLRNKAQVLKALEGVEVVFHMAAPNSSINNYQLHYSVNVQGTKNVIDACVEQKVKRLIYTSSPSVVFDGVHGIHNGDETMPYTPSPNDHYSATKAEGETLVIKANGTNGLLTCCIRPSSIFGPGDRLLVPTLVETAKKGKSKFLIGDGNNLYDFTYVGNVAHAHICAERALASEGPVSEKAAGEAYFITNMDPMKFWEFVSVVLDGLGYERPRIKIPAVVILPIAHLVEWIYRLLGPYGMPVPQLTPSRIRLVTCSRTFDCSKAKDRLGYAPIVTMQEGLRRTIESYAHLKAENQPKTKREGPSKASKYLGSGRVADTLLWKDKKQTLITLFAFIAIYFNFIASENTIISALTKLLLFASIFLFIHGILPAKMLGYTVEKMPKSWFHLSEDRSHKFALSVASSWNIAVNVLKSLAEGNDWVLLLKE >Vigun09g218700.1.v1.2 pep primary_assembly:ASM411807v1:9:39255584:39261520:1 gene:Vigun09g218700.v1.2 transcript:Vigun09g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKWCVVTGGRGFAARHLVEMLIRHSEYSVRIADLEDSIVLDSAEQLGLLGQALDSGRAQYVSVDLRNKAQVLKALEGVEVVFHMAAPNSSINNYQLHYSVNVQGTKNVIDACVEQKVKRLIYTSSPSVVFDGVHGIHNGDETMPYTPSPNDHYSATKAEGETLVIKANGTNGLLTCCIRPSSIFGPGDRLLVPTLVETAKKGKSKFLIGDGNNLYDFTYVGNVAHAHICAERALASEGPVSEKAAGEAYFITNMDPMKFWEFVSVVLDGLGYERPRIKIPAVVILPIAHLVEWIYRLLGPYGMPVPQLTPSRIRLVTCSRTFDCSKAKDRLGYAPIVTMQEGLRRTIESYAHLKAENQPKTKREGPSKASKYLGSGRVADTLLWKDKKQTLITLFAFIAIYFNFIASENTIISALTKLLLFASIFLFIHGILPAKMLGYTVEKMPKSWFHLSEDRSHKFALSVASSWNIAVNVLKSLAEGNDWVLLLKVVLSLFILSFLGAFSLQNLYTIGVTFAFIAFYVYEKKEEDIDGIFIKTHAFSCKLKSDLTKKFLSSKKID >Vigun02g046200.1.v1.2 pep primary_assembly:ASM411807v1:2:18410266:18413396:-1 gene:Vigun02g046200.v1.2 transcript:Vigun02g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSPKPVPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPATPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQSATLPKECTSVQKCYIELSKQVKEKLGAVDPYFNKLADAMVTWIEAWDELNNTSEQTSSKQANGAAK >Vigun02g145600.1.v1.2 pep primary_assembly:ASM411807v1:2:29361169:29362364:-1 gene:Vigun02g145600.v1.2 transcript:Vigun02g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKSWLWGKKSTQKTIATDKTNLTSKENGEVQEPVSDKEKLEKDLKRLNDKLAFTLSECAAKDEQLKKQTKIVQEAVAGWEKAEAEILSMKQHLDESIRQQLVYEERAAQLDGALKECMQQLRFVREEKEQRMHDAVMKVSKEFEVAHTVLEEKLSESSKGLAKFGVENSRLSKSIVAKEKLIEDLKRELAHAEDDHNALMIRLESMERDNASLKYETQVLEKELDIRNEEREFNRRTADASHKQHLQSVKKLPS >Vigun10g126700.2.v1.2 pep primary_assembly:ASM411807v1:10:33475254:33477855:-1 gene:Vigun10g126700.v1.2 transcript:Vigun10g126700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKMGLKKGPWTAEEDEILVNYINKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGSFTLEEEKLIIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLICMGLDPQTHQPLSSSCNPDGKAHAPSTSTRHMAQWESARLEAEARLSREPYLFTTSNKTDSDYFLRMWNSEVGQSFRGVHKSDNKGSCHSPISLGSLSATTTTDLASNPRSIVKEDLVWGGSKKIASDSSSSSELEEDSCDTSLQLLLDFPINNDMSFLE >Vigun10g126700.3.v1.2 pep primary_assembly:ASM411807v1:10:33475358:33477645:-1 gene:Vigun10g126700.v1.2 transcript:Vigun10g126700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKMGLKKGPWTAEEDEILVNYINKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGSFTLEEEKLIIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLICMGLDPQTHQPLSSSCNPDGKAHAPSTSTRHMAQWESARLEAEARLSREPYLFTTSNKTDSDYFLRMWNSEVGQSFRGVHKSDNKGSCHSPISLGSLSATTTTDLASNPRSIVKEDLVWGGSKKIASDSSSSSELEEDSCDTSLQLLLDFPINNDMSFLE >Vigun10g126700.1.v1.2 pep primary_assembly:ASM411807v1:10:33475254:33477827:-1 gene:Vigun10g126700.v1.2 transcript:Vigun10g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKMGLKKGPWTAEEDEILVNYINKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGSFTLEEEKLIIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLICMGLDPQTHQPLSSSCNPDGKAHAPSTSTRHMAQWESARLEAEARLSREPYLFTTSNKTDSDYFLRMWNSEVGQSFRGVHKSDNKGSCHSPISLGSLSATTTTDLASNPRSIVKEDLVWGGSKKIASDSSSSSELEEDSCDTSLQLLLDFPINNDMSFLE >Vigun07g241750.1.v1.2 pep primary_assembly:ASM411807v1:7:36316569:36316829:1 gene:Vigun07g241750.v1.2 transcript:Vigun07g241750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPRGSGASIVKPQSPPSKASNWTSHGHGTSKERGPTNSCRSRNYFLSFGLIVTFARCGSFMTCTWVPPLNNS >VigunL054700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000391.1:51566:52548:1 gene:VigunL054700.v1.2 transcript:VigunL054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTWRLCLCHIENTMNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSRKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSKVKVAV >VigunL032800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:241984:242385:1 gene:VigunL032800.v1.2 transcript:VigunL032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun11g091533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27182663:27183498:1 gene:Vigun11g091533.v1.2 transcript:Vigun11g091533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTWCLSQRPLEPRQLTLLSFPELPLQLFVILLIDCFPVIICPRVLLNCVYCWVTFYFLFCLLNDWSRMIEWKYMKRSGRRKAGESITGLVLSILGHVAGEVILLFLNHIA >Vigun05g183400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35340005:35340617:-1 gene:Vigun05g183400.v1.2 transcript:Vigun05g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNPLILITLLLLLSHSPLLDARNILKIHTPQLLSFKGTPPSTKATSYVKSAATKVLHHFSNEEVVSNPSPGEGH >Vigun03g270950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44500229:44500735:-1 gene:Vigun03g270950.v1.2 transcript:Vigun03g270950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVLCQKCGKYSWGGCGEHLASVYKNIEEGNHCMCRSWPGVVIPRQHQQQPTQSTTPPQGISTFDCWRYAGQIIKALFGYHKNASL >Vigun10g181500.1.v1.2 pep primary_assembly:ASM411807v1:10:39908651:39910492:1 gene:Vigun10g181500.v1.2 transcript:Vigun10g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAFGRLDDSFGLTSIKAYIAEFISTLLFVFAGVGSAIAYGKLTSDAALDPAGLLAVAICHGFALFVAVSVGANISGGHVNPAVTFGLALGGHITILTGIFYWIAQLLGSIVACFLLSYVTGGLAIPTHSVASGVGVVQGVVTEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFHDNWIYWVGPLIGGGLAGLIYGNVFIRSDHAPLSSEF >Vigun09g184800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35827066:35829195:-1 gene:Vigun09g184800.v1.2 transcript:Vigun09g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLGIGVFLCVVWVMSGNATDPCGAQPDESDLNVIPVYSKCSPFKPPKVDSWDTRIVDMASKDPLRVRYLSTLLGQKTVSAAPIASGQAFNIGNYVVRAKLGTPGQLLFMVLDTSTDEAFVPCSGCTGCSDTTFSPKASTSYGPLDCSVPQCGQVRGLSCPATGTGTCSFNQTYAGSSFSATLVQDSLRLAADVIPNYSFGCVNAISGASVPAQGLLGLGRGPLSLLSQSGTNYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKSIRTTPLLRNPHRPSLYYVNFTGISVGRVLVSFPSEYLEFNPYTGSGTIIDSGTVITRFVEPVYNAVREEFRKQVGGTFSSIGAFDTCFVKTYENLAPPVTLHFEGLDLKLPLENSLIHSSAGSLACLAMAAAPDNVNSVLNVIANFQQQNLRILFDTVNNKVGIAREVCN >Vigun02g140350.1.v1.2 pep primary_assembly:ASM411807v1:2:28919294:28921304:-1 gene:Vigun02g140350.v1.2 transcript:Vigun02g140350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGFKVMKYKPPWKKAPCRRQLSLRKAWKPSRSGGRSYRP >Vigun09g209300.1.v1.2 pep primary_assembly:ASM411807v1:9:38374490:38378143:1 gene:Vigun09g209300.v1.2 transcript:Vigun09g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLHGILKVTIFEVDRLHTGCHLDFCQKGTTHKGKRFLAQVKGCLLCRPEIVGTRLYATVDLDKARVGRTRMIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGILLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVCVLVPSVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun05g299000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48330038:48332355:1 gene:Vigun05g299000.v1.2 transcript:Vigun05g299000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSNGTGTGSSSSRNILFNKYEIGKLLGQGNFAKVYHGRNLNTNDSVAIKVIKKERLQKDKLVKQIKREVSVMRLVRHPHIVELKEVMANKAKIFLVVEYVKGGELFAKVAKGKMKEEIARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENEDLKVSDFGLSALPDQRRSDGMLVTPCGTPAYVAPEVLKKKGYDGSKADIWSCGVILFALLSGYLPFQGENVMRIYSKSFKADYAFPEWISPGARRMISSLLVVDPEKRYSIPDIMKDPWFQVGFMRPIAFSMKETALEDNIDFNGDDAGGSGGSGNVSGSEDGNSNGELVGAKPARPSYNAFEIISSLSNGFDLSNLFETRKRSPSMFISKHSASTVMAKLEALAKKLNFRVTGKKQFTVRMQGATEGRKGKLGMTVEVFEVAPEVAVVEFSKSAGDTLEYIKLCEEEVRPSLKDIVWSWQGDCDSRQ >Vigun04g201700.1.v1.2 pep primary_assembly:ASM411807v1:4:42480137:42487905:-1 gene:Vigun04g201700.v1.2 transcript:Vigun04g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRQDQAIRLQHYFADSSRFPPNQISRVNSLPAADPQTLLHLKNESEQKLAITDYELRLANEDIAKLKSQLHSHTQRLNQLYAPQSNGDAWEAQNHEPKGNDMGPLTDTERRDLNCAVKEYLLFAGYRLTAMTFYEEVTDQNLDNGSNTYASVPHALRHYYYHYVSSSSVVAEGKIIQGQESNPLLKANERLKQENESLLKDKDMAYGQITALSKSLEALRKDLKDKENMAQVLKQSLENQRKDLHASRIEISKLKMNIERSGSGNRVVVGDVDNSQLASSDNYKEEINKLQMEVEWLKEQNRGIPEHGKFVGYENETLHIEDKEIEIHEDQGAISYTSDAALDIIRNDDAQSTTSRTMSKYTDKHEDEPYALFNPANANNAFENVPGQNASKQEGDNKINAKTDNANDEAISEKMAKMGLRTIQILADALPKIVPYVLINHREELLPLIMCAIEHHPDSRTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDAAIIVREAAAHNLAMLLPLFQSLDKYFKVEELMFQLICDRSGVVAETTLKELVIAVVKWGNKLDHVLRVLFSHILSSAQHCPPLSVIEGCIESHLHALGERERWNIDVLLRMLEELIPFVHEKAIATCPFLSTIESTEVVFSTTLLKLYSRGNVEWDAFEWMHLECFPKLIQLVCLLPWKEDNLRSRISKFLLSVSQRFGDSYVTCIMLPVFLTALGDEADLTFFPTTIKSRINGLRPRSVVVEKLSILCVLPLLLAGILSAPGRRNQLEDYSKKLLVEDNSIENQPTKHTPEIINAIRFICIFEENHVMIFNIVWEMVASSSVNMKITVAKLLKAIVPYIDAKLASTHALPALVTLGSDQNLDVKCASIDAFGVVAQRFKNDMIVDKIRVQMGAFLEDGSHEVTISVIRALVVALPNTTEQLRDYLVSKISQLTAVPTTASSDLTRRRERANAFCEAIRALDASDLSASSVRDYLLPATQNLLKDLDALDPAHKEALEIIMKERTGVSYGGASKSMTSHLGFPSSVSNFFGDAGLRGKRDSTEERVVFPKTVTTPQPQVEDTRLRRIMLGHFSEILRTRGKHQDDSHNQ >Vigun04g201700.2.v1.2 pep primary_assembly:ASM411807v1:4:42480137:42487905:-1 gene:Vigun04g201700.v1.2 transcript:Vigun04g201700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGQITALSKSLEALRKDLKDKENMAQVLKQSLENQRKDLHASRIEISKLKMNIERSGSGNRVVVGDVDNSQLASSDNYKEEINKLQMEVEWLKEQNRGIPEHGKFVGYENETLHIEDKEIEIHEDQGAISYTSDAALDIIRNDDAQSTTSRTMSKYTDKHEDEPYALFNPANANNAFENVPGQNASKQEGDNKINAKTDNANDEAISEKMAKMGLRTIQILADALPKIVPYVLINHREELLPLIMCAIEHHPDSRTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDAAIIVREAAAHNLAMLLPLFQSLDKYFKVEELMFQLICDRSGVVAETTLKELVIAVVKWGNKLDHVLRVLFSHILSSAQHCPPLSVIEGCIESHLHALGERERWNIDVLLRMLEELIPFVHEKAIATCPFLSTIESTEVVFSTTLLKLYSRGNVEWDAFEWMHLECFPKLIQLVCLLPWKEDNLRSRISKFLLSVSQRFGDSYVTCIMLPVFLTALGDEADLTFFPTTIKSRINGLRPRSVVVEKLSILCVLPLLLAGILSAPGRRNQLEDYSKKLLVEDNSIENQPTKHTPEIINAIRFICIFEENHVMIFNIVWEMVASSSVNMKITVAKLLKAIVPYIDAKLASTHALPALVTLGSDQNLDVKCASIDAFGVVAQRFKNDMIVDKIRVQMGAFLEDGSHEVTISVIRALVVALPNTTEQLRDYLVSKISQLTAVPTTASSDLTRRRERANAFCEAIRALDASDLSASSVRDYLLPATQNLLKDLDALDPAHKEALEIIMKERTGVSYGGASKSMTSHLGFPSSVSNFFGDAGLRGKRDSTEERVVFPKTVTTPQPQVEDTRLRRIMLGHFSEILRTRGKHQDDSHNQ >VigunL007332.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:25631:28849:-1 gene:VigunL007332.v1.2 transcript:VigunL007332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTILIMELINNITKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLHERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQLFFVAEVFTGSAGKYVGLLETIRGFNLILSGELDGLPEQAFYLVGNIDEATTKATNLETESNLKK >Vigun01g166500.1.v1.2 pep primary_assembly:ASM411807v1:1:34857084:34858959:-1 gene:Vigun01g166500.v1.2 transcript:Vigun01g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLVPPWLEQLLHTPFFNVCRIHADAARSECNMFCLDCNGEAFCFYCRSSRHKDHRVIQIRRSSYHDVVRVAEIQRVLDISGVQTYVINSARVLFLNVRPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLEGIKKNGDASFALDGRNEELTMETTTTTTTSTSRGSVSSNQQEEGLREGSTQDMYQATPPSNARRRKGIPHRAPFTS >Vigun01g166500.3.v1.2 pep primary_assembly:ASM411807v1:1:34857079:34858959:-1 gene:Vigun01g166500.v1.2 transcript:Vigun01g166500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLVPPWLEQLLHTPFFNVCRIHADAARSECNMFCLDCNGEAFCFYCRSSRHKDHRVIQIRRSSYHDVVRVAEIQRVLDISGVQTYVINSARVLFLNVRPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKE >Vigun01g166500.2.v1.2 pep primary_assembly:ASM411807v1:1:34857080:34859079:-1 gene:Vigun01g166500.v1.2 transcript:Vigun01g166500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLDCNGEAFCFYCRSSRHKDHRVIQIRRSSYHDVVRVAEIQRVLDISGVQTYVINSARVLFLNVRPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLEGIKKNGDASFALDGRNEELTMETTTTTTTSTSRGSVSSNQQEEGLREGSTQDMYQATPPSNARRRKGIPHRAPFTS >Vigun06g008600.1.v1.2 pep primary_assembly:ASM411807v1:6:4156754:4158193:-1 gene:Vigun06g008600.v1.2 transcript:Vigun06g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADEGIRIDLILWAKNLFAGPSFENEDLRFFFYFAIGRSHLREIGYMCWDQRLIHLKSRKHNIGACDGMVYGWVPF >Vigun10g196700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40993430:40994587:-1 gene:Vigun10g196700.v1.2 transcript:Vigun10g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTSLSSCSLTNINDLTDDVLREILILMPFRSIAKSKCVCKRWFRMISNPSFPAEFVSRQHSLFNTHFTFISSHQLMLGFFPKDFIFNFKTHRAPLSPETLMKGSLCGYSNGLFLCCSNRYTTGRGFFVYDPLTKQCNHIPSFLDENEEKQLYAVGFLSRTGYPKKGGKGSSSSRSFRVVIVKTFLRKKRVFEMEIFSSETGKWRHTNAFCAEGFAFAPHWMLSLAYGGYLYFMGSTNIFVFDPIFPFSRTIDYPEDADAMNIVSFGYLGCGGGNLRIGDISNNDLRVWDLMYYPLVWRLVHRTNLIEHLPTRFCSNCYKHVAGFHPYDGDIVYLYSYVDGVFVANLRTNKFVPIPGYEKSDISPFQFELNPIPYEKNHSSTSD >Vigun11g119400.1.v1.2 pep primary_assembly:ASM411807v1:11:32553199:32558781:1 gene:Vigun11g119400.v1.2 transcript:Vigun11g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNSFPLYEKHRYKYTYKRVTESLLLILLLLLLGYRVISVNNYSFPWFVAFVCESWFTLSWFFTLSTQWSPALIKTYPERLLQSVQELPRVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSTLTFYALQEASKFAKFWVPFCKKHNVQVRTPFRYFSAKPEVSTASNTPEFKHEWLQMKNMYDDLSQKIELEASQKSNPSHGNFAVFSNTERTNHPSIIKVIWENKEDLEDGLPHLIYISREKRPKHSHHFKAGAMNVLTRVSGLITNAPFMLNVDCDMIVNNPKIVHHALCILLDPKGQKEVAFAQFPQQFYATLKDDPFGNQMTILIKYLAAGLAGLQGPFYAGTNCFHRRKVIYGLSPDHVHKGNSISEKDLKQNFGASKELMKSVACALEGRTYSPNAVNIANVIEAASQVAGCGYEYGTGWGKQVGWIYGSLTEDVLTGLTIHERGWRSEICTPNPIAFTGFAPGGGPTTMAQQKRWATGMLEIFVSKHCPIFGTLFHKLTLRQCIAYMWIHNWGLLPAFEVCYACLLAHCIITNSNLLPQDLGMCIPVAILVIYKVYTVSVYLAEGLSIKAWWNNQRMSRITPMNAGFCAFLSVLLKTLGMSETVFDITKKDLPPTNDVGDDKDGGRYTFDESLVFLPGTTILLLHLSAITIRLLGTRPVVAIQSKNECGIGEIFCSVYLIICYWPFLRGLFETGKYRIPLSTIYKSIVLTCLFVHLSRKTVAA >Vigun07g172900.2.v1.2 pep primary_assembly:ASM411807v1:7:28816607:28825720:1 gene:Vigun07g172900.v1.2 transcript:Vigun07g172900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTRLDSAVFQLTPTRTRFDLVIIVNGKKEKIASGLLNPFLSHLKAAQNQMDKGGYSIVLEPPDGNSDTSWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQGNNSLGISTVEENQTNHVDSIEGRRTQQDNNEERAIVLYKPDAQPAQAKGTTPSEGNSKVHLLKVLETRKSALQKEQGMAFARAVAAGFDEDYIPPLMSFAECFGASRMKDACTKFRDLWRRKHETGQWLEIEAAETMSNRSDFSALNASGIILPNMVSASHTELDSESNGKASSGAVPVFQPCPVQGIPYYQAYPGNSPFMQPNYSPMEDPRLIAGQNNGRRRHSMDSRHSNTESELTDEVDIERDGAHTGGRRKKDRRSGKKSGMVVIRNINYITKAENSSDSGSHSDSASETNEDNKESVKTSKRRDSRKESLKKLDSSDREKTDHGKDEDGGHWQAFENCLLRDVDDDRHTIDLDQFDSEKLNNMRRKKQMDVNDPLVLAEREVHEGQGSSSLDMHSISKGLTHMPKRSNNDLLLSARTGQSGDGRSGDDVQSLEVSGKRSGYRMAAGDDFITSKQENELSNAYPSSDMETSLGYSSNKLERKLFHDMNDDSYILEHRSIEVNDAGNVERNAIDMDYEIPIVRKNEEKSSDLINHISNELDELSMLPERGTERGSMSYDPAFDYEMQAQAGSTLKNKNKEVVTDTKPGSKKLDKEPKSKVNPNSADRRKSGGPIRRGKTSKLSPLDEARARAESLRNYKADLQKMKKEKEEEEIKRLEALKMERQKRIAAKSSSTTTRSPSQLTKKQLPTKLSPSSHKGSKFSDSEPGASSPLQRFPIRTASVGSNDSLKLSKTSRLTSRNHLDNNKLSRSVSSLPESKLEKDDSATDTKASMVRIRRLSEPKVSTIRQTSSIKPLGAGTMSKAKAADGPESKKISAIVSHDKSKTAALPELKIRTAKASDNPQNRTSSVKDKVHKLNDSKSSMASQSTMSKKKEIGTSSNGDRDDNPVVEKTVVMLECERPYAPPIHNAEENFQIPEKHCDNDEVTEKTEIASNYAAIRAPVSPSSMDIIDKETSGSQSHLQSIYTEVKMDHTEIEPSKSSSLGISGETYEAPYARVSSMEDPSTRNSEYGKAAPTSSETAAIGVDTVKAHVSNIGNSTLEKIPEAVEKPQVKESSSKGFRRLLMFGKKSHRSAAERSTESDNVSIDGSEADEIGNNGSPNEVHTLKNLISQDETPTASTTQQKSSRSFSLLSPFRSKNSEKKIMMA >Vigun07g172900.1.v1.2 pep primary_assembly:ASM411807v1:7:28816607:28825720:1 gene:Vigun07g172900.v1.2 transcript:Vigun07g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTRLDSAVFQLTPTRTRFDLVIIVNGKKEKIASGLLNPFLSHLKAAQNQMDKGGYSIVLEPPDGNSDTSWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQGNNSLGISTVEENQTNHVDSIEGRRTQQDNNEERAIVLYKPDAQPAQAKGTTPSEGNSKVHLLKVLETRKSALQKEQGMAFARAVAAGFDEDYIPPLMSFAECFGASRMKDACTKFRDLWRRKHETGQWLEIEAAETMSNRSDFSALNASGIILPNMVSASHTELDSESNGKASSDVPPMDRQPSIGNQDNIQGQFPHMFSPWPLHSPPGAVPVFQPCPVQGIPYYQAYPGNSPFMQPNYSPMEDPRLIAGQNNGRRRHSMDSRHSNTESELTDEVDIERDGAHTGGRRKKDRRSGKKSGMVVIRNINYITKAENSSDSGSHSDSASETNEDNKESVKTSKRRDSRKESLKKLDSSDREKTDHGKDEDGGHWQAFENCLLRDVDDDRHTIDLDQFDSEKLNNMRRKKQMDVNDPLVLAEREVHEGQGSSSLDMHSISKGLTHMPKRSNNDLLLSARTGQSGDGRSGDDVQSLEVSGKRSGYRMAAGDDFITSKQENELSNAYPSSDMETSLGYSSNKLERKLFHDMNDDSYILEHRSIEVNDAGNVERNAIDMDYEIPIVRKNEEKSSDLINHISNELDELSMLPERGTERGSMSYDPAFDYEMQAQAGSTLKNKNKEVVTDTKPGSKKLDKEPKSKVNPNSADRRKSGGPIRRGKTSKLSPLDEARARAESLRNYKADLQKMKKEKEEEEIKRLEALKMERQKRIAAKSSSTTTRSPSQLTKKQLPTKLSPSSHKGSKFSDSEPGASSPLQRFPIRTASVGSNDSLKLSKTSRLTSRNHLDNNKLSRSVSSLPESKLEKDDSATDTKASMVRIRRLSEPKVSTIRQTSSIKPLGAGTMSKAKAADGPESKKISAIVSHDKSKTAALPELKIRTAKASDNPQNRTSSVKDKVHKLNDSKSSMASQSTMSKKKEIGTSSNGDRDDNPVVEKTVVMLECERPYAPPIHNAEENFQIPEKHCDNDEVTEKTEIASNYAAIRAPVSPSSMDIIDKETSGSQSHLQSIYTEVKMDHTEIEPSKSSSLGISGETYEAPYARVSSMEDPSTRNSEYGKAAPTSSETAAIGVDTVKAHVSNIGNSTLEKIPEAVEKPQVKESSSKGFRRLLMFGKKSHRSAAERSTESDNVSIDGSEADEIGNNGSPNEVHTLKNLISQDETPTASTTQQKSSRSFSLLSPFRSKNSEKKIMMA >Vigun06g121500.8.v1.2 pep primary_assembly:ASM411807v1:6:24889687:24894254:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.4.v1.2 pep primary_assembly:ASM411807v1:6:24889650:24894253:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGILTTLSQSNGEYKYDYATVPFLAEVFKLAVSCFLLWRECQKSPLPKMTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.6.v1.2 pep primary_assembly:ASM411807v1:6:24889628:24894205:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGILTTLSQSNGEYKYDYATVPFLAEVFKLAVSCFLLWRECQKSPLPKMTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.2.v1.2 pep primary_assembly:ASM411807v1:6:24889613:24894206:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGILTTLSQSNGEYKYDYATVPFLAEVFKLAVSCFLLWRECQKSPLPKMTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.3.v1.2 pep primary_assembly:ASM411807v1:6:24889687:24894202:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGILTTLSQSNGEYKYDYATVPFLAEVFKLAVSCFLLWRECQKSPLPKMTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.7.v1.2 pep primary_assembly:ASM411807v1:6:24889629:24894254:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun06g121500.5.v1.2 pep primary_assembly:ASM411807v1:6:24889613:24894253:-1 gene:Vigun06g121500.v1.2 transcript:Vigun06g121500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGILTTLSQSNGEYKYDYATVPFLAEVFKLAVSCFLLWRECQKSPLPKMTTEWKTVALFPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGVLFRMFLGRRLSNLQWMAIVLLAVGTTTSQVRGCGETSCESLFSAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNMQLYTFGTLFNMARLLVDDFRGGFENGPWWRRIFDGYTITTWMVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMILSLFLFNFKPTLQLFLGIIICMMSLHMYFAPPNMLLDMPITVKPDEEKLIEVSVDRRPHS >Vigun04g168500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39300165:39300725:-1 gene:Vigun04g168500.v1.2 transcript:Vigun04g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVLVPLILLVLAGFPSKAKGFMQPFTDRPDALLADILSDRLLDPFRALEQIPFGVGKDQASMAVSPARVDWKETPQGHVIMIDVPGLKKDDIKIEVEGDAELKVSGERKKEEEKEGDHWHRMERSYGKFWRQFKLPENVDFDSLRARLENGVLTLTMAKLSPEKTKASRVVNIGGDDERKQDI >Vigun06g059400.2.v1.2 pep primary_assembly:ASM411807v1:6:18654269:18660608:-1 gene:Vigun06g059400.v1.2 transcript:Vigun06g059400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKFNNTDSSGFLGSISSNFLRSISSSNRKPSSSSFKKHKFLNSDVENTPPAHPNISLNHHQQLKPQDPFSHNNPHVKVVVRIKPENINGKEEDWEIKVSPKALCIGDIKFMFDEVFDVNSNQEDVFQSVGVPLVRNALAGYNTTILSFGQSGSGKTYTMWGPPSAMVDESSCSSQLGVVPRIFRMLLSELERERLTSNEQQFNYQCRCSFLEIYNERIGNLLDPIQKNLEMKDDSRNAPYIENLIEEYVTNYEDVAEILIKGLSRRKKGAPNLNSNSSGSHIIFTFVIESFCKGTTKGLFSSSKVSRISLIDLAGVDSHEVGDVGSQCTRENRHVEKSLSQLEQLVDALSKKSQSGKNGDIPHSESCITRLLQEPLGGNAKLSVICFISPNNKSNGKTLRTLRFGEQARSIRNEPVINVIKEADVDLSNNIKHLKEELIRAKYDVYSSVGSKDGYFQGPNARESLNQMRVSLNRSLLLSNIDRDTGERVNVSKDDIQQLRQQIDELDSSSEGNPKDMSVNENCVQFYSVEENCDADTTSVDEIEKDEVCCGKTLSISVNSCNQFPVLAGPQLSESPKFSKTQRKSLAISSSYLGSWNNVAESSTFSNNVLEKPFKQGEHVQSSVQSSKVESLAASLQKGLQMIDYHQHNSALNKSSSSFSFEHLTLTPSLDIDKAESCDQTIPHKASSDEVASFLCASCRTKISCQDSSLVQVAFGECHGKNITKEELENVCKEQAARIEQLNQLVEKLKGERELNSITMYNSMKDDEKLLREFSSNGHLPCIIEEKCEIKEVQEELAEKNFTFDSTEKESLLKEIQNLRSKLQLCSDAPVKKSTDKLRSSLMSRSIQLQKSGVFSYDNGNEELENERQRWTEMESEWICLTDELRADLDSYRQRTERLEMELTSEKKCTAEIDDALKRAVMGHARMVEHYADLQEKYDDLVMKHDAIMEGIAEVKKAAAKASKKGHARFAKSLSAELSALRVERERESKLLKKENQSLKTQLRDTAEAVQAAGELLVRLREAEHAAAFAEENFANVQEDNENLKMQIEKLKRKHKTEINTMKQYITESKLPESALQPLYNREDSDVAHNAASSYTYDDQAWRAEFGAIYQDHY >Vigun06g059400.1.v1.2 pep primary_assembly:ASM411807v1:6:18653352:18660545:-1 gene:Vigun06g059400.v1.2 transcript:Vigun06g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKFNNTDSSGFLGSISSNFLRSISSSNRKPSSSSFKKHKFLNSDVENTPPAHPNISLNHHQQLKPQDPFSHNNPHVKVVVRIKPENINGKEEDWEIKVSPKALCIGDIKFMFDEVFDVNSNQEDVFQSVGVPLVRNALAGYNTTILSFGQSGSGKTYTMWGPPSAMVDESSCSSQLGVVPRIFRMLLSELERERLTSNEQQFNYQCRCSFLEIYNERIGNLLDPIQKNLEMKDDSRNAPYIENLIEEYVTNYEDVAEILIKGLSRRKKGAPNLNSNSSGSHIIFTFVIESFCKGTTKGLFSSSKVSRISLIDLAGVDSHEVGDVGSQCTRENRHVEKSLSQLEQLVDALSKKSQSGKNGDIPHSESCITRLLQEPLGGNAKLSVICFISPNNKSNGKTLRTLRFGEQARSIRNEPVINVIKEADVDLSNNIKHLKEELIRAKYDVYSSVGSKDGYFQGPNARESLNQMRVSLNRSLLLSNIDRDTGERVNVSKDDIQQLRQQIDELDSSSEGNPKDMSVNENCVQFYSVEENCDADTTSVDEIEKDEVCCGKTLSISVNSCNQFPVLAGPQLSESPKFSKTQRKSLAISSSYLGSWNNVAESSTFSNNVLEKPFKQGEHVQSSVQSSKVESLAASLQKGLQMIDYHQHNSALNKSSSSFSFEHLTLTPSLDIDKAESCDQTIPHKASSDEVASFLCASCRTKISCQDSSLVQVAFGECHGKNITKEELENVCKEQAARIEQLNQLVEKLKGERELNSITMYNSMKDDEKLLREFSSNGHLPCIIEEKCEIKEVQEELAEKNFTFDSTEKESLLKEIQNLRSKLQLCSDAPVKKSTDKLRSSLMSRSIQLQKSGVFSYDNGNEELENERQRWTEMESEWICLTDELRADLDSYRQRTERLEMELTSEKKCTAEIDDALKRAVMGHARMVEHYADLQEKYDDLVMKHDAIMEGIAEVKKAAAKASKKGHARFAKSLSAELSALRVERERESKLLKKENQSLKTQLRDTAEAVQAAGELLVRLREAEHAAAFAEENFANVQEDNENLKMQIEKLKRKHKTEINTMKQYITESKLPESALQPLYNREDSDVAHNAASSYTYDDQAWRAEFGAIYQDHY >Vigun08g069750.1.v1.2 pep primary_assembly:ASM411807v1:8:11033338:11035865:1 gene:Vigun08g069750.v1.2 transcript:Vigun08g069750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEEIFCDERTGKPSLDLPKSKNAPGNQSDIGWKHGFDINGNGRKVKCNYRSKIVSEGIFRFKHHLVGNREDSEPCASVSEEIKNLMKIVAEAKHAALKRRKLNIIDEEDEESESVEGGHRLFGFKGKQTVANASKGGGGVQATINQMMKKGFKEEVDAQVAEVFYTSAIAFNVIRNPAFAKMCEMIGKYGVGYKPPSYHDIRKKLLKQAVSKIDLMLEEYKEEWKRTGCTIRSDGWTDKKRRYICNFLVNSPKGTMLDDVVEYVGEENVVQVRTIVLDSMSWTPCAAHCIDLIFKDFEKNLKVHELTIKKGRKITIYIYGRTMLISLLKKFTKGRDLIRPGVTRFATAYLTLGCLHELKASLLTRFNFDKIERVVLDSRFWKNVSTCLKATTPLMVVLRLVDSDVKPAMGFIYEEMEYAKEKIRSNFNNIKKRPLHAAAYFLNPHFHYEPNFRCDDGGEVKEGLYECMRRLVPDIAERRKINLQIVQFHFARGLFGMEYAKECRKALNPGEWWEMFGDATPELKRFAIRILSLTCSSSGCERNWSSFEMVHTKRRNHDEWITEKAEDVVEIEEAEGEIDVQNVPLDGPTTDPTLDALDLDNITFDAN >Vigun04g066200.1.v1.2 pep primary_assembly:ASM411807v1:4:7320124:7324461:1 gene:Vigun04g066200.v1.2 transcript:Vigun04g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRISHFCVATILCILLCHGSSHAEMCVKTEKQALLKLKEGFVHGMKLLSSWNGDDCCRWKGVSCNNLTGHVTSLDLRLSNFTIVEDLNYLVDAMPMMEFFRGPTELGGNIDSSICELQHLTFLDLSHNYLQGEIPTCIGLLGQLTQLKLAWNGFNSVPCTLSNLSNLQYLDLGKNDFVVSDLDWLSHLSSLTYLDLSKNNLGAVIDWPSSISKIPFLSELYLNDCRLTQVNPKSISHLNSSTFLQILGLSGNNFGSSIMSWVVNVSKVLTVLDLSHNELDNGIIKSFNTLCHLKKLYLGFNKLSDQLSGYLPEFCSAKDLEVLNLDHNPFSNGSLPDFSLCLSLERLSLQNTSIVGPLSFGHLPHLKALDLSLNGLNGSLPVFEDTKFAYLVFLDLSHNKLSGTLPYTIGQLSNLWFLSISSNELKGNISEKHILNLSGLKIFDVSKNSLSFNLDSDWVPPFQLVALYASSCNLGPQFPMWLKQQRKLQVLQISNTYIMDSFPEWFGDISSSLLYINVSHNNLRGILPKSLSGIKTGLISTWDFSFNHLSGPLPSFPLKVYELFLSNNMFTGFVSSFCETSSMSVTYLDLSSNALTGLLPNCWQNFPSLEVLNLANNSLSGRVSESFGNLQNILSMHLNNNNFSGEVPSLTLCKRSLRFIDFGDNNLEGTLEWLDFDHLIVLRLRGNRIRGSIPTSLCNMLSLKVLDLSSNNITGEIPQCIGHISAMSDTEIQRDTIFYGTSAPLIFHDSGIGFFFDRISLTWKGRNYEMGRALGYIKSIDISNNNLTGEIPESITSLVALATLNLSRNNLIGLIPDNIGRLKSLESIDLSRNHFHGRIPISFSNLNFVGYMNLSFNNFSGMIPLGTQLQSFDVSCYVGNIGLCGLPLKNRCPDVIPPRGNQCQDVIPPRGRIIHKNEDKFLSFEFYLSMGLGFGVGFWGVCGTLIVKSSWRRAYFHLFINVIDWMYVRIVVFTARMKRRFQIQDPKENLW >Vigun03g076600.1.v1.2 pep primary_assembly:ASM411807v1:3:6351672:6355546:1 gene:Vigun03g076600.v1.2 transcript:Vigun03g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLITPPESSDSFLPGGLFLHGHTLPSSFTSYLPPPPPSSSSDPIKVSCFCRKTCRRVEGGVGGVGGGAFFSLSLSLNGASGDQRYGKESGEILGHHHKKVEDVDDGLSEEKEKVEINGSGAVNMSKHLWAGAFAAMVSRTFVAPLERLKLEYIVRGEQKNLFELIQEIATSQGLRGFWKGNFVNILRTAPFKAINFYAYDTYKNKLTRMLGNEESTNLERFVAGAASGITATLLCLPMDTIRTVMVAPGGEALGGVIGAFRHMIRTEGFFSLYKGLVPSIISMAPSGAVYYGVYDILKSAYLHSPEGRKRIQRMKEEAQDLNALEQLELGPIRTLLYGAIAGCCSEAATYPFEVVRRQLQMQVRATRLNALATCVKIVEQGGVPALYAGLIPSLLQVLPSAAISYFVYEFMKVVLKVEST >Vigun03g202244.1.v1.2 pep primary_assembly:ASM411807v1:3:31769314:31769994:1 gene:Vigun03g202244.v1.2 transcript:Vigun03g202244.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSAMSLDLPPSFPVTFEPACRAFDKLTPSISNRTCPVDSRAIQPVGFPFPLPILTSVGFPVIGISAKTLTHILPFFRDCPLIAGWKCPIIARRAASMARYERRPALQL >Vigun05g007100.1.v1.2 pep primary_assembly:ASM411807v1:5:625062:629381:1 gene:Vigun05g007100.v1.2 transcript:Vigun05g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKAKRDLHRGEKKKKRNREQNTEVEMHATVEGLEADNEIHVSDAKKEKASINKKRKNKDKSLLRKRKPKGEEVDLEEKSDGVVDNCHSSAEGIQDFGGHRDLDIGAVVKPGRSKKDKKKRKKEVQNSQEKGEGYNNQKEVERKKEVQNSQEKGKGYNNQKEVEVYTISSGDDDCSKGMKKWIVEYHQSRPGLEVLQHQIDDFIIAHEEKLEEERKEKEALAAEGGWTVVVHHKGRKKTTDSETGIAVGSVAQAAVENKMAKKKRNEVGLDFYRFQKREAQRNEIMTLQSKFEEDKKRLQQMRAARKFRPY >Vigun04g005000.5.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.1.v1.2 pep primary_assembly:ASM411807v1:4:333672:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.9.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.6.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.3.v1.2 pep primary_assembly:ASM411807v1:4:333706:338949:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.12.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.7.v1.2 pep primary_assembly:ASM411807v1:4:333687:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.2.v1.2 pep primary_assembly:ASM411807v1:4:333671:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.11.v1.2 pep primary_assembly:ASM411807v1:4:334701:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.8.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.13.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.4.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.14.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun04g005000.10.v1.2 pep primary_assembly:ASM411807v1:4:333706:338928:1 gene:Vigun04g005000.v1.2 transcript:Vigun04g005000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISNLSSVSSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEPNNSSRRDSGSGSCSSKEIATHRDEMSAVVGVPPITTFTHHPLDIHHLKPDHFSFIPFRKSFDEVGMGEASTAREVVQASGSCEEVHERQRAQHVVHHHHHQQQQHQQHQQHQQHAHHQISNSAFHISRPSHPISTIISPPPLHHTSIILDDNSYHVSRIMLQNENFQQQQQQHHHKLGGRSASGLEELIMGCTSTEIKEESSITNPQEAEWLKYSSYWPDPDNPDHHG >Vigun09g159900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32709610:32709792:-1 gene:Vigun09g159900.v1.2 transcript:Vigun09g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNQGARVSNKFETTMKNKKKAFATVIPAPRKSVKRMVFESLLQFFLRLFSFDSRKKPK >Vigun08g118500.1.v1.2 pep primary_assembly:ASM411807v1:8:28599059:28600467:-1 gene:Vigun08g118500.v1.2 transcript:Vigun08g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFHKRVKEADTNTLNIEISGAEHRMMATNNSEVYHERQRLQFCLVHSLNSLFQQKDAFTRAKLNEISERLALQDSNTETWTPFSFIFKPHHNVITGNYDVNVLIAALEEKGKSVEWHDRRKGASCIDLDAPVLMGIVVNVSASRFGGIWRSRHWIALRKINGVWFNLDSDLDAPQPFLDAHEVREFLDFTLAQRGEVLIVLNEKQS >Vigun07g025600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2299453:2300633:-1 gene:Vigun07g025600.v1.2 transcript:Vigun07g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTMKLRKHKTHTPSLAMHRDSHMVSKAKPKIRIIHIFAPEIIKTDVENFRELVQKLTGKPSGEKCCKKKKARAKNEEEYSRMSEYDDNNGGCWGLDVTREKVKEEVGVCSSDESCGGYLGGFTDLEGFISEIAAFPFLPLDPNHIMQGFEPPQLLL >Vigun05g072600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6396433:6399053:-1 gene:Vigun05g072600.v1.2 transcript:Vigun05g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLLKQLTTTRRKFHERGRDESFDGKLEKLRLDLNKIKDVFVEVKKKEEELLDTLAQVYDHLRKLERVKLDEDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDSWTMEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKETAEEEGEDVIDDLLKCGVIVRCGNGNDPFANRFRILPGDLHGVSNLIRDFDYIRSSPLQLDSKKVTVGGVHTKNVTLRNIFNIGASYLNFRPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGMSRIFEVPSSIAELEKLLILDVKACHNLERLPDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGLLISTPEKTPCKISDLVNLGKLRQLSIRIGSEAEIRDGEFKGLEDFLALEKLKISWSVSDPKYAYIGVILPSSLRKLHLECFPGKSLEGWVLPIIHRFRFISTELKITGGKLESMEVNVERGTVEIVRLKYLKQLKVDIDYLKALFPELRYVEVKQVSNISYLQHQWPWPYELAFKP >Vigun01g121200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29805979:29806711:-1 gene:Vigun01g121200.v1.2 transcript:Vigun01g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIGCQYYYLLFLLWFLSSVTFFLDTYAMFLRVKLLLLGSSLYSFGVNRQTSTSLARCWHHFSPIFPLGLHADLPTAQMLALAFHS >Vigun11g040266.1.v1.2 pep primary_assembly:ASM411807v1:11:5722387:5723020:-1 gene:Vigun11g040266.v1.2 transcript:Vigun11g040266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYKFMALDPMLGHTIDIGCESYVYYFYPSSSICASTISSMMMHAKLQYLELTIVEDGVKFEIFVYSGFVSLNKQTLSPFHWGPSHILLLL >Vigun05g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30612151:30613326:-1 gene:Vigun05g171200.v1.2 transcript:Vigun05g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTLCRVVPLKPTLLRLLSPFSTTPHSDTAILARLKHKDWLTPKEATTLLTSLTDASSTLSFFNLYTSRKDFDPTEPFCTTLVAKLAHAQHLNPLLTLHHALTLPRPQRRHFSDNFFFTLIKAYAHSFQRVDHALRTLHEMPCPPSTRTFNFVLNLLVNTRLYCAAHNLFLHAPQLGVTLDACSLNILIKGLCAQGELNAAFQLLEEFPGLGCKANARTYATLMKGLCERGRMDEAFGLLERMEDGSVDADVAVFNILIRGLRKGGRVDEGWRVLEGMMGKGVSPNGGSYNEVLCGLVEDGRFEEAKKVVERMGVEGFVPSFESYKGLVKGFCECGVVGEVEWVVRDMVRKGFVPKMGMWGKIVQCVVEREGSSGCVAVAIDGVSEDDK >Vigun02g122300.1.v1.2 pep primary_assembly:ASM411807v1:2:27432993:27435541:-1 gene:Vigun02g122300.v1.2 transcript:Vigun02g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSSTETFSIALLSVFLFLFPSGLYARTLTITNRCTYTVWPAVLSAAGSSPLPPTGFALLPGDSNTLSVPPAWSGRLWGRTLCSVDITGKFSCVTGDCGTSTIECAGANPAPPVTLVEFDFNGTGLTNLYYISLVEGFNLPVRVTPRDGNCSATGCSVDLNAMCPMELTVIRDGEAVACKSTCQTEPCSSSLLFKTACSGARVYAHDHGFFSCSSPHYTLTFCPTSKSWRKAENEKDPPGKNAVKYTGVLAVISVICGFIIFRIRLRLSNRDWEISLSAGTTNDTGQC >Vigun03g344600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54380130:54383717:1 gene:Vigun03g344600.v1.2 transcript:Vigun03g344600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTTYPRHHRHSRHPDDDQTLIPFPYWDLDFDFDPEFHPNPFSITDRENQVNFVMDLFHQRVEQSQLTDPLSNDAFLGVIDALDAIDLGFPAADDLFVGRRLSVGSDSDESLYQSGNVDGLFGICTHSEEEYNVNDDVSSIPLCLEALQLEDNRDSYDDFEWEEVVDEREVLSMLDDTSVSVSVGIEEETEEEVEFEMEANNLGWQVLLNGNLEGANSEPYFGDNEDFVYAAEYEMFSQFNDVAFIGKPPASVSAVRNLSAVVVSAADVASENVVCAVCKEEFGVGEEVKQLPCSHRIMGTALCRGWG >Vigun07g218500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34101035:34103085:-1 gene:Vigun07g218500.v1.2 transcript:Vigun07g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMASATLTLLLAILSLTLPSQISADNYLYSSPPPPKKYPPVSPPYHYSSPPPPPKKPYYYHSPPPPSPPPPKKPYYYHSPPPPPKKPYYYHSPPPPSPPPPKPYYYHSPPPPSPPPPPKYYYHSPPPPPKKKPYYYHSPPPPSPPPPKPYYYHSPPPPSPPPPKPYYYHSPPPPSPPPPKPYYYHSPPPPPKKKPYYYHSPPPPSPPPPKPYYYHSPPPPSPPPPKPYYYHSPPPPSPPPPKPYYYHSPPPPPKKKPYYYHSPPPPPPKKPYYYHSPPPPPKKPYKYPSPPPPVHPYPHPHPHPHPHPHPHPPYVYHSPPPPPKKPYKYSSPPPPVHPYPHPHPHPHPQPHPHPHPHPHPPYVYHSPPPPPKKPYKYSSPPPPVHPYPHPHPLPHPHPHPLPHPHPLPHPHPLPHPHPLPHPHPLPHPHPPYIPHPVYHSPPPPPYKKPYIYASPPPPYHS >Vigun01g046450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6912584:6912895:-1 gene:Vigun01g046450.v1.2 transcript:Vigun01g046450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKTVHVQSKILYEVEPYFNVRKDHVLMCIKSSIYRQISRFTTGIHFLQGTSIFVNKYLKLCFSIMSWQIVSLFPIIEYVPYQEFRTDSRHHSFKVLIQK >Vigun08g191900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35949605:35952549:1 gene:Vigun08g191900.v1.2 transcript:Vigun08g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVSLIVVLLVTCSCVVEAFSGNHDHRHNQNGSSMAAIKFPDHPSFSGVSSSGDTDCSLSSSTMTSGEETDEEGEAFPNPKPHKQLVKLHLKHRSGREDAEPKQSVVDSTVRDLIRIQNLHRRVIEKKNQNAISRLQKSQDQPKQTSEAVVAPGASPASGVSGQLVATLESGVSLGSGEYFMDVFVGTPPKHFSLILDTGSDLNWIQCVPCIACFEQSGAYYDPKDSSSFRNISCDDPRCKLVSSPDPPRPCKGENQSCPYFYWYGDGSNTTGDFALETFTVNLTTPSGGSELKHVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQMQSLYGQSFSYCLVDRNSNASVSSKLIFGEDKELLSHPNLNFTSFAAAKEGSVDTFYYVQIKSVMVDGEELKIPEETWHLSAEGAGGTIIDSGTTLTYFAEPAYEIIREAFVKKIKGYELIEGLPPLKPCYNVSGIDKMELPDFGILFSDGAVWNFPVENYFIQIDSDIVCLAILGTSPSALSIIGNYQQQNFHILYDTKKSRLGYAPMKCDDV >Vigun08g162400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33503476:33504971:1 gene:Vigun08g162400.v1.2 transcript:Vigun08g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGVGNDRVKGSWSPQEDATLLKLVNEHGARNWSLISSGIPGRSGKSCRLRWCNQLSPEVQHRPFTSAEDEIIIKAHAIHGNKWATISRLLPGRTDNAIKNHWNSTLRRRGTVEQSSSASPNTKRASPFGWDYPVKKQRAEEEREEERIAIPLSLFPFDEKSEGEVEEEEERVREEVRVNNNNNNNNNTRASDHDCFMQMMQRMIADEVRNYVNSLCNRNLYLHPH >Vigun10g024500.1.v1.2 pep primary_assembly:ASM411807v1:10:2924474:2927682:-1 gene:Vigun10g024500.v1.2 transcript:Vigun10g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLTCFFILGFLAPCMFGRSLVGTQIPFYNVVNYGARGDGKSDDSQAFLSAWKDTCGSEGIPTLIIPRNGVFKVKNINLSGPCRATKIHIKFQGQIVSPERDEWEKSVKVEDRSSLILISYVNRLTIYGNGEINGFGSSWWKCTSCQRPKVISFEQCNGLRVHYLSIIDSPRAHVTVDGCNNAFFSHIYIRAPADSPNTDGFDISASKYITIKDSIVATGDDCIAINGGSSFINATGIACGPGHGISVGSLGRNGEVDTVEEVYVSNCSFTNTQNGARIKTWQGGSGFARKIIFEKITLRNCFNPIIINQNYEYDGLEDSVVKVSDVTFRGFKGTSGDDRTIDMSCASSGCFNIVLEDINIVSSEPGKPASCSCNNAHGITASTTPNCDCLLK >Vigun01g220300.1.v1.2 pep primary_assembly:ASM411807v1:1:39403274:39405050:1 gene:Vigun01g220300.v1.2 transcript:Vigun01g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEISRSKRGGRWSLRGMTALVTGGTRGIGHAIVSDLAAFGAVVHTCSRTQTDLDKCLEEWQSEGFKVTGSVCDVSSPPQRENLIQEVASTFNGKLNIYINNVGTNFRKATIEYSAEEYSELMRVNLDSSFHLCQLAYPLLKESGNGSIVFISSVAGVVSLGTGAVYAASKAAINQLTKNLACEWAKDNIRSNCVVPWATRTPLVEHLLKNQKFVDEIMSRTPIKRIAEAEEVSSLVTFLCLPGASYITGQVICVDGGLTVNGFQPSMIIT >Vigun05g288100.1.v1.2 pep primary_assembly:ASM411807v1:5:47512192:47514796:1 gene:Vigun05g288100.v1.2 transcript:Vigun05g288100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQDLGLEITELRLGLPGAAAGDNNHDKDNTKKNNKRLFSDIDGRNSSSEEDAKKETKNHQVVGWPPVCSYRKKNTVNEPKIYVKVSMDGAPFLRKIDLAMHKGYSDLAFALDKFFGSYGIGEALKDAENAEHVPIYEDKDGDWMLVGDVPWEMFRESCKRLRIMKRSDAKGFDLQPKGSLKGFIEGVRK >Vigun02g167200.2.v1.2 pep primary_assembly:ASM411807v1:2:31101970:31106582:1 gene:Vigun02g167200.v1.2 transcript:Vigun02g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLPIILLLSVSLHACTARFPSLSKKDTLHKELDKVKLLETLTTSSSLKDYTLQKNQQQQQKPIVEAAASGTSFQMDSPSFLAAEAKDSKAHAMAG >Vigun02g167200.1.v1.2 pep primary_assembly:ASM411807v1:2:31101970:31106289:1 gene:Vigun02g167200.v1.2 transcript:Vigun02g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLPIILLLSVSLHACTARFPSLSKKDTLHKELDKVKLLETLTTSSSLKDYTLQKNQQQQQKPIVEAAASGTSFQMDSPSFLAAEDERGPARSMLGTVQHHHVEETVFTKASDSAEDIVEMDYAQPHRKPPIHNEKP >Vigun11g136000.1.v1.2 pep primary_assembly:ASM411807v1:11:34536165:34540726:1 gene:Vigun11g136000.v1.2 transcript:Vigun11g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNILKPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKNVQKAIREAAKRNDMGSAKALAKELVRSRKTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAVTMQEFSKEMTKAGVIEEIVNDAVDSALDSEDIEDEIEEEVDKVLTAIAGETAAQLPEAVRKERVKQPGQSVGASEEEAIAEGVDDEEEMEEIRARLAKVRS >Vigun03g236800.1.v1.2 pep primary_assembly:ASM411807v1:3:39384188:39387019:-1 gene:Vigun03g236800.v1.2 transcript:Vigun03g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLILFILLSTLTVAYGGGNSADQNPFTPKAYVSRYWDRHVRNTLSKPSFLLSKASPLTAADTASFTNLAATNTLSTRLPDFCSAAHLLCFPDVRPSLEKHTKDVNFQFYQDAQNFTNYGTSRPSGTDSFKNYATTFFSRPMNDFRRYSRRSAGHVDRFHNYGNDTNDIYENFNTYGTSAAGGSGEFKHYATRANFPELQFTAYSDRSGGRTQSFSSYSEDGNSGGQNFTSYGKDSAGAGNEFLSYGTKANVAASSFANYGSKGTGSNDTFTNYGVLMNVPEETFQSYADGTVGGSESFSNYRVQANVGDDSFQSYAKNTKEGTQVNFNNYAISANPSSDTFKGYAEGAEGDYKVGFTGYGVNTNATFKDYAKEGVSFASYNTSSSSSSIGGSLVKRWVEPGKFFRESMLKEGSVMAMPDIRDKMPQRSFLPRSILLKLPFSSSKIEELKSVFKVSDNSSMEKMMMDSLGECERAPSVGEIKRCVGSVEDMIDFATSVLGHNVGVWTTQNVNGFSKNVMVGRVKGMNGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPESKAKINQGVAICHLDTTAWSPTHGAFVALGSGPGKIEVCHWIFENDMTWTIAD >Vigun06g035200.1.v1.2 pep primary_assembly:ASM411807v1:6:14820682:14824095:-1 gene:Vigun06g035200.v1.2 transcript:Vigun06g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIKCTASTNYFTTRPSSKKEMCDENGDFSVSVTNEEVVAAVLPMQEHWLPLSNLDLLLPPLDVGVFFCYNNPILTSTTMEDCATHKITFGTMVGSLKKALAQTLISYYVFAGEVVLNSMGEPEVLCNNRGVDFLEAEADVELKNLNFYNPDQSIEGKFVPKKKNACVLAVQATVLKCGGIIVACTFDHRIADAYSTNMFLVSWAAMANPNTTILAATSGHPCFRRSLLSPRRPGFIRPSQHHMYTSISDLPPPPATDATALLSRIYYVTAEQLRHMQSLAATRTKLECFCAFLWKMVAHAASRDTYSKRATAKMGIVIDGRKRLGNGDKESEAMMECYFGNVLSIPFGVKLVEQLVKEPLGYVVEAVHEFLAAAATEEHFLGLIDWVEAKRPIPGVSRIYCNRADEGPAFVVSSGQRFPVEKVDFGWGKAVFASYHFPWGGEAGYVMPMPSPLGNGDWVVYMHLAKKHLEIMETEAAHVFKPLTWDYLNQ >VigunL003950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:29305:29859:-1 gene:VigunL003950.v1.2 transcript:VigunL003950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKIDFFTKKKISSDDLYNDSYYRNEEKINKLSNEFINRTKLIDKKLISRDIFENRIRFCDDETKKKYLTKRKDPLLNGPFRGQIKNGFSTSIQHEKTYKKNNIFINKIQDIFLYNKISKKNNSNSPKLEENRKTFDKKLLVTTFLFNLISQFSLVSSLNSHVPYLFTEPEQVKMNYNYDAEKK >Vigun04g015200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1103853:1105120:1 gene:Vigun04g015200.v1.2 transcript:Vigun04g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSISSTKKFFQKTIKNFKSFFSPGYQRLPKTSPHGHFSYSVPASSAMDMTSNTSYQDTEKFYTDFSDKWESETDKTRRIKKKAVSALPSKQESEVYNGSYISLSNASHAQKKNKIEKKEESGGANINNRRRSLTHQKESSSLMSMCMKEHKYCMVEQKLRELEMLDTNNVEYVLDIEEVLHYYSRLTCPAYLEIVDKFFLEMYSELFGRASPSGVSRNKLRYQ >Vigun05g087300.1.v1.2 pep primary_assembly:ASM411807v1:5:8284781:8286589:-1 gene:Vigun05g087300.v1.2 transcript:Vigun05g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKASSYCMSVMVCVMVLLGVAMSELTCCDVKPVVKACGCYVKKGGNTIPIDCCMEVLNLRNKVMNSSHNQRIACHCLQEAAKNATEPINATAYEIVPSRCGVSLPYQFTLNMDCEVIGGYKRHTEM >Vigun05g280901.1.v1.2 pep primary_assembly:ASM411807v1:5:47010586:47010827:-1 gene:Vigun05g280901.v1.2 transcript:Vigun05g280901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWILSCIWIKLWRTEEKLPLVAMLVAFNSFVHTVDSVDD >Vigun04g090700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:16456961:16458300:1 gene:Vigun04g090700.v1.2 transcript:Vigun04g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTNSKISLPQTHQPNPNLEPLLHALDPISLILNQNSNSDNPFPLRLTSVDSFVLERGPNYTAYAELRESRLHMKCLMQDRKQQAQVSEPTTKPATPPRKKQVKFQACEKISKGSFSIAQSVPDFSAALRKENRKPVNTPPSMTPPSKSGNGVVLSSRGSKSVSVMARKSYACIDELKVLSSATAIAINGEGRGGGRSNKVMGKTVSGHTRQF >VigunL059341.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:23933:24136:1 gene:VigunL059341.v1.2 transcript:VigunL059341.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun01g016000.2.v1.2 pep primary_assembly:ASM411807v1:1:1739538:1741127:-1 gene:Vigun01g016000.v1.2 transcript:Vigun01g016000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELHSHRKVTEMGSATNSNPNPNPYPWTPKDCSEGICSIYCPQWCYIVYSPPPPSLFLGGDDADDDPSGFEFSPLIVAVIGILASTFILVTYYTIISRFCRRTTMQSNEASSPEEEDGEVSSSSNSGLDEALIKSITVCKYTKGVVEGHDCSVCLSEFEENESLRLLPKCNHAFHLPCIDPWLKSHATCPLCRSTITLVSPPNPAMEAPPRVTVNALEYQQRSSHVVVVVVQSSEQQEKVLSFDSNTLNESEG >Vigun01g016000.1.v1.2 pep primary_assembly:ASM411807v1:1:1739460:1741127:-1 gene:Vigun01g016000.v1.2 transcript:Vigun01g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELHSHRKVTEMGSATNSNPNPNPYPWTPKDCSEGICSIYCPQWCYIVYSPPPPSLFLGGDDADDDPSGFEFSPLIVAVIGILASTFILVTYYTIISRFCRRTTMQSNEASSPEEEDGEVSSSSNSGLDEALIKSITVCKYTKGVVEGHDCSVCLSEFEENESLRLLPKCNHAFHLPCIDPWLKSHATCPLCRSTITLVSPPNPAMEAPPRVTVNALEYQQRSSHVVVVVVQSSEQQEKVLSFDSNTLNESEG >Vigun03g113000.1.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367857:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNDIDSREFVDTISNSVSATDPNFEMKLGSHNEVRDSIDDKHPIKSVAEKFEKTLRPDRFSEQKKFAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTERHVKVSLDMLLKLVVVFGPTIRSTISAPPSVGVDLHAGQRRECSNQCFMQLQKIRMILPVLVRRGGVLAKSAQELNLVLQQP >Vigun03g113000.4.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367858:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNVAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTERHVKVSLDMLLKLVVVFGPTIRSTISAPPSVGVDLHAGQRRECSNQCFMQLQKIRMILPVLVRRGGVLAKSAQELNLVLQQP >Vigun03g113000.6.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367858:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNVAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTESADM >Vigun03g113000.2.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367857:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNDIDSREFVDTISNSVSATDPNFEMKLGSHNEVRDSIDDKHPIKSVAEKFEKTLRPDRFSEQKKFAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTESADM >Vigun03g113000.5.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367858:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNVAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTERHVKVSLDMLLKLVVVFGPTIRSTISAPPSVGVDLHAGQRRECSNQCFMQLQKIRMILPVLVRRGGVLAKSAQELNLVLQQP >Vigun03g113000.3.v1.2 pep primary_assembly:ASM411807v1:3:10358684:10367857:1 gene:Vigun03g113000.v1.2 transcript:Vigun03g113000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGYKLQEFVAHSGNVNCLKIGRKASRLFVTGGDDHNVNLWMIGKATSLMSLCGHTSTVESVTFDSAEVLVLSGASSGVIKLWDLQEAKMLRTLTGHRSNCTAVEFHPFGEFFASGSSDTNLNIWDIRKKGCIHTYKGHTQGISTIKFSPDGRWVVSGGFDNVVKVWDLTGGKLLHDFKFHEGHIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSSRHEVSGVRSLTFHPDGRTLFAGLEDSLKVYSWEPVICHDAVDMGWTTLGDLCIHDEKLLGCSFYSNSVGIWVSDISLIKPYGDGLETENKKSTEQKLSLQGRQMEKVEYGVNNESKEIKNIYIDSSEGNPDNLLRSRSYNSPKMDHPEESKEMLNLSSATGIREKSNVQTLRKSSIVSNVVPLDIPNDIDSREFVDTISNSVSATDPNFEMKLGSHNEVRDSIDDKHPIKSVAEKFEKTLRPDRFSEQKKFAVVQGRTRSLVERFERKEITPIKEDQDNATPTTTFENRENFLKEDQTSASPNTTTSTSEKGEIIRFSEDRNNVLPVPNTTSETDKHTDFMKAEFGRDSNSANDGEIIEDLLQTHDVTLSNLRSRLTKLQVVRHFWERNDTKGAINALEKLPDQYVQADVISVLVEKMEILTLDLFSCLLPVLTGLLDSKTERHVKVSLDMLLKLVVVFGPTIRSTISAPPSVGVDLHAGQRRECSNQCFMQLQKIRMILPVLVRRGGVLAKSAQELNLVLQQP >Vigun04g040800.1.v1.2 pep primary_assembly:ASM411807v1:4:3521087:3526570:1 gene:Vigun04g040800.v1.2 transcript:Vigun04g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNTSSSSLKRSDSITDTMPDALKQSRFHMKRCFGRFVASGKRLMKQYHVMEDVEKSVEDKAERKKLLDGMLGYIFSCTQEAAVVPPYVAFAVRPNPGFWEYVKVNADDLQVEGIDAVEYLKYKEMIFDEKWANDENALELDFGAIDFTTPRMVLSSSIGNGLNFTTKILTSRLSEGSQSVNPLLEYLLSLNYQGENLMINDTLNTMPKLQQALKVAEAYVSALHKDTPYHKFEDRFKEWGFDKGWGNTAGRVKETMKLLSEVLESPDPVKLESLFSRLPNMFNIVILSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEELLHKIELQGLDVKPQILVVTRLIPDAKGTTCNQELEPVTHTKHSNILRVPFYTEKGMLRQWISRFDIYPYLERFCQDATAKILDLMEDKPDLIIGNYTDGNLVSSLMASKLGVTQATIAHALEMTKYEDSDAKWKVFDDKYHFSCQFSADIIAMNSADFIITSTYQEIAGSKQKPGQYETHTAFTMPGLCRAVSGINVFDPKFNIAAPGADQSVYFPSTEKDQRLTSFHPAIQELLYSKDDSEEHIGFLEDMKKPIIFSMARLDKVKNLSGLVEWYARNKRLRSLVNLVVVGGFFDPAKSKDREETEEIKKIHLLMKEYNLKGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPYNGEESSDKIADFFERCNTDSEHWNRMSKAGLQRINECYTWKIYANKVLNMGSIYGFWRRLNKEQKLAKERYIHMFYNLQFRNLAKKVPIPSETPQYPNQMPKSSAPSPARRPAAKSRPQRVDGHGIVGAPLSLLTAAVPPKVKDHPTTHGEGVREGRGISEQDGGGVFGLRWLICIVAFAYAIHYFLNNLDNLLFKREQ >Vigun10g068250.1.v1.2 pep primary_assembly:ASM411807v1:10:16049492:16051586:1 gene:Vigun10g068250.v1.2 transcript:Vigun10g068250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRSLPSHFTLCVHSLLVRVFLLSPHLTLSFLISLSSRSLSAFTSLHSPFTSLHSPLRTFPHLSVRSPLRSLTSPFALRFSFLLFSSSFPLFHFTSFSFLLPSPPFAPSFAPQSTIAAVRICSIGLFGSIARICASVHSFSVSGMKTCNKEDT >Vigun04g004500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:290712:291206:1 gene:Vigun04g004500.v1.2 transcript:Vigun04g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKRSQKFDEGSSKNCFCSQEYLIHKNDHNLSYSSSLANFRNHVLYHTEQPIKKTLKESDVSVNLNRLFLSKTEVEQYFLPMLKDDENVKDGVEVCAYDDYGNVYSLVFKMWADKYYVLNGEWKTVFKHHKLQCDDVVTTWMFRHSKHTKLCVAIEFQKIKR >Vigun10g087800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25320844:25322474:-1 gene:Vigun10g087800.v1.2 transcript:Vigun10g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKHELLESLRMNNSCDSLTSLPLATFPNLIRLQITNCEYMESLSVLASESFKSLSSFDIGGCPNFVSFPGEGLCAPNLTRFSVYDCAKLKSLPYQMRTLLPKMEYLNISNCQQIEWFPGGGMPPNLRTLDLRNCEKLLSGLEWMDMVTSLNVHGPCDAINSFPKEGLLPPSLTSLSLIDLSSLKTLECKGLLHLSSLQQLQIQNCKKLENIAGERLPVSLLKLSIGGCPLLQKLCHKKDRQIWPKICHVRGIKIDGRWI >Vigun06g147600.1.v1.2 pep primary_assembly:ASM411807v1:6:27266818:27267801:1 gene:Vigun06g147600.v1.2 transcript:Vigun06g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVMKVHMSCEKCRTKALKVGAAANGVNFVGLEGESKEKLVVIGDGVDAVKLTNLLRKKVGQTDIISLAEVKAN >Vigun07g134400.1.v1.2 pep primary_assembly:ASM411807v1:7:24444530:24449409:1 gene:Vigun07g134400.v1.2 transcript:Vigun07g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFWAAIVAALVFGFWVDASSAYQYHHFNETEFSLLEAQEQAYSSLLGRSPLMVGLTLIPSAAAKGAVCLDGTLPGYHLHRGYGSGANSWIVNLEGGGWCNDVRSCVYRKKTRRGSSRFMEKQIPFTGILSNSAEDNPDFFNWNRVKIRYCDGASFAGDSENKAAQLQFRGQRIWSAAIEDLMSKGMRFAKQALLSGCSAGGLATIIHCDEFRGFFPHTTKVKCLSDAGLFLDAIDVSRGHTIRNFFSGVVGLQGVQKNLPPSCTNHLDPTSCFFPQNLIASIRTPLFILNTAYDSWQIQSSIAPSSADPRGYWHDCRLNHAKCTASQIQYLQGFRNQMLNGIKSFSRSYQNGLFINSCFAHCQSERQDTWFADNSPVIGNKAIALAVGDWYFDRAVVKDIDCPYPCDNTCHHLVFR >Vigun03g302050.1.v1.2 pep primary_assembly:ASM411807v1:3:49167707:49168423:1 gene:Vigun03g302050.v1.2 transcript:Vigun03g302050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSINLWRRHVFARSFYKTKSDEPLLVITNELWLSVKSTASTSLLSPLTPFHPLPPPLMASTTSSPASLSLSPRFCHRIYYFSFFFSYSPSFLLPCLILLHSLTL >Vigun11g203000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40065305:40068061:-1 gene:Vigun11g203000.v1.2 transcript:Vigun11g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLANNSSSPRPKWRKVAYGGMQPGYDDNHTDESFLEGMVMNASVVKRDMLKVMLDSVSISEYLCIVALVVLVWICTLTSTIDENSLLFIDVSLLFSGFLILLFTQEMLSLSLLLHYVLNISFFITVLYVLAPIYQTLTRSISSDSIWAVAASLLVLHLFLHDYSESTVKAPGVLKNPALTSCISVNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIKKYSFRMHLCFSISLMAMTLSFVYMLHRLLFVVLLSLLVFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >Vigun07g124700.1.v1.2 pep primary_assembly:ASM411807v1:7:23086482:23088788:-1 gene:Vigun07g124700.v1.2 transcript:Vigun07g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKIVVAVDESQESMYALSWCITNLVSDTNKLVLLYVKPPSAFYSLDAAGYIFSNDVIDAMEKYSMHLVNSVMERAEATCRDLKATNVNMERVVGVGDAKDVICSVAKKLEADTLVMGSHGYGFFKRAILGSVSDHCAKHAECPVVIVKHP >Vigun06g222400.1.v1.2 pep primary_assembly:ASM411807v1:6:33147424:33150207:1 gene:Vigun06g222400.v1.2 transcript:Vigun06g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEKKMGWRGILGFEYGIVQAPLGPDISGPRLVAAVANAGALGLLRAPDWESPDYLRGLIKTTRSLTDKPFGVGVVLAFPFEENLKVILEEKVAVLQISWGDCTTELVDRAHAAGVKVVPQVGNIEGAKQAIEAGVDGIIVQGLEAGGHVLGQDGLITLVPRVVDLVGDREIPVIAAGGIVDSRGYVAALALGAQGVCLGTRFVATEESNAHSTYKRKLVEFDETAYTDVFGRARWPGAPHRVLQTPFYKEWRSLPAHENEANQPIIGHSTINGVGKDIRRFAGTVPNVTTTGDLESMVMFAGEGVGLIKEILPAGEVVKQLVEGAQLIIQQNLN >Vigun03g441100.1.v1.2 pep primary_assembly:ASM411807v1:3:64376176:64380466:-1 gene:Vigun03g441100.v1.2 transcript:Vigun03g441100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFKSKPRTPSDIVRHTRDLLRLTNRDDDKTAELTKNLRELKTILYGNSESEPVPEACAQLTQEFFKENTLRLLILCLPKLNLEARKDATQIVANLQRQQVQSKLIASDYLETNLDLMDVLVSGYENMDMALHYGAMLRECIRHQIVAKYVLDSPHMKKFFDYIQLPNFDIAADAAATFKELLMRHKSTVAEFLSKNYEWFFYEYNSKLLESSNYITRRQAVKVLGDMLLDRSNSAVMTQYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFVANQKKPADIISILVANRSKLLRLLGDLKIAKEDEQFEADKAQVIREIAALEPRDVA >Vigun06g224300.1.v1.2 pep primary_assembly:ASM411807v1:6:33283178:33284513:1 gene:Vigun06g224300.v1.2 transcript:Vigun06g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSLCSLMFLLLALATLNVVHGQGTRVGFYARTCPRAESIVRSTVQSHVRSDRTLAAGLLRMHFHDCFVQGCDGSVLIAGAGTERTAFANLGVRGYEVIDDAKTQLEAACPGVSGGLSWQVPTGRRDGRISQASDVSNLPAPFDSVDVQKQKFTAKGLNTQDLVTLVGGHTIGTTACQFFSNRLYNFTANGADSSINPLFLPQLRTLCPQNGGDSNRVALDTGSENRFDTSFFGNLRNGRGILQSDQALWNDPSTKSFVQGYLASKPSLFNVEFAKAMVKMSNIELKTGTNGEIRKICSAFN >Vigun03g426000.1.v1.2 pep primary_assembly:ASM411807v1:3:63143982:63145372:-1 gene:Vigun03g426000.v1.2 transcript:Vigun03g426000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREGRKLLTKMQGRITKKETETHSTMPPLSQIPPSSSSSFPHPSSIAEATANTTTTRKRVKRMVHSHCGSINMERERRSKMTQMFTQLQTSVPGLLPQATREVIVNETIGYIKELEKKKQRLEELKKLKETVKGVEGSTVECGNNNRDCSIVVTVSANVAFFGIQWVPRPGLVAQIFKVFCNHQAEILAANVTVNNGKLILAITASVQNNGNCVVEKIKREIMGL >Vigun06g117200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24509825:24510882:1 gene:Vigun06g117200.v1.2 transcript:Vigun06g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQCGKLHTSSPSSTQKPEPSSSANAVVSETSLEQKKDEPRSEEATNISTMRRPRGRPLGSKNKPKPASLMSRDTKNLIESHMLEIASGSDIITNLVQFAGRKKRGFCVLSAIGSIRNVSLQQSLIPDTIMTLEGQLQILSLKGSFLPGATPPTLSVYLAGAKGQVVGGRVVGPLVASGTVFIVLAAFSNAGFDRLPFVFEGGEEASSSNH >Vigun11g100300.7.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWCASSYPSI >Vigun11g100300.3.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWCASSFAPLLGGDWYFFYTILLLPNHNLYRVVPLQTRVAFMSMGSIFWNFYLSSTMTK >Vigun11g100300.1.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWCASSYPSI >Vigun11g100300.6.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGKCCYLIIMHHNLIMHTSHSLMLAFGSCLCRF >Vigun11g100300.5.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWVVPLQTRVAFMSMGSIFWNFYLSSTMTK >Vigun11g100300.4.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWVVPLQTRVAFMSMGSIFWNFYLSSTMTK >Vigun11g100300.2.v1.2 pep primary_assembly:ASM411807v1:11:29426360:29431774:-1 gene:Vigun11g100300.v1.2 transcript:Vigun11g100300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGSGCWGLWKWNPLPQSRRRRVRRNSGSAGATGGGGYRFPVKQAVTAASLALTGDTIAQLSNRWKKAKEGGDNISQDELWSHLSDHDWLRALRMTSYGFLLYGPGSYAWYQSLDHFLPKPTVQNLMLKVLLNQIVLGPCVIAVVFAWNNLWQRRLSELPEKYRRDALPTLLYGFRFWIPVSVLNFWCASSFAPLLGGDWYFFYTILLLPNHNLYRVVPLQTRVAFMSMGSIFWNFYLSSTMTK >Vigun08g072300.2.v1.2 pep primary_assembly:ASM411807v1:8:11883273:11887694:-1 gene:Vigun08g072300.v1.2 transcript:Vigun08g072300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSADNRIVETEKRLGVSMWMERALIVLVLLLHVHQIDGGDGCLASSCGKIRNISYPFRLKGDPEGCGLREYELSCENNTTLLSLLSGTFHVKAINYNNFTIRVVDPGLQQPNCSSLPRYFLSQSNFTDSYANVLNPYQTSYAKFVNEYIVFLSCSHGVSGKRKYVDTGGCLNWDSKGYTYAMAGDLLAEDLEVGCDVKLVAPTSWWGFDTNNYSYAMIHTALLYGFQLSWISLVCEGRCARTGLCYFIDSSQTVECFPGEVKPSGLLYFLQRHMAQSGKKNLALF >Vigun09g027400.4.v1.2 pep primary_assembly:ASM411807v1:9:2202072:2207105:-1 gene:Vigun09g027400.v1.2 transcript:Vigun09g027400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSRLDDEEAVQLCRDRKKFIKQAVEQRTRLATGHIAYIESLKRVSAALRDYIEGDEPREFSLDNAITPPFTPVKKKSGPGFIPISAKPFATTGAIEFGIGPNSTLKVNYLRPGGNPAISVEERPQSPERVRVETYYPPMQQQYGFDGFFNMQSSPVNPSIFAYSPNNRPNIPPPSPQASQWDFFWNPFSSLDSYGYPAKSVDHTATDDEYRGLRQVREEEGIPDLEEDETEHEDGVGKRNVAEERTRPNMNSSKEEVIVEDVEDDDDDDDDDDEEEEEEEGEEEEEEETDVEDETEHVAKDSQAHGSASFEVSKAQAAGHIESSHREMTIGKKDAVEETPGFTVYVNRRPTSMAEVINDIETQFTIVYNAANDVAALLEAKKSQYLLTSNELSGSKLLNPVALIRSASSRSSSSRYLVNCSSTGKEGCDGTKDLSEEHCMLSGSHHSTLDRLNTWEKKLYEEVRSGERIRIAYEKKCKQLRNFDAKGEDPSCADKARAAIRDLDTQITVSIHSVEAISRRIETLRDEELYPQLLELVQGLERMWKVMAECHQKQKRTLDEAKILLAGTYSKLHARKQSSMLMTDPNRLARSASNLEFELRNWRNAFESWITSQRSYIHALTGWLLRCMRSEPDASKLPCSPRRSSGTHPLFGLCVQWSRRLDAIQEKAVLDGLDFFAAGMGSLYAHQLREDSRRNSFGPKQSNGNMELVEAGEVEEVMAPEKLAEVAIKVLCAGMSVAISSLAEFALDSAEGYNEVVKQWDTVKCQNQNTASNNTRTSNNTRT >Vigun09g027400.1.v1.2 pep primary_assembly:ASM411807v1:9:2202072:2207157:-1 gene:Vigun09g027400.v1.2 transcript:Vigun09g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSRLDDEEAVQLCRDRKKFIKQAVEQRTRLATGHIAYIESLKRVSAALRDYIEGDEPREFSLDNAITPPFTPVKKKSGPGFIPISAKPFATTGAIEFGIGPNSTLKVNYLRPGGNPAISVEERPQSPERVRVETYYPPMQQQYGFDGFFNMQSSPVNPSIFAYSPNNRPNIPPPSPQASQWDFFWNPFSSLDSYGYPAKSVDHTATDDEYRGLRQVREEEGIPDLEEDETEHEDGVGKRNVAEERTRPNMNSSKEEVIVEDVEDDDDDDDDDDEEEEEEEGEEEEEEETDVEDETEHVAKDSQAHGSASFEVSKAQAAGHIESSHREMTIGKKDAVEETPGFTVYVNRRPTSMAEVINDIETQFTIVYNAANDVAALLEAKKSQYLLTSNELSGSKLLNPVALIRSASSRSSSSRYLVNCSSTGKEGCDGTKDLSEEHCMLSGSHHSTLDRLNTWEKKLYEEVRSGERIRIAYEKKCKQLRNFDAKGEDPSCADKARAAIRDLDTQITVSIHSVEAISRRIETLRDEELYPQLLELVQGLERMWKVMAECHQKQKRTLDEAKILLAGTYSKLHARKQSSMLMTDPNRLARSASNLEFELRNWRNAFESWITSQRSYIHALTGWLLRCMRSEPDASKLPCSPRRSSGTHPLFGLCVQWSRRLDAIQEKAVLDGLDFFAAGMGSLYAHQLREDSRRNSFGPKQSNGNMELVEAGEVEEVMAPEKLAEVAIKVLCAGMSVAISSLAEFALDSAEGYNEVVKQWDTVKCQNQNTASNNTRTSNNTRT >Vigun09g027400.3.v1.2 pep primary_assembly:ASM411807v1:9:2202071:2207105:-1 gene:Vigun09g027400.v1.2 transcript:Vigun09g027400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSRLDDEEAVQLCRDRKKFIKQAVEQRTRLATGHIAYIESLKRVSAALRDYIEGDEPREFSLDNAITPPFTPVKKKSGPGFIPISAKPFATTGAIEFGIGPNSTLKVNYLRPGGNPAISVEERPQSPERVRVETYYPPMQQQYGFDGFFNMQSSPVNPSIFAYSPNNRPNIPPPSPQASQWDFFWNPFSSLDSYGYPAKSVDHTATDDEYRGLRQVREEEGIPDLEEDETEHEDGVGKRNVAEERTRPNMNSSKEEVIVEDVEDDDDDDDDDDEEEEEEEGEEEEEEETDVEDETEHVAKDSQAHGSASFEVSKAQAAGHIESSHREMTIGKKDAVEETPGFTVYVNRRPTSMAEVINDIETQFTIVYNAANDVAALLEAKKSQYLLTSNELSGSKLLNPVALIRSASSRSSSSRYLVNCSSTGKEGCDGTKDLSEEHCMLSGSHHSTLDRLNTWEKKLYEEVRSGERIRIAYEKKCKQLRNFDAKGEDPSCADKARAAIRDLDTQITVSIHSVEAISRRIETLRDEELYPQLLELVQGLERMWKVMAECHQKQKRTLDEAKILLAGTYSKLHARKQSSMLMTDPNRLARSASNLEFELRNWRNAFESWITSQRSYIHALTGWLLRCMRSEPDASKLPCSPRRSSGTHPLFGLCVQWSRRLDAIQEKAVLDGLDFFAAGMGSLYAHQLREDSRRNSFGPKQSNGNMELVEAGEVEEVMAPEKLAEVAIKVLCAGMSVAISSLAEFALDSAEGYNEVVKQWDTVKCQNQNTASNNTRTSNNTRT >Vigun09g027400.2.v1.2 pep primary_assembly:ASM411807v1:9:2202071:2207239:-1 gene:Vigun09g027400.v1.2 transcript:Vigun09g027400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSRLDDEEAVQLCRDRKKFIKQAVEQRTRLATGHIAYIESLKRVSAALRDYIEGDEPREFSLDNAITPPFTPVKKKSGPGFIPISAKPFATTGAIEFGIGPNSTLKVNYLRPGGNPAISVEERPQSPERVRVETYYPPMQQQYGFDGFFNMQSSPVNPSIFAYSPNNRPNIPPPSPQASQWDFFWNPFSSLDSYGYPAKSVDHTATDDEYRGLRQVREEEGIPDLEEDETEHEDGVGKRNVAEERTRPNMNSSKEEVIVEDVEDDDDDDDDDDEEEEEEEGEEEEEEETDVEDETEHVAKDSQAHGSASFEVSKAQAAGHIESSHREMTIGKKDAVEETPGFTVYVNRRPTSMAEVINDIETQFTIVYNAANDVAALLEAKKSQYLLTSNELSGSKLLNPVALIRSASSRSSSSRYLVNCSSTGKEGCDGTKDLSEEHCMLSGSHHSTLDRLNTWEKKLYEEVRSGERIRIAYEKKCKQLRNFDAKGEDPSCADKARAAIRDLDTQITVSIHSVEAISRRIETLRDEELYPQLLELVQGLERMWKVMAECHQKQKRTLDEAKILLAGTYSKLHARKQSSMLMTDPNRLARSASNLEFELRNWRNAFESWITSQRSYIHALTGWLLRCMRSEPDASKLPCSPRRSSGTHPLFGLCVQWSRRLDAIQEKAVLDGLDFFAAGMGSLYAHQLREDSRRNSFGPKQSNGNMELVEAGEVEEVMAPEKLAEVAIKVLCAGMSVAISSLAEFALDSAEGYNEVVKQWDTVKCQNQNTASNNTRTSNNTRT >Vigun04g089666.1.v1.2 pep primary_assembly:ASM411807v1:4:16025745:16027334:-1 gene:Vigun04g089666.v1.2 transcript:Vigun04g089666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQYNVKNDGIQGVSHSKQQVDLEEKFEKHERIILDMKEQIKKMRDEFFDAPEPDSCVGKGNHVNEEDGCPSEQRKCDEGQGCPSHRKESLQPHQCEEDDLNDKPKSNHNGDDKAIKPNTEGQSNTLFIDKAKLYKDVTVVGCVRTIYVNVNGEILRSDECQCFRPRGWIDNMSIMFAAYEFMYKQKRLTGKISRVIFNPFYTNAVILDCNKRKVNRRVWCLDDYRHYLTRDLVSVQDILIADFLFAPVIYVEHWWCYAFNCQTKEFFVLDSLAHKCRRRKQIDSHVVSPCVTL >Vigun10g069800.1.v1.2 pep primary_assembly:ASM411807v1:10:16816482:16819625:-1 gene:Vigun10g069800.v1.2 transcript:Vigun10g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDYLFVVPNCVNDQFFKGKKIKYSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPAFKLGENAPTVSWADPKNADSSAASQASERRCEELITQVPESTRPLLRQIEAMQISCLRAEQTQLSRTLEKDRQRAVESRQEYLAAKEEADTQEGRVRQFEEEIRDIRQKYKLELQEALIHRDHLQQFV >Vigun05g102300.1.v1.2 pep primary_assembly:ASM411807v1:5:10225004:10232336:-1 gene:Vigun05g102300.v1.2 transcript:Vigun05g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSEMANENGSLNSKPPRDTKGKIITCKAAVAYGPGEPFVVEEILVHPPQKFEVRVKILYTSICHTDLSAWRGENESQRAYPRILGHEASGIVESVGEGVSEVEEGDTVVPIFNGECGECCYCKCEKSNMCERYGVNPMKKVMEGDGRSRFSTVDGKPIFHFLNTSTFSEYTVVDSACVVKFRHSDHTLTTKNLTLLSCGVSTGVGAAWNTANVHSGSSVAVFGLGAVGLAVAEGARARGASRIIGVDINPDKFIKAKAMGVTDFINPKDEEKPLYEIIRKMTDGGVDYSFECTGNGNVLRDAFLAAHAGWGLTVILGIHASPNLLPIHPMELFNGRSIVGSVFGGFKGKSQLPHFATQCGNGVVKLDNFITHELPIEEIDKAFDLLITGKSLRCLLHF >Vigun11g173900.1.v1.2 pep primary_assembly:ASM411807v1:11:37905298:37908546:-1 gene:Vigun11g173900.v1.2 transcript:Vigun11g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSKNMSSTHALRFLSLFLLLLIMNGKNIEARDMKIELQDEKVDEDKTTMVSNNSPYLVGYRTISKSVEPNYIDSAKNQNHHQQKLTQPYTTSYSHAHQLNHPYITAYGADKTPKESHNSDDASVPYITSYSGITHSNDDTTKPYITQYGATNEANHPYITAYKKVPKQSPHVDSTVPYITQYDRNIHANEADHPQITVYGAKKVPQHSSYDGTSKTYITQYGDSKTDMKKSSSVDHSEAFKVGFFALTDLHVGNVMTLQFPIGEVSQFLPRKEADSIPFSTSQLPSVLQLFSIPEDSPEAVAMRGTLEQCEGEPITGETKICATSLESMLEFVSTTIGSETKCDILTTTLPTTAGAALQKFTILEVSEDINAPKWVACHPLPYPYAIYYCHSIDTGSKVFKVSLGSENGENKVEALGICHLDTSDWDPNHIIFRQLGIKAGQDPVCHFFPIKHLLWIPQPSQATI >Vigun07g222900.3.v1.2 pep primary_assembly:ASM411807v1:7:34499227:34503625:1 gene:Vigun07g222900.v1.2 transcript:Vigun07g222900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESEMSKGVSGKMKKYLQMGIWCFSLLIFVGYVLVWIVRPTNIFYLQWFPDIEAKADSVFFGEQGTIILIYTFPILLIATLAGLYLHLEQKRSNHNSESKGRFLGLVSWKRPLLTKGPLGIITMTELSFAIMFVLLLIWTFCSYLHSMFASAAQDAAKERDQVWEVKLEYSAIALGLVGNICLALLFFPVTRGSSILRFIGLTSEGSIKYHIWLGHTAMILFTAHGLGYIIFWYKTHQILEIFKWNKVGVSNVAGEVSLLAGLIMWAATLPSIRRKAFELFFYTHYLYIVFVIFYVLHLGFSSSCVVLPGFYLFMIDRYLRFLQSQQKIRLLSARVLPCEAVELNFSKNLGLSYAPTSTIFINVPSISKLQWHPFTVTSCSDTDPDTLSVVIKSSGTWSNSLYQNLSSSSSSPLSHLDVSIEGPYGPGSTFYSRHELLVLISGGSGITPFISIIRSLVFKANTEGGKTPRVLLLCAFKKCIDLSMIDLILPVSATSTTFDVSRLQLQIEAYVTREKQPDMNDKRLTQTSWFKPNLLDEPVCEILGKNSWLYLSIIISSSFILFLLLIGILTRYYIYPIDHNTDLIYPNLSRSSLSMLFICVSIAIVATSAFLWNKKQNKDLGQIKSIYASDQSTSPGSGYYNADKELGSLPLQSLVQTANVHYGEKPDIKKILSGCDGSSIGVLVSGPRKMRHEVASICTSCSTDDLHFESLSFSW >Vigun07g222900.2.v1.2 pep primary_assembly:ASM411807v1:7:34499102:34503561:1 gene:Vigun07g222900.v1.2 transcript:Vigun07g222900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESEMSKGVSGKMKKYLQMGIWCFSLLIFVGYVLVWIVRPTNIFYLQWFPDIEAKADSVFFGEQGTIILIYTFPILLIATLAGLYLHLEQKRSNHNSESKGRFLGLVSWKRPLLTKGPLGIITMTELSFAIMFVLLLIWTFCSYLHSMFASAAQDAAKERDQVWEVKLEYSAIALGLVGNICLALLFFPVTRGSSILRFIGLTSEGSIKYHIWLGHTAMILFTAHGLGYIIFWYKTHQILEIFKWNKVGVSNVAGEVSLLAGLIMWAATLPSIRRKAFELFFYTHYLYIVFVIFYVLHLGFSSSCVVLPGFYLFMIDRYLRFLQSQQKIRLLSARVLPCEAVELNFSKNLGLSYAPTSTIFINVPSISKLQWHPFTVTSCSDTDPDTLSVVIKSSGTWSNSLYQNLSSSSSSPLSHLDVSIEGPYGPGSTFYSRHELLVLISGGSGITPFISIIRSLVFKANTEGGKTPRVLLLCAFKKCIDLSMIDLILPVSATSTTFDVSRLQLQIEAYVTREKQPDMNDKRLTQTSWFKPNLLDEPVCEILGKNSWLYLSIIISSSFILFLLLIGILTRYYIYPIDHNTDLIYPNLSRSSLSMLFICVSIAIVATSAFLWNKKQNKDLGQIKSIYASDQSTSPGSGYYNADKELGSLPLQSLVQTANVHYGEKPDIKKILSGCDGSSIGVLVSGPRKMRHEVASICTSCSTDDLHFESLSFSW >Vigun07g148700.1.v1.2 pep primary_assembly:ASM411807v1:7:25931648:25936346:-1 gene:Vigun07g148700.v1.2 transcript:Vigun07g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEEEKNSDGKQKKSSVVIRIPSYQEVVESSQAKSTPPSLFLPSQSFSQAFAFVKSSEFYAPPPPPPPQPRETAQSDAPSSTSTPSPATAAAASSSKLPSSQASQNRTSILVSHRQKGNPLLKHIRNVRWAFADVVCDYMLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVIKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLTHALTTVRHVNKTDVVTLGTTFGSLSNIMGASMEDLARCPGIGERKVKRLFDAFHEPFKRVDSSWQAFPDTSVQNEPASPGSSIRNNTESSSLIEDKQDDVDDVSKRRKKEPEVTVKSALSAAFAKYSDRVGKRKETSQVEEKGESDAFK >Vigun05g138000.1.v1.2 pep primary_assembly:ASM411807v1:5:16756849:16765404:-1 gene:Vigun05g138000.v1.2 transcript:Vigun05g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITEWDKFVEQSIQLFRADPDSTRYVMKYRHCDGKLVLKVTDNRQCLKYKTDQAQEAKKMEKLNNMFFALMARGPDVDLSEVTGKEQTDAQPSKKGRGRKQ >Vigun11g209100.1.v1.2 pep primary_assembly:ASM411807v1:11:40492405:40495813:-1 gene:Vigun11g209100.v1.2 transcript:Vigun11g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPEVLARRSGGKLVGARVLQYINGGPLSFKTYQLIVLIVTFLAYTSYHATRKTTSIVKSVLDPQSADTGLTFFRLWPTNVTESNGLRTVSSKPGAGWAPFNGSDGTSLLGQLDVAFLAVYAFGMYFSGHFGDRCNLRIFLTVGMVGTGLFTSLFGVGYWGNIHNFYYFLVVQMIAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNILGSLIASAMLTYGWGWSFVLPGLVTAFIGLVVFLILPVTPESVGAEADREEDEISFPVKSGEEAAEPLLRQETPVEEKEAVGFLEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYVSHTAIDGKYLSSGTSGTLSTLFDVGGVLGGILAGHISDRLDARAITAASFMYCAIPALFFYRSYGHVSLLLNGALMFVTGMFVNGPYALITTAVSADLGTHKSLKGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSAVFTMLMGAALVAGLLLTKLVVAEVTTKIEESRPNRAPECSLDV >Vigun03g021200.1.v1.2 pep primary_assembly:ASM411807v1:3:1556511:1559734:1 gene:Vigun03g021200.v1.2 transcript:Vigun03g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSVFPSLTSLQNPNFTHPRLSNSIYFPFNSAQSFVPLSRRNFLCRAFRDPGEDIKAVIKTDDSGGGDGGGDGGGDRDSEKKEGPLPEWLNFTSDDAKTVLAAIAISLAFRTFVAEPRYIPSLSMYPTFDVGDRIVAEKVSYYFRKPCASDIVIFKSPPVLQEVGYSDDDVFIKRVVAREGDIVEVRKGHLVVNGVERNEDYILEPPAYEMKPTRVPENYVFVMGDNRNNSYDSHVWGPLPSKNIIGRSVFRYWPPNRIAGTVSKDSCNVETTQQESAETALPSQ >Vigun07g214100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33535635:33537688:-1 gene:Vigun07g214100.v1.2 transcript:Vigun07g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTFQAIVAAIGSFFVVTLLLAGVIILCQQYHNSSKARTRARAIQRSTRPAPARDASFSLAVVDASWSSDPNLIKITWEELAVATENFSPHLIVGDGSFGLVYKARLSTNALVAVKKLSPDAFQGFREFRAEMETLSKLDHPNIVRILGYWASGPERLLVYEFIEKGNLDQWLHEPDLSRSPLSWETRVNIIRGVAHGLSYLHGLEKPVIHRDIKASNILLDSKFQAHIADFGLARRMDKTHSHVSTQFAGTMGYMPPEYIEGSNVANTKVDVYSFGILMIETMASHRPNLPMKLGQSDIGMVQWARKMREQNAEMEMVDVNISREGLSEESVKEYVRIACECTSQLQKERPSMPEVVEWLDSMPLKPLFSS >Vigun04g123600.1.v1.2 pep primary_assembly:ASM411807v1:4:31476905:31479975:-1 gene:Vigun04g123600.v1.2 transcript:Vigun04g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQISRKQLLSRGKGHLTAEDSSSSSSVSPSQSCNQMEGRRSDLEKEWTEKLQNVNPPEMDEIHMQCIYRVPSNIRNYNPNAYTPQVVSIGPYHHNSFESMEELKLKYVKGFLNRTRLSTKELVAKIEEIENSNNIRSCYADPIKCNGDDFFNMILVDACFMIELFLRWHERSDWEGKDPLMLKPWMLLQIRFDLIRLENQLPFTALEHLYNHTAMHPLFPSFRQICFNYLKRTTLRPVCPGENPKHFTEFVISSIISSSSPRFGLGEQGECQEVSHLYSVSQLEEAGIKFKVSPNNCILDLELSEDGVLSMPILNISSSTQLYFRNMMAYEHCHDSATKIITQYVAILDFLINTEKDVNILVDKKIIVNWLGDTDKVVTMISNLNSTVTMPEFCSHYFSVCNGLNKFYENPRNKYKAMLIHDYLNTPWKIASTVAAIVLLLLTLIQTVCSIISLVQK >Vigun04g123600.2.v1.2 pep primary_assembly:ASM411807v1:4:31476905:31478896:-1 gene:Vigun04g123600.v1.2 transcript:Vigun04g123600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRSDLEKEWTEKLQNVNPPEMDEIHMQCIYRVPSNIRNYNPNAYTPQVVSIGPYHHNSFESMEELKLKYVKGFLNRTRLSTKELVAKIEEIENSNNIRSCYADPIKCNGDDFFNMILVDACFMIELFLRWHERSDWEGKDPLMLKPWMLLQIRFDLIRLENQLPFTALEHLYNHTAMHPLFPSFRQICFNYLKRTTLRPVCPGENPKHFTEFVISSIISSSSPRFGLGEQGECQEVSHLYSVSQLEEAGIKFKVSPNNCILDLELSEDGVLSMPILNISSSTQLYFRNMMAYEHCHDSATKIITQYVAILDFLINTEKDVNILVDKKIIVNWLGDTDKVVTMISNLNSTVTMPEFCSHYFSVCNGLNKFYENPRNKYKAMLIHDYLNTPWKIASTVAAIVLLLLTLIQTVCSIISLVQK >Vigun06g058850.1.v1.2 pep primary_assembly:ASM411807v1:6:18540774:18542126:-1 gene:Vigun06g058850.v1.2 transcript:Vigun06g058850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKCIGALNEKLTVAQKEYIASTPFWWFPMLKQSLKISRNMLSQLCIKWVERRGGFDVGGEVVDFSLLDVCLGLGLRVVGEKIDLNEEVVESETWNTFGRQRVDVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPVIFKVVDDMENIGKYNWGTLVYEYLVFSLCSASLALQNEPSRFEFYVVGYAYLLEAIVDVAVSKEELDHAIIRETFEHFGTEYKTQDLKDKEEVERLLEDHEAEIVDLEQSMSALDELVTNWKDQRSKDEVRDEVGDNVFIDPRADVMSDEKDDGAQQSNMYDRMKARPRMRFKSVATKTPYFVYGKKKLKSLQIG >Vigun01g169800.3.v1.2 pep primary_assembly:ASM411807v1:1:35162730:35168902:1 gene:Vigun01g169800.v1.2 transcript:Vigun01g169800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLDTMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLVRDLL >Vigun01g169800.2.v1.2 pep primary_assembly:ASM411807v1:1:35162730:35168902:1 gene:Vigun01g169800.v1.2 transcript:Vigun01g169800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLDTMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLVRDLL >Vigun01g169800.1.v1.2 pep primary_assembly:ASM411807v1:1:35162730:35168902:1 gene:Vigun01g169800.v1.2 transcript:Vigun01g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRRTLDRSRELGSKKPRLIDELSARQLPQRQQGSGVVASLVSARARVNDRDSESSESGRGGGYQPQPQPHHELVTQYKTALAELTFNSKPIITNLTIIAGENQSAAKAIADTVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAVRLPEVFCKAYRQVDPIVHSSMKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLDTMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLVRDLL >Vigun04g013100.1.v1.2 pep primary_assembly:ASM411807v1:4:951787:955446:-1 gene:Vigun04g013100.v1.2 transcript:Vigun04g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSSMAITTRDRDRELLIPVADTAAAAAASLSPKPSPSSSAHHAGRETFFKVIRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQNYSGDEELYCVYVPTNHLYIGDIFLVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTIDSPIIPGEISRINRS >Vigun03g300400.1.v1.2 pep primary_assembly:ASM411807v1:3:48865120:48868009:1 gene:Vigun03g300400.v1.2 transcript:Vigun03g300400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHATKQQKLPLQQNQQDPNKFYYHFLYKAALFLIFFVILPLFPSQAPHFINQSLLTRNWELLHLLFVGVAISYGLFSRRNHEAEKENNHSKFDTAQTLVSKFLQVSSFFDDEAENPPESDETKVQTWSNQHCRNEPVVVVAQPLKKLSSFDDQSGDKPLLLPIRSLKSRLSDDDKDVHVQSLNRSMSSRRFSSTLNRKGEVVDADGPTVDVQCLNRSKTFNRFSSNSSKSAEVEAGDVDVDDQYLNRSMSSKRFSSNSNRKAEVEADDVDVGVQSLNRSTTSSKRFSSNSNKNAEVEGARAEDKKKESVVLPSPIPWRSRSGRMEPKQEEVDDAFSHQSSKEESRPPKPQTSRSFRASSLSSLSTEPLAKNSEDLVRKKGFYKSCPPPPPPPPPMKPRYGGPSLDKELKRSFTSEKNMAAGKKLEEEKQSMQRTSFRSDRLMGHASVPLVSQPVEKESFLEKVLVESDEDDEDDTETEDEDVGGGIMVEKEGKGKGGESSKTDSGFSGTSGDEGPDVDKKADEFIAKFREQIRLQRIESIKRSTRNARNSSRLRL >Vigun03g300400.2.v1.2 pep primary_assembly:ASM411807v1:3:48865120:48868009:1 gene:Vigun03g300400.v1.2 transcript:Vigun03g300400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHATKQQKLPLQQNQQDPNKFYYHFLYKAALFLIFFVILPLFPSQAPHFINQSLLTRNWELLHLLFVGVAISYGLFSRRNHEAEKENNHSKFDTAQTLVSKFLQVSSFFDDEAENPPESDETKVQTWSNQHCRNEPVVVVAQPLKKLSSFDDQSGDKPLLLPIRSLKSRLSDDDKDVHVQSLNRSKTFNRFSSNSSKSAEVEAGDVDVDDQYLNRSMSSKRFSSNSNRKAEVEADDVDVGVQSLNRSTTSSKRFSSNSNKNAEVEGARAEDKKKESVVLPSPIPWRSRSGRMEPKQEEVDDAFSHQSSKEESRPPKPQTSRSFRASSLSSLSTEPLAKNSEDLVRKKGFYKSCPPPPPPPPPMKPRYGGPSLDKELKRSFTSEKNMAAGKKLEEEKQSMQRTSFRSDRLMGHASVPLVSQPVEKESFLEKVLVESDEDDEDDTETEDEDVGGGIMVEKEGKGKGGESSKTDSGFSGTSGDEGPDVDKKADEFIAKFREQIRLQRIESIKRSTRNARNSSRLRL >Vigun03g102100.1.v1.2 pep primary_assembly:ASM411807v1:3:8786984:8797290:1 gene:Vigun03g102100.v1.2 transcript:Vigun03g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADLAANSAAENSGPGFSANNVGTGSTGSTVAPSRPVYVPPHLRNRQPSAEAPAPSPAPAYSGPSSGSVGSSAAGNSGSRWPAPRNDHRSGYGGGGRPGGWGNRSGGWDRGREVNPFEEEDNAEEAFNEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQPVHRPPRGVRTVYPLALVLSPTRELSMQIHEEARKFSFQTGVKVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCLNGFPATTIHGDRSQQERELALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNSSLARALADLMQEANQEVPAWLSRYAARSYGGGRNRRSGGRFGSRDFRREGSFTRGSSDYYSSGNSSGGYGSSGGYAGGGGYGPGVTSAWD >Vigun03g353900.1.v1.2 pep primary_assembly:ASM411807v1:3:55552475:55558337:1 gene:Vigun03g353900.v1.2 transcript:Vigun03g353900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRRFARATPIPFAAQSNSSHSNLRLPFAAIAAISGGVSFLYYHSSPDLVHSQQTGQEESRNTALNPDKWIEFKLQDTARVSHNTQLFRFSFDPTQKLGLDIASCILTRAPLGQDAEGKPKFVVRPYTPISDPDSKGYFDLLIKVYPGGKMSQHFASLKPGDVVEVKGPIEKLRYTPNMKKQIGMIAGGSGITPMLQVIEAILKNPDDKTQVSLLYANVSPDDILLKQKLDILATSHPNLKIFYTVDNPTKNWRGGAGYISKDMVVKGLPAPSDDTLILVCGPPGMMKQISGEKAKDWTQGEVSGILKEAGYTEQMVYKF >Vigun08g140200.2.v1.2 pep primary_assembly:ASM411807v1:8:31250461:31255161:1 gene:Vigun08g140200.v1.2 transcript:Vigun08g140200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPALKRTDSVIDNMPDALRQSRYHMKRCFAKYLEKGRRIMKHHHLMEEMELVIDDKTERNQVLEGILGFILSSTQEAVVDPPYVAFAIRPNPGVWEFVKVSSDDLSVEAITPTEFLKFKERVIDEKWAIDENAFEADFGAFDFQIPQLTLSSSIGNGLQFTSKFLTSKITGKLEKTQAIVDYLLTLNHQGEKLMINESLNSAAKLQMALVVADAFLSALPKDTAYQNFELRFKEWGFERGWGDTAERVKETMKTLSEVLQAPDPVNLEKFLSRLPIIFNVAIFSVHGYFGQADVLGLPDTGGQIVYILDQVKSLEAELLLRIKQQGLNVKPQILVITRLIPDSRGTKCHQELEPINDTKHSHILRVPFQTDKGILHQWVSRFDIYPYLERFTQDATTKILEFMEGKPDLVVGNYTDGNLVASLMARKLGITQGIIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTVAMNAADFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVFDPKFNIASPGADQSVYFPYTEKEKRLTQFQPAIEDLLFSKVDNSEHIGYLADRRKPIIFSMARLDVVKNLSGLVEWFGKNKRLRNLVNLVIVGGFFDPSKSKDREEISEIKKMHELIDKYQLKGQFRWIAAQTNRYRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPLNGDESSKKIADFFEKCKVDPSQWNVISAAGLQRINECYTWKIYANKMVNMGNIYTFWRHVNKEQKEAKQRYIQMFYNLIFKNLVKTVPVPGDEPQQPVTKQPSLKSQSTRRSQSRLQRLFGN >Vigun08g140200.1.v1.2 pep primary_assembly:ASM411807v1:8:31250506:31255161:1 gene:Vigun08g140200.v1.2 transcript:Vigun08g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPALKRTDSVIDNMPDALRQSRYHMKRCFAKYLEKGRRIMKHHHLMEEMELVIDDKTERNQVLEGILGFILSSTQEAVVDPPYVAFAIRPNPGVWEFVKVSSDDLSVEAITPTEFLKFKERVIDEKWAIDENAFEADFGAFDFQIPQLTLSSSIGNGLQFTSKFLTSKITGKLEKTQAIVDYLLTLNHQGEKLMINESLNSAAKLQMALVVADAFLSALPKDTAYQNFELRFKEWGFERGWGDTAERVKETMKTLSEVLQAPDPVNLEKFLSRLPIIFNVAIFSVHGYFGQADVLGLPDTGGQIVYILDQVKSLEAELLLRIKQQGLNVKPQILVITRLIPDSRGTKCHQELEPINDTKHSHILRVPFQTDKGILHQWVSRFDIYPYLERFTQDATTKILEFMEGKPDLVVGNYTDGNLVASLMARKLGITQGIIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTVAMNAADFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVFDPKFNIASPGADQSVYFPYTEKEKRLTQFQPAIEDLLFSKVDNSEHIGYLADRRKPIIFSMARLDVVKNLSGLVEWFGKNKRLRNLVNLVIVGGFFDPSKSKDREEISEIKKMHELIDKYQLKGQFRWIAAQTNRYRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPLNGDESSKKIADFFEKCKVDPSQWNVISAAGLQRINECYTWKIYANKMVNMGNIYTFWRHVNKEQKEAKQRYIQMFYNLIFKNLVKTVPVPGDEPQQPVTKQPSLKSQSTRRSQSRLQRLGYSEIN >Vigun08g061400.1.v1.2 pep primary_assembly:ASM411807v1:8:8225723:8227983:-1 gene:Vigun08g061400.v1.2 transcript:Vigun08g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPNVAERIERLLNETDPSSSEDKSLDLSFSEDGRSGTFMIGNEHFPASLLDLPCVVESYKTYDDNSLIKTADIGQMIMVRESGDAAPDVIEYRHGLTPPMRDARKRRFRREPDLNPELVSRVEKDLLKIMARGTAENLDAEAAEQEVEENARGGNKKAAPKPAPKHDVPENHTNAGEPDRSDSEESDDSV >Vigun03g127900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12359489:12364032:1 gene:Vigun03g127900.v1.2 transcript:Vigun03g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTEGKLRFCIDRGGTFTDVYAEIPGRSDGQVLKLLSVDPLNYDDAPVEGIRRILEEFIGEKIPRNSKIPTDKIEWIRMGTTVATNALLERKGERIAVCVTQGFRDLLQIGNQARPSIFDLTVSKPSNLYEEVVEVEERVQLVQSEEEEKKQGASSLLVKGISGEIVRIVKPLNEEALKPVLKRLLEKGINCLAVVLMHSYTYPQHEQQVEKLALSLGFRHVSISSALSPMVRAVPRGLTASVDAYLTPVIKEYLSGFISKFDEGIGKLNVLFMQSDGGLAPESSFSGHKAILSGPAGGVVGYSQTLFGLETDKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFQAGPESVGAHPGPACYRKGGELAITDANLILGYVIPDYFPSIFGPNEDQPLDIKSSRGKFEELARQINAHRRNQDPSSKDMSVEEIALGFVDVANETMCRPIRQLTEMKGHETKNHSLACFGGAGPQHACAIARSLGMKEVLIHKLCGILSAYGMGLANVVEEAQEPYSAVYGAESIIEVSQREAVLLRQVKQKLQNQAFKEENISTETYLNLRYEGTDTAIMVKRQIAEDGQLYDYATEFVRLFQQEYGFKLQNRNIVICDVRVRGIGVTNILRPQAIEPASGSPIVEGYYKVYFGNGWQETPLYKLEKLGYGHMMSGPAIIMNGNSTVIVEPNCRATITKYGNIRIEIDSPLTSVKISDKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPSGGLVANAPHVPVHLGAMSSTVRWQLSYWGENLSEGDVLVTNHPSAGGSHLPDITVITPVFFNGNVVFFVANRGHHAEIGGTTPGSMPPFSKSILEEGAAIKAFKLVEKGVFQEEGIIKLLQFPNSDGHGNKIPGSRRIQDNLSDLRAQVAANQRGITLVQELIEQYGLETVQAYMNYVQVNAEAAVREMLKSVGHSISSKNELATIEEEDYMDDGSIIHLKLSIDSNKGEAVFDFAGTSAEVYGNWNAPEAVTAAAVIYCVRCLVNVDIPLNQGCLAPVKILIPEGSFLSPSDTAAVVGGNVLTSQRITDVIFTAFQASACSQGCMNNFTFGDDTFGYYETIGGGSGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVILHKFGLRENSGGDGFHKGGDGLLREIEFRRPVIVSILSERRVHAPRGLKGGKDGARGANYLIKKDKRKIYLGGKNTVEVLPGEVLQILTPGGGGWGSPL >Vigun11g120300.26.v1.2 pep primary_assembly:ASM411807v1:11:32658593:32663461:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGYWQVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.10.v1.2 pep primary_assembly:ASM411807v1:11:32657171:32663604:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDLVCYMVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.24.v1.2 pep primary_assembly:ASM411807v1:11:32655706:32663461:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.3.v1.2 pep primary_assembly:ASM411807v1:11:32655996:32663875:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.8.v1.2 pep primary_assembly:ASM411807v1:11:32656237:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.11.v1.2 pep primary_assembly:ASM411807v1:11:32657171:32663604:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDLVCYMVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.17.v1.2 pep primary_assembly:ASM411807v1:11:32657447:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNVPHHTTLSLAGHCAHPPLKVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.21.v1.2 pep primary_assembly:ASM411807v1:11:32655996:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.6.v1.2 pep primary_assembly:ASM411807v1:11:32656237:32663875:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.9.v1.2 pep primary_assembly:ASM411807v1:11:32656237:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.25.v1.2 pep primary_assembly:ASM411807v1:11:32658593:32663461:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGYWQVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.2.v1.2 pep primary_assembly:ASM411807v1:11:32655996:32663875:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.1.v1.2 pep primary_assembly:ASM411807v1:11:32657447:32663604:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNVPHHTTLSLAGHCAHPPLKVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.22.v1.2 pep primary_assembly:ASM411807v1:11:32655996:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.13.v1.2 pep primary_assembly:ASM411807v1:11:32657172:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDLVCYMVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.7.v1.2 pep primary_assembly:ASM411807v1:11:32656237:32663875:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.18.v1.2 pep primary_assembly:ASM411807v1:11:32657447:32663604:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNVPHHTTLSLAGHCAHPPLKVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNVYMCTQWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.27.v1.2 pep primary_assembly:ASM411807v1:11:32658593:32663461:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGYWQVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.12.v1.2 pep primary_assembly:ASM411807v1:11:32657172:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDLVCYMVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.16.v1.2 pep primary_assembly:ASM411807v1:11:32657447:32663569:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNVPHHTTLSLAGHCAHPPLKVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun11g120300.23.v1.2 pep primary_assembly:ASM411807v1:11:32655706:32663461:1 gene:Vigun11g120300.v1.2 transcript:Vigun11g120300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMMATERVCYVHCNFCNTILAVSVPYSSLLTIVTVRCGHCANLLSVNMGASLQPFPPQDPQSQKPHLSFEEPSSKELGSSSSKCNKIAPFETVEHEQPRIPPIRPTEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGLKLDGNSEGKLEQGEGGEKTNGFY >Vigun01g059200.2.v1.2 pep primary_assembly:ASM411807v1:1:12336449:12346381:1 gene:Vigun01g059200.v1.2 transcript:Vigun01g059200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGAGGGVDGAPNTENLRELGNVTSEGAVFDASQYAFFGKEVELGGLEDDNRLPTLDSNEEEFFFNREDADDVRSLSDIDDLTTTFLKLNKFVSGPRSAGVIGERGSRENSMSEWSQREDSINWFDHNPYDSEGSTDSKRWSSQPHSSLAHLHDSKPLYRTSSYPEQQRQEQHYHLQHCSSEPVPNWLDQHIYDSETAHDHDGKRWSSQPHSSIPHLPESKPLYRTSSYPDKQQELPRFSSEPILVPKSSFTSYPPPGGLSQHASPSHSTGHLNSPYHSGAAQMALSSQNRSHFSNSALQPGALNLGSHFGGNARQYPTGSHLNQRLQSQLVNQAGLYPGDHSNLLNNMLQQQFHLHNGSVSPHLMTQLQQQQHRLRHPVRSAGYLSGYQSHLFNPHLSSGSSAISKYEHVLGLADGRDHRPKSTHKGKHSLRYSQHGSDAIQKSDSGSFQFRSKYMTSDEIESILRMQHAVTHSNDPYVDDYYHQACLAKKSSVAKLKHPFCPTQIREIPPRTRANAEPHAFVQVDALGRVSFSSIRRPRPLLEVDPPNTSISSDQNISEKPLEQEPRFAARVTIEDGLCLLLDVDDIDRYLQFNQLQDGGTQLRRRRQVLLEGLATSLQLVDPLGKNGHKVGLAAKDDLVFLRLVSLPKGRKLLAKYLQLLAPSSELTRIVCMTVFRHLRFLFGGLPSDLSASETTNNLAKVVCQCVRGMDLGALSACLAAVVCSAEQPPLRPIGSTSGDGASLILIAVLERATELLTDPHAACNYNMGNRSFWQASFDEFFGLLTKYCMNKYHSIMQSMLIQSSSNVDDVGPDAAKAISREMPVELLRASLPHTDERQRKLLLDFAQHSIPVVGFNSNTGG >Vigun01g059200.1.v1.2 pep primary_assembly:ASM411807v1:1:12336449:12346381:1 gene:Vigun01g059200.v1.2 transcript:Vigun01g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGAGGGVDGAPNTENLRELGNVTSEGAVFDASQYAFFGKEVELGGLEDDNRLPTLDSNEEEFFFNREDADDVRSLSDIDDLTTTFLKLNKFVSGPRSAGVIGERGSRENSMSEWSQREDSINWFDHNPYDSEGSTDSKRWSSQPHSSLAHLHDSKPLYRTSSYPEQQRQEQHYHLQHCSSEPVPNWLDQHIYDSETAHDHDGKRWSSQPHSSIPHLPESKPLYRTSSYPDKQQELPRFSSEPILVPKSSFTSYPPPGGLSQHASPSHSTGHLNSPYHSGAAQMALSSQNRSHFSNSALQPGALNLGSHFGGNARQYPTGSHLNQRLQSQLVNQAGLYPGDHSNLLNNMLQQQFHLHNGSVSPHLMTQLQQQQHRLRHPVRSAGYLSGYQSHLFNPHLSSGSSAISKYEHVLGLADGRDHRPKSTHKGKHSLRYSQHGSDAIQKSDSGSFQFRSKYMTSDEIESILRMQHAVTHSNDPYVDDYYHQACLAKKSSVAKLKHPFCPTQIREIPPRTRANAEPHAFVQVDALGRVSFSSIRRPRPLLEVDPPNTSISSDQNISEKPLEQEPRFAARVTIEDGLCLLLDVDDIDRYLQFNQLQDGGTQLRRRRQVLLEGLATSLQLVDPLGKNGHKVGLAAKDDLVFLRLVSLPKGRKLLAKYLQLLAPSSELTRIVCMTVFRHLRFLFGGLPSDLSASETTNNLAKVVCQCVRGMDLGALSACLAAVVCSAEQPPLRPIGSTSGDGASLILIAVLERATELLTDPHAACNYNMGNRSFWQASFDEFFGLLTKYCMNKYHSIMQSMLIQSSSNVDDVGPDAAKAISREMPVELLRASLPHTDERQRKLLLDFAQHSIPVVGFNSNTGGNGGHVNSGTVLS >Vigun07g078000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:10582878:10584339:-1 gene:Vigun07g078000.v1.2 transcript:Vigun07g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLDTLVSACSGGCSDQKIACADNDHPDFPPESFWLSGDAEYDWWDRNAVYQRNESTKGNSISSTNLNPSSNNNSQRFSKNLKSKATIIGLPKPQKTSFADAKCRRNHRPANARLFPKRSASVGGKSENSLVEPSSPKVSCIGRVRSKRDRNRTLRTRQRSVSSTATATTSVAAVTITRQKSKRSQRKKAGFFASVRAIFQTGRRGKPVQKPDLQPGDSSSKKKRSYGKKTKGSTGGSTGSRNDELFEESFSSAPRGLGSVNRFVSGRRSESWLVGESEIHVSH >Vigun03g038300.1.v1.2 pep primary_assembly:ASM411807v1:3:2922139:2923888:1 gene:Vigun03g038300.v1.2 transcript:Vigun03g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMKLGLLVFGTIGSMLLEFVSCKTVHIVGDQLGWNIPTQQTFYDDWAKKKAFVVGDQLVFQYHPGLNTVVMVNKEDYEHCSTRNVLHTYFKGNSSVTLEKVGDYYFFSSVGKHCEAGMKLHVTVTNPLKSSQ >Vigun05g082800.1.v1.2 pep primary_assembly:ASM411807v1:5:7822772:7828448:1 gene:Vigun05g082800.v1.2 transcript:Vigun05g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAASPQIRSNPDVDSQYLSELLAEHQKLGPFMQALPICSRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSNVSGTGLGGWNSLQQERLCGPPGMTMDWQSAPASPSSFTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVEIRLRQAQEIIEELLKPVEESQDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun03g205800.1.v1.2 pep primary_assembly:ASM411807v1:3:33334491:33342306:1 gene:Vigun03g205800.v1.2 transcript:Vigun03g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKENIDEWQEIETSEWNMEVINENYLEVADQASSTDDPPIPTPTHHELSLTQIVPTTLTDPEDGTSPPLRPSTAPLDWRVRVVNEGKKLLRLRLEGMRERVVGMASKVSNWAMYTGAFWSFKHVAGASAAAAVLVSLVYVVIRRRRRRVGGRNVVADRCVYLLKEKDEKISQLLRQIAQLNEMLSSRRKVPVHQIN >Vigun05g117916.1.v1.2 pep primary_assembly:ASM411807v1:5:12616943:12617409:-1 gene:Vigun05g117916.v1.2 transcript:Vigun05g117916.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLRRMKMNSPMKSAVRFVFVMLLVIFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFANNKFIQSP >Vigun05g053200.1.v1.2 pep primary_assembly:ASM411807v1:5:4557189:4562528:-1 gene:Vigun05g053200.v1.2 transcript:Vigun05g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLTISPSYHMLHPCTHHTNNNQKKKNKTKNIAILKEMLRSSTLTHSVTVTCVRQSHRQWHQSIPANYRVCDAARNTLLSNSAIFKWKTKSNTKRNSSGMGTFTTRALAQPLKNADELIDSVETFIFDCDGVIWKGDKLIGGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVGEEEIFASSFAAAAYLKSIDFPKDKKVYVIGEEGILKELELAGYQYLGGPEDGGKKIELKPGFLMEHDEDVGAVVVGFDRYFNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALSGSTQREPLVVGKPSTFMMDYLANEFGISKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLPMLQSPNNAIQPDFYTNKISDFLTLNAAAV >Vigun01g150200.1.v1.2 pep primary_assembly:ASM411807v1:1:33247701:33249918:1 gene:Vigun01g150200.v1.2 transcript:Vigun01g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILEEKKLEDDDAMRKLYDASMKGCVSTFNKLVQKDPLILSRVSLCPFTETPLHIASLLGYLEFCQVLLRNSPSLATELDSEGRCPLHLASAKGHTEVVKELLKTNPEMCLVRDKDDMLPLHFAAMRGRVGALKELIKSKPDSIEMVESGDEDGSVLQLCVRYNHLEALKLLVESLRGDRRFLSVKDKEDNSLLRLAVKCRQIKIIKYLLSVSEMSPEIRNLNKNSLTAMDTLNRYPGDFMRQYVSTEGIEKPAHEVSSAADLTSKQQGTLSISRMEAASSQSIAVVIVQADPPQASSSPSLTNNDPPQTSAAPSQASNDPPEASSGRVSNHDPVLQPMPSPNMNMSSEQGEDRWGRLERFCRTYLLDEGNWMDKKTREQLMVAATVIATMTFQSVISPPGGVWQGDTTQDGFTCPDYGFCEAGTAVVGYAWSPDFMKFIFFNSSSFFSSLCVMLVLMSGFPLENRVVMWILAILMIAAASCMLLTYIIRKLGYLLIGTWAMLLALVAFIQLSRIAFWVRSRRNKSTNAPL >Vigun06g150100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27499592:27500353:1 gene:Vigun06g150100.v1.2 transcript:Vigun06g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSMIAWVSFLLFMFSMVRSETLSLAPETPPSPPSPPRECPELGLCVDVLRLGERGSPENGCCTLVGDLLQANATLCVCDIARTQLIGIPVDLDIKIKLILDVCKHNQTFICN >Vigun01g070100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18728773:18729684:-1 gene:Vigun01g070100.v1.2 transcript:Vigun01g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWIFRSSQPSHKKKYPSNPNSRLLTLLAATLATTIFLLFIFRHTLIDPNKLCIYTAKPVRLTNSESAIAAEFDATPLTLVTILHYATALALPQQTKGEIRRTFDVLQSLAPCNFLVFGLGHDSLMWDSFNPRGTTLFLEEDPKWTLAALQRFPIIRAHTVRYQTRLSDAKTLITSYKRNCGGPTSTGHPLKGDWRCVLALSNLPNDVYDRDWDVIMIDGPRGYFAAAPGRMAVIYSAAMMARGRRNSGVTHVFLHDVDREIEKHYAREFLCMKYRVGGIRKLWHFVIPPVVNATDISHGFC >Vigun09g103100.1.v1.2 pep primary_assembly:ASM411807v1:9:17915096:17916905:-1 gene:Vigun09g103100.v1.2 transcript:Vigun09g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPNLYRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKALKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFRRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun11g055500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10501307:10501994:1 gene:Vigun11g055500.v1.2 transcript:Vigun11g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRVTKAIAEVVVLSKILSSTTKVITSASSLLISLARTIQEITPNPVTNSPPIKAAKDAIVAPIEVVFASASNMIFSTKILNAASLTLPSQSLFNPSPSPPPPPPPF >Vigun02g186300.3.v1.2 pep primary_assembly:ASM411807v1:2:32537439:32539919:-1 gene:Vigun02g186300.v1.2 transcript:Vigun02g186300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKVSRGDRNSSFLSTFPRNSRFLFDSAKPIRLRLPLRMASFDEAPPGDVKSGEKIFKMKCAQCHTAEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVTWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATAQ >Vigun08g060700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8059677:8061047:-1 gene:Vigun08g060700.v1.2 transcript:Vigun08g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNPSSIPISNPETTQTNPTTSFSPKVIVQKVKTNLVLRSKWAELNGAIGDLGTYIPIVLSLTLSRNLNLGTTLIFTGIYNILTGAIYGVPMPVQPMKSIAAEALKDASFGVPEIMAAGILTGAVLAVLGVTRLMQLVYTLIPLSVVRGIQLAQGLSFALTAVKYIRKTQDLPNSKALGERSWLGLDGLVLAIVCLCFIVIVNGDKDHGCEKRIEEDGRNRSRVRRVVFSLPSAFIVFVLGVVLAFARRPEMVHEIKFGPSTVEVVKLSKHAWKKGFVKGTIPQLPLSILNSVIAVCKLSKDLFPEKEFSATSISVSVGMMNLVGSWFGAMPCCHGAGGLAGQYRFGGRSGGCVAILGGAKLVLGLVLGSSLAHILRQFPVGILGVLLLFAGIELATCCRDINSKEDSFVMLVCTTFSLVGSSAALGFLCGMIVYVLLRLRNWTSDKLFPNMAA >Vigun10g095100.1.v1.2 pep primary_assembly:ASM411807v1:10:28059714:28061619:1 gene:Vigun10g095100.v1.2 transcript:Vigun10g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRRNMQRRLQELRRVTNSSAVNKASIIVDATRYIEELKQKVDGLNSELGTAESSISQGELPMVTVETLERGFLINVFSERNCPGMLAAILDAFEELGLDVLDARVSCEDTFQLEAVGGESEENESIDAQVVKQAVMQAIQNMD >Vigun01g212800.1.v1.2 pep primary_assembly:ASM411807v1:1:38713016:38719290:1 gene:Vigun01g212800.v1.2 transcript:Vigun01g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSSGGTTVAAAAAYRAATATRFNGISGEAFKSHHPLHHHHSSSHYGVGLGGSHEASSGLMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGLSDGSGEDDMSPMGSSGGMRQFSDQRSLSDRPVQQDMDYSSANTLWSNSSSNRESWPQNSSNDINGFRPPIFQSQQISGGHQIQQDCDSSQLKNNLSGSGNLECKNPSLEFTLGRPDWNGKGQA >Vigun01g212800.2.v1.2 pep primary_assembly:ASM411807v1:1:38713016:38719290:1 gene:Vigun01g212800.v1.2 transcript:Vigun01g212800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSSGGTTVAAAAAYRAATATRFNGISGEAFKSHHPLHHHHSSSHYGVGLGGSHEASSGLMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGLSDGSGEDDMSPMGSSGGMRQFSDQRSLSDRPVQQDMDYSSANTLWSNSSSNRESWPQNSSNDINGFRPPIFQSQQISGGHQIQDCDSSQLKNNLSGSGNLECKNPSLEFTLGRPDWNGKGQA >Vigun02g104200.1.v1.2 pep primary_assembly:ASM411807v1:2:25866020:25878677:-1 gene:Vigun02g104200.v1.2 transcript:Vigun02g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEALVWCNCYEVSSFQSPSVSLSPFRYRNHLHRLLRNAPFSSYIVAHRKKRFCEKLVSKMANYEGQAVTSGSDVRSGSMIFVPILEDGVFRFDCSVNDRDAAYPSISFANSRDRDTPISTQKVPLYIPTFECLLEQQVVKLELPVGSSLYGTGEASGDLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRRESTIQLVASSSFPVITFGPFSSPTEVLISLSKAIGTVFMPPKWSLGYHQCRWSYLSDQRVLEVAKTFRKKCIPCDVIWMDIDYMDGFRCFTFDKERFRDPTSLVRDLHYSGFKAIWMLDPGIKQEDGYFVYDSGSKNDVWVQKADGTPYVGEVWPGPCVFPDYTQSKVRAWWANLVKDFISNGVDGIWNDMNEPAVFKVVTKTMPESNVHRGDGELGGCQNHSFYHNVYGLLMARSTYEGMKLANENKRPFVLTRAGFLGSQRYASTWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGSMFPFCRGHSEAATGDHEPWSFGEECEEVCRLALKRRYRLIPLIYTLFYFAHTRGIPVVTPTFFADPKDPSLRKLENSFLLGPVLVYASTLQRQGLDKMEINLPKGIWLSFDFNDAHPDLPALYLKGGSIIPVGLPLQHVGEANPSDDLTLLVALDEHGKADGVLFEDDGDGYEFTKGNYLLTHYVAELKSSVVTVSIHKTEGSWERPKRRLHIQLLLGGGAMLDTWGSDGEVLQLMLPSEDEVLKLVSTSEKQYKDRLENATAIPDVEEVSGPKGTELLKTPIELKNGEWDLKVVPWIGGRIISMTHIPSGTQWLHSRIEINGYEEYSGTEYRSAGCSEEYSVIDREPGLVVLEGDIGGGLVLQRHIYVPKNVANIIQIDSSIIARSVGAGSGGFSRLVCLRVHPTFILLHPSESFVSFTSMDGSVHEVFPDSGEQFFEGNLIPNGEWKLIDKCLGLALVNRFSVTEVFKCLVHWDCGTVNLELWSESRPVSKQSPLRISHQYEVIRISE >Vigun08g122100.1.v1.2 pep primary_assembly:ASM411807v1:8:29152600:29153316:-1 gene:Vigun08g122100.v1.2 transcript:Vigun08g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKNALSSASRVALSLLLGPFFEAFPLWSPLADISVVSSWLGLSNLVSQVH >Vigun03g076000.1.v1.2 pep primary_assembly:ASM411807v1:3:6317349:6322242:1 gene:Vigun03g076000.v1.2 transcript:Vigun03g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQNHCTKMGGMTKMDKNRKKSRGHSSREPTDMQDQEMDIRKIMKDVENFSYSHMTWKERKKIEDRKIVSLCGKAPKNQRLPLSVARPMMKKQKEREQKMLQERLIMGQFGGKLGGSSKRSAVKHKPENKGLKLSEGHFRNGILNVKHLLNSAPSRDRETGTWKGKGGNKKQGNKGAGKKQRSANPF >Vigun03g076000.2.v1.2 pep primary_assembly:ASM411807v1:3:6317349:6322242:1 gene:Vigun03g076000.v1.2 transcript:Vigun03g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMDKNRKKSRGHSSREPTDMQDQEMDIRKIMKDVENFSYSHMTWKERKKIEDRKIVSLCGKAPKNQRLPLSVARPMMKKQKEREQKMLQERLIMGQFGGKLGGSSKRSAVKHKPENKGLKLSEGHFRNGILNVKHLLNSAPSRDRETGTWKGKGGNKKQGNKGAGKKQRSANPF >Vigun06g056800.1.v1.2 pep primary_assembly:ASM411807v1:6:18347840:18353620:1 gene:Vigun06g056800.v1.2 transcript:Vigun06g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNARVLLRSFSLLHFLVLHSVLPQVSAQGSNATTWNTLSGNPPLVIARGGFSGIFPDSSDFAYLFAVAASLKNVILWCDVQLTKDAQGICIPDLKLENATNIAQNAKYKSSTYPVNGATTSGYFSMDYTLEDLRSNNVFLVQGIYTRTEKFNNNAFQILTVNNVVKTASPPGLWLNIQHDAFYTQHNLSMKNFVRSVSTTVNVSYISSPEAGFLRSIRTDINPKRTKLVFRFMEKGEVDPSTNQTYATLLKNLTSIKTFASGILVPKDYIWPVDLKSHYLQPHTSLVSDAHTVGLEVFASTFENDIPISYNYSYDPVAEHLSFIENGNFSVDGVLSDFPLTSSTAIDCFAHNGLNAPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQISKDGIPFCFSSIDLIPNTNVAQSRFNDLSKSIPEIQSGDGIFAFDLYWNNISSLTPLMLKPFSTLYRNPKFNEKVSLLTLSEFLNFTQGRPSPLGVVIIIEVRSVQAIISVLQSLIPSIGCILCEHVISVMKCSKAQLTV >Vigun09g018450.1.v1.2 pep primary_assembly:ASM411807v1:9:1397409:1398421:1 gene:Vigun09g018450.v1.2 transcript:Vigun09g018450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDWMKNLEVGIPTLLEEGIKVLVYAGEKDLICNWLGNSRWVHAMQWSGQKAFGTSPTVKFVVDGVDAGSLNSYGPLSFLKVYEAGHLVPMDQPKAALEMFKSWIGGKLNTQRDN >Vigun09g233700.1.v1.2 pep primary_assembly:ASM411807v1:9:40464737:40467905:-1 gene:Vigun09g233700.v1.2 transcript:Vigun09g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEEKMNELLFDDIFMVETLDPDGKKYDKVSRIVARSEKRDMYMLLDVNTEIYPMKEKERFLMALSPSLVLNTKDGSVSIQDKFEYIMHGRLYNIEGCSKPEELEVEVYASFGGLQMMLRGHASHCVKFAVDKNLFLLIRKIGS >Vigun05g208300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39868411:39871884:-1 gene:Vigun05g208300.v1.2 transcript:Vigun05g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKDLGEGSSSPTGTLSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGSIRVYLKEVRECQAKARGIPYKKKKKGTNQTKPNDESTSTIHFS >Vigun07g065500.1.v1.2 pep primary_assembly:ASM411807v1:7:7666107:7673252:-1 gene:Vigun07g065500.v1.2 transcript:Vigun07g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHLLLEEPIRMVSILEPSKPSFFPAMTKIVGTLGPKSRSVETISNCLKAGMSVARFDFSWHDPEYHQETLENLKVAIKSTKKLCAVMLDTVGAEMQVVNKSEKAISLEANGQVVLTPDAGQEASSEILPINFDGLAKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGQDVVCIIKNTATLAGSLFTLHASQIHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDISQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICSEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESILKVALDHGKALGVIKSHDRVVVCQKLGDASVVKIIELED >Vigun05g150400.1.v1.2 pep primary_assembly:ASM411807v1:5:22533929:22535113:1 gene:Vigun05g150400.v1.2 transcript:Vigun05g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCNKCRHRFQHSYCSNYYGELPEIELCDWCQSEEKNLRHIGSNSKKPVTGNEAGATHRSEYSGEKMIKQHDHREESGSEKGKSPTPSPRPGTRRYKLLKDVMC >Vigun03g194000.1.v1.2 pep primary_assembly:ASM411807v1:3:27099345:27103192:1 gene:Vigun03g194000.v1.2 transcript:Vigun03g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSEQLQFFNSQGYLVIESFASGDEIESMIKRMEQLVDKFDYSSTASIFSTKNQQQLTDDYFFDSVEKVSFFFEEKAFGDDGKLKQPKQLSINKVGHGLHEIEPAFKKFSSSEKISSLMYSLGYKRPVVMQSMYIFKQPGIGGEVVPHQDNSFLYTEPQTCTGLWLALEDANTLNGCLWAIPRSHKNGLVRRFLRDEDGVKFDRPSPSYDKKDFVPIEVKAGSMVVIHGDLIHQSFENQSPKSRHAYSLHVVDTVGCTWAPENWIRRKMEPEPLFVS >Vigun07g279350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39429722:39430967:1 gene:Vigun07g279350.v1.2 transcript:Vigun07g279350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIDHYAVLGLPSGEEGAKLTEKEINKAYRWKALELHPDKRPDDPNAAANFQQLRTSYDMTRPMTRPVSCSTNYCASSATASSATPSATESAARWFPISSAGSAMLTPPTSPPRSAKRRPGSFYKSFIPIYYTNVF >Vigun03g362550.2.v1.2 pep primary_assembly:ASM411807v1:3:56601804:56605862:-1 gene:Vigun03g362550.v1.2 transcript:Vigun03g362550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAILIFLFLGSVEGFSTSPQPCTYDKTKTCKPALATAIFSTVSFLLGGVTSLVSGFLGMKIATYANARTTLEARKGVGKAFSTAFRYGAAMGFVLAANGLLVLYIAINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGLNHEFTAMLYPLIVSSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLVISTVLMTIGVAVVSFVALPTSFTIFNFGVQKDVKSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALRYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIGMSAEESLSPLICV >Vigun03g362550.1.v1.2 pep primary_assembly:ASM411807v1:3:56601804:56605862:-1 gene:Vigun03g362550.v1.2 transcript:Vigun03g362550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAILIFLFLGSVEGFSTSPQPCTYDKTKTCKPALATAIFSTVSFLLGGVTSLVSGFLGMKIATYANARTTLEARKGVGKAFSTAFRYGAAMGFVLAANGLLVLYIAINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGLNHEFTAMLYPLIVSSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLVISTVLMTIGVAVVSFVALPTSFTIFNFGVQKDVKSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALRYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIGMSAEESLSPLICV >Vigun04g057900.1.v1.2 pep primary_assembly:ASM411807v1:4:5739503:5749695:1 gene:Vigun04g057900.v1.2 transcript:Vigun04g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMRFSATSTGAEALPRRNSLTGFLTVSAKSSAKSRLRCIGRSANLSSFLRRRSTFTVRCVSGSEARQTIHDPVSQQQEATTSLSSLTPDASSIASSIKYHAEFTPLFSPHNFDLPQAFFATAQSVRDALIINWNATYDYYEKLNVKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGYQLENVAYQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQRITKEGQEEVAEDWLEMGSPWEIVRNDVSYPVKFYGKVVSGSDGKKHWIGGEDIKAVAHDVPIPGYKTKTTINLRLWSTKAASEEFDLYAFNAGRHTEASEALANAEKICYILYPGDESIEGKTLRLKQQYTLCSASLQDIIACFERRSGANVNWEEFPEKVAVQMNDTHPTLCIPELMRILIDVKGLSWKDAWNITQRTVAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELIRTIIAEYGTADSDLLENKLKEMRILENVELPEEFADVLVKSKETTDIPSEELQSSEQVEEEEKKDDEENKDDNDEVEGAIAKKKGTDESSIEDEKEELPVPIPEPPKVRMANLCVVGGHAVNGVAEIHSEIVKDDVFNAFYKLWPDKFQNKTNGVTPRRWIKFCNPLLSKIITEWIGTEDWVLNTEKLADLRKFVENEDLQLQWRDAKRSNKVKVAEFIREKTGYFVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSPVERKANFVPRVCIFGGKAFATYVQAKRIVKFITDVGQTVNHDPEIGDLLKVIFVPDYNVSVAEMLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGADNFFLFGAEAHEIGGLRKERAEGKFVPDPRFEEVKEFVRSGVFGSYNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQNKWTRMSILNTAGSYKFSSDRTIHEYAREIWNIEPAQLP >Vigun07g080200.1.v1.2 pep primary_assembly:ASM411807v1:7:11193335:11197096:-1 gene:Vigun07g080200.v1.2 transcript:Vigun07g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLVAGLAVAAAAYAGRYGIVAWQAFKARPPRMRRFYEGGFQATMSRREAALILGVREHTPTDKIKEAHRRVMVANHPDAGGSHYLASKINEAKDMLLGKTKGGGSAF >Vigun03g148300.1.v1.2 pep primary_assembly:ASM411807v1:3:15236320:15242149:1 gene:Vigun03g148300.v1.2 transcript:Vigun03g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNSPTLRASPLDPLRAPNNAANRRAAAAKRVFFVSATAAPKVSAPQRQKDPKKRVVITGMGLASVFGNDVEGYYEKLLAGESGITAIDRFDASKFPTRFGGQIRGFSAQGYIDGKNDRRLDDCLRYCIVAGKKALESADLAKDNLTKLDKERAGVLVGSGMGGLTVFSDGVQSLIEKGHRKITPFFIPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDQGRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIQSSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKDTSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPTINQFNPEPAVDFDTVANVKQQHEVNVAISNSFGFGGHNSVVAFSAFRP >Vigun11g056801.3.v1.2 pep primary_assembly:ASM411807v1:11:11282584:11283416:1 gene:Vigun11g056801.v1.2 transcript:Vigun11g056801.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVMLIEVVSEVLSFDLRYKGFFRCLKSKEDSTHSTLLRTINLRTNPIPMAPTKPMHVLA >Vigun11g056801.1.v1.2 pep primary_assembly:ASM411807v1:11:11281478:11283416:1 gene:Vigun11g056801.v1.2 transcript:Vigun11g056801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDLRYKGFFRCLKSKEDSTHSTLLRTINLRTNPIPMAPTKPMHVLA >Vigun11g056801.2.v1.2 pep primary_assembly:ASM411807v1:11:11281618:11283416:1 gene:Vigun11g056801.v1.2 transcript:Vigun11g056801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIAEVGKLKRVLSFDLRYKGFFRCLKSKEDSTHSTLLRTINLRTNPIPMAPTKPMHVLA >Vigun01g199900.1.v1.2 pep primary_assembly:ASM411807v1:1:37630492:37634932:-1 gene:Vigun01g199900.v1.2 transcript:Vigun01g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGTHVFSVVGFHLREAETPLACSSLSRKRIGDCSQRNVVLRRRGNTAILPKIRAQNIPDYVPESKFYKVEAILRPWRVPQVSSGLLKMGIRGVTVSDIRGFGAQGGAKERQGGSEFSEGNFVAKVKMEIVVEKNQVEVVIDKIIEEARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMTGGRSDMLSAV >Vigun01g199900.2.v1.2 pep primary_assembly:ASM411807v1:1:37630492:37634932:-1 gene:Vigun01g199900.v1.2 transcript:Vigun01g199900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITGTHVFSVVGFHLREAETPLACSSLSRKRIGDCSQRNVVLRRRGNTAILPKIRAQNIPDYVPESKFYKVEAILRPWRVPQVSSGLLKMGIRGVTVSDIRGFGAQGGAKERQGGSEFSEGNFVAKVKMEIVVEKNQ >Vigun01g049700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7749669:7750100:-1 gene:Vigun01g049700.v1.2 transcript:Vigun01g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEFEFPATASVSSAVPDDIVFCGKVITRRSESETRVLLRSESSGRTNGFVAWLRSPSGRESRCRRSESCRRRYNKVFGAVKFPLQMELSDIKMRQDRIESRPPPRFTAKDDGGESCWELVRPMRRRGSLKNALFGCLPIV >Vigun11g149900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36013480:36014744:1 gene:Vigun11g149900.v1.2 transcript:Vigun11g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRISMTRISLKPLFLVFMLLTSTHAGTISTPSSNLFREYIGAEFNNVKFSDVPINPSVQFHFILSFAIDYDTASTSSPTNGKFNVFWDTDNLNPTQVSAIKAKNPNVKVALSLGGDSVGGGSAYFNPSSIDSWLSNAVSSLTTIIKEYNLDGIDIDYEHFKTDPETFSECVGRLIKTLKSNGVIEFASIAPFDDDQVQSHYLALWKSYGNLIDYVNFQFYAYDKGTGVAQFIDYFNTQSSNYEGGKVLVSFISDGSGGLAPNDGFFTACHTLKTQNKLHGIFVWSADDSMGNGFRFEKQSQALLALP >Vigun07g020400.1.v1.2 pep primary_assembly:ASM411807v1:7:1697788:1701369:-1 gene:Vigun07g020400.v1.2 transcript:Vigun07g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVPSSRDLLKCCNCGCGCSMISQSSGVWIRSVKRKHDEFKMDGQLAMPAFAKVEIENECVALREMVSMQQKTIQDLNAELEEERNSSSTAANEAMSMILRLQREKAEVQMEARQFKRFSEEKMQHDQEELLSLEDLLYKREQIIQSLTCEVQAYKHRLMSFGLSEEEAEGDQYELPPYEYPPLKCNVMHGATDADNDDTDIEKYAFGETPTDQLRNLENRISQMEKTPTYAQMDGDFTGKNSLEKVIVGHSPRRNKHSRRLSCDSTSIGGMVKEAGPEYMMDSPNRNSNNKRDYFSKSEEASKVDNASEGDDTSDRIYTIDSVHCGAPYNGFTDSKAGTIDFEDYATTPMESGNHGTEFEDPYVKKLYMRLQALEADRESMRQAIISMRTDKAQLVLLKEIAQHLCKEMSPRRKMAKKPSFGGNSALFSIVKWVSSIVFWRKRAHQSKFMFGLPPESVGLLMLLDKGTRARPWRCVSSTQVGD >Vigun04g162000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38533900:38535870:1 gene:Vigun04g162000.v1.2 transcript:Vigun04g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLYHFSSELSTSFDSSSPPSVAFSDEEVLLAVRHPKKRAGRKKFRETRHPVYRGVRRRNSDKWVCEVREPNKKSRIWLGTFPTPEMAARAHDVAAMALRGRYACLNFADSRWRLPAPASASAKDIQKAAAEAAEAFRPDQTSQNSNTRQECLEAATKTTLEQGLFYMEEDQEEQVLDIPEQLRNMVLMSPSHFLGYEYEDAGLEAQDTEVSLWSFSI >Vigun11g205000.2.v1.2 pep primary_assembly:ASM411807v1:11:40199957:40205400:-1 gene:Vigun11g205000.v1.2 transcript:Vigun11g205000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPFKPSRLSHSQSATSDASDGPKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHMPLTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTHAHLMDKVIESEASHPQMAGAKGSSCAVPGCDCKVMSDERGVDILPCECDFKICRDCYIDAVKAGDGMCPGCKEPYKNTELDEVAVEERNGRPYPLPPSSGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLYETKGTYGYGNAIWPKGGNFGSGNGDEDVVEPMDLMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLVLFLAWRVKHKNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKEKFEVPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREELRAMKVQRQTKVDEPVDPVKIPKATWMADGTHWPGTWLNPSSEHTKGDHAGIIQVMLKPPSDEPLLGNADDEKLIDLADVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGCCSCCFGKRKKNANISEENRALRMGDSEEEEEEMNLSLFPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >Vigun11g205000.5.v1.2 pep primary_assembly:ASM411807v1:11:40200007:40205212:-1 gene:Vigun11g205000.v1.2 transcript:Vigun11g205000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPFKPSRLSHSQSATSDASDGPKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHMPLTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTHAHLMDKVIESEASHPQMAGAKGSSCAVPGCDCKVMSDERGVDILPCECDFKICRDCYIDAVKAGDGMCPGCKEPYKNTELDEVAVEERNGRPYPLPPSSGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLYETKGTYGYGNAIWPKGGNFGSGNGDEDVVEPMDLMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLVLFLAWRVKHKNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKEKFEVPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREELRAMKVQRQTKVDEPVDPVKIPKATWMADGTHWPGTWLNPSSEHTKGDHAGIIQVMLKPPSDEPLLGNADDEKLIDLADVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGCCSCCFGKRKKNANISEENRALRMGDSEEEEEEMNLSLFPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >Vigun11g205000.6.v1.2 pep primary_assembly:ASM411807v1:11:40200008:40205187:-1 gene:Vigun11g205000.v1.2 transcript:Vigun11g205000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPFKPSRLSHSQSATSDASDGPKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHMPLTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTHAHLMDKVIESEASHPQMAGAKGSSCAVPGCDCKVMSDERGVDILPCECDFKICRDCYIDAVKAGDGMCPGCKEPYKNTELDEVAVEERNGRPYPLPPSSGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLYETKGTYGYGNAIWPKGGNFGSGNGDEDVVEPMDLMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLVLFLAWRVKHKNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKEKFEVPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREELRAMKVQRQTKVDEPVDPVKIPKATWMADGTHWPGTWLNPSSEHTKGDHAGIIQVMLKPPSDEPLLGNADDEKLIDLADVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGCCSCCFGKRKKNANISEENRALRMGDSEEEEEEMNLSLFPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >Vigun11g205000.3.v1.2 pep primary_assembly:ASM411807v1:11:40199957:40205400:-1 gene:Vigun11g205000.v1.2 transcript:Vigun11g205000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPFKPSRLSHSQSATSDASDGPKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHMPLTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTHAHLMDKVIESEASHPQMAGAKGSSCAVPGCDCKVMSDERGVDILPCECDFKICRDCYIDAVKAGDGMCPGCKEPYKNTELDEVAVEERNGRPYPLPPSSGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLYETKGTYGYGNAIWPKGGNFGSGNGDEDVVEPMDLMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLVLFLAWRVKHKNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKEKFEVPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREELRAMKVQRQTKVDEPVDPVKIPKATWMADGTHWPGTWLNPSSEHTKGDHAGIIQVMLKPPSDEPLLGNADDEKLIDLADVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGCCSCCFGKRKKNANISEENRALRMGDSEEEEEEMNLSLFPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >Vigun11g205000.4.v1.2 pep primary_assembly:ASM411807v1:11:40199957:40205273:-1 gene:Vigun11g205000.v1.2 transcript:Vigun11g205000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPFKPSRLSHSQSATSDASDGPKPPLPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHMPLTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTHAHLMDKVIESEASHPQMAGAKGSSCAVPGCDCKVMSDERGVDILPCECDFKICRDCYIDAVKAGDGMCPGCKEPYKNTELDEVAVEERNGRPYPLPPSSGVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLYETKGTYGYGNAIWPKGGNFGSGNGDEDVVEPMDLMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLVLFLAWRVKHKNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKEKFEVPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREELRAMKVQRQTKVDEPVDPVKIPKATWMADGTHWPGTWLNPSSEHTKGDHAGIIQVMLKPPSDEPLLGNADDEKLIDLADVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGCCSCCFGKRKKNANISEENRALRMGDSEEEEEEMNLSLFPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLTITVTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLTHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWVAINPPAGTNQIGGSFQFP >Vigun08g154600.1.v1.2 pep primary_assembly:ASM411807v1:8:32694194:32696149:-1 gene:Vigun08g154600.v1.2 transcript:Vigun08g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLVFVLCLVTSGASPVVYGIENIFKEDLELEQQLKIINKPSIKTIHTKHGDIVDCIDINKQPAFDHHLLKNHKLQKKPNFQRRIKETSVKKLSDRSIFGLDKQQRCPKGTVPILRTTKKDFIREKNLLNSSIFVQNIPGVHLAEVAVSPKFGPYFSVGGDNSVYNPYVTKGQMSLSHIWVQNGPINSANKISFGWHVSPELYGDHDTHMYTSWTSDNYHRTGCFNIRCPGFVQTHRGSYIGSRETNISFYGGPIFGFYSSITQDPLTKNWWIGSLDYNIGYFPAKLFSNLGSANKVGLGGRTLTLSGYRSPPMGSGHFPDRNFFHASFYKLIFIQNVSRENYIPEKYQIEKYIDKPKCFGLSYYGNLRGNYGGALQFGGPGGNCGN >Vigun10g080500.1.v1.2 pep primary_assembly:ASM411807v1:10:22433730:22436076:1 gene:Vigun10g080500.v1.2 transcript:Vigun10g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHRHHGQQQQPQQQRLPPQNTSANVDASDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISTRMKEKGYHRSAEQCKCKWKNLVTRYKGFETMEQEATRQQFPFYNEFNAIFTARMQRMLWAEAEGGSKKNKGTQLSSDEEEDGNEESAEAGGGRKKKKAKIAGSGGGGGSLNSLKEILEEFMRQQMQIEAQWMEAFEARENERRLKEMEWRQAMEVLENERIMMEERWREREEQRRIREEARAEKRDALITALLNKLERQEM >Vigun01g055050.1.v1.2 pep primary_assembly:ASM411807v1:1:10686040:10688356:-1 gene:Vigun01g055050.v1.2 transcript:Vigun01g055050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTSHGVNEYQKCGVEEKDGSQGTNGFNNDLASSITQCPPLCGAGNEYVQKSTHHHLEEAKFNFKANEFTNLMQEWKTGVRLVFIGRDHASCQCQEAMQNCNYSNKAKFKPF >Vigun02g029800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:12395595:12395972:-1 gene:Vigun02g029800.v1.2 transcript:Vigun02g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIRVALAINFISSSRSFIMLTNLLPLLRTLLKWTSRSFFHPLTCTLSGDNSITCHHVSTSFVSLEEEDCAVCLCKMGETEEEIVTLRCEHAFHTACFDTWVGFNCNTTTCPLCRDSVVTTSH >Vigun02g166700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31077503:31079616:1 gene:Vigun02g166700.v1.2 transcript:Vigun02g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLFLLCFMLCISHTHQIILLPLTNSISKTQFNSTHHLLKATSTRSASRFHRQRHNQNSISLPLSSGSDYTLSFSLASNPSQSITLYMDTGSDLVWFPCAPFECILCEGKFNATTPLNVTRSHRVSCQSPACSAAHSSMSSPDLCAIARCPLDNIETSDCSSATCPPFYYAYGDGSFIARLYRDSLSMSSLFLKNFTFGCAHTALAEPTGVAGFGRGLLSLPAQLATLSPNLGNRFSYCLVSHSFDQQRVRKPSPLILGRYDDSGEGSEFVYTSMLRNPKHPYFYCVGLAGISVGKRTIPAPEMLRRVNRRGDGGVVVDSGTTFTMLPASLYNSVVSEFDRRVGRVQKRASMVEEKTGLGPCYFLEGLVEVPTVTWHFVGNDSNVVLPRENYFYEFLDGSYGIRRKVGCLMLMNGGDESELSGGPGATLGNYQQQGFEVVYDLENQRVGFAKRQCASLWDSLNRHKS >Vigun01g231000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40325780:40326442:-1 gene:Vigun01g231000.v1.2 transcript:Vigun01g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVQLERFNPITDAATTSSAAIANGVNCPKQSQATPPAAARRLLVPSLPDAVARHHARVAGPNQCCSVVVQAISAPVSAVWAVVRRFDHPQGYKNFVKSCHVITGDGIRVGAVREVRVVSGLPAESSTERLEILDDERHVMSFSVVGGDHRLRNYRSVTTLHASGNGTLVMESYVVDVPQGNTKEETCVFVDTIVRCNLQSLAQIAENNTPISEHQNC >Vigun07g156600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26777884:26778529:1 gene:Vigun07g156600.v1.2 transcript:Vigun07g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCTKMLVFTAILVLSLQQTWGLRLLKEKQLLWQQNKLIIQSLQRGPVQGSQRNPCSTVPGRSHGRCTSETNVAPAPPTSPQVAT >Vigun02g149100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29652130:29654654:1 gene:Vigun02g149100.v1.2 transcript:Vigun02g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKQVSAATFVFFVILCDVSLAAIERTNDNQKSTYIVHVTKSEMPTILNHHSIWYSSLLKSVSNSAEMLYTYDNAIHGFSTRLTPEEARSLKSQIGILKVLPEKIYKPLTTRTPQFLGLDKITHMFPESNTTSDIIIGLLDTGVWPESKSFDDVELGPIPRSWKGKCESGQNFSVANCNKKLIGARFFLKGFEASVVPLNATTQFRSPRDSDGHGTHTASTAAGSAVKGANLFGYASGIARGMVPHARVAIYKVCWEDNCVASDILAAMDAAISDNVNVISASLGGQAIDYDEENLAIGAFAAMEKGIVVACAAGNDGPTNSTLQNVAPWMITVGAGTIDRDFPVYVKLGNGQNYSGVSINDGSSFVPRTFVPLVYAGNASVKVGAELCLTDSLDPEKVKGKIVFCDRGNISRVEKGLVVKSAGGVGMVLANGEIDGEELVADAHLLPTAAVGFEASKAIKMYLQQTQNPTATLVFEGTKVGIKPSPIVSAYSARGPNPITPEILKPDFIAPGTNILAAFTKFAGPTNLDQDHRRVDFNIITGTSMACPHASGIAALIKSVYPNWSPAAIRSALVTTSYASYKNGKKILDSATNAPSTPFAVGAGHVNPIAALNPGLVYDLTADDYLNFLCALNYTSDRIEAVARRKFRCDAQKHYSVTSLNYPSFGVVFKPVVRSSIATVIHERTLTKVGVAGTYKASVTLDIPSVNITVEPNVLSFNKNEKKSYKVTFTTPNPPKRRQFGFGRLEWSNGKIIVGSPISIMWE >Vigun05g040800.5.v1.2 pep primary_assembly:ASM411807v1:5:3305715:3310698:1 gene:Vigun05g040800.v1.2 transcript:Vigun05g040800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGKAKEAGLPLMKLIKLRGMPILQQLNLEERLLRTSSDNWCLINDGTNSPAIVMGLSGKLSELVEVKPVLQDRIPIIKRFTGGGTVVVDHDTIFVTLICNKDAVSNVQPFPRSIMSWSGLLYSEVFKGLADFHLRENDYVFGDRKFGGNAQSITKNRWVHHTSFLWDYDVKNMSYLKLPAKVPQYRLRRSHMDFICRMKEYLPRSEFIERTIKALDSQFSVKSISLESIDVPSVSEYVHTTKLLTEQDIQETCTIQT >Vigun05g040800.3.v1.2 pep primary_assembly:ASM411807v1:5:3305715:3310698:1 gene:Vigun05g040800.v1.2 transcript:Vigun05g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGKAKEAGLPLMKLIKLRGMPILQQLNLEERLLRTSSDNWCLINDGTNSPAIVMGLSGIMSWSGLLYSEVFKGLADFHLRENDYVFGDRKFGGNAQSITKNRWVHHTSFLWDYDVKNMSYLKLPAKVPQYRLRRSHMDFICRMKEYLPRSEFIERTIKALDSQFSVKSISLESIDVPSVSEYVHTTKLLTEQDIQETCTIQT >Vigun05g040800.4.v1.2 pep primary_assembly:ASM411807v1:5:3306371:3310698:1 gene:Vigun05g040800.v1.2 transcript:Vigun05g040800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGKAKEAGLPLMKLIKLRGMPILQQLNLEERLLRTSSDNWCLINDGTNSPAIVMGLSGKLSELVEVKPVLQDRIPIIKRFTGGGTVVVDHDTIFVTLICNKDAVSNVQPFPRSIMSWSGLLYSEVFKGLADFHLRENDYVFGDRKFGGNAQSITKNRWVHHTSFLWDYDVKNMSYLKLPAKVPQYRLRRSHMDFICRMKEYLPRSEFIERTIKALDSQFSVKSISLESIDVPSVSEYVHTTKLLTEQDIQETCTIQT >Vigun05g040800.6.v1.2 pep primary_assembly:ASM411807v1:5:3305715:3310698:1 gene:Vigun05g040800.v1.2 transcript:Vigun05g040800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGKAKEAGLPLMKLIKLRGMPILQQLNLEERLLRTSSDNWCLINDGTNSPAIVMGLSGKLSELVEVKPVLQDRIPIIKRFTGGGTVVVDHDTIFVTLICNKDAVSNVQPFPRSIMSWSGLLYSEVFKGLADFHLRENDYVFGDRKFGGNAQSITKNRWVHHTSFLWDYDVKNMSYLKLPAKVPQYRLRRSHMDFICRMKEYLPRSEFIERTIKALDSQFSVKSISLESIDVPSVSEYVHTTKLLTEQDIQETCTIQT >Vigun03g257600.1.v1.2 pep primary_assembly:ASM411807v1:3:42372968:42373415:1 gene:Vigun03g257600.v1.2 transcript:Vigun03g257600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHCVKSEICVCTPQGFLAITETTISINSHLLRSRLDLTECPFSISYCFLKRNDLVQKTKGGTRDNLKTVSESVRMVYGR >Vigun05g076100.1.v1.2 pep primary_assembly:ASM411807v1:5:6957307:6962284:1 gene:Vigun05g076100.v1.2 transcript:Vigun05g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMRRLKSIASGRTSVSSDSGGDCTTKRPKFDQGTEEMVNEETDSIEKCGNDNEQYGDASAESVNSNIATTRSEKSGYDQLPKELNEMKIGDDKGKNNNEKDMEATIVNGNGTETGQIITTVIGGRDGQPKRTISYMAERIVGTGSFGVVYQAKCIETGEAVAIKKVLQDKRYKNRELQVMRILDHINVLRLKHCFYSAAEKDELYLNLVLEYVPETVYRVSKHYIRMHQHMPIIYVQLYLYQICRGLNYLHHVIGVCHRDIKPQNLLVNPQSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVMAELLLGHPMFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKKMPTEAVDLVSRMLQYSPNLRCTALEACAHPFFDDLRDPNACLPNGRPLPPLFDFSAQELVGTTEELRQRLIPDHARS >Vigun06g017700.1.v1.2 pep primary_assembly:ASM411807v1:6:8253853:8259465:1 gene:Vigun06g017700.v1.2 transcript:Vigun06g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGIFFSFLGVMFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGIGFIILILGWPILGMIVESYGFIILFSGFWPTLAVFIQKIPVLGWLFQQPYIRSLLDRYRGKRVPV >Vigun07g275700.1.v1.2 pep primary_assembly:ASM411807v1:7:39099389:39105279:-1 gene:Vigun07g275700.v1.2 transcript:Vigun07g275700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQNWVVMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPTFQQDRMWLNGKEISLSGGRFQNCLREIRTRACDVEDEKKGIKIKKEDWSKLHVHIASYNNFPTAAGLASSAAGFACLAYALGKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWVMGKEDNGSDSLAVQLADEKHWDDLVIVIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEIVPKRILQMEEAIKNRDFASFSRLACADSNQFHAVCLDTSPPIFYMNDTSHRIISIAEKWNRSEEAPQVAYTFDAGPNAVLIARDRKTATLLVQRLLYYFPPNSDDLDSYIIGDKSIAKDAGINGIKDVEALPPPPEIKDNIPSQKYKGDISYFICTRPGTGPVLLSDSSQALLNEETGLPK >Vigun07g011950.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1028874:1033998:-1 gene:Vigun07g011950.v1.2 transcript:Vigun07g011950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCESKPSFLSAISFSFLTSLSLLSPSRGVRDYRENNLMMMMMTAPSSAPSTNPLPTPPFLSSFHSQPPPFLISSSPH >Vigun07g011950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1028873:1033999:-1 gene:Vigun07g011950.v1.2 transcript:Vigun07g011950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCESKPSFLSAISFSFLTSLSLLSPSRGVRDYRENNLMMMMMTAPSSAPSTNPLPTPPFLSSFHSQPPPFLISSSPH >Vigun08g173400.1.v1.2 pep primary_assembly:ASM411807v1:8:34398851:34403416:-1 gene:Vigun08g173400.v1.2 transcript:Vigun08g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFKLFLSSIILCTMLQPYTEALRKTYIVYLGEHSHGQTPSLRDLESATNSHHHLLVPVLGSHEKAKEVVIYSYNKHINGFAALLEEEEASEIAKNPSVISVFLSKEYKLHTTRSWDFLGMEKYGGIPGESAWWKGKFGEDTVIANLDSGVWPEHPSFSDNGFGPLPSTWRGNGVCQIDHVSPPNKTFCNRKLIGARIFSSSYEAHYGKLDPSKHTARDFVGHGTHTMSIAAGNFAPGATVFGNGNGTAKGGSPKARIAAYKVCWSANDAGGCHEADILQAFDHAINDGVDVISASLGGSNPYIESFFTDAVSIGAFHAVARNIVVVCSAGNDGPAPRTVINVAPWTFTVAASTIDRDFLTNISLGRNHHLKGASLNRGLPSRKYYPLVHAANARLPNSTTDDARLCKPGTLDTTKIKGNILICIRRDKTSSVAQSYEASNAGAVGVFVVNDDKSGNTLLAEPYSIPGANFYASEDEDIDEREWWGKGGSDKNNSRKLLAYMTVARTHLGIKPAPVMAGFSSRGPSAVQPLILKPDVTAPGVNILAAYSLATSPSNLPSDKRRIPFNMQQGTSMACPHVAGVAGLLKTLHPDWSPAAIKSAIMTTATTLDNNNLPIRDAFDQIASPFEYGSGHIQPNLAMDPGLVYDIGTRDYLDFICAHDHSQNFLRYFSRISYNCPESYNIENLNYPSITVANRGMNPINVTRTVTNVGTPTSTYVVKANITEGFKVLVEPSSLTFKTVGEKKTFWIILQAMSWPTNGFPVFGNLSWTDGNHTVTSPIVVL >Vigun08g173400.2.v1.2 pep primary_assembly:ASM411807v1:8:34398852:34403379:-1 gene:Vigun08g173400.v1.2 transcript:Vigun08g173400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFKLFLSSIILCTMLQPYTEALRKQTYIVYLGEHSHGQTPSLRDLESATNSHHHLLVPVLGSHEKAKEVVIYSYNKHINGFAALLEEEEASEIAKNPSVISVFLSKEYKLHTTRSWDFLGMEKYGGIPGESAWWKGKFGEDTVIANLDSGVWPEHPSFSDNGFGPLPSTWRGNGVCQIDHVSPPNKTFCNRKLIGARIFSSSYEAHYGKLDPSKHTARDFVGHGTHTMSIAAGNFAPGATVFGNGNGTAKGGSPKARIAAYKVCWSANDAGGCHEADILQAFDHAINDGVDVISASLGGSNPYIESFFTDAVSIGAFHAVARNIVVVCSAGNDGPAPRTVINVAPWTFTVAASTIDRDFLTNISLGRNHHLKGASLNRGLPSRKYYPLVHAANARLPNSTTDDARLCKPGTLDTTKIKGNILICIRRDKTSSVAQSYEASNAGAVGVFVVNDDKSGNTLLAEPYSIPGANFYASEDEDIDEREWWGKGGSDKNNSRKLLAYMTVARTHLGIKPAPVMAGFSSRGPSAVQPLILKPDVTAPGVNILAAYSLATSPSNLPSDKRRIPFNMQQGTSMACPHVAGVAGLLKTLHPDWSPAAIKSAIMTTATTLDNNNLPIRDAFDQIASPFEYGSGHIQPNLAMDPGLVYDIGTRDYLDFICAHDHSQNFLRYFSRISYNCPESYNIENLNYPSITVANRGMNPINVTRTVTNVGTPTSTYVVKANITEGFKVLVEPSSLTFKTVGEKKTFWIILQAMSWPTNGFPVFGNLSWTDGNHTVTSPIVVL >Vigun01g130100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30783199:30785134:-1 gene:Vigun01g130100.v1.2 transcript:Vigun01g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTNSRSSICWKIRRALATNPAFKAIHRIKHFYPTHANSPSLPLSTHFPQNRKAKNTQGEGTIPITFDNSTPTLQVSAKVEPVRGSKVGHLKEQQQGKKTLDINDTFSEYIERAKYRIRSVSNVGRGQSKSSPVEDNNRLENHKDHLSDFIQHAKKMRTTSSVGNTGSLKRGYTKS >Vigun07g150100.2.v1.2 pep primary_assembly:ASM411807v1:7:26046576:26050094:1 gene:Vigun07g150100.v1.2 transcript:Vigun07g150100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTLAQCLWDQDQDNANKSKKVSDWDALNHKPEKKHFSCSSSAHDLRHLELEKEKENVASPRGVLEACMKDFDSRILAKPEKSCSSTRAHSNWTNFFKLFKKKSFKRLGTLSNISVPRIPKWKSRSTRENHVLSKLCNNFNSSWVTFNLSELRKATNNFDSENIIGRGGFAEVYKGCLEDGQLIAVKKLNKGTTEEKTAGFLSEIGVIAHVDHPNTAKLVGCCVEGEMHLVFELSKLGSLGTLLHGSDKSKLDWSKRYKIALGIADGLLYLHESCHRRIIHRDIKAENILVTENFEPQICDFGLAKWLPEQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYSFGVLLLEIITGRHAVNDQQQNILSWAKPLLDGNHMKELMDPSLGDDYDKAQLGCVTVTASLCIQQSPILRPRMRQIVTLLRGDQHVKKTRASGRRLLERTYSEELLDVQEYNSTKYLRDLKRHQEIALGLHT >Vigun03g367100.3.v1.2 pep primary_assembly:ASM411807v1:3:57043164:57062946:1 gene:Vigun03g367100.v1.2 transcript:Vigun03g367100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MPDGESSVAVGDQSSMDPSLSLSEQQLSLSLSQLKLDDDSVKKDPRKIARKYQLELCKKAMEENIIVYLGTGCGKTHIAVLLMHEMGHLIRKPQKNICVFLAPTVALVQQQAKVIADSTDFKVQIFCGGSKRLKRHQDWEQEIGQYEVLVMTPQILLHNLSHCFIMMEMIALLIFDECHHAQVKSNHAYAVIMKMFYKSNSSKVPRIFGMTASPVVGKGASSEANLARSINSLEHMLDAKVYSVEDKELKSYVTTPVINIYRYASTASGETSMYLIEEIKRQCIATLGRSSEDHQKRMNTKKLLNRMHDNVVFCLQNLGIWGALQASHMLLSGDRSERHELVEAEGNSSDDSVCDTYLAQAAELFTLQCIRGDNVSDDLSSSEILKEPFFSAKLLRLFGILSTFRLQKNMKCIIFVNRIVIARSLSYILQKLKLLRQWRSDYLVGVHSGLKSMSRRTMNMILDKFRSGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNKKELDIIDGFEKDEHRMNMEVTFRTSDETHIIPEERIFRVESTGASVSSGYSISLLHQYCSKLPHDEYFDPKPNFYFLDDSEGIVCHIRLPSNAPIHQILGAPQLSMEASKRDACLTAIEELYKLGALSDCLLPKEDDAEPEEQVSGSTDEDECEDAISRGELHEMLVPSAFGQSWTNEDEITHLNSYYIKFSPCPEDRVYKEFGLFIMAPLPMEAEKLELDLHLAHGRSVMTKFVPFGVVEFDKDEIKMAEKFQEMFLKIILDRLEFVSDTVDLGMAAEAQTSSLTFYLLLPVVLQEYGNAMKVDWKTVKRCLWSPIFRHPKYTMDKRKSSFDIHLKLANGYRSVRDVENGLVYAPHKKTFYFVTNIDYEKTGFSLHKESGTSSYVDDLIEKFSIHLKYPEQPLLRVKPLPNLHNLLHNRKREDAEPQELDEYLIYLPPELCELKIMGFSKDIGSSISLLPSIMHRLGNLLVAIELKQLLSFSFPEAAEVSALRILEALTTEKCQERFSLERLEVLGDAFLKFVVARHFFLMHDSLHEGDLTKRRSNAVNNSNLLKLAIRRNLQIYICDQTFDPSQFYALGRPCPRICCKETEESIHFCANSVKEQGKVTETRCNKNHHWLHKKTVADVVEALVGAFLVDSGFKAAIAFLTWIGIRVDFEASQVLDICMASAGYLPLSSDVDIPSLESKLGHQFFHKGLLLQAFVHPSYNKLGGGCYQRLEFLGDAVLDYLIASYLFSAYPKLKPGQLTDLRSLSVNNKAFACVTVDRSFDKYLLCDSNGLSEAIKKYVDYVRGPTTESGIKEGPKCPKALGDLVESCVGAILLDTGFNLNKVWEIMTSFLDPIMKFSSSLQLSPIRDLRELCQAHNLELEFLSVPLKLTKMFLVEAKASGNNVCETASATGQNKKEACRIASLMLFSKFKAQGWKAKSKTLEEVLESTSKMEPKLIGYDETPIDVTDTSIAKNIMVTADLYSKSNPEIRPIQDTDEICSPCVKPIGQLLQSSAREKPSEIFENHCSSESSGTGTARSRLYELCAAYCWKPPSFECCKEEGPDHLKQFTYKMTLEIEEAPDTILEFVGEPRTKKKDAAESAAEGAFWYLQQVGYFC >Vigun03g367100.2.v1.2 pep primary_assembly:ASM411807v1:3:57045418:57062946:1 gene:Vigun03g367100.v1.2 transcript:Vigun03g367100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MRSLHMILRREGMREEERSACLLWFKGQSGKGASSEANLARSINSLEHMLDAKVYSVEDKELKSYVTTPVINIYRYASTASGETSMYLIEEIKRQCIATLGRSSEDHQKRMNTKKLLNRMHDNVVFCLQNLGIWGALQASHMLLSGDRSERHELVEAEGNSSDDSVCDTYLAQAAELFTLQCIRGDNVSDDLSSSEILKEPFFSAKLLRLFGILSTFRLQKNMKCIIFVNRIVIARSLSYILQKLKLLRQWRSDYLVGVHSGLKSMSRRTMNMILDKFRSGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNKKELDIIDGFEKDEHRMNMEVTFRTSDETHIIPEERIFRVESTGASVSSGYSISLLHQYCSKLPHDEYFDPKPNFYFLDDSEGIVCHIRLPSNAPIHQILGAPQLSMEASKRDACLTAIEELYKLGALSDCLLPKEDDAEPEEQVSGSTDEDECEDAISRGELHEMLVPSAFGQSWTNEDEITHLNSYYIKFSPCPEDRVYKEFGLFIMAPLPMEAEKLELDLHLAHGRSVMTKFVPFGVVEFDKDEIKMAEKFQEMFLKIILDRLEFVSDTVDLGMAAEAQTSSLTFYLLLPVVLQEYGNAMKVDWKTVKRCLWSPIFRHPKYTMDKRKSSFDIHLKLANGYRSVRDVENGLVYAPHKKTFYFVTNIDYEKTGFSLHKESGTSSYVDDLIEKFSIHLKYPEQPLLRVKPLPNLHNLLHNRKREDAEPQELDEYLIYLPPELCELKIMGFSKDIGSSISLLPSIMHRLGNLLVAIELKQLLSFSFPEAAEVSALRILEALTTEKCQERFSLERLEVLGDAFLKFVVARHFFLMHDSLHEGDLTKRRSNAVNNSNLLKLAIRRNLQIYICDQTFDPSQFYALGRPCPRICCKETEESIHFCANSVKEQGKVTETRCNKNHHWLHKKTVADVVEALVGAFLVDSGFKAAIAFLTWIGIRVDFEASQVLDICMASAGYLPLSSDVDIPSLESKLGHQFFHKGLLLQAFVHPSYNKLGGGCYQRLEFLGDAVLDYLIASYLFSAYPKLKPGQLTDLRSLSVNNKAFACVTVDRSFDKYLLCDSNGLSEAIKKYVDYVRGPTTESGIKEGPKCPKALGDLVESCVGAILLDTGFNLNKVWEIMTSFLDPIMKFSSSLQLSPIRDLRELCQAHNLELEFLSVPLKLTKMFLVEAKASGNNVCETASATGQNKKEACRIASLMLFSKFKAQGWKAKSKTLEEVLESTSKMEPKLIGYDETPIDVTDTSIAKNIMVTADLYSKSNPEIRPIQDTDEICSPCVKPIGQLLQSSAREKPSEIFENHCSSESSGTGTARSRLYELCAAYCWKPPSFECCKEEGPDHLKQFTYKMTLEIEEAPDTILEFVGEPRTKKKDAAESAAEGAFWYLQQVGYFC >Vigun03g367100.1.v1.2 pep primary_assembly:ASM411807v1:3:57043143:57063002:1 gene:Vigun03g367100.v1.2 transcript:Vigun03g367100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MPDGESSVAVGDQSSMDPSLSLSEQQLSLSLSQLKLDDDSVKKDPRKIARKYQLELCKKAMEENIIVYLGTGCGKTHIAVLLMHEMGHLIRKPQKNICVFLAPTVALVQQQAKVIADSTDFKVQIFCGGSKRLKRHQDWEQEIGQYEVLVMTPQILLHNLSHCFIMMEMIALLIFDECHHAQVKSNHAYAVIMKMFYKSNSSKVPRIFGMTASPVVGKGASSEANLARSINSLEHMLDAKVYSVEDKELKSYVTTPVINIYRYASTASGETSMYLIEEIKRQCIATLGRSSEDHQKRMNTKKLLNRMHDNVVFCLQNLGIWGALQASHMLLSGDRSERHELVEAEGNSSDDSVCDTYLAQAAELFTLQCIRGDNVSDDLSSSEILKEPFFSAKLLRLFGILSTFRLQKNMKCIIFVNRIVIARSLSYILQKLKLLRQWRSDYLVGVHSGLKSMSRRTMNMILDKFRSGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGNKKELDIIDGFEKDEHRMNMEVTFRTSDETHIIPEERIFRVESTGASVSSGYSISLLHQYCSKLPHDEYFDPKPNFYFLDDSEGIVCHIRLPSNAPIHQILGAPQLSMEASKRDACLTAIEELYKLGALSDCLLPKEDDAEPEEQVSGSTDEDECEDAISRGELHEMLVPSAFGQSWTNEDEITHLNSYYIKFSPCPEDRVYKEFGLFIMAPLPMEAEKLELDLHLAHGRSVMTKFVPFGVVEFDKDEIKMAEKFQEMFLKIILDRLEFVSDTVDLGMAAEAQTSSLTFYLLLPVVLQEYGNAMKVDWKTVKRCLWSPIFRHPKYTMDKRKSSFDIHLKLANGYRSVRDVENGLVYAPHKKTFYFVTNIDYEKTGFSLHKESGTSSYVDDLIEKFSIHLKYPEQPLLRVKPLPNLHNLLHNRKREDAEPQELDEYLIYLPPELCELKIMGFSKDIGSSISLLPSIMHRLGNLLVAIELKQLLSFSFPEAAEVSALRILEALTTEKCQERFSLERLEVLGDAFLKFVVARHFFLMHDSLHEGDLTKRRSNAVNNSNLLKLAIRRNLQIYICDQTFDPSQFYALGRPCPRICCKETEESIHFCANSVKEQGKVTETRCNKNHHWLHKKTVADVVEALVGAFLVDSGFKAAIAFLTWIGIRVDFEASQVLDICMASAGYLPLSSDVDIPSLESKLGHQFFHKGLLLQAFVHPSYNKLGGGCYQRLEFLGDAVLDYLIASYLFSAYPKLKPGQLTDLRSLSVNNKAFACVTVDRSFDKYLLCDSNGLSEAIKKYVDYVRGPTTESGIKEGPKCPKALGDLVESCVGAILLDTGFNLNKVWEIMTSFLDPIMKFSSSLQLSPIRDLRELCQAHNLELEFLSVPLKLTKMFLVEAKASGNNVCETASATGQNKKEACRIASLMLFSKFKAQGWKAKSKTLEEVLESTSKMEPKLIGYDETPIDVTDTSIAKNIMVTADLYSKSNPEIRPIQDTDEICSPCVKPIGQLLQSSAREKPSEIFENHCSSESSGTGTARSRLYELCAAYCWKPPSFECCKEEGPDHLKQFTYKMTLEIEEAPDTILEFVGEPRTKKKDAAESAAEGAFWYLQQVGYFC >Vigun02g030600.1.v1.2 pep primary_assembly:ASM411807v1:2:12818103:12818484:1 gene:Vigun02g030600.v1.2 transcript:Vigun02g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLLSLIFLELIIAAMFIVQFSLGATNKPTCVGACTQFPDCASHCQNIGYTTGLCIADICCCSK >VigunL060115.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:65841:66200:1 gene:VigunL060115.v1.2 transcript:VigunL060115.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g218000.1.v1.2 pep primary_assembly:ASM411807v1:5:41002685:41011444:1 gene:Vigun05g218000.v1.2 transcript:Vigun05g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLSRIDLGRTGEERSGSQYKRVKIHGEVLLGFLFICIVQFSHADTDPSDVAAINNLYTALGNPVLPGWVPSGGDPCGQGWQGVRCNGSVIQEITLNGANLGGELGDSLGSFVSIRAIVLNNNHIGGSIPSSLPVTLQHFFLSDNQFIGSIPASLSSLAGLTDMSLNDNLLTGEIPDAFQSLTQLINLDLSNNNLSGELPPSMENLSALTSVHLQNNNISGTLDVLQDLPLRDLNVENNQFVGPIPPKLLSIPNFRNDGNPFNLNVNATISPARQPHSPVPVSPPGTVVSGTPSSGRLPTKPTDGPTAAKESNSVKSKKNTKRVVWISISSILMFVIVVLGLLLFVPRCSRREQVNKSSKQHQVGKYGGERQNPREYEEFVQPPSQTEKAVPKGAVVRPKGDHQEEARTVRAIPKLQGEQEKNEQRMGTIPKLLDHEIDMSSLDVYSMPSPAPPPPPPLTTESAIVEPTSFHKGANFNPPKKSPVPPTFAKTFTIASLQQYTNSFSQDNLIGLGMLGTVYKAELPDGKILAVKKLDKRVSNDQTDDEFLDLINNIDRIRHANIVELIGYCTEHGQRLLIYEYCSNGSLQDALHSDDEFKTRLSWNARIRIALGAARALEYLHEQCQPPVVHRNFKSANILLDDDVSVRLSDCGLAPLITKGFVSQLSGQLLAAYGYGAPEFESGIYTYQSDVYSFGVVMLELLTGRQSYDKTRARGEQFLVRWAIPQLHDIDALSKMVDPSLKGDYPAKSLSNFADIISRCVQSEPEFRPAMSEVVLYLINMIRKESQQSE >Vigun03g324700.2.v1.2 pep primary_assembly:ASM411807v1:3:52064827:52065901:-1 gene:Vigun03g324700.v1.2 transcript:Vigun03g324700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYENESKKGFWNAEEDSVLTNYVKKHGTGKWNRIPKVTGLKRSGKSCRLRWMNYLTPDVKRGDFSEEEEDLVVRLHSLLGNRWSLIAGRIPGRTDNQVKNFWNTHLSKKLGVDKKKKRKACVTAKYIPRVEAGERDCKSASSSKGKLVHESWSDDLMELGSMHEGIMRDDFGCTFSFPNTVFDLCTSPYCTQSFHHSFFAL >Vigun03g324700.1.v1.2 pep primary_assembly:ASM411807v1:3:52064761:52065947:-1 gene:Vigun03g324700.v1.2 transcript:Vigun03g324700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYENESKKGFWNAEEDSVLTNYVKKHGTGKWNRIPKVTGLKRSGKSCRLRWMNYLTPDVKRGDFSEEEEDLVVRLHSLLGNRWSLIAGRIPGRTDNQVKNFWNTHLSKKLGVDKKKKRKACVTAKYIPRVEAGERDCKSASSSKGKLVHESWSDDLMELGSMHEGIMRDDFGCTFSFPNTVFDLCTSPYCTQSFHHSFFAL >Vigun02g187800.6.v1.2 pep primary_assembly:ASM411807v1:2:32621780:32625663:-1 gene:Vigun02g187800.v1.2 transcript:Vigun02g187800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGTLKTRSRYPLQEQFIQRKSSKENLDRFIPNRSAMDFDYAHYMLTEGNKGKENPVGSSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVDLIPHELSNLVQDNNRSIKPRRFIPQTSERTLDAPDLVDDYYLNLLDWGSGNVLAIALGSTVYLWDATTGSTSELVTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTTSNRQLRTLRGGHRQRVGSLAWNNHVLTTGGMDGRIINNDVRIRSHIVETYAGHEQEVCGLKWSGSGSQLASGGNDNLLYIWDRATASSNSTTQWLHRLDDHTSAVKALAWCPFQGNLLATGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAASKAAPKVRAEPFSNVNRIR >Vigun02g187800.4.v1.2 pep primary_assembly:ASM411807v1:2:32621050:32625663:-1 gene:Vigun02g187800.v1.2 transcript:Vigun02g187800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGTLKTRSRYPLQEQFIQRKSSKENLDRFIPNRSAMDFDYAHYMLTEGNKGKENPVGSSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVDLIPHELSNLVQDNNRSIKPRRFIPQTSERTLDAPDLVDDYYLNLLDWGSGNVLAIALGSTVYLWDATTGSTSELVTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTTSNRQLRTLRGGHRQRVGSLAWNNHVLTTGGMDGRIINNDVRIRSHIVETYAGHEQEVCGLKWSGSGSQLASGGNDNLLYIWDRATASSNSTTQWLHRLDDHTSAVKALAWCPFQGNLLATGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAASKAAPKVRAEPFSNVNRIR >Vigun02g187800.3.v1.2 pep primary_assembly:ASM411807v1:2:32621050:32625663:-1 gene:Vigun02g187800.v1.2 transcript:Vigun02g187800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGTLKTRSRYPLQEQFIQRKSSKENLDRFIPNRSAMDFDYAHYMLTEGNKGKENPVGSSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVDLIPHELSNLVQDNNRSIKPRRFIPQTSERTLDAPDLVDDYYLNLLDWGSGNVLAIALGSTVYLWDATTGSTSELVTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTTSNRQLRTLRGGHRQRVGSLAWNNHVLTTGGMDGRIINNDVRIRSHIVETYAGHEQEVCGLKWSGSGSQLASGGNDNLLYIWDRATASSNSTTQWLHRLDDHTSAVKALAWCPFQGNLLATGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAASKAAPKVRAEPFSNVNRIR >Vigun02g187800.2.v1.2 pep primary_assembly:ASM411807v1:2:32621840:32625624:-1 gene:Vigun02g187800.v1.2 transcript:Vigun02g187800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGTLKTRSRYPLQEQFIQRKSSKENLDRFIPNRSAMDFDYAHYMLTEGNKGKENPVGSSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVDLIPHELSNLVQDNNRSIKPRRFIPQTSERTLDAPDLVDDYYLNLLDWGSGNVLAIALGSTVYLWDATTGSTSELVTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTTSNRQLRTLRGGHRQRVGSLAWNNHVLTTGGMDGRIINNDVRIRSHIVETYAGHEQEVCGLKWSGSGSQLASGGNDNLLYIWDRATASSNSTTQWLHRLDDHTSAVKALAWCPFQGNLLATGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAASKAAPKVRAEPFSNEASVPEQGPCKRVKISGSHGKKCKQQCDGNKN >Vigun02g187800.5.v1.2 pep primary_assembly:ASM411807v1:2:32621714:32625663:-1 gene:Vigun02g187800.v1.2 transcript:Vigun02g187800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGTLKTRSRYPLQEQFIQRKSSKENLDRFIPNRSAMDFDYAHYMLTEGNKGKENPVGSSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVDLIPHELSNLVQDNNRSIKPRRFIPQTSERTLDAPDLVDDYYLNLLDWGSGNVLAIALGSTVYLWDATTGSTSELVTVEDEDGPVTSVSWAPDGRHIAVGLNNSEVQLWDTTSNRQLRTLRGGHRQRVGSLAWNNHVLTTGGMDGRIINNDVRIRSHIVETYAGHEQEVCGLKWSGSGSQLASGGNDNLLYIWDRATASSNSTTQWLHRLDDHTSAVKALAWCPFQGNLLATGGGSGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAASKAAPKVRAEPFSNVNRIR >Vigun04g194800.2.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.8.v1.2 pep primary_assembly:ASM411807v1:4:41954715:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.9.v1.2 pep primary_assembly:ASM411807v1:4:41954670:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRYCKFQLQLLKRNLICSTHCRMDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.5.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.10.v1.2 pep primary_assembly:ASM411807v1:4:41954669:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.6.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.1.v1.2 pep primary_assembly:ASM411807v1:4:41955971:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELYVCPQGHGQRVGRNFDRKKKELQLLKRNLICSTHCRMDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.4.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.7.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun04g194800.3.v1.2 pep primary_assembly:ASM411807v1:4:41954647:41958410:1 gene:Vigun04g194800.v1.2 transcript:Vigun04g194800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNDFTFCQVGAPVDLETNKLASDVADISIKEESSNATSTSQDGGFLWKGGLSKDSNPREANVGSLSFTVTNTTSSQPNQSRQSTSKSITSKDNNLSSKLPEEKNSAKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVRKHKTEGDMWTVLKGRVYNISPYMKFHPGGVDMLIKAVGKDCTSLFNKYHAWVNAEFLLEKCVVGTLDESQ >Vigun02g131600.1.v1.2 pep primary_assembly:ASM411807v1:2:28256024:28259689:1 gene:Vigun02g131600.v1.2 transcript:Vigun02g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKERIARSEDPHAVDPLDIYDFVRDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTVQHCSMATVIGLCLRVKLKHYFPPHYKVDIKVSPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >Vigun08g111700.1.v1.2 pep primary_assembly:ASM411807v1:8:27721807:27724373:1 gene:Vigun08g111700.v1.2 transcript:Vigun08g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAWRRAFCTTHPESTLHSKSTTHSPSPSPGTCARFNFLSSPSLHHHPPSSPLSLFKNTFKFRSNCGICSNSVKTGQGTAIYTAECGHAFHFPCVLSHARSHVCPVCHATWNDVPLHQNDAVLPTVRTPNHKQLDPLSSKSLPSYDDDEPLPSSLTCFTQILPIPEADESTHQDEEENDDVPDFPGFSVLPKPQSSLRHYAETKTVRVKLMQECAVISVSQSFETRALVLRVKAPAPSPPLRRRQAMDLVAVLDVGNGMNGAKLHVLKRVMRLVISSLGAADRLAVVASSADSERLLPLRRMTAQGQRTARRVVDQVEVVCGQGSGREEVLKKAGKVLEDRREKNPLARILHLSNGNDNCKNSNQRRCLNYVSSLGFDCVEVPVYSFGFDIKKTGLTPEPFEDDFIEYINRTLSLAVQDLRIQLGFSAPAEIRAVYSCSGGPTALSVNSTRLGDLYGEEEKELLVEVRVPTSALGNHHVLTVRCFSKDPASQKFVHSAEHPFTVVPPKSSSPCGGRVERLRNVFITNRAVAESRRMVKHNEFSSAHHLLASARALLVQFGSAEEYVRGLDAELVELQWRRQHRETVGTGRSGESEREVRLVDDGGEMLTPTSAWRAAEKLAKMARMKKSLNKVSDLHGFENARF >Vigun06g208900.1.v1.2 pep primary_assembly:ASM411807v1:6:32224620:32226341:1 gene:Vigun06g208900.v1.2 transcript:Vigun06g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKNGLKKGPWTTEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDISSILNASFYGSSQMLLGMQQSMVNPELLRLASSLFSSSQQHKHLEMCAPKGQQNQLCDPQVQNHVPHLAQFQDPVQEVPACSTTVFGTPCVSLPLSQPQLVEPHNVDPYPSSSFTDFTYQQHSHDDQIISDWHKDGVALSTLTDDYVPQLSSYNYYSTSDAQNLVYPPLSEPSTFLSNNSNQKFSFASSPSPTPLNSNSTIINGSNTEDERDQSYDSSSMLKFEIPDILDVNEFM >Vigun03g348600.1.v1.2 pep primary_assembly:ASM411807v1:3:54871230:54892702:1 gene:Vigun03g348600.v1.2 transcript:Vigun03g348600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKTKNNEDPKPENSGALVHHQKICLSIDIDKRLVHGYTELEIAVPEIGIVGLHAENLGIESVWVDGEPTEFEYYPHQQQQVEDDKRFSSVCSPSSAADAAVSVYMSSLEKELVPNLLINCCKPSKTESEQQQEQLVPENGFHSTAEPKQNVRIVRIDYWIEKAETGIHFRNNLLHTDNQIRRARCWFPCIDDNSQRCCYDLEFTVAHNLVAVSTGFLLYQVLSKDNPPRKTYVYKLDVPVAARWISLAVAPFEILPDHQFSLISHMCLMPNLSKMRNTVEFFHTAFSCYKDYLAVDFPFDSYTQVFIEPETAVSALSLGASMSIFSSQVMFDEKVIDQTIDTRVKLAYALARQWFGVYITPETPNDEWLLDGLAGFLTDFYIKKHLGNNEARYRRYKANCAVCKVDNGGATALSCSASCKDLYGTQCIGLYGKIRSWKSVAVLQMLEKQMGPESFRRILQTIVSRAQDKTRSMKTLSTKEFRHFANKVGNLERPFLKDFFPRWVGSCGCPVLRMGFSYNKRKNMVELAVLRGCTALQTSTTSTLDINPETENRDGDTGWPGMMSIRVYELDGMYDHPILPMAGEAWQLLEIQCHSKLAARRFQKPKKGLKHDGSDDNGDVPSMDVRSNTESPLLWIRADPDMEYLAEVHFNQPVQMWINQLEKDKDVIAQAQAIAALEASPQLSFSIVNALNNFLSDSKAFWRVRIEAAFALANSASEETDFSGLLHLVKFYKSRRFDPDIGLPKPNDFHDFAEYFVLEAIPHAVAMVRAADKKSPREAIEFVLQLLKYNDNNGNPYSDVFWLSALVQSVGELEFGQQSILLLSSLLKRIDRLLQFDSLMPRYNGILTISCIRTLTQIALKLSEFIPLERVYELVKPFRDLKTLWQVRIEASRALLDLEFHCKGMDSALLLFIKYLEEEHSLRGQLKLATHVMRLCQMRDGLNSDEEITSQTLVSMLNLLEGRTAFNNVFLRHYLFCILQILARRPPTLHGIPRENRTLHMSLTEACNYQKNMFVLDSDSKPLDLPSSTQNPTPNLGLDGLRDALYEASKDPPDEAPTQVHIEALKEAPLEKAEEVYTEFPQEAPMEAPNEVSKEADTVSNSHERKRLIKIKVKQSSATSRADTDNQVVERSLGGRNEMDHGASSSVSVDAPQRNFAETLSMSNHNIDEVNSWHDRGSRMTASIGSAKFLSDGDELVKELQCTADSSIVYSQPQPEDPSSSSIIQDNNVDADARRYASLQTLSVARFDPDGESLGKEIPARGKEKHKSKEKKRKRESSKGHHDDPEYLERKRLKKEKKRREKEMAKLQSDEAKRSSVELSSKKEEPVVDVTRQIKSVEPSGGYNSKLETKKIDSKPDPSEGTSGAPKIRIKIKNRMLNKS >Vigun07g040500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3967728:3976592:-1 gene:Vigun07g040500.v1.2 transcript:Vigun07g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDASTFETLIPSRFISFTIPHPSCSNTALRVAVLDSPLQPNDVPRVGAMLVPEGREIDWIFSTELGHLQLLLSSPEISRLILIGNNFKEGTLPFTPHVYHRPLECSLHQQGFEVWSKPLLLALSPKSLFKMGIPEIPILSYVDNLVCSVVVHQCVGIHVGEMLVEDVEIENGGGVLRHGREFRRRLRFKRMPNLIQTEICIVPVKGGDCLDGVCIGGNVGFVPDLKVLVHPYLGPMVAGLVLNSEYVEQRIQNGFKPKALCLGVGGGALATFLRTQLGFEVMAVDNDREVFRVAREYFGFEESKFIHVVVGDAIESLKKLVCQGKLQGDCELNGFNGHLVEDEVNGKFDVVMVDLDSSDIKNGVSSPPLEFVRKDVLLAAKLVLCEFGILAINVIPPSRYFYDNLVSHIKEVFHELYKIDVGNGENFVLIATASPLVFSAGDCVNSFLMRLKSVIPEAYLKSITKM >Vigun05g082100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7722782:7724986:1 gene:Vigun05g082100.v1.2 transcript:Vigun05g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGPEKITLAAPSPSFRLRCKSLNSLRLRRIFDMFDKNGDCMITVSEISQALSLLGLDADMQELETMTQSYIRPGNEGLTYDDFVSLHESLGDTYFGFVQSEEEEQQESDLWEAFKVFDENGDGYISAKELQVVLGKLGLVEGNMIDNVHRMIGSVDTNHDGRVDFYEFKEMMRATILPTS >Vigun03g449500.4.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074642:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEASMYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.5.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074641:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEASMYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.6.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074641:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.8.v1.2 pep primary_assembly:ASM411807v1:3:65069533:65074641:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.7.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074641:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.3.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074665:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEASMYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun03g449500.2.v1.2 pep primary_assembly:ASM411807v1:3:65065145:65074641:1 gene:Vigun03g449500.v1.2 transcript:Vigun03g449500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLEASMYEGLEQQMPSFNLPSKILCKVVNVHLRAESETDEVYAQITLLPVVDQSEVTSPDDPLTESPRVKIHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPKRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRVMRQQSNVPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEIPERRFSGTIVGVGDNKSSVWPDSDWRSLKVQWDEPSSILRPDRVSSWELEPHVSTTPANPQPTQRNKRARPLIVPSTVPDSSLQGMWKSPIESTTFSCDPQHRRGLYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRQDTGNGCRLFGIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNANRCDIPSVSCDAEKPCLSPQELQSKQIRSCTKVHMQGMAVGRAVDLTRFCGYEDLLRKLEEMFDIKAELCGSIQKWQVVYTDDEDDMMMVGDDPWDEFCSVVRKIFIYTAEVVKKLSPKIGLSMNEKLKANKQDWEEIVNPEEQSSNVGPSC >Vigun06g137350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26294110:26295747:-1 gene:Vigun06g137350.v1.2 transcript:Vigun06g137350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKPCLGYLSFAVLLLAVLTLSVAEAADSAIHDTFLKCFAENTKIASAELTKIVFAQNNPSFATVLYNLARNKRYRTPLTSKPVLIVTPLKESHIQGAVLCAKTANVHIRTRSGGHDYEGVSYASKESFILLDMFNLREVTVDVKNEVAVVQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGAGGHISGAGYGSMVRKYGLTIDNLVDAKIVDAKGNLLDKKGMGEDLFWAIRGGGGGSFGVIVSFTIKLVPVPEKVTVFRVEKTLETKVSAADLLVQWQKVAPTTDNRLFMRVLLQLANSEVQKGKLTGQASIVALFLGGADEVVSLMGKEFPLLGLTKENCSEVSWIQSVLWWNNGKSLENGDKPEILLNRNLNASSFMKRKSDYVEKAISKEGLETIFKTMIELKSIGLVFNPYGGKMDEISPDATPFPRRKGTLFKIQYSVSWDDPSPTAAQEFTGAAKQLHSVMTPYVSSNPRGAYLNYRDFDIGINNFGQNSFEEGKSYGTKFFGKNFDRLVNIKTKVDPDNFFRNEQSIPVRPSGE >Vigun04g086300.1.v1.2 pep primary_assembly:ASM411807v1:4:14596620:14599998:1 gene:Vigun04g086300.v1.2 transcript:Vigun04g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVKIGINGFGRIGRLVARVAMQRDDVELVAVNDPFISIDYMTYMFKYDTVHGQFKNCDVKSKDDKTLLFGSSAVSVYGFRNPEEIPWGQAGADYVVESTGVFTDKDKASAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKSDITVVSNASCTTNCLAPLAKVINEKFGIVEGLMSTVHSITATQKTVDGPSMKDWRGGRAASCNIIPSSTGAAKAVAKVLPALNNKLTGMAFRVPTVDVSVVDLTVRLEKGASYDEIKAAVKEASEGSMKGILGYTEDDVVSTDFIGDSRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSTRVVDLIRHMASV >Vigun03g277500.5.v1.2 pep primary_assembly:ASM411807v1:3:45457453:45465782:-1 gene:Vigun03g277500.v1.2 transcript:Vigun03g277500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CpABA1 MLVKGAVVEAPPSVSPSSQGGSGAASKKQLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDSEVAEEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQEILARAVGEDIIMNASNVVNFVDDGNKVTVELENGQKYEGDILVGADGIWSKVRKQLFGHKEAVYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGVDGPNGKKERLLKIFEGWCDNAVDLILATEEDAILRRDIYDRIPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDNAWEQSVKSGSPIDIDSSLRSYERERKLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFRIPHPGRVGGRFFVDIMMPSMLSWVLGGNSYKSVPMDT >Vigun03g277500.3.v1.2 pep primary_assembly:ASM411807v1:3:45454419:45465782:-1 gene:Vigun03g277500.v1.2 transcript:Vigun03g277500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CpABA1 MLVKGAVVEAPPSVSPSSQGGSGAASKKQLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDSEVAEEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQEILARAVGEDIIMNASNVVNFVDDGNKVTVELENGQKYEGDILVGADGIWSKVRKQLFGHKEAVYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGVDGPNGKKERLLKIFEGWCDNAVDLILATEEDAILRRDIYDRIPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDNAWEQSVKSGSPIDIDSSLRSYERERKLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFRIPHPGRVGGRFFVDIMMPSMLSWVLGGNSSKLEGRPLSCRLSDKANDQLRQWFEDDEALERAINGEWILIPHGDGTSLSKPIVLSRNEMKPFIIGSAPAEDHPGTSVTIPSPQVSPRHARINYKDGAFFLIDLRSEHGTWIIDNEGKQYRVPPNYPARIRPSEAIQFGSEKVSFRVKVTRSVPRISENERPLTLQEA >Vigun03g277500.4.v1.2 pep primary_assembly:ASM411807v1:3:45457453:45465782:-1 gene:Vigun03g277500.v1.2 transcript:Vigun03g277500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CpABA1 MLVKGAVVEAPPSVSPSSQGGSGAASKKQLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDSEVAEEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQEILARAVGEDIIMNASNVVNFVDDGNKVTVELENGQKYEGDILVGADGIWSKVRKQLFGHKEAVYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGVDGPNGKKERLLKIFEGWCDNAVDLILATEEDAILRRDIYDRIPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDNAWEQSVKSGSPIDIDSSLRSYERERKLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFRIPHPGRVGGRFFVDIMMPSMLSWVLGGNSYKSVPMDT >Vigun03g277500.1.v1.2 pep primary_assembly:ASM411807v1:3:45454419:45465731:-1 gene:Vigun03g277500.v1.2 transcript:Vigun03g277500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CpABA1 MASTLCYNSLNPSTTAFSRTHFSVPLNKELPLDASLLVGYNCPLGCRTRKQRRKVMLVKGAVVEAPPSVSPSSQGGSGAASKKQLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDSEVAEEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQEILARAVGEDIIMNASNVVNFVDDGNKVTVELENGQKYEGDILVGADGIWSKVRKQLFGHKEAVYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGVDGPNGKKERLLKIFEGWCDNAVDLILATEEDAILRRDIYDRIPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDNAWEQSVKSGSPIDIDSSLRSYERERKLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFRIPHPGRVGGRFFVDIMMPSMLSWVLGGNSSKLEGRPLSCRLSDKANDQLRQWFEDDEALERAINGEWILIPHGDGTSLSKPIVLSRNEMKPFIIGSAPAEDHPGTSVTIPSPQVSPRHARINYKDGAFFLIDLRSEHGTWIIDNEGKQYRVPPNYPARIRPSEAIQFGSEKVSFRVKVTRSVPRISENERPLTLQEA >Vigun03g277500.2.v1.2 pep primary_assembly:ASM411807v1:3:45454419:45465782:-1 gene:Vigun03g277500.v1.2 transcript:Vigun03g277500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CpABA1 MLVKGAVVEAPPSVSPSSQGGSGAASKKQLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDSEVAEEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMVLQEILARAVGEDIIMNASNVVNFVDDGNKVTVELENGQKYEGDILVGADGIWSKVRKQLFGHKEAVYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGVDGPNGKKERLLKIFEGWCDNAVDLILATEEDAILRRDIYDRIPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDNAWEQSVKSGSPIDIDSSLRSYERERKLRVAIIHGMARMAALMASTYKAYLGVGLGPLEFLTKFRIPHPGRVGGRFFVDIMMPSMLSWVLGGNSSKLEGRPLSCRLSDKANDQLRQWFEDDEALERAINGEWILIPHGDGTSLSKPIVLSRNEMKPFIIGSAPAEDHPGTSVTIPSPQVSPRHARINYKDGAFFLIDLRSEHGTWIIDNEGKQYRVPPNYPARIRPSEAIQFGSEKVSFRVKVTRSVPRISENERPLTLQEA >Vigun11g155000.3.v1.2 pep primary_assembly:ASM411807v1:11:36447566:36448592:-1 gene:Vigun11g155000.v1.2 transcript:Vigun11g155000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESDTRCHSHSNITLKSYVTRTEPNMDPQWWVYFFSHNNCKGCGSGRVNRYIIVFHEYVSFHFVSATRLELSQTLFRFLRSIW >Vigun11g155000.2.v1.2 pep primary_assembly:ASM411807v1:11:36447566:36448592:-1 gene:Vigun11g155000.v1.2 transcript:Vigun11g155000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESDTRCHSHSNITLKSYVTRTEPNMDPQWWVYFFSHNNCKGCGSGRVNRYIIVFHEYVSFHFVSATRLELSQTLFRFLRSIW >Vigun07g043400.1.v1.2 pep primary_assembly:ASM411807v1:7:4358252:4363635:1 gene:Vigun07g043400.v1.2 transcript:Vigun07g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRITKELKDLQKDPPVSCSAGPVGDDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDRAKYESTARSWTQKYAMS >Vigun07g043400.2.v1.2 pep primary_assembly:ASM411807v1:7:4359303:4363635:1 gene:Vigun07g043400.v1.2 transcript:Vigun07g043400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRITKELKDLQKDPPVSCSAGPVGDDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDRAKYESTARSWTQKYAMS >Vigun06g106500.2.v1.2 pep primary_assembly:ASM411807v1:6:23577004:23579209:1 gene:Vigun06g106500.v1.2 transcript:Vigun06g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIDNSIKLMDSEVYFATKRQVGLNCFFVKRRQRHYVGNGRACNEKLEHWPSKVESVENIQTRRYRVRIRCSSNVYVSRKKVLEKVDEELAKGDDRAALALVKDLQGKPGGLRCFGAARQVPQRLYTLDELKLNGIETLSLLSPVDTTLGSIERNLQIAAILGGGAAWNAFGISPQQIFYISLGLLFLWTLDAVSFGGGIGGLVVDTIGHTFSQKYHNRVIQHEAGHFLIAYLIGILPKGYSISSLDALQKEGSLNIQAGTAFVDFEFQEEVNTGKVSATTLNRFSCIALAGVSTEYLIYGFAEGGLDDIRKLDLLLKGLGFTQKKADSQVRWSLLNTVLLLRRHEAARAKVAEALSMGKSVGTCIDIIESSIDDSDL >Vigun05g203300.1.v1.2 pep primary_assembly:ASM411807v1:5:39183664:39185809:1 gene:Vigun05g203300.v1.2 transcript:Vigun05g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNKRLEVQTEDQTPHKWCVSLGEEPFKRFFSQTNPTLHKVFGDGSLFSPLLFGKFFDPSDAFPLWEFESDVLLSHLRSSSQSTVDWCQTGEGYILKAEIPGTGKNNIQVVVDKGKVVEISGAWKQQRDSKAHDWRCGHWWEHGYVRRLEMPEDADWKNIEAYIHNDIYLEIRIPKSQQGRDLPQGKDVA >Vigun01g045500.1.v1.2 pep primary_assembly:ASM411807v1:1:6839893:6840738:-1 gene:Vigun01g045500.v1.2 transcript:Vigun01g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQIQKVEANVHIKASAEEFHDVLCNRTHHIANILPQKIKSVEIHKGEWGTEGSIISWNYLHDGKICVSKEVIEGIEKENNKTKFKVIDGDLLRHYKSFMFIGQATPKEDGSVVNWVLEYEKQNSHTPDPYTLLELVIEMTKEIGAYLTPPTITK >Vigun04g198700.1.v1.2 pep primary_assembly:ASM411807v1:4:42326997:42328224:-1 gene:Vigun04g198700.v1.2 transcript:Vigun04g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGARNLQRHCFKPLSSLFQLQSNVVPLAHTPECSNIVKVEEACQRVGLNCYVKQLNLLNGSLWSRAMSTSKGRSMRSKVERRMQKESGKTLREVRRAKKLKKKLMTEEERLVYNLKRAKKKVALLLQKLKKYELPDLPTPRHDPELLTPEQLQAFKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMASMLARLSGGLL >Vigun05g297800.1.v1.2 pep primary_assembly:ASM411807v1:5:48254235:48258317:1 gene:Vigun05g297800.v1.2 transcript:Vigun05g297800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEDIKNEAVDLERIPVDEVFRELNCTKEGLTNEEGQKRLQVFGPNKLEEKEDSKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGEPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKAKVVRDGKWSEVDASILVPGDIISVKLGDIIPADARLLEGDSLKIDQSALTGESLPVTRNPGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIIEIVVMYPIQHRKYRSGINNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFSRDADKDTVILLGARASRIENQDAIDTCIVGMLGDPKEARDGITEVHFLPFNPVEKRTAITYIDSEGNWYRVSKGAPEQIIELCNLREDVKKKALSIIGKFADRGLRSLAVSKQEVPEKTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGQNKDESVATLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFITGVVLGTYLAVMTVVFFWAAHASNFFSDKFGVRSIRDNHGELTAAVYLQVSIVSQALIFVTRSRSWSYVERPGLLLVVAFLIAQLIATVIAVYANWGFAKMQGIGWGWAGVIWLYSIVFYIPMDILKFIIRYGLTGKAWNNITDNRVAFTSKNDYGKGEREAQWAAAQRTLHGLNPPETDEMLNERNNYRELSELAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Vigun08g152450.1.v1.2 pep primary_assembly:ASM411807v1:8:32488506:32488731:-1 gene:Vigun08g152450.v1.2 transcript:Vigun08g152450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWALLGGHKSKGFYLHFLLLFHNYSTTQYVHICVVDAVEMTFFALKGSLRL >Vigun06g007610.1.v1.2 pep primary_assembly:ASM411807v1:6:3786941:3787599:-1 gene:Vigun06g007610.v1.2 transcript:Vigun06g007610.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMESTSYVERPSFRPLSSLIHQSNALGSSHGWREKPKCSCVVVVRRLDAFPSLPGIVPHRKKDHFRTILPKANTCPVQRERAAQKNVSCYTESPAGHRGPSGKAMIRRGTDHSFFHWGQVHERQLQSHTSSPTYRLGTSCRGCSTPPRSHELNFVAFPRAKRMGAVLWVSFGAEGEERPQE >Vigun01g197400.1.v1.2 pep primary_assembly:ASM411807v1:1:37406336:37408869:1 gene:Vigun01g197400.v1.2 transcript:Vigun01g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSFSFKPTRLLVFSFTIFSSILFLSTFTTWLTNYTPSIHQQIHLHFNTSSSISTSTTNTSSSSVSSSSIGDGDGDGDSVALPPLFVHSLTENFTVSGVKISTLIDNRLSRTQESLSGSKSEPVRHHVGASNVNFTAMQEYGTSPERAPSNEQKKIVTGGLDGNTQVPVLKKIEQKGVEGCDLAKGYWVFDESYALYSKDSCPFIDEGFDCVGNGRLDRDYSKWRWQPKDCDIPRFNATKMLELIRGKRLVFVGDSINRNQWESMLCMLLGGIKDPSRVHETHGRKITKEKGNYSFRFLDYQCTVEYYVSHFLVHESKARVGQKRRPTLRIDAIDHGSSRWKGADVLVFNTAHWWSHYKTKAGIYFYQEGNLVHPQLNVSTAFRKALTTWSSWVDRHINHRKTRVFFRSSAPSHFSGGDWNSGGHCTEATLPLNETLLSTTYPEKNIIVEQIIEQMKTPVTLLNVTSLSAYRIDGHPSIYGRKTRSSRIQDCSHWCLPGVPDTWNELLYFHLQSR >Vigun04g045200.1.v1.2 pep primary_assembly:ASM411807v1:4:3915656:3923209:-1 gene:Vigun04g045200.v1.2 transcript:Vigun04g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMHCAPQEIGNDSPKVSEARKDSVSTIYQQQHKFTSLEGSQESSLSRINSDSRCAIISFNFEPDGTWRIVALPGQCLNYINLAAGVNMDGVQLLVSPPLNRLKIDQCKGPRVPRPSYAYSTKSCTRKSFTTSNVHRRCQNKIASRASKLRLSELPNNSSSQTSLVSSPGLFPDSSAEVNSSDKYASNSKEADKTLKKNSKKHAKKKFKRSKKKPSDRSTELEVLPEEFVCPSLTSETCSSNVGDKEVVEEFLTSDDRLIKSDCDRNEMNDNVIVMEAPNNSNSYLDQEAMSKDSAPIIPSSAGECATFECKNQLKGRGPEAVVIDREIKNIQHVQPCSFNDIQDSLVLDSVSVGSRSHGGINTNDIAKQSNKSNCTTTSDSGDGYFFCQNLLNGIYNNSEHTEGIMHTGQNCISNDKRVKQKRTMSNSSGLNKFGGVGISHSRKGKENSHSVWQKVQKNSSDECGGDLKKVNTTFSQIASIVEKDPSVIKECNSVGVNCVSKTEDKKQTKIKNGKKSKVKLDSVSKRGQSNYSRKSLHLNRSLSNDHGKVGAEQNDMLHISTQEIDQHGLNNDSGFNSDVHCLMDGVQTNGVEQVTSEKIHTAEFHLEELDPQNSACHTVANTNKESIDSEDSLLVMPGEIVNQSNMSVELSPASCNLEGDEVGQTEKEVSSADYNAQNQCSGTTLWKWIPVGKKDTGFEKSESNISPPDYSDASSRTNFNHESSVEPEVASFESKDSLLNASRTSNGEIYNNVSCLGEGENQKLGGQVAFTLTRHRGKQEVSDHMFNESKKQEMLEKYSFRISQAVNDACRAQLACEVVHMATGGPVAEFERLLHFGSPVICRSVDSVSCSTCSRNHAGGVSLCRHEIPNLSLGCLWQWYEKHGSYGLEISALDHENPKRQEGVVDFPFRAYFVPSLSAVQLFKNHENQCVNSSDKLSNCEVSDACQMIDISENSSSASQHSIFSVLFPRPRNQDASIETPNETDSITNRSIPSINSMCSVDLELLFEYFEFEQPQQRQPLYEKIQELVRGNMPIHSSTYGDPTKLDSIKLRDLHPCSWFSVAWYPIYRIPDGNFRASFLTYHSLGHLVRRRTASDLSPSASSIVCPPVGLQSYNVQGECWFKLKHSAEAPQMMGLDPSLVLRERLKTLEETASLMARAVVNKGKLSCTNRHPDYEFFLSRRRY >Vigun11g001300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:179454:184120:1 gene:Vigun11g001300.v1.2 transcript:Vigun11g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVKDATKSSSVLQQQQKIIVSWDEMIEKGLGNFGWTQFLQCILVSVAMFFDSQQLFIAIYTDDYPTWHCTNHTTTCTSNSGICKLPKTSWAWDGPSSKTIISQFGLECATSFITGLPQSSFFLGCLLGSFLLATLADTSLGRKNMIILSCLSMSVISLIIIFSTNVWIYSALKFLIGFCRSSISTCCLVLLMEKVSTEWRFTVGIIEFVFFTSGYMSLPGVAYANRNSPWKYLYVWISLPALSYSLIAYLFVTESPRWLLMQGRVKEAMEMLKGVHDNAAPEENGVSTTDTYNYLKRVDVSTLNTESCEEKSDLERSEEKKKKRVSFSKPYSSVAVLLRTSWGPKRMVGVMGLGLGIGMVYFGMPLAVGNLNYNIYLAVVLNALMEIPSCVVTYFLGKCGRKLSIFVFSVGSGICCIVCVVIRSEGVKVWVAVASFFCACTAFNVFLIYMVELFPTCVRNTTASLARQAVVFGCVFSPFLIAAGRKNNLFSYGVFGVLIISSTLTLLSLPETRGMPLSDTMDQQEMKEGNFPLSC >Vigun03g027800.3.v1.2 pep primary_assembly:ASM411807v1:3:2081463:2084039:-1 gene:Vigun03g027800.v1.2 transcript:Vigun03g027800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPVLLESHVEGKNVQVVETNELVLDGGFQVPNNESKDAFNAPEINSFGQSFRDYEAESERQKGVEEFYRLQHINQTHDFVKRMREEYGKLDKAEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKILVLPSFGELPQWAVVGDTFPVGCAFDESNIHHKYFKDNPDYNSPAYNTKNGIYTEGCGLDNVAMSWGHDDYMYMVGLCKVYVNMFLAYSASFEV >Vigun03g027800.1.v1.2 pep primary_assembly:ASM411807v1:3:2081463:2084039:-1 gene:Vigun03g027800.v1.2 transcript:Vigun03g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPVLLESHVEGKNVQVVETNELVLDGGFQVPNNESKDAFNAPEINSFGQSFRDYEAESERQKGVEEFYRLQHINQTHDFVKRMREEYGKLDKAEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKILVLPSFGELPQWAVVGDTFPVGCAFDESNIHHKYFKDNPDYNSPAYNTKNGIYTEGCGLDNVAMSWGHDDYMYMVAKENGSTLPSAGLFIIRYHSFYPLHKEGAYTHLMNEEDFENLKWLKIFNKYDLYSKSKVLVDVEKVKPYYISLIEKYFPKKLKW >Vigun08g126800.2.v1.2 pep primary_assembly:ASM411807v1:8:29680636:29705146:-1 gene:Vigun08g126800.v1.2 transcript:Vigun08g126800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGHHTVNIGNSNNNPNDDGDDSNAFHPPSSSADGDDNNNHREDDDEELVDPDDPFDITQTKNASHDTLRRWRQAALVLNASRRFRYTLDLKKEEEKEQKKHLIRAHAQVIRAALLFRLAGERELVISSAVSPPTPAGDYDIGLEQLVSMSKDQNISAFQQYGGIRGLSNLIKSNPDKGISGDDADLSKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLVLGIKTEGLAEGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNSEKQNIQLEVIRGGRTIKMSIFDIVVGDVVPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGVMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGVVGLSVAVLVLAVLLGRYFSGHTKDVDGQVEFVAGKTSASNAVDGVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGSTKANPPDDSSKLHTKALSLINEGIAQNTTGNVFVPKDGGETEVTGSPTEKAILSWALKLGMNFDVTRSNSKVLHVFPFNSEKKRGGVALKLGGSEVHIHWKGAAEIVLGACTQYLDSDGQLQSIEEKKVFFKQSIDDMAARSLRCVAIAYRSYELDKVPSSEQDLDQWSLPEHELVLLAIVGIKDPCRPGVKDAVRLCSDAGVKVRMVTGDNLQTAKAIALECGILASSEEAVEPTIIEGKKFRELSEKEREDYAKKITVMGRSSPTDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAITSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMRRSPVGRREPLITNIMWRNLIVQAAYQITVLLVLNFHGESILPKQDTRADSFNVKNTLIFNAFVLCQLFNEFNARKPEEMNVFLGVTKNKLFMGIVGVTFILQIIIIEFLGKFTTTVRLDWKLWLASLVIGFVSWPLAIAGKFIPVPKTPLARYLKKPLMKLRRSRSRAAQ >Vigun08g126800.3.v1.2 pep primary_assembly:ASM411807v1:8:29680729:29705137:-1 gene:Vigun08g126800.v1.2 transcript:Vigun08g126800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGHHTVNIGNSNNNPNDDGDDSNAFHPPSSSADGDDNNNHREDDDEELVDPDDPFDITQTKNASHDTLRRWRQAALVLNASRRFRYTLDLKKEEEKEQKKHLIRAHAQVIRAALLFRLAGERELVISSAVSPPTPAGDYDIGLEQLVSMSKDQNISAFQQYGGIRGLSNLIKSNPDKGISGDDADLSKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLVLGIKTEGLAEGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNSEKQNIQLEVIRGGRTIKMSIFDIVVGDVVPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGVMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGVVGLSVAVLVLAVLLGRYFSGHTKDVDGQVEFVAGKTSASNAVDGVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGSTKANPPDDSSKLHTKALSLINEGIAQNTTGNVFVPKDGGETEVTGSPTEKAILSWALKLGMNFDVTRSNSKVLHVFPFNSEKKRGGVALKLGGSEVHIHWKGAAEIVLGACTQYLDSDGQLQSIEEKKVFFKQSIDDMAARSLRCVAIAYRSYELDKVPSSEQDLDQWSLPEHELVLLAIVGIKDPCRPGVKDAVRLCSDAGVKVRMVTGDNLQTAKAIALECGILASSEEAVEPTIIEGKKFRELSEKEREDYAKKITVMGRSSPTDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAITSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMRRSPVGRREPLITNIMWRNLIVQAAYQITVLLVLNFHGESILPKQDTRADSFNVKNTLIFNAFVLCQLFNEFNARKPEEMNVFLGVTKNKLFMGIVGVTFILQIIIIEFLGKFTTTVRLDWKLWLASLVIGFVSWPLAIAGKFIPVPKTPLARYLKKPLMKLRRSRSRAAQ >Vigun08g126800.1.v1.2 pep primary_assembly:ASM411807v1:8:29680625:29705195:-1 gene:Vigun08g126800.v1.2 transcript:Vigun08g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGHHTVNIGNSNNNPNDDGDDSNAFHPPSSSADGDDNNNHREDDDEELVDPDDPFDITQTKNASHDTLRRWRQAALVLNASRRFRYTLDLKKEEEKEQKKHLIRAHAQVIRAALLFRLAGERELVISSAVSPPTPAGDYDIGLEQLVSMSKDQNISAFQQYGGIRGLSNLIKSNPDKGISGDDADLSKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLVLGIKTEGLAEGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNSEKQNIQLEVIRGGRTIKMSIFDIVVGDVVPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKSPFLMSGCKVADGVGVMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGVVGLSVAVLVLAVLLGRYFSGHTKDVDGQVEFVAGKTSASNAVDGVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGSTKANPPDDSSKLHTKALSLINEGIAQNTTGNVFVPKDGGETEVTGSPTEKAILSWALKLGMNFDVTRSNSKVLHVFPFNSEKKRGGVALKLGGSEVHIHWKGAAEIVLGACTQYLDSDGQLQSIEEKKVFFKQSIDDMAARSLRCVAIAYRSYELDKVPSSEQDLDQWSLPEHELVLLAIVGIKDPCRPGVKDAVRLCSDAGVKVRMVTGDNLQTAKAIALECGILASSEEAVEPTIIEGKKFRELSEKEREDYAKKITVMGRSSPTDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAITSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMRRSPVGRREPLITNIMWRNLIVQAAYQITVLLVLNFHGESILPKQDTRADSFNVKNTLIFNAFVLCQLFNEFNARKPEEMNVFLGVTKNKLFMGIVGVTFILQIIIIEFLGKFTTTVRLDWKLWLASLVIGFVSWPLAIAGKFIPVPKTPLARYLKKPLMKLRRSRSRAAQ >Vigun06g054200.1.v1.2 pep primary_assembly:ASM411807v1:6:18051135:18056102:1 gene:Vigun06g054200.v1.2 transcript:Vigun06g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQMSSLTVFIFFFFFFFFSSLEKSFAFEATAESNNLHLLHLNSLLPSSSCSSSTKGSKRKGSLEVVHKYGPCSQQNDGERKVTDSDILNLDKERVKYIHSRISKELGGDDSVKELDSATLPAKSGTLIGSGNYFVVVGLGTPKKDLSLIFDTGSDLTWTQCQPCARSCYSQQDPIFDPSKSSTYANITCTSTLCTQLSSATGNDPGCSSSTKACIYGIQYGDQSFSVGYFSRERLTVTATDVINNFLFGCGQNNQGLFGGSAGLIGLGRHPISFVQQTASKYNKIFSYCLPSTASSTGHLTFGGGGGAYRNLVYTPFSTLSRSSSFYGLDIVSITVDGVKLSLSPSLFSSGGAIIDSGTVITRLPPTAYATLRSAFRKGMSKYPTAPELSILDTCYDLSAYKDKDIFIPPINFVFGGSATVKLQPQGVLYVASTKQVCLAFAPNGDDSDVTIFGNVQQRTLEVVYDLGSGKIGFGAGGCQ >Vigun03g024300.1.v1.2 pep primary_assembly:ASM411807v1:3:1818039:1821424:-1 gene:Vigun03g024300.v1.2 transcript:Vigun03g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVESIAVPDHDEDDPSSTILDLTSYQLHDLDSVELPPTLTELDLTANRLSTLDPRIANLSHLKKLSLRQNLVTDAAVLPLSSWSALASLEELVLRDNQLRNIPDVSIFQKLLVFDVSFNEISSLHGCAKVSDMLKELYVSKNEVAKIEEIDHFHQLQILELGSNKLRVMENLQSLVNLQELWLGRNRIKVVNLCGLNCIKKISLQSNRLTSMTGFEGCVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVDDITNLTQLEDLWLNDNQIESLEGVAEAVVGSREKLTTIYLEHNPCAKSPNYSAFLRETFPNVQQIDSYVFS >Vigun09g042700.1.v1.2 pep primary_assembly:ASM411807v1:9:3971377:3977863:1 gene:Vigun09g042700.v1.2 transcript:Vigun09g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSADHGGDLGCSRQEINGFANDHAEMATPCTASSTLGYIVDAVPANEREKLRRILVASAKGFSIGAGIKGGLAIFAIIARLTRKKPPRKGIVVTNSDAIVAALKETLRYGLFLGTFAGTFVSTDEVIGALAGHRRTAKWRALVAGAVAGSSMLLTGLEDQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWKHGDIFLMCLSSSQILSAYILKQDSLPASYKSFLNKHGGKDPVILQGVKDIASGKPFTNLGAIEKYYKTMGVNVKLKPDMKVPCSIVHGNQSCTGHIFTFLLQAYQRALPVYLPVYLIPALIVHRKGLLERPSTILTKGLLGTARSSLFLSVYCASAWMWTCFLFRIFKRCNIPMVAMGTFPTGIALAIEKKSRRMEISLYCLARAIESFFTCVADAGYLPQSRRIKRADVVVFSLSTAIIMHCYAEEREVFKSKYLNVLDWVFGVPPPPCETPRCKDRTPYTSLILERREYIDYLCFVGGFKWILVIQKVLVVGNVLFWLLTWYWLLKVSHILY >Vigun09g042700.2.v1.2 pep primary_assembly:ASM411807v1:9:3971177:3978069:1 gene:Vigun09g042700.v1.2 transcript:Vigun09g042700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSADHGGDLGCSRQEINGFANDHAEMATPCTASSTLGYIVDAVPANEREKLRRILVASAKGFSIGAGIKGGLAIFAIIARLTRKKPPRKGIVVTNSDAIVAALKETLRYGLFLGTFAGTFVSTDEVIGALAGHRRTAKWRALVAGAVAGSSMLLTGLEDQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWKHGDIFLMCLSSSQILSAYILKQDSLPASYKSFLNKHGGKDPVILQGVKDIASGKPFTNLGAIEKYYKTMGVNVKLKPDMKVPCSIVHGNQSCTGHIFTFLLQAYQRALPVYLPVYLIPALIVHRKGLLERPSTILTKGLLGTARSSLFLSVYCASAWMWTCFLFRIFKRCNIPMVAMGTFPTGIALAIEKKSRRMEISLYCLARAIESFFTCVADAGYLPQSRRIKRADVVVFSLSTAIIMHCYAEEREVFKSKYLNVLDWVFGVPPPPCETPRCKDR >Vigun06g086800.1.v1.2 pep primary_assembly:ASM411807v1:6:21881597:21882301:-1 gene:Vigun06g086800.v1.2 transcript:Vigun06g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKVKLAFIENDSARKTTYKKRKKGMLKKIEELSTLCGIDACAIVYGPDDHEPEVWPSHCEVQRVVGRFRNMPASFIGQRIQKGNQQIVKLMKDNAEKELTLFMFQCLAEGRVLPDENNMTLADLNLLSSVIEQNLKDIGKRLQTLNVDQIQPNQQPQMQTSVFQSQLKTTSYQPHLQMALMSSGHGLDMDVNPMQRLLFMNLVNGNIDETIMPPFGDPNL >Vigun04g021400.1.v1.2 pep primary_assembly:ASM411807v1:4:1627426:1631035:-1 gene:Vigun04g021400.v1.2 transcript:Vigun04g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARDRTEDFKDAVRHTAHSLGYDEAKVASIMASFIIHKPPQRSPFSKAALKTLESIGELDQFLSKHRKDYTDLHRTTEKERDSIEHEVSTFIKTCQEQIDILKNSINQEEETSKGWLGIATTKSNADMIAHKHGVVLILSERLHTVTAQFDQLRAVRFQDAINKAIPRRKLNRVTRKDSAETSKPDTMELREPEELRAEPLRVQQQLLDDETRALQVELTNLLDTVQETETKMVEMSALNHLMSTHVLQQSQQIEHLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Vigun04g092300.1.v1.2 pep primary_assembly:ASM411807v1:4:17751021:17754251:1 gene:Vigun04g092300.v1.2 transcript:Vigun04g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLVLINGEGMLAYKTLSGTKSFKKSVHLTLQFVALILSLIGIWAAWKFHVDKGIDNFYSLHSWLGLACFFLFFIQWACGFATFWYPGGSRNRRATLLPWHVFFGMYIYALAIATTATGILEKATFLQVNNIISRYSNESLLINSLGILIVILGGFVILGLVTPVYGKADVIRGNE >Vigun04g092300.2.v1.2 pep primary_assembly:ASM411807v1:4:17751021:17754251:1 gene:Vigun04g092300.v1.2 transcript:Vigun04g092300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLVLINGEGMLAYKTLSGTKSFKKSVHLTLQFVALILSLIGIWAAWKFHVDKGIDNFYSLHSWLGLACFFLFFIQWACGFATFWYPGGSRNRRATLLPWHVFFGMYIYALAIATTATGILEKATFLQVNNIISRYSNESLLINSLGILIVILGGFVILGLVTPVYGKADVIRGNE >Vigun11g018050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2261468:2262406:-1 gene:Vigun11g018050.v1.2 transcript:Vigun11g018050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMVTGALVSIFLERTVDILASRLADIFHARKHKKQLGDLKRKLLAIDVVAFDAEQKQFTDPRVSDWLLRAKNVVFDVEDLLDEIDYELSKSQKESESQNATKKVWNSLNSSFLSFFENEIEPIMEQVIEDLEDLASQSNFLGLKKACDVGVGSGWSSKLTHTSLPNESIMYGRDEDKQFVFDWLTSDTRNYLSVLSIVGMGGLGKTSLVQHVFNDPSLEDKFDIKAWVFVSQEFDVLNVSRAILEHVTRSIDDSRDLEMVQRRLKEKLSGKKFLLVLDDNWNENQSKWEQVQKALGFGVQGTIELLNNG >Vigun08g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8291375:8293302:1 gene:Vigun08g061700.v1.2 transcript:Vigun08g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIHKFTSLCCYNKFENFKAKVAFSMAMAHKNPTSIPISDPEAQEITPTPTPSTAAPAKGYSAKVVVKKVKNNLVFHSKWGELNGAMGDLGTYIPIVLALTLARDLNLGTTLIFTGVYNIITGAIYGVPMPVQPMKSIAAQALSDTTFGVPEIMAAGILTGGVLFVLGVTGLMKLVYMLIPLCVVRGIQLAQGLSFALTAVKYVRKVQDLPKSKSLGDRHWFGLDGLVLAIVCLCFIVIVNGAGEKNRGCCEGVQSGGDDMQNEVARNKRRSRVRRVIFSLPSAFMVFVLGVVLAFVRRHEVVHEIKFGPSSIEVVKFSKHAWKKGFVKGAIPQLPLSILNSVVAVCKLSSDLFPGKDFSATSLSVTVGLMNLVGSWFGAMPSCHGAGGLAGQYKFGGRSGGCVALLGVAKLALGLVLGSSLAHILRQFPVGILGVLLLFAGIELAMCCRDMNTKEDSFVMLICTAVSLVGSSAALGFLCGMIIYVLLRLRNWSRDKPLSAIWMHKNPEQV >Vigun07g170800.3.v1.2 pep primary_assembly:ASM411807v1:7:28590493:28592834:1 gene:Vigun07g170800.v1.2 transcript:Vigun07g170800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLAKDHALNLKETELCLGLPGAGGGGGGGGGGGGSEVETPRATGKRGFSETVDLKLNLQAKEDLNDNLKNASEEKTLLKDPTKQPAKAQVVGWPPVRSYRKNMMSQKVSSEEGAEKTTSSTGSGAFVKVSMDGAPYLRKVDLTMYKSYKDLSDALAKMFSSFTMGNYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLDVC >Vigun07g170800.1.v1.2 pep primary_assembly:ASM411807v1:7:28590493:28592834:1 gene:Vigun07g170800.v1.2 transcript:Vigun07g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLAKDHALNLKETELCLGLPGAGGGGGGGGGGGGSEVETPRATGKRGFSETVDLKLNLQAKEDLNDNLKNASEEKTLLKDPTKQPAKAQVVGWPPVRSYRKNMMSQKVSSEEGAEKTTSSTGSGAFVKVSMDGAPYLRKVDLTMYKSYKDLSDALAKMFSSFTMGNYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKSRS >Vigun07g170800.2.v1.2 pep primary_assembly:ASM411807v1:7:28590493:28592834:1 gene:Vigun07g170800.v1.2 transcript:Vigun07g170800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLAKDHALNLKETELCLGLPGAGGGGGGGGGGGGSEVETPRATGKRGFSETVDLKLNLQAKEDLNDNLKNASEEKTLLKDPTKQPAKAQVVGWPPVRSYRKNMMSQKVSSEEGAEKTTSSTGSGAFVKVSMDGAPYLRKVDLTMYKSYKDLSDALAKMFSSFTMGNYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWE >Vigun01g113600.1.v1.2 pep primary_assembly:ASM411807v1:1:28853538:28857094:-1 gene:Vigun01g113600.v1.2 transcript:Vigun01g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFCTDCKKHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLTDGGLSTVIARPNGASGEFLSSSLGRWQNRGSNPDRGLIVAFKSIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGASKKDIGRAKEYVVKQLGLEKGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIRNSYKDLHPYVFKIIPNWYAKEEDLKNLCSP >Vigun11g193700.1.v1.2 pep primary_assembly:ASM411807v1:11:39277621:39280781:1 gene:Vigun11g193700.v1.2 transcript:Vigun11g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKHGFRKPFVMKKLKQPEEQPTVDPITGKKIPKSFVFSRGKIPASLKQLQMDLRKLMLPYTALSLREKKRNNLRDFLNVAGPMGVTHFFILSKTASSPYLRVATTPQGPTLTFKIQEYSLAADIARSQLHPRCPKDLFKNSALIVLSGFVRGELPLQLTTNMFQNIFPTIDVKTVKLSTCQRILLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRKLVQTHQVPDLRNLQDVSDFVTKAGYGSESEADEEAATITLSSDLGRVNRASTKSAVKLQEIGPRMTLQLVKIEKGLCSGEILFSEYGNTGGKGKGDKEMEGEEDAEGDKEGEHAEDSEDEDEELD >Vigun09g164000.1.v1.2 pep primary_assembly:ASM411807v1:9:33199574:33203354:-1 gene:Vigun09g164000.v1.2 transcript:Vigun09g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSICSFFFVFAFSLIASQIYAEESSETKEFVLTLDHSNFHDTISKHNFIVVEFYAPWCGHCKKLAPEYEKAASILSSHDPPIVLAKVDANEEKNKALATEYDVRGYPTLKIVRNGGKNVQEYKGPREADGIVDYLKRQSGPASTEIKSADEASAFIGENKVAIVGVFPKFSGEEFDNFTALAEKLRADYDFGHTLNAKHLPRGESSVAGPVVRLFKPFDELFVDSKDFHVDTLEKFVEESSIPVVTVFNNDPSNHPFVVKFFNSPNAKAMLFINFTAEGAESFKSKYREAAELYKHQGVSFLVGDVESSQGAFQYFGLKEEQVPLVIIQHNDGKKFFKPNVEAEHIPTWLKAYKEGNIAPYVKSEPIPETNNEPVKVVVGESLQDIVFKSGKNVLLEFYAPWCGHCKQLAPILDEVAVSYQNDANVIIAKLDATANDIPSDTFDVQGYPTLYFRSSSGNLVQYDGGRTKKDIIEFIEKNRDKPAQQEQGTDKPAHQEQVKDEKETGKDEL >Vigun07g121400.1.v1.2 pep primary_assembly:ASM411807v1:7:22401686:22404125:-1 gene:Vigun07g121400.v1.2 transcript:Vigun07g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRNSLPKTTKFLILIVPMLFISLVFAETPPTASVSPRTICKSTPDPSYCSSVLPPRNANVYEYGRFSVRESLSQATKFLKLVNRYLQRSSSLSTSAIHALQDCQTLAELNVDFLSSSFQTVNRKSTLLPTSRADDIQTLLSAILTNQQTCLEGLQATASAWRVSNGLFLPLSNDTKLYTVSLALFTKGWLPTNANVTAFHPPAQHRSFRNGRLPLKMSSRTRAIYESVSRRNLLQANVGDEVKVKDIVTVSKDGSGNFTTLNDAIAAAPNKSSSTSGYFLIYVTAGVYEENVSIDKKKTYLMMVGDGINKTIITGNRSVVDGWTTFKSATFAVVGAGFVGVNMTIRNTAGAEKHQAVALRNGADLSAFYSCSFEGYQDTLYAHSLRQFYRECDIYGTVDFIFGNAATVLQNCNLYPRLPMNGQFNAITAQGRTDPNQNTGICIQYCTIRAADDLAANINAAETYLGRPWKNYSRTVYMQSFMDSVIDAGGWREWDGDFALNTLYYAEFNNTGPGSTTANRVTWPGYHVINATDAANFSVSNFLLGDNWLPQTTVPFATNFV >Vigun10g182600.1.v1.2 pep primary_assembly:ASM411807v1:10:39983322:39985680:-1 gene:Vigun10g182600.v1.2 transcript:Vigun10g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKTMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKEIYKKLEDDFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun10g182600.2.v1.2 pep primary_assembly:ASM411807v1:10:39983436:39985658:-1 gene:Vigun10g182600.v1.2 transcript:Vigun10g182600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRACEITDFPMLLIRDEIRAGKSDKEIYKKLEDDFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun10g182600.3.v1.2 pep primary_assembly:ASM411807v1:10:39983471:39985435:-1 gene:Vigun10g182600.v1.2 transcript:Vigun10g182600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKTMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKEIYKKLEDDFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun08g132900.2.v1.2 pep primary_assembly:ASM411807v1:8:30392971:30398439:-1 gene:Vigun08g132900.v1.2 transcript:Vigun08g132900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKVLSDAISTFLHLSFSEKMKLEPVSKCFQKAEKMLKLLKPIVETTVFSDIASNEGLRKLFEELGLAVDELRELILNWHPLSSKFYFVNQVDPIISTIQALGLGILQQLEDSPQVLPDNLGNETSSAIKKAIMEQPEGVGPSLEVLENIADNLGLRSNQEVLIEAVALERLKEKAEQIENTSEVEYIDKMILVVNRMHEHVVMLKQAQSSIPVSVPSDFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTRQTLVHTNLIPNYTVKALIANWCETHDVKLPDPINSKSLNQPSPFLVSMESGLTKDFPVRTSGEPHQERISTLHPSSIPVGSLNGTVHEPYVDLERISHTGSDDESASSNEGSIDSVGESLTSPSRNILSSEQSHTDVRTTSDVNNTLLSTSSVHNRDASGELNSVQDAVPLPTRHRESEFSAQLPVPRSRSHTIWQRSSEWRVPRVVSTVETRADLSAAETQVLKLVEQLKIDSLDAKREATSELRLLAKHNMDNRIVISNCGAISLLVDLLQSPDSMIQENSVTALLNLSINDNNKAAIANAGAIEPLIHVLKTGSAEAKENSAATLFSLSVTEENKIRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKDRIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGKAAIGDQGGIPVLVEVIELGSVRGKENAAAALLHLCSDNHRYLNRVLQEGAVPPLVALSQSGTPRAKEKALALLNQFRSQRHGGGGRG >Vigun08g132900.1.v1.2 pep primary_assembly:ASM411807v1:8:30392970:30398439:-1 gene:Vigun08g132900.v1.2 transcript:Vigun08g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKVLSDAISTFLHLSFSEKMKLEPVSKCFQKAEKMLKLLKPIVETTVFSDIASNEGLRKLFEELGLAVDELRELILNWHPLSSKFYFVNQVDPIISTIQALGLGILQQLEDSPQVLPDNLGNETSSAIKKAIMEQPEGVGPSLEVLENIADNLGLRSNQEVLIEAVALERLKEKAEQIENTSEVEYIDKMILVVNRMHEHVVMLKQAQSSIPVSVPSDFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTRQTLVHTNLIPNYTVKALIANWCETHDVKLPDPINSKSLNQPSPFLVSMESGLTKDFPVRTSGEPHQERISTLHPSSIPVGSLNGTVHEPYVDLERISHTGSDDESASSNEGSIDSVGESLTSPSRNILSSEQSHTDVRTTSDVNNTLLSTSSVHNRDASGELNSVQDAVPLPTRHRESEFSAQLPVPRSRSHTIWQRSSEWRVPRVVSTVETRADLSAAETQVLKLVEQLKIDSLDAKREATSELRLLAKHNMDNRIVISNCGAISLLVDLLQSPDSMIQENSVTALLNLSINDNNKAAIANAGAIEPLIHVLKTGSAEAKENSAATLFSLSVTEENKIRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKDRIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGKAAIGDQGGIPVLVEVIELGSVRGKENAAAALLHLCSDNHRYLNRVLQEGAVPPLVALSQSGTPRAKEKALALLNQFRSQRHGGGGRG >Vigun07g203500.1.v1.2 pep primary_assembly:ASM411807v1:7:32522490:32527133:-1 gene:Vigun07g203500.v1.2 transcript:Vigun07g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSSRFYLVFILSICGVLASPIEDQKRDRITQLPGQPKNVGFAQYSGYVTVNEQSGRALFYWLVEAPVSRGPKSRPLVLWLNGGPGCSSIAYGASEEIGPFHIRPDGRSLYFNPYAWNKLANILFLDSPAGVGFSYSNKTTDLYTFGDQKTAEDAYAFLVNWFERFPQYKHREFYIAGESYAGHYVPQLGQIVYQKNKGIQNPVINFKGFMVGNAVTDDYHDYVGTFEYWWTHGLVSDSTYRMLKTACNFGSSQHPSVQCMQALRVATMEQGNIDPYSIYTQPCNNTASLRRGLKGRYPWMSRAYDPCTERYSDLYFNRPEVQKAFHANVTGIPYAWKACGDIVGNYWTDSPLSMLPIYQELISAGVRIWVYSGDTDAVVPVTATRYSIDALKLPTIINWYPWYDSGKVGGWSQVYKGLTMVTVRGAGHEVPLHRPRQAFILFSSFLQNKSMPTTS >Vigun07g203500.2.v1.2 pep primary_assembly:ASM411807v1:7:32522490:32527133:-1 gene:Vigun07g203500.v1.2 transcript:Vigun07g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVPLLLMVHLKKLVLFTLDLMAGRFTSTLMLGTSWQIYCSLILLLVLVFHIRIKQRICIHLVTRKQLKMHMLFLLIGLKDFLSISIENSTLLERVMQLGQIVYQKNKGIQNPVINFKGFMVGNAVTDDYHDYVGTFEYWWTHGLVSDSTYRMLKTACNFGSSQHPSVQCMQALRVATMEQGNIDPYSIYTQPCNNTASLRRGLKGRYPWMSRAYDPCTERYSDLYFNRPEVQKAFHANVTGIPYAWKACGDIVGNYWTDSPLSMLPIYQELISAGVRIWVYSGDTDAVVPVTATRYSIDALKLPTIINWYPWYDSGKVGGWSQVYKGLTMVTVRGAGHEVPLHRPRQAFILFSSFLQNKSMPTTS >Vigun11g214500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40901888:40902730:1 gene:Vigun11g214500.v1.2 transcript:Vigun11g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKVHYMFQKEKRGNKQSPNSFTIHAEKMKLEAETIGVSKRRLMGKGMGALLKEGRGRFYILRRCIIMLLCSHD >Vigun07g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28637225:28638860:1 gene:Vigun07g171200.v1.2 transcript:Vigun07g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKLLFLSFLTLFISSSIARTSYRPKALVLPVTKDDSASVPQYVTRIEQRTPLVPVKLTVDLGGGYFWVNCEKGYVSSTSKPVRCGSAQCSLFGSHSCSGEKICGRSPSNTVTGVSTSGDIHADVVATNSTDGNNPVRVVSVPKFLFICGSNVVQRGLANGVTGMAGLGRTKVSFPSQFASVFSFPRKFAICLSSSTMTDGVMFFGDGPYNFGYLNEDLSKVLTFTPLITNPVSTAPSSFMGEPSAEYFIGVKSIRISEKDVPLNKTLLSIDRNGVGGTKISTVNPYTVMETSIYKAVSEAFVKAVGVATVAPVAPFGTCFASQDIGSTRMGPAVPTINLVLQNEKVVWSIIGANSMVRVDDVICLGFVDAGSGPSTAQVGFVVGGSHPMTSITIGAHQLENNFLQFDLATSRLGFSSLFLEHTNCANFNFTSST >Vigun03g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7602452:7607255:1 gene:Vigun03g090200.v1.2 transcript:Vigun03g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEVVGPLVGEMGSIGVGEMHTTITSKIAFSKNLDDNYNILVKDTEMLHAIKKDKEMKAQRNSHKDTTNAYKLWTNRVSETTEEVQKLKLKYEEKTLPWWRIQKRSHLSEEMEKKSNCIRQLMNDECLKDFLVDKPPEPVLKELNVPQISGYPTLQGALNSILVLLKNSKIKIIGVCGTKGVGKTTIMRNLNNNEEVAKLFEIVIFVKVTSNDSNLQEKIAHRLMLEKGTNKEDSDYVARRIHRELENKKYLLILDEVEDAINLELLGIPSDNNNGSKVVIVTRFPLVYKLNRVQRVIKVAELSPDEAWKMFRDTVHAFNPKIDSPDIQPTAKLVCKRCSRLPLLIYNIANSFKLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSLYPAESKVYSDYLVECWAAQGLLGDINDKRSYQSARNCGVDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMRQVHDLRRSQDCSTILTLLLRKNPELTALPESFFENMNNLLLLDLYSSMITQLPSSLAKLTCLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGLLTKLRCLRIPFTASEDNGVQNVHAISKLHRLEELTIQVISYEEWCNHAENVLQHVASLENVTHLRCCFPSSIILGEFLSRSKSWHKKQSSFIFTVGCQNSRRPQILESFEYKITNYVRYYNGGQKDDPAIIEVLPKTDAFELVCHKDIKKLSNFAGIACLERIRGLLIKRCNQVLTIVSGETSNNAMNGIQVETTVILPNLEQLYLENLLNLKCAFRGPLHSGTFSRLQTLSLKNCPRLSQIFSNGAIQHFSELQKLKLEDCSKIEELIGEDIERERDVLPKLEILLLVNLPNLKSICATHTLAWSSLELLRIHNCPKFKTLPLDSANAVNLKSIKGPQEWWANLDWTNNEEVHQRLQPMFVASNEYFS >Vigun03g090200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7602479:7607218:1 gene:Vigun03g090200.v1.2 transcript:Vigun03g090200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEVVGPLVGEMGSIGVGEMHTTITSKIAFSKNLDDNYNILVKDTEMLHAIKKDKEMKAQRNSHKDTTNAYKLWTNRVSETTEEVQKLKLKYEEKTLPWWRIQKRSHLSEEMEKKSNCIRQLMNDECLKDFLVDKPPEPVLKELNVPQISGYPTLQGALNSILVLLKNSKIKIIGVCGTKGVGKTTIMRNLNNNEEVAKLFEIVIFVKVTSNDSNLQEKIAHRLMLEKGTNKEDSDYVARRIHRELENKKYLLILDEVEDAINLELLGIPSDNNNGSKVVIVTRFPLVYKLNRVQRVIKVAELSPDEAWKMFRDTVHAFNPKIDSPDIQPTAKLVCKRCSRLPLLIYNIANSFKLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSLYPAESKVYSDYLVECWAAQGLLGDINDKRSYQSARNCGVDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMRQVHDLRRSQDCSTILTLLLRKNPELTALPESFFENMNNLLLLDLYSSMITQLPSSLAKLTCLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGLLTKLRCLRIPFTASEDNGVQNVHAISKLHRLEELTIQVISYEEWCNHAENVLQHVASLENVTHLRCCFPSSIILGEFLSRSKSWHKKQSSFIFTVGCQNSRRPQILESFEYKITNYVRYYNGGQKDDPAIIEVLPKTDAFELVCHKDIKKLSNFAGIACLERIRGLLIKRCNQVLTIVSGETSNNAMNGIQVETTVILPNLEQLYLENLLNLKCAFRGPLHSGTFSRLQTLSLKNCPRLSQIFSNGAIQHFSELQKLKLEDCSKIEELIGEDIERERDVLPKLEILLLVNLPNLKSICATHTLAWSSLELLRIHNCPKFKTLPLDSANAVNLKSIKGPQEWWANLDWTNNEEVHQRLQPMFVASNEYFS >Vigun03g090200.3.v1.2 pep primary_assembly:ASM411807v1:3:7602479:7607218:1 gene:Vigun03g090200.v1.2 transcript:Vigun03g090200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEVVGPLVGEMGSIGVGEMHTTITSKIAFSKNLDDNYNILVKDTEMLHAIKKDKEMKAQRNSHKDTTNAYKLWTNRVSETTEEVQKLKLKYEEKTLPWWRIQKRSHLSEEMEKKSNCIRQLMNDECLKDFLVDKPPEPVLKELNVPQISGYPTLQGALNSILVLLKNSKIKIIGVCGTKGVGKTTIMRNLNNNEEVAKLFEIVIFVKVTSNDSNLQEKIAHRLMLEKGTNKEDSDYVARRIHRELENKKYLLILDEVEDAINLELLGIPSDNNNGSKVVIVTRFPLVYKLNRVQRVIKVAELSPDEAWKMFRDTVHAFNPKIDSPDIQPTAKLVCKRCSRLPLLIYNIANSFKLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSLYPAESKVYSDYLVECWAAQGLLGDINDKRSYQSARNCGVDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMRQVHDLRRSQDCSTILTLLLRKNPELTALPESFFENMNNLLLLDLYSSMITQLPSSLAKLTCLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGLLTKLRCLRIPFTASEDNGVQNVHAISKLHRLEELTIQVISYEEWCNHAENVLQHVASLENVTHLRCCFPSSIILGEFLSRSKSWHKKQSSFIFTVGCQNSRRPQILESFEYKITNYVRYYNGGQKDDPAIIELVWNAFAGF >Vigun08g051200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5929070:5930005:-1 gene:Vigun08g051200.v1.2 transcript:Vigun08g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFKEKLGQGGFGSVFKAKLCSGPYVAIKILGKSKGNGQDFINEVATIGRICHQNVVRLIGFCVRGSKRALVYEFMPNGSLDKFIFPKDGSAHLSYEKIYSISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDNSIITMTAARGTIGYMAPELFYNNIGGISHKADVYSFGMLLMEMANKRKNLNPYANHSSQLYFPLWIYNHIREEEDVYIEDMTEEEKRITKKMIITALWCIQLKPKDRPSMNKVLKMLEGDIKDLEIPPKPALFPDEMIVEDRTINSIQTISSEFI >Vigun08g108750.1.v1.2 pep primary_assembly:ASM411807v1:8:27053976:27054685:-1 gene:Vigun08g108750.v1.2 transcript:Vigun08g108750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYNTTWKCMRMIIVCSIWVHRNNIIFINVIADAEEIFSVTQIRAWVWISNKYSSTSFSYSDWFMCPTTCLKSLRI >Vigun05g122600.1.v1.2 pep primary_assembly:ASM411807v1:5:13565046:13567770:-1 gene:Vigun05g122600.v1.2 transcript:Vigun05g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQIATTTSYHSINDLPMSSTSSTVHIHKKQCVKFTFSVLHSREQRNMGAPSLQSFALPAMLFLFSLIIIPQHAEGGITRHYHFDIKYQNVSRLCHTKSMVTVNGLFPGPRIVAREGDRLLIKVVNHVQNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRSTVYGPLIILPKHGVPYPFTKPYKEVPIIFGEWWNADPEAVITQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTLKLKVKAGKTYLLRLINAALNDELFFSIANHTLTVVDVDAIYVKPFDTDTILIAPGQTTNVLLKTKSKYPNATFFMSARPYATGQGTFDNSTVAGILEYEVPPHSLHSTNSLKNLSLFKPILPALNDTSFATKFSNKLRSLASPQFPANVPQKVDKQFFFTVGLGTTPCQKNQTCQGPTNATKFAASVNNVSFTLPTTALLQAHFFGQSNGVYTPDFPTKPLFPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPKNFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPADLPKC >Vigun06g085100.1.v1.2 pep primary_assembly:ASM411807v1:6:21731843:21732201:-1 gene:Vigun06g085100.v1.2 transcript:Vigun06g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFLSATLAGFFVLRNLRSQPPTAEDDGDTVVVPSNPKISDSSDASSNATSKVSALVVSGFWTFVDMASGRYLWRHLVSNSSKRS >Vigun07g285600.2.v1.2 pep primary_assembly:ASM411807v1:7:39868751:39870690:-1 gene:Vigun07g285600.v1.2 transcript:Vigun07g285600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREAGSESSKMWTEPKHQATQLRVPVIYYLSRNGQLEHPHLMEVPISSPQGVLRLKDVIDRLSFLRGQGMTNMYSWSTKRSYKNGFVWQDLSENDLIYPSGGHEYVLKGTQMVEASLSFRSFETISTSSSKSSTEANNSSMDADSPATIKGRSQSFNSSDYKFYRAKTCEEFAGKATNASTQTEEKRRERIKWEKVEECDDARELGEHENENENENEGSFRFSSSSFGALEGSLKGYESADIRNQRVENERPSGRIKASEVLMQFIRCG >Vigun07g285600.1.v1.2 pep primary_assembly:ASM411807v1:7:39868698:39870494:-1 gene:Vigun07g285600.v1.2 transcript:Vigun07g285600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREAGSESSKMWTEPKHQATQLRVPVIYYLSRNGQLEHPHLMEVPISSPQGVLRLKDVIDRLSFLRGQGMTNMYSWSTKRSYKNGFVWQDLSENDLIYPSGGHEYVLKGTQMVEASLSFRSFETISTSSSKSSTEANNSSMDADSPATIKGRSQSFNSSDYKFYRAKTCEEFAGKATNASTQTEEKRRERIKWEKVEECDDARELGEHENENENENEGSFRFSSSSFGALEGSLKGYESADIRNQRVENERPSGRIKASEVLMQFIRCG >Vigun08g203400.1.v1.2 pep primary_assembly:ASM411807v1:8:36709642:36710665:1 gene:Vigun08g203400.v1.2 transcript:Vigun08g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREFALMVTVGAMLVVVNLQGASAQCGGNLSAIFEQCQEFYRPDGPTEPSPECCAELREQNIDQQCACDYIASRPPGIGPRNGIELNRTVFVSRACGIDVPAGLQCGDFTVPPYPAPSSAPLPEE >Vigun08g067000.1.v1.2 pep primary_assembly:ASM411807v1:8:9926965:9928902:-1 gene:Vigun08g067000.v1.2 transcript:Vigun08g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQTTLPFACTPRSVPHRMLPPKCVLSVSPPSKARRAHSLPPEKLEVFRSLEGWASQCVLPLLKPVEKSWQPESFVPDPSLPFEEFMEEVKALRDRTKELSDEYFLVLVGDMITEEALPTYQTIMNSLDGVKDECGTSPSPWAVWTRAWSAEENRHGDLLRTYLYLSGRVNMNMIEKTIHYLIAAGMDPGFENNPYLGYVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHEKAYSRIVEKLLEVDPTGTMVAIGSMMEKKITMPAHLMYDGEDPRLFEHYAAVAQRVGVYTVNDYADILEFLIGRWRLEKVEGLREEGKRAQDYVCGLAPRIRKLQERAEERARKMKPRSIKFSWIFHKELQL >Vigun11g082700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24600944:24602398:1 gene:Vigun11g082700.v1.2 transcript:Vigun11g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDDVNISVSENEFEEDDTEKQSETVEVDKRRNKASTADCWKYFSKVGEEKNKAKCNGCNKVFACGGRKYGTSHLNRHIMKCTALIYDLNVLFLCPLENG >Vigun09g160500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32800889:32801951:-1 gene:Vigun09g160500.v1.2 transcript:Vigun09g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITGNCNYTIQELESLVETKSLGLSEFLFFYNSISEQQNGEIRGGGGEAEELESDLVKTFKVFDLDGDGFITSHELESVLKRLGMWDETNCNDSTTMIRFYDTNFDGRLDFQEFKNMMLVSRA >Vigun10g038800.1.v1.2 pep primary_assembly:ASM411807v1:10:5303505:5304879:1 gene:Vigun10g038800.v1.2 transcript:Vigun10g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISYIHSSCLDMEDNSWDDITPLFGCLANLRSVLVQCDTEFQLSKQVTDILIETCSTFTESGISKKHFRSSLIGVGAYHEFLDAVSDNISQVLASSESCDISLPSDNHPYWLAYMGEGDSVSFIVPPDIDMKGMVLCVVYVSTTEIVATECLRSVLIVNYTKCTLQIHMHGTLISFEDIDWQGIRSTLGSGDKVEIFVSFGQRLVVKNTYVYLICGESNYSEKEPTPKKNYLHRFIKKIVK >Vigun09g270700.1.v1.2 pep primary_assembly:ASM411807v1:9:43319307:43321488:-1 gene:Vigun09g270700.v1.2 transcript:Vigun09g270700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPAVVASCPCPNPYPWRHHFPGAGAAAGTVTVRVTPMRIVRGMAVVGSRIRCMANPRRVQMVAKQIRRELSDMLLTDKVLQYAILPETSLGADRYLSSLTTITDVQVSGDLQVVKVFVSVFGDERGREVAIAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDDSFEKGSRVIAILDKIKNEKSSGNQNKERLDSSTNEDDDDWDGEDPEEGVIYVE >Vigun06g197700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31315831:31316980:1 gene:Vigun06g197700.v1.2 transcript:Vigun06g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKIESEKDEERVALLRDSEVPEAERNLIQKAISQTFQSTAHLANLLPTGTVLAFQLLSPIFTNLGNCDSVSKAMTAALVSLCAASCFLLCLTDSFRDNKGNICYGFATRRGLWVIDGSTTLPPQLAAKYCLKFIDIVHAVMSVLVFAAIALFDQNVVNCFFPAPSTQIQEILTALPVGIGVLGSMFFVAFPTQRHGIGFPLSTN >Vigun01g242300.1.v1.2 pep primary_assembly:ASM411807v1:1:41169087:41173576:1 gene:Vigun01g242300.v1.2 transcript:Vigun01g242300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILKEQEQKSRLRGLDAQKSNISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEKAERLLERGIHPIRIAEGYETASRIAVEHLERVANKFEFGESNLEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAKIAILTCAFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSTEKLGKAGMVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFGDALEAIPMALAENSGLQPIETLSAVKSQQIKDNNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Vigun03g328500.2.v1.2 pep primary_assembly:ASM411807v1:3:52537315:52541452:-1 gene:Vigun03g328500.v1.2 transcript:Vigun03g328500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPLNCLCLFKKQNNIPPTPSSDPNFQKFLPRQRTLLFPSLSLLFNAPISLSPLFSNTSMASSDPISSKHYTYNRKQKSLGLLCTNFLSLYNRDTVHLIGLDDAATRLGVERRRIYDIVNVLESIGVLSRKAKNQYTWKGFAAIPLTLRELKEDGLRENSSSLRGSTNDDMDKVSDDEDDEETQSNAATGSQNDKLSPKSTQPRSLKNENRREKSLALLTQNFVKLFVCSNVELISLDEAAKLLLGDAHNTSIMRTKVRRLYDIANVLSSMSLIEKTHTSDTRKPAFRWLGPEGKTWNESLHKSNQNESRKRAFGSDITNISFERNKVELFTNGDLNSNPKKLKVENDRGPGQADENDLKQGNKQTPKSYQFGPFAPACVPGVGASGNNNVKQVHDWNGLVTAHSPQYQNEALRDLFSHYMEAWKSWYSEIAAKRPLKIL >Vigun03g328500.1.v1.2 pep primary_assembly:ASM411807v1:3:52537315:52541452:-1 gene:Vigun03g328500.v1.2 transcript:Vigun03g328500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPLNCLCLFKKQNNIPPTPSSDPNFQKFLPRQRTLLFPSLSLLFNAPISLSPLFSNTSMASSDPISSKHYTYNRKQKSLGLLCTNFLSLYNRDTVHLIGLDDAATRLGVERRRIYDIVNVLESIGVLSRKAKNQYTWKGFAAIPLTLRELKEDGLRENSSSLRGSTNDDMDKVSDDEDDEETQSNAATGSQNDKLSPKSTQPRSLKNENRREKSLALLTQNFVKLFVCSNVELISLDEAAKLLLGDAHNTSIMRSKTKVRRLYDIANVLSSMSLIEKTHTSDTRKPAFRWLGPEGKTWNESLHKSNQNESRKRAFGSDITNISFERNKVELFTNGDLNSNPKKLKVENDRGPGQADENDLKQGNKQTPKSYQFGPFAPACVPGVGASGNNNVKQVHDWNGLVTAHSPQYQNEALRDLFSHYMEAWKSWYSEIAAKRPLKIL >Vigun06g174300.6.v1.2 pep primary_assembly:ASM411807v1:6:29507963:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKVPISLGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.4.v1.2 pep primary_assembly:ASM411807v1:6:29508576:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSTVMQVYLLFSMVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.1.v1.2 pep primary_assembly:ASM411807v1:6:29508576:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSTVMQVYLLFSMVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKVPISLGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.5.v1.2 pep primary_assembly:ASM411807v1:6:29507963:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKVPISLGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.9.v1.2 pep primary_assembly:ASM411807v1:6:29507963:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.7.v1.2 pep primary_assembly:ASM411807v1:6:29508022:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKVPISLGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.10.v1.2 pep primary_assembly:ASM411807v1:6:29508022:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.2.v1.2 pep primary_assembly:ASM411807v1:6:29508022:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVRFASCFYFFLFASGRQESGLRLYVVSLLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKVPISLGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.8.v1.2 pep primary_assembly:ASM411807v1:6:29507963:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g174300.3.v1.2 pep primary_assembly:ASM411807v1:6:29508022:29515711:1 gene:Vigun06g174300.v1.2 transcript:Vigun06g174300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSPGDGGKMGVMARLLASQTVADDFAYQKSAAEYISTELREADEANLLQEEDMHVYGVSPMTDGLQLVRFASCFYFFLFASGRQESGLRLYVVSLLVCCNNCKKPIKDSQFAAHTELCQSLKLSEQTRLEFDGSTGSLKPPRKEKKKLAASCANQASAVGEHRRSGSMDNIDSDLSQSHRISQIRVIPFSNKVKGHSTCVDGASMMDGTRINPGNKDHPASVMHPPTKRHKLRANTHLPVLESPGRDSGETKTLSFTDGITCKDLVEITTSEHGDPNRKILGQVLVQHPNTTKNEFPAPLATKIFYSQRTNRLRARLRHLYFQNLNEQLRTDLCPKTSPEDMVTFQDSSLRCPSSSQLDNVPEGRSSPQKSDHILAKSSEVCVLKAGGLPSNGLSNQFLLDNVSRSTATHVGLTRSNFLPTSYSFSSNTGNSLGTMQQPNGSVPVI >Vigun06g052400.3.v1.2 pep primary_assembly:ASM411807v1:6:17804728:17812021:1 gene:Vigun06g052400.v1.2 transcript:Vigun06g052400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPFYDEHSYILNEQNDLIAKVEGLAAATEHPIPPSSVLKQLAIAFEAGKRTNSMKDFVASSRGSSPAKERAGLSLSSMKALVLREKEAKLTSEFSSDEKVLYLINSLFDPEGRFLRRKINSDPEETSISSLPRDIHGAPPESLVAKLAEVIGNFKTLQEMALFWCRVVAELRKHWSKEKYLPGVPQDDIPDLKYCLLYQKFQVINCCISRKRRYIIATESLNSMMVEANLNTLEPAKYADNIPAIPLLHARLRTGELVLRLGADCPSGDLMLLETGEPAFSPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTESEGSTEDKDSSYCGESMSTKGQLSLRMQKEGNLWRELWETSKPVPAVKQAPLYDEDLAVEGILDAFEDIPPSELFEQLFVSLLGSGFAIAENMLSGDLDISKSLNDCKDYIVTACQSNRFDEKLDELIQMYEMVEKMMVNPDEAVKIVKMMEESSMSGSVPKRRMSTGEPKQRLKQLSHMFGGKDKASSKSVWKEEVTDEIKLVRHSFSSFFDNSKSSLFSKKPPKPGNLYLVESDWTVI >Vigun06g052400.2.v1.2 pep primary_assembly:ASM411807v1:6:17804728:17812018:1 gene:Vigun06g052400.v1.2 transcript:Vigun06g052400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTTSFVSKARIAIHSAAAKAERVLLDLKTDRDSDKQFHDELGRRQGDESARNEHESKFLNELKHIKWRPPHIGTKQDWQDRINNIRKGRKEVEVTDKVGNINMASAPFYDEHSYILNEQNDLIAKVEGLAAATEHPIPPSSVLKQLAIAFEAGKRTNSMKDFVASSRGSSPAKERAGLSLSSMKALVLREKEAKLTSEFSSDEKVLYLINSLFDPEGRFLRRKINSDPEETSISSLPRDIHGAPPESLVAKLAEVIGNFKTLQEMALFWCRVVAELRKHWSKEKYLPGVPQDDIPDLKYCLLYQKFQVINCCISRKRRYIIATESLNSMMVEANLNTLEPAKYADNIPAIPLLHARLRTGELVLRLGADCPSGDLMLLETGEPAFSPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTESEGSTEDKDSSYCGESMSTKGQLSLRMQKEGNLWRELWETSKPVPAVKQAPLYDEDLAVEGILDAFEDIPPSELFEQLFVSLVSSIFLSFLLSHMSYFCYVEIMKNGPTFSRTLLVIKVRQNCFL >Vigun06g052400.1.v1.2 pep primary_assembly:ASM411807v1:6:17804728:17812018:1 gene:Vigun06g052400.v1.2 transcript:Vigun06g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTTSFVSKARIAIHSAAAKAERVLLDLKTDRDSDKQFHDELGRRQGDESARNEHESKFLNELKHIKWRPPHIGTKQDWQDRINNIRKGRKEVEVTDKVGNINMASAPFYDEHSYILNEQNDLIAKVEGLAAATEHPIPPSSVLKQLAIAFEAGKRTNSMKDFVASSRGSSPAKERAGLSLSSMKALVLREKEAKLTSEFSSDEKVLYLINSLFDPEGRFLRRKINSDPEETSISSLPRDIHGAPPESLVAKLAEVIGNFKTLQEMALFWCRVVAELRKHWSKEKYLPGVPQDDIPDLKYCLLYQKFQVINCCISRKRRYIIATESLNSMMVEANLNTLEPAKYADNIPAIPLLHARLRTGELVLRLGADCPSGDLMLLETGEPAFSPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTESEGSTEDKDSSYCGESMSTKGQLSLRMQKEGNLWRELWETSKPVPAVKQAPLYDEDLAVEGILDAFEDIPPSELFEQLFVSLLGSGFAIAENMLSGDLDISKSLNDCKDYIVTACQSNRFDEKLDELIQMYEMVEKMMVNPDEAVKIVKMMEESSMSGSVPKRRMSTGEPKQRLKQLSHMFGGKDKASSKSVWKEEVTDEIKLVRHSFSSFFDNSKSSLFSKKPPKPGNLYLVESDWTVI >Vigun08g184100.1.v1.2 pep primary_assembly:ASM411807v1:8:35333519:35336757:-1 gene:Vigun08g184100.v1.2 transcript:Vigun08g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRAICALRVGVTTPKPYLLPSIFSSSFNIHTLSHTTFSRTFRFHSPSIPLSSFLPLIPPKAAASYGTGSGGAEGGRPRELLVQHLLVKEDDQKLLLDLQQKISSGEDLSDLAVEHSVCPSKEEGGMLGWIKKGQMVPEFEEAAFSAPLNKVVKCKTKFGWHLLQVLSEREESILQDIQPHELHGKIQDPNFLEEAQLIDVREPEEVAKASLQGFTVLPLRQFGSWGPEITTKFDPEKDIFVMCHHGMRSLQVAKWLLSQGFRKVYNVSGGIHAYAVQVDPSIPTY >Vigun07g282400.2.v1.2 pep primary_assembly:ASM411807v1:7:39669934:39672759:1 gene:Vigun07g282400.v1.2 transcript:Vigun07g282400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRIVAACPQSRRMFSSSVNHGYESAIQELNKEMESVFGVPPANGLASSANNLNNETELPSPNISVSSFELTHTGKSGEAQMVDVSPKESSKRKATAVCKVILGKKVFDLVVANQMGKGDVLTVAKIAGITAAKQTSNLIPLCHNINLSHVQVDLRLNHEDFSVTIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIAITDIRLKHKSGGKSGEYWWTQ >Vigun07g282400.1.v1.2 pep primary_assembly:ASM411807v1:7:39669934:39672759:1 gene:Vigun07g282400.v1.2 transcript:Vigun07g282400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRIVAACPQSRRMFSSSVNHGYESAIQELNKEMESVFGVPPANGLASSANNLNNETELPSPNISVSSFELTHTGKSGEAQMVDVSPKESSKRKATAVCKVILGKKVFDLVVANQMGKGDVLTVAKIAGITAAKQTSNLIPLCHNINLSHVQVDLRLNHEDFSVTIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIAITDIRLKHKSGGKSGEYWWTQ >Vigun11g016501.1.v1.2 pep primary_assembly:ASM411807v1:11:2078606:2087146:-1 gene:Vigun11g016501.v1.2 transcript:Vigun11g016501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLQAYEEDNLLLSLPKEKGWAARHLFLFQGFWCPSNFIEGVINFQNHFQAKDSDVIVASFPKSGTTWLKALTFSILNRHRFSSSENHPLLTSNSHELVPFLDFIFHGDNVLHG >VigunL032601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:224832:226064:-1 gene:VigunL032601.v1.2 transcript:VigunL032601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARAVRCWTAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGFHTAVNSFPGLVHTARHTMGAGHARSRYLNRKEGDAEGRASDWSEVVTRALSHMDSLMCSSAPNPEMWIIQGTLIQLSLHSWDPGDPGGPLRLLSSREFIHPLSVYGQLSLEHRFRFGLNGKKRST >Vigun09g221000.1.v1.2 pep primary_assembly:ASM411807v1:9:39472801:39475299:-1 gene:Vigun09g221000.v1.2 transcript:Vigun09g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTLKIMAWCFALLLFYVMISFVNTMWWRPRKIEKLLRKQGIRGTSYKFFTGDTLDMKDCVQKASSKTISLHHHIIPRVIPFQHKMVQQHGKISLCWFGRRPRLIIADAELARLVLTNNKGHFVKPPRNPFVDILSLGLSSLEGESWSKRRRVVTSAFHLEKLKAMVPAFSSSCCNMIERWEKLVEARGWYEVNVCSEFDILTGDVIARTTFGSSYQEGKKIFELQKEQEILVNEALNNIYISGFRFLPTTKNKRRYNLDIQIKTMLRDMIEKKEQAMKENKVKEHDLLTTLLQYKEQSDSLTIDDVIEECKLLYFAGQVTTANLLAWTMIILSMHPNWQEKARTEVLEIFGKKTLDYEDINHLKIVSMILYEVLRLYPPVAVLYKYNWCETRVGNMLIPAGVEVSLPLLLLHYDNNYWDNPEEFNPARFNEGISKASKDHIAFYPFGWGSRICPGQNFAFTEAKMALAFILQHFSFHLSPTYVHAPTTFLTLKPQYGAPIIIRRT >Vigun08g024100.1.v1.2 pep primary_assembly:ASM411807v1:8:2061370:2066170:1 gene:Vigun08g024100.v1.2 transcript:Vigun08g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLQKLLKTAPSKALVIRFNLVCLAIFLVVYATLLLQPSSSVYFENAASLVRCSLRECHHKEEKSMKTKAVLEEPELKTRMQKQNATKIEVPSFVAEMGKGLKMGMVNMHEDDVSEWSNLGETTEVYFERVSVFFNWTDLFPEWIDEEEESDVPSCPEIPMPEFAAYENMDVIIAKLPCKYPEEGWGRDVFRLQVHMIVANLVVKKGKRDWNWKTKVVLWSRCRPMMEVFRCNDLVKQENEWWYYEVDVKWLEEKVSLPIGSCNLALPLWEQGIDKVYDTSKLEQNVQSEARAKREAYATVLHSSEGYVCGAIMLAQTLLRSGTKRDLVLLLDSSISADKRRALELSGWKIRLITRIRNPRAENGTYNEYNYSKFRLWQLTEYDRVIFIDADIIVLRNLDILFNFPQMSATGNDQSIFNSGIMVLEPSNCTFDVLMSRRHDVVSYNGGDQGFLNEIFVWWHRLPRRVNFLKNFWANTTLEASVKNAMFGADPPKLYSIHYLGLKPWHCYRDYDCNWDVEDQRVYASDVAHRRWWKVHDAMDERLQRLCRLTRKRRTELNWERRKAGKLGLPDMHWKMNVTDPRRQGSLLID >Vigun08g024100.2.v1.2 pep primary_assembly:ASM411807v1:8:2061370:2066170:1 gene:Vigun08g024100.v1.2 transcript:Vigun08g024100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQGKEEKSMKTKAVLEEPELKTRMQKQNATKIEVPSFVAEMGKGLKMGMVNMHEDDVSEWSNLGETTEVYFERVSVFFNWTDLFPEWIDEEEESDVPSCPEIPMPEFAAYENMDVIIAKLPCKYPEEGWGRDVFRLQVHMIVANLVVKKGKRDWNWKTKVVLWSRCRPMMEVFRCNDLVKQENEWWYYEVDVKWLEEKVSLPIGSCNLALPLWEQGIDKVYDTSKLEQNVQSEARAKREAYATVLHSSEGYVCGAIMLAQTLLRSGTKRDLVLLLDSSISADKRRALELSGWKIRLITRIRNPRAENGTYNEYNYSKFRLWQLTEYDRVIFIDADIIVLRNLDILFNFPQMSATGNDQSIFNSGIMVLEPSNCTFDVLMSRRHDVVSYNGGDQGFLNEIFVWWHRLPRRVNFLKNFWANTTLEASVKNAMFGADPPKLYSIHYLGLKPWHCYRDYDCNWDVEDQRVYASDVAHRRWWKVHDAMDERLQRLCRLTRKRRTELNWERRKAGKLGLPDMHWKMNVTDPRRQGSLLID >Vigun08g024100.3.v1.2 pep primary_assembly:ASM411807v1:8:2061370:2066170:1 gene:Vigun08g024100.v1.2 transcript:Vigun08g024100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLQKLLKTAPSKALVIRFNLVCLAIFLVVYATLLLQPSSSVYFENAASLVRCSLRECHHKEEKSMKTKAVLEEPELKTRMQKQNATKIEVPSFVAEMGKGLKMGMVNMHEDDVSEWSNLGETTEVYFERVSVFFNWTDLFPEWIDEEEESDVPSCPEIPMPEFAAYENMDVIIAKLPCKYPEEGWGRDVFRLQVHMIVANLVVKKGKRDWNWKTKVVLWSRCRPMMEVFRCNDLVKQENEWWYYEVDVKWLEEKVSLPIGSCNLALPLWEQGIDKVYDTSKLEQNVQSEARAKREAYATVLHSSEGYVCGAIMLAQTLLRSGTKRDLVLLLDSSISADKRRALELSGWKIRLITRIRNPRAENGTYNEYNYSKFRLWQLTEYDRVIFIDADIIVLRNLDILFNFPQMSATGNDQSIFNSGIMVLEPSNCTFDVLMSRRHDVVSYNGGDQGFLNEIFVWWHRLPRRVNFLKNFWANTTLEASVKNAMFGADPPKLYSIHYLGLKPWHCYRDYDCNWDVEDQRVYASDVAHRRWWKVHDAMDERLQRLCRLTRKRRTELNWERRKAGKLGLPDMHWKMNVTDPRRQGSLLID >Vigun01g246100.1.v1.2 pep primary_assembly:ASM411807v1:1:41443163:41444980:-1 gene:Vigun01g246100.v1.2 transcript:Vigun01g246100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAVGKKRFIQPNMCFTNLTNQDKSTLSQSDNCFFSEALSEDYSPILPGLPDDVAEYCLALVPRSNFPAMGGVCKRWRSFIQSKAFTTVRKLAGMLEEWLYFLTTDCEEKESHWEVVDCLGRKCRSLPPMPGPGKAGFGVVVLNGKLLVMAGYSALEGTASASAEVHQYDSCLNSWSSLSNMNVARYDFACAEVNGLVYAVGGYGENGDSLSSAEVYDPDTDEWTLIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSKFVDIYNPERHTWCEIKNGCVMVTAHAVVGKKLFCIEWKNQRKLAIFSPEDNSWEMVPVPLTGSTSVGFRFGMLDGKLLLFPLLAEHAHQTLLYDPNAALGSEWRTCDIRPSGLCLYCVTIKA >Vigun06g087900.1.v1.2 pep primary_assembly:ASM411807v1:6:22003792:22005712:1 gene:Vigun06g087900.v1.2 transcript:Vigun06g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAPYISCLHLQPLLISLFVVICGFTIIKVFKNHIIQKPKLPPGPKPWPILGNLPEMLSNKPVFQWIHNLMQDMNTEIACIRLGNVHVIPVTCPSIANEFLRKHDAEFASRPLTMATDIISSGYVTIAIVPFGEQWKKMRRIFVNDLFSPLRHHSFQHKRNEEADNIMFYVYNRCNNVNDKGLVNVRDVTQHYCCNVTRKMIFNTRYFGKGRVDGGPGPEEVEQVNTIFTLLKHVYAFSVSDYVAWLRAFDLDGHKRKVKEGMRRMEKYHDPMIEERMKQWKNGAKSVEEDLLDVLISLKDDNNNPTLTIKEIKALTIELMLGGADNPSNAVECTLAEMVNQPHILQQAIDEVDKVVGKQRMVQESDIPNLNYLKACLREAFRLHPVVPFNPPHVSSNDTMVANYFIPKGSHVLLSRHGLGKNPKVWSEPHKFIPERHQKSDGSMLVLSEPDLKFVSFGTGRRGCPAVILGSTMTVMLLARLIHAFSWSAPPNLSSINTLKSDKGGMLVEPLVLEAKPRLASELYYN >Vigun10g170800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38974736:38976477:1 gene:Vigun10g170800.v1.2 transcript:Vigun10g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNIIQQEKSKTNQPTNLPSLLASFFLSLKLHILSSSLLCLCSVVFTMEFRSKSCRSESLQIENYNGGRVAPTGMQDLRSYSYSASYGGSAYPCKIGKEKEVKVDKGKNTANKVSKSWSFNDPELQRKKRVAGYKIYSVEGKMKGSLRKSLRWIKNTYTQAVHGWW >Vigun06g194900.3.v1.2 pep primary_assembly:ASM411807v1:6:31099847:31103735:1 gene:Vigun06g194900.v1.2 transcript:Vigun06g194900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARSYNTTSKTYKLALYFRNSSEDPLRAITLAEEMIDKQKVQVIIGMHRWSEAALVAKIGSRAQVPIIAFAEPTITPTLMTNRWPFLVRMTKSGLSYIKCIADIVKAFGWQRVVAIYEDDAYGGDYGMLALLSEALRDVSSSIDYHLVLPPISSLHEPGGFIREKLKLLQTQSRVFIVLQSSLEMAIHLFKEASKMGLVDKESVWILPESITNVLDSVNKSAIFYMDGALGIKTYYSEISTEYQHFEAEFRRTFWSKNVEEDNRDPGFYASQAYDSIKIVTQAVDRMTSRNTRSPKNLLGEILRSSFLGLSGHIQFEDGKLQQTSILRMVNVAGKSYKELCFWSEQYGFTKNLPTGKDGHSGAGNTECFSVVRWPGNLKRDPKGWNMPTQQNPMKIAVRSRTSFSKFVKVDYYHKGDPATYSGFCIDIFESVHDLLGYDLPYKYYPIDGTYNDLVQLVYNKSYDAVVGDMTILEERMQYVDFTVPYAESGLTMVVPSKSKDSAWMFTKPFTWELWMVTGALLIYTMLAVWYLERESNPEFHGNWGSQISTALWFTFSSLFFAHREKMHSNLTRMVLVSWLLLVLILNSSYTASLSSMLTVKKLELNVTDIQWLKRNNMTIGCDGDSFVRSFLEKVEGLPPGNIINITDEYTYDDFFQNNSIAAAFLELPYEKVFITEYCNRYTGSTPRTRFGGLGFMFQKGSPVARDVSKAILHLSEKAELKRLEEKWMISSSKCSNTTSDTSNTESLKLRSLWILYVISGATSTICVLLSTIQCLVKSCQQCQRVAPEGNDTPNDQNAWEKVIAHVKHIFNKKINNSRATRTQVVTDFLPDRVTRA >Vigun06g194900.2.v1.2 pep primary_assembly:ASM411807v1:6:31098643:31103734:1 gene:Vigun06g194900.v1.2 transcript:Vigun06g194900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPFCLGEDRLVICLVCLFCGSDNQVISVGAIIDVNSRIGKEQQVAMDIAARSYNTTSKTYKLALYFRNSSEDPLRAITLAEEMIDKQKVQVIIGMHRWSEAALVAKIGSRAQVPIIAFAEPTITPTLMTNRWPFLVRMTKSGLSYIKCIADIVKAFGWQRVVAIYEDDAYGGDYGMLALLSEALRDVSSSIDYHLVLPPISSLHEPGGFIREKLKLLQTQSRVFIVLQSSLEMAIHLFKEASKMGLVDKESVWILPESITNVLDSVNKSAIFYMDGALGIKTYYSEISTEYQHFEAEFRRTFWSKNVEEDNRDPGFYASQAYDSIKIVTQAVDRMTSRNTRSPKNLLGEILRSSFLGLSGHIQFEDGKLQQTSILRMVNVAGKSYKELCFWSEQYGFTKNLPTGKDGHSGAGNTECFSVVRWPGNLKRDPKGWNMPTQQNPMKIAVRSRTSFSKFVKVDYYHKGDPATYSGFCIDIFESVHDLLGYDLPYKYYPIDGTYNDLVQLVYNKSYDAVVGDMTILEERMQYVDFTVPYAESGLTMVVPSKSKDSAWMFTKPFTWELWMVTGALLIYTMLAVWYLERESNPEFHGNWGSQISTALWFTFSSLFFAHREKMHSNLTRMVLVSWLLLVLILNSSYTASLSSMLTVKKLELNVTDIQWLKRNNMTIGCDGDSFVRSFLEKVEGLPPGNIINITDEYTYDDFFQNNSIAAAFLELPYEKVFITEYCNRYTGSTPRTRFGGLGFMFQKGSPVARDVSKAILHLSEKAELKRLEEKWMISSSKCSNTTSDTSNTESLKLRSLWILYVISGATSTICVLLSTIQCLVKSCQQCQRVAPEGNDTPNDQNAWEKVIAHVKHIFNKKINNSRATRTQVVTDFLPDRVTRA >Vigun06g194900.1.v1.2 pep primary_assembly:ASM411807v1:6:31098643:31103734:1 gene:Vigun06g194900.v1.2 transcript:Vigun06g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPFCLGEDRLVICLVCLFCGSDEATNTDNQVISVGAIIDVNSRIGKEQQVAMDIAARSYNTTSKTYKLALYFRNSSEDPLRAITLAEEMIDKQKVQVIIGMHRWSEAALVAKIGSRAQVPIIAFAEPTITPTLMTNRWPFLVRMTKSGLSYIKCIADIVKAFGWQRVVAIYEDDAYGGDYGMLALLSEALRDVSSSIDYHLVLPPISSLHEPGGFIREKLKLLQTQSRVFIVLQSSLEMAIHLFKEASKMGLVDKESVWILPESITNVLDSVNKSAIFYMDGALGIKTYYSEISTEYQHFEAEFRRTFWSKNVEEDNRDPGFYASQAYDSIKIVTQAVDRMTSRNTRSPKNLLGEILRSSFLGLSGHIQFEDGKLQQTSILRMVNVAGKSYKELCFWSEQYGFTKNLPTGKDGHSGAGNTECFSVVRWPGNLKRDPKGWNMPTQQNPMKIAVRSRTSFSKFVKVDYYHKGDPATYSGFCIDIFESVHDLLGYDLPYKYYPIDGTYNDLVQLVYNKSYDAVVGDMTILEERMQYVDFTVPYAESGLTMVVPSKSKDSAWMFTKPFTWELWMVTGALLIYTMLAVWYLERESNPEFHGNWGSQISTALWFTFSSLFFAHREKMHSNLTRMVLVSWLLLVLILNSSYTASLSSMLTVKKLELNVTDIQWLKRNNMTIGCDGDSFVRSFLEKVEGLPPGNIINITDEYTYDDFFQNNSIAAAFLELPYEKVFITEYCNRYTGSTPRTRFGGLGFMFQKGSPVARDVSKAILHLSEKAELKRLEEKWMISSSKCSNTTSDTSNTESLKLRSLWILYVISGATSTICVLLSTIQCLVKSCQQCQRVAPEGNDTPNDQNAWEKVIAHVKHIFNKKINNSRATRTQVVTDFLPDRVTRA >Vigun02g049600.6.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >Vigun02g049600.1.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEFSGLCSLLPSLSESSLCSTGLKMYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >Vigun02g049600.5.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >Vigun02g049600.4.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >Vigun02g049600.2.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEFSGLCSLLPSLSESSLCSTGLKMYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >Vigun02g049600.3.v1.2 pep primary_assembly:ASM411807v1:2:19020980:19025599:1 gene:Vigun02g049600.v1.2 transcript:Vigun02g049600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIACMFLALFFLGTWPALLTMLERRGRLPQHTYLDYSITNFFAALLIAFTFGEIGKGKPDEPNFLAQLAQDNWPSVLFAMGGGVVLSLGNLSSQYAFAFVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVFLGSAVHSSNASDNKAKLSNYTSDYKEVAISSKESDLVKSKDLERGSSSADNVEAGTAVFLLELEERRAIKVFGKSTLIGLALTFSAGLCFSMFSPAFNLATNDQWHTLPNGIPHLTVYTAFFYFSISCFVIAIILNITFLYHPVLNLPKSSLKAYLADSDGRIWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSRKTYMLLGSMLFMFIVAVGVLMASSGHRSSSHTAKE >VigunL045166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:469240:469833:1 gene:VigunL045166.v1.2 transcript:VigunL045166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGG >Vigun11g044100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6496873:6497409:1 gene:Vigun11g044100.v1.2 transcript:Vigun11g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNFLLTLGFLLFASSSFAIQVVELDHICKKTQDPTFCFNLLSSKPGGIIGTDLIDLTQYALDVTRVNITTTTKLIKRLIRINHNNLEAKDHYTICLNQIHMGALTKVESTQEILKSGDYRDLNVVASGIRTYIELCIDGESPTDTPYNDTSLLPTYANDISQVVDIILGIANILCK >Vigun06g027200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12175465:12176769:-1 gene:Vigun06g027200.v1.2 transcript:Vigun06g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPVIETNKGKRRRRASSSSPERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQLEEPQLDQQVEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLHQNVRGPYPGMTPPEFFTYLQWPRDSPIFPGGGGPVAGEGPSGAADTDRADIEDEIDFGGD >Vigun09g106300.2.v1.2 pep primary_assembly:ASM411807v1:9:20128870:20130593:-1 gene:Vigun09g106300.v1.2 transcript:Vigun09g106300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKRANYGVCKANFVLAQKETKNVSHMSPKSGQRVLKTKETKGTQSHVLHNSRKMKVKRQQWILKDYYAYFHSELIVLEDNLATDFLFASIVHEDHWWCYIVNFQEKLFVLDSVGHSNKNRKTIDNAVAHNLKLLFGMLMKCLDDDQPKFEVQCDITLIQPNLYDCGVIVLKVMELWDGHKKYDGNSMPHYTNKELQQIRQQYIWDWILDVDNIHKHDFLQYYDVFVKS >Vigun07g011900.1.v1.2 pep primary_assembly:ASM411807v1:7:1013819:1019301:-1 gene:Vigun07g011900.v1.2 transcript:Vigun07g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQNVDETHTSCFDFLSFPSLPKVFTLKEKLKHYFSSVFGESPKSSTNHTQAGSYSKKGTSLCSYWSKKFASVGLNGSKKRNQNPISIGSNCENLDKNGDILDKYGDSSPMSKSKDLLNDKSDDKDEQHSPTTPNKPLPNFTDHSTFISPELNDFFESCLPNITKGCQRVLLYSSMKHGISLRTLLRNSAKLSGPGLLIVGDTQGAVFGGLVFCPLKPTFKRRYQGTSQTFVFTTVYGQPRLFRPTGVNRYYYLCLNDLVALGGGGSSALCLDEDLLTGTSAPCDTFGNMCLAHTSEFELKNVELWGFTYTSPYLNRR >Vigun03g117450.1.v1.2 pep primary_assembly:ASM411807v1:3:10893428:10895965:1 gene:Vigun03g117450.v1.2 transcript:Vigun03g117450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLQHMAMKKKKKRRRRRRRRRRRRRRRRRRRRRRRKKKKKKKKKKKEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEERRRRRRRRRRRRKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRKKKKKKEEEEEEEEEEEEERRRRREEEEEEEEEEEEERRRKEEEEEEEEERRRKKKKKKKKKRRRRRRRRRKKKKKKKKKKKKKKKKKKKEEEEEEEEEERRRRRKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEERRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRREEEEEEEEEEEEEEEEEERRRRRKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEERRRRRKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEGVVHGSDDGGLGLTLRMTKILVLVDIYG >Vigun08g032500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2963913:2964983:1 gene:Vigun08g032500.v1.2 transcript:Vigun08g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHQEAQPVLETMFTPTEIQELFSLVNEPPTPESGSQESNRAVRSTHERKLRRMQSNRESARRSRWRKKRHLENLTNQANRLRMENRELKNRLCLTMHQNLLLSVENERLRSESVTLMATLSNLYQILATMISQQ >Vigun08g129000.1.v1.2 pep primary_assembly:ASM411807v1:8:29965975:29972358:1 gene:Vigun08g129000.v1.2 transcript:Vigun08g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENHTVDDHPLSVPPDQMENLVLHDHDQHDAGKSTFSSAYRSAFTTFSESNHHPLSPPIVSTPADSDPLLSPPQYFPNPNSPDASSYIDPPSYAEAVFTSFDGETNGVDAPSPSLSLSVSRSPSSSSEYLNITVSNPVKEQETSNSIVPGSNSYVTYLITTTTNIPEFGAPGAEFGVRRRFRDVVTLSDRLAEAYRGFFIPPRPDKSVVESQVMQKQEFVEQRRLALEKYLRRLAAHPVIRKSDEFRVFLQVQGRLPLPSTTDVASRVLDGAAKLPKQLLGESVIAPHEVVQPARGGRDLMRLFKELRQSVANDWGGSRPPVVEEDKEFLEKKEKINELELQINGASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAILNNQRARAADMKGLATAAVKASRLFRELNAQTVKHLQDTLHEYLGLMLAVHSAFSERSSALLTVQTLLSELSALQSRAEKLEAASSKIFGADKSRVRKLEELQETIRATEDAKNVATREYERIKENNRSELERIDKERQVDFLNMLKGFVVNQVGYNEKIANVWTKVAEETRGYVDEST >Vigun08g129000.2.v1.2 pep primary_assembly:ASM411807v1:8:29965975:29972358:1 gene:Vigun08g129000.v1.2 transcript:Vigun08g129000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENHTVDDHPLSVPPDQMENLVLHDHDQHDAGKSTFSSAYRSAFTTFSESNHHPLSPPIVSTPADSDPLLSPPQYFPNPNSPDASSYIDPPSYAEAVFTSFDGETNGVDAPSPSLSLSVSRSPSSSSEYLNITVSNPVKEQETSNSIVPGSNSYVTYLITTTTNIPEFGAPGAEFGVRRRFRDVVTLSDRLAEAYRGFFIPPRPDKSVVESQVMQKQEFVEQRRLALEKYLRRLAAHPVIRKSDEFRVFLQVQGRLPLPSTTDVASRVLDGAAKLPKQLLGESVIAPHEVVQPARGGRDLMRLFKELRQSVANDWGGSRPPVVEEDKEFLEKKEKINELELQINGASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAILNNQRARAADMKGLATAAVKASRLFRELNAQTVKHLDTLHEYLGLMLAVHSAFSERSSALLTVQTLLSELSALQSRAEKLEAASSKIFGADKSRVRKLEELQETIRATEDAKNVATREYERIKENNRSELERIDKERQVDFLNMLKGFVVNQVGYNEKIANVWTKVAEETRGYVDEST >Vigun06g069700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933231:19938128:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933749:19938129:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933230:19938129:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933741:19938066:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.13.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933231:19937958:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.14.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19934175:19934630:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933179:19938129:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun06g069700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19933179:19938128:-1 gene:Vigun06g069700.v1.2 transcript:Vigun06g069700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSLIAMGLNFGPLPKTKVSVSGSFVRRSSAGLRIRAVQENGGPRRLVDIIRRVPEFSRNYFKSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Vigun07g048600.1.v1.2 pep primary_assembly:ASM411807v1:7:5026847:5027875:-1 gene:Vigun07g048600.v1.2 transcript:Vigun07g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTSSAPTTTTFKFLTSIIFLFLIIKGSCDCSLNNINIGTARTGREIGGQPEWNVTVINNCSCQQSEIKLSCKGFQSSESIDPSILSMEGDNCLLISGNPLKGFDTVNFSYAWDLPFIMFPLSSVIGPCT >Vigun05g049000.1.v1.2 pep primary_assembly:ASM411807v1:5:4231884:4236495:1 gene:Vigun05g049000.v1.2 transcript:Vigun05g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSIFLLRLIVSSFLVFTFLLEAVYGSKKCYIVYLGTHSHASNPTSVDLQIATYSHYSLLASILGSEEKAKEAIIYSYNKHINGFAALLEEEEAADIGKNPNVVSVFLSKKHKLHTTRSWEFLGLHRSGKNSAWQEGSFGENTIIANIDTGVWPESESFGDEGYGPVPSKWRGGNVCQITKLPSTKKNLCNRKLIGARFFNKAFEVYNGELVPSMQTARDFMGHGTHTLSTAGGNFVGGASVFAVGNGTVKGGSPRARVAAYKVCWSLTDPAGCYGADVLAAIDQAIDDGVDVINLSAGGSYVATPEGIFTDEVSIGAFHAISRNVLLVASAGNDGPTPGSVVNVAPWVFTIAASTLDRHFSSNLIINDNEVIEGSSLFVNLPPNQAFSLILSTDAKLPNATFRDAELCKRGTLDPEKVKGKIVRCVRGGKIKSVAEGNEALSSGAQGMILRNQKRNGKTTFGEPHVLSTVGTNGDHAGGQPDFYLTATDTIKSVTTIRMTPARTLFGRKPAPVMASFSSRGPNNIQPSILKPDVTAPGVNILAAYSEFASASTLPTDNRRGFKFNVLQGTSMSCPHVAGIAGLLKTRYPSWSPAAIKSAIMTTATTLDNTNRPIQDAFAKTLATPFAYGSGHVKPDLAIDPGLVYDLGLTDYLNFLCASGYDQQLVSSLNFNRTFICSGTHSVTDLNYPSITLPNLGLKAVTITRTLTNVGTPSTYTASAQLPGYNITVVPNSLTFNKIGEKKTFKVTVQASSATKRRTYEFGELRWTDGKHIVRSPITVKRR >Vigun01g232300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40442138:40445051:-1 gene:Vigun01g232300.v1.2 transcript:Vigun01g232300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDNGILNPKDEIEECSGSKVKDCVSIDISTTQETFLSSEDSQRKLQGTLSSSVPKRTNFLKFGSASAKFKRLAIERDKISLSVPSPRSKGLRARFRGMLALKLDGGSVKKMCVEWIRSPVNMALFVWITCVAISGAILFLVMTGMLNRVLPRKSQRNAWFEINNQILNALFTLMCLYQHPKRFYHLILLIRWRPNDISRLRYFYCKNGTYKPHEWTHMMVVVILLHVNCFAQYALCGLNLGYERSERPAIGVGICISFAIAAPAVAGLYTILSPLGKDYDSDTDEEVQVQISGSQRQQHLREKPCEKKYSFACKDQQRVVENRPEWSGGILDIWNDISLAYLSLFCTFCVFGWNMERHGFGNMYVHIATFMLFCMAPFWIFILASVNIDDDSVRQGLGGVGIVLCFLGLLYGGFWRIQMRKRFNLPAYDFCFGKPSASDCTLWLCCSWCSLAQEARTGNNYDLVIDKSYGKQNDETCNEPSISALAREDVVSTKSGTSSVGGNSSPCMMKTNVLN >Vigun01g232300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40442194:40445051:-1 gene:Vigun01g232300.v1.2 transcript:Vigun01g232300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDNGILNPKDEIEECSGSKVKDCVSIDISTTQETFLSSEDSQRKLQGTLSSSVPKRTNFLKFGSASAKFKRLAIERDKISLSVPSPRSKGLRARFRGMLALKLDGGSVKKMCVEWIRSPVNMALFVWITCVAISGAILFLVMTGMLNRVLPRKSQRNAWFEINNQILNALFTLMCLYQHPKRFYHLILLIRWRPNDISRLRYFYCKNGTYKPHEWTHMMVVVILLHVNCFAQYALCGLNLGYERSERPAIGVGICISFAIAAPAVAGLYTILSPLGKDYDSDTDEEVQVQISGSQRQQHLREKPCEKKYSFACKDQQRVVENRPEWSGGILDIWNDISLAYLSLFCTFCVFGWNMERHGFGNMYVHIATFMLFCMAPFWIFILASVNIDDDSVRQGLGGVGIVLCFLGLLYGGFWRIQMRKRFNLPAYDFCFGKPSASDCTLWLCCSWCSLAQEARTGNNYDLVIDKSYGKQNDETCNEPSISALAREDVVSTKSGTSSVGGNSSPCMMKTNVLN >Vigun06g232000.1.v1.2 pep primary_assembly:ASM411807v1:6:33836609:33839246:-1 gene:Vigun06g232000.v1.2 transcript:Vigun06g232000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMLLPLFFFFFFFFSTSRAIWLTIPTGGTKCVSEEIHSNVVVLVHYAIVGGTPNITISSKVSSPYGNELHHVESTRLGNLAFTARESGLYLACFWVDGNDRGGDVILDLDWKSGIEARDWDSIAKRDKIQGVELELKKLEGSVESIHENLMHLRGREAELRNLSESTNSRVVWFSLLSLGVCITVSVLQLLHLKRYFHKKKLI >Vigun08g098200.1.v1.2 pep primary_assembly:ASM411807v1:8:23777606:23783549:-1 gene:Vigun08g098200.v1.2 transcript:Vigun08g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDPKNASTIGQEKMLASPEQQASINEVRRLIGPQSGKASIFCSDACISRYLRARNWNVKKATKMLKLTLKWREEYKPEEIRWEDIAHEAETGKIYRSNYIDKHGRTVLVMRPGRQNSKSTKGQIKYLVYCMENAIFNLPPEQEQMVWLIDFQGFNMSHISIKVTRETANVLQEHYPERLGLAILYNAPKFFEPFFTMVKPLLEPKTYNKVKFAYSDDQNTKKIMEDLFDFDQLESAFGGNDDTGFDINKYADRMKEDDKKIPAFWTRENNPSSVPTDIPPLDSTRLDSNTDDGSDNEKLDSSTDLVVDTGIINPNQKSLVNEGERNGSAD >Vigun06g034033.1.v1.2 pep primary_assembly:ASM411807v1:6:14449304:14450731:1 gene:Vigun06g034033.v1.2 transcript:Vigun06g034033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSYSTELRSSLLIPIDRGLAGERNLIPSSPVPNQGDYKETADMTVICHSNPALLSSTESSDGGNKKPYFIHLRFYRFGLGIDENSTLVLEDSSHETESVESKQDEGVGISLTDGHCKTQEHEGSDLLTEVVTDDNDSSSSHHGKEQLEEDEENDEVFGSIFAFSEEG >Vigun08g060200.2.v1.2 pep primary_assembly:ASM411807v1:8:7945380:7947986:-1 gene:Vigun08g060200.v1.2 transcript:Vigun08g060200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSSSLNPFAASYVPLSKRGADGRTTFTEKDSKNYDGSVWFQTRQDATNDQQLIIASSERLFKPDAFPTKSQPASSSYTSSSQNVVEVAENQLLAEELDMDLEYLRITFPGISYQSLVDVYNVNSGDLDAAIDMLSQLELEGDESSGTLPETLDIGDVSESGLPADSTSLKQKNVAEETSTSSSHMASGDVL >Vigun08g060200.3.v1.2 pep primary_assembly:ASM411807v1:8:7945380:7947812:-1 gene:Vigun08g060200.v1.2 transcript:Vigun08g060200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSSSLNPFAASYVPLSKRGADGRTTFTEKDSKNYDGSVWFQTRQDATNDQQLIIASSERLFKPDAFPTKSQPASSSYTSSSQNVVEVAENQLLAEELDMDLEYLRITFPGISYQSLVDVYNVNSGDLDAAIDMLSQLELEGDESSGTLPETLDIGDVSESGLPADSTSLKQKNVAEETSTSSSHMASGDVL >Vigun08g060200.1.v1.2 pep primary_assembly:ASM411807v1:8:7945380:7947986:-1 gene:Vigun08g060200.v1.2 transcript:Vigun08g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSSSLNPFAASYVPLSKRGADGRTTFTEKDSKNYDGSVWFQTRQDATNDQQLIIASSERLFKPDAFPTKSQPASSSYTSSSQNVVEVAENQLLAEELDMDLEYLRITFPGISYQSLVDVYNVNSGDLDAAIDMLSQLELEGDESSGTLPETLDIGDVSESGLPADSTSLKQKNVAEETSTSSSHMASGDVL >Vigun01g057066.1.v1.2 pep primary_assembly:ASM411807v1:1:11433494:11434989:-1 gene:Vigun01g057066.v1.2 transcript:Vigun01g057066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILEKIVNKKKEWIHVKDQKGRNALHYVASTGYLNGVECLLQNCDTCNMERDKNGFYPLHLASAYGHIEVVKKLLENCPNPREIIDKKGRNIVHIAAIMGQFDVVRYILQDVNDGVKDMINDKHCDGNTPLHLAASHCHPKIIQALTWDTRVNLDWLNNNNQTALDAFEQFKQQNNPPIAQSIYKYFYRLTWCQLKSAGIQNAGRGSHFIEVPSSPWKPKAKDVEFYKDRINTLMLVSTLITTVAFATGFTLPGGTNSSAPGQGMALMLNHVWFKPFILCTTISMYGGIIVTIILIWAQLGDVTLALFALQVARPLLGVTLVALSIAFLAGVHLVISDLSWLATTILILCVIFILLLLLLYILLWFPSTSNNQIMRYISFYPFEFLTWLLEKDSIEGT >Vigun07g293950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40510099:40510962:-1 gene:Vigun07g293950.v1.2 transcript:Vigun07g293950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSIIYNSFLIESKSVALNFNFESHTRTTTSLISHQILRYLIQVGGTMFRKIRVSSTKKNKHCSSFSCEFHLILRHEQTYKLSSLTEFYTDPHVDPQSDRFKRVSSSLSGIRHNANCKGCDVL >Vigun08g186800.1.v1.2 pep primary_assembly:ASM411807v1:8:35600547:35605334:-1 gene:Vigun08g186800.v1.2 transcript:Vigun08g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFFTLVCLCCCWFVSSEGETVSCSKIVPLGFRSGNISITEFGGVGDGRTLNTKAFREAIYRIQHLPRVGGTLLYVPPGVYLTEPFNLTSHMTLFLAAGAVIMATQDSSNWPLIAPLPSYGRGRELPGGRYMSFMHGNGVQDVVITGENGTIDGQGDVWWNKWRQGSLQFTRPNLVEFVNSKDIIISNVIFKNSPFWNIHPVYSSNVVIRFVTILAPRDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGLPSSNITIRRVSGSSPFAGIAVGSETSGGVENVLAEHINLYKMGIGIHIKTNSGRGGFIKNITVSHVYMEEARKGIRISGDVGGHPDDKFDPNALPLVKGVTIKNVWGVKVLQAGLIQGVKNSPFTDICLYDINLRGVTGPRNPPWKCSDVSGFAHQVSPWPCSELSSNQQGSCANYS >Vigun05g201750.1.v1.2 pep primary_assembly:ASM411807v1:5:38971006:38974236:-1 gene:Vigun05g201750.v1.2 transcript:Vigun05g201750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLALQFQLYNFQRERMSGEAIPVDRARKLRPSYGFKLDRCHVNKQLRLRLPLKASAAQFKEQIHTIAKDEMLANYVPVYVMLPLGVVTNENVIEDRAGLEKQLRKLHAAGVDGVMVDVWWGIVESQGPRQYDWSAYKTLFQLVQKCELKLQVIMSFHQCGGNVGDSVFISLPKWVLQVGEANPDIFYTNYKGIRNKECLSLGVDNQPVFHGRSPIQVYGDYMRSFRDNMEEFLKSQLMVDIEVGLGPAGELRYPSYAQILGWVFPGIGEFQCYDKYLKADFKKAATEVGHPEWELPDNAGESNDIPECTEFFKSSGTYQTEKGVFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVSGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPVKARSGAEELVKQVLSGGWAENIQVAGENALERYDRKAYEQILFKC >Vigun05g201750.2.v1.2 pep primary_assembly:ASM411807v1:5:38971006:38974236:-1 gene:Vigun05g201750.v1.2 transcript:Vigun05g201750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLALQFQLYNFQRERMSGEAIPVDRARKLRPSYGFKLDRCHVNKQLRLRLPLKASAAQFKEQIHTIAKDEMLANYVPVYVMLPLGVVTNENVIEDRAGLEKQLRKLHAAGVDGVMVDVWWGIVESQGPRQYDWSAYKTLFQLVQKCELKLQVIMSFHQCGGNVGDSVFISLPKWVLQVGEANPDIFYTNYKGIRNKECLSLGVDNQPVFHGRSPIQVYGDYMRSFRDNMEEFLKSQLMVDIEVGLGPAGELRYPSYAQILGWVFPGIGEFQCYDKYLKADFKKAATEVGHPEWELPDNAGESNDIPECTEFFKSSGTYQTEKGVFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVSGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPVKARSGAEELVKQEIDSLCSCIALILNVYIVLEVELWNCKKI >VigunL037600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:314861:316153:-1 gene:VigunL037600.v1.2 transcript:VigunL037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun09g076450.1.v1.2 pep primary_assembly:ASM411807v1:9:8741493:8742083:-1 gene:Vigun09g076450.v1.2 transcript:Vigun09g076450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWKDTGEYVDERSRKTNEQSSVNLTQDENIRTRCWIETVGGKKKGRFYGAGQLVSKYTGSKESLSASSSSNTEDLTNIRQQLSRNLEENEQLKTEFRSFQSLVLQYLPPDAQARLQQAQLQPQPQSQQQNNEESSPDYEDY >Vigun07g174800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29022921:29024474:-1 gene:Vigun07g174800.v1.2 transcript:Vigun07g174800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPMTKRQNHTLSSYKTATLLNSFTQRFLLVFISHTFLTHPFLNINPSHLAILFKLKRFTKINTAMNSEKPVSPENLSDENDEEEAQDDSGGTKRSYECTFCKRGFTNAQALGGHMNIHRKDRARAKQFTLEASPSINKFNTDESIVFPFASQTLNQPARSNYSILESQRNCDRHFHPPPPASAFYYDFYDPRSRSLSFNQELRGANLSLQIGPSHHVGDDIHQVRGNQKDSEVDLELRLGHHP >Vigun09g264866.1.v1.2 pep primary_assembly:ASM411807v1:9:42905979:42907148:1 gene:Vigun09g264866.v1.2 transcript:Vigun09g264866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVAGADHPENDMKLNMKKANHDNNFEKLKHVVVSTLAAAAVIKRKFWLMRKAIKSDKLLLWLIEKQSQDLKSLYESRKLISWWPVTTLPSHLAHAASAGNSVCPSNHESFSSVTNRKKFMPSP >Vigun03g005900.1.v1.2 pep primary_assembly:ASM411807v1:3:411372:416104:1 gene:Vigun03g005900.v1.2 transcript:Vigun03g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRDFWRRHRRKVFYTVGVLGSGYLLYKLYGAHRHGVQELEREVAAQRETEELMKAQMQAHFENIQRISDVTLPHAMHDLNFRITEELDLSHLLERLIQGKGQPNSLTQSEKLDLWSRLKILSFTRMTLSVWATTMLSLYTKVQVNILGRHLYIDTARSLGISDLLESIDVVDREDHKKFLDSVDFISQHGMPSLISDMEAATKEVLKGKQLSTFFSSTTLHETVMQILNTFMSMGSPNYWIKYMIPEHVKPYSSSSSSDPMLSDVTEYDQLMMEARAVLSSAEFGSIVEISLQAVVDKLVELMGVKFKGGSITSGLPLARVLPQVAQMCPLLLEEPSKNQFIQIIKNIQEVEVFFTLLYANMPHAQ >Vigun03g448500.10.v1.2 pep primary_assembly:ASM411807v1:3:64955450:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGMEQM >Vigun03g448500.9.v1.2 pep primary_assembly:ASM411807v1:3:64955429:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGMEQM >Vigun03g448500.2.v1.2 pep primary_assembly:ASM411807v1:3:64955352:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGMEQM >Vigun03g448500.6.v1.2 pep primary_assembly:ASM411807v1:3:64955450:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGYAQEDDPNSTFEEHLNDSKRIKYMMDHIEAMVAAIRNGADVRGYLAWSLLDSFEWIYGYTIRYGFHHVDFATLKRTPRLSATWYKQLLVKYKEMTRQEKLLQNT >Vigun03g448500.5.v1.2 pep primary_assembly:ASM411807v1:3:64955352:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGYAQEDDPNSTFEEHLNDSKRIKYMMDHIEAMVAAIRNGADVRGYLAWSLLDSFEWIYGYTIRYGFHHVDFATLKRTPRLSATWYKQLLVKYKEMTRQEKLLQNT >Vigun03g448500.8.v1.2 pep primary_assembly:ASM411807v1:3:64955429:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGYAQEDDPNSTFEEHLNDSKRIKYMMDHIEAMVAAIRNGADVRGYLAWSLLDSFEWIYGYTIRYGFHHVDFATLKRTPRLSATWYKQLLVKYKEMTRYRSC >Vigun03g448500.3.v1.2 pep primary_assembly:ASM411807v1:3:64955352:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGMEQM >Vigun03g448500.7.v1.2 pep primary_assembly:ASM411807v1:3:64955904:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGYAQEDDPNSTFEEHLNDSKRIKYMMDHIEAMVAAIRNGADVRGYLAWSLLDSFEWIYGYTIRYGFHHVDFATLKRTPRLSATWYKQLLVKYKEMTRQEKLLQNT >Vigun03g448500.4.v1.2 pep primary_assembly:ASM411807v1:3:64955352:64961956:-1 gene:Vigun03g448500.v1.2 transcript:Vigun03g448500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHGWLTSAKKRNEQQRLCSKMLAKALFGVILLLHLLAPFSVAVLSNELDLDLSPLPTGFLFGTASSSYQYEGAYNSDGKGMSNWDNFTHGTGRYVIYGGKNGDIANDHYHRYLEDIDLMEALGVNSYRLSISWARILPKGRFGEANHAGIDFYNRLIDVLILKGIQPFVTLSHYDSPQELEDRYGSWLSPQSQEDFAFYADLCFKTFGDRVKYWVTFNEPNFQVPLGYRSGIYPPCRCSGPLALAQCSEGDSKKEPFVAAHNVILAHAAAVDIYRTKYQTEQKGSIGIVLQHEWYEPLSNSTADKLAAERARSFTFNWFLDPIILGKYPTEMENLVGSLLPKFSSKEKEKLKKGLDFIGVNYYTAFYVQDCMYSTCQTGQGNSRTEGLYIQSGAKNGVPIGEPTKFSWFNIYPDGMEKALTYVRDRYNNTPMFITENGYAQEDDPNSTFEEHLNDSKRIKYMMDHIEAMVAAIRNGADVRGYLAWSLLDSFEWIYGYTIRYGFHHVDFATLKRTPRLSATWYKQLLVKYKEMTRQEKLLQNT >Vigun10g093700.2.v1.2 pep primary_assembly:ASM411807v1:10:27106179:27108827:-1 gene:Vigun10g093700.v1.2 transcript:Vigun10g093700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLQLQMISRRLREDMNSLVFCIKEKLRKPFNCCFSQKICWPSLRTSCKTWIKNPLNMALLLWTVCVAVSGAILFLVMTGMLNKILTKQSQRNSWFEINNQFLNALFTLMCLYQHPKRFHHLVLLCRWKPNDIIILRKTYCKNGTCKPHEWMHMMVIVLLLHVNCFAQYALSGLNWGFSRSERPVVGVGICISIAIAAPSLAGVYCIASPLGKDYETDDEAQNHIPISNTFDSRNEHNLIEYTPQWRGGLFDLWENLSVACLSLFCSFCAFGRNMQRLHFGNMYVHVATFLLLCVAPFWIFNMATINIDDEPVRLVLRLIGIFLCVFGLLYGGYWRIQMRERFNLPPNKICGGNPAVTDCIQWLLCCWCSLAQEVRTAEFYDIVDDNFFCQKQTENSEDYSSLSEIEFSRERKQNVMEAPIPLTIQVDDNDIKRT >Vigun10g093700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:27106123:27108885:-1 gene:Vigun10g093700.v1.2 transcript:Vigun10g093700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVFCIKEKLRKPFNCCFSQKICWPSLRTSCKTWIKNPLNMALLLWTVCVAVSGAILFLVMTGMLNKILTKQSQRNSWFEINNQFLNALFTLMCLYQHPKRFHHLVLLCRWKPNDIIILRKTYCKNGTCKPHEWMHMMVIVLLLHVNCFAQYALSGLNWGFSRSERPVVGVGICISIAIAAPSLAGVYCIASPLGKDYETDDEAQNHIPISNTFDSRNEHNLIEYTPQWRGGLFDLWENLSVACLSLFCSFCAFGRNMQRLHFGNMYVHVATFLLLCVAPFWIFNMATINIDDEPVRLVLRLIGIFLCVFGLLYGGYWRIQMRERFNLPPNKICGGNPAVTDCIQWLLCCWCSLAQEVRTAEFYDIVDDNFFCQKQTENSEDYSSLSEIEFSRERKQNVMEAPIPLTIQVDDNDIKRT >Vigun10g093700.1.v1.2 pep primary_assembly:ASM411807v1:10:27106123:27108884:-1 gene:Vigun10g093700.v1.2 transcript:Vigun10g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLQLQMISRRLREDMNSLVFCIKEKLRKPFNCCFSQKICWPSLRTSCKTWIKNPLNMALLLWTVCVAVSGAILFLVMTGMLNKILTKQSQRNSWFEINNQFLNALFTLMCLYQHPKRFHHLVLLCRWKPNDIIILRKTYCKNGTCKPHEWMHMMVIVLLLHVNCFAQYALSGLNWGFSRSERPVVGVGICISIAIAAPSLAGVYCIASPLGKDYETDDEAQNHIPISNTFDSRNEHNLIEYTPQWRGGLFDLWENLSVACLSLFCSFCAFGRNMQRLHFGNMYVHVATFLLLCVAPFWIFNMATINIDDEPVRLVLRLIGIFLCVFGLLYGGYWRIQMRERFNLPPNKICGGNPAVTDCIQWLLCCWCSLAQEVRTAEFYDIVDDNFFCQKQTENSEDYSSLSEIEFSRERKQNVMEAPIPLTIQVDDNDIKRT >Vigun06g183100.1.v1.2 pep primary_assembly:ASM411807v1:6:30268783:30275568:-1 gene:Vigun06g183100.v1.2 transcript:Vigun06g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTNSATYFLLFLHLLLPLASCSNRKQVYVVELFRDHSIHSDKTDEVENTHHAFLLSVKETEEEARASLLYSYKHSINGFAALLTPKEANELSEMEGVVFVHKNQPKIYSLHTTRSWEFVGLDTPLNPWKENSDQKNGDLLSRAQYGKDIIVGMIDSGVWPDSLSFSDEGMEPVPTKWKGECQNGTAFDSSRCNRKIIGTRYYLRGYESAFGPLNEKEDYRSARDKDGHGTHTASIVAGRAVANASALGGFAKGTALGGAPLARLAIYKACWPIKGKSKDEGNVCTNIDMLKAIDDAIGDGVDVLSISIGFSEPLSYEEDAIARGALHAVRNNIVVVCSAGNAGPSPQTLSNPAPWIITVGASTVDRSFLSPVKLANGTLIEGRSITPVNMGNNSYPLVLAKDVELPGLPSNNSGYCLDNTLEPNRTRGKIVICLRGQGGRLKKGLEVQRVGGIGLILGNNKLNGNDVPSDPHFIPATGLSYDNVLKLIDYVHSTPNPMAQILPGTTVLKTTPAPYMASFSSRGPNIIDPNILKPDITAPGVDILAAWTAEDGPTRMTFNDKRVVKYNIFSGTSMSCPHVAAAAVLLKAIHPTWTTAAIRSALITTAMPTDNTGHPLIDEAGNLATPFAMGSGHFNPKGAADPGLVYDASYTDYLLYTCKLGVAKNLNITFNCPKSFLEPFELNYPSIQIHGLNYSRTIKRTVTNVGGSKSIYKFSASSSKEFSITATPDTLTFNHVGQKMNFIITVTANSSQIPTNHGPDKYYFGWYAWTREHVVVRSPLAVSFI >Vigun06g183100.2.v1.2 pep primary_assembly:ASM411807v1:6:30268783:30275568:-1 gene:Vigun06g183100.v1.2 transcript:Vigun06g183100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVFVHKNQPKIYSLHTTRSWEFVGLDTPLNPWKENSDQKNGDLLSRAQYGKDIIVGMIDSGVWPDSLSFSDEGMEPVPTKWKGECQNGTAFDSSRCNRKIIGTRYYLRGYESAFGPLNEKEDYRSARDKDGHGTHTASIVAGRAVANASALGGFAKGTALGGAPLARLAIYKACWPIKGKSKDEGNVCTNIDMLKAIDDAIGDGVDVLSISIGFSEPLSYEEDAIARGALHAVRNNIVVVCSAGNAGPSPQTLSNPAPWIITVGASTVDRSFLSPVKLANGTLIEGRSITPVNMGNNSYPLVLAKDVELPGLPSNNSGYCLDNTLEPNRTRGKIVICLRGQGGRLKKGLEVQRVGGIGLILGNNKLNGNDVPSDPHFIPATGLSYDNVLKLIDYVHSTPNPMAQILPGTTVLKTTPAPYMASFSSRGPNIIDPNILKPDITAPGVDILAAWTAEDGPTRMTFNDKRVVKYNIFSGTSMSCPHVAAAAVLLKAIHPTWTTAAIRSALITTAMPTDNTGHPLIDEAGNLATPFAMGSGHFNPKGAADPGLVYDASYTDYLLYTCKLGVAKNLNITFNCPKSFLEPFELNYPSIQIHGLNYSRTIKRTVTNVGGSKSIYKFSASSSKEFSITATPDTLTFNHVGQKMNFIITVTANSSQIPTNHGPDKYYFGWYAWTREHVVVRSPLAVSFI >Vigun05g153700.2.v1.2 pep primary_assembly:ASM411807v1:5:24472941:24474333:-1 gene:Vigun05g153700.v1.2 transcript:Vigun05g153700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGRAIEMRFKNTADDGAQDRNYAVICPIQDLLDNPSSTLETLHRNNRPFNRSYTVLGVCNGLVCLQDSSTEEVFSEYWFRIWNPAIRAMSKDSPHIRFRNSDYKDVSWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPVPTGYGSPRTFGIFVSATLNWLAFPKFYLDNSDEVKMNQLEIFSYHLKDETCRYFPMPDDILEVYVYGPEVEVLKGCLCLFHHYEYNLIIWLKREFNDEKSWSKLLTFSYQEYVNNEFPLELSIIWEDDEVLLLANTYIFKPRFLWYNTRYNRVDGEERYENDKWYLFSHEYAHSLVSPCMN >Vigun07g054500.5.v1.2 pep primary_assembly:ASM411807v1:7:5869962:5906847:1 gene:Vigun07g054500.v1.2 transcript:Vigun07g054500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPSAKFTWTIDNFSKLPNKVFSDVFCVGGFNWRVLIFSKGNSVDHLSMYVDVADAANLPYGWSKHAQFSLTVVNQIHSNFSIRKESHHQFHARECDWGFLNFMPLAQFYDSARGYLVNDTCIVEADITVRKDMDWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYYMPTTENDLPSRSIPLALQSLFYKLQYSETSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINEDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEHHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRSIRNLYSLHSVLVHSSGVNGGHYYAYIRPTLSNQWFKFDDERVTKEVEKRALEEQYGGEEELPHTNPGFNNSTFKFTKYSNAYMLLYVRESDKDKIICDVDEHDIAEHLRMRLKREQEEKDLKRKEKAEAHLYTIIKVARDEDLREQIGNDIFFDLVDHDKVRSVRIQKQMPFLVFKEEVAKEFSIPIQYQRFWLWAKRQNNTYRPNRVLTPQEEAQSVGLLREVSNRANNAELKLFLELEMGKDLQPIPPPEKSKENLLLFFKLYEPSIEKLRYVGRLFVMFSGKPVDILVKLNEMAGYAPDEEIDMFEEIKFEPNVMCERVDKNSTFRESQLEDGDIICFQKSNKTGNKEQYRYPDVPSFLEYVHNRVVVHFRILEKPKEDEFCLELSKLDTYDNVVEEVAQHIGLNDPSKIRLTCHNCYSQQPKPHPIKYRGIDHLSDMLIHYNQASDILYYEVLDIPLPELQCLKTLKIAFHLASNDEVVIHTIRLPKHCIVSDVINDLKSKVDLSHPDAELRLLEVFYHKIYKIFHLNERIENINDQYWTLRAEEIPEEEKNLGPQHRLIHVYHFLKDTNQNQQVQNFGHPFFLAIHEGETLAEVKLRIQSKLQVPDEEFSKWRFAFLSFGRPDYLQDSDIVSTRFQRRDIYGAWEQYLGLEHTDNTPRRSNAANQNRHPFEKAVKIHV >Vigun07g054500.1.v1.2 pep primary_assembly:ASM411807v1:7:5868909:5906847:1 gene:Vigun07g054500.v1.2 transcript:Vigun07g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPFDHQQPQPQPQPQPQQQPEPEPQQQPHDEMEVPSGPQPMEAAESTSTVAAPTMDDTPSAKFTWTIDNFSKLPNKVFSDVFCVGGFNWRVLIFSKGNSVDHLSMYVDVADAANLPYGWSKHAQFSLTVVNQIHSNFSIRKESHHQFHARECDWGFLNFMPLAQFYDSARGYLVNDTCIVEADITVRKDMDWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYYMPTTENDLPSRSIPLALQSLFYKLQYSETSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINEDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEHHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRSIRNLYSLHSVLVHSSGVNGGHYYAYIRPTLSNQWFKFDDERVTKEVEKRALEEQYGGEEELPHTNPGFNNSTFKFTKYSNAYMLLYVRESDKDKIICDVDEHDIAEHLRMRLKREQEEKDLKRKEKAEAHLYTIIKVARDEDLREQIGNDIFFDLVDHDKVRSVRIQKQMPFLVFKEEVAKEFSIPIQYQRFWLWAKRQNNTYRPNRVLTPQEEAQSVGLLREVSNRANNAELKLFLELEMGKDLQPIPPPEKSKENLLLFFKLYEPSIEKLRYVGRLFVMFSGKPVDILVKLNEMAGYAPDEEIDMFEEIKFEPNVMCERVDKNSTFRESQLEDGDIICFQKSNKTGNKEQYRYPDVPSFLEYVHNRVVVHFRILEKPKEDEFCLELSKLDTYDNVVEEVAQHIGLNDPSKIRLTCHNCYSQQPKPHPIKYRGIDHLSDMLIHYNQASDILYYEVLDIPLPELQCLKTLKIAFHLASNDEVVIHTIRLPKHCIVSDVINDLKSKVDLSHPDAELRLLEVFYHKIYKIFHLNERIENINDQYWTLRAEEIPEEEKNLGPQHRLIHVYHFLKDTNQNQQVQNFGHPFFLAIHEGETLAEVKLRIQSKLQVPDEEFSKWRFAFLSFGRPDYLQDSDIVSTRFQRRDIYGAWEQYLGLEHTDNTPRRSNAANQNRHPFEKAVKIHV >Vigun07g054500.3.v1.2 pep primary_assembly:ASM411807v1:7:5868909:5906850:1 gene:Vigun07g054500.v1.2 transcript:Vigun07g054500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPFDHQQPQPQPQPQPQQQPEPEPQQQPHDEMEVPSGPQPMEESTSTVAAPTMDDTPSAKFTWTIDNFSKLPNKVFSDVFCVGGFNWRVLIFSKGNSVDHLSMYVDVADAANLPYGWSKHAQFSLTVVNQIHSNFSIRKESHHQFHARECDWGFLNFMPLAQFYDSARGYLVNDTCIVEADITVRKDMDWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYYMPTTENDLPSRSIPLALQSLFYKLQYSETSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINEDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEHHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRSIRNLYSLHSVLVHSSGVNGGHYYAYIRPTLSNQWFKFDDERVTKEVEKRALEEQYGGEEELPHTNPGFNNSTFKFTKYSNAYMLLYVRESDKDKIICDVDEHDIAEHLRMRLKREQEEKDLKRKEKAEAHLYTIIKVARDEDLREQIGNDIFFDLVDHDKVRSVRIQKQMPFLVFKEEVAKEFSIPIQYQRFWLWAKRQNNTYRPNRVLTPQEEAQSVGLLREVSNRANNAELKLFLELEMGKDLQPIPPPEKSKENLLLFFKLYEPSIEKLRYVGRLFVMFSGKPVDILVKLNEMAGYAPDEEIDMFEEIKFEPNVMCERVDKNSTFRESQLEDGDIICFQKSNKTGNKEQYRYPDVPSFLEYVHNRVVVHFRILEKPKEDEFCLELSKLDTYDNVVEEVAQHIGLNDPSKIRLTCHNCYSQQPKPHPIKYRGIDHLSDMLIHYNQASDILYYEVLDIPLPELQCLKTLKIAFHLASNDEVVIHTIRLPKHCIVSDVINDLKSKVDLSHPDAELRLLEVFYHKIYKIFHLNERIENINDQYWTLRAEEIPEEEKNLGPQHRLIHVYHFLKDTNQNQQVQNFGHPFFLAIHEGETLAEVKLRIQSKLQVPDEEFSKWRFAFLSFGRPDYLQDSDIVSTRFQRRDIYGAWEQYLGLEHTDNTPRRSNAANQNRHPFEKAVKIHV >Vigun07g054500.4.v1.2 pep primary_assembly:ASM411807v1:7:5868909:5906847:1 gene:Vigun07g054500.v1.2 transcript:Vigun07g054500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPFDHQQPQPQPQPQPQQQPEPEPQQQPHDEMEVPSGPQPMEAESTSTVAAPTMDDTPSAKFTWTIDNFSKLPNKVFSDVFCVGGFNWRVLIFSKGNSVDHLSMYVDVADAANLPYGWSKHAQFSLTVVNQIHSNFSIRKESHHQFHARECDWGFLNFMPLAQFYDSARGYLVNDTCIVEADITVRKDMDWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYYMPTTENDLPSRSIPLALQSLFYKLQYSETSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINEDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEHHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRSIRNLYSLHSVLVHSSGVNGGHYYAYIRPTLSNQWFKFDDERVTKEVEKRALEEQYGGEEEMRLKREQEEKDLKRKEKAEAHLYTIIKVARDEDLREQIGNDIFFDLVDHDKVRSVRIQKQMPFLVFKEEVAKEFSIPIQYQRFWLWAKRQNNTYRPNRVLTPQEEAQSVGLLREVSNRANNAELKLFLELEMGKDLQPIPPPEKSKENLLLFFKLYEPSIEKLRYVGRLFVMFSGKPVDILVKLNEMAGYAPDEEIDMFEEIKFEPNVMCERVDKNSTFRESQLEDGDIICFQKSNKTGNKEQYRYPDVPSFLEYVHNRVVVHFRILEKPKEDEFCLELSKLDTYDNVVEEVAQHIGLNDPSKIRLTCHNCYSQQPKPHPIKYRGIDHLSDMLIHYNQASDILYYEVLDIPLPELQCLKTLKIAFHLASNDEVVIHTIRLPKHCIVSDVINDLKSKVDLSHPDAELRLLEVFYHKIYKIFHLNERIENINDQYWTLRAEEIPEEEKNLGPQHRLIHVYHFLKDTNQNQQVQNFGHPFFLAIHEGETLAEVKLRIQSKLQVPDEEFSKWRFAFLSFGRPDYLQDSDIVSTRFQRRDIYGAWEQYLGLEHTDNTPRRSNAANQNRHPFEKAVKIHV >Vigun07g054500.2.v1.2 pep primary_assembly:ASM411807v1:7:5868909:5906850:1 gene:Vigun07g054500.v1.2 transcript:Vigun07g054500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPFDHQQPQPQPQPQPQQQPEPEPQQQPHDEMEVPSGPQPMEAESTSTVAAPTMDDTPSAKFTWTIDNFSKLPNKVFSDVFCVGGFNWRVLIFSKGNSVDHLSMYVDVADAANLPYGWSKHAQFSLTVVNQIHSNFSIRKESHHQFHARECDWGFLNFMPLAQFYDSARGYLVNDTCIVEADITVRKDMDWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYYMPTTENDLPSRSIPLALQSLFYKLQYSETSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINEDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEHHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRSIRNLYSLHSVLVHSSGVNGGHYYAYIRPTLSNQWFKFDDERVTKEVEKRALEEQYGGEEELPHTNPGFNNSTFKFTKYSNAYMLLYVRESDKDKIICDVDEHDIAEHLRMRLKREQEEKDLKRKEKAEAHLYTIIKVARDEDLREQIGNDIFFDLVDHDKVRSVRIQKQMPFLVFKEEVAKEFSIPIQYQRFWLWAKRQNNTYRPNRVLTPQEEAQSVGLLREVSNRANNAELKLFLELEMGKDLQPIPPPEKSKENLLLFFKLYEPSIEKLRYVGRLFVMFSGKPVDILVKLNEMAGYAPDEEIDMFEEIKFEPNVMCERVDKNSTFRESQLEDGDIICFQKSNKTGNKEQYRYPDVPSFLEYVHNRVVVHFRILEKPKEDEFCLELSKLDTYDNVVEEVAQHIGLNDPSKIRLTCHNCYSQQPKPHPIKYRGIDHLSDMLIHYNQASDILYYEVLDIPLPELQCLKTLKIAFHLASNDEVVIHTIRLPKHCIVSDVINDLKSKVDLSHPDAELRLLEVFYHKIYKIFHLNERIENINDQYWTLRAEEIPEEEKNLGPQHRLIHVYHFLKDTNQNQQVQNFGHPFFLAIHEGETLAEVKLRIQSKLQVPDEEFSKWRFAFLSFGRPDYLQDSDIVSTRFQRRDIYGAWEQYLGLEHTDNTPRRSNAANQNRHPFEKAVKIHV >Vigun04g081400.1.v1.2 pep primary_assembly:ASM411807v1:4:12271954:12272658:-1 gene:Vigun04g081400.v1.2 transcript:Vigun04g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSCSIGDITVRESSPTATKVLWTFTKINIHDQDLNVDFAEVIKSLDMDVIYLVGRRRGVECKLLVSQKDETTKLGQGWRKFCSQNRLKEGDRLVFEVDHVQKQPVVEVYINGCYCDVAKSIDLV >Vigun05g167650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:28243121:28243612:-1 gene:Vigun05g167650.v1.2 transcript:Vigun05g167650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPKTVETLTPTTSGIDPLPPCMATSSTEHSKGRLDVWGHFTKQKPYFEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRQKLSSSTTEGASVGPSPTISKFDQNASRMKLVKMFVKSELPFRFMEDEDFRDFVRSLQPRFEVPSRTTLRREM >Vigun07g021200.1.v1.2 pep primary_assembly:ASM411807v1:7:1805989:1808144:1 gene:Vigun07g021200.v1.2 transcript:Vigun07g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNELNRSVDFEKFSWRIEDFSKQNIMKLKSKPFKIRGCTWRILVHPLRSDVNHFSVYLMVADSLPPYGWSRNTFFKLVLINQVDNNKSIEKETKQKFNGGHRSWGSFFLNLSDFYNHNQGYLVRNTCIIEAHICVSDLAPNIQVHPNCSPSHDSSSGGQATETSSDSISPRTSGSSSAEGETQNGCCSNSLTLREVVDLESLAAEEEAFIPLLEEVCIWHPNLIQCQKERTRRFRQWAFASLAQVLHFLKTKRVKDMTEKDIKNLHDLWKELVKSSGFDLAWLEPYVQSALGLKAYMEKAKQLKKLKDTVVGLEIKMKRLRGELAAAERQFEVSRRELSEVRRGFNEMDTNSPIGYAMF >Vigun11g178500.1.v1.2 pep primary_assembly:ASM411807v1:11:38278467:38282287:1 gene:Vigun11g178500.v1.2 transcript:Vigun11g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGVVGSNRDMRSSKSYTLLLFLFVWFRLAKCSSFSDMDALLKMKDSMKGNKAKDDALSDWKFSASLSAHCSFSGVECDQDLRVVAINVSSVPLFGHLPPEIGQFDKLQNLTISQDNLTGELPKELAALTSLKLLNISHNTFSGNFPGQIVLPMTQLEALDAYDNNFTGPLPEEIVKLEKLRYLKLDGNYFSGSIPESYSEFESLEFLSLSTNSLSGKIPKSLSRLKTLKFLKLGYNNAYEGGIPPEFGAMKSLKYLDLSSCNLSGEIPPSLSSLTNLDTLFLQMNNLTGTIPSELSSMESLMSMDISFNGLTGEIPESFSQLRNLTLMNFFHNKLRGSVPLFVGELPNLEALQLWENNFSFVLPQNLGQNGKFKFFDVTSNHFTGLIPPGLCKSGRLQTFVITDNFFHGPIPDDIGNCKSLLKIRASNNYLSGAIPSGIFKLPSVTIIELANNRFNGELPPEISGDSLGILTLSNNLLTGRIPPALKNLKALQTLSLDANQLVGEIPPEVFLLPTLTNANISGNNLTGEIPTTLIHCISLNLVDLSRNMLVGEIPKGMKNLRSLGVLNVSQNLLTGPIPDEIRFMSSLTTLDLSYNNFNGKLPTGGQFLAFSDKSFAGNPNLCSSRSCPSSSMYPDDALRKRRGPLSSKSTRVIITVIALATAALLVAVTVYMMRRRKLLRAMTWKLTAFQRLNLKAEDVVECLKEENIIGKGGAGIVYRGSMPNGTDVAIKRLVGAGSGRNDYGFRAEIETLGKIRHRNIMRLLGYVSNKETNLLLYEYMPNGSLGEWLHGAKGGHLRWEMRFKIAVEAARGLCYLHHDCSPLIIHRDVKSNNILLDADFEAHVADFGLAKFLHDPGASQSMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIIGRKPVGEFGDGVDIVGWVNKTRTEISQPSDAALVLAVVDPRLSGYPLTSVIYMFNIAMMCVREMGPARPTMREVVHMLTNPPHSTTHTHTHNLINL >Vigun06g020700.1.v1.2 pep primary_assembly:ASM411807v1:6:9815107:9819889:1 gene:Vigun06g020700.v1.2 transcript:Vigun06g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECISGAFLTAIFQVTFDKLASRDIEDYFHGRKIKDKMLKKLEIMLNSINQVLEDAEERQYKSPNVMNWLDQLKEAMYEAELLLDEVANETSRQKLEAEFQPATSKDMLGLRKGIFAGNEVGVSWKQSQQLPTTSLVDESSICGREEEKEEIIKILLSDNVTCNQVPIISIVGMGGMGKTTFTQLVYNDQRVLDQFDLIAWVYVSQDFDVVAVTRAILKALGSKGAEEKDLNLLQLQLKQTLMGNRFLLVLDDIPFIYGRSGSRIIITIRNEKVALIMNSSHLYHLKPLEKEDCWKLFSDVAFHDKDATKYPYLVSIGNKIVDKCGGLPLALKALGNILRVKFSQHEWVKILESDMWHLFDNDANINPALRLSYHNLPSYLKHCFAHCSIFPKGYEFDRDQLIQLWMAEGLLSCCQINMSEDELGTEFFNDLVARSFFQQSRHRASCFTMHDLLNDLAKSVSGEFCSQISDSLEKNITKRTCHISWSHKINIDDKFLEHVSKCNRLRCLINIDKQRVLFSTLKYLCVLSFNDCLLTELVDDIGNLKLLRYLDLSYTKIKILPNSLCRLYNLQTLLLLWCYHLVELPIDLHKLVNLRHLDMRMSGINKMPNHIGRLKHLRTLNSFFINKHDVKELGNLNNLQGTLSIFRLENHLDGLVLNWGDKFGRCNENEDSILERQVLEALQPNGNLKKLSVLRYDGTSFPGWFGASHLPNLVSIALTESKFCFVLPPFGQLPSLKELSISCFYGIEVIGPEFCGNDSSNIPFRSLEILKFEEMSAWKEWCSFEGHKLSVRRCPWLRRALPQHLPSLQKLEICDCQHLEDSVPKAGSIHEIKLRLCEKPFLKDLPSNLKKTTIHGTCMIECCLHQILVNNPFLEEMKIHNFHGPNKKWSSLDLHRHDSLVTLSITSWYSSSLPFALHLFSNLHSLLFHDCPHLESFPEGGLPSSLRKFEIEHCPKLVASREKWGLFKLHSLTELRISDDFENVESFPEDMLLPPTLSVLYLIASTLSNSLFVLYIHECPLLKQRYQRDGKHWHEIHNIPSVIMS >Vigun05g115200.1.v1.2 pep primary_assembly:ASM411807v1:5:12204152:12206227:-1 gene:Vigun05g115200.v1.2 transcript:Vigun05g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSLKEKKWSLHAMTALVTGGTRGLGHAIVEELAEFGAAVHVCARSQEDIDKCLEQWKSKGLNVTGSVCDLLYPDQRKRLMETVASIFHGKLNILVNNAAISISKKIIDYTAEDISTVMGTNFESSYHLCQLAHPFLKQSGYGSVVFISSVAGVKAVPVLSIYAASKGAMNQFTRNLALEWAKDNIRANAVAPGPVMTVLSKSLMDSSDEGYKIVTEAASRTFVGRMGEPKEISALVAFLCLPAASYITGQVIVADGGYTV >Vigun05g115200.2.v1.2 pep primary_assembly:ASM411807v1:5:12204152:12206227:-1 gene:Vigun05g115200.v1.2 transcript:Vigun05g115200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSLKEKKWSLHAMTALVTGGTRGLGHAIVEELAEFGAAVHVCARSQEDIDKCLEQWKSKGLNVTGSVCDLLYPDQRKRLMETVASIFHGKLNILVNNAAISISKKIIDYTAEDISTVMGTNFESSYHLCQLAHPFLKQSGYGSVVFISSVAGAMNQFTRNLALEWAKDNIRANAVAPGPVMTVLSKSLMDSSDEGYKIVTEAASRTFVGRMGEPKEISALVAFLCLPAASYITGQVIVADGGYTV >Vigun04g128200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32278002:32278959:1 gene:Vigun04g128200.v1.2 transcript:Vigun04g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTFSILLFSLSMITVSHAPLPASGQALHEAICKETKENEARCLELLKEDPNIAAAKDSKELTKLILKLALKKGTETQNFLKELMKTNPSPDLKQCATTLYDGVVGSFKSALGELGEDDLTASYDAGVAGDGPTTCERALSAAKISDPSIEAHDKDMLLLSGIAFKSIEKLPS >Vigun03g401600.1.v1.2 pep primary_assembly:ASM411807v1:3:60870353:60873576:1 gene:Vigun03g401600.v1.2 transcript:Vigun03g401600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGREREATKVAYTVEQLVAFNRYNPDILPDLENYVNDQVSSQTYSLDANLCLLRLYQFEPEKMSSQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKSRHIVEAVPGFEQAIQGYAVHVLSLTYQKIPRTVLAEAINIEGLSLDKFLEHQVANSGWVIEKSQGRGQLIVLPRNEFNDPALKKNAADSVPLEHITRIFPILS >Vigun10g067200.1.v1.2 pep primary_assembly:ASM411807v1:10:15630093:15633832:-1 gene:Vigun10g067200.v1.2 transcript:Vigun10g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACWSSGTKAVSPSSAGFTSRSVSREGYDIHSSSRNSSTSIPMTPRSEGEILQSSNLKSYSYNDLRIATKHFSPDNVLGEGGFGSVFKGWIDEHSLSVTKPGIGIVVAVKKLNQEGFQGHKEWLAEINYLGQLQHPNLVKLIGYCLEDQHRLLVYEYMPKGSVENHLFRRGSHFHQLSWTLRLKISLGAARGLAFLHNSETKVIYRDFKTSNILLDTNYNARLSDFGLARDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEQCLVEWAKPYLANKRRVFRVMDSRLEGQYSLNQAQRAATLAFQCLSVEPKYRPNMDEVVRVLEQLRDSNDEVKNGDHNKKRRLSGSGSGHGHPNGLPTSASTGSSDAPKKFAYPRPSASFL >Vigun05g251200.1.v1.2 pep primary_assembly:ASM411807v1:5:44522638:44527562:-1 gene:Vigun05g251200.v1.2 transcript:Vigun05g251200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAYCISHFPKLLLLPSLPHSPSRSSALLPPPKPLPQNHAVPKTLRACPSSRVKPTPPVRCSNVAVAEPAPKVGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVVLINSNPATIMTDPETADRTYITPMTPELVESVLESERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLDAIKKAEDRELFKQAMTNIGIKTPPSGIGTTLEECLNIANEIGEYPLIVRPAFTLGGTGGGIAYNREDLLEICKAGLAASLTTQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGEAMAVGRTFQESFQKAVRSLEYGYAGWGCAQVKELNYDLEQLKYNLRVPNPERIHAIYAAMKRGMQIDEIFELSYIDKWFLTQLKELVDVENFLLSYNLSDLTYVDFFEVKKRGFSDKQIAFATKSSEKEVRNRRLSLGVTPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTTRKKVLILGGGPNRIGQGIEFDYCCCHASFALQDAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDVLNIIDLERPDGIIVQFGGQTPLKLSLPIQQYLDEQKPACASGVGHVRIWGTSPDSIDIAEDRERFNVMLHDLKIEHPKGGIARSETDALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLIDKYLSDACEIDVDALADSQGNVVIGGIMEHIEQAGIHSGDSACSIPTRTVPSTCLETIRSWTVNLAKQLSVCGLMNCQYAITPSGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKTLHDLQFTKEVIPKYVSVKEAVLPFSKFPGCDVFLSPEMRSTGEVMGIDPSYNVAFAKAQIAAGQKLPLSGTVFLSLNDLTKPHLQKIAKAFVENGFRIVATSGTAHVLKLANIPAEPVLKLHEGRPHAGDMIANGDIQLMVVTSSDDALDRIDGLALRRMALDYKVPIVTTVNGALATAEAIQSLKANSIKMIALQDFIDGEFKQ >Vigun03g016500.2.v1.2 pep primary_assembly:ASM411807v1:3:1153003:1155143:-1 gene:Vigun03g016500.v1.2 transcript:Vigun03g016500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNQELKSDLKDLYINSAIQIDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVILVATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDVVLPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEYPATEA >Vigun03g016500.1.v1.2 pep primary_assembly:ASM411807v1:3:1153003:1155143:-1 gene:Vigun03g016500.v1.2 transcript:Vigun03g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPTEFEESVGQALFDLENTNQELKSDLKDLYINSAIQIDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVILVATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDVVLPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEYPATEA >Vigun11g016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2073644:2074877:1 gene:Vigun11g016400.v1.2 transcript:Vigun11g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRKHANVEDEPVFSLRRERGFAPTYLCLFQEFWCPDLFIEGVKSFQKCFEAKDSDAVVASFPKTGTTWLIALTFAIVNRKHFSAQNHPLLTSNPHTLVSSLESKIFFGDETHDPLLHLSNMLEPRLFSTHTPFTALPKSLIQSNAKIIYICRNPFDTFLSSWIYFNKLMLKPLPALELEEAFEMFCDGRVLFGPWWSHMLGYWKESLARPNKVLFLKYEDLKENVNFHVKSIAEFLGCPFSAEEESDGDIESIMKLCSFEKMKDLEVNVSGKLDKFIDNKLFFRKGEIGDWVNYFSPSMITKLSKVIEEKLSGSGLSFKMYA >Vigun03g003100.1.v1.2 pep primary_assembly:ASM411807v1:3:215272:219426:1 gene:Vigun03g003100.v1.2 transcript:Vigun03g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKWLFLSLALSFSLLTLSLSVAARQFVLVLSQEDFKDDPGAEPDSSAEWDELGDGDAHKSEEDLDPGSWRPIFEPPTGDPQPLSESDAAYYSAVDKLMSGNPALIEEGAAEIAAAAETGHPASQSVLGFLWEMGLLRERSKGKAFLYHHFAAEGGIMQSKMALAYKYTRQDMFDKAVNLYAELAEVAVNNFLISKDSPVIEPVRLHNGAEENKEALRKSKGEEDEDFQILEYQAQKGNAAAMYKVGLFYYFGLRGLRRDHSKALSWFLKAVEKGEPRSMELLGEIYARGAGVERNYTKAFEWLTLASRHQLYSAYNGMGYLYVKGYGVDQKNYTKAKEYFEKAAENDEVGGHYNLGVMYLKGIGVKRDVKLACKFFVVAANNGQPKAFYQLAKIFHNGVGFKKNIHLATALYKLVAERGPWSSLSRWALESYLKGDLGKAFMLYSRMAEMGYEVAQSNAAWILDKYGERSMCMGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTARDYERAAEAYQHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDEALDHDRAAKLPVTLALSSLWIRKNYADSFMVHVIDSLPELYPKLEAWVENVLMEEGNATILTLFACLITVLYLRERQRRQAGVAAGEVAQPNHPNELGVPAL >Vigun06g095600.1.v1.2 pep primary_assembly:ASM411807v1:6:22668206:22669629:1 gene:Vigun06g095600.v1.2 transcript:Vigun06g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQKKEPVHVYHDDVLDVVGSFSSSSSDSSTSSSVSEISSGLSSLECDSFEEVTSSPSSSSADHHHQQQLVVVPDPFSDMSSLFQQLPIKRGLSKFYEGKSQSFTSLTNVRSLEDLAKAENPYNKRLKSCKSYGGGLAEKEGVSMAVSKRGMMHSAGSRASCSSLNARKGSVTNFIGSRPPIPPHRSTTANTIPNQTVLFA >Vigun08g018800.2.v1.2 pep primary_assembly:ASM411807v1:8:1593348:1597849:-1 gene:Vigun08g018800.v1.2 transcript:Vigun08g018800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQLCKGKPRTKRRPMGFIHNQASPSHTGIRTVGVEKVCNFSTDSDLCISIVTWNMNGQVTFEDLAEMVGSNREFDLLAVGLQEAPPCPRNKVATMLSAALDESHTLIGKVMMQSLQLYLFGPKDARSFINELKVDKESFGGCGGIIGRKKGAVAIRINYKGIRMVFISCHLAAHERNVEERNSQCRHISHTLFSKFWNPYARPSHIIIWLGDLNYRLQGIHTYPARTLIQQHLHKRLHNKDQLLQEARRGQIFNGFCEGTLTFKPTYKYNKGSSNYDTSHKVRVPAWTDRILYKVEDENKMEATLHSYESIDKVYGSDHKPVKAHLCLRLRQIPTKT >Vigun08g018800.1.v1.2 pep primary_assembly:ASM411807v1:8:1593348:1597849:-1 gene:Vigun08g018800.v1.2 transcript:Vigun08g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQLCKGRKPRTKRRPMGFIHNQASPSHTGIRTVGVEKVCNFSTDSDLCISIVTWNMNGQVTFEDLAEMVGSNREFDLLAVGLQEAPPCPRNKVATMLSAALDESHTLIGKVMMQSLQLYLFGPKDARSFINELKVDKESFGGCGGIIGRKKGAVAIRINYKGIRMVFISCHLAAHERNVEERNSQCRHISHTLFSKFWNPYARPSHIIIWLGDLNYRLQGIHTYPARTLIQQHLHKRLHNKDQLLQEARRGQIFNGFCEGTLTFKPTYKYNKGSSNYDTSHKVRVPAWTDRILYKVEDENKMEATLHSYESIDKVYGSDHKPVKAHLCLRLRQIPTKT >Vigun11g169300.1.v1.2 pep primary_assembly:ASM411807v1:11:37567222:37569188:-1 gene:Vigun11g169300.v1.2 transcript:Vigun11g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMGMGEAFAMSKIRREDLVKRVVIMAVMAMFVAVSADTNDVYSPCLDAKVQKGDGFTFGIAFSDKHVFAPDNGPHLSPCDKRLELTNKGAQVAAFRPKVDEISLLTINRSTIDPGRNGYMVAFAGKKYAARSLPIMFADHSHIITSFTLVLEFQEGTLQNLYWKSFGCDACPKGSSCLNQQDCAVPNKECQKDGEDICNIGIQLTFSGTDKNLDALNSWYEVKNLRQYSLYGLFSDLRDSIIGPYEKLF >Vigun03g433666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63787252:63787999:1 gene:Vigun03g433666.v1.2 transcript:Vigun03g433666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVPCEPDKSVLELPGLPRGAKAFLHVVKFCYGVKMELNASNVVGLRCAAEYLQMSENYGEGNLIMQTEKFLNHVFGYWTDTLIALKTCEEVLPMAEELHIASRSINSLVQKVADQIISSFISTFYNTDFTLSNIIIY >Vigun11g071466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20582625:20582957:1 gene:Vigun11g071466.v1.2 transcript:Vigun11g071466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun09g184400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35805121:35806551:-1 gene:Vigun09g184400.v1.2 transcript:Vigun09g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGFFEGGVASIVAGCSTHPLDLIKVRMQLQETHILRPAFALHAPTPVPPPPPSGPISVGVRIVQAEGVAALFSGVSATMLRQTLYSTTRMGLYDVLKRHWTDPEQGTMPLSRKITAGLIAGGIGAAVGNPADVAMVRMQADGRAPAAERRNYKGVFDAIRRMSNQEGVGSLWRGSALTVNRAMIVTASQLASYDQFKETILGNGWMEDGLGTHVAASFAAGFVASVASNPIDVIKTRVMNMKVGAYNGALDCAMKTVKAEGPLALYKGFIPTVSRQGPFTVVLFVTLEQVRKLLKEF >Vigun06g027800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12325498:12326055:-1 gene:Vigun06g027800.v1.2 transcript:Vigun06g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPARKPSHGSKKKSRSTSPMEESPESCVNVYTFNVAPNSDIMECISDIASREQVSVTVMSASGKINKVSLQSSSNGEPDVMLHGPLTLVSLTGSYLYKNEYTLHPGATPPLPLSFGINLSTMGGNVFCGVVGGRLIAGDNVKLTVSTYTNPNILKYSAETHENGHNHNHHNENHKNKNSGNEQ >Vigun09g061900.1.v1.2 pep primary_assembly:ASM411807v1:9:6433278:6435621:1 gene:Vigun09g061900.v1.2 transcript:Vigun09g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRVSFMIVASVAAMTISRSKTSFSTRKKEQEFEEWENSTVKVNNKVIQNEEKEINFEMQKNLLTGEFKDLELLIGGEKMHNLTVKEIVQNLVPNYKRREAKLERKLLELNGLREEQSAIAQMQKQLEEKTEKVYFLEKTIASFQLDTEIMREKIRDDRMSKKQLVIAKKMINEMQRKKGDAGPVKEQILVLQQQVTELQKFQSSGGNSSTKKKLKDVQDIEVEVLELKRRNKELELEKRESKVKLVTAQARIRTEEETRSRIEEEIAGLQDVHEELSELVETLQRNRFDMVEEVVYQRWLNTLLRYEIQDNQRKSRKASRRDCSKNSSKELCEKKHSSTSDISDLELESVSSNATLDESDEIETTTFASSSSSSQSSNSSMKMKGWRKTILHSNTASKLLENPIQAKKKRVSFSDSVKLCTHSDIAEVVESAEDEKEKIVELASNVVNSTNIDSIEENEGARNIVVHSDELYSRNEPISSIDFRGKIIVVYLVTFLFFTLILLACVWIR >Vigun09g061900.2.v1.2 pep primary_assembly:ASM411807v1:9:6433278:6435621:1 gene:Vigun09g061900.v1.2 transcript:Vigun09g061900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNLLTGEFKDLELLIGGEKMHNLTVKEIVQNLVPNYKRREAKLERKLLELNGLREEQSAIAQMQKQLEEKTEKVYFLEKTIASFQLDTEIMREKIRDDRMSKKQLVIAKKMINEMQRKKGDAGPVKEQILVLQQQVTELQKFQSSGGNSSTKKKLKDVQDIEVEVLELKRRNKELELEKRESKVKLVTAQARIRTEEETRSRIEEEIAGLQDVHEELSELVETLQRNRFDMVEEVVYQRWLNTLLRYEIQDNQRKSRKASRRDCSKNSSKELCEKKHSSTSDISDLELESVSSNATLDESDEIETTTFASSSSSSQSSNSSMKMKGWRKTILHSNTASKLLENPIQAKKKRVSFSDSVKLCTHSDIAEVVESAEDEKEKIVELASNVVNSTNIDSIEENEGARNIVVHSDELYSRNEPISSIDFRGKIIVVYLVTFLFFTLILLACVWIR >Vigun08g156900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32961962:32963833:1 gene:Vigun08g156900.v1.2 transcript:Vigun08g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASLSAPTLLSKCTVFPDQTSTMANLKLSVSDLPMLSCHYIQKGCLFTQPNIPSHTLIPLLKSALSRTLSLFPPLAGRLTTDSNGYVYIACNDAGVDFIHANAAAFRVCDLLSPLDVPECFKEFFTFDRKVSYTGHYSPILAVQVTELADGLFIGCAVNHAVTDGTSFWNFFNTFAHLCRASNKSFRNIPDFSRESALISDAVLRLPEGGPQVTFDSHAPLRERIFSFSREAIQKLKAMTNNRRWPENNGTAVELMRKQSNDQFHHAKESNGKAGTILENWFKVNSNSKPQTVTETVEISSFQSVCALLWRAVTRARKLPASKTTTFRMAVNCRHRIEPKLEPYYFGNAIQSVPTYASAGDVLSRDLRWCAEQLNANVKAHDDAMVRRFIDDWEQKPRCFPLGNPDGASITMGSSPRFPMYDNNFGWGRPLAVRSGRANKFDGKISAFPGRDGTGTVDLEVVLAPETMEALESDPEFTKYATCQL >Vigun09g270200.1.v1.2 pep primary_assembly:ASM411807v1:9:43259256:43262123:-1 gene:Vigun09g270200.v1.2 transcript:Vigun09g270200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYHTTSSFHQTYRCYSASFIEKPEIENGDKIIMPASALDHLAFLRIDYPMMFELTNGASERVSHCGVLEFTADEGTIYMPYWMMQNMLLQEGDTVRVKYASLPKGTYVKLQPHTKDFFDISNPKAILETTLRKFSCLTTGDTIMVTYNNKRYYLDIIETKPAKAISIIETDCEVDFAPSLDYKDLGKPNIADKTQNKGKFNPFFGTARRLDGKPIPAVYSSRHKNKDVPNVNALSSTTSPSGGKLVFGSNFYRTKETGKEEIEPKQEPSQQKQPKFQPFIGRKYSLRG >Vigun01g009200.3.v1.2 pep primary_assembly:ASM411807v1:1:1080485:1083052:1 gene:Vigun01g009200.v1.2 transcript:Vigun01g009200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFHSSSCRNHHHTVVPPSPRLVRSRSGNGSATTAMTTPERSSRRFSSSERFTITTIQRSKSTSRTRTPNNNEGNNIINLTLTTSIPNKQTSTRLQDKKNKDDTFANSVGASKRTSSPSAWALSPGRWSFGSPIWPQPMTKAPLRSSSSSVGGSVNKVLKYFKQKKVSPMQEEEYHQFRVLHNRLLQWRFINARSNVAMANVKNMTEIQLFAVCVGIVKLRKIRTEKRVELRKVKNVMKLYKILNGQLYLLSEWAQLERRNQESVAKLTRKLLAFSNLIPLTYLKVDTESISDALNSAIVVIENMKPLITKYQTKVERILYQITELTTTLKEEEEYLEELLTLLPIISTSLETEKSIGVQLIQTVTESNTTNYFHGIA >Vigun01g009200.1.v1.2 pep primary_assembly:ASM411807v1:1:1080485:1083052:1 gene:Vigun01g009200.v1.2 transcript:Vigun01g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFHSSSCRNHHHTVVPPSPRLVRSRSGNGSATTAMTTPERSSRRFSSSERFTITTIQRSKSTSRTRTPNNNEGNNIINLTLTTSIPNKQTSTRLQDKKNKDDTFANSVGASKRTSSPSAWALSPGRWSFGSPIWPQPMTKAPLRSSSSSVGGSVNKVLKYFKQKKVSPMQEEEYHQFRVLHNRLLQWRFINARSNVAMANVKNMTEIQLFAVCVGIVKLRKIRTEKRVELRKVKNVMKLYKILNGQLYLLSEWAQLERRNQESVAKLTRKLLAFSNLIPLTYLKVDTESISDALNSAIVVIENMKPLITKYQTKQVERILYQITELTTTLKEEEEYLEELLTLLPIISTSLETEKSIGVQLIQTVTESNTTNYFHGIA >Vigun01g009200.2.v1.2 pep primary_assembly:ASM411807v1:1:1080485:1083052:1 gene:Vigun01g009200.v1.2 transcript:Vigun01g009200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPFHSSSCRNHHHTVVPPSPRLVRSRSGNGSATTAMTTPERSSRRFSSSERFTITTIQRSKSTSRTRTPNNNEGNNIINLTLTTSIPNKQTSTRLQDKKNKDDTFANSVGASKRTSSPSAWALSPGRWSFGSPIWPQPMTKAPLRSSSSSVGGSVNKVLKYFKQKKVSPMQEEEYHQFRVLHNRLLQWRFINARSNVAMANVKNMTEIQLFAVCVGIVKLRKIRTEKRVELRKVKNVMKLYKILNGQLYLLSEWAQLERRNQESVAKLTRKLLAFSNLIPLTYLKVDTESISDALNSAIVVIENMKPLITKYQTKETEKSIGVQLIQTVTESNTTNYFHGIA >Vigun08g009000.1.v1.2 pep primary_assembly:ASM411807v1:8:806781:813230:1 gene:Vigun08g009000.v1.2 transcript:Vigun08g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGDGAALSVSGSFKEGRGSARRRGGVRPPSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRLSERLREKAVEELTEELSKVEGKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIEAERTVQFALAKASMVDDLQNKNQELIKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERAAKSEAQLKEKYHLRLKVLEESLRGNSNSSIRGTPEGRSVSNGRRQSLGGADNFSKLSSNGFLSKRSPSSHMRSSLSSSTVLKHAKGTSKSFDGGTRSLERSKMLLNGTPPIYSFNQSLEETKEREPSDNWKGNSDDKQNDFPTVDSVDSVPSVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLGRKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQENRAKRFSNVKGSVNSAHQQLISGRNVTRGGLTRSTQ >Vigun11g195800.1.v1.2 pep primary_assembly:ASM411807v1:11:39540462:39543856:-1 gene:Vigun11g195800.v1.2 transcript:Vigun11g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGTGTGTGTATGCYKCGRPGHWSRDCPFSAPNSNPNPSASTNPTPDPSSSSFKPRSAAEKPKKLPRTRPKLTTEMLLSDDGLGYVLRYFPRQFKYRGRGHEVRDLGNLLQLYTEWHSRLLPYYSFNEFIHKVEKVGATRRVKNCIMELRERVASGGDPTKLREPPVVDDISIDEQGFAGSAEDGGATHQETDVFADSLNVTDIQEEMLNDIYDKATEQPSQSTDNVIGTSMASESNATERKAEKVQNNGTSESGKAEITEEQRARMEANRLKALQRRAARASSSQVP >Vigun07g288300.2.v1.2 pep primary_assembly:ASM411807v1:7:40095014:40104631:-1 gene:Vigun07g288300.v1.2 transcript:Vigun07g288300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLCLTGGIEVHNAIVSSLQDLATAFSSYEDEVLVKREELLQFAQGAISGLKINSDVARIDSEASTLKKKLTEIITSKGSVNKVGFKAAEETTATLEALKIALAQIRICSRLEALLLKKKNLSNGDSPEVHAQKVDKLKVLTESLANSAVKAEKRILENRVQKEEALKVRVTKDGEASEKEKELTAEISELKRKKEDLEAELKKVNTSLAAAQARLWNVREERDQFEEANNQIVEHLKIKEDEYSKSINSCKVEADVIKTWINFLEDTWVLQQSNAETNDKLVNDELERHENFFVNLAIQLLTGYQKEIEPSINHIGTFVMNLNNLNQRLVTHSPDTEDSEGLSPRKNLEEEYLTYEAKIITTFSVVDTMKQQFYAQHGKVSRNDEERVKELFDAIEKLRTKFESIERPILDIESPAKLETPPSEKIFDGATSLSAPAHGAHRSKPETDEQPKSSSVKAEQVLDHEAELAKLESEIGKVSDEYSSEEIGDWEFDELEKELSSS >Vigun07g288300.1.v1.2 pep primary_assembly:ASM411807v1:7:40095014:40106542:-1 gene:Vigun07g288300.v1.2 transcript:Vigun07g288300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNNNNLTRTVKNYADTVVQQAGQAVAEGAKILQDRIGAKNFRSVEQTIKRLEDAAISYRGPERVQLLRRWVAVLQEIQKLSEASLVEGKERTLEQHLAVEEAKENPSRPSMVLYYDSDVGGEPLNFRDVFLQSQALEGIALSMIIHAPDEEEVSLLLEMFGLCLTGGIEVHNAIVSSLQDLATAFSSYEDEVLVKREELLQFAQGAISGLKINSDVARIDSEASTLKKKLTEIITSKGSVNKVGFKAAEETTATLEALKIALAQIRICSRLEALLLKKKNLSNGDSPEVHAQKVDKLKVLTESLANSAVKAEKRILENRVQKEEALKVRVTKDGEASEKEKELTAEISELKRKKEDLEAELKKVNTSLAAAQARLWNVREERDQFEEANNQIVEHLKIKEDEYSKSINSCKVEADVIKTWINFLEDTWVLQQSNAETNDKLVNDELERHENFFVNLAIQLLTGYQKEIEPSINHIGTFVMNLNNLNQRLVTHSPDTEDSEGLSPRKNLEEEYLTYEAKIITTFSVVDTMKQQFYAQHGKVSRNDEERVKELFDAIEKLRTKFESIERPILDIESPAKLETPPSEKIFDGATSLSAPAHGAHRSKPETDEQPKSSSVKAEQVLDHEAELAKLESEIGKVSDEYSSEEIGDWEFDELEKELSSS >Vigun11g223950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41477466:41480896:-1 gene:Vigun11g223950.v1.2 transcript:Vigun11g223950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFYLFIYDLKSTEVVFKNSKRKLFLVSFLSNVYHRVSSTLIDSKLLGCVVCFLLGCNTNNSLFTYGLYALMRHQLDAAIVFSNLFHICTLCSMVFSLNAYLSNAVGSLGGTTW >Vigun07g073500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9354944:9355774:1 gene:Vigun07g073500.v1.2 transcript:Vigun07g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEDPLNNASAQVPEDVLEGHFVVVANKGEETKRFVVELHYLSNPSFLGLLERAREEYGFRQKGVLEIPCHPQELEEILEERRDESVGDGSKLTDSIQMS >Vigun07g073500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9355032:9355807:1 gene:Vigun07g073500.v1.2 transcript:Vigun07g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSFFGKIHDGLSEVLAPIRRSLTQMIKEDPLNNASAQVPEDVLEGHFVVVANKGEETKRFVVELHYLSNPSFLGLLERAREEYGFRQKGVLEIPCHPQELEEILEERRDESVGDGSKLTDSIQMS >Vigun10g001950.1.v1.2 pep primary_assembly:ASM411807v1:10:205246:208565:-1 gene:Vigun10g001950.v1.2 transcript:Vigun10g001950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRDEARDSEMYSQHSKEAKAKKQETMFETQSEPGRDLPAETCLSEGSVVLRFGGVSRGKSGGTIGLHATRLAEGNSGGREWRQEGVEGHEGDLEVADGEWKFAAMDDDDLEVDGLEPCDVRVLLVSFDGDEFVELGSERGKSVLGVAVWREVGVEGPAADGERVMGEDGLVVRIEEDLLGLRVTEPVLAGFE >Vigun03g245200.3.v1.2 pep primary_assembly:ASM411807v1:3:40811006:40818417:-1 gene:Vigun03g245200.v1.2 transcript:Vigun03g245200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMPVSMATTVSHSLPFSLPKLKPPSLSSPLFRRTFFPNSTLNTLPRPTISSITFPPRLTNPTRKTRHVPAKFSQADTGSTSNSSSLTGTVFGVLHLVVSLGLILAADKFLKKAFVAAAIKFPSALFGMFCIFSVLITLDATVPSAATALVSFFEPALLFIQRWLPLFYVPSLVVLPLAVKDVPAASALKIGLILVGGWLATLCVAGYTAIAVRKAVKTELVDAEPMGKPSPFSAFEVWTWTAVLIISFVSALYYPTVLGTSARTCLPFLLAATVLGYIVGTGLPSSVKKVFHPIICCALSADLAALAFGYFSKSGIEPVLGYYLTKASSNPGAGDVLMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANTSLTAAVVVLTGLVGANFVQATLDRLSFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSIPAVRQSLLAIVG >Vigun03g245200.4.v1.2 pep primary_assembly:ASM411807v1:3:40811006:40818416:-1 gene:Vigun03g245200.v1.2 transcript:Vigun03g245200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMPVSMATTVSHSLPFSLPKLKPPSLSSPLFRRTFFPNSTLNTLPRPTISSITFPPRLTNPTRKTRHVPAKFSQADTGSTSNSSSLTGTVFGVLHLVVSLGLILAADKFLKKAFVAAAIKFPSALFGMFCIFSVLITLDATVPSAATALVSFFEPALLFIQRWLPLFYVPSLVVLPLAVKDVPAASALKIGLILVGGWLATLCVAGYTAIAVRKAVKTELVDAEPMGKPSPFSAFEVWTWTAVLIISFVSALYYPTVLGTSARTCLPFLLAATVLGYIVGTGLPSSVKKVFHPIICCALSADLAALAFGYFSKSGIEPVLGYYLTKASSNPGAGDVLMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANTSLTAAVVVLTGLVGANFVQATLDRLSFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSIPAVRQSLLAIVG >Vigun03g245200.2.v1.2 pep primary_assembly:ASM411807v1:3:40811006:40818416:-1 gene:Vigun03g245200.v1.2 transcript:Vigun03g245200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMPVSMATTVSHSLPFSLPKLKPPSLSSPLFRRTFFPNSTLNTLPRPTISSITFPPRLTNPTRKTRHVPAKFSQADTGSTSNSSSLTGTVFGVLHLVVSLGLILAADKFLKKAFVAAAIKFPSALFGMFCIFSVLITLDATVPSAATALVSFFEPALLFIQRWLPLFYVPSLVVLPLAVKDVPAASALKIGLILVGGWLATLCVAGYTAIAVRKAVKTELVDAEPMGKPSPFSAFEVWTWTAVLIISFVSALYYPTVLGTSARTCLPFLLAATVLGYIVGTGLPSSVKKVFHPIICCALSADLAALAFGYFSKSGIEPVLGYYLTKASSNPGAGDVLMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANTSLTAAVVVLTGLVGANFVQATLDRLSFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSIPAVRQSLLAIVG >Vigun03g245200.1.v1.2 pep primary_assembly:ASM411807v1:3:40811004:40817682:-1 gene:Vigun03g245200.v1.2 transcript:Vigun03g245200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMPVSMATTVSHSLPFSLPKLKPPSLSSPLFRRTFFPNSTLNTLPRPTISSITFPPRLTNPTRKTRHVPAKFSQADTGSTSNSSSLTGTVFGVLHLVVSLGLILAADKFLKKAFVAAAIKFPSALFGMFCIFSVLITLDATVPSAATALVSFFEPALLFIQRWLPLFYVPSLVVLPLAVKDVPAASALKIGLILVGGWLATLCVAGYTAIAVRKAVKTELVDAEPMGKPSPFSAFEVWTWTAVLIISFVSALYYPTVLGTSARTCLPFLLAATVLGYIVGTGLPSSVKKVFHPIICCALSADLAALAFGYFSKSGIEPVLGYYLTKASSNPGAGDVLMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANTSLTAAVVVLTGLVGANFVQATLDRLSFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSIPAVRQSLLAIVG >Vigun08g010300.2.v1.2 pep primary_assembly:ASM411807v1:8:896122:900139:1 gene:Vigun08g010300.v1.2 transcript:Vigun08g010300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRSRWFMRMAEDLGKSNLESSAAAAAAAASASTTTSRRFWPSVLRWIPTSTDHIINSEKRLLSLVKTPYVQEQINIGSGPPDSRVRWFRSSSNEPRFINTVTFDSKDDSPTLVMVHGYAASQGFFFRNFDALATRFRVIAIDQLGWGGSSRPDFSCRSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVASKYALKHPEHVKHLILVGPAGFSFESERITKFLTTWKGSILNQIWESNFTPQKIIRGLGPWGPDMVRKYTSARFVTHTTGEMLTESESGLLTDYVYHTLAAKASGELCLKYLFSFGALPRSPLLDRASEWKVPTTFIYGFQDWMNYEGAQEARKNMKVPCEILRVPQAGHFVFIDNPSGFHSAVFYACRRFLSSDPDHESLPEWIISA >Vigun08g010300.1.v1.2 pep primary_assembly:ASM411807v1:8:896107:900145:1 gene:Vigun08g010300.v1.2 transcript:Vigun08g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRSRWFMRMAEDLGKSNLESSAAAAAAAASASTTTSRRFWPSVLRWIPTSTDHIINSEKRLLSLVKTPYVQEQINIGSGPPDSRVRWFRSSSNEPRFINTVTFDSKDDSPTLVMVHGYAASQGFFFRNFDALATRFRVIAIDQLGWGGSSRPDFSCRSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVASKYALKHPEHVKHLILVGPAGFSFESERITKFLTTWKGSILNQIWESNFTPQKIIRGLGPWGPDMVRKYTSARFVTHTTGEMLTESESGLLTDYVYHTLAAKASGELCLKYLFSFGALPRSPLLDRASEWKVPTTFIYGFQDWMNYEGAQEARKNMKVPCEILRVPQAGHFVFIDNPSGFHSAVFYACRRFLSSDPDHESLPEWIISA >Vigun09g072000.1.v1.2 pep primary_assembly:ASM411807v1:9:7924288:7933229:1 gene:Vigun09g072000.v1.2 transcript:Vigun09g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVRQRKSRSMANEPASFWTQANALLRKNLTFQKRNVKTNVRLIMFPFLLCLLLLLLQRLVDSQLDKAENKCGCACVRRVGDTCVEEECGIEHSDLDQVTTCAIPNPPEWPPLLQVPAPQYRAVRTDNFPFSDYPNASCRRNGSCPVTMLFTGTNQSFGEIISGNMIPTSFTAINSSSVMSSLAANVAGSASNTENTNFLEPAFFSDQPIYYLQSQCTQNSTFSISFELSAAISREQELVCAEGLRLWRNSATEVNNELYRGYRRSNLEEQIEEIAAGYDFLNSNGRVFNVSIWYNSTYKNDTGSTEIALARIPRSVNLVSDAYLQFLLGPGTKMFFEFVKEMPKPSTPINFDLASLLGGLFFTWVILQLFPIALTSLVYEKQQKLRIMMKMHGLGDGPYWMISYGYFLALSIVYMLCFVIFGSLIGLNFFTMNDYSIQFVFYFIYINLQIALAFLLASVFSNVKTATVIAYIGVFGTGLLAGFLFQFFVQDTSFPRGWIIVMELYPGFALYRGLYEFSQYSFNGDALGTDGMRWSNLSDSANGMKEVLIIMFVEWLLVLSFAYYIDQVLSTGSRKSPLFFLKGFQKKPHSSFRKPSIQRQKSKVFVQMEKPDVTQEREKVEQLLLEPTINQAIVCDDLRKVYPGRDGNPEKHAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLTKPTSGTAFVQGLDIRTHMDGIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLKGSALTEAVEESLKSVNLFYGGVADKKAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTTHSMEEAEVLCDRLGIFVDGSLQCIGNPKELKGRYGGTYVFTMTTSVDDEKDVENLVRRLSPNANKIYHISGTQKFELPKEEVKIANVFKAVETAKRSFTVSAWGLADTTLEDVFIKVARGAQAFDTLS >Vigun03g411400.1.v1.2 pep primary_assembly:ASM411807v1:3:61890299:61892363:-1 gene:Vigun03g411400.v1.2 transcript:Vigun03g411400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MQIVLLCLMILKLTPAFAATVNDAYGGDPGCALGSGIYRHTCPEAEAIIFYWVEQAVAEDSRMAASLLRLHFHDCFVNGCDASVLLDDTQDFVGEKTAGPNLNSLRGFEVIDKIKSELELLCPQTVSCADILATAARDSVLLSGGPIWEMQMGRKDSITASKDAANSNIPGPNSTVDVLVAKFENVGLTLKDMVALSGAHTIGKARCTTFSSRLQSSSDSENIDFIASLQQLCSGLDNGNIVAHLDLATPATFDNQYFVNLLSGEGLLPSDQTLVNGNDQTRQIVENYVENPLAFFEDFKHSMLKMGSLGSPSNGQIRRDCRTVN >Vigun07g108100.1.v1.2 pep primary_assembly:ASM411807v1:7:19953455:19958698:1 gene:Vigun07g108100.v1.2 transcript:Vigun07g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEHSKKSKLSWPKTLVKKWFNIKSKSEDFHADDVLYAGVNEEWSNNCSQREEYTIKKSKTERAKRRHSDRMRRGKVDFDAAQDTDVHNYRIFAATWNVAGKSPPSYLNLEDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGECHTTSPLPDPIVELDADFEGSMRQKATSFFHRRSFQSFSHSMRMDNDMSLPQAYLDRRLSVCDRMMSGHRTSDYDPNYRWASSDDENGTGDSPVATQYSPMTCKGFFSMEDRDRQTGHSRYCLVASKQMVGIFLTVWVKSDIRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDESSPQTILEHDRIIWLGDLNYRIALSYRAAKALVEMHNWKDLLENDQLHIERRQGRVFEGWNEGKIYFPPTYKYSNNSDRYAGDERHSKQKRRTPAWCDRILWYGRGLRQLSYVRGESRFSDHRPVYSMFLAEVESVSRSRIKKCSSCSSSRIEVEELLPHSHGYRYADLIFY >Vigun09g011550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:857784:858002:-1 gene:Vigun09g011550.v1.2 transcript:Vigun09g011550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTDLRMIGSEGFGLIDKFYGPSSRRRPNYWNGVCPARQGRWVFQVPNHELEDLVINSREVVSRYCWKYK >Vigun04g170620.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39480964:39482433:1 gene:Vigun04g170620.v1.2 transcript:Vigun04g170620.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDFKSDFLPTTLNPSSTYLQLSPLRPFHKPFHKTPNLKFDLHIHFSVVSLSQTLKPGSESSILGRNSPGKIRDLRCYAGRSKKSGGDGSSGGRIEGAAELRSRWRRINSARTKKYAESLFYRLKNPHGGGNYPDNFTEDELQQIGLGYDRMVRFMEKDDPNLRHPYDWYKYGQYGPYSWRGVVVGDPVRGGITDECVTLISEVRDHEEWEKIEQAEMAEDYGEKVKQLDQSKLRYFWVFVRHPRWRLSELPWEQWTLVCEVVLEAGKQRLDKWNLMGRLGNKARSAIGQCAAWMRPDIIYVKKPVFSVGLSHKMVFSSH >Vigun11g201800.3.v1.2 pep primary_assembly:ASM411807v1:11:39972669:39977151:1 gene:Vigun11g201800.v1.2 transcript:Vigun11g201800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRDTHLCNKGVSYERLGATMVVPLLSVFILLAFVPNGLLAVPSTVPAFLWSSHYELASETGLKESVNYQVISPHDLAKSVISEAGWSNFLCKGKNFDKPLDLALLFIGRELQSSDLSMNKHADSAILDLLKNSFARSNTSVAFPYVSASEDLLLEKTLVSGLSEACGDGTEIANVAFHGSCSVGGTNHVETTALHSIQDYLTKRMEDSHRGKTDLVVFCNGGSQPLKNVERSQSEGEVLSKLISSVEESGAKYAVLYVSDPARSIRYPSYRELQRFLEEGAESTNSTACDKVCHLKSSLLEGIFVGIVLLIILISGLCCMMGIDTPTRFETPQE >Vigun11g201800.1.v1.2 pep primary_assembly:ASM411807v1:11:39972667:39977176:1 gene:Vigun11g201800.v1.2 transcript:Vigun11g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLLSVFILLAFVPNGLLAVPSTVPAFLWSSHYELASETGLKESVNYQVISPHDLAKSVISEAGWSNFLCKGKNFDKPLDLALLFIGRELQSSDLSMNKHADSAILDLLKNSFARSNTSVAFPYVSASEDLLLEKTLVSGLSEACGDGTEIANVAFHGSCSVGGTNHVETTALHSIQDYLTKRMEDSHRGKTDLVVFCNGGSQPLKNVERSQSEGEVLSKLISSVEESGAKYAVLYVSDPARSIRYPSYRELQRFLEEGAESTNSTACDKVCHLKSSLLEGIFVGIVLLIILISGLCCMMGIDTPTRFETPQE >Vigun11g201800.2.v1.2 pep primary_assembly:ASM411807v1:11:39972667:39977176:1 gene:Vigun11g201800.v1.2 transcript:Vigun11g201800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLLSVFILLAFVPNGLLAVPSTVPAFLWSSHYELASETGLKESVNYQVISPHDLAKSVISEAGWSNFLCKGKNFDKPLDLALLFIGRELQSSDLSMNKHADSAILDLLKNSFARSNTSVAFPYVSASEDLLLEKTLVSGLSEACGDGTEIANVAFHGSCSVGGTNHVETTALHSIQDYLTKRMEDSHRGKTDLVVFCNGGSQPLKNVERSQSEGEVLSKLISSVEESGAKYAVLYVSDPARSIRYPSYRELQRFLEEGAESTNSTACDKVCHLKSSLLEGIFVGIVLLIILISGLCCMMGIDTPTRFETPQE >Vigun05g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38583121:38587821:1 gene:Vigun05g199500.v1.2 transcript:Vigun05g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIIEGLVKTSEIYSLILDMLSGFNGFNDNVQMLEMKLEELCSLEHDINKELEIEELERGKKRKREVENWLRNVQRKKTEVHGMVQELRDCGMFRHLKLIVQVRKLTGQVTDLVARGRFPEGIVGSAQESRGYALLTTELAGAMFQKNVGKIWDWLMNDGVLMIGVYGMGGVGKTSVLMHIHNMLLTAVTNFESVFWVTISQSFSIHKLQRDVAKIVGIDISKESDERKRAARLSWALMRRKRCVLFLDDVWNHFPLERVGIPVRADGLKLVLTSRSLDVCRRMNCQNSVKVEPLSMEEAWTLFVDNLGQQTTLSPEEKQVARSVAKQCAGLPLAIITMARSMRGVEEISEWRHTLEELRNTEAKQEEMEMEVLRVLRFSYDHLNDKMVQQCFLCCALYPEDFEIDRDVLIESFVDEGLVNGMTSLEAMFDEGHSIVNKLENICLLGKVENYVGGKKCMGLQDASSHIAISMMKRGCQHVEPMNNVEGYYVGSQLVKMHDLVRAMAINVMKVNNNFLVKAGLQLTKIPDEVEWSEDLEKVSLMCNWIHEIPTEISPRCPKLRTLILKHNESLTRISDSFFVHMSALEVLDLSFTDIEVLPKSVSDLSTLTALLLTSCKRLKHMPSLAKLQALLRLDLSFTAITEMPQGLEMLVNLKWLNLYAKDLVSSGKEVAKLTSLQFLILHWWSRKIKVKVEHTSCLRKLETFAANLYNMHHFNAYVKTMHIYGPRSYLLQLDTEESHGNSPWCCFAEVCFRKDVIISNCKIRTGETSLMLPLDIQRLKVERCHEIRSLCDVMSLKNATSLKRSEIADCDGPEYMFSLSCSSSCCTSLHSLESLELYSLKNLHGLCKEGEVAAQTFPPGRAFTCLKYFFIYHCPLIKKLLTPRLLAYLPNLEEITVHNCKSMEEIISVDGIDYESFGGKKSFVTNRDTIIVRHSKLVSLSLKHLPELKSISSGQMVCESLQNFRIFKCPKLARFPETATPVQILYDSF >Vigun10g104400.1.v1.2 pep primary_assembly:ASM411807v1:10:29901480:29903729:-1 gene:Vigun10g104400.v1.2 transcript:Vigun10g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLVTVACLFLPLLLLIFFQNRKGKNSKFPPGPRGLPIVGNLLSVDSTTLHLQLWELSKKYGPIVSLRFGSRKAIAVCTSELAREVLKDRDLECCGRPKLLGQQTLFFKGYDVIFSPYGEFWREIRKICVLNVLSQKQVSTFSPIRHSELKDMMKRISRNASSGKLYNFTEATISLTSTIICRILFGRSYEDNEADGSRFYSLLKGCQEMMVAFFFSDFIPSLGWIDTVTGKKARLRAIFQEVDSFFQDAIDEHLDPKRVVSPENQDITDIILQLQKQRTSSIELTDDVVKAILMDLLLASTDSSSASLVWNMTALLKNPRVMKKLQDEIRGKANGKEFLDDEDVQNLPYLRAVIKETFRYYPPGPILVQRETNEDCVIGGYEIPAKTTLYVNAWAIHKDENNWKNPFEYYPERFMDSNITFRGTDYEFLPFGSGRRVCPGMPMAIASLDLILANLLNSFDWELPNGMKPDDLDVLTDPGLTVHKRNPLHILPKRVI >Vigun05g104000.1.v1.2 pep primary_assembly:ASM411807v1:5:10362721:10364289:1 gene:Vigun05g104000.v1.2 transcript:Vigun05g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGQNPRKRHNVFGVFVDKSLGLPSSVADDHDPKKLKHNSEAPLSSIDQQAPALALPNALVSAFEENTTDGIKFQLFVSKSYSASTSTSPPPVYTLKVTSASNTNTEIEPAGNIGDGGVAPVLTETRIVAEGQFMLRSRL >Vigun06g181500.1.v1.2 pep primary_assembly:ASM411807v1:6:30113254:30117651:-1 gene:Vigun06g181500.v1.2 transcript:Vigun06g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSASYTTSGFICYGLILPLLLLSLFFFQIAWSGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKEDPLILWLPGGPGCSGFSALVLEIGPLGFKHEEYDGTIPNLFYRKHSWTKVSSIIFVDLPVFSGFTYSTTESTAQRSDWIIVHLAHQFLRKWLIDHPTFLSNEVYIGGDSYSGFLVPVIVQEISQANEKGVQPWINLQGYLLGNAATTARENNYQIPFSHGMGLISDELYESLQKNCRGEYIKVDVKNVLCSRDIESFNEALSGVSTVNILDPLCEWMDTETSWRRSLIKKYSSTNFLNTRLKLPSLNCRSYVYFLCGFWANDDNVRTALHVRQGSIGKWRRCTYDIPYKKDIPSSFEYHVNLSRMGYRSLIYSGDHDFLVPFLATQAWVKSLNYSIVDDWRQWRTNGQVAGYTRTYSNGMTFATVKGGGHTAPEYKPEECLDMFSRWLSKRPL >Vigun04g076250.1.v1.2 pep primary_assembly:ASM411807v1:4:9857453:9858270:-1 gene:Vigun04g076250.v1.2 transcript:Vigun04g076250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSSDDEDFLPTMDKDFPGGVHGRVVCSSILKPTTHFLTVVVRIKPENITRKKGD >Vigun09g018600.1.v1.2 pep primary_assembly:ASM411807v1:9:1422024:1424155:1 gene:Vigun09g018600.v1.2 transcript:Vigun09g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLSCSLLALVLIVTLRFLFQSRKFRNLPPGPNALPIIGNLNLVEQPIHRFFQRVSQKYGKIISLWFGSRLVVVVSSPAAYQECFTKHDVALANRVRSLSGKYIFYDCTTVGSCSHGEHWRNLRRITSLDVLSTQRVHSFSGIRSDETKRLINRLARNSSVDYARVEITSMFSDLTYNNIMRMISGKRFYGEETDMKNVEEAREFRDCVSEMLKLMGLSNKGDYLPFLRWFDFQNVEKRLKNISNRYDTILNKILDENRNSKDRENSMIDHLLKLQETQPEYYTDQIIKGLALAMLFGGTDSSTGTLEWAMSNLLNQPEVLEKAREELKRVVGEDRLLNESDLPKLPYLRKIILETLRLYPPAPILIPHVSSEDITVGGYNIPKDSIVIVNGWAMQRDPEHWKDATSFKPERFDEEGEEKKLVAFGLGRRACPGEPMAMQSVSYTVGLLIQCFDWKRVNDEKLDMTENNWITLSRLIPLEALCKARPLAKKIGSN >Vigun06g021600.1.v1.2 pep primary_assembly:ASM411807v1:6:10132980:10135385:1 gene:Vigun06g021600.v1.2 transcript:Vigun06g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEMLEWKGEMSQMLRQMNKTFYSNNEIFLRELINNASNALDKIQVESHINKNILDDGLIRLIPDKANKTLTITDTGIGMTKADLAYNLGVGFYSAYLIANKVIVTSKHNDHDQYILESQPDASFIVTKDINAQQPSRGTNITLFLKDNQFKYLEEITIKNLVIKYCKHISHRIYLWNESTKDDWQLINIWLHNPKRDNNFLAQKLMNHVPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENDHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYKSSLFSISGERFQNMEKLNWPSQIEEDYPKGIGILGSSSFNGFLCLYVKGMRQFGYLWNPTTREFKAIPPSPFENAPHYVDIEIYYHGFGYDCVRDDYKVIRKVLFWAISDDDVYVNDPFLLNFVWEMYNIRSNSWTKLQLDSSIPSNRADNNKFYLEGMCHWLGYGDGLIQHLVSFDLINKVCIMTPPPLDIPMEIYDNFNMNFVRRQLFMLNESIALMSNYAETKIFYISILVEVGKKETWTKLFVFGPIPYIAFPIGTRNMGNILFQTHDGDVAWFDLSTHMVQKLGVNIHGGYSQLVVNDCLS >Vigun01g064350.2.v1.2 pep primary_assembly:ASM411807v1:1:16933447:16951076:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRSMTLAEKQQLQSLIQKLPARNLVRVVELLRRNRPAEEQSCDEVIVDLETEDNASLWRLYYYVEAVDKAKSLSCSLVD >Vigun01g064350.1.v1.2 pep primary_assembly:ASM411807v1:1:16933448:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGTRSETKNKGGEEPSLQTRELIKGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRSMTLAEKQQLQSLIQKLPARNLVRVVELLRRNRPAEEQSCDEVIVDLETEDNASLWRLYYYVEAVDKAKSLSCSLVD >Vigun01g064350.5.v1.2 pep primary_assembly:ASM411807v1:1:16933415:16951076:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRFRSNGTNITRQQILGHWWILFHLCLANILLYSFIMSLL >Vigun01g064350.4.v1.2 pep primary_assembly:ASM411807v1:1:16934956:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGTRSETKNKGGEEPSLQTRELIKGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRFRSNGTNITRQQILGHWWILFHLCLANILLYSFIMSLL >Vigun01g064350.6.v1.2 pep primary_assembly:ASM411807v1:1:16933415:16951076:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRFRSNGTNITRQQILGHWWILFHLCLANILLYSFIMSLL >Vigun01g064350.14.v1.2 pep primary_assembly:ASM411807v1:1:16933447:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTGIWKKNLSSFWIL >Vigun01g064350.7.v1.2 pep primary_assembly:ASM411807v1:1:16934957:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGTRSETKNKGGEEPSLQTRELIKGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTVIFLELLCFQSCYYHHHL >Vigun01g064350.9.v1.2 pep primary_assembly:ASM411807v1:1:16934956:16951073:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTVIFLELLCFQSCYYHHHL >Vigun01g064350.12.v1.2 pep primary_assembly:ASM411807v1:1:16933415:16951076:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTGIWKKNLSSFWIL >Vigun01g064350.10.v1.2 pep primary_assembly:ASM411807v1:1:16934956:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTVIFLELLCFQSCYYHHHL >Vigun01g064350.13.v1.2 pep primary_assembly:ASM411807v1:1:16933447:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTGIWKKNLSSFWIL >Vigun01g064350.3.v1.2 pep primary_assembly:ASM411807v1:1:16933415:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTLGYMEEKLEFLLDTIMLKCRSMTLAEKQQLQSLIQKLPARNLVRVVELLRRNRPAEEQSCDEVIVDLETEDNASLWRLYYYVEAVDKAKSLSCSLVD >Vigun01g064350.11.v1.2 pep primary_assembly:ASM411807v1:1:16933415:16951076:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTGIWKKNLSSFWIL >Vigun01g064350.8.v1.2 pep primary_assembly:ASM411807v1:1:16934956:16951070:-1 gene:Vigun01g064350.v1.2 transcript:Vigun01g064350.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGTRSETKNKGGEEPSLQTRELIKGFTASEKYERVGPDYFGYYSSEIANLLSQDDDAFPVSDKIPELPKSKYEEGRKKSLINQGDNSGPLYSNGVGAGLSDFTKDRLKSLLRQSVISLSSEVDEMVEPVFAAYHLQSRIKCKSDSLNCRVIASDDLVQGPSKRIKVSSSSSSARLLPQTSNVNPQRSTKISDDVQFLLENDSAEVAEMVKRHSSELSKTGIWKKNLSSFWIL >Vigun01g050650.1.v1.2 pep primary_assembly:ASM411807v1:1:8043288:8045031:-1 gene:Vigun01g050650.v1.2 transcript:Vigun01g050650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYVLVIVSDLMEKTKVLIKGICLKLMAIRVYEFQEPEIHGGNDHETYESERAIGHTARKVVHHLGNFLIARPMHIKSLLLM >Vigun08g220600.1.v1.2 pep primary_assembly:ASM411807v1:8:37930616:37931869:-1 gene:Vigun08g220600.v1.2 transcript:Vigun08g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLCIATHTQTLMAAKLTLSSPLSFKTSFLPKSLPISLVLCSPRTNVTGVKVHAKLGGGDEDVKKGGKKKFITREEEPQQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >Vigun04g019780.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1509986:1513421:1 gene:Vigun04g019780.v1.2 transcript:Vigun04g019780.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFQVQWDEPSSILRPDRVSSWELEPHVSTIPANPQPTQRNKRARPLIVPSTVPDSSLQGEFAGLVLHNFILSIMLFILFFYIILFLCTPGMWKSPIESTTFSCDPQHRRGIYPSPKFNPSATNFIGFSGNSFVGPPSNKSIYWSNRMENSLESISPIALKEAGEKRKDTGNGCRLFRIQLLENSKAEGSLQTVNLSGRLGDDRFVPSLDAESDQQSEPSNTNRCDIPSVSCDAEKSCLSPQELQSKQIKSCTKVVWIRWFSISLQRSRKSVLFLRLFIYCQSIGR >Vigun10g161700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38045094:38048136:-1 gene:Vigun10g161700.v1.2 transcript:Vigun10g161700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQGKRKRQDLASALSASMTNEERVIYNIIRGKKEMGIWQGDIKRETNIPDSMLKKSIKLLISKTLIKEVVNIQNKSKKVLMAMEFEPSKEITGGEWYTEGRLDTGLIDALSDVCLKLITRKKVATRDAILEWTRTLGSEVFPGGVSSGQVEQILKVLVMENKIQEVNSTGFGDFSSVPAGEVCFRLAKKVGTNVKVGAMASIPCGVCPRITFCTPNGIISPTTCQYYQKWLDF >Vigun10g161700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38045094:38048136:-1 gene:Vigun10g161700.v1.2 transcript:Vigun10g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQGKRKRQDLASALSASMTNEERVIYNIIRGKKEMGIWQGDIKRETNIPDSMLKKSIKLLISKTLIKEVVNIQNKSKKVLMAMEFEPSKEITGGEWYTEGRLDTGLIDALSDVCLKLITRKKVATRDAILEWTRTLGSEVFPGGVSSGQVEQILKVLVMENKIQEVNSTGFGDFSSVPAGEVCFRLAKKVGTNVKVGAMASIPCGVCPRITFCTPNGIISPTTCQYYQKWLDF >Vigun10g068930.1.v1.2 pep primary_assembly:ASM411807v1:10:16402663:16405700:1 gene:Vigun10g068930.v1.2 transcript:Vigun10g068930.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFAKK >Vigun09g073400.1.v1.2 pep primary_assembly:ASM411807v1:9:8133024:8134848:-1 gene:Vigun09g073400.v1.2 transcript:Vigun09g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSATVIEKVNNSQDLSRKRSCSNKQKQEKIPKRIRKAEREKEKRGQLNELFLGLADTLDLKEQNNGKASILRESIRLLKELFSQIESLKKDSASLLSETHYVTMEKNEMEEENCVLKTEIEKLAGEIKARVAQCGANLMNPFPQPEKIAEFSGENLQLSSTEAAVVQQGHAVLVVPMTAHHGPHNVGDQTPKPTSTITKPHPRYPTPTDSWPLQLLSEQPTSN >Vigun09g009166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:719911:721057:1 gene:Vigun09g009166.v1.2 transcript:Vigun09g009166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKLQKACLKTGSPIESHAATVLTPYALNKLQEELVLAPQYASFLVDEGCFQVRHHSQTDGGCKVFWVPCQEHISCSCHLFEFSGILCRHVLRVMSTNNCFHVPDQYLPGRWRGTGSSSVNHFRGTTSRDQPERMQLLESMVSTFFVESIETEERLDVACEQISMVLSRIKTLPRSPHGVNDIAFGYPSDSLILPEVEDANGMIHSFTIANPHDSITTGKLKERRARDGVDLTRKRRQFPAPLCAQYGHDPSDCSIMATDNMSGDALGYL >Vigun01g165800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34772371:34773843:1 gene:Vigun01g165800.v1.2 transcript:Vigun01g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPLCNLHFVFVPIMAPGHLLPMVDMAKMFARRKVKVSIVTTTLNSIHFQASIDREIQSGSPIQILHVPFPWAEAGLPEGCESADVVPSMDLLSNFTVAMNLMQRPIEELLQNQRPFPSCIIADKNFVCMADVADKFNVPRIIFEASNCFYLLCCYILNKEKVHEGLSYDEKFLVPGMPHRIELRRSQLPGLFHPGKDLKLQACREATRKAAKKAYGIVVNSFEELEAEYVKEYERVTGNKVWCVGPVSLCNKDYTEKALRNKRNWSDEDRYVKWLDSWPARSVIYVCLGSLNRATPEQLIELGLGLEATKRPFIWVLRGAYGREEMEKWLLEDGFEERVKERGILIKGWVPQVLILSHRAIGAFLTHCGWNSTLEGICAGVPLLTFPLFSEQFLNEKIVVQVVENGVSLGAESVVHLGEEDKARVQVTKENVADSIEKVMGEGKEKQVFMERARNYADMAKKTVEEGGSSYKNMSLLIQDIASFKMP >Vigun03g319800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51418082:51420731:1 gene:Vigun03g319800.v1.2 transcript:Vigun03g319800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLKAIVANLRFLDSPVTTRLIATRSIRITQNQVTHFSLYTPSPFFDHSHDFPFPNLHRKLYFSSKSNPITELILTSHWSKELEHELEKCYPSLTHETVVYILKRLEAYPEKAWRFFDWVSTNKWFRASSTVYGLILRILATEETIKQFWIILWTMKRKGFYFYEEMYFSSLVAFKRKKMDRDCSSLTHFYNRSIREDAMRRVVANVVGIISVSKWGDGVNGELAKVKIQLSDNFVIRVLRELRNTPLKAYEFFRWVGRQSGYVHNTMTYNALARVLARTDSIEKFWSVIEEMKSVGHELDIDTYLKISRMLQKNRMMEDAVKLYELMMDSSYKPSVKDCNFLLKSISEGDKPNLDLVFRFSKKYESTEHALSKAIYDGIHRSLTNSGKLDEAENIVNRMRNAGHEPDNITYGQVIVGLCKMRRLEEACKVLEEMESCGCIPDNNTWTLLVQGHCAANEVDRALLCLHKMIAKGCNLDAAVLGVLTDSFLGQKRIDDAYKLLVEVATKHGASPSHSTYKKLIVNLLEIGKFDEALNLLCLTRKHKYTPIIEPFVQYISNVGSVEDAVKFLKSKGSPRSYLIYLRVFKSLLGKGRLSDANDLLSKIPSYISKSKEIRELFNSMENCKVHSLGDISQ >Vigun05g055900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4789121:4789435:-1 gene:Vigun05g055900.v1.2 transcript:Vigun05g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGLADRSGVEKGGHAKYECPHCKVTAPDVKSMQIHHDARHPKIPFEEDKVVNLHATIASESSKGRPGVRGSHKK >Vigun05g120300.1.v1.2 pep primary_assembly:ASM411807v1:5:13203535:13207058:1 gene:Vigun05g120300.v1.2 transcript:Vigun05g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLIGNLCLCSSGDRSGRFENRASFLSKQNQNSLGNSICYVRPDTCRFSSEAFSDDDDTLITFRSVSGATVSANTSATPSTSLDDSLQHSTVLDSSASFESSGSFTSTLVPFQHQHVHRGFSLGGCSERGLYWGPRDRVMNDEGSIEKDSSEVAMNKGKGSKRHWKKVLRKISIGGMSVFKNNDNTNARVSCSTSLSAETSLHGVEVDDNYLDSDVLMGCENLHWAQGRAGEDRLHIVICEDHGWVFVGIYDGFNGPDATDFLLNNLFYAVNDELKEMLCGHNKFELKDSDSLELREKVLFRGNGVVDGCCSSGDNRENYPIENGELNLECESERVEGMNGINSERVDLSHSEVLQALSESLRKTEDVFMRTAEEMIGHNPVLAMMGSCVLVMLMKGQDVYLMNVGDSRAVLATHSGESLQLTKEHSTQVKEEVYRIRKEHPDDPLAVTRGRVKGRLSVTRAFGAGFLKQPKQNNAVLETFRVSYIGESPYITCFPSLHHHKLNPNDKFLILSSDGLYQYFTNEEAAAKVESFITMFPDRDPAQLLIEEALDRAAKRAGLEFHELLDIPQGERRHYHDDISIVIISLEGKIWRSLV >Vigun11g048600.1.v1.2 pep primary_assembly:ASM411807v1:11:7606017:7606579:1 gene:Vigun11g048600.v1.2 transcript:Vigun11g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEMKTIGVIIITVMILLNFAQADEADGFLCNAKCKIKCEEQPFPEHYAKCMKDCLAHCTELSNPVYNCITGCRLMKSIVIKNGVGDLVNNGMNTCIQQCKEML >Vigun09g113033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24742281:24742625:-1 gene:Vigun09g113033.v1.2 transcript:Vigun09g113033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKTFIFINLCALAHKEKCWVSVCTTVIPTTVMAAESRCSHIDRGAGRHLGLCFRSSQNVCAKYDERGNWHGNIKGGKPFEKEETPWRKRTISQYHKQGEERNKKRESWRRSD >Vigun03g309100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50090572:50091843:-1 gene:Vigun03g309100.v1.2 transcript:Vigun03g309100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding APRALNAREIEARKIPVHPPPPPHPLLTLQAHSLVLLLSRIHPFPLHPLSAVSLHLPRRALGDSWGGSHWEKRVAKSARRSSSSSLTVLVTGAAGFVGTHVSLALKRRGDGVLGLDNFNRYYDPNLKRARQRLLDRAGVFIVDGDINDAVLLRKLFDVVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEACKNANPQPSVVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKQITIFESPDGGTVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKKGPAQLRIFNLGNTSPVPVSELVAILEKLLKVKAKKKALPMPTNGDVKFTHANISLAYRDLGYRPTTDLETGLRKFVKWYLEFYSKKSSW >Vigun11g008000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:869493:871049:1 gene:Vigun11g008000.v1.2 transcript:Vigun11g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPCFSSLLLLLLLCLYNLSYSEALNGGFSVEIIHRDSPQLPFYSSSETKFQRVSNALLRSINRGNHLSKSMAFPNTVRTTVIPDFGEYLMRYAVGTPLVKVYGILDTGSDIIWMQCKPCKKCYRQATPLFNPSKSTTYKTIPCNSPTCHSVFGTSCSSSTITKHCKYDISYLDGTFSHGDLSVETLTLGSTNGSPIPLPRTAIGCGHNNSMNFKGANSGIVGLGRGPVSLINQLGSSIGWKFSYCLVPQTLHSNATSKLSFGDAAMVEGHGTVSTPLVSIPKHVFYYLTLEGFSVGSNRKEFGFGSSLSRFGGEGNIIIDSGTTLTVLPEDVYTWLESAVAREVKVKAVEDPNHVLGLCYRGTLDELDLPVITAHFRGASVLLHRMLGFSGNSKRCYLWKLGSAKPLGWL >Vigun03g161800.1.v1.2 pep primary_assembly:ASM411807v1:3:18005232:18015086:1 gene:Vigun03g161800.v1.2 transcript:Vigun03g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRYHSQRDHDGDRKNQKRRVNDRDDRGNDELIVYRILCPDEVIGSVIGKNGKVINSIRQETRAKVKVVDPFPGAKDRVITIYCYVKEKEEVEINDEFTCKEPLCAAQDALLKVHVAIVNSIATLGDSEKKRKDRDECQILVPSSQSANIIGKAGATIKKLRSKTRANIKVTAKDTTDPAHSCAMDFDNFLSISGESEAVKRALFAVSSIMYKFGPKEDISLDTTVPEAPPSILIPSDVPIYPPGGLYPPSDPIVTPRAVPPIIGATNVQDLQGYADAGNTWPLYSSALPVVSGSGASQSEELIVRILCPSDKIGRVIGKGGSTIKSMRQASGAHIEVDDSKANYDECLIIITTTESPSDLKSMAVEAVLLMQGKINDEDDTTVSMRLLVPSKVIGCIIGKSGSIINEIRKRTRADVRISKGDKPKCADVNDELVEVGGSVDCVRDALIQIILRLRDDVLRERDTSHNPSIGIGAESLYSGSAGFSLPSVLPSVPPVAAPLVYDQRAESGAGLGMLSSSSLYGYGSLSMGENGYGSISSYTNKLYGGLPPPSTLDMLIPANAVGKVLGKGGANIANIRKISGATVEISDSKYGRGDRIALISGTPEQKRAAENLIQAFIMAT >VigunL059116.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:2333:2533:-1 gene:VigunL059116.v1.2 transcript:VigunL059116.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun05g081600.1.v1.2 pep primary_assembly:ASM411807v1:5:7681701:7686437:1 gene:Vigun05g081600.v1.2 transcript:Vigun05g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFSWKLADHPKLPKGKTVAVVVLDGWGEANPNEYNCIATAETPCMDSLKKGAPEKWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEGEGFNYIKESFANGTLHLIGLLSDGGVHSRLDQLQLLLKGVGERGVKRVRVHILTDGRDVLDGSSIGFVETLENDLAKLREKGIDAKIASGGGRMNVTMDRYENDWNVVKRGWDAQVLGEAPHKFKSALEAVKTLRAEPKANDQYLPPFVIVDDNGKPVGPIVDGDAVVTFNFRADRMVMIAKSLEYENFDKFDRVRVPKIRYAGMLEYDGELKLPRHYLVSPPEIDRTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFNADLEEYVEIPSDSGITFNEQPKMKALQIAEKARDAILSKKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPLLSKEGKIQILTSHTLEPVPIAIGGPGLIPGARFRNDVPSGGLANVAATVMNLHGFEAPSDYETTLIEVADK >Vigun10g110000.3.v1.2 pep primary_assembly:ASM411807v1:10:30956017:30959708:1 gene:Vigun10g110000.v1.2 transcript:Vigun10g110000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGIMGKCVCSLVLMAFCMMPELASVFGATIPSSSINQEREALLNSGLWNDYRNISDHCDWKGISCNEAGSVTAIDSWYMKTPSSQELLWIDKLNFTAFPNLEHLRLTGMGLKGSIPTQITTLTNLSYLVLSNNHLHGSIPPQLGNLTQLQVLSLSRNSLAGFIPSTLGQLINLQYLSLESNKLQGLISSTLGQLINLRTLYLDSNKLQGSIPLQLANSTHLLELILSNNSLTGSVPSTFGQLKNLEALYLDSNKLEGSIPYTLGQLENLKLFFLQYNQITGPIPQEFGNLKVLQSLHLSNNSLNGSIPSTLGGLVSLVYLYLDSNQIQGHIPEELGYLTKLQVLQLSHNKISGLLPPRLLQMEKMSSLYLSSNQLCGSISLKTMKCPYDTIDLSHNLFNGSITSQIGCITDLSLSHNFLVGEIPFLFRRSFILSRLDLSYNNFSGKVHKELASLSYINLAYNSFDFSQDLDSKSKVPDYCYFQEDSLINDHHMPNFSYCHLVYKTDLQTRKSKLSIMLVVIPIIFFSLLVLLLILYFLRSIPKKKCEEISTKNGNFFSVWNYDGKIAFKDIIEATEDFDLKYCIGTGGYGSVYRAQLPSGNVVALKKLHRIESQNPSFDRSFRNEVKMLTEIRHRNIVKLHGFCLHNQCMFLIYQYMERGSLFYILNNDVEAKELNWSKRVNVIKGMAQALSYMHHDCTTPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVYSFGVVTLETLMGKHPREIISTSSNPTTQNMLVKDLLDSRLPLPIQKDAEDISLVINVALSCLCSKSNLRPSMQQVTEKLSGFKFLLDLPFQEVFIHQVMSQDIFHLSSNFQE >Vigun10g110000.2.v1.2 pep primary_assembly:ASM411807v1:10:30956017:30959708:1 gene:Vigun10g110000.v1.2 transcript:Vigun10g110000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGIMGKCVCSLVLMAFCMMPELASVFGATIPSSSINQEREALLNSGLWNDYRNISDHCDWKGISCNEAGSVTAIDSWYMKTPSSQELLWIDKLNFTAFPNLEHLRLTGMGLKGSIPTQITTLTNLSYLVLSNNHLHGSIPPQLGNLTQLQVLSLSRNSLAGFIPSTLGQLINLQYLSLESNKLQGSIPPQLGNLTQLNLLSLSGNSLAGLISSTLGQLINLRTLYLDSNKLQGSIPLQLANSTHLLELILSNNSLTGSVPSTFGQLKNLEALYLDSNKLEGSIPYTLGQLENLKLFFLQYNQITGPIPQEFGNLKVLQSLHLSNNSLNGSIPSTLGGLVSLVYLYLDSNQIQGHIPEELGYLTKLQVLQLSHNKISGLLPPRLLQMEKMSSLYLSSNQLCGSISLKTMKCPYDTIDLSHNLFNGSITSQIGCITDLSLSHNFLVGEIPFLFRRSFILSRLDLSYNNFSGKVHKELASLSYINLAYNSFDFSQDLDSKSKVPDYCYFQEDSLINDHHMPNFSYCHLVYKTDLQTRKSKLSIMLVVIPIIFFSLLVLLLILYFLRSIPKKKCEEISTKNGNFFSVWNYDGKIAFKDIIEATEDFDLKYCIGTGGYGSVYRAQLPSGNVVALKKLHRIESQNPSFDRSFRNEVKMLTEIRHRNIVKLHGFCLHNQCMFLIYQYMERGSLFYILNNDVEAKELNWSKRVNVIKGMAQALSYMHHDCTTPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVYSFGVVTLETLMGKHPREIISTSSNPTTQNMLVKDLLDSRLPLPIQKDAEDISLVINVALSCLCSKSNLRPSMQQVTEKLSGFKFLLDLPFQEVFIHQVMSQDIFHLSSNFQE >Vigun11g187100.6.v1.2 pep primary_assembly:ASM411807v1:11:38728179:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGWTASHALSYSRMNCFICCLIFVYSYLYFNCWLMVLSNSIENHRDLRE >Vigun11g187100.8.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.4.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.9.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.11.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.12.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun11g187100.10.v1.2 pep primary_assembly:ASM411807v1:11:38727583:38730781:-1 gene:Vigun11g187100.v1.2 transcript:Vigun11g187100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDFSTQEVFSSPNMGELPSSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAPDEDQVATDDTAESAEKKSKKRPLGNKEAVRKYREKKKARAASLEDEVVKLRALNQHLMKKLQNQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSPNANPPVVNLPGSYVMNPCNMQCDDRVYCLHPGADGRIVENVSLNGEEFGGCEFENLQCLANQNLGLKDLRACGVGQAGSNVNSSASKRKGGSRAATAG >Vigun06g187800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30656511:30658011:1 gene:Vigun06g187800.v1.2 transcript:Vigun06g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGFLRKLQDLYAAHKVLFTIFLCFWDSALVFFYVLSVTRHLSNVFLNSEDLRSFADATFQYVSFILQVEGFAVLGISMISLQMPLINILASTKKVDSEAELSITSLISIVTKPARSLLVTWFLVRLIKLGCIFFGILVLHQRLALIVNSICEHEHELSHVLASNVGTVILVAVGTLIFSYVATLGNLAIDISVREEISGSRALKEASQVLEGKKKVVGFVMNAVLGVISVGLFLGYLYLSTCSKVSVESRNVMMMNISSFGIYEVEFYTWILFSILNSVCKRTRGQKFQPQGSWNRILMAEEEKPPLI >Vigun02g119200.2.v1.2 pep primary_assembly:ASM411807v1:2:27190896:27195499:1 gene:Vigun02g119200.v1.2 transcript:Vigun02g119200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSFHHNHGHNFLSLSLLMLAFTTLASQTSHALRSFAFDIHHRFSDPVKEVLGVVGNLPDKRTREYYVVMAHRDRMFRGRRLAGGHHTPLTFVPSNETYQIGTFGFLHFANVSVGTPPSSFLVALDTGSDLFWLPCNCTKCVRAIEISNQRIDFSIYDPRGSSTSGKVLCNSSLCEPQKQCSSSGSVCPYEVNYLSNGTSTSGFLVDDVLHLITDDDQAKDADTRVTFGCGQVQTGAFLDGAAPNGLLGLGMGDVSVPSILAKEGLTSNSFSMCFGADGLGRITFGDNSSLVQGKTPFNLRASHPTYNVTVSQINLGGNVADTEFHAIFDSGTSFTYLNDPAYTQITNSFNSVVKLQRHSSSSSSSDELPFEYCYDLSSNQVVEVPINFTMKGGDTYTVIDPIVTVAGEGISLLCLGVVRSNNVNIIGQNFMTGYNIVFDRDNMVLGWKKSNCT >Vigun02g119200.1.v1.2 pep primary_assembly:ASM411807v1:2:27190896:27195499:1 gene:Vigun02g119200.v1.2 transcript:Vigun02g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSFHHNHGHNFLSLSLLMLAFTTLASQTSHALRSFAFDIHHRFSDPVKEVLGVVGNLPDKRTREYYVVMAHRDRMFRGRRLAGGHHTPLTFVPSNETYQIGTFGFLHFANVSVGTPPSSFLVALDTGSDLFWLPCNCTKCVRAIEISNQRIDFSIYDPRGSSTSGKVLCNSSLCEPQKQCSSSGSVCPYEVNYLSNGTSTSGFLVDDVLHLITDDDQAKDADTRVTFGCGQVQTGAFLDGAAPNGLLGLGMGDVSVPSILAKEGLTSNSFSMCFGADGLGRITFGDNSSLVQGKTPFNLRASHPTYNVTVSQINLGGNVADTEFHAIFDSGTSFTYLNDPAYTQITNSFNSVVKLQRHSSSSSSSDELPFEYCYDLSSNQVVEVPINFTMKGGDTYTVIDPIVTVAGEGISLLCLGVVRSNNVNIIGQNFMTGYNIVFDRDNMVLGWKKSNCYDDELSTLPVNRSHSSAIPPAIAVNPQATSNQANELSPNLSFKIKPSSVLMVALFFAFGHILSIP >Vigun06g011200.2.v1.2 pep primary_assembly:ASM411807v1:6:5059575:5093004:1 gene:Vigun06g011200.v1.2 transcript:Vigun06g011200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMPAPIDQEDEEVLVPHTDLPENNHQPMEVVAQPETANAVESQPVEDPPSSRFTWRIDNFSRLNTKKLYSEIFVVGGYKWRVLIFPKGNNVEYLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIVEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVESLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEELPQANPGFNNAPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAAHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKRQIGKDIYFDLVDHDKVRSFRVQKQTTFNLFKEEVAKEFDVPVQFQRYWIWAKRQNHTYRPNRPLTPAEEAQSVGQLREVSNKVHNAELKLFLEVETGMDSCPIAPPEKTKDDILLFFKLYDPEKEELRYVGRIFVKCTGKPLEILTRLNEMAGYDPEEDIALYEEIKFEPNVMCEPIDKKVTFRTSQLEDGDIICFQKASSMDSGENVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFFLEMSRLFTYDDVVERVAQQLGLDDPSKIRLTPHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVIIHTIRLPKQSTVGDVLDDLKTKVELSYPEAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEQIPEEEKNLGSHDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDDEFGKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAVNQNRHTFEKPVKIYN >Vigun06g011200.1.v1.2 pep primary_assembly:ASM411807v1:6:5059575:5093004:1 gene:Vigun06g011200.v1.2 transcript:Vigun06g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMPAPIDQQEDEEVLVPHTDLPENNHQPMEVVAQPETANAVESQPVEDPPSSRFTWRIDNFSRLNTKKLYSEIFVVGGYKWRVLIFPKGNNVEYLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIVEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVESLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRTVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEELPQANPGFNNAPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAAHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKRQIGKDIYFDLVDHDKVRSFRVQKQTTFNLFKEEVAKEFDVPVQFQRYWIWAKRQNHTYRPNRPLTPAEEAQSVGQLREVSNKVHNAELKLFLEVETGMDSCPIAPPEKTKDDILLFFKLYDPEKEELRYVGRIFVKCTGKPLEILTRLNEMAGYDPEEDIALYEEIKFEPNVMCEPIDKKVTFRTSQLEDGDIICFQKASSMDSGENVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFFLEMSRLFTYDDVVERVAQQLGLDDPSKIRLTPHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVIIHTIRLPKQSTVGDVLDDLKTKVELSYPEAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEQIPEEEKNLGSHDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDDEFGKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAVNQNRHTFEKPVKIYN >Vigun03g258200.1.v1.2 pep primary_assembly:ASM411807v1:3:42422542:42424915:-1 gene:Vigun03g258200.v1.2 transcript:Vigun03g258200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPVIRANDTIKLDLEENKIVDFIKFDVGNVVMVTGGRNRGRVGVIKSREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRIAAQQATAA >Vigun05g059600.3.v1.2 pep primary_assembly:ASM411807v1:5:5106794:5110680:-1 gene:Vigun05g059600.v1.2 transcript:Vigun05g059600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFSLHMNGGEEERSYANNSSIQRAIMLKARFMLEEAIKGIYCHSSPKCMKVADLGCSVGPNTLLVMSNIIDIVHATCIRFNHEPPTFQLYLNDLFGNDFNTIFKSLPDFYTKLVQDKGHKSGSCFLNATPGSFYGRLFPSNSINLFHSANSVHWLSQDPFLGLSKEALNKGNCHILTTSPPSVYTTFLKQFQKDFELFLKSRSEELVPGGAMVLVLLVKTETPRRSLWEAVSITLTDMFLEVNNFFFINTSGCLLELIAFEI >Vigun05g059600.1.v1.2 pep primary_assembly:ASM411807v1:5:5106794:5110680:-1 gene:Vigun05g059600.v1.2 transcript:Vigun05g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFSLHMNGGEEERSYANNSSIQRAIMLKARFMLEEAIKGIYCHSSPKCMKVADLGCSVGPNTLLVMSNIIDIVHATCIRFNHEPPTFQLYLNDLFGNDFNTIFKSLPDFYTKLVQDKGHKSGSCFLNATPGSFYGRLFPSNSINLFHSANSVHWLSQDPFLGLSKEALNKGNCHILTTSPPSVYTTFLKQFQKDFELFLKSRSEELVPGGAMVLVLLVKTETPRRSLWEAVSITLTDMFLEAYTLQGLVEEEKIDSFNIPTYEATMEEIRHVIREEGSFFIQESEIVIVPWDEGRNEDGDEFSVDENIRAEFIASYARAAMEPLLAAKFGTKIMNELFIRFRKKVVELMKVERLEYANLMISLTKICS >Vigun05g059600.2.v1.2 pep primary_assembly:ASM411807v1:5:5106794:5110680:-1 gene:Vigun05g059600.v1.2 transcript:Vigun05g059600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFSLHMNGGEEERSYANNSSIQRAIMLKARFMLEEAIKGIYCHSSPKCMKVADLGCSVGPNTLLVMSNIIDIVHATCIRFNHEPPTFQLYLNDLFGNDFNTIFKSLPDFYTKLVQDKGHKSGSCFLNATPGSFYGRLFPSNSINLFHSANSVHWLSQDPFLGLSKEALNKGNCHILTTSPPSVYTTFLKQFQKDFELFLKSRSEELVPGGAMVLVLLVKTETPRRSLWEAVSITLTDMFLEGLVEEEKIDSFNIPTYEATMEEIRHVIREEGSFFIQESEIVIVPWDEGRNEDGDEFSVDENIRAEFIASYARAAMEPLLAAKFGTKIMNELFIRFRKKVVELMKVERLEYANLMISLTKICS >Vigun04g064900.1.v1.2 pep primary_assembly:ASM411807v1:4:7042259:7058290:1 gene:Vigun04g064900.v1.2 transcript:Vigun04g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYIREFLTHTTHFLFSFHPIFFLLLSSLSLKMGRGRVQLKQIENKISRQVTFSKRRTGLRKKANEISVLCDAQVALIVFNAKGKLFEYSSESSMENVLERYERHAHIGQLVEDGDESQGNWSLQCFKLTGKVEVLEKNLRNFVGQDLDPLSLRELQSLEHQLDTAIKRIRTRKNQVMNESISDMQKKAKQLQEQNGTLTKKIKDKGKAVAERSQCVPQTIPQPCPPQLQPQHRLVPSLTPRENLQAAAALEEVDEGRAVPSTTTLIPPWMLHQFAR >Vigun08g209900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37216699:37218741:1 gene:Vigun08g209900.v1.2 transcript:Vigun08g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVKVTKQQICHKLCSNFCSLLSPILLVLVLLFSLFIFFSLSESSPSLLTLLIALLSTMFLVTMTKKKGFLHENLVQDNQKKLEVELSLGDITTQQSETAQNQVEAKSESSFLLDSESRNITDKGFELIAEEYEQQADPKSDTSLPSDSESNTSSTMSEESTEIRHCRNQNLGISDKLAFDSDDYDEDEDGLIEIKLPNNHFSELWPECFFKQQGFTELLAEINEMNEDENLIEIDIYKGSTKHQDLRLEKELVC >Vigun08g209900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37216699:37218732:1 gene:Vigun08g209900.v1.2 transcript:Vigun08g209900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVKVTKQQICHKLCSNFCSLLSPILLVLVLLFSLFIFFSLSESSPSLLTLLIALLSTMFLVTMTKKKGFLHENLVQDNQKKLEVELSLGDITTQQSETAQNQVEAKSESSFLLDSESRNITDKGFELIAEEYEQQADPKSDTSLPSDSESNTSSTMSEESTEIRHCRNQNLGISDKLAFDSDDYDEDEDGLIEIKLPNNHFSELWPECFFKQQGFTELLAEINEMNEDENLIEIDIYKGSTKHQDLRLEKELVC >Vigun10g181000.1.v1.2 pep primary_assembly:ASM411807v1:10:39877665:39882529:-1 gene:Vigun10g181000.v1.2 transcript:Vigun10g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRAVESYWRSRLIDSATSDEDKVTPVYKLEEISELLRSSHVSIVKEISEFVLKRLEHKSPIVKQKALRLIKYVVGKSGVEFRREMQRHSVAVRQLFHYKGQLDPLKGDALNKAVRDTAHEAISAIFSEENSKPAPAEDLNRRIQGFGNKNYEPPQEDKKSFISEVVGIGSASIKQGLNTLTQGHSLMKNDPGSYKSPNLRRSLTNETEHGDRYEPISYHNEAQSSFGGSKNQSSGPWNQDSRVTKTETSNGESGASSVESKTREHKLLETIVTSGGVRLQPTRDAIQAFLAEAAKLDALALCHALELKLQSPIWQVRMKAVCVLESILRKKDDDHFSLVESYFTENRDVVLRCSESPQVSLRERAAKVLGLLGEGQSNSSAINSENAVKTENAAVVELPNLIDTGDSDDYQGTEDTLKSVTDQNISTLTSTPLVDDLFGDFSSSIGASQEIKNDDDPFADVSFHTSENKENADDLFSGMTVGSDKQSNHESHRQGNINDPGLFDIFTSNSDHGNHKELVTDLVGGLSIDENTSSTKQNPSMQSESLFSGLTDHIPGNTSDGMLSSQPMGLNVNPIFPTGALPFNMQPGFMLNQAYSSQPLNYGAMGTLLAQQQFLATMANFQHLNNVNMRDDGITQMAGPNGRSPLPDIFQPNFQTQTPSSMINTSRKEETKAFDFISDHMTSARDSRRMI >Vigun03g224700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37302757:37304653:-1 gene:Vigun03g224700.v1.2 transcript:Vigun03g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGNPRTWIPYMNSKDCSQGFCSLYCPQWCYIVYPPPPPFEYPDDDSSPNFSPLVIAIIGILATAFLLVSYYTLISKYCGPRGSARRDSNDDFQPTHNPPLQEPWNASTTGLDEALIKSIAVFKYKKGDAGSVGVTDCSVCLSEFEDDESVRLLPKCSHVFHAPCIDTWLKSHSSCPLCRAAIFTFNASVPRAHAPEEDAPSSRNETSSENESVGNEYGVVVMLEEESIHHSRAYPKPALRAFSDLSSFQGRHRVIEIRDEVCECESVGRSVSMDHSFQSGVSVADVLRMHEEEDSQVEGCGSSSTEAGPSKRSRGERYKTRVLHCVLSPIAMKRSFSSDRFSVTKSSRARQGTIPI >Vigun05g188500.1.v1.2 pep primary_assembly:ASM411807v1:5:36552264:36556192:-1 gene:Vigun05g188500.v1.2 transcript:Vigun05g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTIPQNWPSFSHQRVPTMANFTPTTSSTTTTTVAAAATTTAPSQPSWVDEFLDFSSTRRGAHRRSASDSIAFLETPFLEECRAGFDRLDEDQLISMFSDDIAAAALPPPPLSSASNPSSPSSDQNSNNEEKPMAMTLDLKPKTEKVEEESSCKNEAAALQLSATTTSPETVVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQNLQKMNNNLQTPPTSQPQAHSLHQHQQQQIAVSQPLGCKEKEQLLS >Vigun03g200600.1.v1.2 pep primary_assembly:ASM411807v1:3:29612472:29614646:-1 gene:Vigun03g200600.v1.2 transcript:Vigun03g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTRISVMLVCLLAGVVFPTRAEDPYLYFTWNITYGTLAPAGVPQQVILINGEFPGPNINSTSNNNVVINVFNNLDEPFLFHWAGIQQRKNSWQDGVAGTNCPIQPGANFTYKFQVKDQIGSYFYYPSLGMHRAAGGFGGLRINSRLLIPVPYADPEDDYTVLAGDWYTKSHSTLAKLLDSGRALGRPQALLVNGQNAKGDGTDQPLFTMLPGKTYKYRLCNVGLKNSVNFRFQNHQMKLVEMEGSHTVQNVYDSLDVHLGQCYGVLVTADQEPKDYYIVASSRFTKIILTGKGIIRYVNGKGPAAPEIPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTLKFVNTVSRDNGKLRYAINGVSHVESETPLKLAEYFGIGDKVFKYDTIPDEPPANLGTAVTLAPNVVKFEYRTFIEIIFENHEKSVQSYNLNGYSFFAVAIEPGTWTPEKRKNYNLLDGVSRHTIQVFPKSWAAIMLTFDNVGMWNLRSEIAENRYLGQQLYISVPTPERSLRDEYNMPDNGLLCGAVAGLPRPPSYV >Vigun07g246400.2.v1.2 pep primary_assembly:ASM411807v1:7:36688896:36692497:1 gene:Vigun07g246400.v1.2 transcript:Vigun07g246400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKSSSTHLLIILSLFLFLICASEAAPTYTIHACTDESFYLPNTTFQTNLNLLLSSLVSNATLHDGFYRTTISLGAPGEVKGLFLCRGDVTPSGCHDCVAAATKKITQFCTNQTESIIWYDECMLRYSNNSRLNDVVPRFNLFNVQSVPESDHSQFNKILVSTLNDAKQEALNSGKNFATKEANVTSSMKLYTLVQCTPDLSTSLCTMCFESAISAIPNCCDGKQGRSRISVIVIVAIVVPIVVAAVLFIVGVCFLRKRASQRYINSLGQDSIVDDLTDVEFLQFDLATVEAVTNRFSDENKIGHGGFGVVYKGVLPNGHEIAVKRLSATSLQGAIEFRNEASLVAKLQHRNLVRLLGFCLEGQEKILIYEYIPNKSLDHFLFDPVKQRELDWSKRYKIIVGIARGILYLHEDSQLRIIHRDLKASNVLLDENMNPKISDFGLAKIFQADQTQVNTGRIVGTFGYMPPEYAMRGQFSVKSDVFSFGILVLEIVSGKKNTDLYQSKHADDLLSLAWKHWTEQTPMEFVDPTLRGSYSRNEVNRCIHVGLLCVQESPSHRPSMATIALMLNSYSVTMSVPRQPASFLRARSPNGGPDSDQSTTNQSTTSSIPWSVNEVSITELYPR >Vigun07g246400.1.v1.2 pep primary_assembly:ASM411807v1:7:36688896:36692497:1 gene:Vigun07g246400.v1.2 transcript:Vigun07g246400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKSSSTHLLIILSLFLFLICASEAAPTYTIHACTDESFYLPNTTFQTNLNLLLSSLVSNATLHDGFYRTTISLGAPGEVKGLFLCRGDVTPSGCHDCVAAATKKITQFCTNQTESIIWYDECMLRYSNNSRLNDVVPRFNLFNVQSVPESDHSQFNKILVSTLNDAKQEALNSGKNFATKEANVTSSMKLYTLVQCTPDLSTSLCTMCFESAISAIPNCCDGKQGAQSLLPGCNVRYELYPFYNVSSVSTQPQFFSPSSGRSRISVIVIVAIVVPIVVAAVLFIVGVCFLRKRASQRYINSLGQDSIVDDLTDVEFLQFDLATVEAVTNRFSDENKIGHGGFGVVYKGVLPNGHEIAVKRLSATSLQGAIEFRNEASLVAKLQHRNLVRLLGFCLEGQEKILIYEYIPNKSLDHFLFDPVKQRELDWSKRYKIIVGIARGILYLHEDSQLRIIHRDLKASNVLLDENMNPKISDFGLAKIFQADQTQVNTGRIVGTFGYMPPEYAMRGQFSVKSDVFSFGILVLEIVSGKKNTDLYQSKHADDLLSLAWKHWTEQTPMEFVDPTLRGSYSRNEVNRCIHVGLLCVQESPSHRPSMATIALMLNSYSVTMSVPRQPASFLRARSPNGGPDSDQSTTNQSTTSSIPWSVNEVSITELYPR >Vigun08g144300.1.v1.2 pep primary_assembly:ASM411807v1:8:31710520:31713995:-1 gene:Vigun08g144300.v1.2 transcript:Vigun08g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGTRKKGREKRGKRTRHAHYVCGIPILDSISILHIPLSYLPFLPWSAKFLVSSNYLLLLFLFLFRFLPLSISLLAFFHSYTMGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISRSELHELLTKPSLGGIPLLVLGNKIDKSEALSKQALVDQLGLESIKEREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >Vigun05g224900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41733591:41734363:1 gene:Vigun05g224900.v1.2 transcript:Vigun05g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGVAEGEKKHGFCSSFVHKERERGSDKCCELCGLEASLYCEADDAYLCRRCDRRVHGANFLALRHIRCFLCNTCHNLSRRYLIGESLEVVLPPNINWARRNLPNNRGTFGKCSRMHSNPSLLF >Vigun08g072250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11870545:11870844:1 gene:Vigun08g072250.v1.2 transcript:Vigun08g072250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFIRVFVLILPNRMELLKEYIDIILNILILFYCMLKFSVKYRVKLFLLLFKLIIKFHLLLSQVCFTFKSYMDIGRPRKCVCLRIDAVSFEIKTLDES >Vigun06g159200.1.v1.2 pep primary_assembly:ASM411807v1:6:28208896:28212319:1 gene:Vigun06g159200.v1.2 transcript:Vigun06g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFKMITN >VigunL069700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:88504:90036:-1 gene:VigunL069700.v1.2 transcript:VigunL069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIHADEISKIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASSVAQVVTTLQERGAMEYTIVVAETADSPTTLQYLAPYTGAALAEYFMYRECHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLLADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFALFASDLDKATQNQLARVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >VigunL059614.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:40457:40816:1 gene:VigunL059614.v1.2 transcript:VigunL059614.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun06g130400.1.v1.2 pep primary_assembly:ASM411807v1:6:25663938:25666091:1 gene:Vigun06g130400.v1.2 transcript:Vigun06g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLMGIFLTRRLTCGIIFQAFTFSLLFGFGFSNDVPASFVFGDSLVDVGNNNYIVSLAKANHDPFGIDFGMPTGRFSNGRTVVDVINQKLGLGFSPPYLAPSTTGSTVLKGVNYASGAGGILNNSGEIFGGRINFDAQIDNFANTREEIISLIGASEALNLLKKALFTVALGSNDFLDNYLTPLFSIPERLLVSPESFVATLISRLRLQLTRLFNLGARKIVVANVGPIGCIPYIRDVNLNPYDEDECVTFPNELAQLFNARLKSLVEELRTKLEGSLFVYADVYHIMEDILMNYKDYGFENPDSACCHVAGRFGGLIPCNRSSKVCEDRSKYVFWDTYHPSDAASVVIAERLLNCDTRDISPMNIFQLSKA >Vigun06g230600.1.v1.2 pep primary_assembly:ASM411807v1:6:33727634:33728367:1 gene:Vigun06g230600.v1.2 transcript:Vigun06g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRSMSAIVVVSLCMLVLCSDMAHAALFVVGGRDGWTTTVSSWTAQVYKAGDKLLFYYDPKKHNVVVVDQGGYNSCTAPAGAKTYNTGHDYIQLQKGSSYFISSIPGDCQAGTKVSVTVQ >VigunL039500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:354962:355123:1 gene:VigunL039500.v1.2 transcript:VigunL039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun03g163500.1.v1.2 pep primary_assembly:ASM411807v1:3:18464554:18465790:-1 gene:Vigun03g163500.v1.2 transcript:Vigun03g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATAAFGILLCLLLGAAAHCSAQLNCSTTCIAEQCDTIAIRYGKYCGVGYTGCPGQKPCDGVDACCMAHDDCVDKFGMTHVKCHKKLKNCLIRELESGKVGFSKECPYSIAAPTMIRGMDLAILLSHLGEPAYDH >Vigun06g167600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28948037:28948875:1 gene:Vigun06g167600.v1.2 transcript:Vigun06g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHNYKNDENNSDEDEDLLTFSLSCGGSRKRPARDSPPTTQGALVRVLCTPSDDAPPPAKSNLCIRRRRGGSASGVKSETIPPPFPWATDRRATVHRLDYLLENGIHAIKGKVECKRCKNKFEMVLDLKYNLRQLLKFIDKELEKMHKRAHEVWMRPDLPKCEHCGQENSVQPSFENTKKKNINWLFLLLGQTLGCCTLAQIKYFLKHNNLHRTGSKEHVLYDSYLCLCKQLNIIDPNTT >VigunL026000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:97194:97325:1 gene:VigunL026000.v1.2 transcript:VigunL026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun09g038000.1.v1.2 pep primary_assembly:ASM411807v1:9:3386643:3390385:-1 gene:Vigun09g038000.v1.2 transcript:Vigun09g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSSNSTAAVDGGPHRVNSPRYSGSMTRRAHSFKRKNNNNNNINEIELQINSPRSPLAPAEGSLLKRNNLHHHHNHHLSHKEKRNKFGQWVFFLFCGVCLFVGLLKICATAWWFRSSVHSTRESVQELSDSINNRNLMDQSSHGYAHREGANEVERTLKMVTAGMIDSHTDMAVESGIWFNPNYENFTQCIDLPRIHKKLDAKTNGYILVNANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWGDASGFKDLFDWKHFIETLKGDIEVVERLPPAYAETEPFSKTPISWSKTSYYKNEVLPLLKQHKVIYFTHSDSRLANNGIPSSIQKLRCRVNYRALKYSSPIEEFGNKLISRLRQNDESPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRQMRHEVGHWKEKEINGTERRLTGGCPLTPRETSLLLRALDFPSQTRIYLVAGESYGRGSMKYLEDDFPNIFSHSSLSSKEELNPYKNHQNMLAGIDYIVALQSDIFLYTYDGNMAKAVQGHRRFENFKKTINPDKANFVKLVDKLDEGKISWKKFRSKVKVLHKERMGAPYLRERGEFPKLEESFYANPMPGCICETKQD >Vigun04g081750.1.v1.2 pep primary_assembly:ASM411807v1:4:12335512:12338071:-1 gene:Vigun04g081750.v1.2 transcript:Vigun04g081750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILTDQKGDVIPAMIKKEDIATWEDKLKEGESYIMHNFKILNNRAQYRVCDHPFKLLFIGATSFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCMRLVSYWRESHETPYPAIILTQAKIKAASGPWPVSLSNCWNGSKLIMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVLEILTVIEEISCVTIAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVVNFMHWDQDCINLIGLSTGDLRKKMIKDGEEDPKCFPEDLDVKPQGNNRPASVMRVSTDHEIIGHISSLLGQTQVMEIVEAKENCSDELELHKDKSIAIEVGKRMSASESDVHTHTGSSLAMELAECGDNAACDLSADTDSSLIKDLCTTSNNEDIEYIPENFMDFDLLEDLPLAQLSATKTMKTIKKEK >Vigun08g008500.1.v1.2 pep primary_assembly:ASM411807v1:8:751804:753047:-1 gene:Vigun08g008500.v1.2 transcript:Vigun08g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKCSQIRHIVQLRQMLRRWRNKARMSANRTPSDVPAGHVAVCVGTNLTRFVVRATYLNHPVFKKLLLQAEEEYGFSNHGPLVIPCDEMLFHEVLRFISWSESAKPNREREFVLM >Vigun08g216000.1.v1.2 pep primary_assembly:ASM411807v1:8:37666459:37673691:1 gene:Vigun08g216000.v1.2 transcript:Vigun08g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCGTKKSNARVRREQGRGSAVADSPVTPARASFNDSAVPNRPSSGTPAPWAPRLSVLARVPQVNRSGKGDDADPTKPVFVGEFPQVVRDEQNVLLHKRIPGEDRACGGIDKCTALAWIICGNRVYLWSYLSPAPSMKCVILEIPVDDADVSRNDAGSWLLRVVNCDVASVGRNEVAKQCNSAAVVLCNCQTRAVFYWPDIYSQLLAPVSSIASSSELGAVFTSDGKASFNRQRRQSKLASGPSGLFTFNSMIASSVPNHKFACVALACSSSGELWQFQCTPSGIERRKVYENIMHFHPQRGESVQTVSNVGYPRSLTWGCPHYSIQEANRQFLVLTDHEIQCFKVEFDSDIPVSKLWSQEIVGTDAEVGIKKDLAGQKRIWPLDMQVDDHGKVITILVATFCNDRISSSSYMQYSLLTMQYKSVLGLETTNDKVLEKKAPIEVIMPKARVEDEDFLFSMRLRIGGNPSGSAVIISGDGTATVSHYYRNSTRLYQFDLPHDAGKVLDASILPSADDYEEGAWVVLTEKAGIWAIPEKAVILGGVEPPERSLSRKGSSNERSAQEEIRNLTFAANVAPRRASSEAWSAGDKQRTVLSGIGRRTAQDEESEALLNNLFNEFLSSGQIDRSLEKLETSGSFERDGETSVFVRMSKSIIDTLAKHWTTTRGAEILAMAVVSTQLLEKQQKHEKFLHFLALSKCHEELCSKQRHALQIILEHGEKLSAMIQLRELQNVISQNRSTNVDSSNSSLDIQMSGALWDMIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEDLFYCLDKELEYVIRPEHLLVIQIQRACELSTACVTIIRTCFNYKNEHRLWYPPPEGLTPWYCQPIVRTGIWSVASVLLHLLNETSGLDKTSKLDLYNNLEALTEVLLEAYSGAVTAKNERGEEHKGLLNEYWERRDTLLESLYQKVKEFEDAHKDSFEVAGEQNDETIMKLTSHLLSIAKRHGCYKVMWTVCCDVNDSELLRNIMHESLSPNEGFSYYVFKKLHESRQFSQLLRLGEEFPEELSIFLREYQDLLWLHDLFLHQFSSASESLHTLALTQNMHSNSVAEEKEQECLKTKLKLTDRKNLLYLSKIAAFAAGKDADTQVKVDRIEADLKILKLQEEVMKRFHSIEDTQLVEDEPLHPLELINLCLESEDQELSLWAFDVFAWTSSSFRKINKSLLEDCWKKAASQDDWSKFHDSYTSEGWSDQEILQNLKNTILFQASSRCYGPRSETFEEGFDQVLPLRQENMEGSSVETILMQHKDFADAGKLMLMAIMLGSEHGGDLRIEEGPSPMD >Vigun07g144700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25545828:25547214:-1 gene:Vigun07g144700.v1.2 transcript:Vigun07g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLAMAVICAAAILVAMSRWPTAEGGGDHHLGLGWASTCKGSIGECLGGEEYELDSEINRRILATNKYISYGALQRNTVPCSRRGASYYNCQPGAQANPYSRGCSAITRCRS >Vigun03g226900.1.v1.2 pep primary_assembly:ASM411807v1:3:37741425:37742400:1 gene:Vigun03g226900.v1.2 transcript:Vigun03g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEGIDEANLGYSYSIVGGVALPDTAEKITIDSKLSDAPNGGSVVKLSIKYHSKGDAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun08g218000.2.v1.2 pep primary_assembly:ASM411807v1:8:37785445:37790502:1 gene:Vigun08g218000.v1.2 transcript:Vigun08g218000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNGKLFIGGISWDTNEERLREYFSTYGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAEIVIKEKHNIDGRMVEAKKAVPRDDQNILSRNSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRTPLGGYNYGLSRVNSFLNGFTQGYSPSTVGGYGLRADGRFSPVANGRSGFAPFGSGYGMSMNFEPGLNAGFGGNANFNSNLSYGRGVNPYFIGSSNRFGSPVGFESGNGGNNSFFSSVTRNLWGNGGLSYGTNSANSNAYIGSGSGSIGGNTFGNTGVNWGGSSAISGQGGGNNMSQSSGNLGYGSGDNNYGLGTGGYGRNTGTTFAPTSSYSASNGGVDGAFADFYNNSSVYGDPTWRSSNSERDGSGPFGYGLGGAASDVSTKSSPGYVGGYTVNKRQPNRGIAT >Vigun08g218000.1.v1.2 pep primary_assembly:ASM411807v1:8:37785469:37790480:1 gene:Vigun08g218000.v1.2 transcript:Vigun08g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNGKLFIGGISWDTNEERLREYFSTYGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAEIVIKEKHNIDGRMVEAKKAVPRDDQNILSRNSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRTPLGGYNYGLSRVNSFLNGFTQGYSPSTVGGYGLRADGRFSPVANGRSGFAPFGSGYGMSMNFEPGLNAGFGGNANFNSNLSYGRGVNPYFIGSSNRFGSPVGFESGNGGNNSFFSSVTRNLWGNGGLSYGTNSANSNAYIGSGSGSIGGNTFGNTGVNWGGSSAISGQGGGNNMSQSSGNLGYGSGDNNYGLGTGGYGRNTGTTFAPTSSYSASNGGVDGAFADFYNNSSVYGDPTWRSSNSERDGSGPFGYGLGGAASDVSTKSSPGYVGGYTVNKRQPNRGIAT >Vigun06g006850.1.v1.2 pep primary_assembly:ASM411807v1:6:3580277:3584005:-1 gene:Vigun06g006850.v1.2 transcript:Vigun06g006850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSSGPKCFLYLPVEKYKTFNFEKAICNHGFFMMAPNKWISSTKSLQRPLRLMDQCKSVMVTISHPSGQPNIHIYVHDMEVLSLEDKQIILAQVSRMLRLTDEDEKMVTEFQELYSHAKKEGFGRIFRSPSIFEDSVKSLLLCHTTWQRTLDMAKSLCELQLLFTRSTRKKPLGKTNLQNNEIENFPNSKEVLEIGETNMKKHGKLGMRVSYIIKLAQKVEKSSMLEKFENENNWFVHSRLIKLKGFGPFSTATTLMCMGKYEKVPADSETRRHLQEVHGIYVCKSKPLDKIVEEIYAKYAPFQCIAYWFELIQSYEKSYGRLSDIDESKYHTITGHSNKRKFASET >Vigun09g190800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36557210:36558068:-1 gene:Vigun09g190800.v1.2 transcript:Vigun09g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTHFTLPHVADITCMDPNRPPLVPEKLSKTVRFLGFFIQNDVMKRGKSIGKALNDVVVRHHQALTCRPRDAHVSFVSPLEYQFSCSGSPPRRLSHASRRKLSPPSSSRSPAHHRAVRMCRGGGDGIVERRVKIAGSAASMLNDVVEKEFHVDEAAEEFIARFYRELRLQKWLDHYC >Vigun08g150700.3.v1.2 pep primary_assembly:ASM411807v1:8:32329326:32333035:-1 gene:Vigun08g150700.v1.2 transcript:Vigun08g150700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTVGAVNGAPLSLNSSGTVASVPSSAFFGSSLKKVAVSRVPNTNVSSGSFKIVAVKETDEGKQTDKDRWRGLAYDISDDQQDITRGKGMVDPLFQAPMNSGTHYAVMSSYEYLSTGLKQYNLDNNVDGLYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRKRIPVCPSLSPVTISQHCMLLSFVMVVWRSSTGHLPETTELVSAREFSSLTMFLTMTLSSLSIPSLANPLISLVHSGLEYMMMK >Vigun08g150700.2.v1.2 pep primary_assembly:ASM411807v1:8:32329326:32333035:-1 gene:Vigun08g150700.v1.2 transcript:Vigun08g150700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTVGAVNGAPLSLNSSGTVASVPSSAFFGSSLKKVAVSRVPNTNVSSGSFKIVAVKETDEGKQTDKDRWRGLAYDISDDQQDITRGKGMVDPLFQAPMNSGTHYAVMSSYEYLSTGLKQYNLDNNVDGLYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFLTDNVPDDDIVKLVDTFPGQSIGLEYMMMK >Vigun08g150700.1.v1.2 pep primary_assembly:ASM411807v1:8:32329326:32333035:-1 gene:Vigun08g150700.v1.2 transcript:Vigun08g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTVGAVNGAPLSLNSSGTVASVPSSAFFGSSLKKVAVSRVPNTNVSSGSFKIVAVKETDEGKQTDKDRWRGLAYDISDDQQDITRGKGMVDPLFQAPMNSGTHYAVMSSYEYLSTGLKQYNLDNNVDGLYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFLTDNVPDDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSNVGVEGIGKKLVNSKEGPPTFEQPKMTLNKLLEYGNMLVQEQENVKRVQLADKYLNEAALGDANEDSIKRGAFYGKAAQQINVPVPEGCTDPNAKNFDPTARSDDGTCLYTL >Vigun08g075200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13035039:13035485:-1 gene:Vigun08g075200.v1.2 transcript:Vigun08g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNKFCVIVVLTISLTLMVKRGVSREMIWEANDAARPLSSYEQYLQNCAAKLYPDCGVNIFSAIFFGNDTVTCECCDKLVNDVGKQCHDDMTKYILQRPDYRTKKNQILCKSDSVWNDCVSLESPALEPVAATFTDSVAHQSHLFM >Vigun10g071068.1.v1.2 pep primary_assembly:ASM411807v1:10:17266674:17268651:-1 gene:Vigun10g071068.v1.2 transcript:Vigun10g071068.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHATYNMDIHTPMITQGWNQLRSFYGDHQLSTEDHPELFFEIESERTSRDIKVLYPFFLY >Vigun10g071068.2.v1.2 pep primary_assembly:ASM411807v1:10:17266674:17268296:-1 gene:Vigun10g071068.v1.2 transcript:Vigun10g071068.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHATYNMDIHTPMITQGWNQLRSFYGDHQLSTEDHPELFFEIESERTSRDIKVLYPFFLY >VigunL015232.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:787845:788965:-1 gene:VigunL015232.v1.2 transcript:VigunL015232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEILSWLPPKDWNHLVSDPAFVKLHLQRSPKNTHILLTFVQDKDYAVICPIQDLLDNPSFTLETLHRNNRPFTLSWDTYNGEGFSEYWFRIWNPAIRVMSKDSPHIRLRISDYKDVSWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPLEIFSYHLKDQTCRYFPMPDGISEVYVCGHELDVLKGCLCLFHHYEDNFIVWLKREFNDEKSWSKLLTFSYQEYLNDYSPLEFSIIYICEDDEVVLIADTYIFEPRFIRYNTRYSRIDGDELRKNDKWYLFSHEYVHSLVSPCMN >Vigun02g035500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14753202:14755956:-1 gene:Vigun02g035500.v1.2 transcript:Vigun02g035500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENTMTASHADLAAAIVPLLKLLCLTVIGLVLANPRMQFIPRATFKLLSKLVFALFLPCLIFTDLGESITLENFVDWWFIPVNVLVSTAIGCLLGFLVVIICKPPPELNRFTIIMTGFGNTGNLLLAVVGSVCHSDDNPFGKNCNTRGVTYVSLSQWVSVILVYTLVYHMMEPPMEYYEIVEEGAEIEEERALNDISRPLLVEAEWPGIEDKETEHSKTPFIARIFKSISGISPSNIPELEVTAESGGNSPKSIRCLAEPRVVRRIRIVAEQTPIQHILQPPTLASLLAIIVGTVPQLKAVFFGYDAPLSFITDTLEIVAAAMVPSVMLVLGGMLAEGPNESKLGLKTTIGITVARLLVLPVLGIGIVALSDKLNFLVDNDAMFKFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALFSFSLYIVIYFRIIMYV >Vigun02g035500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14753201:14755956:-1 gene:Vigun02g035500.v1.2 transcript:Vigun02g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENTMTASHADLAAAIVPLLKLLCLTVIGLVLANPRMQFIPRATFKLLSKLVFALFLPCLIFTDLGESITLENFVDWWFIPVNVLVSTAIGCLLGFLVVIICKPPPELNRFTIIMTGFGNTGNLLLAVVGSVCHSDDNPFGKNCNTRGVTYVSLSQWVSVILVYTLVYHMMEPPMEYYEIVEEGAEIEEERALNDISRPLLVEAEWPGIEDKETEHSKTPFIARIFKSISGISPSNIPELEVTAESGGNSPKSIRCLAEPRVVRRIRIVAEQTPIQHILQPPTLASLLAIIVGTVPQLKAVFFGYDAPLSFITDTLEIVAAAMVPSVMLVLGGMLAEGPNESKLGLKTTIGITVARLLVLPVLGIGIVALSDKLNFLVDNDAMFKFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALFSFSLYIVIYFRIIMYV >Vigun02g035500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14753202:14755956:-1 gene:Vigun02g035500.v1.2 transcript:Vigun02g035500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENTMTASHADLAAAIVPLLKLLCLTVIGLVLANPRMQFIPRATFKLLSKLVFALFLPCLIFTDLGESITLENFVDWWFIPVNVLVSTAIGCLLGFLVVIICKPPPELNRFTIIMTGFGNTGNLLLAVVGSVCHSDDNPFGKNCNTRGVTYVSLSQWVSVILVYTLVYHMMEPPMEYYEIVEEGAEIEEERALNDISRPLLVEAEWPGIEDKETEHSKTPFIARIFKSISGISPSNIPELEVTAESGGNSPKSIRCLAEPRVVRRIRIVAEQTPIQHILQPPTLASLLAIIVGTVPQLKAVFFGYDAPLSFITDTLEIVAAAMVPSVMLVLGGMLAEGPNESKLGLKTTIGITVARLLVLPVLGIGIVALSDKLNFLVDNDAMFKFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALFSFSLYIVIYFRIIMYV >Vigun02g035500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14753202:14755956:-1 gene:Vigun02g035500.v1.2 transcript:Vigun02g035500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENTMTASHADLAAAIVPLLKLLCLTVIGLVLANPRMQFIPRATFKLLSKLVFALFLPCLIFTDLGESITLENFVDWWFIPVNVLVSTAIGCLLGFLVVIICKPPPELNRFTIIMTGFGNTGNLLLAVVGSVCHSDDNPFGKNCNTRGVTYVSLSQWVSVILVYTLVYHMMEPPMEYYEIVEEGAEIEEERALNDISRPLLVEAEWPGIEDKETEHSKTPFIARIFKSISGISPSNIPELEVTAESGGNSPKSIRCLAEPRVVRRIRIVAEQTPIQHILQPPTLASLLAIIVGTVPQLKAVFFGYDAPLSFITDTLEIVAAAMVPSVMLVLGGMLAEGPNESKLGLKTTIGITVARLLVLPVLGIGIVALSDKLNFLVDNDAMFKFVLLLQYTTPSAILLGAIASLRGYAVSEASALLFWQHIFALFSFSLYIVIYFRIIMYV >Vigun04g191300.2.v1.2 pep primary_assembly:ASM411807v1:4:41555082:41569709:-1 gene:Vigun04g191300.v1.2 transcript:Vigun04g191300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEQLKYLEQQKDALGLKNSTSYSDSKASQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLATHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHARLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAAGSKILVTARAEKVAYNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLYKESSTSYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFKDLLTRSFFLQSRIKKRFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKRLRSFFPYKEFGRRNIGYYPLQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCLEFENTKVTKMPMHFGELKNLQVLSSVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWKSDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLETLEIIGFDGIVSIGDEFYGNSSSSFTSLESLTFSKMKELEECKRKTAAFPRLEILSVYQCPKLKGLPNQLVNVKNLYIMDSMEASCLERCEHTVSHNSLEALTFSVFPIMNISMSRSFDLLKKIRIFRSCDSLTTFPLDFFPNLKDLSLFSCRNLQMISQDHAHTSLEILSIRSCSRFDSFPSEGLSAPQLWTIDIDGAENLKLLPKRIRILLPSLYVLNIINCPKVEMFPDGGLPPYVEQVSLSSFKLIASLKETLGTNTFMKRLCIENIDVEFFPDEVLLPHSITSLQIYDCPNLKKMEYKGLCHLSSLTLYDCPNLQCLPEDGLPKSISSLQIWSCPLLERRCQNPEGQDWNKIAHIEHLSILP >Vigun04g191300.4.v1.2 pep primary_assembly:ASM411807v1:4:41555083:41559707:-1 gene:Vigun04g191300.v1.2 transcript:Vigun04g191300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEQLKYLEQQKDALGLKNSTSYSDSKASQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLATHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHARLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAAGSKILVTARAEKVAYNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLYKESSTSYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFKDLLTRSFFLQSRIKKRFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKRLRSFFPYKEFGRRNIGYYPLQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCLEFENTKVTKMPMHFGELKNLQVLSSVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWKSDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLETLEIIGFDGIVSIGDEFYGNSSSSFTSLESLTFSKMKELEECKRKTAAFPRLEILSVYQCPKLKGLPNQLVNVKNLYIMDSMEASCLESCDSLTTFPLDFFPNLKDLSLFSCRNLQMISQDHAHTSLEILSIRSCSRFDSFPSEGLSAPQLWTIDIDGAENLKLLPKRIRILLPSLYVLNIINCPKVEMFPDGGLPPYVEQVSLSSFKLIASLKETLGTNTFMKRLCIENIDVEFFPDEVLLPHSITSLQIYDCPNLKKMEYKGLCHLSSLTLYDCPNLQCLPEDGLPKSISSLQIWSCPLLERRCQNPEGQDWNKIAHIEHLSILP >Vigun04g191300.6.v1.2 pep primary_assembly:ASM411807v1:4:41555082:41569709:-1 gene:Vigun04g191300.v1.2 transcript:Vigun04g191300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEQLKYLEQQKDALGLKNSTSYSDSKASQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLATHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHARLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAAGSKILVTARAEKVAYNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLYKESSTSYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFKDLLTRSFFLQSRIKKRFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKRLRSFFPYKEFGRRNIGYYPLQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCLEFENTKVTKMPMHFGELKNLQVLSSVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWKSDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLETLEIIGFDGIVSIGDEFYGNSSSSFTSLESLTFSKMKELEECKRKTAAFPRLEILSVYQCPKLKGLPNQLVNVKNLYIMDSMEASCLERFICTAAMDN >Vigun04g191300.5.v1.2 pep primary_assembly:ASM411807v1:4:41555083:41559707:-1 gene:Vigun04g191300.v1.2 transcript:Vigun04g191300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEQLKYLEQQKDALGLKNSTSYSDSKASQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLATHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHARLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAAGSKILVTARAEKVAYNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLYKESSTSYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFKDLLTRSFFLQSRIKKRFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKRLRSFFPYKEFGRRNIGYYPLQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCLEFENTKVTKMPMHFGELKNLQVLSSVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWKSDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLETLEIIGFDGIVSIGDEFYGNSSSSFTSLESLTFSKMKELEECKRKTAAFPRLEILSVYQCPKLKGLPNQLVNVKNLYIMDSMEASCLERITLILVSRFCQFAVAHDLTHFLVKVYLHRSYGQLILMERRI >Vigun04g191300.3.v1.2 pep primary_assembly:ASM411807v1:4:41555083:41569708:-1 gene:Vigun04g191300.v1.2 transcript:Vigun04g191300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEQLKYLEQQKDALGLKNSTSYSDSKASQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLATHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHARLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAAGSKILVTARAEKVAYNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLYKESSTSYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFKDLLTRSFFLQSRIKKRFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKRLRSFFPYKEFGRRNIGYYPLQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCLEFENTKVTKMPMHFGELKNLQVLSSVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWKSDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLETLEIIGFDGIVSIGDEFYGNSSSSFTSLESLTFSKMKELEECKRKTAAFPRLEILSVYQCPKLKGLPNQLVNVKNLYIMDSMEASCLERCEHTVSHNSLEALTFSVFPIMNISMSRSFDLLKKIRIFRSCDSLTTFPLDFFPNLKDLSLFSCRNLQMISQDHAHTSLEILSIRSCSRFDSFPSEGLSAPQLWTIDIDGAENLKLLPKRIRILLPSLYVLNIINCPKVEMFPDGGLPPYVEQVSLSSFKLIASLKETLGTNTFMKRLCIENIDVEFFPDEVLLPHSITSLQIYDCPNLKKMEYKGLCHLSSLTLYDCPNLQCLPEDGLPKSISSLQIWSCPLLERRCQNPEGQDWNKIAHIEHLSILP >Vigun03g299100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48707063:48708694:-1 gene:Vigun03g299100.v1.2 transcript:Vigun03g299100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQISSTIPAHNLHMFQTRRASFRCRASPLNPSSTTQLPTTSSSPQHVKPVTSTDSTRLHLSNLDKFLHKQSPTQLNNPPPHQQEQVAIQSTSNSTATTVEKKGKNILESLNLARLWPDMKATEEMSPRHLNRLQRLLSKTAEYSPRNVLGSRWREYHGSHDWKGMLDPLDENLRREVVRYGEFVQAAYHSFHSNPAMSAEEPPVPRHMVVPDRSYRVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWVGFVAVCDDRREIARLGRRDIVISLRGTATCLEWAENMRAQMINISEEAAEEEKKTEAKVECGFLSLYKTRGTHVGSLAESVIEEVKRLMEVYKGETLSITITGHSLGAALALLVADDVSACAGDVAPVAVFSFGGPRVGNRAFGEKLTGQNVKVLRIVNSQDVITRVPGMLVSEEVEKKLRRSKVGGVLNMVVEEYSHMGTELRVETKMSPYLKPDADMACCHDLEAYLHLVDGFLASNCPFRANAKRSLARLMQHQGGNVKKLYTSKAKALSLNLERQGSFSISGCLPSPS >Vigun03g131100.1.v1.2 pep primary_assembly:ASM411807v1:3:12747139:12747917:-1 gene:Vigun03g131100.v1.2 transcript:Vigun03g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGAALPDTAEKITIDSILSDGPNGGSVVKLRINYHSKGDAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun11g087500.1.v1.2 pep primary_assembly:ASM411807v1:11:26137035:26140215:-1 gene:Vigun11g087500.v1.2 transcript:Vigun11g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGPLSQDWEPVVLRKKAPTAAAKKDEKAVNAARRAGADIETQKKYNAGTNKAAASSTSLNTKRLDEDTENLAHEKVPTELKKAIMQARMEKKLTQAQLAQLINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >Vigun03g041000.1.v1.2 pep primary_assembly:ASM411807v1:3:3158921:3163519:-1 gene:Vigun03g041000.v1.2 transcript:Vigun03g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESPLCYVGVARQSAAFRLMKQMGWEEGEGLGKEKQGIKGHVRVQNKQDTTGIGLEKPNQWAFDTTQFDNILKRLKVQAPQSHDKDIEENAPKVETKANVPVDNNDSVSKTTRPQGRYKRRERGKLVSQYSMKDLEGILVKKGDISGVTDNSDGELDMSKSSEIHNFEVEESKYPAIPPDWWGYKYGFVSGGFLGAELKKKRSMTSETVKNRAERTAFHEEDQENLYNLVQEKSTTGKQGLGIKDKTKKIAGCYYQGKKTSFDDSDEDSADTDSLEKQAHDDLVKVEKILECKVKLKKLCKKILQQVPGESLKLKQLKVVIDEHSSSVLSDFSSKREAIAYLRQKLTGSRKFCIEGKRVRLASKKS >Vigun03g041000.3.v1.2 pep primary_assembly:ASM411807v1:3:3158873:3163576:-1 gene:Vigun03g041000.v1.2 transcript:Vigun03g041000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESPLCYVGVARQSAAFRLMKQMGWEEGEGLGKEKQGIKGHVRVQNKQDTTGIGLEKPNQWAFDTTQFDNILKRLKVQAPQSHDKDIEENAPKVETKANVPVDNNDSVSKTTRPQGRYKRRERGKLVSQYSMKDLEGILVKKGDISGVTDNSDGELDMSKSSEIHNFEVEESKYPAIPPDWWGYKYGFVSGGFLGAELKKKRSMTSETVKNRAERTAFHEEDQENLYNLVQEKSTTGKQGLGIKDKTKKIAGCYYQGKKTSFDDSDEDSADTDSLEKQAHDDLVKVEKILECKVKLKKLCKKILQQVPGESLKLKQLKVVIDEHSSSVLSDFSSKREAIAYLRQKLTGSRKFCIEGKRVRLASKKS >Vigun03g337950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53587277:53587615:-1 gene:Vigun03g337950.v1.2 transcript:Vigun03g337950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDGAESSLGHSGIGPSCPFTVVTHLFTTSSSYCQRVLSLFSSSGKRVDSTSIVMECWIEERKLETWVRPKWAPQHPHIDALLNLHVPWTHVRGSQILIFCLLPPFCNTVL >Vigun07g263700.3.v1.2 pep primary_assembly:ASM411807v1:7:37937524:37950493:1 gene:Vigun07g263700.v1.2 transcript:Vigun07g263700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTQPSCIPKTLKPFIPLPSPAMKDRRALSSYGSVYIPPHHRLRSVANFNNSPSPFRAKPHENPTHTISTLQPPFTERVTDKARSRFVSAYDDTVSEEGSDHEFELPSVVRVSKFACPNASLDDNTDEWKRKFTVLLNDKSKHELISREKRDRRDFDRIAVIASGMGLYSHIYAKVVVFSKVPLPNYRYDLDDRKPQREVSLSFTTFTRVKEHFEEYLSQKSRMNKSCLDFSSARSSSNCSIGMDEGLFEQPEPLASSKGVMEKIVWQRSLQMRDQQQAWQESAEGSRMLEFRRSLPAYKKKEDILSVISRNQVVIISGETGCGKTTQIPQFILESEIESVRGASCNIICTQPRRISAMSVSERVAYERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRKLKGVTHVIVDEIHERGMNEDFLLIILKELLPFRPELKLILMSATLDAELFSSYFNGAPTMFIPGFTYPVKTHFLENILEMTGYRLTPYNQIDDYGQEKMWKMNRQVPRKRKSQIASAVEDAIRAADFKDYSPHTQESLSCWNPDCIGFSLIEYILCNICENERPGAVLVFMTGWDDISCLKEKLLTHTVLGDPNRVLLLTCHGSMASSEQRLIFEEPEAGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPTWISKVSSQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISEFLSRALQSPEILAVQNAIEYLKIIGALDETENLTILGRYLTMLPMEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFLTPLDKKDLAEAAKTQFCGAYSDHLALVRAHEGWKDAEMDMGGYEYCWKNFLSLQSMKAIDALRREFICLLKDTGLVDSNAASCNAWSSDVNLIRAVICYGLYPGIASVVNNEKSFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMVLLFGGSLLKGDADNHLKMLGGYLDFFMDPSVVEMYQSIRRELDAFIQSKLIFPRMGIQWYHDLLYAVRLLISNDKCEGLRVDLGEIILKVSSKHCLREQDVQHPSTRLDN >Vigun07g263700.1.v1.2 pep primary_assembly:ASM411807v1:7:37937524:37950431:1 gene:Vigun07g263700.v1.2 transcript:Vigun07g263700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTQPSCIPKTLKPFIPLPSPAMKDRRALSSYGSVYIPPHHRLRSVANFNNSPSPFRAKPHENPTHTISTLQPPFTERVTDKARSRFVSAYDDTVSEEGSDHEFELPSVVRVSKFACPNASLDDNTDEWKRKFTVLLNDKSKHELISREKRDRRDFDRIAVIASGMGLYSHIYAKVVVFSKVPLPNYRYDLDDRKPQREVSLSFTTFTRVKEHFEEYLSQKSRMNKSCLDFSSARSSSNCSIGMDEGLFEQPEPLASSKGVMEKIVWQRSLQMRDQQQAWQESAEGSRMLEFRRSLPAYKKKEDILSVISRNQVVIISGETGCGKTTQIPQFILESEIESVRGASCNIICTQPRRISAMSVSERVAYERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRKLKGVTHVIVDEIHERGMNEDFLLIILKELLPFRPELKLILMSATLDAELFSSYFNGAPTMFIPGFTYPVKTHFLENILEMTGYRLTPYNQIDDYGQEKMWKMNRQVPRKRKSQIASAVEDAIRAADFKDYSPHTQESLSCWNPDCIGFSLIEYILCNICENERPGAVLVFMTGWDDISCLKEKLLTHTVLGDPNRVLLLTCHGSMASSEQRLIFEEPEAGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPTWISKVSSQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISEFLSRALQSPEILAVQNAIEYLKIIGALDETENLTILGRYLTMLPMEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFLTPLDKKDLAEAAKTQFCGAYSDHLALVRAHEGWKDAEMDMGGYEYCWKNFLSLQSMKAIDALRREFICLLKDTGLVDSNAASCNAWSSDVNLIRAVICYGLYPGIASVVNNEKSFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMVLLFGGSLLKGDADNHLKMLGGYLDFFMDPSVVEMYQSIRRELDAFIQSKLIFPRMGIQWYHDLLYAVRLLISNDKCEGRFVFGRQVHKPSKKSIMLASHPTLVSRTESGPGGDNSKSQLQTLLTRAGCAAPVYKTRQLKNNQFQAAVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGKQAGREYINHLSMLLKKSKKDHN >Vigun07g263700.4.v1.2 pep primary_assembly:ASM411807v1:7:37937524:37950431:1 gene:Vigun07g263700.v1.2 transcript:Vigun07g263700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTQPSCIPKTLKPFIPLPSPAMKDRRALSSYGSVYIPPHHRLRSVANFNNSPSPFRAKPHENPTHTISTLQPPFTERVTDKARSRFVSAYDDTVSEEGSDHEFELPSVVRVSKFACPNASLDDNTDEWKRKFTVLLNDKSKHELISREKRDRRDFDRIAVIASGMGLYSHIYAKVVVFSKVPLPNYRYDLDDRKPQREVSLSFTTFTRVKEHFEEYLSQKSRMNKSCLDFSSARSSSNCSIGMDEGLFEQPEPLASSKGVMEKIVWQRSLQMRDQQQAWQESAEGSRMLEFRRSLPAYKKKEDILSVISRNQVVIISGETGCGKTTQIPQFILESEIESVRGASCNIICTQPRRISAMSVSERVAYERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRKLKGVTHVIVDEIHERGMNEDFLLIILKELLPFRPELKLILMSATLDAELFSSYFNGAPTMFIPGFTYPVKTHFLENILEMTGYRLTPYNQIDDYGQEKMWKMNRQVPRKRKSQIASAVEDAIRAADFKDYSPHTQESLSCWNPDCIGFSLIEYILCNICENERPGAVLVFMTGWDDISCLKEKLLTHTVLGDPNRVLLLTCHGSMASSEQRLIFEEPEAGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPTWISKVSSQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISEFLSRALQSPEILAVQNAIEYLKIIGALDETENLTILGRYLTMLPMEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFLTPLDKKDLAEAAKTQFCGAYSDHLALVRAHEGWKDAEMDMGGYEYCWKNFLSLQSMKAIDALRREFICLLKDTGLVDSNAASCNAWSSDVNLIRAVICYGLYPGIASVVNNEKSFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMVLLFGGSLLKGDALIFPRMGIQWYHDLLYAVRLLISNDKCEGLRVDLGEIILKVSSKHCLREQDVQHPSTRLDN >Vigun07g263700.2.v1.2 pep primary_assembly:ASM411807v1:7:37937524:37950431:1 gene:Vigun07g263700.v1.2 transcript:Vigun07g263700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTQPSCIPKTLKPFIPLPSPAMKDRRALSSYGSVYIPPHHRLRSVANFNNSPSPFRAKPHENPTHTISTLQPPFTERVTDKARSRFVSAYDDTVSEEGSDHEFELPSVVRVSKFACPNASLDDNTDEWKRKFTVLLNDKSKHELISREKRDRRDFDRIAVIASGMGLYSHIYAKVVVFSKVPLPNYRYDLDDRKPQREVSLSFTTFTRVKEHFEEYLSQKSRMNKSCLDFSSARSSSNCSIGMDEGLFEQPEPLASSKGVMEKIVWQRSLQMRDQQQAWQESAEGSRMLEFRRSLPAYKKKEDILSVISRNQVVIISGETGCGKTTQIPQFILESEIESVRGASCNIICTQPRRISAMSVSERVAYERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLADRKLKGVTHVIVDEIHERGMNEDFLLIILKELLPFRPELKLILMSATLDAELFSSYFNGAPTMFIPGFTYPVKTHFLENILEMTGYRLTPYNQIDDYGQEKMWKMNRQVPRKRKSQIASAVEDAIRAADFKDYSPHTQESLSCWNPDCIGFSLIEYILCNICENERPGAVLVFMTGWDDISCLKEKLLTHTVLGDPNRVLLLTCHGSMASSEQRLIFEEPEAGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPTWISKVSSQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISEFLSRALQSPEILAVQNAIEYLKIIGALDETENLTILGRYLTMLPMEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFLTPLDKKDLAEAAKTQFCGAYSDHLALVRAHEGWKDAEMDMGGYEYCWKNFLSLQSMKAIDALRREFICLLKDTGLVDSNAASCNAWSSDVNLIRAVICYGLYPGIASVVNNEKSFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMVLLFGGSLLKGDALIFPRMGIQWYHDLLYAVRLLISNDKCEGRFVFGRQVHKPSKKSIMLASHPTLVSRTESGPGGDNSKSQLQTLLTRAGCAAPVYKTRQLKNNQFQAAVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGKQAGREYINHLSMLLKKSKKDHN >Vigun06g113100.1.v1.2 pep primary_assembly:ASM411807v1:6:24156340:24158926:1 gene:Vigun06g113100.v1.2 transcript:Vigun06g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSAMEAERDKYKMIDFEETELRLGLPLSANDGESTLIRNTSGGSFTGKRGFSDTTSGSVDLKLNLSSTSNNVSASSSSEIAKENNASAAAAANITTPSPPPVKDPAKPPAKAQVVGWPPVRSFRKNIVNNNVQKGSNSNSNSNNIKEGVKGSSSNSGNINIGAAFVKVSMDGAPYLRKVDLKVYKSYQELSDSLAKMFSSFTIDKCGSQGMKDFMNETKLIDFLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAVEKCRNRS >Vigun03g280400.1.v1.2 pep primary_assembly:ASM411807v1:3:45863302:45867201:-1 gene:Vigun03g280400.v1.2 transcript:Vigun03g280400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSRRATAALLLLLLQIISLADCGGSTVSSDAVWLLSFKREADQDNRLLYTLNEPYDYCEWEGVKCAQGRVVRFVVQSMGLRGPFPPDTLTKLDQLRVMSLRNNSLYGPIPDLSPLTNLKSLFLDHNNFSGSFPPSLLLLHRLLTLSLSHNNLSGSIPVRLTLLDRLIALRLDSNNFSGTLPPLNQTALKLLNVSNNNLTGPVPVTPTLSKLNAASFSGNPGLCGEIVHRECGSRSRFFGPATSTSTAPLSQSEQSQGILVVPASAQTKRHQKTGLVVVGFVVAVVVVSGFVLSVGSVVRRRQAAAGKAVVAEGDEVEGVVEVEEREVKVRRMEEAHRSGKLVFCCGEVQQYTLEMLMRASAELLGRGSVGTTYKAVLDSRLIVTVKRLDGGKSGGSDGMVFERHMEAVGRLRHPNLVPLRAYFQAKGERLVIYDYQPNGSLFNLVHGSRSARAKPLHWTSCLKIAEDVAHGLAYIHQVSTLIHGNLKSSNVLLGTDFEACITDYCLSFFADPSFTEDSDSAAYKAPEARNSSRRATSKSDVYAFGVLLLELLTGKHPSQHPFLAPADLQDWVRTMRDDDGSEDNRLEMLTEVASICSATSPEQRPAMWQVLKMIQGIKDSVSMEDNSFTGIS >Vigun07g020700.1.v1.2 pep primary_assembly:ASM411807v1:7:1757221:1758635:-1 gene:Vigun07g020700.v1.2 transcript:Vigun07g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQNTEDKVFEKFTWTITNFSKLDSKIYSEKFSLHGHTWRILIFPKGNEVEDLSLYLDSGAATMPHGWQKFAVFKINLINQLDHGKNIIKETSHTFNLVEDDHGYKSFVPLGDLCDSSREQEKEVVESEMKIDEKVAGSIENVSPKEMIPTSSFVEMVDFRDIGRVEKHFVPLLEQVCSCYPSLIDSKKRKSQRFTEWAFTALGRVLHFLKTKKVRDMDDESCNHLQTLWEELQTFGFDLGWLKPHVESALGIKTRVEKVVEVKRLEENVITLKENVDTLEENVCTLEEKTKALRRKIIEAEVNLEMAKRDLVKAIKGSEECDLDAELGYGKSLFLGF >Vigun10g182700.1.v1.2 pep primary_assembly:ASM411807v1:10:39990327:39998451:-1 gene:Vigun10g182700.v1.2 transcript:Vigun10g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQRVASKDHILGQDKDENLDENLASVRIQNAKPCWLHSFRHVLVASLSAFLYGYHIGVVNETLESISIDLGFSGNTIAEGLVVSVCLGGAFVGSLFSGWMADGIGRKRSFQLCALPMIIGAGMSATAKTLWGMLLGRLFVGTGMGLGPPVVALYVAEVSPPAVRGAYGALTQIATCLGLMGSFFIGIPSKDIVGWWRICFWVSVIPAAMLAIFMEISAESPHWLFKIGRTTEAEAEFEKLLGGVHVKPAMVELSKADRGDASDTVTVSDLICGRYFRVMFIGCSLFALQQLSGINAVFYFSSTVFESFGVPSDIANTCVGVCNLVGSVIAMILMDKLGRKLLLLGSFLGMALTMGLQAIAASPFASGFGAMYLSVGGMLLFVMSFALGAGPVPCLVMSEMLPGNIRAKAMAICLAMHWAINFFVGLFFLRLLELIGAQLLYTIFGSCCLVAVVFVKKYILETKGKSLQEIEIALLAQEADSTY >Vigun06g136700.1.v1.2 pep primary_assembly:ASM411807v1:6:26269239:26278037:-1 gene:Vigun06g136700.v1.2 transcript:Vigun06g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQILFLMIIVIIAMLITLPIYALAFSAVPYSGLPDSTEGHIIAKPGCSFRCGGVDISYPFGMKDPKCYLDKWFEVECRETSDGQKPYLKSLNLEVIAVALHRVYIMNPIFHWNCPRRKVKAEVINLRGSPFVYSHKFNRFVGVGCNNLAFLQSNGSIVACCVSICDDTDEVNDNFNLVRGDSCSGRYCCETLLPKYLSEYNATLEDFNIENNNTASERCSYAFIGYLYSYRQDAYRLNRDYTPAMLEWEILDHMLDNSTHQLLSESDYVTCNRSNNVGSSQNTSSGWRCDCLEGFYGNPYVSGGCTAIPGYYNHSQTKKWAIVGVSSSLGSVIFLVGLWLLYKVVRKRVIEKRKQKFFKKNGGLLLQQRMSSNEANVDKSFHFSLDDLEKATDNFNMDRIVGKGGQGTVYKGMLVDGRIVAVKKFKVEGNVEEFINEFVILSQINNRNVVRLLGCCLETEIPLLVYEFIPNGNLFEYLHDQNKELLPMTWEMCLRIATEIAGALFYLHSVASKPIYHRDIKSTNILLDEKYRAKIADFGTSRVISVEATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGQKPISPTTSGEFKSLASYFVECLEEDNLFEIIDKRVVKEAEKGEIIAVANLASRCLELKGKKRPTMKEITFELEGIHGLVSKSNAEKKGDEVEVARVLDWHPWDGYSTSNSWDSKVIPTV >Vigun08g045900.1.v1.2 pep primary_assembly:ASM411807v1:8:4894220:4900466:-1 gene:Vigun08g045900.v1.2 transcript:Vigun08g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGVRQESSVLLDLASHVNRFAFDHFRTDTAVQSSFLRFNGGTGAKRGVSLRVGAAGVRVRNILSEFNRAVRFHCEKIPIGFASLRVGEGDGGGGGGGCDGDGDGSGVRVDECGGVENEGLRGNGVEGERPKKVLILMSDTGGGHRASAEAIKAAFYEEFGDDYQVFVTDLWADHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQSNFAATGTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRSKGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAQRALKAGLQQSQIKIFGLPVRPSFVKPVRPKDELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALADSLYDESIGVPVGQILVICGRNKKLLNKLNAINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAQIRGLPIILNGYIAGQEAGNVPYVVENGCGKFCKSPKQIAKIVADWFGPKAYELQQMSQNALRLARPDAVFKIVHDLHELVRQRSYLPQYSCTA >Vigun11g192300.4.v1.2 pep primary_assembly:ASM411807v1:11:39144938:39156285:1 gene:Vigun11g192300.v1.2 transcript:Vigun11g192300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGSDFDEVNNAVEGTGEEEEEEEHAAAQEEDDNDMEEEDEYTFRFENGMDPLDFVDNNDDSGLLPYERFERLEQEALADKKRKATEQCHSEEPPSKLARECGISGSKIAEIMEAMNYHGVRKRSRKPKKRGRRKGSRNRTDPKLTRMLGDATLHYACGHYDKAKAVLLEVIKLAPNLADSYHTLGLVCSSLQDYKRAMSFYLIAAHLNPKDASLWKRIFTWSIEQGYIDQARHCLLKAITADPKDVTLRGLLARLYVELGDYQKAAVTYEQVHQLCHENVDPLKTAAKLYKKCGQVEHAVCILEDYLKSQPDGANSSVVDLLCTILMETKAHDRGLQYIKHAQAANAWEELPLNLKIKAGICHAHLGKMDMAQVLFNDLKPENASKHVDLVIEVADSLMGLEHYNPALNYYLMLEGNIGNENGPLYLKLAKCYMSLKESSQAIIFFYKALEILQDDVDARITLASLLLEEGKEDEAISLLSPPNDSDSGEANPEKSNGWWVDIRIKLKLCNIYWNRGTLGDFVDTIFPLVRESLYVATLRQKGKSKRRLTKRDLVERVRILDGPEKDNVFRGFRPVAAASDRLKASRAKKLLQKMAIEKEKRKAEALASGIDWLSDDSDEEPQQENREPPLCNLLKDEEHHQLIIDLCKALASLQRYWEALEIINLSLRLAGTSLSTDKKEELRSLGAQMAYSTTDPKHGFDCVKYIVQQHPHSVAAWNCYYKVISSLWTACLLF >Vigun11g192300.2.v1.2 pep primary_assembly:ASM411807v1:11:39144938:39156286:1 gene:Vigun11g192300.v1.2 transcript:Vigun11g192300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGSDFDEVNNAVEGTGEEEEEEEHAAAQEEDDNDMEEEDEYTFRFENGMDPLDFVDNNDDSGLLPYERFERLEQEALADKKRKATEQCHSEEPPSKLARECGISGSKIAEIMEAMNYHGVRKRSRKPKKRGRRKGSRNRTDPKLTRMLGDATLHYACGHYDKAKAVLLEVIKLAPNLADSYHTLGLVCSSLQDYKRAMSFYLIAAHLNPKDASLWKRIFTWSIEQGYIDQARHCLLKAITADPKDVTLRGLLARLYVELGDYQKAAVTYEQVHQLCHENVDPLKTAAKLYKKCGQVEHAVCILEDYLKSQPDGANSSVVDLLCTILMETKAHDRGLQYIKHAQAANAWEELPLNLKIKAGICHAHLGKMDMAQVLFNDLKPENASKHVDLVIEVADSLMGLEHYNPALNYYLMLEGNIGNENGPLYLKLAKCYMSLKESSQAIIFFYKALEILQDDVDARITLASLLLEEGKEDEAISLLSPPNDSDSGEANPEKSNGWWVDIRIKLKLCNIYWNRGTLGDFVDTIFPLVRESLYVATLRQKGKSKRRLTKRDLVERVRILDGPEKDNVFRGFRPVAAASDRLKASRAKKLLQKMAIEKEKRKAEALASGIDWLSDDSDEEPQQENREPPLCNLLKDEEHHQLIIDLCKALASLQRYWEALEIINLSLRLAGTSLSTDKKEELRSLGAQMAYSTTDPKHGFDCVKYIVQQHPHSVAAWNCYYKVISRLENRDTRHYKFVRVMQGKFVDCVPPILISGHQFTIFSHHQDAARKYLEAYKLLPENPLVNLCVALINLALGFRLQNKHQCLVQGLTFLYNNLRICENSQESLYNIARAYHHVGLVTLAAIYYEKVIGICEKDYPIPKLPNENPDVIENHKPGYCDLRREAAYNLHLIYKKSGALDLARQVLKDHCTL >Vigun11g192300.3.v1.2 pep primary_assembly:ASM411807v1:11:39146589:39156285:1 gene:Vigun11g192300.v1.2 transcript:Vigun11g192300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEHLRSVPKKRGRRKGSRNRTDPKLTRMLGDATLHYACGHYDKAKAVLLEVIKLAPNLADSYHTLGLVCSSLQDYKRAMSFYLIAAHLNPKDASLWKRIFTWSIEQGYIDQARHCLLKAITADPKDVTLRGLLARLYVELGDYQKAAVTYEQVHQLCHENVDPLKTAAKLYKKCGQVEHAVCILEDYLKSQPDGANSSVVDLLCTILMETKAHDRGLQYIKHAQAANAWEELPLNLKIKAGICHAHLGKMDMAQVLFNDLKPENASKHVDLVIEVADSLMGLEHYNPALNYYLMLEGNIGNENGPLYLKLAKCYMSLKESSQAIIFFYKALEILQDDVDARITLASLLLEEGKEDEAISLLSPPNDSDSGEANPEKSNGWWVDIRIKLKLCNIYWNRGTLGDFVDTIFPLVRESLYVATLRQKGKSKRRLTKRDLVERVRILDGPEKDNVFRGFRPVAAASDRLKASRAKKLLQKMAIEKEKRKAEALASGIDWLSDDSDEEPQQENREPPLCNLLKDEEHHQLIIDLCKALASLQRYWEALEIINLSLRLAGTSLSTDKKEELRSLGAQMAYSTTDPKHGFDCVKYIVQQHPHSVAAWNCYYKVISRLENRDTRHYKFVRVMQGKFVDCVPPILISGHQFTIFSHHQDAARKYLEAYKLLPENPLVNLCVGTALINLALGFRLQNKHQCLVQGLTFLYNNLRICENSQESLYNIARAYHHVGLVTLAAIYYEKVIGICEKDYPIPKLPNENPDVIENHKPGYCDLRREAAYNLHLIYKKSGALDLARQVLKDHCTL >Vigun11g192300.1.v1.2 pep primary_assembly:ASM411807v1:11:39144938:39156285:1 gene:Vigun11g192300.v1.2 transcript:Vigun11g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGSDFDEVNNAVEGTGEEEEEEEHAAAQEEDDNDMEEEDEYTFRFENGMDPLDFVDNNDDSGLLPYERFERLEQEALADKKRKATEQCHSEEPPSKLARECGISGSKIAEIMEAMNYHGVRKRSRKPKKRGRRKGSRNRTDPKLTRMLGDATLHYACGHYDKAKAVLLEVIKLAPNLADSYHTLGLVCSSLQDYKRAMSFYLIAAHLNPKDASLWKRIFTWSIEQGYIDQARHCLLKAITADPKDVTLRGLLARLYVELGDYQKAAVTYEQVHQLCHENVDPLKTAAKLYKKCGQVEHAVCILEDYLKSQPDGANSSVVDLLCTILMETKAHDRGLQYIKHAQAANAWEELPLNLKIKAGICHAHLGKMDMAQVLFNDLKPENASKHVDLVIEVADSLMGLEHYNPALNYYLMLEGNIGNENGPLYLKLAKCYMSLKESSQAIIFFYKALEILQDDVDARITLASLLLEEGKEDEAISLLSPPNDSDSGEANPEKSNGWWVDIRIKLKLCNIYWNRGTLGDFVDTIFPLVRESLYVATLRQKGKSKRRLTKRDLVERVRILDGPEKDNVFRGFRPVAAASDRLKASRAKKLLQKMAIEKEKRKAEALASGIDWLSDDSDEEPQQENREPPLCNLLKDEEHHQLIIDLCKALASLQRYWEALEIINLSLRLAGTSLSTDKKEELRSLGAQMAYSTTDPKHGFDCVKYIVQQHPHSVAAWNCYYKVISRLENRDTRHYKFVRVMQGKFVDCVPPILISGHQFTIFSHHQDAARKYLEAYKLLPENPLVNLCVGTALINLALGFRLQNKHQCLVQGLTFLYNNLRICENSQESLYNIARAYHHVGLVTLAAIYYEKVIGICEKDYPIPKLPNENPDVIENHKPGYCDLRREAAYNLHLIYKKSGALDLARQVLKDHCTL >Vigun09g008600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:673745:674289:-1 gene:Vigun09g008600.v1.2 transcript:Vigun09g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLSFDVGSNSQGNCSQEFSPNKPSMGKELARRAVLRSYLRKNGRRKVASNTAKSLPSRLSKVSLQEDYAE >VigunL039255.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:351239:351571:1 gene:VigunL039255.v1.2 transcript:VigunL039255.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun08g032700.1.v1.2 pep primary_assembly:ASM411807v1:8:2988758:2990563:1 gene:Vigun08g032700.v1.2 transcript:Vigun08g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLQPQKKLAEFLNDEQEPFILQLYLSERDYSNRWSSNGEDSTNTSTLNRKKKGLLPFFYLLKALYKKLSFPKETKTVLTKVHECDQRNKHEGLDHILQFSSDTRFTMFSSCQDIDEEGTSVFSHKQQHLFYSHTLYNMAPQQRNRRQRQRLIEGGDELLRKIHVPRAPNVIEDMRRMQQRIQSGGGDIVAKKIRGESLLSAAGGWGGRVEETKKRGKCGSTRVVVRGTNDVSQMLKSKRVLHRIKKMLFDCVKDIAITLPTEEDTKRGYRQFMGPLQIGKLLRQRTNKWDQQAVALGGPNITYLLTLDYMNSIVEWKKFEPHVKDITIEITDAILDSIFNEIVIEITGTSTLNT >Vigun02g083800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23727518:23729336:1 gene:Vigun02g083800.v1.2 transcript:Vigun02g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLKGSESENPMATCLSLLSLRRFSACCDFARSLPPTDTVARVLAIANILSAADFYSVLQLDPSDCANRDLARRQYAKLALLLDPTSPDKFPFSDEALARVQEAWHVLSRPERRALYDREHPVSAAVTFWSVCPYCWNLFEYEKKYEDCALWCQVCGKAFQGVAVIPPSMVGEAVVEGEELRQYYSCEASVPLRYYEVKEEIKGSNTFGEQNAAFSEQSAPSFVYISDDDNEGLQGNGEKRRMRVKTVAKKGSGNRRRRPLASDSDLDFDLDEEDDELEFTEGDDDVFVGVRFRK >Vigun03g310500.1.v1.2 pep primary_assembly:ASM411807v1:3:50267280:50269179:1 gene:Vigun03g310500.v1.2 transcript:Vigun03g310500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPANGASADHPIKFLIYGRTGWIGGLLGTLCQAQAIPFQYASGRLEDRASLEKDIAEVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRQHGLLLLNYATGCIFEYDSAHPLGSGIGFKENDTPNFTGSFYSKTKAMVEDLLKNYDNVCTLRVRMPISSDLSNPRNFITKITRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYREYVDPNFTWKNFTLEEQAKVIVAPRSNNELDATKLKTEFPELLSIKDSLVKYVFHPNQKVKA >Vigun06g031350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13673923:13675656:1 gene:Vigun06g031350.v1.2 transcript:Vigun06g031350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRSLWFRIVLLASVPVLVEHAMACTLFVVVHLALGLRVLSACASQWWFRIAIALSPAARNICSRDSFASRRVRLAEVIRSGIVFCHGGYCHRGFALVVAAVVSSYSDGVLLHRSGLVVVSSQRHRSESQWRFRVAGGRRRSPNLTFNTFGSPADATSCAAGEPKVLKVRLGVRFPLATTHPV >Vigun06g031350.3.v1.2 pep primary_assembly:ASM411807v1:6:13673980:13675359:1 gene:Vigun06g031350.v1.2 transcript:Vigun06g031350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRSLWFRIVLLASVPVLVEHAMACTLFVVVHLALGLRVLSACASQWWFRIAIALSPAARNICSRDSFASRRVRLAEVIRSGIVFCHGGYCHRGFALVVAAVVSSYSDGVLLHRSGLVVVSSQRHRSESQWRFRVAGGRRRC >Vigun06g031350.2.v1.2 pep primary_assembly:ASM411807v1:6:13673980:13675359:1 gene:Vigun06g031350.v1.2 transcript:Vigun06g031350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRSLWFRIVLLASVPVLVEHAMACTLFVVVHLALGLRVLSACASQWWFRIAIALSPAARNICSRDSFASRRVRLAEVIRSGIVFCHGGYCHRGFALVVAAVVSSYSDGVLLHRSGLVVVSSQRHRSESQWRFRVAGGRRRSG >Vigun07g157700.1.v1.2 pep primary_assembly:ASM411807v1:7:26946112:26949905:-1 gene:Vigun07g157700.v1.2 transcript:Vigun07g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCKSLLCWDCVPEFTDPDPLPFSLPSPLPQWPQGGSFASGRICLGEIEVLKVDKFEKVWRCTSLRGKSLGFTFYRPLEIPEGFFCLGHYCQSNDQPLRGYVLVARATNASLLESPALEKPLGYSLIWSIDSHDDRVYFWLPNPPKGYKAMGIVVTSGPNEPEVEEVRCTRADLTETCETSNLLLTIKSKYAKNAFQVWNTEPCDRGMLATGVSVGTFFCGSAYFDSEQVVDIACLKNLDSSLHAMPNQNQIHALIQHYGPTVYFHPDEKYLPSSVQWYFKNGAALYTAGSSKGKAIEYTGANLPSGGTNDGAFWIDLPNDEDARNNLKKGNIESAELYVHVKPALGGAFTDIVMWVFCPFNGPATLKVALMNIEMGKVGEHVGDWEHFTLRISNFSGELWSVFFSQHSGGQWVNAFDLEFIKGNKPIVYSSKDGHASFPHPGTYLQGPSKLGVGVRNDAAKSKFIVDSSIKYQVVAAEYLGEGVITEPCWLQYMREWGPTIVYDSRSEIDKIIDMLPLFVRFSVENLFELFPTELYGEEGPTGPKEKDNWLGDEYC >Vigun07g047500.2.v1.2 pep primary_assembly:ASM411807v1:7:4904042:4906455:-1 gene:Vigun07g047500.v1.2 transcript:Vigun07g047500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATACNLNLNLNLNFNHAFLGMHPKVMTWPTRVPSLSGGGNGAASLVVTRAIDANQFLGDFGARDPFPAELESSFGDKVLGYGNTEHKILIPNISALSLAQQDCAPISPLQPPISEQDAQMLIRKVVGWRLVNEEGKHKLQCLWKLRDFKSGVELINRISKVVEATGHFPNIYLEQPNQVRAELWTASIGKQ >Vigun07g047500.1.v1.2 pep primary_assembly:ASM411807v1:7:4904042:4906455:-1 gene:Vigun07g047500.v1.2 transcript:Vigun07g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATACNLNLNLNLNFNHAFLGMHPKVMTWPTRVPSLSGGGNGAASLVVTRAIDANQFLGDFGARDPFPAELESSFGDKVLGYGNTEHKILIPNISALSLAQQDCAPISPLQPPISEQDAQMLIRKVVGWRLVNEEGKHKLQCLWKLRDFKSGVELINRISKVVEATGHFPNIYLEQPNQVRAELWTASIGGLSMNDFIVAAKIDEIKTSDLAPKKRVWA >Vigun03g406432.1.v1.2 pep primary_assembly:ASM411807v1:3:61344203:61347763:-1 gene:Vigun03g406432.v1.2 transcript:Vigun03g406432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEMGRSTSDHPPIQENGNTQENMVGKHQDSKKDKVKNEINKTVPFHKLFSFARPWDCFLMLVGSVSAVGTGFSSCLTAILVGDMVDAFGGHADDKQVVHEVSKVSLKLASIGAGAFLAASLQVSCWVITGERQAARIRGLYLKAILRQDISFFDKETNSGEVVGRMSGDTVLIQEAMGEKVGKFIQHVSCFLGGIVIAFVKGWLLSLVLLSSLPLLVFSGSLMSLAYAKMASR >Vigun02g085250.1.v1.2 pep primary_assembly:ASM411807v1:2:23958647:23961748:-1 gene:Vigun02g085250.v1.2 transcript:Vigun02g085250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDYLQRMKSGKLDLGVRRDILDWVWKEHTICYWMLGGTYLIGFGRVFHLTNTKQQNSSWMKRFDYLQRLKSEKLDLGVRRDILDWI >Vigun02g085250.2.v1.2 pep primary_assembly:ASM411807v1:2:23958647:23961748:-1 gene:Vigun02g085250.v1.2 transcript:Vigun02g085250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKSGKLDLGVRRDILDWVWKEHTICYWMLGGTYLIGFGRVFHLTNTKQQNSSWMKRFDYLQRLKSEKLDLGVRRDILDWI >Vigun02g085250.3.v1.2 pep primary_assembly:ASM411807v1:2:23958647:23961748:-1 gene:Vigun02g085250.v1.2 transcript:Vigun02g085250.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKSGKLDLGVRRDILDWVWKEHTICYWMLGGTYLIGFGRVFHLTNTKQQNSSWMKRLKSEKLDLGVRRDILDWI >Vigun07g135200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24533753:24534013:-1 gene:Vigun07g135200.v1.2 transcript:Vigun07g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRHKKSSSSLFSIFNIFSSKKHRGGYYDAPDSSRRVWPSDYDKGNWGVAEPNIDMKAEAFIAKYKKRVSESALYQLDPAADNA >Vigun06g227200.1.v1.2 pep primary_assembly:ASM411807v1:6:33497067:33499070:1 gene:Vigun06g227200.v1.2 transcript:Vigun06g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRTGHKIACPQIQISSPVSGSNKSETTLLGSHRGGSKNLWPEFEISIEDESEYSRDMSEENTVSNSLISRNRTDDTMNSIFDSFQGDADKNSWASFQECIDKAPEQVLRYYRNTNAKPIWPLSSGRPSNADIPRCSYCSGPMCCEFQILPQLLYYFGVDNEVDSLDWASIVVYACEASCNASLPYTHEFAWVQIHSPSTGL >Vigun11g040200.10.v1.2 pep primary_assembly:ASM411807v1:11:5700623:5707267:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTYLLSLFAYSYSLQKSYDSVQYCRCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.8.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVTGIPSYSILIFIFSVLILQHNLVNLFLIR >Vigun11g040200.9.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.4.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDANATVTTLAELLQSPRPLTATAHSIFSSTPPPHRCTTCPVLTALPRSTVLVGTLTLPALSPTCSCLRFSDASATVCCDLLHFRPAALNREIRVTAWNFIPFKRHVCDVAHGLLEIISWRFSDPNHGSNAVDSLPLAPNCVRLSGGRRRSVHGVVESVGPLFVVPCTMAASTSDLNSGSMVNLPGFSVQLVCCECRFCCSKGDVLIGKLSESRKGHSFTKMEIVYFCGSASSSWHPAITKLIDERVVVSGLKKKLVYLTKEESQVMYVTEDESVLHVGSCLEKCMPSLSEIKGKGECGSYTGVIKGAYMQGMVLELDHDVWLLLTDQLHTSMHGLRVGSVLSVRNVHIVNPHFSWTKIIILGACIKTSIIVQSFSPCQTVCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVTGIPSYSILIFIFSVLILQHNLVNLFLIR >Vigun11g040200.5.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNYSWGMHQDQHYCAILLPVPNCYCRCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVTGIPSYSILIFIFSVLILQHNLVNLFLIR >Vigun11g040200.1.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDANATVTTLAELLQSPRPLTATAHSIFSSTPPPHRCTTCPVLTALPRSTVLVGTLTLPALSPTCSCLRFSDASATVCCDLLHFRPAALNREIRVTAWNFIPFKRHVCDVAHGLLEIISWRFSDPNHGSNAVDSLPLAPNCVRLSGGRRRSVHGVVESVGPLFVVPCTMAASTSDLNSGSMVNLPGFSVQLVCCECRFCCSKGDVLIGKLSESRKGHSFTKMEIVYFCGSASSSWHPAITKLIDERVVVSGLKKKLVYLTKEESQVMYVTEDESVLHVGSCLEKCMPSLSEIKGKGECGSYTGVIKGAYMQGMVLELDHDVWLLLTDQLHTSMHGLRVGSVLSVRNVHIVNPHFSWTKIIILGACIKTSIIVQSFSPCQTVCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.3.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNYSWGMHQDQHYCAILLPVPNCYCRCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.6.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNYSWGMHQDQHYCAILLPVPNCYCRCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVTGIPSYSILIFIFSVLILQHNLVNLFLIR >Vigun11g040200.12.v1.2 pep primary_assembly:ASM411807v1:11:5700623:5707267:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.11.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707267:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun11g040200.2.v1.2 pep primary_assembly:ASM411807v1:11:5698784:5707266:1 gene:Vigun11g040200.v1.2 transcript:Vigun11g040200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNYSWGMHQDQHYCAILLPVPNCYCRCNVVFPSSGMLGKFIQSLPFSARLWVLLLVSSFRKKFAGILSDKEILGSKHKEGLVQMYASSLFPSSIFQTQQGAPVWLCTHDLNGCARVLNCSFLKLVIPISIFICRCMHTLLRIMKPENHCKLLPIGNQFSILSREARYNDRSFRRIIQSEDVGVVLLGYLKVDPSTRRLQLVDATGGVDIVIPDLPLTWNPNEIFEVTDYEVFMDNIDEPMDLIERLGSESLSCRTIFNCSKAERELSTPFFVCCHWKNVKCRNIPLYSCINSKNESETLEPGSYYLLRVSHKFPLQEKYSNKAGCGKSSTFVEAVLFPFILLFDGKSGIAHPCNDSWDKIEELSKSCLSGNNEYKFSNKRQKLTKESVSSSKEEFHTSKYELSACSNSSRKPEENRKCVNMKSSHDLSCLVTFKSLQIENEIFPAILRSTLPMKDTSFNSKPSSRKILLEFSSDRFLKYQLLQIGHYYIIYHKTKDCYRNTKDADFGRSDTAKLLVDSRKRIWSLSFIYDENLSHHLSVYTSAKDSLSPSVDGVSPNHQKLLPRSSGEPSGVSSDVCLYLPISLADVFEDNVMELEDSRSLQSVISEDSANLSLGTGTSEDRPKSCFGTQRSNSLFPEGNLMSLEGNVIEIHKTDSGFFSSCSNGANVDSLQLKGLVRTRSNFCIHVLVHHHIVNIFGSVNKHTFPTGFGPGVTAVFHRILYARAQSKFMLVPVSFIVIKSIKVCDKQCGDRPSFLSSTKDADHASPDYISCLISQLPQDLTHKKIVLRCRVVAVLVLVIERKTTNFIAETKVNAQGTLLDIPLACFLLEDGSSSCCCWASAERAATLLRLREELTTSHHLGRILKKHKKITVKNHGLYVDSSCQDHIFTVASGNALCSSDENILRLIIFNASIGGIWNVVASGMDAGETRQLGEEYLKEMLNVHDMRNMWAEEVSYPRTRAEARNMIQELLKN >Vigun06g026800.1.v1.2 pep primary_assembly:ASM411807v1:6:12062867:12065184:1 gene:Vigun06g026800.v1.2 transcript:Vigun06g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLYFSALFFFIFLGLIVRKIGNTKKKDEETPSNMPDGPRKLPIIGNIHNLLCSLPHRKLRDLALKYGPLMHLQLGEVSTIVVSSAECAMEVMKTHDINFATRPKVLAIDVINYNCTNIAFAPYGNYWRQLRKICTLELLSQKRVNSYQPIREEELFNLVKRIDGNKGYPINLTEAVLSSIYTIASRAAFGKKFKDHEKFISVITKITKVAAGFYIGDFFPSAVWLQHVTGMRHKLEKVHQEADQIMENIINEHKEAKSKAKGNEREAVDLVDVLLQYEDGSQQDFSLTRDNVKAIILDIFGGGGETSSTTIDWAMAEMMKDSRVMKKAQAEVREVFNMKGRVDENFLDELKYLKLVVKEILRLHPPTPLLLPRECGETCEIHGYHIPAKSKVMVNAWAIGRDPNYWSEPERFYPERFIDSTIDYKGNNFEYIPFGAGRRICPGSTFALRNLELALAMLLYHFDWKLPSGITIEELNMSEMFGLTMRRKDDLYLVPFPYHPLPVT >Vigun10g058100.2.v1.2 pep primary_assembly:ASM411807v1:10:10964383:10965790:1 gene:Vigun10g058100.v1.2 transcript:Vigun10g058100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLESIFLLLCMKVKIYKTRLQELCHKRKWGLPSYSAMKDGPDHIPSFKASVHVNGVIFTSYSASSSLKEAENKAAMVAFLSFYSVTHQERVRRERKAKR >Vigun02g153200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29957483:29959811:1 gene:Vigun02g153200.v1.2 transcript:Vigun02g153200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTGGEQSGSTAVDRVLDKMLTPAGSGFASVVLGSFARNLVLGFYSDQRDHLGGESNSGDETSGVTHVGSNSDPVLAWVDVVCGDRCGELIGNCVQLFVSTAVAVYLDKTMHINTYDDFFAGLTNPKHETNVREILVSVCNGAVETLIKTSHKVLTSPNADVGSAASGSYLAIGETLINEDLGGEMSSIESKVESKVDDVYDEENKSGWVSKISSTLAVPSNRRLVLDLTGRVTFETVRSFMEFVLQTFCASVRRCAHVAQESVLEIVRYLAAKSSVIVTICLSLYLHIMGGGWALVAA >Vigun02g153200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29957483:29959811:1 gene:Vigun02g153200.v1.2 transcript:Vigun02g153200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTGGEQSGSTAVDRVLDKMLTPAGSGFASVVLGSFARNLVLGFYSDQRDHLGGESNSGDETSGVTHVGSNSDPVLAWVDVVCGDRCGELIGNCVQLFVSTAVAVYLDKTMHINTYDDFFAGLTNPKHETNVREILVSVCNGAVETLIKTSHKVLTSPNADVGSAASGSYLAIGETLINEDLGGEMSSIESKVESKVDDVYDEENKSGWVSKISSTLAVPSNRRLVLDLTGRVTFETVRSFMEFVLQTFCASVRRCAHVAQESVLEIVRYLAAKSSVIVTICLSLYLHIMGGGWALVAA >Vigun02g153200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29957473:29960002:1 gene:Vigun02g153200.v1.2 transcript:Vigun02g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLVGQGFGFDYVRRRKKWIFILCAVGLGGFSAYKFYHAPSVVRKRQRLSKLVGALVSVAEAVSESADTIGIVSRDVKDFLQSDSDQIPNSLKQLSKIGRSQQLSESLVSVTSAVTVGVLRGYQSMDRTGGEQSGSTAVDRVLDKMLTPAGSGFASVVLGSFARNLVLGFYSDQRDHLGGESNSGDETSGVTHVGSNSDPVLAWVDVVCGDRCGELIGNCVQLFVSTAVAVYLDKTMHINTYDDFFAGLTNPKHETNVREILVSVCNGAVETLIKTSHKVLTSPNADVGSAASGSYLAIGETLINEDLGGEMSSIESKVESKVDDVYDEENKSGWVSKISSTLAVPSNRRLVLDLTGRVTFETVRSFMEFVLQTFCASVRRCAHVAQESVLEIVRYLAAKSSVIVTICLSLYLHIMGGGWALVAA >Vigun02g153200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29957483:29959811:1 gene:Vigun02g153200.v1.2 transcript:Vigun02g153200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTGGEQSGSTAVDRVLDKMLTPAGSGFASVVLGSFARNLVLGFYSDQRDHLGGESNSGDETSGVTHVGSNSDPVLAWVDVVCGDRCGELIGNCVQLFVSTAVAVYLDKTMHINTYDDFFAGLTNPKHETNVREILVSVCNGAVETLIKTSHKVLTSPNADVGSAASGSYLAIGETLINEDLGGEMSSIESKVESKVDDVYDEENKSGWVSKISSTLAVPSNRRLVLDLTGRVTFETVRSFMEFVLQTFCASVRRCAHVAQESVLEIVRYLAAKSSVIVTICLSLYLHIMGGGWALVAA >Vigun09g219000.3.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291465:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSSSVQVPSSTAPFAGLVICVTGLSKEARNQVMEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTLLVLKILVKITLQYFSTQKGMARIQKLHFRTPLVL >Vigun09g219000.1.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291465:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGRVEVVSGKGCSRLFSSSLPSFRDLQPLEPMSPVSSSVQVPSSTAPFAGLVICVTGLSKEARNQVMEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPTNLLDSICWSISDSTSTASIYTDSFSVEDPSENHASIFFDAKGDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFSEMGPSSRTFFSHNGFTCLQVLDHIHAFYQENMSRQEIEVAIHSDSRHADRLRSVYSNKETTARGHVIFKRVEFLGSRTSFEMLKRVSGENNSNVYELLLRA >Vigun09g219000.5.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291420:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGRVEVVSGKGCSRLFSSSLPSFRDLQPLEPMSPVSSSVQVPSSTAPFAGLVICVTGLSKVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPTNLLDSICWSISDSTSTASIYTDSFSVEDPSENHASIFFDAKGDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFSEMGPSSRTFFSHNGFTCLQVLDHIHAFYQENMSRQEIEVAIHSDSRHADRLRSVYSNKETTARGHVIFKRVEFLGSRTSFEMLKRVSGENNSNVYELLLRA >Vigun09g219000.7.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291420:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSSSVQVPSSTAPFAGLVICVTGLSKVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPTNLLDSICWSISDSTSTASIYTDSFSVEDPSENHASIFFDAKGDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFSEMGPSSRTFFSHNGFTCLQVLDHIHAFYQENMSRQEIEVAIHSDSRHADRLRSVYSNKETTARGHVIFKRVEFLGSRTSFEMLKRVSGENNSNVYELLLRA >Vigun09g219000.8.v1.2 pep primary_assembly:ASM411807v1:9:39286125:39291465:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTLLVLKILVKITLQYFSTQKGMARIQKLHFRTPLVL >Vigun09g219000.4.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291465:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGRVEVVSGKGCSRLFSSSLPSFRDLQPLEPMSPVSSSVQVPSSTAPFAGLVICVTGLSKEARNQVMEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTLLVLKILVKITLQYFSTQKGMARIQKLHFRTPLVL >Vigun09g219000.6.v1.2 pep primary_assembly:ASM411807v1:9:39286125:39291420:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPTNLLDSICWSISDSTSTASIYTDSFSVEDPSENHASIFFDAKGDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFSEMGPSSRTFFSHNGFTCLQVLDHIHAFYQENMSRQEIEVAIHSDSRHADRLRSVYSNKETTARGHVIFKRVEFLGSRTSFEMLKRVSGENNSNVYELLLRA >Vigun09g219000.2.v1.2 pep primary_assembly:ASM411807v1:9:39284615:39291420:1 gene:Vigun09g219000.v1.2 transcript:Vigun09g219000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSSSVQVPSSTAPFAGLVICVTGLSKEARNQVMEATERLGGLYSPNLHPQCTHLVVQSFGGRKFEHALKHGAKNGLFIVTLGWFVDSVRKNVRLSESHYRVKSYGDNNVLMEDFRLLSEYTNADNHCLPARIHQVKKANNVEELQRFSVRESIRNSDSTLSGCSIFVDPDISSELRNKVIETASREGANLVEQWFVGCNVSHVVTEGTSIQRYLGYCNNLITPLWIIKTAKEKYVRRLVHMSVDLAKQVGLMLEDVNSGVSGKEVIKQEATDYPLDSESEVGYEERQQIVNSAKIGVRNRRGRRMQTCQTPIRPITPTNLLDSICWSISDSTSTASIYTDSFSVEDPSENHASIFFDAKGDGKDSEASFSNSTRPLTESEKSELIFKNHFLTILFPIDRFSEMGPSSRTFFSHNGFTCLQVLDHIHAFYQENMSRQEIEVAIHSDSRHADRLRSVYSNKETTARGHVIFKRVEFLGSRTSFEMLKRVSGENNSNVYELLLRA >Vigun05g122000.1.v1.2 pep primary_assembly:ASM411807v1:5:13462390:13466825:1 gene:Vigun05g122000.v1.2 transcript:Vigun05g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGMDYDKFKTQQKEHTNHMDALEENYLYDRRVMNLLSKDIREEMEKFQCKHSKNLEALMQENEEGLSVLSEKEMLDMEREKMDKTFKKLKICRMEREPKLERMKDHISELEMGVETVYMDNEVMIRDLSEKIENLE >Vigun03g052600.1.v1.2 pep primary_assembly:ASM411807v1:3:4314409:4322026:1 gene:Vigun03g052600.v1.2 transcript:Vigun03g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQIMDHRGVSASSHFFEDVSFRSERNVGLRKPISINDHYPQGSNGMVASPGSILNNTPPLDVNAKAGLLMSQASLPGDSMDSKESLNYRPKSLSDASLQSASTSYGLIGNKIVSNAGPCESSLFSSSMSEIFSRKLRLFGNDVQSDRTIAAGFPEEPCKSLKEMEADTIGNLLPDEDDLFSGVVDELGCSSHAKTIDDFEDFDLFSSGGGMEMEGDEHLSSGKIMNGLDGDYGFFGGSKGKLPFGEQPSRTLFVRNINSNVEDSELKDLFEQYGDIRTIYTACKHRGFVMISYHDLRAAQNAMQALQNRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVLNDELKKIFGFYGEIKEIYEYPEMNHHKFIEFYDVRAAESALRALNKIDIAGKQIKLEPGHPSLMHQSHKGQDERDLGQSIMDNLPLRSKATGSSAVIGSGVLENGYNQRFQSAVRQPINAFMDNSFINVNNSSIHNPVRGASAGKVSVVSESNGYIDAMKFASNSRFHPHSLPEYRDSLANGSPYNFSSTISNLANNIGAGATEPSDGRHIQGMGSTGNIAEFNAGGNGIRPHGLYHMWNSSNLQQQPSSNNVLWQKSPSFVNDACSPNLPQMSSFARTPPHLLRTPHIMDHHVGSAPVVTASPWERPNSYLGGSPDASGFRLGSLGSGGFHGSWQFHPLDFPSHNVFSHVGGNGTELTSNAAGQNSPKQLSHVFPARHPMSSLSKFDPTNDRMRNLYHRRNEASTNNVDKKQYELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDEQCKGTYDFLYLPIDFKNKCNVGYAFINMIDPGQIIPFHQAFDGKKWEKFNSEKVASLAYARIQGKASLIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGANIRLRAGKSRIGGEENRSQGSPSALANGEECGNGIDSSKN >Vigun03g052600.2.v1.2 pep primary_assembly:ASM411807v1:3:4314409:4322026:1 gene:Vigun03g052600.v1.2 transcript:Vigun03g052600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQIMDHRGVSASSHFFEDVSFRSERNVGLRKPISINDHYPQGSNGMVASPGSILNNTPPLDVNAKAGLLMSQASLPGDSMDSKESLNYRPKSLSDASLQSASTSYGLIGNKIVSNAGPCESSLFSSSMSEIFSRKLRLFGNDVQSDRTIAAGFPEEPCKSLKEMEADTIGNLLPDEDDLFSGVVDELGCSSHAKTIDDFEDFDLFSSGGGMEMEGDEHLSSGKIMNGLDGDYGFFGGSKGKLPFGEQPSRTLFVRNINSNVEDSELKDLFEQYGDIRTIYTACKHRGFVMISYHDLRAAQNAMQALQNRPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVLNDELKKIFGFYGEIKEIYEYPEMNHHKFIEFYDVRAAESALRALNKIDIAGKQIKLEPGHPSLMHQSHKGQDERDLGQSIMDNLPLRSKATGSSAVIGSGVLENGYNQRFQSAVRQPINAFMDNSFINVNNSSIHNPVRGASAGKVSVVSESNGYIDAMKFASNSRFHPHSLPEYRDSLANGSPYNFSSTISNLANNIGAGATEPSDGRHIQGMGSTGNIAEFNAGGNGIRPHGLYHMWNSSNLQQQPSSNNVLWQKSPSFVNDACSPNLPQMSSFARTPPHLLRTPHIMDHHVGSAPVVTASPWERPNSYLGGSPDASGFRLGSLGSGGFHGSWQFHPLDFPSHNVFSHVGGNGTELTSNAAGQNSPKQLSHVFPARHPMSSLSKFDPTNDRMRNLYHRRNEASTNNVDKKQYELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDEQCKGTYDFLYLPIDFKNKCNVGYAFINMIDPGQIIPFHQAFDGKKWEKFNSEKVASLAYARIQGKASLIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGANIRLRAGKSRIGGEENRSQGSPSALANGEECGNGIDSSKN >Vigun03g443600.2.v1.2 pep primary_assembly:ASM411807v1:3:64585488:64590541:1 gene:Vigun03g443600.v1.2 transcript:Vigun03g443600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSNEASSVMGFGENTSSSGVCPMMMMPLVTSHHAGHHPLNPNLNNPNRNLNEHTNTDTLFLPMPSTNNNHHPNPNRSSIHHINHNTNTSELGYFMEIHNRNTNNSNNNDGSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKQVGAPPEVVARLEEACASAAVTMAGGTARIGEDPALDQFMEAYCEMLIKYEQELSKPFKDAMLFLQRIECQFKSLTISSSDTACNEDGDRNGSTENMDVRNNMIDPQAEDQELKGQLLRKYRGYLGSLKQEFTKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVVDPSHPHYYMENVLGNPFPDLSHTML >Vigun03g443600.1.v1.2 pep primary_assembly:ASM411807v1:3:64585488:64590541:1 gene:Vigun03g443600.v1.2 transcript:Vigun03g443600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSNEASSVMGFGENTSSSGVCPMMMMPLVTSHHAGHHPLNPNLNNPNRNLNEHTNTDTLFLPMPSTNNNHHPNPNRSSIHHINHNTNTSELGYFMEIHNRNTNNSNNNDGSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAAVTMAGGTARIGEDPALDQFMEAYCEMLIKYEQELSKPFKDAMLFLQRIECQFKSLTISSSDTACNEDGDRNGSTENMDVRNNMIDPQAEDQELKGQLLRKYRGYLGSLKQEFTKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVVDPSHPHYYMENVLGNPFPDLSHTML >Vigun08g173600.1.v1.2 pep primary_assembly:ASM411807v1:8:34413148:34420099:-1 gene:Vigun08g173600.v1.2 transcript:Vigun08g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHLPMEQLQDLECCLDSNPPWAEAILLAFQNYILMLGTSVMIPSWIVHAMGGSDGDKARVIQTLLFVAGINTLLQTLFGTRLPTVVGGGSSAYIYPIAYIITDSSLQQISDPHERFIQTMRAIQGALIVASSIQIILGYSQIWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPVLGDCVEIGIPMLLLVIGLSQYLKHVRPFRDIPIFERFPVLICVPIVWIYAVILTASGAYRHKPSTTQNSCRTDRANLISTAPWFMFPYPFQWGPPTFSAGHSFAMMSAVIVSMVESTGAYMAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLYGSVTGSTVSVENVGLLGLTRVGSRRVVQISSGFMIFFSILGKFGAVFASIPFPIFAALYCILFGLVASIGISFLQFTNLNCMRNLIIIGLTLFLGISVPQFFDQYWTPSRHGLVHTNAGWFNAFLNTLFSSAPTVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFKGDNRNEEFYNLPFNLNRFFPPT >Vigun08g173600.2.v1.2 pep primary_assembly:ASM411807v1:8:34413172:34419961:-1 gene:Vigun08g173600.v1.2 transcript:Vigun08g173600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHLPMEQLQDLECCLDSNPPWAEAILLAFQNYILMLGTSVMIPSWIVHAMGGSDGDKARVIQTLLFVAGINTLLQTLFGTRLPTVVGGGSSAYIYPIAYIITDSSLQQISDPHERFIQTMRAIQGALIVASSIQIILGYSQIWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPVVVGGLCRNWYTDAVVGNWPVSMQYLKHVRPFRDIPIFERFPVLICVPIVWIYAVILTASGAYRHKPSTTQNSCRTDRANLISTAPWFMFPYPFQWGPPTFSAGHSFAMMSAVIVSMVESTGAYMAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLYGSVTGSTVSVENVGLLGLTRVGSRRVVQISSGFMIFFSILGKFGAVFASIPFPIFAALYCILFGLVASIGISFLQFTNLNCMRNLIIIGLTLFLGISVPQFFDQYWTPSRHGLVHTNAGWFNAFLNTLFSSAPTVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFKGDNRNEEFYNLPFNLNRFFPPT >Vigun09g098532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:15462871:15463194:-1 gene:Vigun09g098532.v1.2 transcript:Vigun09g098532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRFTDPKLCLIYLCQSKTLSIRNNLMHAEKEKLSTGASSLSTQELLLLSRKVVALGQLLQSSIASFKLPPETLEASKEVVGTVLGPSLSTSTFISSLQLQVQAIP >Vigun03g185800.6.v1.2 pep primary_assembly:ASM411807v1:3:24546178:24558381:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.1.v1.2 pep primary_assembly:ASM411807v1:3:24546168:24558817:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.5.v1.2 pep primary_assembly:ASM411807v1:3:24546168:24558820:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.2.v1.2 pep primary_assembly:ASM411807v1:3:24546168:24558817:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.9.v1.2 pep primary_assembly:ASM411807v1:3:24546178:24558817:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.7.v1.2 pep primary_assembly:ASM411807v1:3:24546168:24558818:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.3.v1.2 pep primary_assembly:ASM411807v1:3:24546178:24558817:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g185800.8.v1.2 pep primary_assembly:ASM411807v1:3:24546178:24558818:1 gene:Vigun03g185800.v1.2 transcript:Vigun03g185800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWRNFAKSAEATFSRWALKRVCKFFLKKKLGQFILGDLDLDQLDVQLSEGTIQLSDLALNVDFVNTKFGKTSSIMIKEGSIGYLLIKMPWSGKGCEVEVNGLELVVSPCFNKMSTSEDETYGMDNSDNHQHGYSSTRTEHEISDDAEKLASMDVHEGVKTIAKMIKWLLTSFHVTVTNVIVAFDPFVDNVENKTNCRHTLVLQISEIQCGTSLSEDADLNVDVLGISQLTNFVKFHGAVIELLQIDNEDIYFQHESSAGCDEPVLGSNIATCPVMTGNKGGFSGSIKLNIPWKNGSLDICKVDVDAHVDPIVLRFQPSSIKWLLQSWETLKNLNKDGKGCTNHNVRGSAQLNSTLLRPSLTSVSINNAPSEIVTANGSLSAEYASLIQPETLAEDLLPAANLISDWVPLSTHTCHNKDGIQELDFGASVDQFFECFDGMRNSQSALGNSGMWNWTYSVFSAITAASSLASGSLHIPSEQQHMETNFRATFAGVSVVLSCSVDEQKKFCDTEIGHKVGLQIDYLGAECNDIDFALQVCPQGMTLDAKVKHVEVANFLNIGIDAKNRTASVQHLQAKVLDALPSSTCYNVDSHSLIGSVATDFPFGKDDCLLKVTLFRTSGVMNCKFSVQSSSSDGCLIGNTSFSLSLPPFSLWVIFSVINMLMNLLKEVEKSLGMHNKEKEILFEALDNKSGPSQSNMKEGSGPCVTSFSPTQCLYGDISISNARVILCFPFERDGDHSNSFTWEQFIALDFTSSSSLNNGCTPDYSQSSNASSKKRFPSVSAQSLQLSFSDLDIYLITSSNENGGIISYDVQKEKFSASCFFSIFHRRGCFSVFRVVWQGGKVTGPWIAKKARLFANSEQTRGKEDIGRRGHEFVSVSTVKDLEDWKSQTQQEMILSSSFLIHVHLSQLVINMNYSQYKGIHHLLHQTLNALTCVASKEANVEKESSVSQSSVFLECDSLEILINRDTSDSTKSSIKSELPGIWYQFRLKVQKFEVLLVTNTGGIKAASFFRLAHGEGKLGGFVTGLPDHEFLLISCSNSSVKRGDGGGSNTLSSKCAGSEVICLSDPEISNAVTSITVSCGTVIAAGGRLDWFNAILSFFCLPASNTEGVGDTSVSKKEHNISYSTYFVLCLIDIALSYEPYMKNPAVQSELKSESSCSFIKEDMSEQCVSCLLAASSLTLSNSSSADTVESVFHIRVHDLGLLLHLISELNSQSEIYSVEHLQKTGYVKVAHEAFMEAILKTNCTSSLLWELELSKSHINLETCYDTTAGLIRLAAQLQQLFAPDVEESIVHLQNRWHNVQKAQQRNDFNVENKNLRVDSMSAISEQCSPHTFSTDGSSIAGWMDEICEDAFKVNNNNTQSYPFESGIPIDGSLIEVGQMNLHKPEILSHELTLTEPVSVPGPEGSDTSFLQEGCFPEIIESYCLSDLRPLSELSLGIHCNELSRHRNVEHKEIERGSGRWYGGTSLKVLENHIAEESKPGMKKAVDHRGMLSSDDNSSHGEICGRVILKKIDIRWRMYGGSDWIDFEKSGQHSGRDTSICLELALSGMKFQYDIYPVGGLHVSKMSVSVKDFYLYDRSHDAPWKLVLGYYHSKGHPRESFSKAFKLDLDAVRPDPLTPLEEYRLNVTILPLLLHLHQCQLDFFVDFFGRKNTLKDQFPNSSQDLEGSKPLPEKTEKDKDHVFHSVAPEALLPYFQKLDIWPILVRVDYSPNHVDLAALRHGKYVELVNLVPWKGVELNLKHVHASGVYGWASVCETTVGDWLEDISQNQIHKILRGLPTVRSLIAVGAGAAKLVSSPVESYKKERRVLKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYILSSIPSPVPLPVKDKPKTDVRSNQPKDAQEGIQQAYESLSDGLGKSAAVLVQSPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYCPAQPWEED >Vigun03g201256.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:30704210:30704647:-1 gene:Vigun03g201256.v1.2 transcript:Vigun03g201256.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGVVGLVVVVLVVVGMVVVVEVAMVVVVVGVVVWVVVVVVAAVVVVVVAMVVVVLVEVVVVAVVVVVVVVIVALVVVVVVVVVLLVVVVAVLVVIVVVVVMVVVVLVVLVVMLVVVVVVVVVIEVIVIVVVKVIIVVVVW >Vigun02g108300.1.v1.2 pep primary_assembly:ASM411807v1:2:26251784:26257616:-1 gene:Vigun02g108300.v1.2 transcript:Vigun02g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSNDRCKPKKCRQECKKSCPVVRTGRLCIEVTSASKIAYISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTNPPDWQEILTYFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDMKKELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDDSLTFKVAETPQESAEEAQTYARYKYPTMTKTQGNFKLRVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDSIEGGSDVEMPEFNVSYKPQKISPKFQSTVRHLLHQKIRDAYTHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIHAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYAGQPSIDCVANSPQSLLSGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKSAGSYYYLDD >Vigun08g205500.3.v1.2 pep primary_assembly:ASM411807v1:8:36875349:36881283:-1 gene:Vigun08g205500.v1.2 transcript:Vigun08g205500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFEGVVVSDQSLHSQFTQVELRSLKSKFVTLKDQNGKVTFEDLPPLMVKLNAFRDMYSEDEIRRILGESDTDFTNDIDFEIFLKAYLNLQSQAPAKQGGRRHSSSFLKDTVTTLLHTISESEKACYVAHINSYLGDDPFLKQYLPLNPASNDIFNLAKDGVLLCKLINVAVPGTIDERAINCKRNPSLWEVNENHTLCLNSAKAIGCTVVNIGAQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELADDGEDIEELLNLSPEKVLLKWMNFHLQRAGYEKTVKNFSSDLKDGEAYAYLLNVLAPEHCNPATLDTKDANERANMVIDHAEKMGCKRYLSPRDVSEGTSNLNLAFVAQLFHHRSGLSTDTRKISYAEMITDDVQTSREERCFRLWINSLGISTHVNNLFEDVRNGWALLEVLDKIFPGSINWKQATRPPIRMPFRKVENCNQVIEVGTQLRFSLVNVSGNDIVQGNKKLILALLWQLMRFTMLQLLRNLRSHSQGKEIRDADILKWANRKVRSTGRTSHIESFKDKSLSSGLFFLELLSAVEPRLVNWNLVTKGESDDEKRLNATYTISVARKLGCSIFLLPEDIVEVNQKMILTLIASIMYWSLQQQTEDQNSFPSPEASPAPSVCGEDENFSIGGEFSNLSVDDTTSDSTASSQPESDGVAAADELL >Vigun08g205500.1.v1.2 pep primary_assembly:ASM411807v1:8:36875250:36881404:-1 gene:Vigun08g205500.v1.2 transcript:Vigun08g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFEGVVVSDQSLHSQFTQVELRSLKSKFVTLKDQNGKVTFEDLPPLMVKLNAFRDMYSEDEIRRILGESDTDFTNDIDFEIFLKAYLNLQSQAPAKQGGRRHSSSFLKDTVTTLLHTISESEKACYVAHINSYLGDDPFLKQYLPLNPASNDIFNLAKDGVLLCKLINVAVPGTIDERAINCKRNPSLWEVNENHTLCLNSAKAIGCTVVNIGAQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELADDGEDIEELLNLSPEKVLLKWMNFHLQRAGYEKTVKNFSSDLKDGEAYAYLLNVLAPEHCNPATLDTKDANERANMVIDHAEKMGCKRYLSPRDVSEGTSNLNLAFVAQLFHHRSGLSTDTRKISYAEMITDDVQTSREERCFRLWINSLGISTHVNNLFEDVRNGWALLEVLDKIFPGSINWKQATRPPIRMPFRKVENCNQVIEVGTQLRFSLVNVSGNDIVQGNKKLILALLWQLMRFTMLQLLRNLRSHSQGKEIRDADILKWANRKVRSTGRTSHIESFKDKSLSSGLFFLELLSAVEPRLVNWNLVTKGESDDEKRLNATYTISVARKLGCSIFLLPEDIVEVNQKMILTLIASIMYWSLQQQTEDQNSFPSPEASPAPSVCGEDENFSIGGEFSNLSVDDTTSDSTASSQPESDGVAAADELL >Vigun08g205500.2.v1.2 pep primary_assembly:ASM411807v1:8:36875250:36881413:-1 gene:Vigun08g205500.v1.2 transcript:Vigun08g205500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFEGVVVSDQSLHSQFTQVELRSLKSKFVTLKDQNGKVTFEDLPPLMVKLNAFRDMYSEDEIRRILGESDTDFTNDIDFEIFLKAYLNLQSQAPAKQGGRRHSSSFLKDTVTTLLHTISESEKACYVAHINSYLGDDPFLKQYLPLNPASNDIFNLAKDGVLLCKLINVAVPGTIDERAINCKRNPSLWEVNENHTLCLNSAKAIGCTVVNIGAQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELADDGEDIEELLNLSPEKVLLKWMNFHLQRAGYEKTVKNFSSDLKDGEAYAYLLNVLAPEHCNPATLDTKDANERANMVIDHAEKMGCKRYLSPRDVSEGTSNLNLAFVAQLFHHRSGLSTDTRKISYAEMITDDVQTSREERCFRLWINSLGISTHVNNLFEDVRNGWALLEVLDKIFPGSINWKQATRPPIRMPFRKVENCNQVIEVGTQLRFSLVNVSGNDIVQGNKKLILALLWQLMRFTMLQLLRNLRSHSQGKEIRDADILKWANRKVRSTGRTSHIESFKDKSLSSGLFFLELLSAVEPRLVNWNLVTKGESDDEKRLNATYTISVARKLGCSIFLLPEDIVEVNQKMILTLIASIMYWSLQQQTEDQNSFPSPEASPAPSVCGEDENFSIGGEFSNLSVDDTTSDSTASSQPESDGVAAADELL >Vigun08g122700.1.v1.2 pep primary_assembly:ASM411807v1:8:29231749:29232582:-1 gene:Vigun08g122700.v1.2 transcript:Vigun08g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWKKSTVQTTPSPSFSCSSFKDIQNLCLDEHQQRQNQNHKPTPSHSRSRKPSVFHRVRIANSLLRSWSTHHHSQPSSKLHRSRSHPPPPEPDVFLPENQPPIFFPGTEDRVVVYYTTLHAVRSTFDACKSVFSILCGFRVLIDNRDVSIDSAFATELNHLMGLRRAELDLPRIFIAGKYIGGIEDLRYLNEIGELKKLLQRLPAADPTECPTCAAHRFILCDKCCGSRRLFVDNKLGFKTCYLCNENGLLRCPSCLSNAPTPL >VigunL016801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:907307:907516:-1 gene:VigunL016801.v1.2 transcript:VigunL016801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVRLYYGFMTTFAIGPSYLFLLRARLVEEGTEKKIAETTGLLRDSS >Vigun08g054100.2.v1.2 pep primary_assembly:ASM411807v1:8:6513018:6518517:-1 gene:Vigun08g054100.v1.2 transcript:Vigun08g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKGGLFLILTVVVIWVTSAEVTQDIFIDYKQPFAVTYLGASLMYLSNAALARTSVASTTVLSSTSGLFTLFIGVFMGQETLNIAKIVAVLVSMAGVVMTTLGKTWATDDDLTSPSNGQRTVVGDLFGLLSAITYGLFTVLLKKASGEEGERIDVQKLFGYIGLFTLLALWWLIWPLSAIGVEPKFTIPHSIRVDEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMLLHGRHYSVLYIFGSAQVFVGFVIANISDRINKANHIQDLSLGTNGKI >Vigun08g054100.3.v1.2 pep primary_assembly:ASM411807v1:8:6514313:6518517:-1 gene:Vigun08g054100.v1.2 transcript:Vigun08g054100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKGGLFLILTVVVIWVTSAEVTQDIFIDYKQPFAVTYLGASLMVVYLPIAFIKDCFYKLYKKQSSKTGRGGDEFSVRITSPRKSNGGQRNTEVEMGSMARKDSDADLSMNEESMPLVTKYNDPNASKANKQLTTREIAIYGFYIAPIWFLTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFMGQETLNIAKIVAVLVSMAGVVMTTLGKTWATDDDLTSPSNGQRTVVGDLFGLLSAITYGLFTVLLKKASGEEGERIDVQKLFGYIGLFTLLALWWLIWPLSAIGVEPKFTIPHSIRVDEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMLLHGRHYSVLYIFGSAQVFVGFVIANISDRINKFCKWF >Vigun08g054100.1.v1.2 pep primary_assembly:ASM411807v1:8:6513018:6518517:-1 gene:Vigun08g054100.v1.2 transcript:Vigun08g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKGGLFLILTVVVIWVTSAEVTQDIFIDYKQPFAVTYLGASLMVVYLPIAFIKDCFYKLYKKQSSKTGRGGDEFSVRITSPRKSNGGQRNTEVEMGSMARKDSDADLSMNEESMPLVTKYNDPNASKANKQLTTREIAIYGFYIAPIWFLTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFMGQETLNIAKIVAVLVSMAGVVMTTLGKTWATDDDLTSPSNGQRTVVGDLFGLLSAITYGLFTVLLKKASGEEGERIDVQKLFGYIGLFTLLALWWLIWPLSAIGVEPKFTIPHSIRVDEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMLLHGRHYSVLYIFGSAQVFVGFVIANISDRINKANHIQDLSLGTNGKI >Vigun03g096400.1.v1.2 pep primary_assembly:ASM411807v1:3:8139488:8140467:-1 gene:Vigun03g096400.v1.2 transcript:Vigun03g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKTSSQDIKVQHVSKPVSDMLLQKFHDESLFDFDYEQSGLWSPPIPRTVFLNSPGRILTEQEMLQRLRRKTASRKHAKKFRLCFTVLCCASY >Vigun02g017700.1.v1.2 pep primary_assembly:ASM411807v1:2:6301581:6310988:-1 gene:Vigun02g017700.v1.2 transcript:Vigun02g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIELYGFPISVKAPDVKKFVENFTGEGTIQTMKVRHGKGRAPRAFAIIQFTTEDFAASMMSRANNVSRALRYGAAYLKARVMERDIDPKLSVDVASLKGVKLYFGCQISKGGFAVLERMQNVSLSFGSGKSKVELKFPHDFVQYKLQLSYENIWKVDLLRPRDKTARYLLLQLLGAPRIFENDAFTCTDEYEYDNIFENPLYNYYKDVPDEQWTRTTDFTTGSCIGQSSAICLELPSGQNLPNFKDIFAYYEQSERQYTLQAGVPFSKNRGLVPIVAPRGVEIPFDILFKVNSLVQHVCLAGPALNGDFYRLVDPGRMPLDFIENALEKMYYSKEFCYDPTKWLTDQYKRYLQSNSKNRPRSPAISLDTGLVYVRRVQITPCKVYFCGPEINVSNRVLREFHKHLDNFIRVSFVDEELDKLFSTDLSSRAQNKKTDLYKRILDILKSGIVIGDKKFEFLAFSSSQLRENSLWMFAPTETGYTAEDIRNWMGDFSKIRNVAKYAARLGQSFGSSTETLSVPVYEIEIIPDVRRTCDGKEYVFSDGIGKISLEFAKRVAKKCGYDCTPSAFQIRYGGYKGVVAVDPTSRFKLSLRNSMRKYDSDNTKLDVLGRSKFQPCYLNRQLITLLSTLGIRDGVFEKKQREAVDQLNTILTDSLKAQEVLDLMSSGEITNVLKEMLICGYKPNEEPFLSMMLQMFRASKLLELRLKSRIFIPKGRAMMGCLDETCTLKYGQVFVQFSGNRLQNLSDDSFSYDLPKSYMVNGQVVVAKNPCLHPGDVRVLQAVDVPALYHMVDCVVFPQKGQRPHPNECSGSDLDGDIYFVCWDPELIPSRQIPPMDYTPSPTTELDEDVKIEHVQEYFTNYIVNDSLGIIANAHTVFADKQPGKAMSEECLKLAKLFSTAVDFPKTGVPAVIPRELYVKEYPDFMEKSDKVTYKSPNVIGKLYREVKEAISSSDGSSISSFTREVARRSYDVDMEVDGFMDYVDDAFYHKTNYDYKLGNLMDYYGIKTEAEILSGNIMKLAKSFNKRRDAEAINMAVRSLRKEARSWFNEGSYGVDSGSVDDAYAKASAWYHVTYHPSYWGSYNEEMNRDHYLSFPWCVYPQLLQIKKEKVSNRRYSSAYRLSGLHLY >Vigun09g091150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12645747:12646454:-1 gene:Vigun09g091150.v1.2 transcript:Vigun09g091150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLLDTEANKGKKRRRASSPSPKRPNSNLFRDPQRQERYEKIKHWVFIKERKVVLLSDEYDTFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVSYDRAAISEFLGYPLPLEPGQRCDFTRKRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVLIGHVSDLNVPRCHLLYYIMMEDFTVDVATIIS >Vigun03g308700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50065396:50066753:1 gene:Vigun03g308700.v1.2 transcript:Vigun03g308700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELSDEQVAAMKEAFTLFDTNRNGLIAPSELGVLMRSLGGNPTQAQLKAIVAEEKLTNPFDFTQFLDLMAKHLKVEPFDRQLRDAFKVIDKENTGLVSVAELRHILTSIGEKLEPSEFDEWINEANVVSDGKIRYEDFITKMVAK >Vigun05g198900.1.v1.2 pep primary_assembly:ASM411807v1:5:38479185:38481494:-1 gene:Vigun05g198900.v1.2 transcript:Vigun05g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLMAATSGSGTVLKPTPFLGQGKGANANPLRDAVSMGTGKYTMSNDLWYGPDRVKYLGPFSAQTPSYLKGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWLRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPTTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFAPGS >Vigun01g202400.1.v1.2 pep primary_assembly:ASM411807v1:1:37849046:37851651:-1 gene:Vigun01g202400.v1.2 transcript:Vigun01g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKDPPIRIMKFVSEEQLVEAKRTRGERVEDGTAQRDRPLYDILKENKDKKDAEFNERFKHRPPKALDEDETEFLDNYEATRREYERQVADEEAQQIRSFQAAVAAQSNIVHEIKENNPLPVVQEQKSAVKKNPVSRPLGMIIKVKPQAKKARLDEENTEEISKAGNTPPNDKSKSLEPVQALNSEANKSREVALTGLVSYSDESDDDL >Vigun08g195300.2.v1.2 pep primary_assembly:ASM411807v1:8:36154451:36158730:1 gene:Vigun08g195300.v1.2 transcript:Vigun08g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAANHLRNSTRISVHRILHHRFQPLLNAARSFSEAASSIPQPQPNQQSSPLSSLKDYELAKFAAIADSWWDSEGPFKPLHLMNPTRLAFIRSALCRHFKKDPYSAKPLEGLKIVDVGCGGGILSEPLARLGATVTGVDAVEKNIKIAQLHAGLDPVTSTIEFCCTTAEKLVEEGRKFDAVMALEVIEHVANPAEFCKSLAALTVPDGATVISTINRSMRAYATAIVAAEYILRWLPRGTHQWSSFLTPEELVLILQRAGINVEEMAGFVYNPVTGRWSLSDDISGVEGESFLRVIEVRLD >Vigun08g195300.3.v1.2 pep primary_assembly:ASM411807v1:8:36154451:36158752:1 gene:Vigun08g195300.v1.2 transcript:Vigun08g195300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAANHLRNSTRISVHRILHHRFQPLLNAARSFSEAASSIPQPQPNQQSSPLSSLKDYELAKFAAIADSWKDPYSAKPLEGLKIVDVGCGGGILSEPLARLGATVTGVDAVEKNIKIAQLHAGLDPVTSTIEFCCTTAEKLVEEGRKFDAVMALEVIEHVANPAEFCKSLAALTVPDGATVISTINRSMRAYATAIVAAEYILRWLPRGTHQWSSFLTPEELVLILQRAGINVEEMAGFVYNPVTGRWSLSDDISVNFIAFGTKSSKTE >Vigun08g195300.1.v1.2 pep primary_assembly:ASM411807v1:8:36154434:36158802:1 gene:Vigun08g195300.v1.2 transcript:Vigun08g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAANHLRNSTRISVHRILHHRFQPLLNAARSFSEAASSIPQPQPNQQSSPLSSLKDYELAKFAAIADSWWDSEGPFKPLHLMNPTRLAFIRSALCRHFKKDPYSAKPLEGLKIVDVGCGGGILSEPLARLGATVTGVDAVEKNIKIAQLHAGLDPVTSTIEFCCTTAEKLVEEGRKFDAVMALEVIEHVANPAEFCKSLAALTVPDGATVISTINRSMRAYATAIVAAEYILRWLPRGTHQWSSFLTPEELVLILQRAGINVEEMAGFVYNPVTGRWSLSDDISVNFIAFGTKSSKTE >Vigun11g168300.1.v1.2 pep primary_assembly:ASM411807v1:11:37508717:37517672:1 gene:Vigun11g168300.v1.2 transcript:Vigun11g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHQEDERNTAATLDSRLNQTLKNVQGLLKGRSIPGKILLSRRSDPPDNSNSKISNNPNSKTSSPSYKRSFSHNDAGLSDHTYGPIEEEFPSTDKPISIANASKLKVSTSFGGNLPEEIPKSIVGARSTDSARVMKFTKVLSETVVILDKLRELAWSGVPDNMRPKVWRLLLGYAPPNSDRREGVLRRKRLEYLDCISQYYDIPDTERSDDEVNMLRQIGVDCPRTVPDVPFFQQSQVQKSLERILYAWAIRHPASGYVQGINDLVTPFLVVFLSEYFEGDIDNWSMSDLSPDTISNVEADCYCCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHLEDQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDDLPDFLIYIFASFLLTWSDKLQKFDFQELVMFLQNLPTENWTHQELEMVLSQAFMWHTMFNNSPSHLIS >Vigun11g198000.1.v1.2 pep primary_assembly:ASM411807v1:11:39671314:39674503:1 gene:Vigun11g198000.v1.2 transcript:Vigun11g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQRTSIYAVTSWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSLGISVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDFATFITTLWVIYMIRFKLKASYMEEKDNFAIYYVVVPCAVLALLIHPSTSHHLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Vigun11g083300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24743541:24744309:1 gene:Vigun11g083300.v1.2 transcript:Vigun11g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFLVHLVLCIFHCRWIETCDNMNCILFLEPRVSNEFFLMRCNYLDLIQCI >Vigun11g179100.1.v1.2 pep primary_assembly:ASM411807v1:11:38305420:38316092:-1 gene:Vigun11g179100.v1.2 transcript:Vigun11g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVARRGCCPPMDLFRSEPMQLVQLIIPIESAHRTVSYLGDLGLLQFKDLNADKSPFQRTYATQIKRCGEMARRLRFFKEQMLKAGVSPKYSTTPFDVNIDDLEVKLTDIESELIEMNANGEKLQRSYNELLEYKLVLQKAGEFFRSAQSRALEQQREYESRHLGDESIETPLLQDQELLGDSSKQVKLGFLAGLVPREKSMVFERILFRATRGNVFLRQATVEDPVTDPISGEKTEKNVFVIFYAGERAKAKILKICEAFGANRYPFAEELGKQAQMITEVSGRLVELKTTIDAGLHHRDNLLNAIGAQFEQWDVLVRKEKSIHHTLNMLSLDVTKKCLVAEGWSPVFANKQIQDALQRAALDSNSQVNAIFQVLHTRELPPTYFRTNKFTSSYQGIIDSYGVAKYQEANPTVYTVVTFPFLFAVMFGDWGHGICLLLAALYFIIREKKLSSQKLDDITEMTFGGRYVILLMALFSIYTGFIYNEFFSVPFALFAPSAYDCRDLSCRDATTVGLIKVRDTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIVMSYCNALFFRNKVNVWFQFIPQMIFLNSLFGYLALLIIVKWCTGSQADLYHILIYMFLSPTDDLGENQLFVGQKNLQLVLLLLAFVSVPWMLLPKPFILKKQHDARHGAESYTPLESTDESLQVESNHDSHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGYNNVIILIVGLIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFHPFSFSLLDDEE >Vigun04g108300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26371429:26373293:-1 gene:Vigun04g108300.v1.2 transcript:Vigun04g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSPVIAKKLWNVLRITFFMIRKGLVSKRKLIMDMNLMMKKGKLLRKSMRNFMSSHHNHHHFSKSLVRGYGIQEYEFSCSNSPNPVFFHVPKRKHHFNFPCINTPDVVEEPKPVMLVPMTPEYTFNLRFDASDFTPGERKSPLLSPFSVRISNYSSEDENEEGANQVDDEAEDFIRRFYEQLRMQSRMQLLQYQEM >Vigun02g056100.1.v1.2 pep primary_assembly:ASM411807v1:2:19995955:20001388:1 gene:Vigun02g056100.v1.2 transcript:Vigun02g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSANLSRKCSSTSLVSDQEQKLQKFSEIKAGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLSNLKKEFKRLTSPNSDEAVREELLEAGMADTHSASADQRERLTMSVERLNQSSERIRESHRTLLETEELGVSILQDLHSQRETLLNSHKRLHGVDDAIDKSKKVLTTMSRRITRNKWIVASVIGALVFAIVVILSYKLSH >Vigun06g108400.1.v1.2 pep primary_assembly:ASM411807v1:6:23755519:23766119:-1 gene:Vigun06g108400.v1.2 transcript:Vigun06g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSASNGNSSTRPRKEKRLTYVLNDSDDSKHCAGINCLALLTSEASDGSDYLFTGSRDGRLKRWALSVDRATCSATFESHVDWVNDAVLVGDSTLVSCSSDTTLKTWNALSLGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFVWDIEAATTPVSKSNDTTVDESSNGINGSSNLLPLTSLRTINSSTNMSMNTSQTQGYSPIAAKGHKDSVYALATNESGTILVSGGTEKVVRVWDARTGSKTLKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLLCTGEHPILQLALHDDSMWVASTDSSVHRWPAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGVVVEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLGRETLKGLLAHWLRKRKQRTGSPASANGELLSGKDIASRSLTHSRIEVDGSSENDTMVYPPFEFSVTSPPSIITEGSHGGPWRKKITDLDGTKDEKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQIVTQGKLSAPRILRIHKVINYVIEKMVLDKPLDSLNADGNFAPGLTGSQSQHQTVGDGSFRSGFKPWQKLRPSIEILCSNQVLSPEMSLATVRAYIWKKSEDLVLNYRLVQSR >Vigun01g190400.1.v1.2 pep primary_assembly:ASM411807v1:1:36911007:36916022:-1 gene:Vigun01g190400.v1.2 transcript:Vigun01g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLKNRETMGVESVAPSPPPPRSEGKTALLQNPKIPFALALLFADALLVSLIIAFVPYTKIDWDAYMSQVSGFLEGERNFRNLKGDTGPLVYPAGFLYIYSAFQYLTQGQVYPAQIMFGVLYIINLAIVLAIYVKTDVVPWWALCLLSLSKRVHSIFVLRLFNDCVAMTLLHAALLLLVHRRWNLGLIVFSGAVSVKMNVLLYAPPLLLLMLKAMDFSGVLLALAWAAVVQILLGLPFLVSYPVAYISSAFNLGRVFIHFWSVNFKFIPEPVFVSKGFAIFLLAAHLILLASFAHYSWCKHEGGLCNFLHSRYVFMRMRFAVFFSSSFSKKVGKSGSSSLQILNKEHIVTTMFVGNFIGIVCARSLHYQFYSWYFYSLPYLLWRTHYPTLLRLILFVGVEVCWNIYPSNSLSSALLLCLHLIILWGLWSAPPEHPYEQYKPSSGKNK >Vigun10g142800.1.v1.2 pep primary_assembly:ASM411807v1:10:35978406:35982020:1 gene:Vigun10g142800.v1.2 transcript:Vigun10g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEALGALKSVMVFRENIQINQRQCSLLFDVFNSAYECIADEITQNLQFEEKNVKWKILEQPLREIHKIFREGETYIRQCMETKDWWAKAITLCHNTDCVEFHIHNLLCCMPVVIEAIESAGETSGLDQEEMQRKKYINSNKYKKEYRDMKLFQWKFGKQYLITQDLCNRYDSVWKEDRWFLYNKILEKKVEGVTKYEKKLIDLLLRNLEIAESVAGRLLPSSILVGSKDFQVRRRMGNASQYKEISWLGESFVIRHFTGDIEALQPEIIDLLSLSHPNIMDCHGGFTDEEKKECFLLMELMSKTLSTHIKEIHGPRRRIPFLLHVAIDIMLQISRGMEYLHSKKVYHGELNPSSILVKPRSASPEGYLHTKVTGFGLTSVKDLNQKGNTNQNGTPPFIWYSPEVLEQENSGGTPNSKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPLNSPKYVINLTKRCWHIDPHQRPSFSTICRVLRHIKRFLIMNPGYSTQPEPPVPSLDYCDVESLLLKKFPSWGSSEPAPVSIIPFQMFSYQVVEREKITACCKDNYESGSDASACGDELVTSGDEPFPSTAERKSLLGNDIMNRKIILTRKSLDLKLTKQPGTTKGRTARPPQMSPRASMRIPSENHISSSRAIRRVSSGHVSDSELS >Vigun09g238800.1.v1.2 pep primary_assembly:ASM411807v1:9:40924942:40928271:-1 gene:Vigun09g238800.v1.2 transcript:Vigun09g238800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGHSNPLLSFSSFVHQHCVRLGTDLATRLDDTKRALAQAHHNLLSPKHALAATPTLGSNHVAKSLVGTSVYTVSNSNNEFVLISDADGAKSIGLLCFRQEDAQAFLAQVRSRSRELRNKARVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELKPANRGGFDGVPVFQSELLVVKKKNKRYCPVYFSKEDIEQELSKVSRASRTPGASQQIVVGSLEDVLKRMEMSERNSGWEDLIFIPPGKSHSQHIQEITE >Vigun01g048200.1.v1.2 pep primary_assembly:ASM411807v1:1:7208529:7212570:-1 gene:Vigun01g048200.v1.2 transcript:Vigun01g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKESPAPATSPPVIHPAVAPLSFLLGTWRGQGEGGFPTIHSFSYGEELFFSHSPNKPVIGYTQKTWKLSSGEPMHGESGYWRPKPDGTIEVVIAQSNGLVEVQKGTYSFEENVIQLQSEMVGNASKVKEIRRRFELVDGVLCYEVQMATNTVTLQPHLKATLKKL >Vigun09g260700.1.v1.2 pep primary_assembly:ASM411807v1:9:42590870:42595553:-1 gene:Vigun09g260700.v1.2 transcript:Vigun09g260700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSETMTKKKKKGRPSLLDLQKRSLKKEQQQNHHHNHRQNNPNSTNSHSKNTNVAATHDDDDDERKEKKHKLLVGLNSHLQHPTLFPNSQPVNSDPKRRKIDPLHNHQTDGKVPKATDSKHGSQGESGPTTPLPDKKLLLFILDRLQKKDTHGVFSEPVDPEELPDYHDIIKHPMDFGTVRKKLDEGLYTDLEDFEKDVFLICSNAMQYNSSDTIYHRQARAMQDIARKDFENLRQDSDDSEPQPKVVQRGRPPGKPSRKSLGMPPAERVGPESSSDATLASGGDIASGSNGYNLRKGPSKFQPTDSSTRAYNNTFNSGGYSGWPEWENEFPASVVKAVLRYGKKQFAVDETRRDSYKIPVTSGNEGPLLTTVEDEVKQLLAVGIHMKHSYARSLAHFAADLGPVVWKIAASKISSVLPTGHEFGPGWVSEEDGSQKQHFPVCDEGRTSDPPVVEDCKSRFSSPSGSLLPLANRPCLQSVDMVINNYQKELNPAINIAGGSESITPVKIQQESMPHSDDIGSHDWLGYNFSPQMKMVKLADLTGSPSAGVAPPMDPISNRIAQTNTNPSLMGQYGSKSSQLESGNLLARESVFEPRSWSQGIAGKSSWQGLEVPTKQKSFALSNDLNGRIGTTNSPSSSNVEAGSQLQPNLALQL >VigunL018100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:24637:24909:-1 gene:VigunL018100.v1.2 transcript:VigunL018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >Vigun09g048400.1.v1.2 pep primary_assembly:ASM411807v1:9:4722772:4723747:1 gene:Vigun09g048400.v1.2 transcript:Vigun09g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIKILGIVSLFLSLISQGYGECYLWDVITSQKRTGVQIDGKPEWNVTITNYCSCPVKNVFLNCREFQSIEPVDSSILAVQGDLCLVNGGQPIYNNQTIQFKYSRDTIFFFYTKAIELFCS >Vigun03g073200.1.v1.2 pep primary_assembly:ASM411807v1:3:6038556:6040399:1 gene:Vigun03g073200.v1.2 transcript:Vigun03g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFFSLRFALLCAASFAAILYGTKATATTATPATNQGFEAPFPPSNPPQTPHNIQEHSFFSHTALLPPILSHLGFHELATAAPSLSDAATTASSAWNGPSTIFAPSDASLRTCVSCSVPNLLREHIVPGLFTIDYLRKLAFGTKIETLSAGRCITVTSETHHLNTNTYTNNNNNNTNYTMAAAKVFIGGVEITQPDLFNNGMVVVHGLQGFVSPLSPFSCEVERMTSLSFPFHPDQRSGHGQHHLPSNNPAAQPSMMRLMLRDAMLRLRNNGFSILALAMRVKYAELVTLNNMTVFAVDDMSIFSGSHTYISNVRFHIVPNHYLSIADLEKLPLGTALPTLERGQPLIITTSGGGEASAPMRINYVRPKVADLIRNVKIVVHSVYLPFPHINPVAAVYDTIVGGEGASEGESDVPDSAEQTPQGTCSAIDGRGSCVTGVPPMPHAKAMVEIEDHHGL >Vigun01g131300.1.v1.2 pep primary_assembly:ASM411807v1:1:30921300:30932023:-1 gene:Vigun01g131300.v1.2 transcript:Vigun01g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIADISLSAAINLLSALAFLVAFAVLRLQPFNDRVYFPKWYLKGIRGSPTGSTAVKKFVNLDFRTYIRFLNWMPAALHMPEPELIDHAGLDSAVFIRIYLLGLKIFAPITLLAFAVLVPVNLTGNTLEEQGAKNLTYSDIDKISISNIPFGSDRFWAHIAMSYVFSCWTCYSLYKEYKNVAAMRLRFLAAESRRPDQFSVLVRNVPPDPDESVTEHIEHFFCVNHPNHYLMHQVVYNANKLAAIVAQKKALQNWFIYYQNKYERNPSRRPTTRTGFMGLLGTKVDAIDHYTESIDTLSKEEAAERESVVNNPDAIMPAAFVSFKTRWGAVVCSQTQQTSDPTIWLTEWAPEPRDVFWENLAIPYFELNMRRLVMTVALFFLTFCFMVPIAFVQSLANIESIIKVFPFLKPVIQEPSIKSLVQGFLPGIALKIFLAVLPKILMAMSKIEGFTSLSSLDRRSASKYYLFILINVFLGSVITGTAFQQLQQFLNQTSADFTKTVGSTIPMKATFFITYIMVDGWAGIAAEVLRLVPLITFHLKNAFLVKTEQDREMAMDPGSLDFATSEPRIQLYFMLGQVYAPVTPFLLPFIVIFFGFAYLIFRHQIINVYNQQYESGGSFWPDVHGRVVMGLIISQILLMGLLSTRGTETSTLVLIAQPILTFWFHRYCKGLFESAFVKFPLQEAMVKDTLERAVEPNLNLRVYLQNAYLHPVFQDNDFEKPAVVDDEEDNPLIKTTRPTRRVGKSESETGGC >Vigun01g131300.2.v1.2 pep primary_assembly:ASM411807v1:1:30921300:30932023:-1 gene:Vigun01g131300.v1.2 transcript:Vigun01g131300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLLAPTQSLSFSARKERNSFSANQNSLRIFLSAVWTPPTRQILLSLSLSLVLKIRKPPRRHRFYLPINQTCIHIQDSSPDRGKTLRKKIMASIADISLSAAINLLSALAFLVAFAVLRLQPFNDRVYFPKWYLKGIRGSPTGSTAVKKFVNLDFRTYIRFLNWMPAALHMPEPELIDHAGLDSAVFIRIYLLGLKIFAPITLLAFAVLVPVNLTGNTLEEQGAKNLTYSDIDKISISNIPFGSDRFWAHIAMSYVFSCWTCYSLYKEYKNVAAMRLRFLAAESRRPDQFSVLVRNVPPDPDESVTEHIEHFFCVNHPNHYLMHQVVYNANKLAAIVAQKKALQNWFIYYQNKYERNPSRRPTTRTGFMGLLGTKVDAIDHYTESIDTLSKEEAAERESVVNNPDAIMPAAFVSFKTRWGAVVCSQTQQTSDPTIWLTEWAPEPRDVFWENLAIPYFELNMRRLVMTVALFFLTFCFMVPIAFVQSLANIESIIKVFPFLKPVIQEPSIKSLVQGFLPGIALKIFLAVLPKILMAMSKIEGFTSLSSLDRRSASKYYLFILINVFLGSVITGTAFQQLQQFLNQTSADFTKTVGSTIPMKATFFITYIMVDGWAGIAAEVLRLVPLITFHLKNAFLVKTEQDREMAMDPGSLDFATSEPRIQLYFMLGQVYAPVTPFLLPFIVIFFGFAYLIFRHQIINVYNQQYESGGSFWPDVHGRVVMGLIISQILLMGLLSTRGTETSTLVLIAQPILTFWFHRYCKGLFESAFVKFPLQEAMVKDTLERAVEPNLNLRVYLQNAYLHPVFQDNDFEKPAVVDDEEDNPLIKTTRPTRRVGKSESETGGC >Vigun07g159501.1.v1.2 pep primary_assembly:ASM411807v1:7:27138581:27139413:1 gene:Vigun07g159501.v1.2 transcript:Vigun07g159501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGSVGLENSGDSMRKPDLGFSMNESAVTGNHAGEEEEEKENSDEPKEGAIDVANTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEIASGADIADCVVQFARRRQRGVSILSGSGAVVNVTLRQPTAPGAVMALHGRFDILSLTGSFLPGPSPPGQIVGGGVVGPLVAAGPVLIMAATFSNATYERLPLEEDDQEQGGGGGSPPGMGGGPGEASSSISVYNNNVPPSLGLPNGQQVNHEAYSSPWGHAPHARPPF >Vigun11g119100.2.v1.2 pep primary_assembly:ASM411807v1:11:32522363:32525106:-1 gene:Vigun11g119100.v1.2 transcript:Vigun11g119100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDEISPKSEDIRAENKLVVLQAELERMKGENQKLRDALHQVNVNYDALQMHFMNLMQQKGEGVEEQEVIGGMLEEKKQGESGGVLVPRQFMDLGLATNADTDEPSSSSGGRSQDRSGSPNAEVASKELGTSKNENVNDEGFEKEKKEYGRGGESEDSPSGHAFAGDKVPRFSPQRNNVEQAEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCVEDRTVLNTTYEGNHNHPLPPAAMAMAQTTSSAARMLLSGSMSSADSMMNANLLTRALLPCSSSMATISASAPFPTVTLDLTQSPNPLHFPRHPNQLQIPLQGVPQSFSNSPASLMPQIFGQALYNQSKFSGLQMSHDADPSQFGNHPQQVPPHLSETVSAAIAVDPNFTAALAAAITSIIGGAQQHNNSNNTNNNGNMTANNGNGNITSSNNNNGKQ >Vigun11g119100.1.v1.2 pep primary_assembly:ASM411807v1:11:32522363:32525106:-1 gene:Vigun11g119100.v1.2 transcript:Vigun11g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGGLSMDSDPIGSFFLHKPIVLNSFPEDTNHHKWKLSPHNNIHTIPFQVNLSSSTNNNHHSPPPPLHNTTEMDFFSDNNNNDNVNNDNVSASASNHHHSTTPPTLEFKLNTRLNLLTTNTRSDQSMVDDEISPKSEDIRAENKLVVLQAELERMKGENQKLRDALHQVNVNYDALQMHFMNLMQQKGEGVEEQEVIGGMLEEKKQGESGGVLVPRQFMDLGLATNADTDEPSSSSGGRSQDRSGSPNAEVASKELGTSKNENVNDEGFEKEKKEYGRGGESEDSPSGHAFAGDKVPRFSPQRNNVEQAEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCVEDRTVLNTTYEGNHNHPLPPAAMAMAQTTSSAARMLLSGSMSSADSMMNANLLTRALLPCSSSMATISASAPFPTVTLDLTQSPNPLHFPRHPNQLQIPLQGVPQSFSNSPASLMPQIFGQALYNQSKFSGLQMSHDADPSQFGNHPQQVPPHLSETVSAAIAVDPNFTAALAAAITSIIGGAQQHNNSNNTNNNGNMTANNGNGNITSSNNNNGKQ >Vigun01g063900.2.v1.2 pep primary_assembly:ASM411807v1:1:15358421:15361963:-1 gene:Vigun01g063900.v1.2 transcript:Vigun01g063900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGSHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWEDLKIIMALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILTHPEGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHNIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun06g067000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19554619:19559680:1 gene:Vigun06g067000.v1.2 transcript:Vigun06g067000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKQKLHQAIDLLYSNGPTSLDDYTRLVLRCAQANDFTQAKRLQSHMELYLFQPKDSFIHNQLIQLYAKLGKLADAQNVFDNMTKRDVYSWNALLSAYARMDMVENLYVVFNQMPYRDSISYNTLIAYFASNGHSGKALEVLMRMQEEGFQPTHHSYVKALQACSQLLDLWHGKQIHGKIVVTDLEGNNFVRNAVIDMYARCGDIDRARWLFDRMINKNVVSWNLMISRYVKLGKPDECIHLFDEMQLSALKPDVVTVSNVLNAYFQCGRVDDARNIFNKLLKKDEVCWTTMIVGYAQNGREEDALMLFGDMLRRNVKLDCYTISSVICSCAKLASLYHGQVVHGKVILMGVENDMLVSSALVDMYSKCGIPLDAWVVFETMPIRNVITWNAMILGYAQNGQVPEALALYERMQQENFKSDNITFVAVLSACINADMVKEGQKYFDSINAKGMTPTLDHYACMVTLLGRSGRIDKAVDLIQGMPHEPSCRIWSTLLSICTKADLKSAELAANHLFKLDPHNAGPYIMLSNLYAACGRWKDVAVVRSLMKEKSAKKFAAYSWVEVGNEVHRFVSEDHSHPEVGKIYGELNRLISILQQIGYDPDINIVLHNVGEEEKFRSISYHSEKLALAFALIRMPNGIAPIRIIKNIRVCDDCHLFMKFASITIGRPIIMRDSNRFHHFFDGKCSCKDNW >Vigun06g067000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19554618:19559664:1 gene:Vigun06g067000.v1.2 transcript:Vigun06g067000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKQKLHQAIDLLYSNGPTSLDDYTRLVLRCAQANDFTQAKRLQSHMELYLFQPKDSFIHNQLIQLYAKLGKLADAQNVFDNMTKRDVYSWNALLSAYARMDMVENLYVVFNQMPYRDSISYNTLIAYFASNGHSGKALEVLMRMQEEGFQPTHHSYVKALQACSQLLDLWHGKQIHGKIVVTDLEGNNFVRNAVIDMYARCGDIDRARWLFDRMINKNVVSWNLMISRYVKLGKPDECIHLFDEMQLSALKPDVVTVSNVLNAYFQCGRVDDARNIFNKLLKKDEVCWTTMIVGYAQNGREEDALMLFGDMLRRNVKLDCYTISSVICSCAKLASLYHGQVVHGKVILMGVENDMLVSSALVDMYSKCGIPLDAWVVFETMPIRNVITWNAMILGYAQNGQVPEALALYERMQQENFKSDNITFVAVLSACINADMVKEGQKYFDSINAKGMTPTLDHYACMVTLLGRSGRIDKAVDLIQGMPHEPSCRIWSTLLSICTKADLKSAELAANHLFKLDPHNAGPYIMLSNLYAACGRWKDVAVVRSLMKEKSAKKFAAYSWVEVGNEVHRFVSEDHSHPEVGKIYGELNRLISILQQIGYDPDINIVLHNVGEEEKFRSISYHSEKLALAFALIRMPNGIAPIRIIKNIRVCDDCHLFMKFASITIGRPIIMRDSNRFHHFFDGKCSCKDNW >Vigun06g067000.2.v1.2 pep primary_assembly:ASM411807v1:6:19554624:19559664:1 gene:Vigun06g067000.v1.2 transcript:Vigun06g067000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKQKLHQAIDLLYSNGPTSLDDYTRLVLRCAQANDFTQAKRLQSHMELYLFQPKDSFIHNQLIQLYAKLGKLADAQNVFDNMTKRDVYSWNALLSAYARMDMVENLYVVFNQMPYRDSISYNTLIAYFASNGHSGKALEVLMRMQEEGFQPTHHSYVKALQACSQLLDLWHGKQIHGKIVVTDLEGNNFVRNAVIDMYARCGDIDRARWLFDRMINKNVVSWNLMISRYVKLGKPDECIHLFDEMQLSALKPDVVTVSNVLNAYFQCGRVDDARNIFNKLLKKDEVCWTTMIVGYAQNGREEDALMLFGDMLRRNVKLDCYTISSVICSCAKLASLYHGQVVHGKVILMGVENDMLVSSALVDMYSKCGIPLDAWVVFETMPIRNVITWNAMILGYAQNGQVPEALALYERMQQENFKSDNITFVAVLSACINADMVKEGQKYFDSINAKGMTPTLDHYACMVTLLGRSGRIDKAVDLIQGMPHEPSCRIWSTLLSICTKADLKSAELAANHLFKLDPHNAGPYIMLSNLYAACGRWKDVAVVRSLMKEKSAKKFAAYSWVEVGNEVHRFVSEDHSHPEVGKIYGELNRLISILQQIGYDPDINIVLHNVGEEEKFRSISYHSEKLALAFALIRMPNGIAPIRIIKNIRVCDDCHLFMKFASITIGRPIIMRDSNRFHHFFDGKCSCKDNWSLCQWMLSRL >Vigun07g009600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:808375:809675:-1 gene:Vigun07g009600.v1.2 transcript:Vigun07g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGSLFVLTLTSESDEQHRLNPTLLSSLLAALTQINSQATSASALVTTAQGRFFCNGFDFRWARAAADPQAARIRLRTMSNSLRPVLAALFSLPIPTVAAVSGHAAAAGAIFALAHDHVLMRADRGVLYMPEVDLGITLPDYFAAVMREKIAAARDVVLAGRKVRAEEAVEMGIVRSAHDSAEGTVEAAMSLGEELARRKWVGEAYVEIRQSLFPEVCDVLGLAPKSIVSKI >Vigun03g219400.2.v1.2 pep primary_assembly:ASM411807v1:3:36513249:36518397:-1 gene:Vigun03g219400.v1.2 transcript:Vigun03g219400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRPSDQVVLFIDQHNPKPSSMEAENHQEKPRHPIKVKTLNRLSFSKPKSRIQEFNHVPRNKLAASEEEDDIIQPTYKLTSNEEDDDEDDWKWDKEDMEEDGSEHNTKLHQKKKFKVKWRLVMEWALFLNILACLVCSLTISSITNMHVLGLEIWRWCVMVMVTFSGRLVSGWLVGVIVFFLERNFMLRDKVLYFIYGLRKSIRNCLWLGLVLLSYWSLVFDDVQKKNHKFLNKMFQALVAVLVGATIWLVKIVLVKMLASSFHVTTYFDRMKESVFHHYILDTLSGPAMEDAEEILRQHQLMGSKSMPARLKMKEAKNSYKSKRFGSRKIDMEKLRELSMESPSSAWSVKRLVNYVRSSGLSTISRTVDDFGNAESEINSEWEARNCAQRIFKNVAKPGAKYIEEEDLMRFLKRVEIHTIFPLFEGALETGQISRSSFRNWVIRAYYERKALAQSLNDTKTAVQQLHKIASAIVSVIIIIVMLLVMEVATLKIILFCITQTVLIGVAFQGTCKTVLEAIIFVFVMHPFDIGDRCVIDGVHFCSLSQ >Vigun03g219400.1.v1.2 pep primary_assembly:ASM411807v1:3:36513249:36518397:-1 gene:Vigun03g219400.v1.2 transcript:Vigun03g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRPSDQVVLFIDQHNPKPSSMEAENHQEKPRHPIKVKTLNRLSFSKPKSRIQEFNHVPRNKLAASEEEDDIIQPTYKLTSNEEDDDEDDWKWDKEDMEEDGSEHNTKLHQKKKFKVKWRLVMEWALFLNILACLVCSLTISSITNMHVLGLEIWRWCVMVMVTFSGRLVSGWLVGVIVFFLERNFMLRDKVLYFIYGLRKSIRNCLWLGLVLLSYWSLVFDDVQKKNHKFLNKMFQALVAVLVGATIWLVKIVLVKMLASSFHVTTYFDRMKESVFHHYILDTLSGPAMEDAEEILRQHQLMGSKSMPARLKMKEAKNSYKSKRFGSRKIDMEKLRELSMESPSSAWSVKRLVNYVRSSGLSTISRTVDDFGNAESEINSEWEARNCAQRIFKNVAKPGAKYIEEEDLMRFLKRVEIHTIFPLFEGALETGQISRSSFRNWVIRAYYERKALAQSLNDTKTAVQQLHKIASAIVSVIIIIVMLLVMEVATLKIILFCITQTVLIGVAFQGTCKTVLEAIIFVFVMHPFDIGDRCVIDGVHMIVEEMNILTTVFLRYDNEKIYYPNAVLLSKPISNFYRSPEMGDAVDFTIDVSTSMETILALKKSIQMYIESKPKYWNPKYSLIAKGIENVDKLKFALCVQHTINHQNYGERNVRMTELLLELKRIFEIHGVKYHLLPQEIQITHMNIEHGKVLFQS >VigunL015298.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:806497:806736:-1 gene:VigunL015298.v1.2 transcript:VigunL015298.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALIFIIVGIGFKLSPALSHQWIPDVYEGVRFVR >Vigun09g041300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3811495:3812640:1 gene:Vigun09g041300.v1.2 transcript:Vigun09g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQISLSMLLLSVFLTSPTLFTTAAQSKPQDLLRSSCVHARYPRLCLRTLSHYPGPANTPLDMARAALRVSLAHTRRTSKFLHTLSKGGAAAMSKRQRSALRDCTEQISDSVDQLRRSLDELQHLRAETFRWQMSNAQTWVSAALTDGDTCLDGFAGNARPDVKRRVNDVARVTSNALYMINRLGQSRTGKPKSKPRPRPSPGLIVSKN >Vigun10g033900.1.v1.2 pep primary_assembly:ASM411807v1:10:4548908:4552807:-1 gene:Vigun10g033900.v1.2 transcript:Vigun10g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSSTSKIPQMYDVLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLHQIIEWHQTYSRHVLPVYYELQPSDVRLQKGDFGKTLKATAQQSFSAQQLKHGMSRWKHALSKTADFFGWDESNYRSDAEVADKIVKSVLNLAVLSATKFPVGLQSRMEEVIQIIKKESTKVCTIAICGKGGSGKTTLAKAIYNQIHDTFTQKFFIEDIEQKQLCNNEMGRSLIPKIIYGKRVLIVLDDVRFSYYLEMIVRSHLREGTVIIITTTNQNPPLIRYPYSIFQINLMNPNESLELLSWHAFREPKPKEECHFLAKMVVDYCGGLPLSLEVIGSFLYEKTKEEWNNVLSRLERVPQHEVLQILKLSFDGLPNEIERNLFLDICCFFVGKDRVYVTKILNGCGVNAESGIRILIERRLIIVKKNNKFGLHPLLREMGREIIGEITSGKEPKKTSRLWFDKDEDYVLLEHILFSSQEKKVIQRFPPKWSLTIRDFFERDYLEVRDAIGRRKLGGHCEYRSKELGWIRLAKFSSKDLPIGFLHDAIAIDLKHSLPRLVWKKPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENTVVKQVPFSIESSKSIGYLSLRGFEGLSHNLFHFIIRSWKLPSMTPLSYNHSFCMDMELNSWDDIAPLRRIFVNLRSVLLQCETEFQLSKQVQDILVEYGVYITESDTSKQHFRSSLIGVGRCKEFLDAFSDSISEVIAGSESCDVSLPGDNDPNCLAHMGEGYSVSFTVPRNGDIKGMALCIVYLSTPEIVATEGLTSVLIVNYTKCTLHIHNHATIISFNDKDWEGIISNLGSGDKVEIFVIFGDGLVVRRTIVYLICGESNDLEKESPSKKNSPIRFIKNL >Vigun09g031700.2.v1.2 pep primary_assembly:ASM411807v1:9:2699914:2703604:-1 gene:Vigun09g031700.v1.2 transcript:Vigun09g031700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSDVYDVVAAIVPLYVALILAYGSVRWWKIFTPEQCSGINRFVSVFGVPFLSFHFISNNNPYTMNFRFLAADSLQKVVILAALVLWNAFTKWGSMNWTITLFSLSTLPNTLIMGVPLLRAMYGDFTTALMIQIVVFQSVIWYTLLLFMFEYRAAKLLILQKFPDTAGAISSVRVHSHVGSLSCREMLETNAETGEDGNLHVVVMSRSVSVASKEPRLRSSSSNFSATDSHPHGLNREGMLKMHEKSFSRSKSVGHGLVSPYLSLKPIGDKETDSNKGLHMFMSTSPTSDPNVRHAANTRVGSYMESSKGVDFAGETAPSKDVEIEGVEGPVLRSQNDNSEGDSNKRQEMPRARVMIKLILSMVWRNLIRNPNTYASVLGLVWSLIFFRWNMKMPSIIKGSIEIISNAGLGMAMFSLGLFMALQPKIITCGKTRAMISMLIKFLVGPMVILATSKAMGVNGVLLKVAVVQASLPEALVPFIFAKEYNLHADILSTAVIFGMVVALPVTIIYYVILGL >Vigun09g031700.1.v1.2 pep primary_assembly:ASM411807v1:9:2699914:2703604:-1 gene:Vigun09g031700.v1.2 transcript:Vigun09g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSDVYDVVAAIVPLYVALILAYGSVRWWKIFTPEQCSGINRFVSVFGVPFLSFHFISNNNPYTMNFRFLAADSLQKVVILAALVLWNAFTKWGSMNWTITLFSLSTLPNTLIMGVPLLRAMYGDFTTALMIQIVVFQSVIWYTLLLFMFEYRAAKLLILQKFPDTAGAISSVRVHSHVGSLSCREMLETNAETGEDGNLHVVVMSRSVSVASKEPRLRSSSSNFSATDSHPHGLNREGMLKMHEKSFSRSKSVGHGLVSPYLSLKPIGDKETDSNKGLHMFMSTSPTSDPNVRHAANTRVGSYMESSKGVDFAGETAPSKVVPADVEIEGVEGPVLRSQNDNSEGDSNKRQEMPRARVMIKLILSMVWRNLIRNPNTYASVLGLVWSLIFFRWNMKMPSIIKGSIEIISNAGLGMAMFSLGLFMALQPKIITCGKTRAMISMLIKFLVGPMVILATSKAMGVNGVLLKVAVVQASLPEALVPFIFAKEYNLHADILSTAVIFGMVVALPVTIIYYVILGL >Vigun02g164800.2.v1.2 pep primary_assembly:ASM411807v1:2:30946938:30953429:-1 gene:Vigun02g164800.v1.2 transcript:Vigun02g164800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPAPSAPGNNGHMMYVFNRNGVCLLYREWNRPLRTLDAQQDHKLMFGLLFSLKSLTAKMDPTSAAEKGNLGMPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKRIYNLYVDYVVKNPLYTPGSPIRCELFNTTLDQYVRGIG >Vigun02g164800.1.v1.2 pep primary_assembly:ASM411807v1:2:30946938:30953429:-1 gene:Vigun02g164800.v1.2 transcript:Vigun02g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPAPSAPGNNGHMMYVFNRNGVCLLYREWNRPLRTLDAQQDHKLMFGLLFSLKSLTAKMDPTSAAEKGNLGMPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKRIYNLYVDYVVKNPLYTPGSPIRCELFNTTLDQYVRGIG >Vigun06g140800.2.v1.2 pep primary_assembly:ASM411807v1:6:26632967:26649348:1 gene:Vigun06g140800.v1.2 transcript:Vigun06g140800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDRDNFTLDELLDEDEIIQECKALNSRLINFLSAKPQVEQLIRYIIEEAPEDAEKTRSFKFPFIACEIFTCEVDIILKTLIEDEELMNLLFSFLDLNHSHSNLLAGYFSKVVVCLLLRKTVPFMQYVQAHQEIVKKLVDLIGITSIMEVLIRLIGADEHMYVSHVNAMQWIEDTNVLEMIADKFSSSDSPEVHANAAETLCAITRFAPAGLSAKVCSPSFIGRLFRHALEVSRPKSVLVNSLSVCISLLDPKRHTFGAYYAYNRQMTNGSTVTANPETVEGMLESLGDLLKLLDVSSSENLLLTTFGKLQPPLGKHRLKIVEFISVLVTVGGEAAEKKLIDLGAVQIIIHLFFEYPYNNFLHHHVENIITSCLESKNSSLLEHLLHHCDFVGKIIQAEKQCTLEADTNKATIPAEGKSAPRIGCIGHLTRIANKLIQLGNNNAVIQEHLQGNSDWTDWYLGVLSNRNAVENVYQWACGRPTALHDRNRDSDEDDYQDQDYDVAALANNLSQAFRYGIYNNDDIEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHERDRVANERSTGSLASPSPNAEEGNVKASDDVAGEDEELADTATSSPEAGSKLEHGGTDKPVEWVEWRESSDANDPSDVLPNGEIGNNDPGATESPSPSSSVAETNDKQLATDLPSASLDNSTIVTSETTKTVSENPSSCAPTSVDGSVAEVGGNSNKDTTE >Vigun06g140800.3.v1.2 pep primary_assembly:ASM411807v1:6:26639076:26649348:1 gene:Vigun06g140800.v1.2 transcript:Vigun06g140800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIRLIGADEHMYVSHVNAMQWIEDTNVLEMIADKFSSSDSPEVHANAAETLCAITRFAPAGLSAKVCSPSFIGRLFRHALEVSRPKSVLVNSLSVCISLLDPKRHTFGAYYAYNRQMTNGSTVTANPETVEGMLESLGDLLKLLDVSSSENLLLTTFGKLQPPLGKHRLKIVEFISVLVTVGGEAAEKKLIDLGAVQIIIHLFFEYPYNNFLHHHVENIITSCLESKNSSLLEHLLHHCDFVGKIIQAEKQCTLEADTNKATIPAEGKSAPRIGCIGHLTRIANKLIQLGNNNAVIQEHLQGNSDWTDWYLGVLSNRNAVENVYQWACGRPTALHDRNRDSDEDDYQDQDYDVAALANNLSQAFRYGIYNNDDIEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHESGSLFTNSNWFAFEEDRDRVANERSTGSLASPSPNAEEGNVKASDDVAGEDEELADTATSSPEAGSKLEHGGTDKPVEWVEWRESSDANDPSDVLPNGEIGNNDPGATESPSPSSSVAETNDKQLATDLPSASLDNSTIVTSETTKTVSENPSSCAPTSVDGSVAEVGGNSNKDTTE >Vigun06g140800.1.v1.2 pep primary_assembly:ASM411807v1:6:26632967:26649348:1 gene:Vigun06g140800.v1.2 transcript:Vigun06g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDRDNFTLDELLDEDEIIQECKALNSRLINFLSAKPQVEQLIRYIIEEAPEDAEKTRSFKFPFIACEIFTCEVDIILKTLIEDEELMNLLFSFLDLNHSHSNLLAGYFSKVVVCLLLRKTVPFMQYVQAHQEIVKKLVDLIGITSIMEVLIRLIGADEHMYVSHVNAMQWIEDTNVLEMIADKFSSSDSPEVHANAAETLCAITRFAPAGLSAKVCSPSFIGRLFRHALEVSRPKSVLVNSLSVCISLLDPKRHTFGAYYAYNRQMTNGSTVTANPETVEGMLESLGDLLKLLDVSSSENLLLTTFGKLQPPLGKHRLKIVEFISVLVTVGGEAAEKKLIDLGAVQIIIHLFFEYPYNNFLHHHVENIITSCLESKNSSLLEHLLHHCDFVGKIIQAEKQCTLEADTNKATIPAEGKSAPRIGCIGHLTRIANKLIQLGNNNAVIQEHLQGNSDWTDWYLGVLSNRNAVENVYQWACGRPTALHDRNRDSDEDDYQDQDYDVAALANNLSQAFRYGIYNNDDIEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHESGSLFTNSNWFAFEEDRDRVANERSTGSLASPSPNAEEGNVKASDDVAGEDEELADTATSSPEAGSKLEHGGTDKPVEWVEWRESSDANDPSDVLPNGEIGNNDPGATESPSPSSSVAETNDKQLATDLPSASLDNSTIVTSETTKTVSENPSSCAPTSVDGSVAEVGGNSNKDTTE >Vigun06g140800.4.v1.2 pep primary_assembly:ASM411807v1:6:26639076:26649348:1 gene:Vigun06g140800.v1.2 transcript:Vigun06g140800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIRLIGADEHMYVSHVNAMQWIEDTNVLEMIADKFSSSDSPEVHANAAETLCAITRFAPAGLSAKVCSPSFIGRLFRHALEVSRPKSVLVNSLSVCISLLDPKRHTFGAYYAYNRQMTNGSTVTANPETVEGMLESLGDLLKLLDVSSSENLLLTTFGKLQPPLGKHRLKIVEFISVLVTVGGEAAEKKLIDLGAVQIIIHLFFEYPYNNFLHHHVENIITSCLESKNSSLLEHLLHHCDFVGKIIQAEKQCTLEADTNKATIPAEGKSAPRIGCIGHLTRIANKLIQLGNNNAVIQEHLQGNSDWTDWYLGVLSNRNAVENVYQWACGRPTALHDRNRDSDEDDYQDQDYDVAALANNLSQAFRYGIYNNDDIEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHERDRVANERSTGSLASPSPNAEEGNVKASDDVAGEDEELADTATSSPEAGSKLEHGGTDKPVEWVEWRESSDANDPSDVLPNGEIGNNDPGATESPSPSSSVAETNDKQLATDLPSASLDNSTIVTSETTKTVSENPSSCAPTSVDGSVAEVGGNSNKDTTE >Vigun06g165600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28751166:28752675:-1 gene:Vigun06g165600.v1.2 transcript:Vigun06g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIVHFSLLILSLLFVSCISEGKPRPFILPIAKDSKTNLFYTSLGLGTPRHHMDLVIDLGGPFLWYDCDKTYNSSSYHPVHCESKSCPGGAPCTGCTSGPFKPGCSNDTCGAFILNPYADAIFTGDFGEDVLFLSQSHTVLPGLISGCTSTEGSSLLNNLPQTGKGILGLARTQLALPTQLSLSRKLPRKFAICLPSSNKHGLGNLFIGGAPQDASKLTLFTTPLVVNPFSTAPIFSEGEPSYEYFIVVKSVKVDGGAINFKPSLLSIDNKGHGGTKISTINPFTAVHSAIFKPLVREFSKKAVDRKMRKVTPVAPFGACFDFSSIGRTVTGLDVPTIELELEGGVTWKVYGGNSMVLVNKKVACLGFVDGGKDAETSVVIGGHVLEDNLVEFDLVSSKLSFSSSLLLHNARCSHIRT >Vigun06g120400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24803732:24805024:-1 gene:Vigun06g120400.v1.2 transcript:Vigun06g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDTTRLNEGIGAGLDEEDDATANDYIEFEDEDIDKI >Vigun10g012100.1.v1.2 pep primary_assembly:ASM411807v1:10:1256532:1258837:-1 gene:Vigun10g012100.v1.2 transcript:Vigun10g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVFFVLLFAGQVIGGFSSQSHGSITTILTIDGGGVKGIIPATVLDYLDKALKAKDPNADLAHYFDAIGGTSTGSQITTMLATPSPDDPNRGTFTPAQIVDFYKQNYPHIFNQSRPGNGPMFDGEFALNLTRELLKDTRINQTLTHVVITSFDIKTQKPVIFSNYKLENVPHLNGLLSDILMSSTAAPTILPPYYFENDGVEFNMADGGIAANNPTRATVSEVVQQNEDSEILVLSLGTGTVNSSNTSGIDFIGGAAASMTEYYVASLFEGFKLGHTYLRIEEYNLNPAFSNAFNVTQANMDGLEETGKQLLQENVLKLNLDTFDLEKLGETNAQALDRIADILYEERQRRLKRKSMEKGGRPIIQTPRVLSDKTQASGKLLRNLFN >Vigun10g171200.1.v1.2 pep primary_assembly:ASM411807v1:10:39002941:39008534:1 gene:Vigun10g171200.v1.2 transcript:Vigun10g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRLLKFYNTLDQSARDTYLDLPATLEPFSDKLRYIEWIGYPFESLPSPFCAKLLVEIHMPHSKVKQLWQGIQELDYLEGINLRQCKQFEELPDLSKAPRLKWVNLSCCESLRYLHPSVLSSDSLVTLILDKCTNLKSVKSEKHLKSLEKISVNGCLNLVEFAVSSDLIENLDLSNTGIQTLGTSIGSMHKLKSLNLEGLKLKHILKELSWLTYLKVLKISDNGLVIDKQQILFLFNGLRYLQILYLKDCSKLFELPDNISLLTQLKELRLDRSDLKRLPENIKNLQMLEILSLEDCKELLCLPKFPSLIKYLRVINCTSLVSLSNLKTLAIEMLGMTKRITFKNSGKLDEHSLRIIMESLHLTMMSAAYHNVLVRKTFGRYNSCNYTSVELCLPGGNVPEQILYRSTKSSITIVLPPRSELLGFIYSVVLSPAGGKKTYGTKIFCKCHLPEEGIKVTWLYGDIRGLKSDHVYVWYDPLHCDNILKYHKQSKVCFEFCVANDKGEVDGSICIKECGVGLVNVLEVHSVLQELDFDSDKKKELVEGVESESKLRNQQQDWSESSSSDSPRSSSESDSEIVKPVSAEKGKSIHKETKTDSGTNAVKYKSNKETPKSDATLHETMESHSDNENESREKSITQSEVVPVELESTSLSTTKRGPKEKSKESTQIVANEHLQSTPLQASSQGGSEKVNDRLEESNKQVVETYDTEKFVTKYSYFDLESCLQQLDENPFAILHLLSNELSLPLKQSQTCVQKVAQAKDATTVLNEFRTLVFSTSLLEKLPDQSYRQQIDESLRKLHTHRREITKEQEEGVDKFIELYNKAVDIYQEKMLTKDKQANLASQKRDLYNKLQDSKLKVQQFDTTISTSKYEIENLQKRQREIQEAINKLHQENEALEKERSALEVLYSEKQTKKNETLELVKYISTSVVYTTKQLEELEEKRLSLASALDDLKEPYQRMKTKPPF >Vigun03g280600.1.v1.2 pep primary_assembly:ASM411807v1:3:45888078:45893006:1 gene:Vigun03g280600.v1.2 transcript:Vigun03g280600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFSSIPVIDISSLLAKADDPKMAEDPGVREVVRQLDKACIEAGFFYVKGHGVPETLLKEVRDVTRSFFELPYEEKAKIKLTPAAGYRGYQRIGENITKGVPDMHEAIDCYREVTKGMYGDLGKTMEGSNQWPQYPPTFKVLMEEYIRLCTDLARKIMRGIALGLGGSPDEFEGQRAGDPFWVMRLIGYPGVSTVNGTHVLKNDIGCGAHTDYGLLTLVNQDEDTNALQVRNLSGEWISAPPVPGTFVCNIGDMLKIYSNGLYESTFHRVINDNSKYRVSVAYFYETNFDTAVEPLDTHKTRANGNTKFERPVYGEHLIAKVLTNFVF >Vigun09g069600.1.v1.2 pep primary_assembly:ASM411807v1:9:7484838:7490119:1 gene:Vigun09g069600.v1.2 transcript:Vigun09g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKRHQMMQNLFGDQSEEEEELDVDSEHESNPQLNYPSDEGEGMGEQEGEGEVEGQGEVEIESEGDGEGEHDRESEGEREQSSQEVEVGEREESEGRDSDSDAKDDGYSQRGVTSKRRDDVVESGSERSEENHYDHHDEEEEEVDEARSPSVSPRDEKEDETRDLHSAPEIRDVFGDLDDDEDEEMGYAVQQDIEQDSNRYPEEEEGSYGKNLRPEDIVADEDHQYESEEENFEIKTKEKPLGPPLELEVPLRPPPALPEKMNMIKVSNIMGVDPKPFDPKTYVEEDTFVTDESGARKRIRLENNIVRWRTARNPDGTASYESNARFVRWSDGSLQLLIGNEVLDISVQDAQHDQAHLFLRHGKGILQSQGRLLRKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAESQNIRANVLLNRKREKVSRKYTPAVDRRRQLSPGFLEDALDEEDEPEYYDSRRSQRRFEDDLEAEARAEKRIMNAKKSQGPRDIPRKSSFPPAKSSRNPMGYQDDEREESEYETDEEEDERPPPRKRDEDTEPEYEDDEDEEDHYEEAEQVNEASDEEEEEEPKQKSKEFRGSAKRKGFESDEDSPPRKTTTHRRMAVVYDSDEE >Vigun10g016000.1.v1.2 pep primary_assembly:ASM411807v1:10:1765502:1774657:-1 gene:Vigun10g016000.v1.2 transcript:Vigun10g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKYLLMIFVIIFPFFCVCVDGKHFVLVHGALHGAWCWYKVAHQLKSEGHNVTTLDMAACGVNTEKIEEVDSVSEYHKPLITFLASLPPQEKVILVGHSLGGLSVSIAMEKYPKKISVAVFISAAVVTQNLTYLTFRQEVNRRLGNDLREQYFILDGNRAPILSSIGVEFFRSRLYQLSTTEDLTLATSVVRPLPPFMSDVKLLAKQSAVSKKRNGMVSKVFIISEKDNLIPKNFQRWIIQNTGSYADVKKIKNSDHMVMFSKPKELALELLKIAYKY >Vigun11g029800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3898691:3899086:1 gene:Vigun11g029800.v1.2 transcript:Vigun11g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYMLTAKEAIGVAHQRTFATGKAKKGSKGGGAADAPKASSLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun07g043500.2.v1.2 pep primary_assembly:ASM411807v1:7:4364047:4366178:1 gene:Vigun07g043500.v1.2 transcript:Vigun07g043500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLFILALLLLLQAFAEASSNSTGVASFLQNTYGGSKNVDLKNKHFHLHKIIDCKYECSRRCSKALKRKRCKRACKSCCERCGCVPPGTYGHKNLCPCYARLKTHGNKPKCP >Vigun07g043500.1.v1.2 pep primary_assembly:ASM411807v1:7:4364047:4366178:1 gene:Vigun07g043500.v1.2 transcript:Vigun07g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLFILALLLLLQAFAEASSNSTGVASFLQNTYGGSKNVDLKNKHFHLHKINCKYECSRRCSKALKRKRCKRACKSCCERCGCVPPGTYGHKNLCPCYARLKTHGNKPKCP >Vigun11g216100.1.v1.2 pep primary_assembly:ASM411807v1:11:40988443:40990750:-1 gene:Vigun11g216100.v1.2 transcript:Vigun11g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREEHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQSINDLDRELDHLKNAFEAEMLKFSRDY >Vigun08g128700.1.v1.2 pep primary_assembly:ASM411807v1:8:29879829:29881141:1 gene:Vigun08g128700.v1.2 transcript:Vigun08g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIFALFLLISGIQAISSSNFNTSEGNGLVHSNGEAQQVLGKLLHGSIEESTSVKYEVEDSKEEVVDTTQKTQGGGSSGSSGRPGSGGSADVTRRPRPNSAPSKPHFCVSLFILSVNFASVIFFFHYV >Vigun11g161900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36917408:36918498:-1 gene:Vigun11g161900.v1.2 transcript:Vigun11g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSKSRTMLFFMFFLVGLVFAAGVRAQTSEFPQAPAPAPTMDAGAGFLVTYSGAFVFSSLLLSLLALLCH >Vigun10g074200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:19626069:19628794:-1 gene:Vigun10g074200.v1.2 transcript:Vigun10g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTIVSRCLIHPDHKSSMKPLKLSVSDLPMLSCHYIQKGVLLTAPTSSFEDLILSLKHSLSAALSHFPALAGRLSTDCQGYVHIVCNDAGVDFIHAKAKHLTLNAVVSPTLVDVHPCFKEFFAYDMMISYAGHHNPLAAIQVTELADAVFVGCTINHAVTDGTSFWHFFNTFAAITKGGAAKKVLRAPDFTRETVINSDAVLFVPAGGPALTFDAKAPIRERIFHFSSESIQKLKLRANAKSNELTEVMEKPVNDGWKIVNGKVIGKDSGNGRNEISSFQSLSAQLWRAVTRARKFNDPAKTSTFRMAVNFRHRLEPKMDAFYFGNAIQSIPTVATVRDILSRDLHFCAELLHQNVIAHDDATVRRGIEDWENAPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPIAIRSGKANKFDGKISAFPGREGNGSVDLEVVLAPETMAGLENDMEFMQYVTQVV >Vigun08g084900.1.v1.2 pep primary_assembly:ASM411807v1:8:18585379:18602586:1 gene:Vigun08g084900.v1.2 transcript:Vigun08g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITTQPLSREPSNYDEAFMHQSLLFDDSLKDLKNLRAQLYSAAEYFELSYANDDQKHIRVIETLKDYTIKALINTVDHLGSVTYKVNDLLDEKMAEVSGAKLRVSCIEQRVRTCQAFMDHEGRTQQSLVIEAPKYHKRFILPAGETIRGANFSKSKYLGCNLDDEDDWHHFRKAVRATIRETPTSTVSNGCSPSPSLQTQKAGAFSFTSTMQKEDFEKRTVSPYRFPLLRTGSLSNRSTTPTSSRPTTPNSSRRPTTRSPSDARIRSPSEPRKSASMRLPAERDSNREHPSKSKRLLKALLSRRKSNKDDLLYSYLDEY >Vigun08g084900.6.v1.2 pep primary_assembly:ASM411807v1:8:18585379:18602586:1 gene:Vigun08g084900.v1.2 transcript:Vigun08g084900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGAKLRVSCIEQRVRTCQAFMDHEGRTQQSLVIEAPKYHKRFILPAGETIRGANFSKSKYLGCNLDDEDDWHHFRKAVRATIRETPTSTVSNGCSPSPSLQTQKAGAFSFTSTMQKEDFEKRTVSPYRFPLLRTGSLSNRSTTPTSSRPTTPNSSRRPTTRSPSDARIRSPSEPRKSASMRLPAERDSNREHPSKSKRLLKALLSRRKSNKDDLLYSYLDEY >Vigun08g084900.3.v1.2 pep primary_assembly:ASM411807v1:8:18585379:18602586:1 gene:Vigun08g084900.v1.2 transcript:Vigun08g084900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITTQPLSREPSNYDEAFMHQSLLFDDSLKDLKNLRAQLYSAAEYFELSYANDDQKHMVIETLKDYTIKALINTVDHLGSVTYKVNDLLDEKMAEVSGAKLRVSCIEQRVRTCQAFMDHEGRTQQSLVIEAPKYHKRFILPAGETIRGANFSKSKYLGCNLDDEDDWHHFRKAVRATIRETPTSTVSNGCSPSPSLQTQKAGAFSFTSTMQKEDFEKRTVSPYRFPLLRTGSLSNRSTTPTSSRPTTPNSSRRPTTRSPSDARIRSPSEPRKSASMRLPAERDSNREHPSKSKRLLKALLSRRKSNKDDLLYSYLDEY >Vigun08g084900.7.v1.2 pep primary_assembly:ASM411807v1:8:18589466:18602586:1 gene:Vigun08g084900.v1.2 transcript:Vigun08g084900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTYPHKRMRVRTCQAFMDHEGRTQQSLVIEAPKYHKRFILPAGETIRGANFSKSKYLGCNLDDEDDWHHFRKAVRATIRETPTSTVSNGCSPSPSLQTQKAGAFSFTSTMQKEDFEKRTVSPYRFPLLRTGSLSNRSTTPTSSRPTTPNSSRRPTTRSPSDARIRSPSEPRKSASMRLPAERDSNREHPSKSKRLLKALLSRRKSNKDDLLYSYLDEY >Vigun08g084900.2.v1.2 pep primary_assembly:ASM411807v1:8:18585379:18602586:1 gene:Vigun08g084900.v1.2 transcript:Vigun08g084900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGAKLRVSCIEQRVRTCQAFMDHEGRTQQSLVIEAPKYHKRFILPAGETIRGANFSKSKYLGCNLDDEDDWHHFRKAVRATIRETPTSTVSNGCSPSPSLQTQKAGAFSFTSTMQKEDFEKRTVSPYRFPLLRTGSLSNRSTTPTSSRPTTPNSSRRPTTRSPSDARIRSPSEPRKSASMRLPAERDSNREHPSKSKRLLKALLSRRKSNKDDLLYSYLDEY >Vigun05g060500.1.v1.2 pep primary_assembly:ASM411807v1:5:5171366:5175769:-1 gene:Vigun05g060500.v1.2 transcript:Vigun05g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHAPPSSFLRTLLRYNNHNHHSSRAFFFSLSHFTKSSSIATRLFSHSRLTSLLPMNGQGLAAGGSSPEHFTGDWYSVPELRLRDHRFTVPLDHSRGPHSSPKITVFAREVVAAGKEEQKLPYLLYLQGGPGFECRQPTESSGWVQKVCEEFRLILMDQRGTGLSTPLTVSSMLQFKSAEDLADFLKHFRADNIVNDAEFIRVRLVPDAGPWTILGQSFGGFCAVTYLSFAPHGLKQALLTGGIPPIGGGCPADSVYKAGFEQSIHQNEKYYKRFPQDIKIVQELVNYLAEQEGGGVALPSGGFLTPRGLQTLGLSGLGSSSGFESLHYLFERVWDPTLVPGAPKKISYNFLSSFEKWLSFDTNPLYALMHESIYCQGSASKWSANSIRSEVEDKFDAIKAAKEGLPVLFTGEMIFPWMFDEIHALKPFKDAAHILAEKKDWPPLYDVQVLNNNKVPVAAAVYYEDLYVSFKLAMETASQISGIRLWITNEFMHSGLRDDGNKVIDHLLGMLNGKKPLF >Vigun05g060500.2.v1.2 pep primary_assembly:ASM411807v1:5:5171366:5175769:-1 gene:Vigun05g060500.v1.2 transcript:Vigun05g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHAPPSSFLRTLLRYNNHNHHSSRAFFFSLSHFTKSSSIATRLFSHSRLTSLLPMNGQGLAAGGSSPEHFTGDWYSVPELRLRDHRFTVPLDHSRGPHSSPKITVFAREVVAGGPGFECRQPTESSGWVQKVCEEFRLILMDQRGTGLSTPLTVSSMLQFKSAEDLADFLKHFRADNIVNDAEFIRVRLVPDAGPWTILGQSFGGFCAVTYLSFAPHGLKQALLTGGIPPIGGGCPADSVYKAGFEQSIHQNEKYYKRFPQDIKIVQELVNYLAEQEGGGVALPSGGFLTPRGLQTLGLSGLGSSSGFESLHYLFERVWDPTLVPGAPKKISYNFLSSFEKWLSFDTNPLYALMHESIYCQGSASKWSANSIRSEVEDKFDAIKAAKEGLPVLFTGEMIFPWMFDEIHALKPFKDAAHILAEKKDWPPLYDVQVLNNNKVPVAAAVYYEDLYVSFKLAMETASQISGIRLWITNEFMHSGLRDDGNKVIDHLLGMLNGKKPLF >VigunL079900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000604.1:13216:13488:-1 gene:VigunL079900.v1.2 transcript:VigunL079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun03g226300.1.v1.2 pep primary_assembly:ASM411807v1:3:37632857:37637611:-1 gene:Vigun03g226300.v1.2 transcript:Vigun03g226300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLLVTEDEGQTTVSMVASASSPSMECFTPHEARLKERNYLGLADCSSVESSAVPSLSGENKNLNLKATELRLGLPGSQSPERDPDLFPLTSAKLDEKPLFPLLPTKDGIFTLSQKTVVSGNKRGFADTMDTEFPGNAGLNMMLSPKASGIQPSIVKEVPSKVLQERSSAANGTGLNHSGTSISGSAPASKAQVVGWPPIRSFRKNSLATTSKNNDEVDGKPSPVALFVKVSMDGAPYLRKVDLRNYTTYQELSSALEKMFSCFTLGQCGSHGVPGREILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWDMFIETCKRLKIMKGSDAIGLAPRAMEKSRSRS >Vigun04g059600.1.v1.2 pep primary_assembly:ASM411807v1:4:6006868:6011421:-1 gene:Vigun04g059600.v1.2 transcript:Vigun04g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVPVVLVLPYPAQGHVNPLMILSQKLVENGCKVVFVNTEFDHKRVVSSFGAQQHSTKHEEESMMKLVSVPDGLGADEDRNDLGKLCDALQKTMPKELEKVIENMHLKSDNNKMSFIVADLGMAWALDVGRKFGMKGAIVWPASATTFALIRSLPSLIHDGSLDSDGVRLTTKKTIQISPSMAEMNTGDFFWLNLGDKINGKTMFKYIIHCCQSLNLTEWWLCNTTHELEPGALSFVPNILPIGPLLRSYDTKIGTTRAIGQYWEEDLSSMSWLDEQHHGTVLYVAFGSFTLFDQNQFNELALGLVLTNRPFLWVIREDNKMEYPHEFKGHNGKVVSWAPQQKVLSHPAIACFVSHCGWNSTIEGLSNGVPFLCWPYFGDQIQNRKYICDELEVGLGFDKDKNGVVSCKEVKLKVEQLLGDENVKSRSLELKEKLMNNIVKGGASSENLNRFVKWLKE >Vigun03g270800.1.v1.2 pep primary_assembly:ASM411807v1:3:44478161:44479530:-1 gene:Vigun03g270800.v1.2 transcript:Vigun03g270800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQEYVDLKSDNVVDNVIDSDLNELKLAAKKFIHYAALVGGKALGDSFFKWLASFAAIYLLILDSTNWRTNILTALLVPYIFFSFPESLFSFLRGNVGKWIAFVAVVLRLFFPRHFPDWLEIPGSMILILIAAPDIFAKKLRNNWIGLAIDLFIGCYLLQEHIRASGGFRNSFTQKHGISNTIGILLLIVYPICAFILH >Vigun08g055400.8.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854614:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDPKSLVALMKSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.9.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854614:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDPKSLVALMKSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.6.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGCFQAPFVRCFVKKPSCSVFCGAWFRVLVLSFMDRLKLKCKGIFCSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRIRKWLTFLLIFGSKRVCMTDQTKL >Vigun08g055400.15.v1.2 pep primary_assembly:ASM411807v1:8:6851266:6852361:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.13.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854741:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.11.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854741:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.1.v1.2 pep primary_assembly:ASM411807v1:8:6850767:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGCFQAPFVRCFVKKPSCSVFCGAWFRVLVLSFMDRLKLKCKGIFCSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.10.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRYVPPLVFGVHGSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRIRKWLTFLLIFGSKRVCMTDQTKL >Vigun08g055400.3.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854365:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.5.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGCFQAPFVRCFVKKPSCSVFCGAWFRVLVLSFMDRLKLKCKGIFCSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRIRKWLTFLLIFGSKRVCMTDQTKL >Vigun08g055400.16.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854614:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRIRKWLTFLLIFGSKRVCMTDQTKL >Vigun08g055400.14.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854614:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.2.v1.2 pep primary_assembly:ASM411807v1:8:6850767:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDPKSLVALMKSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.12.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854741:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.4.v1.2 pep primary_assembly:ASM411807v1:8:6850916:6854599:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGCFQAPFVRCFVKKPSCSVFCGAWFRVLVLSFMDRLKLKCKGIFCSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun08g055400.7.v1.2 pep primary_assembly:ASM411807v1:8:6850915:6854740:-1 gene:Vigun08g055400.v1.2 transcript:Vigun08g055400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRYVPPLVFGVHGSIDCFGCCKRTPEIISMDEASKGLRAQEQTGTRMERSDGFWSSSTFELDPSEAHSQRSISSIGISNNPSDPQSSGGSQTGPPEFVNHGLLLWNQIRQQWVGKKGSESITEIQEPRISSNATYDTLLGSNKPFPQRIPLREMVDFLVDIWEQEGLYD >Vigun02g036100.2.v1.2 pep primary_assembly:ASM411807v1:2:14925389:14930007:-1 gene:Vigun02g036100.v1.2 transcript:Vigun02g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMQGFKTAPPSSQLYCHSSFLLRGNDGNRNPTTGFCDLGDLHHSSAVFHQDGSMFSVKSSNVVGGSNMQYGTLSTNVGSSEIGSGGGGCMDTGLQLMYHKGVAMQTLPLGNGHVDNWADSGIADNSQQTDDTSTDIDTDDRIQCNRGKNGSRMVMQSKDQTKIKPEDQKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLVQLEQELQRARQQGIFIATEGNQGHLAVGNGALAFDLEYAHWVEEHQRLLNDLRLAVNSQMSDDELHILVEGVRAHYNELFRLKRIGAKADIFHILSGMWKTPVETRFMWLGGFRSSELLKIIKNHLEPLTEQQLLGICNLQQSSLQSEDALDKGMEALHQYLVETLSSTSLGPNGNGNVADYMDQMALAMAKLGELEGLLQQADLLKQHYLQQLQRILTVRQTARALLVQNDFISRLRALSSLWLARPKE >Vigun02g036100.1.v1.2 pep primary_assembly:ASM411807v1:2:14925389:14930007:-1 gene:Vigun02g036100.v1.2 transcript:Vigun02g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMQGFKTAPPSSQLYCHSSFLLRGNDGNRNPTTGFCDLGDLHHSSAVFHQDGVDLGSSSMFSVKSSNVVGGSNMQYGTLSTNVGSSEIGSGGGGCMDTGLQLMYHKGVAMQTLPLGNGHVDNWADSGIADNSQQTDDTSTDIDTDDRIQCNRGKNGSRMVMQSKDQTKIKPEDQKTLRRLAQNREAAKKSRLRKKAYVQQLENSRVKLVQLEQELQRARQQGIFIATEGNQGHLAVGNGALAFDLEYAHWVEEHQRLLNDLRLAVNSQMSDDELHILVEGVRAHYNELFRLKRIGAKADIFHILSGMWKTPVETRFMWLGGFRSSELLKIIKNHLEPLTEQQLLGICNLQQSSLQSEDALDKGMEALHQYLVETLSSTSLGPNGNGNVADYMDQMALAMAKLGELEGLLQQADLLKQHYLQQLQRILTVRQTARALLVQNDFISRLRALSSLWLARPKE >Vigun11g033100.1.v1.2 pep primary_assembly:ASM411807v1:11:4362213:4367408:-1 gene:Vigun11g033100.v1.2 transcript:Vigun11g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAMQRGVCFEVTYSGLFADIQKRRQLISGAKLLVDWTRRHNIVFSSAAPTVNELRGPCDVANLLSLFGLSKERANAAISKNCRILLGNSLRKKRFYKDAIRVEVLSTDANHSKEDRYQELLQWNPISSGEGDILLNDVHKSSLVSCKASKTEKAIDSTSVVNSLPSNGFEIKNMLPANNVLPACLYNKINSPPVTKNLDQSATIPNSFTEQSNILDICREQDENTLSDDIANGYSLNRDDIIEKTMHNGISNVNFKEINIPTALDSTFPDDKINFLSVAKKLNQSTPMPNNLLVQPDKLDVCPEQGEISQSQKQNDDCLEKNIHGGTTKAFNSMEMDISTNATKLKQRSSTDSNVDLIPFEAKAFDSESNLSISSNTLNPLKLHENDELSGSSQEAHDIVHEVEIFDTIIPAPIHDKHYNDKSSDINLNEAGKIHAALPNEDLKTSISDTSMEGKQFVKRHEAVEHEKQQLESYDEMEMEDNSKAASHLSPDVAMKDKELGEVITESDQLAPVHRVSGALKLKRRTLRGLPVFPFKRLLNPTAFKRKVKKKQEQLS >VigunL059035.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000262.1:4003:4101:1 gene:VigunL059035.v1.2 transcript:VigunL059035.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELQNPVNHRVFERKLRLKPLG >Vigun08g107050.1.v1.2 pep primary_assembly:ASM411807v1:8:26644260:26647029:1 gene:Vigun08g107050.v1.2 transcript:Vigun08g107050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTEVGHIPKCYVMDMFKDFIPMYVFLDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMLGMISFSTSGPLDPLFWHNVSSSLVYNLLIKSHLALPLPLLPSFSIDNEINEIYSVEKVEKKKTPTKASDTKRTRRDRGEMEEIVLRNLIQETDQPEVYILKDLCVYNNKGTNQGTYELKPEYRKFRD >Vigun11g072600.1.v1.2 pep primary_assembly:ASM411807v1:11:20690657:20698654:-1 gene:Vigun11g072600.v1.2 transcript:Vigun11g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYQESPSTSVGPPQSKARHDPQGGANFLEDESTKIFARKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIKDCRTRYNGDADHHQRRKKFTFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIVKKLREAEGLTFGNSVYWVRFDEEFSDKKFKSSSPFGIKYTFHLEDAVDCPEWIVPFHIFKSLAEEYDFELVFAKNSHEFVHEYMKKPEFVELMRRLGALGDGNQDNSTLSADEWEAAYLYMSFVLRKRGQPDKSQQGSRRDRGSMQIAEEDIMYITDY >Vigun11g072600.2.v1.2 pep primary_assembly:ASM411807v1:11:20692964:20698654:-1 gene:Vigun11g072600.v1.2 transcript:Vigun11g072600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYQESPSTSVGPPQSKARHDPQGGANFLEDESTKIFARKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIKDCRTRYNGDADHHQRRKKFTFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIVKKLREAEGLTFGNSVYWVRFDEEFSDKKFKSSSPFGIKYTFHLEFPCQITMRYMTLCMHKWRTFV >Vigun07g122900.1.v1.2 pep primary_assembly:ASM411807v1:7:22753485:22756695:1 gene:Vigun07g122900.v1.2 transcript:Vigun07g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLSRAAKKIEPSSIQGLSHLAQRCNAINLAEGFPDFPAPSHIKNAAISAINSDFNQYRSVQGVCDYLAKVMKETHGLDIDPLTDVAICCGQSEAFAAAIFATIDPGDEVIIFDPSYESYEGCVAMAGGVAIHVPLDPPHWTLDSSKLLRSFTERTKVVVLNSPHNPTGKVFTKGELEIIAGECCSRNCLAITDEVYEHVTYDNLKHISLVSFPGMQERTIITSSLSKSFSVTGWRIGWAIAPTFLASAIKNIHVRLTDCAPAPFQEAALIALRSPPEYFESLRSDYQSKRDYIVNLLGGVGFKIEFIPQGSFFLFAELPENCPLSDEEFVKKLILQAGVVAVPGRGFFHTNVSSDEVSNLPYSYQKRYIRFAFCKSDATLTMAAEKLGKLLDAKGHLVLY >Vigun04g163500.1.v1.2 pep primary_assembly:ASM411807v1:4:38734636:38734971:-1 gene:Vigun04g163500.v1.2 transcript:Vigun04g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSVSSHGVCEGDSISHLYAIAVRKQSRDGLKLLRTEGENFGDAQSSRVEVKKLFAFPHLLILPIQSLDYII >Vigun07g052600.1.v1.2 pep primary_assembly:ASM411807v1:7:5535270:5538632:-1 gene:Vigun07g052600.v1.2 transcript:Vigun07g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQEMVVYCFDTLVAHYNNQEAPPPAFDQAQHPLFVTWKKVVNGGDPRLRGCIGTLEARSLINGIKDYALTSALRDRRFPPIQANELPFLECTVSILTDYETANNYLDWEIEKHGIIIEFSDPVYSTRRSATYLPEVAANEGWTKTEAIDSLIRKSGYNGPITDELRMQLQLTRYQSTLFTMHYSEYVSYVKERRGEAPILAAKSPHY >Vigun08g012400.1.v1.2 pep primary_assembly:ASM411807v1:8:1085730:1089812:1 gene:Vigun08g012400.v1.2 transcript:Vigun08g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLSIPIQRCHAHNHVGISFGSISPKLSEKRSMFIMGMGFVGQSLARKLQNQGWVVSGTCTTHVKKQQLQNMGFHVHLFDANHPDLDILRLLRNYTHILVSVPPLVGIGDPMLQHEELFRRSLANSDVQWLSYLSSTSVYGDCGGELVDEDYPTNPESELAKLRLSSEEGWSNLSYDLGISPLLFRLGGIYGPGRSAIDTIMKQKPMSEGQKRRKHRKYTSRVHVDDICQALMATVYVPPPREVYNIVDDDPAPREEVFEYAMKLVEEKWPELKLQSVEQRQKEWSNVNPKGDKRVCNARMKRELGLQLLFPDYKSGLKSIIHQIQSPFHCH >Vigun10g191350.2.v1.2 pep primary_assembly:ASM411807v1:10:40556995:40562461:1 gene:Vigun10g191350.v1.2 transcript:Vigun10g191350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIRIINTAIESSSDSISEKEECLVRGFGSAVDSAVRSSTAPNSEVSSVHGFGRAVENGIKSSTSSNLEAPESADSFGWTVENATRSRVAPNPPPLYGYSSTVDHAISSDVGVEAETEESPSGTFYEGFTDARRGKEQPTLRVFSLEELKSATKNFKHGNILGEGGFGSVYWGQLSDGSQIAVKRLNVRSNITETFTVELEILARVRHKNLLSLRGYCAEGQERLIVYEYMQNLCLHSSLHGHSLKCPLDWNHRMNIAIGSAEGIAYLHHQATPHIIHRDIKSSNVLLDSDFRPRVADFGFAKLIPDGATHVTTKVMGTRGYLAPEYAMLGQAKASCDVYSFGVLLLELVSGRGPIKMLSFAVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEEELKRVVLIALISAQDLPEKRPTMLDVVELLKGESKDKFSHIENSEMFRKTLAADGTSVAEDSLDYISEEKKLERPLRKNASCFFCSCCNFQVNTRSRFLDWLGYVCFMCN >Vigun10g191350.1.v1.2 pep primary_assembly:ASM411807v1:10:40556995:40562462:1 gene:Vigun10g191350.v1.2 transcript:Vigun10g191350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIRIINTAIESSSDSISEKEECLVRGFGSAVDSAVRSSTAPNSEVSSVHGFGRAVENGIKSSTSSNLEAPESADSFGWTVENATRSRVAPNPPPLYGYSSTVDHAISSDVGVEAETEESPSGTFYEGFTDARRGKEQPTLRVFSLEELKSATKNFKHGNILGEGGFGSVYWGQLSDGSQIAVKRLNVRSNITETFTVELEILARVRHKNLLSLRGYCAEGQERLIVYEYMQNLCLHSSLHGHSLKCPLDWNHRMNIAIGSAEGIAYLHHQATPHIIHRDIKSSNVLLDSDFRPRVADFGFAKLIPDGATHVTTKVMGTRGYLAPEYAMLGQAKASCDVYSFGVLLLELVSGRGPIKMLSFAVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEEELKRVVLIALISAQDLPEKRPTMLDVVELLKGESKDKFSHIENSEMFRKTLAADGTSVAEDSLDYISEEKKLERPLRKNASCFFCSCCNFQVNTRSRFLDWLGYVCFMCN >Vigun02g134400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28454565:28456716:1 gene:Vigun02g134400.v1.2 transcript:Vigun02g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSKDKRVTEITTKSPLLHPILHFQASTIPLLHYSHHRAQQGHTATPSGSPHQAMRVPVPSSPQANPKPTNNLLRTIALPNLNTTGLCYEPTSVLDLCRSPSPGSEKPTDHAVVVTDSQDCLDLDDHALHNLDWDSIMKDLGLHDDSGTPLLKTIPHPDNNNNNPCDDFTIAPFDHALEFSTLSDIYSSQNLAFDFNHLVHDFSNHHHNNGFDFIEELIRAADCFDTKQLHVAQVILERLNQRLRSPVGKPLQRAAFYFKEALQSLLSGSNRTPRISSLVEIVHGIRTFKAFSGISPIPMFSIFTTNQVVLDHAACSFMHVIDFDIGLGIQYASLMKEIAEKATESPSLRITAVVPEEYAAESTLVRENLAQFALELRIRVQVDFVPLRTFETLSFKAVKLVDGESTTVLLSPVIFRHLENSAGFLANLRVVSPSVVVLVDGEGWADMATAAASSFRRGVVSSLEYYSMMLESLDVSTAAGGGEWVRRIEMMQLRPKILAAVESSWRRVPPWREAFYGAGLRPVQLSQFADFQAECLLAKSQIRGFHVQKRQNELTLFWHDRAMVSSSAWRF >Vigun09g123400.4.v1.2 pep primary_assembly:ASM411807v1:9:27483500:27505623:-1 gene:Vigun09g123400.v1.2 transcript:Vigun09g123400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLNRGEVDEPREIASRPNLTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHSGVRDYLCNRMYTLPLHGIESYLFQVCYMMIHKPSPSLDKFVIDVCCKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSAPTTPGGKSQVLNKIFSSKQRLLSLTSSPLPQRSMSFSPSSGNNLQEDGSPQSTEENKLFKKFMPGPKVRDALLFRKSAEKDDDESEKDGFFKRLLRDSKGEDELGQKIREAFVFRKSSEKCDEDSEKDNFFKRFLRDGRGDDEESERDGFFKRLLRDSKGEDEDLPSSSDGFFKRLFRDSKNDSEDRTPNKTMEDEEKEGFFRKFFREKSEDKKDGNDEGDIANSEEKCAKPAEEDEKEGFFRKFFKDKFEDKKDTSDKIEDGTTNVEEEPSEFSLFKRIFRVHPEDSKSSSASENNGGLYESSPGTENFFRKLFRDRDRSIEDSELLGSKRQKEKHPGSPKQQNEKSGTKPPLPINPSQFRKGAYHESLDFVLTLCETSFGLVDVFPVEDRKDALHESLAEINLHLIEAHNIGGVCFPLGKGMYRVLHIPEDEAIILNSREKAPYMICVEVLRCEMPSNSKETSSSQKLSKGGIPLANGDAFFQKPPPWAYPLWTAQEAYRNSNDRMSRSTAEAIDQAMTHVADAKVKFVSVNLSVKAPFRCRSENTVADLCYGITHPSIYRDGIQEMARSGHDSDMEWVQVVLKADPGVKMEDIEDQTPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAPPRANGIIPKASDALSGELWEVKKERIRKASVHGNLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNISSLREFFIAKYQDDSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQAVL >Vigun09g123400.2.v1.2 pep primary_assembly:ASM411807v1:9:27483500:27505623:-1 gene:Vigun09g123400.v1.2 transcript:Vigun09g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLNRGEVDEPREIASRPNLTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHSGVRDYLCNRMYTLPLHGIESYLFQVCYMMIHKPSPSLDKFVIDVCCKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSAPTTPGGKSQVLNKIFSSKQRLLSLTSSPLPQRSMSFSPSSGNNLQEDGSPQSTEENKLFKKFMPGPKVRDALLFRKSAEKDDDESEKDGFFKRLLRDSKGEDELGQKIREAFVFRKSSEKCDEDSEKDNFFKRFLRDGRGDDEESERDGFFKRLLRDSKGEDEDLPSSSDGFFKRLFRDSKNDSEDRTPNKTMEDEEKEGFFRKFFREKSEDKKDGNDEGDIANSEEKCAKPAEEDEKEGFFRKFFKDKFEDKKDTSDKIEDGTTNVEEEPSEFSLFKRIFRVHPEDSKSSSASENNGGLYESSPGTENFFRKLFRDRDRSIEDSELLGSKRQKEKHPGSPKQQNEKSGTKPPLPINPSQFRKGAYHESLDFVLTLCETSFGLVDVFPVEDRKDALHESLAEINLHLIEAHNIGGVCFPLGKGMYRVLHIPEDEAIILNSREKAPYMICVEVLRCEMPSNSKETSSSQKLSKGGIPLANGDAFFQKPPPWAYPLWTAQEAYRNSNDRMSRSTAEAIDQAMTHVADAKVKFVSVNLSVKAPFRCRSENTVADLCYGITHPSIYRDGIQEMARSGHDSDMEWVQVVLKADPGVKMEDIEDQTPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAPPRANGIIPKASDALSGELWEVKKERIRKASVHGNLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNISSLREFFIAKYQDDSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSDFPCFKGGPRTIQNLRKRFHLNLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Vigun09g123400.5.v1.2 pep primary_assembly:ASM411807v1:9:27487276:27505623:-1 gene:Vigun09g123400.v1.2 transcript:Vigun09g123400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLNRGEVDEPREIASRPNLTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHSGVRDYLCNRMYTLPLHGIESYLFQVCYMMIHKPSPSLDKFVIDVCCKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSAPTTPGGKSQVLNKIFSSKQRLLSLTSSPLPQRSMSFSPSSGNNLQEDGSPQSTEENKLFKKFMPGPKVRDALLFRKSAEKDDDESEKDGFFKRLLRDSKGEDELGQKIREAFVFRKSSEKCDEDSEKDNFFKRFLRDGRGDDEESERDGFFKRLLRDSKGEDEDLPSSSDGFFKRLFRDSKNDSEDRTPNKTMEDEEKEGFFRKFFREKSEDKKDGNDEGDIANSEEKCAKPAEEDEKEGFFRKFFKDKFEDKKDTSDKIEDGTTNVEEEPSEFSLFKRIFRVHPEDSKSSSASENNGGLYESSPGTENFFRKLFRDRDRSIEDSELLGSKRQKEKHPGSPKQQNEKSGTKPPLPINPSQFRKGAYHESLDFVLTLCETSFGLVDVFPVEDRKDALHESLAEINLHLIEAHNIGGVCFPLGKGMYRVLHIPEDEAIILNSREKAPYMICVEVLRCEMPSNSKETSSSQKLSKGGIPLANGDAFFQKPPPWAYPLWTAQEAYRNSNDRMSRSTAEAIDQAMTHVADAKVKFVSVNLSVKAPFRCRSENTVADLCYGITHPSIYRDGIQEMARSGHDSDMEWVQVVLKADPGVKMEDIEDQTPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAPPRANGIIPKASDALSGELWEVKKERIRKASVHGNLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNISSLREFFIAKYQDDSPSFKLAQVILSWMFYSKFSFSTFKHSLEKCIPPSYVTSAAPISHFFLRHNCLERFLAGF >Vigun09g123400.3.v1.2 pep primary_assembly:ASM411807v1:9:27483500:27505623:-1 gene:Vigun09g123400.v1.2 transcript:Vigun09g123400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLNRGEVDEPREIASRPNLTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHSGVRDYLCNRMYTLPLHGIESYLFQVCYMMIHKPSPSLDKFVIDVCCKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSAPTTPGGKSQVLNKIFSSKQRLLSLTSSPLPQRSMSFSPSSGNNLQEDGSPQSTEENKLFKKFMPGPKVRDALLFRKSAEKDDDESEKDGFFKRLLRDSKGEDELGQKIREAFVFRKSSEKCDEDSEKDNFFKRFLRDGRGDDEESERDGFFKRLLRDSKGEDEDLPSSSDGFFKRLFRDSKNDSEDRTPNKTMEDEEKEGFFRKFFREKSEDKKDGNDEGDIANSEEKCAKPAEEDEKEGFFRKFFKDKFEDKKDTSDKIEDGTTNVEEEPSEFSLFKRIFRVHPEDSKSSSASENNGGLYESSPGTENFFRKLFRDRDRSIEDSELLGSKRQKEKHPGSPKQQNEKSGTKPPLPINPSQFRKGAYHESLDFVLTLCETSFGLVDVFPVEDRKDALHESLAEINLHLIEAHNIGGVCFPLGKGMYRVLHIPEDEAIILNSREKAPYMICVEVLRCEMPSNSKETSSSQKLSKGGIPLANGDAFFQKPPPWAYPLWTAQEAYRNSNDRMSRSTAEAIDQAMTHVADAKVKFVSVNLSVKAPFRCRSENTVADLCYGITHPSIYRDGIQEMARSGHDSDMEWVQVVLKADPGVKMEDIEDQTPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAPPRANGIIPKASDALSGELWEVKKERIRKASVHGNLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNISSLREFFIAKYQDDSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSDFPCFKGGPRTIQNLRKRFHLNLTEEVLILILVTL >Vigun09g123400.1.v1.2 pep primary_assembly:ASM411807v1:9:27483500:27505623:-1 gene:Vigun09g123400.v1.2 transcript:Vigun09g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLNRGEVDEPREIASRPNLTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHSGVRDYLCNRMYTLPLHGIESYLFQVCYMMIHKPSPSLDKFVIDVCCKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSAPTTPGGKSQVLNKIFSSKQRLLSLTSSPLPQRSMSFSPSSGNNLQEDGSPQSTEENKLFKKFMPGPKVRDALLFRKSAEKDDDESEKDGFFKRLLRDSKGEDELGQKIREAFVFRKSSEKCDEDSEKDNFFKRFLRDGRGDDEESERDGFFKRLLRDSKGEDEDLPSSSDGFFKRLFRDSKNDSEDRTPNKTMEDEEKEGFFRKFFREKSEDKKDGNDEGDIANSEEKCAKPAEEDEKEGFFRKFFKDKFEDKKDTSDKIEDGTTNVEEEPSEFSLFKRIFRVHPEDSKSSSASENNGGLYESSPGTENFFRKLFRDRDRSIEDSELLGSKRQKEKHPGSPKQQNEKSGTKPPLPINPSQFRKGAYHESLDFVLTLCETSFGLVDVFPVEDRKDALHESLAEINLHLIEAHNIGGVCFPLGKGMYRVLHIPEDEAIILNSREKAPYMICVEVLRCEMPSNSKETSSSQKLSKGGIPLANGDAFFQKPPPWAYPLWTAQEAYRNSNDRMSRSTAEAIDQAMTHVADAKVKFVSVNLSVKAPFRCRSENTVADLCYGITHPSIYRDGIQEMARSGHDSDMEWVQVVLKADPGVKMEDIEDQTPRRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAPPRANGIIPKASDALSGELWEVKKERIRKASVHGNLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNISSLREFFIAKYQDDSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSDFPCFKGGPRTIQNLRKRFHLNLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Vigun03g384000.2.v1.2 pep primary_assembly:ASM411807v1:3:58882193:58883585:1 gene:Vigun03g384000.v1.2 transcript:Vigun03g384000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATFSAQVAGAGFVGLKSNSSSLCQISGSITWKRKIASNSSKTYCMKTWNPINNKKFETLSYLPPLSDESIAKEIDYMIKKGWIPCLEFDELGCIRRENSHMPGYYDGRYWTLWKLPMFGCSESSQVLNEIHECRKAYPNAYIRCLAFDNKRHMQSMAFIIHTPSTT >Vigun03g384000.1.v1.2 pep primary_assembly:ASM411807v1:3:58882193:58883585:1 gene:Vigun03g384000.v1.2 transcript:Vigun03g384000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATFSAQVAGAGFVGLKSNSSSLCQISGSITWKRKIASNSSKTYCMKNLFQTWNPINNKKFETLSYLPPLSDESIAKEIDYMIKKGWIPCLEFDELGCIRRENSHMPGYYDGRYWTLWKLPMFGCSESSQVLNEIHECRKAYPNAYIRCLAFDNKRHMQSMAFIIHTPSTT >Vigun03g104300.5.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055266:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEMPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.8.v1.2 pep primary_assembly:ASM411807v1:3:9052342:9055246:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.6.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055266:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.7.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055246:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.1.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055246:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSENDEMPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.2.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055266:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEMPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.4.v1.2 pep primary_assembly:ASM411807v1:3:9053649:9054879:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEMPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun03g104300.3.v1.2 pep primary_assembly:ASM411807v1:3:9051785:9055265:1 gene:Vigun03g104300.v1.2 transcript:Vigun03g104300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPFGLGFLNTRLRDAESLPAAPVEPHSMHIPSTSFSSFSSSNLDTESTASFFQDNSVSLGHLIGLRAGEKGRLYFPNSLRIEEKEEKTLTKGSTSDDTSEVKEEEMSRGICIPILLEALFKISKSKKSSSN >Vigun11g008501.1.v1.2 pep primary_assembly:ASM411807v1:11:948595:949970:1 gene:Vigun11g008501.v1.2 transcript:Vigun11g008501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKSMDVGVNQMKDSISFTEKTLRYHQTQYGVKTII >Vigun07g226500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34871519:34872602:1 gene:Vigun07g226500.v1.2 transcript:Vigun07g226500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDKNNPFIIPLLAFVTMLCMFPGKVKSAESLSFSFSKFGSDQKDLIFQGDAISTNNVLQLTKLNSAGNPVGVSVGRVLYSAPLRLWGDSAVLSSFDTTATFQISTPYVSYADGIAFFLAPHDTVIPPNSNGRLLGLFSNNINAFKNSSTSVNKTTVDFKAATNNVVAVEFDTYPNVDFGDPPYIHVGIDVNSIISKATARWNWQNGKTATVHITYNSASKRLTASIYYPGGTPVTVSYDVQLQTVLPQWVRVGWSASTGAEAQRNTLLSWSFSSSLKNNDVKEEKEDTFITTVV >Vigun04g021201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1607996:1608406:-1 gene:Vigun04g021201.v1.2 transcript:Vigun04g021201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKRKNKRVTRVEEEGERVKKKRLKGEKEGEEDGGGGGGDGDGIPTEEEVEEFFAILRRMRVAVKYFDDKGRGGREWREALETAELAVDLSEEADGRQEGDDGNPEKKRGEVANVIEGFDLNAAAPEAAEGGGA >VigunL014500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:252096:253030:-1 gene:VigunL014500.v1.2 transcript:VigunL014500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFESLHDFILIFLGSGLILGSLGVVFFTNSIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSNYYQNFRVWTIGGGITLMVCTSIFLSQITTILETSWHEIIWTTRPNQILEQDLINTSQQIGIHLLTDFFLPFDLISIILLVALIGAIFVARQSWAMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVIAVIAVNINLIFL >Vigun09g178400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35079095:35080130:-1 gene:Vigun09g178400.v1.2 transcript:Vigun09g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRPPPKDKDIIGRFMPHARCKKHPKHRQSPGVCSLCLRDKLSQLSSDSPSSSSSRKTNSISSSPSSSVSSSVSSYSSSCASPAALPFAFSNEPRTASIFLFTAKHAGFLKSKSMSVLSTRRRRRRNEDEGDDGENNKSGKKSGFWSKLLHPKSKRIEEMKGTKLVHTTSLIQTLA >Vigun06g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19071794:19072808:1 gene:Vigun06g062500.v1.2 transcript:Vigun06g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVKSLKIKSLIQSKKLHDCVIKLIQLLVSLSVFSFFISPSSLLAFLYRFNLYFSTFSLQLFTHTIDKNCMFLLCNGLLVFVGITKSLSGSTSVSDKYLEGGSSRSSYSDVEANEPIMLVEKTHHELEGQKKVEAEHAIEIKFSVEEGEQNMVLEGEEEGKKNSESALREEEEANAESGGEDEEKESEIGESVEEEEVVEEEVNWVLSTEELNKKFDDFIRKMKEDLRIEAQRQLLMV >Vigun09g061400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6381511:6382710:1 gene:Vigun09g061400.v1.2 transcript:Vigun09g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMARSSQEMSSKRHFHWTNKVGTEDGEAPTSESFSTLVQEEKKEDDKVFVAPETQPPAAATAHVTRKKLQAVAVSRIRSVLTVFNKNRLNSSFGLGSRVVGTLFGYRRGHVHFAFQKDPTSQPAFLIELATPISGLVREMASGLVRIALECDKEKRAEKKSLKLLEEPVWRTYCNGKKCGFATRRECGPKDWDILKAVEPISMGAGVLPGNNNNGAEAGSESEIMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSVYLLRV >Vigun03g314000.2.v1.2 pep primary_assembly:ASM411807v1:3:50702293:50707831:-1 gene:Vigun03g314000.v1.2 transcript:Vigun03g314000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVIDVVSGAHFSGWQPSTTSSCSPTSSHQPFIIGVSGGTASGKTTVCDIIVQQLQDHRVVLLSQDSFYRGLTNEELKRVHEYNFDHPNAFDTDQLVETLGQLKSGQSVQIPIYDFKLHQRSNDRSRQVNGSDVIIMEGILVFHEQRVRNMMNMKIFVDADVRLARRIKRDTVERGRDVQSVLEQYAKFVKPAYEDFILPSKKYADIIIPRGGENGVAIDLIVQHIRTKLGQHNLCKIYPNLNVIQSTFQTRGMHTLIRDKDISKHDFIFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSIYTGVDFCKKLCGVSIIRSGESMENAFRACCNGIKIGKILIHRDGDETQLIYEKLPKDISERHVLLMDPVLGTGNTASEAIELLIKKGVPESQIIFLNLISAPEGIHCVCKRFPHLKIVTSEIEKGLNDQFQVIPGLGEFGDRYFGTDDS >Vigun03g314000.1.v1.2 pep primary_assembly:ASM411807v1:3:50702293:50707831:-1 gene:Vigun03g314000.v1.2 transcript:Vigun03g314000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVIDVVSGAHFSGWQPSTTSSCSPTSSHQPFIIGVSGGTASGKTTVCDIIVQQLQDHRVVLLSQDSFYRGLTNEELKRVHEYNFDHPNAFDTDQLVETLGQLKSGQSVQIPIYDFKLHQRSNDRSRQVNGSDVIIMEGILVFHEQRVRNMMNMKIFVDADPDVRLARRIKRDTVERGRDVQSVLEQYAKFVKPAYEDFILPSKKYADIIIPRGGENGVAIDLIVQHIRTKLGQHNLCKIYPNLNVIQSTFQTRGMHTLIRDKDISKHDFIFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSIYTGVDFCKKLCGVSIIRSGESMENAFRACCNGIKIGKILIHRDGDETQLIYEKLPKDISERHVLLMDPVLGTGNTASEAIELLIKKGVPESQIIFLNLISAPEGIHCVCKRFPHLKIVTSEIEKGLNDQFQVIPGLGEFGDRYFGTDDS >Vigun06g034700.1.v1.2 pep primary_assembly:ASM411807v1:6:14639674:14643700:1 gene:Vigun06g034700.v1.2 transcript:Vigun06g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDKHEDEESLSIGLKVMLAAAIFLFCMISIIIIFHFSMKYFIRRQRRRRQNELLHQITTQIAPIDVSFVEARNPGLQTSIIASLPKLLYNQTEQFKQGEVTECSVCLATVTKDAIIRVLPNCKHIFHVDCVDKWFNCNSTCPICYNVVDPKVASEEEDGDLGTRIHQVQPTAPPVVGGGEVQDGTEMEKVGCSGLRIGFFHRMVSNRERSGRNHSCDESTIDIERH >Vigun08g128850.1.v1.2 pep primary_assembly:ASM411807v1:8:29934174:29934938:1 gene:Vigun08g128850.v1.2 transcript:Vigun08g128850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLSSVAMIQKNPKIHAISLICVTLFHLFTTNIVVGSVQVFSINYVYACCSSAGFGSWNMVAESMGESVKLRRDSTLILILMFIAVYIWRYLF >Vigun01g063984.3.v1.2 pep primary_assembly:ASM411807v1:1:15410706:15414517:1 gene:Vigun01g063984.v1.2 transcript:Vigun01g063984.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMGSLAKPLSSFDWKIGGVEIFIIFLFFIFTLKPKTTLTFPLISDLSISRTSHLFVLTFFTAISAIKFFDQDKATVLSFYHLSISTCLASRFLHSDFTSQFLTAISAISIIKFSHQDEATFRSRPPEDEENINQEIFYIGTCSYTCSSLSISSTW >Vigun01g063984.1.v1.2 pep primary_assembly:ASM411807v1:1:15410706:15414517:1 gene:Vigun01g063984.v1.2 transcript:Vigun01g063984.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMGSLAKPLSSFDWKIGGVEIFIIFLFFIFTLKPKTTLTFPLISDLSISRTSHLFVLTFFTAISAIKFFDQDKATVLSFYHLSISTCLASRFLHSDFTSQFLTAISAISIIKFSHQDEATFRSSYRSLTVGKYLGVTPFKITHSALQKRPPEDEENINQEIFYIGTCSYTCSSLSISSTW >Vigun01g063984.2.v1.2 pep primary_assembly:ASM411807v1:1:15410706:15413329:1 gene:Vigun01g063984.v1.2 transcript:Vigun01g063984.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMGSLAKPLSSFDWKIGGVEIFIIFLFFIFTLKPKTTLTFPLISDLSISRTSHLFVLTFFTAISAIKFFDQDKATVLSFYHLSISTCLASRFLHSDFTSQFLTAISAISIIKFSHQDEATFRSSYRSLTVGKYLGVTPFKITHSALQKRVNANQDAALYVLKIFEI >Vigun02g010900.2.v1.2 pep primary_assembly:ASM411807v1:2:4261150:4267194:1 gene:Vigun02g010900.v1.2 transcript:Vigun02g010900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRQKTESKAGSYIWLTYQEVYDAALRMGSAMRSRGLNPGDRCGIYGSNCPEWIIAMEACNSCAVSYVPLYDTLGPNAVEFIINHAEVSIAFVQEKKIPSILSCLAQCSSNLKTIVSFGSVSTTQKKEAEEHGVSCFSWDEFLQLGCLDWDLPSKKKTDICTIMYTSGTTGDPKGVVIKNEAFMAEVLSVDDILMLTDRVAAEDDVYFSFLPLAHVYDQIMETYCISKGSSIGFWQGDVRFLLEDVQALQPTMFCGVPRVYDRICAGIKSRLSSAGVLRSTLFQCAYNYKLKYLEKGLPQHKAAPLFDKLVFDKTKQALGGRVRILLSGAAPLPRHVEEFMRVTSGSTLSQGYGLTESCAGCFTAIGDVYSMTGTVGVPMTTTEARLESVPEMEYDALSNVPRGEICLRGNTLFSGYHKREDLTKEVMVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYIAVENIENKYLQCPLIASIWVYGNSFESFLVAVVVPERKAIEDWAILHNVSDDFKSLCDNLKARKYILDELNSTGQKLQLRGFELLKAIHLEPNPFDVERDLITPTFKLKRPQLLKYYKDHIDQLYKEAKGAMQ >Vigun02g010900.1.v1.2 pep primary_assembly:ASM411807v1:2:4260524:4267193:1 gene:Vigun02g010900.v1.2 transcript:Vigun02g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETFTVKVEEGRPATDGNPSAGPVYRSIYAKDGLLEVPSDLESPWDFFRNSVKRNPNSKMLGRRQKTESKAGSYIWLTYQEVYDAALRMGSAMRSRGLNPGDRCGIYGSNCPEWIIAMEACNSCAVSYVPLYDTLGPNAVEFIINHAEVSIAFVQEKKIPSILSCLAQCSSNLKTIVSFGSVSTTQKKEAEEHGVSCFSWDEFLQLGCLDWDLPSKKKTDICTIMYTSGTTGDPKGVVIKNEAFMAEVLSVDDILMLTDRVAAEDDVYFSFLPLAHVYDQIMETYCISKGSSIGFWQGDVRFLLEDVQALQPTMFCGVPRVYDRICAGIKSRLSSAGVLRSTLFQCAYNYKLKYLEKGLPQHKAAPLFDKLVFDKTKQALGGRVRILLSGAAPLPRHVEEFMRVTSGSTLSQGYGLTESCAGCFTAIGDVYSMTGTVGVPMTTTEARLESVPEMEYDALSNVPRGEICLRGNTLFSGYHKREDLTKEVMVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYIAVENIENKYLQCPLIASIWVYGNSFESFLVAVVVPERKAIEDWAILHNVSDDFKSLCDNLKARKYILDELNSTGQKLQLRGFELLKAIHLEPNPFDVERDLITPTFKLKRPQLLKYYKDHIDQLYKEAKGAMQ >Vigun06g130300.1.v1.2 pep primary_assembly:ASM411807v1:6:25654027:25655635:-1 gene:Vigun06g130300.v1.2 transcript:Vigun06g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPSSSSFLLSAILIFCISHSALATNHAETIADSPMLTQKLGINRTIKVDINGNGDFTSVQAAIDSIPEDNSQWVIVHIRKGVYREKVRIRSNKPYIFLRGNGRGRTGITWSQSSTDNVASATVRIEAHDVIVFGISFQNEAPTGRAYTSQNQSVAVYVAAEKVAFYHCSFYSTHNTLFDQKGRHYYANCYIQGSIDFIFGRARTIFYHPEIFVVDDKRLTVQGSITAHNRENAEELNGYIFIKGMVYGTGAVYLGRAKGPYSRVIFSETYLSKTIVPAGWTNWSHSGSTKNIVHGEYNCRGPGAVREGRAPWSMQLTKKDVEQFLSIDYIDGKNWLPVWL >Vigun06g013051.1.v1.2 pep primary_assembly:ASM411807v1:6:5979911:5980133:-1 gene:Vigun06g013051.v1.2 transcript:Vigun06g013051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTVTGFSRGSRFRCRQPFVYFLNSVWWRLRV >Vigun03g317400.1.v1.2 pep primary_assembly:ASM411807v1:3:51174903:51176701:-1 gene:Vigun03g317400.v1.2 transcript:Vigun03g317400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVLWGVLLFGFVLGMAESFDFNEKELESEQGIWDLYQRWRSHHTVSRSLGEKQKRFNVFKANVINIHNANKMDKPYKLKLNRFADMTNHEFMTIYANSKVSHHRMFRGMPRGNDTFMYENVGRVPSSVDWRKKGAVTDVKDQGKCGSCWAFSTVAAVEGINQIKTDKLVSLSEQELIDCDTKENEGCNGGLMESAFDFIKQHGITTESNYPYEARDGTCDASKVKKPAVSIDGHETVPVNNEAALLKAVAHQPVSVAIDAGGFGFQFYAEGVFTGLCGTDLNHGVAIVGYGTTRDGTKYWTVKNSWGPEWGENGYIRMQRDVLNKRGLCGIAMEASYPIKTSSSKSMEHSSFLKDEL >Vigun01g159300.1.v1.2 pep primary_assembly:ASM411807v1:1:34102620:34104866:1 gene:Vigun01g159300.v1.2 transcript:Vigun01g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHGKQKNLSEIEKKNIKFKSMGQRLSLNHKPLCAASSSFQPPHALLRFFHTIAISKQTLTKHTPISIILGIVLHVSQGMKIPCCSFCTCFSASVKEQETKHEEPGEENDGNFRTFTYRELNSATRGFHPSEKIGEGGFGSVYKGQLRDGSLVAVKVLSIELDSVKGERGFVAELNTLANVKHQNLVILRGCCVEGAHRYIVYDYMQNNSLRHTFLGSEEKRMTFSWEARRDVSVGVARVLAFLHEELQPHIVHRDIKSSNVLLDENFTPKVSDFGLAKLLRDEKSHISTQVAGTLGYLAPEYASSGHLTRKSDVYSFGVLLLEIVSGQRAVDDRCFIVEKSWAAYEGNDLLRMVDPMLKMNYPMEEAKRFLMVGLCCVQETARVRPRMSEVVDMLSKNIEMEEFNVSRPGFVNDMRNAGTRRQINSSEESSAAASFADSSGWSTTNLAR >Vigun01g159300.3.v1.2 pep primary_assembly:ASM411807v1:1:34102620:34104866:1 gene:Vigun01g159300.v1.2 transcript:Vigun01g159300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHGKQKNLSEIEKKNIKFKSMGQRLSLNHKPLCAASSSFQPPHALLRFFHTIAISKQTLTKHTPISIILGIVLHVSQGMKIPCCSFCTCFSASVKEQETKHGEENDGNFRTFTYRELNSATRGFHPSEKIGEGGFGSVYKGQLRDGSLVAVKVLSIELDSVKGERGFVAELNTLANVKHQNLVILRGCCVEGAHRYIVYDYMQNNSLRHTFLGSEEKRMTFSWEARRDVSVGVARVLAFLHEELQPHIVHRDIKSSNVLLDENFTPKVSDFGLAKLLRDEKSHISTQVAGTLGYLAPEYASSGHLTRKSDVYSFGVLLLEIVSGQRAVDDRCFIVEKSWAAYEGNDLLRMVDPMLKMNYPMEEAKRFLMVGLCCVQETARVRPRMSEVVDMLSKNIEMEEFNVSRPGFVNDMRNAGTRRQINSSEESSAAASFADSSGWSTTNLAR >Vigun01g159300.2.v1.2 pep primary_assembly:ASM411807v1:1:34102620:34104866:1 gene:Vigun01g159300.v1.2 transcript:Vigun01g159300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHGKQKNLSEIEKKNIKFKSMGQRLSLNHKPLCAASSSFQPPHALLRFFHTIAISKQTLTKHTPISIILGIVLHVSQGMKIPCCSFCTCFSASVKEQETKHEEPGEENDGNFRTFTYRELNSATRGFHPSEKIGEGGFGSVYKLRDGSLVAVKVLSIELDSVKGERGFVAELNTLANVKHQNLVILRGCCVEGAHRYIVYDYMQNNSLRHTFLGSEEKRMTFSWEARRDVSVGVARVLAFLHEELQPHIVHRDIKSSNVLLDENFTPKVSDFGLAKLLRDEKSHISTQVAGTLGYLAPEYASSGHLTRKSDVYSFGVLLLEIVSGQRAVDDRCFIVEKSWAAYEGNDLLRMVDPMLKMNYPMEEAKRFLMVGLCCVQETARVRPRMSEVVDMLSKNIEMEEFNVSRPGFVNDMRNAGTRRQINSSEESSAAASFADSSGWSTTNLAR >Vigun10g167600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38655464:38657944:-1 gene:Vigun10g167600.v1.2 transcript:Vigun10g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMAEEESSEEVNVPGEIDWQMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSCINTAFSLIKGEGFRALYRGFGTSLMGTIPARALYMAALEVTKSNVGTATVRFGLGEPTASAVANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGCCDSGNPKASAFRYMNGIDAFRKILSNDGLRGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGVGYYLSKGNDNATNSALRPDTKTMMAVQGVSAAVAGGMSALITMPLDTIKTRLQVLDGDENGRRGPTIMQTVRSLVREGGWMACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQEVLT >Vigun10g167600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38655485:38657944:-1 gene:Vigun10g167600.v1.2 transcript:Vigun10g167600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMAEEESSEEVNVPGEIDWQMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSCINTAFSLIKGEGFRALYRGFGTSLMGTIPARALYMAALEVTKSNVGTATVRFGLGEPTASAVANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGCCDSGNPKASAFRYMNGIDAFRKILSNDGLRGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGVGYYLSKGNDNATNSALRPDTKTMMAVQGVSAAVAGGMSALITMPLDTIKTRLQVLDGDENGRRGPTIMQTVRSLVREGGWMACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQEVLT >Vigun05g236800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42987113:42988972:-1 gene:Vigun05g236800.v1.2 transcript:Vigun05g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILNCIPVSVGASPTRLLSDKRTTLSQVRQIHAYFIKTKLITHALSVAKLVNVLSQSSVPYDAISVFVHALSGFHGIRGVEFFVPPTLKACGKSCAFEEGKQIMGFILKTHLWNDPFVTNSLVRMCLELGMVELARSVFDKMPTRDLISWNSLLSGYLKAGQIDMAREVFERMPQRDLVSCNTMIDGYGKHGFCELAEEVFMDMGVRDVVTWTSMISAFVLNHQPKKGLCLFREMLSLGVRPDAPAVVSVLSAIADLGFVEEGKWIHDYIVCNKMLHSYSFIGSALINMYAKCGQIENAYDVFRSICHRRNVGDWNSMISGLALHGLAREAIELFQEMEREKLKPDDITFLGLLNACNHGGLKDEGQLYFETMQMKYKIVPKIQHYGCIVDLLGRSGCLEQALEVIHDMPFEPDVLIWKAILSASMKHNDVVLGHTAALRAIELAPQDSSCYVLLSNIFAKMGRWNEVNEVRLMMRKRGVRKIPGCSSILVDGKVHQFLVGKALNEGYNQSVLLKLEEVVYKLKSEGYEPDLDEVFLDIEECEKESQLILHSEKLALAFALSSVPEGVPIHIVKNLRICCDCHTFMQLVSKIYKRQIIVRDQNRFHHFSKGSCSCRNYW >Vigun03g394800.1.v1.2 pep primary_assembly:ASM411807v1:3:60094688:60098142:-1 gene:Vigun03g394800.v1.2 transcript:Vigun03g394800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLSSHFRTCSAYKLSCIDCGEIFGRDTVQDHTQCITEAEKYGPKGQGKTLSAATATPNKDNKQRPEVDTNVGLSEQPPWFCSLCNTTTTSKQTLLLHADGKKHRAKARAFHASKQQPVQADKSVTDDAKTVVETGPNDKVRDESNVEVPKLKESSTQNDSKPGNETSAKKKRKLEALGDGKSVDLVKKSRNGTSVDMGNGEVIQGEKTTGEGNLKKEGMLEPHSTSTVENKIKWRKLLRSAFKSHPDGILKMKKLRKVVLKALQKSGIAMDEAELSKALEQKINASCRYAVENKYVRLVPKD >Vigun06g107700.1.v1.2 pep primary_assembly:ASM411807v1:6:23694189:23704633:1 gene:Vigun06g107700.v1.2 transcript:Vigun06g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKKSGARVSEDPDELVRVPLQAVLLADSFTTKFRPITLERPKVLLPLVNVPMINYTLTWLESAGVEEVFVFCCSHSKQVINYLEKSEWLSQPNFTVTTIESQNSVSAGDALRVIYERNVIQGDFVLISGDTVSNMSLTQALLEHKERRKKDNNAVMTMVIKRSKPNPAIHQSRLGTDELFMAIDPNTKQLVYYEDRADQSRGTLQLDKSLLVDNPSLSLHHDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFVHEIHSDYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGHTATKLERQGMYRALEISQSQSAVIGPFTVIGSGTKIGNNTKISSSVIGEGCKIGSNVTIEGCYIWDNIIIEDGCKLQHAIVCDGVIIKSGAVLEPGVILSFKVVVGPEFVVPTYSKVSLFQQPIEEDSDEELEYADSTSVIGSQVDKSDVEIASKVLETNFSAASQLGVGGAGHVWSTCEGGLEEEWRHSVAPIPKDKILEAIKTMEDDIEFAQDDILLPPSGELKPNSNDSDDDDHEDSRDDSYYFEKEVEATFLRAVHENIQESHLILEINSLKLSYNKDTSDCAGALFYAMMKYALDTPHSSSDGLLQNVQAVFTKWKKALTSYLNGNDEQIEVILKFEEMCLESAKEFAPLFTTILHHLYNDDFVEENAILSWEDEKKDADEADKVFVKQAQKLIQWLKEAPEEDDDDEE >Vigun06g107700.3.v1.2 pep primary_assembly:ASM411807v1:6:23691998:23704626:1 gene:Vigun06g107700.v1.2 transcript:Vigun06g107700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKKSGARVSEDPDELVRVPLQAVLLADSFTTKFRPITLERPKVLLPLVNVPMINYTLTWLESAGVEEVFVFCCSHSKQVINYLEKSEWLSQPNFTVTTIESQNSVSAGDALRVIYERNVIQGDFVLISGDTVSNMSLTQALLEHKERRKKDNNAVMTMVIKRSKPNPAIHQSRLGTDELFMAIDPNTKQLVYYEDRADQSRGTLQLDKSLLVDNPSLSLHHDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFVHEIHSDYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGHTATKLERQGMYRALEISQSQSAVIGPFTVIGSGTKIGNNTKISSSVIGEGCKIGSNVTIEGCYIWDNIIIEDGCKLQHAIVCDGVIIKSGAVLEPGVILSFKVVVGPEFVVPTYSKVSLFQQPIEEDSDEELEYADSTSVIGSQVDKSDVEIASKVLETNFSAASQLGVGGAGHVWSTCEGGLEEEWRHSVAPIPKDKILEAIKTMEDDIEFAQDDILLPPSGELKPNSNDSDDDDHEDSRDDSYYFEKEVEATFLRAVHENIQESHLILEINSLKLSYNKDTSDCAGALFYAMMKYALDTPHSSSDGLLQNVQAVFTKWKKALTSYLNGNDEQIEVILKFEEMCLESAKEFAPLFTTILHHLYNDDFVEENAILSWEDEKKDADEADKVFVKQAQKLIQWLKEAPEEDDDDEE >Vigun06g107700.2.v1.2 pep primary_assembly:ASM411807v1:6:23691998:23704626:1 gene:Vigun06g107700.v1.2 transcript:Vigun06g107700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKKSGARVSEDPDELVRVPLQAVLLADSFTTKFRPITLERPKVLLPLVNVPMINYTLTWLESAGVEEVFVFCCSHSKQVINYLEKSEWLSQPNFTVTTIESQNSVSAGDALRVIYERNVIQGDFVLISGDTVSNMSLTQALLEHKERRKKDNNAVMTMVIKRSKPNPAIHQSRLGTDELFMAIDPNTKQLVYYEDRADQSRGTLQLDKSLLVDNPSLSLHHDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFVHEIHSDYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGHTATKLERQGMYRALEISQSQSAVIGPFTVIGSGTKIGNNTKISSSVIGEGCKIGSNVTIEGCYIWDNIIIEDGCKLQHAIVCDGVIIKSGAVLEPGVILSFKVVVGPEFVVPTYSKVSLFQQPIEEDSDEELEYADSTSVIGSQVDKSDVEIASKVLETNFSAASQLGVGGAGHVWSTCEGGLEEEWRHSVAPIPKDKILEAIKTMEDDIEFAQDDILLPPSGELKPNSNDSDDDDHEDSRDDSYYFEKEVEATFLRAVHENIQESHLILEINSLKLSYNKDTSDCAGALFYAMMKYALDTPHSSSDGLLQNVQAVFTKWKKALTSYLNGNDEQILHHLYNDDFVEENAILSWEDEKKDADEADKVFVKQAQKLIQWLKEAPEEDDDDEE >Vigun06g107700.4.v1.2 pep primary_assembly:ASM411807v1:6:23691998:23704626:1 gene:Vigun06g107700.v1.2 transcript:Vigun06g107700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKKSGARVSEDPDELVRVPLQAVLLADSFTTKFRPITLERPKVLLPLVNVPMINYTLTWLESAGVEEVFVFCCSHSKQVINYLEKSEWLSQPNFTVTTIESQNSVSAGDALRVIYERNVIQGDFVLISGDTVSNMSLTQALLEHKERRKKDNNAVMTMVIKRSKPNPAIHQSRLGTDELFMAIDPNTKQLVYYEDRADQSRGTLQLDKSLLVDNPSLSLHHDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFVHEIHSDYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGHTATKLERQGMYRALEISQSQSAVIGPFTVIGSGTKIGNNTKISSSVIGEGCKIGSNVTIEGCYIWDNIIIEDGCKLQHAIVCDGVIIKSGAVLEPGVILSFKVVVGPEFVVPTYSKVSLFQQPIEEDSDEELEYADSTSVIGSQVDKSDVEIASKVLETNFSAASQLGVGGAGHVWSTCEGGLEEEWRHSVAPIPKDKILEAIKTMEDDIEFAQDDILLPPSGELKPNSNDSDDDDHEDSRDDSYYFEKEVEATFLRAVHENIQESHLILEINSLKLSYNKDTSDCAGALFYAMMKYALDTPHSSSDGLLQNVQAVFTKWKKALTSYLNGNDEQIEVILKFEEMCLESAKEFAPLFTTILHHLYNDDFVEENAILSWEDEKKDADEADKVFVKQAQKLIQWLKEAPEEDDDDEE >Vigun06g175600.1.v1.2 pep primary_assembly:ASM411807v1:6:29575854:29586550:1 gene:Vigun06g175600.v1.2 transcript:Vigun06g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFPFLFYVVTAHLRLIERLRIPQLPTFPNLTTTLRLQTWNPLSIYLSIKPSLSISESMARPSKPNSSSDEALSNASSSSEEKELVNEQINEEEDEEELEAVARSASSDDDDDEGAAGNPPDSDEDPAGDDDQGEDNVDPAISKREKARLKEMQKMKKQKIQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQTSSQKSRGRGRHASKVTEEEEDEEYLKEEEDGVASTRLVSQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGIKGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPEERRHIREELLVAGKFDICVTSFEMAIKEKSVLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGDDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDDNRFDIKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPTKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRCLMQAHQKNQIKDSIDVDEPEEVGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEDVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRGLARQTDSPSLKKRKQLTMDDYASSGKRRK >Vigun05g263900.1.v1.2 pep primary_assembly:ASM411807v1:5:45626164:45631291:1 gene:Vigun05g263900.v1.2 transcript:Vigun05g263900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDRTSQSSSDSPTRDPKVLSIECLRGSSKADEWTGDMLQSGDIVEELRIGSTAKSQIRYQSPFKGGRSGVQKILQEAFKKKETSIVVRVRRGSEELAELQACIVPNELAAKKGLVLRSIDDPNYVVGFLDRTEAECFELQASRGTRMVNALTRTKLQDGYVSYSWERRMQEMLSVPNSSNFLSILFLPKASDRVASRYNDLEDTLARANAWLNAGQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLANLANESLYGFEDYHGIDIGVVRAVRLWYAPVAGEFSIEIKLKEEDSKLGFAISRTEEGFIFISSVINQENVPATRSGLSNLYKLATDTCRILVVSRVSNQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTRVPILLHVFLWDRTLASSSVGSTRFRALSSVLPSHASEVQLPPIPNETHVLPLPPPPSEPTDIPTEISLSRLQRDTAGEFSFRFHDFALSSNWV >VigunL023466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:21342:21770:-1 gene:VigunL023466.v1.2 transcript:VigunL023466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun06g237600.2.v1.2 pep primary_assembly:ASM411807v1:6:34232686:34240692:-1 gene:Vigun06g237600.v1.2 transcript:Vigun06g237600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLEVEGRSVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVTRWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAQGYAEKEGLSFIETSALEATNVEKAFQTILSEIYRIIRLSSAFRISYANALF >Vigun06g237600.1.v1.2 pep primary_assembly:ASM411807v1:6:34239150:34240692:-1 gene:Vigun06g237600.v1.2 transcript:Vigun06g237600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLEVEGRSVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVTRWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAQGYAEKEGLSFIETSALEATNVEKAFQTILSEIYRIISKKSLSSTPHPSSATVKEGKTITVGESQSTTTTTSCCSSSS >Vigun03g207000.1.v1.2 pep primary_assembly:ASM411807v1:3:33732585:33734544:1 gene:Vigun03g207000.v1.2 transcript:Vigun03g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVILMGILAMFSSVHAYDGGWTSAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIRCANDHKWCLPGSILVTATNFCPPNNALPNNAGGWCNPPLQHFDLAQPVFLRIAQYRAGIVPVSYRRVPCRRKGGIRFTINGHSYFNLVLITNVGGAGDVHGVAVKGSRTGWMAMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTYTGAQFR >Vigun10g084300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23903938:23904868:1 gene:Vigun10g084300.v1.2 transcript:Vigun10g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTHPASSDAGSSSKTSKSAKKCKGVRLSTDPQSVAARERRHRISDRFKILQSMVPGGSKMDTVSMLEEAIQYVKYLKTQIWLHQTMINFLDDNGSLSPMYLAEFYFPSDPSEQFIFPQQNPPLDHDMHNFPHLPPPQCSFHGEDPTYFDASFKYWPA >Vigun03g276600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45329717:45329962:1 gene:Vigun03g276600.v1.2 transcript:Vigun03g276600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIISTASVIAAGLPTGLTSIGPGVGQGTVAGQAVEGIARQPETKGKIWGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun09g272300.10.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKSV >Vigun09g272300.2.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKSV >Vigun09g272300.1.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKVGRLSTGDSLDMTLQDESLIMANDATVIDMEGAAIAYVADLLKVPAIFVKAVTNIVDGDKPIVEEFLENLAAVADVLDPAVEQVVNFINGKSVSEL >Vigun09g272300.11.v1.2 pep primary_assembly:ASM411807v1:9:43388371:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLQILEFPWFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKSV >Vigun09g272300.7.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPVYLLSLFGGEG >Vigun09g272300.4.v1.2 pep primary_assembly:ASM411807v1:9:43388371:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNLGISLVFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKVGRLSTGDSLDMTLQDESLIMANDATVIDMEGAAIAYVADLLKVPAIFVKAVTNIVDGDKPIVEEFLENLAAVADVLDPAVEQVVNFINGKSVSEL >Vigun09g272300.3.v1.2 pep primary_assembly:ASM411807v1:9:43388389:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLQILEFPWFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKVGRLSTGDSLDMTLQDESLIMANDATVIDMEGAAIAYVADLLKVPAIFVKAVTNIVDGDKPIVEEFLENLAAVADVLDPAVEQVVNFINGKSVSEL >Vigun09g272300.6.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKVGRLSTGDSLDMTLQDESLIMANDATVIDMEGAAIAYVADLLKVPAIFVKAVTNIVDGDKPIVEEFLENLAAVADVLDPAVEQVVNFINGKSVSEL >Vigun09g272300.8.v1.2 pep primary_assembly:ASM411807v1:9:43388016:43391379:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPVYLLSLFGGEG >Vigun09g272300.9.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPVYLLSLFGGI >Vigun09g272300.5.v1.2 pep primary_assembly:ASM411807v1:9:43387909:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKPDIRPILNIVIVVAMETEALPIVNRFRLTQHPRSLSLKRNRALMLCCLQILEFPWFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKVGRLSTGDSLDMTLQDESLIMANDATVIDMEGAAIAYVADLLKVPAIFVKAVTNIVDGDKPIVEEFLENLAAVADVLDPAVEQVVNFINGKSVSEL >Vigun09g272300.12.v1.2 pep primary_assembly:ASM411807v1:9:43388371:43393441:1 gene:Vigun09g272300.v1.2 transcript:Vigun09g272300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNLGISLVFPGRVPWVRYHGTYKDLSINLIWPGKDPASGVDSIGTIPSALVTYAAIQALQPDLIINAGTTGGFKAKGASIGDIFIISDCAFHDRRIPIPGFDLYGVGLRKAFNTPNLIKELNLKSV >Vigun05g200300.1.v1.2 pep primary_assembly:ASM411807v1:5:38673265:38675304:1 gene:Vigun05g200300.v1.2 transcript:Vigun05g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHNMFHEEEGKDEAGRSRSRSRRTNGKGPKKPPQRGLGVAQLERLRIQESWKKMSEGSSGVLPPVTTLHDHHHHHHHHQQQLFQRHPSPNLGFQFQCPQQHVISGNNTIGGSWIVPNRVVGNGSYGSGPPLLVGTPLETSKELSSIPNLHSQPECFDFCLKKTRFNEDNEKGSNARRERSLEIWSNGHDFLGFMPQSSVSSLVGETGDLYDKLGRHDSASAYACATPNDECVEVVAVHRRGNSGSGRVFMEYEFFPGKDGRDTTPKELELATIGSVGVDGGEASSITAAAYGDSASNYIDLSLKLSR >Vigun01g137000.6.v1.2 pep primary_assembly:ASM411807v1:1:31708910:31716610:1 gene:Vigun01g137000.v1.2 transcript:Vigun01g137000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFPAESCKETHPNALNPQSWLQIERGKLPKLSSYPSSASIESLVKVPQPAVLPFYKPADYVEVLAQIHEELESCPPQERSNLFLLQYQVFRGLGEVKLMRRSLQGAWQRANTVHEKIIYGAWLKYEKQEEELIADLLANCGKCAKEFAPVDIASHLPFDVNVSSVGRMTNENRISQNVTFTIGDEEIVCDRQKISELSAPFNAMLKGYFSESRAETIDLSENNISPLGMRAISIFSLTGSLIEVPPNLLLEILAFANKYCCERLKDACDRRLAYLVSSKEDAVELMEYALDENSTVLAASCLQVLLRDLPNCLNDSRVVEIFVHANKQQLAVMVGPGIFALFCFLSEVSMNLNSSSDETIHILERLVEFAENDKQRILALHQLGCVRLLRKEYDEARLLFEGAVNAGHIYSVAGLARLDVIKGDKILSYERLSSVISSVTPLGWMYQERSLYCDSDKRWDDLEKASNLDPTLAYPYMYRAASLMSTQNAQAALAEINRILGFKLSLECLEIRFFIHLTLEDYKAALCDVQTILTLRSDYRMFEGRVAASQLCTLVREHVERWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGILYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHYEEGLQKAEESISIKRSFEAFFLKAYALADSSIDPSCSPIVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHHGLARVHCLKNDKAAAYMEMTELIKKAKNNASAYEKRSEYCDREQAKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKEDVLGALRDCRAALSVDPNHQEMLELHSRVNRHEP >Vigun01g137000.5.v1.2 pep primary_assembly:ASM411807v1:1:31708910:31716610:1 gene:Vigun01g137000.v1.2 transcript:Vigun01g137000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFPAESCKETHPNALNPQSWLQIERGKLPKLSSYPSSASIESLVKVPQPAVLPFYKPADYVEVLAQIHEELESCPPQERSNLFLLQYQVFRGLGEVKLMRRSLQGAWQRANTVHEKIIYGAWLKYEKQEEELIADLLANCGKCAKEFAPVDIASHLPFDVNVSSVGRMTNENRISQNVTFTIGDEEIVCDRQKISELSAPFNAMLKGYFSESRAETIDLSENNISPLGMRAISIFSLTGSLIEVPPNLLLEILAFANKYCCERLKDACDRRLAYLVSSKEDAVELMEYALDENSTVLAASCLQVLLRDLPNCLNDSRVVEIFVHANKQQLAVMVGPGIFALFCFLSEVSMNLNSSSDETIHILERLVEFAENDKQRILALHQLGCVRLLRKEYDEARLLFEGAVNAGHIYSVAGLARLDVIKGDKILSYERLSSVISSVTPLGWMYQERSLYCDSDKRWDDLEKASNLDPTLAYPYMYRAASLMSTQNAQAALAEINRILGFKLSLECLEIRFFIHLTLEDYKAALCDVQTILTLRSDYRMFEGRVAASQLCTLVREHVERWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGILYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHYEEGLQKAEESISIKRSFEAFFLKAYALADSSIDPSCSPIVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHHGLARVHCLKNDKAAAYMEMTELIKKAKNNASAYEKRSEYCDREQAKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKEDVLGALRDCRAALSVDPNHQEMLELHSRVNRHEP >Vigun01g137000.3.v1.2 pep primary_assembly:ASM411807v1:1:31708910:31716610:1 gene:Vigun01g137000.v1.2 transcript:Vigun01g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFPAESCKETHPNALNPQSWLQIERGKLPKLSSYPSSASIESLVKVPQPAVLPFYKPADYVEVLAQIHEELESCPPQERSNLFLLQYQVFRGLGEVKLMRRSLQGAWQRANTVHEKIIYGAWLKYEKQEEELIADLLANCGKCAKEFAPVDIASHLPFDVNVSSVGRMTNENRISQNVTFTIGDEEIVCDRQKISELSAPFNAMLKGYFSESRAETIDLSENNISPLGMRAISIFSLTGSLIEVPPNLLLEILAFANKYCCERLKDACDRRLAYLVSSKEDAVELMEYALDENSTVLAASCLQVLLRDLPNCLNDSRVVEIFVHANKQQLAVMVGPGIFALFCFLSEVSMNLNSSSDETIHILERLVEFAENDKQRILALHQLGCVRLLRKEYDEARLLFEGAVNAGHIYSVAGLARLDVIKGDKILSYERLSSVISSVTPLGWMYQERSLYCDSDKRWDDLEKASNLDPTLAYPYMYRAASLMSTQNAQAALAEINRILGFKLSLECLEIRFFIHLTLEDYKAALCDVQTILTLRSDYRMFEGRVAASQLCTLVREHVERWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGILYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHYEEGLQKAEESISIKRSFEAFFLKAYALADSSIDPSCSPIVISLLEDALKCPSDNLRKGTEQSWKCLC >Vigun01g137000.2.v1.2 pep primary_assembly:ASM411807v1:1:31708910:31716610:1 gene:Vigun01g137000.v1.2 transcript:Vigun01g137000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFPAESCKETHPNALNPQSWLQIERGKLPKLSSYPSSASIESLVKVPQPAVLPFYKPADYVEVLAQIHEELESCPPQERSNLFLLQYQVFRGLGEVKLMRRSLQGAWQRANTVHEKIIYGAWLKYEKQEEELIADLLANCGKCAKEFAPVDIASHLPFDVNVSSVGRMTNENRISQNVTFTIGDEEIVCDRQKISELSAPFNAMLKGYFSESRAETIDLSENNISPLGMRAISIFSLTGSLIEVPPNLLLEILAFANKYCCERLKDACDRRLAYLVSSKEDAVELMEYALDENSTVLAASCLQVLLRDLPNCLNDSRVVEIFVHANKQQLAVMVGPGIFALFCFLSEVSMNLNSSSDETIHILERLVEFAENDKQRILALHQLGCVRLLRKEYDEARLLFEGAVNAGHIYSVAGLARLDVIKGDKILSYERLSSVISSVTPLGWMYQERSLYCDSDKRWDDLEKASNLDPTLAYPYMYRAASLMSTQNAQAALAEINRILGFKLSLECLEIRFFIHLTLEDYKAALCDVQTILTLRSDYRMFEGRVAASQLCTLVREHVERWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGILYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHYEEGLQKAEESISIKRSFEAFFLKAYALADSSIDPSCSPIVISLLEDALKCPSDNLRKGTEQSWKCLC >Vigun01g137000.4.v1.2 pep primary_assembly:ASM411807v1:1:31708910:31716610:1 gene:Vigun01g137000.v1.2 transcript:Vigun01g137000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFPAESCKETHPNALNPQSWLQIERGKLPKLSSYPSSASIESLVKVPQPAVLPFYKPADYVEVLAQIHEELESCPPQERSNLFLLQYQVFRGLGEVKLMRRSLQGAWQRANTVHEKIIYGAWLKYEKQEEELIADLLANCGKCAKEFAPVDIASHLPFDVNVSSVGRMTNENRISQNVTFTIGDEEIVCDRQKISELSAPFNAMLKGYFSESRAETIDLSENNISPLGMRAISIFSLTGSLIEVPPNLLLEILAFANKYCCERLKDACDRRLAYLVSSKEDAVELMEYALDENSTVLAASCLQVLLRDLPNCLNDSRVVEIFVHANKQQLAVMVGPGIFALFCFLSEVSMNLNSSSDETIHILERLVEFAENDKQRILALHQLGCVRLLRKEYDEARLLFEGAVNAGHIYSVAGLARLDVIKGDKILSYERLSSVISSVTPLGWMYQERSLYCDSDKRWDDLEKASNLDPTLAYPYMYRAASLMSTQNAQAALAEINRILGFKLSLECLEIRFFIHLTLEDYKAALCDVQTILTLRSDYRMFEGRVAASQLCTLVREHVERWTTADCWARLYDCWSAVDDIGSLSVIYQMLESDAAKGILYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHERLVYEGWILYDTGHYEEGLQKAEESISIKRSFEAFFLKAYALADSSIDPSCSPIVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHHGLARVHCLKNDKAAAYMEMTELIKKAKNNASAYEKRSEYCDREQAKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKEDVLGALRDCRAALSVDPNHQEMLELHSRVNRHEP >Vigun05g185100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35714267:35715938:-1 gene:Vigun05g185100.v1.2 transcript:Vigun05g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPQSKTSPLIIFFFFFFLFSSFTIHAIVPQNETFKFENSGEFGPYITEYDASYRMQSLFSSPFQLAFYNTTPNAFTLALRMGLRRSEQLFRWVWEANRGNPVGENATFSLGTDGNLVLAEADGRVAWQTNTANKGVVALRLLPNGNMVLLDAQGKFVWQSFDHPTDTLLIDQYLRAKGPSKLVSRLSARDNVNGPYSLVLEPKRLALYYKSKNSPRPVLYWYRLFTREGSVENITLTSYPDTFEIEFTYSLSSSTTSDYRTMQEPLNNIPVGVMGMPVNNSTLTYLRLGIDGNIRLHTYFLRVRSGVWEVTYTLFDRDSHDESECQWPEKCGNLGLCQNEQCVGCPLENGVFGWSNNCSAKPVKSCKASDFHYYKLEGVRHYMSKYSEGEKVSESTCGNKCTKDCKCVGYFYHRENSRCWIAYDLETLTRVANSKQVGFIKVPN >Vigun05g224600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41703636:41704712:-1 gene:Vigun05g224600.v1.2 transcript:Vigun05g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLFSTFLFSLTLSLILQTSSGADPLFHFCSNSQNFTANSPYESNLKTLITSLIYKTPSTGFGVASVGIDQNQKAYGLALCRGDVSASECKTCVSEAPKEILNRCPYNKGGIIWYDNCMFKYSDTDFFGKIDYTNRFYMWNLKNVSEPSSFNYRTRELLRQLGEKAYVNRNLYATGEIYLENSVTLYGLTQCTRDLSSNDCKKCLDDAIDELPKCCDGKEGGRVVSGSCNFRYEIYPFVKE >Vigun09g093750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13619183:13619497:-1 gene:Vigun09g093750.v1.2 transcript:Vigun09g093750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLKNDEDFSNEKVVNDYFQDDGSMGMTNIVLDDEEIQLFDDNAPMEEVNMDDVHYPIHNVHNDQFFNDNDELLDEDIKLNDLDEELDDSNYDLDNSDDEWL >Vigun05g165201.1.v1.2 pep primary_assembly:ASM411807v1:5:27024407:27026419:1 gene:Vigun05g165201.v1.2 transcript:Vigun05g165201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSEDDGCTTETKIYFRHSCRTHFLGSLNKSLTEQQIICLEQTPFAWMPKLKESLKISRNLLNELVNVWVERRGGFALNKEIVRFSLLDVCLGLGLRVVGTKLDLDECFVESQCRKHFDDENVDVKMVYEFLMQHHGDLTVVDFCRLYVLIGICEFLLSSRRGRIFPILFNIVLHDVVVSEEELKHVVVQEGFHEYGCGFGKVERRSEGGCSSQDKEELMREILEQEVVIEELKQSIDLLKTKMKERRKKPPTKYDPCYGTNGCASQTHDHSFDLGGKSVEEDDEIHKSNDMCDEMEESNMYARMKHQPRTRYKSRSIRTPFASYGIRRLKK >Vigun11g128100.1.v1.2 pep primary_assembly:ASM411807v1:11:33543541:33548357:1 gene:Vigun11g128100.v1.2 transcript:Vigun11g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKVLSYFTLLLFIGYTLVNGSTPKHHIVYMGDHSHPNSESVIRANHEILASVTGSLSEAKAATIHHYSKSFQGFSAMITPEQATQLAEHKSVVSVFESKMNKLHTTHSWDFLGLDTIYRNHPIPLDTASEVIVGVIDSGIWPESESFTDYGLGPVPKRFKGECVTGDNFTLANCNRKIIGARFYSKGFEAENGPLDGVVNKIFFRSPRDNDGHGTHTASTIAGSIVANASLFGIAKGTARGGAPSARLSIYKACWFDFCSDADILSAVDDAIHDGVDILSLSLGPNPPQPIYFEDAVSLGTFHAFQKGILVSASAGNSVFPRTACNVAPWILTVAASSIDRDFSSNIYLGNSKVLKGSSLNPIKMEHQYGLVYGSASAAAGVSAVNASFCKNNTLNPTLIKGKIVICTIENFSDNRQEKAIEIRKGGGVGMILIDHNAKDVGFQFVIPSTLIGQDAVEELQAYIKTDKNPTARIYPTITVVGTKPAPQMAAFSSMGPNIITPDIIKPDITAPGLNILAAWSPVGTDATVEQRPVNYNIISGTSMSCPHITAVAAIIKSHHPSWGPAAIMSSIMTTATVTDNTHHLIRREPNGTQTTPFDYGSGHVNPVASLNPGLVYEFNSQDVLNFLCSNGASPAQLKNLTGDLTQCQKPLTASYNFNYPSIGVSNLNGSLSVYRTVTYYGGEPTVYSASIENPSGVKVTVTPAELKFYKTGEKITFRIDFFPFKKSNGNFVFGSLTWNNGKQRVRSPIGVNVLST >Vigun07g057400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6326674:6328832:1 gene:Vigun07g057400.v1.2 transcript:Vigun07g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTCSADQLAQLFGPNTTNAQAAATLICDQFAAVGRNFTDAGYAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGIFYYLFGFAFAFGSPSNGFIGKHYFGLTDLHSDNLDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSPDGWASAFNVGNLLFSTGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRAVALRGHSASLVVLGTFMLWFGWYGFNPGSFNKILVPYGSGNKYGQWSAVGRTAVTTTLAGSTAALTTLFGKRLISGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFVASLVLIACNKLAEKVRFDDPLEAAQLHGGCGAWGVIFTALFAKKEYVFEVYRGESRPYGLFMGGGGKLLAAHVIQILVIVGWVSATMGPLFWGLKKLKLLRISAEDELAGMDLTRHGGFAYAYEDDETHRHGIQLRKVEPNASTPTTDGGL >Vigun01g021800.1.v1.2 pep primary_assembly:ASM411807v1:1:2304094:2309437:-1 gene:Vigun01g021800.v1.2 transcript:Vigun01g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGTQHKQEEEEEEIVCLDASFFVDDNYQLTNFTFGSQDIQLFCLHSASTDFDLTGQLVWPGAMLLNDYLSKNVKMLQGCTVIELGSGVGITGILCSRFCHKVVMTDHNEEVLKILKKNIELHSCPENVNSISHELVAEKLEWGNTGQINEILQKHPGGFDFVLGADICFQQSSIPMLFDSVKQLLLAREGRKCTFILAYVSRAKTMDSMIIIEASKLRMKMKEVTGTRCIVGNLEGVVFEVTLE >Vigun01g196900.1.v1.2 pep primary_assembly:ASM411807v1:1:37365135:37373464:-1 gene:Vigun01g196900.v1.2 transcript:Vigun01g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDETLLDEKARRTRDLLASFYSPIPSGSSNNPFSKHIPPDDINSSSFQPDQYMKIMARKCNLEGLLQKHVEMVAEIKNLDTDLQMLVYENYNKFICATDTIKRMQSNILGMEENMEQLLEKIMSVQSRSVSVNTSLCENREHIEKMHRTCNLLRKIQFIYDLPDRLGKCIKAEAYADAVRFYIGAMPIFKAYGDSSFQDCKRASEEAMAIIVKNLQGKVFSDSESMQVRAEAAVLLKQLNFPVDYLKTKLLEKLELSLTDVQFTPEVTKNEYPSAHEAAINEFVEAIRAFRVIFPDSEKQLVKLAQDLLTKYFLTIDAYVMTRICSEDLLGVLRVIWNDVLRLVEVLEDISLSNHSLEATKDAVKLYIRSAFSHLTQDISDHLLKVLKRGGAEEYSLEVALDASIKAVFDGGRNVLLDIHKILDDNLEIVDKLRELIIDWVQQGYQDFFRELEVQFLSFLGRNISSGTQTEGAQVDKAFPRLALVLAQLSAFIGQTAIPKITEDLAASFCHGSVGGYDHVLSFVPGVISQKFRATGEKFLQLYIKMRTQRIALLLKKRFATPNWVKHKEPREVHMCVDLFLQELKITGNEVKQILPQDLTKHRRADSNGSSASSRSNSFREEKEKLSRSNIQKGRSQQLLETHLAKLFKQKVEIFTKVEYTQESVVMTIVKLCLKSLQEYARLQTFNRSGFQQIQLDIQFLRTPLKEIVEDEAAMDFLLDEVIVAAVERCLDPIPLELPILDRLIQAKLAQHHDLISP >Vigun01g196900.2.v1.2 pep primary_assembly:ASM411807v1:1:37365135:37373464:-1 gene:Vigun01g196900.v1.2 transcript:Vigun01g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNILGMEENMEQLLEKIMSVQSRSVSVNTSLCENREHIEKMHRTCNLLRKIQFIYDLPDRLGKCIKAEAYADAVRFYIGAMPIFKAYGDSSFQDCKRASEEAMAIIVKNLQGKVFSDSESMQVRAEAAVLLKQLNFPVDYLKTKLLEKLELSLTDVQFTPEVTKNEYPSAHEAAINEFVEAIRAFRVIFPDSEKQLVKLAQDLLTKYFLTIDAYVMTRICSEDLLGVLRVIWNDVLRLVEVLEDISLSNHSLEATKDAVKLYIRSAFSHLTQDISDHLLKVLKRGGAEEYSLEVALDASIKAVFDGGRNVLLDIHKILDDNLEIVDKLRELIIDWVQQGYQDFFRELEVQFLSFLGRNISSGTQTEGAQVDKAFPRLALVLAQLSAFIGQTAIPKITEDLAASFCHGSVGGYDHVLSFVPGVISQKFRATGEKFLQLYIKMRTQRIALLLKKRFATPNWVKHKEPREVHMCVDLFLQELKITGNEVKQILPQDLTKHRRADSNGSSASSRSNSFREEKEKLSRSNIQKGRSQQLLETHLAKLFKQKVEIFTKVEYTQESVVMTIVKLCLKSLQEYARLQTFNRSGFQQIQLDIQFLRTPLKEIVEDEAAMDFLLDEVIVAAVERCLDPIPLELPILDRLIQAKLAQHHDLISP >Vigun03g365400.1.v1.2 pep primary_assembly:ASM411807v1:3:56889228:56894358:-1 gene:Vigun03g365400.v1.2 transcript:Vigun03g365400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEMESDQDSDRDSDAEAEDNADGQSDGGAPSDGEDPNNGWMTTISEDVENQVVLAEDKKYYPTAEEVYGDDVETLVMDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLLGLMSNPTLVRNVALVGHLQHGKTVFMDMLVEQTHHMSTFDPQSEKHTRYTDTRIDEQERKISIKAIPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINTHISAASSIAGDVQVIDPVAGNVCFASATAGWSFTLQSFAKLYGKLHGIPLEANKFASRLWGDYYFHPDTRAFKKKPPATGGERSFVEFVLEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYRLNVRPLLRLACSSVFGPASGFTDMLVQHIPSPRDAATKKVDHIYTGPKDSSIYKAMAQCDAYGPLMVNVTKLYPKSDCSVFDAFGRVYSGKIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPVAEAPPGSWVLIEGVDASIMKTATLCNVDYDEDVYIFRPLQFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMITEPLERGLAEDIENGVVSTDWNRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMVELAQQAADLHQQMM >Vigun09g111900.1.v1.2 pep primary_assembly:ASM411807v1:9:24355698:24358295:-1 gene:Vigun09g111900.v1.2 transcript:Vigun09g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDFRTWDARHSEALSLFHLDHTVITAILKSCSALLAPKLGRVVHSYVVKQGHTFFQVTNKGLLNMYAKCSMLDDCLKLFAQLTHRDSVTWNIVLSGFSGSKKCDPDVMRIFKMMHTSGEAMPNSISIVTVIPVFTRLGYLDAGLCVHTYVIKSGFEQDTLVGNALVSMYAKCGLVSREAYAVFDDIVHKDVVSWNAMIAGLAENGLVEDAFLLFSSMLKGPMQPNYATIANILPVCASFDKSVAYHCGRQIHSYVQQWSELSADISVCNALISFYLKIGLMREAKALFWTMDSRDLVTWNTLVAGYTSNGEWLKALHLFGNLLSLETLLPDPVTMVSILPVCAQLKNMQVGKQIHAFYTKCGYTEEAYHTFSMIPRKDLISWNSIFDAFGEKRHHSKFIDLLHWMLRVRIRPDSVTMLTIIRFCVSLSRVEKVKEIHNYSIRTGSLLSDIALTVGNAILDAYSKCGNMEYANKMFQNLSEKRNLVTCNSLISGYVGLGSHHDANIIFSGMSETDLTTWNLMVWVYAENDCPEQALKLFHALQARGMKPNMVTIMSLLPVCTQMASVHLLSQCHGYIIRSFLKDLHLEVALLDAYAKCGLIGHAYKIFQLSADKDLVMFAAMIGGYAMHGMSEEALWIFSHMLKLGIQPDHIILTSILSACSHTGRVDEGLKIFYSVEELHGMKLTLVQYACVVDLLARGGRISEAYSLVNSLPIEANANLWGTLLGACKTHHEVEFGRNYIVLSNLFAADARWDGVMEVRRMMRNKDLKKPAGCSCIEVERSNNIFVAGDCSHPQRSIIYSTLHTLDQQVKEPVEFLA >Vigun05g233200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42704778:42705263:1 gene:Vigun05g233200.v1.2 transcript:Vigun05g233200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKRKRFMRALFKTNGGCGCRNPKSYEVLQLSIHQNTTNPTTSGDNDNNVFSEVHTNHENPNNDNMRKPNSKLIDTVAVEKDSKDPHKDFRESMLQMIFQRQIFTKTDLQDLLECFLRLNAADNYQVIVQAFMEICHETFPKKNINDADANAASFNNKI >Vigun06g048533.2.v1.2 pep primary_assembly:ASM411807v1:6:17277465:17287265:1 gene:Vigun06g048533.v1.2 transcript:Vigun06g048533.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIIIPSEDGFSSFFQRDDALAKIGFDGKTKDNLNYISSDLVNEGNATVAIEYCEMKRMHVEDLPNLRSFSSGDIVEWPSLENLVLNHCPNIKKFGLGMIKESQLKSILITQNDEVHIHPHTNLAYLFRDDKLSKITEYTINSDEEIRKTLANLHLSHFTNLQILRAKNCKDSLDIFLFNLIRRSHKLEVINIEQWESLIFNLFHNSYFSAKGGGGFINFTQLKEVKLSKVYGIRYLWYDDRPNVIDLGNLQILHIKDVPSLEYIVSFDQAEKLNQLKELIIEACEALSTIFKDYLDKVRPRTKLPLLRKVEFKSLPNLRQIYSGHLEFPNLKSLIIEKCPLLEKFTTGFADSHEMLTTDGKSFFELNEIVFDSYDNLVCVISSETLQELTSLKKLFVSHCKELKTIFNIHKEISCSTHLLQQLCELTLIDLPKLTCIINKDISRFYQNLKLLQVKQCKSLNMLQVPLKLTNLEIYDCDVLDTIIISEEEEEEEVEGMRGKLTFHELKDVSLENLPSLCVVFPSISEFPSLQTLKVANCCTMISFIEDSKALEESSTTNCFFPSSLLVEKLKILYVINMNVEKLWHWNYPSKSFCELENLILANNNKLLSAISSSMIMRFKNLRKLTLSKCELLTEVFDFEDDNLDHKIHEILPQLEALALINLIKLKYVWNKEPQVSFFSNLVSIYIFQCDNLQSLFSLSSVKNLRKLKILRLCKCEKMEEVISSDISEDENVSIIFPKLECLVMKDLPMLACFYNQSSTLSLSKLKIVRMSNLPKMKSFSRGILVTSLLRSIYVTFVKKIWFGSLNNTISYMHHNPENSFPYFLLEQLRTFC >Vigun06g048533.3.v1.2 pep primary_assembly:ASM411807v1:6:17277465:17287265:1 gene:Vigun06g048533.v1.2 transcript:Vigun06g048533.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIIIPSEDGFSSFFQRDDALAKIGFDGKTKDNLNYISSDLVNEGNATVAIEYCEMKRMHVEDLPNLRSFSSGDIVEWPSLENLVLNHCPNIKKFGLGMIKESQLKSILITQNDEVHIHPHTNLAYLFRDDKLSKITEYTINSDEEIRKTLANLHLSHFTNLQILRAKNCKDSLDIFLFNLIRRSHKLEVINIEQWESLIFNLFHNSYFSAKGGGGFINFTQLKEVKLSKVYGIRYLWYDDRPNVIDLGNLQILHIKDVPSLEYIVSFDQAEKLNQLKELIIEACEALSTIFKDYLDKVRPRTKLPLLRKVEFKSLPNLRQIYSGHLEFPNLKSLIIEKCPLLEKFTTGFADSHEMLTTDGKSFFELNEIVFDSYDNLVCVISSETLQELTSLKKLFVSHCKELKTIFNIHKEISCSTHLLQQLCELTLIDLPKLTCIINKDISRFYQNLKLLQVKQCKSLNMLQVPLKLTNLEIYDCDVLDTIIISEEEEEEEVEGMRGKLTFHELKDVSLENLPSLCVVFPSISEFPSLQTLKVANCCTMISFIEDSKALEESSTTNCFFPSSLLVEKLKILYVINMNVEKLWHWNYPSKSFCELENLILANNNKLLSAISSSMIMRFKNLRKLTLSKCELLTEVFDFEDDNLDHKIHEILPQLEALALINLIKLKYVWNKEPQVSFFSNLVSIYIFQCDNLQSLFSLSSVKNLRKLKILRLCKCEKMEEVISSDISEDENVSIIFPKLECLVMKDLPMLACFYNQSSTLSLSKLKIVRMSNLPKMKSFSRGILVTSLLRSIYVTFVKKIWFGSLNNTISYMHHNPGS >Vigun06g048533.1.v1.2 pep primary_assembly:ASM411807v1:6:17277465:17287265:1 gene:Vigun06g048533.v1.2 transcript:Vigun06g048533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIIIPSEDGFSSFFQRDDALAKIGFDGKTKDNLNYISSDLVNEGNATVAIEYCEMKRMHVEDLPNLRSFSSGDIVEWPSLENLVLNHCPNIKKFGLGMIKESQLKSILITQNDEVHIHPHTNLAYLFRDDKLSKITEYTINSDEEIRKTLANLHLSHFTNLQILRAKNCKDSLDIFLFNLIRRSHKLEVINIEQWESLIFNLFHNSYFSAKGGGGFINFTQLKEVKLSKVYGIRYLWYDDRPNVIDLGNLQILHIKDVPSLEYIVSFDQAEKLNQLKELIIEACEALSTIFKDYLDKVRPRTKLPLLRKVEFKSLPNLRQIYSGHLEFPNLKSLIIEKCPLLEKFTTGFADSHEMLTTDGKSFFELNEIVFDSYDNLVCVISSETLQELTSLKKLFVSHCKELKTIFNIHKEISCSTHLLQQLCELTLIDLPKLTCIINKDISRFYQNLKLLQVKQCKSLNMLQVPLKLTNLEIYDCDVLDTIIISEEEEEEEVEGMRGKLTFHELKDVSLENLPSLCVVFPSISEFPSLQTLKVANCCTMISFIEDSKALEESSTTNCFFPSSLLVEKLKILYVINMNVEKLWHWNYPSKSFCELENLILANNNKLLSAISSSMIMRFKNLRKLTLSKCELLTEVFDFEDDNLDHKIHEILPQLEALALINLIKLKYVWNKEPQVSFFSNLVSIYIFQCDNLQSLFSLSSVKNLRKLKILRLCKCEKMEEVISSDISEDENVSIIFPKLECLVMKDLPMLACFYNQSSTLSLSKLKIVRMSNLPKMKSFSRGILVTSLLRSIYVTFVKKIWFGSLNNTISYMHHNPENSFPYFLLEQLRTFC >Vigun01g179800.1.v1.2 pep primary_assembly:ASM411807v1:1:36026779:36032694:-1 gene:Vigun01g179800.v1.2 transcript:Vigun01g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSLTLLFTLLFSLASADPHDVEILRQFRNGLDNPELLPWPDSGDDPCGWKYIFCDNKNRVNQIQAKGLNLSGPLPQNLNQLTNLFNVGLQNNRLNGPLPSFRGLSNLKYLYLDNNNFDSIPSDFFDGLQSLEVLALDNNNLNASSGGWHLPQTLQGSTQLTNLSCMGCNLTGPLPEFLGKMNSLSFLKLSSNNLTGEIPLSLNGSALQVLWLNNQQGERLTGRIDVVASMVSLTSLWLHGNSFTGTIPDNIGDMSSLRELNLNGNNLVGLVPQGLGDLKLDKLDLNNNHFMGPIPNFKAAQVSYNNNDFCVNKSGVPCAFEVMALLGFLGGLNYPENLVDSWSGNDPCGGQWLGIKCNVDGKVNMINLPNMNLSGSLSPSVANLGSLVEIRLGGNDISGAVPGNWSSLTSLTLLDLSGNNISPPLPLFKTGLKPILTGNPLFNGGAENPSSGSKNPSSGSGNVDPASGQSNSSSSDSRETKKSKRKGLVSIVAPIAGVAAAAFLLIPLYAYCFKRRKDGFQAPTSLVIHPRDPSDSDSVVKIAVANNTNGSISNLTGSGSGSRNSSGIGESHVIDAGNLRISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVISSKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMPQGALSKHLFHWKSFELEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKPSNILLADDFRAKVSDFGLVKLAPEGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDEDRPEESQYLAAWFWHIKSDKKKLMAAIDKALDVKEETFESISIIAELAGHCTAREPSQRPEMGHAVNVLAPLVEKWKPFDDDTEEYSGIDYSLPLNQMVKGWQEAEGKDMSYMDLEDSKSSIPARPTGFADSFTSADGR >Vigun06g016200.2.v1.2 pep primary_assembly:ASM411807v1:6:7681474:7682922:1 gene:Vigun06g016200.v1.2 transcript:Vigun06g016200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLCVTSATAPPLGWLRVSSATSPTSFLSSFCTSHQVLEFTPSCFTVAPSTVIGADVTQPLEVRFVDDIVIENEEWWRRSWMPRDPFGFEGVSLKFVIWSLFLFCAGGG >Vigun06g016200.1.v1.2 pep primary_assembly:ASM411807v1:6:7681482:7682888:1 gene:Vigun06g016200.v1.2 transcript:Vigun06g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLCVTSATAPPLGWLRVSSATSPTSFLSSFCTSHQVLEFTPSCFTVAPSTVIGADVTQPLEVRFVDDIVIENEEWWRRSWMPRDPFGFEGVSLKFVIWSLFLFCAGGG >Vigun06g052000.1.v1.2 pep primary_assembly:ASM411807v1:6:17785693:17789339:1 gene:Vigun06g052000.v1.2 transcript:Vigun06g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTVITNSLLFLCFFIFFSLEHLEAQKAPAVYVFGDSLVDVGNNNYLPLSIEKAILPHYGIDFPTKKPTGRFTNGKNAADLIAEKLGLPTSPPYLSLLSKVHNSNKNISFLNGVNFASGGAGIFNASDKGFMQSIPLQKQVDYYSQVHETLRQQIEASSLEKHLSKSIFIVVIGGNDVFGYFDSKDLQNKNTPQQYADSMASTLKLQLQRLYNNGAKKFEIAGVGPIGCCPAYRLKNKTECASAANDLSAKYNEALQYMLKEWKLEKKDINYSYFDTYAALQDLIHNPTSYGFVNVKGACCGLGELNAQIPCLPVSSICSNRQDHVFWDAFHPTEAASRIFVDEIFKGPSKFISPINMEQLLAI >Vigun01g069700.1.v1.2 pep primary_assembly:ASM411807v1:1:18670187:18679023:1 gene:Vigun01g069700.v1.2 transcript:Vigun01g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFVCGDKLWRFHADSFFGSRLSLPTTHLPFWPPRRSSVVSMVVKRSPKRLKYTTGSRFTKEDGLVYIEADPSASDSWKLEPIVNLLKQGAVGVIPTDTLYAIVCDLRSHSAIERLRRIKNIEASKPLSILCHSFRDIDKYTTGFPRGDGQGHANLFKAVKHHLPGPYTFILIASKELPKQCIRFGTSSAKYASRKNVGVHMPDDAICQAILKEMDAPLICTSIKFQKEDEWMIDPVIIADTYGPEGLDFVVDGGVRVADPSTVVDMTKMPPKVLRQGKGPILHWMEFEDDQKTEIDKDLIPAGI >Vigun10g147500.1.v1.2 pep primary_assembly:ASM411807v1:10:36575938:36579373:1 gene:Vigun10g147500.v1.2 transcript:Vigun10g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLITLFMLWLCLLSAIYNVKLIAHGSIMGGSTRFYDFKVQTKRDTKLCNSKDIVTINGMFPGPVIYAQEDDRIIVKVTNETPFNVTIHWHGVRQRLSCWYDGPSLITQCPIQSGQSFTYNFSVVQQKGTFFWHAHVSWLRGTVHGAMIVYPKAGVTYPFKFPYQEHIIILGEYWLKDLQEIEKATLASGGPPPKADAYTINGHPGTNYNCSTNDVYKIDVIPGKTYLLRLINAGLNTENFFAIANHNLTIVEADAEYTKPFTTDSVMIGPGQTLSVLVTANQPVRKYSMAVAPYESGKIVIYQNVSAIAGFNYIGAPSDSLSLPAKLPKLDDEVAVKTVMDGLRSLNQVNVFKEIDKHLFITIGLNVQKCQSKTPKKNCQGMHNGVLAASMNNISFVNPNISILEAYYKKIKGTYTEDFPDTPPKFYDFVNGAPNSIPYDTQSSNGTRIKVLEYGSRVQLILQDTGTVNTENHPMHFHGHSFYVVGYGKGNYNPVTAQFNLVDPPYMNTIGVPTGGWAAIRFVADNPGVWFLHCHIDIHKSWGLGMVFIVNNGQGELESLPHPPPDLPQC >Vigun06g131000.1.v1.2 pep primary_assembly:ASM411807v1:6:25714368:25720676:1 gene:Vigun06g131000.v1.2 transcript:Vigun06g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSGSGSGSGSALTERRGIPGAQFVEDVQTYLTQSGLDVGSALAFLQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEELITDFEVSEGIYSRARIEETDSVCLWLGANVMLEYSLEEATGLLQKNLDNARASLEVLIADLQFLRDQVTITQVTIARVYNWDVHQRRIQQAAAPTAQE >Vigun06g074600.1.v1.2 pep primary_assembly:ASM411807v1:6:20559813:20563433:1 gene:Vigun06g074600.v1.2 transcript:Vigun06g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRVSMSLFLLFLLVSVSVGKKHKFSGPIKTVVVIVMENRSFDHVLGWLKPSRPDIDGLTGTESNPLSVEDPASPAVTVSDDALFIDVDPGHSFQAIREQIFGSNETTLNPAPMNGFAQQAETILPGMAKTVMSGFKPETLPVYTRLATEFAVFDKWFASVPASTQPNRFYIHSATSHGAMSNVRKNLVLGFPQKTIFDSLNENGLTFGIYYQNIPATLFFKSLRKIKNAVKFHDYALKFKKHAQRGNLPNYVVVEQRYFDVEVLPANDDHPSHDVAEGQMFVKEVYEILRKSPQWKEMAVLITYDEHGGFYDHVATPVEGVPNPDGIIGAPPYYFGFDRLGVRVPTFLISPWIEKGTVIHEAEGPTPYSQYEHSSIPATVKKLFNLKSNFLTKRDAWAGTFEKYFHIRDTPRNDCPETLPDIKTLRDHGPREDSSLSEFQVELIQLASQLNGDYVLNSYPNIGKTMTVKEANKYAEDAVKRFVEAAKAALKAGANESSIVTMRPSLTSRVAVGDNPKHVESY >Vigun10g081500.1.v1.2 pep primary_assembly:ASM411807v1:10:22768030:22772041:-1 gene:Vigun10g081500.v1.2 transcript:Vigun10g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLSSLQSFSFHRVHSSFSQNTPTLSFNCQPKPATNARPISLKPYVLEKPRMRVVVLAVKSLEETEAVTVSPENDGPAGELPSGAGVYAVYDKVGEVQFIGLSRNIAASVSSHWKSVPELCGSVKAGLVEEPDREALTQAWKSWMEEYIKVSGKVPPGNESGNATWVRQPPKRKPDLRLTPGRHVQLTVPLENLVETLVKENKVVAFIKGPRSAPLCGFSQRVIAILESEAVDYESVNVLDEEYNYGLRETLKNYSNWPTFPQIFVDGELVGGCDILSSMYEKGELASLLKK >Vigun01g046200.1.v1.2 pep primary_assembly:ASM411807v1:1:6888157:6889152:1 gene:Vigun01g046200.v1.2 transcript:Vigun01g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKCDMIKDIDGRRETLKLAVKIVDLWNVESWDSKRNMKMILMDQKDDLIVAMIKKEDMGVWEDKLKEGESYIMHNFKLLKNRAQ >Vigun03g321900.1.v1.2 pep primary_assembly:ASM411807v1:3:51757155:51761402:-1 gene:Vigun03g321900.v1.2 transcript:Vigun03g321900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKGYSVSWTKHKKEPYFAAGHTRRQQQCIQANDDTAEETSLLNHSLKLALSTSQNTLLPTLPSFVAFLLLLTSIATAFSHPIPSNSPLPPPSHPTANNTFTPLHKLSRIRAHLNKINKPPVKTIKSPDGDLIDCVLSHQQPAFDHPLLRGQRPLDPPERPKGHPNGETVIESFQLWTDSGEICPQGTVPIRRTTEQDFLRASSIRRFGRKVRRDSTGTGHEHAVVFVNRDQYYGAKANINVWTPSVTDPYEFSLSQIWVIAGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPRSIYNARQFDIGLMVWKDPKHGHWWLEFGSGLLVGYWPAYLFSHLRNHASMVQFGGEIVNSRSRGYHTGTQMGSGHFAEEGFRKAAYFRNLQVVDWDNNLLPLSNIHQLADHSNCYDIRVGSNNVWGTYFYYGGPGRNVRCP >Vigun10g020400.6.v1.2 pep primary_assembly:ASM411807v1:10:2401187:2417816:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKGVNSDWFIYEYHALNFLESQCVEGS >Vigun10g020400.5.v1.2 pep primary_assembly:ASM411807v1:10:2401187:2402291:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKGVNSDWFIYEYHALNFLESQLRHCARAALPRVGSAPDMDTRRKRVGRGVGH >Vigun10g020400.2.v1.2 pep primary_assembly:ASM411807v1:10:2401187:2417863:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKGVNSDWFIYEYHALNFLESQNQ >Vigun10g020400.3.v1.2 pep primary_assembly:ASM411807v1:10:2401187:2417825:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKESVDTSSSIGRRFMWQDFISSASFV >Vigun10g020400.4.v1.2 pep primary_assembly:ASM411807v1:10:2401186:2402349:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKGVNSDWFIYEYHALNFLESQCVEGS >Vigun10g020400.1.v1.2 pep primary_assembly:ASM411807v1:10:2401175:2402361:1 gene:Vigun10g020400.v1.2 transcript:Vigun10g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLGFRPTDEELVDFYLKHRLLGDDPRVRVMTDIDLCDVEPWEVPVMLAKSVIPFKDREWCFFSPVKLMSSNSKRFIRRTKSGFWKPTGKDRDVRSRDTNTVIGTKKTLVFKYGRASKGVNSDWFIYEYHALNFLESQVRISFL >Vigun03g037000.1.v1.2 pep primary_assembly:ASM411807v1:3:2848193:2849706:-1 gene:Vigun03g037000.v1.2 transcript:Vigun03g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQCVKPNATVEATQPKCPHKQNNTATTGVSQQKCPHKSNNTASEVNQQKCPLKTNNVVAGEACQPKPNNTAIEVCQPKSQHSSFGQKLSEITSKAFKGHHGRHGSNQNQLQCYSQTQVESQGHNGTKTETHHYGQTQIQQDKKHGVSKTQITVTMVQAQITHTDEDFYPYGTTTCFGAPAKKNGELSNNKKDMNLFRRIKNGMSRYNNTEGGKNSGSSSSSDSESDDEKCNKCPKTKK >Vigun01g035600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4618056:4619363:-1 gene:Vigun01g035600.v1.2 transcript:Vigun01g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSPYPWLKDMNGEDRDKYLARILNACAKFIESGSIMNADTGLDYIAHIASPYGDAMQRVATYVSEGLAFQVLKNLQGVPKAVNLSKTMSTSEEQLVKKTFYDLYPFLKTAYVITSHAIAEAVEGEEVIHVIDLCASDAAQWIYLMHRLKESLRSPSVLKITGIHEKREILEQVDTQLRVEAKSLNFKLEFNAIVSSLENLDLEELPVQKGEALAISSVLQLHTLLATDDAVVCIRSSQEEPMHQRTFAEMLGKQKTYPSSESSLLQLPLCSTSTKMMHFLKGLWKLQPRVMVVTEQESNVNGSLTERVDKALDFYGALFNCLESTVSTTLVQRNIMERTLLGQEIKNIVAGEGVERKERHEKLETWIPKLEMAGFGKRHISHHGIMQASKQLHGYGNGYRLCPENNCLFVCWNDKPLFSVSAWTVQKMNNMVM >Vigun10g182400.1.v1.2 pep primary_assembly:ASM411807v1:10:39970688:39974417:-1 gene:Vigun10g182400.v1.2 transcript:Vigun10g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKIEEVQSTTKKQRVATHTHIKGLGLEASGKASRFAAGFVGQVEAREASGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELTPEETESVSGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMSSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELVVDDESLAFLGDIGQRTSLRHAVQLLSPASIVAKMNGRDNICKADLEEVCSLYLDAKSSAKLLQEQQEKYIS >Vigun03g325200.1.v1.2 pep primary_assembly:ASM411807v1:3:52142023:52143796:-1 gene:Vigun03g325200.v1.2 transcript:Vigun03g325200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNRTREPLSLSLPQPSSPPPEPVNVHLRRRHLLSTTAFTISFNFNLSFATSLFVTEPVAGASGLFQMPPPRLTNRYFLVRAGESEFESMGIINTNPVEKTSVDNGLSERGKKQAIKAAFDLKEMGACDKGCWIWSAITQRAYQTAEIIASVNGVTRSYIVPEYSFLDARGLGAYEGKKLESVSEVYASDGISPNIKPPPIDDGTPNESVADVFVRVTQLMSILETQYSGDTVIIVSPDSDNLTILQAGLIGLDLRRHRDLSFSPGEVRFVDPSDIPAYKQPASAVYKCSKNPPNCN >Vigun09g037300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3286089:3287137:-1 gene:Vigun09g037300.v1.2 transcript:Vigun09g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLQTRSPSFSLIFSPFKPYFPPFKSQPRFSTIRMSSSQSVVEHIVLVKVKDGTEPSKVSAMVNAMNSLASIDGVLHLTAGSVLRNGPTTAFTHMLHSRYPSKDHLQAYNVHPSHIAVATGLIFPISDDVMVVDWVGAKTTLNPSATGSGLRVRFLKLKEDVGDDRDEVLSVVRGVKEGVGELSCGENFSPERAKGFSIASLAIFPGRKELESVKLEEGLVKVVEHVEDAMVVDYVVP >Vigun03g384800.1.v1.2 pep primary_assembly:ASM411807v1:3:59014983:59016582:1 gene:Vigun03g384800.v1.2 transcript:Vigun03g384800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGNRRTTKMMSIMLKLKYQMVVSLVSDKKGWSEQKFDIHKSWVIPEMSSSIPSSHPTFLTNALTPLTHNNPNFRARTVSIPSLKTTVRCTSSQHHQQHQSPRNKNENKLAKLALVALAAGVLTLGSVQDASAAKTGGRIGGQSFKSSPPRSSPRINNSRTNIYINPGVAPPLVGGYGYGYGVPFYGGWGWSPFSFFAPGPSVAVGIGGGFDTLLLFMFLGAAAAVVRRFFGSRNEDDDDY >Vigun05g043000.1.v1.2 pep primary_assembly:ASM411807v1:5:3548228:3550407:1 gene:Vigun05g043000.v1.2 transcript:Vigun05g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQAAKS >Vigun07g288200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40091292:40092356:-1 gene:Vigun07g288200.v1.2 transcript:Vigun07g288200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLACDPADDDYIDMEVNSYSNFFCHSHQSYPQPREFEFQMSSIVQEKEATTSPADELFYKGKLLPLHLPPRLQMVEKLLENSTSPFHKENDTFDEFFSTPLATTYTTPIAGTPFESCNISPSDSCQVSRELNPEEYYNLAYPTDTSDGFVVENQKKSWKKKLKQSSLGSKLKASRAYLKSWFGKSGCSYETYATSTKVADEGSVSKAREILNKHVQVAKKNPYGQIHRDRYQSSDSVMRSYKEKTSEDGSNQHRRSFSVGIKMLPGNKSSSSSSSSFMSGSTSFSFSNKSYGCQARQLLKRCSSANSEIENSIQGAIAHCKKSQQVFSSKNTASRTSVCEDHERVELWRG >Vigun10g031633.1.v1.2 pep primary_assembly:ASM411807v1:10:4155422:4156063:-1 gene:Vigun10g031633.v1.2 transcript:Vigun10g031633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELVNKSLLFKVESRNDQTFKLEQSFRVKKICLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIAEDLLFRFTKETIDCASQSADLIKDTATNEDGNTSLKRESAKKTLSIESIEEDTVLLKLLKRNIKKEKIVKQ >VigunL025750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:94885:95043:-1 gene:VigunL025750.v1.2 transcript:VigunL025750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSYLPHYNLQLNVSFFQPPSKALTERVGWFYLKRIFSMIRLDFILHQQAP >Vigun02g051300.1.v1.2 pep primary_assembly:ASM411807v1:2:19334412:19350771:1 gene:Vigun02g051300.v1.2 transcript:Vigun02g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSHMASLPENATEEHSQQQTQPDSAFASVPQRPPTKSSSEKYAPLDWSQYFDKEDDVAIPASNDVFHIYTTGTEGPVVFCLHGGGYSGLSFAVSASKIKEKARVVAMDLRGHGKSSTENDIDLSVETMCNDVFAVIKELYGNYPPTMILIGHSMGGSIAVHVAARKSLSTLAGLVVVDVVEGTAMASLIHMQKILSNRMQHFSSIEKAIEWSVRAGSVRNIDSARVSIPPTLKYDESKKCYLYRTELEKTEQYWKGWYEGLSDNVSKNYVVTVYLNTLQ >Vigun03g012900.1.v1.2 pep primary_assembly:ASM411807v1:3:869227:870968:1 gene:Vigun03g012900.v1.2 transcript:Vigun03g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVDVAAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Vigun08g109000.6.v1.2 pep primary_assembly:ASM411807v1:8:27072271:27082112:-1 gene:Vigun08g109000.v1.2 transcript:Vigun08g109000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYELVRTVLGVSVSDSLLLVFTTSAALLIGLLVFVWKKSSDRSKEQKSLAVPRLPLREEEEDEVDVALGKTRVVVFFGTQTGTAEGFAKDDYAMDDDQYEEKLKKESLAFFMLATYGDGEPTDNAARFCKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGEIVDQELSEQGAKRLVPLGLGDDDQSIEDDFVAWKESLWPQLDELLRDEDDVNTVSTPYKAAIPEYRVLIHDSTVTSCNDNHLNVANGNTVFDIHHPCRVNVAVQRELHKPDSDRSCIHLEFDISGTGIIYETGDHVGVFAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTFLGGSLPPPFPGPCTLRFALAHYADLLNPPRKAALVALAAHTSESSEADRLNFLSSPQGKDEYSKWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVCGPTPTGRIHKGVCSTWMKSAIPLEKSLECSWAPIFIRTSNFKLPADHSIPIIMVGPGTGLAPFRGFLQERLDLKENGIQIGPALLFFGCRNRQMDFIYEDELNKFVEQGVLSELIVAFSREGPEKEYVQHKMIDKAAILWNLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQEKVDSSKAEAIVKKLQMDGRYLRDVW >Vigun08g109000.1.v1.2 pep primary_assembly:ASM411807v1:8:27072196:27082265:-1 gene:Vigun08g109000.v1.2 transcript:Vigun08g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYELVRTVLGVSVSDSLLLVFTTSAALLIGLLVFVWKKSSDRSKEQKSLAVPRLPLREEEEDEVDVALGKTRVVVFFGTQTGTAEGFAKALAEEIKARYEKAVVKVVDLDDYAMDDDQYEEKLKKESLAFFMLATYGDGEPTDNAARFCKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGEIVDQELSEQGAKRLVPLGLGDDDQSIEDDFVAWKESLWPQLDELLRDEDDVNTVSTPYKAAIPEYRVLIHDSTVTSCNDNHLNVANGNTVFDIHHPCRVNVAVQRELHKPDSDRSCIHLEFDISGTGIIYETGDHVGVFAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTFLGGSLPPPFPGPCTLRFALAHYADLLNPPRKAALVALAAHTSESSEADRLNFLSSPQGKDEYSKWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVCGPTPTGRIHKGVCSTWMKSAIPLEKSLECSWAPIFIRTSNFKLPADHSIPIIMVGPGTGLAPFRGFLQERLDLKENGIQIGPALLFFGCRNRQMDFIYEDELNKFVEQGVLSELIVAFSREGPEKEYVQHKMIDKAAILWNLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQEKVDSSKAEAIVKKLQMDGRYLRDVW >Vigun08g109000.2.v1.2 pep primary_assembly:ASM411807v1:8:27072198:27082265:-1 gene:Vigun08g109000.v1.2 transcript:Vigun08g109000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMLLWGRPGLWFSSELKPVPLRDLQRYGDGEPTDNAARFCKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGEIVDQELSEQGAKRLVPLGLGDDDQSIEDDFVAWKESLWPQLDELLRDEDDVNTVSTPYKAAIPEYRVLIHDSTVTSCNDNHLNVANGNTVFDIHHPCRVNVAVQRELHKPDSDRSCIHLEFDISGTGIIYETGDHVGVFAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTFLGGSLPPPFPGPCTLRFALAHYADLLNPPRKAALVALAAHTSESSEADRLNFLSSPQGKDEYSKWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVCGPTPTGRIHKGVCSTWMKSAIPLEKSLECSWAPIFIRTSNFKLPADHSIPIIMVGPGTGLAPFRGFLQERLDLKENGIQIGPALLFFGCRNRQMDFIYEDELNKFVEQGVLSELIVAFSREGPEKEYVQHKMIDKAAILWNLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQEKVDSSKAEAIVKKLQMDGRYLRDVW >Vigun08g109000.3.v1.2 pep primary_assembly:ASM411807v1:8:27072271:27082181:-1 gene:Vigun08g109000.v1.2 transcript:Vigun08g109000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMLLWGRPGLWFSSELKPVPLRDLQRYGDGEPTDNAARFCKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGEIVDQELSEQGAKRLVPLGLGDDDQSIEDDFVAWKESLWPQLDELLRDEDDVNTVSTPYKAAIPEYRVLIHDSTVTSCNDNHLNVANGNTVFDIHHPCRVNVAVQRELHKPDSDRSCIHLEFDISGTGIIYETGDHVGVFAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTFLGGSLPPPFPGPCTLRFALAHYADLLNPPRKAALVALAAHTSESSEADRLNFLSSPQGKDEYSKWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVCGPTPTGRIHKGVCSTWMKSAIPLEKSLECSWAPIFIRTSNFKLPADHSIPIIMVGPGTGLAPFRGFLQERLDLKENGIQIGPALLFFGCRNRQMDFIYEDELNKFVEQGVLSELIVAFSREGPEKEYVQHKMIDKAAILWNLISQGGYLYVCGDAKGKSGLFKSRGYCEKTPNGWTLS >Vigun08g109000.4.v1.2 pep primary_assembly:ASM411807v1:8:27072252:27082260:-1 gene:Vigun08g109000.v1.2 transcript:Vigun08g109000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYELVRTVLGVSVSDSLLLVFTTSAALLIGLLVFVWKKSSDRSKEQKSLAVPRLPLREEEEDEVDVALGKTRVVVFFGTQTGTAEGFAKALAEEIKARYEKAVVKVVDLDDYAMDDDQYEEKLKKESLAFFMLATYGDGEPTDNAARFCKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGEIVDQELSEQGAKRLVPLGLGDDDQSIEDDFVAWKESLWPQLDELLRDEDDVNTVSTPYKAAIPEYRVLIHDSTVTSCNDNHLNVANGNTVFDIHHPCRVNVAVQRELHKPDSDRSCIHLEFDISGTGIIYETGDHVGVFAENCDETVEEAGKLLGQDLDLLFSLHIDNEDGTFLGGSLPPPFPGPCTLRFALAHYADLLNPPRKAALVALAAHTSESSEADRLNFLSSPQGKDEYSKWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPQRVHVTCALVCGPTPTGRIHKGVCSTWMKSAIPLEKSLECSWAPIFIRTSNFKLPADHSIPIIMVGPGTGLAPFRGFLQERLDLKENGIQIGPALLFFGCRNRQMDFIYEDELNKFVEQGVLSELIVAFSREGPEKEYVQHKMIDKAAILWNLISQGGYLYVCGDAKGKSGLFKSRGYCEKTPNGWTLS >Vigun05g198000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38335509:38336371:1 gene:Vigun05g198000.v1.2 transcript:Vigun05g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQRRKVQRSKPAAGSCSRCGGGASVADMMTQTRFCYIPFYSKSWKAIICTFCGATLKSYR >Vigun11g145200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35434841:35435979:1 gene:Vigun11g145200.v1.2 transcript:Vigun11g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQCVLSFSVALLISFLYSSTTLAQLSPASAPLKPAQPTPTPPAEAPKQPLVPSLPDSPSDSTPDTSAVDIVGILRQAKSFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDSSFSELKPGFLNSLSDGQKLELLQFHVLSEYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVGKVLLPMDFFVVAKAPAKAPSLAPESSAKAPKADKEKSLSPDSSESSEINSTNGTSGTVKISHGKFLSLVLGLVLLIALLS >Vigun04g165000.1.v1.2 pep primary_assembly:ASM411807v1:4:38959456:38962706:-1 gene:Vigun04g165000.v1.2 transcript:Vigun04g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLEFHCDPMFAIATFAAIAITVFVIFRSFMCKKGRYHVVAGTMLELLLNFRNLHDYMTALARKHKSYRLLWFRRSEIYTVDPVNVEYILKTNFANYVKGWYHYEKLTDLLGDGIFSVDGEKWLQQRKVSSYEIGTKAVRDFSLQVFKSNAVKLAGVVSKTATSNNPVDFQELFMKSTLDSVFKIVLGVDLNTIYGTYEEGTQFSNAFDEASAITLYRYVDVFWKLKRFLNIGSEAVLRNRIKEVDDFVYKLITIKTEQVQQNSQSDFPEKKADMVSRFLALNETDPKYLRDIILSFVIAGRDTTAATLSWFFYMLCKHPHIQEKVVHEVGEVTELKNTCSIDELADRLTDEALGKMHYLHAALTETLRLYPAVPLDGKLCLSDETLPDGFRIRKGDSISYQSYSMGRMEFIWGEDADEFRPERWLDETGTFQPQSPFKFTAFQAGPRICLGKDFAYRQMKTFAAVLCHSYIFKLRVQNEWVNYKTMLTLHIDGGLHLHASQRFQPN >Vigun09g161500.3.v1.2 pep primary_assembly:ASM411807v1:9:32892069:32895834:1 gene:Vigun09g161500.v1.2 transcript:Vigun09g161500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTACTCHMVFSVERVTPTVVSVFSGIDNTKLLAFRFQFRRNTHLLTAQLSNSFSNFGFHSHSQCLNSVQSHVPSRLPWMGPFPGDIAEVEAYCRIFRNSERLHSALMDALCNPITGECSVSYEVPSDEKRLLEDKIVSVLGCIVALVNSGRRDLLSGRSSIVTPFRAAEVGAMEDTLPPLALFRSEMKKCCESLHVALENYLVPGDDRNLDVWRKLQRLKNLCYDSGFPRGEECPCPLLFANWSPVCLNTPIEDMESKESEAAFWTGGQVTEEGLKWLLDKGYKTIIDLREEDVKDSFYQAAVYDAISSGSIELVRIPVKDGTAPTMEQVERFASYVSDCSKRPIYLHSKEGIWRTSAMVSRWRQYITRTTSQLVSNQAVISNVVLSDYTNGSGKMEDSMIAKGSFQEKDTNLLREGATHGSSASFDSRNSLKTNDEKTKSNGGISQLNPDSRASSQATGASGEGSFPSFSGKTNPLEAQIPPFDIFSKKDMSTFLGSRKMSKPSYFSYQVKRVECLPDSRSSEPKIVGRVKSSNGSARSHHTVGSGWKLESMNNSRSARTTFNGYSEGERNYRADANISTAVNSDIDNVNTNSRRTGDDKDKARLALRDQDLGLIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCARERVSESSLAFTHPSTQQQMLLWKSTPKTVLLLKKPGEHLMEEARQVIFSFSFHL >Vigun09g161500.2.v1.2 pep primary_assembly:ASM411807v1:9:32892069:32899153:1 gene:Vigun09g161500.v1.2 transcript:Vigun09g161500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTACTCHMVFSVERVTPTVVSVFSGIDNTKLLAFRFQFRRNTHLLTAQLSNSFSNFGFHSHSQCLNSVQSHVPSRLPWMGPFPGDIAEVEAYCRIFRNSERLHSALMDALCNPITGECSVSYEVPSDEKRLLEDKIVSVLGCIVALVNSGRRDLLSGRSSIVTPFRAAEVGAMEDTLPPLALFRSEMKKCCESLHVALENYLVPGDDRNLDVWRKLQRLKNLCYDSGFPRGEECPCPLLFANWSPVCLNTPIEDMESKESEAAFWTGGQVTEEGLKWLLDKGYKTIIDLREEDVKDSFYQAAVYDAISSGSIELVRIPVKDGTAPTMEQVERFASYVSDCSKRPIYLHSKEGIWRTSAMVSRWRQYITRTTSQLVSNQAVISNVVLSDYTNGSGKMEDSMIAKGSFQEKDTNLLREGATHGSSASFDSRNSLKTNDEKTKSNGGISQLNPDSRASSQATGASGEGSFPSFSGKTNPLEAQIPPFDIFSKKDMSTFLGSRKMSKPSYFSYQVKRVECLPDSRSSEPKIVGRVKSSNGSARSHHTVGSGWKLESMNNSRSARTTFNGYSEGERNYRADANISTAVNSDIDNVNTNSRRTGDDKDKARLALRDQDLGLIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCARERVSESSLAFTHPSTQQQMLLWKSTPKTVLLLKKPGEHLMEEARQVASFLYYQEKMNVLVEPDVHDIFASIPGFGFVQTFYSQDTCDLHEKVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHNFEDYKQDLQQIIHGNCAQDGVYITLRMRLRCEIFRKGKAAPGKVFDILNEVVVDRGSNPYLSKIECYEHGTS >Vigun09g161500.1.v1.2 pep primary_assembly:ASM411807v1:9:32892069:32899153:1 gene:Vigun09g161500.v1.2 transcript:Vigun09g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTACTCHMVFSVERVTPTVVSVFSGIDNTKLLAFRFQFRRNTHLLTAQLSNSFSNFGFHSHSQCLNSVQSHVPSRLPWMGPFPGDIAEVEAYCRIFRNSERLHSALMDALCNPITGECSVSYEVPSDEKRLLEDKIVSVLGCIVALVNSGRRDLLSGRSSIVTPFRAAEVGAMEDTLPPLALFRSEMKKCCESLHVALENYLVPGDDRNLDVWRKLQRLKNLCYDSGFPRGEECPCPLLFANWSPVCLNTPIEDMESKESEAAFWTGGQVTEEGLKWLLDKGYKTIIDLREEDVKDSFYQAAVYDAISSGSIELVRIPVKDGTAPTMEQVERFASYVSDCSKRPIYLHSKEGIWRTSAMVSRWRQYITRTTSQLVSNQAVISNVVLSDYTNGSGKMEDSMIAKGSFQEKDTNLLREGATHGSSASFDSRNSLKTNDEKTKSNGGISQLNPDSRASSQATGASGEGSFPSFSGKTNPLEAQIPPFDIFSKKDMSTFLGSRKMSKPSYFSYQVKRVECLPDSRSSEPKIVGRVKSSNGSARSHHTVGSGWKLESMNNSRSARTTFNGYSEGERNYRADANISTAVNSDIDNVNTNSRRTGDDKDKARLALRDQDLGLIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCARERVSESSLAFTHPSTQQQMLLWKSTPKTVLLLKKPGEHLMEEARQVASFLYYQEKMNVLVEPDVHDIFASIPGFGFVQTFYSQDTCDLHEKVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHNFEDYKQDLQQIIHGNCAQDGVYITLRMRLRCEIFRKGKAAPGKVFDILNEVVVDRGSNPYLSKIECYEHGRLITKVQADGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPQDARSNAWVSFDGKRRQQLSRGDSVRISMSQYPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >Vigun11g187300.1.v1.2 pep primary_assembly:ASM411807v1:11:38745751:38747359:-1 gene:Vigun11g187300.v1.2 transcript:Vigun11g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVGEDMFNWQATIIGPNDSPYAGGVFLVIIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLMPEIAHMCKTDKVKYESTARSWTQKYAMG >Vigun10g130750.1.v1.2 pep primary_assembly:ASM411807v1:10:33908046:33909474:1 gene:Vigun10g130750.v1.2 transcript:Vigun10g130750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMTCGFGFKDASFKIACSTGAWWIFPRPVDFLTTCGFCSKLEDTKQCVPQVPGRSFHDLWNLLQKIMPKIACSTEF >Vigun11g193800.2.v1.2 pep primary_assembly:ASM411807v1:11:39281380:39283193:1 gene:Vigun11g193800.v1.2 transcript:Vigun11g193800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQTRPKYEYDENLPNRQMMMVSSFASTSPPTPVYIQHPISKFDTLAGIAIKYGVEVADIRKVNGLVTDMHMFALKTLHIPLNGKHPQPSSPGQDETENNSPADSTSCELFESFQPLRRKSSEPKLSPVMRSLQGHYGAKPKMKKCASEIFSMVEYEKRSLKYSENGSSNPNSPLSRKTLSHYRNAFSLATGSEVRSDVMEGIADRKSNLDKRKATLIRRNHKSEVNLQHIPELLLKQDSSSNGGFSTRSANGLGLAQRQKSSSRLAFTPYSKQVV >Vigun11g193800.1.v1.2 pep primary_assembly:ASM411807v1:11:39281376:39283746:1 gene:Vigun11g193800.v1.2 transcript:Vigun11g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQTRPKYEYDENLPNRQMMMVSSFASTSPPTPVYIQHPISKFDTLAGIAIKYGVEVADIRKVNGLVTDMHMFALKTLHIPLNGKHPQPSSPGQDETENNSPADSTSCELFESFQPLRRKSSEPKLSPVMRSLQGHYGAKPKMKKCASEIFSMVEYEKRSLKYSENGSSNPNSPLSRKTLSHYRNAFSLATGSEVRSDVMEGIADRKSNLDKRKATLIRRNHKSEVNLQHIPELLLKQDSSSNGGFSTRSANGLGLAQRQKSSSRLAFTPYSKQVV >Vigun06g061700.1.v1.2 pep primary_assembly:ASM411807v1:6:18947279:18950377:-1 gene:Vigun06g061700.v1.2 transcript:Vigun06g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASRKLSSSLLRPFLCRSPLQFQPTGSFRSFSVPKFTSNVSPIAITKSPQTGAFSRFECGYGLASVQRNSELGPFKNSRGIAPSLASRYMTTEAPSVDTSSQGSLPEPEVPPRIKFKRLDKTGRHIMQILDKEAVEEVRGQREIPDIKPGYIVQLKVEVPENKRRISIIKGIVIARRNAGLNTTFRIRRQVAGVGIESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDRMNALKN >VigunL059300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000451.1:6511:6849:1 gene:VigunL059300.v1.2 transcript:VigunL059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFMLMSRQGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSA >Vigun06g147400.1.v1.2 pep primary_assembly:ASM411807v1:6:27251714:27255223:-1 gene:Vigun06g147400.v1.2 transcript:Vigun06g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGPSAAAGGCCS >Vigun07g124500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23065690:23067187:-1 gene:Vigun07g124500.v1.2 transcript:Vigun07g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVSLRPSDGRGKIVWWDGSVHEIEQSVTVAELMLEHPEQVVVELHSAVNQKRPTPLPADNKLETNKAYLMIPVKRGKPVGLSGEETRRILFILNSALHSKSLVGSSKFVPWLTRLCQNTTIVEPGRKEEEREKNEERCQFSELLPEILEARPEYLNRQLSGKGWKPTLDTIKEKNIDRKHTHWLFLRTF >Vigun06g134200.1.v1.2 pep primary_assembly:ASM411807v1:6:26043080:26046403:1 gene:Vigun06g134200.v1.2 transcript:Vigun06g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDHLFNLRNNFYLGAYQAAINSSDVSNLSEEDALERDTLVHRCYIALGQLQFVISEIHDDAPTPLQAVKLLALYFSSPDTKDSAISSLKEWLADPAIGNNPTLRLVAGLVFLHENDFNEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRLMQQIDEDHTLTQLANAWLDLAVGGSKIQEAYLIFQDMSERYQSTSLLLNGKAVCCMHMGNFDEAETLLVEALNKDARDPETLANLVVCCLHLGKPSNKSFSQLKLSHADHVLVKRVTSAEESFDRALQTFSS >Vigun02g134750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28482421:28483506:1 gene:Vigun02g134750.v1.2 transcript:Vigun02g134750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMRDNAMVRRISACETMGSATTICTDKTGTLTMNEMKVSEVWVGKKLIAEGGYLAPGLVQSLKEGIGLNTTGSVYQPQEISLPEISGSPTEKALLSWAVMDLGMDIDEVKQHCEIIHVETFNSEKKRSGVLIREKRGSNMVHTHWKGAAEMILAMCSNYHDNTGEIMIMGDEERAQIGNIVKNMATKSLRCIAFAQKSSNEKVCEKLEETELTLLGILGLKDPCRPGVEAAVESCKNAGVKIKMITGDNVHTAKMFAGTERLSWGQWGVCVAIGALSWPIGLLVKCIPGRPSK >Vigun06g113500.1.v1.2 pep primary_assembly:ASM411807v1:6:24178536:24184360:1 gene:Vigun06g113500.v1.2 transcript:Vigun06g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDLDEVLQSHTVYSNVSKGVLAKSKDLIAAFGTDDQTNICLDILKKGELQVAGKERESLLSSQFRDIATIVMHKTYNTETQRPYTISMIERLMREIHFAVDPHSTSKKQALELIQELQKHFPIKRCPLRIRATAPQDQLPNLLEKLKEWNATVISKEGSSAQLSVVFEMEPGLYKDCHDFVMNKMHGRFEVLAHSLYVEGDTHVDQYNDYEDMPAPLPKETHDSVLELNEKLQKQTISSTSRPTETQQKQNKCNTCNVSFDDSKLYREHHKSEWHKHNMKRKTKQLPPLTEEECMADMELDDSKSDLKDYSF >Vigun08g039100.2.v1.2 pep primary_assembly:ASM411807v1:8:3814161:3817053:1 gene:Vigun08g039100.v1.2 transcript:Vigun08g039100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGVYHLFYQHNPEAATFGDRIVWGHSVSYDLINWIHLNNAIEPSGAYDINSCWSGSATIIPGKEEPMILYTGIDDKKHQVQNLAVPKNLSDIFLREWVKHSENPVMTPPSGVEVDNFRDPSTAWQGKDGKWRVVVGAQNGDEGKAILYQSEDFVNWKVDPNPFYASQNTGVCECPDFFPVYMNGSTNGVDTSVQNPSVRHVLKISYLSKLHDYYFLGKYASDQGNFIPDVRFTGTSSDLRYDYGKFYASKSFFDHAKNRRILWGWVNESDSTQDDIQKGWAGLQTIPRQVWLDKSGKRLVQWPLEELEQLRGKHIRITGENLVHGSNLEVSGITASQADVEVLFEVPELEKAEFLDPNGVDPQLLCSQEYAARSGTIGPFGLLALASKDLKEHTAIFFRIYRTPNTYVCLMCSDQSRSSLRHDLDKTTYGTIFDIDSNLKTISLRSLIDHSIIESFGDEGRVCITSRVYPSLAIEKDAHLYAFNYGSQSVVVSELNAWSMKQAEIGYEGNISYT >Vigun08g039100.1.v1.2 pep primary_assembly:ASM411807v1:8:3814161:3817053:1 gene:Vigun08g039100.v1.2 transcript:Vigun08g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAELLLFVAVPFLLNNGNGIQAFSTHSINSRATAKQPYRTSYHFQPQENWMNDPNGPMYYKGVYHLFYQHNPEAATFGDRIVWGHSVSYDLINWIHLNNAIEPSGAYDINSCWSGSATIIPGKEEPMILYTGIDDKKHQVQNLAVPKNLSDIFLREWVKHSENPVMTPPSGVEVDNFRDPSTAWQGKDGKWRVVVGAQNGDEGKAILYQSEDFVNWKVDPNPFYASQNTGVCECPDFFPVYMNGSTNGVDTSVQNPSVRHVLKISYLSKLHDYYFLGKYASDQGNFIPDVRFTGTSSDLRYDYGKFYASKSFFDHAKNRRILWGWVNESDSTQDDIQKGWAGLQTIPRQVWLDKSGKRLVQWPLEELEQLRGKHIRITGENLVHGSNLEVSGITASQADVEVLFEVPELEKAEFLDPNGVDPQLLCSQEYAARSGTIGPFGLLALASKDLKEHTAIFFRIYRTPNTYVCLMCSDQSRSSLRHDLDKTTYGTIFDIDSNLKTISLRSLIDHSIIESFGDEGRVCITSRVYPSLAIEKDAHLYAFNYGSQSVVVSELNAWSMKQAEIGYEGNISYT >Vigun01g084475.1.v1.2 pep primary_assembly:ASM411807v1:1:23916602:23920483:1 gene:Vigun01g084475.v1.2 transcript:Vigun01g084475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGQHTSNSKRWMACGCIARLQFPHHKQELQGYNRHLLFSGLWPCDIRSPVASVFMLLVLWSYGLLGPCKHDIFP >Vigun09g216700.1.v1.2 pep primary_assembly:ASM411807v1:9:39076354:39077987:1 gene:Vigun09g216700.v1.2 transcript:Vigun09g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVYCSTASAVIHKSLSKSPIQIPIPKNAVTVNRTSRMRIHVSMVDSSSSDFAKRIERAWLISKQPRPIVCSSCDSKGHIECKWCAGTGFFILGDNMLCEVPSRNTSCVICTGKGSMCCSDCQGTGFRAKWLGEPPTS >Vigun03g166400.2.v1.2 pep primary_assembly:ASM411807v1:3:19178913:19196109:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGYEYDINDLHQEAQARWLKPAEVMYILQNHEKFQLTQEPPQQPTSGSLFLFNKRVLRFFRKDGHNWRKKRDGRAVGEAHERLKVGNVEALNCYYAHGEQNPSFQRRSYWMLDPEYEHIVLVHYRNTSEGRLSSGAGAQLSPSSSSAFSQSPSPYSNQNPGSTSILVDSYEPNQSFSSPGTTEVTSDIFILNNKMDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun03g166400.5.v1.2 pep primary_assembly:ASM411807v1:3:19190080:19196215:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENQENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun03g166400.3.v1.2 pep primary_assembly:ASM411807v1:3:19179750:19196215:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQNHEKFQLTQEPPQQPTSGSLFLFNKRVLRFFRKDGHNWRKKRDGRAVGEAHERLKVGNVEALNCYYAHGEQNPSFQRRSYWMLDPEYEHIVLVHYRNTSEGRLSSGAGAQLSPSSSSAFSQSPSPYSNQNPGSTSILVDSYEPNQSFSSPGTTEVTSDIFILNNKMDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENQENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun03g166400.6.v1.2 pep primary_assembly:ASM411807v1:3:19190080:19196215:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun03g166400.4.v1.2 pep primary_assembly:ASM411807v1:3:19179690:19196215:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYILQNHEKFQLTQEPPQQPTSGSLFLFNKRVLRFFRKDGHNWRKKRDGRAVGEAHERLKVGNVEALNCYYAHGEQNPSFQRRSYWMLDPEYEHIVLVHYRNTSEGRLSSGAGAQLSPSSSSAFSQSPSPYSNQNPGSTSILVDSYEPNQSFSSPGTTEVTSDIFILNNKMDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun03g166400.1.v1.2 pep primary_assembly:ASM411807v1:3:19178913:19196109:1 gene:Vigun03g166400.v1.2 transcript:Vigun03g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGYEYDINDLHQEAQARWLKPAEVMYILQNHEKFQLTQEPPQQPTSGSLFLFNKRVLRFFRKDGHNWRKKRDGRAVGEAHERLKVGNVEALNCYYAHGEQNPSFQRRSYWMLDPEYEHIVLVHYRNTSEGRLSSGAGAQLSPSSSSAFSQSPSPYSNQNPGSTSILVDSYEPNQSFSSPGTTEVTSDIFILNNKMDHMDGTDAESGTSSELEVTQALRRLEVQLSLNEDSFEDIAPFCNKHEIAHDSNPLDNQRVISNKEQSAAFSGPDDQGLFYDEYNGRQGDGGECYHELIDHGYPDVNEEALWTGVLESCKSSTAVKLPPQNVYMAAENQENSVSFSRRVQVPISKQENSHWLNFNSDTSQSSVFSPPQGVDEVKFPAYSSVVETRVTNSGYYETLFDQSQIIAPLDADSSLTIAQKQKFTIKTFSPEWGYATETTKVIIVGSFLCHPSDSTWACMLGNVEVPVQIIHDGVICFEAPPHLPEKVTLCITTGNRESCSEVREFEYRDKTYSCTQCTQSKTDVTKSPEELLLLVRLGQMLLSTSTIKNDNIESGVPLIKQKADDDSWSHIIETLLVGSGTSTGTVDWLLEELLKDKLQQWLSYRSQERNEETGCPLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDINGWTALHWAARFGREKMVASLVASGASAGAVTDPTAQDPIGKTAASIAASNGNKGLAGYLSEVAVTSHLSSLTLEECELSKSTAQLQADMTVTSVSKENLAANGDQASLKDTLAAVRNVTQAAARIQSAFRSHSFRKRRAKEAAAGVDGTSIGGIGSIPEISALSKLAFRNSREHNSAALSIQKKYRGWKGRRDFLSLRQKVVKIQAHVRGYQVRKHYKVIWAVGILDKVVLRWRRKGAGLRGFRQEMDVHEHEDEDILKVFRKQKVDVEIEKAVSRVLSMVDSPDARDQYHRMLEKYRQAKAELAGTSDEASSTTPVGNTLFMEDDFYPFP >Vigun07g023400.1.v1.2 pep primary_assembly:ASM411807v1:7:2010634:2015219:1 gene:Vigun07g023400.v1.2 transcript:Vigun07g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRNGNLDHDPTLNPGLGSPRCPRCLSLLNPNSERGEWTITPVLHDATAVAGTGIGAMLSTVHGFNTGLPYLQKGLKGPKWLPFVVGIPPLLIFTGISTAFGGYVLPKFTQLTVTSYYAASSASHYGISLVTRHIEENYSSKTQHLKRL >Vigun09g203400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37802172:37804394:1 gene:Vigun09g203400.v1.2 transcript:Vigun09g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSELNSHSISMAQPSPTPDSSSSTVQKVKRNLVMRSTWAELNGAMGDLGTYIPIVLSLTLAKQLNLGTTLIFTGFYNIVTGAIYGVPMPVQPMKSIAAVALADPTFSVPEIMASGILTGATLLVLGVTGLMQLAYKLIPLCVVRGIQLAQGLSFALTAVKYVRKVQDLPKSKSLENRHWLGFDGLILATLCVCFIVTINGADEDHGCHRQDQGQEELRRETQVRSKMRKVRRVFFSLPSAFLVFVLGVVLAFVRRPRVMHEFRFGPSSIEVVKMSKHAWRQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPGKDFSVTSLSVTVGLMNLVGGWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKLVLGFVLGSSLAHFFNQFPVGILGVLLLFAGIELAMASRDMNTKEDSFVMLICTAVSLVGSSAALGFLCGMIVFVLLKLRDWTNGKPLNAIWRHEDTNEQV >Vigun09g250800.1.v1.2 pep primary_assembly:ASM411807v1:9:41797506:41805275:-1 gene:Vigun09g250800.v1.2 transcript:Vigun09g250800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKTSILSPTCRHTTASLFAFALHHSQIRPTKTTSPDSQTHDPDLWFNQNSHLLCPIFRFLEVDEDSWQGIKETACSSSFRHHLGSLLTLLSEEGGESKKEAELTKAVDASALSLNDTSTTHSPSGQKTGSQDDMCDSTESSAMLFEKKKVSGTLEIATFEQSLEEATRIGYQRKVTVLYTLVAACVADTDKSRQGYDARHRVALRLLSVWLGVKWIEMEAMEAMVAFSIMNSASKEGAKEEESVGSETSQDKWKRGSIIGAAAITGGALMAVTGGLAAPAIAHGLGALAPALGSLVPAIGASGFAAAATATGSAAGSVAVAASFGAAGAGLTGSKMATRIGSLEEFELKSVGGCNEGHLAVRISISGQVFTEKDFVEPWEGLNDNMERYVLQYESKNLIALSTAVRDWLTSQVTIRLMKDGAMMTVLSSLVTALAWPTTLLATFDIIDSKWAIAVDRSDKAGKVLSEVLLTGLQGNRPVTLVGFSLGARVIFKCLQFLAHADGDHAGIVEKVVLLGAPISISDESWEKARQVVAGRFVNAYSSNDWTLGVTFRASLLSKGLAGIQPVNVHGIENVDVTHVIEGHSSYLQMTLKILEELQLDNCCAVLSTGEVNPEEKKSAM >Vigun08g038800.10.v1.2 pep primary_assembly:ASM411807v1:8:3767384:3771898:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.8.v1.2 pep primary_assembly:ASM411807v1:8:3767336:3771898:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.6.v1.2 pep primary_assembly:ASM411807v1:8:3767285:3771919:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.3.v1.2 pep primary_assembly:ASM411807v1:8:3767263:3771904:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.4.v1.2 pep primary_assembly:ASM411807v1:8:3767261:3771919:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.7.v1.2 pep primary_assembly:ASM411807v1:8:3767299:3771898:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.2.v1.2 pep primary_assembly:ASM411807v1:8:3767261:3771919:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.5.v1.2 pep primary_assembly:ASM411807v1:8:3767384:3771898:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g038800.9.v1.2 pep primary_assembly:ASM411807v1:8:3767384:3771898:-1 gene:Vigun08g038800.v1.2 transcript:Vigun08g038800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASSEISASSGIRNDNGFFHAHQYSSTSINQEPQPKKKRSLPGHPDPDAEVIALTPETLLATNRFVCEICQKGFQRDQNLQLHRRGHNLPWKLKKKNSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESGRAVNPHSLLSSQSQFRSHGLQLEAPPSSSSLVMKREQDLNLLGQEIPSWLSSPTVLEQSLRTTLDFSTPHHSLFHHDQNPNPITTTTTSSSSTTTPSFLPSFSSFHYSTTSPHMSATALLQKASQIGVTVSAAAASQAMIVRPHLFLQQVHVPQCTTTTTTTTTTTGYNNMASSSPVSGMLMAIPSREQIGTHGFAS >Vigun08g170100.1.v1.2 pep primary_assembly:ASM411807v1:8:34084647:34088446:1 gene:Vigun08g170100.v1.2 transcript:Vigun08g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTCLLLFLTQILVVKNSEASNNVPAVIVFGDSSVDSGNNNVIATVLKSNFRPYGRDFEGGRPTGRFCNGRVPPDFIAEAFGVKSTIPAYLDPAYTIQDFATGVCFASAGTGYDNATSAVLNVIPLWKELEFYKEYQARLRSHVGVERANEIISEALYLMSLGTNDFLENYYVFPTRRIHYTVSQYQDFLLSIAENFVRELYALGARKLSITGLVPVGCLPLERATNILGDHGCNEEYNNVALTFNAKLENVVARLNRELPQFKALSANAYSVFSDIIARPSAYGYEVVDKACCSTGTFEMSYLCSDRNPLTCTDAEKYVFWDAFHPTEKTNRIVSNYLIPKLKANFR >Vigun02g038600.1.v1.2 pep primary_assembly:ASM411807v1:2:16210584:16217404:-1 gene:Vigun02g038600.v1.2 transcript:Vigun02g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSWRHHVVIQSLFSRGPLCEKDMHAMFEQLTKRNPGTERQLFDGFILKINKALSCANFELRACINQYDGQVYYGVVNTVADEHSKLGTKYSVPQIAFYKAIIEAIVQDASAKGVIFSIHALNLSLDGQVTIMTDPQSQGSLSNVPSTLKNFSLSQKEKTLNELVQDQWLNLTTEGVIKLGLKSFLDLRSWFRNNDVPSCHVCNEAGIKAEVCQNENCTVRIHQYCLKQLFLQGKVAKVCPSCGTSWPYTVPKEEALQTGDDSEPRQSQRVTRSDRKRQSANRVVVDDGVGCSNQDELNENKEIQNGNVLGRKRRRGRQTDDAETGGPGASQSSAAISGLRRVTRNSARLK >Vigun09g244600.1.v1.2 pep primary_assembly:ASM411807v1:9:41339615:41347925:-1 gene:Vigun09g244600.v1.2 transcript:Vigun09g244600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFYSSQRESVLLGYNNNNNSYENGNNSFIRRSSSSSNSNMEVDFNDVFGGPPRRSSLNEARQSLSESKDWSEEEGEKGWCRWPPEREKPVFGEDIGNRRRHANKNNDFFDDIFGGEESASVCSTPKKRVGEPFTFSRVSSPLPPAADPVAASLPLPFSLPAKLTNGVDLPTFGSPTRTNNINDGFFASNGQGLPDSYSSRFSTQRKELKKDLKPYRQSLLSQEFSNSSPSDKADKATIMKQDISISEVSPSASNGQFHFSIYKWASKGVPMVMSLRTERASKAKDKVKLERCSSTKEWVVSEITTQKSMPYNGSSVMNNGKDVSITSTATENGADSNQTVEQIVSAKPQSRTSYGPQTVTIDVPASSIPRDDARAVESSTHSAGENGFSGKTETARNSQKLESKPLQFLFKESDKKQDNNQMIREKEENRMKSTKKLSAVFDVTVNPMKQEEKTVSVIDVGHDKATSQGPVSLGENMGKGLVKGKVKEFARIFNQETAAKPKVDTKSRPQGSTHKQRDALRKKNKVESGPEQSKEENSTIENTNISADNFSHQEDISEPAAIPDISFTVIGDKDESFHGSFMVQVLAEDEREELQKQEIQMIDKKIKQWSKGKEGNIRSLLSTLQHVLWTECGWKPVPLVDIIEGNAVKRSYQRALLCLHPDKLQQKGASSDQKYIAEKVFDILQEAWTQFNMLGAL >Vigun09g244600.2.v1.2 pep primary_assembly:ASM411807v1:9:41339615:41347925:-1 gene:Vigun09g244600.v1.2 transcript:Vigun09g244600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFYSSQRESVLLGYNNNNNSYENGNNSFIRRSSSSSNSNMEVDFNDVFGGPPRRSSLNEARQSLSESKDWSEEEGEKGWCRWPPEREKPVFGEDIGNRRRHANKNNDFFDDIFGGEESASVCSTPKKRVGEPFTFSRVSSPLPPAADPVAASLPLPFSLPAKLTNGVDLPTFGSPTRTNNINDGFFASNGQGLPDSYSSRFSTQRKELKKDLKPYRQSLLSQEFSNSSPSDKADKATIMKQDISISEVSPSASNGQFHFSIYKWASKGVPMVMSLRTERASKAKDKVKLERCSSTKEWVVSEITTQKSMPYNGSSVMNNGKDVSITSTATENGADSNQTVEQIVSAKPQSRTSYGPQTVTIDVPASSIPRDDARAVESSTHSAGENGFSGKTETARNSQKLESKPLQFLFKESDKKQDNNQMIREKEENRMKSTKKLSAVFDVTVNPMKQEEKTVSVIDVGHDKATSQGPVSLGENMGKGLVKGKVKEFARIFNQETAAKPKVDTKSRPQGSTHKQRDALRKKNKVESGPEQSKEENSTIENTNISADNFSHQEDISEPAIPDISFTVIGDKDESFHGSFMVQVLAEDEREELQKQEIQMIDKKIKQWSKGKEGNIRSLLSTLQHVLWTECGWKPVPLVDIIEGNAVKRSYQRALLCLHPDKLQQKGASSDQKYIAEKVFDILQEAWTQFNMLGAL >Vigun09g244600.3.v1.2 pep primary_assembly:ASM411807v1:9:41339615:41347880:-1 gene:Vigun09g244600.v1.2 transcript:Vigun09g244600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIALVIAITTLDLSLRNSSNQSALSHNLWLRNFCYNSLSPTHHCPLLLQLTHSSKFPFHLTNTILCTPYFRFSTFPPGYNNNNNSYENGNNSFIRRSSSSSNSNMEVDFNDVFGGPPRRSSLNEARQSLSESKDWSEEEGEKGWCRWPPEREKPVFGEDIGNRRRHANKNNDFFDDIFGGEESASVCSTPKKRVGEPFTFSRVSSPLPPAADPVAASLPLPFSLPAKLTNGVDLPTFGSPTRTNNINDGFFASNGQGLPDSYSSRFSTQRKELKKDLKPYRQSLLSQEFSNSSPSDKADKATIMKQDISISEVSPSASNGQFHFSIYKWASKGVPMVMSLRTERASKAKDKVKLERCSSTKEWVVSEITTQKSMPYNGSSVMNNGKDVSITSTATENGADSNQTVEQIVSAKPQSRTSYGPQTVTIDVPASSIPRDDARAVESSTHSAGENGFSGKTETARNSQKLESKPLQFLFKESDKKQDNNQMIREKEENRMKSTKKLSAVFDVTVNPMKQEEKTVSVIDVGHDKATSQGPVSLGENMGKGLVKGKVKEFARIFNQETAAKPKVDTKSRPQGSTHKQRDALRKKNKVESGPEQSKEENSTIENTNISADNFSHQEDISEPAAIPDISFTVIGDKDESFHGSFMVQVLAEDEREELQKQEIQMIDKKIKQWSKGKEGNIRSLLSTLQHVLWTECGWKPVPLVDIIEGNAVKRSYQRALLCLHPDKLQQKGASSDQKYIAEKVFDILQEAWTQFNMLGAL >Vigun09g244600.4.v1.2 pep primary_assembly:ASM411807v1:9:41339615:41347880:-1 gene:Vigun09g244600.v1.2 transcript:Vigun09g244600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIALVIAITTLDLSLRNSSNQSALSHNLWLRNFCYNSLSPTHHCPLLLQLTHSSKFPFHLTNTILCTPYFRFSTFPPGYNNNNNSYENGNNSFIRRSSSSSNSNMEVDFNDVFGGPPRRSSLNEARQSLSESKDWSEEEGEKGWCRWPPEREKPVFGEDIGNRRRHANKNNDFFDDIFGGEESASVCSTPKKRVGEPFTFSRVSSPLPPAADPVAASLPLPFSLPAKLTNGVDLPTFGSPTRTNNINDGFFASNGQGLPDSYSSRFSTQRKELKKDLKPYRQSLLSQEFSNSSPSDKADKATIMKQDISISEVSPSASNGQFHFSIYKWASKGVPMVMSLRTERASKAKDKVKLERCSSTKEWVVSEITTQKSMPYNGSSVMNNGKDVSITSTATENGADSNQTVEQIVSAKPQSRTSYGPQTVTIDVPASSIPRDDARAVESSTHSAGENGFSGKTETARNSQKLESKPLQFLFKESDKKQDNNQMIREKEENRMKSTKKLSAVFDVTVNPMKQEEKTVSVIDVGHDKATSQGPVSLGENMGKGLVKGKVKEFARIFNQETAAKPKVDTKSRPQGSTHKQRDALRKKNKVESGPEQSKEENSTIENTNISADNFSHQEDISEPAIPDISFTVIGDKDESFHGSFMVQVLAEDEREELQKQEIQMIDKKIKQWSKGKEGNIRSLLSTLQHVLWTECGWKPVPLVDIIEGNAVKRSYQRALLCLHPDKLQQKGASSDQKYIAEKVFDILQEAWTQFNMLGAL >Vigun05g013300.1.v1.2 pep primary_assembly:ASM411807v1:5:1053294:1056324:1 gene:Vigun05g013300.v1.2 transcript:Vigun05g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRISDPSVQSDMKLWPFKVIAGAGDKPMIGVNYKGEEKQFAAEEISSMVLTKMREIAEAYLGANVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKDLCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKISSKLSSEDKTKIDNAIEQAIQWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGGAGAGGDMDDGAPPAGGSGAGPKIEEVD >Vigun05g138300.1.v1.2 pep primary_assembly:ASM411807v1:5:16799095:16802719:1 gene:Vigun05g138300.v1.2 transcript:Vigun05g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGVLESDPGVMVKKAIELKRELQRLVKTIMDDEDWSTETIDQAKETLCVLKELKLRKRSSLSFKLQNRSVSSCPDEFKCPLSKELMRDPVIVASGQTYDRPFIQKWINAGNRTCPRTHQVLSHTVLTPNHLIREMIEQWSKNQGVELSNTVQYIDEEGLNQADRDHFLCLLKKMSSTLSDQKTAAKELRMLTKKHPCFRVLFCDSVDAIPQLLKPICGSDSLSSVHPDLQEDVITTLLNISIHDNNKKLVAETPMVIPLLMRALRSGTIETRSNAAATLFTLSALDSNKEIIGKSGALKPLIDLLEEGHPLAMKDVASAIFNICVMHENKARAVKEGAVRVILTKIKNEVHVDELLAILALLSSHQRAIHEMGDLGAVASLLRIIRESSCERNKENCVAILQTICLHDRSKLKEIREEENSHKTISELAQSGTSRAKRKASGILERLNRVVNITHTA >Vigun03g197700.1.v1.2 pep primary_assembly:ASM411807v1:3:28214141:28223586:1 gene:Vigun03g197700.v1.2 transcript:Vigun03g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAKSEQIVDVGSVVEAVSADDGDAPLYSLESLCMRCGENGITRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCRYTLAIPSGKQKMLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVRLNHIITLAVSICALYFVARMMPIVNIILSFYNWKCKLWASENCGRDTLRAADELQALQEERKKVAPETAEAIDQFLVKLQACATGESAITFILDDPAGNSFIENPFAPSSDPSSTIKFYERTPEQQASLGYLVDSTQIEGTHVDAQSNSAEMAEALFRYTAPEEVMTFPSTCGACAAKCETRMFVTNIPYFQEVIVMASTCDVCGYRNSELKPGGRIPEKGKTITLSVKNVNDLSRDVIKSDTASVKVPELDLELASGTLGGIVTTVEGLITRIGDSLERVHGFTFGDSLDENSRSKWIDFKTRLNKLLSLEEAWTLILDDALANSFIAPATDDLKEDKQLAFEEYERSWEQNEELGLNDMDTSSAEVGSAPTNTSKTD >Vigun08g021700.2.v1.2 pep primary_assembly:ASM411807v1:8:1895833:1903235:-1 gene:Vigun08g021700.v1.2 transcript:Vigun08g021700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAIMDIVTSSCDNAQDVSFNPSLPGNAETRDIAAALQVIEEGCLQLDEPPEGEDDGGGTGKKGIGIKILEGTSVLGLSRTSSDSYSEELKHQTPKTITYQNKYDNSRQQNHVSSSVVPGLWDDLHCEHVAVPFATWALANWATASESNRTHIQELDRDGQAVMAALMAPERSVKWHASLVVRLLLEDRNTPLNESISEWASSILSTISQASKNEDVSLANVALSALLLSVERSPAVQNILMENGLNPMREIAKQMTKHKQVQEAMAKALELLCTGELHLSLEEGQKWSGILVPWVFGTFSSDTIRSSAIKILSQIMEDYGPISVPLSQGWLAVMLSKVHSSIKKSNDNGTSQPKSDNVKTLINNANIASAAQVANQLSTAVVNLAAKRMGVASNSGDASPLADFLSLEPLAGPFKNLKKDNFPKLDAADSAVATLKGIKALTEVCAEDSACQDMIVDFGILCLLRRFMLSDDYEKLAAIEAYDASSRAHEGKERISNVDGKPPVSDVYNSASVRVPPTAHIRKHAARLLTILSLLPKVKKVITADETWCKWLDDCANGRIPGCNDLKMQSYARAALLNVFCNNQPNNGRSGSGGPSDGGVKSYRNACPRYDDMILLINSHLPHWKCPKETDQQESFSSISLAPSADTDNGTESLNNSNCSISNDSTKINRDRNLPPLDIVFVHGLRGGPYKTWRIAEEKSSTASHLVEKVDEEAGKLGTFWPGEWLSSDFPEARLFTLKYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGDRPVVFVTHSLGGLVVKQILHKAKEERLNNFVKNTMGIVFYSCPHFGSKLADMPWRMGFVLRPAPTIGELRSGSQKLIELNDYIRHLHKKGLVDVLSFCETKVTPIVEAYGGWAFRTEIVPIESAYPGFGDLVVLESTDHINSCKPVSRSDPSYTETLKFLQRLKTCLDSAYTSGEELS >Vigun08g021700.4.v1.2 pep primary_assembly:ASM411807v1:8:1895832:1903235:-1 gene:Vigun08g021700.v1.2 transcript:Vigun08g021700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAIMDIVTSSCDNAQDVSFNPSLPGNAETRDIAAALQVIEEGCLQLDEPPEGEDDGGGTGKKGIGIKILEGTSVLGLSRTSSDSYSEELKHQTPKTITYQNKYDNSRQQNHVSSSVVPGLWDDLHCEHVAVPFATWALANWATASESNRTHIQELDRDGQAVMAALMAPERSVKWHASLVVRLLLEDRNTPLNESISEWASSILSTISQASKNEDVSLANVALSALLLSVERSPAVQNILMENGLNPMREIAKQMTKHKQVQEAMAKALELLCTGELHLSLEEGQKWSGILVPWVFGTFSSDTIRSSAIKILSQIMEDYGPISVPLSQGWLAVMLSKVHSSIKKSNDNGTSQPKSDNVKTLINNANIASAAQVANQLSTAVVNLAAKRMGVASNSGDASPLADFLSLEPLAGPFKNLKKDNFPKLDAADSAVATLKGIKALTEVCAEDSACQDMIVDFGILCLLRRFMLSDDYEKLAAIEAYDASSRAHEGKERISNVDGKPPVSDVYNSASVRVPPTAHIRKHAARLLTILSLLPKVKKVITADETWCKWLDDCANGRIPGCNDLKMQSYARAALLNVFCNNQPNNGRSGSGGPSDGGVKSYRNACPRYDDMILLINSHLPHWKCPKETDQQESFSSISLAPSADTDNGTESLNNSNCSISNDSTKINRDRNLPPLDIVFVHGLRGGPYKTWRIAEEKSSTASHLVEKVDEEAGKLGTFWPGEWLSSDFPEARLFTLKYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGDRPVVFVTHSLGGLVVKQILHKAKEERLNNFVKNTMGIVFYSCPHFGSKLADMPWRMGFVLRPAPTIGELRSGSQKLIELNDYIRHLHKKGLVDVLSFCETKVTPIVEAYGGWAFRTEIVPIESAYPGFGDLVVLESTDHINSCKPVSRSDPSYTETLKFLQRLKTCLDSAYTSGEELS >Vigun08g021700.1.v1.2 pep primary_assembly:ASM411807v1:8:1895830:1903235:-1 gene:Vigun08g021700.v1.2 transcript:Vigun08g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRICNRTRSLLRHHLPRTISSSTKTCNSTKKSVENSQIAAIHDRSHKLSPIAQHSSSRAPVVALSAAAAILTSAAILNSDYVRHHSDRGGEPNLLYERAENATRKAADSFDRFFHHARRTGVAAAVLWHSLCSVLSSANHEVRSGFEIRVAALLADIAAANSGRRASIVGAGGGAVVDWLLEAVTKEGGGGGGTQAESARALAYLIADPNVSAAVLGRPHAVPNLLRFIFSCQPRRSKNKKHSRRSAFDISDSLKGRSMLVAAIMDIVTSSCDNAQDVSFNPSLPGNAETRDIAAALQVIEEGCLQLDEPPEGEDDGGGTGKKGIGIKILEGTSVLGLSRTSSDSYSEELKHQTPKTITYQNKYDNSRQQNHVSSSVVPGLWDDLHCEHVAVPFATWALANWATASESNRTHIQELDRDGQAVMAALMAPERSVKWHASLVVRLLLEDRNTPLNESISEWASSILSTISQASKNEDVSLANVALSALLLSVERSPAVQNILMENGLNPMREIAKQMTKHKQVQEAMAKALELLCTGELHLSLEEGQKWSGILVPWVFGTFSSDTIRSSAIKILSQIMEDYGPISVPLSQGWLAVMLSKVHSSIKKSNDNGTSQPKSDNVKTLINNANIASAAQVANQLSTAVVNLAAKRMGVASNSGDASPLADFLSLEPLAGPFKNLKKDNFPKLDAADSAVATLKGIKALTEVCAEDSACQDMIVDFGILCLLRRFMLSDDYEKLAAIEAYDASSRAHEGKERISNVDGKPPVSDVYNSASVRVPPTAHIRKHAARLLTILSLLPKVKKVITADETWCKWLDDCANGRIPGCNDLKMQSYARAALLNVFCNNQPNNGRSGSGGPSDGGVKSYRNACPRYDDMILLINSHLPHWKCPKETDQQESFSSISLAPSADTDNGTESLNNSNCSISNDSTKINRDRNLPPLDIVFVHGLRGGPYKTWRIAEEKSSTASHLVEKVDEEAGKLGTFWPGEWLSSDFPEARLFTLKYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGDRPVVFVTHSLGGLVVKQILHKAKEERLNNFVKNTMGIVFYSCPHFGSKLADMPWRMGFVLRPAPTIGELRSGSQKLIELNDYIRHLHKKGLVDVLSFCETKVTPIVEAYGGWAFRTEIVPIESAYPGFGDLVVLESTDHINSCKPVSRSDPSYTETLKFLQRLKTCLDSAYTSGEELS >Vigun08g021700.5.v1.2 pep primary_assembly:ASM411807v1:8:1895854:1901992:-1 gene:Vigun08g021700.v1.2 transcript:Vigun08g021700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAIMDIVTSSCDNAQDVSFNPSLPGNAETRDIAAALQVIEEGCLQLDEPPEGEDDGGGTGKKGIGIKILEGTSVLGLSRTSSDSYSEELKHQTPKTITYQNKYDNSRQQNHVSSSVVPGLWDDLHCEHVAVPFATWALANWATASESNRTHIQELDRDGQAVMAALMAPERSVKWHASLVVRLLLEDRNTPLNESISEWASSILSTISQASKNEDVSLANVALSALLLSVERSPAVQNILMENGLNPMREIAKQMTKHKQVQEAMAKALELLCTGELHLSLEEGQKWSGILVPWVFGTFSSDTIRSSAIKILSQIMEDYGPISVPLSQGWLAVMLSKVHSSIKKSNDNGTSQPKSDNVKTLINNANIASAAQVANQLSTAVVNLAAKRMGVASNSGDASPLADFLSLEPLAGPFKNLKKDNFPKLDAADSAVATLKGIKALTEVCAEDSACQDMIVDFGILCLLRRFMLSDDYEKLAAIEAYDASSRAHEGKERISNVDGKPPVSDVYNSASVRVPPTAHIRKHAARLLTILSLLPKVKKVITADETWCKWLDDCANGRIPGCNDLKMQSYARAALLNVFCNNQPNNGRSGSGGPSDGGVKSYRNACPRYDDMILLINSHLPHWKCPKETDQQESFSSISLAPSADTDNGTESLNNSNCSISNDSTKINRDRNLPPLDIVFVHGLRGGPYKTWRIAEEKSSTASHLVEKVDEEAGKLGTFWPGEWLSSDFPEARLFTLKYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGDRPVVFVTHSLGGLVVKQILHKAKEERLNNFVKNTMGIVFYSCPHFGSKLADMPWRMGFVLRPAPTIGELRSGSQKLIELNDYIRHLHKKGLVDVLSFCETKVTPIVEAYGGWAFRTEIVPIESAYPGFGDLVVLESTDHINSCKPVSRSDPSYTETLKFLQRLKTCLDSAYTSGEELS >Vigun08g021700.3.v1.2 pep primary_assembly:ASM411807v1:8:1895854:1903235:-1 gene:Vigun08g021700.v1.2 transcript:Vigun08g021700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRICNRTRSLLRHHLPRTISSSTKTCNSTKKSVENSQIAAIHDRSHKLSPIAQHSSSRAPVVALSAAAAILTSAAILNSDYVRHHSDRGGEPNLLYERAENATRKAADSFDRFFHHARRTGVAAAVLWHSLCSVLSSANHEVRSGFEIRVAALLADIAAANSGRRASIVGAGGGAVVDWLLEAVTKEGGGGGGTQAESARALAYLIADPNVSAAVLGRPHAVPNLLRFIFSCQPRRSKNKKQHSRRSAFDISDSLKGRSMLVAAIMDIVTSSCDNAQDVSFNPSLPGNAETRDIAAALQVIEEGCLQLDEPPEGEDDGGGTGKKGIGIKILEGTSVLGLSRTSSDSYSEELKHQTPKTITYQNKYDNSRQQNHVSSSVVPGLWDDLHCEHVAVPFATWALANWATASESNRTHIQELDRDGQAVMAALMAPERSVKWHASLVVRLLLEDRNTPLNESISEWASSILSTISQASKNEDVSLANVALSALLLSVERSPAVQNILMENGLNPMREIAKQMTKHKQVQEAMAKALELLCTGELHLSLEEGQKWSGILVPWVFGTFSSDTIRSSAIKILSQIMEDYGPISVPLSQGWLAVMLSKVHSSIKKSNDNGTSQPKSDNVKTLINNANIASAAQVANQLSTAVVNLAAKRMGVASNSGDASPLADFLSLEPLAGPFKNLKKDNFPKLDAADSAVATLKGIKALTEVCAEDSACQDMIVDFGILCLLRRFMLSDDYEKLAAIEAYDASSRAHEGKERISNVDGKPPVSDVYNSASVRVPPTAHIRKHAARLLTILSLLPKVKKVITADETWCKWLDDCANGRIPGCNDLKMQSYARAALLNVFCNNQPNNGRSGSGGPSDGGVKSYRNACPRYDDMILLINSHLPHWKCPKETDQQESFSSISLAPSADTDNGTESLNNSNCSISNDSTKINRDRNLPPLDIVFVHGLRGGPYKTWRIAEEKSSTASHLVEKVDEEAGKLGTFWPGEWLSSDFPEARLFTLKYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGDRPVVFVTHSLGGLVVKQILHKAKEERLNNFVKNTMGIVFYSCPHFGSKLADMPWRMGFVLRPAPTIGELRSGSQKLIELNDYIRHLHKKGLVDVLSFCETKVTPIVEAYGGWAFRTEIVPIESAYPGFGDLVVLESTDHINSCKPVSRSDPSYTETLKFLQRLKTCLDSAYTSGEELS >Vigun07g164100.1.v1.2 pep primary_assembly:ASM411807v1:7:27624607:27632832:1 gene:Vigun07g164100.v1.2 transcript:Vigun07g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPESRNRHGPEAQLVPESGSAPGPVPNPQPKTETVRTEQVHAQLGLKSESKADPAVKDSDLRRTTTVRSNEANANPSPTPQLRKDEGSRTFTMRELLNGLKNDSEPEKEDANSPYSPERQQQHAEQNTAAMELINSVVGVDDEGRSRQRILTFAARRYATAIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATLLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSVNAQEVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKVGYLNAPPVGSMIAPHNDWKRSEFLLDHEKLQQVPRGDHKQVPQSLSGRSVDAVNGDKKTIKVDIADIISVSACADLTLPPGAGLCIDTSHGSFYLVADSWESLDGWLDALRLVYTIYVRGKSDVLAGIITG >Vigun02g006800.2.v1.2 pep primary_assembly:ASM411807v1:2:2955912:2957062:-1 gene:Vigun02g006800.v1.2 transcript:Vigun02g006800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLLWLPEYPTFQPGWDQEQVHATFFKCISWQVEETLDTVNCPYHYFCDKTHPSNYPLLVDVLVLLFAAASYLMTLVTVIMDMSSGRGRIFLSQSKRFLLPSGPVSLPIIILAFAKGPQINTVFPLSCLGPAILLMVLISALSFDSAADKDFKYTFFVASTVSGILHASLYLDSVVLPYYTGFDALMSSTFSGKLLFIKELMEKFCWILITVDCVYLTLNSPPERVVLRVAAFGGIFLLIFLHVLREACNQIYSMAYLAQKFRWMSSSLETI >Vigun08g197400.1.v1.2 pep primary_assembly:ASM411807v1:8:36307722:36310723:-1 gene:Vigun08g197400.v1.2 transcript:Vigun08g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLMLVGGGLFAYISKGSVASLAGGAGSGLLLIIAGYISLNAFGKRKNSYFALVLETACAATLTGVMGRRYLETSKIMPAGLVAGISALMTLFYLFKLATGGNHLPTKAE >Vigun08g043100.2.v1.2 pep primary_assembly:ASM411807v1:8:4486122:4488786:1 gene:Vigun08g043100.v1.2 transcript:Vigun08g043100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSPSTWPLMATRFLLTQHVGIDDNSLKSVAFAKFDIDKNLGKKCNVLIAYSASNKTLFVAWSFNETATSHSNSSLSYKIDLMEILPEWVDVGFSAATGELRERNVIHSWEFSSTLNSDATDNSSGEGYRGNGKGNVLMVAVLTSLTVLVVVVGSVAVWAMMTKKRRDKVDKSNDGEVGANSVKFDLDRSTIPRRFDYKELLAATNGFADDRKLGRGGSGQVYKGVLSNLGRVVAVKRIFANFANSERVFINEVRVISRLIHKNLVQFVGWCHEQGEFLLLFEYMPNGSLDTHLFGDKKPLTWDVRYKVALGVAAAVRYLHEDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKFVDPRLRTERTGVVGTYGYLAPEYMNGGRASKESDIYSFGVVALEIACGRRTYRDGEFHVPLMNWVWQRYVEGNVMDVVDERLKKDFNVDEMRSLIIVGLWCTNPNDKERPKAAQVIKVLELEAPLPELPLDLHDRPPASLVTYSQQPNSQSMQTLPFTDSFISIGR >Vigun08g043100.1.v1.2 pep primary_assembly:ASM411807v1:8:4486122:4488786:1 gene:Vigun08g043100.v1.2 transcript:Vigun08g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEMVTTFVFVLVLHPPSLKNVESLNFNITNFDDPESAKNMAYVGDGKASNGSVELNIVDYLFRVGRALSAKPLHLWDQSSGVVTDFTTRFTFSIDRATNGTYADGFAFYMAPHGYPIPPNSGGGTFALFNTTSNTFIRQNHVLAVEFDTFNGTIDPPMQHVGIDDNSLKSVAFAKFDIDKNLGKKCNVLIAYSASNKTLFVAWSFNETATSHSNSSLSYKIDLMEILPEWVDVGFSAATGELRERNVIHSWEFSSTLNSDATDNSSGEGYRGNGKGNVLMVAVLTSLTVLVVVVGSVAVWAMMTKKRRDKVDKSNDGEVGANSVKFDLDRSTIPRRFDYKELLAATNGFADDRKLGRGGSGQVYKGVLSNLGRVVAVKRIFANFANSERVFINEVRVISRLIHKNLVQFVGWCHEQGEFLLLFEYMPNGSLDTHLFGDKKPLTWDVRYKVALGVAAAVRYLHEDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKFVDPRLRTERTGVVGTYGYLAPEYMNGGRASKESDIYSFGVVALEIACGRRTYRDGEFHVPLMNWVWQRYVEGNVMDVVDERLKKDFNVDEMRSLIIVGLWCTNPNDKERPKAAQVIKVLELEAPLPELPLDLHDRPPASLVTYSQQPNSQSMQTLPFTDSFISIGR >Vigun03g344700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54384163:54384798:1 gene:Vigun03g344700.v1.2 transcript:Vigun03g344700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNEDDELPVAPYASLTQCFHYPSPTQRPILTSTMETGEGHNLTHLDQPHSLHHIPFFCSQLHLPSNSHDPIQIPLHKPSPNTSSNSNNNNNSDEAKALLDDRKKKRMFSNRESARRSRMRKKQQIEVLQYHVDHLQTLNHQLSQKIIYLLECNQQIHQQNAQLKEKVSSLQVALSDLLVPAGGAEQPHHISNGFLAEPSSTRPIASSRA >Vigun03g340700.1.v1.2 pep primary_assembly:ASM411807v1:3:53930133:53933581:1 gene:Vigun03g340700.v1.2 transcript:Vigun03g340700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTEKFTQKTKAAVSSAHELAMSSGHVQLTTLHLAHVLISDPNDIFYKAISNAGDEPSARSVERVLGQAIKKLPSQSPPPDEVPANANLMKTVERAHALQKSVGDTHLAVDQLIIGLLEDSQMGELLREAGVAAARVKSEVKKLRGKEVRKVESASGGPTFLALETYGCNLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRQNVPSNLSDVRLVALDMGALVAGTKYRGDFEERLKEVLKEVEKAEGKVILFIDEIHLVLGAGSTDGSMDAANLLKPMLARGQLRCIGATTLGEYRKYVEKDAAFERRFQQVFVAEPSVADAISILRGLKERYEGHHGVRIQDRALLMAVQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLESKRMQLEVELHALEKENDKASKARFIEVRKELDDLREKLQPLMMRYRKEKERVDEIRRLKQKREALLFALQEAERRYDLARAADLRYGAIQEVEAAIQRLEENTGENLMLTEAVGPEQIAEVVSRWTGIPVTSLGQNEKQRLIGLGERLHNRVVGQHLAVNSVTEAVLRSRTGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKQLVRIDMSEYMVQQSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHPSVFNTLLQVLDDGRLTDGQGLTVDFRNTVIMMTSNLGAEHLLSGLSGKCPMHIARDLVMEEVRKHFRPELLNRLDEIVVFDTLSREQLVKVARLQMKDVCNRLAARGIALAVTDAALDYIVGESYDPVYGARPIRRWLEKKVVTELSRMLLREDIDGNSRVCIGVGFKGRELVYRVEKNGEVVDLATGQKSDILNQIPDGPVLQINEEIKE >Vigun03g340700.2.v1.2 pep primary_assembly:ASM411807v1:3:53930133:53933581:1 gene:Vigun03g340700.v1.2 transcript:Vigun03g340700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTEKFTQKTKAAVSSAHELAMSSGHVQLTTLHLAHVLISDPNDIFYKAISNAGDEPSARSVERVLGQAIKKLPSQSPPPDEVPANANLMKTVERAHALQKSVGDTHLAVDQLIIGLLEDSQMGELLREAGVAAARVKSEVKKLRGKEVRKVESASGGPTFLALETYGCNLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRQNVPSNLSDVRLVALDMGALVAGTKYRGDFEERLKEVLKEVEKAEGKVILFIDEIHLVLGAGSTDGSMDAANLLKPMLARGQLRCIGATTLGEYRKYVEKDAAFERRFQQVFVAEPSVADAISILRGLKERYEGHHGVRIQDRALLMAVQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLESKRMQLEVELHALEKENDKASKARFIEVRKELDDLREKLQPLMMRYRKEKERVDEIRRLKQKREALLFALQEAERRYDLARAADLRYGAIQEVEAAIQRLEENTGENLMLTEAVGPEQIAEVVSRWTGIPVTSLGQNEKQRLIGLGERLHNRVVGQHLAVNSVTEAVLRSRTGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDEKQLVRIDMSEYMVQQSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHPSVFNTLLQVLDDGRLTDGQGLTVDFRNTVIMMTSNLGAEHLLSGLSGKCPMHIARDLVMEEVRKHFRPELLNRLDEIVVFDTLSREQLVKVARLQMKDVCNRLAARGIALAVTDAALDYIVGESYDPVGVWS >Vigun10g096000.1.v1.2 pep primary_assembly:ASM411807v1:10:28220000:28221744:1 gene:Vigun10g096000.v1.2 transcript:Vigun10g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKHLSVFLYSFVFASVASTAFSSLSPDYYDYSCPNALSTIRSVVEAAVQKEQRMGASLLRLHFHDCFVNGCDGSILLDPSPTIDSEKNALPNVQSARGFEVVDEIKQALDEACGKPVFSCADILAVAARDSVVALGGPTWEVKLGRRDSTTASREAANANIPAPFFNLSQLITNFKNHGLDEKDLVVLSGGHTIGIARCATFRDHIYNDSNINHRFAKELKYICPRKGGDLNLAPLDHTAAKFDSAYFSGLVHKKGVLHSDQELFNGGSTDALVKRYSYNSKAFYQDFAKSMIKMGNIKPLTGSKGQIRLNCRKVN >Vigun10g160100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37910397:37911550:-1 gene:Vigun10g160100.v1.2 transcript:Vigun10g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIGDSLNVDLAAAVNNLFNIPETMEKLMFIPSSGGHEQHESRGVSSMIPVDILETPKEFIFFMDVPGLSKSEIQVTVEDGNTVVIRSNGKRKRHDGDDEGCKYLRLERRGSQNLLRKFRLPQNADVSAITAKCENGVLTVVVGKHPPPKSKSVQVAIA >Vigun10g160100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37910397:37911550:-1 gene:Vigun10g160100.v1.2 transcript:Vigun10g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIGDSLNVDLAAAVNNLFNIPETMEKLMFIPSSGGHEQHESRGVSSMIPVDILETPKEFIFFMDVPGLSKSEIQVTVEDGNTVVIRSNGKRKRHDGDDEGCKYLRLERRGSQNLLRKFRLPQNADVSAITAKCENGVLTVVVGKHPPPKSKSVQVAIA >Vigun02g030700.1.v1.2 pep primary_assembly:ASM411807v1:2:12914080:12918783:-1 gene:Vigun02g030700.v1.2 transcript:Vigun02g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPQGYRPNVGVCLINSDDQIFVASRLNVPGAWQMPQGGIEEGEEPKSAAIRELREETGIVSAEIIAEVQKWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDDSEVNLSNGEAEPEFAEWKWADPEEVIEQAVDYKRPTYEEVIRTFKPYFQGSAISGKCKSTKW >Vigun02g030700.2.v1.2 pep primary_assembly:ASM411807v1:2:12914080:12918783:-1 gene:Vigun02g030700.v1.2 transcript:Vigun02g030700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPQGYRPNVGVCLINSDDQGGIEEGEEPKSAAIRELREETGIVSAEIIAEVQKWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDDSEVNLSNGEAEPEFAEWKWADPEEVIEQAVDYKRPTYEEVIRTFKPYFQGSAISGKCKSTKW >VigunL060208.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:44608:44775:1 gene:VigunL060208.v1.2 transcript:VigunL060208.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun07g227200.1.v1.2 pep primary_assembly:ASM411807v1:7:34928175:34931580:1 gene:Vigun07g227200.v1.2 transcript:Vigun07g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVPAFPLTIVTHVLGVVATVLVLVWVINFRGGLAWEDENKQLIFNIHPFLIVFGLIVHGGEAIISYKALPLKKEVKKVVHLGLHGAALALGIIGIYTAFKYHNESGIANLYSLHSWLGIGVIVLYGIQWIYGFVIFFYPGGTPDVRRFSLPWHALFGLFVFVLAVGTATLGFLEKLTFEESSGLDKYGSEALLVNFTAIITILFGVFVVLSALADAPAAADDYEAI >VigunL084300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000629.1:42526:46419:1 gene:VigunL084300.v1.2 transcript:VigunL084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKTCRLLSGNVRNRELTIIQRRVLRRLRNKKRYIKRKISPRKNMNSYIQSQTTRKLPLFHADLPITEMHRGTERTSYIPFILNPETRSDVIPVRLHFRETIPQARQPISHRRVCVNNRIVSITRLKVSHGDLISFQENDARIRGEEIRRSFYIEISVDKIIGKFLDHPVIMWRRTKTEWFHLLKTKRGCRLLLKSRFLQQQLRYSMQEEYLERTKKFGSEKVCLGSSFAEHNRMKRDLYHFKSLFLSKRRNEKNQDLPTRTRSPLVYNSSLYSNSTYCSSSPHPFPRKRRIKRIELPTHYSEVNHRTPKAVVFYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >Vigun06g205000.1.v1.2 pep primary_assembly:ASM411807v1:6:31924117:31926115:1 gene:Vigun06g205000.v1.2 transcript:Vigun06g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMGSSSEYHTHQHPHLPPGFRFHPTDEELVVHYLKRKADSVPLPVAIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAASSGYWKATGTDRPILASHGHHKVGVKKALVFYGGKPPKGVKTNWIMHEYRLVGSSSNSSSNPPSLPARSTKKNSLRLDDWVLCRIYKKNNSTMMPRFPLMDQNKEVSTESTMLPMMSILSMANNNSTQNSKAGTSRSASYGAMGLENDDSFFDGILGVDHSMQQDVSDSHQVSSKGIYPVKRTLSSQLWNDTGSSSSGRRFHCDLNAGSNTVEEDNSFVSLLSQLPQNTAFHPNALLGPVTNDVLRQQFHLSNMNWNV >Vigun05g173900.1.v1.2 pep primary_assembly:ASM411807v1:5:31726993:31731098:-1 gene:Vigun05g173900.v1.2 transcript:Vigun05g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWTRILMLILILVLIWAWRMLNWLWFTPKRLERLLREQGLQGNPYKLFVGDTDEFVKMRKEAYSKPMNLFSHDIVPRVFSFFHHSINTHGKNSFIWFGPVPRVTLTDPELIKEVLNKPTDFEKINLNPQFRLLAPGLVRLDGEKWSKHRKIINPAFNLEKLKDMLPLFIKCCDDLISKWEEMLSSNGSSEIDVWPFLQTLASDAISRTAFGSSYEEGRRIFQLLKEQTQLTVQLMLKVYIPGWRFVPTATHRRMNAIDREIKASLMNIINNREKALKAGEATKNDLLDILLESNHKEMQEGNNKNVGMNIEEVIGECKLFYFAGQETTSSLLVWTMILLSMYPNWQTRAREEVLQVFGNQKPDFDGLNHLKTVTMILNEVLRLYSPVIGLARRVRKDVKLGNLSLAAGMQLSMQTILVHHDCELWGDDAKEFKPERFAEGVLKATNGRASLIPFGGGPRICIGQNFSLLEAKIALSMILQRFSFELSSTYTHAPITVITLQPQYGAHLILRKVEI >Vigun03g036300.1.v1.2 pep primary_assembly:ASM411807v1:3:2790557:2793519:1 gene:Vigun03g036300.v1.2 transcript:Vigun03g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVCKSASRAARSLLSASKASRFHSQGRAVGAAAAVSLSSKVPFWSSSHGRGGSGSGSASSWISGAIALPAAAYMFQDQEVHAAELERTFIAIKPDGVQRGLISEIISRFERKGYKLVGIKVVIPSKDFAKQHYHDLKERPFFDGLCDFLSSGPVIAMVWEGQGVITYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIKLWFKPEELVSFTSNAEKWIYGAN >Vigun06g201100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31624275:31627704:1 gene:Vigun06g201100.v1.2 transcript:Vigun06g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASSSSAFMVICILHSLIAVTCGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLLFMVSFVRDRDFQVFFAKGCTLLHLFMAMWRIYFERKVEDLAWDWLRQTVGDLLLALSWVFFLVYSWREKYD >Vigun06g201100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31624553:31624999:1 gene:Vigun06g201100.v1.2 transcript:Vigun06g201100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASSSSAFMVICILHSLIAVTCGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLLFMVSFVRDRDFQVFFAKGCTLLHLFMAMWRIYFERKVEDLAWDWLRQTVGDLLLALSWVFFLVYSWREKYD >Vigun06g201100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31624275:31627704:1 gene:Vigun06g201100.v1.2 transcript:Vigun06g201100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASSSSAFMVICILHSLIAVTCGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLLFMVSFVRDRDFQVFFAKGCTLLHLFMAMWRIYFERKVEDLAWDWLRQTVGDLLLALSWVFFLVYSWREKYD >Vigun06g201100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31624138:31627704:1 gene:Vigun06g201100.v1.2 transcript:Vigun06g201100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASSSSAFMVICILHSLIAVTCGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLLFMVSFVRDRDFQVFFAKGCTLLHLFMAMWRIYFERKVEDLAWDWLRQTVGDLLLALSWVFFLVYSWREKYD >Vigun10g062900.1.v1.2 pep primary_assembly:ASM411807v1:10:13407264:13409005:1 gene:Vigun10g062900.v1.2 transcript:Vigun10g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun09g277200.3.v1.2 pep primary_assembly:ASM411807v1:9:43720889:43730934:1 gene:Vigun09g277200.v1.2 transcript:Vigun09g277200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAQVGRRVAAHHFKGYTYGFRNKMAIIDSDKTLICMRSAFNFIASLARHNARFMFINTNPLFDDIFQLMTKKVGSYSTSTSSLWRTGGFLTNSYSPKKFRSRNKKLVFGPTQPPDCIVIVDTDRKSTVINEAFKLQIPVVALVDSAMPLDVYSRIAYPVPANDSVQFVYLFCNLITKTLLHEKSAAAAAAANLIIDSREEAPRKGKSKSDLDNVDIIVVSNDSLAPLPEDTEETRKLLDKFVVLKFNGAQGRNMDPDGPKSAINISDGQTFLDMIINQIEMLNSKYGCRVPLLLFDKNDIRDSSLKVLEKYSKSSVEVHTLKPGESGELKLSGEHSSKEEMHPFDDVEVFRSLMTGGTIDSLLSQGKEYILVLKSDNVATIVDPNILNHLMINDIEYCMEVTPNQSFNLILPTMKFKLGEIARNQDKNNDVLKLKNNFKLIDTTNMWVSLRAMKRFLDADELKQEKPSIFKSDLYTCREGVLTRNPARTNHLNPVIDLGPEFEKVGDFQSRFKSIPSIIELDSLRVRGDVWFGTNITLKGRVTISAKPGLKLEIPDGVTIENKEINDPADI >Vigun09g277200.4.v1.2 pep primary_assembly:ASM411807v1:9:43720889:43730934:1 gene:Vigun09g277200.v1.2 transcript:Vigun09g277200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAQVGRRVAAHHFKGYTYGFRNKMAIIDSDKTLICMRSAFNFIASLARHNARFMFINTNPLFDDIFQLMTKKVGSYSTSTSSLWRTGGFLTNSYSPKKFRSRNKKLVFGPTQPPDCIVIVDTDRKSTVINEAFKLQIPVVALVDSAMPLDVYSRIAYPVPANDSVQFVYLFCNLITKTLLHEKSAAAAAAANLIIDSREEAPRKGKSKSDLDNVDIIVVSNDSLAPLPEDTEETRKLLDKFVVLKFNGAQGRNMDPDGPKSAINISDGQTFLDMIINQIEMLNSKYGCRVPLLLFDKNDIRDSSLKVLEKYSKSSVEVHTLKPGESGELKLSGEHSSKEEMHPFDDVEVFRSLMTGGTIDSLLSQGKEYILVLKSDNVATIVDPNILNHLMINDIEYCMEVTPNQSFNLILPTMKFKLGEIARNQDKNNDVLKLKNNFKLIDTTNMWVSLRAMKRFLDADELKQEKPSIFKFFDNVIGVSVPQSGFFPLDATSDLLLLQSDLYTCREGVLTRNPARTNHLNPVIDLGPEFEKVGDFQSRFKSIPSIIELDSLRVRGDVWFGTNITLKGRVTISAKPGLKLEIPDGVTIENKEINDPADI >Vigun09g277200.1.v1.2 pep primary_assembly:ASM411807v1:9:43720815:43730934:1 gene:Vigun09g277200.v1.2 transcript:Vigun09g277200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAQVGRRVAAHHFKGYTYGFRNKMAIIDSDKTLICMRSAFNFIASLARHNARFMFINTNPLFDDIFQLMTKKVGSYSTSTSSLWRTGGFLTNSYSPKKFRSRNKKLVFGPTQPPDCIVIVDTDRKSTVINEAFKLQIPVVALVDSAMPLDVYSRIAYPVPANDSVQFVYLFCNLITKTLLHEKSAAAAAAANLIIDSREEAPRKGKSKSDLDNVDIIVVSNDSLAPLPEDTEETRKLLDKFVVLKFNGAQGRNMDPDGPKSAINISDGQTFLDMIINQIEMLNSKYGCRVPLLLFDKNDIRDSSLKVLEKYSKSSVEVHTLKPGESGELKLSGEHSSKEEMHPFDDVEVFRSLMTGGTIDSLLSQGKEYILVLKSDNVATIVDPNILNHLMINDIEYCMEVTPNQSFNLILPTMKFKLGEIARNQDKNNDVLKLKNNFKLIDTTNMWVSLRAMKRFLDADELKQEKPSIFKFFDNVIGVSVPQSGFFPLDATSDLLLLQSDLYTCREGVLTRNPARTNHLNPVIDLGPEFEKVGDFQSRFKSIPSIIELDSLRVRGDVWFGTNITLKGRVTISAKPGLKLEIPDGVTIENKEINDPADI >Vigun09g277200.2.v1.2 pep primary_assembly:ASM411807v1:9:43720889:43730934:1 gene:Vigun09g277200.v1.2 transcript:Vigun09g277200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAQVGRRVAAHHFKGYTYGFRNKMAIIDSDKTLICMRSAFNFIASLARHNARFMFINTNPLFDDIFQLMTKKVGSYSTSTSSLWRTGGFLTNSYSPKKFRSRNKKLVFGPTQPPDCIVIVDTDRKSTVINEAFKLQIPVVALVDSAMPLDVYSRIAYPVPANDSVQFVYLFCNLITKTLLHEKSAAAAAAANLIIDSREEAPRKGKSKSDLDNVDIIVVSNDSLAPLPEDTEETRKLLDKFVVLKFNGAQGRNMDPDGPKSAINISDGQTFLDMIINQIEMLNSKYGCRVPLLLFDKNDIRDSSLKVLEKYSKSSVEVHTLKPGESGELKLSGEHSSKEEMHPFDDVEVFRSLMTGGTIDSLLSQGKEYILVLKSDNVATIVDPNILNHLMINDIEYCMEVTPNQSFNLILPTMKFKLGEIARNQDKNNDVLKLKNNFKLIDTTNMWVSLRAMKRFLDADELKQEKPSIFKSDLYTCREGVLTRNPARTNHLNPVIDLGPEFEKVGDFQSRFKSIPSIIELDSLRVRGDVWFGTNITLKGRVTISAKPGLKLEIPDGVTIENKEINDPADI >Vigun11g207500.1.v1.2 pep primary_assembly:ASM411807v1:11:40366058:40373250:-1 gene:Vigun11g207500.v1.2 transcript:Vigun11g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MSWGRGILEGVTVVGSLCSLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVIFEIIPVLSKEARVVNWKIDLFCLILLLVFLLPYYHCYLMLKNNGVRTERAALVAILFLFAFLYVFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEETEIKALERQLMQSIETCVLKKKKIILCQMEMEQKQESDEKLNARSLIKRIVGTVVRTVQEDQKEQDIKGFEAEVLALEELSKQLFLEIYELRQAKEAAAYSRTWRGHMQNLLGYACSAYCVYKMIKSLQSVVFKQDGSVDPVTRIISIFLQFFDIGINAAMLSQYISLLFIGMLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRIIITEVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQIDKHPID >Vigun08g216100.1.v1.2 pep primary_assembly:ASM411807v1:8:37675176:37679269:1 gene:Vigun08g216100.v1.2 transcript:Vigun08g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESMSSAGGDGDGGASIELGASLLGSTTKGKYNRIWRKSLYIRFLISVKKPSTSGGNHESLPSPPSPASRTSSSKSYVTVDIEECISSDTDGIVKEQDLNSLSGLGGQRQRTQERGVVDEGNPTVRLPVLGIDFSKSLLKSYKCNGCTILMLLVSAGLSFAIGFKQEGPKHGWHDAVAILFALLLLVLGKPFANFLCERKRLKLKERKHELKSIVKRGEKTLRVSVSDIQVGETVCLWPGDEVPADGELESDGILVVEEFGVRKIKQKGKDSFLVAGSKVIGGQGRMLVTSVGTKTNSERKGLLERQIEKPISYIDMAALFISVVVAFVVLIRLICGKDGSNAGLPEIKGKVSIGVLMKVLERIFLRPQGRVSILTGLVTVAILCVQHGMPLVVTISLKYQIEKEVQSQDVVLNDLSACTTMGLVTVICVDVSGELVSKQMEVSRIWMGEEYISMVEGSEIEKPVLDMLKLGVGLSVLAPEIYLSPLFNSLVSWAETTWEMSMRSFTEEKFDILKHSKLDSGKEGSGVLVRKIGDSEVLHLHWSGAASEILEMCSQYHDSKGNCHAMENQKIKFGKVIKQMEDSGLRSIAFAYKQTDGEELEQEELILSGLIGLRETSQESIKSALENFRTAANVQIKLVSEDGIEKLEGIARELGLEHGVVLEGKQLQDLNEEARLVEVDRAHVMAKFLPEDKLLLIQCLQKRGMVVAFTGTRLITSLTSILKVADVGIVLDSLDRDSCDISMKCFSALEPVVIAGRSKYHNIQKFIQLQLTCTISGLVITLITTCTGDSPLAPFQLIWVNVFVCILGGLMMVMKLTSEEELVKQPSDDRNQNIIAKEIWKSIVIQVLYQTCVSMILEFGGHVTDREKKVRETMIFNTFLLCQIVNLLNTMKLLRKEVLVQSLYFLGALVICFAMQVVVIEYAKGLSDCMHLNATRWGICVMIAALPWVLEWSMKNILPVILNSSTSYSSESSTSPSFFLSPSFPFLMLLLFPIGLIFSQMGMNMTIR >Vigun08g154900.1.v1.2 pep primary_assembly:ASM411807v1:8:32742755:32749945:-1 gene:Vigun08g154900.v1.2 transcript:Vigun08g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDSGKKEGTNLLGSPTFTELGNARFRCVETGHEVLSKDIASYSQSKKCRLGLIDFALTNRKAPLNMFKQDPLCRSKLICKLTGDNVNKSEEHIWKHMSGKRFLNKLEREEEEKLSCQGMEVEESLQESESAEGGGKDKKKKKKKNKNKGIDEENLLESKSANPGEKDRMKKKKNKDTTIEEIRSEVTKSPVENSDTEVEDNDTEDEDFWMPPVGDRWDHDDGGDRWGSESESESVQEIEDGNVIDGDGDAAEDCKESEELSSRTKRMSIEIGPSSFATRKKKSKNHHA >Vigun03g279300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45719230:45720552:-1 gene:Vigun03g279300.v1.2 transcript:Vigun03g279300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRVISTTTIKATVPNNANPHKLDLTPWDLQFLPTETIQKGLLFRNVKHTPFQIHHLQHSLSSTLAFFPLLAGRLAILRHHHTIVSSHIVCNNAGALFVHAAAPNTTVAHILQPKYVPLIVSSFFSINGVKNYEGTSQPLLAVQVTELVDGIFIALTVNHVVADGKSLWHFVNSWAEISRGSLQISKLPSLYRYFPDGIDHPIHFPFTEEEENQHSPNPKPLAPHERVFHFTKEKIAELKSKANAEANSDRISSLQALLTLVWRSVTRCKRVEPQENVHYVLFIGVWSRMDPPLAEGYFGNSVIATVVSMKAGKLLEGGLGKGALEMNKVISLHSGEKVKKHYECWVRRPRLLTLPSGVGGSNYLITSSSPRFKILDNDFGWGKAEAVRIGGGQHKRNGMITVFGGAEEGSIDIAASLPYLILEAMGNDAEFMDVVSN >Vigun06g233900.3.v1.2 pep primary_assembly:ASM411807v1:6:33985022:33992552:1 gene:Vigun06g233900.v1.2 transcript:Vigun06g233900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLDELRQAAKNGVEMRYENERMKLGTKVESRVQQAEANRMLILKALRQRRASLRERSSQTLMRRMARESKYKECVRAAIHQKRAAAEMKRLGLLEAEKKRAQARVSQVIHVAKSVSHQREIERRKKKDELEDRLQRARRQRAEYLRQRGRLRGYAHENRNRMSKQAEYLSRKLARCWKRFLRQKRTTFTLTKAYDVLGINEKSVKSMPFEQLAVLIESASTLQTVKTLLDRFESRLKVTTAVAPANNLYSLDNIDHLLKRVASPKKRATPRRPVRSRGAMKVDSGRESNNSLARSSRYPVRVALCAYMILGHPDAVFSGMGEREIALAKAAQEFVHMFELLIKIVLDGPVQNSDEESVSAAMKRCTFRSQLAAFDKAWCSYLNCFVVWKVKDARSLEDDLVRAACQLEASMIQTCKLTPEGAVADKLSHDMKAIQRQVSEDQKLLREKVQHLSGDAGIQRMESALSETRSRYFGVKDEGSPVRSPVISSETASPTPSSERSIPEEGSNHRTARPTPSSERSIPDESSNQRTSRVVRSLFKETNTSPGESSFSAPITSSDSQLYPSSEKLRADNEVVVNEFLHDHKYSVTNGLDVPDHTQNSIEGKIKQAMEKAFWDGIMESVKRDQPNYDRIVQLMGEVRDEICEMAPKSWKEDIFSAIDLEILSQVLKSGNLDVDYLGRILEFSLTSLQKLSAPANEEMMKATHKKLFHELGEICQSRDGSNNSCVVALVKGLQFVFQQIQILKKEISKARIRLMESSVKGSAGLDYLRNAFANKYGSPSDANTSIPSTLRWISSVWNCKEQEWEEYVRSSAALASNSSQELLPSTTLRTGGNILLKTTGSPMALSLDGANAKGDQHPECKGEPVDLAVRLGLLKLVSGISGLTQDDLPETLSLNFSRLRSVQAQIQKIIVISTSILIRRQIVVSEKTVARHADMENLVSKCGAELLNLLDRVEDADINDIVEVICNLPKIEGEEASKVESRKLVAARMVGKSLQAGDAVFEKVSNAVYLALRGVVLGGSGARGRKLAEMALTKVGAAFLTHKVVEAADVLIVAATISVGVHGPWYKQLADTM >Vigun06g233900.1.v1.2 pep primary_assembly:ASM411807v1:6:33985022:33992552:1 gene:Vigun06g233900.v1.2 transcript:Vigun06g233900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVELSDGRSGGGIAMEIPVGDEESFSSPTTLPKRLRRRLRGAECKSPSTVEEIEAKLRDADLRRQKYYEKLSSKARAKPRSPSRCSSQEDDLGQRLEAKLQAAEQKRLSILTKAQMRLARLDELRQAAKNGVEMRYENERMKLGTKVESRVQQAEANRMLILKALRQRRASLRERSSQTLMRRMARESKYKECVRAAIHQKRAAAEMKRLGLLEAEKKRAQARVSQVIHVAKSVSHQREIERRKKKDELEDRLQRARRQRAEYLRQRGRLRGYAHENRNRMSKQAEYLSRKLARCWKRFLRQKRTTFTLTKAYDVLGINEKSVKSMPFEQLAVLIESASTLQTVKTLLDRFESRLKVTTAVAPANNLYSLDNIDHLLKRVASPKKRATPRRPVRSRGAMKVDSGRESNNSLARSSRYPVRVALCAYMILGHPDAVFSGMGEREIALAKAAQEFVHMFELLIKIVLDGPVQNSDEESVSAAMKRCTFRSQLAAFDKAWCSYLNCFVVWKVKDARSLEDDLVRAACQLEASMIQTCKLTPEGAVADKLSHDMKAIQRQVSEDQKLLREKVQHLSGDAGIQRMESALSETRSRYFGVKDEGSPVRSPVISSETASPTPSSERSIPEEGSNHRTARPTPSSERSIPDESSNQRTSRVVRSLFKETNTSPGESSFSAPITSSDSQLYPSSEKLRADNEVVVNEFLHDHKYSVTNGLDVPDHTQNSIEGKIKQAMEKAFWDGIMESVKRDQPNYDRIVQLMGEVRDEICEMAPKSWKEDIFSAIDLEILSQVLKSGNLDVDYLGRILEFSLTSLQKLSAPANEEMMKATHKKLFHELGEICQSRDGSNNSCVVALVKGLQFVFQQIQILKKEISKARIRLMESSVKGSAGLDYLRNAFANKYGSPSDANTSIPSTLRWISSVWNCKEQEWEEYVRSSAALASNSSQELLPSTTLRTGGNILLKTTGSPMALSLDGANAKGDQHPECKGEPVDLAVRLGLLKLVSGISGLTQDDLPETLSLNFSRLRSVQAQIQKIIVISTSILIRRQIVVSEKTVARHADMENLVSKCGAELLNLLDRVEDADINDIVEVICNLPKIEGEEASKVESRKLVAARMVGKSLQAGDAVFEKVSNAVYLALRGVVLGGSGARGRKLAEMALTKVGAAFLTHKVVEAADVLIVAATISVGVHGPWYKQLADTM >Vigun06g233900.2.v1.2 pep primary_assembly:ASM411807v1:6:33985022:33992552:1 gene:Vigun06g233900.v1.2 transcript:Vigun06g233900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVELSDGRSGGGIAMEIPVGDEESFSSPTTLPKRLRRRLRGAECKSPSTVEEIEAKLRDADLRRQKYYEKLSSKARAKPRSPSRCSSQEDDLGQRLEAKLQAAEQKRLSILTKAQMRLARLDELRQAAKNGVEMRYENERMKLGTKVESRVQQAEANRMLILKALRQRRASLRERSSQTLMRRMARESKYKECVRAAIHQKRAAAEMKRLGLLEAEKKRAQARVSQVIHVAKSVSHQREIERRKKKDELEDRLQRARRQRAEYLRQRGRLRGYAHENRNRMSKQAEYLSRKLARCWKRFLRQKRTTFTLTKAYDVLGINEKSVKSMPFEQLAVLIESASTLQTVKTLLDRFESRLKVTTAVAPANNLYSLDNIDHLLKRVASPKKRATPRRPVRSRGAMKVDSGRESNNSLARSSRYPVRVALCAYMILGHPDAVFSGMGEREIALAKAAQEFVHMFELLIKIVLDGPVQNSDEESVSAAMKRCTFRSQLAAFDKAWCSYLNCFVVWKVKDARSLEDDLVRAACQLEASMIQTCKLTPEGAVADKLSHDMKAIQRQVSEDQKLLREKVQHLSGDAGIQRMESALSETRSRYFGVKDEGSPVRSPVISSETASPTPSSERSIPEEGSNHRTARPTPSSERSIPDESSNQRTSRVVRSLFKETNTSPGESSFSAPITSSDSQLYPSSEKLRADNEVVVNEFLHDHKYSVTNGLDVPDHTQNSIEGKIKQAMEKAFWDGIMESVKRDQPNYDRIVQLMGEVRDEICEMAPKSWKEDIFSAIDLEILSQVLKSGNLDVDYLGRILEFSLTSLQKLSAPANEEMMKATHKKLFHELGEICQSRDGSNNSCVVALVKGLQFVFQQIQILKKEISKARIRLMESSVKGSAGLDYLRNAFANKYGSPSDANTSIPSTLRWISSVWNCKEQEWEEYVRSSAALASNSSQELLPSTTLRTGGNILLKTTGSPMALSLDGANAKGSKLKNFDFLFFNWL >Vigun02g189600.3.v1.2 pep primary_assembly:ASM411807v1:2:32743862:32750082:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.5.v1.2 pep primary_assembly:ASM411807v1:2:32743939:32750073:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTTQPVHPANVVENKLNNLASPFSTCSTIDRMKEPPKNWEGSFLDINKYVELIDNSLQSGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.9.v1.2 pep primary_assembly:ASM411807v1:2:32743938:32750074:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.8.v1.2 pep primary_assembly:ASM411807v1:2:32743912:32750075:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.6.v1.2 pep primary_assembly:ASM411807v1:2:32743938:32750074:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTTQPVHPANVVENKLNNLASPFSTCSTIDRMKEPPKNWEGSFLDINKYVELIDNSLQSGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.10.v1.2 pep primary_assembly:ASM411807v1:2:32743939:32750071:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.4.v1.2 pep primary_assembly:ASM411807v1:2:32743904:32750073:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.1.v1.2 pep primary_assembly:ASM411807v1:2:32743939:32750073:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTTQPVHPANVVENKLNNLASPFSTCSTIDRMKEPPKNWEGSFLDINKYVELIDNSLQSGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.2.v1.2 pep primary_assembly:ASM411807v1:2:32743903:32752258:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun02g189600.7.v1.2 pep primary_assembly:ASM411807v1:2:32743939:32750071:-1 gene:Vigun02g189600.v1.2 transcript:Vigun02g189600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDDLLPEAPVARIRPGAKFVPKAKAKQRPRKEVPSSEHATSSKDELTGNECENAIASTLSAPAEDFPNSESGNLEQISVEGDSAALVGGSTITASEIGAGQNSTNLLESACEADPTDFDGDSVTNFVSETNVSNGTTQPVHPANVVENKLNNLASPFSTCSTIDRMKEPPKNWEGSFLDINKYVELIDNSLQSGTDLGFKSVSDNKVSIIQTVDSKSNFEKEPELVSAEIGLDPFSDILADLPTRNVHKFKPKIKPRPRVGNMPASASSDVVMEKSVELPTSCTENFQSFQSSGVPNQSTSLPLPTSEILRTNYLPDKFGDSNSLAAAIPSQLDSLNAMLLGDAVHNGTRDWPSTFGKSSGEAADIFSGLESLDDFLTQATTDTGKPALHSFNEKGAEENFVTPACSSINSFGECDTTQVQRCPEYHTPEDPVTFHEATVLNESDTHTNNRMSETEEIVDLNPTYPRDDVFDYQSMKSGEDPTSGILVHEDLTIAADSSTLADFLHANDSREKENKKDGSDSCSLRKNKRSSISGEEDNGGKTSRQRRKLAACKPKNSSLNEEVEDDNDLDPPYHSNEHELQENDDDYEVDHSSKKKRGSKRSQKKSVAKSGKMSQRRKKADDDVQKKTKEPPKKFSHSTRRKKRCVDKALLEIPEDELDPRTLPMKDIILLAEYRERQAKKDAMTSNTSPSNQSGGDSLHGAGAYNEQEFSGSEDDRDPYDDQDNERITSTPALCNYQTFMEKTPKGKWSKQDTELFYEAIRELGTDFSMIQQLFPDKTRRQIKLKYKKEEREHYLRLRDAIHNRAKDHFHYNLLIERLQQASTKAEEEVVDLATGTNEEAVDAATVKHDAEVKEQEDSAPVQSPEEYDDSEDDSLKWSQYKSLY >Vigun05g236300.1.v1.2 pep primary_assembly:ASM411807v1:5:42939368:42942939:1 gene:Vigun05g236300.v1.2 transcript:Vigun05g236300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSDNNMEASKLSNSTQNIRCHHCAGPLSNRMETSHWTVSPLIRDSFSMIGSAVGGTASAFYGFNLVMPIVQRRIKGPMWLHFFIGAPPVIVFSSACAGLAGGAVPAVAQLFSSSYHAAYSSPPSKNENIQKSIK >Vigun01g225600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39845175:39848809:-1 gene:Vigun01g225600.v1.2 transcript:Vigun01g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHWKSKMPASDMSSKSPKLSIPAKSLPSLQLPFRTTDISHAAPALCAAYDYYLSLPQLRTLWNSRDFPDWNNEPILKPALQALEVTFRFLSIVFSDPRPHSNRREWYRSIESLAIHQIEIIAMLCEDEELNPQTRGGVPTADLTVDTTNNESRSYSEASLLPRLATWYKSKDVAQRILLTVECQMRRCSYTLGLGEPNLAGKPSLLYDRVCRPSEIHALKTTPYDERVENYENHAVHATHQIAESWIHTSRMLLERITDAILSKRLEQAAEDCHAVERIWKLLAEVEDLHLMMDPDEFLRLKNQLSVRSSSGETASFCFRSKELVELTKMCRDLRHRVPEILEVEVDPKGGPRIQEAAMKLYVSKSSFEKVHLLQAMQAIEAAMKRFFYAYKQVLTVVMGSSEANGNRVGLSCDSCDSLTQIFLEPTYFPSLDAAKTFLGYLWDNNDHKWP >Vigun11g070801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20567373:20567987:1 gene:Vigun11g070801.v1.2 transcript:Vigun11g070801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun09g266900.1.v1.2 pep primary_assembly:ASM411807v1:9:43028100:43035154:-1 gene:Vigun09g266900.v1.2 transcript:Vigun09g266900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYTMCSGISILQSNGSSSLRIASVTYISHYASKVSRFAFTSIPLHFQKSWLCSSCFRKPSLRFCRRLSSVMASDFSVISNGDSNGNVNPQPNKQRTYQVVVAATQDWGIGKDGKLPWRLPTDLKFFKEITEKTSDPGKKNAIVMGRKTWESIPLQYRPLSGRLNIVLTRSGSFDIATSENVVICGSMYSALEILASSPYSLSIEKVFVIGGGQIFREALNAPGCEAIHLTEIQSNIECDTFMPSVDFTIFRPWYSSFPKVENNIRYSFITYVRVRSSPLESLNQNIDPVIDNNYDSIKFEVKDFSFLPKMIFERHEENMYLKLVQEIISEGTIKGDRTGTGTLSKFGSQMRFNLRRSFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKNIHIWDGNASREYLDGVGLTEREEGDLGPVYGFQWRHFGARYTDMHADYSGQGFDQLLDVISKIKHNPDDRRIILSAWNPADLKLMALPPCHMFAQFYVSHGELSCQMYQRSADMGLGIPFNIASYALLTCMIAHVCDLIPGDFIHVIGDTHVYSNHVRPLQEQLHKLPKPFPTLKINPKKKDIDSFVAADFKLIGYDPHQKIDMKMAV >Vigun04g074901.1.v1.2 pep primary_assembly:ASM411807v1:4:9092399:9092884:1 gene:Vigun04g074901.v1.2 transcript:Vigun04g074901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNESLPTIRGGGDFIPFHLAILQGRTEMAWHLFPKTKHIFEELDWTTLFFLSINCDLYDLALEMVKEKDTLAYARGDDNLTGLHVLTQTSGCGCQTPRCRKHLLPFYKLHIMIQSTSIQNNISFQ >Vigun03g050500.1.v1.2 pep primary_assembly:ASM411807v1:3:4078775:4080202:1 gene:Vigun03g050500.v1.2 transcript:Vigun03g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFVKNGVSKLPPGFRFQPTDEELVFQYLKCKVFSYPLPASIIPEINVCKHDPWDLPGNCDPQERYFFSPKEAKYRNGNRMNRTTKCGYWKATGSDKRISSSSNACNGIVGIRKTLIFYEGKSPKGSRTDWVLHEYRLANAETTACNSSHNYVNEIGDWVLCRLSMKKRSLESGVDEKQKGGSGPRLMFDFMMLGKTDSSTSSSCSSSNNIMEVSSNASDHEETSGGYTYF >Vigun07g259900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37660473:37663031:1 gene:Vigun07g259900.v1.2 transcript:Vigun07g259900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKPISSFRLTSLLRSQKDPSVALQLFLNPNPNHPSPRPFRHSLRSYDLIITKLAQAKMFPQMEQLLNQLHTQTRFPTPEPLLRHVIAAYARAGLPSRALRTFLSIPSPSLRSFNSLLHALLSCRDFASFTRLLPHLPRFRGPDACSYNILIHGCSLTDDRDRAWKLFNEMRRRGVRPNQITFGTLINLLCKSPQLHLPKAFKVKEEMERVFKIKPNAFVYTNLIKAVCEVGDFDSAVRLKDEMVRNNLKLDAVVYNTLVSGFLKGGKKDIGFRVLEEMKSGGVKPDSVTCNVLIGEFCREGKFEAAYRVLDDGLEGVKPDVFGYNVVIGWQCKEGKWREADDLFRDMPRRQCVPDVVTYRTLFDGLCRCMQFEEAGLVLEEMIFKGYVPRSSSLNEFVGMVCKEGDFELLGKVLSGLVGGRFCCEDVWKTLVLLVCQSEKLLGDFEPSDELVLA >Vigun06g120600.9.v1.2 pep primary_assembly:ASM411807v1:6:24822288:24830357:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.11.v1.2 pep primary_assembly:ASM411807v1:6:24823976:24829188:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.6.v1.2 pep primary_assembly:ASM411807v1:6:24823074:24829220:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQVPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.1.v1.2 pep primary_assembly:ASM411807v1:6:24822288:24829378:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.2.v1.2 pep primary_assembly:ASM411807v1:6:24823155:24829188:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQV >Vigun06g120600.5.v1.2 pep primary_assembly:ASM411807v1:6:24823577:24829306:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.3.v1.2 pep primary_assembly:ASM411807v1:6:24822288:24830357:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.4.v1.2 pep primary_assembly:ASM411807v1:6:24822288:24829378:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.10.v1.2 pep primary_assembly:ASM411807v1:6:24823577:24829306:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.12.v1.2 pep primary_assembly:ASM411807v1:6:24823976:24830357:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPNQG >Vigun06g120600.7.v1.2 pep primary_assembly:ASM411807v1:6:24823976:24829220:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQVPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTDGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun06g120600.8.v1.2 pep primary_assembly:ASM411807v1:6:24823976:24829188:1 gene:Vigun06g120600.v1.2 transcript:Vigun06g120600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEEKSTKTEKPSSPVTMDQANQTNQPNIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPHPYMWGPPQPMMPPYGPPYAAIYSHGGVYPAVPIGPHTHSQGVPSSPAAVTPLSIETPPKSSGNNDQGLMKKLKEFDGLAMSIGNGHAESAERGGENRLSPSVDTEGSSDGSDGNTSGANPTRRKRSRGGTPTTADGEGKTEIQSSPISKDIAASNKIVAVAPASVAGTIVGPVVSSGMTTVLELRNPSSVHSKANATSAPQPSVLPAEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALNSENETLKSELNRLTESSEKMRVENATLREKLKIARLGQTQEITLNIIDSERATPVSTENLLSRVNNNSSSNDRTMEDENDFCENKPNSGAKLHQLLDTSPRADAVAAG >Vigun04g012700.2.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGSIISMGLMDKLGRKVLLFWSFFGMAIAMMLQATGATSLVSNVGTLYFSVGGMLLFVFTFALGAGPVPGLLLPEIFPSQIRAKAMAVCMSVHWVINFFVGLLFLRLLEKLGPQLLYSMFATFCIMAVIFVRRNVVETKGKTLHEIEIALLPQE >Vigun04g012700.4.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKGNSNDASSHRCNFTCIERGNSVLFCWGHVTVCLYICSWCWSGPRSPPT >Vigun04g012700.5.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGNSNDASSHRCNFTCIERGNSVLFCWGHVTVCLYICSWCWSGPRSPPT >Vigun04g012700.1.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGSIISMGLMDKLGRKVLLFWSFFGMAIAMMLQATGATSLVSNVGTLYFSVGGMLLFVFTFALGAGPVPGLLLPEIFPSQIRAKAMAVCMSVHWVINFFVGLLFLRLLEKLGPQLLYSMFATFCIMAVIFVRRNVVETKGKTLHEIEIALLPQE >Vigun04g012700.6.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGNSNDASSHRCNFTCIERGNSVLFCWGHVTVCLYICSWCWSGPRSPPT >Vigun04g012700.3.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGSIISMGLMDKLGRKVLLFWSFFGMAIAMMLQATGATSLVSNVGTLYFSVGGMLLFVFTFALGAGPVPGLLLPEIFPSQIRAKAMAVCMSVHWVINFFVGLLFLRLLEKLGPQLLYSMFATFCIMAVIFVRRNVVETKGKTLHEIEIALLPQE >Vigun04g012700.7.v1.2 pep primary_assembly:ASM411807v1:4:922752:928706:-1 gene:Vigun04g012700.v1.2 transcript:Vigun04g012700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSLSFCDSPSLLLLNGGSLIGLLRIHFILLLFSSGSRQVKYMLMWVGHLRENSSMYKRAPSSRDYSTVDDVEENLDILDNGLDKGISNPSWKLSLPHVLVATISSFLFGYHLGVVNEPLESISVDLGFHGNTLAEGLVVSICLGGALIGCLLSGWIADGVGRRRTFQLCAMPMIIGASMSAATNNLFGMLVGRLFVGTGLGLGPPIASLYVTEVSPAFVRGTYGAFIQIATCLGLMGALFIGIPVKDIPGWWRVCFWVSTIPAVILAAAMVFCAESPHWLYKQGRTSEAEAEFERLLGVSEAKFAMLELSKVDRGDDTDSVKLSELLHGRHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVCIGIANLAGSIISMGLMDKLGRKVLLFWSFFGMAIAMMLQATGATSLVSNVGTLYFSVGGMLLFVFTFALGAGPVPGLLLPEIFPSQIRAKAMAVCMSVHWVINFFVGLLFLRLLEKLGPQLLYSMFATFCIMAVIFVRRNVVETKGKTLHEIEIALLPQE >Vigun03g131300.1.v1.2 pep primary_assembly:ASM411807v1:3:12754977:12765553:-1 gene:Vigun03g131300.v1.2 transcript:Vigun03g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSYGLGPRLDLQQLQLEAQNRWLRPAEICEILCNYRMFQITSEPPTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRSYWMLEPDMMHIVFVHYLDVKVNKTNVGGKTYSGEATSDSQKGSSLSSGFPRNYGSVPSGSTDSMSPTSTLTSLCEDADSEDIHQASSGSQSYHESKSLGNDCPIDKIDARSNSSYLMHPSSGDPAQFPVPGAEYIPLVQGHRARDIGSWDNAMEQSSGNHTSPSLVSSTSIPSSASGNILEENNVVPGNLLGRKNVLIEEDRVSQAIHSNWQIPFGDDTGELPKWSLTQSLGLEFGSDYGTSLLGDVTDNAGSEILAEMFTFNGELKEKSLHQNISKQYTNTQSQPATKSNSDYEVAGEASINYTLTMKRGLLDREESLKKVDSFSRWISKEFAGVDDLHTQSSPGISWNTDDCGDVIDDTSLNLSLSQDQLFSINDFSPKWAYAESEIEVLIVGTFLKSQPMVTACNWSCMFGEVEVPAEVLANGILCCQAPRHKIGRVPFYVTCSNRFACSEVREFEYREGFNRNIDFTDFFTSSTEMVLHLRLVGLLSLNSVHTSNQVLEDDMEKRNLIFKLISLKEEEEYSSREETTVEMDATKHKLKEHMFHKQVKEMLYSWLLHKVTETGKGPLVLSEEGQGVLHLVAALGYDWAIKPIITAGVNINFRDVSGWTALHWAAYCGRERTVAVLVSMGAATAAVTDPCPEFPEGRTPADLASSNGHKGISGFLAESLLTSHLELLTMEESKDGRKETSGMKAVQTVSERTATPVLYGDIPDVICLKDSLNAVRNATQAADRIHQVYRMQSFQRKQLAQQDDDDDEFGLSDQQALSLLASRMSKSGQGEGLASAAAIQIQKKFRGWKKRKEFLIIRQRIVKIQAHVRGHQVRKQYRTIIWSVGILEKVILRWRRKGSGLRGFRSDTANKVIPDKPSESPKEDDYDFLKEGRKQSEARFQKALSRVKSMVQYPEARAQYRRVLNVVEDFRQTKGDNLNSMNSEEAVDGVEDLIDIDMLLDDENFLPIAFD >Vigun11g116900.1.v1.2 pep primary_assembly:ASM411807v1:11:32213929:32219720:-1 gene:Vigun11g116900.v1.2 transcript:Vigun11g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLRSTKSKLLSSSSSSLSRTFPSFSRTAHHLPHNQIGALSPLTDLFHRSTASTPLAKENASGENVTGLPKVPANKPGCDSNAINSMMYKASGLDTTVLAGARYGTRVSAAQSPMLMGVNTVMARSFERSMEAGTFGMIGHKRCMSNVASKTSETNPSGLRPLSPHLPLYQPQLSSTLSIFNRIAGAFLAAVILLFYMIYIKMGSVSLTYDYFYQFIFYSSKLNLLVMEISALAVTYHLYSAIRHLRV >VigunL006066.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000164.1:11521:12764:1 gene:VigunL006066.v1.2 transcript:VigunL006066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNSPLLNLTSPPSSLTLILPQLYRRTRFNPLIFSFFSSCSSNIYDAKISTVQIHLVGNALGDASPNVHINILLLSIRIKLHSLIAMEK >Vigun08g033450.1.v1.2 pep primary_assembly:ASM411807v1:8:3118560:3119301:-1 gene:Vigun08g033450.v1.2 transcript:Vigun08g033450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVPMVFHEVSWSSLRLGCDVLHGCSLVVHLVVRWCWSVVVCWWSLVVRSPLPLRMVTFKNILFFFSVKDIRSVVSEPI >VigunL020100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000256.1:28838:29773:1 gene:VigunL020100.v1.2 transcript:VigunL020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVFQGAIPQTLSVSLQNSFQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVKLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun01g200500.1.v1.2 pep primary_assembly:ASM411807v1:1:37680593:37682078:1 gene:Vigun01g200500.v1.2 transcript:Vigun01g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLLQTNNHQTDNMTLQLQKHLELNPENVIHLSFLKLTRFSSSLLPTFIAYKSREILLYQLSYTMSKQRLQGKVAIVTGGAGGIGAEAVRIFLENGASVVIADVKDELGHTYAASFDSEKVSYRHCDVRDEKHVEETVAFAVEKYGSLDILFSNAGIAGPLSSILTFDLTEFDNSMAVHLRGAAAFIKHAARVMVERKTRGSIICTASVAGSVAGCAGHDYTAAKHGLIGLVRSTCSELGAYGIRVNSISPYAVATPLTCEAMDMKGGEIEAVGHALANLHGITLKGSHIAEVALFLASDESAYISGHNLVVDGGFTVVNRGLASVKN >Vigun05g118300.1.v1.2 pep primary_assembly:ASM411807v1:5:12727806:12730167:1 gene:Vigun05g118300.v1.2 transcript:Vigun05g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRVPFVAAATTPLSSSSSSFLQSLTWWNRESVAKGVGTRISVVAAKITVLVTGAGGRTGKIVYKKLKERPNEYVARGLVRREESKEKIGGADEIYVGDIRDAENIVAAFQGIDALIILTSAMPRIKPGFDPTKQKRPKYYFEEGAFPEQAQCSEHCEVDWIGQKNQIDSAKAAGVKQIVLVGSMGGTDVNHPVNKMCKGNILSWKRKAEQYLADSGIPYTIIRCGAFENEEGGLRELITGKDDEMLKIETKTIPRADVAEACIQALNYEEAKFKAFDLASKPEGAGSPTKDFRALFSQITTPF >Vigun05g118300.2.v1.2 pep primary_assembly:ASM411807v1:5:12727806:12730167:1 gene:Vigun05g118300.v1.2 transcript:Vigun05g118300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRVPFVAAATTPLSSSSSSFLQSLTWWNRESVAKGVGTRISVVAAKITVLVTGAGGRTGKIVYKKLKERPNEYVARGLVRREESKEKIGGADEIYVGDIRDAENIVAAFQGIDALIILTSAMPRIKPGFDPTKQKRPKYYFEEGAFPEQVDWIGQKNQIDSAKAAGVKQIVLVGSMGGTDVNHPVNKMCKGNILSWKRKAEQYLADSGIPYTIIRCGAFENEEGGLRELITGKDDEMLKIETKTIPRADVAEACIQALNYEEAKFKAFDLASKPEGAGSPTKDFRALFSQITTPF >Vigun08g093700.2.v1.2 pep primary_assembly:ASM411807v1:8:22113921:22119985:1 gene:Vigun08g093700.v1.2 transcript:Vigun08g093700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSAGSVAGTGSSTQHFPSSSNHTDSSSVAHHTRNKSSKNAGDFDSARSLFLERTSSSNSRRSSINGSAKHAYSSFNRSHRDKDRDRERDRSNFGDNWDCDGSDPLANLFSGRTERDTLRRSHSMVSRKQSEIIPRRVALDTKSGGTSHQNNSNGILSGSNVSSSIQKAVFDKDFPSLGTEEKQGTAEVVRVSSPGLSASQSLPVGSSTLIGGEGWTSALAEVPAIIGSSSTGSLPVQHTFSSNSGSVASITTASLNMAEALAQTPSRARTTPQVLVKTQRLEELAIKQSRQLIPVTPSIAKASVLNSEKSKPKTAIRNADMNVVTKTVPQQPSALHIASQSVRSVNAKVEAPKTSGKFTDLKSVVWENGASPTSKEVSHPTNYPNSKPGNQHVASGAASAPLRNPNNPKSSTERKSATLDLKLGSTLDKKHSISQVQSRNDFFNLIKKKTLMNSSTGLPDSGPMVSSPMMEKSDEVNMEVVNESASPQSLGNGTELTSNGNAHAHEEFQRLSDNEEKESIPCATIYPDEEEAAFLRSLGWEENSDEDEGLTEEEINAFYQECKNLDPTTFKLCQGMQPKLSKLFESYASNLHGSSAELSSTDPGSEA >Vigun08g093700.1.v1.2 pep primary_assembly:ASM411807v1:8:22113921:22119985:1 gene:Vigun08g093700.v1.2 transcript:Vigun08g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSAGSVAGTGSSTQHFPSSSNHTDSSSVAHHTRNKSSKNAGDFDSARSLFLERTSSSNSRRSSINGSAKHAYSSFNRSHRDKDRDRERDRSNFGDNWDCDGSDPLANLFSGRTERDTLRRSHSMVSRKQSEIIPRRVALDTKSGGTSHQNNSNGILSGSNVSSSIQKAVFDKDFPSLGTEEKQGTAEVVRVSSPGLSASQSLPVGSSTLIGGEGWTSALAEVPAIIGSSSTGSLPVQHTFSSNSGSVASITTASLNMAEALAQTPSRARTTPQVLVKTQRLEELAIKQSRQLIPVTPSIAKASVLNSEKSKPKTAIRNADMNVVTKTVPQQPSALHIASQSVRSVNAKVEAPKTSGKFTDLKSVVWENGASPTSKEVSHPTNYPNSKPGNQHVASGAASAPLRNPNNPKSSTERKSATLDLKLGSTLDKKHSISQVQSRNDFFNLIKKKTLMNSSTGLPDSGPMVSSPMMEKSDEVNMEVVNESASPQSLGNGTELTSNGNAHAHEEFQRLSDNEEKESIPCATIYPDEEEAAFLRSLGWEENSDEDEGLTEEEINAFYQECKNLDPTTFKLCQGMQPKLSKLFESYASNLHGSSAELSSTDPGSEA >Vigun05g258900.1.v1.2 pep primary_assembly:ASM411807v1:5:45263482:45267169:-1 gene:Vigun05g258900.v1.2 transcript:Vigun05g258900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTDPSSTSIEVKVHPRRDSPARRPLPSPPNPPAVDDHNFSLFRRWFPWLVPTFVVANIVIFIVTMYINDCPKHSFTGSSSCVASFLGRFSFQPLKENPLLGPSSSTLGKMGALEVDKVVHKHQVWRLFSCIWLHGGVVHVLANMLSLVFIGIRLEQEFGFVRIGFLYVISGFGGSLLSALFLPEGISVGASGALFGLLGGMLSELLINWTIYANKLAALLTLIVIVVINLAVGILPHVDNFAHIGGFVSGFLLGFIFLIRPQFKWVSSRHRRRNSSSAHTGPPVRYKHKPYQYALWVISFVVLIAGFVTGLVLLLRSVNLNDHCSWCHYLSCVPTSKWSCKAQQFLCESTQMGNQLNITCLSNGKSDVFPLSNATSTDAQQQICSQLCNS >Vigun05g258900.3.v1.2 pep primary_assembly:ASM411807v1:5:45262538:45267100:-1 gene:Vigun05g258900.v1.2 transcript:Vigun05g258900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTDPSSTSIEVKVHPRRDSPARRPLPSPPNPPAVDDHNFSLFRRWFPWLVPTFVVANIVIFIVTMYINDCPKHSFTGSSSCVASFLGRFSFQPLKENPLLGPSSSTLGKMGALEVDKVVHKHQVWRLFSCIWLHGGVVHVLANMLSLVFIGIRLEQEFGFVRIGFLYVISGFGGSLLSALFLPEGISVGASGALFGLLGGMLSELLINWTIYANKLAALLTLIVIVVINLAVGILPHVDNFAHIGGFVSGFLLGFIFLIRPQFKWVSSRHRRRNSSSAHTGPPVRYKHKPYQYALWVISFVVLIAGFVTGLVLLLRSVNLNDHCSWCHYLSCVPTSKWSCKAQQFLCESTQMGNQLNITCLSNGKSDVFPLSNATSTDAQQQICSQLCNS >Vigun05g258900.2.v1.2 pep primary_assembly:ASM411807v1:5:45262308:45267109:-1 gene:Vigun05g258900.v1.2 transcript:Vigun05g258900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTDPSSTSIEVKVHPRRDSPARRPLPSPPNPPAVDDHNFSLFRRWFPWLVPTFVVANIVIFIVTMYINDCPKHSFTGSSSCVASFLGRFSFQPLKENPLLGPSSSTLGKMGALEVDKVVHKHQVWRLFSCIWLHGGVVHVLANMLSLVFIGIRLEQEFGFVRIGFLYVISGFGGSLLSALFLPEGISVGASGALFGLLGGMLSELLINWTIYANKLAALLTLIVIVVINLAVGILPHVDNFAHIGGFVSGFLLGFIFLIRPQFKWVSSRHRRRNSSSAHTGPPVRYKHKPYQYALWVISFVVLIAGFVTGLVLLLRSVNLNDHCSWCHYLSCVPTSKWSCKAQQFLCESTQMGNQLNITCLSNGKSDVFPLSNATSTDAQQQICSQLCNS >Vigun08g148000.1.v1.2 pep primary_assembly:ASM411807v1:8:32071820:32075438:1 gene:Vigun08g148000.v1.2 transcript:Vigun08g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSAQIKAESPPRNGLSSKDGNKEEDGLSSKISTPSLPPTPRTEGEILKSSNMKSFTFGELKTATRNFRPDSVVGEGGFGCVFKGWIDEQSLAPVRPGTGLVIAVKRLNQEGLQGHSEWLTEINYLGQLHHPNLVKLIGYCLEDDHRLLVYEFLTKGSLDNHLFRRASYFQPLSWNIRMKVALDAAKGLAYLHSDEAKVIYRDFKASNILLDSNYNAKLSDFGLAKDGPAGDKSHVSTRVMGTYGYAAPEYIATGHLTKKSDVYSFGVVLLEIMSGKRALDSNRPSGEHNLIEWAKPYLSNKRRIFQVMDARIEGQYSMREAMKVANLAIQCLSVEPRFRPKMDEVVRVLEELQDSGEKGVAGVASHHHHHHHQTGRRSDHSSSSSGNRQHRGRQHETTMK >Vigun01g113100.1.v1.2 pep primary_assembly:ASM411807v1:1:28778540:28785820:1 gene:Vigun01g113100.v1.2 transcript:Vigun01g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFLFSMAEKLLAKLACRAFEEVSRVVGLYDHLRNLTNTLSFIKAVLLGVQQKQEHNPELREWLTQIKTIFSDAEDVLDEFECQTLRMKVAKAHGSTKDKVSNFFSSSHPLVFRHKMTQQIKDICNRLDKVAADRDKFSLQIIDVETRVVHRRNMTHSRVSDSDVIGRKHDKENIIELLMQQNLNHNDTNLSIIPIVGMGGLGKTTLAKFVFNDIRIQECFSLKMWVCVSVDFDIEQLIIKIINSANYPASADALSHQTNWNMLDLEQLQNQLKNKLSGQKFLLVLDDVWNEDRVKWLELRNLIQVSAAGSKILVTTRNPSIAAMMGTVPFHLLKGLSDEDSLSLLVKWAFKEGEEEKHPHLINIGRQIVKKCRGIPLAVRTLESLLFSKFEASEWEYVRDNEVWNLPRKKDDILPSLKLSYDLMPSYLRQCFALFSLYPKDRQFYSDEITRVWGALGLITLPTTNKTHEDVGNEYLHELQSRSFLQDFENFSTFYSFRIHDLVHDLALFVAMGECLHVSSNIPNISDNVRHLSFAKSSLFGDLVTKKSAPVRTVLFPNGVASANSEALLNTCLSKFKCLRVLDLSGSTFKALPYNIAQLKHLRYLDIGKNPNIKRLPESICELQSLQVLKVTGCMKLEALPIKLRKLISLRCFEFSTKQTILPVKEIANLESLEILKVESCQNVGAIFGGVKFPTLKTLFVSNCRSLKYLLLDSKNFPELETLVVDACHNLDLELCMGSHEVSKLKLKLATFSSLSQMVTLPKWLQKAANSLRCLSVFNCLNIRTFPDWLTTLTHLETLRLIDCPNLVSLPNNIHYLTALENLRIAGCADLCTWLWGALGLIALPKTNRTPEEVASQYLNELMSRSFLQDFENFGTAYTFRIHDLVHDLALFVALDECLHVNSKIQNIPDNVRHLSFAESILFDNLVTKKSAAVRTVLFPHGAAEAANGEAILTTCLAKFKCLRVLDLSGAIFETFPRNIAKLRHLRYLDISDNPNIKRLPDSICKLQSLQVLLLGGCNELEALPKGLRKLISLRYLEFSIKQTVLPVNEIANLVSLQILSIESCINVESIFGGQFGLGTMERPP >Vigun07g145800.2.v1.2 pep primary_assembly:ASM411807v1:7:25673517:25677846:-1 gene:Vigun07g145800.v1.2 transcript:Vigun07g145800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPYRRKLVPPRSSGIAYIIRVPKSSPRVGHQYQVEVASMIKESELAHNEVEDSGHEGWRYLGHNDSAVNITVPETIWNNSWSDADVKSFLLGLFIFGKNFVKIKRFIENKAMGEILSFYYGKFYKSDEYRRWSDCKKIKGRKCTTGDKLFSGQKYIELLSRLTVRVSEEHKDDLQQVSKSYSNGRTSLEEYVSSLKSIVGLGVLVEAIGIGKGKEDLTSPAIERGKKIQASQATKAWSSLGPCDIIKHLTEDYQSSKTKSNDIFWEAVWPRLLARGWHSEKLKNQGHLSSKNVVVFLLPGVKKFSKRKLVKGDHYFDSVRDVLSRVIVEPNLLKLEDLKTKVGGCNEEKIEKGSNEGGQPENHHHCYLKHQVSTNCERETEAAIVHSKESKENNNNADYHEGKHNGDSTSQNEVNVKSAYDDANNMAENCENQITYVASGNQLKRTIRHKFRRRPRSSHSDLAGPPIKQLKLSPHAEVETGHIFVNSAGSIGSEKQELSRSSCIPDVNKKASNELGHQQEVTLISSLAEGGPELENERRNISGACLDKGISCDKVEKGEPQHTINFNESQVLLMSEDAELMTTTEEDKQDLKPKDTKDIILRRSTRKRPLTIRALESLTNKFLQTQRKGKRKDTLSFKDPLNKICNHMTHERGLQNAM >Vigun06g040100.1.v1.2 pep primary_assembly:ASM411807v1:6:16007019:16008567:1 gene:Vigun06g040100.v1.2 transcript:Vigun06g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDEPFLLQPLIPHYSTLSPSLSLHQHHHHNLKHLSSDTTNNIIVRILSILVIAIISIWANYEASKTFNISIVNDAKDSIAGRRFALSYVSNDKATRIILNTSSYVEHFLYPNNNVYPKKNIDTITLRLPRRNLNTTVAVYSVGKSSNCYVIEITPMLLEDKGYDKMAIVGAILRGMARVWLWDGAPQGLVDGMVEYVAEMAGFRREGVADGGSIPECEEARGGGWWWEGKDPTHVARVLHYCEKYKKGFIQRLNEALRDTWRDGVVDEVLGMPIIEMSLQYDMDKEPVDEKGAFRDPLKEMFLVFLDGYNQNILM >Vigun07g001900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:161590:165179:-1 gene:Vigun07g001900.v1.2 transcript:Vigun07g001900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKCFEVEDEVMFPNIILVWINACSLHKPYDLNALKLMNSCAVEILEEYADVVLAYGFSNEYTFVLKKTSKFYERRASKVLSIITSFFSSVFLRKWGEFFPHKELQSPPSFHGRVIPCASIEALQAYLLWRQNICHLSNQHEQCLWRLVERGMNEKEAWDFIKGFDKSELNNLLFDEFNVNYNTLEPIFRQGSCVLKTVVEDVVKYTDNGAPIKRHRRKIITVHSKKIAGKRFWNEHTVLLKELGGFIEEINNVTPEYVRSFEFDSKLMPSTWIVVRIDGCHFHRFSEVHEFVKPNDDRALNLMNLCAVAVLEKFWEDIVFAYGVSDEYSFIIKKTSNLYQRRANKMVSAIVSFFTSTYVMRWNEFFPQRELKYPPSFDGRAVCYPSTEILRDYLSWRQVDCHINNQYNSCFWKLVASGKSKREAQNSLKGGQLQKKIEELAIDYNKLPVMFRQGSSVYRDKVDTVLTHEENGNSFESKGKVIVEHVDIIGPTFWLEHLNVLDE >Vigun11g224900.1.v1.2 pep primary_assembly:ASM411807v1:11:41538030:41540074:-1 gene:Vigun11g224900.v1.2 transcript:Vigun11g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTEQPIPKHTNQQLPTTIMLNVFAVSLVITSLAASAVLSPAPGPQQKQGASTIVREGHRVVVVEYDQDGHHNTKISISPEQPTHNHHVLDNAKDRIREAASVLPNVGQGISQPEDGAFLHAPKELICDAYGKCKHRIADAMEKTKDKAQEALQKKKEKVAANKEAARRVGDSVADALGKTKESVHDKARDVQEYAEDTVEAAKEHVAHNVTEARDSLRRLKDALKNSFGSLESLNSVMGVANLLGFATAYGMCVWVTFISSYVQSRAMARHQFAVVQSKIYPVYFRAMAYSVGVALFGHVFGNTNTLLSNKSHALQAYNLLASLATLFFNSLYLEPRATKLMFERIKIEKEEGRGRDDVIRDGVIGERGRTEHPRTGDPKGPSSSAEHDAVRSRIIKLNDKLKKLNSYSSLLNILNLMSLTWHLVYLAQRLHTPC >Vigun02g006100.1.v1.2 pep primary_assembly:ASM411807v1:2:2727030:2730755:1 gene:Vigun02g006100.v1.2 transcript:Vigun02g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCQFFKMEEDHERVVECTTPNFNGIISVMDPSRSWAARWLRIGKFAPGVYTLAVSEALPEEMQAICEDERVQYVPPKRL >Vigun02g154600.2.v1.2 pep primary_assembly:ASM411807v1:2:30116346:30119451:-1 gene:Vigun02g154600.v1.2 transcript:Vigun02g154600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPEPMDKRKKNKTLAFVCNQESHTNDETQTKQQNAQPNVNVVPNAKISSSSTSLENTPNSNQQQQASIVQQPHTPQSATEQFFAMHFATQASAPGGAPNQWQQFPHLFAQSTSPFWQSHPPTAVAGPLLGANAPTIYYPFTDPGIPAASSSTTQTLPPSMCYNYPCPVFPCYWGPSSYMTQLYQMQHPYAHSFPGALNFSSATPKVPSCSASGEHSSKIGNIKPPSEISLKYQQLWEAEKAENAKLRRVTDKLLAEVSGYKDKLKNLQEEVSSFKQKAELTFKQVMGTVSAGATQPLKKRGRPPNRSLASADALNESYLPAGGKRPSTCNSLSQNKSPFEKVKPIFEKVILKKVENKEITTRFTATMAQQENNVNILNSVKDVSCNTQINQIYPTKSTCQGHVHQESKGVQACGIRVHVKFGKDKDLRPSEPDKVLNHDKSVSSKKSIGNTGNGNIGLNSGKHLVDTTMDVLDGIFIQHGRNIAPGWSLSPLRFAEEEDVSEYIEGSAKDENEIMVDDASSSAEEIGGTKDLGGWLPHE >Vigun02g154600.1.v1.2 pep primary_assembly:ASM411807v1:2:30116308:30119451:-1 gene:Vigun02g154600.v1.2 transcript:Vigun02g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKKNKTLAFVCNQESHTNDETQTKQQNAQPNVNVVPNAKISSSSTSLENTPNSNQQQQASIVQQPHTPQSATEQFFAMHFATQASAPGGAPNQWQQFPHLFAQSTSPFWQSHPPTAVAGPLLGANAPTIYYPFTDPGIPAASSSTTQTLPPSMCYNYPCPVFPCYWGPSSYMTQLYQMQHPYAHSFPGALNFSSATPKVPSCSASGEHSSKIGNIKPPSEISLKYQQLWEAEKAENAKLRRVTDKLLAEVSGYKDKLKNLQEEVSSFKQKAELTFKQVMGTVSAGATQPLKKRGRPPNRSLASADALNESYLPAGGKRPSTCNSLSQNKSPFEKVKPIFEKVILKKVENKEITTRFTATMAQQENNVNILNSVKDVSCNTQINQIYPTKSTCQGHVHQESKGVQACGIRVHVKFGKDKDLRPSEPDKVLNHDKSVSSKKSIGNTGNGNIGLNSGKHLVDTTMDVLDGIFIQHGRNIAPGWSLSPLRFAEEEDVSEYIEGSAKDENEIMVDDASSSAEEIGGTKDLGGWLPHE >Vigun02g154600.3.v1.2 pep primary_assembly:ASM411807v1:2:30116346:30119451:-1 gene:Vigun02g154600.v1.2 transcript:Vigun02g154600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKKNKTLAFVCNQESHTNDETQTKQQNAQPNVNVVPNAKISSSSTSLENTPNSNQQQQASIVQQPHTPQSATEQFFAMHFATQASAPGGAPNQWQQFPHLFAQSTSPFWQSHPPTAVAGPLLGANAPTIYYPFTDPGIPAASSSTTQTLPPSMCYNYPCPVFPCYWGPSSYMTQLYQMQHPYAHSFPGALNFSSATPKVPSCSASGEHSSKIGNIKPPSEISLKYQQLWEAEKAENAKLRRVTDKLLAEVSGYKDKLKNLQEEVSSFKQKAELTFKQVMGTVSAGATQPLKKRGRPPNRSLASADALNESYLPAGGKRPSTCNSLSQNKSPFEKVKPIFEKVILKKVENKEITTRFTATMAQQENNVNILNSVKDVSCNTQINQIYPTKSTCQGHVHQESKGVQACGIRVHVKFGKDKDLRPSEPDKVLNHDKSVSSKKSIGNTGNGNIGLNSGKHLVDTTMDVLDGIFIQHGRNIAPGWSLSPLRFAEEEDVSEYIEGSAKDENEIMVDDASSSAEEIGGTKDLGGWLPHE >Vigun09g021700.1.v1.2 pep primary_assembly:ASM411807v1:9:1692715:1696696:1 gene:Vigun09g021700.v1.2 transcript:Vigun09g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLACLGGSGLYTHSNKIILGKEVNGRHLFSSHRLSSLNKESKTISVKASLDQRKHEGRRGFLKLLNVGVGLPVLLGSGKAYADEQGASSSRMSYSRFLDYLDKDRVKKVDLYENGTSAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNGQEETGSPLANLIGNLAFPLILIGGLFFLSRRSSGGVGGPGGSGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFEADVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKAAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITSLAKQMVTTFGMSDIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDAAIKRISDEAYEIALSQIRKNREAIDKIVEVLLEKETMSGDEFRALLSEFVEIPAENRVPPSIPSPVTV >Vigun05g155500.1.v1.2 pep primary_assembly:ASM411807v1:5:25085228:25096191:1 gene:Vigun05g155500.v1.2 transcript:Vigun05g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCITMIPSCGLRRPQSFTLSSLPKHPKQTIPFPCVQNPLIRGVSRRVLDSRVLVARPGGVVESEKVPSDVRKRTMEAVDGCGGRVTIGDVASRAGLNLNQAQKALQALAADTDGFLEVSEEGDVLYVFPKDYRSRLGAKSLRIKAEPLVEKAKAAVEYLIRVSFGTALIASIVIVYTTIIALLTSSRSDDDNRGRRGGRSYDSGVNFYFNPVDLFWYWDPYYYRRRRLQADDDKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYITSNGGVVAAEELAPYLDIDSTKGLKDDESYILPVLLRFDGQPDVDEEGNILYRFPSLQRTASQKSKRKEYVGRRWADWVGIDKFFQEKKWQFSKTGTSERAMVIGLGGLNLFGVIILGTMLKDMAVAPSSFIKFVADIFPLLQIYAASFFAIPLFRWFFIQKKNDDIEKRNTAREQCARVLELPDTSLRQKLFSARDMAQKTVIGKDQIVYSTDKDLLEQDYETRDWDKKFRELERSD >Vigun08g014800.1.v1.2 pep primary_assembly:ASM411807v1:8:1265279:1267954:-1 gene:Vigun08g014800.v1.2 transcript:Vigun08g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRTIASTSRHTFKRLNAIFRSHSATFPSPHHSRASFPAFLHAPFTTTTTTPHSSQPTNALIDPKLHATQNDAVVDDEDATNEFLSRFVWIMRKKVKEAYPESDKATVDGMLVAIVERVTAEMEKGRGVETASLGSGDFSEDLWGTVWEVSNMVLEDMDKERKKEKMKGFLQCEEVKEMCRFAGEVGIRGDLLRELRFKWAREKMEEHEFYEGLEKMRKESDVGEEGKKENVAVGLPKRKGKIRYKIYGLDLSDPKWAQVAERIHEAEQVFWPQEPKAITGKAKVVRSKILSSKEDDDDVLQSLLNEFVELVEPSRVEWIDLLDNLKEQNRSLYSKVAEMILAEDSFQTNVSDYYRLIDTYAKEDCFDDVERLLKKMRENGIQLDASTASVLVHMYCKGGNHDRANEAFETLKGLGFQPDFQVFNSMIMAYVNNGEPSLGEKLMREMDSGNIKPTEEIYMALLRSFSQQGDTKGADRISLAMQFAGFQQSKEACTLLIEANAHIGDPYQAKSHFDYMIKLGYKPDDRCAAGMIAAYEKKNYLDDALELLLQLENDGFEPGVATYSVLVDWLGKLQLVDEVEQLLGKIALLGEAPPFKLQVSLCDMYARVGNEKKALQILGVLESRKDELGKADFERIISGLIDGGFQQDAQRICRIMEAQGFDASKVKINLLKPVSRAPRKR >Vigun01g076200.2.v1.2 pep primary_assembly:ASM411807v1:1:21362826:21365828:1 gene:Vigun01g076200.v1.2 transcript:Vigun01g076200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLAVRIVDLWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIATWEDKVKERESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRDTAYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNHGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLISLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDQSELRKGKSIAIEVGKRRSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPRKNLSTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun01g115466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29210307:29212919:-1 gene:Vigun01g115466.v1.2 transcript:Vigun01g115466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLLAKLVSRAFEEASRVVGLYDNLRDLTKTLSLIKAVLLDAQQKQEHNHELREWLTQIKTVFSDAEDLLDEFECQTLRNKVVKAHGSTKDEVSNFFSTSNPLVFRYKMAQQIKDISSRLDKVAADRHKFSLQTIDVDTRVVHRRDMTHSRVSDSDVIGRKHDKEKIVELLLQQNPNDDDTSISVIPIVGIGGLGKTTLAKFVFNDSRIQEHFPLKMWVCVSDDFDIKQLTIKIINSANDSASADAPSHQMNLNMLDLEQLQNQLKNKLFGQKFLLVLDDVWNEDRVKWVELRNLIQVSAAGSKILVTTRSHSIASMMGTVPSHILEGLSEEDSLSLFVKWAFKEGEEEKHPHLLNIGRQIVKKCKEVPLAVRTLGSLLFLKFEASEWEYVRDNEIWNLPQKRDDILPALKLSFDLMPSYLRQCFALFSLYPKDHQIDSYKITALWEALGLIALPTTNRTREDVGNQYLHELLSRSFLQDFENFDTFYGFRIHDLVHDLALFVAKDECLQVTSDSQNISDNVRHLSFTKSSLFENLVTKETAAVRTVLFPIGATVTNNEALLNICLSKFKCLRVLDLHGSTFRTLPRTITKLKHLRYLDISRNPCIKRLPDSICKLQSLQVLSVNGCMELEALPKGLRKLISLWCFQFSTKQSILPLSEIANLGSLEFLTVESCNNVESIFGGVKFPALKTLLVWHCQTLKYLLLNDQNFPKLETLMVNNCRNLDLELLKGDHEEESSKLKLKFIGFINLSQLVVLPKWLQEAANSLRCLYVSNCHNIETFPDWLATLIHLKTLTIKNCPKFVSLPDNILHLSALENLRIEGCPDLCKKYAPHVGKFWPRISHIKNIFIDEPEGLEEERE >Vigun06g068000.1.v1.2 pep primary_assembly:ASM411807v1:6:19723793:19732926:1 gene:Vigun06g068000.v1.2 transcript:Vigun06g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPNNHHPNPNAHPPPPFDMHSFFNPPPPSSNPNPNPSQSSQYPPPFPAAAPFPFPAFDLPLHHHRSLSFPTQPIPPPSNPNAGARLMALLSNPSPPLPDFAVPSSSPSTVLAAATAAAAALTRLPSGKVPKGRHLSGERVSYDVDVRLPGEIQPQLEVAPITKYGSDPNPVLGRQIAVNKSYICYGLKQGNIRVLNIHTAVRSLLRGHTQRVTDLAFFAEDVHLLASVGTDGRVYVWKISEGPDDEDKLQITANIVIAIQIVGEEKVEHPQICWHCHKQEILIVGMGKHVFRIDTTKVGNGEAVVAEDPPLRCPVDKLIDGVQLVGTHDGEVTDLSMCQWMTNRLVSASQDGTIKIWEDRKTQPLEVLRPHDGHPVFSATFFTAPHQPDHIVLITAGPQNREVKLWVSASEEGFLLPSDTESWKCTQTLELKSSAQPSRDAFFNQVAALPHAGLLLLANAQRNAIYAVHLEYGPNPESTRMDYIAEFTVTMPILSFTGTSDILPHGEHIVQVYCVQTQAIQQYALDLAQCLPPPLDNVGLEKSDSVVSADAITVEGFHTLDSSAPKIMLQAGSTENGLGPRYPLSSGHVEAPISSSNTEAKPVTLAPSSGEPDIVCIPSPPLPLSPRLSRKLSDIRNLQSNLGDHVGEHPVNDYSVDRQMDTIHRNLSETFNSESKNDEKKVKQDHISSVLNPSVMFKQPTHLITPSEITKAGSSENNIIDGKSEGEGKMQDVGNAEVEVKVVGETISNQIDEFGRQGSQQNPVSDSKEKIFCSQASDLGIEMAREGCVITAGDTYLTEEPGQLDSTGAVSLSQPPDTGEDGLQDMAKDAHEKVSDSSTSVAVPPSPVPNAKGKRQKGKNSQASGLSSSSPSVCNSTDSSNEPNGNSSLPSAENAFPQILAMQESINQLLTMQKEMQKQMTMMVAVPVTKEGRRLEAALGRNMEKAVKANSDALWARIQEENAKNEKLLRDRIQQITGLISNFMNKDLPAILEKTVKKEMASVGQAVVRAMSPAVEKIISSAIVESFQRGVGDKAVNQLDKSVSSKLEATVARQIQAQFQTTGKQVLQEALKSSFETSAVPAFEMSCKAMFEQVDATFQKGMAEHSAAVQQRLESAPTSLAMTLRDSINSASSISQTLSREVLEGQRKLVALAATRTNSGTLNPMPVQLNNGPLLREKVEVPLDPTQELARLISERKFEEAFIGALHRSDVSIVSWLCSQVDLHGLLAMAPLPLSQGVLLSLLQQLACDINNDTSRKIAWLTDVASAINPSDPVIAMHTRPIFEQVFQILNHQRSLPSTTATDLSSIRLLLHVVNSMLMQCK >Vigun07g182200.1.v1.2 pep primary_assembly:ASM411807v1:7:29866181:29869513:-1 gene:Vigun07g182200.v1.2 transcript:Vigun07g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSRIVDIAARAANNNTLINVCLLASFATLGVRSMNQQWTIEALEAEKESLAKSNKSIRRTIWNWKQQLYAEASTDSLVVPLARLRAIYGDAAPPPHARQGDTVTKDA >Vigun10g090000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25970780:25971752:1 gene:Vigun10g090000.v1.2 transcript:Vigun10g090000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFLSSFHLLTLLPQDQSSSTMATQFLILTLLIFCSTLTFTTAQDTDTGFVGSPDPKTLGLKKKKSFSHFRFYFHERFSGSNPTSVFVVPPVPKFNSTTSFGTVGVLDTTLTVGPEPTSKVVGRIEGLVAATSQRVFTLSVILNFVLTEGKYNGSTITLLGRNSIAQKIREIPVIGGTGLFRFATGYAETNTIFLDPKTTDTIEYNIYVSHY >Vigun03g162400.1.v1.2 pep primary_assembly:ASM411807v1:3:18193883:18196830:-1 gene:Vigun03g162400.v1.2 transcript:Vigun03g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQNSAPILEPVDSTTISKTKSVEYAEVPLDKQNFEASPFVIISAVLSVISAFIDMLFSILVLAAGFLTVYLNATTNWLKKTTEKLNAKGKEIESHMNRGETNNPNQDGNSKEDHRLVTSSINRCETSNSAEKRYALDNCFNNKDNGDQDYSNCEIIGCSMAKDCYNNEASGLQDLSQANLNISESENCFNNKSSKSQTYRRAKIGNPNDPDFAIRNTYNNEKNGAQNRDDYKYLPRGNIMNEHQTPN >Vigun07g062600.1.v1.2 pep primary_assembly:ASM411807v1:7:7188220:7191180:1 gene:Vigun07g062600.v1.2 transcript:Vigun07g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIELVLGNLNSLVQKELGLFLGFDQDLERLASVFTTIKATLADGEEQQFSNRSIKDWLQKLKEAAYILDDILDECAYEALRLEYQGVKLCPSNKVKCSGLSTFHPKRTVFRYKIGKKIKRISERLEEIVDERTKFHLAEMVTERRSGVIEWRQTSSFISEPHVYGREEDRDKLIDFLVHDASHDEDLSVYPIIGLGGLGKTTLSQLIFNHERVRKRFEPRVWICVSEDFGLKRMTKAIIEAVSGHACEDLDLDPLQRKLQDLLQNKRYLLVLDDVWDDDQESWQRLKSVLACGALGASVLVTTRLTKVAAIMGTVPPYELSTLSDNDCWRLFKHRAFVVDEVEQEELVEVGKEIVKKCGGVPLAAKVLGGLLRFKREVREWLKVKESNIWGLTHNIMPALRLSYLNLPIHLKQCFAYFELWMANGFISSDGKLDAEDVGDGVWNELYWRSFFQDIEKDEFGEVESFKMHDLVYDLAQFVAEEVCCITNDDNTRALFERKRIHHFSDYGWELHPTELHKVKSLRTYVGKNGQLSPDVVKCYSLRLLHLKPQKELLSAIGDLKHLRYLNLSHGNFQTLPESLCKLLNLQILKLDYCYTFQKLPDNLVRLKSLQQLSLKDCKLLSRLAPHMGKLTSLRNLSMYLVRDGRGFLLSELGPLKLKGCIDIEHLERVKSINDAKESNMSSKKLNKLTLRWQGFREGELARNDEEVLEALKPCTQTLQSLRLEGYQGVCFPQWMSSPSFKSLTYLKLWLCRNCIKLPMLRKLPSLKRLMITGAKYVKYVQDEFYDGDDDVAFMALEYLSLESLPSLIRLSSEDGENQFPCLFTLKVYDCPNFSLHGLHSLKKLEMIRVPKLKVWAGLQCLTSLEVLGITGCYEVEGLQYMSALKKLTLRNLPNMEFLPDCFGELPLLRKLTIVGCYKLMRLPTSLSLSSVEVLSILDCNLELSKRCEKESGEDWPIIAHIPHLYV >Vigun10g169332.1.v1.2 pep primary_assembly:ASM411807v1:10:38822899:38823182:-1 gene:Vigun10g169332.v1.2 transcript:Vigun10g169332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKITQFLEASNIPTLVGLGLGDNSSRITKMVKNTRYQLTFIMCQEMKISATRRLRNSFNYQRQL >Vigun04g112066.3.v1.2 pep primary_assembly:ASM411807v1:4:28205150:28206865:1 gene:Vigun04g112066.v1.2 transcript:Vigun04g112066.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRVGPSGLVTARELRKEGYRVVVLEKNHDVGGQWLYQANVEEEDHLGRKPFLKNGYMEKKTNA >Vigun04g112066.1.v1.2 pep primary_assembly:ASM411807v1:4:28204848:28205599:1 gene:Vigun04g112066.v1.2 transcript:Vigun04g112066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQSMWICLSSANYESRWLVTARELRKEGYRVVVLEKNHDVGGQWLYQANVEEEDHLGRKPFLKNGYMEKKTNA >Vigun04g112066.2.v1.2 pep primary_assembly:ASM411807v1:4:28205150:28206865:1 gene:Vigun04g112066.v1.2 transcript:Vigun04g112066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRVGPSGLVTARELRKEGYRVVVLEKNHDVGGQWLYQANVEEEDHLGRKPFLKNGYMEKKTNA >Vigun01g016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1791325:1792764:1 gene:Vigun01g016400.v1.2 transcript:Vigun01g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQKKLVDEVSGWLRIYDDGSVDRTWTGPEQFKFMADPVLPHEKFIDGIAVRDTIISREGHDLRVRLYLPENALENKKKLPIILHFQGGGFCISEPDWFMYYQIYVRVALSAQAIVVSPFLRRAPEHRLPAATDDAFDTLLWLQSVARSGSNEPWLEQHGDFNRVFLIGDSSGGNVVHEVASRAGSADLSPVRVAGAIPVHPGFVRSERSRSELEMPQSPFLTLDMLDKFLELALPVGATKDHPMTCPMGAAAPALEGLKLPPVLLCVAEMDLVRDTEMEYYEALKKAKKEVELYVSDGMSHSFYLNKVAVDLDPNVSAKTDAFITRIKDFIQQH >Vigun10g150150.1.v1.2 pep primary_assembly:ASM411807v1:10:36904869:36905708:1 gene:Vigun10g150150.v1.2 transcript:Vigun10g150150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKETFEFFSLNLSLFFPHSLKNGALILVLFEPEPELGPKQDPLLQRKYNSNPSLFLFYFLL >Vigun06g046300.1.v1.2 pep primary_assembly:ASM411807v1:6:17115923:17122249:1 gene:Vigun06g046300.v1.2 transcript:Vigun06g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLFDEHFFLLLCLKVKMYKTKLQELCHKRKWGLPRYSGMKSGPDHIPSFKANVHVNGVIFTSSSASSSIKEAENKAAMVALLSFCSGSPRQTSKDDTKEQIRAVKPQQSSTPAQFSVIIDEQCSSVNNLHPPVFACKTEDLPPANDYKATVLVDGHSTESPSFTNTIKETERASDSILLSPDIFQMGDSDSFKTSLMKLTEREGFHKPTYKTMQAGSPYRPTFFSTLEVEGEEFHGKGCRFKKEAEEDAAKIAYIALKKLMCDQHDFAKNIVQRKRSPRSLHRTNGNDADAPPGFPPKPGGTVYTEKSSDYASNARKGNSDANVPSDFLVKRLKTVPSGIYAQDGFKDNEDIDAEVPARCKNLFNQTSSACTVDYENAKRMSPQEKLVGKDTVEPLILKEEVEDANGSKEATLSIEGVTLSGAQGESYNCVSGMNVIDQHDFAKNIVQRKRSPRSLHRTNGNDADVPPGFPPKLGGTVYTEKSSDDALNARKGNSDANVPSEFLVKRLKTVPSGNYAQDGFKDQENINAEVPARCKYLSNQSSSASTIDYKNAKRMSPQAKLVGKDSVEPLILKEEVEDANWSIEARLSTEGVSLSGAQGESYNCVSGMNVIDLEQRIKKLETVIEKLKITKLGHC >Vigun06g046300.2.v1.2 pep primary_assembly:ASM411807v1:6:17115923:17122249:1 gene:Vigun06g046300.v1.2 transcript:Vigun06g046300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHKRKWGLPRYSGMKDGPDHIPSFKASVHVNGVIFTSSSASSSLKEAKNKAAMVAFLNLSCGSSTQISDSGTQEQIRAVKPKDSSIPAQSSVIRNVKMYKTKLQELCHKRKWGLPRYSGMKSGPDHIPSFKANVHVNGVIFTSSSASSSIKEAENKAAMVALLSFCSGSPRQTSKDDTKEQIRAVKPQQSSTPAQFSVIIDEQCSSVNNLHPPVFACKTEDLPPANDYKATVLVDGHSTESPSFTNTIKETERASDSILLSPDIFQMGDSDSFKTSLMKLTEREGFHKPTYKTMQAGSPYRPTFFSTLEVEGEEFHGKGCRFKKEAEEDAAKIAYIALKKLMCDQHDFAKNIVQRKRSPRSLHRTNGNDADAPPGFPPKPGGTVYTEKSSDYASNARKGNSDANVPSDFLVKRLKTVPSGIYAQDGFKDNEDIDAEVPARCKNLFNQTSSACTVDYENAKRMSPQEKLVGKDTVEPLILKEEVEDANGSKEATLSIEGVTLSGAQGESYNCVSGMNVIDQHDFAKNIVQRKRSPRSLHRTNGNDADVPPGFPPKLGGTVYTEKSSDDALNARKGNSDANVPSEFLVKRLKTVPSGNYAQDGFKDQENINAEVPARCKYLSNQSSSASTIDYKNAKRMSPQAKLVGKDSVEPLILKEEVEDANWSIEARLSTEGVSLSGAQGESYNCVSGMNVIDLEQRIKKLETVIEKLKITKLGHC >Vigun08g097300.2.v1.2 pep primary_assembly:ASM411807v1:8:23436025:23440285:-1 gene:Vigun08g097300.v1.2 transcript:Vigun08g097300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSREIAIGPVAVVSMLLSSLVPKVEDPVANPHAYRNLVFTVTFFTGIFQTAFGVFRLGFLVDFLSHAALVGFMAGAAVIIGLQQLKGLLGISHFTSKTDVVSVLASVYKSLHHQIASGEKWNPLNFVLGCSFLIFILITRFIGRRNRKFFWLPAVAPLLSVILSTLIVYLSRADKHGVNIIKHVKGGLNPSSLHQLQLHGPHVGQAAKIGLICAVIALTEAIAVGRSFASIKGYHLDGNKEMLSMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCQTAVSNIVMAVTVFLSLELFTRLLYYTPVAILASIILSALPGLIDLSEACYIWKVDKLDFLACIGAFLGVLFATVEIGLLVAVIISFAKILIQSIRPGIEVLGRVPRTEAFCDVTQYPMAISTPGITVIRISSGSLCFANANFVRERILKWVSQDEDDPKESTKGRVQAVILDMTNLMNVDTSGILALEELHKRLLSRGVELAMVNPRWLVIHKLKLAHFVDKIGKEWVFLTVGEAVDACLSAKIARA >Vigun08g097300.1.v1.2 pep primary_assembly:ASM411807v1:8:23436025:23440285:-1 gene:Vigun08g097300.v1.2 transcript:Vigun08g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQPVFHLEEHGQTERSQWVLDSPNPPPLWKKIFTSVKETILPHGNKFCFSSKRKTSHGRAFSCLQNLFPIITWLRDYKASKFKDDLLAGLTLASLSIPQSIGYATLAKVAPEYGLYTSVIPPLIYAMMGSSREIAIGPVAVVSMLLSSLVPKVEDPVANPHAYRNLVFTVTFFTGIFQTAFGVFRLGFLVDFLSHAALVGFMAGAAVIIGLQQLKGLLGISHFTSKTDVVSVLASVYKSLHHQIASGEKWNPLNFVLGCSFLIFILITRFIGRRNRKFFWLPAVAPLLSVILSTLIVYLSRADKHGVNIIKHVKGGLNPSSLHQLQLHGPHVGQAAKIGLICAVIALTEAIAVGRSFASIKGYHLDGNKEMLSMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCQTAVSNIVMAVTVFLSLELFTRLLYYTPVAILASIILSALPGLIDLSEACYIWKVDKLDFLACIGAFLGVLFATVEIGLLVAVIISFAKILIQSIRPGIEVLGRVPRTEAFCDVTQYPMAISTPGITVIRISSGSLCFANANFVRERILKWVSQDEDDPKESTKGRVQAVILDMTNLMNVDTSGILALEELHKRLLSRGVELAMVNPRWLVIHKLKLAHFVDKIGKEWVFLTVGEAVDACLSAKIARA >Vigun03g415500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62235751:62236836:1 gene:Vigun03g415500.v1.2 transcript:Vigun03g415500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIREGNQEYMFSSCIYKMLISLNTKTKTKFLLYYLLIFLTMAGFVRGFADMMDGGYGGRGMNSYPEMNPNMNTDPFVPLQPPASAFLNGDNQKLFGITNNTNVKVKGDGNGACTLGNFK >Vigun03g394200.1.v1.2 pep primary_assembly:ASM411807v1:3:60030109:60032594:-1 gene:Vigun03g394200.v1.2 transcript:Vigun03g394200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCSSMASLLKAPSFLSPLNQKLNYVSLSPTTTNLQSKFYHASVRKGRWHVPSMAIKAQIAVEGDVVDKESVSINEESDYGVVNMHHVGILCESLERSLDFYQNVLGLKINEARPNDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIRDVSKLKEILDKADIPYTLSRSGRPAIFTRDPDANALEFTQVDD >Vigun02g034001.1.v1.2 pep primary_assembly:ASM411807v1:2:14336411:14338327:1 gene:Vigun02g034001.v1.2 transcript:Vigun02g034001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRRWHKHSVNGDQNITTQAYESTSKRAEQESTTEHHIRAFNHAHKSHTFAVYFHGSETYLLMLLHSCNPLSLFTVALSCSPFQMIQGCSLLFFLSVMKCETVYSCPRCSLALCDIVSSLFFS >Vigun01g022432.1.v1.2 pep primary_assembly:ASM411807v1:1:2374313:2377489:-1 gene:Vigun01g022432.v1.2 transcript:Vigun01g022432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKVLRCLGFFHLTNTKQQSSSWMKRLKSGKLDLGVRRDILYWIWKEHTICYWMLGGTFLIGFGSQQAGYLTTLVPYCIQ >Vigun01g022432.2.v1.2 pep primary_assembly:ASM411807v1:1:2374319:2377489:-1 gene:Vigun01g022432.v1.2 transcript:Vigun01g022432.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKVLRCLGFFHLTNTKQQSSSWMKRLKSGKLDLGVRRDILYWIWKEHTICYWMLGGTFLIGFGSQQAGYLTTLVPYCIQ >Vigun09g273000.1.v1.2 pep primary_assembly:ASM411807v1:9:43417603:43419191:1 gene:Vigun09g273000.v1.2 transcript:Vigun09g273000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFICFCLLSVFFVVSGSSNEEHRTVQVVGQGECADCTHNNIKTSHAFSGLRVAVNCKSPHGNFRTRGVGALDEYGNFKVSLPQDMVEDGELKEDCYAQLHSASAEPCPSHGNSKIVTKSVSEDTHTLQPAAGKLTFSSAMCTSAFFWHFFKHPEFPFPPKDDHPNDPLPPPVPVHKEPPSTPTYKKPPTNSPSPVVKKPNPPKEKTPSSPTPIYRPLPPTTPISEPSPTTPPFFKLFPPFPYKKPCPPLIPNMEPPKYYQHPWFGNWPPSHS >Vigun10g004900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:477837:479504:1 gene:Vigun10g004900.v1.2 transcript:Vigun10g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPRNAAVFAVHVFKASSRFLHSSSPQTHPNFPIPRSNSPPSHVVNPSSLLSDLVSCAHSDMAGVFALHKRELTSNLVLGVLRGYKQLGRAKTLKFFSLAGSHMGFHFDDSVVEYMADFLGRRKLFDDIKCLLTTVAFHKGGVSPKALAICIRFLGRQGRIKEALSLFEDTETVFKCKPDNLVCNNMLYVLCKRESSLEMIQLAHSIFHKIETPDTYSCSNMIVGFCKFGRVESALEIFNQMEKIGVLPTRSAVNMLIGELCLTSAKEGSVEKVRVRNTRRPYTILVPNMGGNSDAMQPAVQVFWAVSKAGLLPSSFVVVKVMCELCRLGNTEEAGRVLRIVEERKLRCVHEGYSVVIKALCECHKVKEASDLFGRMLSLGLKPKLVVYNSVILMLCKLGKLKDATRVFEIMNKNRCLPDDLTYTALIHGHGEGKNWKVAYDLLIEMLGLGLLPNFDTYNLVESLLREHGRLDLCVKLDRKLENQKLQKLCRGGELDAAYEKVKSMLEKGIPLSAYARDIFEQVFQKCGKLKIARQLLENTERVQKAEEIDKT >Vigun05g159101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25583267:25583485:1 gene:Vigun05g159101.v1.2 transcript:Vigun05g159101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun04g126300.1.v1.2 pep primary_assembly:ASM411807v1:4:31899984:31905396:-1 gene:Vigun04g126300.v1.2 transcript:Vigun04g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHARRRDSAPGPFPPLSPTIFIPPPSLTQQDPTPDPFPPSIFENRRPAKSHSRRESLPGPSCLPLSPPSTASHCRRDSYPGPFPTPFQESDSGLLPSTSSSSLNHFYHDTYSNTGKRRSCLYDESSDLSWPFQELEGLDHGDIRETAYEILFTACRSSPGFGGRSAITFYSKHDGNSDGKSPGVTQTSKMKLALGLKMMRSSLSQRVMVSTTASTPASPVTERSPRSRSVPRRAMTMAEVMRLQMGVSEQSDSRLRKTLVRTLVGQLGRQAETIILPLELLRHLKPSEFSDFHEYHLWQKRQLKLLEAGLLSHPAIPIDKNNTYAMHLRDIIRSAEFKPLDTCKNSDTMRTFTNSVVSLSMRTPNDNPTNVCHWANGYPVNVHLYISLLQSIFDQTEETAVLDEVDDQLELIKKTWSTLGINKPIHNVCFAWVMFQQYVETGQTEPDLVCAALATLSEVSNDTKKEKESLYIEILTSMLKSLKEWTDKRFLNYHECFQEGNIGQIENLLPVVRLATKILGDFKVSDEEVQDRGDKTTRDASEDQIDDYIRSSVKNAFEKMMEAANDKSAESETKVEISEIMLQLAQETENLANKEKQSYSPVLKKWHSIAAAVAAMTLNNCYGHVLKQYLSEMTTSETVEVILVLRRAKILEDVLVQMVVEDSVDCEDGGKTVVREMVPFEVESTTLTLIKKWMDESLLKVRDCFQRAKENEAWNPKSKSEPYAKSVVELMNLSKKVVQEFFQIPISITEDLVQELVDGLQKVLREYIMFVAACGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCSVSCEDPNIYSIYEAKHPHSCTSRGTQRLYIRLNSLHYILSHIPQIDKALSLSEGVVPSNRHSPANNQKAQSKSISYFEATNTAILAACQHVSEVASYRLMFFDSNPFFYDSLYVGDVANARIDKMLTILKHNIKLMTAILTERAQEVAVKQVMKASFDAFLTVLLAGGTTRVFNESDHQFIQDDFESLKKVFHICGEEFWQKIWWRKRLRLWRV >Vigun10g186800.1.v1.2 pep primary_assembly:ASM411807v1:10:40295987:40303087:-1 gene:Vigun10g186800.v1.2 transcript:Vigun10g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDIYRATNSLRANSSTAWRRSIMEGFSRSSHREDGADEEALKWAALEKLPTYNRLKKGLLTTSRGVANEIDITELGFRERQKLLDRLINVAEEDNEKFLLKLKDRIDRVGIDIPTIEVRYEHLNVEAETYVGSRALPTFVNFVTNMVESVFTSLHILSGKKKHVTILKDVSGVIKPRRMTLLLGPPSSGKTTLLLALSGKLDPSLKASGRVSYNGHGFDEFVPQRTAAYISQNDVHIGEMTVRETLAFSAKCQGVGTRYDLLSELARREKEAKIKPDPDIDVYMKAAVTGGQEANLVTDYVLKILGLDICADTMMGDEMLRGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLSHYVHILDGTAVISLLQPAPETYELFDDIILISDGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRDEAYRFVTVTQFAEAFQSFHVGRRIGEELATPFDKSKNHPAALTTERYGVNKKELLKANISRELLLMKRNSFVYIFKLFQLVILAILTMTMFLRTEMHRDNLGDGGVYTGALFFAVVILMFNGLAEISMTIVKLPIFYKQRDLLFYPSWAYAIPSWILKIPITFLEAAVWVFLTYYVIGFDPNVSRLLKQYLVLLLINQMSSGLFRAIAALGRNMIVANTFGSFALLILFALGGFILSRDDIKVWWIWGYWISPLMYGQNAIVVNEFLGNSWNRITPNSNKTLGIQILESRGFFTHAYWYWIGIGALIGFIFLFNFMYTLALTYLNPFDKPQTTITEESEGGKASGRAREEELTCLESSGSANSAVSCSRIKNRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKVSGYPKRQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSAEVESKTRKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSSQLIKYFESIEGVSKIKVGYNPATWMLEVTTPAQELNLGVDFNQIYRNSELYRRNKQLIAELGNPGHGSKDIHFPTKYAQSLWVQCLACLWKQHWSYWRNPPYTAVRFLSTTVIALMFGTMFWDLGGKYSSRQDLFNAMGSMYNAVLFVGIQNSASVQPVVAIGRTVFYRERAAGMYSAFPYALAQVLIELPYIFVQAATYSAIVYSMMGFEWTLEKFFWYLFFMFFTLCYFTFYGMMTVAVTPNHHVASVVAAAFYGIWNLFSGFVIPRPNMPVWWRWYYWACPVAWTIYGLLASQFGDITNVMKLENISVQEFLRSYFGIRHDFIGVSAIVVSGFAVLFAIIFAVSIKAFNFQKR >Vigun04g053800.1.v1.2 pep primary_assembly:ASM411807v1:4:5032150:5035154:-1 gene:Vigun04g053800.v1.2 transcript:Vigun04g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKTHLEETIPEWRDKFLCYKPLKKLLKHHLPSTTTTVATTPINLPLHLLQQPSSPQLLQAWFVRILNEELEKFNDFYVDKEEEFVIRFQELKERIERLKDKSSHSEMYTSDCEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLIKILKKYDKRTGGLLRLPFTQFVLRQPFFTTEPLTRLVHECEENLELLFPLQAEVIQSSPPPENPARPPVDGGATNALREASSTLGEETMYIYRSTLAAMRAIKGLQKASSTSNPFSFSSLFSNQDGDGTGAVTAENSAPNSPATLPNETSIGKEGTNSV >Vigun08g186500.1.v1.2 pep primary_assembly:ASM411807v1:8:35579039:35583710:1 gene:Vigun08g186500.v1.2 transcript:Vigun08g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAILRRTCSTLSRRAFPAVVISASNDFRPLSAAFIPRNFHSKPVPLNFRYSLYHRAEYAVDEFPYEEGSKGNADEGLEIAKLGISQDIVSALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKIIQFNAKHGRGRDPLALVLAPTRELAKQVEKEFYESAPNLDTICVYGGTPISKQMRQLDYGVDIAVGTPGRIIDLLNRGALNLKEVQFVVLDEADQMLQVGFQEEVEKILERLPPKRQTLMFSATMPSWIKQISRNYLNDPLTIDLVGDSDQKLADGISLYSIASDLYVKAGILAQLITEHAKGGKCIVFTQTKRDADRLSYTMAKSVQCEALHGDISQAQRERTLAGFRNGHFNVLVATDVASRGLDIPNVDLVIHYDLPNSSEIFVHRSGRTGRAGKKGTAILVYTEDQSRAVRLIERDVGCRFTELPRIAVDSASMDTVGMGSGRFGSFGSTRDRRYGDTGFSRGPGSGRSGGYNNSGFGRSSFGDSGERFGGQSYNRFGGSGFSQSGGGFSGNSSGEMSRYGGPSTGRFGSSGGFGSGQSESRSGRSSGGSRFSRPDDFGGFGGSDRSGQSSGFGDSRGSNQNNRRPF >Vigun07g025966.1.v1.2 pep primary_assembly:ASM411807v1:7:2325782:2328643:-1 gene:Vigun07g025966.v1.2 transcript:Vigun07g025966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSIEVNNAGIGGVVIKDSDLISIVILNRGSFPKESWARGVLSDADNLTEEKVDEIVKMFLSDFKEGSLESKGWPRYLGAYIVSKTALC >VigunL058900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000447.1:17421:17894:1 gene:VigunL058900.v1.2 transcript:VigunL058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVVASQLLIYVGAINVLTIFVVMFMNGSDYYQNFRVWTVGDGITLMVCTGIFLSQITTILETSWHKIIWTTRPNQILEQDLISTSQQIGIHLSRNFFFHLN >Vigun07g204500.1.v1.2 pep primary_assembly:ASM411807v1:7:32599363:32606152:1 gene:Vigun07g204500.v1.2 transcript:Vigun07g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSDPVESFFNSVQVVKESLSPLEVGFRKAAKDLEHCLAGPKNKVNGVCLIAPLREGSEFQICDVKKKKGLSMKVPLKAFLGMFSQNSGNGGAGNRAQVGKEDGPSCTNCLQFAVTWSLLVNGFLQSLPLPFKSGKKKCHKVCDEDKPSSCMRSTVSSCDLKQDESKGVQFGRAVWENGVNRKDGKHVSLECVIGFIFDQLSQTIQSLDHGVQENQDDLDSGKTCLPQPSFPHFGNVNALTGFLEEQKVYVNGFLGNLRFAKVGGVPSTVPGEESPSTNGEGDNSNSNNNNGNGSGNNENKEESGGNSPQKVASNIFSIPLSNVERLKSTLSTVSLTELVELLPQLGKTSKDHPDKKKLISVQDFFRYTEAEGRRFFEELDRDGDGQVTLEDLEVAIRKRKLPRRYAKEFMSRARSHLFSRSFGLKQFLSLMEQKEPTILRAYTSLCLSKSGTLKKSEILESLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPPVEIPAGSVLRSALAGGLSCALSCALLHPVDTIKTRVQASTMSFPEIISKLPEIGRRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGQAFVATWEQDGLRGFFRGTGATLCREVPFYVAGMGLYAESKKVVERLLERELGPLETIAVGALSGGLAAVVTTPFDVMKTRMMTAQGRSVSMSLIAFSILKHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMNKNEEGKAGSSD >Vigun10g090300.2.v1.2 pep primary_assembly:ASM411807v1:10:26072425:26075704:-1 gene:Vigun10g090300.v1.2 transcript:Vigun10g090300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTEFGVSQFLQLHALVWGTLWNRNWALSSIGRALTLSCQAQENPRVVVSGGMNSFIEEQPGLVEKPAWEVVHLFRVPFMQKKIQTEQCYNVGLCSQLSSEKFSVLKWLLQETFEPQNLGNESFLEKKRKEGLSPVIVEVGPRLSFTTAWSTNAVAICHACGLTEVTRLERSRRYLFTTSELQDHQINEFAVMVHDRMTECVYSQKLTSFETSVVPEEIRYIPVMERGRKALEEINLEMGFAFDDHDLGYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGNISIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFPVKQLRPIQPGSSCPLEIAVHEVDILFTAETHNFPCSVAPYPGAETGAGGRIRDTHATGRGSFVQAATTGYCVGNLNTPCFYAPWEDPSFTYPSNLAPPVQILIDSSSGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAKLDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDILESICSREKVSMAVIGTISGDGRVVLVDSLATQQCISNGLPPPSPAVDLKLEKVLGDMPKKSFNFSRVVHERQPLDIAPEITLIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAAISLLKQ >Vigun05g138600.1.v1.2 pep primary_assembly:ASM411807v1:5:16841236:16847057:1 gene:Vigun05g138600.v1.2 transcript:Vigun05g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKDLDCKLLGKDDYQNGPARGPYDLLKHRCKIFSHPSVRHCARHIPHTLCDSSRLSSLRHTRVSPPPTSPQATTKHEGRVQQPTHGVSFLLHRDIHHVAGSSEKTQRSARRMQNHHRNAIRGRFRPPRLPRRQILVMMFCPFRMILMNYLINKKFNWKSSQLANRFSSSLAFVENPFGSCAKHEEKFTFSNPVGFLRDYKFSGKSVGNTEILHAHLLKTCDLQSDIFSMNTLLDWYCKSADMVIAYKLFDTIALPNLVSWNIMISGCDHNSMFEKSLEMFRRMHFRGVEPDEFSYGSVLSACSALRAPIFGKQVYALVTKNGFLSSGYVQTRMVDLFSKNCNFKEALRFFYDASRDNVACWNAIISVAVKSGESSVALNLFRQMHHAYVMPNSYTFPSLLTACGALKELHIGRGVHGRAIKCGATDVFVETSIVDFYAKFGCMNEAFRQFSQMQVHNVVSWTAIISGFVQEDDIIFALKLFKNMRAIGQETNNYTLTSVLSACAKPGMIKEAGEIHSLVLKLGLNLDPKVGTALIHMYAKIGELGLSELAFGEIKNKKDQCTWAAMLSSFAQNQNSRRAVELFLLMLGEGVKPDEYCISSVLSIMNCLFLGSQINGYILKSGLVADVSVGCSLLTMYSKCGCLEESYKVFQQIPAKDYVSWSSMISGFAEHGYAYRSLQLFKEMLYQEIEPDNITLTSTLAACSDLCFLKTGKEIHGYALRLGIGTNIVVGGALVNMYSNCGGLNIARTMFDTLPQKDAFALSSLVSGYAQKGLIEESLLLFCDMRQTDMTVDAFTISSILGAAAVLYRSDIGAQLHAYTEKLGLQAAVSIGSSLVTMYSKCGSIEDCQKAFDDAEKPDLIGWTSIIVSYAQHGKGAEALASYERMRKEGIQPDAVTFVGILLACSHNGLVEEAFFYFNSMCQDYNIKPGYHHYACLVDLLGRSGRLRDAESIIYKMLVQPNALIWGTLLAACKVHGDFELGKLAAKKILELGPSDAGVYVSYSNICADVGQWEEVTKIRNSLKGTGMKKEPGWSLSSY >Vigun11g069450.1.v1.2 pep primary_assembly:ASM411807v1:11:20257032:20258504:-1 gene:Vigun11g069450.v1.2 transcript:Vigun11g069450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLVTLTSLILILFIPSLVSIVQDTLSISQSQSLTYGKTIVSHPLRTFELGFFNLGNPNKIYLRISYKNIPIKTVVWVANGASPINDSSAILTLKNLGNLVLAHNNMVLWCTSSSNDAQNPIAALLDSGNLVIRYESAANAEAYLWQSFNYPSNTMVVGMKIGWDIKRNLSISLVAWKSYDDPTPGDFSWGIKLYPYPDMYMMKGTKKYHKAGPWNGLRFSGWPNLMPNKDNKSWHTYSTVPEDLCGPYGVCEVNAYCSLSASLVSECLKGFEPKSLENWSTTDWSEGCVVKHPLNCKNDGCWCMVEYVPA >Vigun03g250300.2.v1.2 pep primary_assembly:ASM411807v1:3:41639622:41642852:1 gene:Vigun03g250300.v1.2 transcript:Vigun03g250300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSGAPSLHSSSLNNTHCSGSSDIHGSKVESSAITTIRETQSSVITRGSIDSLCSRSPPPPLSRFIPPSLLSFPRRRILKSPELKVFSFEELKSVTRNFWSDRLLGEGKFARVYKGWVDENTLTPVKPGSGVVVAIKMLNPESSKGFQLWQSEVTILGRLSHPNLVRLLGYCWDENQFLLVYEFMSKGSLEWHLFGRNRRRESLSWNTRIKIAIGAARGLTFLQGNENKVIFGDFRTSSILLDGNYNAKLSDFGSAKLWPPGGQSHVDTRVFGTIGYAAPEIFTTGQLYVTSDVYGFGVVLLEILTGMRALDKRRPTGQENLVEWTKPFLSSGEKLKNIMDGGIEGQYSQKEAFEAAQLALKCLKPDPRQRPSMKQVLERLEAIETIHENSKESKTRNSHQHSRQRVMRV >Vigun06g154400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27826684:27827554:-1 gene:Vigun06g154400.v1.2 transcript:Vigun06g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTMKHHCLLLLSLVLVSYATRSDGAPGGWEAIKDVKEQHVVEIAEYAVSEYDKRSGAKLKLVSVLKGETQVVAGTNYRLVLKTKGGSGATNYEAVVWEKPWLNFKNLTSFKPLPA >Vigun09g252650.1.v1.2 pep primary_assembly:ASM411807v1:9:41978675:41979578:-1 gene:Vigun09g252650.v1.2 transcript:Vigun09g252650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQCSKKGKRKSNGKGSSHLNTCEELSPQQQRHIGWEISHYGTDKISS >Vigun07g221900.1.v1.2 pep primary_assembly:ASM411807v1:7:34445425:34446568:-1 gene:Vigun07g221900.v1.2 transcript:Vigun07g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKVDVNEDKIKQKAMKAVSGISGVESVSVDMKDKKLTLIGDVDPVQVVAKLRKFCRAEIVSVGPAKEEKKEEPKKDDKKKEEEKKDTTKEIVADPLKFYQTYGYYYQMKPQYNPYYSAMSVEEDPNGCVIV >Vigun08g041900.3.v1.2 pep primary_assembly:ASM411807v1:8:4307757:4314184:1 gene:Vigun08g041900.v1.2 transcript:Vigun08g041900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALEEGEHFSLGSIMEETTLSKDLILEILSWLPVKSLLRFTSVSEWFQRLISDPTFVKLHLQRASKHSNFLIILKRQDDRLVVSPCSMLCLLQSNHDHNFLRVPERFLERYQGLSSCNGLVCVANNFSSGDVDSLKHICSFTIWNPATRTKFQVPRLTFRTIEVDDVSFGFAYDDRSDTYKVMTIISYLHPTCQVQSCNEVKIYTISSNDGWRTMEKFPANTSFVPDYGKYFNGNIIWLTTEESDQSQYTFNDFVVVFFDLRTEIFNKVLLPQNLEGVDANINIPEIMVLDDFVSLVFKDFATNTFVVWQMKNFGNRDSWTLLVNIDVDVLQTEPVPFLKPLCIQDRFLVFYQLTIENQMHVIWYDMREGEVARRRISTEVFSVWVEDYIPSLQSLGA >Vigun08g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4312436:4314349:1 gene:Vigun08g041900.v1.2 transcript:Vigun08g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTLSKDLILEILSWLPVKSLLRFTSVSEWFQRLISDPTFVKLHLQRASKHSNFLIILKRQDDRLVVSPCSMLCLLQSNHDHNFLRVPERFLERYQGLSSCNGLVCVANNFSSGDVDSLKHICSFTIWNPATRTKFQVPRLTFRTIEVDDVSFGFAYDDRSDTYKVMTIISYLHPTCQVQSCNEVKIYTISSNDGWRTMEKFPANTSFVPDYGKYFNGNIIWLTTEESDQSQYTFNDFVVVFFDLRTEIFNKVLLPQNLEGVDANINIPEIMVLDDFVSLVFKDFATNTFVVWQMKNFGNRDSWTLLVNIDVDVLQTEPVPFLKPLCIQDRFLVFYQLTIENQMHVIWYDMREGEVARRRISTEVFSVWVEDYIPSLQSLGA >Vigun08g041900.2.v1.2 pep primary_assembly:ASM411807v1:8:4307757:4314184:1 gene:Vigun08g041900.v1.2 transcript:Vigun08g041900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALEEGEHFSLGSIMEETTLSKDLILEILSWLPVKSLLRFTSVSEWFQRLISDPTFVKLHLQRASKHSNFLIILKRQDDRLVVSPCSMLCLLQSNHDHNFLRVPERFLERYQGLSSCNGLVCVANNFSSGDVDSLKHICSFTIWNPATRTKFQVPRLTFRTIEVDDVSFGFAYDDRSDTYKVMTIISYLHPTCQVQSCNEVKIYTISSNDGWRTMEKFPANTSFVPDYGKYFNGNIIWLTTEESDQSQYTFNDFVVVFFDLRTEIFNKVLLPQNLEGVDANINIPEIMVLDDFVSLVFKDFATNTFVVWQMKNFGNRDSWTLLVNIDVDVLQTEPVPFLKPLCIQDRFLVFYQLTIENQMHVIWYDMREGEVARRRISTEVFSVWVEDYIPSLQSLGA >Vigun08g137800.1.v1.2 pep primary_assembly:ASM411807v1:8:30993404:31013861:-1 gene:Vigun08g137800.v1.2 transcript:Vigun08g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASASRHLLQRDASIGACSGSGNRVRSLFTVSVIATTYPSRTRTCCSHGYGISFNAPKHRACPGLLFAVKGFLSDSSNSNSPHGRVPRPHLPHPSSSVDGRAFFSTSAKDDGNQSQKAASSTIPKPPPSLPDGKVADVKILRTLASYLWMKDNFEFRVRVIAALGLLVGAKVLNVQVPFLFKLAVDSLTTASGNAAALASSSSGLALFATPVAVLVGYGIARSGASAFNELRTAIFSKVALRTIRLVSRKVFSHLHDLDLRYHLSRETGALSRIIDRGSRGISFILYSMVFNVVPTILEISMVAGILAYKFGAPFALITSSSVAAYVIFTLSITQWRTKFRKTMNRADNDASTRVIDSLINYETVKYFNNEVYEADNYDKYLKRYEDAALKTQQSLAVLNFGQNFIFSAALSSAMVLCSHGIMDGTMTVGDLVMVNGLLFQLSLPLNFLGSVFRETVQSLVDMKSMFQLLEERADIRDKENAQTLKYNGGSIQFENVHFSYLTERKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDPNSGSIKIDNQDIREVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYEAAQQAAIHNTIMNFPDQYSTVVGERGLKLSGGEKQRVALARSFLKAPAILLCDEATSALDSTTEAEILSALKSVAKNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHEELLSKAGRYAQLWGQQNNSIDAIDTAIDVGAQ >Vigun08g096600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23228637:23229257:-1 gene:Vigun08g096600.v1.2 transcript:Vigun08g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSLTIPLKSDDYIGNKSSMYLQNTEHVIDNHKTYKFINNTRKKEYKEFPPPLPLPCVLKRYYTSEGRLILKEKKVKQHEYFRAHRANGRLTLHLVNVSFDDYEDYCEETTPTCPYQELGTNNVTHDHTRVVNTSDDKFDDVEEAKVNSNNTVADGFSQEHESDVVLANGNGRVKRLNCSSVRSSPTCNNFGLPVYPIRIVQVD >Vigun07g136200.4.v1.2 pep primary_assembly:ASM411807v1:7:24647426:24652124:1 gene:Vigun07g136200.v1.2 transcript:Vigun07g136200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPSSSSTSSVAARGVDPSLQDLNEKKQSFRRNVVSLAAELKELRSRLSSQEQSYARETLTRQEAETNAKNMELEIGRLQMNLEQKDQQLQASASSTEKYLKELDDLRAQLVATRKTADASAASAQSAQLQCSELLKELDEKNGSLREHEARVLRLGEQLDNLQKDLQARESSQNQLKDEVLRIEHDIMDALAKAGENKNCELRKILDEVSPKNFEKMNKLLGVKDEEIAKLKDEIKIMSAHWKIKTKELESQLEKQRRTDQELKKKVLKLEFCLQEARSQTRKLQRMGERRDKAIKDLRDQLAAKQQREVVGADKQNQNFWDTSGFKIVVSMSMLVLVVFSRR >Vigun07g136200.1.v1.2 pep primary_assembly:ASM411807v1:7:24648036:24652019:1 gene:Vigun07g136200.v1.2 transcript:Vigun07g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPSSSSTSSVAARGVDPSLQDLNEKKQSFRRNVVSLAAELKELRSRLSSQEQSYARETLTRQEAETNAKNMELEIGRLQMNLEQKDQQLQASASSTEKYLKELDDLRAQLVATRKTADASAASAQSAQLQCSELLKELDEKNGSLREHEARVLRLGEQLDNLQKDLQARESSQNQLKDEVLRIEHDIMDALAKAGENKNCELRKILDEVSPKNFEKMNKLLGVKDEEIAKLKDEIKIMSAHWKIKTKELESQLEKQRRTDQELKKKVLKLEFCLQEARSQTRKLQRMGERRDKAIKDLRDQLAAKQQREVVGADKQNQNFWDTSGFKIVVSMSMLVLVVFSRR >Vigun07g136200.3.v1.2 pep primary_assembly:ASM411807v1:7:24647662:24651991:1 gene:Vigun07g136200.v1.2 transcript:Vigun07g136200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPSSSSTSSVAARGVDPSLQDLNEKKQSFRRNVVSLAAELKELRSRLSSQEQSYARETLTRQEAETNAKNMELEIGRLQMNLEQKDQQLQASASSTEKYLKELDDLRAQLVATRKTADASAASAQSAQLQCSELLKELDEKNGSLREHEARVLRLGEQLDNLQKDLQARESSQNQLKDEVLRIEHDIMDALAKAGENKNCELRKILDEVSPKNFEKMNKLLGVKDEEIAKLKDEIKIMSAHWKIKTKELESQLEKQRRTDQELKKKVLKLEFCLQEARSQTRKLQRMGERRDKAIKDLRDQLAAKQQREVVGADKQNQNFWDTSGFKIVVSMSMLVLVVFSRR >Vigun10g089350.1.v1.2 pep primary_assembly:ASM411807v1:10:25758104:25762070:1 gene:Vigun10g089350.v1.2 transcript:Vigun10g089350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVFTWLSKKQPIVTLSTCEAEYVAASLSVCHAIWLRNLPSKLEMKQKGGTVIRVDNKSAIKLAKNPIIPSENRDRSDLHEIWRVSLQIPYFCFRGLSFLVVDGCQFLSDVLPFSLLPLLPGLETLEVRNCDSVKTIFDVKCTTQATLITFPPLRKLVLSQLPNLETVWNEDPSEIPCTQHLEEVYVDNCKCLTSVFPTSAVKYLERLENLVIKHCDVFTEIVAETNPADPKGTTLNLTFPCVTSLTLWDLPKLKHTSLYCIHDATPTFEPITPNLQHLRVGENAMKMIVGGAYHGNHLHKLKVLTVCFHIGPGVFLEFLQLVPNVEKLALYSGSLKEIFCLQIPNNVDYTGFLLQLKELNLVSLRELVSIGFQNSWTEPFVRNLEIFVVINCSSLNNLVACTVSFSNLTTLEVKGCNSLLNLFTSSTAKSLGQLKTMEISKCKLMEEIVSKEGEESCKDEEIIFEQLQFLYLKSLKELKCFYPGNFTLSFPSLKKVCFIKCKSMKTFSAFNKIDHSIKSYSPGDAEPRRENDLNAAVRNRFEEEVRIYPFTSYSLFDDRNY >Vigun09g148800.1.v1.2 pep primary_assembly:ASM411807v1:9:31217420:31224365:-1 gene:Vigun09g148800.v1.2 transcript:Vigun09g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQLHALPLLHPRPSSPSITQLRFPPPLIPNSRNLNFRSFSAHTGGAAASQDGPFAFEEKIVTAPKDDRGLVLAKETDDFGYLVGFRLLPETGLDEFMSVSHEKSISDVEIPGIKETGGEEPETRVCYSIVFVTAEAAPYSKTGGLADVCGSLPIALAARGHRVMVVTPRYIHGTSADAKFAGAVDLGRRTKVSCFGGAHEVGFFHEYREGVDWVFVDHPSFQRPGNPYGDMFGTFGDNQFRFTLLSHAACEAPLVLPLGGFTYGEKCLFLANDWHASLVPVLLAAKYRPHGVYKDARSILVIHNIAHQGVEPAITYGNLGLPAEWYGALEWVFPNWARTHALDTGEAVNFLKGAVVTADRIVTVSKGYSWEITTGEGGYGLHDILNSRKSILNGITNGIDVTEWDPTCDKHIAFNYSVDDLSGKAKCKFALQQELGLPVRLDCPLIGFIGRLDYQKGIDLIRSAMPELMEADVQFVMLGSGNPIYEDWMRATESTYRDKFRGWVGFNVPISHKITAGCDILLMPSAFEPCGLNQLYAMRYGTIPVVHETGGLRDTVHNFNPFTEEGKAESTGWTFSPLTKESMLTALRYAIQTYKEHKSSWQGLMIRGMTKDYTWVNAATQYEQIIEWAFIDPPYC >Vigun02g067300.1.v1.2 pep primary_assembly:ASM411807v1:2:21725598:21728626:-1 gene:Vigun02g067300.v1.2 transcript:Vigun02g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVKVLALVVASTVLWIGLLQTSVISHSYTWMLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKPIFHDTKFFKCCHRACGPIHNQSIQVCDDVWNGACMLDQLKPTFAST >Vigun11g195200.1.v1.2 pep primary_assembly:ASM411807v1:11:39458911:39459464:1 gene:Vigun11g195200.v1.2 transcript:Vigun11g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSCNLFIFGMLFATLVLLSVMGETVFAEAVAVEDSPVPSCDGLKCSLGTAVCNICCIGQGYTSGTCVKQGYYYICQCKI >Vigun11g178000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38224211:38225036:1 gene:Vigun11g178000.v1.2 transcript:Vigun11g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTCSGSSSLLQNSGSEEDLQAVMDQRKRKRMISNRESARRSRMRKQKHLDDLVTQVAQLRKENQQILTTVNITTQQFLSVEAENSVLRAQVGELSHRLESLNEIIDVLNAGFGSTTFVEPSTNSTTTFFNPMNMGYLNHPIMASADILQY >Vigun03g123700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11636111:11637277:-1 gene:Vigun03g123700.v1.2 transcript:Vigun03g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKAKNKKNQQQNQQNPGNKQDQKSKSWLDLPKNLHEIIEENPNLHKNINVGGIIKSWRTPPKQCNPHSKLPWIQLSNPDATNTEKYRRHVARSGSIETSYAYMPFGCWRRPFFRTLPRCPWKNYVGCSNGILIAEAEPLYMYNLWNPTQRETFRLPLWDPKVPIKLAVLSSPPEDHNCAVMILTGISQPAFAFCKLKVRSNGSWITPESQWTMQDCTLTEPLGSQQKVVQFTNAIGFQGKFYALSVQGSLVVIEAVDSVARVTDLSGTRIVPSVSSNHFREYLVESEGEILLVFILSRKSRVHGVDGVEVYQLNTGRLSWFKVESVGERAVFVGSNCGVSVLASEVGCRRNCIYFRHPVADEWTVYDMESGSVLWGKSNKIICNLD >Vigun07g093700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15005022:15006305:-1 gene:Vigun07g093700.v1.2 transcript:Vigun07g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSILLLSLLFSVSHQQPHLDSSEQESVYQILHSLNPTIPWRNLFPDDLCLSAPHGVVCDYPTQLNQTPHIVELSFGYVSDETPNPPCSPNATLHFHLFNSFPYLRKLFFYNCFNHTPLSLSPSFSLPSSLQELVFINNPSFISPLASLLQNLTSLRRLILIGNAFHGHLPSQIPAFLNLEELTLSRNNLSGQIPSTFGLLNQLKLLDLSGNNFQACLPDSLGNLSRLLKLDLSFNAFGCRIPESLRGLRSLEFLDLSFNRLGSFGVPLFLGELPTLKEVYLSGNSLSGAIPEIWENLGGVQRLGLSEMGLVGSIPVSMGVYLKNLSYLGLDNNNLEGPVPFGLLEYGGEINLENNNLSGRVRLSTRVGQKLKLKVGGNRGLCLDNIMDKKTDVPDAVVFSGASSLLWFDSLFLFSFGVLVFTGF >Vigun03g413500.1.v1.2 pep primary_assembly:ASM411807v1:3:62059634:62060348:1 gene:Vigun03g413500.v1.2 transcript:Vigun03g413500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADEGIERVVDSKDMQQQTKAFDKLTDRVEDRQLDSSRVQEAMASIAASAEADWQAMRLREKELAAVKINAAEVDIIANELELDKKVAERTLREHKGDAVAAIRHLLR >Vigun05g185801.1.v1.2 pep primary_assembly:ASM411807v1:5:35858371:35858857:-1 gene:Vigun05g185801.v1.2 transcript:Vigun05g185801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSASSVFAMVDVFASLCAFNLLAQNSIFGVQLLIVLRHMLDKCSRIVSGIF >Vigun05g185200.5.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKSEVVDVKKARGLAKVFGTVLSSIDALVMTLYKGHTT >Vigun05g185200.2.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKSEVVDVKKARGLAKVFGTVLSSIDALVMTLYKGHTT >Vigun05g185200.4.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKSEVVDVKKARGLAKVFGTVLSSIDALVMTLYKGHTT >Vigun05g185200.6.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKASLTMNMFFTSLKYTTHSFDT >Vigun05g185200.1.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKSEVVDVKKARGLAKVFGTVLSSIDALVMTLYKGHTT >Vigun05g185200.7.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKASLTMNMFFTSLKYTTHSFDT >Vigun05g185200.3.v1.2 pep primary_assembly:ASM411807v1:5:35722986:35725850:1 gene:Vigun05g185200.v1.2 transcript:Vigun05g185200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEFPLFHFSLQRRFSLFSFLLEVIFNLLFVVFQANLNPPVFPTTSTTTSPSVLLGWPISITIADIMIVVIVPKGSLCNLNIEVDVGLPSDVVYNIPTELGNRRVFKNISKSEVVDVKKARGLAKVFGTVLSSIDALVMTLYKGHTT >Vigun03g163100.3.v1.2 pep primary_assembly:ASM411807v1:3:18409608:18417930:1 gene:Vigun03g163100.v1.2 transcript:Vigun03g163100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIESALIFLGTGSSGSVPSMSCLIEPSDPPCSVCTQSLSLPPQSNPNYRCNTSLLIKYYSQTDATHKYILIDAGKTFRESVLRWFVFHRIPRVDSPFSPTNDIDPTPVYLTQRSMERMEKVFPYLVRKKQKEGQEIRRVAQFCWNIVAEDCNQPFFASGLKLIPLPVMHGEDYICLGFLFGNKDRVAYISDVSRIPASTEYVISKNGAGQLDLLILDSLKKTGSHNVHFCLPQALETVKRLCPKQTLLIGMTHEFDYYKDNEFLMEWSKREGLPVQLAHDGLRMPINL >Vigun03g163100.1.v1.2 pep primary_assembly:ASM411807v1:3:18409608:18417930:1 gene:Vigun03g163100.v1.2 transcript:Vigun03g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIESALIFLGTGSSGSVPSMSCLIEPSDPPCSVCTQSLSLPPQSNPNYRCNTSLLIKYYSQTDATHKYILIDAGKTFRESVLRWFVFHRIPRVDSIVLTHDHADATLGLDDIRAVQPFSPTNDIDPTPVYLTQRSMERMEKVFPYLVRKKQKEGQEIRRVAQFCWNIVAEDCNQPFFASGLKLIPLPVMHGEDYICLGFLFGNKDRVAYISDVSRIPASTEYVISKNGAGQLDLLILDSLKKTGSHNVHFCLPQALETVKRLCPKQTLLIGMTHEFDYYKDNEFLMEWSKREGLPVQLAHDGLRMPINL >Vigun03g163100.2.v1.2 pep primary_assembly:ASM411807v1:3:18409608:18416375:1 gene:Vigun03g163100.v1.2 transcript:Vigun03g163100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIESALIFLGTGSSGSVPSMSCLIEPSDPPCSVCTQSLSLPPQSNPNYRCNTSLLIKYYSQTDATHKYILIDAGKTFRESVLRWFVFHRIPRVDSIVLTHDHADATLGLDDIRAVQPFSPTNDIDPTPVYLTQRSMERMEKVFPYLVRKKQKEGQEIRRVAQFCWNIVAEDCNQPFFASGLKLIPLPVMHGEDYICLGFLFGNKDRVAYISDVSRIPASTEYVISKNGAGQLDLLILDSLKKTGSHNVHFCLPQI >Vigun08g127700.1.v1.2 pep primary_assembly:ASM411807v1:8:29802375:29805676:1 gene:Vigun08g127700.v1.2 transcript:Vigun08g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFTCPTSISFLFKHFHHFLQLQHNTTKHILFTIYHFTSYLSLFTIPPCYLPQTNPFLFLFFFFFFFSFFFFFFSHITTPSSPLGCSVPRIMEEAEGSASGSPITNMAQDYLLTILLLLPIDAILSLSMTCKRFKSLTCSNTLWKSLCKRDLGSTCVEALLNSSNNHHHQFPWMRLYRQVSLMDSVCCHKLLSEPHADLDFPTARASHSLNFVSDCLVLFGGGCEGGRHLDDTRVAYIGNDFRRMLKWQTVHAGIPSGRFGHTCVEMGDFLVLFGGINDRGNRQNDTWLGQVVLNENKGIAFSWKMLEVGNIAPPPRGAHGACCIDEKRMIIHGGIGLNGLRLGDTWVLELSESPCHGIWHEIVSYPSPPPRSGHTLTCIGRSRTILFGGRGLGYEVLDDVWLLDTYQGYFKWVQIVYDLQSISDGVSLPRVGHTATLVLGGRLLIYGGEDSYRHRKDDFWVLDISAIPYPYITLQHPSTVSSKKESMTRRMWKRWKSSGFEARARSFHRACADRSGRYLYVFGGMVDGFLQPGEPSALRFDAELFLVELVLQL >Vigun11g086000.1.v1.2 pep primary_assembly:ASM411807v1:11:25763497:25767374:1 gene:Vigun11g086000.v1.2 transcript:Vigun11g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSPVYTEPLKLHNPSQKFCSRSSWRLLPKTATHMNSVTHNGKRRGCGRVRVAAEEKSFSTSDTVADDYYAVLGLLPDATPAQIKKAYYNCMKACHPDLSGNDPETTNFCTFINEVYGVLSDPIQRMIYDEIHGYSLTSINPFRDESSPKDHVFVDEFSCIGCKNCANVACDVFGIEEEFGRARVYSQCGKPDLVQQAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSASNDVFRMASSRWEKRQAKVLEQAKLRMMKEKGSEKADMFWNNLWGKQKDLSSEEEMKERAKRAAAAARRWREYSRRGVDKPPTFKLPEAASTMD >Vigun03g243700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40613152:40614816:1 gene:Vigun03g243700.v1.2 transcript:Vigun03g243700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAKTSNTETSHKEKIVVDAGYAATDLHRLSLQPPKPKFPSLSLPNSTNSTPSTLMKKKLKQRIIESPCQGGTLMHQHNQLQEMHLRRSRSCGEGRTRAPSDEFDLWWAIPNAVECDNMHQNSFSKTEATRDNLVSCKDVEAYEEEGFKCSALCLFLPGFGKGKPVKMRKEGSVMEGAVISRTVSLEKFECGSWASSALFHEIEGDPMNSSYFDLPLELMKCSASDVHAPVASAFVFEKDFKGLPKTGSSTKSPQHVRFSTSSSTPRLSKAREDFTAFLEAQGA >Vigun07g215600.1.v1.2 pep primary_assembly:ASM411807v1:7:33748027:33748723:-1 gene:Vigun07g215600.v1.2 transcript:Vigun07g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNKLFQFNINCRPRGIHGAFINGEGAQQNMTRAEIRAEQISGAFNNADYAKQNYTKAKIGREASGSGNSAEVQEIKNVLSLLIAYLFGEKYPPNYKGKNT >Vigun08g090900.1.v1.2 pep primary_assembly:ASM411807v1:8:21180479:21188150:1 gene:Vigun08g090900.v1.2 transcript:Vigun08g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATLYFHCSILAFALFHLILFSSLLQTATATATPAPSHQQEQEEDTPITRFQRYLRINTAHPAPDYYSAVSFLKAQAHSLGLASKTIEFTPGKPLLLLTWPGSNPSLPSVLLNSHLDSVPAEPAKWLHPPFSGHRTSDGKIFARGAQDDKCIAVQYLEAIRNLKARAFSPLRSVHISLVPEEEIGGFDGAAKFVESDDFLRLNVGFALDEGQASPGDEFRVFYADRIPWNVKIRAKGAPGHGARMYDGSAMENLMESVEAVSRFRESQFNVVKAGKALNAEVVSVNPVYVKAGVTSDDGFAMNVQPSEAEAGFDLRLTPTTDPDEMRRRIAEEWAPAVRNMSYEIIEKGPIRDYMGRPLMTATNDSNPWWSVFKQAITSVGEKLSSPEIIASTTDARYLRQKGIPVLGFSPMKNTPILLHDHNEHLKDTVFMKGIQVYESLISSLSTFTEASH >Vigun04g111200.3.v1.2 pep primary_assembly:ASM411807v1:4:27912305:27915664:1 gene:Vigun04g111200.v1.2 transcript:Vigun04g111200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWWDVEGLGVNWGTQATHQLRADTVVEMLKENGIQKVKLFDADESCMKALSGSGIEVMVAIPNNQLSEMNDYDRAMQWVKKNVTSYNFRGGVNIKYVAVGNEPFLKSYNGSFMQVTFPALRNIQNALNEVGVGDSIKATVPLNADVYESPTNNPVPSGGMFRPDIRDLMIQIVQFLAKNNAPFTVNIYPFLSLYGNDNFPLDYAFFDGVANPINDNGVSYTNVFDANFDTLVSALQKVGYGDMPILVGEVGWPTDGDKNANVGYAFRFYNGLLPKLALNKGTPLRPKYIEVYLFGLIDEDAKSIAPGNFERHWGIFKYDGKPKFPMDLSGKGQNKLLVGAQNVYYLDPKWCMFNPDAQNLSKLADNINYACTLADCTALGYGSSCSNLDANGNASYAFNIYYQTQDQNDLACNFEGLARLTTSNISTPTCNFIVQINPSLSPSLRPSIVASLSITLLPLILML >Vigun04g111200.1.v1.2 pep primary_assembly:ASM411807v1:4:27912224:27915757:1 gene:Vigun04g111200.v1.2 transcript:Vigun04g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWFLRWIFAVIGVMCWWDVEGLGVNWGTQATHQLRADTVVEMLKENGIQKVKLFDADESCMKALSGSGIEVMVAIPNNQLSEMNDYDRAMQWVKKNVTSYNFRGGVNIKYVAVGNEPFLKSYNGSFMQVTFPALRNIQNALNEVGVGDSIKATVPLNADVYESPTNNPVPSGGMFRPDIRDLMIQIVQFLAKNNAPFTVNIYPFLSLYGNDNFPLDYAFFDGVANPINDNGVSYTNVFDANFDTLVSALQKVGYGDMPILVGEVGWPTDGDKNANVGYAFRFYNGLLPKLALNKGTPLRPKYIEVYLFGLIDEDAKSIAPGNFERHWGIFKYDGKPKFPMDLSGKGQNKLLVGAQNVYYLDPKWCMFNPDAQNLSKLADNINYACTLADCTALGYGSSCSNLDANGNASYAFNIYYQTQDQNDLACNFEGLARLTTSNISTPTCNFIVQINPSLSPSLRPSIVASLSITLLPLILML >Vigun04g111200.2.v1.2 pep primary_assembly:ASM411807v1:4:27912305:27915664:1 gene:Vigun04g111200.v1.2 transcript:Vigun04g111200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWFLRWIFAVIGVMCWWDVEGLGVNWGTQATHQLRADTVVEMLKENGIQKVKLFDADESCMKALSGSGIEVMVAIPNNQLSEMNDYDRAMQWVKKNVTSYNFRGGVNIKYVAVGNEPFLKSYNGSFMQVTFPALRNIQNALNEVGVGDSIKATVPLNADVYESPTNNPVPSGGMFRPDIRDLMIQIVQFLAKNNAPFTVNIYPFLSLYGNDNFPLDYAFFDGVANPINDNGVSYTNVFDANFDTLVSALQKVGYGDMPILVGEVGWPTDGDKNANVGYAFRFYNGLLPKLALNKGTPLRPKYIEVYLFGLIDEDAKSIAPGNFERHWGIFKYDGKPKFPMDLSGKGQNKLLVGAQNVYYLDPKWCMFNPDAQNLSKLADNINYACTLADCTALGYGSSCSNLDANGNASYAFNIYYQTQDQNDLACNFEGLARLTTSNISTPTCNFIVQINPSLSPSLRPSIVASLSITLLPLILML >Vigun01g216200.1.v1.2 pep primary_assembly:ASM411807v1:1:38999024:39003069:1 gene:Vigun01g216200.v1.2 transcript:Vigun01g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANAGALTNFEVLDFLRAKGASKDPTRVIAKVEQSEYKVYDYLVDTAASDQTRESINEFLTSIKRHDLAKAEVLNILNTRPAAEVELFPIIESCEGRFPDEEVTEIVELVTRTLPPPPSMKQEEITKGDEETATLKNENDEVIQDPMDTR >Vigun07g080500.1.v1.2 pep primary_assembly:ASM411807v1:7:11322830:11327507:1 gene:Vigun07g080500.v1.2 transcript:Vigun07g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGVTSNRRDPIKSSVGNAAASRRREHAVAVGKERRESLMRAKRLCRVGIGGGDFEVAIGSDMLIDEEQSNLESQTSVAVENLKSALAFQGKGAVKKRVGTLQELRRLLSRSEFPPVESALKAGAVPILVQCLSFGSPDEQLLEAAWCLTNIAAGNPEETKALLPSLPLLIAHIGEKSYPPVAEQCAWALGNVAGEGEDLRNVLLVQGALLPLARMMLPDRGSTVRTAAWALSNLIKGPDPKAATELVRIDGVLEAIIRHLRKADDELATEVAWVVVYLSALSNIATNMLVKSDVLELLVNKLSTSNNLPLMIPILRSLGNLIAGDSHAINAVLIPGREIAANAIEVLVKCLNCQNRVLKKEAAWVLSNIAAGSVEHKQLIYSSEAVPLLLELLSAAPFDIRKEVAYVLGNLCVAPTKGDGKPSLVLEHMVSLVEKGCLPGFIDLIRSADIEAARLGLQLTELVLRGMPNGEGPKLVEQEDGIEAMERFQFHENEDLRTMANTLVDKYFGEDYGLDV >Vigun01g246400.1.v1.2 pep primary_assembly:ASM411807v1:1:41455847:41458599:-1 gene:Vigun01g246400.v1.2 transcript:Vigun01g246400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPENPTKSCKARGADLRVHFKNTRETAFAIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKKKGALRSGASS >VigunL059562.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:35701:36389:1 gene:VigunL059562.v1.2 transcript:VigunL059562.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g231100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35287514:35288498:1 gene:Vigun07g231100.v1.2 transcript:Vigun07g231100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGKKHRHGVMMMMIVEMQNTTRGYSLFAPDVQRRSATTTRTPRTNRQRSRQTSRRLHHHPLRRTPRPLGPTATPPRVSPTSIFQWFSPTHPLDPSLFHSLERYPTSHSFVSFPEDSKDCVFEGRHKLGSHCNHR >VigunL081850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:18227:18954:-1 gene:VigunL081850.v1.2 transcript:VigunL081850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMRP >Vigun07g247800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36766957:36767942:1 gene:Vigun07g247800.v1.2 transcript:Vigun07g247800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCYFLTCFLCFLVFMATMTSQAKGDVTSEDFHYFCDSNNDRGNYATDGIYSQNLKTALTLVGIYASRNGFFSVSRGKDTYSANAIGQCRGDVTSTECSKCLAQTRANLTRVCGNRKEAIGWYENEKCMLRYSDRTITGLDEIGPAYFVWNLHDAPNADQFNRVVKKLLDGLRDTAASAVNGRKYAASNATGPDQQVIYGLAQCTPDLSGPQCLDCLVQSIAELPRCCNNRIGARIIRPSCYVRYETDFPFFGPPASAP >Vigun03g041400.1.v1.2 pep primary_assembly:ASM411807v1:3:3246261:3248220:-1 gene:Vigun03g041400.v1.2 transcript:Vigun03g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSARRLTMAAISNSLSLKHGFSLARPQRPFLTPVPPSSTSIKFRRCYAAFANENREYVIVGGGNSAGYAARTFVEHGLADGRLCIVTKEPYAPYERPALTKAYLFPPDKKPARLPGFHTCVGSGGERQTPEWYKENGIEMLYEDPVKDIHIENQTLTTNSGKILKYGSLIIATGCTASRFPEKIGGNLPGVHYIRNVADADALILSLEKAKRL >Vigun08g086200.2.v1.2 pep primary_assembly:ASM411807v1:8:19085517:19099822:-1 gene:Vigun08g086200.v1.2 transcript:Vigun08g086200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDCVSFSDGMDEDEIQHHTLHPHNHSEFSSNKPRNGGANSNNVMGATAISPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHETVCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQQNPDAGVCIPNLCS >Vigun08g086200.1.v1.2 pep primary_assembly:ASM411807v1:8:19085231:19099850:-1 gene:Vigun08g086200.v1.2 transcript:Vigun08g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSIDCVSFSDGMDEDEIQHHTLHPHNHSEFSSNKPRNGGANSNNVMGATAISPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHETVCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQQNPDAGVCIPNLCS >Vigun01g018500.1.v1.2 pep primary_assembly:ASM411807v1:1:1976772:1980538:-1 gene:Vigun01g018500.v1.2 transcript:Vigun01g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLKFIYHRPELRIRFQLHSIVIFLQKHKFLRIMFYNKMNSTMKNLLKWILLLSFFTILVSDVTGNVKQGTQHHYKEMSMGCEPRNSRSLQRYVENAEGNGSPRFSSFLYSFFLGRKIQFNHIHAVSLRKVPTGPNSAHN >Vigun03g366600.1.v1.2 pep primary_assembly:ASM411807v1:3:56984366:56987063:1 gene:Vigun03g366600.v1.2 transcript:Vigun03g366600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLPSDLMKNRPKMESCRGFRMWAFVLMCLMFQSGNGFYLPGSYPHKYGIGDELWVKVNSLTSIDTEMPFSYYSLPFCKPEGGVKDSAENLGELLVGDRIENSPYRFKMYSNESEIFLCQLEKLSGDQFKILKKRIDEMYQVNLLLDNLPAIRFTKKEDYLLRWTGYPVGIKIQDVYYLFNHLRFNVLVHKYEETNVARVMGTGDAAELIPTIGKEGSDKPGYMVVGFEVIPCSILHNADSVKGMKMYNKYPSPIKCDPSTVAMPMREGQPVTFSYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSALLCILVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGVAAGYVAVRLWRTMGCGDKKGWISVAWKAACFFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLIGGLFGARAPHAEYPVRTNQIPREIPKQKYPSWLMVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVLILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVAIYIFLYSINYLVFDLKNLNGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVYYLFSSVKLD >Vigun08g155100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32761580:32762590:-1 gene:Vigun08g155100.v1.2 transcript:Vigun08g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGTGRRTNVFDPFSLDVWDPFETALSFPRSEVSSETAAIANTRIDWKETPEAHVFKADLPGLKKEEVKVEIEEGRVLQISGQRTKEKEDKNDKWHRVERSSGSFLRRFRLPENAKVNEVKAAMENGVLTVTVPKEEVKKPDVKPVQITG >Vigun03g008200.2.v1.2 pep primary_assembly:ASM411807v1:3:545626:549457:1 gene:Vigun03g008200.v1.2 transcript:Vigun03g008200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDILAAHYGEEEDDVPSKAAKVDIPPTQLVGGMRPPPLGTGYPPRSALPAIPQVYNPAVPLPPNAWMVPPRPQPWFSQPPAVSVPPPAPYTQQPLFPVQNVRPPLPATAPAALQTQIAPPGLLTSAPVPVSQPLFPVVGNNHTTTQSLTYSAPPLPSSVPSVTPVMSTNVPVDTHLSTHSSVTSSYQAIGVPGAAASNSHSYASGPNTGGPSIGPPPVIANKAPASQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDESSQVSHTTSVIDGVAFTSNQMSRLYASCFQIQLFRHCFLFKKVHSE >Vigun03g008200.1.v1.2 pep primary_assembly:ASM411807v1:3:545616:549460:1 gene:Vigun03g008200.v1.2 transcript:Vigun03g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDILAAHYGEEEDDVPSKAAKVDIPPTQLVGGMRPPPLGTGYPPRSALPAIPQVYNPAVPLPPNAWMVPPRPQPWFSQPPAVSVPPPAPYTQQPLFPVQNVRPPLPATAPAALQTQIAPPGLLTSAPVPVSQPLFPVVGNNHTTTQSLTYSAPPLPSSVPSVTPVMSTNVPVDTHLSTHSSVTSSYQAIGVPGAAASNSHSYASGPNTGGPSIGPPPVIANKAPASQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDESSQMSSIDAAIDKRILESRLAGRMAF >Vigun07g286600.2.v1.2 pep primary_assembly:ASM411807v1:7:39946662:39948503:1 gene:Vigun07g286600.v1.2 transcript:Vigun07g286600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLEGADNGNRFARRKRAKFHSPSSPSKKVKTNPRKEPHSYPTQDVGLKKFSGDNVDKTGRRSKMRCSRSKEDTESATALERANSFHYENPYFIREMHRSYICSNFLNMPVNFITEEPGKGNNRVRLWISEEQSWNMNFCLSTDLRRVRLVSGWANFVKDNNLKTGNVCVFERIKKPEIISFRVIIFRDTQESGPSNFPANGSKQTRLQNTSADGGSDGTPKKHPIDSKFRRCDNEISGNHFSLFVKPNDCIPEQFIRNHNMGDAAKVMLKVGKRTFPVRLEHDPRQKYHKLCFGWSYFMGQCKLNEGDVCNFELVDEDRFIFQVRVATCVD >Vigun01g121400.1.v1.2 pep primary_assembly:ASM411807v1:1:29824778:29826744:1 gene:Vigun01g121400.v1.2 transcript:Vigun01g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGATFLCYIISFSLAFLVLTLLFKSLSILRTKNGSVRPPPTPPSLPIIGHLHLVGSVVPKSFQVLARKYGPLIQLRLGASTCVVVSNAQVAKEVMKTHDLNFCHRPQFGSADNFLYKGSSFVTAPYGPYWRFIKKLCVTQLLSTTQLGRFRHIREQEIQKLLKSLAECSSQGRDTDLSRALTVLTNNILCRMAMSTSCLDNVNDAEDILGLVREFMHIGAKLSMGEVLGPLGKFDLFGYGKKLVRIVGKFDRVLERILEEHEVKNFESGDMMDILLRVHKDPNAEMRLTRNDIKAFFLDIFLAGTDTSSTASQWAMAEIMSNPGVLRKVRAEIDAVVGSSRLVTESDLQNLRYLEAIVKEVLRLHPTAPFALRESAEDCTINGYDIKGQTRTLINVYAIMRDPEAWSNPEEFIPERFLEDGDGMINTMNGGDFRYLPFGSGRRGCPGSSLALTVIQVTVASLIQCFRWKTKDGDRVCLEEGSSFSTGLAKPLVCYPLTRFTPF >Vigun05g189500.1.v1.2 pep primary_assembly:ASM411807v1:5:36807749:36811540:-1 gene:Vigun05g189500.v1.2 transcript:Vigun05g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSNPLALSVPEPAFESWLRDTGYLEILDHRTSSAAAASPAPSSAAGSFFSRLVTFFSLFTLNPFAKLTADDFAADTPSWSRSFFAFFDSYSFPSSPSQARLRVQENIKRYARNYAYLFILFFACTLYKMPVALVGLILCLALWDFFKFCSHRWGLEQYPLTRQCLIRAAQCATAVILIFSNVQMALFCAICVSYAGVILHAAFRKLTPVKQPSVGRSR >Vigun04g013400.3.v1.2 pep primary_assembly:ASM411807v1:4:967080:971282:-1 gene:Vigun04g013400.v1.2 transcript:Vigun04g013400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPFMTCDDPKGVVECGAIRKYRTSSQKMKDKTKTRRPAETSLANKQDKDEKVSKGSEERCFDPSSLQLMEVSRGAQRLNNMIDSWSRGLRYDGSSEDIAKDLLKGALDLQESLLMLRKVQEASQHMATLKRRQNVKPESSRFDAMPSDGTAHCDHFGEQSYPMGFQRRWPSADGSSSSCTEELKKVIKESLVSQNLFTTTEGLDSASTFHSTNSSQSSGAWNDKLSDSSFSPTTSRRERRSNLVAKLMGLEEAPSRSFSAVMHKQLESPKILNQKRHVFDIDMPKVRKNVENVNVNLERKMTLKEILETTHFNGLLKKSPVREPNVHVHPSFDAHYKHVGDLPPIVLMKPRCSPYQECVNSYEHVVPPEKVSLRNLKAKVVPSKVFQHKEDSTTNVGRKMEEHVSKKLVKEERTKLVREVVELKEKEIKPIENEKAPGVKVKLHSHVSHKSHVNESADRKAKVKTIATSRKLSEKEVSKSKHQQQNLIPVVEVSKPKVVAKSQEDQGEISSTSTKIRKPQSGSRSEKNETPNRRSTVSNSSTISKPKSQKISYSKEQKKKQMKKQNPAVVQSEAAKPVDEQLVQEEAMSVAVSHKDDCPEIRIITTITNDLAMEHEEIYASSSKIREVSEQNERSSSDDYFMVKSERENDAILAEKAHDSINISETDYKPEKESSELKYLLLTNQSFIEHAEKFLNLDVDCSKLLPKSETKQISNLILYLDCANEVTERKSLQGSQAVNPLLLTCAGNPRLHISLGRLVDEVCSGIEQLTCYSEKVGSDNIYEMMERDIKGNNGIWNWGWRHGFSADEAEQVVNEVENLVLGGLIEEIIVNL >Vigun04g013400.2.v1.2 pep primary_assembly:ASM411807v1:4:967045:971535:-1 gene:Vigun04g013400.v1.2 transcript:Vigun04g013400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPFMTCDDPKGVVECGAIRKYRTSSQKMKDKTKTRRPAETSLANKQDKDEKVSKGSEERCFDPSSLQLMEVSRGAQRLNNMIDSWSRGLRYDGSSEDIAKDLLKGALDLQESLLMLRKVQEASQHMATLKRRQNVKPESSRFDAMPSDGTAHCDHFGEQSYPMGFQRRWPSADGSSSSCTEELKKVIKESLVSQNLFTTTEGLDSASTFHSTNSSQSSGAWNDKLSDSSFSPTTSRRERRSNLVAKLMGLEEAPSRSFSAVMHKQLESPKILNQKRHVFDIDMPKVRKNVENVNVNLERKMTLKEILETTHFNGLLKKSPVREPNVHVHPSFDAHYKHVGDLPPIVLMKPRCSPYQECVNSYEHVVPPEKVSLRNLKAKVVPSKVFQHKEDSTTNVGRKMEEHVSKKLVKEERTKLVREVVELKEKEIKPIENEKAPGVKVKLHSHVSHKSHVNESADRKAKVKTIATSRKLSEKEVSKSKHQQQNLIPVVEVSKPKVVAKSQEDQGEISSTSTKIRKPQSGSRSEKNETPNRRSTVSNSSTISKPKSQKISYSKEQKKKQMKKQNPAVVQSEAAKPVDEQLVQEEAMSVAVSHKDDCPEIRIITTITNDLAMEHEEIYASSSKIREVSEQNERSSSDDYFMVKSERENDAILAEKAHDSINISETDYKPEKESSELKYLLLTNQSFIEHAEKFLNLDVDCSKLLPKSETKQISNLILYLDCANEVTERKSLQGSQAVNPLLLTCAGNPRLHISLGRLVDEVCSGIEQLTCYSEKVGSDNIYEMMERDIKGNNGIWNWGWRHGFSADEAEQVVNEVENLVLGGLIEEIIVNL >Vigun04g013400.1.v1.2 pep primary_assembly:ASM411807v1:4:967054:971344:-1 gene:Vigun04g013400.v1.2 transcript:Vigun04g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPFMTCDDPKGVVECGAIRKYRTSSQKMKDKTKTRRPAETSLANKQDKDEKVSKGSEERCFDPSSLQLMEVSRGAQRLNNMIDSWSRGLRYDGSSEDIAKDLLKGALDLQESLLMLRKVQEASQHMATLKRRQNVKPESSRFDAMPSDGTAHCDHFGEQSYPMGFQRRWPSADGSSSSCTEELKKVIKESLVSQNLFTTTEGLDSASTFHSTNSSQSSGAWNDKLSDSSFSPTTSRRERRSNLVAKLMGLEEAPSRSFSAVMHKQLESPKILNQKRHVFDIDMPKVRKNVENVNVNLERKMTLKEILETTHFNGLLKKSPVREPNVHVHPSFDAHYKHVGDLPPIVLMKPRCSPYQECVNSYEHVVPPEKVSLRNLKAKVVPSKVFQHKEDSTTNVGRKMEEHVSKKLVKEERTKLVREVVELKEKEIKPIENEKAPGVKVKLHSHVSHKSHVNESADRKAKVKTIATSRKLSEKEVSKSKHQQQNLIPVVEVSKPKVVAKSQEDQGEISSTSTKIRKPQSGSRSEKNETPNRRSTVSNSSTISKPKSQKISYSKEQKKKQMKKQNPAVVQSEAAKPVDEQLVQEEAMSVAVSHKDDCPEIRIITTITNDLAMEHEEIYASSSKIREVSEQNERSSSDDYFMVKSERENDAILAEKAHDSINISETDYKPEKESSELKYLLLTNQSFIEHAEKFLNLDVDCSKLLPKSETKQISNLILYLDCANEVTERKSLQGSQAVNPLLLTCAGNPRLHISLGRLVDEVCSGIEQLTCYSEKVGSDNIYEMMERDIKGNNGIWNWGWRHGFSADEAEQVVNEVENLVLGGLIEEIIVNL >Vigun09g258300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42393104:42393430:-1 gene:Vigun09g258300.v1.2 transcript:Vigun09g258300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSTPGAAAENSKKGLGFLSHAMKRKDSFIQLFAMTGILLLSMRSLGQKYKIHNLEEDIRVLRDENTSLSDRVRNIKRDLLREASLDSSGLFASRLRRLFSAE >Vigun08g016900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1424174:1426905:1 gene:Vigun08g016900.v1.2 transcript:Vigun08g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLEKGKFFIFLLLFFSFSLHVSSTPLDHKSCSVESKLDPDSCQEPVPEPPQTTNHLNQQVLLDKLEELVRNLSDLVARLESKLPDPPQEKGRLPHQRKGGDDGDGGTISSSKQDGEFEGKIRDGERAKGMSVTKYTPFWSERFQFASAVKLDSEVTCINVLPFRDHEGLSKYVAVSDERGRVYVFLRNGDVLVEFDTLVKSSITAMISYTSVYKNESFVVTGHENGEILLHRVWEGGSTGEDYSSLFMENVGKFVSPENREEGRPVTLLEVHYVGRMKYILSADTSGKIKVFKENGSLYGSVMASSRPLAFLKQRLMFLTETGAGSLDLRGMKIRESECEGLNHSVARTYVFDAMERSKAYGFTSDGEMIYVLLLGDVMNFKCRVRYKKKFDMDEPLALQAIKGYLLIVNPEKVFVYNVSSPHYVRVGVPRLVFSPSLDELRSSFLNSPIPGLDAETKVIPLIGTDREKLVIVGLGGGYVGMYHSNLPIFKGEFNTMLWTSPVLFFVLFLFGAWHFFAKKKEALTSWGPDDPFTSTSATTNAPLASASADRSYGDSSSRSTEVMDLRGGALRAPPRRYGSPSRYPGAAAAAAASSYRLGASSDHNARPASVDPDFRGASELKYRATTMDPPGFPKRREGMFVPNQVVNERS >Vigun06g209800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32299913:32301057:-1 gene:Vigun06g209800.v1.2 transcript:Vigun06g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCDLCNSPAKLSCESDQASLCWECDAKVHSANFLVTKHPRILLCHVCQSLTAWHGTGPKFVPTISVCNTCVNNNSESGSRQNHDDDDDDDDDDDADEMEDHVENDEGSAEEDDEENQVVPWTSTPPPPPPPASTSSNSVATSSTRSSNVEEGVSD >Vigun02g129900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28126460:28128010:1 gene:Vigun02g129900.v1.2 transcript:Vigun02g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPNVEHTQVLLERCSNIKELMQIHGQLLKKGTIKNHLTVNKLLASYARIELGDLVYTRMVFDRISLPNTVIWNAMIRAYSNSNDPEAALLLYHQMLHHSVPHNSYTFPFLLKACSALSAYEEIMQIHAHIIKRGFGSEVYAANSLLRVYAISGNIHSAHVLFNQLPSPDIVSWNTMIDGYVKFGNVDMAYKIFNAMPAKNVISWTTMIVGFVRASMHKEALSLLQQMLAAGIKPDNITLSCSLSACAGLGALEQGEWIHTYIEKNEIKIDQILGCVLVDMYVKCGEMEKALLVFSKLDKKCVCAWTAIIGGFAIHGKGREALDWFTQMQLEGTKPNSITFTAILTACSHAGLTKEGKSLFESMSSVYNIKPTAEHYGCMVDLLGRAGLLKEARKFIESMPIKPTAALWGALLSACQQHKHFELGKEIGEILIKLDPDHSGRYIHLASIYAAAGEWNQAVRVRSQIKHRGLLNHPGCSSITLNGVVHEFFAGDGSHPRIQEIYDMPNHLANKC >VigunL033300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:247622:248701:-1 gene:VigunL033300.v1.2 transcript:VigunL033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun05g000300.1.v1.2 pep primary_assembly:ASM411807v1:5:60644:62102:1 gene:Vigun05g000300.v1.2 transcript:Vigun05g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNERVEKIVLGLRKEKRMERKEEVVVGVPVYENEYQRGMIPPYAVVGDPKGIPIHQTIYRDTPAPFNCPYCGATALTTVRSKPSLAAFVGCLMPMMLGVCFLFPSMDCLWHKYHYCPKCQEKVADFEKSDPCAVMDPPHWTQDSFALAG >Vigun06g058600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18490208:18490673:1 gene:Vigun06g058600.v1.2 transcript:Vigun06g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFACQRVLQKFLEAIKMVRDLQTEVAEVTDELESFKKFIHDADKVAEAEEDNSRRDRIRKRMMRLREANFRMEDVVDGYVLCDENNHSVFLILRKKTKLTNE >Vigun03g182901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23579701:23580656:-1 gene:Vigun03g182901.v1.2 transcript:Vigun03g182901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCIPFSLFRLLSTIMKPSQTLPINSNFFPWFAIHFRILGLLFFHSVFLSLSLFQKIDMGHCPRVHSLQLRKNYPSRSIGHGYYNRTTTQQFGLAFRSFQYKLCHFVVLILKLNNEK >Vigun03g046200.1.v1.2 pep primary_assembly:ASM411807v1:3:3692185:3696918:-1 gene:Vigun03g046200.v1.2 transcript:Vigun03g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCTLLLLLGLVSVLSFVASVRVPSNEVWALRRFKEAVYEDPHKALSNWNTLESDPCGWNGVSCTGTRDHVIKLNISGASLRGFLAPEFGKITYIQELILHGNNLIGIIPKELGMLKSLKVLDLGMNQLSGPIPPEIGNSTQLMKINLQSNGLTGRLPPELGNLKYLQELQLDRNKLQGSLPGGSSSNFSSNVHEMYASGVNLTGFCRSSQLKVADFSYNFFVGSIPKCLKYLPRSSFQGNCFHIKDIKQRTLVQCAGASPAQSGPVVNPKSESANKHVTKHKETSKPAWLLALEIVTGTMVGSLFVIGILTAIQRCNNKSSIIIPWKKSGSGKDYLAIHIDSEMLKDVTRYSRQDLEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAVISLCIKEENWTGYLELYFQREVADLARLDHDNAGKLLGYCRESSPFTRMLVFEYASNGTLYDHLHCYGEGCQLSWTRRMKIIIGIARGLKYLHNEIEPPFTISELNSNAVYLTEDFSPKLVDFESWKTVLERSEKNSGSVSSQGPVCVLPNSLEARHVDPKGNIYAFGVLLLEIISGRPPYCKDKGYLVDWARDYLEMPEVMSYVVAPELKHFRYEDLKAICEVITLCISPDSSVRPSMRELCIMLESRIDTSINVELKASSLAWAELALSS >Vigun03g046200.2.v1.2 pep primary_assembly:ASM411807v1:3:3692179:3696994:-1 gene:Vigun03g046200.v1.2 transcript:Vigun03g046200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCTLLLLLGLVSVLSFVASVRVPSNEVWALRRFKEAVYEDPHKALSNWNTLESDPCGWNGVSCTGTRDHVIKLNISGASLRGFLAPEFGKITYIQELILHGNNLIGIIPKELGMLKSLKVLDLGMNQLSGPIPPEIGNSTQLMKINLQSNGLTGRLPPELGNLKYLQELQLDRNKLQGSLPGGSSSNFSSNVHEMYASGVNLTGFCRSSQLKVADFSYNFFVGSIPKCLKYLPRSSFQGNCFHIKDIKQRTLVQCGASPAQSGPVVNPKSESANKHVTKHKETSKPAWLLALEIVTGTMVGSLFVIGILTAIQRCNNKSSIIIPWKKSGSGKDYLAIHIDSEMLKDVTRYSRQDLEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAVISLCIKEENWTGYLELYFQREVADLARLDHDNAGKLLGYCRESSPFTRMLVFEYASNGTLYDHLHCYGEGCQLSWTRRMKIIIGIARGLKYLHNEIEPPFTISELNSNAVYLTEDFSPKLVDFESWKTVLERSEKNSGSVSSQGPVCVLPNSLEARHVDPKGNIYAFGVLLLEIISGRPPYCKDKGYLVDWARDYLEMPEVMSYVVAPELKHFRYEDLKAICEVITLCISPDSSVRPSMRELCIMLESRIDTSINVELKASSLAWAELALSS >Vigun06g036200.1.v1.2 pep primary_assembly:ASM411807v1:6:15028911:15030245:-1 gene:Vigun06g036200.v1.2 transcript:Vigun06g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGQDYASTKGVILCLIEKEVEFETVHVDGFKGEHKQPEYLKLQPFGLFPVVEDGDYVLYESRAILRYYAEKYKDQGSNLLGKTMEERGLVEQWLEVEAHHYTPPIYNLVKMYIAYVVSGEAMDPKAIEENEEKLGKVLDIYETRLSETKYLAGDFFSLADLNHLQYTYHLVNDMERGFMIRERKNVSRWWDDISSRPSWKKVLRSYRNVYDVLKEMK >Vigun01g087900.1.v1.2 pep primary_assembly:ASM411807v1:1:24622850:24625048:-1 gene:Vigun01g087900.v1.2 transcript:Vigun01g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDWVVLSDDSLFDVNEDCVEKQVFLGKRNLNSKSVFDVDDYYFCTSPKFKKTSEDSRNFHPMVPKESVHIPIRLESRIGEAPDEGLVEETAKEHVEVTLVPSSPIAATEKIKGVVVEADQDTVPHLLSKIREIESPKSLGMGLFLKPEMGGLKFEEIGREAQEMMVSPRMKIDRDLTVMESSKEVEDCGDGFNFWKWSLNGVGAICSFGVAAATICVLIFGNNQRNKFHQDQKIRFQIYTDDKRIKQMVEHATKLNEAALSAMSGVPLCKARITCGGFYDAL >Vigun07g119500.1.v1.2 pep primary_assembly:ASM411807v1:7:22177365:22181508:1 gene:Vigun07g119500.v1.2 transcript:Vigun07g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSHKPLDSRHSIDSCMLQLRSWKPFKLQDGPHPKPYYYKRPCLSDRATTSFSLDITKLTLADADDNTAAANNPKNRATNYRLVARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAMGTDSSGELFGNGDSNWSSDVSEAKNSRRERERDGERENVGVGFGVSGCSDANGNESGYGSEPGYRGDAEFGYGDEFDEEEDDPRLLFWGDQFGDSKREMVGENTLLDQKSHHRCRRRKHDCRMVDALR >Vigun10g007200.1.v1.2 pep primary_assembly:ASM411807v1:10:682636:684792:-1 gene:Vigun10g007200.v1.2 transcript:Vigun10g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVNLNSAATASLRLTSPTEGDKKERGFCCFPRRRHRRHHQFRPPSVPTATNSRSHSLTVTNEALNVMISGAPASAKATQCHLIADKMVKDRGWLLDAYPRSLSQATALKGFGFQPHTFLLLEDSFIRAAYTRRSRGEAAKKPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVVHRGTSKVICVATTNAKDLRTSLPSLIDHNACRVVGRLIAERSMEADVFAVAYEPRKDERIEGRLGIVLDTIKENGIIFI >VigunL087401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:140374:140682:1 gene:VigunL087401.v1.2 transcript:VigunL087401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun08g155700.2.v1.2 pep primary_assembly:ASM411807v1:8:32834489:32837540:-1 gene:Vigun08g155700.v1.2 transcript:Vigun08g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHANGGLNQMRTGISDMVAIAKIMKATLVLPTLDHNSFWTDTSEFKQLFNWKNFMEVLEDDIHIVDSLPPELAKIKPFMKAPVSWSKAGYYAGEMVQLLKKHKVLKFTHTDSRLVNNGLANPIQKTRCRAMYEGLKFTTPIEELGMKLVNRLRNNSTPYIALHLRYEKDMLAFTGCSHNLTREEAMELKKMRYQVRHWKVKEIDSKSRRLRGSCPMTPREVAVFLEALGYPFDTKIYIAAGVIYGKEETKPIQRKYRHLLSHSTLATEEELRPFKGHHNQLAALDYIIAVESDVFVYSYDGHMAKAVRGHRAFQGFRKTISPDKQRFVRLIDQLDNGLISWDEFSSRVKSIHANKIGGPHRRKVHRHPKWEESFFANPYPGCICEHA >Vigun08g155700.1.v1.2 pep primary_assembly:ASM411807v1:8:32834489:32837540:-1 gene:Vigun08g155700.v1.2 transcript:Vigun08g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRNMLEGTEERYGLMSSGMRGMSSTRRKIRHWQKVLKLKLGRHMFLGLMVLVFMCVIAKFVLLNVFSEQLDFDPFNHTNVVKHLPSPKKSPTLKIWKHPNSNNYHKCIARAANDKRKGNVTNGYLMVHANGGLNQMRTGISDMVAIAKIMKATLVLPTLDHNSFWTDTSEFKQLFNWKNFMEVLEDDIHIVDSLPPELAKIKPFMKAPVSWSKAGYYAGEMVQLLKKHKVLKFTHTDSRLVNNGLANPIQKTRCRAMYEGLKFTTPIEELGMKLVNRLRNNSTPYIALHLRYEKDMLAFTGCSHNLTREEAMELKKMRYQVRHWKVKEIDSKSRRLRGSCPMTPREVAVFLEALGYPFDTKIYIAAGVIYGKEETKPIQRKYRHLLSHSTLATEEELRPFKGHHNQLAALDYIIAVESDVFVYSYDGHMAKAVRGHRAFQGFRKTISPDKQRFVRLIDQLDNGLISWDEFSSRVKSIHANKIGGPHRRKVHRHPKWEESFFANPYPGCICEHA >Vigun07g066600.4.v1.2 pep primary_assembly:ASM411807v1:7:7865530:7878675:1 gene:Vigun07g066600.v1.2 transcript:Vigun07g066600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEMDGKEPQVEVPLVPATPIKPVPLKPVPIYTPGVINQMGYHANGAVACYEFSIGQEKLCRSDVGSNVAEVGSNVAGDSGNTCEHTASDAASSFSKLGFCDHLFAVEAESRNSSVTKGFNEGLNNSFVPSFILDNIRDPQETYIACCSNRISQDTPFTLDNANKESGQIASMQHMEENDSGGKERDGPTSTLDNNVLPSSKELCDPIMEFAAISSPFKENQNQDKGSNLDTDLNKTPQPKPRRRKHRPKVIKEGKPKRTRKPVTPKPVQSKGNPTVKRKYVRKNALTKTSIPPTEVTSELTKEMPETAKTSCRRVINFDMGAKDESSAGIENITALLGKENGVNVGLADDLNTSVKQASNSYTSIPEDTQAQNTFPSGRKGSGTKPAAKRKYVRRKGMSMTSAPAAEMTNEMPQSTQMSCTEFRNFDERTRDQSCEVKEHATVCTGSEIGVIRREMNAGLANYLNTSIMQPSNDCMFLADDSRALNTSSGRNSSVTGLEENSAVKKNTRKKVNSTSPTEVAGEMITENVPGSAQDNPIVIPGNEIGVAMQDTNVGFAYDLNTAMKLASNTYVSLLEETQATNTSSRKKRSRTKPDENPTAKRKYVRKKRVISSAPSIEVPGELTREKMSASAQTLCTQSTNFDEREREKTYAVEENLSGHPDSEIGVVMQEMNVSLAYDLNTSMKQTLNEDMTLPKDSQAPGPSSKINLPGIKTKENLATKRKNVRKKVLNPSSIPSEMTGLTDAVIVHSNNMSWRPSNPDMGTRDVRSVGRENLHLHMGKENVVLEETKVGLTCNQDPWMNATLTNCMPLPDGMQQLGTSPGATHLSTSISKYTHLGAKLNDNSVANKNKGQATAWDGNISNSQSSTMRLQMDGTKRKYSGNFSHADDSSMNLIGAQYNGLFSYQSSFYLQFPNIQKKRRTEKGKTSATYNKSVTATKEVQQAYPQEGAPGHPYASSPSCWIYGSGYNTTAVPVIGESAENFIDNTRPFNEFVLSLKRLAERSQTSNCGSGSPTRIRNGDTEPNYTTKQVGIAARETFGDAKRPQTCVDALLADTPTSLPKKKRNRKKKVLSSSAHSSTNEMLQHHNFTLGNYPMPVGKPSDVASEVLWKSMSYVDALALQFRRLNINTEARDLAFQHNALVLYKQQNQKQNSLICGDGTIVPLQIKKQQLRPKVDLDDETDRVWKLLLLDINSPGIDGTDEDKAKWWEEERNVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPKNLSSMCKEHQDEDTGLEPQVRVVEPEEGTEWNVKLLNQSVNDQSSLTTDIVERSAEKEAINSNDSCGTTSSVISLSDESNSRLSVSSQQNIKEHCSPMGSGLYCSTIEEGEEKSCNGDRKEFIDIVSSQGSVISSQISGDFSNDQNPEKIGSCSDSNSEVEVLSKTAKYNHFDSNTSFSKLLEMVSSTKFYEDNNQKSKSNLNLRDAYDQPLCRQHDNPKESLQKSSVTQGSSEASINLSHDCFDPFETKSSSDLMKRDENGMNRSSSQTTEPASQVAITLSQTMVSQVHPQEQSNHQQQSFFNFNSHGQTQDLMQKERGSDLGKHKNATNGTNEISSAPIKTKSKGQGKDQKDDFNWDSLRIEAQAKAGKREKTQNTMDSLDWDAVRCVDVNEIAQTIKERGMNNRLAERIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKSIVSIAGNSVIDQKPSEIISQFHLPPPENTTQGEEIQLTEVCRTLESISEMNICHPIIEEPTTPEPECSNVSQTDIEDAFYEDSCEIPTIKLNIEEFTLNLQNYMQEKMELQEGEMSKALIALNPEAASIPMPKLKNVSRLRTEHCVYELPDTHALLQGWDTREPDDPGKYLLAIWTPGETANSIQPPESKCSSREEYGQLCNEKECFSCNSFREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVYFGTSVPTIFKGLTTQEIQQCFWRGYVCVRGFDRETRAPRPLMARLHFPASKLAKTKEKTEKGSSSAKSRGMKSNVEQPELISNSSNF >Vigun07g066600.3.v1.2 pep primary_assembly:ASM411807v1:7:7865530:7875947:1 gene:Vigun07g066600.v1.2 transcript:Vigun07g066600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEMDGKEPQVEVPLVPATPIKPVPLKPVPIYTPGVINQMGYHANGAVACYEFSIGQEKLCRSDVGSNVAEVGSNVAGDSGNTCEHTASDAASSFSKLGFCDHLFAVEAESRNSSVTKGFNEGLNNSFVPSFILDNIRDPQETYIACCSNRISQDTPFTLDNANKESGQIASMQHMEENDSGGKERDGPTSTLDNNVLPSSKELCDPIMEFAAISSPFKENQNQDKGSNLDTDLNKTPQPKPRRRKHRPKVIKEGKPKRTRKPVTPKPVQSKGNPTVKRKYVRKNALTKTSIPPTEVTSELTKEMPETAKTSCRRVINFDMGAKDESSAGIENITALLGKENGVNVGLADDLNTSVKQASNSYTSIPEDTQAQNTFPSGRKGSGTKPAAKRKYVRRKGMSMTSAPAAEMTNEMPQSTQMSCTEFRNFDERTRDQSCEVKEHATVCTGSEIGVIRREMNAGLANYLNTSIMQPSNDCMFLADDSRALNTSSGRNSSVTGLEENSAVKKNTRKKVNSTSPTEVAGEMITENVPGSAQDNPIVIPGNEIGVAMQDTNVGFAYDLNTAMKLASNTYVSLLEETQATNTSSRKKRSRTKPDENPTAKRKYVRKKRVISSAPSIEVPGELTREKMSASAQTLCTQSTNFDEREREKTYAVEENLSGHPDSEIGVVMQEMNVSLAYDLNTSMKQTLNEDMTLPKDSQAPGPSSKINLPGIKTKENLATKRKNVRKKVLNPSSIPSEMTGLTDAVIVHSNNMSWRPSNPDMGTRDVRSVGRENLHLHMGKENVVLEETKVGLTCNQDPWMNATLTNCMPLPDGMQQLGTSPGATHLSTSISKYTHLGAKLNDNSVANKNKGQATAWDGNISNSQSSTMRLQMDGTKRKYSGNFSHADDSSMNLIGAQYNGLFSYQSSFYLQFPNIQKKRRTEKGKTSATYNKSVTATKEVQQAYPQEGAPGHPYASSPSCWIYGSGYNTTAVPVIGESAENFIDNTRPFNEFVLSLKRLAERSQTSNCGSGSPTRIRNGDTEPNYTTKQVGIAARETFGDAKRPQTCVDALLADTPTSLPKKKRNRKKKVLSSSAHSSTNEMLQHHNFTLGNYPMPVGKPSDVASEVLWKSMSYVDALALQFRRLNINTEARDLAFQHNALVLYKQQNQKQNSLICGDGTIVPLQIKKQQLRPKVDLDDETDRVWKLLLLDINSPGIDGTDEDKAKWWEEERNVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPKNLSSMCKEHQDEDTGLEPQVRVVEPEEGTEWNVKLLNQSVNDQSSLTTDIVERSAEKEAINSNDSCGTTSSVISLSDESNSRLSVSSQQNIKEHCSPMGSGLYCSTIEEGEEKSCNGDRKEFIDIVSSQGSVISSQISGDFSNDQNPEKIGSCSDSNSEVEVLSKTAKYNHFDSNTSFSKLLEMVSSTKFYEDNNQKSKSNLNLRDAYDQPLCRQHDNPKESLQKSSVTQGSSEASINLSHDCFDPFETKSSSDLMKRDENGMNRSSSQTTEPASQVAITLSQTMVSQVHPQEQSNHQQQSFFNFNSHGQTQDLMQKERGSDLGKHKNATNGTNEISSAPIKTKSKGQGKDQKDDFNWDSLRIEAQAKAGKREKTQNTMDSLDWDAVRCVDVNEIAQTIKERGMNNRLAERIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKSIVSIAGNSVIDQKPSEIISQFHLPPPENTTQGEEIQLTEVCRTLESISEMNICHPIIEEPTTPEPECSNVSQTDIEDAFYEDSCEIPTIKLNIEEFTLNLQNYMQEKMELQEGEMSKALIALNPEAASIPMPKLKNVSRLRTEHCVYELPDTHALLQGWDTREPDDPGKYLLAIWTPGETANSIQPPESKCSSREEYGQLCNEKECFSCNSFREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVYFGTSVPTIFKGLTTQEIQQCFWRGMI >Vigun07g066600.2.v1.2 pep primary_assembly:ASM411807v1:7:7865520:7878673:1 gene:Vigun07g066600.v1.2 transcript:Vigun07g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEMDGKEPQVEVPLVPATPIKPVPLKPVPIYTPGVINQMGYHANGAVACYEFSIGQEKLCRSDVGSNVAEVGSNVAGDSGNTCEHTASDAASSFSKLGFCDHLFAVEAESRNSSVTKGFNEGLNNSFVPSFILDNIRDPQETYIACCSNRISQDTPFTLDNANKESGQIASMQHMEENDSGGKERDGPTSTLDNNVLPSSKELCDPIMEFAAISSPFKENQNQDKGSNLDTDLNKTPQPKPRRRKHRPKVIKEGKPKRTRKPVTPKPVQSKGNPTVKRKYVRKNALTKTSIPPTEVTSELTKEMPETAKTSCRRVINFDMGAKDESSAGIENITALLGKENGVNVGLADDLNTSVKQASNSYTSIPEDTQAQNTFPSGRKGSGTKPAAKRKYVRRKGMSMTSAPAAEMTNEMPQSTQMSCTEFRNFDERTRDQSCEVKEHATVCTGSEIGVIRREMNAGLANYLNTSIMQPSNDCMFLADDSRALNTSSGRNSSVTGLEENSAVKKNTRKKVNSTSPTEVAGEMITENVPGSAQDNPIVIPGNEIGVAMQDTNVGFAYDLNTAMKLASNTYVSLLEETQATNTSSRKKRSRTKPDENPTAKRKYVRKKRVISSAPSIEVPGELTREKMSASAQTLCTQSTNFDEREREKTYAVEENLSGHPDSEIGVVMQEMNVSLAYDLNTSMKQTLNEDMTLPKDSQAPGPSSKINLPGIKTKENLATKRKNVRKKVLNPSSIPSEMTGLTDAVIVHSNNMSWRPSNPDMGTRDVRSVGRENLHLHMGKENVVLEETKVGLTCNQDPWMNATLTNCMPLPDGMQQLGTSPGATHLSTSISKYTHLGAKLNDNSVANKNKGQATAWDGNISNSQSSTMRLQMDGTKRKYSGNFSHADDSSMNLIGAQYNGLFSYQSSFYLQFPNIQKKRRTEKGKTSATYNKSVTATKEVQQAYPQEGAPGHPYASSPSCWIYGSGYNTTAVPVIGESAENFIDNTRPFNEFVLSLKRLAERSQTSNCGSGSPTRIRNGDTEPNYTTKQVGIAARETFGDAKRPQTCVDALLADTPTSLPKKKRNRKKKVLSSSAHSSTNEMLQHHNFTLGNYPMPVGKPSDVASEVLWKSMSYVDALALQFRRLNINTEARDLAFQHNALVLYKQQNQKQNSLICGDGTIVPLQIKKQQLRPKVDLDDETDRVWKLLLLDINSPGIDGTDEDKAKWWEEERNVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPKNLSSMCKEHQDEDTGLEPQVRVVEPEEGTEWNVKLLNQSVNDQSSLTTDIVERSAEKEAINSNDSCGTTSSVISLSDESNSRLSVSSQQNIKEHCSPMGSGLYCSTIEEGEEKSCNGDRKEFIDIVSSQGSVISSQISGDFSNDQNPEKIGSCSDSNSEVEVLSKTAKYNHFDSNTSFSKLLEMVSSTKFYEDNNQKSKSNLNLRDAYDQPLCRQHDNPKESLQKSSVTQGSSEASINLSHDCFDPFETKSSSDLMKRDENGMNRSSSQTTEPASQVAITLSQTMVSQVHPQEQSNHQQQSFFNFNSHGQTQDLMQKERGSDLGKHKNATNGTNEISSAPIKTKSKGQGKDQKDDFNWDSLRIEAQAKAGKREKTQNTMDSLDWDAVRCVDVNEIAQTIKERGMNNRLAERIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKSIVSIAGNSVIDQKPSEIISQFHLPPPENTTQGEEIQLTEVCRTLESISEMNICHPIIEEPTTPEPECSNVSQTDIEDAFYEDSCEIPTIKLNIEEFTLNLQNYMQEKMELQEGEMSKALIALNPEAASIPMPKLKNVSRLRTEHCVYELPDTHALLQGWDTREPDDPGKYLLAIWTPGETANSIQPPESKCSSREEYGQLCNEKECFSCNSFREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVYFGTSVPTIFKGLTTQEIQQCFWRGYVCVRGFDRETRAPRPLMARLHFPASKLAKTKEKTEKGSSSAKSRGMKSNVEQPELISNSSNF >Vigun07g066600.1.v1.2 pep primary_assembly:ASM411807v1:7:7865530:7878071:1 gene:Vigun07g066600.v1.2 transcript:Vigun07g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEMDGKEPQVEVPLVPATPIKPVPLKPVPIYTPGVINQMGYHANGAVACYEFSIGQEKLCRSDVGSNVAEVGSNVAGDSGNTCEHTASDAASSFSKLGFCDHLFAVEAESRNSSVTKGFNEGLNNSFVPSFILDNIRDPQETYIACCSNRISQDTPFTLDNANKESGQIASMQHMEENDSGGKERDGPTSTLDNNVLPSSKELCDPIMEFAAISSPFKENQNQDKGSNLDTDLNKTPQPKPRRRKHRPKVIKEGKPKRTRKPVTPKPVQSKGNPTVKRKYVRKNALTKTSIPPTEVTSELTKEMPETAKTSCRRVINFDMGAKDESSAGIENITALLGKENGVNVGLADDLNTSVKQASNSYTSIPEDTQAQNTFPSGRKGSGTKPAAKRKYVRRKGMSMTSAPAAEMTNEMPQSTQMSCTEFRNFDERTRDQSCEVKEHATVCTGSEIGVIRREMNAGLANYLNTSIMQPSNDCMFLADDSRALNTSSGRNSSVTGLEENSAVKKNTRKKVNSTSPTEVAGEMITENVPGSAQDNPIVIPGNEIGVAMQDTNVGFAYDLNTAMKLASNTYVSLLEETQATNTSSRKKRSRTKPDENPTAKRKYVRKKRVISSAPSIEVPGELTREKMSASAQTLCTQSTNFDEREREKTYAVEENLSGHPDSEIGVVMQEMNVSLAYDLNTSMKQTLNEDMTLPKDSQAPGPSSKINLPGIKTKENLATKRKNVRKKVLNPSSIPSEMTGLTDAVIVHSNNMSWRPSNPDMGTRDVRSVGRENLHLHMGKENVVLEETKVGLTCNQDPWMNATLTNCMPLPDGMQQLGTSPGATHLSTSISKYTHLGAKLNDNSVANKNKGQATAWDGNISNSQSSTMRLQMDGTKRKYSGNFSHADDSSMNLIGAQYNGLFSYQSSFYLQFPNIQKKRRTEKGKTSATYNKSVTATKEVQQAYPQEGAPGHPYASSPSCWIYGSGYNTTAVPVIGESAENFIDNTRPFNEFVLSLKRLAERSQTSNCGSGSPTRIRNGDTEPNYTTKQVGIAARETFGDAKRPQTCVDALLADTPTSLPKKKRNRKKKVLSSSAHSSTNEMLQHHNFTLGNYPMPVGKPSDVASEVLWKSMSYVDALALQFRRLNINTEARDLAFQHNALVLYKQQNQKQNSLICGDGTIVPLQIKKQQLRPKVDLDDETDRVWKLLLLDINSPGIDGTDEDKAKWWEEERNVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPKNLSSMCKEHQDEDTGLEPQVRVVEPEEGTEWNVKLLNQSVNDQSSLTTDIVERSAEKEAINSNDSCGTTSSVISLSDESNSRLSVSSQQNIKEHCSPMGSGLYCSTIEEGEEKSCNGDRKEFIDIVSSQGSVISSQISGDFSNDQNPEKIGSCSDSNSEVEVLSKTAKYNHFDSNTSFSKLLEMVSSTKFYEDNNQKSKSNLNLRDAYDQPLCRQHDNPKESLQKSSVTQGSSEASINLSHDCFDPFETKSSSDLMKRDENGMNRSSSQTTEPASQVAITLSQTMVSQVHPQEQSNHQQQSFFNFNSHGQTQDLMQKERGSDLGKHKNATNGTNEISSAPIKTKSKGQGKDQKDDFNWDSLRIEAQAKAGKREKTQNTMDSLDWDAVRCVDVNEIAQTIKERGMNNRLAERIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKSIVSIAGNSVIDQKPSEIISQFHLPPPENTTQGEEIQLTEVCRTLESISEMNICHPIIEEPTTPEPECSNVSQTDIEDAFYEDSCEIPTIKLNIEEFTLNLQNYMQEKMELQEGEMSKALIALNPEAASIPMPKLKNVSRLRTEHCVYELPDTHALLQGWDTREPDDPGKYLLAIWTPGETANSIQPPESKCSSREEYGQLCNEKECFSCNSFREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSWIWNLNRRTVYFGTSVPTIFKGLTTQEIQQCFWRGYVCVRGFDRETRAPRPLMARLHFPASKLAKTKEKTEKGSSSAKSRGMKSNVEQPELISNSSNF >Vigun10g015600.1.v1.2 pep primary_assembly:ASM411807v1:10:1744218:1746030:1 gene:Vigun10g015600.v1.2 transcript:Vigun10g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEN >Vigun03g151600.1.v1.2 pep primary_assembly:ASM411807v1:3:15931831:15932627:-1 gene:Vigun03g151600.v1.2 transcript:Vigun03g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGRKAVIVRTFDDGTRERPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKDAVNPDVLSSKYKKVTALKETKKRLEERFKTGKNSEITCWINILEYKNRINNSGGFSFLWLLVSNIL >Vigun10g120701.2.v1.2 pep primary_assembly:ASM411807v1:10:32734353:32756868:-1 gene:Vigun10g120701.v1.2 transcript:Vigun10g120701.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKNFKEPSFGKLKVIKINLCGELKNVFSISVVGLLKVLETIEVSECNSLKEIINVGPPNNFENIELLMLPELRYLKLQSLSEFIGLDAIPHIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVDQSSERSSFENLTHLDVNGCWKLKYLMSSTMAKCLVNLQSLYVSECEKMKNIFLPEQDREKDIMGNIFPKLKNMKLRSMKSLSKIWNPKLPSDSFSKLDTLIIEECHKLENAMERIFGRLCNLRVTNCRSMQAIFNICEKVGDVANNLQDVHLETLPKLKLVWKMNNEDQVGIPKFNNLKRILAKDCDNLEYIFPFYVANSLDNLESLVVCGCYGLNEIVAEREATNTVRVRFNFPKLSTIKFSELPKLKSFCPTAYDLSCPLKELSIELCNNLEPFNKGTQHEQANHVHVFFPEEVINNLKTMQIESRYAKSSSSYMGKRNHRRDNLEELSLSRLIDTEILYSFLYRNPNLKSLSLNNCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLEEIGFEQDIILERLELLILKNCPRMITIAPSSVSFTRLTNLEVVNCDGLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGEKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSSCYNMDRFSETVTSSPILQNVHVVHGKENKRFFWEGDINATIQKIFEEKKFFEGMEEMSLPEHQETWQRGVGLQKQNSCFYSLKVLKLENCVIQPCAIPSDILPYLRSLKELQVRGCNNVEVIFEMNGEEGIGSTFHLHKLTLEKLPKLKDVWERNGKGTESFQNLKLVNISECDNLQTVFPLSLAKNLKKLDELQIVHCHRLQEIVRTEDDTSVVFVLPCLSKLCLVDLPELIYFYPESFTLECSALNKLFVWSCPELELFGSANRQPIFFDLKDVCNLKELILDWKHILVLRKKLGEPMNNLKYLNYFKFFFDVDENERPDLPIQILQKMPNLRKMTICYCNCLEVFQTQIPEIVEKKVLTYLKTLKLDSVSKLQSIGSEDSPWLNVICDSEKLQKLHVVDCPDLKTLVHSTPSVSFTYVKKLYIERCQELKYLFTLSAVNKLENLEYIQVKDCESMEAIILKEDDISEEIKLQHLKRIDLNLLSSLECFYSGNDTLRVPSLMQVDIWECPKMKFFSRGEIHLNSSFRGIQASNVSSDDLVFYHDLNSSVEKVFLQQEFFQAVDKDYHSDQLQTLSELYCKISMENKWLANLETLKLQNCTLLYAIPSSILALLKNLKELEVRDSDQIEAIFYINDDTEIKETESQLKILTLNGLSKLTHVWEKDTNKILIFQNLLEVVVSDCAKLQTLFPASLAKSLKDLKKLKIDTCENLQDFIEHEETTFVTEKFVFPCLEDLELCDLPRITCPKMFTLEFPSVKFLDVRGCDGLGLFQSVYDPMEGTSSRKLPLISDPNVISNLEKLTLDCKQILALSLWFKSQKSIERLTNLNSISLCFFRAKENEMPMLPVEILKAPNLIEMDISSCVSLKNFLAKNPKIGEEEMLGKLTIVKLCNVSTTQLFELEYSSSLNIFERLHKLFVSDSHYLTTLGVHFTSTVSFSCLKEVNIYKCQKLKYLFTSSAAKMLMNLEEISVVECESLTEIVVKEGYTTSEAINFERLHSIYLQSLTSLVCFYSGSDTLQLSSLKIVTIWRCPNMEIFSQGIESLNGITLSTDLEPDDLPSPQDLNTRIKGISQRKEFIEAVDKKCFSYYLELQEDPHCKFGLQNQWLRDLVTLKLQKCTLPCAIPSAILALLKSLKELEVRDSNTVEVLFNMNDTEITPMASQLKMLTLEKLSKMRRVWEKRKNGVVIFPNLQEVIVRSCENLQTLFPASLAKNLKSLKTLEIHNCDKFHEIVEKEEDTEAKFALPCLEMLDLYSLPQLTCFYAQTFTLEGPALNKISVVKCGKLELFTSVNRKPLISSLEVISNLRKLNLDWKQIMALRARFRSEKFTRVFKFVTEMKLALDGNVSEMPIVLNEILHTTPNLIKMTMFIYNCNSTEIFLAQNYKIVEDGMLLNLRMLTLSHVSTIRSIQTQNSSWLNTICEKVHELTVFRCHHVETIGVHSTSTMSFSFLKKLYAYRCPQLRYLFTSSVAKKLVNLKEIRVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMITMKLEILTLISLGKFERFYSGSSTLNVPFLRRVRVDKCFHTKIFRHRDKLRPEVSVVIDEIRRKGDTKALIMQQFEEEAS >Vigun10g120701.1.v1.2 pep primary_assembly:ASM411807v1:10:32734353:32756868:-1 gene:Vigun10g120701.v1.2 transcript:Vigun10g120701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACFHFLTLSPRAKLWLKKQFIQLDLYKARFDEVKDVVERLKNKRDAIRHTTDEEERRHGRRIHIEVKEWMERVDKLILEYRVFNEDEICHKCALFDFFDSGYLPKPGIRYRRSRKANDITKQANGLLQDAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKIADEFQKPGVRMIGLHGLSGVGKTTLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGVVLEEESDIARAARIHQILNNENKSTLIILDDLWEEVNFDLLGIPYELEKEDGVKNIQGKSPDVYSLKNVSDGKSPILDGSASFKKGRSHDVDGSKNVKKGEVLGGGFKNVNEGKSPIDAFGRVKAENIVPQYKGCKILMISEIKQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGISGKSFEYDKLGAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLTGAPEYSTKLSYELLEDEELKHIFLLCARMGHDALIVDLVKYCIGFGSLRGFNTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKGKVDEWPEKLQRYVALSLHHCDFVDDFPGRLNYPRLRVLQIVNNIPHLKIPKNFFKGMKELRVLILIGIQLPLIDSSISSLHKLRMLSLEQCCMLDEELSIVGEMKRLRVLSFSGSDIKSLPYELNELKRLQIFDISNCSKLKNIPHGVVSSLVSLEELYMRNTLIQLKDEEQTRQSQIALLSDLKHLNQLTTLDIQIPNVSNLPKNLFFDKLDNYKIVIGDLSSFSDTGFQMPEKYETLKFLAVQLKNGCDIHSLKEIKMLFEGVENLFLELNTVHEKPTSAREAHNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQHPEKAFPKLESLCLYNLKMDELCSCKLSEPSFGKLKVIKINLCGELKNVFSISVVGLLKVLETIEVSECNSLKEIINVGPPNNFENIELLMLPELRYLKLQSLSEFIGLDAIPHIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVDQSSERSSFENLTHLDVNGCWKLKYLMSSTMAKCLVNLQSLYVSECEKMKNIFLPEQDREKDIMGNIFPKLKNMKLRSMKSLSKIWNPKLPSDSFSKLDTLIIEECHKLENAMERIFGRLCNLRVTNCRSMQAIFNICEKVGDVANNLQDVHLETLPKLKLVWKMNNEDQVGIPKFNNLKRILAKDCDNLEYIFPFYVANSLDNLESLVVCGCYGLNEIVAEREATNTVRVRFNFPKLSTIKFSELPKLKSFCPTAYDLSCPLKELSIELCNNLEPFNKGTQHEQANHVHVFFPEEVINNLKTMQIESRYAKSSSSYMGKRNHRRDNLEELSLSRLIDTEILYSFLYRNPNLKSLSLNNCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLEEIGFEQDIILERLELLILKNCPRMITIAPSSVSFTRLTNLEVVNCDGLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGEKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSSCYNMDRFSETVTSSPILQNVHVVHGKENKRFFWEGDINATIQKIFEEKKFFEGMEEMSLPEHQETWQRGVGLQKQNSCFYSLKVLKLENCVIQPCAIPSDILPYLRSLKELQVRGCNNVEVIFEMNGEEGIGSTFHLHKLTLEKLPKLKDVWERNGKGTESFQNLKLVNISECDNLQTVFPLSLAKNLKKLDELQIVHCHRLQEIVRTEDDTSVVFVLPCLSKLCLVDLPELIYFYPESFTLECSALNKLFVWSCPELELFGSANRQPIFFDLKDVCNLKELILDWKHILVLRKKLGEPMNNLKYLNYFKFFFDVDENERPDLPIQILQKMPNLRKMTICYCNCLEVFQTQIPEIVEKKVLTYLKTLKLDSVSKLQSIGSEDSPWLNVICDSEKLQKLHVVDCPDLKTLVHSTPSVSFTYVKKLYIERCQELKYLFTLSAVNKLENLEYIQVKDCESMEAIILKEDDISEEIKLQHLKRIDLNLLSSLECFYSGNDTLRVPSLMQVDIWECPKMKFFSRGEIHLNSSFRGIQASNVSSDDLVFYHDLNSSVEKVFLQQEFFQAVDKDYHSDQLQTLSELYCKISMENKWLANLETLKLQNCTLLYAIPSSILALLKNLKELEVRDSDQIEAIFYINDDTEIKETESQLKILTLNGLSKLTHVWEKDTNKILIFQNLLEVVVSDCAKLQTLFPASLAKSLKDLKKLKIDTCENLQDFIEHEETTFVTEKFVFPCLEDLELCDLPRITCPKMFTLEFPSVKFLDVRGCDGLGLFQSVYDPMEGTSSRKLPLISDPNVISNLEKLTLDCKQILALSLWFKSQKSIERLTNLNSISLCFFRAKENEMPMLPVEILKAPNLIEMDISSCVSLKNFLAKNPKIGEEEMLGKLTIVKLCNVSTTQLFELEYSSSLNIFERLHKLFVSDSHYLTTLGVHFTSTVSFSCLKEVNIYKCQKLKYLFTSSAAKMLMNLEEISVVECESLTEIVVKEGYTTSEAINFERLHSIYLQSLTSLVCFYSGSDTLQLSSLKIVTIWRCPNMEIFSQGIESLNGITLSTDLEPDDLPSPQDLNTRIKGISQRKEFIEAVDKKCFSYYLELQEDPHCKFGLQNQWLRDLVTLKLQKCTLPCAIPSAILALLKSLKELEVRDSNTVEVLFNMNDTEITPMASQLKMLTLEKLSKMRRVWEKRKNGVVIFPNLQEVIVRSCENLQTLFPASLAKNLKSLKTLEIHNCDKFHEIVEKEEDTEAKFALPCLEMLDLYSLPQLTCFYAQTFTLEGPALNKISVVKCGKLELFTSVNRKPLISSLEVISNLRKLNLDWKQIMALRARFRSEKFTRVFKFVTEMKLALDGNVSEMPIVLNEILHTTPNLIKMTMFIYNCNSTEIFLAQNYKIVEDGMLLNLRMLTLSHVSTIRSIQTQNSSWLNTICEKVHELTVFRCHHVETIGVHSTSTMSFSFLKKLYAYRCPQLRYLFTSSVAKKLVNLKEIRVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMITMKLEILTLISLGKFERFYSGSSTLNVPFLRRVRVDKCFHTKIFRHRDKLRPEVSVVIDEIRRKGDTKALIMQQFEEEAS >VigunL060227.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:28911:39796:-1 gene:VigunL060227.v1.2 transcript:VigunL060227.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun07g296300.3.v1.2 pep primary_assembly:ASM411807v1:7:40654499:40662945:1 gene:Vigun07g296300.v1.2 transcript:Vigun07g296300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLPAAILLLFFAVAAAAADEDSCNRVAQLVKTQSWVDGKEDEEYNGMSAKFGSILPVEAVETTKLPAVLADPADCCSASTVKLSGSAALCVRGTCDFSAKAVVAQTGGAAAVFMINDADELFEMDCSDDKSIKISIPVVQITKSVGDALNKLLTSKKKVEVLPYTPKRPVVAYSVGFLWFMSVATVICASLWADITAPDQIDERYNELSPKSAISEAGKDDAEEIVNIDTKGAVVFVITASTFLVLLFFFMSSWFIWVLIVLFCIGGVEGMHNCIVTLALRKRPACAQNNVNLPLFGEVSIFSLTVLIFCVTFAVLWVVFRRESFAWFGQDFLGICLMITVLQMARLPNIKVATVLLCCAFVYDIFWVFISPVIFQKSVMITVAKGDKAGGEAIPMLLRFPRLNDPWGGYDMIGFGDILFPGLLVCFSHSTKLLKRGW >Vigun07g296300.1.v1.2 pep primary_assembly:ASM411807v1:7:40654499:40662945:1 gene:Vigun07g296300.v1.2 transcript:Vigun07g296300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLPAAILLLFFAVAAAAADEDSCNRVAQLVKTQSWVDGKEDEEYNGMSAKFGSILPVEAVETTKLPAVLADPADCCSASTVKLSGSAALCVRGTCDFSAKAVVAQTGGAAAVFMINDADELFEMDCSDDKSIKISIPVVQITKSVGDALNKLLTSKKKVEVLPYTPKRPVVAYSVGFLWFMSVATVICASLWADITAPDQIDERYNELSPKSAISEAGKDDAEEIVNIDTKGAVVFVITASTFLVLLFFFMSSWFIWVLIVLFCIGGVEGMHNCIVTLALRKRPACAQNNVNLPLFGEVSIFSLTVLIFCVTFAVLWVVFRRESFAWFGQDFLGICLMITVLQMARLPNIKVATVLLCCAFVYDIFWVFISPVIFQKSVMITVAKGDKAGGEAIPMLLRFPRLNDPWGGYDMIGFGDILFPGLLVCFSRRFDKALKKGVVSGYFLWLVIGYAFGLFFTYLGLYLMNGHGQPALLYLVPCTLGVAVVLGCKRGELSILWNYEADPSSCNSNPDAKQPPQV >Vigun07g296300.2.v1.2 pep primary_assembly:ASM411807v1:7:40654499:40662945:1 gene:Vigun07g296300.v1.2 transcript:Vigun07g296300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLPAAILLLFFAVAAAAADEDSCNRVAQLVKTQSWVDGKEDEEYNGMSAKFGSILPVEAVETTKLPAVLADPADCCSASTLSGSAALCVRGTCDFSAKAVVAQTGGAAAVFMINDADELFEMDCSDDKSIKISIPVVQITKSVGDALNKLLTSKKKVEVLPYTPKRPVVAYSVGFLWFMSVATVICASLWADITAPDQIDERYNELSPKSAISEAGKDDAEEIVNIDTKGAVVFVITASTFLVLLFFFMSSWFIWVLIVLFCIGGVEGMHNCIVTLALRKRPACAQNNVNLPLFGEVSIFSLTVLIFCVTFAVLWVVFRRESFAWFGQDFLGICLMITVLQMARLPNIKVATVLLCCAFVYDIFWVFISPVIFQKSVMITVAKGDKAGGEAIPMLLRFPRLNDPWGGYDMIGFGDILFPGLLVCFSRRFDKALKKGVVSGYFLWLVIGYAFGLFFTYLGLYLMNGHGQPALLYLVPCTLGVAVVLGCKRGELSILWNYEADPSSCNSNPDAKQPPQV >Vigun11g216300.1.v1.2 pep primary_assembly:ASM411807v1:11:41005426:41007558:1 gene:Vigun11g216300.v1.2 transcript:Vigun11g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLVGEALITVPQGWKDTVPSAVGWELNKGRKASRCISLAQSMDPTRLAISAADLNLKLMRWRALPSLNLDALSSMKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDNCLNGGEFKATAAVESLKRIFPAVDAEGIVMAIPMPGHPIQSQEHDSVLDDCKRLHDLIEAHDSVFLLTDTRESRWLPTLLCANTIKITITAALGFDSFLVMRHGAGPLSFQEETKTMATLIDSHFLAFTALVTISISNLFFFFFIFIYFPLFITNIYLLLFNFSD >Vigun03g069300.1.v1.2 pep primary_assembly:ASM411807v1:3:5743324:5754816:1 gene:Vigun03g069300.v1.2 transcript:Vigun03g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRTRHRSMFVIIGDKSRDQIVNLHYMLSKAQIKSRPTVLWCYKDKLELSSHKKKRSKQIKKLVQRGLYDPDKGDSFELFVASGGLTYCLYKESERILGNTFGMCVLQDFEALTPNLLARTMETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRFRTESHSEATGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITSVPAKEDSEELSEAELELKNLKEQLNEDFPVGPLIRKCCTMDQGKAVVTFLDAILDKTLRSTVALLAARGRGKSAALGLSIAGAVAVGYSNIFVTAPSPENLKTLFDFICKGFDALDYKEHIDFDVVKSVNPEFKKATVRINIYKHHRQTIQYILPNEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEERSHVSAKNTKDTGRLFKKVELSESIRYSSGDPIESWLNNLLCLDVSNAIPNLSRLPPPSECDLYYVNRDTLFSYHRDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIQSLSDGHQPFGDQIPWKFCEQFRDTVFPSLSGARIVRIATHPSAMRLGYGSQAVELLVRYYEGQLTSISEIDVEDEGKTSRVRVTEAAEQVSLLEENIKPRTDLPHLLVHLRERRPEKLHYIGVSFGLTLDLFRFWRKHKFAPFYIGQIPNTITGEHSCMILRPLNNDEIEADGSNPLGFFGPFYQDFRQRFSKLLASTFRGMEYKLALSIIDPKINFKDQDPKEIASDKYLQSIGEYLSPHDMKRLEAYVDNLADFHLILDLVPTLTRLYFQQKLPVTLSYAQASVLLCIGLQNQNISYIEGQTSLERQTILSLFIKVMKKFYKYLDGRASKEIESTLPRLKEIVMEPHSVSVDEDLNNAAKQVEDDMKSKAESLFTPDLFQQYAIEDGDSGLQTVLQNNDGKIPTGGLISVKSNRSAMKPEKVKESGKSDKKRSKDNSNHKSSKKRRS >Vigun03g069300.2.v1.2 pep primary_assembly:ASM411807v1:3:5743324:5754816:1 gene:Vigun03g069300.v1.2 transcript:Vigun03g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRTRHRSMFVIIGDKSRDQIVNLHYMLSKAQIKSRPTVLWCYKDKLELSSHKKKRSKQIKKLVQRGLYDPDKGDSFELFVASGGLTYCLYKESERILGNTFGMCVLQDFEALTPNLLARTMETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRFRTESHSEATGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITSVPAKEDSEELSEAELELKNLKEQLNEDFPVGPLIRKCCTMDQGKAVVTFLDAILDKTLRSTVALLAARGRGKSAALGLSIAGAVAVGYSNIFVTAPSPENLKTLFDFICKGFDALDYKEHIDFDVVKSVNPEFKKATVRINIYKHHRQTIQYILPNEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEERSHVSAKNTKDTGRLFKKVELSESIRYSSGDPIESWLNNLLCLDVSNAIPNLSRLPPPSECDLYYVNRDTLFSYHRDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIQSLSDGHQPFGDQIPWKFCEQFRDTVFPSLSGARIVRIATHPSAMRLGYGSQAVELLVRYYEGQLTSISEIDVEDEGKTSRVRVTEAAEQVSLLEENIKPRTDLPHLLVHLRERRPEKLHYIGVSFGLTLDLFRFWRKHKFAPFYIGQIPNTITGEHSCMILRPLNNDEIEADGSNPLGFFGPFYQDFRQRFSKLLASTFRGMEYKLALSIIDPKINFKDQDPKEIASDKYLQSIGEYLSPHDMKRLEAYVDNLADFHLILDLVPTLTRLYFQQKLPVTLSYAQASVLLCIGLQNQNISYIEGQTSLERQTILSLFIKVMKKFYKYLDGRASKEIESTLPRLKEIVMEPHSVSVDEDLNNAAKQVEDDMKSKAESLFTPDLFQQYAIEDGDSGLQTVLQNNDGKIPTGGLISVKSNRSAMKPEKVKESGKSDKKRSKDNSNHKSSKKRRS >Vigun03g184300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24031011:24032159:1 gene:Vigun03g184300.v1.2 transcript:Vigun03g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFVQQPPSIEITQQAYTTHSDHGTVGPVIAVLAVITVLGVIAGMIGRLCSGRRVMGHGEYDVETWIETKCSSCVDGRIAPPPPPPPLPPEPAIQDLPPVQAPQEIKVEQHPRQNLHAHSDT >Vigun06g167301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28924253:28925738:1 gene:Vigun06g167301.v1.2 transcript:Vigun06g167301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMTRKRRRMMVEAAAAVTVTAILPEDLMIEILARVRVSNPLQLRCVCKRWKSLVVDPQFVKKHLQKSFSDITDLASKAMEDMNAFQLQLNYAPALAEQPQEDEEEGEEGEEEGEEGEEEGEEEEEEGEEEEEEEEDAHSLVNELAQLDNMLVVVRSLKGSLETIKFDVQAIKERVKCLQSFLQIYLKTRSSSSSSSSFSSSPSSSNSHSM >Vigun11g148100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35734697:35736600:-1 gene:Vigun11g148100.v1.2 transcript:Vigun11g148100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRADEKILGYNDVVLRQSDLEILSGPYYLNDRIIEFYFSYLCSSHSSEDILLVPPSIAFWIMQCPVVESLGDFIEPLHLSDKALVMFPINDNDDVDRAEGGSHWSLVAYCRNANVFVHHDSCRGMNAAPAKKLYKAVAGYMGSSGSASEASFQECTNSPMQDNGYDCGLYVTATARTICNWHANHKNADMNDLWFSAVKDQVTSSAVASMRGEILALIRDLMARH >Vigun11g148100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35734630:35736616:-1 gene:Vigun11g148100.v1.2 transcript:Vigun11g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRADEKILGYNDVVLRQSDLEILSGPYYLNDRIIEFYFSYLCSSHSSEDILLVPPSIAFWIMQCPVVESLGDFIEPLHLSDKALVMFPINDNDDVDRAEGGSHWSLVAYCRNANVFVHHDSCRGMNAAPAKKLYKAVAGYMGSSGSASEASFQECTNSPMQDNGYDCGLYVTATARTICNWHANHKNADMNDLWFSAVKDQVTSSAVASMRGEILALIRDLMARH >Vigun09g191000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36564094:36564789:1 gene:Vigun09g191000.v1.2 transcript:Vigun09g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESTLKWEGKAMAELPDTDPQFTWNVFEDFCNLHKWIPIETCYQVEGVSGQPGLIRYCANSTVEEEEGVGDDAQKTTTIKWAKEKLLQIDPVQRCLTYEVGENNIGFKSYVATIKVFPINHHRGSKIEWSFVCDPVQGWTFQDLNSIIESYVEIVAKKIVLACNTN >VigunL043000.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:425938:427534:-1 gene:VigunL043000.v1.2 transcript:VigunL043000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPPGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGIYDTWAPGGEM >Vigun10g041950.1.v1.2 pep primary_assembly:ASM411807v1:10:5816609:5817942:-1 gene:Vigun10g041950.v1.2 transcript:Vigun10g041950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDNSWDDIVPLLSTLANLRTVLVQCDTQLQLCNQVETIPVEYGINNQAESTISNHHFRSSLIGFGRYNEFLDTINNNVSQVLASSESYDISLPVVNDPYCLVHMGEGHSIFFTVPRDLEMKGMTLCVVYLSTPMIFEPEVSTISIVNYTKCTCQIHKHDPIISFNDEDWHRIVSNLGSGDKVEIFVSFSHRLVVKNTIVYLICGESNNLEKEPEQMKNYLSKFIRKL >Vigun10g151200.1.v1.2 pep primary_assembly:ASM411807v1:10:37065698:37068211:-1 gene:Vigun10g151200.v1.2 transcript:Vigun10g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTTSDTNIVSNVMGDGGQEEGWVVCRIFKKKNNLKTLDSPLGSGEGRRSQLFESCDEGALEQILQQMGRGCKEESSYEGSYSYGRLGRGYETGVNNDRFMKLPSLESPKSTSMESQQNNLNSSSNCNNGDNNNEQNNNGYHPIIPADMGTDNEGSFATHQVSGGDPNMVHPMEPSSGGGGLTNWAALDRLVASQLNGQTEASRHFACFNDPTLAYCTPHHDLPLPTLRSSSNPSSTPTLTRPSPTPAYINPAHDFASEIDLWNFTRSTSEPLCHVSNTSL >Vigun08g190800.1.v1.2 pep primary_assembly:ASM411807v1:8:35845315:35853136:1 gene:Vigun08g190800.v1.2 transcript:Vigun08g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHCEEEECVGFRYDVFISFRGEDTRSNFVGHLRRELGMKGMKSFNDDRDMAIGESLSPALKKAIEESRVFIVVFSENYASSTWCLDELVRIMELREKKQKQVVLPVFYHVDPSDIVQERNSFGKHMKARENKFGKESQIMQDWRSALSEAVNLPWKHIITTGCENNFIKEIVGDIHKYIGPKPLYTGQNPLGLESNIEEVMSLLDMKPDDNTVRMLGIYGLGGIGKTELAKALYDNIVQHFDAASFLAGVREKSNTINGMEDLQKTLLSEMLEESETKLGSSSKGIYQIKQKLRRKKVLLVLDDVDDKKELEKLAGWCDWFGPGSRIVITTREKDVLIAHHVKNIYEMKELDEQHSLKLFCWNAFGQGYPKPGFQDVSVRAVDYAKGLPLALKVIGSDLAIHGASLEAWGDALEEYEKTPPNKKIQDVLKISYDRLDNDAKQVFLDIACFFKGERKEYVNKILEEFRSAHKMEELVNKSLITIENGFLKMHDLIQDMGREIVRQEAPNNPGERSRVWDFEDVLEILNEDSGSDKIQGIMLDPPQEEKVNWSGTEFEKMKWLRILIVRNTSFSSELQHLPNHLRLLHWENYPSKSFPPKFHPKKIVVFNLPRSRLTFQEPFKKFPCLTNMDFSYNQCITEIPDVSELQNLREMRLDHCRNLIAVHESVGVLKRLAHLNLSECRKLQNFMSRMFLPSLEIFNLNFCESLGHFPEITKEMTKPLKIYMTNTGIQELPESVSKLTELVSLDISNNRKLKYLPSSLFMLPKLEELIASENNFVSIPSCIKECGDRASLDLNGCKKLNKVPEPTSLRILDVHDCLHLEEISELSSTVQKVNARSCFKLTEETSDMLWCQVKKGVGGIEMVMPFTTEIPKWFNFVGVESIPHFWVRGKFPNIVVAMIFHFQNPSEREYGFRQLVDLRLLINGRYVPRKGYQHFRIEAEHMLVCDLRVLCSEEEWFGLDGLLGNEWNLVEVACDATWSLTISGWGAFVYEEGSNMEDLLFTCPLENENELHDLKGTAIEILYEGIRDGLFEARNRFPSLDIVEIFTATLEKGPRMLWTAEGMELIPTAENRTYFTGVQAGLLEANRRFPDLDVGATLSTVANRKGIKGDFKTPLQEKMRIPHLDWTTVTLPPSHDPLMQIYMMMMKQQSSSESELKTKTLWKLKESHQVLRNGLALRENAAQNAPSCSKNRYDELIQKFHIQYDALVGKRVDRVYGVAKYENDSVVLKERVKEIERVFNGVVERLQNSEEFEDVMTAMFLNGLRDGVLEARAILLALCTHTQAHESVTDEATNNQNIS >Vigun03g103932.1.v1.2 pep primary_assembly:ASM411807v1:3:8983698:8984416:-1 gene:Vigun03g103932.v1.2 transcript:Vigun03g103932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWINYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENAVALLICAANRSYQVGPSGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGLGAARRALPTPVVRVTLKNT >Vigun05g011300.2.v1.2 pep primary_assembly:ASM411807v1:5:908338:912066:1 gene:Vigun05g011300.v1.2 transcript:Vigun05g011300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDLWARVGGGDSEIEGGFSHESEHDLALMVSDFLENGSSGAESWCSSDSDSGLSDFAQLAERIQICKLSVAQHESELLSVVHSFIRSMNETNLKVMNSGPCYASCIRFYLVNLMRLSGYDAGVCASKWPGRGKVPGGDHEYIDVVVDDHSGSIERLIVDIDFRSHFEIARAVDSYDRILHSLPAIYVGSFARLKQFLGIMEEASRSSLKQNSMPLPPWRSLAYLQAKWQSPCERYVHGGSNIDDDHCFDHKQCRGHLKRLQSCIQSGMEIERMLKPRNGDSNWRIKPERWRHAMFRPI >Vigun05g011300.3.v1.2 pep primary_assembly:ASM411807v1:5:908338:912066:1 gene:Vigun05g011300.v1.2 transcript:Vigun05g011300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVCMAPDSDLWARVGGGDSEIEGGFSHESEHDLALMVSDFLENGSSGAESWCSSDSDSGLSDFAQLAERIQICKLSVAQHESELLSVVHSFIRSMNETNLKVMNSGPCYASCIRFYLVNLMRLSGYDAGVCASKWPGRGKVPGGDHEYIDVVVDDHSGSIERLIVDIDFRSHFEIARAVDSYDRILHSLPAIYVGSFARLKQFLGIMEEASRSSLKQNSMPLPPWRSLAYLQAKWQSPCERYVHGGSNIDDDHCFDHKQCRGHLKRLQSCIQSGMEIERMLKPRNGDSNWRIKPERWRHAMFRPI >Vigun05g011300.1.v1.2 pep primary_assembly:ASM411807v1:5:908338:912066:1 gene:Vigun05g011300.v1.2 transcript:Vigun05g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVCMAPDSDLWARVGGGDSEIEGGFSHESEHDLALMVSDFLENGSSGAESWCSSDSDSGLSDFAQLAERIQICKLSVAQHESELLSVVHSFIRSMNETNLKVMNSGPCYASCIRFYLVNLMRLSGYDAGVCASKWPGRGKVPGGDHEYIDVVVDDHSGSIERLIVDIDFRSHFEIARAVDSYDRILHSLPAIYVGSFARLKQFLGIMEEASRSSLKQNSMPLPPWRSLAYLQAKWQSPCERYVHGGSNIDDDHCFDHKQCRGHLKRLQSCIQSGMEIERMLKPRNGDSNWRIKPERWRHAMFRPI >Vigun10g022100.1.v1.2 pep primary_assembly:ASM411807v1:10:2633870:2636993:1 gene:Vigun10g022100.v1.2 transcript:Vigun10g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMDIVEGTITLKVPEDSSDVLLKNLYLSCDPYMRLLMTKEEDLSLGVVTYTLHSPLTGNGVSEVVESRDPDYEKGDLVYGMTKWEEYSLIPSSQILFKIVHTDVPLSYYTGILGMPGLTAYGGFFDVSSPKKGDNVFVSAAAGAVGQLVGQFAKLSGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFREGIDIYFENVGGKTLDTVLPNMRLHGRIPVCGMISQYNLTQPEGVTNLAHLIFKRVKMEGFNVNDFCHLFPKFLEFALPLIREGKVVYVEDIVEGLENAPAALVGLYTGGNVGKQVVVVAHE >Vigun10g022100.3.v1.2 pep primary_assembly:ASM411807v1:10:2633714:2637791:1 gene:Vigun10g022100.v1.2 transcript:Vigun10g022100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMDIVEGTITLKVPEDSSDVLLKNLYLSCDPYMRLLMTKEEDLSLGVVTYTLHSPLTGNGVSEVVESRDPDYEKGDLVYGMTKWEEYSLIPSSQILFKIVHTDVPLSYYTGILGMPGLTAYGGFFDVSSPKKGDNVFVSAAAGAVGQLVGQFAKLSGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFREGIDIYFENVGGKTLDTVLPNMRLHGRIPVCGMISQYNLTQPEGVTNLAHLIFKRVKMEGFNVNDFCHLFPKFLEFALPLIREGKVVYVEDIVEGLENAPAALNLIKRHLS >Vigun10g022100.2.v1.2 pep primary_assembly:ASM411807v1:10:2633715:2636371:1 gene:Vigun10g022100.v1.2 transcript:Vigun10g022100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMDIVEGTITLKVPEDSSDVLLKNLYLSCDPYMRLLMTKEEDLSLGVVTYTLHSPLTGNGVSEVVESRDPDYEKGDLVYGMTKWEEYSLIPSSQILFKIVHTDVPLSYYTGILGMPGLTAYGGFFDVSSPKKGDNVFVSAAAGAVGQLVGQFAKLSGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFREGIDIYFENVGGKTLDTVLPNMRLHGRIPVCGMISQYNLTQPEGVTNLAHLIFKRVKMEGFNVNDFCHLFPKFLEFALPLIREGKVVYVEDIVEGLENAPAALVGLYTGGNVGKQVVVVAHE >Vigun11g163000.2.v1.2 pep primary_assembly:ASM411807v1:11:37064850:37068147:1 gene:Vigun11g163000.v1.2 transcript:Vigun11g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLPLPLLRALFLTSLPKITLFSLQWSYEDDPVVGFNQNSMKSLTVSESWDGSLLEGGNSIETIVADYKEKSSSRKGLYSGFANHDSHTCPTDDAKSVIGSCANQITVLQTSPANDHFKGRRRNSMEDFKSISSCNKCNPATITNDFENARSSKSSNIIVPVTDSHSSLQSQPKSKGVISWLFPRLKKKHKNGSSPNRAESEDVSQVLKDLGIMSIETLKKELVEANENRDVALMEVSEMRGSLGELKQKLEYLESYCEELKKALKQAVKTRDSQLCDQLSNLPQRGKLFEGNGENVMPVSEEVMVEGFLQIVSESRLSVKQFCKTLITHIEETDHSLTENLNLLLQPYKLSLSSKFSKAVLYHFEAFINQSLYQDFENCVFQKNGCSKFLDPQQDRHSQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCINTTLKWTRPWPEQLLQAFFVAAKCMWLLHLLAFSFNPPLGILRVEENKIFDPHYMEDMCPRSQGPSRVKIMVMPGFYVQDRVLRCKVLCRYKSAA >Vigun11g163000.1.v1.2 pep primary_assembly:ASM411807v1:11:37064850:37068147:1 gene:Vigun11g163000.v1.2 transcript:Vigun11g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSAQVFKDFKDTSNGNPEITRQEIQAAIAKAVELRALHAALMRGNSPANARFPSPSPASRPVSHFSAQDYPVFTPSYEDDPVVGFNQNSMKSLTVSESWDGSLLEGGNSIETIVADYKEKSSSRKGLYSGFANHDSHTCPTDDAKSVIGSCANQITVLQTSPANDHFKGRRRNSMEDFKSISSCNKCNPATITNDFENARSSKSSNIIVPVTDSHSSLQSQPKSKGVISWLFPRLKKKHKNGSSPNRAESEDVSQVLKDLGIMSIETLKKELVEANENRDVALMEVSEMRGSLGELKQKLEYLESYCEELKKALKQAVKTRDSQLCDQLSNLPQRGKLFEGNGENVMPVSEEVMVEGFLQIVSESRLSVKQFCKTLITHIEETDHSLTENLNLLLQPYKLSLSSKFSKAVLYHFEAFINQSLYQDFENCVFQKNGCSKFLDPQQDRHSQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCINTTLKWTRPWPEQLLQAFFVAAKCMWLLHLLAFSFNPPLGILRVEENKIFDPHYMEDMCPRSQGPSRVKIMVMPGFYVQDRVLRCKVLCRYKSAA >Vigun03g296200.1.v1.2 pep primary_assembly:ASM411807v1:3:48286838:48289807:1 gene:Vigun03g296200.v1.2 transcript:Vigun03g296200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSVCFINQKETKILKTSDDVPAIISFAKVKPSFRLRVKNSMQEARPTRDNSSEARRNEKWEKVHAPSVAHSHSDSKRVPVYVMLPLDTVTVGGSLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEPYVELVQMVQMHGLKLQVVMSFHQCGGNVGDTCSIPLPPWVQEEISKNPDLVYTDRSGRRNPEYISLGCDSLPILRGRTPLQVYADYMRSFRDRFGDYLGSVIVEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMKASLAAAAEAIGKKEWGGGGPHDSGQYNQFPEDTGFFRREGTWNTEYGRFFLEWYSGKLLEHGEKILVSAKGIFDTSGVKLSGKVAGIHWHYRARSHAAELTAGYYNTRNNDGYMPIARMLAKHGVVFNFTCMEMKDREQPDFANCSPEGLVSQVKMATKTARAELAGENALERYDADAYAQVLSTSKSESGNGLAAFTYLRMNKRLFEGDNWRHLVDFVRSMSEGGRRERLPASDSHGSDLYVGHIKSTQEKHTQEAVLV >Vigun05g034500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2811986:2814878:1 gene:Vigun05g034500.v1.2 transcript:Vigun05g034500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESESVIGELEREENLIAAVRHIVKALGPNKTLTSDAKKILADLGTRLSSMSVPSEKEEGKQGQGGEGGDDHDGGADDDDDDDDDDDDEGLSAIEERLNVIQEKIMRWEEDQSMIWDLGTEEASEYLNAANEARRLIEKLESLHLRKEDQEYEFMQRAYSVLQTAMARLEEEFRNLLIQNRQPFEPEYVSFRSSEEDAVDENSIISIGDESVEESLQRDSVSRASEEHIIDLVHPAVIPDLRCIANLLFASNYCQECSNAYIIVRRDALDECLFILEMERLSIEDVLKMEWGTLNSKIKRWIWAVKIFVRVYLASERWLSDQIFGEGEPVGLSCFVDASKASILQLLNFGEAMSIGPHQPEKLFRVLDMYEVLQDLMPDIDALYTDEVGSSVKIECHEVLKRLGDCVRATFFEFENAIATNVSSTPFVGGGIHPLTKYVMNYLRTLTDYSDILNLLLKDQEEEKSISLSPDMSPGTEEDSRSQGSPCRVSSMALHFRSLASILESNLEEKSKLYKEVSLQHLFLMNNLHYMAEKVKGSELRLVFGDEWIRKRNWKFQQHAMKYERASWSSILFLLKDEGIFVPGTSSVSKSLLKERLRSFYLGFEDVYRIQTAWLIPDIQLREDLRISISLKVIQAYRTFVGRHNTHISDKIIKYSADDLENFLLDFFEGSQKWLQNPHRR >Vigun05g034500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2811850:2814889:1 gene:Vigun05g034500.v1.2 transcript:Vigun05g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESESVIGELEREENLIAAVRHIVKALGPNKTLTSDAKKILADLGTRLSSMSVPSEKEEGKQGQGGEGGDDHDGGADDDDDDDDDDDDEGLSAIEERLNVIQEKIMRWEEDQSMIWDLGTEEASEYLNAANEARRLIEKLESLHLRKEDQEYEFMQRAYSVLQTAMARLEEEFRNLLIQNRQPFEPEYVSFRSSEEDAVDENSIISIGDESVEESLQRDSVSRASEEHIIDLVHPAVIPDLRCIANLLFASNYCQECSNAYIIVRRDALDECLFILEMERLSIEDVLKMEWGTLNSKIKRWIWAVKIFVRVYLASERWLSDQIFGEGEPVGLSCFVDASKASILQLLNFGEAMSIGPHQPEKLFRVLDMYEVLQDLMPDIDALYTDEVGSSVKIECHEVLKRLGDCVRATFFEFENAIATNVSSTPFVGGGIHPLTKYVMNYLRTLTDYSDILNLLLKDQEEEKSISLSPDMSPGTEEDSRSQGSPCRVSSMALHFRSLASILESNLEEKSKLYKEVSLQHLFLMNNLHYMAEKVKGSELRLVFGDEWIRKRNWKFQQHAMKYERASWSSILFLLKDEGIFVPGTSSVSKSLLKERLRSFYLGFEDVYRIQTAWLIPDIQLREDLRISISLKVIQAYRTFVGRHNTHISDKIIKYSADDLENFLLDFFEGSQKWLQNPHRR >Vigun03g059200.3.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQIFELTASQGPEVGLEFFKSVRYFRVLVCGGDGTVAWVLDAIERRSYESPPPVAILPLGTGNDLSRVLNWGKGFSTLDGEGGLPMLLHDDISNAAVTMLDRWKVKISEESSEGKSHKVKTKSMMNYLGIGCDAKVAYKFHVTRQISPEKFCSQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVITIHCSSPFPVQIDGEPFILQPGFLEITHRGQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.1.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGTDATLFDYVLNGFGWNKSSNEKFSDQLNNGRVLGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQIFELTASQGPEVGLEFFKSVRYFRVLVCGGDGTVAWVLDAIERRSYESPPPVAILPLGTGNDLSRVLNWGKGFSTLDGEGGLPMLLHDDISNAAVTMLDRWKVKISEESSEGKSHKVKTKSMMNYLGIGCDAKVAYKFHVTRQISPEKFCSQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVITIHCSSPFPVQIDGEPFILQPGFLEITHRGQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.4.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGTDATLFDYVLNGFGWNKSSNEKFSDQLNNGRVLGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQIFELTASQGPEVGLEFFKSVRYFRVLVCGGDGTVAWVLDAIERRSYESPPPVAILPLGTGNDLSRVLNWGKGFSTLDGEGGLPMLLHDDISNAAVTMLDRWKVKISEESSEGKSHKVKTKSMMNYLGIGCDAKVAYKFHVTRQISPEKFCSQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.7.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGTDATLFDYVLNGFGWNKSSNEKFSDQLNNGRVLGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.5.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQIFELTASQGPEVGLEFFKSVRYFRVLVCGGDGTVAWVLDAIERRSYESPPPVAILPLGTGNDLSRVLNWGKGFSTLDGEGGLPMLLHDDISNAAVTMLDRWKVKISEESSEGKSHKVKTKSMMNYLGIGCDAKVAYKFHVTRQISPEKFCSQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.2.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGTDATLFDYVLNGFGWNKSSNEKFSDQLNNGRVLGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVITIHCSSPFPVQIDGEPFILQPGFLEITHRGQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.6.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVITIHCSSPFPVQIDGEPFILQPGFLEITHRGQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun03g059200.8.v1.2 pep primary_assembly:ASM411807v1:3:4841924:4849937:-1 gene:Vigun03g059200.v1.2 transcript:Vigun03g059200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIDLGISFLRLITSPDASGASIIGWLITGSFGLMAVVYAVLKWQRRSSLNWIKAAAREKKKVWKKFKVPLSGHSWVEDFTYREQPSTCCFCLTSLWPSQNLGTTASPHTPLHRCSVCGVAAHFLCSQFAAKDCKCVAQAGFGHIRHHWSERWVNVYENHEMSAFCFYCDEPCGVPFVKASPTWHCQWCQRLIHVKCHNKLTKDSGDFCDLGPLRRIILSPLCVKEVDEDLKGGGRLSSIISSSVNGQIRKRRNRNRHGGGYNANGKSRGSSGNSSISTHSHFKKYTLVDLPQDASPLLIFINARSGGQLGHSLHRRLNMLLNPVQFLNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWQNGYEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQAFTMRKTSENEPKGRAAAIMTEVLLDAECKGIINASQKKVLLQDMAINLS >Vigun04g173300.1.v1.2 pep primary_assembly:ASM411807v1:4:39753373:39757053:-1 gene:Vigun04g173300.v1.2 transcript:Vigun04g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLNFDRDQNQVLFTGNSCVKVVILNRPQKLNSLNHEMICQIKSNLQLYENDPLVQLVILKGNGKAFCVGGDVVSVITSSVAGHWTYAASFYKKQLTLDHLIATYKKPTVSLINGIVMGGGAGLSMPTTFRVVTEKAVFAMPEASIGLFPDVGANYFLSRLPGFFGEYLGLSGARLGGAEIAACGLATHFVPSIKLKSLENALQVLSSPNVSTISALIKTFAEKPNVKEDSPFRRLEVINKCFSKETVEEIIESLEEHELQNGAEKWITNALSFMRSSCPTSLKIFLKSIRRGRVEKIDQCLHRDYIIACHFFRGTFGNHFYEGSRAKLFDKDNKPKWEPSKLELVDEEMVNEYFRNINDEEWEYLRFPDRSNYQIACKL >Vigun01g061900.1.v1.2 pep primary_assembly:ASM411807v1:1:13335275:13340845:1 gene:Vigun01g061900.v1.2 transcript:Vigun01g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALDYSFHFLNGVYVMMLFCVGIVLVNSVNEEGSSLLMFKASLHDPNNNLYNWNSSDLTPCNWTGVYCTGSVVTCVKLYHLNLSGTLAPTICNLPKLLELNLSKNFISGPIPDGFVECGSLEVLDLCTNRLHGPLLTPIWKIRTLKKLYLCENYMYDEVLEELGNLVSLEELVIYSNNLTGRIPSSIRKLKQLRVIRAGLNALSGPIPTEISECESLEILGLAQNQLEGSIPRELQKLRNLTTILLWQNSFSGEIPPEIGNISSLELLALHQNLLTGGVPKELGKLSQLKRLYMYTNMLNGTIPPELGNCTKAIEIDLSENHLIGIIPKELGLISNLSLLHLFENNLQGHIPRELGQLRVLRNLDLSLNNLTGTIPLEFENLTHMEDLQLFDNQLEGVIPPRLGAIRNLTILDISANNLFGMIPLHLCAYQKLQFLSLGSNRLFGNIPHSLKTCKSLVQLMLGDNQLTGSLPVELYELHNLTALELYQNRFSGMINPGIGQLRNLERLLLSDNYFEGYLPPEIGSLKQLVTFNVSSNRFSGSIPQELGNCVRMQRLDLSRNHFTGMLPNEIGSLVNLELLKVSDNVLSGEIPGTLGNLIRLTDLELGGNQFSGSISIHLGRLAALQIALNLSHNKLSGSIPDSLGNLQMLESLYLNDNQLVGEIPASIGDLLSLVVCNVSNNKLVGTVPDTTTFRKMDFTNFAGNNGLCRVGTSHCHPSISSSHAAKQSWIRNGSSREKIVSIVSGVVGLVSLIFIVWICLAMRRRGHDAFVSLEGQTNTHVLDNYYFPKEGFTYQDLLEATGNFSEKAVLGRGACGTVFKAIMNDGEVIAVKKLNSRGQGANSVDQSFLAEISTLGKIRHRNIVKLYGFCYHEDSNLLLYEYMENGSLGEQLHSSAITCALDWSSRYKIALGAAQGLCYLHYDCKPQIIHRDIKSNNILLDEVFQAHVGDFGLAKLIDFSFSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGRSPVQPLEQGGDLVTCVRRAIQASVPTSELFDKRLNLSAPKIVEEMSLILKIALFCTSTSPLNRPTMREVIAMLIDAREYVSNSPTSPTSESPLD >Vigun11g141300.5.v1.2 pep primary_assembly:ASM411807v1:11:35075799:35079792:1 gene:Vigun11g141300.v1.2 transcript:Vigun11g141300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLPRERTSVVTILRDPVDRVFSTYEFSIEVAARFLVHPNLTSATKMALRLSSKTKGVSTLDIWPWKYLVPWMREDLFARRDARYSRGQNIIESNDSYDMEDFAMPLQEYINDPVAMDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKTLGKYVLKVAKKRLDNMLYVGLTEEHRESATKFANVVGTQVISQLNAPNASLETTDNTERSSFSNSDPDSIEHQNSTLNREGSEVTSSEGGEATESNAQSRRRISSLKRISPVNFTKQARLQVPEEVLREIRSLNDLDLQLYEYARAIFNKQHKTSLLINEDSWDNFSTEYGLWRVVTLAITFVFLFFIFILIVNARRRTWKVKK >Vigun11g141300.6.v1.2 pep primary_assembly:ASM411807v1:11:35074070:35079792:1 gene:Vigun11g141300.v1.2 transcript:Vigun11g141300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLKLCVFFLVLLGLVSGSFAENDFGQCERVVKRWARSSLDEEMTKEDKHVLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPSKPKCRLLVTHDDYSMTTKLPRERTSVVTILRDPVDRVFSTYEFSIEVAARFLVHPNLTSATKMALRLSSKTKGVSTLDIWPWKYLVPWMREDLFARRDARYSRGQNIIESNDSYDMEDFAMPLQEYINDPVAMDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKTLGKYVLKVAKKRLDNMLYVGLTEEHRESATKFANVVGTQVISQLNAPNASLETTDNTERSSFSNSDPDSIEHQNSTLNREGSEVTSSEGGEATESNMTVGELMVAYELCIGNLRKAQSRRRISSLKRISPVNFTKQARLQVPEEVLREIRSLNDLDLQLYEYARAIFNKQHKTSLLINEDSWDNFSTEYGLWRVVTLAITFVFLFFIFILIVNARRRTWKVKK >Vigun11g141300.8.v1.2 pep primary_assembly:ASM411807v1:11:35074070:35079792:1 gene:Vigun11g141300.v1.2 transcript:Vigun11g141300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLKLCVFFLVLLGLVSGSFAENDFGQCERVVKRWARSSLDEEMTKEDKHVLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPSKPKCRLLVTHDDYSMTTKLPRERTSVVTILRDPVDRVFSTYEFSIEVAARFLVHPNLTSATKMALRLSSKTKGVSTLDIWPWKYLVPWMREDLFARRDARYSRGQNIIESNDSYDMEDFAMPLQEYINDPVAMDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKTLGKYVLKVAKKRLDNMLYVGLTEEHRESATKFANVVGTQVISQLNAPNASLETTDNTERSSFSNSDPDSIEHQNSTLNREGSEVTSSEGGEATESNAQSRRRISSLKRISPVNFTKQARLQVPEEVLREIRSLNDLDLQLYEYARAIFNKQHKTSLLINEDSWDNFSTEYGLWRVVTLAITFVFLFFIFILIVNARRRTWKVKK >Vigun11g141300.9.v1.2 pep primary_assembly:ASM411807v1:11:35074070:35079792:1 gene:Vigun11g141300.v1.2 transcript:Vigun11g141300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLKLCVFFLVLLGLVSGSFAENDFGQCERVVKRWARSSLDEEMTKEDKHVLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPSKPKCRLLVTHDDYSMTTKLPRERTSVVTILRDPVDRVFSTYEFSIEVAARFLVHPNLTSATKMALRLSSKTKGVSTLDIWPWKYLVPWMREDLFARRDARYSRGQNIIESNDSYDMEDFAMPLQEYINDPVAMDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKTLGKYVLKVAKKRLDNMLYVGLTEEHRESATKFANVVGTQVISQLNAPNASLETTDNTERSSFSNSDPDSIEHQNSTLNREGSEVTSSEGGEATESNAQSRRRISSLKRISPVNFTKQARLQVPEEVLREIRSLNDLDLQLYEYARAIFNKQHKTSLLINEDSWDNFSTEYGLWRVVTLAITFVFLFFIFILIVNARRRTWKVKK >Vigun11g141300.7.v1.2 pep primary_assembly:ASM411807v1:11:35074070:35079792:1 gene:Vigun11g141300.v1.2 transcript:Vigun11g141300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLKLCVFFLVLLGLVSGSFAENDFGQCERVVKRWARSSLDEEMTKEDKHVLRDLLFFLHVPRTGGRTYFHCFLKKLYPSYLECPRSYDKLRFDPSKPKCRLLVTHDDYSMTTKLPRERTSVVTILRDPVDRVFSTYEFSIEVAARFLVHPNLTSATKMALRLSSKTKGVSTLDIWPWKYLVPWMREDLFARRDARYSRGQNIIESNDSYDMEDFAMPLQEYINDPVAMDVVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKTLGKYVLKVAKKRLDNMLYVGLTEEHRESATKFANVVGTQVISQLNAPNASLETTDNTERSSFSNSDPDSIEHQNSTLNREGSEVTSSEGGEATESNMTVGELMVAYELCIGNLRKAQSRRRISSLKRISPVNFTKQARLQVPEEVLREIRSLNDLDLQLYEYARAIFNKQHKTSLLINEDSWDNFSTEYGLWRVVTLAITFVFLFFIFILIVNARRRTWKVKK >Vigun07g083000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12125536:12128184:-1 gene:Vigun07g083000.v1.2 transcript:Vigun07g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQCLCEDNQRMEKSNHHIHLRNTIASFSWHATLYISLHLPLQAHTYSHCKLPLIKKTNTLQEKKWHNYTQKTPSCAAKMRTICWEPKTQSFAFSRHTSAPSSSLSTTPSTSTKENMVSTSIEEAEALILKWDPETSAYGRVTSLFYNDKAEAMHYIHCVNQLQKTMHALLAENPSSRKLVLAQKLMQMAMKRLQKEFYQMLSMSRAHLDPESVSARSSTTSRSSFCSDSFDEGTAEDDVRDTGDCISEVERVSSETVAVLKSIADCMVSNGYGKECVNVYTTMRKSIVDEGVYRLSVEELSASKVNKMDWEVLELKIKGWLEAVKIAVRTLFAGERTLCDQVFGASQSIAEACFAEISRSGAAVLFGFPDLVVRTKKSPPEKIFRIIDMYAAMASLWPEIESVFSYDSTTASRSQAYALLLRLSESVQTSFFEIETAIQKDSSKPVSKFAGVHPLTVQVMNHLSTLADYSNVLSEIFLDVPQPSRSPLPESYLYSPRSDNSTTTGTEFSVQMARLILVLLCKIDGKSRHCKEVSLSYLFLTNNLRHVVAKVRTSNLLYVVGDDWVLNHEAKVKQLMTSYERVAWGKVLSSLPENPAAEMSPAEARVMFGNFNLEFEKAYRKENAFTILEQEFREETKASLARKISPIYREMYETLRNSVGSAREMREYVIFTPEDVENYMLNLFSAGRSSSITEENSSFFVRKKFCKKCK >Vigun05g176400.1.v1.2 pep primary_assembly:ASM411807v1:5:32678328:32681249:-1 gene:Vigun05g176400.v1.2 transcript:Vigun05g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSKVSGTSLLVPSVQELAKQNLVSIPQRYIQPQNHQQMLHISQQPNVGTLQIPVIDMHRLLSQESGTSELDKLHLACKEWGFFQLINHGISSSMVEKVQLEMKDFFNLPMTEKEKFWQTPENIEGFGQAFVLSEDQKLDWADMFYMITLPEHSRMPHLFPQLPLPFRDTLEVYSKKLKDLAMDILGHLAKALGIEEVEIRELFEDGIQMMRMNYYPPSPEPEKVIGLTNHSDPQALTILLQLNEPEGLQIRKDGMWVPVNPLPNSFIVNVGDILEIITNGTYRSVEHRATVNSEKERLSCATFYSPREDAVICPSPCLITDQTPPQFKSIRVDQYFKDFFARKLEGKSNRDYMKIDHPN >Vigun06g124900.1.v1.2 pep primary_assembly:ASM411807v1:6:25191163:25195499:-1 gene:Vigun06g124900.v1.2 transcript:Vigun06g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIESSTVSSMTMMEDDEHPHQFSSISKLHNNGPTTTSVHDLLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYISLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSDCSVVGDIPCLVAHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEREARNYSYSLEVGGNGRKLTFEGSPRSIRDSHKKVRDSHDGLIIYRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGVCIPNLCS >Vigun07g281700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39624901:39627016:1 gene:Vigun07g281700.v1.2 transcript:Vigun07g281700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDGNTNGVSNAAIIDPQRQQPQALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSVSLSVRAANSASLSSPSSASDHKPQLLAPTPFILGKRIRTDDDTSKDDAVSVGPSLVGPSTPPGLWALPARPDYGQIWSFAAAPEMVVQPAAVSVSQQQASLFAHHQRQQQQAIGEASAARVGNYLPGHLNLLASLSGGPGNSGRRDDEHR >Vigun07g003700.1.v1.2 pep primary_assembly:ASM411807v1:7:294019:295888:-1 gene:Vigun07g003700.v1.2 transcript:Vigun07g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYSSTTVFDIGKYTTEILRVESSSCTQNNVPPPKPLLIATPLEAGEFPLLMFLHGYLLYNVFYSQLIQHVASHGFIVIAPQLYTVAGPDTSDEIHSAAAITNWLSEGLCKFLPPNVRPNLNKVALSGHSRGGKTAFALSLRKLNISTDIKFSALIGVDPVDGMDKGKQTPPPVLSYVPHSFDFDMPVMVIGSGLGEVKRNPLFPPCAPKGVNHENFFSECKKPAWYFVAKDYGHSDMLDDDTKGIRGKVTYCSCKNGESRKPMRKFVGGVIVAFLKAYFHDDYGDLLGIRDRHVSLPLEFKFDSYLSNSQKM >Vigun07g003700.2.v1.2 pep primary_assembly:ASM411807v1:7:294019:295895:-1 gene:Vigun07g003700.v1.2 transcript:Vigun07g003700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYSSTTVFDIGKYTTEILRVESSSCTQNNVPPPKPLLIATPLEAGEFPLLMFLHGYLLYNVFYSQLIQHVASHGFIVIAPQLYTVAGPDTSDEIHSAAAITNWLSEGLCKFLPPNVRPNLNKFSALIGVDPVDGMDKGKQTPPPVLSYVPHSFDFDMPVMVIGSGLGEVKRNPLFPPCAPKGVNHENFFSECKKPAWYFVAKDYGHSDMLDDDTKGIRGKVTYCSCKNGESRKPMRKFVGGVIVAFLKAYFHDDYGDLLGIRDRHVSLPLEFKFDSYLSNSQKM >Vigun08g176400.2.v1.2 pep primary_assembly:ASM411807v1:8:34675131:34683238:-1 gene:Vigun08g176400.v1.2 transcript:Vigun08g176400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSLFGTLVNAEKTDRAEPPDGFSKVTVSEAEKAIAGNLCRCTGYRPIADVCKSFAADVDMEDLGFNSFWRKGENKDLKVSRLPRYDRNQLSSRFPTFLKEIKHDVFLASEKHSWHRPISLTELQSSLNLNNSNGTRMKIVVSNTGMGYYRDKEDYDYYIDLRGISELSMIRKDRTGIEIGAAVTISKAIDALRENIRCDFLSDYVMILEKIADHMSKVASGFIRNTASVGGNLVMAQRNNFPSDITVILLAADAMVHIMTGTQFEWLTLEEFLERPPLALESVLLSIKIPSLELNQSESSEPRSRFLFETYRVSPRPLGNALPYLNAAFLVKVSPCKDSGGTVIDTCRLSFGVYGRKHAIRGNKVEEFLAGKILSASVLYDAVNLITATIASQDDNAITAYRSSLAAGFIFQFFNPLIDNPERISNGYLNGNNNNPFARDFELKVSQKKVLHDKVPTLLTSGKQVLEAGCEHRPVGEPIVKSGAELQASGEAVFVDDIPSPSNCLHGAYIYSAKPLARVRSIKLTPELQLDSVRDIISSKDIPNGGENIGSKTMFGIEPLFAEEEARCVGERLAFVVADTQKVADMAANSAVVDYDTENLELPILSVEDAVERSSFFEVPPIVSPKHVGDISKGMAEADHKIVSAEMKLGSQYYFYMEPQTALAVPDEDNCITVYSSNQCPENTHSVIARCLGIPESNVRVITRRVGGGFGGKSIKAIPVATSCALAAHKLQRPVRIYLNRKTDMIMAGGRHPMKITYSVGFRNDGKITALELQILVNAGIYVDISAVIPHNVVCALKKYDWGTLACDIKVCRTNHPSRSAMRGPGEVQGSFIAEAIIENVAATLSKDVDSVRSINLHTYNSLQSFYEYSHGEPNDYTLPIIWNELAVSANYDQRTKMVEEFNRINTWKKRGICRVPVVIQLMQRPTPGKVSIFSDGSIVVEVGGIEVGQGLWTKVKQMAAYALGAIQCDGIGGLLDKIRVIQADTVSLIQGGFTAGSTTSESSCEAVRLCCDILVERLKPLKENLQKEMGSINWETLILQAYMQAVNLSASAFYVPSMSSMSYLNYGAAISEVEIDILSGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFVQGLGYFMLEEYQTNLDGLVLQDGTWNYKIPTVDTIPLQFNVQILNSGHNQHRVLSSKASGEPPLLLAASIHCATRAAVKEARKQLLSWSNQDGEDSTFQLEVPATMPVVKALCGLDNVERYLKWKMGRT >Vigun08g176400.1.v1.2 pep primary_assembly:ASM411807v1:8:34675131:34683238:-1 gene:Vigun08g176400.v1.2 transcript:Vigun08g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKTPTSLVFGVNGERFELSHVHPSTTLLQFLRTHTRFKSVKLGCGEGGCGACVVLISRYDPVLEQVEDFTASSCLTLLCSIHGCSITTSEGIGNSKEGFHPIHERFAGFHATQCGFCTPGMCVSLFGTLVNAEKTDRAEPPDGFSKVTVSEAEKAIAGNLCRCTGYRPIADVCKSFAADVDMEDLGFNSFWRKGENKDLKVSRLPRYDRNQLSSRFPTFLKEIKHDVFLASEKHSWHRPISLTELQSSLNLNNSNGTRMKIVVSNTGMGYYRDKEDYDYYIDLRGISELSMIRKDRTGIEIGAAVTISKAIDALRENIRCDFLSDYVMILEKIADHMSKVASGFIRNTASVGGNLVMAQRNNFPSDITVILLAADAMVHIMTGTQFEWLTLEEFLERPPLALESVLLSIKIPSLELNQSESSEPRSRFLFETYRVSPRPLGNALPYLNAAFLVKVSPCKDSGGTVIDTCRLSFGVYGRKHAIRGNKVEEFLAGKILSASVLYDAVNLITATIASQDDNAITAYRSSLAAGFIFQFFNPLIDNPERISNGYLNGNNNNPFARDFELKVSQKKVLHDKVPTLLTSGKQVLEAGCEHRPVGEPIVKSGAELQASGEAVFVDDIPSPSNCLHGAYIYSAKPLARVRSIKLTPELQLDSVRDIISSKDIPNGGENIGSKTMFGIEPLFAEEEARCVGERLAFVVADTQKVADMAANSAVVDYDTENLELPILSVEDAVERSSFFEVPPIVSPKHVGDISKGMAEADHKIVSAEMKLGSQYYFYMEPQTALAVPDEDNCITVYSSNQCPENTHSVIARCLGIPESNVRVITRRVGGGFGGKSIKAIPVATSCALAAHKLQRPVRIYLNRKTDMIMAGGRHPMKITYSVGFRNDGKITALELQILVNAGIYVDISAVIPHNVVCALKKYDWGTLACDIKVCRTNHPSRSAMRGPGEVQGSFIAEAIIENVAATLSKDVDSVRSINLHTYNSLQSFYEYSHGEPNDYTLPIIWNELAVSANYDQRTKMVEEFNRINTWKKRGICRVPVVIQLMQRPTPGKVSIFSDGSIVVEVGGIEVGQGLWTKVKQMAAYALGAIQCDGIGGLLDKIRVIQADTVSLIQGGFTAGSTTSESSCEAVRLCCDILVERLKPLKENLQKEMGSINWETLILQAYMQAVNLSASAFYVPSMSSMSYLNYGAAISEVEIDILSGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFVQGLGYFMLEEYQTNLDGLVLQDGTWNYKIPTVDTIPLQFNVQILNSGHNQHRVLSSKASGEPPLLLAASIHCATRAAVKEARKQLLSWSNQDGEDSTFQLEVPATMPVVKALCGLDNVERYLKWKMGRT >Vigun04g080333.1.v1.2 pep primary_assembly:ASM411807v1:4:11466090:11466694:1 gene:Vigun04g080333.v1.2 transcript:Vigun04g080333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKPKKGRIDRDLRPLTKDRHALQLAEYAENKKEEVEIYVDHLVDYAKVIELVEGVNEGANEESSNGGVNERNEEGDNVDKEDGSADFVGEGGIQQEGDDDVVQQMENDEEVTNSGDESGVDSGDEEVDELDMSEDERHKYDNDCFGMENMSRKDVSQVLDCWKKFKEG >Vigun09g173400.2.v1.2 pep primary_assembly:ASM411807v1:9:34394287:34397316:1 gene:Vigun09g173400.v1.2 transcript:Vigun09g173400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQISSVFAQNNHAINSHNAWNSQRSLWMQDQGNQYNPLLQNTGFMQHNSSSLNGPTSLSSSFASPSALNSATMGFSGTRPTEGPSSQNFNTPMSHISSLLRPERAQGTQLQHLPPFSVNTITSQRADNGLSIPSSFRTQTSVPSLNMLNQSDRSLVSYGPYTSLQQSPSLNLQYPRQGQREPPRRGRPRKHFEPGEASSPYKRRKRGSSTRENVGRSSLSQGNQTAARVVVEDQNPTNTSSAAETASPFVNAMYDPAFERAGVPIDPLLRLFNASMSENSKPAGPQQ >VigunL021500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:4227:4343:-1 gene:VigunL021500.v1.2 transcript:VigunL021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbL MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >VigunL013125.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:1974:4652:1 gene:VigunL013125.v1.2 transcript:VigunL013125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFLATTMAALIAPAAVMAAPIASPIRIETPLAQDWRFRQDDTLSGVERPDFDDQNWRRVSVPHTWNRVGLYQPGAVERLNKAENINKTQGVGWYRLTFAAPKLNGRRAYLQFDAVSRTAKVWLNGVYLGEHQGGFSRFRLDATDALRGDGQNLLVVRADNSKPAPGSPTVDNLPLTGDFFVHGGLYRPVSLVVTNAVHFDMLDFGGSGVYARTTALSADKAVIEVKARLRNDLSRAAAVRVVSRLRDADGKVVARAIASAGLPTKGGQESTLSLSVSNPRLWNGVIDPYLYRLETELVGRDGKVLDRVSSAFGVRQMGFDPEKGFLLNGKPYRLRGVGYHQDREGKGWALSPADIEEDVAILREMGANSIRLTHYQHGQTIHDLADKYGLVLWDEIPLVSAWTTGGALEPTPALVENAKQQLRELIRQNYNHASVANWGIANEVDFGNSFPAFLTGYKDGKAPDPMPLLKTLNDLAHQEDAGRPTALATCCEGRVFAAGVDVPTTAEAADLGGANRYFGWYFGAPDDLGPHLDALHAKRPRQPLSVTEYGAGGGVTLHTDDVLGGPIDSRGRAQPEEYESYIHETAWAALSRRSYLWGSWLWNAFDFGTTIRHEGDADDINTKGLVTYDRKVRKDAWYFYKANWTTTPTVHINGRRYVDRAYPVTDVRVYSNGAATDLTLNGKSLGQRTECPQRVCVWRAVRLQPGENVLVAKTEVQNQTVEDRIVWRRPADPKAPIRIDAGTLIGSGKTTAFGSDAFFTGGQALSANTSADYGRPEVRAAITGTDEVDVAASYRQGRFSYAVPVAPGRYSVRLTFVEPNLAAGARRFDVLVNGALVLKNLDVAAEGGGALKAVTKAFEAEARDDGLTLRFVPTQGEAIVSAIEIKPVD >Vigun03g137400.1.v1.2 pep primary_assembly:ASM411807v1:3:13471918:13475261:-1 gene:Vigun03g137400.v1.2 transcript:Vigun03g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNALPSRAHKERSQPSARKKFGLLEKHKDYVQRAKAFHKKEDTLRKLREKAANRNEDEFYFKMVRTKTVDGVHRPESEANKYTQEELMLMKTQDMGYILQKVQSERKKIERLTASLHSIDNQPANKHVFFAEDREEAKELQSRYSKSEIPLTIDINIPAHIKRKTDQSYKELEARKDRLRQLEKIYTDMAMKKELQKNGRKRKLTEDEIVCPTSEPVYKWRAERKR >Vigun09g187100.1.v1.2 pep primary_assembly:ASM411807v1:9:36071787:36074816:-1 gene:Vigun09g187100.v1.2 transcript:Vigun09g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTFSAAKFEALFLKSSSSSSSQFPSRALLPTAFLDHNRKTFVRRGVVRCGAQPSDASVGPNNAISLSALELLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCSLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVMEWMSKTSSVPLSELSQHRFLLYDNDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIASGAVSVSSAAVELAFMKLPEASLDNARMLVIGAGKMGKLVIKHLVAKGCKKMVVVNRTEERVAAIREELKDIEIIYKPLSEMLTCAGEADVVFTSTASETPLFLKSNVKDLPPASQDVGGRRFFIDISVPRNVGSCVSDLEHVRVYNVDDLKEVVAANKEDRLRKAMEAQTIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRLAELERCLGKMGDDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGNDSRTLSETLENMHALNRMFNLDTEISVLEQKIRAKVEQNQK >Vigun07g088500.1.v1.2 pep primary_assembly:ASM411807v1:7:13734184:13736169:-1 gene:Vigun07g088500.v1.2 transcript:Vigun07g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLVDYEETLSKRCIWVNGPVIVGAGPSGLATAACLKQEGVPFMLLERAECIASLWQKRTYDRLKLHLPKQFCQLPNLPFPQHFPKYPSKNQFIDYLESYARHFDINPRFNQCVQSARYDETTGLWRLKTVATCGSVKNEFEYICRWLVVATGENAECVMPEIQGLREFKGDVIHACQYKCGERFKGKKVLVVGCGNSGMELSLDLFNHTASPSIVVRSPVHVLPREVFGKSTFELATLMLQWLPLWVVDKILLVLAWLVLGNMEKFGLRRPSEGPLSWKNTKGKTPVLDIGTLEKIRSGNIKVVPAIKRFGNGCVELVNGEKQDVDAVVLATGYRSNVPYWLQEGEFFSENGFPKCPFPNGWKGNVGLYAVGFTRRGLSGASFDAVKIAQDIAHLWKQDTKQHKHRTTACHRRCISQF >Vigun07g040150.1.v1.2 pep primary_assembly:ASM411807v1:7:3940749:3944893:-1 gene:Vigun07g040150.v1.2 transcript:Vigun07g040150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIFPKLLSMKLQHFWLLLLMCLSSFPHCDAVHSSPIASEANALLKWKISLDNQSQASLSSWSGNSSCNWLGIACDHSNHVFKINLPSIGLRGDLSCFDDMMSLTSIDVSSNEFEGPLPNIPVFRNATMEALRNNKGLCGNVSGLQPCLTLSGKSHNHVTEKVITMVLPLTLGTIMLALFVFGVLYYFYKTSAKIEDKDTNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKAILPTGQVVAVKKLHSVPNGEMLNEKAFTSEIQALTEIRHRNIVKLYGFCSHSQWSFMVCEFLEKGNVQNILKDNEQAIAFDWSKRVNVVKGVANALFYMHHDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLAWEILLGKHPGDFISSSSTGVALTVDHLTLMDNLDQRLPPPTKSLVKEMTSITKITVACLTESSRSRPTMKEVVNELVV >Vigun07g040150.2.v1.2 pep primary_assembly:ASM411807v1:7:3940749:3942394:-1 gene:Vigun07g040150.v1.2 transcript:Vigun07g040150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLNKLETLNLSHNSLSGDLSCFDDMMSLTSIDVSSNEFEGPLPNIPVFRNATMEALRNNKGLCGNVSGLQPCLTLSGKSHNHVTEKVITMVLPLTLGTIMLALFVFGVLYYFYKTSAKIEDKDTNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKAILPTGQVVAVKKLHSVPNGEMLNEKAFTSEIQALTEIRHRNIVKLYGFCSHSQWSFMVCEFLEKGNVQNILKDNEQAIAFDWSKRVNVVKGVANALFYMHHDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLAWEILLGKHPGDFISSSSTGVALTVDHLTLMDNLDQRLPPPTKSLVKEMTSITKITVACLTESSRSRPTMKEVVNELVV >Vigun07g040150.3.v1.2 pep primary_assembly:ASM411807v1:7:3940749:3944893:-1 gene:Vigun07g040150.v1.2 transcript:Vigun07g040150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLTSIDVSSNEFEGPLPNIPVFRNATMEALRNNKGLCGNVSGLQPCLTLSGKSHNHVTEKVITMVLPLTLGTIMLALFVFGVLYYFYKTSAKIEDKDTNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKAILPTGQVVAVKKLHSVPNGEMLNEKAFTSEIQALTEIRHRNIVKLYGFCSHSQWSFMVCEFLEKGNVQNILKDNEQAIAFDWSKRVNVVKGVANALFYMHHDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLAWEILLGKHPGDFISSSSTGVALTVDHLTLMDNLDQRLPPPTKSLVKEMTSITKITVACLTESSRSRPTMKEVVNELVV >Vigun09g028400.1.v1.2 pep primary_assembly:ASM411807v1:9:2339842:2347418:-1 gene:Vigun09g028400.v1.2 transcript:Vigun09g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEFVCVFMIILFGLAKFGKAEIYIVTVEGEPVISYRGGVDGFEATAVESDDDDHKLDSTSEVVVSYARHLEKRHDLLLGLLFERGTYEKLYSYRHLMNGFAVHLSPEQAETLRHAPGVKSVERDWKVKRLTTHTPQFLGLPTGVWPTGGGYERAGEDIVIGFVDSGIYPHHPSFTTYNTEPYGPVSRYKGKCEVDPGTKRSFCNGKIIGAQHFAQAAIAAGAFNPSIDFDSPLDGDGHGSHTASIAAGRNGIPVRMYGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDIISLSVGPNSPPSNTKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKSLVSYSPWIATVAAAIDDRRYKNHLILGNGKILAGIGLSPSTRINQTYTLVAANDALLDSSVTKYSPTDCQRPEVFNKNLIKGNILLCGYSYNFVVGSASIKQVSETAKALGAVGFVLCVENVSPGAKFDPVPVGIPGILITDTSKSKELIDYYNISTPRDWTGRVKTFTGTGKIEDGLMPILHKSAPQVAVFSARGPNIKDFSFQEADLLKPDILAPGSLIWAAWSLNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPILAQQYSESEAMNLVRATPFDYGSGHVNPRAALDPGLVFDAGYEDYLGFLCTTPGIDVHEIKNYTNSPCNNSLGHPSNLNTPSITISHLVRTQIVTRTLTNVADEEETYVITARMQPAVAIDVNPPAMTIRAGASRKFTVTLTVRSVTGTYSFGEVLLKGSRGHKVRIPVLANGYSR >Vigun11g028300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3695503:3695841:1 gene:Vigun11g028300.v1.2 transcript:Vigun11g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSMSLMMMFVLVVVSIGMEKEGPLRMAEGACSEILSHGGCVVSKCTSDCVARHPGMHGNGKCDKTSSCVCTYWCKPPQSG >Vigun01g248100.1.v1.2 pep primary_assembly:ASM411807v1:1:41554474:41559527:-1 gene:Vigun01g248100.v1.2 transcript:Vigun01g248100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWNTKSLGQWDWEHLFFFNTKATENPRLPTTDCSSNEADREINVGVFYPSGGSACSGSELVHASSPRSSKSASNNSLSNGDSKASVLTLEGSQDDSTGKKELCPVETSQSAVPSPVSGEPLLTLKLGKRLYFEDVCAGSDSKKPSFEVPISSGKKYKTSGQNLQHPSCQVEGCGLDLSSAKDYHRKHRVCEAHSKSPKVLVAGLERRFCQQCSRFHALSEFDDKKRSCRKRLSDHNARRRKPQPDSVQLNPSALSSSPYDGRQMMSPFAFSRSATNMVWQDMHSSKLPQTKDFLPKPAKGFDKIPSIVSMFSDDNSGLLTSRSIAAKTIVPGLEDPNSISSCDPNGAQDVNRALSLLSSNSWGPYETKFLSLERSSRTSGINQSMTHSMTHQQQRLPLASSSEYWHTDHQQQPSPSTMSMCISFSDCDANNRFQDFQLLSAPYDSPFPCNHLE >Vigun03g284400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46539794:46544273:-1 gene:Vigun03g284400.v1.2 transcript:Vigun03g284400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRTTLPLLLSVLLFLGRYDAAQAAQPERGTYIVHVAKSEMPQSFEHHTLWYESSLKSVSDSAEMIYTYDNAIHGYATRLTAEEARLLESQSGILAVLPEMRYELHTTRTPQFLGLDKSADMFPESSSASDVIIGVLDTGVWPESKSFDDTGLGPVPSSWKGECEVGTNFSASNCNRKLIGARFFAKGCEAMLGPINETEESRSPRDDDGHGTHTASTAAGSVVSGASLFGYAAGTARGMATRARVAVYKVCWKGGCFSSDILAAIESAIQDNANVLSLSLGGGMADYFRDSVAIGAFSAMEKGILVSCSAGNAGPSPYSLSNVAPWITTVGAGTLDRDFPAYVALGNGLNFSGVSLYRGNALPDSPLPFVYAGNASNATNGNLCVTGTLSPEKVAGKIVLCDRGLTARVQKGSVVKSAGALGMVLSNTAANGEELVADAHLLPASAVGEKAGDAIKKYLFSEAKPTVSILFEGTKVGIQPSPVVAAFSSRGPNSITPQILKPDLIAPGVNILAGWSKAVGPTGLPVDNRRVDFNIISGTSMSCPHVSGLAALIKSVHPEWSPAAVRSALMTTAYTVYKTGAKLQDSATGKPSTPFDHGAGHVDPVAALNPGLVYDLTVDDYLGFLCALNYSASEINTLAKRKFECEAGKQYSVNDLNYPSFAVLFESGSGSGVVKHSRTVTNVGPAGTYKASVTSDAASVKISVEPEVLSLKENEKKSFIVTFSSSGSTQDRVNAFGRLEWSDGKHVVGTPISINWG >Vigun01g135600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31543254:31546163:1 gene:Vigun01g135600.v1.2 transcript:Vigun01g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMETLVVMAHHRNQCYPRSEPQGHAEFGSSSPSRDFRGINCRTFQTGCGILPTPLNSQSSPLTKLPKTPITPSNTNKTRSRITPNSAPVPIDHRKGKSFGEHVSDGGILLSELWAGPTYSNSPPPSSLPIPKFSVRPKRSVSLDLPGSCPEIELQLHACSAPSSPRGEHSPCARDLFDSPTKVLRRILNLSLDDE >Vigun03g111100.2.v1.2 pep primary_assembly:ASM411807v1:3:10037849:10040377:1 gene:Vigun03g111100.v1.2 transcript:Vigun03g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQHKFVKVGDALNLHVAEIGSGGNAVVFLHGFPEIWYSWRHQMIALADAGVRAIAFDYRGYGLSDPPPQPEKATWSDILSDLLHILQALHLPKVFLVGKDFGARPAYLFSILHPERVLGVVTLGVPYVPPGSSVYHKFLPEGFYILRWQEPGRAEADFGRFDAKTVVRNVYILFSRSEIPIANENQEIMDLVEPDTPLPAWITEEDLETYGALYEKSGFQTALQIPYRSLGDVFNLPDPVVKVPAFLIMGGKDYVLKFPGIEDLTKGEKAKEHVPNLEVTFIPEGTHFVQEQFPSQVNKLILDFFAKHT >Vigun03g111100.1.v1.2 pep primary_assembly:ASM411807v1:3:10037849:10040377:1 gene:Vigun03g111100.v1.2 transcript:Vigun03g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQHKFVKVGDALNLHVAEIGSGKSGNAVVFLHGFPEIWYSWRHQMIALADAGVRAIAFDYRGYGLSDPPPQPEKATWSDILSDLLHILQALHLPKVFLVGKDFGARPAYLFSILHPERVLGVVTLGVPYVPPGSSVYHKFLPEGFYILRWQEPGRAEADFGRFDAKTVVRNVYILFSRSEIPIANENQEIMDLVEPDTPLPAWITEEDLETYGALYEKSGFQTALQIPYRSLGDVFNLPDPVVKVPAFLIMGGKDYVLKFPGIEDLTKGEKAKEHVPNLEVTFIPEGTHFVQEQFPSQVNKLILDFFAKHT >Vigun08g162200.2.v1.2 pep primary_assembly:ASM411807v1:8:33476608:33486975:1 gene:Vigun08g162200.v1.2 transcript:Vigun08g162200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRAVLLIIHASSPKGRPSFTKKQADLFFPPDFADDFPVAMQISHKYNLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVSLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLANLRGNLQIIVQVAKEYCEQLGVDACIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELIHVTNKNSLFKLQARYVVERMDGDLWAKVLDPDNDYRRQLIDQVVSTALPESSSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEMAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDSTQFLDVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQTVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDILRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLYDNFDQIGLAQRIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADIVLELAWMHNMIDFAFPYLLQFIREYTGKVDELVKDKIEAQNEVKVKEQEEKEVVAQQNMYAQLLPLALPAPPMPGMGGPVGMGGGFAPPPPMGGLGMPPMPPYGMPPMGSSY >Vigun08g162200.1.v1.2 pep primary_assembly:ASM411807v1:8:33472667:33486975:1 gene:Vigun08g162200.v1.2 transcript:Vigun08g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEALTLPSIGINTQFITFTHVTMESDKYICVRETSPQNSVVIIDMTMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIELKAKMKSYQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEGDSEPVKMFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSVLISFASKTLNAGQVTSKLHVIELGAQPGRPSFTKKQADLFFPPDFADDFPVAMQISHKYNLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVSLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLANLRGNLQIIVQVAKEYCEQLGVDACIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELIHVTNKNSLFKLQARYVVERMDGDLWAKVLDPDNDYRRQLIDQVVSTALPESSSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEMAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSQVAKAQLRDGLVSDAIESFIRADDSTQFLDVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQTVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDILRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLYDNFDQIGLAQRIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADIVLELAWMHNMIDFAFPYLLQFIREYTGKVDELVKDKIEAQNEVKVKEQEEKEVVAQQNMYAQLLPLALPAPPMPGMGGPVGMGGGFAPPPPMGGLGMPPMPPYGMPPMGSSY >Vigun07g115800.1.v1.2 pep primary_assembly:ASM411807v1:7:21405674:21406150:-1 gene:Vigun07g115800.v1.2 transcript:Vigun07g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQSSSSYCNNCVQRSVPSSRTQALRPICDCGEAAVLRIARTPRNVGRKFWGCTNYKSEGGGVCCNFFKWWYEDVDEEKEVIIVNQNMKIEDLENVVRVLKKWFNVLAVVVSIVGLINVVMLALMLKN >Vigun03g251000.1.v1.2 pep primary_assembly:ASM411807v1:3:41690045:41703375:-1 gene:Vigun03g251000.v1.2 transcript:Vigun03g251000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKAESGQKLYTRMRLWEFPNQYVIEPTDGSSSGSYLSISRKDGSIKLIDEITESSTVRVPKVFTVYGVAGMLRLLEGSYLLAITERECVGSYLGHPIFKVSSLKVFPCDCSHRNIPSEQQKKTEIDFSGILKVAEKTTGLFFSYDANLTLSTQRLNDLGEDSKSLPLWRQAEPRFLWNNYLLEGLIENKLDPYLLPIVQGSFHHFESAIGKDIIEITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMKINGYTGSIVQVRGSIPLPWQQIVDLTYKPKYELLNHEEGPRVLERHFLDLRKKYGSVLAIDLVNEHGGEGRLCEKYGKAMQIVSGNDVRYLHFDFHHVCGHIHFDRLSILYDQISDFLERNGYLLLTEKGEKMKEQLGIVRTNCIDCLDRTNVTQSMIGRTMLEFQLRRIGIFGAEETISSHPNLDDKYKILWANHGDDISTQYTGTPALKGDFVRFGHRTMQGIVNDGVNALMRYYLNNFCDGTRQDSIDLLQGHFIVSANREHNPSQNQGIEAIASFPLALGLVLTGFFFTLMSLTQVRYDFKHLFFSLVWASISLGIAAFVKANGRVFCNRPRLHKPRS >Vigun03g251000.3.v1.2 pep primary_assembly:ASM411807v1:3:41690044:41703375:-1 gene:Vigun03g251000.v1.2 transcript:Vigun03g251000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKAESGQKLYTRMRLWEFPNQYVIEPTDGSSSGSYLSISRKDGSIKLIDEITESSTVRVPKVFTVYGVAGMLRLLEGSYLLAITERECVGSYLGHPIFKVSSLKVFPCDCSHRNIPSEQQKKTEIDFSGILKVAEKTTGLFFSYDANLTLSTQRLNDLGEDSKSLPLWRQAEPRFLWNNYLLEGLIENKLDPYLLPIVQGSFHHFESAIGKDIIEITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMKINGYTGSIVQVRGSIPLPWQQIVDLTYKPKYELLNHEEGPRVLERHFLDLRKKYGSVLAIDLVNEHGGEGRLCEKYGKAMQIVSGNDVRYLLLTEKGEKMKEQLGIVRTNCIDCLDRTNVTQSMIGRTMLEFQLRRIGIFGAEETISSHPNLDDKYKILWANHGDDISTQYTGTPALKGDFVRFGHRTMQGIVNDGVNALMRYYLNNFCDGTRQDSIDLLQGHFIVSANREHNPSQNQGIEAIASFPLALGLVLTGFFFTLMSLTQVRYDFKHLFFSLVWASISLGIAAFVKANGRVFCNRPRLHKPRS >Vigun03g251000.2.v1.2 pep primary_assembly:ASM411807v1:3:41690045:41703682:-1 gene:Vigun03g251000.v1.2 transcript:Vigun03g251000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKAESGQKLYTRMRLWEFPNQYVIEPTDGSSSGSYLSISRKDGSIKLIDEITESSTVRVPKVFTVYGVAGMLRLLEGSYLLAITERECVGSYLGHPIFKVSSLKVFPCDCSHRNIPSEQQKKTEIDFSGILKVAEKTTGLFFSYDANLTLSTQRLNDLGEDSKSLPLWRQAEPRFLWNNYLLEGLIENKLDPYLLPIVQGSFHHFESAIGKDIIEITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMKINGYTGSIVQVRGSIPLPWQQIVDLTYKPKYELLNHEEGPRVLERHFLDLRKKYGSVLAIDLVNEHGGEGRLCEKYGKAMQIVSGNDVRYLHFDFHHVCGHIHFDRLSILYDQISDFLERNGYLLLTEKGEKMKEQLGIVRTNCIDCLDRTNVTQSMIGRTMLEFQLRRIGIFGAEETISSHPNLDDKYKILWANHGDDISTQYTGTPALKGDFVRFGHRTMQGIVNDGVNALMRYYLNNFCDGTRQDSIDLLQGHFIVSANREHNPSQNQGIEAIASFPLALGLVLTGFFFTLMSLTQVRYDFKHLFFSLVWASISLGIAAFVKANGRVFCNRPRLHKPRS >Vigun03g080900.7.v1.2 pep primary_assembly:ASM411807v1:3:6742536:6747062:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.1.v1.2 pep primary_assembly:ASM411807v1:3:6742144:6747260:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGSDHNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.5.v1.2 pep primary_assembly:ASM411807v1:3:6742536:6747062:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGSDHNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.4.v1.2 pep primary_assembly:ASM411807v1:3:6742163:6747260:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIANVFGHSISARKRFPDSTYVTEKDTGKHKLETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGSDHNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.2.v1.2 pep primary_assembly:ASM411807v1:3:6742146:6747049:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGSDHNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.6.v1.2 pep primary_assembly:ASM411807v1:3:6742490:6747062:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun03g080900.3.v1.2 pep primary_assembly:ASM411807v1:3:6738828:6747049:1 gene:Vigun03g080900.v1.2 transcript:Vigun03g080900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGQLNMILTIEGWKVQFLIGQRFLHRRPYSSSSLKLETWTALVVLFLLVLILKESIMGGKSSKVCERRHVSSYGSAGSSSSWDTYGYPQTPNTYPQQNNYHTPLHNRAPTLPFHDYSQPKRRLDRRYSRIADDYNSLDEVTSALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGSDHNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFHSDERFCNGFEEVLSRYRDIVPGLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSRSVDSRRKETDFALSALMEIPSQYKATIDLGILGARRGHSPDRVPLPPPHYERTSSSSATSFRPNSSQQSAPTYSDFDNVNMASSSGGLYDNKVCPICLTNGKDMAFGCGHQTCCECGENLEFCPICRSTITTRIKLY >Vigun09g165600.1.v1.2 pep primary_assembly:ASM411807v1:9:33344042:33348667:-1 gene:Vigun09g165600.v1.2 transcript:Vigun09g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDKLFIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDAIGAKELAKDFVVAGTASESLYGACESMFKPDMEPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >Vigun03g323700.3.v1.2 pep primary_assembly:ASM411807v1:3:51942550:51948052:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGTNQIALQSSWLFGNGMFALVLSFGLLFTALRSRKARSWRYGAGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun03g323700.1.v1.2 pep primary_assembly:ASM411807v1:3:51942393:51948451:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGTNQIALQSSWLFGNGMFALVLSFGLLFTALRSRKARSWRYGAGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun03g323700.6.v1.2 pep primary_assembly:ASM411807v1:3:51942550:51948007:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun03g323700.7.v1.2 pep primary_assembly:ASM411807v1:3:51942550:51948007:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun03g323700.5.v1.2 pep primary_assembly:ASM411807v1:3:51942550:51948007:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGTNQIALQSSWLFGNGMFALVLSFGLLFTALRSRKARSWRYGAGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun03g323700.4.v1.2 pep primary_assembly:ASM411807v1:3:51942503:51948007:-1 gene:Vigun03g323700.v1.2 transcript:Vigun03g323700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLVCYKQDWTSGFQAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFLYDFAKDRKDLGHKLFLPWTGWVCVWTAALLFLLAIVGACSIINRFTRLAGELFGLLIAMLFMQQAIRGLVEEFGVPQSQREGTNQIALQSSWLFGNGMFALVLSFGLLFTALRSRKARSWRYGAGCLRGFVADYGVPLMILVWTAVSYMPTNKVPRGIPRRLFSPNPWSPGAYTNWTVIKEMLNVPLIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNKVVSAARKSIQKNMNLNQLYQSMQEAYDQMQTPLAHQIPPPSGLKEMKESTIQLAQSHGYIDTPVDEVVFDVDKDIDDLLPVEVKEQRLSNLLQALMVALCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKVLEKHHAAFIETVPFKTVAMFTLFQTAYLLLCFGLTWIPIAGVLFPMLIMLLIPVRQYFLPKFFKGAHLQELDAAAYEEAPVVSFNLSFEDSGSQTATVNINSGEILDEIITRSRGEILSQKSRNSTPTSRGHTIPAYANNS >Vigun08g197600.1.v1.2 pep primary_assembly:ASM411807v1:8:36315279:36318336:-1 gene:Vigun08g197600.v1.2 transcript:Vigun08g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVDTDMERPNHKLLLILTLLFSTVTVESEYLRPLPRKTLTFSRYSISKAHSSYPQQVHISLAGEKHIRVTWITDDKRSPSYVEYGTLPGRYDSIAEGEFTSYNYMLYTSGMIHHVVIGPLEDNTVYFYRCGGKGPEFQLKTPPNQFPIVFAVAGDLGQTGWTKSTLDHIDQSQYDVYLLPGDLSYADCMQHLWDSFGELVEPLASTRPWMVTEGNHEEENILLFTDKFVSYNSRWKMPFEESGSTSNLYYSFEVAGVHVIMLGSYEDYDVSSEQYRWLKEDLSKVDRVKTPWLLVSFHVPWYNSNKAHQGAGDDMMAAIEPLLYDASVDLVLAGHVHAYERSKRVYNGRLDPCGAVHITIGDGGNREGLARKYINPQPKWSEFREASFGHGELKFVNSTHALWSWHRNDDDNSVKVDDIWINSLVSSGCVDQKTHELRSTLMIP >Vigun03g444200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64660067:64660694:1 gene:Vigun03g444200.v1.2 transcript:Vigun03g444200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLKTLFLVAMLIMALAISVTAKEAKKVKNRFLSERVVVTCDKYPNVCDIKGSVGSDCCMKKCVNLSRDGSNCGKCGKKCGYGKICCEGKCVNPKSNKKHCGKCGNKCNAESSCVFGMCSYA >Vigun05g130100.1.v1.2 pep primary_assembly:ASM411807v1:5:15056704:15078557:-1 gene:Vigun05g130100.v1.2 transcript:Vigun05g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGSVFLVNAEVDSMGGVVDGGVGIGLKTSPRRVAIEKAQAELRLEYDVREERRRELEFLEKGGNPLDFKSGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGVPSLSEPNTADNLLLFDGENELPEGEKRSLHSTKRTNIAPSEQSSRIGGSQNAKETEDSAIFRPYARRNRSKPNHGPRGASRDAKGMLSDTNKQKDNNVLSVSKPKPTSLNGEVLSKDPTSNKSVDNELDGVQACQTASGSASVPEDKLDSAVNKKFKEDQRIMPSQDDIIQNPVVLPSGEAKAVGERDLGASVDLEPQPCASTKQPGIESCSGQPNGFGNIKVDRKGVANGDQNCSAALGMKNFDSESSCAQTSLARDVNNNNMCSNSKNIGANGNTMEQTSEFEKKLNLTGYEVVKEGINTNTGESGATLNNEHATAYVNHSGSGNIVKSEENIHTNGSCMQNKVKDSSNIKGLPHNESCISNADKEERGVPMDQANCIREDNCERLKVPMDVSISTPQIAPVEKVTTTASECQPCSTHNLRIPDKAHEDSILEEAKIIEVKRKRIAELSLRTLPSQIHRKSHWGFVLEEMTWLANDFAQERLWKITAAAQLSQQASFASRLRFEKQSKHLGVKILSHNMAKAVMQFWNSIELQLLNEASRDKRSNSEMDEQNTTKQAAHKVHSYAVRFLKDSRSLGISSQAEAPATPDKVLDLGIVNMSWDDHLTEESLFYTVPPTAMEMYRKSIESHFLQYEKTGSSIQEEVDTSMYDTAAEFGHEEGAYDEDEGETSTYYLPGVYEGSRSSKSLHKKHRNRMKSYTPKPSEIGTDLPYGHYSTGAQPSILLGKRPSSLNVGTIPTKRMRTASRQRVVSPFAVVSGTLQAQAKTDASSGDTNSFPDDQSTLHVGPQIQKSVEVESVGEFEKQLPYDCGETSVKTKKKKPKNLGSAYDQGWQLDSVLLSEQRDHAKKRLDSHNLESNGVSGLYGQHSVKKMKTSKQSLDNFDNVAPITNSIPSPAASQMSNMSNPSKFIRIISGGRDRGRKAKALKVSAGQSGSGTPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKILMDRSAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFDKIIKIGQKQRFHRNQNDNQPLVPVHNSHVFALSQICPNNLNGSILTPLDLCDTNQTSPDGLSLGYQGSHTGGLPMSNHSSVPPVHPSAGLNSSIPSSSGVNLSNNLSSSGPLGASARDSRYGVSRTPPLSVDEQQRIQQYNQMLSSRNMQQSTISVPGSLSGSDRGGGRILPGSNGMGMMSGINRSITMPRPGFQAIPSSSMLSSGGMLSSSMVGMPSPVNIHSGVGAGQSNSMLRPRETVHMMRPGHNQEHQRQTMVPELPTMQVTQGNSQGIPAFSGMSSAFNNQTTPPSVQSYAGHAQQPHQLSQQQSHLSNPRPLQGSNHTTNPQQAYAIRLAKERHLQQHQRYLQHQQQQQQLAASSALIQHGQAQSQLPIPSPVQNNSQAQPQNSSQQVSLSPVTPTSPLTPISSQQQQQKHHLPHGFSRNPGASALTNQAAKQRQRQPQQRQYPQPGRQHPNQPQHAQAQQQAKLLKGLGRGNMVVHQNNSVDSSHLNGLSVPPGSQTVEKGDQIMSMMQGQNLYPGSGNPNQPPKPVVPAHSSNHSQLQQKLHSGPTNTSLKQLQPVVSVSDNSIPGNVLSVTAGHMASSPQTAVASNHQQLPLQSQPQCKQSNQTQSNVQRILQQNCQVHSELSNLSQSDSPKVDQHPANSASQVGRNTAMSPGCMDAASVTVVPPSASSQWKTSESSFDSSVANPVTQASSLGSTTHVGNEQPTINQGMGPQQLSTSLPSHTHNSGGQWQQQPLTLQQQSLPQPIPTQQSYQSPEHQKQQEQEQHSPKDVALQHQPQQQVKHKQQGQSSLLIRPPNSKVE >Vigun01g250300.1.v1.2 pep primary_assembly:ASM411807v1:1:41691290:41697460:1 gene:Vigun01g250300.v1.2 transcript:Vigun01g250300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMASPDPEGVDGVRMTWNVWPRTKVESSKCVIPLAATVALIRPHPDIPRLQYAPLRCKTCSSALNPFARVDFTAKIWICPFCYQRNHFPPHYHAISETNFPGELYPHYTTVEYILPLSNSLNPSPVFLFLLDTCLIEEEIQFLKSALRRAIGLLPDNALVGFVSFGTQVQVHELGFSDMSKVYVFRGSKEIPADQVLDQLGLSTAGRRPHKAPVGIAGPGAGGLPGSGITRFLLPASECEYTLNALLDELQTDQWPVPPGRRPARCTGVALSVAAGLLSACTPGTGARIIALVGGPCTEGPGAIVSKDLSDPVRSHKDLDKDAAPYFKKAVKFYEGLAKQLVSQGHVLDIFASALDQVGVAEIKVAVERTGGLVVLAESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKEIKIQGVIGPCTSLEKKGPSVADTVIGEGNTTAWKMCGLDKSTCLTVMFDLSSSERTNTPGAVNPQLYLQFLTSYQDPSGQSVLRVTTVTRRWVDSTVSSEELVQGFDQETAAVVMARFASLKMESEETFDATRWLDRFLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLIAYSFNSLPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLRAPHDDSQMIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMSAGSDVIFTDDVSLQVFFEHLQRLAVQS >Vigun07g028700.1.v1.2 pep primary_assembly:ASM411807v1:7:2598112:2602292:-1 gene:Vigun07g028700.v1.2 transcript:Vigun07g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTHHHHHQDYQLHLHHNQPSSGLLRFRSAPSSLFSNLTPFVGYGADTAASSTFHELEESTNQKCGSKDFSSMNSHKGYGVGLPPHYPRHGSSSATSSSSMEGSYGLVGPMGMDHEAPNKGFGSSLLRQSSSPAGLFSNNNVSFQNGFVTMKGVGNYGGVNDNNGELSPCISRLKNQINFSPRNSSSLGILSQISEIGSEDIETTSPDDNTSHGGNDTQHFGPGFPYGSWNETPQLSENLSGLKRGRSENEKIFSDVQNGEPGNQVNILSHHLSLPKTSAEMITMEKLLQFPDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQFKTLADKRANCKCSSMQKADS >Vigun01g240000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41039867:41040661:1 gene:Vigun01g240000.v1.2 transcript:Vigun01g240000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLNFFMTSFHSRKLLVPFHGSIDVAAMNRRIRSSYSPIASPLPSVVPRSFTWFPPPLLDGEHDHLNLASIIIIGLGSIACVIMFLITLSKILKFYYLNGYNVSRRNPPILFDIRGDSPFSDDEEQEHVIRQHSLWFSPTQGLQQSTIDSITVYRYRKNEVLVKESECLVCLGEFQQEENLRLLPKCSHAFHVSCIDTWLRSHKTCPLCRIPIVRDGGSDSDSSVSDMIEDVEECHSGVGGEDSGEEGIEILSEFGDSSVPI >Vigun05g098500.1.v1.2 pep primary_assembly:ASM411807v1:5:9646516:9647692:1 gene:Vigun05g098500.v1.2 transcript:Vigun05g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQANREELDERAKQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKQQLGSEGYHEMGTKGGQTRKEQMGTEGYKEMGRKGGLSTMDKSGAERAEEEGIEIDESKFKKN >Vigun07g240700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36193051:36193970:-1 gene:Vigun07g240700.v1.2 transcript:Vigun07g240700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNLNNTSFEPAGFNYGHHPHQNGIACKLCNHVSPDFQAFIAHTESHFAQQNSTVRRMYSPNHVNSQREIIPNPLRPNFHRPTMMQETRNFNNTVFQTSPLQPVLMPRPRTNLFSHTRQPVIAASQSFHGPPLGVSFDRNSVNKMALFPSPLIHQREMKTSPIDGTKPYINLLDKPINNNGFFKCAIVSANPLDLSLRL >Vigun08g123000.2.v1.2 pep primary_assembly:ASM411807v1:8:29262572:29280998:1 gene:Vigun08g123000.v1.2 transcript:Vigun08g123000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKGSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQALSSDSLFYEDKSKDLAVKKKIEKHRERVLHCDSLLQKKCSKNRKTVSDVKVVNQDDNKDESTMFDLWNDKGEDNKQVKKVSKPTLIPEVEVDPPGCSFNPSFESHQDTLASAVAEEMQKVYKKELGPEPVPLTVPGEAIAEEDMYFLDVDDGNDYDDSNLENEDENEDAASDKNSFDLHLQHNLSKEEIDCKSNVKFSWETPAVGMKNCKWIGTGENIMEDLDISSCHGLKAKLSEHWMDVYKTSGGKDISSPKQSMFFSLCSSYRDILYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKIGSEEHTSDIENVDDENAKPHKSSKASDFQMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASALCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTIIEHLNRLPSKQPGTDVMRIRPWYLDDHARFYRQTIILGFYSNPDINASFNHHCVNYEGKVKLMCEFKGVLHKVLPEIRQIYERFDVDSIVQADDARFDYFITKVFPRIKDLDQGGTMLFTNSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMLYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLYGSNNMTCTVLFSCLDKLRLERIVGTTPTKRMVAAEKGVFVFCH >Vigun08g123000.3.v1.2 pep primary_assembly:ASM411807v1:8:29262567:29280998:1 gene:Vigun08g123000.v1.2 transcript:Vigun08g123000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKGSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQALSSDSLFYEDKSKDLAVKKKIEKHRERVLHCDSLLQKKCSKNRKTVSDVKVVNQDDNKDESTMFDLWNDKGEDNKQVKKVSKPTLIPEVEVDPPGCSFNPSFESHQDTLASAVAEEMQKVYKKELGPEPVPLTVPGEAIAEEDMYFLDVDDGNDYDDSNLENEDENEDAASDKNSFDLHLQHNLSKEEIDCKSNVKFSWETPAVGMKNCKWIGTGENIMEDLDISSCHGLKAKLSEHWMDVYKTSGGKDISSPKQSMFFSLCSSYRDILYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVNVEHKDRFTTKIGSEEHTSDIENVDDENAKPHKSSKASDFQMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASALCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTIIEHLNRLPSKQPGTDVMRIRPWYLDDHARFYRQTIILGFYSNPDINASFNHHCVNYEGKVKLMCEFKGVLHKVLPEIRQIYERFDVDSIVQADDARFDYFITKVFPRIKDLDQGGTMLFTNSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMLYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLYGSNNMTCTVLFSCLDKLRLERIVGTTPTKRMVAAEKGVFVFCH >Vigun08g123000.1.v1.2 pep primary_assembly:ASM411807v1:8:29262548:29281292:1 gene:Vigun08g123000.v1.2 transcript:Vigun08g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKGSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQALSSDSLFYEDKSKDLAVKKKIEKHRERVLHCDSLLQKKCSKNRKTVSDVKVVNQDDNKDESTMFDLWNDKGEDNKQVKKVSKPTLIPEVEVDPPGCSFNPSFESHQDTLASAVAEEMQKVYKKELGPEPVPLTVPGEAIAEEDMYFLDVDDGNDYDDSNLENEDENEDAASDKNSFDLHLQHNLSKEEIDCKSNVKFSWETPAVGMKNCKWIGTGENIMEDLDISSCHGLKAKLSEHWMDVYKTSGGKDISSPKQSMFFSLCSSYRDILYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKIGSEEHTSDIENVDDENAKPHKSSKASDFQMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASALCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTIIEHLNRLPSKQPGTDVMRIRPWYLDDHARFYRQTIILGFYSNPDINASFNHHCVNYEGKVKLMCEFKGVLHKVLPEIRQIYERFDVDSIVQADDARFDYFITKVFPRIKDLDQGGTMLFTNSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMLYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLYGSNNMTCTVLFSCLDKLRLERIVGTTPTKRMVAAEKGVFVFCH >Vigun05g154400.1.v1.2 pep primary_assembly:ASM411807v1:5:24561716:24579699:-1 gene:Vigun05g154400.v1.2 transcript:Vigun05g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGILGNREERGRKWLRRRERWLVALGVVLHAVYMLSIFDIYFKTPIVHGVDPVTPRFAAPAKRLVLLVADGLRADKFFELDAEGNNRAPFLRGIIERQGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISFGSPDIVPIFCSALEHSTWDTYPHEFEDFATDASFLDMWSLDKFQSLLNSSREDPKLKELLQQDNLVVFLHLLGCDSNGHAHKPYSSIYLNNVKVVDHVAESVYNLVQDYFKDNRTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGVKYPKPISSSNHSDCGFKFVDDHVHDAPTPVEWGLHDIERVDVNQADIAPLMSTLLGLPCPVNSVGSLPLDYINMTKADEVEAVLSNTKEILNQFLRKSYMKQSNSLYFKPFKPLSHYSSTLDKIEGLISARDYEAAMDLSQNLRSLALQGLHYFQTYDWLMLMSVITLGYVGWMIYLLLHVLQSYTSLPGNSFGMEPTVQKNYRGKIYLYGSILTGMLCLLLLLEQSPPLYHAYMITTSFLWVQIISEYKFIKTLWKQVSRRRMNYIIKLLATIAISVFILEFLVNSFTERKLYTWCFLIAGATASVYLFKSIPWRSGIPIYVCIACWFLSLFTLMPAEIPDNNELVVCSGIIIIIIAIIARWLDYHAGVRKYWQSICNCKSKSSNFSSLFYLQALLVGLSSVMVYLSTVHRAEKQELLASHQLINWSVAGFSMVLPLFSENSLLSRLTSMFLGFAPPFLLLSIGYEAIFYAALALVLMAWILFENTLLNLNIVNKSSDSTNDLIHGSDYRSLQLSDARIPLVFMVLFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICVFSAITKLNQVPRMGCYFLVILFSDMMTIHFFFLVRNKGSWMEIGNSISHFGIMSAQVVFVLLLFALTNTYTKDIQCNSAVPSTRKAN >Vigun09g122600.3.v1.2 pep primary_assembly:ASM411807v1:9:27318670:27320725:1 gene:Vigun09g122600.v1.2 transcript:Vigun09g122600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATLSYTTTFPSLPRFPQILRPKRVNFPLIRAAQSGESDTPESKSEASSKAQSSSAAPKPPKKPVYSLKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGPGYAYI >Vigun09g122600.2.v1.2 pep primary_assembly:ASM411807v1:9:27318670:27320725:1 gene:Vigun09g122600.v1.2 transcript:Vigun09g122600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATLSYTTTFPSLPRFPQILRPKRVNFPLIRAAQSGESDTPESKSEASSKAQSSSAAPKPPKKPVYSLKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEIAWVGIPTAPAWLPTYMLIKSEKLDYERL >Vigun09g122600.1.v1.2 pep primary_assembly:ASM411807v1:9:27318670:27320725:1 gene:Vigun09g122600.v1.2 transcript:Vigun09g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATLSYTTTFPSLPRFPQILRPKRVNFPLIRAAQSGESDTPESKSEASSKAQSSSAAPKPPKKPVYSLKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDMRIFETGEYALIAWVGIPTAPAWLPTYMLIKSEKLDYERL >Vigun03g049100.1.v1.2 pep primary_assembly:ASM411807v1:3:3941106:3944296:1 gene:Vigun03g049100.v1.2 transcript:Vigun03g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITKLLDFALPPLSLLLFTILMPPSLIFKLLMHVRKSLRKENVANKVVLITGAASGIGEQIAYEYARRGAKLSLVDIRKENLAAVSDKARSLGSPDVTIIGADVSKPHDCKRFVDETVNYFGRLDHLVNNAGISGRPVTVENMRDVSEYTAVMDVNFWGAVNATIYAIPHLKISKGRVIVIASVCAWFPLPLISIYNASKGAIVNFFETLRMEAGSGIGITIATPGLVKTELTLRAKCEFQDAMRRVRMGSASECGKAIVESACRGDMYVTDPSWPKALFPWKVFHPQFVDWACNLICAPSSNKSAIKTNLVMPQHKAE >Vigun11g059601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12962941:12963889:1 gene:Vigun11g059601.v1.2 transcript:Vigun11g059601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSDLPRTPSTLIQTPRNAFPTFPPPLTITLTLLTLACSHHNFQLQETNFRVTKIINLGYKLKEKGTRTQITNKPVFVVVVAVEIVRQSQSDGGAAAPARDVVDVTVEEKGDAVNGLHSAFVFLGQRRGWRRNEGALFWFPKTQGGGGGGEESVRGMKE >Vigun06g094800.1.v1.2 pep primary_assembly:ASM411807v1:6:22609682:22613952:-1 gene:Vigun06g094800.v1.2 transcript:Vigun06g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRVLLLCGDFMEDYEAMVPFQALQAFGVAVDAVCPGKKAGDVCRTAVHVLSGAQTYTETVGHNFALNATFDEVDAASYDGLLLPGGRAPEYLAHIPGVVELVTKFVSLGKQIASICHGQLILAAAAGVVKGRKCTAFPAVKPVLVAAGAHWVEPETMAATVVDGNLITAATYDGHPELIRHFVKALGGTISGSDRKILFICGDYMEDYEVKVPFQSLQALGCHVDAVCPSKKAGDTCPTAIHDFEGDQTYSEKPGHAFSLTATFDDVDPSSYDALVIPGGRAPEYLALNESVIALVKHFFENKKPVASICHGQQILSAAGVLKGRKCTAYPAVKLNVVLSGATWLEPDPISRCFTDGNLVTGAAWPGHPEFISQLIALLGIQVSF >Vigun11g144400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35361496:35362843:1 gene:Vigun11g144400.v1.2 transcript:Vigun11g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQATLFSLSLFLLLCSTSLAISPAPAAAPKAPAAKTPHTPKAAAPSPKPLVPTLPQSPDSPDSVPDDITRILKKAKMFSTLIRLLKTTEIMNNINSQLITAKSGGITILAPDDSAFSNLKAGFLNSLNEGQKIELVQFHILPEFVSSSNFDSLSNPVQTVAGKDPVRLPLNVNALGNSVNISTGVVNATVLGVVYSDNKLGIYHVDKVLLPLDFFATNKAPALAPSSLAKAPKAAKENSSEDDQGETKQVQSKSGAGSLVGLGGTTLMSLGIVLVAVATTWS >Vigun10g098100.1.v1.2 pep primary_assembly:ASM411807v1:10:28725798:28732402:1 gene:Vigun10g098100.v1.2 transcript:Vigun10g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHVAAVHTATNALQALGRGFDVNFDTRLLYCKGISGSRVVEIDEEHRRELLLYDDVAVPDVSRDIGSSQETMERQSSGVCTFPEMVEYFNGRANISGNFPLGSFNAAFSFTGSKHVDAAATKTLSTEGFYIPLAKVQLKKSHLTLQGNVKKAVPFNWDPPALASFIENFGTHVITSITIGGKDVIYVKQHHTSPLSKLEMKNYIQDIGNQRFSDINSQTSAGQTKSKDKGVDPFSFNSQGVYPQPTTATYPSGKEDVTVIFRRRGGDDLEQNHRKWLSTVKSSPDIIEMTFCPITALLDEIPAKEHLTRAIGLYLEFKPPIEELRYFLEFQIPRVWAPLQDRILGQQRKEPVCPSLQFSIMGQKLYISQEQITVGRRPVTGLRLCLEGSKQNRLSILVQHLVSLPKILHPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDFSGVHIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHAGNSSSKTVNVGTTSNSDNSIVGEKATGNKLVKYVDMSAMTKEPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >Vigun05g243900.1.v1.2 pep primary_assembly:ASM411807v1:5:43693692:43695589:-1 gene:Vigun05g243900.v1.2 transcript:Vigun05g243900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDAIHQDTPSYINDFELYDFVDNPNFDQFINLIRGENEDANCDFSSDLITDCFVNNQLLPCPANPFDQNYNNAVNVYDQSSTFSSFSCFDGEIKGEGEGEREEEEEEHDGEHFSETTTTTTTTKNDDSKPKLKNDRSKTLISERRRRGRMKEKLYALRSLVPNITKMDKASIIGDAVAYVHELQAQARKLKAEVAGLEASLLVSENYQGSINNPKNVQTARNSHPICKKIMQVEIVQVEKRGYYAKIVCNKGEGVAASLFRALESLAGFSVQNSNLATVCNSFQLTFTLNIKGSEPEINLQNLKLWVTGALLNQGFEFVASFSG >Vigun07g117000.2.v1.2 pep primary_assembly:ASM411807v1:7:21648082:21651312:-1 gene:Vigun07g117000.v1.2 transcript:Vigun07g117000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPCNNSARFVNYRPISDVSSDLTIEVGTSTFALHKFPLVSRSGRIRKMLLEAKDSKVSRISLPNVPGGAEAFELAAKFCYGINVEFTLSNVAMLKCVAHFLEMTEEFSEKNLETRAEAYLKETVLPNISNTISVLHRCETLVPTSEEISLVSRLINAIANNACKEQLTTGLQKLDHNFPSKTITNMEPETPSEWWGKSLNVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGIVRDHHAVKGCFPDLELQKKQRVIVEAISGLLPTQSRKSPVPMAFLSSLLKAAIAASASTSCRSDLERRIGLQLDQAILEDILIPTNSHQNTHGTIYDTDSILRIFSNFLNLDEEDEEDNNNNHLRDESEMVYDYDSPGSPKQSSILKVSKLMDNYLAEVALDPNLLPSKFISLAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHSQFFFGGMNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVNMKQELVRSHPANKLFKSLTKKLSKLNALFRINSIKPNGSESRFPFPKRRRHSVS >Vigun07g117000.3.v1.2 pep primary_assembly:ASM411807v1:7:21648181:21651311:-1 gene:Vigun07g117000.v1.2 transcript:Vigun07g117000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAKDSKVSRISLPNVPGGAEAFELAAKFCYGINVEFTLSNVAMLKCVAHFLEMTEEFSEKNLETRAEAYLKETVLPNISNTISVLHRCETLVPTSEEISLVSRLINAIANNACKEQLTTGLQKLDHNFPSKTITNMEPETPSEWWGKSLNVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGIVRDHHAVKGCFPDLELQKKQRVIVEAISGLLPTQSRKSPVPMAFLSSLLKAAIAASASTSCRSDLERRIGLQLDQAILEDILIPTNSHQNTHGTIYDTDSILRIFSNFLNLDEEDEEDNNNNHLRDESEMVYDYDSPGSPKQSSILKVSKLMDNYLAEVALDPNLLPSKFISLAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHSQFFFGGMNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVNMKQELVRSHPANKLFKSLTKKLSKLNALFRINSIKPNGSESRFPFPKRRRHSVS >Vigun07g117000.1.v1.2 pep primary_assembly:ASM411807v1:7:21648181:21652917:-1 gene:Vigun07g117000.v1.2 transcript:Vigun07g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTIGELKPSISGKRTFRPSSSIRHATEWPISDVSSDLTIEVGTSTFALHKFPLVSRSGRIRKMLLEAKDSKVSRISLPNVPGGAEAFELAAKFCYGINVEFTLSNVAMLKCVAHFLEMTEEFSEKNLETRAEAYLKETVLPNISNTISVLHRCETLVPTSEEISLVSRLINAIANNACKEQLTTGLQKLDHNFPSKTITNMEPETPSEWWGKSLNVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGIVRDHHAVKGCFPDLELQKKQRVIVEAISGLLPTQSRKSPVPMAFLSSLLKAAIAASASTSCRSDLERRIGLQLDQAILEDILIPTNSHQNTHGTIYDTDSILRIFSNFLNLDEEDEEDNNNNHLRDESEMVYDYDSPGSPKQSSILKVSKLMDNYLAEVALDPNLLPSKFISLAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHSQFFFGGMNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVNMKQELVRSHPANKLFKSLTKKLSKLNALFRINSIKPNGSESRFPFPKRRRHSVS >Vigun09g206600.6.v1.2 pep primary_assembly:ASM411807v1:9:38113794:38118956:1 gene:Vigun09g206600.v1.2 transcript:Vigun09g206600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFKGIIEDFKGRYQCYKQDWICDICSGPSTWAPTFYIFFASALPVIVFGQQLHRDTDGTMGIVETMASTAICGIIHSIFGGQPLLILGVAEPTVIMYTYLFSICQKTPGLGRELFMAVLSWVCVWTGIFLVVLAVFNACSIISRFTRIAGELFGMLITVLFFQEAIKGLIEEFNTVKDEDPSAEEFQFQWLYTNGLLAIIFGFGLLFTALVSRRARSWRYGTGWLRGLIADYGIPLMVVLWTALSYAVPKKVPDGVPRRLVSPLPWEPKSLYHWTVVKDMWKVPPSYVFGSTVPALMIAGLYFFDHSVASQMAQQKKFNLQKPTAYHYDVFLLGIMTLICGFLGLPPSNGVLPQSPMHTKSLAVLRKKVKESETSKQAVTKSRNNDDPEASLEEKHKDEYLPVEVHEQRVTNLLQSLLVGLSILAMPVIREIPTSVLWGYFAYMAIDSLPGNQFWERILLLFITPSRRYKIFEGSHASFVEDVPFKTIATFTLLQLGYFLICYGVTWIPYVGVLFPVPFFLLIFIRERVLPKIFKPNHLEELDASGYEEIVGAPPALKMDMEQDTDTVGSEEDFCDAEILDEMTTHRGELKLITTSRNHSFSDDRHIHVCPRDAV >Vigun06g131600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25782172:25783657:-1 gene:Vigun06g131600.v1.2 transcript:Vigun06g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIPSCVSSFAIQMILVASISVPFTLSQPSFHGDGDLVDQICRKTPFYDLCSSILHSNPLSPKPDLKAVALLMVNNIVVNATDTLSYIEGLLKQTPDRELEQALAFCAESYIPLIKYILPQAADAISQGRFGFASYCISDALKEISNCDKKFSGTTQAPLGDRNDIVQKLVDVASAIIKLLLKA >Vigun05g257600.2.v1.2 pep primary_assembly:ASM411807v1:5:45162264:45165665:-1 gene:Vigun05g257600.v1.2 transcript:Vigun05g257600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDEGWPLGLLQPVNARVELVRNSENAETGTGSISFNTLLTNSPSSSTDSSSDLDTQSTGSFFRDQSTTLGSLMGVSSIVEVSRRSLRETKTELLKSKKKLKFWSWCLCLGWRSTNCEGENAPSLAQFLAVERRVANENRRNQSLHFELALAETNIAERNSLFINGTIAPPHSISPTHSIGTDRKNTEIKHANNKASGSLPQLFSCMCGICI >Vigun05g257600.1.v1.2 pep primary_assembly:ASM411807v1:5:45162223:45165841:-1 gene:Vigun05g257600.v1.2 transcript:Vigun05g257600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDEGWPLGLLQPVNARVELVRNSENAETGTGSISFNTLLTNSPSSSTDSSSDLDTQSTGSFFRDQSTTLGSLMGVSSIVEVSRRSLRETKTELLKSKKKLKFWSWCLCLGWRSTNCEGENAPSLAQFLAVERRVANENRRNQSLHFELALAETNIAERNSLFINGTIAPPHSISPTHSIGTDRKNTEIKHANNKASGSLPQLFSCMCGICI >Vigun10g133100.2.v1.2 pep primary_assembly:ASM411807v1:10:34267284:34271521:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGDYMKNLN >Vigun10g133100.1.v1.2 pep primary_assembly:ASM411807v1:10:34267283:34271585:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPPSPPRASAMEVDTSVAPHTRSPFAATESKSNSTQFAETAAAPETNFASEDKSMAIDVDIA >Vigun10g133100.3.v1.2 pep primary_assembly:ASM411807v1:10:34267284:34271521:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGDYMKNLN >Vigun10g133100.5.v1.2 pep primary_assembly:ASM411807v1:10:34267284:34271521:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGDYMKNLNW >Vigun10g133100.4.v1.2 pep primary_assembly:ASM411807v1:10:34267284:34271521:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPPSPPRASAMEVDTSVAPHTRSPFAATESKSNSTQFAETAAAPETNFASEDKSMAIDVDIA >Vigun10g133100.6.v1.2 pep primary_assembly:ASM411807v1:10:34267284:34271521:1 gene:Vigun10g133100.v1.2 transcript:Vigun10g133100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDSNEDTIAAVKDIRQQLEARIECQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFSPTPALPLPDPKLNPKKSIELTHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPSSQVDSEKVSPLAVVRSLVAVCLLGRVPFSPIDSSTVSRKLENDQTVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWISHGDPHMSGLQPMFPGAPRGVPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMSQKPRTLEDDMKDLEALLNKKSFKEMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGGPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGDYMKNLNW >Vigun08g115233.1.v1.2 pep primary_assembly:ASM411807v1:8:28194391:28196078:1 gene:Vigun08g115233.v1.2 transcript:Vigun08g115233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEVGLEMKSSSFYPDFDFFQTTPWLQIIFIFISLCIFASFVIFFCFILFWNFFQMNELSRSWVTSTFLFFYPDIFFQLLKETIQLHLHLLTFVPTLC >Vigun03g232450.1.v1.2 pep primary_assembly:ASM411807v1:3:38733141:38733805:-1 gene:Vigun03g232450.v1.2 transcript:Vigun03g232450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMESTSYVERPSFRPAQEKPKCSCVVVVRRLDAFPSLPGIVPHRKKDEELSLNWRTEVTFGTILPKANTCPVQRERAAQKNVSCYTESPAGHRGPSGKAMIRRGTDHSFFHWGQVHERQLQMSHIRRTSCRGCSTPSRSHELNFVAFPRAKRMGAVLWVSFGAEGEERPQK >Vigun03g101800.1.v1.2 pep primary_assembly:ASM411807v1:3:8754017:8757639:1 gene:Vigun03g101800.v1.2 transcript:Vigun03g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPVRSVIPSASTSHSHHFQRKTIIQLSSSSTTKVLTQNRYLRFSVRAKLSSADFQDFRSYARPSHLLPASEVKVYTNTSVENILSSLKEGGSKSLYRVKLCTSSLYGSSISDLNAGILLCLIDENGNSILQRIPVSLMMDHSTESGDITDIDVLHFQRGSADEFIFEGPKIARLEALWVSVESGQWRLGSVSLMVISCEGQPSGLVDGLQRCTGFQYDFQIDDVLLGEGTDLSMLELRPTLVTVLEGNDVTNIFNKGLSDSTLLSNPKISKEDSMREYADLKFSLLFYDAMLTFFGTSVASFSTGENAGIDFLIGGVGGFLYLLLLQRSVDELPASELTTDDKGRNDALFRGVKGPIASVALTLGLAVIASRYTGDLQFMLTPKDLIFGMMGFLVCKISVLLAAFKPIALGSKLPTDM >Vigun11g085233.1.v1.2 pep primary_assembly:ASM411807v1:11:25513561:25514376:-1 gene:Vigun11g085233.v1.2 transcript:Vigun11g085233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEAVGFVDHAFVILYDDDLERQWTLTDVEGNKHVVTYNKNLQKPMLIGGWTELRHLYQLHDFHTIYFGYVGHSCFHITVFPTDFGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHSKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNAKVLTYCNF >Vigun09g125900.1.v1.2 pep primary_assembly:ASM411807v1:9:27924380:27927254:1 gene:Vigun09g125900.v1.2 transcript:Vigun09g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYTSPETPLENPEGGAAAVSEGMKAEDGEGGDRNSAASRWPKEETMALLKIRSDMDVAFRDTNPKAPLWDQVSKKLAEAGYNRSAKKCKEKFENIYKYHRRTKEGRCGKSNGSKTYRFFEQLEALEGHHSLLTPSVSAPETTTTTTTTHVPDNNTNVDVILDAVPCSVSAYVGEHSSSTTSCSGKGFRKRKLTQFLEGLMREVIERQETLQRNFMEVLERCEKDRMAREEAWKKEELALIKKERELLAQERSIAAAKDEVVLAFLRKFTQAEGMVQLLEKIQGENNSHRDIQQSGNINVTANGGGDSDVSDMDKRECGNNMSVRNLVHMSSSRWPKDEVEALIRLRSQLDVQSQGNNNSSSNGVSKGPLWEDISSAMKGLGYDRSAKRCKEKWENINKYFKRMKEKNKRKPQDSKTCPYYHHLEVLYSKKPKRVDVNDFGKELKPEELLMHIMESQSQEERQPEQQQGQSESSSEEGEKDQNGFQNVMVENTPSIAIMMS >Vigun05g128000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14643391:14646121:1 gene:Vigun05g128000.v1.2 transcript:Vigun05g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQRSSSSSSTLRMGSSLSVENPQHILISPNAMFSAGFLAIGENAYSFAIWFTEPHFHSPNTVTWMANRDQPVNGKRSKLSLTNDGNVVLVDAAFNTAWSSNTDSLDPVELHLNDDGNLVLRQLQGTILWQSFDFPTDTLVPGQPLTRYTYLLSSRSHTNHSSGFYKLYFGDENILRLVYDGPDVSSNYWPTPWRVSWDVGRTLFNSSRIAVLNSFGAFHSSDNFTFVTSDYGTVLQRRLKLDSDGNFRVYSRNSVLEKWYVSWQAIRNGCMIHGVCGANSTCGFDHESGKKCECIPGYRWNNLSDWSHGCKPMFELTCDKNESTFLGVRGVEFYGYDFYYVEVSNFTACEKLCLQNCSCVGFQHSYTDKRGIAFKCYTKTKLLNGRRLPNFPGTTYLRVSKSYSFSVKESSNNHTALDHRVCSVQLQRDYIQPQGSRIVSVFLWFASALGAFQMVCGFVIWCFLIRTNRKSNADQKGYHLTATGFRKFTYSELKKATKGFSKEIGRGGGGVVYEAILSDGRHAAIKRLNEAKQGEGEFLAEVSIIGRINHMNLIEMWGYCAEGKHRLLVYEYMENGSLAENLSSNTLDWSKRYNIAIGTARVLAYLHEECLEWILHCDIKPQNILLDSNYEPKLADFGLSKLLNRNNPNNPSISMIRGTRGYMAPEWVLNLPITSKVDVYSYGIVVLELVTGKSTSVQEINGEETYDGRLVAWVREKRSNMDSHWVEQIVEPAIGPDYDRSKMEMLTTVALNCVMEDRDSRPTMSQVVEMLQAHGRESD >Vigun09g014600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1093345:1093982:-1 gene:Vigun09g014600.v1.2 transcript:Vigun09g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLHDAKKSQARRALRSLAIGIAVPFTLTLTIIILFGSGRRYNSISKPFWFAPLWFIHLASLGSSFFMGLAAWLVWADGGFQGETDAMSLYIAHLSLSIVWHPLVLIMGAYWLALLSCIVNFGTLFMCYLRFRKVNPFAKDLAKPCLALALYLSLVSFKLMFI >Vigun10g155600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37546300:37550081:1 gene:Vigun10g155600.v1.2 transcript:Vigun10g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHFTHSIPLVFLMSSPSPSSSSSSLYMVSNFMVSIILPLFLFSFFVVHVSSCNQIDKLSLLAFSRNISTTPPFPSLDWSDSLDCCAWEGITCDGDLRVTHLLLPSRGLTGFISPSLTNLSSLSHLNLSHNRLSGTLHHRVFSLLTHLLVLDFSYNHLSGELPPFVGDNSGAVIQELDLSSNFFNGTLPNSLLENLAAAAAGGSLVSLNVSNNSFVGHIPTSLFCINDHNSSSLRFLDYSSNDFDGAIQPGLGACSKLEKFRAGFNFLSGPIPSDLFDAVSLTEISLPLNRLNGTIGDGIVGLTNLTVLELYSNHFTGFIPREIGKLSKLERLLLHVNNLTGTMPPSLMNCVNLVVLNLRVNLLEGNLSAFNFSGFLSLTTLDLGNNYFIGGLPPTLYACKSLSAVRFASNKLEGEISPRILELESLSFLSISTNKLRNVTGALTILRGLKNLSTLMLSKNFFNEMIPQDVNIIEPGGFQKLQVLGFGGCNFTGQIPGWLVKLKKLEALDLSFNQISGPIPPWLGTLPQLFYMDLSVNLLTGVFPLELTELPALASQQANDKVERTYLELPVFANANNVSLLQYNQLSALPPAIYLGNNHLNGTIPIEIGKLKALHQLDVKNNSFSGDIPVQFSNLTNLEKLDLSGNQLSGEIPDSLRRLHFLSFFSVAFNNLQGQIPTGGQFDTFSYSSFEGNSQLCGPVIQRSCSQQNTTTNTTAASRSTNKRVMIALVITVSFGFGSLITVLTLWILSKRRVNPGGELDKIEMESISAYSNNGIHPEVDKEASLVVLFSNKNNETKDLTIFDILKATENFSQANIIGCGGFGLVYKATLPNGTTLAIKKLSGDLGLMEREFKAEVEALSTAQHENLVTLKGYCVHEGFRLLMYTYMENGSLDYWLHERPDGASELDWPTRLKIAQGASCGLAYLHQICEPHIVHRDIKSSNILLNENFEAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGRRPVDVCKPKMTRELVGWVQQMRIEAKQDQVFDPLLRGKGFEGEMLKVLDVACMCVSHNPFKRPSIREVVEWLKNVASENQPTQK >Vigun04g052800.1.v1.2 pep primary_assembly:ASM411807v1:4:4923271:4937211:1 gene:Vigun04g052800.v1.2 transcript:Vigun04g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHAESAPHSATRHRSARPRLFIKEMIMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLEDGTYEAVSGSDFVITRVAFRDNSSKYYINDRTSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESHKLLESLNEKRSGVVQMVKLAEKERDGLEDVKNEAESYMLKELSLLKWHEKATKLALDDTSGKMDELKGNVVTLEENLKEERDKIQESKQTLKDLENKHNNYTKRQEELDNDMRKCKEEFKEFERQDVKFREDFKHVGQKIKKLEDKVEKDSSKIEALIKEGEESTDLIPKLEDNIPKLQKLLLDEEKILDEITEISKVETEKYRSELAKVRSELEPWEKDLIEHKGKLEVACTESKLLNEKHEGASQAFRDAQKQMENISETIKSKTASLSQIKRDIEKSKRDASEAHKIEEECIKEQDELIPLEQSARQKVAELKSVLDSEKSQGSVLKAILKAKETKQIEGIYGRMGDLGAIDAKYDVAISTACAGLDYIVVETTNAAQACVELLRRENLGVATFMILEKQVDLLPTMKRNVSTPDGVPRLFDLVKVQDERMKLAFYAALGNTVVAKDLDQATRIAYGGNNEFRRVVTLDGALFEKSGTMSGGGGKPRGGKMGTSIRATSVSVESVANAEKELFELTAKLNDIRQRIVAAVQRYQASEKAVAALDMEFAKSQKEVDSLSSQFSYIEKQLDSLEAASMPQEDELKRLEELKKIVSAEEKEIKRLTDGSKQLKEKALELQRNLENVGGEKLKSQKSKVQKIQTDIDKNSSEINRLKVLIETGQKMVKKLTKGIEDSKKEKDRLTEQKQKLTGVFAEIEQKAFAVQENYKKTQEIIDKHMIVLEQAKSEYNQMKKRMDELRASEVDADFKLKDMKKAYKELEMTGKGYKKRLDELQTDIHKHLEQIHADLVDQEKLEATLTDEHLNGDCDLKKACEMVALFEVQLKEMNPNLDSISEYRKKVSLYNGRVEELNSVTQERDDIKKQYDEWRKKRLDEFMEGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCEKAA >Vigun03g000400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45345:46878:-1 gene:Vigun03g000400.v1.2 transcript:Vigun03g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERVKGGRLAFKGGILASRSKSIEKKGKNKKKKRTTASAAEDEDSGHNPNPNPDELVETPTDKKAEGSEYTIDAAKRMKYDQLFPVEAKKFGYQPKTNFNSVEDALDDRVKKKADRYCK >VigunL053300.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000350.1:31668:42470:-1 gene:VigunL053300.v1.2 transcript:VigunL053300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGGFLNLPFTAYHTAFHAAADLKNVELKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >VigunL053300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000350.1:31757:42456:-1 gene:VigunL053300.v1.2 transcript:VigunL053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGGFLNLPFTAYHTAFHAAADLKNVEQLKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun08g052500.1.v1.2 pep primary_assembly:ASM411807v1:8:6248971:6256246:-1 gene:Vigun08g052500.v1.2 transcript:Vigun08g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLDMMLWRETTLLVVLLLLLLHTSHARCLPSSCGKISNITYPFRLKGDPEKCGEERYEVGCENNVTVLYLHSAKYHVQAINYNKYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYTHRMGPYQAGLDSYENWESLSFKHIVFVNCKHSVRENVKYVKTEECVKWDSKGYAYAFVGDLKAEDIEVGCDIKLVAPTSLRTFNNHSYTSMHSSLAYGFEISWVKLACQNCLLSSTYCYFDSSIQKLQYCNFGILEELIQKSAGTPFVLMAWSCRGLLGIPPLIVVVLICKWRKRYASMYQNIENYLKKNNMAPIRYSYKQIKKMAGGFKEKLGEGGFGSVFKAKLSNGPCVAIKMLDKSKGNGQDFISEIATIGRIHHQNVVKLIGFCVHGSKRYIVYEFMSNGSLDKFIFSKDERVHLSYEKIYNISIEVGRGIAYLHHGCEMKILHFDIKPHNILLDENFLPKISDFGLAKLYSIDNSIVTMTAARGTIGYMAPELFYNNIGGISNKVDVYSFGMLLMEMASKRKNLNPLVEHSSQLYFPLWIYNHIREEEIIEMEDMTEDERKIIKKMIIVALWCIQLKPNDRPSMDRVVEMLEGDVEDLEMPPKPILYPDETVIEDQTINSK >Vigun06g154600.1.v1.2 pep primary_assembly:ASM411807v1:6:27835737:27840106:-1 gene:Vigun06g154600.v1.2 transcript:Vigun06g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEHTESHVSDDHKVPLLPIQEAAEGTQRGVSFTFKTILTLQNFYVILGPLLSLFICFFVKLDGPPTSQKMLGVIAWVFTWWVTQAVPLPVTSMCPLFLFPIFGIASADTVAHSYMDDVITLVLGSFILALAVERYNVHRRLALNVTLLFCGDPVNPALLLLGLCATVFFVSMWLHNVATAVMMMPVATGIVQRLPAVHEQSGAVNKFSRAVILTVVYATPIGGISTLTGTGVNLIIIGMWKSLFPEAKPISFNTWFFYGFPVAILTLICFWCILCLLYVPKGSGRALSSYLDRTHLKRDLEALGPMAFAEKMVLSVFGLLIALWMTRRITDDIPGWGSLFHGLVGDGSVSVMVAVLLFIIPNMKQEGEKLMSWNECKRLPWNLILLLGAGFAIADGVQSSGLADVLSQALDFLEDAPYLAIVPAVSLICSIITEFITSNDATATLLVPLLYHIARTMHVHPLLLMVPGGIATEFAFWLPTSTPSNVVGFATGHIEIKDMLKVGVPLKVAGIVVLSILMPSLGTFVFGAKT >Vigun10g190600.1.v1.2 pep primary_assembly:ASM411807v1:10:40520782:40522463:1 gene:Vigun10g190600.v1.2 transcript:Vigun10g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDEKFCITARFPCHFFTNHVTLFNYILQEQPTPFLSLECSFILNILTPHRTMFSHSSSFFLCLLFFSVTLSHVLGDKVFTGTYGVNYGRIADNLPSPQSVVTLLKAAKIRNIRIYDADHQVLSAFKGSGIGIIIGLPNEFLRDISQGEDRAMNWIKENVQPFLPGTRIRGIAVGNEILGGGDMELWQVLLPAAKNVYTVLARLNLAHQIQVSSPHSEAVFANSYPPSACTFRDDVLPFMKPLLQFFSQIGTPFYINAYPFLAYKNDPQHIDINYALFKKNPGIYDAKTKLHYDNMFMAQIDAAYAALEKVGFEKMEVIVSETGWASRGDDNEAGATVKNAKTYNKNLKKLLMKKKGTPYRPKMVVRAYIFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFTGLTSSATSSFVSFKGVGSLYVMVSASCAAFLLLVAL >Vigun06g045100.1.v1.2 pep primary_assembly:ASM411807v1:6:16968229:16971044:-1 gene:Vigun06g045100.v1.2 transcript:Vigun06g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIGKSRRKTTQVCHKMVHHVNLNCNLFHRVSFLGRFIWDRIFLCSLGTPSPAPYLRLPLRSNPSTATVHGGSSLHGHPITTPSDSDSDLVNLKISLLGDCHIGKTSFVIKYVGDEQEKRSLQMKGLNLMDKTLSVQGARISFSIWDVAGDTGSVHQIPMACKDSVAILIMFDLTNRCTLNSVVGWYSKARKWNQTAIPILIGTKFDDFVRLPPDVQWTIVTQARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWKVERNLTLGEPTIDF >Vigun02g059800.2.v1.2 pep primary_assembly:ASM411807v1:2:20517511:20520245:1 gene:Vigun02g059800.v1.2 transcript:Vigun02g059800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMALMNAPMLHFKNSALFGIHPNSFVCFQARSRSITKKPLLVEARGRANTRTESAKIRNRRMLKKYNGTATHPRLSVFCSDRQLYAMLVDDKNRSCLFYGSTLQKSIRQDPSCTTLEAAQRVGEALVKACDDLNIKEISSYDRNGLHKRGEKLKAFETAISSYGFLPR >Vigun02g059800.4.v1.2 pep primary_assembly:ASM411807v1:2:20517511:20520245:1 gene:Vigun02g059800.v1.2 transcript:Vigun02g059800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMALMNAPMLHFKNSALFGIHPNSFVCFQARSRSITKKPLLVEARGRANTRTESAKIRNRRMLKKYNGTATHPRLSVFCSDRQLYAMLVDDKNRSCLFYGSTLQKSIRQDPSCTTLEAAQRVGEALVKACDDLNIKEISSYDRNGLHKRGEKLKAFETAISSYGFLPR >Vigun02g059800.3.v1.2 pep primary_assembly:ASM411807v1:2:20517511:20520245:1 gene:Vigun02g059800.v1.2 transcript:Vigun02g059800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMALMNAPMLHFKNSALFGIHPNSFVCFQARSRSITKKPLLVEARGRANTRTESAKIRNRRMLKKYNGTATHPRLSVFCSDRQLYAMLVDDKNRSCLFYGSTLQKSIRQDPSCTTLEAAQRVGEALVKACDDLNIKEISSYDRNGLHKRGEKLKAFETAISSYGFLPR >Vigun02g059800.1.v1.2 pep primary_assembly:ASM411807v1:2:20517511:20519203:1 gene:Vigun02g059800.v1.2 transcript:Vigun02g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMALMNAPMLHFKNSALFGIHPNSFVCFQARSRSITKKPLLVEARGRANTRTESAKIRNRRMLKKYNGTATHPRLSVFCSDRQLYAMLVDDKNRSCLFYGSTLQKSIRQDPSCTTLVSSISTPFLTNKHCMRQGMHLLPFIFGNITKYIIGLSTVTFHLSHILLYLFFDFF >Vigun05g206100.1.v1.2 pep primary_assembly:ASM411807v1:5:39503703:39508606:-1 gene:Vigun05g206100.v1.2 transcript:Vigun05g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKSGRRWFWSQFCARSSESASSSYHSSDTIKNQDYRYDVFISFRGPDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMTTIADCKQQYNQTVFPVFYDVVPSHVRHQNGVYEKAFVSLRQKFKGNPDKVYRWERAMNGFGKLAGWDVRNKSESEVIEDIVQKVIKKLGHKFSGFVDDLIGIQSRVQALEDKLRLSSKSGVQVLGIWGMNGIGKTTHAAVLYDKISHRFDASCFVEDVSKLYRDGGQTAIHKEIINQTLRENIDMSSPIEISGIVKNRLHNIKVLIVLDNVDELEQLENLAIKPKLLLKGSRMVITTTDEHILKVYEGNVLIHKVSLLNDNDARELFCRKAFKSEEQSSTCAVLIPEVLKYAQCLPLAIRVLGSFLCTRDTIEWRDAINRLQNSPHKKIMDVLQISIDGLNHEEKQIFLHIACFFKGEREDYVKRILDCCGLYPHIGISRLIEKSLITISNEEIQMHELLQELGKKMVRGQSPEEPGSWSRIWLHKDFLQVLTTETGTEKVKAIVLNKKEELLECSVDGLSRMKELTLLILHHTKLSGSLEFLSDRLRYLLWHDYPFASLPPYFTVSNLVELNMPNSRITHIWEGRKSCPNLKRIDLSNSKKLTETLDFSRTIKLERLDLSGCTSLSYVHSSIGLLKKLAFLNLRNCRNLVFVDFGCVGNMSSLRVLHLSGCSKLESTPDFTRATHLEYLDMDECTSLSTIHQSIGVLSNLTFFSLRGCTKLVSIPNDINSLVSLQTLVLCHCYKLTSLFPRQASKSHLECLIFLDLSFCNLPEVPDAIGELRCLERLNLQGNNVVSVPDSFRGLHCLGYINLSHCHELKSLSNLPIEGAASGGKYFRKVSGSRDHRSGLYLFNCANMVDILSKPWDCWSLELAWLFRLIKESCHFRCGFDIVVPWGLEIPRWFTKRFEGDSVIRIVEFSVDEDWMGFAFCVIFGGNTALVVDDSSPHPLYLSFVSKHTEEYCDVPHNVNIQHFAKSNHFWIIYISREHCHFVKTGAHITFKTLAGVKIHAWGMRSIFKKDVHDFKRMQQGQPLPFPRNEVHPLNFVFVKKSKTNSGPVFRLPYNWLLTKEDEVEKHDAEVKENNLSYAGF >Vigun05g206100.2.v1.2 pep primary_assembly:ASM411807v1:5:39503703:39508606:-1 gene:Vigun05g206100.v1.2 transcript:Vigun05g206100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKSGRRWFWSQFCARSSESASSSYHSSDTIKNQDYRYDVFISFRGPDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMTTIADCKQQYNQTVFPVFYDVVPSHVRHQNGVYEKAFVSLRQKFKGNPDKVYRWERAMNGFGKLAGWDVRNKSESEVIEDIVQKVIKKLGHKFSGFVDDLIGIQSRVQALEDKLRLSSKSGVQVLGIWGMNGIGKTTHAAVLYDKISHRFDASCFVEDVSKLYRDGGQTAIHKEIINQTLRENIDMSSPIEISGIVKNRLHNIKVLIVLDNVDELEQLENLAIKPKLLLKGSRMVITTTDEHILKVYEGNVLIHKVSLLNDNDARELFCRKAFKSEEQSSTCAVLIPEVLKYAQCLPLAIRVLGSFLCTRDTIEWRDAINRLQNSPHKKIMDVLQISIDGLNHEEKQIFLHIACFFKGEREDYVKRILDCCGLYPHIGISRLIEKSLITISNEEIQMHELLQELGKKMVRGQSPEEPGSWSRIWLHKDFLQVLTTETGTEKVKAIVLNKKEELLECSVDGLSRMKELTLLILHHTKLSGSLEFLSDRLRYLLWHDYPFASLPPYFTVSNLVELNMPNSRITHIWEGRKSCPNLKRIDLSNSKKLTETLDFSRTIKLERLDLSGCTSLSYVHSSIGLLKKLAFLNLRNCRNLVFVDFGCVGNMSSLRVLHLSGCSKLESTPDFTRATHLEYLDMDECTSLSTIHQSIGVLSNLTFFSLRGCTKLVSIPNDINSLVSLQTLVLCHCYKLTSLFPRQASKSHLECLIFLDLSFCNLPEVPDAIGELRCLERLNLQGNNVVSVPDSFRGLHCLGYINLSHCHELKSLSNLPIEGAASGGKYFRKVSGSRDHRNPVIFDVALTLLFHGVWKFRGGSPKDLKGIQL >Vigun05g206100.4.v1.2 pep primary_assembly:ASM411807v1:5:39503703:39508606:-1 gene:Vigun05g206100.v1.2 transcript:Vigun05g206100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKSGRRWFWSQFCARSSESASSSYHSSDTIKNQDYRYDVFISFRGPDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMTTIADCKQQYNQTVFPVFYDVVPSHVRHQNGVYEKAFVSLRQKFKGNPDKVYRWERAMNGFGKLAGWDVRNKKAFKSEEQSSTCAVLIPEVLKYAQCLPLAIRVLGSFLCTRDTIEWRDAINRLQNSPHKKIMDVLQISIDGLNHEEKQIFLHIACFFKGEREDYVKRILDCCGLYPHIGISRLIEKSLITISNEEIQMHELLQELGKKMVRGQSPEEPGSWSRIWLHKDFLQVLTTETGTEKVKAIVLNKKEELLECSVDGLSRMKELTLLILHHTKLSGSLEFLSDRLRYLLWHDYPFASLPPYFTVSNLVELNMPNSRITHIWEGRKSCPNLKRIDLSNSKKLTETLDFSRTIKLERLDLSGCTSLSYVHSSIGLLKKLAFLNLRNCRNLVFVDFGCVGNMSSLRVLHLSGCSKLESTPDFTRATHLEYLDMDECTSLSTIHQSIGVLSNLTFFSLRGCTKLVSIPNDINSLVSLQTLVLCHCYKLTSLFPRQASKSHLECLIFLDLSFCNLPEVPDAIGELRCLERLNLQGNNVVSVPDSFRGLHCLGYINLSHCHELKSLSNLPIEGAASGGKYFRKVSGSRDHRNPVIFDVALTLLFHGVWKFRGGSPKDLKGIQL >Vigun05g206100.3.v1.2 pep primary_assembly:ASM411807v1:5:39503703:39508606:-1 gene:Vigun05g206100.v1.2 transcript:Vigun05g206100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKSGRRWFWSQFCARSSESASSSYHSSDTIKNQDYRYDVFISFRGPDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMTTIADCKQQYNQTVFPVFYDVVPSHVRHQNGVYEKAFVSLRQKFKGNPDKVYRWERAMNGFGKLAGWDVRNKKAFKSEEQSSTCAVLIPEVLKYAQCLPLAIRVLGSFLCTRDTIEWRDAINRLQNSPHKKIMDVLQISIDGLNHEEKQIFLHIACFFKGEREDYVKRILDCCGLYPHIGISRLIEKSLITISNEEIQMHELLQELGKKMVRGQSPEEPGSWSRIWLHKDFLQVLTTETGTEKVKAIVLNKKEELLECSVDGLSRMKELTLLILHHTKLSGSLEFLSDRLRYLLWHDYPFASLPPYFTVSNLVELNMPNSRITHIWEGRKSCPNLKRIDLSNSKKLTETLDFSRTIKLERLDLSGCTSLSYVHSSIGLLKKLAFLNLRNCRNLVFVDFGCVGNMSSLRVLHLSGCSKLESTPDFTRATHLEYLDMDECTSLSTIHQSIGVLSNLTFFSLRGCTKLVSIPNDINSLVSLQTLVLCHCYKLTSLFPRQASKSHLECLIFLDLSFCNLPEVPDAIGELRCLERLNLQGNNVVSVPDSFRGLHCLGYINLSHCHELKSLSNLPIEGAASGGKYFRKVSGSRDHRSGLYLFNCANMVDILSKPWDCWSLELAWLFRLIKESCHFRCGFDIVVPWGLEIPRWFTKRFEGDSVIRIVEFSVDEDWMGFAFCVIFGGNTALVVDDSSPHPLYLSFVSKHTEEYCDVPHNVNIQHFAKSNHFWIIYISREHCHFVKTGAHITFKTLAGVKIHAWGMRSIFKKDVHDFKRMQQGQPLPFPRNEVHPLNFVFVKKSKTNSGPVFRLPYNWLLTKEDEVEKHDAEVKENNLSYAGF >Vigun06g033400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14186971:14187518:-1 gene:Vigun06g033400.v1.2 transcript:Vigun06g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMAYGLAITRLSEGQMPATCDEYKPLFAPCVPYLVSQEFSTPTPSCCAGAAQQLTKGNNPAALKNLCTCLDASTANLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun06g207100.3.v1.2 pep primary_assembly:ASM411807v1:6:32080277:32083554:1 gene:Vigun06g207100.v1.2 transcript:Vigun06g207100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSTLNPAQITVLGSAFCVMLSMHFTSQLLSQHLFYWKNPKEQKAIIIIILMAPLYATVSFVGLLDIRGSKEFFTFLESVKECYEALVIAKFLALMYSYLNISISGNTVPDEIKGREIHHSFPMTLFQPRIVHLNYRNLKLLKYWTWQFVVIRPVCSILMIALQLLGLYPTWLSWTFTAILNVSVSLALYSLVVFYHVFAKELAPHTPLAKFLCIKGIVFFCFWQGMLLDLLAKFGVIQSRHLRLDVEHIEEAMQNILVCVEMVIFSVLQQYAYHPAPYSGEVEKMLRQNKKND >Vigun06g207100.2.v1.2 pep primary_assembly:ASM411807v1:6:32080256:32083593:1 gene:Vigun06g207100.v1.2 transcript:Vigun06g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSTLNPAQITVLGSAFCVMLSMHFTSQLLSQHLFYWKNPKEQKAIIIIILMAPLYATVSFVGLLDIRGSKEFFTFLESVKECYEALVIAKFLALMYSYLNISISGNTVPDEIKGREIHHSFPMTLFQPRIVHLNYRNLKLLKYWTWQFVVIRPVCSILMIALQLLGLYPTWLSWTFTAILNVSVSLALYSLVVFYHVFAKELAPHTPLAKFLCIKGIVFFCFWQGMLLDLLAKFGVIQSRHLRLDVEHIEEAMQNILVCVEMVIFSVLQQYAYHPAPYSGEVEKMLRQNKKND >Vigun06g207100.1.v1.2 pep primary_assembly:ASM411807v1:6:32080256:32083593:1 gene:Vigun06g207100.v1.2 transcript:Vigun06g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSTLNPAQITVLGSAFCVMLSMHFTSQLLSQHLFYWKNPKEQKAIIIIILMAPLYATVSFVGLLDIRGSKEFFTFLESVKECYEALVIAKFLALMYSYLNISISGNTVPDEIKGREIHHSFPMTLFQPRIVHLNYRNLKLLKYWTWQFVVIRPVCSILMIALQLLGLYPTWLSWTFTAILNVSVSLALYSLVVFYHVFAKELAPHTPLAKFLCIKGIVFFCFWQGMLLDLLAKFGVIQSRHLRLDVEHIEEAMQNILVCVEMVIFSVLQQYAYHPAPYSGEVEKMLRQNKKND >Vigun03g202136.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31096924:31097223:1 gene:Vigun03g202136.v1.2 transcript:Vigun03g202136.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSL >Vigun07g105300.1.v1.2 pep primary_assembly:ASM411807v1:7:19264071:19274385:-1 gene:Vigun07g105300.v1.2 transcript:Vigun07g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFPLLLLLFLCLSPIPSFSIENFHQAFPIVEPDPGHTKLRLSREGLEAIERITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPIDLDINGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALKRVPNTDGNKNIDVVNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCDMKDMELDQLYVKRREQLKELVASIISPKIVQGKTLTGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKNILEKCLKLYSEKMATVVLPLTEESLQGAHNRTKDEVLQVFDQQHFGRHHAKKSVVQLDEEIQQVYKHVVVQNELQSSKLCEGLYTRCEDKMDQLQVLKLPSLAKFNAGFLQCNNSFKHECVGPSKAKYEQRMMKMLGKSRSLFIKDYNQRLFNWLVAFSLVMVVIGRFFIKFILIEIGAWALFIFLETYTRMFWSAEALYYNPVWHFIVATWETVVYSPVLDLDRWAIPIGAVLFLFILYWRCFGKRKHGSQWLLPLYRNNKNGSNRPRTD >Vigun07g105300.2.v1.2 pep primary_assembly:ASM411807v1:7:19264071:19274385:-1 gene:Vigun07g105300.v1.2 transcript:Vigun07g105300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTKTKGIWVWGTPIDLDINGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALKRVPNTDGNKNIDVVNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCDMKDMELDQLYVKRREQLKELVASIISPKIVQGKTLTGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKNILEKCLKLYSEKMATVVLPLTEESLQGAHNRTKDEVLQVFDQQHFGRHHAKKSVVQLDEEIQQVYKHVVVQNELQSSKLCEGLYTRCEDKMDQLQVLKLPSLAKFNAGFLQCNNSFKHECVGPSKAKYEQRMMKMLGKSRSLFIKDYNQRLFNWLVAFSLVMVVIGRFFIKFILIEIGAWALFIFLETYTRMFWSAEALYYNPVWHFIVATWETVVYSPVLDLDRWAIPIGAVLFLFILYWRCFGKRKHGSQWLLPLYRNNKNGSNRPRTD >Vigun11g114700.1.v1.2 pep primary_assembly:ASM411807v1:11:31873940:31882769:1 gene:Vigun11g114700.v1.2 transcript:Vigun11g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKQFKTKLCVLYQRGRCNRHNCSFAHGSAELRRFPGTFSGRRDYLGNDLRDKLERRHLSPRRYSPAQDARGRQSIREYSPPRSLENKSDRRHRRKQGITGQSDTSGNLKASDRVQDQAKERKMLSSGSRNTLEEQLKKVDSGISALQNRKFQLEVYLDESIQEVDSLNSRIQELEAQLCKEDEECKRITSRIRKFVRVHNHMSQLQDELKRSQVRLQRFGDQLVSDISKIGANEEDLSIDIVSNGENTGLLPIVKHNVEHNDASSHRKRLHIEREALEDLKQGNRSKDGHSVETGRTKKRSRWNTSDQLNDESLRGPENGTEVIRALDLEGRHKRGLKQPRIEVPSTSMAAHVADEDVDIELYNGNDINETANTENENGVANKVKAAPLMLPPALVPRSNYSQYEGNDENVDVDG >Vigun11g114700.3.v1.2 pep primary_assembly:ASM411807v1:11:31874154:31882641:1 gene:Vigun11g114700.v1.2 transcript:Vigun11g114700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKQFKTKLCVLYQRGRCNRHNCSFAHGSAELRRFPGTFSGRRDYLGNDLRDKLERRHLSPRRYSPAQDARGRQSIREYSPPRSLENKSDRRHRRKQGITGQSDTSGNLKASDRVQDQAKERKMLSSGSRNTLEEQLKKVDSGISALQNRKFQLEVYLDESIQEVDSLNSRIQELEAQLCKEDEECKRITSRIRKFVRVHNHMSQLQDELKRSQVRLQRFGDQLVSDISKIGANEEDLSIDIVSNGENTGLLPIVKHNVEHNDASSHRKRLHIEREALEDLKQDRSKDGHSVETGRTKKRSRWNTSDQLNDESLRGPENGTEVIRALDLEGRHKRGLKQPRIEVPSTSMAAHVADEDVDIELYNGNDINETANTENENGVANKVKAAPLMLPPALVPRSNYSQYEGNDENVDVDG >Vigun11g114700.2.v1.2 pep primary_assembly:ASM411807v1:11:31873940:31882769:1 gene:Vigun11g114700.v1.2 transcript:Vigun11g114700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKQFKTKLCVLYQRGRCNRHNCSFAHGSAELRRFPGTFSGRRDYLGNDLRDKLERRHLSPRRYSPAQDARGRQSIREYSPPRSLENKSDRRHRRKQGITGQSDTSGNLKASDRVQDQAKERKMLSSGSRNTLEEQLKKVDSGISALQNRKFQLEVYLDESIQEVDSLNSRIQELEAQLCKEDEECKRITSRIRKFVRVHNHMSQLQDELKRSQVRLQRFGDQLVSDISKIGANEEDLSIDIVSNGENTGLLPIVKHNVEHNDASSHRKRLHIEREALEDLKQDRSKDGHSVETGRTKKRSRWNTSDQLNDESLRGPENGTEVIRALDLEGRHKRGLKQPRIEVPSTSMAAHVADEDVDIELYNGNDINETANTENENGVANKVKAAPLMLPPALVPRSNYSQYEGNDENVDVDG >Vigun10g125900.1.v1.2 pep primary_assembly:ASM411807v1:10:33403269:33406671:1 gene:Vigun10g125900.v1.2 transcript:Vigun10g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATKGYAVVTGANKGIGFAVCKQLASNGFTVVLTARDEKRGVEAVQKLKELGLPGHVLFHQLDITDPKSITSLADFIKNQYGKLDILVNNAGIPGAHVNVEALSAAGITENSGPVDWSKIVTETYELAEAGLKTNYYGAEELTKALIPLLQFSSSARIVNVSSSMGGLEHMKNGWPKEVLSDVESLTVEKIDEVLDEYLKDFKEGSLETKGWALTMSAYSVSKAALNAYTRVLAKKYPSFCINAVCPGYVKTDINYNTGILTPEEGAEVAVRLALLPEGSPSGLFH >Vigun03g148000.5.v1.2 pep primary_assembly:ASM411807v1:3:15198241:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQGDHVSLLPS >Vigun03g148000.3.v1.2 pep primary_assembly:ASM411807v1:3:15197996:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQVPISYISSFG >Vigun03g148000.6.v1.2 pep primary_assembly:ASM411807v1:3:15197996:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQSLNNSRPT >Vigun03g148000.7.v1.2 pep primary_assembly:ASM411807v1:3:15200152:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQISRASPI >Vigun03g148000.1.v1.2 pep primary_assembly:ASM411807v1:3:15198185:15211419:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQISRASPI >Vigun03g148000.2.v1.2 pep primary_assembly:ASM411807v1:3:15197996:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQISRASPI >Vigun03g148000.4.v1.2 pep primary_assembly:ASM411807v1:3:15197996:15211334:1 gene:Vigun03g148000.v1.2 transcript:Vigun03g148000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRDAHCFPRLVLVVNLALAFLHALVASLAFFQLMRIHLRNAQLGLTRQKVFHLLIGSSNLGYVLYLALTLVASCKGWTFWSHSCGFMFMAFPKVLFFAAFLLLLSFWVDLCHQADDDDDYEGSFCENPLLEKTSNESNIANIDSHRKCFPLRLSRVGNRQKIVILVTLLVFITMMAFALVIWIGIGNNPIDSEVTAQVYLDLSAIGMILLGGALACYGILLCLKMSKVRAEKPSSEMWKVAGLTIVSVLCFTSSSCVELLTDIPMQYSWHQQRLNDAYTSLILVLYYFVGSSIPSAVILWVMRELPPAEAAGVPEESRTITFVADSSIAIHHPQRWTTATSMQNQGDHVSLLPS >Vigun03g189100.3.v1.2 pep primary_assembly:ASM411807v1:3:25710619:25719614:-1 gene:Vigun03g189100.v1.2 transcript:Vigun03g189100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTVGSFPAIISMMQGPSSSGTDTNHQSSLNHVQNAVDSRFSDYGGSFGETACLCSTSHDVQSFSSWNLGESSSRLNLTNQGNAEGLKSEHGLSSSYNATSEDGLISEERQFEPNRVNFPVSSNNNLHGNEPRVYPSFCQGSSSNHITQNISLDMGHVANASDRGKGKEASSVDDYNTSGIDREKTLFCSTSCNYTGASSENSGSMAWGDGDSSSSLVNWGSSCKRKALEGSSRQLCSGGSSSTFAQSENDYWPIGPADPRASSNLSDVTTLEDIPVTSPPLLQNVRNEVRQKASNAFPLISIAENLERPVRNLNRRMSQLQDQESIHVNLPSIGSFRHLNHSSLSQIPGSQSLNDSLELRLTAGVTNANSGTLNQSPAQRIHSFPLSRIANPRGGRSLSSYNSGDRVIREDFNLRVFPRDSIEHPMNAPASSQHESTRWHTPSGNMNFSEGVPPPSWIGSSSNAHFSHNSGWNFNHEVPIENVQSVSEFNLWPLFPSVCSASGVHNGHSTPSSSGHPSFTQGSGDNQSYLRTTLLTERRGGDVLPSPHSLQALTFDNEGRRRLLSEIRQVLMAMRRGENLRAEDYALFDPFLYHGLAEIHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDVIVKLMKQRLMTQSSTVLEPCSICQEEFGDGENVGSVDCGHEFHSACIKQWLMQKNICPICKVTALAT >Vigun03g189100.5.v1.2 pep primary_assembly:ASM411807v1:3:25710619:25719336:-1 gene:Vigun03g189100.v1.2 transcript:Vigun03g189100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTVGSFPAIISMMQGPSSSGTDTNHQSSLNHVQNAVDSRFSDYGGSFGETACLCSTSHDVQSFSSWNLGESSSRLNLTNQGNAEGLKSEHGLSSSYNATSEDGLISEERQFEPNRVNFPVSSNNNLHGNEPRVYPSFCQGSSSNHITQNISLDMGHVANASDRGKGKEASSVDDYNTSGIDREKTLFCSTSCNYTGASSENSGSMAWGDGDSSSSLVNWGSSCKRKALEGSSRQLCSGGSSSTFAQSENDYWPIGPADPRASSNLSDVTTLEDIPVTSPPLLQNVRNEVRQKASNAFPLISIAENLERPVRNLNRRMSQLQDQESIHVNLPSIGSFRHLNHSSLSQIPGSQSLNDSLELRLTAGVTNANSGTLNQSPAQRIHSFPLSRIANPRGGRSLSSYNSGDRVIREDFNLRVFPRDSIEHPMNAPASSQHESTRWHTPSGNMNFSEGVPPPSWIGSSSNAHFSHNSGWNFNHEVPIENVQSVSEFNLWPLFPSVCSASGVHNGHSTPSSSGHPSFTQGSGDNQSYLRTTLLTERRGGDVLPSPHSLQALTFDNEGRRRLLSEIRQVLMAMRRGENLRAEDYALFDPFLYHGLAEIHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDVIVKLMKQRLMTQSSTVLEPCSICQEEFGDGENVGSVDCGHEFHSACIKQWLMQKNICPICKVTALAT >Vigun03g189100.2.v1.2 pep primary_assembly:ASM411807v1:3:25710618:25719663:-1 gene:Vigun03g189100.v1.2 transcript:Vigun03g189100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTVGSFPAIISMMQGPSSSGTDTNHQSSLNHVQNAVDSRFSDYGGSFGETACLCSTSHDVQSFSSWNLGESSSRLNLTNQGNAEGLKSEHGLSSSYNATSEDGLISEERQFEPNRVNFPVSSNNNLHGNEPRVYPSFCQGSSSNHITQNISLDMGHVANASDRGKGKEASSVDDYNTSGIDREKTLFCSTSCNYTGASSENSGSMAWGDGDSSSSLVNWGSSCKRKALEGSSRQLCSGGSSSTFAQSENDYWPIGPADPRASSNLSDVTTLEDIPVTSPPLLQNVRNEVRQKASNAFPLISIAENLERPVRNLNRRMSQLQDQESIHVNLPSIGSFRHLNHSSLSQIPGSQSLNDSLELRLTAGVTNANSGTLNQSPAQRIHSFPLSRIANPRGGRSLSSYNSGDRVIREDFNLRVFPRDSIEHPMNAPASSQHESTRWHTPSGNMNFSEGVPPPSWIGSSSNAHFSHNSGWNFNHEVPIENVQSVSEFNLWPLFPSVCSASGVHNGHSTPSSSGHPSFTQGSGDNQSYLRTTLLTERRGGDVLPSPHSLQALTFDNEGRRRLLSEIRQVLMAMRRGENLRAEDYALFDPFLYHGLAEIHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDVIVKLMKQRLMTQSSTVLEPCSICQEEFGDGENVGSVDCGHEFHSACIKQWLMQKNICPICKVTALAT >Vigun03g189100.4.v1.2 pep primary_assembly:ASM411807v1:3:25710619:25719614:-1 gene:Vigun03g189100.v1.2 transcript:Vigun03g189100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTVGSFPAIISMMQGPSSSGTDTNHQSSLNHVQNAVDSRFSDYGGSFGETACLCSTSHDVQSFSSWNLGESSSRLNLTNQGNAEGLKSEHGLSSSYNATSEDGLISEERQFEPNRVNFPVSSNNNLHGNEPRVYPSFCQGSSSNHITQNISLDMGHVANASDRGKGKEASSVDDYNTSGIDREKTLFCSTSCNYTGASSENSGSMAWGDGDSSSSLVNWGSSCKRKALEGSSRQLCSGGSSSTFAQSENDYWPIGPADPRASSNLSDVTTLEDIPVTSPPLLQNVRNEVRQKASNAFPLISIAENLERPVRNLNRRMSQLQDQESIHVNLPSIGSFRHLNHSSLSQIPGSQSLNDSLELRLTAGVTNANSGTLNQSPAQRIHSFPLSRIANPRGGRSLSSYNSGDRVIREDFNLRVFPRDSIEHPMNAPASSQHESTRWHTPSGNMNFSEGVPPPSWIGSSSNAHFSHNSGWNFNHEVPIENVQSVSEFNLWPLFPSVCSASGVHNGHSTPSSSGHPSFTQGSGDNQSYLRTTLLTERRGGDVLPSPHSLQALTFDNEGRRRLLSEIRQVLMAMRRGENLRAEDYALFDPFLYHGLAEIHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDVIVKLMKQRLMTQSSTVLEPCSICQEEFGDGENVGSVDCGHEFHSACIKQWLMQKNICPICKVTALAT >Vigun03g189100.1.v1.2 pep primary_assembly:ASM411807v1:3:25710618:25719715:-1 gene:Vigun03g189100.v1.2 transcript:Vigun03g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRRTVGSFPAIISMMQGPSSSGTDTNHQSSLNHVQNAVDSRFSDYGGSFGETACLCSTSHDVQSFSSWNLGESSSRLNLTNQGNAEGLKSEHGLSSSYNATSEDGLISEERQFEPNRVNFPVSSNNNLHGNEPRVYPSFCQGSSSNHITQNISLDMGHVANASDRGKGKEASSVDDYNTSGIDREKTLFCSTSCNYTGASSENSGSMAWGDGDSSSSLVNWGSSCKRKALEGSSRQLCSGGSSSTFAQSENDYWPIGPADPRASSNLSDVTTLEDIPVTSPPLLQNVRNEVRQKASNAFPLISIAENLERPVRNLNRRMSQLQDQESIHVNLPSIGSFRHLNHSSLSQIPGSQSLNDSLELRLTAGVTNANSGTLNQSPAQRIHSFPLSRIANPRGGRSLSSYNSGDRVIREDFNLRVFPRDSIEHPMNAPASSQHESTRWHTPSGNMNFSEGVPPPSWIGSSSNAHFSHNSGWNFNHEVPIENVQSVSEFNLWPLFPSVCSASGVHNGHSTPSSSGHPSFTQGSGDNQSYLRTTLLTERRGGDVLPSPHSLQALTFDNEGRRRLLSEIRQVLMAMRRGENLRAEDYALFDPFLYHGLAEIHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDVIVKLMKQRLMTQSSTVLEPCSICQEEFGDGENVGSVDCGHEFHSACIKQWLMQKNICPICKVTALAT >Vigun02g058100.1.v1.2 pep primary_assembly:ASM411807v1:2:20280152:20282854:-1 gene:Vigun02g058100.v1.2 transcript:Vigun02g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSIEVGEAIKGASPRKGVRRGLSIMDFVLRIVAAVATLGAALAMATTNETLPFATQFIKFRAQFDDLPSLVFFVMGNSVVCGYLVLSLVPSIFHIVRSTAVKSRILLVAFDTVMLGLITAAASAATSIVYIAHNGNTGANWFAICQQYNNFCQRISGSLIGSYIAVALFTILIILSVVAISRN >VigunL059110.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000647.1:41550:42613:1 gene:VigunL059110.v1.2 transcript:VigunL059110.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRRRNTAGADDIAQAIHRMVDAMQPIAAPPRAVVAPTRPVAMEDFMKHRPAKFSGKATPDEADAWMRECEKICRVLGCTDEQRLLFVTFLLVADAEYWWQGMQQLMQTRGEQVTWAAFRTRFLEKYFPDSARHEREAEFLTLQQGTMTVAAYIERFEYLARFYTPDVTEEWRCRREFPILVEQAKTVEQLETGSSSGGKQQRTASDARPQRKPYSRPPTTGGRLRCFNCGGEHLRRDCTKPASSTGGGSSTGRCYACQQTGHFARQCPNRRQAGGAPATRPVGDRPRAPGRVFALTTTEAKQSGGGVVRLRSHSFVCV >Vigun05g181100.2.v1.2 pep primary_assembly:ASM411807v1:5:34586322:34588336:1 gene:Vigun05g181100.v1.2 transcript:Vigun05g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGGSSAASPCSSYHPSPCASYNPSPGSSSFPSPSSSPYTNIPNADGNSLIPWLKNLSTASSSASSPKLPHLYLHSGSISAPVTPPLSSPTARTPRINAEWEDQSVRPGWTRQQHYSFLPSSSPPSPGRQVVDPEWFAGIKLPHVNPTSPTFSLISSNPFAFKEDGLAGSGSRMWTPAHSGTCSPAVAPGTDHNADIPMSEAVSDEFAFGSNMLGLVKPWEGERIHEEFGSDDLELTLGNSKTR >Vigun05g181100.1.v1.2 pep primary_assembly:ASM411807v1:5:34583919:34588336:1 gene:Vigun05g181100.v1.2 transcript:Vigun05g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNFKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPLERMDIVGGSSAASPCSSYHPSPCASYNPSPGSSSFPSPSSSPYTNIPNADGNSLIPWLKNLSTASSSASSPKLPHLYLHSGSISAPVTPPLSSPTARTPRINAEWEDQSVRPGWTRQQHYSFLPSSSPPSPGRQVVDPEWFAGIKLPHVNPTSPTFSLISSNPFAFKEDGLAGSGSRMWTPAHSGTCSPAVAPGTDHNADIPMSEAVSDEFAFGSNMLGLVKPWEGERIHEEFGSDDLELTLGNSKTR >Vigun10g115801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32076191:32077018:1 gene:Vigun10g115801.v1.2 transcript:Vigun10g115801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PHFRTLSFRRHPSQCRRQHLPPPLPPAPSAPRKTPPHHPHPRRRIHPLPPLLRHLPPLLHLSRRLSPCRHRLRGLPPQPRTPPPGRLRRRPRRPPLGPGPSLAPRPRGLLQVLPLGKQRGGNIAYFAALCALDHDLSPLKILGIFMNVPYFSGSQRSDSELRLVNDRILPLPANDLMWSLSLPEGADRDHVYCNPTAAESAYGNKIGRLPACFINGYGGDPLVDKQKEFAKILEARGVRVEKRFVEDGYHAVEIFDETKALALAQNIKNFVLSLTS >Vigun09g231800.1.v1.2 pep primary_assembly:ASM411807v1:9:40322042:40324952:1 gene:Vigun09g231800.v1.2 transcript:Vigun09g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSSSLLCQENDTCLEEGGDELENQLIGSRHDCGVSEDEYVGILVEREIVLGFRRDESLVFGDWVKRARLEAINWVLQTRATLGFRCETAYLSVTYFDRFLSRRSIDSEKWWAIRLLSIACLSLAAKMEECNVPELSEFKLDDYSFEGKVIQKMELLVLSTLEWKMGIITPFDFLSYFITKFCKESPPSPTFSKTMQLIFTTMKEVNLMDQKPSVIAAAATLVAMDQKLTMEEVELKMSSIPQHRLLEPKDVFEYYNLIQRLQEEENKRDTHTPIDVADSSRVTSSAAMAKRRRLTFSDEGSSHGKGQG >Vigun09g231800.2.v1.2 pep primary_assembly:ASM411807v1:9:40322042:40324952:1 gene:Vigun09g231800.v1.2 transcript:Vigun09g231800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEKWWAIRLLSIACLSLAAKMEECNVPELSEFKLDDYSFEGKVIQKMELLVLSTLEWKMGIITPFDFLSYFITKFCKESPPSPTFSKTMQLIFTTMKEVNLMDQKPSVIAAAATLVAMDQKLTMEEVELKMSSIPQHRLLEPKDVFEYYNLIQRLQEEENKRDTHTPIDVADSSRVTSSAAMAKRRRLTFSDEGSSHGKGQG >Vigun09g231800.3.v1.2 pep primary_assembly:ASM411807v1:9:40322042:40324952:1 gene:Vigun09g231800.v1.2 transcript:Vigun09g231800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSSSLLCQENDTCLEEGGDELENQLIGSRHDCGVSEDEYVGILVEREIVLGFRRDESLVFGDWVKRARLEAINWVLQSEKWWAIRLLSIACLSLAAKMEECNVPELSEFKLDDYSFEGKVIQKMELLVLSTLEWKMGIITPFDFLSYFITKFCKESPPSPTFSKTMQLIFTTMKEVNLMDQKPSVIAAAATLVAMDQKLTMEEVELKMSSIPQHRLLEPKDVFEYYNLIQRLQEEENKRDTHTPIDVADSSRVTSSAAMAKRRRLTFSDEGSSHGKGQG >Vigun07g216800.1.v1.2 pep primary_assembly:ASM411807v1:7:33841870:33843053:1 gene:Vigun07g216800.v1.2 transcript:Vigun07g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELGLKITRTQDDNTSVSDFQFAKDRSGPVFFSKESDSKLILTAHLKGYKKEDIDINISKDGSEICVSGEKEVQEMQMIPFKKEMKTKGFAKKFRIPEGVVLDRIRAKYKEVDAVLTIVMPKMELGKGMREIEEVLEREEPENVVDSVTPEPEKIEEETAPVKKPKKPWTPCPPFYFGGSTLLLTLLFLVMHYIRARKN >Vigun08g183600.1.v1.2 pep primary_assembly:ASM411807v1:8:35310155:35315370:-1 gene:Vigun08g183600.v1.2 transcript:Vigun08g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLLLGFEEVANVVAESSSSSSTARRLVPWLNWDEWLFVKRALFSNSPHSVSSALKRISTWRSRGSLPVLIEVTASIIEIQLKDSYFRQDQLNEASLSEEMLAMLYCMAIMRLVNCAVEKTRKKEVASIAVTADAIGIPRMLIDIRHEGSHRELPSLKIARSASVKALDWLKSYYWEPQSKAIPFQSEGITKVKKEIKSKIRELAIFLKVNGSVQSSSLQLKGKRVKHGELLFGRNKLLSLMVGKSKPSRTGGSKKQIKKILKYVIQLYSSFSSEIVSVLLEYLLKALSSSELEENADDVSIGPTTEKVLADWKLVILKLCNKEPELLLNLLKEVLDMIETQEDIKHEDNPNMGILQSRTDFRLSSLFAWLVGILSRVPSEAANMPKGVLLELLRRCLLISQLFNKQLMDSALQLTELMDDNYLMEKAQKFSQINLSNLEHADDQNSLLTSNNIFQFEESIQEATKKLELVKHQVMKNKKPKAVDCDSQKSQTWTLAKSWNPCPIGMLPRAVGSSGRLPVLDIISNEKQNQLSSGCLPDVDIINDEEQDEVCEKEENWKLTPQGAKRDATIDLLQLDNSTVKKMKKTEEFSELNIELPLQADKGCLVVGGVWKKLTEEELPVIESSVSILV >Vigun08g183600.2.v1.2 pep primary_assembly:ASM411807v1:8:35310155:35315370:-1 gene:Vigun08g183600.v1.2 transcript:Vigun08g183600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLLLGFEEVANVVAESSSSSSTARRLVPWLNWDEWLFVKRALFSNSPHSVSSALKRISTWRSRGSLPVLIEVTASIIEIQLKDSYFRQDQLNEASLSEEMLAMLYCMAIMRLVNCAVEKTRKKEVASIAVTADAIGIPRMLIDIRHEGSHRELPSLKIARSASVKALDWLKSYYWEPQSKAIPFQSEGITKVKKEIKSKIRELAIFLKVNGSVQSSSLQLKGKRSKKQIKKILKYVIQLYSSFSSEIVSVLLEYLLKALSSSELEENADDVSIGPTTEKVLADWKLVILKLCNKEPELLLNLLKEVLDMIETQEDIKHEDNPNMGILQSRTDFRLSSLFAWLVGILSRVPSEAANMPKGVLLELLRRCLLISQLFNKQLMDSALQLTELMDDNYLMEKAQKFSQINLSNLEHADDQNSLLTSNNIFQFEESIQEATKKLELVKHQVMKNKKPKAVDCDSQKSQTWTLAKSWNPCPIGMLPRAVGSSGRLPVLDIISNEKQNQLSSGCLPDVDIINDEEQDEVCEKEENWKLTPQGAKRDATIDLLQLDNSTVKKMKKTEEFSELNIELPLQADKGCLVVGGVWKKLTEEELPVIESSVSILV >Vigun02g028050.1.v1.2 pep primary_assembly:ASM411807v1:2:10091604:10094725:1 gene:Vigun02g028050.v1.2 transcript:Vigun02g028050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSILYFVSRCKNFPVVWKVHARCCSRIVLSTQGIRYLGSVLSGGGSEPLPKATAEDWVYMINEFHKGTLESRLGIPMIYGIDVVHGHNNVYNATIFPHNVGLGCTRDPDLAERIGATTALEVRATGIPYVFAPCIAVCRDPRWGRCYKSYSEDAKIVQEMTTIIPGLQGSILANSRKGFPYGGGKFC >Vigun01g170100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35205436:35207630:1 gene:Vigun01g170100.v1.2 transcript:Vigun01g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHHARASRGFSATPESSTASFQAVLSDPSGNFSLGFLRMNRNQLALAILHVASSEPFWVANPTRAASWSDTTRLFFNGSLVLSDSETRVWWSTATNGDRAVLLNTSNLQVQAQGSPLWESFDFPTNTIVQGQNFTSKMSLLSSNGLYSLRLGSDFMGLYEENKDLYWKRTVLETKAEVQEGKGPIYARVNAEGYLGMYQTNDEKPADVQKFNSFQQAVTAASFLFVRMEPDGNLKGYYWDGSNWLTNYQAISETCELPRSCGSYGLCTPGVSGCSCLDNQTRFEAGGCFNDVGGELCGEEGIGGRESGYRVLRRTGVEPPHKELLSHVTTSSLAECEEVCEKNCSCWGALYNNGTGFCYMLDSPIGSMLGTGDATKVGYFKVKKGEEKINGFGYGLQL >Vigun10g016500.1.v1.2 pep primary_assembly:ASM411807v1:10:1820535:1823197:-1 gene:Vigun10g016500.v1.2 transcript:Vigun10g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGVPHRSVWASPLNSITIYKLHYSHVPGLMDKMDDESWQRWVSILEESDWHILSDFDMNSVGEEFNGDSSMVPAEESCKKSNFSSLEENMPSLTQHASPQKPKSCVLSFEDSTSKKTTCKHVGDNSKQQTEEKSRKPLKRERNSSQTLDHIMAERKRRENITKMFIALSALIPGLKKTDKVSVLTKAIEYVKCLEQRVKDLEKEKEKRKIESEGCMKVRKSNMVGYEFSYEGGDDDDKATKKCWKVEARVAGKDVLIRVTCEMKRNIVQNVMAKLQAHNLSVVCSNVLPFGNSALTITSIAEMNPKVTMKVDNLVKTLTEDLSKCCNLQ >Vigun09g117800.4.v1.2 pep primary_assembly:ASM411807v1:9:25917770:25920432:1 gene:Vigun09g117800.v1.2 transcript:Vigun09g117800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSFTFPRSTFFHQHSQSTYASSRFFVSILLPKPTSLTCIHESHKDMHLAPSFTKRNLNFTLLLTMFLWAQPVPLPNMGDALLLAQELELQRYTDSNQGFTLLTPSSWAKVDKAGATVLFEEQNMGSNNIGVVVSPVRLKSLEEFGTPQFVADKLLQAEKRKESTKEAELITVAERSGEGGLQIYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDPHKRIILECRFQIDLLSGCSYVINI >Vigun09g117800.5.v1.2 pep primary_assembly:ASM411807v1:9:25917762:25920432:1 gene:Vigun09g117800.v1.2 transcript:Vigun09g117800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSFTFPRSTFFHQHSQSTYASSRFFVSILLPKPTSLTCIHESHKDMHLAPSFTKRNLNFTLLLTMFLWAQPVPLPNMGDALLLAQELELQRYTDSNQGFTLLTPSSWAKVDKAGATVLFEEQNMGSNNIGVVVSPVRLKSLEEFGTPQFVADKLLQAEKRKESTKEAELITVAERSGEGGLQIYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDPHKRIILEQVLHSFDAAA >Vigun09g117800.1.v1.2 pep primary_assembly:ASM411807v1:9:25917770:25920432:1 gene:Vigun09g117800.v1.2 transcript:Vigun09g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSFTFPRSTFFHQHSQSTYASSRFFVSILLPKPTSLTCIHESHKDMHLAPSFTKRNLNFTLLLTMFLWAQPVPLPNMGDALLLAQELELQRYTDSNQGFTLLTPSSWAKVDKAGATVLFEEQNMGSNNIGVVVSPVRLKSLEEFGTPQFVADKLLQAEKRKESTKEAELITVAERSGEGGLQIYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDPHKRIILEQVLHSFDAAA >Vigun09g117800.3.v1.2 pep primary_assembly:ASM411807v1:9:25917770:25920432:1 gene:Vigun09g117800.v1.2 transcript:Vigun09g117800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSFTFPRSTFFHQHSQSTYASSRFFVSILLPKPTSLTCIHESHKDMHLAPSFTKRNLNFTLLLTMFLWAQPVPLPNMGDALLLAQELELQRYTDSNQGFTLLTPSSWAKVDKAGATVLFEEQNMGSNNIGVVVSPVRLKSLEEFGTPQFVADKLLQAEKRKESTKEAELITVAERSGEGGLQIYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDPHKRIILECRFQIDLLSGCSYVINI >Vigun09g117800.6.v1.2 pep primary_assembly:ASM411807v1:9:25917770:25920432:1 gene:Vigun09g117800.v1.2 transcript:Vigun09g117800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSFTFPRSTFFHQHSQSTYASSRFFVSILLPKPTSLTCIHESHKDMHLAPSFTKRNLNFTLLLTMFLWAQPVPLPNMGDALLLAQELELQRYTDSNQGFTLLTPSSWAKVDKAGATVLFEEQNMGSNNIGVVVSPVRLKSLEEFGTPQFVADKLLQAEKRKESTKEAELITVAERSGEGGLQIYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDPHKRIILEQVLHSFDAAA >Vigun11g199000.1.v1.2 pep primary_assembly:ASM411807v1:11:39708458:39712073:-1 gene:Vigun11g199000.v1.2 transcript:Vigun11g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGEAEYFRSEIALLNFSDASLKPPLSPLFILIDPLTPTDSSSPSEESHPSQNQEQHPKDAWLPITESRNGNAFSAAFHVLNSNIGFQALMLPVAFATLGWVWGTVCLTVAFFWQLYSIFLLIQLHESVPGIRHSRYLFLAMAAFGKRLGKVAALFPVMYLSGGTCVMLIITGGGTLKLLFKTLCDNDNGNTCSAHALTGAEWFLVFTCAAILVAQLPNLNSMAMVSLVGAVTAISYCTLFWVLSVKKGRPNNVSYTSTLSQESTAVAKISDVLNAVGIIVLAYRGHNVLLEIQGTLPSDFERTSKKPMRRGVSMSYVLISMCVFPLAIAGFWAYGNQINEGGLLYSFPQFHRKQLTKFSMGAIYVLVIIHCLSSYQIYAMPVFDNLEIRFTSIRNEKCSRLARTCIRLFFGGLTFFIAVTFPFLPSLSALIGSITLVPITYSYPCFMFLSLKKPRPRGFVWWFNAALGFLGLLLSVLLLAAAARTLADKGLNANFFRP >VigunL070400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:104270:104515:1 gene:VigunL070400.v1.2 transcript:VigunL070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun03g329400.1.v1.2 pep primary_assembly:ASM411807v1:3:52650859:52652909:1 gene:Vigun03g329400.v1.2 transcript:Vigun03g329400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACAATDSVASGEIMLFGVRVVVDSMRKSVSMNNLSQYEHPHDSNNSSNSNNNKKDVLAAADYASADDAVPHNTGRQRERERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISKNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVQNQDTLSHSQPVCPAVPETNKSGFPMMPVYQFGVGSGVIAVQGGKPLEELTLGQGNIEHNVPINLVHSIPVVADPKASTVSDIITPSSSSAVDPPTLSLGLSFSSDQRQTSSRHSGLHAMPCFSNGDSIISVA >Vigun07g045650.1.v1.2 pep primary_assembly:ASM411807v1:7:4636761:4638743:1 gene:Vigun07g045650.v1.2 transcript:Vigun07g045650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWKRLGKEHTSNVVAVKSSKPCCYVSLQKEQRILELFKGCEEILQCYFYQDTIENGRRTYNLFMEYTPCGTLDDLIKKGSLSEKEYQLKIADFGLSNTIGDANVGLGEIMFRGTPIYMSPESIIGLMGTALDIWSLGCIVIEMMTGFPPWTNIQSIEELMWKLGLLQEVPKILDELNWDCKNFLSKCFAKDHRERWSVAMLLDHPFIQKEYPTSSMFNPSSFFSYDISNRR >Vigun03g333901.1.v1.2 pep primary_assembly:ASM411807v1:3:53182010:53186317:1 gene:Vigun03g333901.v1.2 transcript:Vigun03g333901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKLPSSFCSLSNSVIRRESLMNLGLVVIQVAPLKCVIQLLVLITGKCCI >Vigun02g009800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3890019:3892950:-1 gene:Vigun02g009800.v1.2 transcript:Vigun02g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVGSVAKAVAEYQYPWREKLVKYKDELAKGVWGYWDLGAWKPLSISARRRARLRKEVLLTGEDWPYDPERKEMKTRRKGHKCDRISAEKRENTAKLMEKMPQMLQDYKKRRWQKKMKEEDKGKI >Vigun03g067200.1.v1.2 pep primary_assembly:ASM411807v1:3:5546345:5552864:1 gene:Vigun03g067200.v1.2 transcript:Vigun03g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGGRLVAGSHNRNEFVLINADENGRIKSVRELSGQICQICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEDEDGADDLDNEFDYGDFDALGTQPMSESLYSGRPNTGRGSNNVSGIATNLDHGSAPLNSEIPLLTYGEEDPEISSDRHALIVPPYMNHGNRVHPMPYSDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQSDKLQVVKHEGNNDGGFGDDFEDADLPMMDEGRQPLSRKLPIPSSKINPYRMIVVLRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWIMDQFPKWYPIQRETYLDRLSLRYEKEGKPSELSSVDVFVSTVDPMKEPPLITANTVLSILAVDYPIDKVACYVSDDGAAMLTFEALSETSEFARRWVPFVKKYNIEPRAPEWYFGQKMDYLKNKVHPAFVRERRAMKRDYEEFKVRINSLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQDGVRDVEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRASAIITNAPYLLNVDCDHYINNSKALREAMCFMMDPQLGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRYALYGYDAPAKKKPPSKTCNCWPKWCCLCCGSRKKKNANTKKEKKRKVKHSEASKQIHALENIEAGNEGANNEKTSNLTQTKLEKKFGQSPVFVASTLLEDGGVAHGASPASLLKEAIQVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSVPLLVYCTLPAICLLTGKFIVPEISNYASLVFMGLFISIAATGILEMQWGGVSIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSELYIFKWTSLLIPPTTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSRDGPVLEICGLNCDES >VigunL059506.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000114.1:1236090:1236500:-1 gene:VigunL059506.v1.2 transcript:VigunL059506.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVVVVFMLVVAVVVVMVVVVVFAVLVVVVLVAGVVVMVVVLVVVVVAVVVVVVVVRVVVVTVMGCGGDGRCCGGGGHACGGGGCGDGGGGGDDGGGGGGGHGSGDGCGRGGGGGCGGGGSGACCGGSCGGGGG >Vigun06g059200.1.v1.2 pep primary_assembly:ASM411807v1:6:18617988:18623218:-1 gene:Vigun06g059200.v1.2 transcript:Vigun06g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFSSQHVLPKLLEAVKMLRNLPKEVAEVIDELESFQDFIDDEDKVAEAEHDHNRRDRIIKGLTRLREAAFRMEDVIDDYVICDEKQPEDPRCAALLCEVVEFIKTQIHRLQIAYQIQDVKALLRAERDGFENRFRTGSRSDGTRGNENFTWQKLRMDPLFIKEDEVVGFEEDIDTLKKCLTEGRKERTVISIVGMAGLGKTILSKQVFDRVHTDFECHALITVSRSYTVEGLLRDMTNKLCKERREDPPRDVAFMDQMSLIKEVRNRLHNKRYVVLFDDVWNETFWDDIELALIDNKNGSRILITTRDQKVVDFCKKCLFFEVHKLQPLSNSKSLELLCKKAFGNGFHGCCPKDYEEVGLDIVRKCGCLPLAIVAIGSLLYRKCKSPSDWGLFSQHLSLELESNSELDCVKKILSLSYDDLPQNLRSCLLYFGIYSKDYKVKCSRLFQQWIAEGFVKQERGRNLEEVAEQQIMELISRSLVLVSSFTTEGKVKACHVHDSIHEMIREKMKNTGFCHYIDEHNDFESSGMIRRLTIATNSYDLSGSSEGSQYVRSIFIFTNELSSEGFTRCLLAKYTRLKVLIFEIAPLYDVPENLGSLIHLKYLSFRNTSIRSLPKSIGELQNLESLDVRTNRVIEVPKEITKLRKLRCLFGYRISTIEVKDSLGKMTSLEKMHELRIDEDGVVIRELGKLNQLRDLRLSNFMGDHSDALSSSINEMQLLERLDIFVQYMSEPIDLHITSPLYKLRKLHLFGILKEFPSWIPRLQNLVKLSLKLSMLNNIPLKSLGNMPNLLILSFDSGSYEGETLHFENGGFQKLKELRFRALYNLRSIFIDKGALQSLEKLHMFDLPQLKAVPSGIQHLKKLHVLDIFHMPTEFHQRIEPETGEDHWMVKHVADVLAGSDFKNQLMYDLSKNIFMEGVADILRYIDGIEVLASSASGVVGGDDPFQIKL >Vigun06g061200.1.v1.2 pep primary_assembly:ASM411807v1:6:18912274:18916224:-1 gene:Vigun06g061200.v1.2 transcript:Vigun06g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSVTPLSLSNSSPSLSSKLKSPSLCLFSGNSLRVTCPKPLHSSTVVHVAPEVLDSSPDPLDPPPETLDDDSEPTTYQVGDLGTPSTSAISIGADADAMAPKQKIRIKLRSYWVPLIEDSCKQILDAARTTNAKTMGPVPLPTKRRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Vigun02g104400.4.v1.2 pep primary_assembly:ASM411807v1:2:25908127:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEILEELLNIEVEIQDVQEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSGSELKREEIEQLILHLLLGRFLERKL >Vigun02g104400.3.v1.2 pep primary_assembly:ASM411807v1:2:25908127:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEILEELLNIEVEIQDVQEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSDYAKLMVSLLQNMHANDQRSTMLQLVDKMKIKQKELGSELKREEIEQLILHLLLGRFLERKL >Vigun02g104400.5.v1.2 pep primary_assembly:ASM411807v1:2:25908363:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPRTTRLNLSLYLSQQCKQNEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSDYAKLMVSLLQNMHANDQRSTMLQLVDKMKIKQKELGSELKREEIEQLILHLLLGRFLKEEFQHTAYATNAYIAVGPLAKQILQGKKTVTLEIYTEQRTNAGGVRSVKRRSLGSSGLELKLDELRKELSYAGGILPHSVLSMEQISLLSSQKPNSLEQLEKVIGKLKTEMYGNRILEQIQKYSDSKPTDKQETDGRAAKRSKTKKNLVLIDSSEDEE >Vigun02g104400.2.v1.2 pep primary_assembly:ASM411807v1:2:25908128:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEILEELLNIEVEIQDVQEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSGSELKREEIEQLILHLLLGRFLKEEFQHTAYATNAYIAVGPLAKQILQGKKTVTLEIYTEQRTNAGGVRSVKRRSLGSSGLELKLDELRKELSYAGGILPHSVLSMEQISLLSSQKPNSLEQLEKVIGKLKTEMYGNRILEQIQKYSDSKPTDKQETDGRAAKRSKTKKNLVLIDSSEDEE >Vigun02g104400.1.v1.2 pep primary_assembly:ASM411807v1:2:25908128:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEILEELLNIEVEIQDVQEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSDYAKLMVSLLQNMHANDQRSTMLQLVDKMKIKQKELGSELKREEIEQLILHLLLGRFLKEEFQHTAYATNAYIAVGPLAKQILQGKKTVTLEIYTEQRTNAGGVRSVKRRSLGSSGLELKLDELRKELSYAGGILPHSVLSMEQISLLSSQKPNSLEQLEKVIGKLKTEMYGNRILEQIQKYSDSKPTDKQETDGRAAKRSKTKKNLVLIDSSEDEE >Vigun02g104400.6.v1.2 pep primary_assembly:ASM411807v1:2:25908363:25919401:1 gene:Vigun02g104400.v1.2 transcript:Vigun02g104400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPRTTRLNLSLYLSQQCKQNEQIRALIERQENLYERKSELSAILEACKESGNEAGNVASSAVEDWSGTFEWDSEANDVRLNVFGISSYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLREGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTNKGDEKFIYKALEKGEGDLKVLYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPMVALTATATQRVQNDLTEMLHIPRCVKFVSTVNRPNLFYMVKEKSSVGRVVIDEIAQFIQESYPNNESGIVYCFSRKECEQVAKELRERRISADYYHADMDVNAREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNEMCDICAWSREVKEVDLSGSELKREEIEQLILHLLLGRFLKEEFQHTAYATNAYIAVGPLAKQILQGKKTVTLEIYTEQRTNAGGVRSVKRRSLGSSGLELKLDELRKELSYAGGILPHSVLSMEQISLLSSQKPNSLEQLEKVIGKLKTEMYGNRILEQIQKYSDSKPTDKQETDGRAAKRSKTKKNLVLIDSSEDEE >Vigun09g099000.1.v1.2 pep primary_assembly:ASM411807v1:9:15813019:15817137:-1 gene:Vigun09g099000.v1.2 transcript:Vigun09g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETVPLKESLGKVNISPSQVALIVDNYLCANNLSHTRATFRMEASSLFSGSPFNQVCKPSLNLRRILEDYISLKRQNLILNQENLILNQERVAMMQEQFRVQKLVQDVQSVVNTYHTFQRLIPVADKTPSGVCTGMASVQNTNTDNKVQWQQSHKRKNSEAIDAPTIAKKPRGRPPGKKNQFKGLNMLPSVGTQSLIANSTVTRSQVPTNSSIIETHPGTATNKSVTTCNKDVVTHSETVMVCPDEEITYKPSLHSSPINSDTETKKKSELVCNASHVLLEDSIPNEFSIPHKETQKEQSDTDTKKKSELVCNGSHVLLENSISNEFSIPHQETQEEQMDLSHISFSDLDTEYWSNFSSKDIGIFDEDFFYLSAATHVKRLMHEVPIFNSIHIIFIGKYNFISTPFTP >Vigun09g099000.3.v1.2 pep primary_assembly:ASM411807v1:9:15813019:15817137:-1 gene:Vigun09g099000.v1.2 transcript:Vigun09g099000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETVPLKESLGKVNISPSQVALIVDNYLCANNLSHTRATFRMEASSLFSGSPFNQVCKPSLNLRRILEDYISLKRQNLILNQENLILNQERVAMMQEQFRVQKLVQDVQSVVNTYHTFQRLIPVADKTPSGVCTGMASVQNTNTDNKVQWQQSHKRKNSEAIDAPTIAKKPRGRPPGKKNQFKGLNMLPSVGTQSLIANSTVTRSQVPTNSSIIETHPGTATNKSVTTCNKDVVTHSETVMVCPDEEITYKPSLHSSPINSDTETKKKSELVCNASHVLLEDSIPNEFSIPHKETQKEQSDTDTKKKSELVCNGSHVLLENSISNEFSIPHQETQEEQMDLSHISFSDLDTEYWSNFSSKDIGIFDEDFFYLSAATHVKRLMHEA >Vigun09g099000.2.v1.2 pep primary_assembly:ASM411807v1:9:15815508:15817137:-1 gene:Vigun09g099000.v1.2 transcript:Vigun09g099000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETVPLKESLGKVNISPSQVALIVDNYLCANNLSHTRATFRMEASSLFSGSPFNQVCKPSLNLRRILEDYISLKRQNLILNQENLILNQERVAMMQEQFRVQKLVQDVQSVVNTYHTFQRLIPVADKTPSGVCTGMASVQNTNTDNKVQWQQSHKRKNSEAIDAPTIAKKPRGRPPGKKNQFKGLNMLPSVGTQSLIANSTVTRSQVPTNSSIIETHPGTATNKSVTTCNKDVVTHSETVMVCPDEEITYKPSLHSSPINSDTETKKKSELVCNASHVLLEDSIPNEFSIPHKETQKEQSDTDTKKKSELVCNGSHVLLENSISNEFSIPHQETQEEQMDLSHISFSDLDTEYWSNFSSKDIGIFDEDFFYLSAATHVKRLMHEGIC >Vigun04g182000.4.v1.2 pep primary_assembly:ASM411807v1:4:40614774:40618178:1 gene:Vigun04g182000.v1.2 transcript:Vigun04g182000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSTLPLNYSAFLHRGAPFLPSKLAARVACHRDVSLTPQTTFSIQKREKHANHSCNKFFIHAARNDHHITYDDGLPEEPFLLSLIKDVIWGLKYLFVFLAEQPSQLKYVEWPSFSSTLRTAVLTLILVALLIVALSSVDSVLCYLLALALRKKP >Vigun04g182000.3.v1.2 pep primary_assembly:ASM411807v1:4:40614602:40618178:1 gene:Vigun04g182000.v1.2 transcript:Vigun04g182000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSTLPLNYSAFLHRGAPFLPSKLAARVACHRDVSLTPQTTFSIQKREKHANHSCNKFFIHAARNDHHITYDDGLPEEPFLLSLIKDVIWGLKYLFVFLAEQPSQLKYVEWPSFSSTLRTAVLTLILVALLIVALSSVDSVLCYLLALALRKKP >Vigun04g182000.1.v1.2 pep primary_assembly:ASM411807v1:4:40614038:40618178:1 gene:Vigun04g182000.v1.2 transcript:Vigun04g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSTLPLNYSAFLHRGAPFLPSKLAARVACHRDVSLTPQTTFSIQKREKHANHSCNKFFIHAARNDHHITYDDGLPEEPFLLSLIKDVIWGLKYLFVFLAEQPSQLKYVEWPSFSSTLRTAVLTLILVALLIVALSSVDSVLCYLLALALRKKP >Vigun04g182000.2.v1.2 pep primary_assembly:ASM411807v1:4:40612184:40618178:1 gene:Vigun04g182000.v1.2 transcript:Vigun04g182000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSTLPLNYSAFLHRGAPFLPSKLAARVACHRDVSLTPQTTFSIQKREKHANHSCNKFFIHAARNDHHITYDDGLPEEPFLLSLIKDVIWGLKYLFVFLAEQPSQLKYVEWPSFSSTLRTAVLTLILVALLIVALSSVDSVLCYLLALALRKKP >Vigun09g073700.1.v1.2 pep primary_assembly:ASM411807v1:9:8191869:8193683:-1 gene:Vigun09g073700.v1.2 transcript:Vigun09g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGMLLESIINDCLGLPTNLLKELNDDRSWDFMVALRYLPASDGENNGIGEHQDANSVTLVIQDGVGGLQVRKNGEWIPVVPAEGTIVVNVGDVLQVLSNKKFKSATHKVVRTKERNKYSYVFFHNIRGDKWIEPLSQFTKDIGLPPKYIGFLYKEYQDLRMRNKTHPPSRSEDVIRITHYEI >Vigun08g071900.2.v1.2 pep primary_assembly:ASM411807v1:8:11731493:11737370:1 gene:Vigun08g071900.v1.2 transcript:Vigun08g071900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPATIDLDDYESSENQIVPDSGDFRLERRWKRRELSNIREKHNHHDSGTRSTINNCGNVSFLSIQEGPMSEDEMDEDYKLFLVTYNYEDIDVVSGDNADDPDNDINIGYDSVHYDPQYMMFLGEERFHNDPKLDTGMMNGSKQRYETRKNGNKGSGSVRKPSSGPIKSQLNLGGKRRLSKKRPSEDVSDVPGNNFYTEMKGSKQSYETGNAAYKGSDSLQKQTSCSLKSCQNLEAKRGHSKKRPHEYVWGLPQNICHNEMMNGPKQTCESGNRGSDLVRKQTRVIMKSQQNLEAGRGLSKKRPYEDVSVVPENNCHSNTELDVVDEDYQIFLNSCIDDYVPSELIRKTSSVMGNSQVSDHEMNEGDEDYLQYLNSLSIFDDEVECMAERNNSKTDMPERNTTKPDMPEKNTSKTDMPKRNTTQTDMPKRNTTKTDMPEKNTLKTDMPQWNTTETDMPKWNTTETDMRKRNTSYTDMSKRNTTKTDMPKRNTSKTNMPEKNSLKTNMPRRNTLETDMPKWNTIETDMPKRNTSETDMPKRNITKTNMPKKKTSKTDMPKRNTSETDMPKWNAIETDMHVRKTLESGMLDWNTFKTDIPERNTLHTHMPERNISNSVNVDDDSNSSEPDVILLEPDQIHENSPFVSSKTYDLSWFETEVNLKDNWQLSAYDHSQFRKRLMNDLQRPYDKEEHDRLLLEVNQKRKTERHIETRQGVVKSYCTKGVNKSYLELYPEIAKAMTKFRKPEMVLFILRGFIFWLQNLTHQGIFKPWDDNLCLEILSKM >Vigun08g071900.3.v1.2 pep primary_assembly:ASM411807v1:8:11732254:11737370:1 gene:Vigun08g071900.v1.2 transcript:Vigun08g071900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPATIDLDDYESSENQIVPDSGDFRLERRWKRRELSNIREKHNHHDSGTRSTINNCGNVSFLSIQEGPMSEDEMDEDYKLFLVTYNYEDIDVVSGDNADDPDNDINIGYDSVHYDPQYMMFLGEERFHNDPKLDTGMMNGSKQRYETRKNGNKGSGSVRKPSSGPIKSQLNLGGKRRLSKKRPSEDVSDVPGNNFYTEMKGSKQSYETGNAAYKGSDSLQKQTSCSLKSCQNLEAKRGHSKKRPHEYVWGLPQNICHNEMMNGPKQTCESGNRGSDLVRKQTRVIMKSQQNLEAGRGLSKKRPYEDVSVVPENNCHSNTELDVVDEDYQIFLNSCIDDYVPSELIRKTSSVMGNSQVSDHEMNEGDEDYLQYLNSLSIFDDEVECMAERNNSKTDMPERNTTKPDMPEKNTSKTDMPKRNTTQTDMPKRNTTKTDMPEKNTLKTDMPQWNTTETDMPKWNTTETDMRKRNTSYTDMSKRNTTKTDMPKRNTSKTNMPEKNSLKTNMPRRNTLETDMPKWNTIETDMPKRNTSETDMPKRNITKTNMPKKKTSKTDMPKRNTSETDMPKWNAIETDMHVRKTLESGMLDWNTFKTDIPERNTLHTHMPERNISNSVNVDDDSNSSEPDVILLEPDQIHENSPFVSSKTYDLSWFETEVNLKDNWQLSAYDHSQFRKRLMNDLQRPYDKEEHDRLLLEVNQKRKTERHIETRQGVVKSYCTKGVNKSYLELYPEIAKAMTKFRKPEMVLFILRGFIFWLQNLTHQGIFKPWDDNLCLEILSKM >Vigun08g071900.1.v1.2 pep primary_assembly:ASM411807v1:8:11731265:11737370:1 gene:Vigun08g071900.v1.2 transcript:Vigun08g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPATIDLDDYESSENQIVPDSGDFRLERRWKRRELSNIREKHNHHDSGTRSTINNCGNVSFLSIQEGPMSEDEMDEDYKLFLVTYNYEDIDVVSGDNADDPDNDINIGYDSVHYDPQYMMFLGEERFHNDPKLDTGMMNGSKQRYETRKNGNKGSGSVRKPSSGPIKSQLNLGGKRRLSKKRPSEDVSDVPGNNFYTEMKGSKQSYETGNAAYKGSDSLQKQTSCSLKSCQNLEAKRGHSKKRPHEYVWGLPQNICHNEMMNGPKQTCESGNRGSDLVRKQTRVIMKSQQNLEAGRGLSKKRPYEDVSVVPENNCHSNTELDVVDEDYQIFLNSCIDDYVPSELIRKTSSVMGNSQVSDHEMNEGDEDYLQYLNSLSIFDDEVECMAERNNSKTDMPERNTTKPDMPEKNTSKTDMPKRNTTQTDMPKRNTTKTDMPEKNTLKTDMPQWNTTETDMPKWNTTETDMRKRNTSYTDMSKRNTTKTDMPKRNTSKTNMPEKNSLKTNMPRRNTLETDMPKWNTIETDMPKRNTSETDMPKRNITKTNMPKKKTSKTDMPKRNTSETDMPKWNAIETDMHVRKTLESGMLDWNTFKTDIPERNTLHTHMPERNISNSVNVDDDSNSSEPDVILLEPDQIHENSPFVSSKTYDLSWFETEVNLKDNWQLSAYDHSQFRKRLMNDLQRPYDKEEHDRLLLEVNQKRKTERHIETRQGVVKSYCTKGVNKSYLELYPEIAKAMTKFRKPEMVLFILRGFIFWLQNLTHQGIFKPWDDNLCLEILSKM >Vigun10g073200.1.v1.2 pep primary_assembly:ASM411807v1:10:18646354:18646811:-1 gene:Vigun10g073200.v1.2 transcript:Vigun10g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNISLVVLVCKCIFRGMVLSLCCCSCLNLCTTWIKSLEGTYM >Vigun05g070600.1.v1.2 pep primary_assembly:ASM411807v1:5:6079718:6082326:-1 gene:Vigun05g070600.v1.2 transcript:Vigun05g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTRYAVVTGANKGIGFVICKQLVSNGVTVVLTARDEKRGIEAVEKLKEFGVSDQVLFHQLDVTDPKSIESLANFIKTQFGKLDILVNNAGIRGTTVDHDALAAAREKVESVDWRKFSYETYEATEASIGTNYYGVKLMCEAFIPLLELSDSPRIVNVSSTLGKLENIPNEWARGVLSDVESLTEEKVDEVVKKFLNDFKEGSLETNGWPRPSAYIVSKAALTAYTRALAKKHPSFCINAVCPGFVKTDLNFNSGYLGVDEGAESVVRLVLLPNGGPSGLFFSQSEVASV >Vigun06g190300.1.v1.2 pep primary_assembly:ASM411807v1:6:30810582:30814994:-1 gene:Vigun06g190300.v1.2 transcript:Vigun06g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLNINAPLMSVRRASATSPSLTEARKKILEKRHSLPYHKSDTTLDQVTKPVAVPFNWEHIPGRRKGNGGSEPHPPKATSITPSPRLPPGNSTNATKQPSERENKSASKFKSSNKSKSFNVSVAKVDTEKERKAEKIEKIVENRRSNVRYDDDDDNDAFSDALETLSHTESFSMNCSVSGVSGLESMGANKSGTFSTDQQTIDFMMSRFLPAAKAMTLQPPQYSSKKQSVLVEQQPRDISKFIREEKKPLSNKHNTAIIPYTGQSQEEESEDEGDDNEYDNSSNIAAKGCGLLPQLHIRNSLCLLNPVAAMKMKSQVSLPSASEVVKPNKTSHIRSFSPVPAVKKAWDAIHRNKSNSRAPSPDKQEGKKKLTSESNRFNYSGELLPGRLSPFRRSRAAATGISPSRRPQSPFRGVKLLGDSKEAENYKFGKVKFHSGVLGNVQDVMFQGNKKTSYSGSLTLEKTLYIDTVSTANLPSSNVSSLDNKRRVDTMVADLERRRGKESNSSIGSSGDIKQVQYAVEEKVTFDTEVLNSLDSIPPSLYRILNPTAKEGKTEGLTTDQNINQEPESLSLFQGTLVEDSKINAQQIVLVNDSGKHSADSVVSPLPPPLPKSPSESWLWRALPLVSVKNSFLHSSQGTQSQAKRHDSNATSGNLKWETIVKTSNLHHDHVRYSQELPTHKSKH >Vigun06g190300.2.v1.2 pep primary_assembly:ASM411807v1:6:30810617:30814995:-1 gene:Vigun06g190300.v1.2 transcript:Vigun06g190300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLNINAPLMSVRRASATSPSLTEARKKILEKRHSLPYHKSDTTLDQVTKPVAVPFNWEHIPGRRKGNGGSEPHPPKATSITPSPRLPPGNSTNATKQPSERENKSASKFKSSNKSKSFNVSVAKVDTEKERKAEKIEKIVENRRSNVRYDDDDDNDAFSDALETLSHTESFSMNCSVSGVSGLESMGANKSGTFSTDQQTIDFMMSRFLPAAKAMTLQPPQYSSKKQSVLVEQQPRDISKFIREEKKPLSNKHNTAIIPYTGQSQEEESEDEGDDNEYDNSSNIAAKGCGLLPQLHIRNSLCLLNPVAAMKMKSQVSLPSASEVVKPNKTSHIRSFSPVPAVKKAWDAIHRNKSNSRAPSPDKQEGKKKLTSESNRFNYSGELLPGRLSPFRRSRAAATGISPSRRPQSPFRGVKLLGDSKEAENYKFGKVKFHSGVLGNVQDVMFQGNKKTSYSGSLTLEKTLYIDTVSTANLPSSNVSSLDNKRRVDTMVADLERRRGKESNSSIGSSGDIKQVQYAVEEKVTFDTEVLNSLDSIPPSLYRILNPTAKEGKTEGLTTDQNINQEPESLSLFQGTLVEDSKINAQQIVLVNDSGKHSADSVVSPLPPPLPKSPSESWLWRALPLVSVKNSFLHSSQGTQSQAKRHDSNATSGNLKWETIVKTSNLHHDHVRYSQELPTHKSKH >Vigun06g190300.3.v1.2 pep primary_assembly:ASM411807v1:6:30810617:30814516:-1 gene:Vigun06g190300.v1.2 transcript:Vigun06g190300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLNINAPLMSVRRASATSPSLTEARKKILEKRHSLPYHKSDTTLDQVTKPVAVPFNWEHIPGRRKGNGGSEPHPPKATSITPSPRLPPGNSTNATKQPSERENKSASKFKSSNKSKSFNVSVAKVDTEKERKAEKIEKIVENRRSNVRYDDDDDNDAFSDALETLSHTESFSMNCSVSGVSGLESMGANKSGTFSTDQQTIDFMMSRFLPAAKAMTLQPPQYSSKKQSVLVEQQPRDISKFIREEKKPLSNKHNTAIIPYTGQSQEEESEDEGDDNEYDNSSNIAAKGCGLLPQLHIRNSLCLLNPVAAMKMKSQVSLPSASEVVKPNKTSHIRSFSPVPAVKKAWDAIHRNKSNSRAPSPDKQEGKKKLTSESNRFNYSGELLPGRLSPFRRSRAAATGISPSRRPQSPFRGVKLLGDSKEAENYKFGKVKFHSGVLGNVQDVMFQGNKKTSYSGSLTLEKTLYIDTVSTANLPSSNVSSLDNKRRVDTMVADLERRRGKESNSSIGSSGDIKQVQYAVEEKVTFDTEVLNSLDSIPPSLYRILNPTAKEGKTEGLTTDQNINQEPESLSLFQGTLVEDSKINAQQIVLVNDSGKHSADSVVSPLPPPLPKSPSESWLWRALPLVSVKNSFLHSSQGTQSQAKRHDSNATSGNLKWETIVKTSNLHHDHVRYSQELPTHKSKH >Vigun06g190300.4.v1.2 pep primary_assembly:ASM411807v1:6:30810673:30814516:-1 gene:Vigun06g190300.v1.2 transcript:Vigun06g190300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLNINAPLMSVRRASATSPSLTEARKKILEKRHSLPYHKSDTTLDQVTKPVAVPFNWEHIPGRRKGNGGSEPHPPKATSITPSPRLPPGNSTNATKQPSERENKSASKFKSSNKSKSFNVSVAKVDTEKERKAEKIEKIVENRRSNVRYDDDDDNDAFSDALETLSHTESFSMNCSVSGVSGLESMGANKSGTFSTDQQTIDFMMSRFLPAAKAMTLQPPQYSSKKQSVLVEQQPRDISKFIREEKKPLSNKHNTAIIPYTGQSQEEESEDEGDDNEYDNSSNIAAKGCGLLPQLHIRNSLCLLNPVAAMKMKSQVSLPSASEVVKPNKTSHIRSFSPVPAVKKAWDAIHRNKSNSRAPSPDKQEGKKKLTSESNRFNYSGELLPGRLSPFRRSRAAATGISPSRRPQSPFRGVKLLGDSKEAENYKFGKVKFHSGVLGNVQDVMFQGNKKTSYSGSLTLEKTLYIDTVSTANLPSSNVSSLDNKRRVDTMVADLERRRGKESNSSIGSSGDIKQVQYAVEEKVTFDTEVLNSLDSIPPSLYRILNPTAKEGKTEGLTTDQNINQEPESLSLFQGTLVEDSKINAQQIVLVNDSGKHSADSVVSPLPPPLPKSPSESWLWRALPLVSVKNSFLHSSQGTQSQAKRHDSNATSGNLKWETIVKTSNLHHDHVRYSQELPTHKSKH >VigunL028900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:140964:142025:1 gene:VigunL028900.v1.2 transcript:VigunL028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbD MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >Vigun02g092300.1.v1.2 pep primary_assembly:ASM411807v1:2:24754217:24758936:1 gene:Vigun02g092300.v1.2 transcript:Vigun02g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPKDPQQTLQTDTDPPPSSIFNKLFGNAPEQGTATAASLFSDDNPFRRKPAPLSDTTNQAHIPNNGDAENRDAGDEKKRKRNKEKIPALDPVSVTDVLDSSEKKRKRGSDEGREGGLELGAEAIGKRKRKRDEVEREWEEKRYGPVDEVQKEGIESKTVGNKRKTLDDPADTMVAKEGFDDEDKLLRTVFVGNLPLKVKKKILLKEFKKFGEVESVRIRSIPLQDTKKPRKGAILAKKINDAGDSVHAYIVYKTEQSAQASLSHNMSLVEGNHIRVDRACPPRKKHKGESVPLYDNKRTVFVGNLPFDVKDEELYQLFCGISNLESSVEAVRVVRDPHLNVGKGIAYVLFKTKEAAKFVIKRRNLKLRDRELRLSHAKEDATPSKRPNPSSTQGHTPSKRPNRSSTQAPGTPAKKFSVASRSPSSSVNRSNRKGNASYQGLRATKSDVQKKSQGGEKPKQRLTKRPSVAARKAKAKLQESGAQKQAGLKRKLDTRTPDSTMRNKKVKKNR >Vigun04g040400.1.v1.2 pep primary_assembly:ASM411807v1:4:3471165:3473546:-1 gene:Vigun04g040400.v1.2 transcript:Vigun04g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMVFVGVKVAAVSLPPLPITNNALTFDSTQKRHFLPLNCSQTNNRTTSFSSSFSISSSPLTNKGGCSVLPCLPPASSESSTSSFSTAKPSTPFPSTKLYVSGLSFRTTEESLRNAFKNFGQLVEVKLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKGHICGSCQTEIRATAAEKGRHKILIRRSTVCPLQCT >Vigun04g040400.2.v1.2 pep primary_assembly:ASM411807v1:4:3469900:3473546:-1 gene:Vigun04g040400.v1.2 transcript:Vigun04g040400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMVFVGVKVAAVSLPPLPITNNALTFDSTQKRHFLPLNCSQTNNRTTSFSSSFSISSSPLTNKGGCSVLPCLPPASSESSTSSFSTAKPSTPFPSTKLYVSGLSFRTTEESLRNAFKNFGQLVEVKLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKFLDGRVIFVEVAKPRSELPQRKREGTRF >Vigun04g040400.3.v1.2 pep primary_assembly:ASM411807v1:4:3471771:3473546:-1 gene:Vigun04g040400.v1.2 transcript:Vigun04g040400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMVFVGVKVAAVSLPPLPITNNALTFDSTQKRHFLPLNCSQTNNRTTSFSSSFSISSSPLTNKGGCSVLPCLPPASSESSTSSFSTAKPSTPFPSTKLYVSGLSFRTTEESLRNAFKNFGQLVEVKLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKV >Vigun05g048400.1.v1.2 pep primary_assembly:ASM411807v1:5:4157698:4158014:1 gene:Vigun05g048400.v1.2 transcript:Vigun05g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFMRKVEVKPNSRLCEQGLRSGFFFSERRNWGFFQGLREVGKYKRNKHYGRNI >Vigun01g035500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4616896:4618395:1 gene:Vigun01g035500.v1.2 transcript:Vigun01g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTTMGLPLRFLFFLSLALFSTLPNHLQASFSHESHIELPSETQRSSQKQTHLHFFYHDLRSAKNPSIVQIVATPKNVPNGFGSTFVMDDPMTEGPDLSSKPVGRAQGLFGLASLNDLGMFMLINFAFTEGDYAGSSLSMLGRNPISEQNREMPIVGGTGVFRFATGYAIANSVDQISTPEHFVVEYNVTVRHG >Vigun02g122200.1.v1.2 pep primary_assembly:ASM411807v1:2:27430229:27431237:1 gene:Vigun02g122200.v1.2 transcript:Vigun02g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCDPDFELPVCYRFVHIRLHVLSFVHVNISNPALATLLCRDRCRSC >Vigun08g067100.2.v1.2 pep primary_assembly:ASM411807v1:8:10024151:10026977:1 gene:Vigun08g067100.v1.2 transcript:Vigun08g067100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKPLHPTNTARHLLPSNLLLMGIRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHESISSGTPAQNQNHTENSKPALPGALVDIDAPLVDTGDTSRQTKERPSSNVEAESQTMNQLLLPAPPTSNGSTIPVKVDPKLDLLSGDDYNSPKADASNALVPVGEQPPASPVSQQNALVLFDMFSNGNNAPTSVNSQLPQPANVAGQSTPYTPQFQQQQTVISQGGFYPNGNVPNAGSPQYEQQPLYTHGTGPAWNGQVAQQQQQPPSPIYGTQSGGSLPPPPWEAQAADNGSSVGGAQYPYVQNAAHPPGSQTMGNEQGVGMYMQPNANSHMPGMHNHVGSNQMGLHPQHIQGVAGPYMGMASHQMQGGPVMYPQQMYGTQFMGYGYDHQQQGVPYIERQMYGMSVKDDSALRNSYTVSTTSYAPPSGKPSKPEDKLFGDLVNLAKVKPNPTPGRAGSM >Vigun08g067100.1.v1.2 pep primary_assembly:ASM411807v1:8:10016326:10026977:1 gene:Vigun08g067100.v1.2 transcript:Vigun08g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNIEICDMLNHDPGQAKDVVKGIKKKIGSKNSKVQLLALTLLETIIKNCGDIVHMHVAERDVLHDMVKIVKKKPDFHVKEKILTLIDTWQEAFGGPRARYPQYFAAYQELLRAGAVFPQRSEQSAPVFTPPQTQPLASYPQNIRDTDTRQDTAESSAESEFPTLSLTEIQNARGIMDVLAEMLNALDPSNKEGIRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHESISSGTPAQNQNHTENSKPALPGALVDIDAPLVDTGDTSRQTKERPSSNVEAESQTMNQLLLPAPPTSNGSTIPVKVDPKLDLLSGDDYNSPKADASNALVPVGEQPPASPVSQQNALVLFDMFSNGNNAPTSVNSQLPQPANVAGQSTPYTPQFQQQQTVISQGGFYPNGNVPNAGSPQYEQQPLYTHGTGPAWNGQVAQQQQQPPSPIYGTQSGGSLPPPPWEAQAADNGSSVGGAQYPYVQNAAHPPGSQTMGNEQGVGMYMQPNANSHMPGMHNHVGSNQMGLHPQHIQGVAGPYMGMASHQMQGGPVMYPQQMYGTQFMGYGYDHQQQGVPYIERQMYGMSVKDDSALRNSYTVSTTSYAPPSGKPSKPEDKLFGDLVNLAKVKPNPTPGRAGSM >Vigun10g129300.3.v1.2 pep primary_assembly:ASM411807v1:10:33726735:33732104:-1 gene:Vigun10g129300.v1.2 transcript:Vigun10g129300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKSASIQTVVCFPYQKGVIEIGSTELVIEDPNLIQHVKACFLEISKPTCSDKSSSVLDKPHDDKYPTCTKSDHRVFDVMALENPCSLEKKIKFDNHDPVNGLEGDNNEDSNMDFPDGCEHHYPMESMIDASENQGKDSKNEGQTQLLELQDCYRPKRGFLDAGADEDLCYIRTLCAILGNSSTFKANPYAGNSNCKSSFAKWKKGRVSERKRSKFHQSMLKKTLFKVPFMHRSYFSRKSQKESDRMEWTSKLENAGDGFMGKTLSDKKREIKNFQVVKSLAPSSISEEEKISILGDTIKYLKRLETRVEELESYMEVADTEARTRRKCPDVLEQMSDNYGTRKICMGVKPWMNKRKASGFDEMDTELERIVCEEAKALDVKVKVKEQEVLIEMKCPYREYILYDIMDTINNLHLDAHTVESSTSDGVLSLTLKSKFRGAATAPLRMIKEALWKAYGNV >Vigun10g129300.4.v1.2 pep primary_assembly:ASM411807v1:10:33726735:33732098:-1 gene:Vigun10g129300.v1.2 transcript:Vigun10g129300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKSASIQTVVCFPYQKGVIEIGSTELVIEDPNLIQHVKACFLEISKPTCSDKSSSVLDKPHDDKYPTCTKSDHRVFDVMALENPCSLEKKIKFDNHDPVNGLEGDNNEDSNMDFPDGCEHHYPMESMIDGMNGVPSQVHFVNDALVIGAPDSLSSCDCMSEASENQGKDSKNEGQTQLLELQDCYRPKRGFLDAGADEDLCYIRTLCAILGNSSTFKANPYAGNSNCKSSFAKWKKGRVSERKRSKFHQSMLKKTLFKVPFMHRSYFSRKSQKESDRMEWTSKLENAGDGFMGKTLSDKKREIKNFQVVKSLAPSSISEEEKISILGDTIKYLKRLETRVEELESYMEVADTEARTRRKCPDVLEQMSDNYGTRKICMGVKPWMNKRKASGFDEMDTELERIVCEEAKALDVKVKVKEQEVLIEMKCPYREYILYDIMDTINNLHLDAHTVESSTSDGVLSLTLKSKFRGAATAPLRMIKEALWKAYGNV >Vigun10g129300.1.v1.2 pep primary_assembly:ASM411807v1:10:33726735:33732098:-1 gene:Vigun10g129300.v1.2 transcript:Vigun10g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKSASIQTVVCFPYQKGVIEIGSTELVIEDPNLIQHVKACFLEISKPTCSDKSSSVLDKPHDDKYPTCTKSDHRVFDVMALENPCSLEKKIKFDNHDPVNGLEGDNNEDSNMDFPDGCEHHYPMESMIDGMNGVPSQVHFVNDALVIGAPDSLSSCDCMSEASENQGKDSKNEGQTQLLELQDCYRPKRGFLDAGADEDLCYIRTLCAILGNSSTFKANPYAGNSNCKSSFAKWKKGRVSERKRSKFHQSMLKKTLFKVPFMHRSYFSRKSQKESDRMEWTSKLENAGDGFMGKTLSDKKREIKNFQVVKSLAPSSISEEEKISILGDTIKYLKRLETRVEELESYMEVADTEARTRRKCPDVLEQMSDNYGTRKICMGVKPWMNKRKASGFDEMDTELERIVCEEAKALDVKVKVKEQEVLIEMKCPYREYILYDIMDTINNLHLDAHTVESSTSDGVLSLTLKSKFRGAATAPLRMIKEALWKAYGNV >Vigun10g129300.2.v1.2 pep primary_assembly:ASM411807v1:10:33726735:33732104:-1 gene:Vigun10g129300.v1.2 transcript:Vigun10g129300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKSASIQTVVCFPYQKGVIEIGSTELVIEDPNLIQHVKACFLEISKPTCSDKSSSVLDKPHDDKYPTCTKSDHRVFDVMALENPCSLEKKIKFDNHDPVNGLEGDNNEDSNMDFPDGCEHHYPMESMIDASENQGKDSKNEGQTQLLELQDCYRPKRGFLDAGADEDLCYIRTLCAILGNSSTFKANPYAGNSNCKSSFAKWKKGRVSERKRSKFHQSMLKKTLFKVPFMHRSYFSRKSQKESDRMEWTSKLENAGDGFMGKTLSDKKREIKNFQVVKSLAPSSISEEEKISILGDTIKYLKRLETRVEELESYMEVADTEARTRRKCPDVLEQMSDNYGTRKICMGVKPWMNKRKASGFDEMDTELERIVCEEAKALDVKVKVKEQEVLIEMKCPYREYILYDIMDTINNLHLDAHTVESSTSDGVLSLTLKSKFRGAATAPLRMIKEALWKAYGNV >Vigun08g004300.1.v1.2 pep primary_assembly:ASM411807v1:8:373082:375330:-1 gene:Vigun08g004300.v1.2 transcript:Vigun08g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMQLTSLLRFIVIFGLWCRTKGVVKLPPHVSVPAVLAFGDSIVDSGNNNNIKTLVKCNFPPYGKDFQGGKPTGRFCNGKIPSDIIVEELGIKEYLPAYLDPNLKSSDLVTGVCFASGASGYDPLTPQIASVISLSTQLDMFKEYIGKLKRIVGESRTNFILANSLCLVVAGSDDIANTYFVAHARILQYDIPSYTDLMVNSASDFVKDIYNLGARRIAVLSAPPIGCVPSQRTLAGGLERGCSEKYNNAAKLFNSKLSKELDSLRSYPNSSIVYIDVYNPLFDIIQNYQKYGYKVMDRGCCGTGKLEVAVLCNPLDSTCSNASEYVFWDSYHPTEGVYRRIVNHVLEKYMNQLF >Vigun02g102800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25760474:25762014:1 gene:Vigun02g102800.v1.2 transcript:Vigun02g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVMKITLTKTFILRCFLVSLFLSLPFIFLYLLFPQQQPFHNPVTNKDLTIRPGYSSYESYIQRQLNKTLNPKLRKIWTTRDWDRKIPVFARFFEELKDKKLLRNTSKALCIGARVGQEVEALRRIGVVDSVGMDLVPYPPLVVKGDFHNQPFNNDTFDFEFSNVFDHALYPERFVAEIERTLKPEGVCVLHVALSRRADKYSANDLYSIQPLVELFNHSSLVHVRTVDGFGLDTEVAFRKNGGTRHHRF >Vigun03g143200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14465347:14467293:1 gene:Vigun03g143200.v1.2 transcript:Vigun03g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPIIPLSLLLLLLPLSLANSASLKQSFVQCLNLNSGTKYSPDSLIYTPSNPSFTSILDTTAQNLRCLVPSAPKPEFIFTPDTDSLVQAAVICSKKLGIHFIVRSGGHDYEGISYVSEIESPFIIIDLVKLRGINVDIKSNTAWVQAGATTGELYYRIYEKSSVHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGVDNVIDAKIVDANGRILDRKAMGEDLFWAIRGGGGGSFGILLWWKLKLVPVPPTVTVFTVTKSLEQGATKILHRWQEVAPYIDENLFIRVIIQPSSAANKTHRTVTTSYNALFLGGARTLLQIMKKSFPELDLTRKDCLETSWIHSVLYIAGFPSGTPPEVLLKGKPTFKNFFKAKSDFVRKPIPETGLEGLWQRLLIEDSPLMIWNPYGGKMSQFSESETPFPHRNGTLYKIQYLTLWQEGDKNPAKHVDWIRKLYNYMDPYVSSFPREAYVNYRDLDLGENTKNSTTYEKARSWGYSYYKNNYERLVRIKTEVDPQNVFRHEQSIPTRRF >Vigun07g294000.2.v1.2 pep primary_assembly:ASM411807v1:7:40512035:40515912:-1 gene:Vigun07g294000.v1.2 transcript:Vigun07g294000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRPSDTAIFYRKQLSAWHWRVRLLGRQQVVGLMMLMEERRQPSLQMLSLPWEQLSWPLLQILMFSYLAVFLLVWVWVPGTWRWMLGVSGVPAVVQFFLMLLLPESPRWLFIKNREEEAITVLAKIYDFARLEDEVNLLTTQSERDHQRGDNIRYRDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNELALLLSLIVAGMNAAGTVLGIYLIDHAGRRKLALFSLGGVIASLIILALSFLNQSSGSGLYGWLAVLGLVLYIGFFAPGMGTVPWTVNSEVYPEEYRGTCGGMSATVNWISNLIVAQSFLSVAAAVGTGPTFLIIAIIAVLAFVFVLVYVPETKGLTFDEVELLWKQRAWPNNPEYAQNLLHNANHS >Vigun07g294000.3.v1.2 pep primary_assembly:ASM411807v1:7:40512035:40515912:-1 gene:Vigun07g294000.v1.2 transcript:Vigun07g294000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSGVPAVVQFFLMLLLPESPRWLFIKNREEEAITVLAKIYDFARLEDEVNLLTTQSERDHQRGDNIRYRDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNELALLLSLIVAGMNAAGTVLGIYLIDHAGRRKLALFSLGGVIASLIILALSFLNQSSGSGLYGWLAVLGLVLYIGFFAPGMGTVPWTVNSEVYPEEYRGTCGGMSATVNWISNLIVAQSFLSVAAAVGTGPTFLIIAIIAVLAFVFVLVYVPETKGLTFDEVELLWKQRAWPNNPEYAQNLLHNANHS >Vigun07g294000.1.v1.2 pep primary_assembly:ASM411807v1:7:40512035:40515912:-1 gene:Vigun07g294000.v1.2 transcript:Vigun07g294000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITTITHSIPGSSGYLDIYPERKVSVFKNPYIIGLTAVASIGGLLFGYDTGVISGALLYIKDDFEAVRHSNFLQETIVSMALAGAIVGAAAGGWINDAYGRKKATVIADVIFTLGAIVMAAAPDPYVLILGRFFVGLGVGIASVTAPVYIAELSPSEIRGALVSTNVLMITGGQFLSYLINLAFTQVPGTWRWMLGVSGVPAVVQFFLMLLLPESPRWLFIKNREEEAITVLAKIYDFARLEDEVNLLTTQSERDHQRGDNIRYRDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNELALLLSLIVAGMNAAGTVLGIYLIDHAGRRKLALFSLGGVIASLIILALSFLNQSSGSGLYGWLAVLGLVLYIGFFAPGMGTVPWTVNSEVYPEEYRGTCGGMSATVNWISNLIVAQSFLSVAAAVGTGPTFLIIAIIAVLAFVFVLVYVPETKGLTFDEVELLWKQRAWPNNPEYAQNLLHNANHS >Vigun03g284700.1.v1.2 pep primary_assembly:ASM411807v1:3:46564004:46569181:-1 gene:Vigun03g284700.v1.2 transcript:Vigun03g284700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSPFTLSRTFSFSSSLTPIALQIQIFPKTPPPQLLPFRISAVAIDPPQEELPKNSPQRLLQELAERKRPYRRTPRTPPRNLILTRPLDNKRLANRLLNSPQLSLKSFPLLSSCLPFTQLNYGDRAWMEQHLLEVKQALGYPLEPSHTLGDDNNPARELDTLLYLAFQHESSQRNKVRHVRYGHSRLFFLGQYVLELAFAEFFLQRYPRESPASMRERVFGLIGKRNMPKWIKASSLHNLLFPYDDMDKLVRKEREATVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPDAEECQPKLRRQLEDIDHVSAEFEGNISWQDMVAYKPPADALFAHPRLFRACVPPGMHRFRGNVWDYDCKPQIMRVLGYPLKLTDRSPEITKARNIELDLGLQLCFLHPSKYKFDHPRFCYERLEYLGQKIQDLVMAERLLMKHLDAPGLWLQNKHRRFLMNKFCGRYLRYKNLHHFIVYSEEVQDAYQRSYRLKYPVNTAVQQALHGLSYTVYGKPDVRRLMFEVFDFEQIQPEPM >Vigun06g011700.1.v1.2 pep primary_assembly:ASM411807v1:6:5244407:5248976:1 gene:Vigun06g011700.v1.2 transcript:Vigun06g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIFVPSLSLPQFPKSQTLSNSPLLVHSKPTSLAFNSVTHHHSTKCFLLHPQAGVRLKAKEKQNLGVVQASEAASPKTTTTTTTTNVNIAERWLLEPVGSISLPQTHNCSTRQDSNNRFRHHSNLNQNHKHILFLQFFPDRDTKDANNQNSRTRNYYQHQVDKQGEFKKNNKASLFTNMWWVDVKAALSQRINWEGILCSTMVILKDPKLAMPHLSVPDIRYVDWAELRRKGFKGVVFDKDNTITVPYSLKPWPPLESSLECCKLEFGDDIAVFSNSAGLHQYDHDGSKARMLEGAIGIKVIKHRVKKPAGTAEEIEKHFGCEASRLIMVGDRPFTDIVYGNRNGFLTILTEPLSLAEEPFIVKQVRKLESSFVRYWSRRGLKPLDQRLLPDPKLCVREPYPSQD >Vigun06g011700.2.v1.2 pep primary_assembly:ASM411807v1:6:5244407:5249095:1 gene:Vigun06g011700.v1.2 transcript:Vigun06g011700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWVDVKAALSQRINWEGILCSTMVILKDPKLAMPHLSVPDIRYVDWAELRRKGFKGVVFDKDNTITVPYSLKPWPPLESSLECCKLEFGDDIAVFSNSAGLHQYDHDGSKARMLEGAIGIKVIKHRVKKPAGTAEEIEKHFGCEASRLIMVGDRPFTDIVYGNRNGFLTILTEPLSLAEEPFIVKQVRKLESSFVRYWSRRGLKPLDQRLLPDPKLCVREPYPSQD >Vigun06g011700.3.v1.2 pep primary_assembly:ASM411807v1:6:5244407:5248976:1 gene:Vigun06g011700.v1.2 transcript:Vigun06g011700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTPLLGFQVAGSISLPQTHNCSTRQDSNNRFRHHSNLNQNHKHILFLQFFPDRDTKDANNQNSRTRNYYQHQVDKQGEFKKNNKASLFTNMWWVDVKAALSQRINWEGILCSTMVILKDPKLAMPHLSVPDIRYVDWAELRRKGFKGVVFDKDNTITVPYSLKPWPPLESSLECCKLEFGDDIAVFSNSAGLHQYDHDGSKARMLEGAIGIKVIKHRVKKPAGTAEEIEKHFGCEASRLIMVGDRPFTDIVYGNRNGFLTILTEPLSLAEEPFIVKQVRKLESSFVRYWSRRGLKPLDQRLLPDPKLCVREPYPSQD >Vigun02g074832.1.v1.2 pep primary_assembly:ASM411807v1:2:22643277:22644389:-1 gene:Vigun02g074832.v1.2 transcript:Vigun02g074832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGNGSAKLLPFVGMMVAMLTQSGSMVVIKFAMIDDINKYAMVVYSFALSTILLLPFAFFLYRSERPPLTFSALCSFFLLAFFGSSGTIMAYVGIELSSPTLASALLNLVPAFTFILALIFRMEEVHWRNSSSQAKVLGTIASIGGALL >Vigun07g286200.1.v1.2 pep primary_assembly:ASM411807v1:7:39916996:39917806:-1 gene:Vigun07g286200.v1.2 transcript:Vigun07g286200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSTKLTLKLFIDSKREIVPFAETSKAVVDSLLTLLCLPFGTVIRILNKNQMIGSLGNLYQSVGNLDKTYMQEKEHKDLLLKPSTPMYSQISGLLSSINGTSSETSTTVFFYRCPKHYEFVTCDNTISCPEKCGTIMNREMRFLGKNVPKQISAEKSGFVKEAVTYMVMDDLVIHPLSSIALLTKLNVRDVGALQEKVVELDIKQVVNLIRASLQSKTVLTDVFLKN >Vigun06g068500.1.v1.2 pep primary_assembly:ASM411807v1:6:19790611:19795102:1 gene:Vigun06g068500.v1.2 transcript:Vigun06g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSLQITRSATGDYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTSQLGWIGGPIALLCCAIVTYISSFLLSDCYRTPDPVTGKRNYSYMDAVRTYLGIKRTWIAGFLQFLTLYGTGIAYVLTTATCLGAILASNCYHKKGHQAPCHFEGNMYMVMFGVVQIVMSFIPDLHNMEWVSVVAAIMSFTYSFIGLGLGIATVIKNGRIMGSLSGIPTNTVADKFWAIFQALGDIAFAYPYSILLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGNNTPGNLLTGLLKGSGFYEPFWLVDLANVCIIIHLVGGYQVYSQPIYSTADRWASRKFPNSGFVNKFYKVKLPLVPGFQLNLFRFCFRTTYVITTVGVAILFPYFNEILGVLGAINFWPLAIYFPVEMYFVQHKVEAWSRKWIVLRTFSFACFLVSVMGLVGSVVGIVKEKMS >Vigun06g068500.3.v1.2 pep primary_assembly:ASM411807v1:6:19790611:19795087:1 gene:Vigun06g068500.v1.2 transcript:Vigun06g068500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSLQITRSATGDYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTSQLGWIGGPIALLCCAIVTYISSFLLSDCYRTPDPVTGKRNYSYMDAVRTYLGIKRTWIAGFLQFLTLYGTGIAYVLTTATCLGAILASNCYHKKGHQAPCHFEGNMYMVMFGVVQIVMSFIPDLHNMEWVSVVAAIMSFTYSFIGLGLGIATVIKNGRIMGSLSGIPTNTVADKFWAIFQALGDIAFAYPYSILLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGNNTPGNLLTGLLKGSGFYEPFWLVDLANVCIIIHLVGGYQVYSQPIYSTADRWASRKFPNSGFVNKFYKVKLPLVPGFQLNLFRFCFRTTYVITTVGVAILFPYFNEILGVLGAINFWPLAIYFPVEMYFVQHKVEAWSRKWIVLRTFSFACFLVSVMGLVGSVVGIVKEKMS >Vigun06g068500.2.v1.2 pep primary_assembly:ASM411807v1:6:19790565:19795101:1 gene:Vigun06g068500.v1.2 transcript:Vigun06g068500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSLQITRSATGDYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTSQLGWIGGPIALLCCAIVTYISSFLLSDCYRTPDPVTGKRNYSYMDAVRTYLGIKRTWIAGFLQFLTLYGTGIAYVLTTATCLGAILASNCYHKKGHQAPCHFEGNMYMVMFGVVQIVMSFIPDLHNMEWVSVVAAIMSFTYSFIGLGLGIATVIKNGRIMGSLSGIPTNTVADKFWAIFQALGDIAFAYPYSILLLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGNNTPGNLLTGLLKGSGFYEPFWLVDLANVCIIIHLVGGYQVYSQPIYSTADRWASRKFPNSGFVNKFYKVKLPLVPGFQLNLFRFCFRTTYVITTVGVAILFPYFNEILGVLGAINFWPLAIYFPVEMYFVQHKVEAWSRKWIVLRTFSFACFLVSVMGLVGSVVGIVKEKMS >Vigun05g231600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42519017:42520225:1 gene:Vigun05g231600.v1.2 transcript:Vigun05g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEIPAHFLCPISLQLMRDPVTVCTGITYDRENIEKWLFSCKNSTCPVTKQCLLDHDLTPNHTLRRLIQSWCTLNASFGVERIPTPKSPIDRTQIVKLLTEAKRFPEKQLKCLTRLRSIAFEGQRNKACLESAGVVEFLASTMKHKTEENSIVLSEAAIEVLFHLTPSEAQLKALIYNEGFQFIESLLHVLRLGNYQSRAYATMLLRSALEVADPIQLISVKTVLFVEILRVLRDQISQQASKAALKLIVELFPWGRNRIKGVEGGAVSVLIELLLCTSERRTCELILIALDQLCGCAEGRAELLNHGAGVAIVSKKILRVSLVASDRGVRILASICRYSANARVLHEMLQVGAVSKLCLVLQVNCSFKTKERAKEILRLHSSVWKNSPCIPVPLLSSFP >Vigun09g014700.2.v1.2 pep primary_assembly:ASM411807v1:9:1095992:1098074:1 gene:Vigun09g014700.v1.2 transcript:Vigun09g014700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFVQNLLQQMQSRFQTMSNSIITKIDEMGSRIEELEQNINELRAEIGLESSPPPVTEREKSPLRELKPEIENP >Vigun09g014700.1.v1.2 pep primary_assembly:ASM411807v1:9:1095336:1098074:1 gene:Vigun09g014700.v1.2 transcript:Vigun09g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDAEDTKQSTADMTAFVQNLLQQMQSRFQTMSNSIITKIDEMGSRIEELEQNINELRAEIGLESSPPPVTEREKSPLRELKPEIENP >Vigun08g205100.1.v1.2 pep primary_assembly:ASM411807v1:8:36855146:36858107:-1 gene:Vigun08g205100.v1.2 transcript:Vigun08g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRPLTNPNELLSLSCSSTFHHNALTTSVSFNPRTTRIAPQRRRFASVRCSYAYVDNAKIKVVGIGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLNSTAENPIKIGEVLTRGLGTGGNPLLGEQAAEESRDAIAEALKGSDMVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQAFEAIERLQKNVDTLIVIPNDRLLDIADEQMPLQDAFRLADDVLRQGVQGISDIITVPGLVNVDFADVKAVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKKLLTDPRAAKLLDKMADGQQSKAVPPSLKASNTIESKPSPRKLFF >Vigun02g112800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26682889:26685021:1 gene:Vigun02g112800.v1.2 transcript:Vigun02g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKEEGSSSYTLPPYPREDTPLLGKAPALSSNLKTFANIFISIVGAGVLGLPYSFKRTGWLMGLLMLFSVAFLTYHCMMLLVLTRRKLESLTGFTKITSFGDLGFTICGPVGRFAVDAMIVLSQSGFCVSYLIFISSTLAFLTNNETTPVFLGFTPKVLFLWACFPFQLGLISVRTLTHLAPLSIFADVVDLAAKSVVMVEDVFVSVKNKPDLKVFGGLSVFLYGIGVAVYAFEGIGMVLPLESEARYKEKFGRVLGLGMGSISVLFGLFGGLGYFAFGEETKGIITTNLGPGVISLLVQLGLCINLFFTFPIMMNPVNEVMERRFCGSRYCLWLRWLMVLLISLVALLVPNFADFLSLVGSSVCVVLSFVLPAMFHLIVFKDELGWSCFVWDGAIVVFGFVIAVSGTYTSVMEILSPTS >Vigun06g023400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11005736:11010964:1 gene:Vigun06g023400.v1.2 transcript:Vigun06g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKSKSKDKKAGKEAQKSVAKSSGSANAVAGVPASAYNPLLGTFHTLEMQPASTSQVQSNGRFRNIDETDEHPAGSVIAGVEYDSVSNNGSWSGESEDHKEKSSIPPARLEAVPGADNDKREKIRQKNEKKHQRQKERRAQELHDRCSGYIMSRKLEALAQQLVAMGFSHERATVALILNEGRVEESVAWLFEGGEEADNHKDANISGSNLKIDISDELARIADLEIKFSFSKQEVERAVVACEGDLDKAADSLRELKLDRPSGPPKPDEVGDPPSFGSKQTGVVNQNARSQTKPILSPKKDEKDFNYTKQAITGGSAESSNRPMQPLKRIQSKSDWAKPQQASIPADKRWPIAGSNPSVSYSLASPLQVSPTPAKTEASYAAVGGDYKNPQPGSAREPVIVMQRPQTVNTKQIPAASLSSSPPGIAASWYPTNSVEVMRSNGFMSHPPSSKSLSSNYFSSNQMYHQLQGQPPQQFVAGNSSSVDLQATNRGSSLWNGTMAASPTLAAASSLGLFSGLGSAATSGSTSPVDWSTGGSMQFDYTNIDWSLDRGLSPPRSNALLLGLSPFAKSGTLYGSNASGVVPQPAMRSLPSNGSIVPLPGLQDGGVSPAETSGSREWSSPFEGKDLFSLPRQFVSSPSL >Vigun07g050600.3.v1.2 pep primary_assembly:ASM411807v1:7:5263536:5267156:-1 gene:Vigun07g050600.v1.2 transcript:Vigun07g050600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAFFALFTWQIPSAAQTPTKVQDDDTGTRRKSNRKTKSSGGPAKRSGGAAKSRGRPTKKSSALVPVGSLVTPLQVADFDAYLDNLEDMLDIPVIETAASDHPTNATALDIETIESCKKKLSSLLALDFASLIKSNDVAEVATLASQIREDPNLTVDHLFKLKLVEQVPLAGETFLEAMGSIEEADKAMAELEAKKLKIPSLKNEYNDLKEKLSETEAEMDISALSIKEIDDEIQQLQAKRNRISGALETMQKDKDKITSELSNVANSISTLVHEIQSGMSQKSKWDLKKASNVRRVAEIQEKFVTLRGLTF >Vigun07g050600.2.v1.2 pep primary_assembly:ASM411807v1:7:5263536:5267156:-1 gene:Vigun07g050600.v1.2 transcript:Vigun07g050600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAFFALFTWQIPSAAQTPTKVQDDDTGTRRKSNRKTKSSGGPAKRSGGAAKSRGRPTKKSSALVPVGSLVTPLQVADFDAYLDNLEDMLDIPVIETAASDHPTNATALDIETIESCKKKLSSLLALDFASLIKSNDVAEVATLASQIREDPNLTVDHLFKLKLVEQVPLAGETFLEAMGSIEEADKAMAELEAKKLKIPSLKNEYNDLKEKLSETEAEMDISALSIKEIDDEIQQLQAKRNRISGALETMQKDKDKITSELSNVANSISTLVHEIQSGMSQKSKWDLKKASNVRRVAEIQEKFVTLRGLTF >Vigun07g050600.1.v1.2 pep primary_assembly:ASM411807v1:7:5263513:5267156:-1 gene:Vigun07g050600.v1.2 transcript:Vigun07g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDTEPVTPTSFKDEKESLKNESAIGSNAYKDVAKDKTSDLQPVPPHSSGQGLPYAPEGWPNAGDVWGWKVAARSSKVGYFTDRYLIPPPSLQKGSRRIEFSSKTAIQRYLQSNFPNMEPEAFFALFTWQIPSAAQTPTKVQDDDTGTRRKSNRKTKSSGGPAKRSGGAAKSRGRPTKKSSALVPVGSLVTPLQVADFDAYLDNLEDMLDIPVIETAASDHPTNATALDIETIESCKKKLSSLLALDFASLIKSNDVAEVATLASQIREDPNLTVDHLFKLKLVEQVPLAGETFLEAMGSIEEADKAMAELEAKKLKIPSLKNEYNDLKEKLSETEAEMDISALSIKEIDDEIQQLQAKRNRISGALETMQKDKDKITSELSNVANSISTLVHEIQSGMSQKSKWDLKKASNVRRVAEIQEKFVTLRGLTF >Vigun07g205900.1.v1.2 pep primary_assembly:ASM411807v1:7:32749637:32751619:-1 gene:Vigun07g205900.v1.2 transcript:Vigun07g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNVATHNNLLTTCPKNTEGARLSGMLLSTAVVYPAVLNAAIELNLFEIIAKATPHGSFMSSHEIASKLPNQHPDLPDRLDRMLRLLASYSLLTTSTRTTQHGATETVYGLSQIGQYYAPDAATGYFASFASFLSCPALSPLWLNFKEAVVDADVDLFKKVHGVTTYQYMEKDPKMNQIFNKSMADLCVNDMNRILDIYTGFEGISRLVDVGGGNGQNLKMIISKHPSIKAINFDLPQVIENAPVLSGVEHVGGDMFARVPEGDAMTLKAVLHNWSDEKCVEILINCHKALAENGKVVVLEFIMPEEPEATEQSQLVSSLDNLMFITAGGKERTEKQYENLCKLAGFSKFHVACHASSGPGVMEFYK >Vigun01g079700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22516734:22517840:-1 gene:Vigun01g079700.v1.2 transcript:Vigun01g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERPFESCLRGGRVKVAYPSSSRFMVVSPSSVAFLAGCSGRQPLGVRCVRENKMVELLRGMATSPASIGVAGKVAAFAARWRGEAEMGANGDGVGVVLVREEEATASWKKV >Vigun03g448000.2.v1.2 pep primary_assembly:ASM411807v1:3:64910423:64911751:1 gene:Vigun03g448000.v1.2 transcript:Vigun03g448000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSDGEVKKSKSVVLLPQDVIMEILLKLNVKSVVRFKSLIPSIDFNAPLNRDSYSYFEFEVPGEVFQPGIFKFGGCCRGFVLLDCLEHLCLWNPRTGFHKKVCRPPIDSNKELSRNLLFQGLGYDPLTDDYLVIQLFASITIYLQTHAKVFSIRANKWMENESTDLFFTYSQDYDTPCRVVNHVIHWLSSCLKEEIIVGFILAFDVAKRKFFEIASPIVFGDGMDEMRVLSEIEGLLSLNVYTNSKLSIDIWVMKEYRVECSWSKSIAVSLVDHVPQKFFFPVCVTKDGDIVGNDLCGLFKFNNKGQLKEMLSYQDVSAHLHVEMYQESFLSLPCPND >Vigun03g448000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64910417:64911798:1 gene:Vigun03g448000.v1.2 transcript:Vigun03g448000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSDGEVKKSKSVVLLPQDVIMEILLKLNVKSVVRFKSLSKSWLSLISDSRFRNLYFDRSSPTEKLLIISIRVLDLTVPSIDFNAPLNRDSYSYFEFEVPGEVFQPGIFKFGGCCRGFVLLDCLEHLCLWNPRTGFHKKVCRPPIDSNKELSRNLLFQGLGYDPLTDDYLVIQLFASITIYLQTHAKVFSIRANKWMENESTDLFFTYSQDYDTPCRVVNHVIHWLSSCLKEEIIVGFILAFDVAKRKFFEIASPIVFGDGMDEMRVLSEIEGLLSLNVYTNSKLSIDIWVMKEYRVECSWSKSIAVSLVDHVPQKFFFPVCVTKDGDIVGNDLCGLFKFNNKGQLKEMLSYQDVSAHLHVEMYQESFLSLPCPND >Vigun09g201000.1.v1.2 pep primary_assembly:ASM411807v1:9:37518029:37522199:1 gene:Vigun09g201000.v1.2 transcript:Vigun09g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMDEYSGKRAIDGMVVPRKGAGHVFRDTANAKDRNGQVCSRLTCSSRVNTSKGAQIGSSEKGKSLKPSIQSSSAGKEAVGSSSRTFPKTSSPGKPLIKPRKKPSSQLETDSSETSSVLDESEVSKVAPSPEKSQRGLQAEMEKTVSGSVMMEVGSSSVVSNSRSRRNFHPKSGISGQEIKTTGPVMRAGSSRYGLRNLKCNSISDVLPAGCSPSDSTLNRRKEMIKKRNCEGEGSSSARGKKMSGSSLEGRNSGSRNGISISDSRISRNTPPHRDRSDSNMAPVRTRKSISGHARGRLSSQANANPVSPNHSPVMVPSLPHSGGRNASGVSHRTSVDSSLSCPSSHSRPGTGSEELYGVMPGSPSEYGLTHSIMNLDSFRRRYNVDSIAEVLLALERIEQDVELTHEQIRLLESNLFLTGLNFYDPHRDMRLDIDNMSYEQLLALEERMGSVSTALTEEALSECLKKSFFQSSPSGNAVNSCKEAKDDTKCSICQEEYVVADELGSLHCEHMYHVVCIQQWLRLKNWCPICKASVVPSNSSPSQ >Vigun09g201000.2.v1.2 pep primary_assembly:ASM411807v1:9:37517549:37522339:1 gene:Vigun09g201000.v1.2 transcript:Vigun09g201000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMDEYSGKRAIDGMVVPRKGAGHVFRDTANAKDRNGQVCSRLTCSSRVNTSKGAQIGSSEKGKSLKPSIQSSSAGKEAVGSSSRTFPKTSSPGKPLIKPRKKPSSQLETDSSETSSVLDESEVSKVAPSPEKSQRGLQAEMEKTVSGSVMMEVGSSSVVSNSRSRRNFHPKSGISGQEIKTTGPVMRAGSSRYGLRNLKCNSISDVLPAGCSPSDSTLNRRKEMIKKRNCEGEGSSSARGKKMSGSSLEGRNSGSRNGISISDSRISRNTPPHRDRSDSNMAPVRTRKSISGHARGRLSSQANANPVSPNHSPVMVPSLPHSGGRNASGVSHRTSVDSSLSCPSSHSRPGTGSEELYGVMPGSPSEYGLTHSIMNLDSFRRRYNVDSIAEVLLALERIEQDVELTHEQIRLLESNLFLTGLNFYDPHRDMRLDIDNMSYEQLLALEERMGSVSTALTEEALSECLKKSFFQSSPSGNAVNSCKEAKDDTKCSICQEEYVVADELGSLHCEHMYHVVCIQQWLRLKNWCPICKASVVPSNSSPSQ >Vigun09g201000.3.v1.2 pep primary_assembly:ASM411807v1:9:37518029:37522199:1 gene:Vigun09g201000.v1.2 transcript:Vigun09g201000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMDEYSGKRAIDGMVVPRKGAGHVFRDTANAKDRNGQVCSRLTCSSRVNTSKGAQIGSSEKGKSLKPSIQSSSAGKEAVGSSSRTFPKTSSPGKPLIKPRKKPSSQLETDSSETSSVLDESEVSKVAPSPEKSQRGLQAEMEKTVSGSVMMEVGSSSVVSNSRSRRNFHPKSGISGQEIKTTGPVMRAGSSRYGLRNLKCNSISDVLPAGCSPSDSTLNRRKEMIKKRNCEGEGSSSARGKKMSGSSLEGRNSGSRNGISISDSRISRNTPPHRDRSDSNMAPVRTRKSISGHARGRLSSQANANPVSPNHSPVMVPSLPHSGGRNASGVSHRTSVDSSLSCPSSHSRPGTGSEELYGVMPGSPSEYGLTHSIMNLDSFRRRYNVDSIAEVLLALERIEQDVELTHEQIRLLESNLFLTGLNFYDPHRDMRLDIDNMSYEQLLALEERMGSVSTALTEEALSECLKKSFFQSSPSGNAVNSCKEAKDDTKCSICQEEYVVADELGSLHCEHMYHVVCIQQWLRLKNWCPICKASVVPSNSSPSQ >Vigun09g204900.1.v1.2 pep primary_assembly:ASM411807v1:9:37939724:37941014:1 gene:Vigun09g204900.v1.2 transcript:Vigun09g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMGTLNEAYQEHPLTLDEIIPIDFSSSSSLPDSHIWSEPIAADFSSNDPAASPMPTIDLMDPNANKLIILACQNWGGFHLKNHGIPLSVIQGTEQELERLFSLPLQQKMQALRSTDGATGYGIPRISPFFSKFMWHEGFTIVGSSYDDVKKIWPNDYQPFCDAMEKYQKEMNSLAESLTEMVFDVLGISEEKRKWMGTSDVSSALQMNYYPSCPEPDRAMGLAPHTDTSIFTIVHVTESGLQLFKEGKWIRVQPPPNTLLVHGGDILHMMSNGRFCSPLHRVAATECKKRYSIAYFYAPPTDYVVSPTVAGDDDVARFRDVTVMEYIGIKADKFGESLSVVSI >VigunL022800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:13908:14039:-1 gene:VigunL022800.v1.2 transcript:VigunL022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun02g167700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31144059:31144400:1 gene:Vigun02g167700.v1.2 transcript:Vigun02g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDINQLQHLAVVLRDMITDFNSSERGDRPKGFLHQCLCKKSDSSNKFAHGNSMNNCSFAFVSSTHSVVRWKMRQKLKRNFIQLFCTSMDATINTCSQLRVGDDSVVETINRR >Vigun07g124000.1.v1.2 pep primary_assembly:ASM411807v1:7:22939603:22945402:1 gene:Vigun07g124000.v1.2 transcript:Vigun07g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQFNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVISRL >Vigun07g110100.1.v1.2 pep primary_assembly:ASM411807v1:7:20392402:20394522:-1 gene:Vigun07g110100.v1.2 transcript:Vigun07g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIASSSSTVLFSASSSNLPPFSHPSTSRLSFSSSLSSSSSSSLSIKISPTFSSHSSSSSRHFVNHSSFTISASSGEKKKVLIVNTNSGGHAIIGFYFAKELLGAGHSVTVLTVGEEGSDKMKKPPFNRFSEIVSAGGRTVWGNPSEVGSVVGGEVFDAVLDNNGKDLETVRPVIDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHAEVEKYLEETFGNWASFRPQYIIGSGNNKDCEEWFFDRIVRDRPVPIPGPGLQLSNLSHARDLSSMLTLAVENPEGAKGTIFNCVGDRAVTLDGIAKLCAQAAGRPVNIVHYDPKAVGVDAKKAFPFRTYHFYAEPRAAKSKLGWESTTKLPEDLKERFEEYVKIGRDKKSIKFEVDDKILEALKVPVSV >Vigun07g110100.3.v1.2 pep primary_assembly:ASM411807v1:7:20392402:20394522:-1 gene:Vigun07g110100.v1.2 transcript:Vigun07g110100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIASSSSTVLFSASSSNLPPFSHPSTSRLSFSSSLSSSSSSSLSIKISPTFSSHSSSSSRHFVNHSSFTISASSGEKKKVLIVNTNSGGHAIIGFYFAKELLGAGHSVTVLTVGEEGSDKMKKPPFNRFSEIVSAGGRTVWGNPSEVGSVVGGEVFDAVLDNNGKDLETVRPVIDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGHALWSRMLLRLMLVTLRWRNTLKKLLEIGHRSGHSTLLDLATTKTVRSGFSTGLFGTDQCQFLARGCNSVTYLMLGTYPRCLL >Vigun07g110100.2.v1.2 pep primary_assembly:ASM411807v1:7:20391333:20394522:-1 gene:Vigun07g110100.v1.2 transcript:Vigun07g110100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIASSSSTVLFSASSSNLPPFSHPSTSRLSFSSSLSSSSSSSLSIKISPTFSSHSSSSSRHFVNHSSFTISASSGEKKKVLIVNTNSGGHAIIGFYFAKELLGAGHSVTVLTVGEEGSDKMKKPPFNRFSEIVSAGGRTVWGNPSEVGSVVGGEVFDAVLDNNGKDLETVRPVIDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHAEVEKYLEETFGNWASFRPQYIIGSGNNKDCEEWFFDRIVRDRPVPIPGPGLQLSNLSHARDLSSMLTLAVENPEGAKGTIFNCVGDRAVTLDGIAKLCAQAAGRPVNIVHYDPKAVGVDAKKAFPFRTYHFYAEPRAAKSKLGWESTTKLPEDLKERFEEYVKIGRDKKSIKFERK >Vigun01g247450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41525747:41527277:-1 gene:Vigun01g247450.v1.2 transcript:Vigun01g247450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILKRGFKTPQISLPLTPHKNMCSSYEFGTSHFDLEFTQRVYLLLKRVNSVHHVDRARLMCVLIPLQDHSSPYSPQLTSVNDITNACFCIMQYLKTMKVFTLFFIFLDLYSFSLNYSFSHDEYLIIVESM >Vigun06g202300.1.v1.2 pep primary_assembly:ASM411807v1:6:31705639:31707282:-1 gene:Vigun06g202300.v1.2 transcript:Vigun06g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPQPQQMNEIKEEQELKYLEFVQFATLQALMRCAILYSYAKERAGPLKPGVNTVEEAVKTVVAPVYDRFHLVPGELLKYADRKVGELDRHVPSNVKKVSSQARSVVSDVRRDGVSTFAKTVYSKYEPTAEQCAVSAWRKLNQLPIFPQVANAVLPKAAYCTEKYNEVIVSSAEKGYRVSAYLPLVPTEKIAKVFSGN >Vigun05g078300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7310283:7312352:1 gene:Vigun05g078300.v1.2 transcript:Vigun05g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSKLLPLFLALLLFLIPLTFILNTSTQTYKPFHGLTATTSNHRHKNIDHSFSVSKAISLNITHCFPAELPSNPITDNHCCPSKPSFSNLIDFKDFASPNATLRVRKPFHMLDEQYIAKFEKGIALMKALPQDDPRSFIQQAKVHCAYCNGAYHLEPPFQDTKFDIHVSWFFFPFHRWYMYFFERILGNLIGDPHFALPFWNWDSAEGMQMPSCFTDHNSSLYNQLRHQKHLPPHVVDLNYHLEDSYVPSQQQVSYNLATMYKQMVLASTTELFMGSPFRLGDNNPHPGMGSVEVAPHNTLHSWIGGADTPHHEDMGTFYTAARDPIFYVHHANMDRMWAIWKTLGEGRRDYSDEDWLDSEFYFYDENADFVRVKVRDCVDTKKLGYVYQDVDLPWLRTPPTSRKSKLLRQRKKDEVLSWKPIKFPLVLDSVTSVIVKRPKKKRSREEREKEEEVLVMEGIEFGSDKYVKFDVHVDDDEERLSNPDETEFVGSFVNVAHGHGHNITTTFKVAISKVLENLEVAEDDDVLVTLVPRVGQGDLILGNIKIEFFPRELKD >Vigun03g176700.2.v1.2 pep primary_assembly:ASM411807v1:3:21971122:21975816:1 gene:Vigun03g176700.v1.2 transcript:Vigun03g176700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAKKKGSAAEDILETLGDFTSKENWDKFFTLRADSFEWYAEWPQLRDPLLSLLRTLAHPLPLPLLVPACGNSRLSEHLYDAGHTAITNVDFSKVVISDMLRRNVRDRPLMRWRVMDITAMQFEDESFIAVIDKGGLDALMEPELGPKLGNQYLSEVKRVLKPGGKFVCLTLAESHVLNLLFSKFRLGWKMSVDAIPLKSSGKPSLETFMVVVEKELSTAVHQITSLLHNSSLNCNSKQVSGFSEALQNENLIREKYSSDSGILYSVEDLQEELLKLSQGRRLQITLGGPGCSTFSYRAVILDAEELAEPFTYHCGIFIVPKIRAREWLFYSEEGQWMVVRSSKAARLIMVFLDASHSDTSMEEIQKDLSPLVTPLAPAENENGAQIPFMMASEGLKERNIIHKFKLVLELSIFELCFCCDANRETLCIPVVCSTTPYLGVGDELETCAPH >Vigun05g104500.1.v1.2 pep primary_assembly:ASM411807v1:5:10451365:10455129:1 gene:Vigun05g104500.v1.2 transcript:Vigun05g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFPTLQSLCLFLLLMVISLHAPFSSSLSLQHTEANALLKWKASLHNPSQKLLSSWNNGNTSCNWLGIASDHTGSVSNINLTNIGLSGTLQTLNFSSLPNILTLDISHNFFGGSIPPQVGVLSKLTHLDLSHNHLTGPIPSQITRLVSLQILYLESNVFDGSIPEEIGELKNLREITIRFANLTGTIPNSIGKLSFLSYLSFRNCSLTGSIPKSIGNLTSLLILDFSLNNLYGNIPQEIEMLTHLYQLDLSENLLSGTIPPTIGNLSNLLFVYLYGNYLSGSIPNEVGKLHSLLTIQLLGNNLSGPIPSSIGNLVNLDSIRLDRNKLSGTIPSTIGNLTKLTTLALFSNKLSGRIPIQMNMLNNLENLQLYDNNFIGQLPHNVCISGKLICFGVASNFFTGAVPKSLKNCFSLIRVRLQQNQLTGNITEDFGVYPNLDYIELSDNNFYGHLSTKWGKCHNLTSLKISNNNLSGSIPKELTLATNLHVLELSSNHLVGDIPEDLGNLTNLFKLSLNNNNLSGNVPIQIASLLDLDTLDLGSNSFSGLIPTQLGNLVKLLHLNLSRNKFTENISSEFGKLIHLQSLDLSMNTLSGKIPTLLGELKSLETLNLSHNYLSGDLSSLNEMISLISIDVSYNQLEGPLPNISAFNTATIEALRNNKGLCGNVSGLEPCPTSPHKYENHKSNRVILVFLPIGLGTSTLALFFFGVSYYLYRSSKTKEHQDEESPGQNLFSIWSFDGKMVYENIIEATEEFDNKHLIGVGGQGSVYKAELQTGQVLAVKKLHSVQDGEICNVKAFRSEIQALNEIRHRNIVKLYGYCSHSRFSFLVYEFLERGSIDKILKDDEEAIAFNWERRVDAIKGVANALSYMHHDCSPPIVHRDISSKNILLNLEYVAHVSDFGTAKLLNPSSTNWTSFVGTFGYAAPELAYTMEINEKCDVYSTGNSFWRAPWRVCNFVSVFMECDGVNK >Vigun11g008450.2.v1.2 pep primary_assembly:ASM411807v1:11:925706:930948:1 gene:Vigun11g008450.v1.2 transcript:Vigun11g008450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICIRGTTIYVARIRKWKRVWIRKRKRKWIMNFSSIMALVHYNGHITKDQNMCSIYVSEISSYVRLNNYMTLAFLKRTILNLFTVSDGKSYTVDLCYRYPVTMNEFKICYRSMRIEDDNDVRSVIAYAKKYEADVQFEIMAFIREYNNDAPTNMIWELMEKELNDSLNIE >Vigun11g008450.1.v1.2 pep primary_assembly:ASM411807v1:11:928552:930947:1 gene:Vigun11g008450.v1.2 transcript:Vigun11g008450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICIRGTTIYVARIRKWKRVWIRKRKRKWIMNFSSIMALVHYNGHITKDQNMCSIYVSEISSYVRLNNYMTLAFLKRTILNLFTVSDGKSYTVDLCYRYPVTMNEFKICYRSMRIEDDNDVRSVIAYAKKYEADVQFEIMAFIREYNNDAPTNMIWELMEKELNDSLNIE >Vigun03g169400.1.v1.2 pep primary_assembly:ASM411807v1:3:20066800:20068447:-1 gene:Vigun03g169400.v1.2 transcript:Vigun03g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPNFLCHKPKAMETGRMNSSRHRPLHTCGLSILVIVDMVMGKTQDMNGPLGSTLERVMKLAKFAVPLIYAMQIYWLTILSFIDDAILATEKVIEKLFPPSTRVFDKVDEIVVTMASFPEKFEGAMNKFPTIIHEVPFLNRALTLVISRLNSLVSTLKRWGYENSWWNERTGVDRRCNEGYMDLENFPPIISECEYKGAHDIAFRGLVKGSYKEALERGKEDDPQEKMEIGKVDDRGDDCEGKEERESNHENNKECDDDDDAIVNCQVGESVKDALLELFESAWVMKDDDS >Vigun11g004100.1.v1.2 pep primary_assembly:ASM411807v1:11:384499:387192:1 gene:Vigun11g004100.v1.2 transcript:Vigun11g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKGRVCVTGGTGFIGSWIIKTLLQEGYSVNTTVRHNPEQKKDVSFLTSLPGASQRLKILSADLRNPESFSAAIEGCVGVFHVATPVDFEQREAEEVVTKRSIDGAVGILKACLNCKSVKRVVYTSSASAVVFNGREEQVMDESSWTDVDLLRASKPFAWSYAVSKTLTEKAVLEFGEQNGLDVVTLIPTFVFGPFISPKLPGSVHASLKFAFGEKTTFGSLLEIPMVHMDDVARAHIFLLENENPKGRYNCSKCLVTYETISELVSAKYPEFKPETVDRREGMKLPDLSSKKLTDAGFVFKYGVEEMLDDAIQCCKEKGISLKE >Vigun11g004100.2.v1.2 pep primary_assembly:ASM411807v1:11:384493:387192:1 gene:Vigun11g004100.v1.2 transcript:Vigun11g004100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKGRVCVTGGTGFIGSWIIKTLLQEGYSVNTTVRHNPEQKKDVSFLTSLPGASQRLKILSADLRNPESFSAAIEGCVGVFHVATPVDFEQREAEEVVTKRSIDGAVGILKACLNCKSVKRVVYTSSASAVVFNGREEQVMDESSWTDVDLLRASKPFAWSYAVSKTLTEKAVLEFGEQNGLDVVTLIPTFVFGPFISPKLPGSVHASLKFAFGEKTTFGSLLEIPMVHMDDVARAHIFLLENENPKGRYNCSKCLVTYETISELVSAKYPEFKPETVEREGMKLPDLSSKKLTDAGFVFKYGVEEMLDDAIQCCKEKGISLKE >Vigun01g021200.1.v1.2 pep primary_assembly:ASM411807v1:1:2228766:2235929:-1 gene:Vigun01g021200.v1.2 transcript:Vigun01g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKIIKRNLTDPDTAPKNPHTRFKWIALRFSPSHFVLYSPFLPFHFLNSHSIVMSYRVCKFYARGACLKGDQCDFVHERRDGVNYNICTYYQKGVCAYGSRCRYKHVKAYEASSSSSSNGRHPPVSDSVVNHTTKGASSWVPKASKSSSLDKCARSSQHKIVVCSGNDVGESSSSGTTPSGLLFCSFAAANCPRGNKCSRVHGNRCLYCRKFCLHPTDREERENHLATCEKKEKYFQALNDSQEVECNVCLERVLSKPRPSDCKFGLLPECDHAFCLSCIRNWRNSAPTSGMDMSNNGTANTVRTCPVCRKLSYFVIPSGIWYSTKEEKQEIIDNYKANCKQIDCKHFNFGNGNCPFGASCFYKHTVKPGSYTWIHRRPPPPQRRQNNLNMNEMLDMLSDVDLTSAEYLSIMRDSDLFDDMDPFGMIDISDRLAGESGLCFGPFDSDEEGVDFFQMAALSEALVSGVDDFGLEDFDDEEFNPMEAALLSMMMHSNIDEDEEEEYSDEYY >Vigun05g086200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8194428:8195412:1 gene:Vigun05g086200.v1.2 transcript:Vigun05g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGTRFGDQQSGLLDEFERLSFEAHAAQLSRVMLGRSLSEPKLQKSHPRLIGVAPIPLVNQVMMQGPRHRGGGGGSGFHKVLKKLLKPILGRKREGRKQASVDKDLLSFRAFSKSLRF >Vigun11g217700.1.v1.2 pep primary_assembly:ASM411807v1:11:41105051:41111497:1 gene:Vigun11g217700.v1.2 transcript:Vigun11g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSNESIQLCIFDLRRGQHEGQELDKILFFFPAGLPFSKQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFHEAEPDIWMVMLVEKSNDSEPIWRDDALRKVLKEIHSLFVMFHGSVRAMLEKEPGGGLTRRHLYSFIMDYLRDFLAGRKLLLPSFRDCLKERGTVQMLTIGREAAIEVQSLVRVLESSAGNSLCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPHALSSGSSSWSYLRKGSTASNVATESNSAHPNTLSESLYGTSDISSGEDNNYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVWLQQTGEKMYLCVYQHKSLTLMLMIPVSAVPNGEQGVSEVRRQIIENASLQILKVEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPATKVTTLTKESLLAMNKLRQEVELEKRRAKLDGCNFEKDLEMCIRTKNNAWVISRVTRGKELYMVLEKAGETLLYAKDAVEKFSDRYCDGAFSLD >Vigun11g217700.2.v1.2 pep primary_assembly:ASM411807v1:11:41105051:41111497:1 gene:Vigun11g217700.v1.2 transcript:Vigun11g217700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSNESIQLCIFDLRRGQHEGQELDKILFFFPAGLPFSKQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFHEAEPDIWMVMLVEKSNDSEPIWRDDALRKVLKEIHSLFVMFHGSVRAMLEKEPGGGLTRRHLYSFIMDYLRACKKQSPWDNCCWDFLAGRKLLLPSFRDCLKERGTVQMLTIGREAAIEVQSLVRVLESSAGNSLCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPHALSSGSSSWSYLRKGSTASNVATESNSAHPNTLSESLYGTSDISSGEDNNYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVWLQQTGEKMYLCVYQHKSLTLMLMIPVSAVPNGEQGVSEVRRQIIENASLQILKVEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPATKVTTLTKESLLAMNKLRQEVELEKRRAKLDGCNFEKDLEMCIRTKNNAWVISRVTRGKELYMVLEKAGETLLYAKDAVEKFSDRYCDGAFSLD >Vigun01g239400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41012464:41013450:-1 gene:Vigun01g239400.v1.2 transcript:Vigun01g239400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun10g179700.1.v1.2 pep primary_assembly:ASM411807v1:10:39779713:39781941:1 gene:Vigun10g179700.v1.2 transcript:Vigun10g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSPMPEIPVDFRAPPPSPVASGRRSTVTNDDVLTEFLEASLRVPDLVLPDKIFPKQNHLDAPPEVDFVSLCFHRDDALRDVVSDSLARIGCFQLLNHGIPSQLVDSAAADAARVFQVPHAKRAAATRSPEKPWGFEEYHAGEEEEEGSEEFVWCNEEELKSKMEGIWPIGYPNFSEKMEKLMSRIEMVGMKMLGVILKKKSAEFEGGNEKGNVCCIYKHGGDNTKDAWANSLKYDVIRMLIRGTDYSHSLCFHLCNGSSQFHVYSKKSWLSFFPHPGALIVTAGDQIQMLSGGEYKSVIGRAILKAEKEEKISMAFLCCPQKKKKKKNFGSSESRSVSLSQQAILALILPLVYNVIIFVFKKFNS >Vigun02g011901.1.v1.2 pep primary_assembly:ASM411807v1:2:4579894:4582352:1 gene:Vigun02g011901.v1.2 transcript:Vigun02g011901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCTLAPPLMKNTRSTTRVIFPTGEVKQYKEQVNVAELMMECPTNFLTNSKSLHIGRRFSPLGADEELEFGNLYIFFPMRRLNSVVTAVDVAALFMAANMTTKRRIYGIKDSMLCDHSVDGVEVKDLMSRDNTHDVGVNNEVSRLSLEGFEIELGLRRNRLSYCRSKKPVLETINEEPIWLRVKKGEIGFSGCLHLWWISLLDSELLISELCVTSVTINQISLTTRLALNELQASCYSHHRSQSALSETNWPLRVSGCSPYLESLPSYTDGAPPWAPTNRGHGITSRPLKRDPRGPT >Vigun09g202100.1.v1.2 pep primary_assembly:ASM411807v1:9:37625684:37629797:1 gene:Vigun09g202100.v1.2 transcript:Vigun09g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVIDEGEKNESGGTNSSEGGKKKQKSFLSRIWNGILRLHGDDFEKRLQYISKEEAQVMTRMNSRSRSWRRISRHLIVFSVIFEVVAIVYAIMTTRSILMNWKMRALRVLPMFLLPALSSAAYAAFVSFTRMCDRRDQKILERLRAERQEKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLRVYLEDQLSPGAAIGKSNDVELTQSSGLRNRRQVQSRCTSPRAATPNPGDQQVGLGGSYQTQTFDDNQPVVVEHHQPQSSNTQDGGWIARIAALLVGEDPAQSYALICGNCYMHNGLARKEDFPFITYYCPHCRALNKPKQLDERISSLTSPNTGTPKTDNSSSDATKNIRASAAESIIAGSNPGSASSSEIGELTERASVDEKVD >Vigun03g028700.2.v1.2 pep primary_assembly:ASM411807v1:3:2150569:2159093:-1 gene:Vigun03g028700.v1.2 transcript:Vigun03g028700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMEGSKYAERLPIGLEKVIRTVSSETVKHFYKKWYHLCNMAVIAVGDFSDTQDVVELIKTHFGQKIPDPDPPLMPTFQVPSHDEPRFSCFVESEAAGSAVMISYKTPVDELKTVKDYRNLLAESMFLYALNQRFFKIARRNDPPYFSCSAAADVLVRPLKANIMTSSCKRKGTIEALESMLIEVARVRLHGFSDREISVVRALLMSEIESAYLERDQIQSTSLRDEYLQHFLHGEPVVGIEYEAQLQKTLLPHISTLEISKCSEKLRTSCSCVIKTIEPQPFAVLDDLKNVVKKVNLMEEEGIISYWDDEHVPEEIVTTKPNMGHVVQELEYSNIGATELVLSNGMRICYKRTDFLDDQVIFTGYSYGGLSELPESEYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETALQLVYQLFTTNLTPGEEDVKIVMQMAEEAVSAQDRDPYTAFTNRVKELNYGNSYFFRPIRKSDLQKVDPRKACEFFSTCFKDPSTFTVVIVGNIDPAIAMPLILQYLGGIPKPPEPIMNFNRDELKGLPFTFPTEIHREVVRSPMVEAQCLVQICFPVELRNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNKPSRIGDVRGDVSINFSCDPDISSKLVDIALDEMLRLQEEGPSEQDVSTILEIEQRAHENGLQENYYWLDRILHSYQSRAYAGDVGTSFEVQDEGRSKVRSTLTPSTAQLALKRILPFPCKNKYTVVILMPKSSPFKLLKSVFQSARTNYGKEAKILAGVASLAVLAFSLWRHGRSNSRLLSRTVT >Vigun03g028700.1.v1.2 pep primary_assembly:ASM411807v1:3:2150569:2159093:-1 gene:Vigun03g028700.v1.2 transcript:Vigun03g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPAVVPPISKKQGFRSLKLVNADMEQLLSDKPVGVDYGTLDNGLRYYVRCNSKPRMRAALALAVRAGSVLEEEEERGIAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAVTSADDTVYELLVPVDKPELLSQAISVLAEFSSEIRVSKDDLAKERGAVLEEYRGSRNATGRLQDAHWMLMMEGSKYAERLPIGLEKVIRTVSSETVKHFYKKWYHLCNMAVIAVGDFSDTQDVVELIKTHFGQKIPDPDPPLMPTFQVPSHDEPRFSCFVESEAAGSAVMISYKTPVDELKTVKDYRNLLAESMFLYALNQRFFKIARRNDPPYFSCSAAADVLVRPLKANIMTSSCKRKGTIEALESMLIEVARVRLHGFSDREISVVRALLMSEIESAYLERDQIQSTSLRDEYLQHFLHGEPVVGIEYEAQLQKTLLPHISTLEISKCSEKLRTSCSCVIKTIEPQPFAVLDDLKNVVKKVNLMEEEGIISYWDDEHVPEEIVTTKPNMGHVVQELEYSNIGATELVLSNGMRICYKRTDFLDDQVIFTGYSYGGLSELPESEYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETALQLVYQLFTTNLTPGEEDVKIVMQMAEEAVSAQDRDPYTAFTNRVKELNYGNSYFFRPIRKSDLQKVDPRKACEFFSTCFKDPSTFTVVIVGNIDPAIAMPLILQYLGGIPKPPEPIMNFNRDELKGLPFTFPTEIHREVVRSPMVEAQCLVQICFPVELRNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNKPSRIGDVRGDVSINFSCDPDISSKLVDIALDEMLRLQEEGPSEQDVSTILEIEQRAHENGLQENYYWLDRILHSYQSRAYAGDVGTSFEVQDEGRSKVRSTLTPSTAQLALKRILPFPCKNKYTVVILMPKSSPFKLLKSVFQSARTNYGKEAKILAGVASLAVLAFSLWRHGRSNSRLLSRTVT >Vigun10g051000.2.v1.2 pep primary_assembly:ASM411807v1:10:7935318:7936763:1 gene:Vigun10g051000.v1.2 transcript:Vigun10g051000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWDGHNTNSRPIRYDSEGIIFNYDKSLSRWAYNTQWNVEHSKMRVKIIYYQLQTRSVIRVVSHVVVPLWCVELRLRPPFWNVLFLTNFSNPLSIHFLSFL >Vigun10g051000.1.v1.2 pep primary_assembly:ASM411807v1:10:7933706:7936762:1 gene:Vigun10g051000.v1.2 transcript:Vigun10g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWDGHNTNSRPIRYDSEGIIFNYDKSLSRWAYNTQWNVEHSKMRVKIIYYQLQTRSVIRVVSHVVVPLWCVELRLRPPFWNVLFLTNFSNPLSIHFLSFL >Vigun05g223500.1.v1.2 pep primary_assembly:ASM411807v1:5:41558753:41565276:-1 gene:Vigun05g223500.v1.2 transcript:Vigun05g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVMAITQPRVSSFTSSFAYRSSQPFMNQLRNLSCKTSTPTTVMQLSINSIALSQKVPTQTIPTITSLEQLKIKSQEVLLDSSDSLRTLKLIDTIQRLGIEHHFEEEINFQLGRVGDCNNAEDLSATALQFRLLRHNGWSTSSDVFNKFLDKSGNFKESVTRDVWGMLSLYEASYLGAKEEEVLQYAMEFSSAHLHQSLPHLSPEEGRVVVEALKLPRHQRMRRLEAKNYMVQYSKESSQIPSLLELARLDYDMVQSMHQKELAEISRWWKDLGLIERLGFGRDGPRECFLWALGVFPEPRHSNCRIELAKAICILQVIDDMFDTYGTLDELILFTEAVQRWDLDAMEKLPEYMKICYMALYNTTHEIAYRIQRDHGQTVVACLKRTWIDLLEAYLVEAKWFNNRYVPAFGEYLDNGVISSGSCLALVHATVLIGENLSRETISMMTPYPRLFTCSGEILRLWDDLGTSKEEQERGDNACSIQCLMKENDVLDETFARKDIRKLLGNLWPELNGLAMATTTLPSAVTKASFDMARTSQFIYQHGDDQRMPTVDDLVQALLFTPSDKYREESDIICVV >Vigun03g300500.1.v1.2 pep primary_assembly:ASM411807v1:3:48871720:48873790:-1 gene:Vigun03g300500.v1.2 transcript:Vigun03g300500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQKEDLGLSLSLNFRHNIPNPQHLTLISSSTHSSSPSPSPSNPFKPSWNDAFDRNSDTCRGETRSFLRGIDVNRLPSGVDMEEEAGVSSPNSTVSSVSGKRSEREPNGEEHDMNRACSRGISDEEDGETSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLGLLPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPSSAVDAAARHHPMGQAHAHSRPMGMGPWGSAASIPHRGFDGFHH >Vigun09g175400.1.v1.2 pep primary_assembly:ASM411807v1:9:34696178:34699112:-1 gene:Vigun09g175400.v1.2 transcript:Vigun09g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGQFTGNLGEILIRCNNVLYLRGVPEDEEIEEAAED >Vigun03g405800.1.v1.2 pep primary_assembly:ASM411807v1:3:61287220:61299012:1 gene:Vigun03g405800.v1.2 transcript:Vigun03g405800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDWDLSAEDLDSLERDAFQKIAQLRNPPPPSSPHQHHNSSTATMNHFPSNPLPNSRPQTVGASSQGARALPTSLKSGTGTDNDKQSKNGLVKFSVKFFLHSSGNIAAKFQYDQVVVAAFRKISKSSWNAKERLWVFPLSSLSEAEKFLGEVSGYNVQVENLDPLVQRAIAAASAVPDLQDRYCKIPSYIESKLLPFQREGVRFILQHGGRVLLADEMGLGKTLQAIAVASCIQESWPVLIIAPSSLRLQWASMIQQWLNIPSSDILIVLPQSGGSNRGGFNIVSSSSKNSIRLNGLFNIISYELVPKLQNLLTTCDFKVVIADESHYLKNAQAKRTTASLPVIKKAQYALLLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGFFGLYQGASNHEELHNLMKATVLIRRLKHDVLSQLPVKRRQQVFLDLADKDMKQINALFRELEMVKAKIKVAKSQEEAESLKFTQKTIINKIYTDSAEAKIPSVLDYLGTVIEAGSKFLIFAHHQPMIDSIHEFLRKKKVGCIRIDGGTPAASRQQLVTEFQEKDSVKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRVHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQMLDGHEKALEVAQSSPSKQKTLDQYIRRPENLDSPSKQKTLDQFVRRCDNMEGLEHEHNPKRPRN >Vigun07g263800.1.v1.2 pep primary_assembly:ASM411807v1:7:37951321:37954294:-1 gene:Vigun07g263800.v1.2 transcript:Vigun07g263800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAKLNALSSQWVAHSSFSPRRGSSPRRVSLPIRASSFQHELVQTAKSIATPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLRDENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYASISQENGLVPIVEPEILLDGDHSIERTLEVAEKVWSEVFFYLAENNVLFEGILLKPSMVTPGAEHKEKASPETIAKYTLTLLKRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWKGHPENVEAAQKSLLVRAKANSLAQLGRYSAEGESEEAKKGMFVKGYVY >Vigun03g270500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44438572:44439575:-1 gene:Vigun03g270500.v1.2 transcript:Vigun03g270500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVCGQTTKEPTAKLVVHDGRMEEFSYPVKVSYLLQLYPTCFICDSDEMAFDHVVTAVHHDHQLKLGQLYFALPLDRLKRHLPPQEMAALAVIASSALARCAGDKCRSRRQQSLLFPCEENATPGGKEAPDSRAAADAADNRVARKGMNKNGGVRGRGKFTRTLSSIPEQG >Vigun01g131100.16.v1.2 pep primary_assembly:ASM411807v1:1:30905582:30915703:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.19.v1.2 pep primary_assembly:ASM411807v1:1:30908085:30916840:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.7.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKSLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.5.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKRYGKVRCLFLNTNNDISNQWFFRIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.3.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKRYGKVRCLFLNTNNDISNQWFFRIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.13.v1.2 pep primary_assembly:ASM411807v1:1:30906031:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.1.v1.2 pep primary_assembly:ASM411807v1:1:30903507:30915611:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAQTSEADAWDAVLRQTKLAVESNTDPYAWAFDIRSTLHSSAIAIPSTELAHRLVSHFFWDNYSAAAWKLLHTSLSLNIIPPTLLVALLSAAVVPSRKVYPTAYRLYMELLKQLRDMLEHDVSSPYYEKIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.10.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIRPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.15.v1.2 pep primary_assembly:ASM411807v1:1:30906031:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.6.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915612:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKRYGKVRCLFLNTNNDISNQWFFRIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.18.v1.2 pep primary_assembly:ASM411807v1:1:30908084:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.20.v1.2 pep primary_assembly:ASM411807v1:1:30908084:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.8.v1.2 pep primary_assembly:ASM411807v1:1:30903507:30915528:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKSLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.12.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915611:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIRPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.4.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKRYGKVRCLFLNTNNDISNQWFFRIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.2.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRSYAKRSWPLRATPILTRGPSISGRRFTPPPLPSLPPNSLIAWSLTSFGTTIPPPHGSFYTPPYPSTSSLPPSSSHFYPPRLYQAEKSTPLLTDFTWNSLSNFEICLNMMSVLHTMKRYGKVRCLFLNTNNDISNQWFFRIMKSIDDVLQLSQVYSQKLWEPGVVLVEFVFSIVWQLLEASLHDEGLLDHTTENKPIWLCRSHDMDIDGHDSLGEKKTEDVEGFQKKNTGMAIEIIAEFLQHKMTSRILSLVHWNMPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.9.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIRPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.17.v1.2 pep primary_assembly:ASM411807v1:1:30903508:30915347:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.11.v1.2 pep primary_assembly:ASM411807v1:1:30903521:30915954:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIRPSYWKSFVHQTQLLVSNSPTLRNLGNNNAETLMSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.14.v1.2 pep primary_assembly:ASM411807v1:1:30906031:30916841:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQNIHAVISHEFKIKSKVRTKEVICAGSPMSFAAQSYGDSWSSLWLPIDLILEDALDGGQVVASSAIEIITGLVKTLHVVNGTMWHRTFLGLWVAALRLVQRVGTVPTKRERDSKEGPIPRLDTCMCMLLSITTLVVTYIIEEEEGQLIEEAEHSPTTSKGTEKPALGKCRGELITSLQLLGDYESLLTPPQPVLVEANQAAAKAILFLSGNPVGSGYMEYTNGLPIKYSGNLRHLIVEACIAKNLLDASAYLWPGYVSACSNQIPCSISNHVPGWSALMEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun01g131100.21.v1.2 pep primary_assembly:ASM411807v1:1:30908306:30915703:1 gene:Vigun01g131100.v1.2 transcript:Vigun01g131100.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLTPELVNVLVATPASSLAEIEKIYEIAIKGSDEDKISAATILCGASLVRGWNVQELTLLFITKLLSPIDPPNYSGADSHLTSQAAFLNVLLVGISSVDSVHIFSLHGLVPLLAAGLMLITEVFGSRVPEASGTLATGEKLTHWEVFSNAFTLLLRFWRFDRLPVEQVRSNATAPPFGSLLSPECLLLVRNSKLASLGRTTKDQKMLKRWSNILSFPADPVFMDFFPKLNFWYRKHQEGIASTRPGLVPGGPVHRIVDALLSMMFSKVSNGAEPSTPTTSENNSSSGLALDDALKVPAWDILEAIPFVLDASLTSCGYGKLSTRDLATGLKDLADFLPASLVTIASYFSAEVTRGVWKPALMNGTDWPSPASNLSHVQQEIKNILADFNVPSLDIDGKSPPTLPLPLAAFVSLTITYKLDKATERFLALIAPTVTDLASACPWPSLPIVTSLWVQKVKRWSNYFVLSASSTVFHHNRDAVVQLLKSCFTSTLGLGSVCIYNNGGVSALLGHGLVPQISDGISPVAPGILYLRVYRSIGDIALLIEEIVPILMLSVRDIASDLPKGVIWKSKKTHFGIKYGQVSLARSLARVEHAALLGASLIWISGGPKLVQYLISETLPSWFLSASMLEQGGGESGVMVPMVKGYALAFFVFLSIAFAWGIDKSHAPKRLAKVIGLHMEFLESALNRTTSMHCDSATWEAYVSWFVSLMVSRAPSWIEEVDEDLLKRLSRGLSRMDQHELALRLLEIGGIRVMGAAAEMIIQFKRI >Vigun09g137800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29962120:29962909:1 gene:Vigun09g137800.v1.2 transcript:Vigun09g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDFEEMLKMNVIRGREGFSLFLLLVQLLLVVQLLLVVLLVVQPPLVAQLLLVLVVAQLLLVILFPLAQLLLVALFLMVELLLAALFLMAELLLTALFLMAELLLAALFLMAELLLVVLFLLVQLLLAALFLLAQLLLAALFLLAQFLLVALFVLVVLASLPLLCPPLPELLHVEYCPEEQQG >Vigun04g166600.1.v1.2 pep primary_assembly:ASM411807v1:4:39123050:39130583:1 gene:Vigun04g166600.v1.2 transcript:Vigun04g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQKGLLQSNTTCESLLDELQIIWNEVGESDTEKDRMLYELEEECVEVYRRKVDKANRSRAQLRQEIADSEAELACICSAMGERPVHFRQFDKNAGSLKEELARVHPELEEMRKRKFERRNQFIEVQEQIQSISNEIYSTGEYITAVVDETDLSLRKLEELHRELLALQKEKSERLKKVQAQMYTLNSLCSVLGLDFKQTVSGVHPSLGISEGPRTVNSETINQLAIAIQELRKVKLQRMQRLQDIASTMLELWNLMDTPVEEQQMFQNVTCNIAASEDEVTEPNSLSENFINYVEAEVSRLEELKSSKMKELVLRKRAELEEICQKTHLIPNIDSAVEHAVEAIESGAVDPACLLEQLELQIASAKEEAFVRKEILERVEKWLAACEEESWLEEYNMDENRYNAGKGSHLTLKRAERARALVSKLPAMAEALTSKTIAWEKDRGIEFTYDGTCLLSMLENDSLSRQEKEQERRRQRELKKLQGQITAEKEVLYGTKVSPSKTQNAKKTPRSGSAACRRISLGCQTPKSDSKPYQSQLSFTKRTEKAHQNDRLNNLDDDVSCLSSARKGLDTAGVPMKKFSTGAESVRIMESPSTRQPFSPISLMVSLAKDANAVDDIQNKKLQKTLVVSNFPSITTTSKTTIVVDEENRTPMNTSMTPTPASVPYGEETEYSFEERRLGLC >Vigun05g111666.1.v1.2 pep primary_assembly:ASM411807v1:5:11696576:11697106:1 gene:Vigun05g111666.v1.2 transcript:Vigun05g111666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWTRSKFQELFKATKHKTCNMISYFLFKNHNMNEMVDKSKTKI >Vigun10g197300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41030774:41033202:1 gene:Vigun10g197300.v1.2 transcript:Vigun10g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIVSNILQHSPVPFIPHILNDSLHCNLRTHSEKPSPTTLTKPFSIHFPLMASKVEGKGIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQADMKHWPFKVVPGPAEKPMIVVSYKGEEKQFAAEEISSMVLTKMKEIAEAYLGQSVKNAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKGSRSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVAEFKRKHKKDISSSARALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSHVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYADNQPGVLIQVYEGERARTKDNNLLGKFELAGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIERMVQEAERYKAEDEDVKKKVEAKNSLENYAYNMRNTVKDEKFAGKLNPDEKQKIEKAVDETIEWLDGNQLAEVEEFEDRLKDLEGLCNPIISKMYQGSAADVPMGGADDIPNGGGYGKSSTGGAGAGPKIEEVD >Vigun05g046300.1.v1.2 pep primary_assembly:ASM411807v1:5:3870714:3878834:-1 gene:Vigun05g046300.v1.2 transcript:Vigun05g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSRKRAKSESDSDDYNSVEYEEVEHDYDDDGEEDDFDDDSGEEEDGQKVTDDEDDGTREHSEWNNDEMEQLEKEYRDLHHQELDTLKNLKHHKDEDLLKGQAVKSQKALWYKVLELRFLLQKPFSSSNRLPQEPIKSSFCEADEAVKVAYSDLVTSSKETLDSILELQEALSARNPSITQATVGSERLSTDLDVSKHLNENVDQEWSQISQMHKSIASFRDKSINKWQRMTQVTTGAAAIKGKLHAFNQDISNQVSAYMRDPSRMIKQMRLRRSVVNVFGHVPEDDDKPKEAETPTDGDPELLDDSEFYQQLLKEFFETVDPSSSEKAFYALKRMQPKKRKIVDRRASKSRKIRYNVHEKIVNFMAPLPANIPPMAPKLFENLFGLKTQRSSAAAS >Vigun05g046300.2.v1.2 pep primary_assembly:ASM411807v1:5:3870676:3878834:-1 gene:Vigun05g046300.v1.2 transcript:Vigun05g046300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSRKRAKSESDSDDYNSVEYEEVEHDYDDDGEEDDFDDDSGEEEDGQKVTDDEDDGTREHSEWNNDEMEQLEKEYRDLHHQELDTLKNLKHHKDEDLLKGQAVKSQKALWYKVLELRFLLQKPFSSSNRLPQEPIKSSFCEADEAVKVAYSDLVTSSKETLDSILELQEALSARNPSITQATVGSERLSTDLDVSKHLNENVDQEWSQISQMHKSIASFRDKSINKWQRMTQVTTGAAAIKGKLHAFNQDISNQVSAYMRDPSRMIKQMRLRRSVVNVFGHVPEDDDKPKEAETPTDGDPELLDDSEFYQQLLKEFFETVDPSSSEKAFYALKRMQPKKRKIVDRRASKSRKIRYNVHEKIVNFMAPLPANIPPMAPKLFENLFGLKTQRSSAAAS >Vigun05g046300.3.v1.2 pep primary_assembly:ASM411807v1:5:3870696:3878834:-1 gene:Vigun05g046300.v1.2 transcript:Vigun05g046300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEKEYRDLHHQELDTLKNLKHHKDEDLLKGQAVKSQKALWYKVLELRFLLQKPFSSSNRLPQEPIKSSFCEADEAVKVAYSDLVTSSKETLDSILELQEALSARNPSITQATVGSERLSTDLDVSKHLNENVDQEWSQISQMHKSIASFRDKSINKWQRMTQVTTGAAAIKGKLHAFNQDISNQVSAYMRDPSRMIKQMRLRRSVVNVFGHVPEDDDKPKEAETPTDGDPELLDDSEFYQQLLKEFFETVDPSSSEKAFYALKRMQPKKRKIVDRRASKSRKIRYNVHEKIVNFMAPLPANIPPMAPKLFENLFGLKTQRSSAAAS >Vigun05g184900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35678489:35682737:-1 gene:Vigun05g184900.v1.2 transcript:Vigun05g184900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCVSARLLISATPLLGAPLYELLSERECFLTSLLLCMTTPPRYCSFNHPPMQLFFI >Vigun05g184900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35678491:35682737:-1 gene:Vigun05g184900.v1.2 transcript:Vigun05g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCVSARLLISATPLLGAPLYELLSERECFLTSLLLCMTTPPRYCSFNHPPMQLFFI >Vigun11g078266.1.v1.2 pep primary_assembly:ASM411807v1:11:23088112:23089475:-1 gene:Vigun11g078266.v1.2 transcript:Vigun11g078266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVVFSYKTLVVLKLAFTRVAYISSVDFPLLKILHLFSVSFSGDLDLSQLLPGCPNLEDLEAKGVACKIKGKFNRLPELVRASIDGHFPLEIVKDVEVLFIDWIYHQNLDFEFQNLVQLKLTLGLRKDWFGVLEVLKHCPKLQTLVIYISNKVFYSSDDEGHEEVVWQYPQIIPACISLHLKTCCLEGYKGSADELQFERYITSKRNSFHCTQYTS >Vigun11g023900.2.v1.2 pep primary_assembly:ASM411807v1:11:3035728:3040508:-1 gene:Vigun11g023900.v1.2 transcript:Vigun11g023900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVEQPLLEKKKKYYKNCPGCKVDEAKEMTLPISSLFPFVYFMVRDFNIAKTEADISSYAGYMGSAFMLGRCLTSLFWGTIADRIGRKPVIVIGITSVVIFNTLFALSTSFWMAVIVRFLLGCLNSLLGPVKAYVTELLREEHQALGLSTVSAAWGVGLIIGPALGGYLSQPVEKYPHIFPKDSFWDKFPYFLPSFVISALAFVVAIGCIWIPETLHNHHGSDESLDDSNGLENGRSAGGNENIQKNENLFLNWPLMSSIIPYCIFSLHDITCLEVFSLWAVSPQRLGGLNFTTDDVGNVLSISGLALIIYQISIYPSVEKATGPVSIARISSILSIPLLQSYPFIALLSGLALYIVLSIFTILKNVLSITIITGLLLLQNRAVEQHQRGAANGIAMTGMSLFNAIGPATGGTVLTWSQKRMDASFLPGTHMVFFFLNIVEAIGLLMMFKPFLVEKKKTNIDQLQ >Vigun11g023900.4.v1.2 pep primary_assembly:ASM411807v1:11:3035799:3040497:-1 gene:Vigun11g023900.v1.2 transcript:Vigun11g023900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVEQPLLEKKKKYYKNCPGCKVDEAKEMSEGHSVLFTKLFIVWMLVLCASLPISSLFPFVYFMVRDFNIAKTEADISSYAGYMGSAFMLGRCLTSLFWGTIADRIGRKPVIVIGITSVVIFNTLFALSTSFWMAVIVRFLLGCLNSLLGPVKAYVTELLREEHQALGLSTVSAAWGVGLIIGPALGGYLSQPVEKYPHIFPKDSFWDKFPYFLPSFVISALAFVVAIGCIWIPETLHNHHGSDESLDDSNGLENGRSAGGNENIQKNENLFLNWPLMSSIIPYCIFSLHDITCLEVFSLWAVSPQRLGGLNFTTDDVGNVLSISGLALIIYQISIYPSVEKATGPVSIARISSILSIPLLQSYPFIALLSGLALYIVLSIFTILKNVLSITIITGLLLLQNRAVEQHQRGAANGIAMTGMSLFNAIGPATD >Vigun11g023900.3.v1.2 pep primary_assembly:ASM411807v1:11:3035836:3040434:-1 gene:Vigun11g023900.v1.2 transcript:Vigun11g023900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVEQPLLEKKKKYYKNCPGCKVDEAKEMSEGHSVLFTKLFIVWMLVLCASLPISSLFPFVYFMVRDFNIAKTEADISSYAGYMGSAFMLGRCLTSLFWGTIADRIGRKPVIVIGITSVVIFNTLFALSTSFWMAVIVRFLLGCLNSLLGPVKAYVTELLREEHQALGLSTVSAAWGVGLIIGPALGGYLSQPVEKYPHIFPKDSFWDKFPYFLPSFVISALAFVVAIGCIWIPETLHNHHGSDESLDDSNGLENGRSAGGNENIQKNENLFLNWPLMSSIIPYCIFSLHDITCLEVFSLWAVSPQRLGGLNFTTDDVGNVLSISGLALIIYQISIYPSVEKATGPVSIARISSILSIPLLQSYPFIALLSGLALYIVLSIFTILKNVLSITIITGLLLLQNRAVEQHQRGAANGIAMTGMSLFNAIGPATGGTVLTWSQKRMDASFLPGTHMVFFFLNIVEAIGLLMMFKPFLVEKKKTNIDQLQ >Vigun11g023900.1.v1.2 pep primary_assembly:ASM411807v1:11:3035710:3040513:-1 gene:Vigun11g023900.v1.2 transcript:Vigun11g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVEQPLLEKKKKYYKNCPGCKVDEAKEMSEGHSVLFTKLFIVWMLVLCASLPISSLFPFVYFMVRDFNIAKTEADISSYAGYMGSAFMLGRCLTSLFWGTIADRIGRKPVIVIGITSVVIFNTLFALSTSFWMAVIVRFLLGCLNSLLGPVKAYVTELLREEHQALGLSTVSAAWGVGLIIGPALGGYLSQPVEKYPHIFPKDSFWDKFPYFLPSFVISALAFVVAIGCIWIPETLHNHHGSDESLDDSNGLENGRSAGGNENIQKNENLFLNWPLMSSIIPYCIFSLHDITCLEVFSLWAVSPQRLGGLNFTTDDVGNVLSISGLALIIYQISIYPSVEKATGPVSIARISSILSIPLLQSYPFIALLSGLALYIVLSIFTILKNVLSITIITGLLLLQNRAVEQHQRGAANGIAMTGMSLFNAIGPATGGTVLTWSQKRMDASFLPGTHMVFFFLNIVEAIGLLMMFKPFLVEKKKTNIDQLQ >Vigun11g023900.5.v1.2 pep primary_assembly:ASM411807v1:11:3035803:3040457:-1 gene:Vigun11g023900.v1.2 transcript:Vigun11g023900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAKTEADISSYAGYMGSAFMLGRCLTSLFWGTIADRIGRKPVIVIGITSVVIFNTLFALSTSFWMAVIVRFLLGCLNSLLGPVKAYVTELLREEHQALGLSTVSAAWGVGLIIGPALGGYLSQPVEKYPHIFPKDSFWDKFPYFLPSFVISALAFVVAIGCIWIPETLHNHHGSDESLDDSNGLENGRSAGGNENIQKNENLFLNWPLMSSIIPYCIFSLHDITCLEVFSLWAVSPQRLGGLNFTTDDVGNVLSISGLALIIYQISIYPSVEKATGPVSIARISSILSIPLLQSYPFIALLSGLALYIVLSIFTILKNVLSITIITGLLLLQNRAVEQHQRGAANGIAMTGMSLFNAIGPATGGTVLTWSQKRMDASFLPGTHMVFFFLNIVEAIGLLMMFKPFLVEKKKTNIDQLQ >Vigun03g359800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56260320:56263296:-1 gene:Vigun03g359800.v1.2 transcript:Vigun03g359800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPGVRVSEGKLVVKERTILTGIPENVVETSTVEGLFLGVDMEKEDSRHVVSLGTLRDVRFMACFRFKLWWMAQKMGDRGSQIPLETQFLLVETKDGSHLESENDTNSQNQIVYTVFLPLVEGSFRACLQGNSNDHLQLCLESGDADTKASSFSHAIFISAGTDPFATIHHAFRAVRNHLNTFRLRDEKKLPGIVDCFGWCTWDAFYQQVTQEGVETGIQSLRSGGTPPKFVIIDDGWQSVGGDDDDGKVKEYSNSLQRLTGIKENAKFQKEEPGLGIKNIVDIAKKKHEVKHVYVWHAITGYWGGVRPGVKEMEEYGSVMKYPKVSSGVTENEPTWKSDILAVQGLGLVNPKKVFTFYDELHSYLASAGIDGVKVDVQCILETLAAGLGGRVELTRQYHQALDASISRNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEIMLPDWDMFHSLHPAAEYHGSARAISGGPLYVSDAPGKHDFDLLKKMVLPDGSVLRARLPGRPTKDCLFSDPARDGVSLLKIWNMNKFGGVLGVYNCQGAAWSAAERKNAFHDTVSGAITGFVRGGDVHLIAEAAGDDDWNGDCALYAHHSGQLIVLPRNVALPVSLKVLEHEVFAVAPVKVLGPGYSFSPLGLLNMFNAGGAVEGLVYEVGDTQGLVRVEIKGCGKFGAYSSARPTRCLLQNNEVDFNHDVNSGLLTFNIDHLPSEGHRFHVIELLYSLSS >Vigun03g359800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56260320:56263296:-1 gene:Vigun03g359800.v1.2 transcript:Vigun03g359800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPGVRVSEGKLVVKERTILTGIPENVVETSTVEGLFLGVDMEKEDSRHVVSLGTLRDVRFMACFRFKLWWMAQKMGDRGSQIPLETQFLLVETKDGSHLESENDTNSQNQIVYTVFLPLVEGSFRACLQGNSNDHLQLCLESGDADTKASSFSHAIFISAGTDPFATIHHAFRAVRNHLNTFRLRDEKKLPGIVDCFGWCTWDAFYQQVTQEGVETGIQSLRSGGTPPKFVIIDDGWQSVGGDDDDGKVKEYSNSLQRLTGIKENAKFQKEEPGLGIKNIVDIAKKKHEVKHVYVWHAITGYWGGVRPGVKEMEEYGSVMKYPKVSSGVTENEPTWKSDILAVQGLGLVNPKKVFTFYDELHSYLASAGIDGVKVDVQCILETLAAGLGGRVELTRQYHQALDASISRNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEIMLPDWDMFHSLHPAAEYHGSARAISGGPLYVSDAPGKHDFDLLKKMVLPDGSVLRARLPGRPTKDCLFSDPARDGVSLLKIWNMNKFGGVLGVYNCQGAAWSAAERKNAFHDTVSGAITGFVRGGDVHLIAEAAGDDDWNGDCALYAHHSGQLIVLPRNVALPVSLKVLEHEVFAVAPVKVLGPGYSFSPLGLLNMFNAGGAVEGLVYEVGDTQGLVRVEIKGCGKFGAYSSARPTRCLLQNNEVDFNHDVNSGLLTFNIDHLPSEGHRFHVIELLYSLSS >Vigun03g359800.1.v1.2 pep primary_assembly:ASM411807v1:3:56260320:56263296:-1 gene:Vigun03g359800.v1.2 transcript:Vigun03g359800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGYLTRVIRKKMLTMVSNLCHTTSFPINTESRSLPTHPNTILSGIHLRSPNKKQLPTSGFRIRRHFLNRVFPVVSFKRSVKGAEEMTIKPGVRVSEGKLVVKERTILTGIPENVVETSTVEGLFLGVDMEKEDSRHVVSLGTLRDVRFMACFRFKLWWMAQKMGDRGSQIPLETQFLLVETKDGSHLESENDTNSQNQIVYTVFLPLVEGSFRACLQGNSNDHLQLCLESGDADTKASSFSHAIFISAGTDPFATIHHAFRAVRNHLNTFRLRDEKKLPGIVDCFGWCTWDAFYQQVTQEGVETGIQSLRSGGTPPKFVIIDDGWQSVGGDDDDGKVKEYSNSLQRLTGIKENAKFQKEEPGLGIKNIVDIAKKKHEVKHVYVWHAITGYWGGVRPGVKEMEEYGSVMKYPKVSSGVTENEPTWKSDILAVQGLGLVNPKKVFTFYDELHSYLASAGIDGVKVDVQCILETLAAGLGGRVELTRQYHQALDASISRNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEIMLPDWDMFHSLHPAAEYHGSARAISGGPLYVSDAPGKHDFDLLKKMVLPDGSVLRARLPGRPTKDCLFSDPARDGVSLLKIWNMNKFGGVLGVYNCQGAAWSAAERKNAFHDTVSGAITGFVRGGDVHLIAEAAGDDDWNGDCALYAHHSGQLIVLPRNVALPVSLKVLEHEVFAVAPVKVLGPGYSFSPLGLLNMFNAGGAVEGLVYEVGDTQGLVRVEIKGCGKFGAYSSARPTRCLLQNNEVDFNHDVNSGLLTFNIDHLPSEGHRFHVIELLYSLSS >Vigun07g162000.1.v1.2 pep primary_assembly:ASM411807v1:7:27330724:27334684:-1 gene:Vigun07g162000.v1.2 transcript:Vigun07g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTQFAMVEELAFLVKDNLSCKHLVLTMEEVLVNFLQDDHTSSDGILELEPMNSYNRLLLHRLAEIFGFAHGSVGEGDDRHLILERCPDTSIPPILVSDILWKYDDEPQSLVTSHQILRRSEASSVSQTNKTSFSKSLEERKAAYLVARERIFSMKLEEAKEPGEQKPRSVPVVARRMIAHALGQRIHTNNQNGLASDNMNDGGLKDELNARDKSSEVSNLTKDSEEILCLRRNPNNRIRNSSSSNASSNDKRNDQTPVHQEFPLFSQDTKQGQTVNKDHMKKEHLGAAKRMFAHALGVQSGKDGSVPRNRIGEIKKN >Vigun07g162000.2.v1.2 pep primary_assembly:ASM411807v1:7:27330724:27334684:-1 gene:Vigun07g162000.v1.2 transcript:Vigun07g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLVNFLQDDHTSSDGILELEPMNSYNRLLLHRLAEIFGFAHGSVGEGDDRHLILERCPDTSIPPILVSDILWKYDDEPQSLVTSHQILRRSEASSVSQTNKTSFSKSLEERKAAYLVARERIFSMKLEEAKEPGEQKPRSVPVVARRMIAHALGQRIHTNNQNGLASDNMNDGGLKDELNARDKSSEVSNLTKDSEEILCLRRNPNNRIRNSSSSNASSNDKRNDQTPVHQEFPLFSQDTKQGQTVNKDHMKKEHLGAAKRMFAHALGVQSGKDGSVPRNRIGEIKKN >Vigun07g162000.4.v1.2 pep primary_assembly:ASM411807v1:7:27330724:27334684:-1 gene:Vigun07g162000.v1.2 transcript:Vigun07g162000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDGILELEPMNSYNRLLLHRLAEIFGFAHGSVGEGDDRHLILERCPDTSIPPILVSDILWKYDDEPQSLVTSHQILRRSEASSVSQTNKTSFSKSLEERKAAYLVARERIFSMKLEEAKEPGEQKPRSVPVVARRMIAHALGQRIHTNNQNGLASDNMNDGGLKDELNARDKSSEVSNLTKDSEEILCLRRNPNNRIRNSSSSNASSNDKRNDQTPVHQEFPLFSQDTKQGQTVNKDHMKKEHLGAAKRMFAHALGVQSGKDGSVPRNRIGEIKKN >Vigun07g162000.5.v1.2 pep primary_assembly:ASM411807v1:7:27330724:27334684:-1 gene:Vigun07g162000.v1.2 transcript:Vigun07g162000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDGILELEPMNSYNRLLLHRLAEIFGFAHGSVGEGDDRHLILERCPDTSIPPILVSDILWKYDDEPQSLVTSHQILRRSEASSVSQTNKTSFSKSLEERKAAYLVARERIFSMKLEEAKEPGEQKPRSVPVVARRMIAHALGQRIHTNNQNGLASDNMNDGGLKDELNARDKSSEVSNLTKDSEEILCLRRNPNNRIRNSSSSNASSNDKRNDQTPVHQEFPLFSQDTKQGQTVNKDHMKKEHLGAAKRMFAHALGVQSGKDGSVPRNRIGEIKKN >Vigun08g066500.1.v1.2 pep primary_assembly:ASM411807v1:8:9805997:9814751:-1 gene:Vigun08g066500.v1.2 transcript:Vigun08g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGSCVRSFLVVQAGTFANRVRINGFVRSLRVVSGFSYWPIREYKTITGMMVDTGSVSRAGPLVDMMPEKDDDGRFASGGWKSEDGRLSCGYSSFRGKRATMEDFYDIKTLKIGGQSVCLFGIFDGHGGSRAAEYLKEHLFDNLLKHPKFLTDAKLAISETYQQTDANFLDAEKDTFRDDGSTASTAVLVDNHLYVANVGDSRTIISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQQMDEQIELLILASDGLWDVVQNDDAVSLARTEEEPEAAARKLTEAAFSRGSADNITCIVVRFHHDKKEMPKPDKAESASAQRE >Vigun08g066500.2.v1.2 pep primary_assembly:ASM411807v1:8:9805997:9814751:-1 gene:Vigun08g066500.v1.2 transcript:Vigun08g066500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGSCVRSFLVVQAGTFANRVRINGFVRSLRVVSGFSYWPIREYKTITGMMVDTGSVSRAGPLVDMMPEKDDDGRFASGGWKSEDGRLSCGYSSFRGKRATMEDFYDIKTLKIGGQSVCLFGIFDGHGGSRAAEYLKEHLFDNLLKHPKFLTDAKLAISETYQQTDANFLDAEKDTFRDDGSTASTAVLVDNHLYVANVGDSRTIISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQQMDEQIELLILASDGLWDVVQNDDAVSLARTEEEPEAAARKLTEAAFSRGSADNITCIVVRFHHDKKEMPKPDKAESASAQRE >Vigun03g069600.1.v1.2 pep primary_assembly:ASM411807v1:3:5764784:5769975:-1 gene:Vigun03g069600.v1.2 transcript:Vigun03g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAISGMHSPQFVRLPISFSQSSSPTVRFSPPNLKRHKPLSIRSVSIPAAPASGSVAPAISLTDNALKHLSKMRSERNENLCLRIGVRQGGCSGMSYTMDFEDRANKRPDDSIIEYEGFEIVCDPKSLLFIFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAE >Vigun10g051700.1.v1.2 pep primary_assembly:ASM411807v1:10:8097321:8103474:1 gene:Vigun10g051700.v1.2 transcript:Vigun10g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQDPQHRPKLCATEAEEIPVIDLSPVTNHAVSDPSSIEGLVKEIGSACKEWGFFQVINHGVPLILRQNIEKASRMFFAQSLEEKRKVCRDERNAMGYYDTEHTKNVRDWKEVFDSVAQDPSVVPLTPDEHDDRLTYWTNASPQYPPHLRDTIKEYVEQTEKLSFMLMELIALSLGLEAKRFEEFFVKDQTSIIRLNYYPTCPSPHLALGVGPHKDVGALTVLAQDGVAGLEVKRKADGEWIRIKLIPNSFIINLGDIVQVWSNDAYESPEHRVVVNSEKERFSYPFFLFPAQETEVKPLEELVSDQNPSKYRPYKWGKFLIHRFSTNFKKREGENIQILHYRIK >VigunL024870.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:69481:69795:-1 gene:VigunL024870.v1.2 transcript:VigunL024870.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSCVRGELLSIVGLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKVYDSTSIFPIRQFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLW >Vigun06g192100.1.v1.2 pep primary_assembly:ASM411807v1:6:30930297:30931811:-1 gene:Vigun06g192100.v1.2 transcript:Vigun06g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVQMKHVFAVCAVMLLTCAYQAMSRTLYESSSAEAFQDWTSQHRREYVDDAEKERRFKIFAENLEYIEKFNNGGKKSYKLGLNPFSDLTEEEFIASHTGLKLNSSQPRSSSATYLSVDDDNIPPSMDWRERGAVTDVKDQGQCGSCWAFSTVAAVEGIVQIKTKKLIPLSEQQLVDCDNDNKGCDGGFMDNAFNYIKENEGISSESDYHYQGFAGTCQSAQPAVQISGYKDVPENSEEQLLLAVAQQPVSVVVAINQNFQSYESDVFEGPCGTELNHAVTIIGYDTTDDGKKYWLIKNSWGESWGENGYMKLLRESGEPEGVCGVAMKASYPTLDLN >Vigun07g014000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1266240:1267397:-1 gene:Vigun07g014000.v1.2 transcript:Vigun07g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSILRGESRVDRTNTYSLIPVSHDEDENEVSCEEFCGTPWRVARTFVGLIAVVVLLGIVVINEHNISTMSHIAPPRFFIHSLRVSTFNISQGELTATWNVDLAISNEVNSTFINIVNFKAMILYKDDIPLALSSPIQPENLLDAHGIFSVSKNEKKTLHLSFNTTGWEKDQPVVDDDVIQEIDEQMKVGVISFGLKINVEAEMDLNMMNVPLTMEPYCSNLEVDFAPLERGEAATILDNDVDEVKECFNNVDQLNDLGY >Vigun01g222400.1.v1.2 pep primary_assembly:ASM411807v1:1:39578900:39579558:1 gene:Vigun01g222400.v1.2 transcript:Vigun01g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNWFMLFFVCLLIIARNLSVNPNTVEATRVLTINRNRSHFKGYTKRQVYSTLGMVCECCDGKAGECTTTWDDACSNLKCHPWKY >VigunL024915.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:75398:77907:1 gene:VigunL024915.v1.2 transcript:VigunL024915.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun06g216900.2.v1.2 pep primary_assembly:ASM411807v1:6:32785063:32787839:1 gene:Vigun06g216900.v1.2 transcript:Vigun06g216900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGVDEQCLGWAARDASGVLSPYKFSRRNLGNEDVHIKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGIVTKVGPNVHRFNVGDHVGVGTYVNSCRDCEYCNEKQEVLCTKGSVFTFNGVDFDGTITKGGYSSYIVVHERYCFTIPKGYPLASAAPLLCAGVTVYSPMVRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSVSKKEEALTSLGADKFVVSSNQEEMKALAKSLDFIIDTASGDHPFDPYMSLLKPYGVFVLVGFPSEVKFSPASLNIGSKTFAGSITGGTKDIQEMIDFCAANDIHPMIEVIPIEYANEALERLVNRDIKYRFVIDIENSLKEN >Vigun06g216900.4.v1.2 pep primary_assembly:ASM411807v1:6:32785151:32787757:1 gene:Vigun06g216900.v1.2 transcript:Vigun06g216900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGVDEQCLGWAARDASGVLSPYKFSRRNLGNEDVHIKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGIVTKVGPNVHRFNVGDHVGVGTYVNSCRDCEYCNEKQEVLCTKGSVFTFNGVDFDGTITKGGYSSYIVVHERYCFTIPKGYPLASAAPLLCAGVTVYSPMVRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSVSKKEEALTSLGADKFVVSSNQEEMKALAKSLDFIIDTASGDHPFDPYMSLLKPYGVFVLVGFPSEVKFSPASLNIGSKTFAGSITGGTKDIQEMIDFCAANDIHPMIEVIPIEYANEALERLVNRDIKYRFVIDIENSLKEN >Vigun06g216900.1.v1.2 pep primary_assembly:ASM411807v1:6:32785086:32787760:1 gene:Vigun06g216900.v1.2 transcript:Vigun06g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGVDEQCLGWAARDASGVLSPYKFSRRNLGNEDVHIKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGIVTKVGPNVHRFNVGDHVGVGTYVNSCRDCEYCNEKQEVLCTKGSVFTFNGVDFDGTITKGGYSSYIVVHERYCFTIPKGYPLASAAPLLCAGVTVYSPMVRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSVSKKEEALTSLGADKFVVSSNQEEMKALAKSLDFIIDTASGDHPFDPYMSLLKPYGVFVLVGFPSEVKFSPASLNIGSKTFAGSITGGTKDIQEMIDFCAANDIHPMIEVIPIEYANEALERLVNRDIKYRFVIDIENSLKEN >Vigun06g216900.3.v1.2 pep primary_assembly:ASM411807v1:6:32785117:32787794:1 gene:Vigun06g216900.v1.2 transcript:Vigun06g216900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGVDEQCLGWAARDASGVLSPYKFSRRNLGNEDVHIKITHCGVCYADVIWTRNKHGDSKYPVVPGHEIAGIVTKVGPNVHRFNVGDHVGVGTYVNSCRDCEYCNEKQEVLCTKGSVFTFNGVDFDGTITKGGYSSYIVVHERYCFTIPKGYPLASAAPLLCAGVTVYSPMVRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVFSTSVSKKEEALTSLGADKFVVSSNQEEMKALAKSLDFIIDTASGDHPFDPYMSLLKPYGVFVLVGFPSEVKFSPASLNIGSKTFAGSITGGTKDIQEMIDFCAANDIHPMIEVIPIEYANEALERLVNRDIKYRFVIDIENSLKEN >Vigun07g249200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36863762:36864574:-1 gene:Vigun07g249200.v1.2 transcript:Vigun07g249200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAKKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAHVALVVFSPGEKVFSFGHPNVDAVIDRFLGRAPVQDSGTMQFIDAHRISNVRDLNKQLTQVNELLETERKRGEELSRMRREAQDLMWWTRPTEELSVEQMEQYKTALEELKKHVAQLAERAMLQNAVNQGHQFFPGASSSANFMPQPQHQHQHQHQLQPQLQLQPQPPQVFTAQTVPTMLQSYMFPDVTIMQQQHHGFDNMAMGGYGPGPGPGPAPAGGFF >Vigun07g249200.2.v1.2 pep primary_assembly:ASM411807v1:7:36863792:36864574:-1 gene:Vigun07g249200.v1.2 transcript:Vigun07g249200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAKKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAHVALVVFSPGEKVFSFGHPNVDAVIDRFLGRAPVQDSGTMQFIDAHRISNVRDLNKQLTQVNELLETERKRGEELSRMRREAQDLMWWTRPTEELSVEQMEQYKTALEELKKHVAQLAERAMLQNAVNQGHQFFPGASSSANFMPQPQHQHQHQPQPPQVFTAQTVPTMLQSYMFPDVTIMQQQHHGFDNMAMGGYGPGPGP >Vigun06g121700.1.v1.2 pep primary_assembly:ASM411807v1:6:24897584:24902805:-1 gene:Vigun06g121700.v1.2 transcript:Vigun06g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETKIVNLAEEAKLATEGVKTPSYALTTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILQLYQKQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKSNPFGIVQDSELSVTTRLACGAAAGTMGQTVAYPLDVIRRRMQMVGWNHAASVVAGDGRGKVPLEYSGMVDAFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >Vigun01g149400.3.v1.2 pep primary_assembly:ASM411807v1:1:33083190:33087665:1 gene:Vigun01g149400.v1.2 transcript:Vigun01g149400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTAPNLIPSVSQEGTTPFTYVIVDSQNKSRTCIHTPGYPPMKPVDFPKSSLLTALNGAKIAYFDGRLHETAIVVAHEAVKKNIPILMDAERLREGLDDLLKLADYVVCAAEFPQAWTEASTVPKALVCMLLRLSNIKFVIVTLGKDGCIMLERSFNEGPSTEEMDVDQLLESLEMRKNRSSHIPTCISSSVTKLHAEGIGTVCGKLYVGTAENIPPSELIDTTGAGDAFSGSVLYAICANFTPEKMLCFAATVAASKCRAIGARTGLPYHTDPCLASFMN >Vigun01g149400.1.v1.2 pep primary_assembly:ASM411807v1:1:33082055:33087665:1 gene:Vigun01g149400.v1.2 transcript:Vigun01g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACENTVVVGCGAVSVDFLATVAAYPKPDEKIRTTSFKVQGGGNTGNALTCASRLGLKPRIISKVADDTQGREVLRELEADGVDTSFMAVSQEGTTPFTYVIVDSQNKSRTCIHTPGYPPMKPVDFPKSSLLTALNGAKIAYFDGRLHETAIVVAHEAVKKNIPILMDAERLREGLDDLLKLADYVVCAAEFPQAWTEASTVPKALVCMLLRLSNIKFVIVTLGKDGCIMLERSFNEGPSTEEMDVDQLLESLEMRKNRSSHIPTCISSSVTKLHAEGIGTVCGKLYVGTAENIPPSELIDTTGAGDAFSGSVLYAICANFTPEKMLCFAATVAASKCRAIGARTGLPYHTDPCLASFMN >Vigun01g149400.2.v1.2 pep primary_assembly:ASM411807v1:1:33082055:33087665:1 gene:Vigun01g149400.v1.2 transcript:Vigun01g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACENTVVVGCGAVSVDFLATVAAYPKPDEKIRTTSFKVQGGGNTGNALTCASRLGLKPRIISKVSQEGTTPFTYVIVDSQNKSRTCIHTPGYPPMKPVDFPKSSLLTALNGAKIAYFDGRLHETAIVVAHEAVKKNIPILMDAERLREGLDDLLKLADYVVCAAEFPQAWTEASTVPKALVCMLLRLSNIKFVIVTLGKDGCIMLERSFNEGPSTEEMDVDQLLESLEMRKNRSSHIPTCISSSVTKLHAEGIGTVCGKLYVGTAENIPPSELIDTTGAGDAFSGSVLYAICANFTPEKMLCFAATVAASKCRAIGARTGLPYHTDPCLASFMN >Vigun05g105000.1.v1.2 pep primary_assembly:ASM411807v1:5:10559612:10562919:-1 gene:Vigun05g105000.v1.2 transcript:Vigun05g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAIEVLSPASYLQNSNWLFQESKGGGWTAEENKLFENALAYYDKDTPDRWMRVAAMIPGKTVGDVIQQYRELEEDVSVIEAGLIPVPGYTTSSFTLEWVNSQSYDEFKHFCAVGEKRGASTRPSEQERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLTGGKDKRRSSIHDITMVNLQETKSPSSDTTRPSSPLQNQKLSSMVKQEYDWKTPCDDMPFVFNSTNGNMFMAAPLHGISSYESRPQEHNLLRQSPWMPVFTL >Vigun11g011500.2.v1.2 pep primary_assembly:ASM411807v1:11:1425893:1428293:1 gene:Vigun11g011500.v1.2 transcript:Vigun11g011500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSIFKGLCYIHVVKTYLINPLATFGPSMVPTIDSTPSVFLSEKISTRFGKVDRGDIVVLCDPQKPAHFLTKRVIGLEGDRITYSTNPETKDLVDDNFTHISYPENNDMPKTVVVPKGSVWVEGDNKYDSRDSRKFGPIPYGLIYGKIFWRIYPFQYFGPFWNK >Vigun11g011500.1.v1.2 pep primary_assembly:ASM411807v1:11:1425893:1428287:1 gene:Vigun11g011500.v1.2 transcript:Vigun11g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSIFKGLCYIHVVKTYLINPLATFGPSMVPTIDSTPSVFLSEKISTRFGKVDRGDIVVLCDPQKPAHFLTKRVIGLEGDRITYSTNPETKDLVDDNFTHISYPENNDMPKTVVVPKGSVWVEGDNKYDSRDSRKFGPIPYGLIYGKIFWRIYPFQYFGPFWNK >Vigun06g205100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31931347:31932658:1 gene:Vigun06g205100.v1.2 transcript:Vigun06g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMQLHRAIHEPGKRKKMCSLEKRGNVWILTLAGDDAQNRLNPTLIDYLASALSRLASNATPGSVLLTTAHGKFFSNGFDLAWARSASSPAAAYDRLQSLVASLRPIIAALLSLPMPTIAAVNGHASAAGFMLAISHDYVLMRGDRGVLYMPEVDIGLPLPDYFVAVMRSKIRSPAVLRDLGLGGAKMTAAEAVKVGIVESAHDSEESTVEAAMRLGEQLARKKWVGVVYAEIRKSLYPIACDALGLTQKAIVSKI >Vigun06g181400.1.v1.2 pep primary_assembly:ASM411807v1:6:30106511:30110859:-1 gene:Vigun06g181400.v1.2 transcript:Vigun06g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGFVDGAATPTPSESALIFLGTGCSSMVPNLMCLLQPSNPPCSVCFQSLSIPPERNPNYRCNTSLLIDYCGDANDRKYILIDVGKTFRETVLRWFVSHRIPRVDSIILTHEHADAVLGLDDIRAVQHFSPINDIDPTPLYLSQHSMDSIAEKFPYLVRKKLKEGEEIRRVAQIDWNIIADDCNQPFSASGLKFTPLPVMHGEDYICLGFLFGEKSRVAYISDVSRFPASTEYVISKSGAGQLDLLILDSLYRTGSHNVHLCFPQTLETLKRLCPKQALLIGMTHEFDHHKDNEFLKEWSKREGIPVQLAHDGLRVPINL >Vigun07g280500.1.v1.2 pep primary_assembly:ASM411807v1:7:39527933:39538030:-1 gene:Vigun07g280500.v1.2 transcript:Vigun07g280500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVTEGNDSCGSGVQHHTTSSTSSPAQTRQQRHKVEVYNEILRRLKDSGHEEAMQPGFNDQLWAHFNRLPTRYALDVNVERAADVLMHKRLLQLAHDPANRPSIEVRLVQVHPISDGNSADASELDDPGIESGQSSSKYSSRQSIHPPPAFGSSPNLEALALEANSSEDIEEEQSVHANFQYSRPMHEITISTDDKPKLLSQLTALLAEIGLNIQEAHAFSTTDGYSLDVFVVEGWPYEETEKLKAALEREVLKKIERQVRSSPQSVSSVDEPDQAKMKSELDHLTIPNDGTDVWEINPKHLKYGTQIASGSYGELFKGVYCSQEVAIKVLKPEHVNSELQKEFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEFMSGGSVYDYLHKQKGFFKFPTLLKVAIDISKGMNYLHQHNIIHRDLKAANLLMDENCVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTIPKNTHPKFVELLERSWQQDPTLRPDFSEIIDILQQLAKEVGDGEERHKEKSGGLLSVLRRGHH >Vigun11g039900.1.v1.2 pep primary_assembly:ASM411807v1:11:5619653:5623211:1 gene:Vigun11g039900.v1.2 transcript:Vigun11g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHVLLVFPYLKHAVRHKLQKVLAFVCLWLWWSTYIHVEAANDSLKPGDTMNYTDTATLCSKLGKYCLTFTSVSSGDNNYLVIFNRNKNIEVWMSDGNQPVDRDSAVLSLNRSGVLRIESQGGNPIILYSPPQPINNTKATLLDTGNFVLQQLHPNGTNTLLWQSFDDPTDTMIPTKKLGVNHKTGHHWLLVSWFTKTLAPPGAFSLEWEPGEQELIIRRRGKVCWRSGKLRNNKFEHISEKAQRGLKYTIVSNGDENSLSFTTTNEEHDIWWVISEIGEIYDDEGYIGGADLCYGYNYTDGGCQRWQDIPKCRNPGDVFQKNIGDFSYSNVSFEENPSYGHSDCEASCWSDCTCSGFKEFYQNGTGCVFFHWISSENYTSHSTGDTFYVLVNTLTHHKGTKKWRWIAAVAATALLAICLITICLFLKKRKYVFQEEKSQRMVMKLVHLATCSASSAMEDFEDDLKKGHGLTVFSYTSVKEATNGFSSENKLGQGGFGPVYKGILSTGQEIAVKRLSKTSGQGIVEFKNELTLICELQHMNLVQLLGCCIHEEERILIYEYMPNQSLDFYLFDCRRGKLLDWKKRFKIIEGISQGLLYLHKYSRLKVIHRDMKASNILLDENMNPKISDFGMARIFTQQESASNTNRIVGTHGYMSPEYMMEGAFSTKSDVYSFGVMLLEIVSGRRNLGCYDVDRPLNLIGYTWELWKDGACSELVDPSIKESIDADEVQRCIHIGLLCVEHYADDRPTMSDVVSMLTNKSAIVSLPQTPAFYVGRRILHDNLSSKGSWIDSAGEITASTIEMTDS >Vigun04g157300.1.v1.2 pep primary_assembly:ASM411807v1:4:37929013:37933237:1 gene:Vigun04g157300.v1.2 transcript:Vigun04g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVWETLKEAIIVYTGLSPPTFFTLLALILAIYYVITGLFPSSDHRHEAPRDFEPQMEPLRPPVQIGEVTEEELKAYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPNGPYALFAGKDASRALAKMSFEERDLTGDISGLGPFELEALQDWEYKFMGKYVKVGTVKAKVPVTEPSESTPRDAESSKPTEDGPSELADIKNDPSESADIKNDEPPPKVDADKEE >Vigun03g078000.1.v1.2 pep primary_assembly:ASM411807v1:3:6441733:6445432:-1 gene:Vigun03g078000.v1.2 transcript:Vigun03g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCHHHPFLLGAITTNRNYNLSLSLSIITSRPFHLTTPNLKLTAHRFNSLTVSANSFPLRSQHVTADSNFDSLLSFLEFSCLLSSAVASSAATVVAASKNELLAGIGTRAAPFGVTMLVIGVLIGVWIRRRQWRRVCVENGKNGLEVNFLQRIEKLEEDLKSSLTVVRVLSRQLEKLGIRFRVTRKALKDPIAETAALAQKNSEAARALAVQSDILEKELGEIQQVLLAMQEQQRKQLDLILAIGKAGKLWESKPETSDRQDTLEMSNSAEGVIKQEVHQI >Vigun01g135000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31355591:31357178:-1 gene:Vigun01g135000.v1.2 transcript:Vigun01g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPSCFGENGVQVVDSSYSSTTRGAQNVVTCVYQCKLGGRSFLITVSWTKYLMGQGLSVGIDELGNHCLCKVDIKPWLFSKRKGSKNLEVESSKIDILWDLSCAKFGSGPEPLEGFYLVVVFNQEMVLLLGDLKKEACKKIDGDYACANSEAVFIAKREHIFGKKFYGAKAQFCDKGQVHDVRIECDTLGLNDPCLVIRIDSKTVMQVKQLKWKFRGNHTILVDGVAVEVFWDVHNWLFGNALGNAVFMFQTCISTEKMFANQSVSDPSVLTWAYSQQFRDSQLQGLGFSLILYAWRNE >Vigun08g078100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15473957:15474966:1 gene:Vigun08g078100.v1.2 transcript:Vigun08g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQPINFPFPRFTPPPPPPSPFFSPPPPPRSFPPPPPPPLRSPPPPLRSPPPPPLRSPPPPKPFSPPPPKVRPPPPPRPVRPSPPPPPRPVRPPPLPPPAPLVPPPPSPSNPTVIIVVVVSLSGLLLLTMVAFAFFCCVQRRKKKKAQETEVIHFDEQKRVNETILPGPFGRNTVVVTVEDDVHIDEEIKKNEQVGHGVHGVHATSSSPPGADEGASSGIVDVAATPPAPEHHDHHHHHHLQNKP >Vigun09g174100.1.v1.2 pep primary_assembly:ASM411807v1:9:34520976:34522312:-1 gene:Vigun09g174100.v1.2 transcript:Vigun09g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMKRTTSMSEITFDLNTTLDEDVQNNPVKGGLGPDPWTKHPPPVGSNGLDQSRVWALVSPRNHRRHSEDSAHTPEFLRVCFLCKRRLVPARDIYMYRGDSAFCSSECREKQMKQDERKDNNKCGVASKKQVAATPSGSQVRSTKGETLVAL >Vigun02g000200.1.v1.2 pep primary_assembly:ASM411807v1:2:85903:90400:-1 gene:Vigun02g000200.v1.2 transcript:Vigun02g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFKNGWPSVVRLRLRDKSVTPFCIFSKVKSAGNIPGNTPVYLNVYDLTTVNGYMYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTSLDPFQIREFMERQSANYNGDTYHLIVKNCNHFCEDICYKLTGNSIPKWVNRLARIGSFCNCILPDALKTSTVQHDPNFQGCDSEKRRLRTAFSCLSSISMPQKEVSMSSLFMHSHYKGCLPPWELKKSKKGRLKQKLEDKFTSQ >Vigun05g050500.4.v1.2 pep primary_assembly:ASM411807v1:5:4340667:4344979:1 gene:Vigun05g050500.v1.2 transcript:Vigun05g050500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSGLVFRKGEEIVGMETDHGILRVKLLPECAPNSVFYILELLALPHCVGCQIHRAENRGNFWDSKGNHIKRTSYGPPFALIQGTLGSHGSIFKDIPKEHCPTIRRGSVAWVGSGPEFFISLADHKEWKNPYTVFGYVLSEDMEILEKISQLSTKSEIWNSIKISILENPVSLRFRRMNTKS >Vigun05g050500.5.v1.2 pep primary_assembly:ASM411807v1:5:4339729:4344979:1 gene:Vigun05g050500.v1.2 transcript:Vigun05g050500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLNDSDFGSESLPSVEDEGPKEEQCCRGVEHLELWGDAVKWGSQFVLNSSEECCMACKRMCNGDGGPCLCNSWVYCGDREACGSRFGECWLKKQQDALNPDRRDSGDLVMWTSGLVFRKGEEIVGMETDHGILRVKLLPECAPNSVFYILELLALPHCVGCQIHRAENRGNFWDSKGNHIKRTSYGPPFALIQGTLGSHGSIFKDIPKEHCPTIRRGSVAWVGSGPEFFISLADHKEWKNPYTVFGYVLSEDMEILEKISQLSTKSEIWNSIKISILENPVSLRFRRMNTKS >Vigun05g050500.3.v1.2 pep primary_assembly:ASM411807v1:5:4339729:4344979:1 gene:Vigun05g050500.v1.2 transcript:Vigun05g050500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVCVIPGFIVAIERRVDLDLCWLKKQQDALNPDRRDSGDLVMWTSGLVFRKGEEIVGMETDHGILRVKLLPECAPNSVFYILELLALPHCVGCQIHRAENRGNFWDSKGNHIKRTSYGPPFALIQGTLGSHGSIFKDIPKEHCPTIRRGSVAWVGSGPEFFISLADHKEWKNPYTVFGYVLSEDMEILEKISQLSTKSEIWNSIKISILENPVSLRFRRMNTKS >Vigun05g050500.2.v1.2 pep primary_assembly:ASM411807v1:5:4339729:4344979:1 gene:Vigun05g050500.v1.2 transcript:Vigun05g050500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLNDSDFGRFALFALFLIGAISCSAVYLFLTVFVRPDSESLPSVEDEGPKEEQCCRGVEHLELWGDAVKWGSQFVLNSSEECCMACKRMCNGDGGPCLCNSWVYCGDREACGSRFGECWLKKQQDALNPDRRDSGDLVMWTSGLVFRKGEEIVGMETDHGILRVKLLPECAPNSVFYILELLALPHCVGCQIHRAENRGNFWDSKGNHIKRTSYGPPFALIQGTLGSHGSIFKDIPKEHCPTIRRGSVAWVGSGPEFFISLADHKEWKNPYTVFGYVLSEDMEILEKISQLSTKSEIWNSIKISILENPVSLRFRRMNTKS >Vigun09g013800.2.v1.2 pep primary_assembly:ASM411807v1:9:1016610:1023114:-1 gene:Vigun09g013800.v1.2 transcript:Vigun09g013800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEETVARVISEIEEFRGNHEQPPLSEQSLRDLQILLNNSLSESLYDELPSKNLAPSALIAPIASAMDSSPSHHSLLASDVFLSLLLAPNAPVFTLFTPISFLSFLRSLRRSCKAHSNPGPAQDTSQNRKRKRAGRTRAKNPQNDNDSAEISSQHDPMLLLRVLEKLVRVMDFIHLDRFPETLRSLVQTVAEIPVTALDACGNAAQYSKLLNLCSRVLREVLKPEHGEPSNSAAEVLKSLCPLVLMAKSQARSFAIEFVTSLGDCCDGVKKALVNFPRYLVKKAPERAEPRALAVDSIMEVVRAVEVEDQIAFVKYVVQMTQGKANLRLLAVDLILNLMTSLKDPLGVGSEGSEAWGMWCLEALMKRCSDVSGAIRARALSSLAQLVGLLSRGERTSVVLKEFLGFGNVGDGNVEGTMNDMLRRRCMDDKAAVRKAALLLVTNLTSLLGGAIDEVVLKTMGMACSDPLISMRKAAITALSQAFRTFSSETVITEWLHSVPRMITDNESSIQDECENVFQELVLDRISRAASASSSYSVSSDRKMKGKFIDNEMEMLFPDGILYLLREVCNGEVSPWVKKICTNLGKKKRMNQKIVIALQNIIRQSESIWLSHSLPIEKWTAPPGAWFLLSEVSAFLLKAVDWGFLHHHWQLLDKHEVEGEFKSPIAQKNSSEEESTECNTVAWASDRVFLLQTISNVSVELPPEPAADLAHNLLKRVVAFNMHSTEVDAHLKALKTLCKRKASNLEEAEALILKWIDQVLTRASGIIEKFISDNSEKNAEGSFFTPPRSRTGKGRKSVAISKALSKAVTAIYTVGSLAIVCPSADMSNVVPLLHTIITSGRSGPKLNSLPGPSTSLQHEAPSFYIQGWLAMGKLCLADGKLAKNYIPLFVQELEKSESAALRNNIVVMMADFCVRYITKITRCLLDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKSPLLAYNSFVEAVFVLNDCHAHNGHRESQGSRKESQSFSIRGTDVESRSKRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDATAQSVLQDAFEILGCKEIRIPSTRASTESADIEEEGDNGSAARGKAVTQAVKKSLIQNTVPIFIELKRLLETKNSPLIGSLMECLRIILKDYKNEIDDILVADKQLQKELIYDIQKYEAAKAKATVAEAVVGTKSKSGSNQSPDVSKNQTKAQEQAVGQGMSSDELPSDSKVASAMADAAAAATARSVLREINKGTGTPPLRSCSVPKVKSCTGMFNSRDVNRMDAIKSVRKKQSFDSDEEN >Vigun09g013800.1.v1.2 pep primary_assembly:ASM411807v1:9:1016610:1023114:-1 gene:Vigun09g013800.v1.2 transcript:Vigun09g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEETVARVISEIEEFRGNHEQPPLSEQSLRDLQILLNNSLSESLYDELPSKNLAPSALIAPIASAMDSSPSHHSLLASDVFLSLLLAPNAPVFTLFTPISFLSFLRSLRRSCKAHSNPGPAQDTSQNRKRKRAGRTRAKNPQNDNDSAEISSQHDPMLLLRVLEKLVRVMDFIHLDRFPETLRSLVQTVAEIPVTALDACGNAAQYSKLLNLCSRVLREVLKPEHGEPSNSAAEVLKSLCPLVLMAKSQARSFAIEFVTSLGDCCDGVKKALVNFPRYLVKKAPERAEPRALAVDSIMEVVRAVEVEDQIAFVKYVVQMTQGKANLRLLAVDLILNLMTSLKDPLGVGSEGSEAWGMWCLEALMKRCSDVSGAIRARALSSLAQLVGLLSRGERTSVVLKEFLGFGNVGDGNVEGTMNDMLRRRCMDDKAAVRKAALLLVTNLTSLLGGAIDEVVLKTMGMACSDPLISMRKAAITALSQAFRTFSSETVITEWLHSVPRMITDNESSIQDECENVFQELVLDRISRAASASSSYSVSSDRKMKGKFIDNEMEMLFPDGILYLLREVCNGEVSPWVKKICTNLGKKKRMNQKIVIALQNIIRQSESIWLSHSLPIEKWTAPPGAWFLLSEVSAFLLKAVDWGFLHHHWQLLDKHEVEGEFKSPIAQKNSSEEESTECNTVAWASDRVFLLQTISNVSVELPPEPAADLAHNLLKRVVAFNMHSTEVDAHLKALKTLCKRKASNLEEAEALILKWIDQVLTRASGIIEKFISDNSEKNAEGSFFTPPRSRTGKGRKSVAISKALSKAVTAIYTVGSLAIVCPSADMSNVVPLLHTIITSGRSGPKLNSLPGPSTSLQHEAPSFYIQGWLAMGKLCLADGKLAKNYIPLFVQELEKSESAALRNNIVVMMADFCVRYTALVDCYITKITRCLLDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKSPLLAYNSFVEAVFVLNDCHAHNGHRESQGSRKESQSFSIRGTDVESRSKRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDATAQSVLQDAFEILGCKEIRIPSTRASTESADIEEEGDNGSAARGKAVTQAVKKSLIQNTVPIFIELKRLLETKNSPLIGSLMECLRIILKDYKNEIDDILVADKQLQKELIYDIQKYEAAKAKATVAEAVVGTKSKSGSNQSPDVSKNQTKAQEQAVGQGMSSDELPSDSKVASAMADAAAAATARSVLREINKGTGTPPLRSCSVPKVKSCTGMFNSRDVNRMDAIKSVRKKQSFDSDEEN >Vigun05g131300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15263533:15264950:1 gene:Vigun05g131300.v1.2 transcript:Vigun05g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQSTPLTQNHPIPYGYQRASSPSPAQIHMNTKFLHYHFHTQPQEQRTRTSILVPNTRFIFPIVHPIETETKKRENRWRRVGDPDGGVWSRNIFEPSRGVVGTVVVS >Vigun10g028366.1.v1.2 pep primary_assembly:ASM411807v1:10:3484135:3486137:1 gene:Vigun10g028366.v1.2 transcript:Vigun10g028366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVEEVCPDIVSCADILALAARDSIQIVMSATMLPLFAILQRQIVLLFFWLWNRTKPFVMNRSFVNTNGIQYMNNVNVVFCQQYDHCLKFSVAGRETSSYSGSTVVSVAPPLTSSPVREQFRVSKKVSGIDPERRFRHQRKMIEKKIEKRDDRKQIHPSENNEEGLESIRLFRLALSLKILRYVSKLKCEMVVLIIVGAVYAFLQLQIALIVYWLWNQTKPFVMSHCFVNRNGIQYINNVNFVFCQQCRHCSKFSVAGKETSSDSGSTVVSVAPPLTSSPVREKFRESKRVSGDDSASSFRDQCKMIEKKIEKIDDRRQIHPSKYIEEALESIRLFILALALKIVKYVSEFESESKLIRKKTIK >Vigun05g264100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45634373:45635048:-1 gene:Vigun05g264100.v1.2 transcript:Vigun05g264100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun03g115850.1.v1.2 pep primary_assembly:ASM411807v1:3:10621482:10625603:1 gene:Vigun03g115850.v1.2 transcript:Vigun03g115850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMYGADIYSAGRVWGSYYSYLTHPVDIPKGEPELAGKDDGTCQAEVAIEEDVACEEVESDNDEGDAVDKLDYSEEERVADDDGFGMDIQPLLRNIGPVLDMWKAMK >Vigun03g349900.1.v1.2 pep primary_assembly:ASM411807v1:3:55063551:55067532:1 gene:Vigun03g349900.v1.2 transcript:Vigun03g349900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILSKLPKKVPKSDSSDSSRSDSSNSTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASMSAGVEAVDPCLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNSTEQDLKRQTLLELVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKFRTSSGGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKVAKAHIDHAFILRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAEMLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDQRLASSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMIPLFRRMAHCLNSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNAQSHWNQAVLNLTQNIRKMLSQMDEELVLACQRRIEEEDSAASAAAERRRLTWERLEAAASAAAAAAAASVQSSSVGGLVPVKSATCSVAC >Vigun03g349900.3.v1.2 pep primary_assembly:ASM411807v1:3:55063197:55067379:1 gene:Vigun03g349900.v1.2 transcript:Vigun03g349900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILSKLPKKVPKSDSSDSSRSDSSNSTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASMSAGVEAVDPCLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNSTEQDLKRQTLLELVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKFRTSSGGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKVAKAHIDHAFILRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAEMLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDQRLASSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMIPLFRRMAHCLNSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNAQSHWNQAVLNLTQNIRKMLSQMDEELVLACQRRIEEEDSAASAAAERRRLTWERLEAAASAAAAAAAASVQSSSVGGLVPVKSATCSVAC >Vigun03g349900.4.v1.2 pep primary_assembly:ASM411807v1:3:55063197:55067379:1 gene:Vigun03g349900.v1.2 transcript:Vigun03g349900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILSKLPKKVPKSDSSDSSRSDSSNSTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASMSAGVEAVDPCLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNSTEQDLKRQTLLELVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKFRTSSGGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKVAKAHIDHAFILRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAEMLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDQRLASSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMIPLFRRMAHCLNSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNAQSHWNQAVLNLTQNIRKMLSQMDEELVLACQRRIEEEDSAASAAAERRRLTWERLEAAASAAAAAAAASVQSSSVGGLVPVKSATCSVAC >Vigun03g349900.2.v1.2 pep primary_assembly:ASM411807v1:3:55063197:55067379:1 gene:Vigun03g349900.v1.2 transcript:Vigun03g349900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILSKLPKKVPKSDSSDSSRSDSSNSTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASMSAGVEAVDPCLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNSTEQDLKRQTLLELVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKFRTSSGGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKVAKAHIDHAFILRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAEMLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDQRLASSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMIPLFRRMAHCLNSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNAQSHWNQAVLNLTQNIRKMLSQMDEELVLACQRRIEEEDSAASAAAERRRLTWERLEAAASAAAAAAAASVQSSSVGGLVPVKSATCSVAC >Vigun02g016000.1.v1.2 pep primary_assembly:ASM411807v1:2:5832053:5832903:1 gene:Vigun02g016000.v1.2 transcript:Vigun02g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVVVVLFVSVLCFSSTLARKISEKFYVEGKIYCDPCHFAFESRLSFPLSGVNVTLECINEQNNTMTYKKDSTTDANGLYRIPVRGDHQDDICVVVAKSPNEGECQEAMPNKSDRIILTNNMGAASRERFVNPLGFMTETIDSQCNIVVQELGLDNRDD >Vigun07g156000.1.v1.2 pep primary_assembly:ASM411807v1:7:26697829:26699376:-1 gene:Vigun07g156000.v1.2 transcript:Vigun07g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHHHHHHHMREEKQMEIHHHHHHHHHHHNHHNEMVVSSSSSSSSSPSESDEELQHMLLAPPLWKKRLSKQLSMCEKPRDIAWERRRMQERRRSSTVCDDLTDEDLNELKGCIELGFGFNEEDGQRLCNTLPALDLYFAVNRRLSPSPVSTPQSRSSSLGGRSSSFGSPRSDSDSWKICSPGDDPEHVKTKLRHWAQAVACSVMQSS >Vigun05g006700.2.v1.2 pep primary_assembly:ASM411807v1:5:578982:582624:1 gene:Vigun05g006700.v1.2 transcript:Vigun05g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCVSGSHTIFMNRSNLKTVAVLSREKKMGVQYDLKKGQSRNFHELPSGLSMEVIVQKGAEINKNVEYPPLVFVHGSYHAAWCWAEHWLPFFSSSGYDCYAVSLLGQGESDAPADSVAGTLQTHARDVADFICRNIRSPPVLVGHSFGGLIIQYYISNLGSDNLKDLFPQLKGAGLVCSVPPSGNSGIVWRYILSKPITAFKVTRSLAAKAFQTSLPLCKETFFSATMEDHVVKRYQELMKESSRMPLFDLRKLNASLPVPPVSNCPFEILVLGAKDDFIVDVEGLEETAKFYGVSPVCVEAVAHDMMLDVAWERGAEVILSWLKGLEK >Vigun05g006700.1.v1.2 pep primary_assembly:ASM411807v1:5:578982:582624:1 gene:Vigun05g006700.v1.2 transcript:Vigun05g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCVSGSHTIFMNRSNLKTVAVLSREKKMGVQYDLKKGQSRNFHELPSGLSMEVIVQKGAEINKNVEYPPLVFVHGSYHAAWCWAEHWLPFFSSSGYDCYAVSLLGQGESDAPADSVAGTLQTHARDVADFICRNIRSPPVLVGHSFGGLIIQYYISNLGSDNLKEDLFPQLKGAGLVCSVPPSGNSGIVWRYILSKPITAFKVTRSLAAKAFQTSLPLCKETFFSATMEDHVVKRYQELMKESSRMPLFDLRKLNASLPVPPVSNCPFEILVLGAKDDFIVDVEGLEETAKFYGVSPVCVEAVAHDMMLDVAWERGAEVILSWLKGLEK >Vigun07g250900.1.v1.2 pep primary_assembly:ASM411807v1:7:37021675:37022675:1 gene:Vigun07g250900.v1.2 transcript:Vigun07g250900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPPGESYGKDAYPPPGYPPQGYPPQAYPAQGYPPPYAPPQYAQPPPPQQQSSTGPGCLEGCLAALCCCCLLDACF >Vigun10g130300.1.v1.2 pep primary_assembly:ASM411807v1:10:33858573:33860945:-1 gene:Vigun10g130300.v1.2 transcript:Vigun10g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPINISSEEFSVRKGKGYVDVATSSSVSATSVRSGSTTASGGGNRTGGGSVSSSSHLADRSVQSKGNVVPINAIEEGAGLNLPVRPGYDWVDFPVKQYFTQYRSGETVGSFAESVNVLEEDIEDNVISFRACKENENVCHGQEGMKEDFFYVYACLFKDLHIRLPFDAFIAGVLTELNVAPTQLHPNSWAALQAFRILCKGLAITPTPALFLHHFSTRPTKRVGWLSLISNSWSSLFGLFSSSYKNFKGKFFKVMIKDEGRKYFFNGDKAKFPLYWTCRPTKFTSWPRSVMSPEEVSALNTLNRLPRKLPTRKLLKAYKSPRLREELYDIMTSMNPNSKAYFKQMFQKFVPPSAEKAEESPVVVITEAIPIQQVLAASSGLSPRDGKKRKEDKSSRRHHEHGKKLSSPKRVSGETSAPLGGGIFGVELRLGNKLSFDLNGAEKEFYKGLSFGEAVEAIMELNARAALLCRTLCLDGEKVHSELADSRHELGEALKANSILNAQVKEAVSAHAACAETKTLLSSEIDSLKVEEKRLKDEVLRLQRSVDDHTLGRQRDKEERDELVKELEDAQRFVFEQHQLGFQKALNQAKFFYDIPLNEGNFDVGKDFYKGKLIPIAEIVDDDATQLVQPVKVEGATYK >Vigun06g040050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15989077:15989415:1 gene:Vigun06g040050.v1.2 transcript:Vigun06g040050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMIIRFFIHLASHYIHMFSTFPLGFPLFTPLIRHLAKKNNAAIRATSYPSRTFAIEDSHPAFVVEEGLPLCVVVVGRQVSLLHLYIINYNIASKILFFLIFNLKLNSSFL >Vigun10g121500.1.v1.2 pep primary_assembly:ASM411807v1:10:32854209:32860296:1 gene:Vigun10g121500.v1.2 transcript:Vigun10g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNNFLTQFINCTSKKPNTLITNLYLCTFATFLCTLCYFLGLWRNYPTTTVATAAAATTSSLCFHRNSTTTTTSTAPSFTNLEFAAQHSMPDPPPTEARGPHLPPCAWSFSEYTPCEDQKRSLQFPRARLAYRERHCPAAEDVLRCRIPAPYGYKQPLRWPASRDAAWFANAPHKELTVEKKGQNWVRFEGDRFKFPGGGTMFPRGADRYIDDIGKLINLRDGSIRTAIDTGCGVASFGAYLLSRDILTVSFAPRDTHISQVQFALERGVPALIGILASIRLPYPSRAFDLAHCSRCLIPWGKYEGVYLTEVDRVLRPGGYWILSGPPINYESHWRGWERTRESLRDEQDEIEDVAKSLCWKKLVQKDDLAVWQKPTNHVHCRLKRKIFKTSRPFCGEAQDPDTAWYTKLDTCLTPLPEVKDIKEISGGGLSKWPERLTSIPPRIRSESLEGITAEMFRENTRLWKKRVAYYKRLDHQLAERGRYRNLLDMNSYLGGFAAALVNDPVWVMNIVPVEAEINTLGVVYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQNRCDMVDILLEMDRILRPEGSVILRDDVDVLTKVKIIADEMQWDTRITDHEEGPYERQKILIAVKEYWTAPPPERNQHSNH >Vigun08g035700.6.v1.2 pep primary_assembly:ASM411807v1:8:3430197:3445003:1 gene:Vigun08g035700.v1.2 transcript:Vigun08g035700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSVAVRSLSINLSRPLYQTHMFRSLSTWGFTDNQTGDQQANKSEPPEEFGKFGENRGRYPQFDSILQKIGQQGMARRRSGFGYGAGSDMMDHLEENDDTLSDGMDEDLKNAATYFEMDEEEVEKEDYSFRYDATFPTGSTYDIKDLDLTKPGVIRAPVRNEFSVTTKEVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVYGRTMESHDEDFAYETKTQNMDETKMQNMDEEPDLDGDRA >Vigun08g035700.7.v1.2 pep primary_assembly:ASM411807v1:8:3438857:3445003:1 gene:Vigun08g035700.v1.2 transcript:Vigun08g035700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSVAVRSLSINLSRPLYQTHMFRSLSTWGFTDNQTGDQQANKSEPPEEFGKFGENRGRYPQFDSILQKIGQQGMARRRSGFGYGAGSDMMDHLEENDDTLSDGMDEDLKNAATYFEMDEEEVEKEDYSFRYDATFPTGSTYDIKDLDLTKPGVIRAPVRNEFSVTTKEVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVYGRTMESHDEDFAYETKTQNMDETKMQNMDEEPDLDGDRA >Vigun08g035700.5.v1.2 pep primary_assembly:ASM411807v1:8:3435623:3445003:1 gene:Vigun08g035700.v1.2 transcript:Vigun08g035700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSVAVRSLSINLSRPLYQTHMFRSLSTWGFTDNQTGDQQANKSEPPEEFGKFGENRGRYPQFDSILQKIGQQGMARRRSGFGYGAGSDMMDHLEENDDTLSDGMDEDLKNAATYFEMDEEEVEKEDYSFRYDATFPTGSTYDIKDLDLTKPGVIRAPVRNEFSVTTKEVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVYGRTMESHDEDFAYETKTQNMDETKMQNMDEEPDLDGDRA >Vigun04g073100.1.v1.2 pep primary_assembly:ASM411807v1:4:8655136:8656900:1 gene:Vigun04g073100.v1.2 transcript:Vigun04g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSMYRRSPSTVSIPPPDGPYSGYLVITDEEAEAEDMCCWGLCRHKKVKKLPFPQDKIFSITHASEYQQTSNTKLWFLPVPDHPLSSNRYYVIKAKGKHKGKAYKCSREGDIVTCCFTDMLNDERPKPFNLKDLYQIFKIHSHQSGGFFARKKGWRIEISGSYRSCKLSEALGVDAALREQLPDFNFPISRKRSPPVTVGKWYCPFIFVRDGTRIRNQMKKCMYYSMTLEQRWEEVYSCGSEESEGGDGVVVAVNVSVEREMAMVSGIKATRNNNGNSDGDGFFWFRAYDPYNRRRANVGLSSAIVEHMRWVQEGGGWAHGHGREKMVRVREEARNQSEWLRFACYVLVESFCLRTLEGKLVLRYDFRHIHKVKCKWE >Vigun03g334100.1.v1.2 pep primary_assembly:ASM411807v1:3:53193782:53196707:-1 gene:Vigun03g334100.v1.2 transcript:Vigun03g334100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEPLKEQPLSVGNSARSKLQRYPLRSSSKFKESKPDPPDATSSSASKRGLSTPSVSRSVVGLSFSGKDKSTGAKPPRRFSVPVKASPSPNPKLIGNITPISETRKIRYGNDDQGPQSRSQTPASDISKTHGRMKFNLISSSSYWLNQIKLSESAAKHSVSLGFFKLAWEARCEPFPKMQDELKSYVQRHRLAELEEVKELLQSYHIAENTEQSQVSESISQVLEEGTRSSDEEVHCCSSSIMDTVKVKPEPLETESTPLTPVKTESPKKETGQKNNLGSTLREDLKKNSANSRPASDKGSSGLVKKSKNPTKQQTKKGRSEVKNQRKKSVIKVPISPTGSEDNAQGNKENMDVRSPDEVSLLTEVS >Vigun09g206900.1.v1.2 pep primary_assembly:ASM411807v1:9:38163272:38166347:1 gene:Vigun09g206900.v1.2 transcript:Vigun09g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDAFE >Vigun09g050300.1.v1.2 pep primary_assembly:ASM411807v1:9:4946584:4961698:-1 gene:Vigun09g050300.v1.2 transcript:Vigun09g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKSKVSVSCLTETKDLHVCSKSKVISKSPCSKIMVSTQKTEIETTYLDVSSRTQPKDIACDQSIDSKEFLDTEKSESEHQFSVCSSVLEKMELTNPCTASLETIFSPAFEPIEDQSQHYTEKDAGSISDPNLEGLGADEGRTICGYETCDVSDFYISDMIITSLPFGGNSFDDDISETNCLSDYASAEPSMFTASEQYMILPALEDDIKVGCTSDLISFEETVMVRESASLYSAMGQICNQESDVKSDLDKSECFDPQSFIKNLPDLSEIEVNGQPTLIPKQSPRRKSITLVLDLDETLVHSTLEHCDDADFTFGVFFNMKEYTVYVKQRPYLHAFLERVSEMFEVVIFTASQSIYAKQLLDILDPDGRFISRRMYRESCLFSDGNYTKDLTILGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPLDCALMSLLPFLETLADADDVRPIIAKRYGLSWKSSSLIISIELSRFERYVKKILLDYPSNGCLIGM >Vigun02g201200.1.v1.2 pep primary_assembly:ASM411807v1:2:33604828:33608328:1 gene:Vigun02g201200.v1.2 transcript:Vigun02g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEERQTATGIRKKGTGVRQWLLLDGKGETEVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTLLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVQELQARILRHHQASSSSKHLDHHTDSDPDRDHDPDAIKILPFEFVALEACLEAACSVLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDDDMAEMYLTEKLAEQQMEESSSASSINGHDEQDIDDRTTAEISFEGGEPPAGFEDQHNAYNVLGRDSHGTRGSTYSAVTKQLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNIHIELFDPDKAGMTEFLWTVGGSTAGTIFLYVVAIAWCKQKRLLE >Vigun06g051900.2.v1.2 pep primary_assembly:ASM411807v1:6:17775633:17779438:1 gene:Vigun06g051900.v1.2 transcript:Vigun06g051900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRSAVNEIAYAHTLSHLTPFHRSLLPFLSISSTLYKLVLSLRRSLYQHRFFQVQRLPVRVISVGNLSWGGNGKTPMVEFIALCFSRYGISPLVLSRGYGGGDEVNMLRRHLLGTPTKIGVGANRAAVARQFIQKYGYIDICKTSWHEKQYLEQKVHDCLDSEKIGVVVLDDAMQHWSLWRDLDIVMVNGLTLWGNLQLLPRGPLREPLTALRRADAVVIHHADLVSEHTLKDIESMILRIKRSVSIFFTKMDPTYLFEVGTINAKIPLTALHETTVLCVSAIGSAEPFVKQIQKIT >Vigun06g051900.1.v1.2 pep primary_assembly:ASM411807v1:6:17775633:17779438:1 gene:Vigun06g051900.v1.2 transcript:Vigun06g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRSAVNEIAYAHTLSHLTPFHRSLLPFLSISSTLYKLVLSLRRSLYQHRFFQVQRLPVRVISVGNLSWGGNGKTPMVEFIALCFSRYGISPLVLSRGYGGGDEVNMLRRHLLGTPTKIGVGANRAAVARQFIQKYGYIDICKTSWHEKQYLEQKVHDCLDSEKIGVVVLDDAMQHWSLWRDLDIVMVNGLTLWGNLQLLPRGPLREPLTALRRADAVVIHHADLVSEHTLKDIESMILRIKRSVSIFFTKMDPTYLFEVGTINAKIPLTALHETTVLCVSAIGSAEPFVKQIQKMRALYVDRIDFSDHHIFHAKDIEIIRAKLRELEEKFGSKPVVVITEKDYDRDPEILKQLYPFRVFVLCSVLKILPYSGSTEDSFKKFLKDHLKLEWPPAH >Vigun05g278900.2.v1.2 pep primary_assembly:ASM411807v1:5:46822953:46829635:-1 gene:Vigun05g278900.v1.2 transcript:Vigun05g278900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFYVAVLAVLLIQAHAQPGFISIDCGAEAGVNYTQPYLGIDYVSDADFINTGVSETIATEESNRYSYQQQLWKLRSFPEGKRNCYKISVTRGTTYLIRTTFLYGNYDGLNKTPQFDLFLGPNHWVKVNIQNASRDQFNEIIHVPSRDYVQICLINTDHGTPFITAIEFRTLKNDTYVTQFGSLELYNFLRCDLGSNTSYRYPVDVYDRVWNDRSWYACNFGQNWKPLNAFIPEPLNQTDYKPGATIMSTAVEPENDSAPLVISWQPKDETELFYVYMHFTELFTTNQTRQFNVISNGELSIPNFSPQYHNVSTISSTSAISGKVINFSLERTKNSTLPPIISAIEIYRVIDLQKPETFQGDVDAITSIKSAYGVKRDWEGDPCAPAAYLWDGLNCNDFLRITTLNLSSSGLSGKIHPSISNLTMLEKLDLSNNNLNGEVPDFLSQLQHLKILNLEKNNLFGSIPSALVQKSHQGFLSLSAGQNPYLCESGQCKEKEKNNTVTPIVASISGVLILLIAVAILWILKRKKSKVEKFTDSVASNHRSLISQQSTGKDNSFQQLKSQMYSYYDVLHITNNFSTIIGKGGFGTVYLGFIDDTPVAVKMLSPSAVHGYQQFQAEVKLLIRVHHKNLTSLIGYCNEGTNKGLIYEYMAKGNLREHLSGKYNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDIKSTNILLDEHFQAKLSDFGLSKAVPDDGRSHMSTIVAGTVGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAGNEESGHISERVRSMISKGDIRAVVDSSLEGDFDINSAWKAVEIAMACVSPNPNERPMMSVVVIELQETLAAELARTNHYSGADLRYSVAPVSMALNTEYMPLAR >Vigun05g278900.1.v1.2 pep primary_assembly:ASM411807v1:5:46822953:46829635:-1 gene:Vigun05g278900.v1.2 transcript:Vigun05g278900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFYVAVLAVLLIQAHAQPGFISIDCGAEAGVNYTQPYLGIDYVSDADFINTGVSETIATEESNRYSYQQQLWKLRSFPEGKRNCYKISVTRGTTYLIRTTFLYGNYDGLNKTPQFDLFLGPNHWVKVNIQNASRDQFNEIIHVPSRDYVQICLINTDHGTPFITAIEFRTLKNDTYVTQFGSLELYNFLRCDLGSNTSYRYPVDVYDRVWNDRSWYACNFGQNWKPLNAFIPEPLNQTDYKPGATIMSTAVEPENDSAPLVISWQPKDETELFYVYMHFTELFTTNQTRQFNVISNGELSIPNFSPQYHNVSTISSTSAISGKVINFSLERTKNSTLPPIISAIEIYRVIDLQKPETFQGDVDAITSIKSAYGVKRDWEGDPCAPAAYLWDGLNCNDFLRITTLNLSSSGLSGKIHPSISNLTMLEKLDLSNNNLNGEVPDFLSQLQHLKILNLEKNNLFGSIPSALVQKSHQGFLSLSAGQNPYLCESGQCKEKEKNNTVTPIVASISGVLILLIAVAILWILKRKKSKVEKFTDSVASNHRSLISQQSTGKDNSFQQLKSQMYSYYDVLHITNNFSTIIGKGGFGTVYLGFIDDTPVAVKMLSPSAVHGYQQFQAEVKLLIRVHHKNLTSLIGYCNEGTNKGLIYEYMAKGNLREHLSGKYNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDIKSTNILLDEHFQAKLSDFGLSKAVPDDGRSHMSTIVAGTVGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAGNEESGHISERVRSMISKGDIRAVVDSSLEGDFDINSAWKAVEIAMACVSPNPNERPMMSVVVIELQETLAAELARTNHYSGADLRYSVAPVSMALNTEYMPLAR >Vigun05g278900.3.v1.2 pep primary_assembly:ASM411807v1:5:46822953:46827040:-1 gene:Vigun05g278900.v1.2 transcript:Vigun05g278900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVEPENDSAPLVISWQPKDETELFYVYMHFTELFTTNQTRQFNVISNGELSIPNFSPQYHNVSTISSTSAISGKVINFSLERTKNSTLPPIISAIEIYRVIDLQKPETFQGDVDAITSIKSAYGVKRDWEGDPCAPAAYLWDGLNCNDFLRITTLNLSSSGLSGKIHPSISNLTMLEKLDLSNNNLNGEVPDFLSQLQHLKILNLEKNNLFGSIPSALVQKSHQGFLSLSAGQNPYLCESGQCKEKEKNNTVTPIVASISGVLILLIAVAILWILKRKKSKVEKFTDSVASNHRSLISQQSTGKDNSFQQLKSQMYSYYDVLHITNNFSTIIGKGGFGTVYLGFIDDTPVAVKMLSPSAVHGYQQFQAEVKLLIRVHHKNLTSLIGYCNEGTNKGLIYEYMAKGNLREHLSGKYNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDIKSTNILLDEHFQAKLSDFGLSKAVPDDGRSHMSTIVAGTVGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAGNEESGHISERVRSMISKGDIRAVVDSSLEGDFDINSAWKAVEIAMACVSPNPNERPMMSVVVIELQETLAAELARTNHYSGADLRYSVAPVSMALNTEYMPLAR >Vigun05g278900.4.v1.2 pep primary_assembly:ASM411807v1:5:46822953:46827014:-1 gene:Vigun05g278900.v1.2 transcript:Vigun05g278900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVEPENDSAPLVISWQPKDETELFYVYMHFTELFTTNQTRQFNVISNGELSIPNFSPQYHNVSTISSTSAISGKVINFSLERTKNSTLPPIISAIEIYRVIDLQKPETFQGDVDAITSIKSAYGVKRDWEGDPCAPAAYLWDGLNCNDFLRITTLNLSSSGLSGKIHPSISNLTMLEKLDLSNNNLNGEVPDFLSQLQHLKILNLEKNNLFGSIPSALVQKSHQGFLSLSAGQNPYLCESGQCKEKEKNNTVTPIVASISGVLILLIAVAILWILKRKKSKVEKFTDSVASNHRSLISQQSTGKDNSFQQLKSQMYSYYDVLHITNNFSTIIGKGGFGTVYLGFIDDTPVAVKMLSPSAVHGYQQFQAEVKLLIRVHHKNLTSLIGYCNEGTNKGLIYEYMAKGNLREHLSGKYNESPFLSWKDRLRVAVDAALGLEYLQNGCKPPIIHRDIKSTNILLDEHFQAKLSDFGLSKAVPDDGRSHMSTIVAGTVGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAGNEESGHISERVRSMISKGDIRAVVDSSLEGDFDINSAWKAVEIAMACVSPNPNERPMMSVVVIELQETLAAELARTNHYSGADLRYSVAPVSMALNTEYMPLAR >Vigun08g015300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1287641:1289683:-1 gene:Vigun08g015300.v1.2 transcript:Vigun08g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGGGGGGGAVGGSAVGVQIQQQSRMALPDFLQSVNLKYVKLGYHYLISNLLTLFLVPLILVTLIQVSQTTDLHHLWLHLQYNLLTILTCSGVLVFGLTLYAVTRPRAVYLLDSACFRPADHLKAPFRSFMDHSRLTGDFEESSLDFQRKILERSGLGEETYVPEAMHSIPPQPSMAAARAEAEQVMFGALDNLFQNTSLKPKDIGILIVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGCSALLLSNKPADRRRAKYRLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFVTLLMKKLFKADVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLRPEHVEASRMTLHRFGNTSSSSIWYELSYIEAKGRVKKGNRVWQIAFGSGFKCNSAVWQALRNIKPSPNGPWEDCIDKYPVEILT >Vigun02g114300.1.v1.2 pep primary_assembly:ASM411807v1:2:26788580:26799196:-1 gene:Vigun02g114300.v1.2 transcript:Vigun02g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKADSVQKLYSRMRLWAFPDQYVIEPTDGSSGSSLAVSRADGSMKLIDEVPECSSLRVPKIYTVFGVVGMLRLLAGSYLLVITERESVGSYLGHPIFKISSLKVFPCDNSLRNTPAEQKKIETEFSSLLNVAERSSGLFFSYETNLTLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEVLIDNKLEPYLLPVVQGSFHHYQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMQFNGYTASFVQVRGSIPLLWQQIVDLTYKPKFELLKLEEAPRVLERHFLDLRKKYGAVLVVDLVNTHGGEGRLCEKFGETMQHVASNDVRYLHFDFHHICGHVHFDRLSILYEQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRNMLEYQLRRLGVFGAEETISSHPNLDESFKILWANHGDDISIQYSGTPALKGDFVRFGHRTVQGIVQDGCNALLRYYYNNFRDGTKQDAIDLIQGHYIVSVSRDTAASSQKGGLEAIASFPLAFALVLTGFLFATMSLRQVRYDFRHFFFSLMWAGISVGIAAFVRANGRVFCNRPRLHNPR >Vigun02g114300.2.v1.2 pep primary_assembly:ASM411807v1:2:26788580:26799196:-1 gene:Vigun02g114300.v1.2 transcript:Vigun02g114300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKADSVQKLYSRMRLWAFPDQYVIEPTDGSSGSSLAVSRADGSMKLIGSYLLVITERESVGSYLGHPIFKISSLKVFPCDNSLRNTPAEQKKIETEFSSLLNVAERSSGLFFSYETNLTLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEVLIDNKLEPYLLPVVQGSFHHYQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIMQFNGYTASFVQVRGSIPLLWQQIVDLTYKPKFELLKLEEAPRVLERHFLDLRKKYGAVLVVDLVNTHGGEGRLCEKFGETMQHVASNDVRYLHFDFHHICGHVHFDRLSILYEQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRNMLEYQLRRLGVFGAEETISSHPNLDESFKILWANHGDDISIQYSGTPALKGDFVRFGHRTVQGIVQDGCNALLRYYYNNFRDGTKQDAIDLIQGHYIVSVSRDTAASSQKGGLEAIASFPLAFALVLTGFLFATMSLRQVRYDFRHFFFSLMWAGISVGIAAFVRANGRVFCNRPRLHNPR >Vigun05g128500.2.v1.2 pep primary_assembly:ASM411807v1:5:14763880:14770221:-1 gene:Vigun05g128500.v1.2 transcript:Vigun05g128500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRLSTNHHTPWNLTSSNEPAPPTNQNLSFRRVSPFSSLRTSLDCVSDGGVAKEVPVRLPFVVVRRPTEASRFFWAGNCLQVVTVDGGAAADADVDFDDRVLRVCGSVVREFFIPRGVTGNYMEYVKWKLLHRVFSSALQVLATQAMFTAMGVGFSSSLPSAAALNWVLKDGIGRLSRCIYTASLASAFDTNLKRVRFTTSVLFVASIGLELLTPTFPRCFLLLATIANISKQISLACYLATRSAVHQSFAIGDNLGEISAKAQIQTVCFDILGLMLAALVNMCIENHRRQQAGLHYLIYPFFAAMDLFGIYQGLKHVHLQTLTKDRLEIILSTWIERGYVPSPSEVSDKEGVNFLGFKGKCSWPIRIGCLNPKDQLPKWSMKTIQCITNEDYYFVCVEFFKGLKGTRKSILLSIREGAEAAHIIMGLLQACYIRRALLMNSSRWEIIVEENNASDSTMEDWSIIVENAKRSAERDVSNLIDQMVEMGWMVKNILLSTQEQIRYSFVCD >Vigun05g128500.1.v1.2 pep primary_assembly:ASM411807v1:5:14761108:14770221:-1 gene:Vigun05g128500.v1.2 transcript:Vigun05g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRLSTNHHTPWNLTSSNEPAPPTNQNLSFRRVSPFSSLRTSLDCVSDGGVAKEVPVRLPFVVVRRPTEASRFFWAGNCLQVVTVDGGAAADADVDFDDRVLRVCGSVVREFFIPRGVTGNYMEYVKWKLLHRVFSSALQVLATQAMFTAMGVGFSSSLPSAAALNWVLKDGIGRLSRCIYTASLASAFDTNLKRVRFTTSVLFVASIGLELLTPTFPRCFLLLATIANISKQISLACYLATRSAVHQSFAIGDNLGEISAKAQIQTVCFDILGLMLAALVNMCIENHRRQQAGLHYLIYPFFAAMDLFGIYQGLKHVHLQTLTKDRLEIILSTWIERGYVPSPSEVSDKEGVNFLGFKGKCSWPIRIGCLNPKDQLPKWSMKTIQCITNEDYYFVCVEFFKGLKGTRKQSILLSIREGAEAAHIIMGLLQACYIRRALLMNSSRWEIIVEENNASDSTMEDWSIIVENAKRSAERDVSNLIDQMVEMGWMVKNILLSTQEQIRYSFVCD >Vigun05g128500.3.v1.2 pep primary_assembly:ASM411807v1:5:14761108:14770221:-1 gene:Vigun05g128500.v1.2 transcript:Vigun05g128500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSQAMFTAMGVGFSSSLPSAAALNWVLKDGIGRLSRCIYTASLASAFDTNLKRVRFTTSVLFVASIGLELLTPTFPRCFLLLATIANISKQISLACYLATRSAVHQSFAIGDNLGEISAKAQIQTVCFDILGLMLAALVNMCIENHRRQQAGLHYLIYPFFAAMDLFGIYQGLKHVHLQTLTKDRLEIILSTWIERGYVPSPSEVSDKEGVNFLGFKGKCSWPIRIGCLNPKDQLPKWSMKTIQCITNEDYYFVCVEFFKGLKGTRKQSILLSIREGAEAAHIIMGLLQACYIRRALLMNSSRWEIIVEENNASDSTMEDWSIIVENAKRSAERDVSNLIDQMVEMGWMVKNILLSTQEQIRYSFVCD >Vigun05g128500.4.v1.2 pep primary_assembly:ASM411807v1:5:14763880:14770221:-1 gene:Vigun05g128500.v1.2 transcript:Vigun05g128500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSQAMFTAMGVGFSSSLPSAAALNWVLKDGIGRLSRCIYTASLASAFDTNLKRVRFTTSVLFVASIGLELLTPTFPRCFLLLATIANISKQISLACYLATRSAVHQSFAIGDNLGEISAKAQIQTVCFDILGLMLAALVNMCIENHRRQQAGLHYLIYPFFAAMDLFGIYQGLKHVHLQTLTKDRLEIILSTWIERGYVPSPSEVSDKEGVNFLGFKGKCSWPIRIGCLNPKDQLPKWSMKTIQCITNEDYYFVCVEFFKGLKGTRKSILLSIREGAEAAHIIMGLLQACYIRRALLMNSSRWEIIVEENNASDSTMEDWSIIVENAKRSAERDVSNLIDQMVEMGWMVKNILLSTQEQIRYSFVCD >Vigun05g128500.6.v1.2 pep primary_assembly:ASM411807v1:5:14761108:14770221:-1 gene:Vigun05g128500.v1.2 transcript:Vigun05g128500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRLSTNHHTPWNLTSSNEPAPPTNQNLSFRRVSPFSSLRTSLDCVSDGGVAKEVPVRLPFVVVRRPTEASRFFWAGNCLQVVTVDGGAAADADVDFDDRVLRVCGSVVREFFIPRGVTGNYMEYVKWKLLHRVFSSALQVLATQAMFTAMGVGFSSSLPSAAALNWVLKDGIGRLSRCIYTASLASAFDTNLKRVRFTTSVLFVASIGLELLTPTFPRCFLLLATIANISKQISLACYLATRSAVHQSFAIGDNLGEISAKAQIQTVCFDILGLMLAALVNMCIENHRRQQAGLHYLIYPFFAAMDLFGIYQGLKHVHLQTLTKDRLEIILSTWIERGYVPSPSEVSDKEGVNFLGFKGKCSWPIRIGCLNPKDQLPKWSMKTIQCITNEDYYFVCVEFFKGLKGTRKSILLSIREGAEAAHIIMGLLQACYIRRALLMNSSRWEIIVEENNASDSTMEDWSIIVENAKRSAERDVSNLIDQMVEMGWMVKNILLSTQEQIRYSFVCD >Vigun05g246200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43930199:43931482:1 gene:Vigun05g246200.v1.2 transcript:Vigun05g246200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTMSSMGSVSNMSVVEREYDSFSANEGPSMVKNKRRSSRGMNRDRHIKVNGRDRRVRLSATCAARIFQLTTELGKKTDGETIEWLLHKAEPAIVAATGTGILPSIATSVDGAATFMANTTATFVPLDTISAQDSNSVTVGAVGRTEQPIPPFHFDFEWMQHSDLGFRDD >Vigun05g134050.1.v1.2 pep primary_assembly:ASM411807v1:5:15801114:15802975:1 gene:Vigun05g134050.v1.2 transcript:Vigun05g134050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLSDRSATKIYSDRVGASLAFPSTSSSTTSSDEEGVVGSPSHSGYEWVDKGVREYFSKYQSSSSIRKFAAAYAILDEDSPDEAVSLDQVGCANNACDGRGGYSDEFFFMYFVLLTSLHVRLPFDEFTVGVLRILNVAPSQLQPNAWAALQAFKLVCRALGLKPSPPVFLHYYSTRPKELVGWLSLFGQPRIDLLAPYSSSFKSYKNTFFRVVVNPPGRPCFFDGDVPKFPFYWTRNLLHYDEWPQTMLSVEDCEILNLLDSLPRRLPTKCIMAILNSPCPRGICWVCVNFSYFIHFQLLREKVNARKRDEDALVGSSSGAAGRNNAGGGTPRPPPAAKKKRKKTSQKGESSSRHSSPKRSREADIIAQSPLPILMKAFAEYQSRALVIGRHIGHELDKSSHVEELETEVSSLKVEKENLMSEVSNLRSQLSQALNDRKSWKNRCLETKEKGKKTLEEIAASKCVVEELKITNAELDKELWELRESVIEEHELGFKKALWQVALLFSVPANDQRFDVGKDVYQKSLVRLEDIPPCPEHAEDTPSREDHEGVDADGAEGRD >Vigun01g076500.1.v1.2 pep primary_assembly:ASM411807v1:1:21443406:21447140:-1 gene:Vigun01g076500.v1.2 transcript:Vigun01g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYFPDEVIEHIFDYVVSHRDRNALSLVCKSWYRIERCTRQRVFIGNCYSISPERLIQRFPGLKSLTLKGKPHFADFSLVPYGWGGFVYPWIEALAKSRVGLEELRLKRMVVSDESLELLSRSFTNFKSLVLVSCEGFSTDGLAAIAANCRYLRELDLQENEVEDHKGQWLSCFPDSCTSLVSLNFACLKGEVSLGALERLVARSPNLKSLKLNRSVPLDALQRIMTRAPQLADLGIGSFVQDPESEAFIKLKNTILKCKSITSLSGLLEVAPHCVAAIYPICPNLTSLNLSYAAGIQGNDLIKLIRHCVKLQRLWIMDCIGDKGLGVVAKTCTDLQELRVFPSVPFGNALAVTEVGLVAISMGCPKLHSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDATKADPDTNQPLDEGFGAIVQSCRRLRRLSLSGKLTDQVFLYIGMYAEKLEMLSIAFAGDSDKGMLYVLNGCKKLRKLEIRDCPFGNMALLTDVGKYETMRSLWMSSCEVTVGACKLLAKKMPRLNVEIFNESEQEDCILEDGQKVEKMYLYRTLAGKRKDAPEYVWTL >Vigun09g004800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:355667:358263:1 gene:Vigun09g004800.v1.2 transcript:Vigun09g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVFVRSKTLITVASNCIFFPPSLPFYLQNQKQQRAFHSNSNSGNTPFGSPTRVQKLIASQSDPLLAKEIFDVASRQPNFRHTYSTYLILILKLGRSKHFSLIDHLLRCLKSDSHPITPTLFTYLIRVYAEADLPDKALKTFYNILHFNCKPLPKHLNRILEILVSHRNYIRSAFLLFRDAHRYGVEPNTKSYNILMRAFCLNGDISIAYSLFNKMFKRDVVPDIESYRILMQALCRKSQVNGAVDLLEDMLNKGFVPDSLTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAHDACKVITDMRANGCLPNLVSYRTLVSGLCDMGMIDEASKYVEEMFCKGFSPHFSVVHALVKGFCNVGRVEDACGVLTKSLEHGEAPHVDTWMVLMPVICEVDDGGKISGALEEVLKIEIKGHTRIVDAGIGLENYLIRKIRANSTRVF >Vigun11g023201.1.v1.2 pep primary_assembly:ASM411807v1:11:2827833:2829431:-1 gene:Vigun11g023201.v1.2 transcript:Vigun11g023201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSFTQLSYTSNSESFLLFDSVTSFATMPGGALFGAVLQVLFDRIDSRQMWTTLVQRNSWFLLFLIIHMPSIIEGFKLFTQLPIIPLQETRR >Vigun10g024232.3.v1.2 pep primary_assembly:ASM411807v1:10:2885635:2889863:-1 gene:Vigun10g024232.v1.2 transcript:Vigun10g024232.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLIITCVLILFFVSPCFCKRLLLASSNTYNVIYYGAKGDGKTDDSQAFLSAWQNTCSTQGESTLAIPSDGVFLLKKNIILEGPCQATNIQIQLEGRIVAAQKNAWQGDKSTMIMFTNINGLRIVGKEGLIDGYGSSWWPCKNCPRPSVLGFNACNGLHVSYLSITDSPRAHITINGCEGAKFSHITIRSPGDSPNTDGINISSSKNILIKNSNIASGDDCIAIIGESSNINATGIACGPGHGISIGSLGRNDGHNNVERVLVYNSSFTKTANGARIKTFSDGSGYAKRIMFEKITLNQVHNPINIDQHYANIMEQEWKLVR >Vigun10g024232.1.v1.2 pep primary_assembly:ASM411807v1:10:2885635:2889863:-1 gene:Vigun10g024232.v1.2 transcript:Vigun10g024232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLIITCVLILFFVSPCFCKRLLLASSNTYNVIYYGAKGDGKTDDSQAFLSAWQNTCSTQGESTLAIPSDGVFLLKKNIILEGPCQATNIQIQLEGRIVAAQKNAWQGDKSTMIMFTNINGLRIVGKEGLIDGYGSSWWPCKNCPRPSVLGFNACNGLHVSYLSITDSPRAHITINGCEGAKFSHITIRSPGDSPNTDGINISSSKNILIKNSNIASGDDCIAIIGESSNINATGIACGPGHGISIGSLGRNDGHNNVERVLVYNSSFTKTANGARIKTFSDGSGYAKRIMFEKITLNQVHNPINIDQHYANIMEGAGVEVSEVIFRGFRGTSANDKAINLGCSSEGCFNIVLDDIKIVSSEPEKPASCYCSNAHGTATSVVPECGSLLK >Vigun10g024232.2.v1.2 pep primary_assembly:ASM411807v1:10:2878372:2889793:-1 gene:Vigun10g024232.v1.2 transcript:Vigun10g024232.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IITCVLILFFVSPCFCKRLLLASSNTYNVIYYGAKGDGKTDDSQAFLSAWQNTCSTQGESTLAIPSDGVFLLKKNIILEGPCQATNIQIQLEGRIVAAQKNAWQGDKSTMIMFTNINGLRIVGKEGLIDGYGSSWWPCKNCPRPSVLGFNACNGLSVNYLSIRNSPRAHITINGCEGATFSHINIRSPADSPNTDGINISSSKNILIKDSNIASGDDCIAIIGESSYINATGIACGPGHGISIGSLGRNNGRDIVEHVRVYNCSFTKTTNGARIKTFSGGSGYAKRITFEKITLNQFYNPIIIDQHYNHMVNAGGGVQVSEVTFRGFRGTSTNDRAINLGCGSPGCFNIVLDKIKIVSSQPRKPASCSCRNAHGRATSTVPNCNISLR >Vigun03g201242.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:30703523:30703960:-1 gene:Vigun03g201242.v1.2 transcript:Vigun03g201242.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGVVGLVVVVLVVVGMVVVVEVAMVVVVVGVVVWVVVVVVAAVVVVVVAMVVVVLVEVVVVAVVVVVVVVIVALVVVVVVVVVLLVVVVAVLVVIVVVVVMVVVVLVVLVVMLVVVVVVVVVIEVIVIVVVKVIIVVVVW >VigunL085301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:123427:123774:1 gene:VigunL085301.v1.2 transcript:VigunL085301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIIYAHKHMSKPIIYAHKHMSKPVSSTTPLSSVSPLVLSTKPNHTSFHHLTATCIHTHYHYPPASPSFTIHQYFHRESVAISPSYAEPISYSSSPSPCKKLPNQTRTQTHKTT >Vigun08g115500.1.v1.2 pep primary_assembly:ASM411807v1:8:28226969:28227999:-1 gene:Vigun08g115500.v1.2 transcript:Vigun08g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSEPPHSLSKLQHRLCPDMENHDVSLSSFLLHFPLSSSPYLTTFNNVSRPLPLDVGYPLHVFSFHGCNAKLCRTV >Vigun02g014300.1.v1.2 pep primary_assembly:ASM411807v1:2:5293617:5302622:-1 gene:Vigun02g014300.v1.2 transcript:Vigun02g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVDSSSEWSREQDKAFENALATHPEDASDRWEKIAADVPGKTIEEIKQHYELLVEDVSQIESGCVPLPSYNSSSEGSTSHASDEGAGKKGTGVGNFNSESNQGTKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVLAPQGPITGQTNGSATNSTVKATKQATPASTAVPGIGIYAAPTIGQPVGGPLVSAVGTPVNLPSPAHMAYGVRAPVAGAVVPGAPVNVNPVTYPMSHTSAPHR >Vigun03g372000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57476899:57477168:-1 gene:Vigun03g372000.v1.2 transcript:Vigun03g372000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAETVKEGSSSSSSSASSATRQHSTEVLHQRKKMPHCPMKMAIGGFAAISVLGYLVLYSNKKPEASAMDVAKVASGMSNPDNTRPRN >Vigun09g236500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40715099:40716487:1 gene:Vigun09g236500.v1.2 transcript:Vigun09g236500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPVVRRISEYLVKPRGLTQVSNQTCNLTSWDIAMLSMHYIQKGLLFKKPATLLDEHAFIQNLLENLKHSLSLTLFHFYPLSGRLVTHKTQNPPTYTVSVDCNNSDGARFIYATLDMTIADILSPIDVPHIVQSLFDHHKAVNHDGHSMPLLSIQVTELVDGVFVGCSMNHAVCDGTSYWNFINTWSQIFQAQPQADEYDVPILHQPIHNRWFPSDCTPPINLPFKHHNEFISRFEAPLLRERFFHFSAESIAKLKARANSESNDSNTSKISSFQSLSALVWRSITRACSLPYEQKTRCRLAANNRSRMEPPLPHEYFGNSIHKVSAETRVGELLENDLGWAALKLHSAVTRHNDREVLQSVQEWLQSPVIYQLGQPMEAFVVMISSSPRFNMYGNEFGMEKAVAVRSGYANKFHGKVISYPGREGGGSIDLELCLLPHAMCSLESDEEFMNSVSISTTLY >Vigun04g169900.12.v1.2 pep primary_assembly:ASM411807v1:4:39414585:39422991:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.13.v1.2 pep primary_assembly:ASM411807v1:4:39414265:39423062:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.3.v1.2 pep primary_assembly:ASM411807v1:4:39414260:39423067:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.5.v1.2 pep primary_assembly:ASM411807v1:4:39414260:39423067:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.9.v1.2 pep primary_assembly:ASM411807v1:4:39414583:39423019:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.2.v1.2 pep primary_assembly:ASM411807v1:4:39414260:39423067:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.14.v1.2 pep primary_assembly:ASM411807v1:4:39414583:39423019:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.10.v1.2 pep primary_assembly:ASM411807v1:4:39414265:39423062:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.4.v1.2 pep primary_assembly:ASM411807v1:4:39414260:39423067:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.15.v1.2 pep primary_assembly:ASM411807v1:4:39414583:39423019:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun04g169900.11.v1.2 pep primary_assembly:ASM411807v1:4:39414583:39423019:1 gene:Vigun04g169900.v1.2 transcript:Vigun04g169900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKKNTEQTHFGSVFNKLLKELQEPVDFELPDWFKSKPMQYTYIKRNIYLTKKVKRSRFEDDGIFCSCTSAGSTSVCGRDCHCGMLLSSCSSGCKCGSSCLNKPFQNRPVKKMKLVQTEKCGSGIVADEDIKHGEFVIEYVGEVIDDRTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDIQKGEHLTYDYQFVQFGADQDCHCGAAACRRKLGVRPTKPKMSSDAALKLVAYQVYQNGRLQIGGSRVDHRKCLHNCIGEVIRIKLFEKERFGVIKRFDKYSRKHSIMFEDGHVEIYDMSKEDWELVR >Vigun09g241300.1.v1.2 pep primary_assembly:ASM411807v1:9:41109297:41111808:-1 gene:Vigun09g241300.v1.2 transcript:Vigun09g241300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTFSFSGYVAQNLASSAGVRVANSRCVQECWIRTRLFGATQKTELDSSAGGVRNFSRPNCWAQSTYSTLAGEFLGDGFKSPIILGLISVMKSTAGVSGSSAAAAGIFGISPFKTNSIIPFLPGSKWLPCNESIPDATSWEVDKGGTKHTVPNDVPGFGKTSWLSRLLNMSSEDAKAAFTAITVSLLFKSSLAEPRSIPSASMYPTLEVGDRVLTEKVSFLFRKPDVSDIVIFKAPPSLEDYGFTSSDVFIKRIVAKAGDCVEVRDGKLLVNGVAEEQEFVLEPLAYEMDPMVVPEGYVFVMGDNRNNSLDSHNWGPLPIENIVGRSMFRYWPPSKVSDSDTLRKLPPKNKSVAIS >Vigun02g069700.1.v1.2 pep primary_assembly:ASM411807v1:2:22018414:22031806:1 gene:Vigun02g069700.v1.2 transcript:Vigun02g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDEVFTSCSQLKRPVVSARGEASGQPQMANGGAQKLTTNDALAYLKAVKDIFQDKRDKYDDFLEVMKDFKAQRIDTAGVIGRVKELFKGHRDLILGFNTFLPKGYEITLPSEDEQPAPKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAAIFQDHPDLLDEFTHFLPDASAAASTHYASARNSILRDRSSMPTVRPMHVEKRERTMASHGDRDPSVDRPDPDQDRGLLRIEKERRRAEKEKERREDRDKREREKDDRDYEHDRERFPHKRNRKVEDSGAEPLLDADENFGTRPMSSTCDDKNSLKSMYSQEFAFCEKVKEKLRNPDDYQEFLKCLHIYSREIITRQELQSLVGDLLGKYPDLMEGFNEFLLQSEKNDGGFLAGVMNKKSLWNDGHGLKQMKGEDRERERDRDRDRYRDDGMKERDREFRERDKSTVIANKDVLGSKMSLYPSKDKYLSKPINELDLSNCDQCTPSYRLLPKNYPIPIASQKTELGTEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLDKINNNTIKGDSLIRIEEHLTAINLRCIERLYGDHGLDVMEVLRKNAPLALPVILTRLKQKQEEWARCRADFSKVWAEIYSKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPILPNMEFKYSDQDIHEDLYQLIKYSCGEICTTEHVDKVMKVWTTFLEPMLCVPSRPQGAEDTEDVVKTKNNSVKNGTASVVESDGSPVVGATVMNQKHINVSRNGDGCMPVDQSTSSKAWQSNGDSGVREDRYLDDRVLRKTETLGNNTQHGKMNNIAFPPNELSGFNNKQDQSSERLVNANVSPASGTEQSNGRTNIDNLSGLTATPARPVNASAEGGPDVPPSEGGDSARPGTSTNGAITGGTKVHRYQEESVRPFKSEREEGELSPNGDFEEDNFAVYGGNGLDAVHKGKDGGMSRPYQDRHGDDVCGETRGENDVDADDEGEESPHRSSEDSENASENVDVSGSESADGEECSREEHEDGEHDNKAESEGEAEGIADAHDVEGDGMSLPYSERFLLTVKPLAKHVLPMLHEKDRNSRVFYGNDSFYVLFRLHQTLYERIQSAKINSSSADRKWKASSDTSSTDQYDRFMNALYSLLDGSSDNTKFEDDCRAIIGIQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLHAYEKSRKPEKFVDIVYHENARVLLHDENIYRVEYSPGATKLSIQLMDSGHDKPEVTAVSMDPNFSTYLHNDFLSVVPDKKRSQGFS >Vigun09g117600.3.v1.2 pep primary_assembly:ASM411807v1:9:25865265:25871840:-1 gene:Vigun09g117600.v1.2 transcript:Vigun09g117600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSLTSFGVVRKVLGSLITDIVKPMGGNWHLARRGERLVSFKGSVRLVHTTCRISVPRTFPRNEEKALSNISRDKKTVPEADPPSIKDVHLLYEFLDQSTKLTVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFLRSSRARRRYWARSYAGWRRFTEAQPSAAHTALATLDKAGRIDFMITQNVDRLHHRAGSNPLEIHGTVYTVICIDCGYSFCRSLFQDELKALNPKWAEAIDNLDHGNPGSDKSFGMKQRPDGDIEIDERFWEEDFIIPTCHKCNGVLKPDVVFFGDNVPKDRADMAMEASRRCDAFLVLGSSLMTMSAFRLVSSRGWCCYCNCERGCDAC >Vigun09g117600.1.v1.2 pep primary_assembly:ASM411807v1:9:25865265:25871839:-1 gene:Vigun09g117600.v1.2 transcript:Vigun09g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSLTSFGVVRKVLGSLITDIVKPMGGNWHLARRGERLVSFKGSVRLVHTTCRISVPRTFPRNEEKALSNISRDKKTVPEADPPSIKDVHLLYEFLDQSTKLTVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFLRSSRARRRYWARSYAGWRRFTEAQPSAAHTALATLDKAGRIDFMITQNVDRLHHRAGSNPLEIHGTVYTVICIDCGYSFCRSLFQDELKALNPKWAEAIDNLDHGNPGSDKSFGMKQRPDGDIEIDERFWEEDFIIPTCHKCNGVLKPDVVFFGDNVPKDRADMAMEASRRCDAFLVLGSSLMTMSAFRLVRAAHEAGAATAIVNVGVTRADDFVPLKINARLGEILPRVLHMGSITIPAV >Vigun02g049200.2.v1.2 pep primary_assembly:ASM411807v1:2:18981289:18987520:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVWYSIDYGMFRFCIADTEHDWREGTEQYKFIEHCFASVDRQKQPWLIFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTNEEKHHYKGTLKGTIHIVAGGGGASLSTFTSIKTKWSIFKDYDYGFVKLTAFDHSNLLFEYKKSRDGKVYDSFNISRDYRDILACTMDSCPSITMAS >Vigun02g049200.4.v1.2 pep primary_assembly:ASM411807v1:2:18982161:18987052:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLPEMGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVFN >Vigun02g049200.3.v1.2 pep primary_assembly:ASM411807v1:2:18982161:18987052:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLPEMGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVWYSIDYGMFRFCIADTEHDWREGTEQYKFIEHCFASVDRQKQPWLIFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQVSTTMCFLPFNFF >Vigun02g049200.5.v1.2 pep primary_assembly:ASM411807v1:2:18981289:18987520:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVFN >Vigun02g049200.6.v1.2 pep primary_assembly:ASM411807v1:2:18981289:18987449:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVFN >Vigun02g049200.1.v1.2 pep primary_assembly:ASM411807v1:2:18981192:18987560:-1 gene:Vigun02g049200.v1.2 transcript:Vigun02g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKFVSLAFLLVCTMLQRVWSHGDQPLSKVAVHKATVSLLDLAYIKASPAILGQEGQTAEWITLEYSSPIPSIDDWIGVFSPANFSASTCPKENRRVYPPLLCSAPIKYQYANYSSPLYKVHGKGFLKLRLINQRSDFSFALFSGGLSNPKLVAVSNKIAFANPNAPVYPRLALGKSWNEMSVTWTSGYGISDAEPFVQWGLKGGDHMHSPAETLTFTSDSMCGAPARTVGWRDPGYIHTSHLKELWPNRIYEYTIGHKLNNGTFIWSGNYQFRAPPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTLQLIQDLENIDLVFHIGDICYANGYLSQWDQFTAQVEPIASAVPYMTASGNHERDWPGTGSFYENMDSGGECGVLAQTMFYNPASNRAKVWYSIDYGMFRFCIADTEHDWREGTEQYKFIEHCFASVDRQKQPWLIFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTNEEKHHYKGTLKGTIHIVAGGGGASLSTFTSIKTKWSIFKDYDYGFVKLTAFDHSNLLFEYKKSRDGKVYDSFNISRDYRDILACTMDSCPSITMAS >Vigun03g398400.1.v1.2 pep primary_assembly:ASM411807v1:3:60500492:60503256:-1 gene:Vigun03g398400.v1.2 transcript:Vigun03g398400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTLRSSSVPSLPPPSPKSPPEYPDLYGKRRETARVHMLEREITFLEEELKSVEGLQPASRCCKEVADYVMANADPLLPTRTKKNSRSCRFWKWLCGMPCFNLSWICCCCCCCEGLSLPLKLPRCCCDCKPCSCSCSCLPSIKCCSLPKWSCCCSCPKSYFCKESCGFGNCCTLPRSCNFGCPTCPSCPSCCSCKCTCTCSCPSCPKVSSCCCCTKSCWRPCCLCC >Vigun10g158900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37792613:37795603:1 gene:Vigun10g158900.v1.2 transcript:Vigun10g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSTFLVFLLLVGTLTQLVFSSDQEKKKTFIVQVHHQTKPSIFPTHRHWYDSSLSSISSTASVIHTYDTVFHGFSVKLSTSEAQKLQALSHVITLIPEQVRQLHTTRSPQFLGLNTADRAGLLHETDFGSDLVIGVFDTGIWPERQSFSGRDLGPVPAKWKGECVAGKSFPATSCNRKIIGARYFSGGYEATSGKLNETVEFRSARDSDGHGTHTASIAAGRYVSSASTLGYAKGVAAGMAPKARLAVYKVCWLGGCFGSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDEIAIGAFGAISAGVFVSSSAGNNGPGGLTVTNIAPWVTTVGAGTLDRDFPASVKLGNGKIVPGISIYGGPGLTPGRMYPIAYGGSGQFGGGGGGGGNGYSSSLCLEGSLDPETVKGKIVVCDRGINGRAAKGEEVRKNGGVGMILANGVFDGEGLVADCHVLPATAVGATAGEIIRRYIENSRSPATATIVFKGTRLGVKPAPVVASFSARGPNPVSPEILKPDVIAPGLNILAAWPDHVGPSGVPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTVDNKGDPMLDESTGNVSSVFDYGSGHVHPVKAMNPGLVYDISPSDYVNFLCNSNYTSNSIHVITRKSADCRGAKRAGHAGNLNYPSFSAVFQQYGKKRMSTHFIRSVTNVGDPNSVYKVTIKPIPGMVVTVKPDTLSFRKIGQKLNFLVRVQTRAVKLTPGSSSMKSGSIVWSDGKHSVTSPLVVTMQQPLD >Vigun06g017766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8273178:8273915:-1 gene:Vigun06g017766.v1.2 transcript:Vigun06g017766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKLLFLTSSFIGWIIGHTFFIKWIEFLLICIQQNNWIKSNVRIQSKKDILSEFRNYMFKIFAVFLFVTCLYYLGRTPLPFFNKKL >Vigun07g055500.8.v1.2 pep primary_assembly:ASM411807v1:7:6006125:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun07g055500.10.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun07g055500.9.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun07g055500.11.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKFWILAG >Vigun07g055500.1.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun07g055500.2.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun07g055500.12.v1.2 pep primary_assembly:ASM411807v1:7:6005292:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKFWILAG >Vigun07g055500.7.v1.2 pep primary_assembly:ASM411807v1:7:6006065:6010245:1 gene:Vigun07g055500.v1.2 transcript:Vigun07g055500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNGAANLMIVSDLDFTMVDHDDPENLALLRFNALWEAYYRHDSLLVFSTGRSPTIYGDLRKQKPLLTPDVTIMSVGTEITYGETMVPDDGWKQYLDHKWDSDVVLEETSKFPELTLQSETEQRPHKVSFYLEKGKAPNVVKALSKCLEKRGLDVKIIYSNGIALDVLPQAAGKGRALAFLLEKLNADGLGPRNTLVCGDSGNDAELFTVPEVNGVLVSNAQEELLHWYTENAWSNPQIIHATERCAAGIMQAIGNFSLGPNVSPRDIGDCMSSRKVLGPSHEVVMFYIFYERWRRGEVENPKQYMQNLKSVFHSTGNCVHPSGVDQPMHQVIDTLEKAFGDKKDQYYRVWIDGVSFAEVSLGSWLVKFDKWEISGNKSQGCLTKVLVNSKVDAPHHYTWMHLHQTWLDGLGEKDEVSWLL >Vigun11g031000.1.v1.2 pep primary_assembly:ASM411807v1:11:4019600:4020897:-1 gene:Vigun11g031000.v1.2 transcript:Vigun11g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGTLSTELSVRTSAEKWFEAMTNKLDQIQNIADDVHGAKLIQGDDWHTNDSLKQWTYIVDGKEEKCVEFIESVDEEKKTIEFNIHGLDIEDKYNVFHLTFQAIEDDPGSPTIKWSIEYERQTKDVRPPYLYLELYDRVTRDVDAYLFKN >Vigun04g026200.1.v1.2 pep primary_assembly:ASM411807v1:4:2022046:2024145:1 gene:Vigun04g026200.v1.2 transcript:Vigun04g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTSSDSDNEIIGARVKLFGHEKPIHQLLGGGKVADMLLWRDRNLSAALLGGMTVIWFLFEIVEYNFVTLLCHISITTMLVLFIWSTAADIFKWKPPQIPNIILQESFFQELAFILHRRFNQLLPMLLHISCGIDLPIFLLNIVSLYILSVIGSYFSFVNLLYIGFLCVHTLPIVYERYEEEINNWVSDMIIVFRKNYRIFKKNYLNRIPRGPVKPKKTQ >Vigun10g062100.2.v1.2 pep primary_assembly:ASM411807v1:10:13037805:13059113:1 gene:Vigun10g062100.v1.2 transcript:Vigun10g062100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAMDLNASPVPEEDEDIFEEKIHVEEFHAPEERIETGADIARREREERKRRLKRERPDDRPVQVSQSPGYDQLFPSKNLKSYDKSRLPPGWLDCPSFGQEIYGMIPSKVPLGESFNDCILPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYPVSDLKKECIKHVKIQCKGRDSVPDNLAVNQFVYEVTQFMLRLKQKKYILVHCTHGHNRTGYMIIHYLMRAMSMSVTQAIKTFSEARPPGIYKPDYIDALYTFYHEKKPEMVVCPPTPEWKRSSEFDLNGEAVPDDDDDGVPGPDLQENHETDTRMTNDDVLGDEIPSDQQDALRQFCYQTLKLVVGARGHTQFPGSHPVSLNRENLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRSFNFRRVQMRFPCRSTNDGLGEKTHHYTLLDGEMVIDTLPDSQKQERRYLIYDMMAINQVSIIERPFYERWKMLEKEVIEPRNHERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIKRLSHEADGLIFQGWDDPYIPRTHEGLLKWKYAYLNSVDFLFEVDGDREMLFLFERGKKKLLEGNRVEFTDGTDPSVYSGKIIECSWDFDKLEWKFMRIRTDKSTPNEFNTYRKVMRSIRDNITEEDLLNEISEIIRLPMYADRIRNDSKANQHANVARRR >Vigun10g062100.1.v1.2 pep primary_assembly:ASM411807v1:10:13037805:13059113:1 gene:Vigun10g062100.v1.2 transcript:Vigun10g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAMDLNASPVPEEDEDIFEEKIHVEEFHAPEERIETGADIARREREERKRRLKRERPDDRPVQVSQSPGYDQLFPSKNLKSYDKSRLPPGWLDCPSFGQEIYGMIPSKVPLGESFNDCILPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYPVSDLKKECIKHVKIQCKGRDSVPDNLAVNQFVYEVTQFMLRLKQKKYILVHCTHGHNRTGYMIIHYLMRAMSMSVTQAIKTFSEARPPGIYKPDYIDALYTFYHEKKPEMVVCPPTPEWKRSSEFDLNGEAVPDDDDDGVPGPDLQENHETDTRMTNDDVLGDEIPSDQQDALRQFCYQTLKLVVGARGHTQFPGSHPVSLNRENLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRSFNFRRVQMRFPCRSTNDGLGEKTHHYTLLDGEMVIDTLPDSQKQERRYLIYDMMAINQVSIIERPFYERWKMLEKEVIEPRNHERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIKRLSHEADGLIFQGWDDPYIPRTHEGLLKWKYAYLNSVDFLFEVDGDREMLFLFERGKKKLLEGNRVEFTDGTDPSVYSGKIIECSWDFDKLEWKFMRIRTDKSTPNEFNTYRKVMRSIRDNITEEDLLNEISEIIRLPMYADRIRNDSKANQHANVARRR >Vigun07g197500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31766115:31767406:1 gene:Vigun07g197500.v1.2 transcript:Vigun07g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMASATITLAFAIILFSLPSETSANHYSYSSPPPPKKPYHYPSPPPPSPSPPPPYHYPSPPPPPKKPYKYSSPPPPAPTYPHPHPHPHPYPHPHPVYHSPPPPPPVYKPYPHPVYHSPPPPPKKPYKYPSPPPPVHKHPYPHPHPVYHSPPPPPPVYKPYPHPHPVYHSPPPSPHKKPYKYKSPPPPVYSPPPPHYYYKSPPPPYHY >Vigun01g214200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38791519:38792653:1 gene:Vigun01g214200.v1.2 transcript:Vigun01g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLDPLAYTVALQGIRIGGRKLNISPAVFRADAGGSGQTMIDSGSEFTYLVNEAYDKVRAEVVRTVGPRMKKDYVYGGIADMCFDGNAIEIGRMIGDMVFEFEKGVEIVIPKEGVLASVEGGVHCVGIGNSDKLGAASNIIGNFHQQNLWVEFDLANRRVGFGAADCSRLAP >Vigun04g098200.1.v1.2 pep primary_assembly:ASM411807v1:4:22252762:22255482:-1 gene:Vigun04g098200.v1.2 transcript:Vigun04g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWMCQPRSSSRYLDDDIQTKFNEPARSLDGPIGDRVMTSLDPLTWEQAHRYVLFNSEAIKPFLKQHEEFVFSHNRQGSTRKWNKAEDQCLTFHE >Vigun04g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42366311:42366895:1 gene:Vigun04g199500.v1.2 transcript:Vigun04g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISKIMIMLCWLLILALELKDSESTDQIIPHKITVSMTNRFLGEKQVNIHCKDKDHNLGTVTVNVSDTYSFRFFPSFFFNNTLYFCRFMWNGGDHRFDIYVQRRDLLCNDHRCSWDIFEKRPCDVSFGVPSRKCYSWDSSSLETNNTLSS >Vigun03g369900.1.v1.2 pep primary_assembly:ASM411807v1:3:57247448:57248693:-1 gene:Vigun03g369900.v1.2 transcript:Vigun03g369900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDHAFSISDEDTMMETAFTESNTTKQPIKDIALAVSLIGFGFVGIIIGSLMSYNHVGGDTAHGMFFTILGLILLILGLYHMRIAYYAYQGYKGFSLTNVHPV >Vigun10g020000.1.v1.2 pep primary_assembly:ASM411807v1:10:2311708:2321716:-1 gene:Vigun10g020000.v1.2 transcript:Vigun10g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILSNWPWKPLGSFKWVILTPWIVHSTYSFIVNDPKKRDLGYFLIFPYMLVRMLHDQIWITLSRHRTAKGKSRIVDKAIEFEQVDRESNWDDQILFNGILFYIGHNLLPEASQMPLWRLDGVVITALLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFAIPLYSTVVTRTASIASYAGYLAYIDFMNNLGHCNFEFIPKSIFSTLPFLKYIMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDNTTDRTYETSLKREEISADVVHLTHLTTPESIFHLRLGFASLASKPQSSTWYLYLMWPFTLSSVLVTWFYGQTFLIERNAFKMLNLQSWVIPRFHVQYLFKWQSETLNKLIQDAILQAEASKAKVLSLGLSNQGDSLNKYGELYIKRFPELKIKIVDGSSLVVAIVLNSIPKEASEVLLCGKPNKVSYAIATALCERGTKVTTMYKDEYDDLELRLSNECKKNLVFPGSYTAKIWLVGDECNEAEQKKAQKESLFIPVSQFPPKKLRKDCYYQSTPAMIAPPSLVNVDSCENWLPRRVMSAWRVAGIVHALEGWNVHECGNFTFSVDKIWQASLQHGFRPFKTFSSIHNQLP >Vigun02g125400.1.v1.2 pep primary_assembly:ASM411807v1:2:27767003:27769571:-1 gene:Vigun02g125400.v1.2 transcript:Vigun02g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNTNVFKTYKYFKEGKSKYERLWRVRKKRALLVIIQGRFYPSFNALPNSLSSTFSISSFAFFFHLSSPPNLILHLSYLSSSSDMECMEAALKSNLRKEMMVQLSPETFMEELSVQNGTTCDDFFVDDLLDFSHVEEEPEQQQEQDLVCVSLQKENPCQEPYAFKPDYSSLPTTELSVLADDVADFEWLSHFVEDSFSEFSAALPTVTEGNPTATGHAAKEPKPEPENPVFTFKTPVQTKARSKRSRNGVRVWPLGSPSFTESSSSSTTTTSSSSSSSPSSPLLIYTNFSRSLDHVCSEPKPNKPKKKHSSDSAGTLAPRRCSHCGVQKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRQKKEMTTGIENGFTPAPVPKF >Vigun03g079100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6595596:6597206:1 gene:Vigun03g079100.v1.2 transcript:Vigun03g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKTPDVGEPKHPLEEHHRHVVHLALVLTACIVGVFMFLFTVSTLIRYFYSRRYNRRPNGTRDTPILFDLNGDSPTANNDDDVAADQDDDLAPVHHVWYIRTAGLQQSLIDSITVFKYRKNEGIIDSSECSVCLGEFQHDETLRLLPKCSHAFHVPCIDTWLRSHKNCPLCRAPVVHDETGAVDQNVQIGSHVSVSDHQNQNREVPAEDSDDGAGLEDGGGSGESSGGTETQPLRRSVSMDVSSASNSMLRDVGVDIDTPYGEKVSSSKIHMSITEKHGSSSSSSSSSSTTIHKLASFGRALQKGPVSMRRSFSHNRKFLFSTHYRSQSSTLPL >Vigun10g013300.2.v1.2 pep primary_assembly:ASM411807v1:10:1464632:1473045:1 gene:Vigun10g013300.v1.2 transcript:Vigun10g013300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEFELDLEEKSWHLFALLLRIGHAVYPSRLAAECRLFAASPEFVCYISSLPGSPLSVTDNGLVTPSVSTVFALGNFFSLRLSPEPQTHRLRKRKLLLDSSEANGVEHKRLAIRNGIREMSFQSFADAAEALMKRNFPVIKFESQNIGSGNFVFPLRVDKNEKCSGCLMPNFKHRQANNDASPTMSNEEVSKSIIKDLNGPKLADVNRFMACNLRIDRALLYSSIFKNGIDANSFGFGKKMDCFDAFTHDCTELNSINHVESGTGESNACKNLPGRPDEDDELQSGSKKGLKDTGSNRDKVDVIQMVNAALCGEELTNGLQKKNPCHAINLETMEGERDIITYKRANSSSNPKRPLKSSSTLKGGQKNDLHPKSQILKESPASSKCNNVPKNVDQFKNDQKLATRKQNHKENKAENIPATTKVEKKAYPSFEAFTIEEEEGSGGYGTVYRAQRTTDGKRVAIKCPHSNAHKNHVTTERNMLERFGGKNFIIRYEGSLKNDNTDCFVLEHVEHERPEVLKKEIDLFQIQWYGYCLFRALYCLHKEGVVHRDVKPGNFLFSRKQSRGYLIDFNLAMDLKQKNNFGSKSKPNLVASSHNVSLSSRSAPLVRGKNLGGSKSLTSNKRALADYKNYSELNRHVKQKAFNGPQKSGPDMVGGSFHRAQGTDGSGITSARDASTRTASAERLREPLPSHGRKELISFVNTMKCTNNSSIIGPSSQRKRVTAPSSRVDGKIFHITPMPLHSSTVGAGLLRSKGDGKQKKEGSCVGTKGFRAPEVLLRSQHQGYKIDIWSAGVTLLYMVIGKTPFTGDPEQNIKEIVKLRGSEEFWEVAKLHDREVSFPVELLDARYLQPLDLEAWCKTHTKRPEFLEQIPKSLFDLIDKCLTVNPRNRLSAEDVLRHQFFDSLNESLRKQRMMQRAIRSEAAAASAAI >Vigun10g013300.1.v1.2 pep primary_assembly:ASM411807v1:10:1464640:1473045:1 gene:Vigun10g013300.v1.2 transcript:Vigun10g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEFELDLEEKSWHLFALLLRIGHAVYPSRLAAECRLFAASPEFVCYISSLPGSPLSVTDNGLVTPSVSTVFALGNFFSLRLSPEPQTHRLRKRKLLLDSSEANGVEHKRLAIRNGIREMSFQSFADAAEALMKRNFPVIKFESQNIGSGNFVFPLRVDKNEKCSGCLMPNFKHRQANNDASPTMSNEEVSKSIIKDLNGPKLADVNRFMACNLRIDRALLYSSIFKNGIDANSFGFGKKMDCFDAFTHDCTELNSINHVESGTGESNACKNLPGRPDEDDELQSGSKKGLKDTGSNRDKVDVIQMVNAALCGEELTNGLQKKNPCHAINLETMEGERDIITYKRANSSSNPKRPLKSSSTLKGGQKNDLHPKSQILKESPASSKCNNVPKNVDQFKNDQKLATRKQNHKENKAENIPATTKVEKKAYPSFEAFTIEEEEGSGGYGTVYRAQRTTDGKRVAIKCPHSNAHKNHVTTERNMLERFGGKNFIIRYEGSLKNDNTDCFVLEHVEHERPEVLKKEIDLFQIQWYGYCLFRALYCLHKEGVVHRDVKPGNFLFSRKQSRGYLIDFNLAMDLKQKNNFGSKSKPNLVASSHNVSLSSRSAPLVRGKNLGGSKSLTSNKRALADYKNYSELNRHVKQKAFNGPQKSGPDMVGGSFHRAQGTDGSGITSARDASTRTASAERLREPLPSHGRKELISFVNTMKCTNNSSIIGPSSQRKRVTAPSSRVDGKIFHITPMPLHSSTVGAGLLRSKGDGKQKKEGSCVGTKGFRAPEVLLRSQHQGYKIDIWSAGVTLLYMVIGKTPFTGDPEQNIKEIVKLRGSEEFWEVAKLHDREVSFPVELLDARYLQPLDLEAWCKTHTKRPEFLEQIPKSLFDLIDKCLTVNPRNRLSAEDVLRHQFFDSLNESLRKQRMMQRAIRSEAAAASAAI >Vigun10g013300.4.v1.2 pep primary_assembly:ASM411807v1:10:1464537:1472325:1 gene:Vigun10g013300.v1.2 transcript:Vigun10g013300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEFELDLEEKSWHLFALLLRIGHAVYPSRLAAECRLFAASPEFVCYISSLPGSPLSVTDNGLVTPSVSTVFALGNFFSLRLSPEPQTHRLRKRKLLLDSSEANGVEHKRLAIRNGIREMSFQSFADAAEALMKRNFPVIKFESQNIGSGNFVFPLRVDKNEKCSGCLMPNFKHRQANNDASPTMSNEEVSKSIIKDLNGPKLADVNRFMACNLRIDRALLYSSIFKNGIDANSFGFGKKMDCFDAFTHDCTELNSINHVESGTGESNACKNLPGRPDEDDELQSGSKKGLKDTGSNRDKVDVIQMVNAALCGEELTNGLQKKNPCHAINLETMEGERDIITYKRANSSSNPKRPLKSSSTLKGGQKNDLHPKSQILKESPASSKCNNVPKNVDQFKNDQKLATRKQNHKENKAENIPATTKVEKKAYPSFEAFTIEEEEGSGGYGTVYRAQRTTDGKRVAIKCPHSNAHKNHVTTERNMLERFGGKNFIIRYEGSLKNDNTDCFVLEHVEHERPEVLKKEIDLFQIQWYGYCLFRALYCLHKEGVVHRDVKPGNFLFSRKQSRGYLIDFNLAMDLKQKNNFGSKSKPNLVASSHNVSLSSRSAPLVRGKNLGGSKSLTSNKRALADYKNYSELNRHVKQKAFNGPQKSGPDMVGGSFHRAQGTDGSGITSARDASTRTASAERLREPLPSHGRKELISFVNTMKCTNNSSIIGPSSQRKRVTAPSSRVDGKIFHITPMPLHSSTVGAGLLRSKGDGKQKKEGSCVGTKGFRAPEVLLRSQHQGYKIDIWSAGVTLLYMVIGKTPFTGDPEQNIKEIVKLRGSEEFWEVAKLHDREVSFPVELLDARYLQPLDLEAWCKTHTKRPEFLEQIPKSLFDLIDKCLTVNPRNRLSAEDVLRHQFFDSLNESLRKQRMMQRAIRSEAAAASAAI >Vigun10g013300.3.v1.2 pep primary_assembly:ASM411807v1:10:1464633:1473045:1 gene:Vigun10g013300.v1.2 transcript:Vigun10g013300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEFELDLEEKSWHLFALLLRIGHAVYPSRLAAECRLFAASPEFVCYISSLPGSPLSVTDNGLVTPSVSTVFALGNFFSLRLSPEPQTHRLRKRKLLLDSSEANGVEHKRLAIRNGIREMSFQSFADAAEALMKRNFPVIKFESQNIGSGNFVFPLRVDKNEKCSGCLMPNFKHRQANNDASPTMSNEEVSKSIIKDLNGPKLADVNRFMACNLRIDRALLYSSIFKNGIDANSFGFGKKMDCFDAFTHDCTELNSINHVESGTGESNACKNLPGRPDEDDELQSGSKKGLKDTGSNRDKVDVIQMVNAALCGEELTNGLQKKNPCHAINLETMEGERDIITYKRANSSSNPKRPLKSSSTLKGGQKNDLHPKSQILKESPASSKCNNVPKNVDQFKNDQKLATRKQNHKENKAENIPATTKVEKKAYPSFEAFTIEEEEGSGGYGTVYRAQRTTDGKRVAIKCPHSNAHKNHVTTERNMLERFGGKNFIIRYEGSLKNDNTDCFVLEHVEHERPEVLKKEIDLFQIQWYGYCLFRALYCLHKEGVVHRDVKPGNFLFSRKQSRGYLIDFNLAMDLKQKNNFGSKSKPNLVASSHNVSLSSRSAPLVRGKNLGGSKSLTSNKRALADYKNYSELNRHVKQKAFNGPQKSGPDMVGGSFHRAQGTDGSGITSARDASTRTASAERLREPLPSHGRKELISFVNTMKCTNNSSIIGPSSQRKRVTAPSSRVDGKIFHITPMPLHSSTVGAGLLRSKGDGKQKKEGSCVGTKGFRAPEVLLRSQHQGYKIDIWSAGVTLLYMVIGKTPFTGDPEQNIKEIVKLRGSEEFWEVAKLHDREVSFPVELLDARYLQPLDLEAWCKTHTKRPEFLEQIPKSLFDLIDKCLTVNPRNRLSAEDVLRHQFFDSLNESLRKQRMMQRAIRSEAAAASAAI >Vigun03g210600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34668018:34669232:-1 gene:Vigun03g210600.v1.2 transcript:Vigun03g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVAPKRPREEPQENEFAQDCDESLESSKRHKPYNHILSLLESEEDDSTEDLSPLITALQQEITNFSSDSDTLLSQQTTTGNNLEDCSSSTTQCSGGIVEEHDKEMVMRHLLQASDDELGIPNKGCDGFLDLGEEDRCFNAGDMLSSICDGLLEFEDETANYYELLQSQLFL >Vigun08g162500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33519225:33519731:-1 gene:Vigun08g162500.v1.2 transcript:Vigun08g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDSESFNWHYDAELDDQSLHFRGHKLFFIIVIFSIVFLFTALFIFARRICRHHGLLFEAVPPHQASPMLPSQDGLDADAIKRLPIILHQRAAAEESECCICLGAFVDGAKLKVLPGCEHSFHSECVDKWLANHSNCPLCRASVKLDPSLPRILIQSPPVRTTIPV >Vigun03g057700.2.v1.2 pep primary_assembly:ASM411807v1:3:4670654:4677019:1 gene:Vigun03g057700.v1.2 transcript:Vigun03g057700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHLPLLLARITVLLLASVMVLVISSLLLGKSTSGWFETQSLVSEQLVKRRLLPLEALATPTVQDFSDTPLIGDDKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFSFLQKPLAKFVSVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVKMQHTVIPSWYQREGYINAMANLIEKELKGFDCPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIVELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALNSGIEKWGRVPALGTEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVIVWEWGWTKSAETWNGRAAMLAVLLLLFLEVTTGEGFLHQWGILPLFR >Vigun03g057700.1.v1.2 pep primary_assembly:ASM411807v1:3:4670654:4677019:1 gene:Vigun03g057700.v1.2 transcript:Vigun03g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIHAPSPPSSSSCSYNGPPPCLSHGSRNFKSPLLLPQTIRTSQKMYRCSGGHVEASSNTNPLKNYVVGKSTSGWFETQSLVSEQLVKRRLLPLEALATPTVQDFSDTPLIGDDKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFSFLQKPLAKFVSVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVKMQHTVIPSWYQREGYINAMANLIEKELKGFDCPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIVELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALNSGIEKWGRVPALGTEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVIVWEWGWTKSAETWNGRAAMLAVLLLLFLEVTTGEGFLHQWGILPLFR >Vigun02g142300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29090773:29091189:-1 gene:Vigun02g142300.v1.2 transcript:Vigun02g142300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPFSWPINKYTRSSVHSYISSSVAFILYFLIPHFEPLNLAMAHLARTTCVLFVLLLLSFELVCIEGRRLKATRSTKSPKSVSGIKAMSTATKTTKGVAAKPSQLESLAKSLNGYVEAFRPTTPGHSPGVGHSINN >Vigun11g072800.1.v1.2 pep primary_assembly:ASM411807v1:11:20739010:20744239:1 gene:Vigun11g072800.v1.2 transcript:Vigun11g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAVAAPRVLRRFFCSNSASSTFPFVPTPPAGAVPTPARPTAEPNTNLFVSGLSKRTTTEKLREEFSKFGEVVHARVVTDRVSGYSKGFGFVQYATIEEAGKGIEGMDGKFLDGWVIFAEYARPRPPPGHLNNNSPPFGRQ >Vigun02g047700.1.v1.2 pep primary_assembly:ASM411807v1:2:18714582:18715699:1 gene:Vigun02g047700.v1.2 transcript:Vigun02g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPQNSDLTFPPADVVGELHPEINTNVQVDPSSSEMAAYKEKKTQKEAKQEKHDREKKDALRTVKSAIIISGIIVALAGAAFAIAKKLREK >Vigun07g220700.1.v1.2 pep primary_assembly:ASM411807v1:7:34352232:34359995:1 gene:Vigun07g220700.v1.2 transcript:Vigun07g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGLGVGLGLSTGQAVQKWVRGSCESDEISGEQIVLELNNKVIDGKNSKTTFKDFPYYLSERDQFLLTSAGYVYLKQNFSKHMRNLCPASRAMLLSGPAELYQQKLAQALAHHFESKLLLLDIIDFSLEMQRKYGCPRKEPYFKRSISEVTLERVSDLFGSFTILPSTGRIRGTLLRQSSEIENSSNPLKLFRNASTACDTISSSQCDQSDPAPLKGTSGFCFDEKLFVQSLYKVLVSISETSSIILYIKDAEKLFVRSPRLHNLFQNLLKKLSGPVLILGSQILDHENYKEVDEKLTMLFPYNIEIKAPQNDSDLASWKTKLKEDTKTILFQDTRNHIAEVLAASDIDCDDLNSVCHSDTTLLSNWIEEIVASAVSFHLRETKDPEYRNGKLLISANSLSHVLSLFQESESNSETKDSNKDVPPDNEYEKRMRADVVPAKEIGVRFEDIGALDDIKEILQDEVLLPLRRPDLFNGGLLKPYKGILLFGPPGTGKTMLAKAIANEAGASFINVSISTITSKWFGEDEKNVRALFSLAAKVAPTIIFIDEVDSMLGQRTKSGEHEAMRKIKNEFMVHWDGLLSKPGKRILVLAATNRPYDLDEAIIRRFERRIMVGIPSAENREMILKTLLAKEKYENIDFKELSIMTKGYTGSDLKNLCTAAAYRPVRELLRQERIKENKKTEAEVQISENASDAKEDKENRVITLRPINMDDMRQAKSQVTASFAAEGSIMSELEEWNDLFGEGGSRKKKQEQLTYFL >Vigun07g220700.2.v1.2 pep primary_assembly:ASM411807v1:7:34352232:34359995:1 gene:Vigun07g220700.v1.2 transcript:Vigun07g220700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGLGVGLGLSTGQAVQKWVRGSCESDEISGEQIVLELNNKVIDGKNSKTTFKDFPYYLSERDQFLLTSAGYVYLKQNFSKHMRNLCPASRAMLLSGPAELYQQKLAQALAHHFESKLLLLDIIDFSLEMQRKYGCPRKEPYFKRSISEVTLERVSDLFGSFTILPSTGRIRGTLLRQSSEIENSSNPLKLFRNASTACDTISSSQCDQSDPAPLKGTSGFCFDEKLFVQSLYKVLVSISETSSIILYIKDAEKLFVRSPRLHNLFQNLLKKLSGPVLILGSQILDHENYKEVDEKLTMLFPYNIEIKAPQNDSDLASWKTKLKEDTKTILFQDTRNHIAEVLAASDIDCDDLNSVCHSDTTLLSNWIEEIVASAVSFHLRETKDPEYRNGKLLISANSLSHVLSLFQESESNSETKDSNKDVPPDNEYEKRMRADVVPAKEIGVRFEDIGALDDIKEILQDEVLLPLRRPDLFNGGLLKPYKGILLFGPPGTGKTMLAKAIANEAGASFINVSISTITSKWFGEDEKNVRALFSLAAKVAPTIIFIDEVDSMLGQRTKSGEHEAMRKIKNEFMVHWDGLLSKPGKRILVLAATNRPYDLDEAIIRRFERRIMVGIPSAENREMILKTLLAKEKYENIDFKELSIMTKGYTGSDLKNLCTAAAYRPVRELLRQERIKENKTEAEVQISENASDAKEDKENRVITLRPINMDDMRQAKSQVTASFAAEGSIMSELEEWNDLFGEGGSRKKKQEQLTYFL >Vigun07g112400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20845156:20846922:-1 gene:Vigun07g112400.v1.2 transcript:Vigun07g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKTKTHTLFYHNNARTISSLKVVWRKDPALDRAIEYDKRYKQCARVVKEVLNEPGQVIPLRYLEKRRERMRLKVKAETFINQNPGLFDVYYSRIKPKSEPVRFIRPSDRLHCFLQEEQRIFSENEPFIVSKLCKLLMMSKNKFISADKLLHVKREFGFPNDFLVDLVPRYPQYFRLTGSPGEGKSFLELVDWNPEFAKSVIEKRAEEESERLGIRVRPNFNVELPPGFVLKKEMREWVRDWLELDYVSPYQDVSHLDQASREMEKRSVGVFHELLSLSLHKRIPVPILGKFCDDYRFSNAFSSTFTRHSGIFYLSLKGGIETALLREAYRGEELIDRDPLLRIKDKFVELLEEGWRQRKEQLILKQERINEDMELLATKVGE >Vigun07g125900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23276072:23276923:-1 gene:Vigun07g125900.v1.2 transcript:Vigun07g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGAWKQTKEWFNPREVFYLLTVVILSLLLPLSFLLLATLSGAQYYLQTLTLFQYSPHHFPYLFHLALHINPCVLYVLVSIVSVGTLIQGLMGKNKIFSETPSKSSSSLSLAWILLCAFQVCVGLGIEGSIAAGLYEYDDDVSGFGAERSLLSRMIFLLGLHETTYVWCRMVVRPVVDDTVFAGARKERWVERVGLAAGLGILWWWRLREEVETLVVVAQVKNEQLMDVGICDFVGWWLYYLVVTIGMVRIVKGMMWMFMVSLCRRRPTTMEVESNQNDDKV >Vigun07g096000.8.v1.2 pep primary_assembly:ASM411807v1:7:15829624:15850986:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEKRKRKSARHKNVHKHGDFAGPSDISALTNLHRYHFSIRARETPKQFFSLFLFSFLSCTSKSTVPSPSTSIHDFSSRPLENVRTSFSWPLTPIGLRFIFSGNENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSGSESGKEGSFDDFF >Vigun07g096000.4.v1.2 pep primary_assembly:ASM411807v1:7:15829603:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNR >Vigun07g096000.1.v1.2 pep primary_assembly:ASM411807v1:7:15829603:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSGSESGKEGSFDDFF >Vigun07g096000.5.v1.2 pep primary_assembly:ASM411807v1:7:15829611:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNSVYQDDIFRVKSSCRAEDDLEYFIKIEFKFSTYS >Vigun07g096000.6.v1.2 pep primary_assembly:ASM411807v1:7:15829604:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNSVYQDDIFRVKSSCRAEDDLEYFIKIEFKFSTYS >Vigun07g096000.11.v1.2 pep primary_assembly:ASM411807v1:7:15829624:15850986:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQFHNSNILLSLGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSGSESGKEGSFDDFF >Vigun07g096000.12.v1.2 pep primary_assembly:ASM411807v1:7:15829624:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSGSESGKEGSFDDFF >Vigun07g096000.13.v1.2 pep primary_assembly:ASM411807v1:7:15829624:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSG >Vigun07g096000.10.v1.2 pep primary_assembly:ASM411807v1:7:15829611:15850986:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEKRKRKSARHKNVHKHGDFAGPSDISALTNLHRYHFSIRARETPKQFFSLFLFSFLSCTSKSTVPSPSTSIHDFSSRPLENVRTSFSWPLTPIGLRFIFSGNENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNSVYQDDIFRVKSSCRAEDDLEYFIKIEFKFSTYS >Vigun07g096000.2.v1.2 pep primary_assembly:ASM411807v1:7:15829605:15851014:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNR >Vigun07g096000.3.v1.2 pep primary_assembly:ASM411807v1:7:15829610:15851014:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSG >Vigun07g096000.9.v1.2 pep primary_assembly:ASM411807v1:7:15829614:15850991:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEKRKRKSARHKNVHKHGDFAGPSDISALTNLHRYHFSIRARETPKQFFSLFLFSFLSCTSKSTVPSPSTSIHDFSSRPLENVRTSFSWPLTPIGLRFIFSGNENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKVKLHAEKQRGDEYERKYAEAQGSNEELRKILAETEKKVYQLQDTWNRMISSVSSQVAELKTTSSTSSRLSSSFRPIARVDVASSNSDTSSTDSDFTFPAPASNPEPQESNSFPLVVQDVTAGDGSG >Vigun07g096000.7.v1.2 pep primary_assembly:ASM411807v1:7:15831991:15851012:-1 gene:Vigun07g096000.v1.2 transcript:Vigun07g096000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPENIVVDSHVWVGDPEVVWIAGQVLSITGEDAEIQIGDERKVISRLSKLYPKDIDAPADGVDDMTKLAYLHEPAVLHNLETRYMMNEIYTYTGNILIAINPFQSLSHLYDTNVMQRYKGATTGDLSPHVFAIAEAAYREMMNEVKSNSILVSGESGAGKTETTKMLMQYLAYLGGHTASEGRTVEKQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKFGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPKSFHYLNQSNCYELVGVNAAQEYLSTKRAMDIVGISQDEQDAIFRVVAGILHLGNITFSRSEETDFAVLEEEESKFHLQTTAELLMCDVHALEDALCKRMMVTPEEIIKKSLDPLGATVSRDGLAKTIYSRLFDWLVQKINISIGQDPSSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKDHKRFIKPKLARSDFTVCHYAGDVQYQSEHFLDKNKDYVVPEHQEMLSASKCSFVSGLFPPLSEESAKSSKFSSIGSRFKLQLQQLMDTLNLTEPHYIRCVKPNTQLKPFIFEHMNVIQQLRSGGVLEAVRIKCAGFPTHWTFHDFLTRLWILAPEILRGNFDEKDSCRKILEKIGLTGYQIGETKIFLRAGQMSELDARRAFLLSSSATVIQKNAKTRYSRKTYIDLRKCSLFLQSICRGELARRLYYRMKYRQVAAVRIQKQMRRTVARKQYVEIKFCANVLQTGFRAMAACNQFRYKKQTYRKQTSASTTIQSHWRRHKALVDYQNLKKASIIQQSSSSCDTQEEKVSETLLQNESPCMEESSYPVHEESSNPVQEDESIEAIRDSSSPLKDTDKIEVLAVEMKNLKMFAVYI >Vigun01g004700.2.v1.2 pep primary_assembly:ASM411807v1:1:585114:588778:1 gene:Vigun01g004700.v1.2 transcript:Vigun01g004700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIVGGKSLDLHRLFVEVTSRGGIEKVIVDRKWKEVIMTFNFKDTITSASFVVRKSYLSMLYHFEQVYYFGKQGFPPQTPDIMIRGQPGLSNGSTSMLEVADVNDSPVQVTPVQGYDAVFSGTIDVKFDGGYVVTVTVGSEELKGVLFHVPDNMSRRSNTEGNPSSQNHGEGTSGSQSRKRAKYTPRDPFRPKSNRSGYNFFFAENYAMLKPSYHGQERAISKRIGFLWNNLSEAERQVYQEKGVKDKERYRTELMEYKSNNSTPNAE >Vigun01g004700.1.v1.2 pep primary_assembly:ASM411807v1:1:584745:588777:1 gene:Vigun01g004700.v1.2 transcript:Vigun01g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTNKSNPDGSTNGFQSQLQQQHSNGWEGSSTHPPTKPYPAPTASYQDIVRDESLFWEKLQAFHQSLGTKFKVVIVGGKSLDLHRLFVEVTSRGGIEKVIVDRKWKEVIMTFNFKDTITSASFVVRKSYLSMLYHFEQVYYFGKQGFPPQTPDIMIRGQPGLSNGSTSMLEVADVNDSPVQVTPVQGYDAVFSGTIDVKFDGGYVVTVTVGSEELKGVLFHVPDNMSRRSNTEGNPSSQNHGEGTSGSQSRKRAKYTPRDPFRPKSNRSGYNFFFAENYAMLKPSYHGQERAISKRIGFLWNNLSEAERQVYQEKGVKDKERYRTELMEYKSNNSTPNAE >Vigun09g130400.1.v1.2 pep primary_assembly:ASM411807v1:9:28830150:28832432:-1 gene:Vigun09g130400.v1.2 transcript:Vigun09g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGHTLDGTVNLNGGPVLSSTTGKWKACVFILANQLFERFAYFGVGANLVIYMTSELHKDMVSAVTSVNNWSGTAWMTPLLGAYIADSYVGRFWTITFALLIYATGMGLLVLTTTLECFKPTCRNEICKASTTQLALFYVSIYTIALGSGILKPNLSTFGADQFDDFRQNEKVLKVSFFNWWFFNTACGTLAATLFLVYIQERFGWGLGYGVSAIGFLLGAVTFFSGLPMYRHKPRHSKSHAKEFFRVPIVAFRNRNLHLPSNPSELHEFALEHYIAAGRRQIYHTPRFRFLDKAAIKSSRTRYESNAPCTVTHVETNKAVLGMIGIWLLTIIPGNFWAVEVTMFVKQGTTMDRKLGRNFEIPAASLWGFVVVTILICLPIYDCCFVPFMRRRSGHHRGLKMLHRVAIGFSIQVLAAAVMYVVELARMDVIREKHITTGAEVVPMSIFWLVPQHVLLGLANTFIMGGLLEFFYDESPEEMKVLGTAFYTSTVAAGKYSNSLVVSVIDKFSRKISGNGKSWLGNNLNDSHLDYYYAILVVISALNLGIFLWVQRRYIYKKENETPVNGIEILKEET >Vigun09g086900.1.v1.2 pep primary_assembly:ASM411807v1:9:11582978:11585360:1 gene:Vigun09g086900.v1.2 transcript:Vigun09g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETLLKETFVPQPLQESCMKDMIPLGNPSSQECLQNFHHIDQFHMNVPSSNNNSIIQTQTFDAFDNFTFTGSSPDLDVYESKPFAENRQTHVMDNFLYGNYNLMNFPQRNVELDMMVADQSFLPFNPQEIKPSNFVLPDEVSCISPMNYYKRIDVNKNNKSYPTARRTYKVRKKSSTVKGHWTIEEDGLLIQLVEQYGLRKWSHIAKILPGRIGKQCRERWHNHLRPDIKKDTWNCEEDKILIEAHAEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGTLLQEYIKSLNLDKNPPIDYRRKSSATSTKTSTSKATAPVLPQTPFQCLPPDSDFNVVPDFLFAENLFEEGSGIHSLLDAVPCVPFRDNKNCEGKLQCDPMMEGMQCFEAVNGDENNVETDMAPEMLEVEVKKELDLVEMISQINGETFHV >Vigun04g035300.2.v1.2 pep primary_assembly:ASM411807v1:4:2935503:2942736:-1 gene:Vigun04g035300.v1.2 transcript:Vigun04g035300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESTEEDKSWEHVLRRMLPAGAPLPDEEHLDYSIAVEYEGPPVPYDVPKVDPLEIGATASAVAVPIRTASIVSDHNASASIPVAMPVHPRFSRFGRIRNGGFDRAPPPPPRSPVESRRSSSVSRSQSQFDSRSGEVVYRSDYSGEVNDEDGASSASEASEQQSPPNSAPVPRGGPGAGKRPTTVTFHTPRDSEDDGDDFPSPRSVATEPVGSPVGASPSRNTKRWICSRCGNSNRLKEKEACLVCDSRYCSNCVLKAMGSMPEGRKCVSCIGKPIDESKRPSLGKCSRMLSKVCSSLEINQIMKAEKECPANQLRPEQLIVNGRQLRQEELAEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQTDASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYEDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPANPPGVRDNSTNYSTRSVPEYLEQGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSSEELQNIKLMIQSNMYKYLSILLEGREQFEEEALAERESTSLEGEGSGQVQETAADGNKPCIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWRDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDVLYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNCPPPLTKDCVMVANGWKCSKM >Vigun04g035300.3.v1.2 pep primary_assembly:ASM411807v1:4:2935503:2942736:-1 gene:Vigun04g035300.v1.2 transcript:Vigun04g035300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESTEEDKSWEHVLRRMLPAGAPLPDEEHLDYSIAVEYEGPPVPYDVPKVDPLEIGATASAVAVPIRTASIVSDHNASASIPVAMPVHPRFSRFGRIRNGGFDRAPPPPPRSPVESRRSSSVSRSQSQFDSRSGEVVYRSDYSGEVNDEDGASSASEASEQQSPPNSAPVPRGGPGAGKRPTTVTFHTPRDSEDDGDDFPSPRSVATEPVGSPVGASPSRNTKRWICSRCGNSNRLKEKEACLVCDSRYCSNCVLKAMGSMPEGRKCVSCIGKPIDESKRPSLGKCSRMLSKVCSSLEINQIMKAEKECPANQLRPEQLIVNGRQLRQEELAEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQTDASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYEDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPANPPGVRDNSTNYSTRSVPEYLEQGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSSEELQNIKLMIQSNMYKYLSILLEGREQFEEEALAERESTSLEGEGSGQVQAETAADGNKPCIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWRDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDVLYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNCPPPLTKYQLIRINSKGLRDGCKWLEMFEDVRAIIFCVALSDYDQMWPSSNGQLRNKLLASKDLFESLVKHPCFKDTPFVLLLNKYDAFEDKINKVPLSSCEWFGDFCPVRPHHNNHALAHQAYYYVAVKFKELYYSLTGQKLFVGQTRGRDRTSVDEAFKYIREIIKWDDEKDDDVYEINPEESFYSTEMSSSPFVRQE >Vigun04g035300.1.v1.2 pep primary_assembly:ASM411807v1:4:2935503:2942736:-1 gene:Vigun04g035300.v1.2 transcript:Vigun04g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESTEEDKSWEHVLRRMLPAGAPLPDEEHLDYSIAVEYEGPPVPYDVPKVDPLEIGATASAVAVPIRTASIVSDHNASASIPVAMPVHPRFSRFGRIRNGGFDRAPPPPPRSPVESRRSSSVSRSQSQFDSRSGEVVYRSDYSGEVNDEDGASSASEASEQQSPPNSAPVPRGGPGAGKRPTTVTFHTPRDSEDDGDDFPSPRSVATEPVGSPVGASPSRNTKRWICSRCGNSNRLKEKEACLVCDSRYCSNCVLKAMGSMPEGRKCVSCIGKPIDESKRPSLGKCSRMLSKVCSSLEINQIMKAEKECPANQLRPEQLIVNGRQLRQEELAEILGCPIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQTDASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYEDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPANPPGVRDNSTNYSTRSVPEYLEQGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSSEELQNIKLMIQSNMYKYLSILLEGREQFEEEALAERESTSLEGEGSGQVQETAADGNKPCIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWRDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDVLYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNCPPPLTKYQLIRINSKGLRDGCKWLEMFEDVRAIIFCVALSDYDQMWPSSNGQLRNKLLASKDLFESLVKHPCFKDTPFVLLLNKYDAFEDKINKVPLSSCEWFGDFCPVRPHHNNHALAHQAYYYVAVKFKELYYSLTGQKLFVGQTRGRDRTSVDEAFKYIREIIKWDDEKDDDVYEINPEESFYSTEMSSSPFVRQE >Vigun01g020000.1.v1.2 pep primary_assembly:ASM411807v1:1:2117340:2121462:-1 gene:Vigun01g020000.v1.2 transcript:Vigun01g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYYGSGVGVNDYHIPRDQDLLDRHPSPDYWSNWEISANEGCNSPKQFFIMDFFEERFNNQIELEPSLHDKDQSSSSNVCARLHEQSFQQTAFSCDQPNYQLQDLPRFELMNDSFLDSVPEDLSCVDVENLDKSINISSENQCRSTPGTLQKETTDSKYVSSNSGSKDFPDRQAPPAKVLEPFEQCSRDDGMHEQSSLEEFILKDLEMVIGQFTETTRLCFRDALYRLARNTEQHHEMLGQNEDLNILKPMLNRDHNDITRCQDKKSMELATNNIDRIIASLMFKSMEFSIHDPALTPSANSSESLDKEEKSCYSYSQELPTHAQIPRFEPSNQLRDMSSYTTCEDPAKKSFMFGFG >Vigun04g030600.1.v1.2 pep primary_assembly:ASM411807v1:4:2424509:2428254:1 gene:Vigun04g030600.v1.2 transcript:Vigun04g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADDAFDEQCDYLFKAVLIGDSGVGKTNLISRFAKDEFRLDSKPTIGVEFAYRNIRVMNKLIKTQIWDTAGQERFRAITSSYYRGALGAMLVYDITKRATFVNVRKWLHELREFGGDDMVVVLVGNKSDLGQSREVEREEGKGYAETERLCFMETSALQNQNVEEAFLEMITKIHDIISHKSLETKINGTSLNLPNGKEIHIADEVTATKQPNYCCS >Vigun04g026800.1.v1.2 pep primary_assembly:ASM411807v1:4:2061704:2066332:-1 gene:Vigun04g026800.v1.2 transcript:Vigun04g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHIATGFCWVDPPQPDRNHETRLASSRFYRRRVTPPSAAFRSVRREKNGRQRRSICTADELHRVVVSNSDWKLALWRYLPSPEAPSRNHPLLLLSGVATNAIGYDLSPESSFARYMSAQGFDTWILELRGAGLSTIADSLEEDEECLKKLSEIDSAISDELGKSSASPARFKNLGSPEVITKSEEMRLTRRFMDIFTRISEKFAGFLNPGQQLFPAQILELQDRFSSTLEEFQKQLQLIIKYDWDFDHYLEEDVPAAMEYIRAQCQPRDGKLLAIGHSMGGILLYARLSRCCFEGKDSGLASVVTLASSLDYTPSKSSLKLLLPLAEPAQALNIPAIPVGPLLATVYPLASYPPYVLSWLNSQISAQDMMDQKLFEKLVLNNFGTIPAKILLQLSSVFQEGGLRDRSGTFFYKDHICKINVPVLAVAGDEDLICPPEAVYETVKLIPEGLVTYKVFGELGGPHYAHYDLVGGRLAAEELYPCITEFLSQHDMV >Vigun04g026800.2.v1.2 pep primary_assembly:ASM411807v1:4:2062431:2066332:-1 gene:Vigun04g026800.v1.2 transcript:Vigun04g026800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHIATGFCWVDPPQPDRNHETRLASSRFYRRRVTPPSAAFRSVRREKNGRQRRSICTADELHRVVVSNSDWKLALWRYLPSPEAPSRNHPLLLLSGVATNAIGYDLSPESSFARYMSAQGFDTWILELRGAGLSTIADSLEEDEECLKKLSEIDSAISDELGKSSASPARFKNLGSPEVITKSEEMRLTRRFMDIFTRISEKFAGFLNPGQQLFPAQILELQDRFSSTLEEFQKQLQLIIKYDWDFDHYLEEDVPAAMEYIRAQCQPRDGKLLAIGHSMGGILLYARLSRCCFEGKDSGLASVVTLASSLDYTPSKSSLKLLLPLAEPAQALNIPAIPVGPLLATVYPLASYPPYVLSWLNSQISAQDMMDQKLFEKLVLNNFGTIPAKILLQLSSVFQEGGLRDRSGTFFYKDHICKINVPVLAVAGDEDLICPPEAVYGEIHLSLTNHLGHISQLFGFIPRLV >Vigun06g198700.1.v1.2 pep primary_assembly:ASM411807v1:6:31385230:31388157:-1 gene:Vigun06g198700.v1.2 transcript:Vigun06g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVFGRRVIERRVSERGVSVSESDSFGSIECSIPMASSNSTQQDVNKPAKRKPVFTKVDQLKPGTNGHTLVAKVLSSDTVVHKPRPSSAHNIKPTVIAECLIGDDTGTIIFTARNEQVDLMKAGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPADFVVKEDNNLSLVEYELVNVVEE >Vigun06g198700.2.v1.2 pep primary_assembly:ASM411807v1:6:31385572:31388157:-1 gene:Vigun06g198700.v1.2 transcript:Vigun06g198700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVFGRRVIERRVSERGVSVSESDSFGSIECSIPMASSNSTQQDVNKPAKRKPVFTKVDQLKPGTNGHTLVAKVLSSDTVVHKPRPSSAHNIKPTVIAECLIGDDTGTIIFTARNEQVDLMKAGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPADFVVKEDNNLSLVEYELVNVVEE >VigunL059023.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000215.1:13941:14403:-1 gene:VigunL059023.v1.2 transcript:VigunL059023.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun05g092500.1.v1.2 pep primary_assembly:ASM411807v1:5:8952123:8954481:-1 gene:Vigun05g092500.v1.2 transcript:Vigun05g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDGNSSPKIHIQTPPAAPSAPSESQRTSATSGWGIAGILRRWKREDLMKRASLALRGIALFLSLISFIVMASNKHGDWRDFDKYEEYRYLLAIAILSSLYTGGQAFRQIRELSTAKQMLKPKMAAIIDFVGDQIAAYLLISSASSAVPLTNRMRTGADNIFTDASAAAISMSIFAFLSLAASALISGYKLSTQPYI >Vigun10g158400.1.v1.2 pep primary_assembly:ASM411807v1:10:37759042:37759554:1 gene:Vigun10g158400.v1.2 transcript:Vigun10g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGSKCSTNSSSSSQNRSSGFVPRICGCGEILLLLKATTHKNNGRFFWRCKNWANDRNCRFFQWVDEVEPEKEVPIEKNEEDSVCVNERMLVDVLQKNAKLKKKLIEERKMGQLKMCAFLVSWAVTIMFCVFFCDEDQL >Vigun01g012300.1.v1.2 pep primary_assembly:ASM411807v1:1:1376318:1380322:1 gene:Vigun01g012300.v1.2 transcript:Vigun01g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTYSETKPQTSLKAPHRTSRKTMSSPPFLLLFLFFLSAPLLLAQSPPSPPSPSSSVACKATLYPKLCRSILSAIRASPSDPYGYGKFSIKQSLKQARKLAKVFQDFLQRHQSSSSLNHAEVASLGDCRDLNQLNVDYLSSISEELKSMSSSDAELVEKIESYLSAVATNHYTCYDGLVVTKSNIATALTVPLKDVTQLYSVSLGLVTEALDKNLRRNKTRKHGLPTKTFKVRQPLEKLIKLLRTKYSCTKLSNCSRAGRNLKESGSQGILLQDFVIVSSYGIDNYTSIGEAIAAAPNDTKPEDGYFLVYVREGIYEEYVVIPREKKNILLVGDGINKTIITGNHSVIDGWTTFNSSTFAVSGERFIAVDVTFRNTAGPEKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECEIYGTVDFIFGNAAVVFQHSKIYARKPLPNQKNAVTAQGRTDPNQNTGISIQNCTIDAAPDLAADLNSTASYLGRPWKLYSRTVYLQSYIGNVIQPSGWLEWNGTVGLDTLFYGEFNNYGPGSNTSNRVQWPGYFLLNATQAWNFTVLNFTLGNTWLPDTDIPYTEGLLR >Vigun03g152900.1.v1.2 pep primary_assembly:ASM411807v1:3:16141222:16145909:1 gene:Vigun03g152900.v1.2 transcript:Vigun03g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLHQRTLQNPFSNRFPVSASSSANSKRPFSSVLGPTTLLALLALVVVVGVFCPWVGMPRALSFSLTSTSVSKWDHYTLEQALSFVAKNGSVIVCIVSQPYLPFLNNWLISIAMQGRQDMVLVIAEDYASLHRVNDLWPGHAVLIPPVLDAEAAHKFGSQGFFNFTARRPRHLLKILELGYSVMYNDVDMVWMADPFPYLVGNHDVYFTDDMTAIKPLNHSHDLPPPGKKGRPYICSCMIFLRPTNGAKLVLKKWIEELQIQPWSKTVKSNDQPAFNWALMKIAKEVDLYLLPQAAFPTGGLYFKNKTWVKETKGMHVIIHNNYIVGFEKKIKRFRDYGLWLVDDHAQESPLGAL >Vigun05g177700.1.v1.2 pep primary_assembly:ASM411807v1:5:33224611:33232923:-1 gene:Vigun05g177700.v1.2 transcript:Vigun05g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGRSSSGYGKPPWVFRGSAWYQLHLVKAEKARAYIPREFKLVEAFGYTLGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATRVYVNNDDACCHGRKEVGLPSKMARFSKTITALSRQPSGKKSEFLSRIGIGAKFFSPKDPLNVEVTKIKCVDAEEACNINISLTSPVPSLSFGRRLGPRIRMSLPSFSGATEYNPNLLKYSCQIECRVQALKPLKVSESFTSTNDGAEQNLEDHGRSSSLVAENHKTEAQNFSTYVMFSKPLLALKFSQMKMQVEAPIVLSQCSNSLQTTVSSVP >Vigun05g177700.3.v1.2 pep primary_assembly:ASM411807v1:5:33226789:33232946:-1 gene:Vigun05g177700.v1.2 transcript:Vigun05g177700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGRSSSGYGKPPWVFRGSAWYQLHLVKAEKARAYIPREFKLVEAFGYTLGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATRVYVNNDDACCHGRKEVGLPSKMARFSKTITALSRQPSGKKSEFLSRIGIGAKFFSPKDPLNVEVTKIKCVDAEEACNINISLTSPVPSLSFGRRLGPRIRMSLPSFSGATEYNPNLLKYSCQIECRVQALKPLKVSESFTSTNDGAEQNLEDHGRSSSLVAENHKTEAQNFSTYVMFSKPLLALKFSQMKMQVEAPIVLSQCSNSLQTTVSSVP >Vigun05g177700.4.v1.2 pep primary_assembly:ASM411807v1:5:33226826:33232923:-1 gene:Vigun05g177700.v1.2 transcript:Vigun05g177700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGRSSSGYGKPPWVFRGSAWYQLHLVKAEKARAYIPREFKLVEAFGYTLGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATRVYVNNDDACCHGRKEVGLPSKMARFSKTITALSRQPSGKKSEFLSRIGIGAKFFSPKDPLNVEVTKIKCVDAEEACNINISLTSPVPSLSFGRRLGPRIRMSLPSFSGATEVQALKPLKVSESFTSTNDGAEQNLEDHGRSSSLVAENHKTEAQNFSTYVMFSKPLLALKFSQMKMQVEAPIVLSQCSNSLQTTVSSVP >Vigun05g177700.2.v1.2 pep primary_assembly:ASM411807v1:5:33226769:33232946:-1 gene:Vigun05g177700.v1.2 transcript:Vigun05g177700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGRSSSGYGKPPWVFRGSAWYQLHLVKAEKARAYIPREFKLVEAFGYTLGGFFLASYEDSPVGVFDELVVIAGLVWNRPTSCAWATRVYVNNDDACCHGRKEVGLPSKMARFSKTITALSRQPSGKKSEFLSRIGIGAKFFSPKDPLNVEVTKIKCVDAEEACNINISLTSPVPSLSFGRRLGPRIRMSLPSFSGATEYNPNLLKYSCQIECRVQALKPLKVSESFTSTNDGAEQNLEDHGRSSSLVAENHKTEAQNFSTYVMFSKPLLALKFSQMKMQVEAPIVLSQCSNSLQTTVSSVP >Vigun02g003300.1.v1.2 pep primary_assembly:ASM411807v1:2:1695629:1697802:-1 gene:Vigun02g003300.v1.2 transcript:Vigun02g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLKNLWIISIIITQQLAFLANASHSRKKIVPALFVFGDSTVDAGNNNNVNTLAKANAFPYGIDFNNCSTDRFSNGKTFADLITIRLGLPMPPPYLGVPKTERHHIVTGINYASAACGILNSTRVGECLSLDKQIEYFTSTVANDLQGIIHSKTKLRHYLSKSIYLLSIGSNDYMWNYFRYPNGTNNNLNPEKYADYLLDQLASRIKRMTKLIYELGARKFVISRIGLIGCTPSNVIRTPYSQHCNDDMNQEVKPYSDKLPTKLQELQNMLSHSLFINVDTYNFFQKIRNSPDNFGFKNYFDSCVQGEKPCANRNEYYFFDFAHPTEAANKIFANECFNGTQLCFPHNIEKLIHAH >Vigun05g229800.1.v1.2 pep primary_assembly:ASM411807v1:5:42312015:42316040:-1 gene:Vigun05g229800.v1.2 transcript:Vigun05g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKGPKFAVRKKIITSKAIKSYKEEVLNPEKKDIVKEKLPRNIPSHSSALFFQYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERILCTHKGTYADDCLVERVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITKHRYSIERLPEATMGGAPRI >Vigun05g064200.1.v1.2 pep primary_assembly:ASM411807v1:5:5551002:5553541:1 gene:Vigun05g064200.v1.2 transcript:Vigun05g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEDGGDKTSRGNEWEVVSLTASTYAAAPGPDDVEMKDEGRESSFVPDEAETSRALFMSGHFVFPPSQHENLPVEPDYSEIHDDSGDKDVNSDETVGEVIRPSGKDEENLTLPGLEVSEEFEGMRYFDQKINRLSVRGKEFEEGTTLPDFGLTEKGEIMYDPAKYTSFQGETTIGGVTAYGESIVEPETTESEGSNVSPDLSLSKNSSKDDEYNTSDLPCGAWWKRRAASLYAHAKETNAFWSVFVAAAVMGLVMLGQHWQQERALQLKWQISINEEVRSRVLAPIIRFKDVIVGGHRRGSLVRGSSSSES >Vigun11g103100.1.v1.2 pep primary_assembly:ASM411807v1:11:30037227:30039173:-1 gene:Vigun11g103100.v1.2 transcript:Vigun11g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFFTGSATGRSSDEVSFADIVFESWDSFDSNKDDDVGENNNNNNDDDSVCASSEKCKAFWEEKDQLLKGILFRTSSCEKKVREATKEVVREMNDSVMVCSCQLQVVAKSCRNCMLRELCDRLLNLGYNCAICKSKWRSSSEIISGEHTYLEVRDDLSKSKREVKVVIELSFRGEFEMACASEEYKQLIKRLPEVFVGKAERLRALVKIMCSAAKRCMKEKKMHIGPWRKHKYMQAKWFGSCESSTMEPLLVMNFATRRPKPKTSMLTFDLLLDNIQGLHCTTVEVV >Vigun06g172800.3.v1.2 pep primary_assembly:ASM411807v1:6:29357092:29363913:-1 gene:Vigun06g172800.v1.2 transcript:Vigun06g172800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKFCVAVVLVMLATTMEGQHHRILLDTDVDTDDVFALLYLLKLNRSEFQLEGVTISANAWTNVGHAVNQVYDILYMMGRDDIAVGMGGEGGILPNGTILPNVGGYLSMIEQGMTTTGGCRYRQAIPKGRRGLLDIDTNYGIRKAFLPQGRRKYTPLQQATAQEVLIEKISAGPITLIVIGVQTNIALFLMNNPHLKKNVKHIYIMGGGVRSRNPTCCPQNASSSCVPKQCGDPGNLFTNYKANPYAEFNIFGDPFAAYQVIHSGIPITLVPLDATNTIPITEEFFNEFEKSQDTYEAQYCFKSLKMAKMARDNWLDNQFYTSYFMWDSFASGVAISSMRNPNKKNEFAEMEYTNITVITSNKPFGICDGSNPFFDGLKVPKFNLKKGGVHSGHVQQGLKDQFCFVKNNGKGRCQDGYTYEVDGPNSVKVLIATKAKPNQDVRSPLDKQYFKSLLNVLKQAENGGRFNFTTQFPYYKEVTYVPNFENKTLGKPIVFDMDMSIGDFLALFYLLKVDVEVINLKAIIVSPTGWTNAATIDVIYDLLHMMGRDDIPVGLGEVFAVNESDPQFPLVGDCNYAKAIPHGNGGLLDSDTLYGLARHLPRSPKRYTPINSMKFEAPQDTDELNFRQPLAMEIWESVLQTMEPRSKITVLTNGPLTTLAKVVSLKNISSRIEEVYVVGGHINKNVYDKGNVFSVPSNHYAEFNMFLDPLAAKIVFQSEVNITLIPLNVQHKASSFSHILCWLRRIQQTPEVVFSKRVLLRLQRLKKSHHRYQHMDTFLGEILGAVVVADKHSSLSEKFEVKPVKVLAQGDVSMDGKMVVDEEDGKLVRILSHVNAKAYHKMYANRLGDWNQSAKIGSFEDQRRKWSHPHSS >Vigun06g172800.2.v1.2 pep primary_assembly:ASM411807v1:6:29357091:29363913:-1 gene:Vigun06g172800.v1.2 transcript:Vigun06g172800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKFCVAVVLVMLATTMEGQHHRILLDTDVDTDDVFALLYLLKLNRSEFQLEGVTISANAWTNVGHAVNQVYDILYMMGRDDIAVGMGGEGGILPNGTILPNVGGYLSMIEQGMTTTGGCRYRQAIPKGRRGLLDIDTNYGIRKAFLPQGRRKYTPLQQATAQEVLIEKISAGPITLIVIGVQTNIALFLMNNPHLKKNVKHIYIMGGGVRSRNPTCCPQNASSSCVPKQCGDPGNLFTNYKANPYAEFNIFGDPFAAYQVIHSGIPITLVPLDATNTIPITEEFFNEFEKSQDTYEAQYCFKSLKMAKMARDNWLDNQFYTSYFMWDSFASGVAISSMRNPNKKNEFAEMEYTNITVITSNKPFGICDGSNPFFDGLKVPKFNLKKGGVHSGHVQQGLKDQFCFVKNNGKGRCQDGYTYEVDGPNSVKVLIATKAKPNQDVRSPLDKQYFKSLLNVLKQAENGGRFNFTTQFPYYKEVTYVPNFENKTLGKPIVFDMDMSIGDFLALFYLLKVDVEVINLKAIIVSPTGWTNAATIDVIYDLLHMMGRDDIPVGLGEVFAVNESDPQFPLVGDCNYAKAIPHGNGGLLDSDTLYGLARHLPRSPKRQPLAMEIWESVLQTMEPRSKITVLTNGPLTTLAKVVSLKNISSRIEEVYVVGGHINKNVYDKGNVFSVPSNHYAEFNMFLDPLAAKIVFQSEVNITLIPLNVQHKASSFSHILCWLRRIQQTPEVVFSKRVLLRLQRLKKSHHRYQHMDTFLGEILGAVVVADKHSSLSEKFEVKPVKVLAQGDVSMDGKMVVDEEDGKLVRILSHVNAKAYHKMYANRLGDWNQSAKIGSFEDQRRKWSHPHSS >Vigun02g063400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21115023:21115331:-1 gene:Vigun02g063400.v1.2 transcript:Vigun02g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHSNYNYDSVHQNRKGIKNVYSMIYAHPKQQKRNPICHWLIFCSLFFFFLFYLCLCSLYVVGWRIAGVYIHSGLLVSHSKLCTKGQTKIKSWIGEKTNPE >VigunL060437.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:19934:20137:1 gene:VigunL060437.v1.2 transcript:VigunL060437.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun06g210400.6.v1.2 pep primary_assembly:ASM411807v1:6:32341619:32344818:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYLHQYEECMIHWQLVQVMRFIRNMLPVFLILLSNLYMIFGAAMDTLTVSDPETVTSNDGAFKLGFFSPTNSTNRYVGVWYLTESNVIWVANRDRPLEDSSGVVTISNDRNLVVLNGKKQVVWSSNVSNIESNCTVQLQNTGNLVLLDSLTGKTIWESFRQPSHAFLPNMIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun06g210400.3.v1.2 pep primary_assembly:ASM411807v1:6:32340950:32344874:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSAGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun06g210400.5.v1.2 pep primary_assembly:ASM411807v1:6:32341619:32344818:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYLHQYEECMIHWQLVQVMRFIRNMLPVFLILLSNLYMIFGAAMDTLTVSDPETVTSNDGAFKLGFFSPTNSTNRYVGVWYLTESNVIWVANRDRPLEDSSGVVTISNDRNLVVLNGKKQVVWSSNVSNIESNCTVQLQNTGNLVLLDSLTGKTIWESFRQPSHAFLPNMIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSAGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun06g210400.7.v1.2 pep primary_assembly:ASM411807v1:6:32340950:32344875:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRNMLPVFLILLSNLYMIFGAAMDTLTVSDPETVTSNDGAFKLGFFSPTNSTNRYVGVWYLTESNVIWVANRDRPLEDSSGVVTISNDRNLVVLNGKKQVVWSSNVSNIESNCTVQLQNTGNLVLLDSLTGKTIWESFRQPSHAFLPNMIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSAGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKVTVM >Vigun06g210400.2.v1.2 pep primary_assembly:ASM411807v1:6:32340950:32344874:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun06g210400.4.v1.2 pep primary_assembly:ASM411807v1:6:32340950:32344874:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRNMLPVFLILLSNLYMIFGAAMDTLTVSDPETVTSNDGAFKLGFFSPTNSTNRYVGVWYLTESNVIWVANRDRPLEDSSGVVTISNDRNLVVLNGKKQVVWSSNVSNIESNCTVQLQNTGNLVLLDSLTGKTIWESFRQPSHAFLPNMIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun06g210400.1.v1.2 pep primary_assembly:ASM411807v1:6:32340950:32344874:1 gene:Vigun06g210400.v1.2 transcript:Vigun06g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIRNMLPVFLILLSNLYMIFGAAMDTLTVSDPETVTSNDGAFKLGFFSPTNSTNRYVGVWYLTESNVIWVANRDRPLEDSSGVVTISNDRNLVVLNGKKQVVWSSNVSNIESNCTVQLQNTGNLVLLDSLTGKTIWESFRQPSHAFLPNMIISNNQVTGKKDKVTSWKNPSDPAVGIFSGSLDRLSVPEVFVWNQTQPYWRSGPWNGQGFIGLPRMYTSAYLNGFSVTREDDGTVSIIYTLPNHSFFGTISLTSEGRLVYSSWMNRHQVGKRMIYYSNCDIYGFCGAYGSCDPNKVPICNCLSGFEPKNREEWDRQNWTSGCVRREALKCESSEASTGDGFEKLQMSKVPDFALQSSVSVDTCRTECLNKCSCTAYAYDAGIGCMSWRGELIDIVRFSSGGLDLYIRQPPSELNGGRNMTSIIVATVIVGALLFAICAYFLWRWTSKRSAGTERSPSLVLSIRETHPESQIAGLSGELQQVKIQDVPVFNFENIAASTNHFNLANKLGQGGFGAVYKGELKNGQEVAVKRLSKASRQGTEEFMNEVTVISKLQHRNLVRLLGCCIEGGERMLIFEYMPNKSLDFYLFDPAKKSVLDWQKRFNIIEGVSRGLLYLHRDSRLRIIHRDLKPSNILLDGALNPKISDFGMAKIFGGSEDEANTRRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIISGRKNSSFGNQEEALSLLGYAWKVWNEDEIESLIDPEILNPEYVEHILRCIHIGLLCVQELAKERPAMATVLSMLNSEIVNFPPPQQPAFIQRHTELKGESSQRSHESNSINTVTVTNLQGR >Vigun07g007300.1.v1.2 pep primary_assembly:ASM411807v1:7:622622:630540:1 gene:Vigun07g007300.v1.2 transcript:Vigun07g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKRSSSGNSTDSKKKKKKSKQSGPEGVAMKVKAKNSKSTGGGSGSNPFESIWSRRKFEVLGQKRKGEARRMGLARTLAIQKRNNTLLKEYQQSAKSSLFVDKRIGENDHALDEFGKAILRSQRERQLNMKLSKKSKYHLSDGEEDDFEGIDSLGRDDFEEEMLPEDVDAETYEERYLVQHRMQIPRENAVDGEENRHKSKKEVMEEIITKSKFYKAQKARDKEENEHLVDELDKDFTSLVHSQALLSLTEPNKMKALKALVNTNEQINKDHVPASRTIENLVQEKPDDYDKLVKQMGLEMRARPSDRTKTPEEIAQEEKERLEQLEEERQKRMVAAEDSSDEDNDDDSEKASEQKPRSLSGDDLGDSFSVSEQIVTKKGWVDEILERKDEDSASEDGDDDDSDDLGSSEDADEESDEGEEHAKDLSLKDWEQSDDDDIGADSEDGDEDDSDDDKETNSEDLDGVERLDDAVHIKAKKNDSVKSVKRDKDFSNEKKIAVGGKQSKESDIPYIIEAPKTFEELCTLVDKYSNSNIILIVNRIRKSNPITLAAENRKKMQVFYGILLQYFAVLANTKPLNVELLNLLVKPLIEMSTEIPYFAAICARRRIESTRKQFIESIKKSESSYWPSSKTLCLLRLWSMIFPCSDFRHPVMTPVVLLMCEYLMRCPIVSCRDIAIGSFLCSMLLSVFRHSRKFCPEAIMFLRTSLLATTESKHISEEDSQIYHLMELKALKPLLCIHETVNAISPLNFFKIIDMPEDSSFFSEVGFRASVLVTVVETLQGYVDIYKDLSSFPEIFLPILRILNELEEQKNMPNALRDKIKNVAEIIKLKVDELHSSRRPLQMRKQKPVPIKLLNPKFEENYVKGRDYDPDRERAEMRKLKKQLKREAKGAARELRKDNYFLLEVKEKEKSLQEKDRAEKYGRAKAFLQEQEHAFKSGQLGKGRKRRR >Vigun08g001200.1.v1.2 pep primary_assembly:ASM411807v1:8:161408:163462:1 gene:Vigun08g001200.v1.2 transcript:Vigun08g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSRKEESKRVDEGEVIPLLTPYKMGNFNLSHRIVLAPLTRSRSYNFVAQPHAAVYYSQRATKGGFLIGEASGVSPTAQGYPNTPGIWTREQVEAWKPIVSAVHEKGAIFFCQLWHAGRVSNSEYQPDGEAPISSTEKRLRKDITNDKATADRYATPRRVRTDEVPKLVNDFVVAAKNAIEAGFDGVEIHGANGYLLDQFLKDKVNDRDDEYGGSLENRCRFPLQVVKAVADEIGADKVGIRLSPFADYNDCGDSNPQALGQYMAESLNEVGILYLHLIEPRMVTQFEKFDTKWSLTPMRKAFKGTFIVAGGYDRSEGNQAISSAAADLVAYGRLFLANPDLPARFEVDAELNEPDATTFYTPHPVLGYTDYPFLHPVVIHSLTMFVS >VigunL022300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:9217:9357:-1 gene:VigunL022300.v1.2 transcript:VigunL022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun06g067450.1.v1.2 pep primary_assembly:ASM411807v1:6:19613088:19613745:-1 gene:Vigun06g067450.v1.2 transcript:Vigun06g067450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLRGLQSIDLSNNLLEGEIAEGIQNLIDLRELRLGKNLFTGRIPEHIRDCLLLKLVDFSSNSLSGRVPESMQKLTSCTFLNLQGNSFSGGIPHWIGEMKSLDTLDLSANRCSGWTPKSIGNLDLLSRLNLSRNQITGNLPELMVNCIKLLTLDLSHNHLAGHLPSGWSS >Vigun06g051500.1.v1.2 pep primary_assembly:ASM411807v1:6:17734871:17738909:-1 gene:Vigun06g051500.v1.2 transcript:Vigun06g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRKIVWNEDESELLQQKFGFKESAAFDILWLHSELIGVKESTIVIEYPEANQIQFESLPSLKKFCCGDIVEWPSLKKVIVNHCPNIRKFGLGKTKSVILENQESLANIFESWDDFYSTIVEYEIDDSEELRKRMHNLRPSHFTNIVVFRAKNCDRILVDFISILMNRSKKLEVIEIQHCNLSHYYLFDFSNPTFLDEKVKYLTQIKELKLIQVYEVKALFYQDFQWHMEFKNLQIIHLETCPSILFLFTTSHEMKNLRKLFVSNCGALKTVIIGKEIPNSIDYFPQLDELILINLPNLTHIIHKEYARLCQNLHMMQVRLCKSLNWLPISITLTKMKIVDCDVLCKTIIVKNEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEEPNELKEHQEQVTSTYFFPNSLSLEKLKVLYIIDQDVNEIWHSSSPSESFFQLENLTLSNSNKLSSVISSHMITRFNNMKELHLDKCESLITIFNLEYDKPDHPTEEMFPQLMTIALSNLNNLKFVWNKEPHVPFFSNLVSLFIVRCGSIESIFSLSSSKYLEKLKLLRLCSCKELMEVILSDENKNVSTIFP >Vigun06g051500.3.v1.2 pep primary_assembly:ASM411807v1:6:17734871:17745197:-1 gene:Vigun06g051500.v1.2 transcript:Vigun06g051500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLEWDVVGAVLGWTAVVEDGSDHQQVGDMHSRKIVWNEDESELLQQKFGFKESAAFDILWLHSELIGVKESTIVIEYPEANQIQFESLPSLKKFCCGDIVEWPSLKKVIVNHCPNIRKFGLGKTKSVILENQESLANIFESWDDFYSTIVEYEIDDSEELRKRMHNLRPSHFTNIVVFRAKNCDRILVDFISILMNRSKKLEVIEIQHCNLSHYYLFDFSNPTFLDEKVKYLTQIKELKLIQVYEVKALFYQDFQWHMEFKNLQIIHLETCPSILFLFTTSHEMKNLRKLFVSNCGALKTVIIGKEIPNSIDYFPQLDELILINLPNLTHIIHKEYARLCQNLHMMQVRLCKSLNWLPISITLTKMKIVDCDVLCKTIIVKNEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEEPNELKEHQEQVTSTYFFPNSLSLEKLKVLYIIDQDVNEIWHSSSPSESFFQLENLTLSNSNKLSSVISSHMITRFNNMKELHLDKCESLITIFNLEYDKPDHPTEEMFPQLMTIALSNLNNLKFVWNKEPHVPFFSNLVSLFIVRCGSIESIFSLSSSKYLEKLKLLRLCSCKELMEVILSDENKNVSTIFP >Vigun06g051500.4.v1.2 pep primary_assembly:ASM411807v1:6:17735354:17745197:-1 gene:Vigun06g051500.v1.2 transcript:Vigun06g051500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGLEWDVVGAVLGWTAVVEDGSDHQQVGDMHSRKIVWNEDESELLQQKFGFKESAAFDILWLHSELIGVKESTIVIEYPEANQIQFESLPSLKKFCCGDIVEWPSLKKVIVNHCPNIRKFGLGKTKSVILENQESLANIFESWDDFYSTIVEYEIDDSEELRKRMHNLRPSHFTNIVVFRAKNCDRILVDFISILMNRSKKLEVIEIQHCNLSHYYLFDFSNPTFLDEKVKYLTQIKELKLIQVYEVKALFYQDFQWHMEFKNLQIIHLETCPSILFLFTTSHEMKNLRKLFVSNCGALKTVIIGKEIPNSIDYFPQLDELILINLPNLTHIIHKEYARLCQNLHMMQVRLCKSLNWLPISITLTKMKIVDCDVLCKTIIVKNEEERGKTIFSQLKDVTLQNLRDLCVAFPSTSEFPSLETLRITNCPSLITFVEEPNELKEHQEQVTSTYFFPNSLSLEKLKVLYIIDQDVNEIWHSSSPSESFFQLENLTLSNSNKLSSVISSHMITRFNNMKELHLDKCESLITIFNLEYDKPDHPTEEMFPQLMTIALSNLNNLKFVWNKEPHVPFFSNLVSLFIVRCGSIESIFSLSSSKYLEKLKLLRLCSCKELMEVILSDENKNVSTIFP >Vigun03g044800.1.v1.2 pep primary_assembly:ASM411807v1:3:3597459:3604302:-1 gene:Vigun03g044800.v1.2 transcript:Vigun03g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFTQVATSDEEDETPPPPKQQSTASASDENRSKQQRKRKRMKLQEEEEEEEEVEKESEKEKEKEKEEDKNKKRKIRDKQDGRSNEEEEPPQEDAKPIGEPIRVSGKGRGRKKHFDSFEYDGNQYTLEDPILLTPEDKDQKPYVAIIKDITQSINGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDDVPAESVMHKCVVHFVPIHKQLPIRKLHPGFIVQKVYDTVERKLWKLTDKDYEDNKQQEIDELVQKTLQRLGELQDIEPEEPVAEQEDLMKNKRILRKKSISPLDVSKEEETSRKSDQSLKPETPGSCATNASEHYRILVDFNALTGDTHRDKCLEKLLQSVQFIFNSDDSTKKEDKVNDNSDAVNNGNNDKSSEVANECKDKAQQSSKSFIWPDVAVPAVVALEKASHETFSSDYQKYNQKLRQLVFNLKNNAILARRLLNGELEPSKILNMTPNELKEGLTAEETNKKEPDESQQMQMTGARCRRCSECKVGLRDIIHAGHGDRYQLECIACGHSWFASRDEVSDLTIDASDSKRSVGTAPWATAKFEDVEKKLVSPRESEKNDIFKKTSEAYMPVLDAQKSFGKSRKDENVQASKQVD >Vigun06g040200.1.v1.2 pep primary_assembly:ASM411807v1:6:16026660:16029778:-1 gene:Vigun06g040200.v1.2 transcript:Vigun06g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLEFIRLANWVMNQVLVKSCYGVARKIKSHGFDWGDLSSKPLQQPSSFPDIVKCDLEGRGSQTLACDFHKVLLRTHSFFPYFMLVAFEGGSIFRAFLLLCSCPILLILNYEMKLRVMIFISFCGLKVKDMENTSRAVLPKFYLENLNLEAYEVVASVGSRVFFTTMPRVMVEGFLKEYLNADAVIATELHTSGFYFTGLVSKSGFLVKHSALIDYFGDTKPDLGIGNTSLHDQLFISLCKEAYVVMNEGKVMPRNKYPKSLIFHDGRLAFLPTPSATLCMFMWLPIGFVMAIYRIFLGVFLCCKFTVALGAWCGLKFDFKDKNQKRSESDKGVLYVCTHRTLLDPIFLSSGLGRPLTAVTYSLSRVSEFLAPLRTIGLTRDRKEDGETMKRLLGEGDLVVFPEGTTCREPYLLRFSSLFAELADEIVPVAVNVEVSMFYGTTASGLKVFDPFFFFMNPNPKYCIEILEKVPREHTCGGGRSSHEVANHIQKELGYALGFECTNLTRRDKYMMLAGNEGVVQEKKGRRF >Vigun05g089600.1.v1.2 pep primary_assembly:ASM411807v1:5:8605238:8606114:1 gene:Vigun05g089600.v1.2 transcript:Vigun05g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVACMVVMCMAVVSAPMVAQAVTCGQVTTSLAPCLGYLMNGGAAAPECCTGVKNILGAAGTAADKQTVCNCLKDAAGKYNINEQYAQALPGVCKVNVPYNISRSTNCADIRF >Vigun11g028400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3711630:3711956:1 gene:Vigun11g028400.v1.2 transcript:Vigun11g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSMSLMMMFVLVVVSIGMEKEGPLRMAEGACSEILSHGGCVVSKCTSDCVARHPGMHGNGKCDKTSSCVCTYWCTPPNSD >Vigun04g039400.5.v1.2 pep primary_assembly:ASM411807v1:4:3322403:3331441:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKFHNALYGDAKSFVRKLLSFFSSYVPGVMNPHAKIVQKWNKFLAIFCLIGIFVDPLFFFLLYVEKDNKCIVINWPLATALALFRCVNDFVYFLNILLQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.2.v1.2 pep primary_assembly:ASM411807v1:4:3321174:3331441:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKFHNALYGDAKSFVRKLLSFFSSYVPGVMNPHAKIVQKWNKFLAIFCLIGIFVDPLFFFLLYVEKDNKCIVINWPLATALALFRCVNDFVYFLNILLQFRLAYVSRESRVVGAGDLVDHPKKIALHYLKGYFLIDLFVVFPLPQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.6.v1.2 pep primary_assembly:ASM411807v1:4:3322403:3331448:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKDNKCIVINWPLATALALFRCVNDFVYFLNILLQFRLAYVSRESRVVGAGDLVDHPKKIALHYLKGYFLIDLFVVFPLPQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.7.v1.2 pep primary_assembly:ASM411807v1:4:3321500:3331447:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKDNKCIVINWPLATALALFRCVNDFVYFLNILLQFRLAYVSRESRVVGAGDLVDHPKKIALHYLKGYFLIDLFVVFPLPQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.1.v1.2 pep primary_assembly:ASM411807v1:4:3321482:3331455:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKFHNALYGDAKSFVRKLLSFFSSYVPGVMNPHAKIVQKWNKFLAIFCLIGIFVDPLFFFLLYVEKDNKCIVINWPLATALALFRCVNDFVYFLNILLQFRLAYVSRESRVVGAGDLVDHPKKIALHYLKGYFLIDLFVVFPLPQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.3.v1.2 pep primary_assembly:ASM411807v1:4:3322403:3331455:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKFHNALYGDAKSFVRKLLSFFSSYVPGVMNPHAKIVQKWNKFLAIFCLIGIFVDPLFFFLLYVEKDNKCIVINWPLATALALFRCVNDFVYFLNILLQFRLAYVSRESRVVGAGDLVDHPKKIALHYLKGYFLIDLFVVFPLPQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun04g039400.4.v1.2 pep primary_assembly:ASM411807v1:4:3321482:3331441:1 gene:Vigun04g039400.v1.2 transcript:Vigun04g039400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFENDKRPMLSDTDAQSYDEPLDSKFRRMVSRTQSASISIPMSSFESYEKETSLVGHTGPLQSKRKTPFMHMSGPLYATTGTGNLLQKHVVSGNKADDRKTDNFATLHGSSSNYQNGDYDRKNEHLLRSGQLGMCNDPYCTTCPTYFNASQQRNPKSSARWDPKFHNALYGDAKSFVRKLLSFFSSYVPGVMNPHAKIVQKWNKFLAIFCLIGIFVDPLFFFLLYVEKDNKCIVINWPLATALALFRCVNDFVYFLNILLQIMLLFVLPNSLGGANYAKNLLRAAILVQYFPKLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLASHVVGSCWYLFGLQRVNQCLRNACHSSNIPGCMKFIDCGRGHTDFTSDLWINNTDAGACMDSSGFTYGIYQNAVPLTIETSVVNKYVYSLFWGFQQISTLAGNLNPSYFVWEVLFTMAIIGSGLLLFAILIGNIQNFLQALGRRKLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYSWAATRGVNEEMLMENLPEDLQRDIRRHLFKFVKKIRLFALMDEPILDAICERLRQKTHIKGSKILSHGGLVEKMVFVVRGKLESIGEDGTRIPLSEGDACGEELLTWYLEHSSVSTDGRKVRLPGQRLVSNRTVRCLTNVESFSLSASDLEEVTILFTRFLRSPCVQGALRYESPYWRSLAATRIQVAWRYRKKRLSRVNSSPAD >Vigun10g129550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33767296:33767604:-1 gene:Vigun10g129550.v1.2 transcript:Vigun10g129550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQITNKLVFVVVVAVGIARQSQSDGGAAFSRCCPCQRRGGCHGGGERRRREWPALCLRVSWPATWVCVFFFLFGLGFVVYRVREGCVECAPKTRFVGSCSLR >Vigun11g035300.2.v1.2 pep primary_assembly:ASM411807v1:11:4755351:4762539:1 gene:Vigun11g035300.v1.2 transcript:Vigun11g035300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYGVTLTQNCVLMIIVLVILPFLGLLFWLENKLSNNNSSSEENDLKEHVQISDDRNNYIFSEHSACSFCGRLISNTTVSTCSRCKTAIYCSKTCNFKHWRLFHRYECVEIEGSEDQQESPSHECLIMEKHSSNEVEERIYEEEGVYCIEGGENSDERSLMCGNNGIVNNNEGCAVCGNPSSKVCSRCKAIKYCSRTCQHFDWRSGHKLQCLVEMENSNQVVNHANSYEVEDDVHSSSPLCLEFYSGNTSSRALIPTSLSQEATKNPQKEVEEQLRSLKEELKKIKEENKWLRSERDEWEVRTRNSIDRLYSFRKENEHQLFILKHENELMSNAEKQATQMVNGLSQRVHCLQIAVESGVKERKKQEEYIHMLQKECAKVKIELQEEKKNVKKLTLEFEKTKFPVRVTEDTRQKLVNASTEISTVKSNVAGAEVSQPISLSRNLSSTRQGCSICLTNEKNMAFGCGHMTCLECGSKIRKCHICRMKITNRIRLFLD >Vigun11g035300.1.v1.2 pep primary_assembly:ASM411807v1:11:4755351:4762539:1 gene:Vigun11g035300.v1.2 transcript:Vigun11g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYGVTLTQNCVLMIIVLVILPFLGLLFWLENKLSNNNSSSEENDLKEHVQISDDRNNYIFSEHSACSFCGRLISNTTVSTCSRCKTAIYCSKTCNFKHWRLFHRYECVEIEGSEDQQESPSHECLIMEKHSSNEVEERIYEEEGVYCIEGGENSDERSLMCGNNGIVNNNEGCAVCGNPSSKVCSRCKAIKYCSRTCQHFDWRSGHKLQCLVEMENSNQVVNHANSYEVEDDVHSSSPLCLEFYSAGNTSSRALIPTSLSQEATKNPQKEVEEQLRSLKEELKKIKEENKWLRSERDEWEVRTRNSIDRLYSFRKENEHQLFILKHENELMSNAEKQATQMVNGLSQRVHCLQIAVESGVKERKKQEEYIHMLQKECAKVKIELQEEKKNVKKLTLEFEKTKFPVRVTEDTRQKLVNASTEISTVKSNVAGAEVSQPISLSRNLSSTRQGCSICLTNEKNMAFGCGHMTCLECGSKIRKCHICRMKITNRIRLFLD >Vigun11g035300.5.v1.2 pep primary_assembly:ASM411807v1:11:4755866:4762539:1 gene:Vigun11g035300.v1.2 transcript:Vigun11g035300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSSNEVEERIYEEEGVYCIEGGENSDERSLMCGNNGIVNNNEGCAVCGNPSSKVCSRCKAIKYCSRTCQHFDWRSGHKLQCLVEMENSNQVVNHANSYEVEDDVHSSSPLCLEFYSAGNTSSRALIPTSLSQEATKNPQKEVEEQLRSLKEELKKIKEENKWLRSERDEWEVRTRNSIDRLYSFRKENEHQLFILKHENELMSNAEKQATQMVNGLSQRVHCLQIAVESGVKERKKQEEYIHMLQKECAKVKIELQEEKKNVKKLTLEFEKTKFPVRVTEDTRQKLVNASTEISTVKSNVAGAEVSQPISLSRNLSSTRQGCSICLTNEKNMAFGCGHMTCLECGSKIRKCHICRMKITNRIRLFLD >Vigun11g035300.3.v1.2 pep primary_assembly:ASM411807v1:11:4755351:4762539:1 gene:Vigun11g035300.v1.2 transcript:Vigun11g035300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYGVTLTQNCVLMIIVLVILPFLGLLFWLENKLSNNNSSSEENDLKEHVQISDDRNNYIFSEHSACSFCGRLISNTTVSTCSRCKTAIYCSKTCNFKHWRLFHRYECVEIEGSEDQQESPSHECLIMEKHSSNEVEERIYEEEGVYCIEGGENSDERSLMCGNNGIVNNNEGCAVCGNPSSKVCSRCKAIKYCSRTCQHFDWRSGHKLQCLVEMENSNQVVNHANSYEVEDDVHSSSPLCLEFYSAGNTSSRALIPTSLSQEATKNPQKEVEEQLRSLKEELKKIKEENKWLRSERDEWEVRTRNSIDRLYSFRKENEHQLFILKHENELMSNAEKQATQMVNGLSQRVHCLQIAVESGVKERKKQEEYIHMLQKECAKVKIELQEEKKNVKKLTLEFEKTKFPVRVTEDTRQKLVNASTEISTVKSNVAGAEVSQPISLSRNLSSTRQGCSICLTNEKNMAFGCGHMVNSEKHYHFSMFYLHAMMVGKWFKCRHVWSVDQKFASAIYVE >Vigun11g035300.4.v1.2 pep primary_assembly:ASM411807v1:11:4755351:4762539:1 gene:Vigun11g035300.v1.2 transcript:Vigun11g035300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYGVTLTQNCVLMIIVLVILPFLGLLFWLENKLSNNNSSSEENDLKEHVQISDDRNNYIFSEHSACSFCGRLISNTTVSTCSRCKTAIYCSKTCNFKHWRLFHRYECVEIEGSEDQQESPSHECLIMEKHSSNEVEERIYEEEGVYCIEGGENSDERSLMCGNNGIVNNNEGCAVCGNPSSKVCSRCKAIKYCSRTCQHFDWRSGHKLQCLVEMENSNQVVNHANSYEVEDDVHSSSPLCLEFYSGNTSSRALIPTSLSQEATKNPQKEVEEQLRSLKEELKKIKEENKWLRSERDEWEVRTRNSIDRLYSFRKENEHQLFILKHENELMSNAEKQATQMVNGLSQRVHCLQIAVESGVKERKKQEEYIHMLQKECAKVKIELQEEKKNVKKLTLEFEKTKFPVRVTEDTRQKLVNASTEISTVKSNVAGAEVSQPISLSRNLSSTRQGCSICLTNEKNMAFGCGHMVNSEKHYHFSMFYLHAMMVGKWFKCRHVWSVDQKFASAIYVE >Vigun04g191100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41492083:41495865:-1 gene:Vigun04g191100.v1.2 transcript:Vigun04g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFVNVAFDRLASFEVLDFFRRRKLDENLLSNLNIMLHSINSLADDAEQKQFRDPHVKAWLFAVNEAVFDAEDLLDEIDYQLIKCKVEAESAHQNLTYKVSDFFDSTISSFNKKINSGMKEVTKNLEYLEKQKDALGLKKCTYSGHGSGSKMPQKLQSSSLVAESVIYGRDADKEMMLNWLGSEIDNHIHPSILSIVGMGGLGKTILAQHVYNDPRIDDAMFDIKLWVCVSDDFDVLTVTKAILEEITDEKDDSRDLQKIHKKLKEKLSGRKFLLVLDDVWNERQEEWEAVRTPLGYGAPGSRILVTTRGEKVASNMRSKVHRLKQLEKDECWKVFVKQALKDDDLELNDEKKEIGRRIVEKCKGLPLALKTIGCLLRTKSSISYWKNVLESDIWDLPKEVEIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFEKKELILLWMAEGFLHHSPHIKNVQEIGEQYFDDLLTRSLFLQSSCKMRFVMHDLMNDLAKYVCGDLCFSLKFDKGNCIPKTTRHFSFAFEDVRYFDGFGSLTDAKRLRSFLPITKPRTSYSGFSCQFKIMIHKLCSKLKFLRILSLCGYSDLREIPESIGDLKHLLSLDLSFTCIQKLPDSICLLYNLLILKLNSCLYLEEFPSNLHKLTKLCCLEFESTKVTKMPMRFGELKNLQLLNMFFVDKDSELSTKQLGGLNLHGKLSINEVQNIENPLDALETNLKNKHLMELQLKWNSNHIPDDPGKEKKVFENLQPSKNVEHLSIENYGGTEFPSWAFDISLSNLVFLKLEDCKYCLCLPPLGLLSSLKTLEIGGLDGIVSIGAEFYGKNSSSFKSLERLRFYDMKEWEEWECKTTSFPHLHHLSIERCPKLKGMLNQLLHLKELVICDCYQLIIRGNNIITSSLQLLTIMTSPHVNIPIAHYDFLEEMKIDGGRCDSLAIFQLDLFPKLRCLRLTDCQNLQRISQEHTHNHLKEMTIYGCPQFESFPNEGLSAPWLQRIEIDGAQNLKLLPKGMHTLLPSLNDLKIIDCPQVEMFPDGGLPSNVNKVSLSSSKLIASLRENLDANTSLFWLCIKKVDVESFPLEVLPKHTFLQIFDCPNLKKMEYKGLCHISCLSLEHCPNLQCLPEEGLPKSISHLFIKGCPLLKKRYQNPKGEDRKKISHIKNLRIWY >Vigun08g164200.1.v1.2 pep primary_assembly:ASM411807v1:8:33638366:33639017:-1 gene:Vigun08g164200.v1.2 transcript:Vigun08g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVMINSKMHSIGVVIMMMIMLGCTGAKDFTPNKKCGARCYFRCLISDDPSCYENCEYKCHHHPPLNVYDECINSCSVTKSNIGYRVVLTAAMNSCLQNCHVKI >Vigun10g062700.1.v1.2 pep primary_assembly:ASM411807v1:10:13340009:13341751:1 gene:Vigun10g062700.v1.2 transcript:Vigun10g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDHVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun08g045800.1.v1.2 pep primary_assembly:ASM411807v1:8:4887858:4891782:-1 gene:Vigun08g045800.v1.2 transcript:Vigun08g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYHAGLGLIGAFVLIWVSCAEITQRIFEEYKQPFALTYFGVSVMVIYFPICVFKKWIFSLLNILFRKIHEDYISVRTDDVILQIPETDIKSSLITDNDIREIEEGVSLVQKEKDETPLLAQSNESSSWKIPKCGLYLTPIWFAQEYFSNMALANTSVASTTVLNSMSGLFTLFFGAFLGQDSVNMTKMAAVLISMAGVAMTTIGKTWITDEHIIISDTQKHTIIGDVFGLLSAVFYGLFTVLLKNSAGSGDMVDMEKLFGCIGIYSFLGFWWLAWPLSAVGIEPYFKFPSSISTWEIVIANSIFSSVISDFLWALSIVWTTPLVATLGMSLTIPIAMIADMVIHSRMYSAVYILGCIQVFAGFTLANLSDKISRSDKELSILKKEES >Vigun02g046800.1.v1.2 pep primary_assembly:ASM411807v1:2:18556003:18560839:-1 gene:Vigun02g046800.v1.2 transcript:Vigun02g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHHLLSLPTLFFFFFFFFCFLQETVSIGPLQLTHPFDPLTKQEITLIQTIVLNKYPTKTNHVKFHYVGLDDPEKATVLKWVSTGAATTRNAFVIAIINSQVHELTINLRSSRVLSDKIHSGNGFPTLTVDEQSDALQLPFKHGPFVESIKKRGLNLSEVVCSGFTVGWYGETQSNKRVLRIECFMKEETANIYVRPINGITILADLEQMKIIDYHDNAVEPVPKAENTEYRASHLKPPFGPRLHSFASYQPDGSGFTIKGHSVSWANWKFHIGYDVRAGVIISTASIYDPEVHKSRSVLYRGYISELFVPYQDPSEEWYYKTFFDAGEFGFGQSMVSLEPLHDCPPHAQFLDAYVVGRDGSPQLLQNAICVFEQYGGISWRHTETGIPHEDIREVRSDVTLIVRSVVTVGNYDNVLDWEFKTSGSIKPAISLSGMLEIKAVDITHNDEIKSDQHGTLVSEHSIGVYHDHYYIYHLDFDIDGVGNSFVKTDLKTVEVSEQSSKRKSYWTTSSEVVKSESDAKIKLGFSPSELAVVNPNKKTSTGNEVGYRLIPNAAAHPLLTEDDFPQTRGAFTNYNVWVTPYNRTEKWAGGLYVDQSRGDDTLAVWTKQNRGIENKDIVLWYVVGIHHVPCQEDFPIMPLLSTGFELRPTNFFERNPILKTLSPGIVKWPGCKTP >Vigun02g046800.2.v1.2 pep primary_assembly:ASM411807v1:2:18556003:18560839:-1 gene:Vigun02g046800.v1.2 transcript:Vigun02g046800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFHHLLSLPTLFFFFFFFFCFLQETVSIGPLQLTHPFDPLTKQEITLIQTIVLNKYPTKTNHVKFHYVGLDDPEKATVLKWVSTGAATTRNAFVIAIINSQVHELTINLRSSRVLSDKIHSGNGFPTLTVDEQSDALQLPFKHGPFVESIKKRGLNLSEVVCSGFTVGWYGETQSNKRVLRIECFMKEETANIYVRPINGITILADLEQMKIIDYHDNAVEPVPKAENTEYRASHLKPPFGPRLHSFASYQPDGSGFTIKGHSVSWANWKFHIGYDVRAGVIISTASIYDPEVHKSRSVLYRGYISELFVPYQDPSEEWYYKTFFDAGEFGFGQSMVSLEPLHDCPPHAQFLDAYVVGRDGSPQLLQNAICVFEQYGGISWRHTETGIPHEDIREVRSDVTLIVRSVVTVGNYDNVLDWEFKTSGSIKPAISLSGMLEIKAVDITHNDEIKSDQHGTLVSEHSIGVYHDHYYIYHLDFDIDGVGNSFVKTDLKTVEVSEQSSKRKSYWTTSSEVVKSESDAKIKLGFSPSELAVVNPNKKTSTGNEVGYRLIPNAAAHPLLTEDDFPQTRGAFTNYNVWVTPYNRTEKWAGGLYVDQSRGDDTLAVWTKQ >Vigun09g210200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38444457:38447645:-1 gene:Vigun09g210200.v1.2 transcript:Vigun09g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTIRKAIGVVKDQTSIGIAKVASNMAPEMEVAVVKATSHDDDPASEKYVREILNLMSHSRGYVHACVSAVSKRLGKTRDWIVALKALMLVHRLMNEGPPLFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKSTAAGAGGGSVGGGGGADDRFGGRDNFRSPPYEYGGGDYRGEGSYGYDNGMRRTRSFGDMSESVGRGDERSVVVSVTPLRDMTPERVFGKMVHLQRLLDRFLACRPTGLAKNSRMVLIALYPVVKESFQLYADICEVLAVLLDKFFDMEYADCVKAFDAYASAAKQIDELVAFYNWCKNTGVARSSEYPEVQKITSKLLETLEEFVRDRAKRPKSPERKEEAPPVEKVEEEPAPDMNEIKALPPPENYTPPPPPEPEPKPQPQVTEDLVNLRDDAVTADDQGNKFALALFAGAPANNANGSWEAFPSNGQPEVTSAWQNPAAEPGKADWELALVETASNLSKQKAALGGGLDPLLLTGMYDQGMVRQHTSTTQLSGGSASSVALPGPGKTTTPVLALPAPDGSVQPVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWHQYARDGMQGQSSLSKLSGPGYYAGGPMPMMPYGMPPVNGMGPPTGYYQTPY >VigunL073000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:58919:59326:-1 gene:VigunL073000.v1.2 transcript:VigunL073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHYFCVPLVPQRLLVVLLAHTTVGSSTGVKS >Vigun05g285700.4.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCYELVGKFANCVSSHVRELCKQRDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.3.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALFKPKFYSKCKSRLKLINTRLETIGKKRKAVEKFLKKDIVDLLRNALDYNAYGRAEGFLVEQNMSFCYELVGKFANCVSSHVRELCKQRDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.1.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALFKPKFYSKCKSRLKLINTRLETIGKKRKAVEKFLKKDIVDLLRNALDYNAYGRAEGFLVEQNMSFCYELVGKFANCVSSHVRELCKQRDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.6.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALFKPKFYSKWDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.7.v1.2 pep primary_assembly:ASM411807v1:5:47330764:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.2.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALFKPKFYSKCKSRLKLINTRLETIGKKRKAVEKFLKKDIVDLLRNALDYNAYGRAEGFLVEQNMSFCYELVGKFANCVSSHVRELCKQRDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun05g285700.5.v1.2 pep primary_assembly:ASM411807v1:5:47330228:47333494:1 gene:Vigun05g285700.v1.2 transcript:Vigun05g285700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALFKPKFYSKWDCPDECKEAIQSLIYAAARFSDLPELRELRTLFTPKFGNALEPYISKEFVDKLRQAPPSKEMKIQLLHDLAQEFSIEWDSKGLEQRLHSPPLLLEDKTKYDPLNDHDDHMNNDVAVPKGTGDKQGHERNWHTPKGNEKDTLSRGRKDISDAYWRVQSSTDSETTSDNSSLDGRKACSSSLGSVSDNETEIKQPSSFSYKLVPPPYVKEKLNKPTVVPEKQIPRSVRRRPLKPPLYENTVSDSKTGGTDKVVDSSGKESEKVKGDSRDYEEKIMDGLLMHYSKKESPYESVIAQAAYPKAYPMQRVEYDKVVHMKQKSSVPLVRGISLPSEDTDSMETLKVHGRATSLVPQMLGTAGHVHPSLPEYDDLSARLAALRNTTQPA >Vigun11g176800.4.v1.2 pep primary_assembly:ASM411807v1:11:38134436:38139776:-1 gene:Vigun11g176800.v1.2 transcript:Vigun11g176800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLRRKADPKNVVSIILGGGPGIQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGLNKIFVLTQFNSASLNRHISRTYFGNGINFGDGCVEVLAATQTPGEAGKKWFQGTADAVRQFTWVFEDAKNTNIENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGESRASDYGLVKVDARGRIIHFSEKPKGPDLKAMQADTSVFGLLPNEAKKSPYIASMGVYVFKTDVLLKLLKWRYPTANDFGSEIIPAAVREHNVQAYLYNDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIYTSPRFLPPTKIDKCRIVDAIISHGCFLRECIVQHSIVGERSRLDYGVELRDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIRNCIIDKNAKIGKDVIITNKDGVQEADRPEDGFYIRSGITVILEKATIEDGTVI >Vigun11g176800.2.v1.2 pep primary_assembly:ASM411807v1:11:38134436:38139775:-1 gene:Vigun11g176800.v1.2 transcript:Vigun11g176800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARVTLKANTHFVNSRKDNIFRQDGGFLGERLKGSLNYSPWIANQLALSLRTQERVKNAKPGVVSAVLTSSTTKESVTFQMPAFLRRKADPKNVVSIILGGGPGIQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGLNKIFVLTQFNSASLNRHISRTYFGNGINFGDGCVEVLAATQTPGEAGKKWFQGTADAVRQFTWVFEDAKNTNIENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGESRASDYGLVKVDARGRIIHFSEKPKGPDLKAMQADTSVFGLLPNEAKKSPYIASMGVYVFKTDVLLKLLKWRYPTANDFGSEIIPAAVREHNVQAYLYNDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIYTSPRFLPPTKIDKCRIVDAIISHGCFLRECIVQHSIVGERSRLDYGVELRDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIRNCIIDKNAKIGKDVIITNKDGVQEADRPEDGFYIRSGITVILEKATIEDGTVI >Vigun11g176800.1.v1.2 pep primary_assembly:ASM411807v1:11:38134436:38139775:-1 gene:Vigun11g176800.v1.2 transcript:Vigun11g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARVTLKANTHFVNSRKDNIFRQDGGFLGERLKGSLNYSPWIANQLALSLRTQERVKNAKPGVVSAVLTSSTTKESVTFQMPAFLRRKADPKNVVSIILGGGPGIQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGLNKIFVLTQFNSASLNRHISRTYFGNGINFGDGCVEVLAATQTPGEAGKKWFQGTADAVRQFTWVFEDAKNTNIENVLILAGDHLYRMDYMDLVQWHVSLFLHHRATGRIYSLPLERKSHYRKRAKTLTSSTQHSTKSNIIQVSLPTSLFLSRASDYGLVKVDARGRIIHFSEKPKGPDLKAMQADTSVFGLLPNEAKKSPYIASMGVYVFKTDVLLKLLKWRYPTANDFGSEIIPAAVREHNVQAYLYNDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIYTSPRFLPPTKIDKCRIVDAIISHGCFLRECIVQHSIVGERSRLDYGVELRDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIRNCIIDKNAKIGKDVIITNKDGVQEADRPEDGFYIRSGITVILEKATIEDGTVI >Vigun11g176800.3.v1.2 pep primary_assembly:ASM411807v1:11:38134436:38139775:-1 gene:Vigun11g176800.v1.2 transcript:Vigun11g176800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARVTLKANTHFVNSRKDNIFRQDGGFLGERLKGSLNYSPWIANQLALSLRTQERVKNAKPGVVSAVLTSSTTKESVTFQMPAFLRRKADPKNVVSIILGGGPGIQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGLNKIFVLTQFNSASLNRHISRTYFGNGINFGDGCVEVLAATQTPGEAGKKWFQGTADAVRQFTWVFEDAKNTNIENVLILAGDHLYRMDYMDLVQSHVDRNADITVSCAAVGESRASDYGLVKVDARGRIIHFSEKPKGPDLKAMQADTSVFGLLPNEAKKSPYIASMGVYVFKTDVLLKLLKWRYPTANDFGSEIIPAAVREHNVQAYLYNDYWEDIGTIKSFYDANLALTEENPMFKFYDPKTPIYTSPRFLPPTKIDKCRIVDAIISHGCFLRECIVQHSIVGERSRLDYGVELRDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIRNCIIDKNAKIGKDVIITNKDGVQEADRPEDGFYIRSGITVILEKATIEDGTVI >Vigun04g094800.8.v1.2 pep primary_assembly:ASM411807v1:4:19595559:19617450:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERGIIVACLDYRNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVARIHSEEVLITYSSMQLPLYIPMIVMLLQRTPLHPEENSLFLRFY >Vigun04g094800.2.v1.2 pep primary_assembly:ASM411807v1:4:19595560:19617607:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVASERFADALKKAGARAELILCEGKSHTDLFLQDPLRGGIDYLFEHAVAVIHSNDSDALTKDAFAPRRKQFVPEILLKLATKISPF >Vigun04g094800.11.v1.2 pep primary_assembly:ASM411807v1:4:19595560:19615996:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKACRPQNFRRIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERGIIVACLDYRNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVASERFADALKKAGARAELILCEGKSHTDLFLQDPLRGGIDYLFEHAVAVIHSNDSDALTKDAFAPRRKQFVPEILLKLATKISPF >Vigun04g094800.9.v1.2 pep primary_assembly:ASM411807v1:4:19595560:19617607:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVARIHSEEVLITYSSMQLPLYIPMIVMLLQRTPLHPEENSLFLRFY >Vigun04g094800.1.v1.2 pep primary_assembly:ASM411807v1:4:19595559:19617460:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERGIIVACLDYRNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVASERFADALKKAGARAELILCEGKSHTDLFLQDPLRGGIDYLFEHAVAVIHSNDSDALTKDAFAPRRKQFVPEILLKLATKISPF >Vigun04g094800.6.v1.2 pep primary_assembly:ASM411807v1:4:19595559:19617460:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVASERFADALKKAGARAELILCEGKSHTDLFLQDPLRGGIDYLFEHAVAVIHSNDSDALTKDAFAPRRKQFVPEILLKLATKISPF >Vigun04g094800.10.v1.2 pep primary_assembly:ASM411807v1:4:19595559:19617450:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVARIHSEEVLITYSSMQLPLYIPMIVMLLQRTPLHPEENSLFLRFY >Vigun04g094800.3.v1.2 pep primary_assembly:ASM411807v1:4:19595560:19617607:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERGIIVACLDYRNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVASERFADALKKAGARAELILCEGKSHTDLFLQDPLRGGIDYLFEHAVAVIHSNDSDALTKDAFAPRRKQFVPEILLKLATKISPF >Vigun04g094800.7.v1.2 pep primary_assembly:ASM411807v1:4:19595560:19617607:-1 gene:Vigun04g094800.v1.2 transcript:Vigun04g094800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNRRHTLPQDDIDGRAITTDSISTNYRTMPYLVTEKTVLQPHLGRQESFRRNIGHVAAETYLITRLAITLLRYLGIGYRWITQLLALGCYAMLLMPGFLQVAYYYFFSPKVQRSLVYGDQPRNRFDLYLPADIGEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERGIIVACLDYRNFPQGTISDMVNDTSQGISFIINNIANYGGDPNRVYLMGQSAGAHISSCALLEQAARETNKGENVSWSISQIKAYFGLSGGYNLLDLVDHFDNRGLYRRIFLSIMEGEESLKVYSPEIKIQDPCLKSAIPHFPSVYLVHGTADYSIPSVARIHSEEVLITYSSMQLPLYIPMIVMLLQRTPLHPEENSLFLRFY >Vigun11g072500.2.v1.2 pep primary_assembly:ASM411807v1:11:20660542:20663411:1 gene:Vigun11g072500.v1.2 transcript:Vigun11g072500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAMRTAAKIAGIGVSKSGFRGSTAALPTEQSVRSASRSSSVAGVSAQGAKTAEVAPLHAAAPWDDWDFADDGDVVVPRMVFGSAPTFEEAKEATTELKDAIDQIYLSLDSSKFSSPGDEVSTMSPTLYEPVNRSCVIDAISNPSVPKHAIQAFNLLSTSHEAQSWKILLSSGSSNHSRQCLILEKWKLLRKWKSYQLFLLKELKHLKKWKPRPSLTRKACFRISWVFCRT >Vigun11g072500.1.v1.2 pep primary_assembly:ASM411807v1:11:20660542:20663411:1 gene:Vigun11g072500.v1.2 transcript:Vigun11g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAMRTAAKIAGIGVSKSGFRGSTAALPTEQSVRSASRSSSVAGVSAQGAKTAEVAPLHAAAPWDDWDFADDGDVVVPRMVFGSAPTFEEAKEATTELKDAIDQIYLSLDSSKFSSPGDEVSTMSPTLYEPVNRSCVIDAISNPSVPKHAIQAFNLLSTSHEAQAVVASLASDPNVWNAVMENPAVFRFFQSQQTVSDFGEVETTEKVEKLSTFSSEGVETSEKVEATAESDSESLFSDFMGFLQNLKLTVTELVSSVSGFLQNLFPSPDTQKMAGDADGNNKSSFMGGTFIGLAVLVIMVIVTKRV >Vigun03g262300.2.v1.2 pep primary_assembly:ASM411807v1:3:42991886:42997787:1 gene:Vigun03g262300.v1.2 transcript:Vigun03g262300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSFSILLFFLLFASSTLSSHATVSYDRKAILINGQRRILFSGSIHYPRSTPDMWEDLIFKAKEGGLDVVETYVFWNVHEPSPGNYNFEGRYDLVRFVKIIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGMMKSEQLFESQGGPIILSQIENEYGAQSKLQGAAGQNYVNWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDKFTPNRPYKPLIWTEAWSGWFTEFGGPIHQRPVQDLAFAAARFIIRGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSTDPIVTSLGESQQAHVYTTETGECAAFLSNYDSKSSVRVMFNNMHYSLPPWSVSILPDCRNVVFNTAKVGVQTSQMQMLPTNTQMFSWESFDEDIYSVEDSSAITAPGLLEQINVTKDESDYLCVDIGSSESFLHGGELPTLLVQSTGHAVHVFINGQLSGSAFGTREYRRFTYTGKVNLRAGTNRIALLSVAIGLPNVGQHFESWSTGILGPVALHGLDQGKWDLSGQKWTYQVGLKGESMDLASPNGISTVAWMQSAIVVQRNQPLTWHRTSFDAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFATGNCNDCNYAGSFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVIFEELGGDPSKISLVKRSVSSVCADVSEYHPNIKNWHIESYGKSEEFRPPKVHLHCSPGQTISSIKFASFGTPLGTCGNYVQGACHSSASYAILEKRCVGKSRCTITVSNSNFGQDPCPNVMKRLSVEAVCGPSDTNWRG >Vigun03g262300.1.v1.2 pep primary_assembly:ASM411807v1:3:42991886:42997787:1 gene:Vigun03g262300.v1.2 transcript:Vigun03g262300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSFSILLFFLLFASSTLSSHATVSYDRKAILINGQRRILFSGSIHYPRSTPDMWEDLIFKAKEGGLDVVETYVFWNVHEPSPGNYNFEGRYDLVRFVKIIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGMMKSEQLFESQGGPIILSQIENEYGAQSKLQGAAGQNYVNWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDKFTPNRPYKPLIWTEAWSGWFTEFGGPIHQRPVQDLAFAAARFIIRGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSTDPIVTSLGESQQAHVYTTETGECAAFLSNYDSKSSVRVMFNNMHYSLPPWSVSILPDCRNVVFNTAKVGVQTSQMQMLPTNTQMFSWESFDEDIYSVEDSSAITAPGLLEQINVTKDESDYLWYITSVDIGSSESFLHGGELPTLLVQSTGHAVHVFINGQLSGSAFGTREYRRFTYTGKVNLRAGTNRIALLSVAIGLPNVGQHFESWSTGILGPVALHGLDQGKWDLSGQKWTYQVGLKGESMDLASPNGISTVAWMQSAIVVQRNQPLTWHRTSFDAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFATGNCNDCNYAGSFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVIFEELGGDPSKISLVKRSVSSVCADVSEYHPNIKNWHIESYGKSEEFRPPKVHLHCSPGQTISSIKFASFGTPLGTCGNYVQGACHSSASYAILEKRCVGKSRCTITVSNSNFGQDPCPNVMKRLSVEAVCGPSDTNWRG >Vigun09g197500.1.v1.2 pep primary_assembly:ASM411807v1:9:37244553:37254525:1 gene:Vigun09g197500.v1.2 transcript:Vigun09g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRNRNSINSCDTMLGWDFRTWGSIVRSLCLDARHSEALSLFHLCLKSYEAFKPDHTVIAAILKSCSALLAPKLGRAVHSYVVKQGHIFCQVTNKGLLNMYAKCSMLDDCLKLFAQLTHRDSVTWNIVLSGFSGSKKCDPDVMRIFKMMHTSGEAMPNSISVVTVIPVFTRLGYLNAGLCVHTYVIKSGFEQDTLVGNALVSMYAKCGLVSREAYAVFDDIVHKDVVSWNAMIAGLAENGLVEDAFLLFSSMLKGPMQPNYATIANILPVCASFDKSVAYRCGRQIHSYVQQWSELSADISVCNALISFYLKIGLMREAKALFWTMDSRDLVTWNTLVAGYTSNGEWLKALHLFGNLLSLETLLPDPVTMVSILPVCAQLKNMQVGKQIHAYIFRRPFLFYDTAVGNALISFYTKCGYTEEAYYTFSMIPRKDLISWNSIFDAFGEKRHHSKFIDLLHWMLRLRIRPDSVTMLTIIRFSVSLSRVEKVKEIHNYSIRTGSLLSDTALTVGNAILDAYSKCGNMEYANKMFQNLSEKRNLVTCNSLISGYVGLGSHHDANIIFSGMSETDLTTWNLMVRVYAENDCPEQALKLFHALQARGMKPDMVTIMSLLPVCTQMASVHLLSQCHGYIIRSFLKDLHLEVALLDAYAKCGLIGHAYKIFQLSADKDLVMFTAMIGGYAMHGMSEKALRIFSHMLKLGIQPDHIIFTSILSACSHTGRVDEGLKIFYSIEELHGMKPTLVHYACVVDLLARGGRISEAYSLANSLPIEVNANLWGTLLGACKTHHEVELGRIVANQLFKIEANNIGNYIVLSNLFAADARWDGVMEVRRMMRNKDLKKPVGCSCIEVERSNNIFVAGDCSHPQRSIIYSTLHTLDQQVPVVNSFADGQNAHFIKSKSREPTLTQA >Vigun04g093100.1.v1.2 pep primary_assembly:ASM411807v1:4:18220077:18221347:1 gene:Vigun04g093100.v1.2 transcript:Vigun04g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVDEDHTFANSIRYTLNQDPRVTYCGYSIPHPSFNRVNIRLQTTGDPAKEVFKDGCLELMLMCRHVRSTFDDALIEFKKTKTS >Vigun08g151700.1.v1.2 pep primary_assembly:ASM411807v1:8:32443268:32446458:1 gene:Vigun08g151700.v1.2 transcript:Vigun08g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKEMIEFHKGHGGEASIMVTKVDEPSKYGVVVMEEKTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPNIAAEKKLFAMVLPGFWMDIGQPRDYISGLRLYLDSLRKKSSSKLASGSQIVGNVIVDETAKIGEGCLIGPDVAIGPGCIIEQGVRLKSCTVMRGVRVKKHACISSSIIGWHSTVGQWARVDNMTILGEDVHVCDEIYSNGGVVLPHKEIKSNILKPEIVM >Vigun05g124700.1.v1.2 pep primary_assembly:ASM411807v1:5:13923073:13923573:1 gene:Vigun05g124700.v1.2 transcript:Vigun05g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCHPFLFSVLFVTLILVSGEVAVAEEAAKGMIDADLKCFGACTPTCKDDCQGKGFMNGYCIQQGSLVQCCCL >Vigun03g076400.5.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6342992:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLISSKERNKSPTVLTAALHSPQNQLPPLIRTLLSSFSSSSKKWSFFLNYTAISYTITMNSLQLQRDSNGSDKLSDKTIVIPNKLTAIADSFKGEHSWFIAPQIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEIQPFISNSGNALKLENFPGGSETFETVLKFCYGLPVDFSPDNIAALRCASEFLEMTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVISMTNSSEECTMLDIDVVQRIVEYFLMHEQQQVQQQQKTRKFNISRLLDNYLAEIARDPNLSITKFQVFAELLPENSRSYDDGLYRAIDTYLKVLFSEQVKMRTAMQEKEPAQRGIQSEQEGNQTSASMDIKALKAELENVKSKMVDLQNDYFELQQEYEKLSNKPKNSSGWILHWRKIKNSLHTKPAGVEIGDRQDTPKSPNTVIRILNPRRRSSMS >Vigun03g076400.4.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6342999:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLISSKERNKSPTVLTAALHSPQNQLPPLIRTLLSSFSSSSKKWSFFLNYTAISYTITMNSLQLQRDSNGSDKLSDKTIVIPNKLTAIADSFKGEHSWFIAPQIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEIQPFISNSGNALKLENFPGGSETFETVLKFCYGLPVDFSPDNIAALRCASEFLEMTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVIMTNSSEECTMLDIDVVQRIVEYFLMHEQQQVQQQQKTRKFNISRLLDNYLAEIARDPNLSITKFQVFAELLPENSRSYDDGLYRAIDTYLKTHPSLSEHDRKRLCKIMNCEKLSLDACLHAAQNERLPLRTVVQVLFSEQVKMRTAMQEKEPAQRGIQSEQEGNQTSASMDIKALKAELENVKSKMVDLQNDYFELQQEYEKLSNKPKNSSGWILHWRKIKNSLHTKPAGVEIGDRQDTPKSPNTVIRILNPRRRSSMS >Vigun03g076400.3.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6341924:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVIMTNSSEECTMLDIDVVQRIVEYFLMHEQQQVQQQQKTRKFNISRLLDNYLAEIARDPNLSITKFQVFAELLPENSRSYDDGLYRAIDTYLKTHPSLSEHDRKRLCKIMNCEKLSLDACLHAAQNERLPLRTVVQVLFSEQVKMRTAMQEKEPAQRGIQSEQEGNQTSASMDIKALKAELENVKSKMVDLQNDYFELQQEYEKLSNKPKNSSGWILHWRKIKNSLHTKPAGVEIGDRQDTPKSPNTVIRILNPRRRSSMS >Vigun03g076400.2.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6341924:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVISMTNSSEECTMLDIDVVQRIVEYFLMHEQQQVQQQQKTRKFNISRLLDNYLAEIARDPNLSITKFQVFAELLPENSRSYDDGLYRAIDTYLKTHPSLSEHDRKRLCKIMNCEKLSLDACLHAAQNERLPLRTVVQVLFSEQVKMRTAMQEKEPAQRGIQSEQEGNQTSASMDIKALKAELENVKSKMVDLQNDYFELQQEYEKLSNKPKNSSGWILHWRKIKNSLHTKPAGVEIGDRQDTPKSPNTVIRILNPRRRSSMS >Vigun03g076400.6.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6342992:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLISSKERNKSPTVLTAALHSPQNQLPPLIRTLLSSFSSSSKKWSFFLNYTAISYTITMNSLQLQRDSNGSDKLSDKTIVIPNKLTAIADSFKGEHSWFIAPQIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEIQPFISNSGNALKLENFPGGSETFETVLKFCYGLPVDFSPDNIAALRCASEFLEMTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVIRCNSNRRRGNSILVGSWTITWLRLQGIQIFQLLNSKFSLNCYLKILDHMMTVCIEPLTPTSRPILH >Vigun03g076400.1.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6342992:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLISSKERNKSPTVLTAALHSPQNQLPPLIRTLLSSFSSSSKKWSFFLNYTAISYTITMNSLQLQRDSNGSDKLSDKTIVIPNKLTAIADSFKGEHSWFIAPQIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEIQPFISNSGNALKLENFPGGSETFETVLKFCYGLPVDFSPDNIAALRCASEFLEMTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVISMTNSSEECTMLDIDVVQRIVEYFLMHEQQQVQQQQKTRKFNISRLLDNYLAEIARDPNLSITKFQVFAELLPENSRSYDDGLYRAIDTYLKTHPSLSEHDRKRLCKIMNCEKLSLDACLHAAQNERLPLRTVVQVLFSEQVKMRTAMQEKEPAQRGIQSEQEGNQTSASMDIKALKAELENVKSKMVDLQNDYFELQQEYEKLSNKPKNSSGWILHWRKIKNSLHTKPAGVEIGDRQDTPKSPNTVIRILNPRRRSSMS >Vigun03g076400.7.v1.2 pep primary_assembly:ASM411807v1:3:6338793:6342992:-1 gene:Vigun03g076400.v1.2 transcript:Vigun03g076400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLISSKERNKSPTVLTAALHSPQNQLPPLIRTLLSSFSSSSKKWSFFLNYTAISYTITMNSLQLQRDSNGSDKLSDKTIVIPNKLTAIADSFKGEHSWFIAPQIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEIQPFISNSGNALKLENFPGGSETFETVLKFCYGLPVDFSPDNIAALRCASEFLEMTEELEDGNLISKAEAFLTFVVLSSWKDTITVLKSCENLSPWAENLQIVRRCCDSIAWKASKDDHTSEDAAPNQESWWLNDVATFRIDHFMRIISAIRAKGTRAEIIGKCIMQYAKKWLPGMDVEIEGLRGYGHEKYNLQFSIFSGKKKESSGHSKEQKTIIETLISIIPPQLDAVSCKFMLQMLKMAMMYSVSPALTTELEKRVSMVLEDAEVNDLLIPRYQNGDQGKTVIHRCGSTNC >Vigun09g022800.1.v1.2 pep primary_assembly:ASM411807v1:9:1830232:1834565:-1 gene:Vigun09g022800.v1.2 transcript:Vigun09g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTSTSTPTSESNHTIISNADPLNAPDLFFLQERNELGISDSVSGEGMHPFFEFCVPLYTHGMKGNWAAAKWILENNDERLKHGAITRNWSTLLHVAAGANHSHFVEQLLHMLHDQHVSFQDNKGNTAFCFAASSGNMRIANLLRDRNPHLPTIRGGGGYLPIQFAAMQGKCEMTWFLYQRIINTEVFQEPDKKSLFFSSVKTGNHRFALQMARDWPDLAWARDQNNDTALHILALDQTPLHSCSHCPDITDPIRINPGTKKVVTFQLVKYLWQTILRRKSFSEVIEIISEPYQALFDAAEVGNFEFLSELISAHPSLIWEVDNKNHSIIHTAVSHRHASIFNLIHEIESQKDLIVTYIVNVSNHSPSEPVITNNTLLHLAAKLPPPRQLELVSGAAFQMCLEIIWFEEVKKIMPPSFVIMKNSNDVTAQELFRTEHEELRKKGEEWMKRTAEFCILISTVIATGVFSAAINIPGGIDDESKKPNYLNRTSFLVFAISDGAAFISSSTAILIFLSILMSRYAEDDFYKSLPLKLISGLITLFISIACMMVAFGSAFFITYNYGSRVVPDSIAVLVCPPLFLYIALQFSLWSDIIYSTFYCRTLFRPTRCMTYT >VigunL059900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000451.1:31779:32816:1 gene:VigunL059900.v1.2 transcript:VigunL059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKVVAMPYAKRKRLIEWQRQIELLMKTSFSILQEFYESLSFASLRWKFYFPRMYPNFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGERSSFKK >Vigun04g153801.1.v1.2 pep primary_assembly:ASM411807v1:4:37162455:37162951:1 gene:Vigun04g153801.v1.2 transcript:Vigun04g153801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSHPLSSCSCGSRNHSVSSPGTVSGSVSGTPHCHCGEIAVLRVARTAKNCGKQFWGCPHYKRSVGEDFKACNYFKWCTDDNGDERDATIARQSQRIRQLEKDLIDSEKWMMYLFRIIGLLGLIVILLLFVLLKK >VigunL057300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000435.1:23771:32315:1 gene:VigunL057300.v1.2 transcript:VigunL057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRGIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >VigunL028960.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:9404:10129:-1 gene:VigunL028960.v1.2 transcript:VigunL028960.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKIGDLYFSFTNPSLFMLLTLSLVLLLFHFVTKNGGGKSVPNAWQSLVELIYDFVPNLVNEQIAGNVKQKFFPCILVTFTFSLFCNPQGMIPYSFTVTSHFLITLGLSFSIFIGITIVGFQRNGLHFLSFSLPAGVPLPLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGSAWTMLCMNDLFYFIGDLGPLFIVLALTGPELGVAISQAHVSTISICIYLNDATNLHQTG >Vigun01g089900.1.v1.2 pep primary_assembly:ASM411807v1:1:24981045:24982472:1 gene:Vigun01g089900.v1.2 transcript:Vigun01g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKHAHANRSYEDFDPLFMWRREEGRDTLELHLPGFKRDQIRIQINHVGLLVISGERHFEGNRWKRFKKEFEIPSYCNDDAIHGNMMQSILSVVMPKKSSVILEEEQEMKEEKRGNSRKGESEISEENDMTEDAEYRFEEDGVRVPQEKTRDVALKFMLVMVLILVAASYISDVSKSLMAQAATYFHN >Vigun03g158200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17307227:17310526:1 gene:Vigun03g158200.v1.2 transcript:Vigun03g158200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVLNMPNWGYYEPFRGGHLGLQLMPGMTDRDTKPYLPARDPAVLMGANGTFHPRDCVVSEAPMQLNYVRDNWTSQRDRYFSMQQPTNPNYAVLPETSVAPNLQIIQPPDTSRDEKVDRIEELVVKKEGGQSKKRQTKGALTTPKAKKPRKPKDNGNVPVQRVKPPKKTMELVINGIDMDISGLPIPVCSCTGSPQQCYRWGCGGWQSACCTTNVSIYPLPMSMKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLKTHWARHGTNKFVTIR >Vigun03g158200.1.v1.2 pep primary_assembly:ASM411807v1:3:17307227:17310526:1 gene:Vigun03g158200.v1.2 transcript:Vigun03g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHTLFFSTLSLSPFLLRLSSLSSLFAFLFLSLSDFCLSHLSLSGRANPKDRLHPPIAMDDDVLNMPNWGYYEPFRGGHLGLQLMPGMTDRDTKPYLPARDPAVLMGANGTFHPRDCVVSEAPMQLNYVRDNWTSQRDRYFSMQQPTNPNYAVLPETSVAPNLQIIQPPDTSRDEKVDRIEELVVKKEGGQSKKRQTKGALTTPKAKKPRKPKDNGNVPVQRVKPPKKTMELVINGIDMDISGLPIPVCSCTGSPQQCYRWGCGGWQSACCTTNVSIYPLPMSMKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLKTHWARHGTNKFVTIR >Vigun08g205900.1.v1.2 pep primary_assembly:ASM411807v1:8:36919577:36922673:1 gene:Vigun08g205900.v1.2 transcript:Vigun08g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQDITSYLKEPRLVARKFLARPQHEGVGAVVRRSIGRFELKNFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGSITHEDFEGRKGTIEAGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPRYQEMLSKDIAETMVDGIKVRVIAGEALGIKSPIYTRTPTMYLDFSLKPGAHLQQLIPKSWNAFVYILEGEGVFGNQKSQPVTSHHILLLGSGDGLEAWNKSSKVLRFILVGGEPLGEPVVQFGPFVMNTQEEIDQTIDDFENYTNGFEKARHWRSETSLSLDY >Vigun04g070900.1.v1.2 pep primary_assembly:ASM411807v1:4:8213206:8215638:1 gene:Vigun04g070900.v1.2 transcript:Vigun04g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGEVCNTCGNHNTSEPTWMMFPGTDLFARIQFIGCVETDYLHSYQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGVLKTLVTLNDKRK >Vigun06g060600.2.v1.2 pep primary_assembly:ASM411807v1:6:18838002:18843844:1 gene:Vigun06g060600.v1.2 transcript:Vigun06g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNEGYVLAATFVAAKLVECLSQRHWMFRFQQVGVRMQSMLVAMIYAKGLTLSCQSKEVRSTGEIINLMTVDAERIGEFCWYMHDPWMCVLQLALALVILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKIMEFKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQIRKSEETWLKKFLAGTATIRFIFHNAPTFIAVVTFGACIFVGIPLESGKVLSALATFRILQMPIYSLPDTISMIAQTKVSLDRIASFLRLEELQTDIVQKLPWGSSDKAIDLVDGNFSWDLSSPNPTLKNINLNVFHGMRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEDNILFGKEMDSEKYEKVLEACSLTKDLEILPFGDQTIIGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKYNDILKTGTDFMELVGAHTAALSSIKSLERRPTFKTSSTTEEKTNSLSDFELEKNVENIHGENDKRNDTDEPKGQLVQEEEREKGRVGFRVFWKYITTAYGGALVPFILLSQILTVGFQIASNYWMTVATPVSATAETDIGSFTLLVVYVALAIGSSIFTFARSFLAVIAGYKTATVLFDKMHFCIFRAPISFFDSTPSGRILNRASIDQSSLDMYIANILWGITLNLVQLLGNVIVMSQAAWQVFIVLIPVMAASIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIRSFEQESRFNDINMKLIDRYSQPKLYSATAIEWLNFRLDILSTVIFAFCLVFLVSFPNSMTAPGIAGLAVTYGLNLNTVQTKVIWFLCDLEKKIISVERILQYTSLPSEAPLVIKDNQPDCSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKSTLVQTLLRLIEPMDGKILIDNINISLIGIHDLRSRLSIIPQDPTMFEGTVRTNLDPLEEYTDEQIWEALDMCQLGDDVRKKEGKLDSIVIENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQIVKQHFSECTVITIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSSLAQLVAEYTKRSNSGFGN >Vigun06g060600.1.v1.2 pep primary_assembly:ASM411807v1:6:18838208:18843844:1 gene:Vigun06g060600.v1.2 transcript:Vigun06g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAPLEEPLLDGDSSAINNSDPIKTRGNENLTRYSNAGFFSVLSFSWITPLITLGNEKTLNHEDLPLLATGDSAYGVFPTFRSKVESEFGSVRNVTTLKLAKVLFLSTWQGIFLSGLFAFLYACASYVGPFLIDILVQYLNGEYMIKNEGYVLAATFVAAKLVECLSQRHWMFRFQQVGVRMQSMLVAMIYAKGLTLSCQSKEVRSTGEIINLMTVDAERIGEFCWYMHDPWMCVLQLALALVILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKIMEFKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQIRKSEETWLKKFLAGTATIRFIFHNAPTFIAVVTFGACIFVGIPLESGKVLSALATFRILQMPIYSLPDTISMIAQTKVSLDRIASFLRLEELQTDIVQKLPWGSSDKAIDLVDGNFSWDLSSPNPTLKNINLNVFHGMRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEDNILFGKEMDSEKYEKVLEACSLTKDLEILPFGDQTIIGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKYNDILKTGTDFMELVGAHTAALSSIKSLERRPTFKTSSTTEEKTNSLSDFELEKNVENIHGENDKRNDTDEPKGQLVQEEEREKGRVGFRVFWKYITTAYGGALVPFILLSQILTVGFQIASNYWMTVATPVSATAETDIGSFTLLVVYVALAIGSSIFTFARSFLAVIAGYKTATVLFDKMHFCIFRAPISFFDSTPSGRILNRASIDQSSLDMYIANILWGITLNLVQLLGNVIVMSQAAWQVFIVLIPVMAASIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIRSFEQESRFNDINMKLIDRYSQPKLYSATAIEWLNFRLDILSTVIFAFCLVFLVSFPNSMTAPGIAGLAVTYGLNLNTVQTKVIWFLCDLEKKIISVERILQYTSLPSEAPLVIKDNQPDCSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKSTLVQTLLRLIEPMDGKILIDNINISLIGIHDLRSRLSIIPQDPTMFEGTVRTNLDPLEEYTDEQIWEALDMCQLGDDVRKKEGKLDSIVIENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQIVKQHFSECTVITIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSSLAQLVAEYTKRSNSGFGN >Vigun01g122500.1.v1.2 pep primary_assembly:ASM411807v1:1:29942649:29947213:1 gene:Vigun01g122500.v1.2 transcript:Vigun01g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSNLLSLLVALAALFSSLYLINPIPKFFINPIPQYLINVLSPSSAYFAKPLTGNFQRHHKKHPDSGNTESICDDFPPGIPPPNTNTTSYLCVDRKGCCNFTTVQAAVNAVPDFSVKRTILWINSGMYYEKVMVPKTKPNITFQGQGYTSTAIAWNDTALSANGTFYSGSVQVFASNFIAKNISFMNLAPMPSPGAVGAQAVAIRVSGDQSEFRGCGFFGAQDTLHDDKGRHYFKDCYIQGSIDFIFGNARSLYENCEIVSIANPVPVGQRSINGAVTAHGRVSGDENTGFAFVNSTVGGNGRIWLGRAWRPYSRVVFAFTSMSDIIAPEGWNDFNDPSRDQTIFYGEYNCSGSGANTNLRAAYVQKLNETQASAFLNISFIDGEQWLETSK >Vigun06g051800.4.v1.2 pep primary_assembly:ASM411807v1:6:17762050:17769489:-1 gene:Vigun06g051800.v1.2 transcript:Vigun06g051800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIARRKKGRPSKADLARRSGESPAAASQPDLRRSHRRRSVRYNIIDYDGDYLDDEEDERRREKKKLKLMAKLNQDGEEEREEENDMTPSRTCADTPAEDYEDDENEQEEEQEHEQEEEQEEDADGVVKGRKVESKGLHSISVSGTPVILQSGIPLPDKRTLELILDKLQKKDTYGVFAEPVDPEELPDYHDVIDHPMDFATVRQKLAAGSYTTLEQFESDIFLICSNAMQYNAAETIYHKQARSIQELGRKKFEKLRIGFERSQMEQKSEQKAGSNYLVKKQLKKPLVRTSLEPVGSDFCSGATLATIADLQPTSHPMQGGRCERPGNIDGILEANAFWIDANQEKAEDVLSGKGLHSKWGRKSVVLDESRRASYNMSNQPIGRSESIFMTFDSEMKQLVAVGLHAEYSYARSLARFSASLGPIAWKIASHRIQQALPPGFKYGRGWVGEYEQLPTPILMVNNEVHKGTSLAMKSHSTIELPKGDKNCKNVESSIEHPVNRQMLDGKHPLMPDVEGKPFFGSAGERLCAPANILNQEQNNQSRKIGKPEKKGLKQEELNSLSSSKQNNNVLVAKFTSNTPAAESKPREMVPRNMFKQPDVSGELPNGKVTDTSLNRQVTGPSPESTSNQSSREGPVVHGKELGVSDPVHVMGMFAERAQKQQNSNHSVVDTPPVTLSGPSGQRDDLGNASAAAARAWMSVGAGGFKQGPDNSNSPKSQISADSLYNSTREFHQHISRIRGEFPSAGMPFQPFQALAPQSSHTGTVSQFPNRPMVFPQLASADQSRFQMQSPWRGLSPRSQSRQKQETFPPDLNIGFQPPGSPAKQSSGVLVDSQQPDLALQL >Vigun06g051800.3.v1.2 pep primary_assembly:ASM411807v1:6:17762247:17769489:-1 gene:Vigun06g051800.v1.2 transcript:Vigun06g051800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIARRKKGRPSKADLARRSGESPAAASQPDLRRSHRRRSVRYNIIDYDGDYLDDEEDERRREKKKLKLMAKLNQDGEEEREEENDMTPSRTCADTPAEDYEDDENEQEEEQEHEQEEEQEEDADGVVKGRKVESKGLHSISVSGTPVILQSGIPLPDKRTLELILDKLQKKDTYGVFAEPVDPEELPDYHDVIDHPMDFATVRQKLAAGSYTTLEQFESDIFLICSNAMQYNAAETIYHKQARSIQELGRKKFEKLRIGFERSQMEQKSEQKAGSNYLVKKQLKKPLVRTSLEPVGSDFCSGATLATIADLQPTSHPMQGGRCERPGNIDGILEANAFWIDANQEKAEDVLSGKGLHSKWGRKSVVLDESRRASYNMSNQPIGRSESIFMTFDSEMKQLVAVGLHAEYSYARSLARFSASLGPIAWKIASHRIQQALPPGFKYGRGWVGEYEQLPTPILMVNNEVHKGTSLAMKSHSTIELPKGDKNCKNVESSIEHPVNRQMLDGKHPLMPDVEGKPFFGSAGERLCAPANILNQEQNNQSRKIGKPEKKGLKQEELNSLSSSKQNNNVLVAKFTSNTPAAESKPREMVPRNMFKQPDVSGELPNGKVTDTSLNRQVTGPSPESTSNQSSREGPVVHGKELGVSDPVHVMGMFAERAQKQQNSNHSVVDTPPVTLSGPSGQRDDLGNASAAAARAWMSVGAGGFKQGPDNSNSPKSQISADSLYNSTREFHQHISRIRGEFPSAGMPFQPFQALAPQSSHTGTVSQFPNRPMVFPQLASADQSRFQMQSPWRGLSPRSQSRQKQETFPPDLNIGFQPPGSPAKQSSGVLVDSQQPDLALQL >Vigun06g051800.5.v1.2 pep primary_assembly:ASM411807v1:6:17762247:17769489:-1 gene:Vigun06g051800.v1.2 transcript:Vigun06g051800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIARRKKGRPSKADLARRSGESPAAASQPDLRRSHRRRSVRYNIIDYDGDYLDDEEDERRREKKKLKLMAKLNQDGEEEREEENDMTPSRTCADTPAEDYEDDENEQEEEQEHEQEEEQEEDADGVVKGRKVESKGLHSISVSGTPVILQSGIPLPDKRTLELILDKLQKKDTYGVFAEPVDPEELPDYHDVIDHPMDFATVRQKLAAGSYTTLEQFESDIFLICSNAMQYNAAETIYHKQARSIQELGRKKFEKLRIGFERSQMEQKSEQKAGSNYLVKKQLKKPLVRTSLEPVGSDFCSGATLATIADLQPTSHPMQGGRCERPGNIDGILEANAFWIDANQEKAEDVLSGKGLHSKWGRKSVVLDESRRASYNMSNQPIGRSESIFMTFDSEMKQLVAVGLHAEYSYARSLARFSASLGPIAWKIASHRIQQALPPGFKYGRGWVGEYEQLPTPILMVNNEVHKGTSLAMKSHSTIELPKGDKNCKNVESSIEHPVNRQMLDGKHPLMPDVEGKPFFGSAGERLCAPANILNQEQNNQSRKIGKPEKKGLKQEELNSLSSSKQNNNVLVAKFTSNTPAAESKPREMVPRNMFKQPDVSGELPNGKVTDTSLNRQVTGPSPESTSNQSSREGPVVHGKELGVSDPVHVMGMFAERAQKQQNSNHSVVDTPPVTLSGPSGQRDDLGNASAAAARAWMSVGAGGFKQGPDNSNSPKSQISADSLYNSTREFHQHISRIRGEFPSAGMPFQPFQALAPQSSHTGTVSQFPNRPMVFPQLASADQSRFQMQSPWRGLSPRSQSRQKQETFPPDLNIGFQPPGSPAKQSSGVLVDSQQPDLALQL >Vigun06g032300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13920380:13920846:1 gene:Vigun06g032300.v1.2 transcript:Vigun06g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPVTCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHQDKLVSLPTACHIQLSFSMDLCVSGP >Vigun03g385800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59156211:59157707:-1 gene:Vigun03g385800.v1.2 transcript:Vigun03g385800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVKESCTVRPMEPTWCGRVALSEFDQTGKVTHVPLIYFYRLPQNAIFQYNTIASTLKDSLSRVLVPFYPLAGRLHWTNNGRLELDCNATGIRFIEAESSSTLQHLTDFSSSNEHHYLVPAVDYYSLPIHELPLVVVQLTKFKCGGICIGITLSHAVVDGPSALHFVSEWARLSRGEPLHTVPFLDRKILRAGEPPLVPLTKCHLHKEFNDPPFLLGRTDNREEREKETAMAFVKISKTEIETLKKRANESSPRPRNDRGYSRYESVTGHIWRCASKAREHKENQPTVLTIIVDSRGRLKPPLPNGYFGNANLDSVACSLAGDLVSEPLGYTASRIREAIERVSDEYVRSGIEFLKNQKNLRRFHQDLHEEGREKEPFFGNPNLSVVSWLRLPIYGIDFGWGKEVRMSPATHDFDGDFVLLPDPNEDGSVLVCIGLQVVHIDAFKKHFYQDLA >Vigun11g062700.1.v1.2 pep primary_assembly:ASM411807v1:11:14180442:14182175:1 gene:Vigun11g062700.v1.2 transcript:Vigun11g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKIIIALSLFVSCLSVQANAYYYRQCSTKGSRCYGKYIRCPNECPSSESTDPKAKVCHIDCDKPICRAVCRSRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNEHFSLVSDSSLQINARFIGHRPEGRARDYTWIQALGILFNSKSLSLEAPKTPQWNEDVDHLKFTYNGDHLVLPEGPLSSWHSPEKDVKVERVAARNSVIVTIEDVAEILVNVVPVTKEDDAVHNYQVPEDDCFAHLEVQFRFFGLSPKVDGVLGRTYREDFENPAKVGVPMPVVGGEDKYRTTSLLSPNCASCVFSPLTSHHTEPTQLTPEFMATLDCSKFSYGLGIVCKK >Vigun11g141500.1.v1.2 pep primary_assembly:ASM411807v1:11:35101633:35105160:1 gene:Vigun11g141500.v1.2 transcript:Vigun11g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEKVLDPQLWHACAGGMVQMPKVNSKVFYFPQGHAEHAHTNIDLRVPPHILCNVEAVKFMADPETDEVFAKLSLVPLRNSELGPDSEGGADVAEASEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTVVAKDVQGETWRFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSVVFLRSENGELCVGIRRAKKGIGFEVWNSASGSGSGSIGGSGNCGIGPYGPFSFFLREENKSLRNGGGGGGGSGRAKVRPEAVVEAVTLAAANKPFEVVYYPRASTPEFCIKASSVRAAMRIQWCSGMRFKMAFETEDASRISWFMGTIASVQVLDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNLPVINFTPFSPPRKKLRFPQQPEFPLDVQFPIPPFSGNQLGPNHPLCGFSDNAPAGIQGARHAQIGTSLSDLHLNSKLQLGLLPSNIQQHGFYSGISSGNTTNHDKSKESLSHLLTIGKSTKSLEKSDDVKKHQFLLFGQPILTEQQISRDVLSRAKKSSDDVKDKEKCFLDDSQPSLFSSGKASAAEFSWQLGLDTGHCKVFLESEDVGRTLDLSRLGSYEELYRRLSYMFGVERSEIPNYVFYYDAKGAVKQTGEEPFSDFMKTAKRLTILTDSGSKNVTRAFITGTRNGEHGLDASNKTGPLSIFA >Vigun11g178200.2.v1.2 pep primary_assembly:ASM411807v1:11:38251374:38253245:1 gene:Vigun11g178200.v1.2 transcript:Vigun11g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDADVSRQIQQMIRFIRQEAEEKANEISVAAEEEFNIEKLQILEAEKKKIKQDYERKAKQIDVRRKIEYSTQLNASRIKVLQAQDDAVNSMKDAARTALSDISNDKKVYRKLVKDMMIQGLLRLREPSVLIRCRESDRKLVESLVEEAKKEYSEKASVQAPKITLDDRVYLPPPPKNNAATDSLEPFCSGGIVLASEDGKIVLENTLDARLDVIFRQKLPEIRKMLLAGV >Vigun11g178200.1.v1.2 pep primary_assembly:ASM411807v1:11:38251374:38253245:1 gene:Vigun11g178200.v1.2 transcript:Vigun11g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDADVSRQIQQMIRFIRQEAEEKANEISVAAEEEFNIEKLQILEAEKKKIKQDYERKAKQIDVRRKIEYSTQLNASRIKVLQAQDDAVNSMKDAARTALSDISNDKKVYRKLVKDMMIQGLLRLREPSVLIRCRESDRKLVESLVEEAKKEYSEKASVQAPKITLDDRVYLPPPPKNNAATDSLEPFCSGGIVLASEDGKIVLENTLDARLDVIFRQKLPEIRKMLLAGV >Vigun07g006300.2.v1.2 pep primary_assembly:ASM411807v1:7:502843:515630:-1 gene:Vigun07g006300.v1.2 transcript:Vigun07g006300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYRQLKKYIKIIKIKRVLNQSQPPKQTFGRSIFDSFRFLINKLYNSHNNNNMQQEIIQVNRKTTEESEDVYETELAQLFAEEDEVQVFFAKLDGELNKVNQFFKKQEIEFVERGEVLNKQLNILLELKQVLSNRRRKNQSLKPSNTGIFPHFPSQGSNYSESVGKPKDSDTEVSEMDEVMSTLERNGLSFVNSGMRVKTKKGKPQMAIRIDIPATTPTAVTSMLWEDLVNSPMKAAGCGGEFINKRKIQCAEKMIRSAFVELYKGLGLLKTYSSLNMVAFTKILKKFDKVSCQKASTNYLKEVKRSHFISSDKVVRVMDEVESTFTKHFTNNDRKRAMKFLRPQQQPKASHMITFLVGLSTGCSVSLCCVYVILAHLCGIFSPNTEQAYMDAVYPVSSVFVLLSLHLFMYGCNLYMWKSTRINHNFIFEFSASTALRHRDAFLMCTTLMTTVFGAMVMHLLLRAAGFSPSQVDAIPGILFLFFVGLLICPFDIFYRPTRFCFIRVIRNIACSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHTFARVFKSHHPEACHSGRLYVEITYLISFLPYWWRALQCARRWFDDSDINHLANMGKYVSAMVAAGARVTYGRTGVFSIPTPKTLG >Vigun07g006300.1.v1.2 pep primary_assembly:ASM411807v1:7:502843:515630:-1 gene:Vigun07g006300.v1.2 transcript:Vigun07g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYRQLKKYIKIIKIKRVLNQSQPPKQTFGRSIFDSFRFLINKLYNSHNNNNMQQEIIQVNRKTTEESEDVYETELAQLFAEEDEVQVFFAKLDGELNKVNQFFKKQEIEFVERGEVLNKQLNILLELKQVLSNRRRKNQSLKPSNTGIFPHFPSQGSNYSESVGKPKDSDTEVSEMDEVMSTLERNGLSFVNSGMRVKTKKGKPQMAIRIDIPATTPTAVTSMLWEDLVNSPMKAAGCGGEFINKRKIQCAEKMIRSAFVELYKGLGLLKTYSSLNMVAFTKILKKFDKVSCQKASTNYLKEVKRSHFISSDKVVRVMDEVESTFTKHFTNNDRKRAMKFLRPQQQPKASHMITFLVGLSTGCSVSLCCVYVILAHLCGIFSPNTEQAYMDAVYPVSSVFVLLSLHLFMYGCNLYMWKSTRINHNFIFEFSASTALRHRDAFLMCTTLMTTVFGAMVMHLLLRAAGFSPSQVDAIPGILFLFFVGLLICPFDIFYRPTRFCFIRVIRNIACSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHTFARVFKSHHPEACHSGRLYVEITYLISFLPYWWRALQCARRWFDDSDINHLANMGKYVSAMVAAGARVTYGRQDNNLWFAIVFITSGVATVYQLYWDFVKDWGFFNPNSKNPWLRDDLILKNKSIYYMSIALNVVLRVAWVETITHLNVGPVQRRLLDFFLASLEVIRRGHWNFYRLENEHLNNVGHFRAVKAVPLPFRDIDSDN >Vigun07g006300.4.v1.2 pep primary_assembly:ASM411807v1:7:502843:510794:-1 gene:Vigun07g006300.v1.2 transcript:Vigun07g006300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMSTLERNGLSFVNSGMRVKTKKGKPQMAIRIDIPATTPTAVTSMLWEDLVNSPMKAAGCGGEFINKRKIQCAEKMIRSAFVELYKGLGLLKTYSSLNMVAFTKILKKFDKVSCQKASTNYLKEVKRSHFISSDKVVRVMDEVESTFTKHFTNNDRKRAMKFLRPQQQPKASHMITFLVGLSTGCSVSLCCVYVILAHLCGIFSPNTEQAYMDAVYPVSSVFVLLSLHLFMYGCNLYMWKSTRINHNFIFEFSASTALRHRDAFLMCTTLMTTVFGAMVMHLLLRAAGFSPSQVDAIPGILFLFFVGLLICPFDIFYRPTRFCFIRVIRNIACSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHTFARVFKSHHPEACHSGRLYVEITYLISFLPYWWRALQCARRWFDDSDINHLANMGKYVSAMVAAGARVTYGRQDNNLWFAIVFITSGVATVYQLYWDFVKDWGFFNPNSKNPWLRDDLILKNKSIYYMSIALNVVLRVAWVETITHLNVGPVQRRLLDFFLASLEVIRRGHWNFYRLENEHLNNVGHFRAVKAVPLPFRDIDSDN >Vigun07g006300.3.v1.2 pep primary_assembly:ASM411807v1:7:502843:515630:-1 gene:Vigun07g006300.v1.2 transcript:Vigun07g006300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFCELQTAQEIHQNHQNQKSSQPITAPQTNLWSFHFRFFSLSNQQALQFTQQQQHATRNHSEDEVQVFFAKLDGELNKVNQFFKKQEIEFVERGEVLNKQLNILLELKQVLSNRRRKNQSLKPSNTGIFPHFPSQGSNYSESVGKPKDSDTEVSEMDEVMSTLERNGLSFVNSGMRVKTKKGKPQMAIRIDIPATTPTAVTSMLWEDLVNSPMKAAGCGGEFINKRKIQCAEKMIRSAFVELYKGLGLLKTYSSLNMVAFTKILKKFDKVSCQKASTNYLKEVKRSHFISSDKVVRVMDEVESTFTKHFTNNDRKRAMKFLRPQQQPKASHMITFLVGLSTGCSVSLCCVYVILAHLCGIFSPNTEQAYMDAVYPVSSVFVLLSLHLFMYGCNLYMWKSTRINHNFIFEFSASTALRHRDAFLMCTTLMTTVFGAMVMHLLLRAAGFSPSQVDAIPGILFLFFVGLLICPFDIFYRPTRFCFIRVIRNIACSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHTFARVFKSHHPEACHSGRLYVEITYLISFLPYWWRALQCARRWFDDSDINHLANMGKYVSAMVAAGARVTYGRQDNNLWFAIVFITSGVATVYQLYWDFVKDWGFFNPNSKNPWLRDDLILKNKSIYYMSIALNVVLRVAWVETITHLNVGPVQRRLLDFFLASLEVIRRGHWNFYRLENEHLNNVGHFRAVKAVPLPFRDIDSDN >Vigun05g282800.1.v1.2 pep primary_assembly:ASM411807v1:5:47145540:47147693:-1 gene:Vigun05g282800.v1.2 transcript:Vigun05g282800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQLRFTSQTLRPSSHNFRSNNKHLCSSKRVSFVRTKIRAVSTVPEKDSDSDTELELLDIDDPNKPPYVGFVFVSSVLLPDGTPDVHFRSACGGQKLRKTMMDSNVELYGPYARALLNCAGGGTCGTCMVEVLEGQELLNPRTDKEKKLLKKKPKNWRLACQTVVGEPDTRGAVVIQQLPEWKGHEWRYTKRGEPTWDSEEPL >Vigun07g112700.1.v1.2 pep primary_assembly:ASM411807v1:7:20880579:20883415:-1 gene:Vigun07g112700.v1.2 transcript:Vigun07g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSISENSIHEFTVKDARGKDVNLNAYRGKVLLVINVASKCGFADVNYTQLTQLYSSYKSRGLEILAFPCNQFLKKEPGTSQEAHDFACTRYKAEYPIFGKIRVNGSKSAPVYNFLKAQKSGIVGSRIKWNFTKFLVDEEGHVINRYSPTTKPLAIEKDIKKALRLA >Vigun07g248000.1.v1.2 pep primary_assembly:ASM411807v1:7:36771915:36775591:1 gene:Vigun07g248000.v1.2 transcript:Vigun07g248000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSFTLFFFLRFLCVIVIISQSSAQTPCDNSKGNYTINSTYHNNLNTLLSSFSSHTQIDYGFYNFSYGQGEDAVYAIGLCRGDLKPDECLKSLNDSRVSLQKSCPNQKEAIMWTVECMLRYTNRSIFGVMENQPTDDNYYNLDVTGSVSEFNASLESLMRNLTSIAASGDSRRKYATGSIPAPNFQTIYGQAQCTPDLSSEDCAKCLDEAVSIIPQCCSGKAGGNVVKPSCRIRFDPYIFYGPTLKLVPDTPPSPSTNNTSSSQGKSNTARTIIAIVVPVACVVLLLALLCVYLSLRKQRKKIKFESEVESDEDEVSFAESLQYNFNTIRVATNEFADYNKLGQGGFGAVYKGQLSNGQEIAVKRLSRDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFGLEGRERLLVYEFVPNKSLDYFIFDPTMKPQLDWETRYKIIKGIARGILYLHEDSRLRIIHRDLKASNILLDKEMNPKIADFGMARLVLMDQTQINTSRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLVLEIVSGQKNSGINNGENMEDLLSFAWRNWKEGKAINVVDPSLQSNSRNEILRCIHIGLLCVQENLVDRPTMANIILMLNSHSLSLPIPAEPAFYMNSRTRSFPEMQSWEYNSRETGSSEPILKSAQESENEASITELHPR >Vigun03g175800.1.v1.2 pep primary_assembly:ASM411807v1:3:21766446:21768451:-1 gene:Vigun03g175800.v1.2 transcript:Vigun03g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIAIGLLVLGLFLHLRPTPSAKSKALRHLPNPPSPKPRLPLIGHLHLLKDQLLHHSLIDLSKRYGPLYSLYFGSMPTVVASTPELFKLFLQTHEAASFNTRFQTSAIKRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTVNKLRPLRSHEIRKVLRVLAQSAEAQQPLNVTEELLKWTNNTISMLMLGEAEEVRDLARETVKIFGEYSLTDFIWPLKKLKFGKYEKRIDEIFNKFDPVIEKVIKKRQEIVRRRKNGEVVEGEQSGIFLDTLLEFAEDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWALAELINNPRVLQKAREEVYSVVGKDRLVDEVDTQNLPYIRAIVKETFRMHPPLPVVKRKCVEECEIEGCVIPEGALILFNVWAVGRDPKYWDRPSEFRPERFLENGGEGAVGPIDLRGQHFQLLPFGSGRRMCPGVNLSTSGMATLLASVIQCFDLQVLDPQGHVLKGDDAKVSMEERAGLTVPRKHNLVCLPLAKTTLAAKLLSP >Vigun01g007500.4.v1.2 pep primary_assembly:ASM411807v1:1:886502:891013:-1 gene:Vigun01g007500.v1.2 transcript:Vigun01g007500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHPLWTTASEEDIDCALEGLEKYVMIKLFSRTFSATPEDAKIDNEISEKISLLQTFLKPEHLDIPPILQNEASLLLAEKELLKINAFKSPHEKLLSILNCCRVINNVLLNVAMSEHVPAGADDFLPVLIYVTIKANPSKLHSNLKFIKLFRRQEKLISEAEYYFTNLVSATSFIVDLNAKSLSMDENKFEESMQAAKLMNKVTNESSSTCQMSQQQNNECSFSEKMPHKPNATGVFEVLEHGSNYPYMEAESKGLTVGDVEMLLSDYKDLVNKYTILCKAISCLSTSEREPLLRQIEKQSAATLISHHPGTHQHN >Vigun01g007500.3.v1.2 pep primary_assembly:ASM411807v1:1:886502:891076:-1 gene:Vigun01g007500.v1.2 transcript:Vigun01g007500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHPLWTTASEEDIDCALEGLEKYVMIKLFSRTFSATPEDAKIDNEISEKISLLQTFLKPEHLDIPPILQNEASLLLAEKELLKINAFKSPHEKLLSILNCCRVINNVLLNVAMSEHVPAGADDFLPVLIYVTIKANPSKLHSNLKFIKLFRRQEKLISEAEYYFTNLVSATSFIVDLNAKSLSMDENKFEESMQAAKLMNKVTNESSSTCQMSQQQNNECSFSEKMPHKPNATGVFEVLEHGSNYPYMEAESKGLTVGDVEMLLSDYKDLVNKYTILCKAISCLSTSEREPLLRQIEKQSAATLISHHPGTHQHN >Vigun01g007500.2.v1.2 pep primary_assembly:ASM411807v1:1:886502:891081:-1 gene:Vigun01g007500.v1.2 transcript:Vigun01g007500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQSLMNWDYSININNMNTDTVAESRSTTPFTDCLNRMHHPASLDLVRSIKSFIVSFSFYQPKPENDGKRVQDFFLSMEAAMRDHPLWTTASEEDIDCALEGLEKYVMIKLFSRTFSATPEDAKIDNEISEKISLLQTFLKPEHLDIPPILQNEASLLLAEKELLKINAFKSPHEKLLSILNCCRVINNVLLNVAMSEHVPAGADDFLPVLIYVTIKANPSKLHSNLKFIKLFRRQEKLISEAEYYFTNLVSATSFIVDLNAKSLSMDENKFEESMQAAKLMNKVTNESSSTCQMSQQQNNECSFSEKMPHKPNATGVFEVLEHGSNYPYMEAESKGLTVGDVEMLLSDYKDLVNKYTILCKAISCLSTSEREPLLRQIEKQSAATLISHHPGTHQHN >Vigun01g007500.1.v1.2 pep primary_assembly:ASM411807v1:1:886502:891013:-1 gene:Vigun01g007500.v1.2 transcript:Vigun01g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPLFQFQSLMNWDYSININNMNTDTVAESRSTTPFTDCLNRMHHPASLDLVRSIKSFIVSFSFYQPKPENDGKRVQDFFLSMEAAMRDHPLWTTASEEDIDCALEGLEKYVMIKLFSRTFSATPEDAKIDNEISEKISLLQTFLKPEHLDIPPILQNEASLLLAEKELLKINAFKSPHEKLLSILNCCRVINNVLLNVAMSEHVPAGADDFLPVLIYVTIKANPSKLHSNLKFIKLFRRQEKLISEAEYYFTNLVSATSFIVDLNAKSLSMDENKFEESMQAAKLMNKVTNESSSTCQMSQQQNNECSFSEKMPHKPNATGVFEVLEHGSNYPYMEAESKGLTVGDVEMLLSDYKDLVNKYTILCKAISCLSTSEREPLLRQIEKQSAATLISHHPGTHQHN >Vigun03g305700.1.v1.2 pep primary_assembly:ASM411807v1:3:49613949:49618275:1 gene:Vigun03g305700.v1.2 transcript:Vigun03g305700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHKPLLPTSSHAAPTPTTRKDLLLVVFGLLFLSSLVAYGGYRASNVPHAHVSSPASNHQQEHQSPTSLPSSKWYPVSRGVSSGVSEKSLNLLFAGEGGASEAFPWDNSMLSWQRTSFHFQPEKNWMNDPNGPMYYKGWYHFFYQYNPNGAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDKQGVWTGSATILPNGEIIMLYTGSTNESVQVQNLAYPADPSDPLLLDWIKHPENPVLVPPPGIGAKDFRDPTTAWLTSEGKWRITIGSKLNKTGVALVYDTEDFKTYELKEGLLRAVPGTGMWECVDFFPVSKKNGNGLDTSVNGAEVKHVMKVSLDDDRHDYYAIGTYDENKVLFTPDDVKNDVGVGLRYDYGIFYASKTFYDQHKDRRILWGWIGESDSEYADVTKGWASVQSIPRTVSLDTKTGSNLLQWPVAEVESLRLRSDEFKSLKAKPGSVVSLDVETATQLDVVAEFEIDKESLEKTGESNEEFTCSSSGGAAQRGALGPFGLLVLADQGLSEYTPVYFYVIKGRNGNLRTSFCSDQSRSSQANDVRKQILGSVVPVLKGEKFSLRMLVDHSIVESFAQGGRTCVTSRVYPTKAIYGAARIFLFNNATEATVTASLKVWQMNSAFIRPFPFDPDQKS >Vigun04g023900.1.v1.2 pep primary_assembly:ASM411807v1:4:1833907:1838826:1 gene:Vigun04g023900.v1.2 transcript:Vigun04g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKNKEQQGKGEGSFLKLFLAQLDLLNFFAHALVFGTGLLIGITLTFFLNNFSFVNFQIQQSNTLKLPPSPPPSPPPPPPPPPPPPPISSNISSPISAATQKDNQTVPSSKNNTSSSPQNKDNKTVPSSDSSSVNNATKVMNFTRIGLSEFLKPPMAMHDMNDEELLWKASMVPMIQNLPFKQTPKVAFMFLTKGPVMLAPLWERFFKGNEGLYSIYVHSHPSFNETVPPSSVFHGRNIPSKEVRWGENSMIEAERRLLGNALLDFSNQRFVLLSESCIPLFNFNTIYTYLMNSTKIFVEAYDQPGEVGRGRYRSKMRPEISLSQWRKGSQWFQIDRFLALQIVSDHHYFPVFLKYCNPNCYSDEHYLPTFVSIKFWKSNSNRTLTWVDWTKGGAHPTRYYRNDVNNEFLNKLRFGTSCEYNGHTTNICHLFARKFTTHALDRLLRFAPKLMQFN >Vigun03g217800.2.v1.2 pep primary_assembly:ASM411807v1:3:36266806:36269417:-1 gene:Vigun03g217800.v1.2 transcript:Vigun03g217800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPQKSRAKFVLTKAQLFKPTFPDSKERMLGKQAIFFHQGAYHANLRKLVLRTFTPEAIKTLVPDIESIAQNCLRSWQGKLITTFLEMKSYTINVALLTIFGKDETLNAEDLKRWYYTLERGYNSMPINLPGTLFHKAMKARKELADTVAQIISTRRKTKQEHNDLLGSFMSEKAGLTDEQIADNIIGVIFAARDTTATVLTWIVKYLGENPSVLEAATEEHESILRCKEESGEEMGLNWSDTKNMPLTSRIIQETLRIASILSFTFREAIEDVEFQGYLIPKGWKVLPLFRNIHHSPDNFKEPEKFDPSRFEVAPKPNTFMPFGNGTHACPGNEIAKLEMLVLLHHLITKYRWSTVGAKNGIQYGPFALPENGLPMTFYPKK >Vigun03g217800.1.v1.2 pep primary_assembly:ASM411807v1:3:36266806:36269417:-1 gene:Vigun03g217800.v1.2 transcript:Vigun03g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTIFCIFLSFLSILLLNLLIKTFFFFFPSNVKTQLPLPPGTMGWPYIGETFQMYSQDPNVFFATKIKRYGSMFKSHILGYPCVMISNPDAAKFVLTKAQLFKPTFPDSKERMLGKQAIFFHQGAYHANLRKLVLRTFTPEAIKTLVPDIESIAQNCLRSWQGKLITTFLEMKSYTINVALLTIFGKDETLNAEDLKRWYYTLERGYNSMPINLPGTLFHKAMKARKELADTVAQIISTRRKTKQEHNDLLGSFMSEKAGLTDEQIADNIIGVIFAARDTTATVLTWIVKYLGENPSVLEAATEEHESILRCKEESGEEMGLNWSDTKNMPLTSRIIQETLRIASILSFTFREAIEDVEFQGYLIPKGWKVLPLFRNIHHSPDNFKEPEKFDPSRFEVAPKPNTFMPFGNGTHACPGNEIAKLEMLVLLHHLITKYRWSTVGAKNGIQYGPFALPENGLPMTFYPKK >Vigun06g043600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16731924:16733255:1 gene:Vigun06g043600.v1.2 transcript:Vigun06g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIVASHNVTPNEPTPNDPLWLSDSDQIGNLRHVSCFYVYKAKHDKNALERLMKSLSKILVHYYPVAGRLRLTESGRMELNCNAKGVTLVEAETTNTFADYGDFSPSLFTEELVPKVDYTQPIEDIPLMLIQLTTFDGGEGLAIGTLTSHPLADATSMMPFVNNWGKLTRGEELEPNEIPFLDRTLLKLPPQHSSPSVKLPEWKPVQQAQEQEQRKRSAALFKLSAKQVERLKEKANDKASSEGVRPFSRFEAIAAHIWRCASKARTSAESSNHPTLVRFSVDIRKRLNPPLPQNYFGNALAKTVTPKCNEGEIISNPLSYATQKIREAVYMVTDEYIRSQLSVILGQEQLDSIRAFFMGQGHLLNVPYAGNHNILLTSWLGMPIYEADFGWGKPMHYGLASAFQEDRAAILPSSDGDGVAVTMFFQTVLMQLFKTLFYEEL >Vigun07g263000.2.v1.2 pep primary_assembly:ASM411807v1:7:37872377:37874909:1 gene:Vigun07g263000.v1.2 transcript:Vigun07g263000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLFVSALTRRNFSMTLRENMKKGLLEKKREVEEGGSGVIRWSVFGEEVKRVAYLAAPMVAVTLSQYFLQIISMMMVGRLGKLELSSTAIAISLCNVSGLSVIFGMSCALETRCGQAYGARQYRKFGVQIYTAIVSLALVCLPLTFLWMNMGNLLVLLGQDHLVSLEAGKFALCMIPALFAYAALQSVVRFFLMQSLMSPLVMSSFITLCFHVAFSWYMVFKSGLGNLGAAFSIGTSYWLNVTLLGLYMKFSSQCEKTRVPISMELFHGIGEFICYAIPSAGMICLEWWSLEILILLSGLLPNPKLETSVLSVCLNISATIYTIPESIGSAASARVSNELGAGSPQSAKVSAYASMILAASQAIVMGSVIFSCRQILGYAFSNEQDVVDYVSEMVPLLSLSVLLDCLHGTLSGIARGCGWQHLGAYVNLGAYYALGIPISAMLGFWFQFRGKGLWIGILTGAFCQTAMLLLITLCTNWKKQAIEARENIFQRSFSVEDGLA >Vigun05g126300.2.v1.2 pep primary_assembly:ASM411807v1:5:14262006:14267276:1 gene:Vigun05g126300.v1.2 transcript:Vigun05g126300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLCSDSDIHALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKMRDNSKENQNLPSSLVNTNNSGSRQGDAQDPSRHLNQHDEGILETADSETLQDRAVLEDLDADNSSDSKLKLKCPLCRGAVLSWEVDEEARNYLNVKKRSCSRDSCSFVGDYLELRRHARRVHPTSRPSDIDPTRERAWRNFERQREYGDIMSAIQSAMPGAVLVGDYVLENGDGIGRLSDEREGNISNANGPWLTTTILFQVMDSTIEIVREPRAHSSTWTRHRRSSERRRYLWGENLLGLHENDIEDDLRIFSDAGEDPSPVPRRRRRLTRTRSNEDQPR >Vigun05g126300.1.v1.2 pep primary_assembly:ASM411807v1:5:14262006:14267276:1 gene:Vigun05g126300.v1.2 transcript:Vigun05g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLCSDSDIHALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKMRDNSKENQNLPSSLVNTNNSGSRQGDAQDPSRHLNQHDEGILETADSETLQDRAVLEDLDADNSSDSKLKLKCPLCRGAVLSWEVDEEARNYLNVKKRSCSRDSCSFVGDYLELRRHARRVHPTSRPSDIDPTRERAWRNFERQREYGDIMSAIQSAMPGAVLVGDYVLENGDGIGRLSDEREGNISNANGPWLTTTILFQVMDSTIEIVREPRAHSSTWTRHRRSSERRRYLWGENLLGLHENDIEDDLRIFSDAGEDPSPVPRRRRRLTRTRSNEDQPR >Vigun06g080200.1.v1.2 pep primary_assembly:ASM411807v1:6:21220678:21224803:-1 gene:Vigun06g080200.v1.2 transcript:Vigun06g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSRRTTSDEDDAFLAAHSSQPRDSSSSSSPSSSLVHKKLLKLTRNVSEALASLRNSLTAMNDSSKPDAPRNLVWTTVVRNLSHIYPGTHLPEKLVCNIRKHYDSLPLSYSQAGFDVKDVFLHMKLMEQALEDEQAAILIQEEGDGEIQLQGSLLKLTFACNSPISWSAMSRALDGYSICCKKIQIFEKKGFTLGIVLLLVLSSGGHEKLVTTQVENALKISMKRPKASGVKLPFGLCGCQEENTKERGHGEIEEDGGDACCGNGFDNFSQKIQLQVPLPSSSFHVAVDEWQTIQSGGDDIEKWLLNSDRLEFAEQIGPNSYKGMYMGKKVSIEKLRGCDKGNSYEFELRKDLLALMTCGHRNIMQFLGVCVDENYGLCVLTKLVEGGSVHDLMLKNKKLSSKDVVRIAVDVAEGMKFMNDHGVAFRDLNTQKIVLDKHGNACLGDMGIVTVCESVGEAMEYETDGYRWLAPEIIASDPESVAETWMSNVYSFGMIIWEMVTGEVAYSAYSPVQAAVGIAACGLRPEIPKDCPQILKSLMIKCWNNTPSKRPNFSEILAILLQQNNCNR >Vigun08g119700.1.v1.2 pep primary_assembly:ASM411807v1:8:28737765:28742289:1 gene:Vigun08g119700.v1.2 transcript:Vigun08g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSKATPRRGLLVGNYCHDVLHRDGRVSAETLGGAASFISVILDALSLPFHTVSKVGPEFAYAAATSLHPPLTIPTSRTTLFHAHFGSGNPDRLLNRVISCDPIRPDDLPVQIRFAFGLAVGVGGEILPETLEKMLEICDIVFVDIQGLIRRFGPSDGRVSHVTLSESGFLHLLPRVAFLKASADEASFIDVEEARRCCCVVVTHGKDGCEVFSEKDAIRAAPFKAYQVDPTGAGDCFLGGFAAGIVRGLSVPDAALLGNFFGSLAVAQVGPLKLDSTLVQMIKDEMQKRKVQDIPCLESRDAWKEHEQDQFHASLVAVKDIIMCQSEESGQVLLTSHKVLEQTNVKAVDGKP >Vigun02g154300.1.v1.2 pep primary_assembly:ASM411807v1:2:30093906:30095366:1 gene:Vigun02g154300.v1.2 transcript:Vigun02g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDHHHLIRARTLSLVTISLCFLIISTWTQLGLVTEGRKTPKQNGFYQTVHDDKTTVRAQIGSRPPRCERRCRSCGHCEAIQVPTNPQAQNGRINSSTLSTIAFPVGEGGSNYKPMSWKCKCGNRIFNP >Vigun11g061200.2.v1.2 pep primary_assembly:ASM411807v1:11:13460616:13463345:1 gene:Vigun11g061200.v1.2 transcript:Vigun11g061200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGPIDQPKPQQQMWCEKKQAAVHEEIKRMNQLPANSTYGMHRLKVLNKILQLMSVQRTVSQEQELELLFAGLSL >Vigun11g061200.1.v1.2 pep primary_assembly:ASM411807v1:11:13460273:13463345:1 gene:Vigun11g061200.v1.2 transcript:Vigun11g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGPIDQPKPQQQMWCEKKQAAVHEEIKRMNQLPANSTYGMHRLKVLNKILQLMSVQRTVSQEQELELLFAGLSL >Vigun04g127200.3.v1.2 pep primary_assembly:ASM411807v1:4:32109555:32115113:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYSKGLTLLLLGQRSEDKSMRVLPLSQFQLVGQESDHELQLASRKKRLSSRCASFVCFGCASASLDTASHVKVVHAKHHDVSSGTLVSINGQYPPDNVNDDNRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPREIWFLFSFFHSVF >Vigun04g127200.1.v1.2 pep primary_assembly:ASM411807v1:4:32109464:32115130:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYSKGLTLLLLGQRSEDKSMRVLPLSQFQLVGQESDHELQLASRKKRLSSRCASFVCFGCASASLDTASHVKVVHAKHHDVSSGTLVSINGQYPPDNVNDDNRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPSEVSSDEFEYGNNKTCHCAIM >Vigun04g127200.5.v1.2 pep primary_assembly:ASM411807v1:4:32109566:32115113:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYSKGLTLLLLGQRSEDKSMRVLPLSQFQLVGQESDHELQLASRKKRLSSRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPSEVSSDEFEYGNNKTCHCAIM >Vigun04g127200.2.v1.2 pep primary_assembly:ASM411807v1:4:32110340:32115113:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYSKGLTLLLLGQRSEDKSMRVLPLSQFQLVGQESDHELQLASRKKRLSSRCASFVCFGCASASLDTASHVKVVHAKHHDVSSGTLVSINGQYPPDNVNDDNRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPSGTDITILPSIFAVWIGK >Vigun04g127200.6.v1.2 pep primary_assembly:ASM411807v1:4:32109566:32115113:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLSQFQLVGQESDHELQLASRKKRLSSRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPSEVSSDEFEYGNNKTCHCAIM >Vigun04g127200.4.v1.2 pep primary_assembly:ASM411807v1:4:32109566:32115113:1 gene:Vigun04g127200.v1.2 transcript:Vigun04g127200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLSQFQLVGQESDHELQLASRKKRLSSRCASFVCFGCASASLDTASHVKVVHAKHHDVSSGTLVSINGQYPPDNVNDDNRQVALKSSLKRQGNKKPVPVEVVAEHEASGGKENDAHVQTERRKVQWTDACGSELVQLHEYEPSEVSSDEFEYGNNKTCHCAIM >Vigun01g108000.1.v1.2 pep primary_assembly:ASM411807v1:1:28051001:28052420:1 gene:Vigun01g108000.v1.2 transcript:Vigun01g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYTLSLYTTRKHHYSQINWKAKVKEKMADLFVKQAKEYADARPSYPPQLFQFISSKTPSHNLVWDVGTGSGQAAKSLAVIYDNVIATDASAKQLEFAAKVSNVRYQHTPSIMSMAELENLVASEGTVDLVTIAQSLHWFDLATFYQQVKWVLKKPHGVIAAWCYYLPRVCDEVDTVLDEFYSSKVGPYWDPARKLVDKLYGTIDFPFEAVEGADHTGPFEFVTETLMNLDALLTYIKSWSAYQTAQEKGVELLAEDVVQKFKLAWGEDGKKVARFPIYLRIGKVGDA >Vigun02g118700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27134181:27134558:1 gene:Vigun02g118700.v1.2 transcript:Vigun02g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYTCTACGSNLNLNSAYAFPPDFYFEAGNKDSVSFSAVDAAKFKFEKEDKIRPFFETVNYWGIQRKRTKIKCSNCSSLLGHVYDDGPPLTNSPGQFHMGPSQVIPREPRYRFKTKAITIAYT >Vigun07g179100.1.v1.2 pep primary_assembly:ASM411807v1:7:29563896:29575120:1 gene:Vigun07g179100.v1.2 transcript:Vigun07g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKSALPSQIISERGSNLVVINPGSANIRIGLASQDTPFNIPHCIARHTKQTEGNVIDQMLNSHVVPEQHKEREKAYNTIASLLKIPFLDEEAPSNSVPRKMGRVDGHNPHIIRKNLPFTWTNVIEEAIDSSVSLETSSKGENGESSDPKEGTDSKDINASERKFKEFICGDEALRISPTEPYCICRPIRRGHLNISQHYSMQQVLDDLHTIWDWILIEKLHIPRNERNMYSAVLVMPETFDNREIKEIISLVLRELCFGSAVVHQEGIAAVFGNGLSTACVVNIGAQVTSLICIEDGGALPSTGKTLPFGGEDITRGLLWTQRHHQTWPQIRTDVFRKPVDLLMLNQLKETYCEIKEEEHDAVAVVHSYEDKGPPMSHKIRLTALNVPPMGLFYPKLLVPDVYPPPPRTWFHDYEDMLEDTWHIDFSRRSDMSDTFYPNVNGGLPMWESYPIFSAKPKKEEKVGLAEAITNCILSTGRIDIQRKLFCSIQLTGGVALTSGLVAAVEERVLHAIPPNEAIDTVEVLQSRTNPTFVSWKGGVILGVLDLGRDAWISREDWIHNGIHVGSSKKYKDSYYLQAQAMCYMNS >Vigun03g406632.1.v1.2 pep primary_assembly:ASM411807v1:3:61375089:61380903:-1 gene:Vigun03g406632.v1.2 transcript:Vigun03g406632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTDLNGDPKSKQESKKKEAKDEPAKTVPLYKLFSFADPLDHLLMFLGTVGAFGNGVSLPLMTLIFGNMINAFGGNNNSKQVVDEVSKVSLKFVYLAVGTFFASLLQLTCWMITGERQAARIRGLYLQTILRQDVTFFDKETRTGEVVGRMSGDTVLIQEAMGEKVGQFLQFMATFVGGFVVAFIRGWLLAVVMLSSIPPLAFCGAMVGLVISKASSRGQEAYSKAASVVEQTIGSIRTVASFTGEKQAIVNYNQSLIKAYKAGLQEAVASGFGFGALYFVFTCSYGLAIWFGAKMIIEKGYTGGQVVTVMMAVLTGSMSLGQASPSLSAFAAGKAAAYKMFETIKRRPEIDAYDATGQQLDDVRGDIELREVCFSYPTRPDELIFNGFSLSMPSGTTTALVGESGSGKSTVVSLIERFYDPQSGEVLIDSINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDGATDEEIRAAAEVANAAKFVDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEKIVQEALDRIMINRTTVIVAHRLSTIRNADSIAVIHHGKIVERGSHAELTQDPNGAYSQLIRLQEIKGSEKNAENDRDKLESIVHSGRQSSQRSFLKSISQRSSGVGSSGHHSFSASHGVPVSVGFLEPARGEPQTPPSTSAPEVPLYRLAYLNKPEILVLLAGTLASVVNGVILPIIAVFISKMISIFYEPHDELRKDSKLWALLFVALGLVSFTMMPCRFYLFGVAGGKLIKRIREMCFEKVVHMEVSWFDETEHSSGAIGARLSSDAAAVRALVGDALGLLVQNVATAVGSLVIAFQASWQLAFIVLVLAPLLLLNGYVQFKFMKGFSADAKKLYEGASQVANDAVGSIRTVASFCAEKRVMELYQEKCEGPIKAGIRRGVISGLSYGVSFFMLYAVYALSFYAGARLVEDGKSTFSDVFRVFFAFSMAAMGISQSGSLVPDSTSSKSAAASVFAILDRKSQIDPSDDSGFTLEEVKGEIEFKNVSFKYPTRPDVQIFRDLCLTIRSGKTVALVGESGSGKSTVISLLLRFYDPDSGHITVDGKEIQSMQVKWLRQQMGLVSQEPVLFNDSIRANIAYGKGGDATEAEVIGAAELANAHNFISGLQEGYETIVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALERVMVNRTTIVVAHRLSTIKGADLIAVVKNGVIAEKGKHEALLRKEGDYASLVALHTSASAS >Vigun08g168600.2.v1.2 pep primary_assembly:ASM411807v1:8:33975597:33987558:1 gene:Vigun08g168600.v1.2 transcript:Vigun08g168600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKLYTDFAYTTEMNKGDTPRCSHFSLRGYVAEMRQKDLSVCFPTQVSGSATQPSLPPLVVQRFPLWSCNVCRGENVFNISDQSDQRTCCERVQETLTHNNVPAIEESEITNLIDLNGGSDDRHNEGDHMPINQEENNDADQVPVNEITDLNPDMENDLNLQVSNNVGSSVVCPSLIQEMHANETGFEGIVARASEAELVVNNVTTASEAELVVNNVTTASEAELVVNNVTTKDNQCLQELGKALEITEQIIVPEHNAEQFPQEPVTEDNPLAGDSEKNGKNENEIRDLHQKKSSKKYKRVRFLREILREDEEPVAEQIRTETPALQNPSNKCADSQRQKAIMEKVVDEGDRKKLEKKGQAGRKRKLVIDEDDDDDAPLTDLIKRVGNRVSNEEGLPEGMHLSSNTSPQTLEIDDATREQDEQDALDALLQISQTNMEAVLQFPRVFTQQSLTKKRKKLQHKILEVLVQLHCP >Vigun08g168600.1.v1.2 pep primary_assembly:ASM411807v1:8:33975597:33987558:1 gene:Vigun08g168600.v1.2 transcript:Vigun08g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKLYTDFAYTTEMNKGDTPRCSHFSLRGYVAEMRQKDLSVCFPTQVSGSATQPSLPPLVVQRFPLWSCNVCRGENVFNISDQSDQRTCCERVQETLTHNNVPAIEESEITNLIDLNGGSDDRHNEGDHMPINQEENNDADQVPVNEITDLNPDMENDLNLQVSNNVGSSVVCPSLIQEMHANETGFEGIVARASEAELVVNNVTTASEAELVVNNVTTASEAELVVNNVTTKDNQCLQELGKALEITEQIIVPEHNAEQFPQEPVTEDNPLAGDSEKNGKNENEIRDLHQKKSSKKYKRVRFLREILREDEEPVAEQIRTETPALQNPSNKCADSQRQKAIMEKVVDEGDRKKLEKKGQAGRKRKLVIDEDDDDDAPLTDLIKRVGNRVSNEEGLPEGMHLSSNTSPQTLEIDDATREQDEQDALDALLQISQTNMEAVLQFPRVFTQVNVQTLKWI >Vigun03g335101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53320889:53321056:-1 gene:Vigun03g335101.v1.2 transcript:Vigun03g335101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMTLYRRTMHLLLGRSVYPYSTFFVFFSADVDSQVHLPTSSFILEFYSMIIFS >Vigun02g189800.4.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEELQSILSYLPLLMRSSSLFWPSQAVETLRELGGGRVDSGYLLFQAISHLRNALSLSSEPLSPSTALGYALFFDEVMSGEESRKWFREVVPVLGNLLLRLPSLLETHYQNPHNSMRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALVGLFRCFFCKFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQY >Vigun02g189800.3.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALVGLFRCFFCKFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.11.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.8.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEELQSILSYLPLLMRSSSLFWPSQAVETLRELGGGRVDSGYLLFQAISHLRNALSLSSEPLSPSTALGYALFFDEVMSGEESRKWFREVVPVLGNLLLRLPSLLETHYQNPHNSMRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLRLMLPPQHLWKQVKENFQITKLLILKTITIAWIRVIILGLQLETGDVVHLEEILK >Vigun02g189800.5.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEELQSILSYLPLLMRSSSLFWPSQAVETLRELGGGRVDSGYLLFQAISHLRNALSLSSEPLSPSTALGYALFFDEVMSGEESRKWFREVVPVLGNLLLRLPSLLETHYQNPHNSMRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQY >Vigun02g189800.2.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEELQSILSYLPLLMRSSSLFWPSQAVETLRELGGGRVDSGYLLFQAISHLRNALSLSSEPLSPSTALGYALFFDEVMSGEESRKWFREVVPVLGNLLLRLPSLLETHYQNPHNSMRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.7.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.9.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALVGLFRCFFCKFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.6.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALVGLFRCFFCKFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.10.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun02g189800.1.v1.2 pep primary_assembly:ASM411807v1:2:32760052:32765751:-1 gene:Vigun02g189800.v1.2 transcript:Vigun02g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEELQSILSYLPLLMRSSSLFWPSQAVETLRELGGGRVDSGYLLFQAISHLRNALSLSSEPLSPSTALGYALFFDEVMSGEESRKWFREVVPVLGNLLLRLPSLLETHYQNPHNSMRTATALRLLDSQLPGIIFLSQELIAALLGCSFFCLFPVKDRYVNHLPVINFDELFASLYEDYNQKQENKIWCIIHYFQRISSNMPKGIVSFERKVLHLKNDYTHISCPDANFWSTSTVPLCRVEVHNSGLIEDQSSDAVEVDFANKYLGGGALGRGCVQEEIRFMVSPELIAGMLFLPAMADNEAIEIVGVERFSSYTGYASSFRFSGDYVDEREVDDLGRRKTRIVAIDALCSPGMRQYRTNFLLREINKAFCGFLYQCKYQLYQKKLQDNGCSSALVGLFRCFFCKFDAATSTSMETSEGKFSDHKTTNSQNDYHSMDQGNNIGVATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLDEVAHWILSQRWTVGDLWNMLVEYSTSRSKGETNVGFLHWLLPSIYGHGTGMDLSNLA >Vigun11g008733.1.v1.2 pep primary_assembly:ASM411807v1:11:997260:998250:1 gene:Vigun11g008733.v1.2 transcript:Vigun11g008733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLCGCGERLLLLKTTTVKNKGRLFWRCRKWVSNSHCNFFEWFNEEDSKYEGNAPDFQDSGRTRTEEDEVCFGEGESYIGVDKEK >Vigun11g215700.1.v1.2 pep primary_assembly:ASM411807v1:11:40970217:40981092:1 gene:Vigun11g215700.v1.2 transcript:Vigun11g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKGVNRSASVALAPDAPYLAAGTMAGAVDLSFSSSANLEIFKLDFQSDDSELPVVAECPSSDRFNRLTWGKNGSGSEGFSLGLVAGGLVDGNIDIWNPLTLIRSESNQSSLVGHLVRHKGPVRGLEFNVIAPNLLASGAEDGEICIWDLVNPSEPTHFPPLKSTGSASQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRCSVLQWNPDVATQLVVASDEDGSPSLRLWDMRNIISPIKEFAGHTRGVIAMSWCPNDSSYLLTCGKDSRTICWDMISGEIAYELPAGTNWNFDVHWYPKIPGVISASSFDGKIGIYNIKGCRQSGAAENDFGAVPLRAPKWYKRPAGVSFGFGGKLVSFHPRASATGSSAGASEVYVHNLVTENGLVSRSSEFEAAIQNGERSLLRVLCDKKSQESESEEERETWGFLKVMFEDDGTARTKLLSHLGFNVPSEAKDTVNDDLSQEVNALGLEDTTVDNAGHVATNESVNFSTDNGEDFFNNLPSPKADTPLSTSAGTFVVAENANGSEKIQDDAEMEESSDPSFDDSVQHALVVGDYKGAVMQCISANKWADALVIAHVGNASLWESTRDQYLKMVRSPYLKIVSAMVSNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTMLCDTLASKLMGAGNTLAATLCYICAGNIDKTVEIWSRSLSNEYEGKSYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSEELSPELTILKDRIALSTEPEKEFKTAAFENTQAHGASYYGADNSSYNRNYYQESVPTQVQHGVPGIQYPDSYQQPFDPRYGRGYGTSTPPQQPQQPNLFVPPQTTQVAQTPQLNFSNTAVAPPPLRTFDPQTPPVLRNVEQYQQPTLGSQLYNTTTNPPYQPTPSATSQVGLVHGHNLSQVAAPTPNPMGFMPVPSSGGVQRPGVGSIQPPSPPQVQPVQPAAAPPAPPPTLQTADTSKVPGHQMPIVTTLTRLFNETSDALGGSRANPAKKREIEDNSKRLGGLFAKLNSGDISKNASDKLLQLCQSLDNGDFGTALQIQVLLTTTEWDECQSWLGSLKRMIKTRQSVRLS >Vigun09g159700.1.v1.2 pep primary_assembly:ASM411807v1:9:32677356:32677929:-1 gene:Vigun09g159700.v1.2 transcript:Vigun09g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRLCCEGVEYETNVEGEAKDKIIVPSKTESFHKPREATVIPAKRVCVKKMMCCCFLRCMCHNCSKTKNTNPHYGAANYGN >Vigun08g104700.3.v1.2 pep primary_assembly:ASM411807v1:8:26023032:26025383:-1 gene:Vigun08g104700.v1.2 transcript:Vigun08g104700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFSYPCNKDYFYSLLHNNFQEAENKAFVGIITNNIDHTLHANWDSSSPSPLQNIKDQWDSHSSPEPCTVDQSLPAAFPPPPPSEPTTATARRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQCMKGQQRTNENGGGFSDPSSSPFAEFFMFPQYSTRATQNGRGYPSTCEARSHSWAVADIEVTLVDGHANIKILSKKQPGLLLKMVAGLQTLAFTILHLNVTTVHDMVLTSVEEGCQMNTVEEIAGAVHELSRTIQEEGVLR >Vigun08g104700.4.v1.2 pep primary_assembly:ASM411807v1:8:26023032:26025383:-1 gene:Vigun08g104700.v1.2 transcript:Vigun08g104700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFSYPCNKDYFYSLLHNNFQEAENKAFVGIITNNIDHTLHANWDSSSPSPLQNIKDQWDSHSSPEPCTVDQSLPAAFPPPPPSEPTTATARRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQCMKGQQRTNENGGGFSDPSSSPFAEFFMFPQYSTRATQNGRGYPSTCEARSHSWAVADIEVTLVDGHANIKILSKKQPGLLLKMVAGLQTLAFTILHLNVTTVHDMVLTSVSVKVEEGCQMNTVEEIAGAVHELSRTIQEEGVLRTWPKKGKRGWKRQAIYAVEAPPQRSVCELLALFLEHEHR >Vigun08g104700.2.v1.2 pep primary_assembly:ASM411807v1:8:26022987:26025477:-1 gene:Vigun08g104700.v1.2 transcript:Vigun08g104700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFSYPCNKDYFYSLLHNNFQEAENKAFVGIITNNIDHTLHANWDSSSPSPLQNIKDQWDSHSSPEPCTVDQSLPAAFPPPPPSEPTTATARRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQCMKGQQRTNENGGGFSDPSSSPFAEFFMFPQYSTRATQNGRGYPSTCEARSHSWAVADIEVTLVDGHANIKILSKKQPGLLLKMVAGLQTLAFTILHLNVTTVHDMVLTSVSVKVEEGCQMNTVEEIAGAVHELSRTIQEEGVLR >Vigun08g104700.5.v1.2 pep primary_assembly:ASM411807v1:8:26023032:26025383:-1 gene:Vigun08g104700.v1.2 transcript:Vigun08g104700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFSYPCNKDYFYSLLHNNFQEAENKAFVGIITNNIDHTLHANWDSSSPSPLQNIKDQWDSHSSPEPCTVDQSLPAAFPPPPPSEPTTATARRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQCMKGQQRTNENGGGFSDPSSSPFAEFFMFPQYSTRATQNGRGYPSTCEARSHSWAVADIEVTLVDGHANIKILSKKQPGLLLKMVAGLQTLAFTILHLNVTTVHDMVLTSVSVKVGFFFLLPTYLN >Vigun08g104700.1.v1.2 pep primary_assembly:ASM411807v1:8:26022845:26025477:-1 gene:Vigun08g104700.v1.2 transcript:Vigun08g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFSYPCNKDYFYSLLHNNFQEAENKAFVGIITNNIDHTLHANWDSSSPSPLQNIKDQWDSHSSPEPCTVDQSLPAAFPPPPPSEPTTATARRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQCMKGQQRTNENGGGFSDPSSSPFAEFFMFPQYSTRATQNGRGYPSTCEARSHSWAVADIEVTLVDGHANIKILSKKQPGLLLKMVAGLQTLAFTILHLNVTTVHDMVLTSVSVKVEEGCQMNTVEEIAGAVHELSRTIQEEGVLR >Vigun06g091500.1.v1.2 pep primary_assembly:ASM411807v1:6:22366258:22368043:1 gene:Vigun06g091500.v1.2 transcript:Vigun06g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVQSVKLSVLLISLLMRLLIYGSLHRKLVRSLRATTRLHHLLWQSKMDPKQDRQYPMFIFILSLEKVVILRRTMRYMMQWMKRRRN >Vigun05g286700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47393816:47395832:-1 gene:Vigun05g286700.v1.2 transcript:Vigun05g286700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEDDASYDPNPYRVISHQRGYGYQNSPYTLPVNTEYAHHHDEDEEEQEQLEGDYEVDQSNSLQLPQKDGDEENGMDGVGDENDDEEEEVEDNKQIGYYATKSEEDLEWHPKKQKLKTLISTYEFAPCVPAPSATAPVPVPSAPKPSSGGRNSLTDWTEHETFVLLDAWGDRFLQLGRKSLRGEEWQQVSKMVAQVSKIERTDTQCRNRLDTLKKKYKKEKAKFQDSDGGDCKWVYFKRMDELMSSPPQQVGISCGLDSGDYVFMNPGVYLNQGNGLDELRDSPENVESTGEEGSDGLQLQAKKRKGRSSGEASSFRLLADSIQKFSKIYEKIENSKRQQMMELEKMRMDFHKELETQKRQILGNLQSEISKLEQRHDENEDSAENGM >VigunL059060.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000426.1:66982:67383:-1 gene:VigunL059060.v1.2 transcript:VigunL059060.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTIASPADDDTVVRFTSCEALLHQCPQRLLFPRIPDLDTATTSMSLLALTGDAWSRRRCSFSFLCEPPPLGLPLLELQLDMTHSNTQSRDRPRRRGPRILDLRSLFSGVPSREHLGPPPLAMVPPPYVYVL >Vigun05g097200.1.v1.2 pep primary_assembly:ASM411807v1:5:9453025:9455190:1 gene:Vigun05g097200.v1.2 transcript:Vigun05g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEEERHVSECSKTSPSNEEGFEESEEENEGESKQNNNGGSSSNSTVEENEKKSTVRPYVRSKLPRLRWTPDLHLRFVHAVQRLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKVETNQVLGDPRLLVETGDRNVYNLSQIPMLQGYNIPSQTRYGYGDASLAIYENMVKTCMNRSSADESGPEFCGTRFTERTHGTNSNINWSHNLPQVDCSSFNEHEPKHKFLFGGNESLCRPHQMQPSAQELMPNNDMASNLVELKSLKRKVSDTDIDLNLSLKLSSRVEKHGSMVEHEVDSNLSLSLCSQSSSSWLRKSSQDHSKEQGERTRTLDLTI >Vigun03g252700.1.v1.2 pep primary_assembly:ASM411807v1:3:41890988:41892082:1 gene:Vigun03g252700.v1.2 transcript:Vigun03g252700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKHGLAEFTKETPLSFSMCSKLNIRCCLLISN >Vigun11g044700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6629222:6637207:1 gene:Vigun11g044700.v1.2 transcript:Vigun11g044700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPLALLKRVYPLRSQNARRSFSTLLPPPREVVEPFCNLSDVVSSPQTSPWLPQILSLLDGSPSMESNLDSFCRQYLITLSPSFVSHTLRSLSDHLTAMRFFSWATTQPKYTHSLDCHVSLLPLLPLSSLPAAVAALRRANLPITLPAAHSLAKTLAAAGLLHDLLWLFRAMTANNLPLSLINLNSLLNALVNASLVDSAERVLKSMQEGSSPKPDVVSYNTLVKGYCKVGRTRDAFATLREMEAENVPPDEVTYMTLMQACYNEGDMDSCVRLYHEMEEDETLVTKIPSHAYSLTICGLCKQGKVVEGCSVFESMVKKGCVAHKAVYTAMIDGYAKSGNMDAALSVLERMKVDGVEPDEVTYGAVVGGLCKSGKVEEAMGYFRFCKGNGVGVNAVFYSSLIDGLGKVGRVDEAEKLFEEMAEEGCPPDSYCYNALMDGLCKSGRVDEALMLFRRMEQEGCEQTVYTFTILISELFKERRNEEALKLWEEMIDKGVTPNAACFRALSMGLCLSGKVARACRVLDELAPMGIVLERAYEDMIGVLCKAGRVKEACKLADGIVDRGREIPGKVRTVLINALRKAGNADLAIKLMHSKIGIGYDRMRSVKKRVKFQTLVNN >Vigun11g044700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6629222:6637207:1 gene:Vigun11g044700.v1.2 transcript:Vigun11g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPLALLKRVYPLRSQNARRSFSTLLPPPREVVEPFCNLSDVVSSPQTSPWLPQILSLLDGSPSMESNLDSFCRQYLITLSPSFVSHTLRSLSDHLTAMRFFSWATTQPKYTHSLDCHVSLLPLLPLSSLPAAVAALRRANLPITLPAAHSLAKTLAAAGLLHDLLWLFRAMTANNLPLSLINLNSLLNALVNASLVDSAERVLKSMQEGSSPKPDVVSYNTLVKGYCKVGRTRDAFATLREMEAENVPPDEVTYMTLMQACYNEGDMDSCVRLYHEMEEDETLVTKIPSHAYSLTICGLCKQGKVVEGCSVFESMVKKGCVAHKAVYTAMIDGYAKSGNMDAALSVLERMKVDGVEPDEVTYGAVVGGLCKSGKVEEAMGYFRFCKGNGVGVNAVFYSSLIDGLGKVGRVDEAEKLFEEMAEEGCPPDSYCYNALMDGLCKSGRVDEALMLFRRMEQEGCEQTVYTFTILISELFKERRNEEALKLWEEMIDKGVTPNAACFRALSMGLCLSGKVARACRVLDELAPMGIVLERAYEDMIGVLCKAGRVKEACKLADGIVDRGREIPGKVRTVLINALRKAGNADLAIKLMHSKIGIGYDRMRSVKKRVKFQTLVNN >Vigun01g197100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37389192:37390254:-1 gene:Vigun01g197100.v1.2 transcript:Vigun01g197100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQIFPSNSYNLSILFLRLHLKPKEHETETETKGRKRRKKTKRMATITGVSLSSPRVLFNASGSLKNAHAIKFALPPRQPMRPGGVQMGRVLRIQPVRAAPDKISEKVVESIKQAQETCADDPTSGECVAAWDEVEELSAAASHAKQKEKDSDPLETYCKDNPETRECKTFDE >VigunL032575.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:222867:223984:-1 gene:VigunL032575.v1.2 transcript:VigunL032575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTGCQERRGAPFDILRRVALWRAQYDESCELCSGGFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLCVDPEIPDIGQPFELLLNPRAGKRQPGELKHLSSQRKRKQKRFP >Vigun09g180900.1.v1.2 pep primary_assembly:ASM411807v1:9:35371516:35376506:-1 gene:Vigun09g180900.v1.2 transcript:Vigun09g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPLNQIVPAEDNDGDASDQHIHYSSHTIEDVGAVVEHVSADSLYVPPPEISIQDSSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGSELTSGSQCAEELSPQNHAGVAEFPARCSLPQRVASLNRFRQKRKERCFDKKVRYSVRQEVALRMHRNKGQFTSSKKQDGANSWGSDQESGQEAVQSETSCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLSKRNHELSIVPLEQVDVGNKNGLDCRSNIPAQHENHVNDNKALVSDR >Vigun09g180900.2.v1.2 pep primary_assembly:ASM411807v1:9:35371516:35376506:-1 gene:Vigun09g180900.v1.2 transcript:Vigun09g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPLNQIVPAEDNDGDASDQHIHYSSHTIEDVGAVVEHVSADSLYVPPPEISIQDSSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGSELTSGSQCAEELSPQNHAGVAEFPARCSLPQRVASLNRFRQKRKERCFDKKVRYSVRQEVALRSESDRCIVTRVNLLHLRNKMELIVGVQTKSQDRRLFNLKPLVHIVEQVQNPLQ >Vigun09g180900.3.v1.2 pep primary_assembly:ASM411807v1:9:35371516:35376506:-1 gene:Vigun09g180900.v1.2 transcript:Vigun09g180900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPLNQIVPAEDNDGDASDQHIHYSSHTIEDVGAVVEHVSADSLYVPPPEISIQDSSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGSELTSGSQCAEELSPQNHAGVAEFPARCSLPQRVASLNRFRQKRKERCFDKKVRYSVRQEVALRMHRNKGQFTSSKKQDGANSWGSDQESGQEAVQSETSCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLSKRNHELSIVPLEQVC >Vigun05g052200.1.v1.2 pep primary_assembly:ASM411807v1:5:4454116:4457042:-1 gene:Vigun05g052200.v1.2 transcript:Vigun05g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEAPPGNSKNGEKIFKIKCAQCHAVEKSGGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >Vigun05g052200.2.v1.2 pep primary_assembly:ASM411807v1:5:4451371:4457042:-1 gene:Vigun05g052200.v1.2 transcript:Vigun05g052200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEAPPGNSKNGEKIFKIKCAQCHAVEKSGGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >Vigun04g024100.1.v1.2 pep primary_assembly:ASM411807v1:4:1853206:1857404:1 gene:Vigun04g024100.v1.2 transcript:Vigun04g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLFNDSERDPDEPDDKRIRNNTAATPSFSSVIGEVVMIKSFENLFTALEPVLKRVVGEEVERVMRQWSRSFTRSPSLRLQAMEQPSSLHLCFSKRLFLPIFTGSRILDVDENLINIVLMDRSNNNQMVPTSLPHAIKLEILVIDGDFSPSENEDWTSDEFNRHIVKERHGKRPLLAGELNLIMRDGIAPTGDMEFTDNSSWIRCRKFRVAVRVAPGSNQGLRIREGITEAFVVKDHRGELYKKHHPPMLDDEVWRLEKIGKDGAFNRKLSSQGIKTVQEFLKLAVVDTLKLRNILGVGMSDKMWEVTMKHAMTCDIGSKLYIYRGPHFTILLDPICRLIKADINGQTFSNRDQISHLNKTYIDKLVKEAYARWPNLEVIDAVLNDNIAVLTQGDETAVSVATYDQNEYYSGQSASYVANNNAQMGSCEWSLNQGYNTLAFANGFPFNFSATQTEGDMTASGSSSVDVDGATTRQN >Vigun09g149300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31267648:31270541:-1 gene:Vigun09g149300.v1.2 transcript:Vigun09g149300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKASFLTHIRAVANPRRRPPLCVSTRLLSFSSPEEAAAERRRRKRQLRMEPPLSALNRTQTAPKPQQQTPYYLNPNNPKLPEHVSALTGNRLNLHNRILTLVRENDLDEAALYTRHSIYSNCRPTIFTINAVLAALLRQSRYADLLSLHRFITQAGVVPNIITHNLIFQTYLDCRKPDTALEHYKQFLNDAPMNPSPTTYRILIKGLVDNNKLERAMEIKSEMDSRGFAPDPLVYHYLMLGHARVSNGDGILGLYEELRERLGGVVDDGVVFGCLMKGYFIKGMEKEAIDCYEEALGKKMSAVGYNSVLDALSKNGRFDEALRLFDRMMKEHGPPKKLSVNLGSFNVIVDGYCGEGRFKEAIEVFRKMGEYRCSPDTLSFNNLIERLCDDRRVVEAEEIYGEMEGKGVSPDEFTYGLLMDACFRENRADDAAGYFRKMVDSGLRPNLSVYNRLVDGLVKVGKIDQAKGFFELMVKKLKMDDAGYQFMMKVLSDAGRLDEMLQIVDTLLDDNGADFDEEFQEFVKVELRKEGREEELTKLMEEKERLKAEAKAKEAEAAEAAKRSARAAVASLLPSKLFGNKETDSESKSEGDIQALNEESSDGTAPEAELAERTTESEGDKAA >Vigun09g149300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31267645:31270541:-1 gene:Vigun09g149300.v1.2 transcript:Vigun09g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKASFLTHIRAVANPRRRPPLCVSTRLLSFSSPEEAAAERRRRKRQLRMEPPLSALNRTQTAPKPQQQTPYYLNPNNPKLPEHVSALTGNRLNLHNRILTLVRENDLDEAALYTRHSIYSNCRPTIFTINAVLAALLRQSRYADLLSLHRFITQAGVVPNIITHNLIFQTYLDCRKPDTALEHYKQFLNDAPMNPSPTTYRILIKGLVDNNKLERAMEIKSEMDSRGFAPDPLVYHYLMLGHARVSNGDGILGLYEELRERLGGVVDDGVVFGCLMKGYFIKGMEKEAIDCYEEALGKKMSAVGYNSVLDALSKNGRFDEALRLFDRMMKEHGPPKKLSVNLGSFNVIVDGYCGEGRFKEAIEVFRKMGEYRCSPDTLSFNNLIERLCDDRRVVEAEEIYGEMEGKGVSPDEFTYGLLMDACFRENRADDAAGYFRKMVDSGLRPNLSVYNRLVDGLVKVGKIDQAKGFFELMVKKLKMDDAGYQFMMKVLSDAGRLDEMLQIVDTLLDDNGADFDEEFQEFVKVELRKEGREEELTKLMEEKERLKAEAKAKEAEAAEAAKRSARAAVASLLPSKLFGNKETDSESKSEGDIQALNEESSDGTAPEAELAERTTESEGDKAA >Vigun11g224400.1.v1.2 pep primary_assembly:ASM411807v1:11:41511343:41514360:-1 gene:Vigun11g224400.v1.2 transcript:Vigun11g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLASNPSPSHKLVCSNLGYGAGRGSRSGSYRVGRKRRGSGLVLQCSSSTSTSTISSQLPCVDQNVRLQIENGSSGKLKQQFEYLACEYGWRVRRLFENADEIRRASEVQAEAFHIPVSIFNDLFFQFFQAEVLSGLRYKLKNSPPNRYACLVAEAAKDDPDSAKQLVGVIDVTVLRDQNVLQHFPPEAEEYLYISGIAVSKTFRRRKIATVLLKACDMLSILWGFEFLALRAYEEDLGARKLYANAGYQVVSRDPPWTSNWIGKKCRVLMIKRTSLPK >Vigun07g220400.1.v1.2 pep primary_assembly:ASM411807v1:7:34291296:34293060:1 gene:Vigun07g220400.v1.2 transcript:Vigun07g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKFSMIVLFLSFFAFSFDLTQSADFQISQFGGKLNGNIAKALQSAWTKACASTSASKIVIPKGTFQMTHVLLKGPCKAPVELYVDGTIKAPVKPEDMGGDEILRIDYVNGLSITGNGVFDGQGSYAWKQNECSKKANCKLLGMNFAFNFVTNSIVRSITSKDSKHFHVNVLGCKNLTFDGFKVTAPHNSANTDGIHIGRSNGVNVLNTNIATGDDCVSLGDGSRNVLVQNVKCGPGHGIAIGSLGKYKEEEPVDGITIKGCTLKGTDNGVRIKTWPSTPGTITVTNMRFEDITMDNVKNPIIIDQEYCPWNQCTKKYPSKIRISKVIIKNIKGTSATKEGLILACSSGVPCQGVEISNVDLKFNGAPAIAVCSNVKPKISGKVPPCTTPNNKKQ >Vigun07g160300.1.v1.2 pep primary_assembly:ASM411807v1:7:27211588:27213559:1 gene:Vigun07g160300.v1.2 transcript:Vigun07g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPIFTSYVVWSLVTALAFTCFNLQEAEAARAFFVFGDSLVDNGNNNYLVTTARADAPPYGIDYPTHTPTGRFSNGRNIPDFISEALGSEPTLPYLSPELDGEKLLVGANFASAGIGVLVDTGVQFVNIIRMPRQLEYFQQYQQRVSALIGDDETRKLVNGALVLITCGGNDFVNNYFLVPNSARSRQFALPDYVTYVISEYKKILQRLYELGARRVLVTGTGPLGCVPAELAMRGGRNGECSEELQSASSLYNPQLVEMITQLNNELGSDVFVAANTQLMHDDFVTDPQAYGFVTSKVACCGQGPFNGVGLCTVASNLCPDRDTHAFWDPFHPSEKANGLIVQQIISGASNYMNPFNLSTILALDSHKY >Vigun06g118000.1.v1.2 pep primary_assembly:ASM411807v1:6:24574788:24607490:-1 gene:Vigun06g118000.v1.2 transcript:Vigun06g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLQSLVSLSELVSTSSTNQRVRVFRREIPAFLSSYASEMSTELASLLTDIVFRTVAIYDDLRSRKAVDEVIVRALGGEVFMKTFAGALVQNMEKQSKSQSHVGCYRLLSWSCLLLSKSQFAALSKNALCRVASAQASLLSLVLQRSFREGRACRKKIFRLFSQSPNIYKVYMEELRNGRIPFKDCPELLMLLLEFSFQSPSLVVEFKPTFLDIYVSAILSAKEKPGKSLTEAFRLLYLQLSHEDFQNIVIPSSVKMLKRNPEIVLESVGILLKSVNLDLSKYAAEILSVVLAQVRHADEGRRDGALSIVRSLSQKSSNPDALDTMFNAIKAVIKGSEGRLTFPYQRVGVVNAIQELSNAPDGKHLISLARTICDFLLSYYKDDGNEEVKIAILSAIASWAVRSTDVIQESLVSFFVSGLKEKETLRKCFLRSLHTISKNEDAILKMLPLFGALVQLVKTGYTKAVQRLDGMYALLLVAKIAAVDIKAEEALVKEKIWALISQNESSVVPISMASKLSIEDSMACVDLLEVLLVEHLQRTLSNFSVRLLLQLLVFFICHPRWDIRRMTYNVTRKIITSAPKLSEDLFLEFSKYLSLIGEKHLALKISDTDISLDPQVSFVPSVEVLVKALLMMSPAALKHTPDSFVRILLCSHHPCVVGSAKRDAVWKRLCKCLQAHGFVVIDIISANVGNFLKILLGPMGLKSANPLEQQAAVLSLSSLMSIIPGDTYVEFEKYLLNIPERFAHDTLSEKDIQIFHTPEGTLSTEQGVYVAESVSAKNTKQAKGRFRMYDDEDDVDHTRSNHSVKRDLPSREAGGAGKKDTGKAAKKAGSDKGKTAKEEARESLLKEEASVRDRVDEIQKNLSLMLRTLGDMAIANSVFAHSRLPSMVKFVEPLMRSPIVSDEAFETMVKLARCTAPPLCDWALDISTALRLIVTDEVHLLLDLVPSVAEEEINERPFRGLFERILDGLSISCKSGALPVDSFSFVFPIIERILLCSKKTKFHDDVLRIFYLHLDPHLPLPRIRMLSVLYHVLGVVPSYQASIGPALNELSLGLQPAEVASALYGVYAKDVHVRMACLNAVKCIPAIANRSLPENIEVSTSIWIALHDPEKSVAQVAEDIWDHYGFDFGTDFSGLYKALSHINYNIRVAAAEALAAALDEHPDSIQESLSTLFSLYIRDMGAGDVNVDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGILIIDKNGKDNVSLLFPIFENYLNKTAPDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVDKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDAAALVKRLTDQMMKSEKYGERRGAAFGLAGLVKGFGISSLKKYMIVIKLQESLAERSSAKSREGALLGFECLCETLGRIFEPYVIQILPLLLVSFSDQVVAVREAAEGAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQMALQQVGSVIKNPEISALVPTLLKGLSDPNEHTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRERSADTKKRAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIGGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGIEYFEHVLPDIIRNCSHPKAPVRDGYLTLFKYLPRSLGVQFQNYLPQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGRDKRNEVLAALYMVRADVSLSVRQAALHVWKTIVANTPKTLREIMPVLMDTLITSLASPSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLSDPDSSRRQGVCVGLSEVMASAGKSQLLTFMNDLIPTIRTALCDSVPEVRESAGLAFSTLYKSAGMLAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTSAVLPHILPKLVHPPLSAFNAHALGALAEVAGPGLDFHLCTVLPPLLSAMGDDNKEVQTLAKEAAETVVLVIDDEGIEPLISELVKGVNDSQAVVRRSSSYLIGYFFKNSKLDLDDEAPNMISTLIILLSDPDSSTVAVAWEALSRVIISVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPIVIPGFCLPKALQPILPIFLQGLISGSAELREQAALGLGELIEVTSEQSLREFVIPITGPLIRIIGDRFPWQVKSAILSTLTSMIKKGGISLKPFLPQLQTTFVKCLQDSTRTVRSSAALALGKLSGLSTRVDPLVSDLLSSLQGSDGGVREAILTALKGVLKHAGKNVSLAVRTRFYNVLKDFIHHDDDQVRIFASSILGILAQYLEDDQLTELIQELSTLANSPSWPARHGSVLTMSSLFRYNPSTIFSSSLFPTIVDCLRDTLKDEKFPLRETSTKALGRLLLYRAKVDPSDTSLYKDVLSLLVLSTRDDSSEVRRRALSAIKAVAKANPSAILSQGTMVGPALAECLKDGNTPVRLAAERCALHAFQLAKGSENVQAAQKYITGLDARRLSKFPEFSDDSDDSDEDTSSS >Vigun03g448300.2.v1.2 pep primary_assembly:ASM411807v1:3:64933458:64936328:-1 gene:Vigun03g448300.v1.2 transcript:Vigun03g448300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCVSMPKIELHAHLNGSIRDLTLLDLARALGDKGLIDFSQVEHVILKNDRSLSEVFKLFDLIHIVTTDHSSITRITKEVVEDFASENVVYLELRTTPKKNDSLGMSKRSYVEAVLEGLRAVSSVDVAFIPNSEEPVNLSSPVLSDASDKCNGNTRKKIFVRLLLSIDRRETTEAAMETVMLALEMRRFGVVGIDLSGNPAVGEWSTYLPALMFARQQGLYITLHCGEVSNSKEIHNMLDFLPQRIGHACFFEEEHWRKLKSSNIPVEICLTSNIRTLSVPTIDAHHFVDLYNAKHPLVLCTDDSGVFSTSLTREYKIAASTFGKVSILSSTFGKVSADCFLVCLISRPWTEGNV >Vigun03g448300.1.v1.2 pep primary_assembly:ASM411807v1:3:64933458:64936328:-1 gene:Vigun03g448300.v1.2 transcript:Vigun03g448300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCVSMPKIELHAHLNGSIRDLTLLDLARALGDKGLIDFSQVEHVILKNDRSLSEVFKLFDLIHIVTTDHSSITRITKEVVEDFASENVVYLELRTTPKKNDSLGMSKRSYVEAVLEGLRAVSSVDVAFIPNSEEPVNLSSPVLSDASDKCNGNTRKKIFVRLLLSIDRRETTEAAMETVMLALEMRRFGVVGIDLSGNPAVGEWSTYLPALMFARQQGLYITLHCGEVSNSKEIHNMLDFLPQRIGHACFFEEEHWRKLKSSNIPVEICLTSNIRTLSVPTIDAHHFVDLYNAKHPLVLCTDDSGVFSTSLTREYKIAASTFGLGQKEMFELSKNSIEFIFADNVVKEVLRKTFASEAKSLK >Vigun03g119400.1.v1.2 pep primary_assembly:ASM411807v1:3:11125641:11126629:1 gene:Vigun03g119400.v1.2 transcript:Vigun03g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKIAEADCYKPSAHFKGPCFQSDNCNGQCTSEGHPGGECQGFIPRRCMCIC >Vigun05g292842.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47899370:47899714:-1 gene:Vigun05g292842.v1.2 transcript:Vigun05g292842.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCFGLCVLVVCVFVCFVVFDHCFLCFVLYVFCCDFGMFVLFRVVVVVFICFSTRVFFYLFLWLCGLLDVFDLYYLLCGMLCFVCVLCCVCVCFVVCVCVFVVCLVLFVLYVL >Vigun08g092100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21512059:21512749:1 gene:Vigun08g092100.v1.2 transcript:Vigun08g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKLCTTTSSPRRSPRLFHKYLKPGALAKIRDSKIKARNSHRAEKISLYEALLLTPTSSSSPTQDDDHSPNQENGIPCFTSPVNLTSPSCLRRKKLFAVTPTFALPDESQL >Vigun01g202600.1.v1.2 pep primary_assembly:ASM411807v1:1:37861764:37866559:1 gene:Vigun01g202600.v1.2 transcript:Vigun01g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAKKPSAKQSGGKKKEVKKETGLGLTHRKAENFGEWYSEVVVNAEMIEYYDISGCYILRPWSMAIWEIMQEFFDPEIKKMKIKNCYFPLFVSPGVLQKEKDHVEGFAPEVAWVTKSGESELEIPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADAEVLDILELYRRIYEEYLAVPVIKGKKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVIPVPYKDANTQGIFDACSETVNTLSEAGIRAESDFRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRAVRRDNGAKIDIASADLAVEIKKLLDNIQQNLFEVAKQKRDECIQIIHTWDEFVQALNQRKMILAPWCDEEEVEADVKARTKGEMGAAKTLCSPFDQPELPEGTKCFASGKPATKWTYWGRSY >Vigun05g035500.1.v1.2 pep primary_assembly:ASM411807v1:5:2891774:2894829:1 gene:Vigun05g035500.v1.2 transcript:Vigun05g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPHFLVLPYPVQGHVNPLMQFSEAVIKHGCKITFVNTEFIHKQANNSGEALDNLKEQGMRFVTIPDGLDPEDDRSDHQKVLVSIKTNMPALLPKLVQDINALDSDNNITCVVATMNMGWALQVAHKLGIKAAFLWTASAVSLAACYCIPRLIEDGIIDSEGVQIKKQEIQLSPNMPVMDSADLPWGGVAKIFFSHIVKEMKNLEFGEWWLCNTTSDLEPGAVTISPRFLPIGPLMESDTNKSSFWEEDTTCLTWLDQQQPQSVVYVSFGSLATLEPNQFKELALGLDLLKRPFLWVVRPSSDKNKVNNAYPDEFHGGKGKIVKWAPQKKILNHPAIACFISHCGWNSTLESLCSGIPFLCWPFFSDQFFNRSYICDVWKVGLRLEKDENGVIVKGEIRKKVDQVLEDGDIKARSLKLKELLVVDNSVKGGQSSKNLEKFINWAK >Vigun11g030700.1.v1.2 pep primary_assembly:ASM411807v1:11:3967543:3969977:-1 gene:Vigun11g030700.v1.2 transcript:Vigun11g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLSTELPVHATAEKWFHTFTNQLHHIQHVTHKVHGAKLHQGDDWHANDSVKHWTYTLDGKVETCHETIESVDEQKKRIAFKIFGDAIDHKYKVFNLTFEAIEKDDGSAAIKWSVEYEKVSEDVHPPYGYLEYYDHVTKDVDAHLVEAEKNARK >Vigun11g030700.2.v1.2 pep primary_assembly:ASM411807v1:11:3967543:3969977:-1 gene:Vigun11g030700.v1.2 transcript:Vigun11g030700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLSTELPVHATAEKWFHTFTNQLHHIQHVTHKVHGAKLHQGDDWHANDSVKHWTYTLAIEKDDGSAAIKWSVEYEKVSEDVHPPYGYLEYYDHVTKDVDAHLVEAEKNARK >Vigun07g242700.3.v1.2 pep primary_assembly:ASM411807v1:7:36401626:36409160:1 gene:Vigun07g242700.v1.2 transcript:Vigun07g242700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPKEIVAELPTYIRVFSDGTVERPRETPFVPPSIHDPLTGVSSKDIIISQTPPVSARIYLPKLPPTTLQDHPQKFPILVYFHGGGFFFESAFSQLYHRYFNIFVSQTNVIVVSVEYRLAPEHPLPACYHDCWDALKWVASHSTQNNAAANEDPWLIFHVWYFEGVKRSGWQGELELFEEQGEDHVYHIFHPDSEKAMKLIKFLRLFLHE >Vigun07g242700.1.v1.2 pep primary_assembly:ASM411807v1:7:36401831:36409067:1 gene:Vigun07g242700.v1.2 transcript:Vigun07g242700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPKEIVAELPTYIRVFSDGTVERPRETPFVPPSIHDPLTGVSSKDIIISQTPPVSARIYLPKLPPTTLQDHPQKFPILVYFHGGGFFFESAFSQLYHRYFNIFVSQTNVIVVSVEYRLAPEHPLPACYHDCWDALKWVASHSTQNNAAANEDPWLIFHGNFQRIFIGGDSAGGNIVHNVAMRAGAEPSPCDVKILGAIFSHPYFCSSNPIGSEAVTGREQSLPYLVWDFVYPSAPGGIDNPMVNPLAPGAPSLEGLGCSKIVVCVASEDKLRDRGVWYFEGVKRSGWQGELELFEEQGEDHVYHIFHPDSEKAMKLIKFLRLFLHE >Vigun07g242700.2.v1.2 pep primary_assembly:ASM411807v1:7:36401628:36409105:1 gene:Vigun07g242700.v1.2 transcript:Vigun07g242700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPKEIVAELPTYIRVFSDGTVERPRETPFVPPSIHDPLTGVSSKDIIISQTPPVSARIYLPKLPPTTLQDHPQKFPILVYFHGGGFFFESAFSQLYHRYFNIFVSQTNVIVVSVEYRLAPEHPLPACYHDCWDALKWVASHSTQNNAAANEDPWLIFHGNFQRIFIGGDSAGGNIVHNVAMRAGAEPSPCDVKILGAIFSHPYFCSSNPIGSEAVTGREQSLPYLVWDFVYPSAPGGIDNPMVNPLAPGAPSLEGLGCSKIVVCVASEDKLRDRGFGTSRV >Vigun08g215700.1.v1.2 pep primary_assembly:ASM411807v1:8:37647529:37656256:1 gene:Vigun08g215700.v1.2 transcript:Vigun08g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFGSRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAGHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENQEMERAQRLFMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRLEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTPKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLKSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFPNDMDCSNLEFLSIQTKFEVSDAIFEKMEKLRVLIVSNQNYNNELQLSTMSFKTLQNLRCLVLQYWKLSDISFVRYMKKLQSLSLRRCSLPSFLELETDVGVTQLSNLKLLEFSKCDIERKNFEEIKLIPSLEELYILEKDWNGNVELFSVLPETLKRYGIVLGYNELSYYSPQDEFCSYHATLALSHFDISNEIIMRMAKSAKELMMGNIEGGAKNIVPDIFQIGASMSELNQLKIQSSEIECLIDTSNHLNKVGNLFSELCSLTIAYMQCLRALWHGCVPVDGSFEKLEILSIEYCPKLTSLFTYDMARGLVHLKSLKIYCCDILKHIVTNDDKTMKSEDEFAIGHFEHSKIFQNLEDLEVTYCRELKDVFSAGIIGGLPQLKSLGIQECNMLEQIIGDVVPSAHQDEKEAKDEIIEENEHQHFESNQLIFSSKSTSTPSPPIVNHSSGSFSLSSLALLTIRSCPMLESLFTTSVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKRVSISNCESLEYIFGMAFVGGVVNIENHLQTPRLMEQQVSLKLEYLSLAYLPRMTHIWVATNNSFVLQHLYSLFITKCQKLKVIFPWSMLRSLPELDDLYVSECDELRHVIEDSNIIAYSPRPCFPKLQSLRIQQCHKLKCFTSVYVSNDLSNLKFLLIEGATALQEFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNCPKLSLTSTITPHELPQKTSLLEGLENSGIYSWYIESLMDEIQRLDEVSRSNNSTELPSSQELVNATSIKSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSRVEMVGQHKTIETKTLVSETQEFQKIHRKSEMTSVPQAMDQNFSLISSPNMTQSTDETEANNIGKTTTSDKLSIPTSVLENIEEIGRQRSRDGPAAEGTTIKTLPIGVDNISLGSGVTIHKSSSADILPQDSQVEKDNEMHEDKSEIAQYKNIKIQEGVSLLDKTKEIGIVSNNRASADTRTRLEQYKHFVDLNDSQISLLVEAIEAYPHLWNACEKFSDRFQAWMLKTLADMLLFLRSESVGSVNPEREKEFLKLCDEAVQLGFERSWVDDMRQRVVTRDHKLDQAKTRIGEFVNRHDHLTQELDNIKKELRILNDFVEAQTKCFDFL >Vigun08g215700.2.v1.2 pep primary_assembly:ASM411807v1:8:37647529:37655701:1 gene:Vigun08g215700.v1.2 transcript:Vigun08g215700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFGSRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAGHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENQEMERAQRLFMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRLEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTPKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLKSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFPNDMDCSNLEFLSIQTKFEVSDAIFEKMEKLRVLIVSNQNYNNELQLSTMSFKTLQNLRCLVLQYWKLSDISFVRYMKKLQSLSLRRCSLPSFLELETDVGVTQLSNLKLLEFSKCDIERKNFEEIKLIPSLEELYILEKDWNGNVELFSVLPETLKRYGIVLGYNELSYYSPQDEFCSYHATLALSHFDISNEIIMRMAKSAKELMMGNIEGGAKNIVPDIFQIGASMSELNQLKIQSSEIECLIDTSNHLNKVGNLFSELCSLTIAYMQCLRALWHGCVPVDGSFEKLEILSIEYCPKLTSLFTYDMARGLVHLKSLKIYCCDILKHIVTNDDKTMKSEDEFAIGHFEHSKIFQNLEDLEVTYCRELKDVFSAGIIGGLPQLKSLGIQECNMLEQIIGDVVPSAHQDEKEAKDEIIEENEHQHFESNQLIFSSKSTSTPSPPIVNHSSGSFSLSSLALLTIRSCPMLESLFTTSVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKRVSISNCESLEYIFGMAFVGGVVNIENHLQTPRLMEQQVSLKLEYLSLAYLPRMTHIWVATNNSFVLQHLYSLFITKCQKLKVIFPWSMLRSLPELDDLYVSECDELRHVIEDSNIIAYSPRPCFPKLQSLRIQQCHKLKCFTSVYVSNDLSNLKFLLIEGATALQEFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNCPKLSLTSTITPHELPQKTSLLEGLENSGIYSWYIESLMDEIQRLDEVSRSNNSTELPSSQELVNATSIKSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSRVEMVGQHKTIETKTLVSETQEFQKIHRKSEMTSVPQAMDQNFSLISSPNMTQNIEEIGRQRSRDGPAAEGTTIKTLPIGVDNISLGSGVTIHKSSSADILPQDSQVEKDNEMHEDKSEIAQYKNIKIQEGVSLLDKTKEIGIVSNNRDTRTRLEQYKHFVDLNDSQISLLVEAIEAYPHLWNACEKFSDRFQAWMLKTLADMLLFLRSESVGSVNPEREKEFLKLCDEAVQLGFERSWVDDMRQRVVTRDHKLDQAKTRIGEFVNRHDHLTQELDNIKKELRILNDFVEAQTKCFDFL >Vigun08g215700.3.v1.2 pep primary_assembly:ASM411807v1:8:37647529:37655701:1 gene:Vigun08g215700.v1.2 transcript:Vigun08g215700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFGSRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAGHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENQEMERAQRLFMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRLEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTPKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLKSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFPNDMDCSNLEFLSIQTKFEVSDAIFEKMEKLRVLIVSNQNYNNELQLSTMSFKTLQNLRCLVLQYWKLSDISFVRYMKKLQSLSLRRCSLPSFLELETDVGVTQLSNLKLLEFSKCDIERKNFEEIKLIPSLEELYILEKDWNGNVELFSVLPETLKRYGIVLGYNELSYYSPQDEFCSYHATLALSHFDISNEIIMRMAKSAKELMMGNIEGGAKNIVPDIFQIGASMSELNQLKIQSSEIECLIDTSNHLNKVGNLFSELCSLTIAYMQCLRALWHGCVPVDGSFEKLEILSIEYCPKLTSLFTYDMARGLVHLKSLKIYCCDILKHIVTNDDKTMKSEDEFAIGHFEHSKIFQNLEDLEVTYCRELKDVFSAGIIGGLPQLKSLGIQECNMLEQIIGDVVPSAHQDEKEAKDEIIEENEHQHFESNQLIFSSKSTSTPSPPIVNHSSGSFSLSSLALLTIRSCPMLESLFTTSVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKRVSISNCESLEYIFGMAFVGGVVNIENHLQTPRLMEQQVSLKLEYLSLAYLPRMTHIWVATNNSFVLQHLYSLFITKCQKLKVIFPWSMLRSLPELDDLYVSECDELRHVIEDSNIIAYSPRPCFPKLQSLRIQQCHKLKCFTSVYVSNDLSNLKFLLIEGATALQEFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNCPKLSLTSTITPHELPQKTSLLEGLENSGIYSWYIESLMDEIQRLDEVSRSNNSTELPSSQELVNATSIKSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSRVEMVGQHKTIETKTLVSETQEFQKIHRKSEMTSVPQAMDQNFSLISSPNMTQNIEEIGRQRSRDGPAAEGTTIKTLPIGVDNISLGSGVTIHKSSSADILPQDSQVEKDNEMHEDKSEIAQYKNIKIQEGVSLLDKTKEIGIVSNNRASADTRTRLEQYKHFVDLNDSQISLLVEAIEAYPHLWNACEKFSDRFQAWMLKTLADMLLFLRSESVGSVNPEREKEFLKLCDEAVQLGFERSWVDDMRQRVVTRDHKLDQAKTRIGEFVNRHDHLTQELDNIKKELRILNDFVEAQTKCFDFL >Vigun01g165500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34762448:34763920:1 gene:Vigun01g165500.v1.2 transcript:Vigun01g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQEQQLHFVLFPLMAQGHMIPMMDIAKILVRRNVIVTVVTTPNNAARFTSIFDRYAESGFQIRLVQLQFPCKEAGVPDGCENLDTIPTLGMAADFFNATNFLREPAEKLFEELTPAPSCIISDMCLPYTSLIAKKFKIPRISFVGVSCFYLFCMSNIRIHNVMESVTGESEHFVVPGIPDKIEMNIAKTGMAMTEGMRLVTNRLFEAEMEAYGMIMNSFEELEPAYAGGYKKMRNNKLWCLGPLSFSNKDHLDKAQRGQIASIEECQLRCWLDRQNPETVIYVCFGSLCNLTAPQLIELGLALEASERPFIWVIREASYSESLELEKWIQENGFEERTSDRSLLIRGWAPQLLILSHPAIGGFITHCGWNSTLEAICAGVPMVTWPLFGDQFLNESLAVDVLKVGVKVGVDRPVTWGEEEKIGVLVKKEDVERAIVKLMDERSENEERRKRVRELAEIAKGAVEKGGSSYSNATLLIEDIAQKIKQKV >Vigun04g186400.1.v1.2 pep primary_assembly:ASM411807v1:4:41144861:41146157:1 gene:Vigun04g186400.v1.2 transcript:Vigun04g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISSLPDSILCDILSSLPTKEVVATSVLSKRWIFLWRSVPSFHFDYNHDKYKEACTHFLQSVDSFLLSRDREQPLHRFRLTFDSTLDQTICIKTWITAAVSGRVQHLDINCNRDIVMPSVFSCKTLVVLKLNSITVEDFSSVDLPLLKILYLNSVSLPRYLDLSQILSGCPNLEDLEVMGLACETKGKFNRLTKLVRASINENLLPLEIVKDVEVLLLNWVYQRNLDLDFDFQNLVQLELDLQANEDWLWFFSALFLGGSGEYAWPYPQSVPACISSHLKTCCLKRYSGSVDEFLFARYILENAKYLRTMKICTDGDASHEKKFDIIRDLSSCDKSSDSCTLSFK >Vigun05g026200.1.v1.2 pep primary_assembly:ASM411807v1:5:2113649:2129064:1 gene:Vigun05g026200.v1.2 transcript:Vigun05g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSMGPPPPINPNLPDTAPSMPPPRDSTEPQPSMPPPRDSTEPQPPLPPPRDSHKPPSQGVAVPYKIPPWSAAPCHQFYLEVLKDGSIIDKFDVCEKGAYMFGRLDLCDFVLEHPTISRFHAVIQFKRSGDAYLYDLGSTHGTFLNKNQVEKNTYVDLHVGDVIRFGRSSRMFIFQGPPDLMPPETNAKLMKEVKMREAMLDREASVRRARQEASLAEGISWGMGEDAIEEEEDDAEEVTWQSYKGQLTEKQEKTREKIIKRMEKIANMKKEINSIRVKDISQGGLTQGQQVQIARNEQRITQILEELENLEETLNDSIRESLGARTGKMSHGKKKGTIEEEEEYVSDDDEFYDRTKKKPSHQKPGDNQSVETADTLLDKRDAITNEMNEKKELLMIEKNNMLSKSKSATQDEVDDSLDAYMSGLSSQLVYDKSVQLEKELSTLQTELDRVYYLLKIADPTGEAAKKRELMAQEPKLKKSENISTVKKKPPAEAHKSSEPCAKADNKKVKKPPVETQISKTSVKSDDCIEGEKASAATSGLDKSEPGHDKLEAENVVFAVPKPQWLGAVEDRVADDTQQSLPSPSPNVHDTDESNQFVDYKDRGKILGSGDSAKASAEFKIESAAGLILRKRKQVETTAANSNDTSQQLTSSTSGEKMAEDAVALLLKHNGGLYTNEEERSEDQKRRGPKRVLGPEKPSFLNNEMDYDSWVPPEGQSGDGRTSLNDRLGY >Vigun01g043600.1.v1.2 pep primary_assembly:ASM411807v1:1:6239204:6245183:-1 gene:Vigun01g043600.v1.2 transcript:Vigun01g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQNHKGEAEPDREKNQNHNQAWGTWEELLLAFAVNRHGFKDWDTVAMEVQSRATRLLATARHCEQKFHDLSRRFADQCNDGVTPPQQNGEAAGDNSDHVPWLDELRKLRVAELRREVQRRDDSILSLQLEVKRLEEEKENDGKDDEKPDLAVPGEARPENDKTGGEVEEVGPANSGPERTANADKMLPTTGDESDRENQSVNESNSTGSRFDKTGEGDAKLRVEPDPVQTGSKEADPVARKGKPVGEESNNGSYDALAKVPTCESVPPSDERKVEQDDDSSELHDSVAHSGEGGTRESSEVQSSASLTRRRKTRRRKDVSGSDGRGGGTSTPPENDEVAMVKSEPLVGVLELIKAHEHSSLFERRLENQLESDRYKDIVKQPLDMETIQLRIQKGQYSSCISAFFRDLLLLFTNATVIFPHDSPESQAARQLHRLVTAEMKNHAQAQSDPIPRKNDSLPPNVPVAKPDSLLSKNKASGPILVCRKRSSMSAKPSSTTFGQKNNEQPIFNDKKERPASDSKPPTKPSSSDTDEEEPPKAKEKPVTGARSLRRSNKNLNNKKLSSNSTPKAGSSGSKPSESVKAEKSKAEGGPEKKRSAAADFLKRIKRNTSVEAVKGGGAGGGGGGSSSSSKGGGGGGGVSGKEQKKMVNNVKGGDKGKERASRHSVGGGSGSADKRNKNTENNSSQSKRSVGRPPKKAAETNAGSSKRGRETSASAGKDKRPKKRSKK >Vigun05g053000.1.v1.2 pep primary_assembly:ASM411807v1:5:4540167:4553653:1 gene:Vigun05g053000.v1.2 transcript:Vigun05g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDSDILQANYVDHHVSTREQITLQDTMDGVLYSTSQFGLDERFGDGDASQIGLDLDEVLLNDKATTLEHDDFGASLQMSHQNDEKKEEIDDLPTTGEIREYAEGPSTPGLQEPNLFGTQMDQGNNEVDCPNSTDLKSMETTQNELLHHQKDNDVNDCSLQSNGNHISLDLHHEDKGCNLIEMDEKREEQGHLECQVVIKDQENLMHEDHSLASLPLLDSSNKEFPSTVLPECEGGMINASAVHDKKEYLQDGVLMNSDPATAPLDQTVINCAVSSPLTNNENVASDQDNISCKPLSNMDGSQVPGSGGHLEDGNTLSKHEVLNDIEISKSDRQSCPSDDALVSNLINPLGSPGRPEVVVDVEAQASRELKEGEGLNHVSLEAVQPTESILQPCTSHLGQPSLSFIEGEKGHVTDVSNPALSYQETIEPSVSKETPDFGKTDMEIESQIFSNKVESINRSAVTDMPEPEKLLSLAYQHDGETNDLLMASTPDILGATEGHAGPAGVNGISGKKRSFTESTLTVQSMDLMESYGGAQSKRTAESVPDDDDLLSSILVGRKSSVLKMKPSPAAPEIATMKRARSAPRTTALKRKVLMDDMMVLHGDTIREQLTNTEDIRRLRKKAPCTSNEILMIQRQFLEDEIFHGSIFTDLTTDFTILRNETIDLTGIKVCDYGMDSSFIEKTNDQESYSRTNTEVHGVVGNNEPMAVQYQEDAEVQPPEIPVLPESHQSEVNLGSHDVDAHRNTTHEPMGVQLQEDAGVHPTDIPVLSESHQSEVNLGSHDIDAHGHTNIVSHVEELDNSQNVEVDHVGGNIAVSEAENCSAGPGHESSSLTEVLENDFTTSPTLMDKTNDLVGSIHSDILSIPNAENLNTIPILEDEFVQCQSDRKGVGAIELSMEPGTEVQTDGFEGNDLYASLATGSKETDGFADIQAPFNGDQPSEENGNSMLGQLNENQIVASAMECDDKGARSDSIFIENAKVDCLQSEALSVDEKESSLKDEENLVFQEAGLQSTMYPEIRSPYVEQNDENDMIANDTGFLNVGDDEIIDDDDEDFQPSAEGTHLENSGWSSRTRAVAKYLQTVFDKEDLHGRKELHLDNLLVGKTKKEASRMFFETLVLKTRDYVHVEQPKPFANVSIKPRMKLMRSDF >Vigun07g112900.1.v1.2 pep primary_assembly:ASM411807v1:7:20884821:20899877:-1 gene:Vigun07g112900.v1.2 transcript:Vigun07g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITKHISSLSIFYSQHGAHVSPLHPCQKPILRVLLPPNQLISLKKTLLASPKSPSTSPLFLPQLGFAFSHSFQHPPSPSAYPKSLAFQCLPNPSLNTKHCLTLPLSTQFAARFTSYHFFPSFHCRLPPMAPTRRKGVSKAAAAAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSTDWKKVLVYFFGTQQIAFCNPSDVEAFTEEKKQSLLGKRHGKGADFGRAVQEIIDSFEKSKKESQIDETGLVGDVANVDISNPVISSAKDQTDTPKLTHTLPKNSSDSITYKHEEVVCAAENESAGVYKDESDNKEAMLEEPADEVAAVKSPKPVTYSTRKRSMADLCLQGCVIQKHTSVRRSRNPSRAQNFVLHYNDGAKGAGDPSTTACTRRNKCVRKSPDLSGCDDFESSAFVSNGSMEDNSSEIITTDSDTFSFNEGSTIDSNFKLELSEAVECSEVELNKGLDLKIRPVVNKKKRKPNRKRATHDASKPTSRTEEEAGLQNASQSSQNICENSKERCFEQDGDEHLPLVKRARVRMGKSSVEAEHHSTLQCVENYCKEDTITNSAHQIITSSNCENGSPADGDSYVLNGVLDNVSPKLSVPCSNTQICNTKKDQTFSSVDGEAALPPSKRLHRALEAMSANAAELGQAHMEASSSTIMTASGMCCISAVKRCPSITINNQECNDFGLQKLDTFNIDSSHINVNSTNSNPMILSENKSPIQVGKQQHETSNDVLPGATTQVVEELSDHMVCQEADLKFQSNEGNCPIDTKCCDDGSIQYSPDPSILPNHEDHVRTLSHSNSASDASGQNGISLDPVMGVNENDALSPHNVNMPRNEVAVREDTECFKPAVDDIGTANDMHEVVKEIKCQGPQEDMNSVSTSDDCLGDKGISDIRSSPSLTDGGDCIPQGSPPTTSVCNVSTSDSSNILHNGSCSPDVHLQQKQTLSEPFDGCKDGYVATQQSRCIGKPTEAGRAALLYFEAMLGTLTRTKESIGRATRIAIDCAKFGIADKVMEILAHCLEMESSMHRRVDLFFLVDSIAQFSRGLKGDFCGVYSSAIHAVLPRLLSAAAPPGNTAQENRRQCLKVLRLWLERKILPVHVIRRHIRELSLYSNSAAAGVFLRRSMRTERAMDDPVREMEGMLDEYGSNSTFQLPGFCMPRMLKDEDDGEWSDSDGGNFEAVTPEHTSEVQEMTSAIEKHRHILEDVDGELEMEDVAPSNEVEINSTSDVGGETAKLFEKNLAPPFAPLHQDVPSSSPPPPPPPPSFLPPPPPPPPPPPPHPHPQLYTTCHLPLIHTTL >Vigun06g070575.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20082309:20082986:1 gene:Vigun06g070575.v1.2 transcript:Vigun06g070575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSMDHWKELSADWYDLIGQPSHTFHVPAALLSQPVVVAMKTPLMLPSLPLPLPSSALPSSAFPLPSSALPLPSPSLSSLTFPSNKRKRITWTEAEHKRFLDGLHIYGKGDWKNISAYIQTKTAIQVASHAQKYFIRQTQTQHQKKRKSIHDMVMENAFHMQNSSSSGASSQFSDVQPLPFNPHVNVPHPPELQPMREMMNQNPMNPNNMIHHPAYFNQMQPC >Vigun07g045200.2.v1.2 pep primary_assembly:ASM411807v1:7:4561534:4565383:1 gene:Vigun07g045200.v1.2 transcript:Vigun07g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQSFVMLAASLLFVIAQGVVGVNSGSQADKISTLPGQPPVKFQQYAGYITVDEKQKRALFYYFVEAEVEPASKPLVLWLNGGPGCSSVGAGAFVEHGPFKPSENGLLKNEFSWNKEANVLYLESPAGVGFSYSANYSFYDYVNDEMTARDNLVFLQRWFTKFPEFKNNDFFITGESYAGHYAPQLAQLIVKTKTKFNLKGIAIGNPLLEFNTDFNSRAEFFWSHGLISDSTYEIFTKVCNYSQIRRQHQSGTLTPICSGVNRLVSTEVSRYIDTYDVTLDVCLSSVDQQAYVLNQMTQLQEGAKIDVCVEDETTAYLNRKDVQEALHAKLVGITSWSVCSDILKYDMQNLEIPTISILGALAKSGIRVLVYSGDQDSVIPLIGTRSLVNGLAKNFGLNTTVSYRTWFEGRQVAGWTQVYGDILSFATVRGAAHEAPFSQPERSLVLLKAFLEGKPLPQPFFQI >Vigun07g045200.1.v1.2 pep primary_assembly:ASM411807v1:7:4561534:4565383:1 gene:Vigun07g045200.v1.2 transcript:Vigun07g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQSFVMLAASLLFVIAQGVVGVNSGSQADKISTLPGQPPVKFQQYAGYITVDEKQKRALFYYFVEAEVEPASKPLVLWLNGGIGPGCSSVGAGAFVEHGPFKPSENGLLKNEFSWNKEANVLYLESPAGVGFSYSANYSFYDYVNDEMTARDNLVFLQRWFTKFPEFKNNDFFITGESYAGHYAPQLAQLIVKTKTKFNLKGIAIGNPLLEFNTDFNSRAEFFWSHGLISDSTYEIFTKVCNYSQIRRQHQSGTLTPICSGVNRLVSTEVSRYIDTYDVTLDVCLSSVDQQAYVLNQMTQLQEGAKIDVCVEDETTAYLNRKDVQEALHAKLVGITSWSVCSDILKYDMQNLEIPTISILGALAKSGIRVLVYSGDQDSVIPLIGTRSLVNGLAKNFGLNTTVSYRTWFEGRQVAGWTQVYGDILSFATVRGAAHEAPFSQPERSLVLLKAFLEGKPLPQPFFQI >Vigun01g148800.1.v1.2 pep primary_assembly:ASM411807v1:1:33029392:33030734:1 gene:Vigun01g148800.v1.2 transcript:Vigun01g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNSNLRITIERNPPQSRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLVKGKVKAFVKGSSEFVEFGAGDLVTIPKGLSCTWDVSAAVDKYYKFESNSSAASSSSC >Vigun01g148800.2.v1.2 pep primary_assembly:ASM411807v1:1:33029364:33031497:1 gene:Vigun01g148800.v1.2 transcript:Vigun01g148800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNSNLRITIERNPPQSRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLVKGKVKAFVKGSSEFVEFGAGDLVTIPKGLSCTWDVSAAVDNFLRLKWNIGGVQDM >Vigun09g137500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29864056:29865579:-1 gene:Vigun09g137500.v1.2 transcript:Vigun09g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGKSMVAGPANVIFLSGIMGRDGQIPGHKCDWKCQNEHVCGNMYLCKLTGLTHICDKNCNQRILYDNHSSLCRASGQIFPLTPAEEQAVRGVRRKHDAAENSPTESCGFKRRRGDAQFHPSPFERSFSAVSPICSQVGDGMDLS >Vigun09g137500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29864056:29865525:-1 gene:Vigun09g137500.v1.2 transcript:Vigun09g137500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGKSMVAGPANVIFLSGIMGRDGQIPGHKCDWKCQNEHVCGNMYLCKLTGLTHICDKNCNQRILYDNHSSLCRASGQIFPLTPAEEQAVRGVRRKHDAAENSPTESCGFKRRRGDAQFHPSPFERSFSAVSPICSQVGDGMDLS >Vigun01g120250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29693955:29702405:1 gene:Vigun01g120250.v1.2 transcript:Vigun01g120250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIWGHLQAIPTFTSQKPQISFFSQNNPPSYLPMSWYTDQLGHMKNPPNLKLSCTKNFIVFIWGHLQAIPTFTSQKTTNFICSQNNPPSHLPTPWCTDQIGHVQNPPNSKFSSTRNFMVFIWGHLKVIPTFTSQKPRILFFYKTTHQAIYLCRGAPTNLSICKTHYT >Vigun03g412600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61987780:61988863:-1 gene:Vigun03g412600.v1.2 transcript:Vigun03g412600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGRLLNPHATVADFRPAFEVLDADRDGKISRDDLRAFYAGVHGGVAGGDELIGAMMTVADTNKDGFVEYEEFERVVTGNAETMPVGSGAMEDVFRVMDKDGDGKLSHHDLKTYMAWAGFPATDDDINAMIRFGGGDKNGGVTFDGLLRILALDSAAAN >Vigun07g232800.1.v1.2 pep primary_assembly:ASM411807v1:7:35472518:35474280:1 gene:Vigun07g232800.v1.2 transcript:Vigun07g232800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKNFISHDEQVNIVKVCRELGLGLGGFYQPEHANAAKLQLKMMCLGMDWNPRTYKYGNKRVFDGSIPPSIPIHFSKLVRRAIHEAQSLTKKKYRACKLEDVLPSMTPDICVVIFYTENGKLGLHQDRVESRESLRKGLPVVSFSIGDSADFLYGDVRNAHKAERVILEYGDVLIFGGESRRVFHSVRSVLPNSA >Vigun09g055300.2.v1.2 pep primary_assembly:ASM411807v1:9:5533655:5538379:-1 gene:Vigun09g055300.v1.2 transcript:Vigun09g055300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGQDIYNTLSAIVPLCVPIILAYGSVQWWKIITPEQSSGINRFVAFFAVPFLNFYFLATNNPYTMNFRFIAADTLQKLVILGALSLWSLFTKFGSIDWTITLFSLSTLPNTVVVGAPLLTAMYGDSSASLMSHIFIMQGVVWFTLMLFLYEYRGARSLIFSMQFPQNAGSVVSFTVDSDVLSLGDNEALQTEAEMGENGEVHVVVKSPSFYTCCKCSTSNGGRGTQVLSMQRSLSFNTTRDLRDQGFGRGMSKINDEKTWNHTIPNQVKDESIVMMSHIKPIENKKVEHTLSEDPKVVKIEEGGAYNKKQQMLRTSVMTRLILTMVWRNLIRNPNSYSCVSGLLWSLISFRWNIKIPLIIDGSILILSKTGTGMAMFSIGLYMALQPKLVACGNTWATVSMVARFVVGPAVIAVTAIAIGIRGLLLRITIIQAALSPSIITFVFSREYNLHTDITSTAVIFGTMISLPISILYFVILGIN >Vigun09g055300.1.v1.2 pep primary_assembly:ASM411807v1:9:5533655:5538379:-1 gene:Vigun09g055300.v1.2 transcript:Vigun09g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGQDIYNTLSAIVPLCVPIILAYGSVQWWKIITPEQSSGINRFVAFFAVPFLNFYFLATNNPYTMNFRFIAADTLQKLVILGALSLWSLFTKFGSIDWTITLFSLSTLPNTVVVGAPLLTAMYGDSSASLMSHIFIMQGVVWFTLMLFLYEYRGARSLIFSMQFPQNAGSVVSFTVDSDVLSLGDNEALQTEAEMGENGEVHVVVKSPSFYTCCKCSTSNGGRGTQVLSMQRSLSFNTTRDLRDQGFGRGMSKINDEKTWNHTIPNQVKDESIVMMSHIKPIENKKVEHTLSEDPKVVKIEEGGAYNKKQQMLRTSVMTRLILTMVWRNLIRNPNSYSCVSGLLWSLISFRWNIKIPLIIDGSILILSKTGTGMAMFSIGLYMALQPKLVACGNTWATVSMVARFVVGPAVIAVTAIAIGIRGLLLRITIIQAALSPSIITFVFSREYNLHTDITSTAVIFGTMISLPISILYFVILGIN >VigunL042800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:424269:424523:1 gene:VigunL042800.v1.2 transcript:VigunL042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRIPTRNTNPIHNDVPENRTFLLFDQPSGEANTTGTLINKINEIAINAKTANWKAIVMFLILQFTNKRTLPFDPSTPGCLS >Vigun09g256200.1.v1.2 pep primary_assembly:ASM411807v1:9:42222813:42226564:-1 gene:Vigun09g256200.v1.2 transcript:Vigun09g256200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMKDRSTPSNSVSSPAPSTLQHLREIASLIETGSYSKEVRRIARAVRLTIALRRKLTSSIISSFLDHVLTPGSEAHAKLSAYLPKEDDHEMEVDAATSAIQTPAKHLLPELEIYCYLLVLLFLIDKKKYNEAKACSSASIVWLKNTNRRTVDVIASRLYFYYSYSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRNIADKFATTFNADRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLNSPNPIADAESIVSKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >Vigun06g122650.1.v1.2 pep primary_assembly:ASM411807v1:6:25006974:25010589:-1 gene:Vigun06g122650.v1.2 transcript:Vigun06g122650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLAVRIVDLWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIAREDKLKEGESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRDTTYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDQSELRKGKSIAIEVGKRRSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPRKNLSTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun06g122650.2.v1.2 pep primary_assembly:ASM411807v1:6:25006974:25010589:-1 gene:Vigun06g122650.v1.2 transcript:Vigun06g122650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLAVRIVDLWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIAREDKLKEGESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRDTTYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDQSELRKGKSIAIEVGKRRSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPRKNLSTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun07g174500.5.v1.2 pep primary_assembly:ASM411807v1:7:28966153:28969851:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.4.v1.2 pep primary_assembly:ASM411807v1:7:28965717:28969872:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.10.v1.2 pep primary_assembly:ASM411807v1:7:28966536:28969839:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.9.v1.2 pep primary_assembly:ASM411807v1:7:28966536:28969839:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKVSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.6.v1.2 pep primary_assembly:ASM411807v1:7:28965726:28969852:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.1.v1.2 pep primary_assembly:ASM411807v1:7:28965711:28969911:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFDQILWLTWLCQKLGNRVECFSMRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.7.v1.2 pep primary_assembly:ASM411807v1:7:28966259:28969839:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFDQILWLTWLCQKLGNRVECFSMRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKVSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.2.v1.2 pep primary_assembly:ASM411807v1:7:28965696:28970133:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.12.v1.2 pep primary_assembly:ASM411807v1:7:28965774:28969912:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTAK >Vigun07g174500.11.v1.2 pep primary_assembly:ASM411807v1:7:28966259:28969911:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFDQILWLTWLCQKLGNRVECFSMRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTAK >Vigun07g174500.8.v1.2 pep primary_assembly:ASM411807v1:7:28966259:28969839:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKVSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.3.v1.2 pep primary_assembly:ASM411807v1:7:28965696:28970133:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTASKSKVNRDRRLEMEIWHDLAKVYTALSQWQDAEVCLAKSEAINPYSASRWHTKGLVFEARGVHQEALKSFRKALDIEPNHVPSLISTACVLRKLGGQSSSIVRSLLTDALRLDRTNPSAWYNLGLFYRDDLGTSAMEAVECFEAAAFLEESSPIEPFR >Vigun07g174500.13.v1.2 pep primary_assembly:ASM411807v1:7:28965775:28969911:1 gene:Vigun07g174500.v1.2 transcript:Vigun07g174500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLISKKQISLRLRKMIKCICSGEQLKVDELGYSSESLATRDYSASGGFSSRPGENDPKLDNTNIEEAESSLRESGYLNYEEARALLGRLEYQKGNVEAALHVFEGIDIATVVPKIKVSISRRCEPNRRSSQSDAQPPMSMHAVTLILEAVFLKAKSLQALGRFQDAAQSCKTILDTVESALPEGWPENLVSDCKLQETVANAVELLPELWKLAGSPQDVISSFRRALLYHWNLGIKATARIQKEFAFFLLYSGCEASPPALRSQLDGSFVPRSNLEEAVLLLLILLRKSILGYIEWDPSLIDHLSFALSVSGEFMTLAQQVEELLPENVDRKERFYTLALCYCGEGEHTTALDLLRNSLNHRENSDCIKELLLASKICADKKIYTEEGIGYSSKAISQLNGKCMQMVAIANCLLGVLLSSKSKSVASESEKVFLQSEALSALKDSERTMRESDPYIVLHLCLEYAEQRKLSIAIEHAKKLIKLEGGSSVSAYILLARILSAQRKFEDAELVIDAALDQSGKWDQGELLRTKAKLRIAQGKLKNAVETYTFLLAVLQVQNKSLGTAK >Vigun08g120100.1.v1.2 pep primary_assembly:ASM411807v1:8:28816768:28822212:1 gene:Vigun08g120100.v1.2 transcript:Vigun08g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSIARQCLTDEAARALDEAVAVARRRCHAQTTSLHAVSALLAMPTSALREACGRARSGAGAARFSGGYSPRLQFRALELSVGVSLDRLPSSKGGGGGGEEEPPVSNSLMAAIKRSQANQRRQPESFHAFQQSQHGGTASFLKVELKHFVLSILDDPIVSRVFAEAGFRSCDIKLALLQPPLPPVQHRFARAPPVFLCNLEPDRPDENIRRIAEVLSRKSKRNPLLMGVYAKSALRGFVEMVQKGRGGSVLSSELRVVCLEREIGEFVKRGGSGEEVVGVKLKDLEQQCEGCSGSMVVSFGEVEVFVGEDVDVDAVRFVVSGLTRLLKIGGEKVSLLGVAETSHAYSKFLALFPNVENEWDLHLLTVTSATPSMEGLYSKSSLMGSFVPFGGFFSTPEIRSPLNSSTNGSFTRCDKCTEKCEQEVADILKVGPSSSNSTSSPWLQKIVNVDTHRGLDVAKTSEENTSLNDKILGFQNKWSDLCQRLHHKSSLPHFDISQTRPQAPILETLRFGPGFKESSSKDPPRSEFQYSTAQMSYMLPKGLHNTVTGSDSKVSETLQIDAKTPRIVPSSVLDHNRSSLSHTPVTTDLGLGTLYTSTSQYPDTPKLQDQKKHLQQLSDSISTDCDAVNETTSHQIPRSSWSGSNFDGKFDLADFKSLNRVLTERVGWQDEAIRAISQTLSLGKFGSGKSRGSQGRADTWLAFLGPDRLGKRKIASVLAETIYGNAESLIYVDLGFQDSFSPLNSVFEYQKSRCDVLRRKTIVDYIAGELSKKPHSVVFLENIDNADFLVQTSLLQAVKAGKFPDSHGRAISINNTIFLVASTKGSSSSLVSDESKMFSEERILEAKRCQMQLLLGHASEDAKTIGTTNVKVVPRKGFSKSSSLNKRKQTDTSDSNKGTTRKMQKQDSESSRSYLDLNMPVEENDEGVNDNDNDQESESITENTNNWCQHPISHDTWLSDLFDQIDEKVVFKPFNFEELAEQVLKSIGILFQRTFGSELDLEIDYEVMTHILAAAWLSDKKNAVENWVEHVLGRCFVEAQKKYHPVSQYVVRLVNCESIFVEEQAPGLCLPARINLD >Vigun08g120100.2.v1.2 pep primary_assembly:ASM411807v1:8:28816768:28822212:1 gene:Vigun08g120100.v1.2 transcript:Vigun08g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSIARQCLTDEAARALDEAVAVARRRCHAQTTSLHAVSALLAMPTSALREACGRARSGAGAARFSGGYSPRLQFRALELSVGVSLDRLPSSKGGGGGGEEEPPVSNSLMAAIKRSQANQRRQPESFHAFQQSQHGGTASFLKVELKHFVLSILDDPIVSRVFAEAGFRSCDIKLALLQPPLPPVQHRFARAPPVFLCNLEPDRPDENIRRIAEVLSRKSKRNPLLMGVYAKSALRGFVEMVQKGRGGSVLSSELRVVCLEREIGEFVKRGGSGEEVVGVKLKDLEQQCEGCSGSMVVSFGEVEVFVGEDVDVDAVRFVVSGLTRLLKIGGEKVSLLGVAETSHAYSKFLALFPNVENEWDLHLLTVTSATPSMEGLYSKSSLMGSFVPFGGFFSTPEIRSPLNSSTNGSFTRCDKCTEKCEQEVADILKTSEENTSLNDKILGFQNKWSDLCQRLHHKSSLPHFDISQTRPQAPILETLRFGPGFKESSSKDPPRSEFQYSTAQMSYMLPKGLHNTVTGSDSKVSETLQIDAKTPRIVPSSVLDHNRSSLSHTPVTTDLGLGTLYTSTSQYPDTPKLQDQKKHLQQLSDSISTDCDAVNETTSHQIPRSSWSGSNFDGKFDLADFKSLNRVLTERVGWQDEAIRAISQTLSLGKFGSGKSRGSQGRADTWLAFLGPDRLGKRKIASVLAETIYGNAESLIYVDLGFQDSFSPLNSVFEYQKSRCDVLRRKTIVDYIAGELSKKPHSVVFLENIDNADFLVQTSLLQAVKAGKFPDSHGRAISINNTIFLVASTKGSSSSLVSDESKMFSEERILEAKRCQMQLLLGHASEDAKTIGTTNVKVVPRKGFSKSSSLNKRKQTDTSDSNKGTTRKMQKQDSESSRSYLDLNMPVEENDEGVNDNDNDQESESITENTNNWCQHPISHDTWLSDLFDQIDEKVVFKPFNFEELAEQVLKSIGILFQRTFGSELDLEIDYEVMTHILAAAWLSDKKNAVENWVEHVLGRCFVEAQKKYHPVSQYVVRLVNCESIFVEEQAPGLCLPARINLD >Vigun02g051900.1.v1.2 pep primary_assembly:ASM411807v1:2:19386486:19387790:1 gene:Vigun02g051900.v1.2 transcript:Vigun02g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTWHLQTHFLFTQTQPQPLKNNTKQISDSHSLSRFYSALLSSVNGASHCGHRVNLFGLWTRFNVQKQQYCG >Vigun11g052300.1.v1.2 pep primary_assembly:ASM411807v1:11:8991534:8994201:-1 gene:Vigun11g052300.v1.2 transcript:Vigun11g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFDSLVLVGLPSAVSSSVAARPTSAKLPQCIGLRLRPTVARFVAASAPKVASHTMRVVREAQDTVVDVAPITDANWQSLVLESDSAVLVEFWAPWCGPCRMIHPIIDELATQYAGKLKCYKLNTDESPSTATRYGIRSIPTVMIFKNGEKKDTVIGAVPKSTLTTSIEKFV >Vigun01g034100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4414380:4420650:1 gene:Vigun01g034100.v1.2 transcript:Vigun01g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECISGAFLAAVFQVTFDKLASRDIEDYFHRRKIKDKMLKKLEIVLNSINQVLEDAEERQYKSPNVMNWLNQLKEAMYEAELLIDEVANEASRHKLEAEFQPTTSKVRGFFKAFVNPFDIQIASRVEELLENIQFLASQKDMLGLRKGIFAGNEVGVSWKQSQQLPTTSLVDESNICGREEEKEEIIKILLSDNVTCNQVPIISIVGMGGMGKTTLTQLVYNDQRVLDQFDLKAWVYVSQDFDVVAVTRAILKALGSKAAEEKDLNLLQLQLKQRLMGKRFLLVLDDVWNEDYASWGVLQIPFIYGPSGSRIISTTRNEKVALIMNSSHLYHLKPLEKEDCWKLFSDIAFHDKDATKYPYLVSIGSKIVDKCGGLPLALKALGNILRVKFSQHEWVKILESDMWHLSDNEANINPALRLSYHNLPSYLKRCFAHCSIFPKGYEFDRDQLIQLWMAEGLLSCCQINMSEEELGTEFFNDLVARSFFQQSRHRASCFTMHDLLNDLAISVSGEFCSQISDSLEINITKRTRHISWSRKINIDDKFLEHVSKCNKLRCFVAFRWEFGRGGLINTDKQRDLFSTLKYLRVLSFNDCLLTELVDDIGNLKLLRYLDLSYTKIKTLPDSICRLHNLQTLLLLWCYHLVELPIDLHKLVNLRHLDIRMSGINKMPNHIGRLKHLRTLTSFSIGKHDVKELGNLSNLQGTLSIFRLENVTNPRDAVEANLKGKKHLDGLVLNWGDKFGRCNENEDSILERQVLEALQPNGYLKKLSVLRYDGTSFPRWFGASHLPNLVSIALTKSKFCFVLPPFGQLPSLKELSISCFYGIEVIGPEFCGSDSSNIPFRSLEILKFEEMSAWKEWCSLEGHNEEGQGLSCLKELSVRRCPWLRSALPQHLPSLQKLEICDCQHLEDSVPKAASIHEIKLRLCEKLFLKDLPSSLKKATMHGTCIIESTLHQILVNNPFLEEMKIHNFHGPNKKWSSLDLHIHDSLVTLSITSWYSSSLPFPLHLFSNLHSLLFHDCPHLESFPEGGLPSSLRKLEIERCPKLVASREKWGLFKLHSLTELRISDDFENVESFPEDMLLPPTLSVLYLIACSKLKKTNYRGFLHLKSLNSLYILYCPLLQSLPEAALSNSLSVLYIHECPLLKQRYQRDGKHWHKIHNIPSVIMS >Vigun07g207000.1.v1.2 pep primary_assembly:ASM411807v1:7:32833044:32836328:1 gene:Vigun07g207000.v1.2 transcript:Vigun07g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKFASLALSPYRRSGMLGNRLNSPLLTIDSAAQFCYCKADLGAASSSIPLPNIRFSCRYSPSVATATWTSNPSTKHWILCSTAQIESIITSNEDQSTWEACKQALSAFNFSDEEKDKILGKAFGLVHSPYWGEERTMEIPKFETVNGILDYLRSLNLSDDDLSKLLKKFPEILGCNLEEELKGNVKILEEQWSINGNSLKKLLLRNPKVLGYNVDCKGDCIAQCTRCWARF >Vigun08g154000.3.v1.2 pep primary_assembly:ASM411807v1:8:32626404:32630028:1 gene:Vigun08g154000.v1.2 transcript:Vigun08g154000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHPKGIERTESLHTTMLQSVITSKVAPDTLVHNYKSFNGFVARLTKEESERMKGMDDVVSVIPNRVHSIQTSRSWNFIGFPENVQRSKVESNVIVGVLDTGIWPNSSSFTDGGFGPPPQKWKGTCQNFTCNNKIIGAKYIRLDSDFDKDDIISPIDTIGHGSHTASTAAGNSVKNANLFGLGVGTTRGGVPSARIAMYKVCWKSGCKTADILAGFDAAIIDGVDIISVSIGPSEVTLINYFDDVHAIGAFHAMKRGILTSKSAGNAGPRRSTTTNTAPWMISVAATTIDRKFFTKLHLGNGQMFQGFSLNTFSPTKKSYPLIYAGDAPAAGFNSSTSRYCRGNSLDTAKVKRKIVLCDGYSSSPYVGFASGAAGLIFSSTLNLLTGEIFALPAIHISASDGSSVSSYLKSTRNPEATISKSFEEKDSSALYVAPFSSRGPNMVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIVSGTSMACPHVTGAAAYVKSFHPHWSPAAIKSALMTTATPMNPARNPDAEFAYGAGQINPLKAVNPGLVYDAGENDYISFLCGQGYNSSSLQKVTGDKSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKVRSPVVVYVP >Vigun08g154000.2.v1.2 pep primary_assembly:ASM411807v1:8:32622248:32630028:1 gene:Vigun08g154000.v1.2 transcript:Vigun08g154000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGFSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKGIERTESLHTTMLQSVITSKVAPDTLVHNYKSFNGFVARLTKEESERMKGMDDVVSVIPNRVHSIQTSRSWNFIGFPENVQRSKVESNVIVGVLDTGIWPNSSSFTDGGFGPPPQKWKGTCQNFTCNNKIIGAKYIRLDSDFDKDDIISPIDTIGHGSHTASTAAGNSVKNANLFGLGVGTTRGGVPSARIAMYKVCWKSGCKTADILAGFDAAIIDGVDIISVSIGPSEVTLINYFDDVHAIGAFHAMKRGILTSKSAGNAGPRRSTTTNTAPWMISVAATTIDRKFFTKLHLGNGQMFQGFSLNTFSPTKKSYPLIYAGDAPAAGFNSSTSRYCRGNSLDTAKVKRKIVLCDGYSSSPYVGFASGAAGLIFSSTLNLLTGEIFALPAIHISASDGSSVSSYLKSTRNPEATISKSFEEKDSSALYVAPFSSRGPNMVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIVSGTSMACPHVTGAAAYVKSFHPHWSPAAIKSALMTTATPMNPARNPDAEFAYGAGQINPLKAVNPGLVYDAGENDYISFLCGQGYNSSSLQKVTGDKSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKVRSPVVVYVP >Vigun08g154000.1.v1.2 pep primary_assembly:ASM411807v1:8:32622248:32630028:1 gene:Vigun08g154000.v1.2 transcript:Vigun08g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGFSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKGIERTESLHTTMLQSVITSKVAPDTLVHNYKSFNGFVARLTKEESERMKGMDDVVSVIPNRVHSIQTSRSWNFIGFPENVQRSKVESNVIVGVLDTGIWPNSSSFTDGGFGPPPQKWKGTCQNFTCNNKIIGAKYIRLDSDFDKDDIISPIDTIGHGSHTASTAAGNSVKNANLFGLGVGTTRGGVPSARIAMYKVCWKSGCKTADILAGFDAAIIDGVDIISVSIGPSEVTLINYFDDVHAIGAFHAMKRGILTSKSAGNAGPRRSTTTNTAPWMISVAATTIDRKFFTKLHLGNGQMFQGFSLNTFSPTKKSYPLIYAGDAPAAGFNSSTSRYCRGNSLDTAKVKRKIVLCDGYSSSPYVGFASGAAGLIFSSTLNLLTGEIFALPAIHISASDGSSVSSYLKSTRNPEATISKSFEEKDSSALYVAPFSSRGPNMVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIVSGTSMACPHVTGAAAYVKSFHPHWSPAAIKSALMTTATPMNPARNPDAEFAYGAGQINPLKAVNPGLVYDAGENDYISFLCGQGYNSSSLQKVTGDKSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKVRSPVVVYVP >Vigun04g084100.1.v1.2 pep primary_assembly:ASM411807v1:4:13399836:13403433:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun04g084100.7.v1.2 pep primary_assembly:ASM411807v1:4:13394407:13403380:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun04g084100.5.v1.2 pep primary_assembly:ASM411807v1:4:13399882:13403380:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun04g084100.6.v1.2 pep primary_assembly:ASM411807v1:4:13399864:13403380:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun04g084100.4.v1.2 pep primary_assembly:ASM411807v1:4:13399836:13403433:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun04g084100.3.v1.2 pep primary_assembly:ASM411807v1:4:13394407:13403382:-1 gene:Vigun04g084100.v1.2 transcript:Vigun04g084100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSYKESSFLIPQEKKFCPSNGSVLDGHLIKSCDCFGSSCCLCCFNENLGETCFYLENAKEVVVDDDTDEHDNDDDVRGRLPVDPFELDIKSTLAAFSDWIEDFDFEWDFPPEDIDFLLAETHEKIGDHDHLHLLGLGWTWNGLEKLQHGFSSKENEMSVSGDIFKGYQNFDGVFGGGIVAEGSEGGFTSVKHDNYLVPSTEAMQNCTKIECDAEGGDPHDALFFVLGYLGVRDLLSVEQVCRTLRDAVRGDPLLWRTVHINQPLNERITDDKLVKLTNRAQGTLQCLILVNCLWVTDSGLRRVLRSNTGLMKLSVPDCIKITIEGILLNLRALKSYGKSGIKHLRIGGLAGTCHVTEQQLEELKKLLDTSKHLQHGNQKPQFYRREYSHILREDGRQIDVDACPKCKKVRPIYDCPAESCQQQHVATQLCRGCTVCIIRCIHCGRCITDIYEETFCLDSLCLNCFDQFLHCPVEGEKEAAECTIIGERTRYQFCLYG >Vigun09g251900.2.v1.2 pep primary_assembly:ASM411807v1:9:41896400:41902690:1 gene:Vigun09g251900.v1.2 transcript:Vigun09g251900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTSCFKLITCGGDAADKDDYHQVAEIKESNDKRGWSFRKKSARHRVLSNTVIAEAPSSTNKESAECTNFNFQPLSEPNVVEKVYTTNCSDEKPQLCSFENSQVAETNVIETERKVDVNPTESDVIVIQTAIRGLLAQRELLQRKKVVKLQAAIRGHLVRSHALGTLRCVQSIIKMQVLVRTRRAQQSHLENHLNHKDDKKDASEILGKENLMTKSNVNYTSIEKLLSNRFASQLLESTPKNKPIHVKCDPSKADSAWKWLERWMSISSKDIADCNENETSSLTEQSKESKDSAPVFQFETGIPSEPFPSATDSIPTVEDSSLPSEDEEKSITYDANNFEFQASCSAPSIVKDDLEQVPAEEKTSYDAKVTLADTNSFQNDNSASDASAAPELYSLHKGPEIAPSSEHTSLHGEPEITPPPEHTSFYQKPEIDSEQNKRSVKRFSSDKLESEGKKTVNGSKKVSNPAFIAAQSKFEELSSIANPGRTNNLSYQDSVVESQGDTSSVGNDSAYKSKEFAFENPALYLSRLAGSDCGTELSISSTLDSPDISEPGVMENERDAKDLVEGIGNLENTINRDDEANVSSSIPASNVATSVLDQSEVVDDISGNLGHSLVAVDSGEPAISNIEKDASDLQREPEETGLQDLRSSPEASPRSHLTVPESQGTPSSQVSVQPKESKINKNRAGIKRRSLPLSNKSPATPNQDSGSRGSREQLPKDQQNGKRRTSFGSVKPDHIDQEPRDNNTNNNSVPHFMQATESAKAKINANNSPRSSPDVNERDVEVKKRLSLPGAAGRQGSPRIQRSTSKAQQTAKGNNVNPPQERKWQR >Vigun09g251900.1.v1.2 pep primary_assembly:ASM411807v1:9:41896400:41902690:1 gene:Vigun09g251900.v1.2 transcript:Vigun09g251900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTSCFKLITCGGDAADKDDYHQVAEIKESNDKRGWSFRKKSARHRVLSNTVIAEAPSSTNKESAECTNFNFQPLSEPNVVEKVYTTNCSDEKPQLCSFENSQVAETNVIETERKVDVNPTESDVIVIQTAIRGLLAQRELLQRKKVVKLQAAIRGHLVRSHALGTLRCVQSIIKMQVLVRTRRAQQSHLENHLNHKDDKKDASEILGKENLMTKSNVNYTSIEKLLSNRFASQLLESTPKNKPIHVKCDPSKADSAWKWLERWMSISSKDIADCNENETSSLTEQSKESKDSAPVFQFETGIPSEPFPSATDSIPTVEDSSLPSEDEEKSITYDANNFEFQASCSAPSIVKDDLEQVPAEEKTSYDAKVTLADTNSFQNDNSASDASAAPELYSLHKGPEIAPSSEHTSLHGEPEITPPPEHTSFYQKPEIDSEQNKRSVKRFSSDKLESEGKKTVNGSKKVSNPAFIAAQSKFEELSSIANPGRTNNLSYQDSVVESQGDTSSVGNDSAYKSKEFAFENPALYLSRLAGSDCGTELSISSTLDSPDISEPGVMENERDAKDLVEGIGNLENTINRDDEANVSSSIPASNVATSVLDQSEVVDDISGNLGHSLVAVDSGEPAISNIEKDASDLQREPEETGLQDLRSSPEASPRSHLTVPESQGTPSSQVSVQPKESKINKNRAGIKRRSLPLSNKSPATPNQDSGSRGSREQLPKDQQNGKRRTSFGSVKPDHIDQEPRDNNTNNNSVPHFMQATESAKAKINANNSPRSSPDVNERDVEVKKRLSLPGAAGRQGSPRIQRSTSKAQQTAKGNNVNPPQERKWQR >Vigun01g149600.1.v1.2 pep primary_assembly:ASM411807v1:1:33101004:33118310:1 gene:Vigun01g149600.v1.2 transcript:Vigun01g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANPIVGSQIWMEDPDVAWIDGEVLEVKGDAIKVLCSSGKTVVVEASSIYHKDTEVPPSGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAAEGRTIEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKYKLGDPRMFHYLNQSNCFELEGLDESKEYRDTRRAMDIVGISSEEQDAIFKVVAAILHLGNIEFKKGKEIDSSEPRDEKSWFHLKTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPEAAALSRDALAKIVYSRLFDWLVDKINNSIGQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTEFFLDKNKDYVVAEHQALLCASKCPFVSGLFPPSPEESSKQSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFENKNVLLQLRCGGVMEAIRISCAGYPTRKTFDEFVDRFSLLAPEALDGSSDEVTACKRILKNIGLEGCQIGKTKVFLRAGQMAELDTRRSEILGRSASIIQRKVRSYLSRRSFILLRLSALRIQAACRGQLARQVYEGMRQEASSLVIQRCFRMHIARKAYNDLHATAVTIQTGMRGMAARCELYFRRRTKAAIVIQSHCQKYLAQLHFTELKKAAITTQCAWRGKVARQELRKLKMAARETGALQAAKSKLEKQVEDLTLRLQLEKRLRVDMEEAKAQENQRLQSALQEMQLQFKETKLLLEKEKEATKVAAERAPVIQEIPVIDHALLEKLSGENEKLKNMVSSLEKKIDETEKKYEEASKIGEERLKQALDAESKVIQLKTAMQRLEEKFIDMESANHILQKQSLLNSSVKTIAEHLSSPVDVKLENGHHVAEEEKVVDTYVTPVKQFGTESDFKLRRSYIERQHESVDSLVNCVMKNIGFSHGKPIAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSEIENQDGNDHMAYWLSNTSALLFLLEQSLKSGSSTNATPVRKPPNPTSLFGRMTMSFLSSPSSANLAAPPSDVVRKVEAKYPALLFKQQLTAYLEKIYGIIRDNLKKDLTAVLALCIQAPRTSKGGLRSSRSSAKDSPMVHWQSTIESLNTLLCTLKENFVPPVLIQKIFSQTFSYINVQLFNSLLLRRDCCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPILSVQQLYRICTLYWDGNYNTRSVSPDVLSSMRMLMAEDSNNAQSDSFLLDDSSSIPFSVDDLSTSLQEKDFSDMKPADELLENPAFQFLNE >Vigun03g034800.2.v1.2 pep primary_assembly:ASM411807v1:3:2659389:2662433:-1 gene:Vigun03g034800.v1.2 transcript:Vigun03g034800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVFSVPTPQLCGYKNSWPTPTYPSLSFSSSKLTVTLCSPSPPTSVIDEGTSPAPDDIPLVDRSEFVSGCKACGREEIEQGCNGEGRIQGGIATVPGFGWWPIKAYRPCPAFVASGGRYRRQGQSMDEVVSGSGKTSTIGTASDSKKSNKKESAKKSNR >VigunL057101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000430.1:66306:67760:1 gene:VigunL057101.v1.2 transcript:VigunL057101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSGFILVQENPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun06g194700.1.v1.2 pep primary_assembly:ASM411807v1:6:31077695:31089013:-1 gene:Vigun06g194700.v1.2 transcript:Vigun06g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAVRWGGEQLNMSFWKPGTERPQGRVVDDEEGGVLFLSGSHHSSSSRHGYASIDNQRQRLPVFKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWATGGRLIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDVTKPDVTVLKFLTDGVLLREMMDDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEAKSMSDFFQMRKKRRETENKDHGPQVEPAILSVEGRGFNVHINYAEEPVQDYVQAAVSTTLLIHEREPAGDVLVFLTGQDDIDAAVQLLTDQVQTTGKHSSGLIVLPLYSGLPRADQELVFSPAPCGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISRASARQRAGRAGRVRPGKCYRLYTEEYFLNHMPKEGIPEIQRSNMVSCVIQLKALGIDNILGFDWPASPSAEAMIRALEVLYSLGVLDDDAKLTSPTGFQVAEIPLDPMVSKMIIASSQLGCSEEIITIAAVLSVQSIWISGRGIQKESDEAKLRFAAAEGDHVTFLNVYKGFHQSGKSSQWCHKNYVNYHAMRKVLEVREQLKRIAKRIGLVLKSCESDMQLVRKAVIAGFFANACHLEAYSHDGMYKTLRGSQEVYIHPSSVLFRVNPKWVIYHSLVSTDRQYMRNVLTIDPSCLLDAAPHFYKLQQSNHLLY >Vigun03g414400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62139584:62142690:1 gene:Vigun03g414400.v1.2 transcript:Vigun03g414400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METITDKSRDQLGNTDHNPISGETQADKDLVSSIKEKLEAVSSLKSIFRVPLKLVEANENMYIPGTVSIGPLHHGKDGLKYMEDRKWHYLFTLLNRQPNQLESSLHECVNALSDLEKPARNFYAEELNLRSNQFIEMMLVDGCFIIELLLKYALKGIRRGGDPIFVTPGLLNRVRCDLVLLENQIPFLVLQRLFQIVLVQVQHDQTLTLSELTFRFFRRMLPGDREFVNEKFSQEGYHFLDLIRQCYLPNYARVVSKKSVSQGDLESATKLKKDGIRFKRSKAKCLLNLKFANGVLEVPPFTLHHFTEMLFSNMIALEQHQNDSQPFTSYALLMQAMVCTENDAKLFHKLRILIMNSYPEKEVCDLLKRLCRKVDYEEDKFYFAGLIEQILEYKRAPKSWRKILKCNWLKTRTT >Vigun09g229300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40115884:40116297:-1 gene:Vigun09g229300.v1.2 transcript:Vigun09g229300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHEAVQFPSSPVHQTMLTQSEIEDLFSLINKSRDRPSLASGSQGSNRTVYSSEERKLRRMQSNRESARRSRYRKKKHLENLSSQLNRLRIQNRFLKNRVASTMHQHLLLSLHNDHLKSEAIALMATLSDLCGILF >Vigun03g330400.1.v1.2 pep primary_assembly:ASM411807v1:3:52752299:52755851:-1 gene:Vigun03g330400.v1.2 transcript:Vigun03g330400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEKKTNLAKLIIPSSSSSPSPSPSITSPHVIHSRSHSFVGPSPRIDPSSTPLFFLQEVDDDDDEDEDEEKEPLSSDPVKIGPSDFHILRVVGQGAFGKVFLVRKKGNGFDGDADADGDGVFAMKVMRKDIIIKKNHVDYMKAERDILTKVLHPFIVQLRYSFQTKSKLYLVLDFVNGGHLFFHLYRQGIFSEDQARLYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIDELGRSNSLCGTVEYMAPEILQAKGHNKDADWWSVGILLYEMLTGKAPFTHTNRKKLQEKIIKEKVKLPPFLTSEAHSLLKGLLQKDPTTRLGHGPNGDEQIKSHKWFRSINWKKLEAREVEPKFKPDVSGKDCTANFDKCWTAMPPNDSPATTPTAGDHFQGYTYVAPNPWLSSRSLQ >Vigun07g231700.2.v1.2 pep primary_assembly:ASM411807v1:7:35347954:35355110:1 gene:Vigun07g231700.v1.2 transcript:Vigun07g231700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADVPDVDLSKVGVTKFGSFSVEVIDPVSDYLELLETVFDFQLIKGLLSRPDFRFIFDAMHAVTGAYAKPIFVDKLGATLDSISNGIPLEDFGHGHPDPNLTYAKDLVNILYAENGPDFGAASDGDGDRNMILGRSFFVTPSDSVAVIAANTEAIPYFKNGVKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGNLSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKDKKPGEKLVSVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRDIIAKSKAGDKYGSYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRVYIEQFEPDVSKHDADAQIALKPLIDLAISVSKLKDYTGREQPTVIT >Vigun07g231700.1.v1.2 pep primary_assembly:ASM411807v1:7:35346380:35355110:1 gene:Vigun07g231700.v1.2 transcript:Vigun07g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKLDNFILSAYKSQNSTAPLSKIQPSSFLPCPSSLKPQKLPFRVRYDSTIRATSAPSSPSTAIAEPEGIKINSIPTKPFEGQKTGTSGLRKKVKVFMQENYLANWIQALFNSLPPEDYKSGLLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGKEGVLSTPAVSAVIRKQKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADVPDVDLSKVGVTKFGSFSVEVIDPVSDYLELLETVFDFQLIKGLLSRPDFRFIFDAMHAVTGAYAKPIFVDKLGATLDSISNGIPLEDFGHGHPDPNLTYAKDLVNILYAENGPDFGAASDGDGDRNMILGRSFFVTPSDSVAVIAANTEAIPYFKNGVKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGNLSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKDKKPGEKLVSVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRDIIAKSKAGDKYGSYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRVYIEQFEPDVSKHDADAQIALKPLIDLAISVSKLKDYTGREQPTVIT >Vigun03g290600.1.v1.2 pep primary_assembly:ASM411807v1:3:47357746:47359693:-1 gene:Vigun03g290600.v1.2 transcript:Vigun03g290600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFYHVRNGSVLFLWVLVSAACVWGRPATFLQDFRVTWADSHITQIDQGRAIQLRLDQNSGCGFASKVKYMFGRVSMKIKLVPGDSAGTVTAFYLNSDTDNVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHTYSILWNHRHIVFYVDDIPIRVYKNNEGRGVPYPKMQAMGVYSTLWEADDWATRGGLEKIDWSRAPFYAYYKDFDIEGCAVPGPANCASNPRNWWEGAAYQALNAIEARRYRWVRMNHVIYDYCRDKSRYPITPPECLAGI >Vigun08g061200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8158718:8160610:-1 gene:Vigun08g061200.v1.2 transcript:Vigun08g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHKNPTSIPISDPEAQEITPTPTPSTAAPAKGYSAKVVVNKVKNNLVFHSKWGELNGAMGDLGTYIPIVLALTLARDLNLGTTLIFTGVYNIITGAIYGVPMPVQPMKSIAAQALSDTTFGVPEIMAAGILTGGVLFVLGVTGLMKLVYMLIPLCVVRGIQLAQGLSFALTAVKYVRKVQDLPKSKSLGERHWLGLDGLVLAIVCLCFIVIVNGAGEKNRGCCEGVQNEVARNKRRSRVRRVIFSLPSAFMVFVLGVVLAFVRRHEVVHEIKFGPSSIEVVKFSKHAWKKGFVKGAIPQLPLSILNSVVAVCKLSSDLFPGKDFSATSLSVTVGLMNLVGSWFGAMPSCHGAGGLAGQYKFGGRSGGCVALLGVAKLALGLVLGSSLAHILRQFPVGILGVLLLFAGIELAMCCRDMNTKEDSFVMLICTAVSLVGSSAALGFLCGMIIYVLLRLRNWSRDKPLSAIWMHKNPEQV >Vigun05g040700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3298161:3299288:-1 gene:Vigun05g040700.v1.2 transcript:Vigun05g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRIDFVISEGGASQNDGNSTSPSFGLVELSLCYTCFYKIQSFFFSFWFFLLCQLHSRYSKVREEEQVSKPEFSHRENESERSIDGENYLERDEVKTVMAKLGLFCSSESEELQEKYGSKELSELFEEQEPSLEEVKHAFDVFDENKDGFIDAKELQRVLCILGLKEAEELENCHKMIRVFDTNQDGRIDFIEFVKIMENRFC >Vigun01g117400.1.v1.2 pep primary_assembly:ASM411807v1:1:29375717:29381043:-1 gene:Vigun01g117400.v1.2 transcript:Vigun01g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKISVNDKNLVVHGKTILTGVPDNIVLTPGSGSGLVTGAFVGANASHSKSLHVFPMGVLEELRFMCCFRFKLWWMTQRMGTCGRDVPLETQFMLIESKESEVDGDNSPTIYTVFLPLLEGPFRAVLQGNEKNEIEICLESGDNAVETDQGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGATPPRFLIIDDGWQQIESKQKDLDCVVQEGAQFATRLTGIRENTKFQKKTQNNEQTSGLKHLVEGVKQHHNVKNVYVWHALAGYWGGVKPAATGMEHYDTALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYHHALEASIARNFTDNGCIACMCHNTDGLYSSKQTAVVRASDDFYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCPIYVSDKPGNHNFDLLKKLILPDGSVLRAQLPGRPTRDSLFVDPARDGTSLLKIWNMNKCSGVVGVFNCQGAGWCKIEKKTRIHDTSPGTLTGSVCASDVDLITQVAGPEWLGETIVYAYRSGEVIRLPKGVSVPVTLKVLEFELFHFCPIHEIAPGISFAAIGLLDMFNTGGAVELVEIHRASDNKPELFDGEVLSELTSSLSPNRAATATVCLRVRGRGRFGVYSSERPVKCVVGGTETDFNYESETGLATFSIPVPQEEMYRWAIEIQV >Vigun01g101950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26981408:26982193:1 gene:Vigun01g101950.v1.2 transcript:Vigun01g101950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQRDLLRRIKQQGTTKVRTNSRTRGSPMKTNLESEPESTQSDPKLKKRKKGDLQLALEASGSNDRPVEVTTKGKEGSLGQKGGFWDEDFAHLAHGRTRNYSAADEKMLSKGNSTSVYEGLLRSLHQAEASSIFLVDRLESSEKKEMKAIGDLDVAQKEVMQLRFELDELRKTLKEKENELSTLKTEFDELTPKVQVYETQVTSLTGRCQILESEKEELADQLCSTLKQGFQLALDQVKVLCPEADISSADITKEVVDG >Vigun01g204100.2.v1.2 pep primary_assembly:ASM411807v1:1:38004175:38006873:1 gene:Vigun01g204100.v1.2 transcript:Vigun01g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHGGLKSMVLMGAVMVAMVVVQNVQCEEGVVNVHDTTRNLVQNGGFVRRSGTNFVLNNKRFYFNGFNAYWLMLMASDPSTRPKVTSVLQQASSHGLTVARTWAFNDGAYGALQVSPGSYDEKVFRGLDFVISEAGKNGVRLILSLVNNWKDFGGKNQYVEWVKEHGEYVNSEDDFFTHPLARQHFKNHIKAVLTRKNTVSGVAYKDDPAIFAWELMNEPRCQHDNTGKVIQEWVIEMGAYVKSIDSNHLLEIGLEGFYGEDKKQINPGYQLIGTDFISNNLVHHVDFATMHLYPEQWMPGSNEAAQVAFVDKWLETHIEYSKNVLGKPVVVGEFGKSCRSYSVAERDSYLSKMYNAIYSNALSGGPCAGGLFWQLMAQGMDNFYDGYEVVFQNSPSTTRIIDQQSHKMSSIS >Vigun01g204100.3.v1.2 pep primary_assembly:ASM411807v1:1:38004219:38006852:1 gene:Vigun01g204100.v1.2 transcript:Vigun01g204100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHGGLKSMVLMGAVMVAMVVVQNVQCEEGVVNVHDTTRNLVQNGGFVRRSGTNFVLNNKRFYFNGFNAYWLMLMASDPSTRPKVTSVLQQASSHGLTVARTWAFNDGAYGALQVSPGSYDEKVFRGLDFVISEAGKNGVRLILSLVNNWKDFGGKNQYVEWVKEHGEYVNSEDDFFTHPLARQHFKNHIKAVLTRKNTVSGVAYKDDPAIFAWELMNEPRCQHDNTGKVIQEWVIEMGAYVKSIDSNHLLEIGLEGFYGEDKKQINPGYQLIGTDFISNNLVHHVDFATMHLYPEQWMPGSNEAAQVAFVDKWLETHIEYSKNVLGKPVVVGEFGKSCRSYSVAERDSYLSKMYNAIYSNALSGGPCAGGLFWQLMAQGMDNFYDGYEVVFQNSPSTTRIIDQQSHKMSSIS >Vigun01g204100.1.v1.2 pep primary_assembly:ASM411807v1:1:38003443:38006852:1 gene:Vigun01g204100.v1.2 transcript:Vigun01g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPSYSLFLFPHTLLGSDKMGWHGGLKSMVLMGAVMVAMVVVQNVQCEEGVVNVHDTTRNLVQNGGFVRRSGTNFVLNNKRFYFNGFNAYWLMLMASDPSTRPKVTSVLQQASSHGLTVARTWAFNDGAYGALQVSPGSYDEKVFRGLDFVISEAGKNGVRLILSLVNNWKDFGGKNQYVEWVKEHGEYVNSEDDFFTHPLARQHFKNHIKAVLTRKNTVSGVAYKDDPAIFAWELMNEPRCQHDNTGKVIQEWVIEMGAYVKSIDSNHLLEIGLEGFYGEDKKQINPGYQLIGTDFISNNLVHHVDFATMHLYPEQWMPGSNEAAQVAFVDKWLETHIEYSKNVLGKPVVVGEFGKSCRSYSVAERDSYLSKMYNAIYSNALSGGPCAGGLFWQLMAQGMDNFYDGYEVVFQNSPSTTRIIDQQSHKMSSIS >Vigun10g121900.3.v1.2 pep primary_assembly:ASM411807v1:10:32939867:32946677:1 gene:Vigun10g121900.v1.2 transcript:Vigun10g121900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLDNKSGSGAARHDLSDIPYNNVTTTNHTNTNDDDRMPFGAISQPRLVTTTTPTLAKSMFNSPGLSLALQQTNIDGQEDVNRMVENSFEPNGLRRSREEEHESRSGSDNIDGASGDEQDAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLSLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPMCSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALTGKFLGRPVSSLPNSSLELGVGGNGFGGISIGTTLPLGQDFGMGMSMSVSNNPLAMVSPSSTRPTSVVGGFDRSMERSMFLELALAAMDELVKMAQTGEPLWVRNVEGGREIMNHEEYVRTFTPCIGLRPNGFVSEASRENGMVIINSLALVETLMDANRWAEMFPCIIARTSTTEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDSIRESSGAASTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQIHQVYRPLLNSGMGFGAQRWVATLQRQCECLAILMSSATPSRDHSAITAGGRRSMVKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINSSQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSQNGPTAVSNGGDNGGTRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >Vigun10g121900.1.v1.2 pep primary_assembly:ASM411807v1:10:32939829:32946709:1 gene:Vigun10g121900.v1.2 transcript:Vigun10g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLDNKSGSGAARHDLSDIPYNNVTTTNHTNTNDDDRMPFGAISQPRLVTTTTPTLAKSMFNSPGLSLALQTNIDGQEDVNRMVENSFEPNGLRRSREEEHESRSGSDNIDGASGDEQDAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLSLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPMCSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALTGKFLGRPVSSLPNSSLELGVGGNGFGGISIGTTLPLGQDFGMGMSMSVSNNPLAMVSPSSTRPTSVVGGFDRSMERSMFLELALAAMDELVKMAQTGEPLWVRNVEGGREIMNHEEYVRTFTPCIGLRPNGFVSEASRENGMVIINSLALVETLMDANRWAEMFPCIIARTSTTEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDSIRESSGAASTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQIHQVYRPLLNSGMGFGAQRWVATLQRQCECLAILMSSATPSRDHSAITAGGRRSMVKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINSSQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSQNGPTAVSNGGDNGGTRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >Vigun10g121900.2.v1.2 pep primary_assembly:ASM411807v1:10:32939867:32946677:1 gene:Vigun10g121900.v1.2 transcript:Vigun10g121900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLDNKSGSGAARHDLSDIPYNNVTTTNHTNTNDDDRMPFGAISQPRLVTTTTPTLAKSMFNSPGLSLALQTNIDGQEDVNRMVENSFEPNGLRRSREEEHESRSGSDNIDGASGDEQDAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLSLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPMCSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALTGKFLGRPVSSLPNSSLELGVGGNGFGGISIGTTLPLGQDFGMGMSMSVSNNPLAMVSPSSTRPTSVVGGFDRSMERSMFLELALAAMDELVKMAQTGEPLWVRNVEGGREIMNHEEYVRTFTPCIGLRPNGFVSEASRENGMVIINSLALVETLMDANRWAEMFPCIIARTSTTEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDSIRESSGAASTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQIHQVYRPLLNSGMGFGAQRWVATLQRQCECLAILMSSATPSRDHSAITAGGRRSMVKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINSSQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSQNGPTAVSNGGDNGGTRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >Vigun10g121900.4.v1.2 pep primary_assembly:ASM411807v1:10:32939867:32946677:1 gene:Vigun10g121900.v1.2 transcript:Vigun10g121900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLDNKSGSGAARHDLSDIPYNNVTTTNHTNTNDDDRMPFGAISQPRLVTTTTPTLAKSMFNSPGLSLALQTNIDGQEDVNRMVENSFEPNGLRRSREEEHESRSGSDNIDGASGDEQDAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLSLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPMCSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALTGKFLGRPVSSLPNSSLELGVGGNGFGGISIGTTLPLGQDFGMGMSMSVSNNPLAMVSPSSTRPTSVVGGFDRSMERSMFLELALAAMDELVKMAQTGEPLWVRNVEGGREIMNHEEYVRTFTPCIGLRPNGFVSEASRENGMVIINSLALVETLMDANRWAEMFPCIIARTSTTEVISNGINGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDSIRESSGAASTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQIHQVYRPLLNSGMGFGAQRWVATLQRQCECLAILMSSATPSRDHSAITAGGRRSMVKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINSSQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSQNGPTAVSNGGDNGGTRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >Vigun07g152550.1.v1.2 pep primary_assembly:ASM411807v1:7:26358810:26359250:-1 gene:Vigun07g152550.v1.2 transcript:Vigun07g152550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKKEGRKTHNIEIKKKKIEIQRRKEEKLTIFPREIREENFENEMREEREKDQRENEESLKKEKAQIKRERDRILRMKWERNEKRIKEKMKGELEERKSSNKERKRRSSRKRRRRKRKKNIKKKRY >Vigun09g202900.1.v1.2 pep primary_assembly:ASM411807v1:9:37760584:37766234:1 gene:Vigun09g202900.v1.2 transcript:Vigun09g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSRERRCSSNEMASVSSTIFPAFGTPIFHVEPYSNLRKYVISPYDRRYQLWQTLVVALVVYSAWASPFELAFRKLLAGSLLTVVDLLVDAFFAVDIILTFFVAYFDTSTYLLVHDHNKIALRYVKKLHFTMDLASTLPIEQIYQILTGKPSRSELFGFLIMLRLWRLRRVSELFARLEKDIRINYSATRFCKLICVTLFAAHFAGCMFFWLAVEHKTPKHTWLGNKTQDFHELGVGLGYTYSVYWSVSTLTSVGYGDFYAVNLTEMLFCIFYMLFNIGLGAYIIGNMTTLLVHSSVGTFAVASQRDVFNKILQYANKNRLPGRLKEQILAHMQLKFKTAELQQELLEDLPKTIRSCIAHHLFRNIVETAYLFKGVSDYFITQLVSETKAEYYPSKVDIILQNEMPKYLYILVSGSLDVLKYNNGSEQFLFELESGDMAGEIGVMFNIPQPFTVRSRGLSQVIRINHHHFKQMVQPFSDDGKAIMYNFIRHLNGLKGKVLEEISYVTELLGDLEHLKQNEGTLHEVSRYQDPYKEGSLNPVSRKIGNFKPLRRPVPVRVTIHGHHPNENKMGNGTTPKLILLPNSIEDIFRAAERKFGKRGSKILMADGTEVEELNAIRENDELYIL >Vigun03g152600.1.v1.2 pep primary_assembly:ASM411807v1:3:16112224:16115642:1 gene:Vigun03g152600.v1.2 transcript:Vigun03g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVWMARVVAVLAIAAVASVEAQPEAKFVCNSANNSRCGALIGYSHTNGTTLGHIQTLFNVKKLVDILGANNLPSNTTKSHVVEANEVVKVPFPCRCSNRTGLSDGVPLYKIKKGDTLYDIATTTFGGLVKYPQITAANKLPDENNITTGDTLYIPLPCSCDVVDGSRVVHFAHLVVPQSTVEGIAEQFGTTEQILLNLNGISDPKTLQAGQILDVPLRACSSSVKNDSLDYPLLVANSSYAYTANECVKCSCDPSNNYILQCEQSHLKPTNWSICPSAQCSSNVFIGNTTSSTDSCNRTVCAYTGFTFNNISAELVTQNTCAVPPGPSGGSSGSGSGSGAPRSTLQGLLWSNLFVVVHFVLLLVYVL >Vigun01g127000.1.v1.2 pep primary_assembly:ASM411807v1:1:30433096:30434261:-1 gene:Vigun01g127000.v1.2 transcript:Vigun01g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKNTASTLKRILVNCVSEAKAYGSCVAVKVPDIERDMCVKEFLALKSCMQNMLKKKV >Vigun08g090300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20914905:20918428:1 gene:Vigun08g090300.v1.2 transcript:Vigun08g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFGRKLMVQVCNAKNLMPKDGQGTASAYAIVDFDGQRRRTNTKSRDLNPQWDETLEFIVHDKDSMASETLEVNLYNDKKTGKRSTFLGKVKLSGTTFVKSGSETVAYYPLEKRSVFSQIKGELGLKVWYVDDPPEAENTGEQKAESEPVAEEKPPGNIEGETKEDEAEKNKQDENKPKDEPAAEKPKEEVPEETASPKQEVSNPSIVQTEKPKQGNERHHEVLKCADLNVSNGELRSLSSDRSRSAYDLVDPMPFLYVRVVKTKRANLETGSSIYANLLIGTRSVKTKSEKESNDWDQVFAFDKEGLNSTSLEVSVWSEEVKEGEEKRESCLGTVSFDLQEVPRRVPPDSPLAPQWYTLESETTPGNDVMLAVWIGSQADEAFQEAWLSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLKLGSESEAKVRTPELHVKAQLGAQVFKTGRTSPGSANPTWNEDLVLVAAEPFQPFLVLIVEDVSNSKTVGHAKVHVATIERRTDNQTEVKSRWFNLYSEDESSSYAGRIHVRVCLEGGYHVIDETAHVTSDVRASAKQLTKPPIGLLEVGIRGATNLLPVKTIDGTHGTTDAFVVAKYGPKWVRTRTIMDQFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKPGEDGKPNKDCRIGKVRVRLSTLETNRVYANSYSLVVLLPGGAKRMGEIEIAVRFSCSSWLSLIQAYASPILPRMHYVRPFGPAQQDILRQTAMRIVTARLARSEPALGQEVVQFMLDSDTHMWGMRRSKANWFRVVRCLSRAATILCWVDGIRTWVHPPMTLLVHMLLAATVLCPYLVLPTVFLCAFLILLLRFRFRQRVPENMDLRMSYVDMVSLDELDEEFDGFPTTRPAEVVKMRYDRVRALAGRAQTLLGDVAAQGERLEALFSWRDPRATGIFTVVCLMMSLLFYAVPFRGLVLVAGFYYLRHPRFRDDMPSIPANFFRRLPSFSDQIM >Vigun07g048100.1.v1.2 pep primary_assembly:ASM411807v1:7:4967116:4981619:1 gene:Vigun07g048100.v1.2 transcript:Vigun07g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTFDSTTLRALRHSSFLFSSSSSSSSLTAICYSSSFTTLATIITHPHSFTPTSRVSFNHSRHFAVPAPRCVSSLPNLDWNDAVSCSEVNAESRDAGTVDQDTKPCIPVRAFFFSTSVDLKSLVEQNKPNFVPPSSRMTNYIVLKFGDICHSKGSGSFLSGSNGCYMVVFQYGSIVLFNVAEHEVDGYLKIVKKHASGLLPEMRKDEYEVREKPSLSTWMQGGLDYIMLQYLNIDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMQRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIVQRSAMKF >Vigun09g022900.1.v1.2 pep primary_assembly:ASM411807v1:9:1837896:1843506:-1 gene:Vigun09g022900.v1.2 transcript:Vigun09g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMMSEGESVWKAPYFNGRKYDEWKERMIVFIKSIDYKLWLVIKNGPKIPTKVVDNEEVEKSEDEYDEEDMKNLALEAKAKNILYCALSPDFFEICSETSKSAKQIWDELQRQMTGSPTPTTQPSNNAMDSDANQFNAPEGYFLSGTGESQAEFLKFCVPLHKYGLEGNWTEAEVILEKDERLKHAAITSGWSTLLHVAAGANHVHFVEELLQLLTDEQISLQDGKGNTAFCFAVASGNMRIVELLIKRNPHLPRIRGGEGHTPLKFALMQGKCYMAQFLYDKTRQVFEESDTESLFFTCVKTGNYHMALQMAREWPNLAWARDKNKDTALHLLALNQNPMDSCCHSPHLPNPIKINPGMNQLVIFQLVNYLWNTMLREKTIPEAIKIIGEPYQVLFDAAEVGNFGFLSELISAHPSLIWEVDNKNQSIIHTAVSHRHASIFNLVHEIGSQKDLIVTYIVKEDNPSSSSPKTKNNNLLHLAAKLAPPDQLELVSGAALQMCLEIIWFKEVKKIMPPSYVIMKNSNGLTAKELFTAEHEGLRKKGEEWMKRTAEFCILIATVIATAVFSAAINIPGGIDDGTKKPNYLNQTSFLVFAISDGAAFISSSTAILIFLSILMSRYAEDDFYKSLPLKLISGLITLFISIACMMVAFGSAFFITYNYGSRVVPDSIAVLVCPPLFLYIALQSSLWSDIIYSTFYCRTLFRPTKRMIYTIKEV >Vigun08g160900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33318706:33322826:-1 gene:Vigun08g160900.v1.2 transcript:Vigun08g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNNSLFSAVTFTLFTATLLILSSLSPSHAIGSASTLAVTSAAVCGVVASEPTRRIACYSDGHVVAVAPNISFSAISGGLSYFCGLRSGNYTLLCWDTLSYFQSKRLYNNDTVLFENLALGESQVCATAVGDGAVSCWRTNAAFELPSGSDRFSSISSGLGFSCGILKGSNWVRCWGVEARARKMESEFGNVSMASLVAGESHVCGLNSSGYLVCRGSNDFGQIDVPEGGALEFSGLALGAEHSCAIRRSNGSVVCWGGRGLFEVNVTKGVSFEVLVSGSNFTCGLTTNNFSVICWGPGWANNTSGYEIPLPPILPGPCVQSSCSECGIYPQSESLCSGFGNICKPRPCWPPMPGPEPPQMAPPPVVAVPPASQSSAFTKGLLAFAIVGCVGGVTGICSVIYCLWTGVCLGKKKIHNSVQPTITRGGSVNGGGGGSSNSISPPSRSSTIRRQGSRIMRRQRSGTSSTKHPERAEEFTLAELVAATDNFSLENKIGAGSYGVVYRGKLADGREVAIKRGETGTKMKKFQEKESAFESELAFLSRLHHKHLVRLVGFCEEKDERLLVYEYMKNGALYDHLHDKNNVDKSSSVVNSWRMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILIDATWTARVSDFGLSLMSPESDLDYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKNDENGGTPVSLVDFAVPVIMAGELAKILDPRVEPPELNETEAVELVAYTAMHCVNLEGKDRPTMADIVANLERALALCDSSHGSISSGTVSIVSD >Vigun06g081200.1.v1.2 pep primary_assembly:ASM411807v1:6:21302691:21303884:1 gene:Vigun06g081200.v1.2 transcript:Vigun06g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSPCASCKLLRRRCSKECIFAPYFPSDDPRKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCVQMQQQEPTITTQVDQDHKSLLLSVNNNFEPLPHYLNFPSSSSSSSNVFQDPHEPLKRESFWT >Vigun01g172700.4.v1.2 pep primary_assembly:ASM411807v1:1:35490155:35491843:1 gene:Vigun01g172700.v1.2 transcript:Vigun01g172700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPGDLSYADFLQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKLPLIHSERFTAYNARWRMPFEQSGSDSNLYYSFDVAGVHVIMLGSYTKFDPSSPQYNWLKSDLKKVNRGNTPWVVVLVHAPWYNSNTAHQGESESVQMKASMEDLLFQARVDVVFAGHVHAYERFTRVYKDKANNCGPVYITIGDGGNREGLANKYIDPKPAISMFREASFGHGILEVVNASHAQWTWHQNDNDEAVVSDSIWLTSFSSNPSCKQ >Vigun01g172700.1.v1.2 pep primary_assembly:ASM411807v1:1:35488172:35491843:1 gene:Vigun01g172700.v1.2 transcript:Vigun01g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRMCTLGFLLIVGIFELDAVHGYNRPPPRQNLVIPNADHPDSPQQVHISQVGQNKMRISWITESPTSPKVWYGPSPSANALAVTGTTSSYHYLLYKSGEIHDVVIGPLNPNTLYYYRLGDPPSSETYTFKTPPSQLPIKFAVVGDLGQTDWTSSTLEHVGKSNYDMLILPGDLSYADFLQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKLPLIHSERFTAYNARWRMPFEQSGSDSNLYYSFDVAGVHVIMLGSYTKFDPSSPQYNWLKSDLKKVNRGNTPWVVVLVHAPWYNSNTAHQGESESVQMKASMEDLLFQARVDVVFAGHVHAYERFTRVYKDKANNCGPVYITIGDGGNREGLANKYIDPKPAISMFREASFGHGILEVVNASHAQWTWHQNDNDEAVVSDSIWLTSFSSNPSCKQ >Vigun01g172700.3.v1.2 pep primary_assembly:ASM411807v1:1:35490155:35491843:1 gene:Vigun01g172700.v1.2 transcript:Vigun01g172700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISWITESPTSPKVWYGPSPSANALAVTGTTSSYHYLLYKSGEIHDVVIGPLNPNTLYYYRLGDPPSSETYTFKTPPSQLPIKFAVVGDLGQTDWTSSTLEHVGKSNYDMLILPGDLSYADFLQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKLPLIHSERFTAYNARWRMPFEQSGSDSNLYYSFDVAGVHVIMLGSYTKFDPSSPQYNWLKSDLKKVNRGNTPWVVVLVHAPWYNSNTAHQGESESVQMKASMEDLLFQARVDVVFAGHVHAYERFTRVYKDKANNCGPVYITIGDGGNREGLANKYIDPKPAISMFREASFGHGILEVVNASHAQWTWHQNDNDEAVVSDSIWLTSFSSNPSCKQ >Vigun01g172700.2.v1.2 pep primary_assembly:ASM411807v1:1:35488385:35491843:1 gene:Vigun01g172700.v1.2 transcript:Vigun01g172700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPGDLSYADFLQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKLPLIHSERFTAYNARWRMPFEQSGSDSNLYYSFDVAGVHVIMLGSYTKFDPSSPQYNWLKSDLKKVNRGNTPWVVVLVHAPWYNSNTAHQGESESVQMKASMEDLLFQARVDVVFAGHVHAYERFTRVYKDKANNCGPVYITIGDGGNREGLANKYIDPKPAISMFREASFGHGILEVVNASHAQWTWHQNDNDEAVVSDSIWLTSFSSNPSCKQ >Vigun03g170100.1.v1.2 pep primary_assembly:ASM411807v1:3:20296285:20299880:-1 gene:Vigun03g170100.v1.2 transcript:Vigun03g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPSSISSNYPLISAFVAFALAQSIKFFTTWFKERRWDLKQLVGSGGMPSSHSATVTALASAVGLQEGFQGPLFAISLVFACTVMYDATGVRLQAGRQAEVLNQIVYELPSEHPLAERRPLHELLGHTPPQVIAGGILGLLTALALLRPLTIN >Vigun02g187600.4.v1.2 pep primary_assembly:ASM411807v1:2:32613673:32618987:1 gene:Vigun02g187600.v1.2 transcript:Vigun02g187600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRAKSEKRSKDRHLERDDKYHRDSKDHHNHRSDSNHKRDHKSRDNDRDRNYERDGNKDRGRTYDREGSKDRGKTYDREGSRDRDRVHDSEGSKDKDKAYERESGKDRSKVKRDDGREDLVESRYSSHSHKRKEREHSEDRDFEDKKIKVSEERKEGKRERRKFGDKVKKEEEEDGDDNNIRGIHEAKVKEEDTEGAHGSASSKDTVFVQNGALGSLAVAPMSVFETSLAPPPPFPIKVSLNSTTNENKGVSITRSHEVTGKSSTDGSSSTAGKAGSLSIDALAKAKKALQMQKELSEKLKKIPQLNKSSTQNSHGSSNLGSNSESASAVMPKGSSSASFGHVANMSIFPSATPPASGTSAAGIANATLPNLEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDVAILQKPTKAPVLRLDAQGREIDEHGNVISVTKPVNLSTLKVNINKQKKEAFEILQPVLDVDPESNPYFDESMGINKTKILRPKRMSFQFVEEGKWSRDAETIKLKSKFGEAQAKEHKAKQAQLAKAKAAPDINPNLIEITERVVIKEKPKDQIPDIEWWDLPLVHSGNYGDIDNETIAEDKVKIENITFLVHHPRPIEPPAEPTPPPPQPLKLTKQEQKKLRTQRRIAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRLEKEVRSAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNTVETIVSLYRINDLSHPKARFRVDVNAQENRLSGRAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDFSKEKEENEDSEDDEKPANKCVLVWQGSVAKPNFNRFSVHDCITEAAARKVFVDAGVPHYWDQAINYVEDEAV >Vigun02g187600.2.v1.2 pep primary_assembly:ASM411807v1:2:32614258:32618987:1 gene:Vigun02g187600.v1.2 transcript:Vigun02g187600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRAKSEKRSKDRHLERDDKYHRDSKDHHNHRSDSNHKRDHKSRDNDRDRNYERDGNKDRGRTYDREGSKDRGKTYDREGSRDRDRVHDSEGSKDKDKAYERESGKDRSKVKRDDGREDLVESRYSSHSHKRKEREHSEDRDFEDKKIKVSEERKEGKRERRKFGDKVKKEEEEDGDDNNIRGIHEAKVKEEDTEGAHGSASSKDTVFVQNGALGSLAVAPMSVFETSLAPPPPFPIKVSLNSTTNENKGVSITRSHEVTGKSSTDGSSSTAGKAGSLSIDALAKAKKALQMQKELSEKLKKIPQLNKSSTQNSHGSSNLGSNSESASAVMPKGSSSASFGHVANMSIFPSATPPASGTSAAGIANATLPNLEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDVAILQKPTKAPVLRLDAQGREIDEHGNVISVTKPVNLSTLKVNINKQKKEAFEILQPVLDVDPESNPYFDESMGINKTKILRPKRMSFQFVEEGKWSRDAETIKLKSKFGEAQAKEHKAKQAQLAKAKAAPDINPNLIEITERVVIKEKPKDQIPDIEWWDLPLVHSGNYGDIDNETIAEDKVKIENITFLVHHPRPIEPPAEPTPPPPQPLKLTKQEQKKLRTQRRIAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRLEKEVRSAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNTVETIVSLYRINDLSHPKARFRVDVNAQENRLSGRAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDFSKEKEENEDSEDDEKPANKCVLVWQGSVAKPNFNRFSVHDCITEAAARKVFVDAGVPHYWDQAINYVEDEAV >Vigun02g187600.1.v1.2 pep primary_assembly:ASM411807v1:2:32613617:32618987:1 gene:Vigun02g187600.v1.2 transcript:Vigun02g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRAKSEKRSKDRHLERDDKYHRDSKDHHNHRSDSNHKRDHKSRDNDRDRNYERDGNKDRGRTYDREGSKDRGKTYDREGSRDRDRVHDSEGSKDKDKAYERESGKDRSKVKRDDGREDLVESRYSSHSHKRKEREHSEDRDFEDKKIKVSEERKEGKRERRKFGDKVKKEEEEDGDDNNIRGIHEAKVKEEDTEGAHGSASSKDTVFVQNGALGSLAVAPMSVFETSLAPPPPFPIKVSLNSTTNENKGVSITRSHEVTGKSSTDGSSSTAGKAGSLSIDALAKAKKALQMQKELSEKLKKIPQLNKSSTQNSHGSSNLGSNSESASAVMPKGSSSASFGHVANMSIFPSATPPASGTSAAGIANATLPNLEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDVAILQKPTKAPVLRLDAQGREIDEHGNVISVTKPVNLSTLKVNINKQKKEAFEILQPVLDVDPESNPYFDESMGINKTKILRPKRMSFQFVEEGKWSRDAETIKLKSKFGEAQAKEHKAKQAQLAKAKAAPDINPNLIEITERVVIKEKPKDQIPDIEWWDLPLVHSGNYGDIDNETIAEDKVKIENITFLVHHPRPIEPPAEPTPPPPQPLKLTKQEQKKLRTQRRIAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRLEKEVRSAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNTVETIVSLYRINDLSHPKARFRVDVNAQENRLSGRAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDFSKEKEENEDSEDDEKPANKCVLVWQGSVAKPNFNRFSVHDCITEAAARKVFVDAGVPHYWDQAINYVEDEAV >Vigun02g187600.3.v1.2 pep primary_assembly:ASM411807v1:2:32613673:32618987:1 gene:Vigun02g187600.v1.2 transcript:Vigun02g187600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRAKSEKRSKDRHLERDDKYHRDSKDHHNHRSDSNHKRDHKSRDNDRDRNYERDGNKDRGRTYDREGSKDRGKTYDREGSRDRDRVHDSEGSKDKDKAYERESGKDRSKVKRDDGREDLVESRYSSHSHKRKEREHSEDRDFEDKKIKVSEERKEGKRERRKFGDKVKKEEEEDGDDNNIRGIHEAKVKEEDTEGAHGSASSKDTVFVQNGALGSLAVAPMSVFETSLAPPPPFPIKVSLNSTTNENKGVSITRSHEVTGKSSTDGSSSTAGKAGSLSIDALAKAKKALQMQKELSEKLKKIPQLNKSSTQNSHGSSNLGSNSESASAVMPKGSSSASFGHVANMSIFPSATPPASGTSAAGIANATLPNLEAVRRAQELAARMGFRQDPQFAPLINMFPGQMVTDVAILQKPTKAPVLRLDAQGREIDEHGNVISVTKPVNLSTLKVNINKQKKEAFEILQPVLDVDPESNPYFDESMGINKTKILRPKRMSFQFVEEGKWSRDAETIKLKSKFGEAQAKEHKAKQAQLAKAKAAPDINPNLIEITERVVIKEKPKDQIPDIEWWDLPLVHSGNYGDIDNETIAEDKVKIENITFLVHHPRPIEPPAEPTPPPPQPLKLTKQEQKKLRTQRRIAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGSEATQDPTRLEKEVRSAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNTVETIVSLYRINDLSHPKARFRVDVNAQENRLSGRAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDFSKEKEENEDSEDDEKPANKCVLVWQGSVAKPNFNRFSVHDCITEAAARKVFVDAGVPHYWDQAINYVEDEAV >Vigun02g166200.1.v1.2 pep primary_assembly:ASM411807v1:2:31032854:31035822:-1 gene:Vigun02g166200.v1.2 transcript:Vigun02g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSLQVVLVVFTCIFHATTVTSTAHHDLTFRTFSANSSKNQPWVGPIGYRKITVDINGGGHYRSVQDAVDAVPDNNRRNILIQINAGCYKEKVVVPVTKPYITFEGAGKEVTVIEWHDRASDPGPSGQQLRTYRTASVTVFASYFSARNISFKNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCWLHSIASRFGSIAAQDRKQEEEKTGFAFVGCRVTGTGPLYVGRAMGQYSRIIYSYTYFDDIVAHGGWDDWDHADNKNKTVFFGVYKCWGPGAEAVRGVSLARDLDFETAHPFIRKSFVNGRHWIAPSDA >Vigun06g128200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25490322:25490846:-1 gene:Vigun06g128200.v1.2 transcript:Vigun06g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVRMMRFLNEMGEDEAAPQPRRNRNNNRYRAPRNNSAPPSHSSSPSQFNNSGTQNMTGLINNTGYVQGNGNGSIISGGFDSSTKNYY >Vigun07g250700.2.v1.2 pep primary_assembly:ASM411807v1:7:37001691:37006759:-1 gene:Vigun07g250700.v1.2 transcript:Vigun07g250700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNKQENETQAKEEEDMDEILGVAYCVARFPSWPEGIFLGFLHCVVAIGTIAMASCILVPLMGGGNEEKAEMIETLLFVGAINTLLQTWFGTRLPVVMGPSYTFLVPAVSIAVSRRMSVFEDPHQRFIQSMRAIQGALIAASFFQVIVGFLGFWRIFARCLSPLSVVPLVTLTGLGLFLSIFPTMLHCPAIALPAFFILVVFQYIDRRMKSRGVNRFAIIVSIGIAWAVAEFLFAVGAFKERSVKTQITCFTNPSALITAAPWIRVPHPFRWGRPSFNVADIFSMVSASLVATIESTGTFIAASRLGKATPIPPSVLGRGVGWLGLGTLLDGIFGTGTGSTASVKIWSISCISAFACCSSYLLHSLCLRSIRWAWISSILQS >Vigun07g250700.1.v1.2 pep primary_assembly:ASM411807v1:7:37001691:37006759:-1 gene:Vigun07g250700.v1.2 transcript:Vigun07g250700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNKQENETQAKEEEDMDEILGVAYCVARFPSWPEGIFLGFLHCVVAIGTIAMASCILVPLMGGGNEEKAEMIETLLFVGAINTLLQTWFGTRLPVVMGPSYTFLVPAVSIAVSRRMSVFEDPHQRFIQSMRAIQGALIAASFFQVIVGFLGFWRIFARCLSPLSVVPLVTLTGLGLFLSIFPTMLHCPAIALPAFFILVVFQYIDRRMKSRGVNRFAIIVSIGIAWAVAEFLFAVGAFKERSVKTQITCFTNPSALITAAPWIRVPHPFRWGRPSFNVADIFSMVSASLVATIESTGTFIAASRLGKATPIPPSVLGRGVGWLGLGTLLDGIFGTGTGSTASVANAGLLGLTRIGSRRVIQISAGFMLFFSILGKFGAFLASVPLPVVAAIYCILFAFVVSAGLGFLQFCNLNSYRSMFILGLSLCIGLSVPQYFNEYLLLPKHGRLHTASTGFNNTVQVLLSSPATVAITVAYFLDLTLRGGDTSTRRDSGRHWWEKFRSFNQDIRSEDFYSLPFNLSKFFPSI >Vigun06g048250.1.v1.2 pep primary_assembly:ASM411807v1:6:17261437:17262235:1 gene:Vigun06g048250.v1.2 transcript:Vigun06g048250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWSLRVRNNNIFRNVKVDVEKMFSLAQIKTWVWITNKYSKASFSYSDWFLCPTMCLKSIRL >Vigun10g136432.1.v1.2 pep primary_assembly:ASM411807v1:10:35082943:35085987:-1 gene:Vigun10g136432.v1.2 transcript:Vigun10g136432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKALRLKDECPKDEEVSHAENVTSFDLTSSYSGYIEFDSRKSIVQDIMRKLKDPNIKIIGLHGAQGMGKSTLIKKIANKAKDEGLFDRVAEIDVTVNPNPLTIQADIAHVLGLPLVGESENVRADYLRRWLKIENVSILIILDNLHERLDLNRLGIPVDLDYDLRKKNELSIMSSNQGTNPNPGGGAQGTGEKVLKKGNFLGDYKGCKVLLSSRDKKVFHDEVDVESNFCLKELDGNDALMLFEKVIGGGNKMSMPKEEIQNYCTGLPMRIVTFGVAFKNWIESESKPTLDKFKKQGLVEWQKSSETPNKKKYDLPKNKELKFIYLLCAQMGHLPLVNDLVKYCFGLGIFEGVSSLSAAREKINESIQELKNLSLVSYENPNIHFHMSHMVRDDALSNALMDHNVFALRDGKLDYWPDLEKCISISICNSYITDGFPQVINCPQLKFLQIETNDPSLEIPQRFFSSMKNLLVLILTDFHLSSLPYSIKDLLNLRMLCLERCTLDCNLSVLRKFKKLRILSFSGSQLKNLPVELRYLDKLRMLDISDCFKLKIIPPDLFSNLRCLEELYIRKSLIKMLVEKGENKGHNSFLSELKNLHQLKVVDLSIPCVSILPSHLFFDRLKDYKIEIGDFEMFSVGEFRMPNKYEELKVLALQLKDDTDLNSHQGIKLLFKTAQSLFLGNVCVQNVLNELNIDGFQNLKHLSIINNNDVEYFNSTDLSYCVNIFSNLESLWLCNMMNLKMICRGPITLESFAKLKTIKVEMCCQLENLFSFYAIKISTSTGTSEIFKCNSIMKKFLASLEMIEVCECESLKEILQIPLDCGEVCEVCECESLKEILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHLTEAQTTNWGHTEISTEQDGHSDNAPPLFGELVEVPNLENLNLSSLNIHKIWSDQYLSSFYFQNLIKLAVKDCDKLTHLCSLPMASSCWQGELFFHKQRSILMMSTYLG >Vigun07g039200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3809232:3812643:1 gene:Vigun07g039200.v1.2 transcript:Vigun07g039200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKTKRRGVIENGNGGEDMVMANLIANGDDVGPLVRLSFEMGRPEGLLHQLTYVVKQKEAEIEEMCKTHYDEFILAVDELRGVLVDAEKLKSELQSDNFKLQQVGSTLLAKLEGLLELYSIRKNMTEAIEVSKSCIQVLELCIKCNSHISEGQFYSALKTLDLVEKSCKQNIPAKALKEVIEKRIPVIKLHIEKKMCIQVNEWMVDIRGSAKNIGETAIGHAVTVRQKDQEMLEQQRKVEEQSISGLGDLGYALDAEEVEEDSVLQFDLTPLYRAYHIHECLGILKKFREYYYTNRLLQLNSDLEITSAQPFVESYQTYFAQIAGFFIVEDRVLRTTGGLLEADQAETMWQTAAAKMTSLLEEQFSSMESAPHLLLVKDYVTLFASTLRQYGYEIGTFLDVLDSSCDKYHMLLLEECRQQTVDVFGNDPYDQMEIKKETDYENIVLSFNLQTSDIMPEFPYIAPFSSMVPDICRIVRSFIKGSVDYLSYGIQVNFFDVVKKYLDKFLIDVLNVTLLEKINSGNITVPQLMQIATNITVLERACDFFLRHAAQLCGIPVRSVGRPQATLTAKVILKTSREAAFIALQSLVNTKISEFMNLSDSVNWTPEETNENGNDYIHEVIIYLDSFMSPAQQILPLDSVYRVGSGAFEHISNSIVAAFSSDSVKRFNVNAVINIEYDLQIIENFAEERFYSAGLGETYNEVSFKNCLVEARQLVNLLLSSQPESFLNPDVWEKNYYALEIKKVAAILDKFKDSPDGIFGSLANKNAKQSARKKSMDVLKKRLKDFS >Vigun07g039200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3808760:3812673:1 gene:Vigun07g039200.v1.2 transcript:Vigun07g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKTKRRGVIENGNGGEDMVMANLIANGDDVGPLVRLSFEMGRPEGLLHQLTYVVKQKEAEIEEMCKTHYDEFILAVDELRGVLVDAEKLKSELQSDNFKLQQVGSTLLAKLEGLLELYSIRKNMTEAIEVSKSCIQVLELCIKCNSHISEGQFYSALKTLDLVEKSCKQNIPAKALKEVIEKRIPVIKLHIEKKMCIQVNEWMVDIRGSAKNIGETAIGHAVTVRQKDQEMLEQQRKVEEQSISGLGDLGYALDAEEVEEDSVLQFDLTPLYRAYHIHECLGILKKFREYYYTNRLLQLNSDLEITSAQPFVESYQTYFAQIAGFFIVEDRVLRTTGGLLEADQAETMWQTAAAKMTSLLEEQFSSMESAPHLLLVKDYVTLFASTLRQYGYEIGTFLDVLDSSCDKYHMLLLEECRQQTVDVFGNDPYDQMEIKKETDYENIVLSFNLQTSDIMPEFPYIAPFSSMVPDICRIVRSFIKGSVDYLSYGIQVNFFDVVKKYLDKFLIDVLNVTLLEKINSGNITVPQLMQIATNITVLERACDFFLRHAAQLCGIPVRSVGRPQATLTAKVILKTSREAAFIALQSLVNTKISEFMNLSDSVNWTPEETNENGNDYIHEVIIYLDSFMSPAQQILPLDSVYRVGSGAFEHISNSIVAAFSSDSVKRFNVNAVINIEYDLQIIENFAEERFYSAGLGETYNEVSFKNCLVEARQLVNLLLSSQPESFLNPDVWEKNYYALEIKKVAAILDKFKDSPDGIFGSLANKNAKQSARKKSMDVLKKRLKDFS >Vigun10g135355.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34674247:34675767:1 gene:Vigun10g135355.v1.2 transcript:Vigun10g135355.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAINCLLTGRRDGVTGHHSSVMAYLPPCAAPPQLKPQSCAPPSHWSFHRTLASTLHASPTLALPWNRGLHYATPSALVLPRNPSLPVFAFSTLALPWNPSLYPACLDIRKSTYT >Vigun09g066800.2.v1.2 pep primary_assembly:ASM411807v1:9:7068222:7071037:1 gene:Vigun09g066800.v1.2 transcript:Vigun09g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMRCSSMISRSKSDQIALKETLRAQQQLLEKLYAELDEEREASATATNEALDMILRLQGEKAVVKMEASHYKRVAEEKIGHAEASLEAFEELMYQKEMLIVSLEFQVQAYRHKLMSLGCDLSANEFEFQEDLQLNRSDKRNGENGGQSSSTVRRLHSMPAIQFLSSLRAAARERSPSPVLDVIPKIIEEESTEKEVAQPKSVEFSGGGGTLDSYLNQIKELNEQVKIISDCAEGEKSADLSSRRGKSYSVLSQAGTDEVSQGESTRNGGVANDSPCLHNVYDVYEVPQTCEKGKRLEKWNLDAENRSRKPDSEYQGMVEKPVKLGATEKRKSMYKVYSEIKTTCPTVMMSVSGHKKEGMSEVCCSQAEFHKLNQRIERLERERISAKQEIHHEGNDEEQLRLLKDIQSQLQSIQSEMRDLKTKKAAPKDNVSLASLQEAMLHFWL >Vigun09g066800.1.v1.2 pep primary_assembly:ASM411807v1:9:7068222:7071037:1 gene:Vigun09g066800.v1.2 transcript:Vigun09g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMRCSSMISRSKSDQIALKETLRAQQQLLEKLYAELDEEREASATATNEALDMILRLQGEKAVVKMEASHYKRVAEEKIGHAEASLEAFEELMYQKEMLIVSLEFQVQAYRHKLMSLGCDLSANEFEFQEDLQLNRSDKRNGENGGQSSSTVRRLHSMPAIQFLSSLRAAARERSPSPVLDVIPKIIEEESTEKEVAQPKSVEFSGGGGTLDSYLNQIKELNEQVKIISDCAEGEKSADLSSRRGKSYSVLSQAGTDEVSQGESTRNGGVANDSPCLHNVYDVYEVPQTCEKGKRLEKWNLDAENRSRKPDSEYQGMVEKPVKLGATEKRKSMYKVYSEIKTTCPTVMMSVSGHKKEGMSEVCCSQAEFHKLNQRIERLERERISAKQEIHHEGNDEEQLRLLKDIQSQLQSIQSEMRDLKTKKAAPKDNVSLASLQEAMLHFWL >Vigun09g225400.2.v1.2 pep primary_assembly:ASM411807v1:9:39812156:39813435:1 gene:Vigun09g225400.v1.2 transcript:Vigun09g225400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSSACSRHNLSFHKIEDPEKRRGNYGYEKWLSSNVRLTRKMMSPPSSNNSDLATNKAFDIIRVCADCNTTSTPLWRSGPNGPKSLCNACGIRQRKARRAMAEAANGFGPSRGHHEKEKKCRRKHFAGLKNKSKTATSATGRGTLSEEQKLRIDLKDFAISLRDDSALKQEFPMDEVSQAAMLLMDLSREALFTYYK >Vigun09g225400.1.v1.2 pep primary_assembly:ASM411807v1:9:39812156:39813435:1 gene:Vigun09g225400.v1.2 transcript:Vigun09g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSLNLPDQTELFISPDNHHSTLSCYSFFDILDQSQTKDIRYLTHADQEDVKFVFHGGSSDNEQVCNASSTQPKSVMADPNSSACSRHNLSFHKIEDPEKRRGNYGYEKWLSSNVRLTRKMMSPPSSNNSDLATNKAFDIIRVCADCNTTSTPLWRSGPNGPKSLCNACGIRQRKARRAMAEAANGFGPSRGHHEKEKKCRRKHFAGLKNKSKTATSATGRGTLSEEQKLRIDLKDFAISLRDDSALKQEFPMDEVSQAAMLLMDLSREALFTYYK >Vigun01g042300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5966963:5967673:1 gene:Vigun01g042300.v1.2 transcript:Vigun01g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSKQMHLPLSLSYYAINTLHHHSQLHHKNLRFSFVHSNSISTSIPNSTFTNMPLMSSFFSCFIPSSSGQVFDYTEGSSSSSSSSMNLPSSEKPKHKPKSKDAPIVVSYFPVNHYPSRL >Vigun05g141432.1.v1.2 pep primary_assembly:ASM411807v1:5:17622394:17623973:-1 gene:Vigun05g141432.v1.2 transcript:Vigun05g141432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSEDDGCTTETKIYFRHSCRTHFLGSLNKILAKEQIICLEQTPFAWMPKLKESLKISRNLLNELVNVWVERRGGFLLNKEIVRFSLLDVCLGLGLRVVGTKIDLAEGFVQSQCRKQFDDENVDVKMVYEFLMQHLGDLSVVDFCRLYVLIGICEFLLSSRRGRIFPILFNIVDDFGSLGKYNWGSVVYEYLVESLCTASLLLSDEGNAKYFHVDGCVYLLQVLHDVAVSEEELKHVVVQEGFHEYGCGFGKVERRNEGGCFLEEKEELMREILEQEAVIEELKQSIDILKTKMKERRKQPPKKYDPCYDTNGCASSTHDHSFDLGGKSVEEDDEVHKSNGMCVKMEESNMYARMKHQPRTRYKSRSIRTPFASYGIRRLKK >Vigun02g083100.1.v1.2 pep primary_assembly:ASM411807v1:2:23651141:23653342:1 gene:Vigun02g083100.v1.2 transcript:Vigun02g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTVQPIPLSKLPNASYSLPKPSLSRRVILTTPTATLFSRSIFLRNLLPRATPSEEISGGSSQFFNEKRDVVITLEEGKADDKKELEETVNEDARKELPEEGPSLSFDFLDKFNLDTNDTGSIVLYGGGVLTALWLTTAVVGAIDSIPLFPKLLEVVGLAYTVWFTSRYLLFKQSRDELSTKIEELKEQVFGSEDN >Vigun09g214600.1.v1.2 pep primary_assembly:ASM411807v1:9:38892172:38895834:-1 gene:Vigun09g214600.v1.2 transcript:Vigun09g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFFALALFLFITLDSDVVPSSAASASASAASSEGVEVQITYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPSVDDSNSYWIVRPQPGTNAKQGDSIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGENESDTGDYWRLLIEGSGKTWKQDQKIRLQHIDTGGYLHSHDKKYSRIAGGQQEVCGVREKRADNVWLAAEGVYLPVTESK >Vigun03g331900.1.v1.2 pep primary_assembly:ASM411807v1:3:52962380:52965719:1 gene:Vigun03g331900.v1.2 transcript:Vigun03g331900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACVRDDVAESNRVRKKKTKPNPYAEEALRSGVPIRVLKDVTSRSLIGDKYVIGRELGRGEFGITYLCTDRETKQELACKSISKRKLRTAVDVEDVRREVAIMSTLPDHANVVKLKASYEDQENVHLVMELCAGGELFDRIVARGHYSERAAAHVARTIAEVVRMCHANGVMHRDLKPENFLFANKKENSVLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAEDERGVALAILRGVIDFKREPWPQISDSAKSLVRQMLEPDPKKRLTAEQVLEHPWLQNAKKASNVPLGDIVRTRLKQFSVMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGKVTYEELKAGLRKVGSQLAEPEIKMLMDVADVDGNGVLDYGEFVAVTIHLQRMENDEHFRKAFMFFDKDGSGYIEFGELETALVDESGENDTAVLNDIMREVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSINLMKDGSLQLHDGISGQAVVV >VigunL067500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000465.1:20637:22937:-1 gene:VigunL067500.v1.2 transcript:VigunL067500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQKFCVNEEDKGVLESVLGAEAVAFFISALSNNVFSSVVAPSVGAEPSHRQRLCQLVEGSKWNYAVFWQVAGLKSGGSALIWGDGHCSDAKGKRSGIGKEDEQELRKMVLQKLDACFGGYVSKEANYARLDRLSDLLMFYLSSMCYIFGFDSPCGPGSSFKSGKLIWTSDAAGCLNQLESRSFLGKVAGLQTVAFVPLKSGVVELGSNEVVSEEQGFVEMVKTAFGESSPGQTKVFPKIFGHELSLGDTKSQSITISFSPKVEDDPGFTSDSFEVQALGVNHAYGNSSNGTLGDSSEGKMFPQLNQMMGGNFNAQARVPCLDLGNEDTSSIHADERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITFITDLQMKIKVLEAEKNMINNKDQRLSLPDMDFQEREDDTVVTVRCPLDTHPVSDVVKTFREHQIVAQDTNVSTTDDKIIHTFSIRTEGGEAAAIQLKEKLEAALSKNCP >VigunL067500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000465.1:20613:22967:-1 gene:VigunL067500.v1.2 transcript:VigunL067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQKFCVNEEDKGVLESVLGAEAVAFFISALSNNVFSSVVAPSVGAEPSHRQRLCQLVEGSKWNYAVFWQVAGLKSGGSALIWGDGHCSDAKGKRSGIGKEDEQELRKMVLQKLDACFGGYVSKEANYARLDRLSDLLMFYLSSMCYIFGFDSPCGPGSSFKSGKLIWTSDAAGCLNQLESRSFLGKVAGLQTVAFVPLKSGVVELGSNEVVSEEQGFVEMVKTAFGESSPGQTKVFPKIFGHELSLGDTKSQSITISFSPKVEDDPGFTSDSFEVQALGVNHAYGNSSNGTLGDSSEGKMFPQLNQMMGGNFNAQARVPCLDLGNEDTSSIHADERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITFITDLQMKIKVLEAEKNMINNKDQRLSLPDMDFQEREDDTVVTVRCPLDTHPVSDVVKTFREHQIVAQDTNVSTTDDKIIHTFSIRTEGGEAAAIQLKEKLEAALSKNCP >Vigun07g173500.1.v1.2 pep primary_assembly:ASM411807v1:7:28865759:28867532:-1 gene:Vigun07g173500.v1.2 transcript:Vigun07g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLLPHPPPFSFSSSSFHRFRCNTLLLAPKLSQRRPSFLVKSAVGVAEPAAYSSGYAGDTSDSISSLKLNLLSAVSGLNRGLAANEDDLRKADGAAKELEAAAGLVDLSDGLDKLQGRWKLIYSSAFSSRTLGGSRPGPPIGRLLPITLGQVFQRIDILSKDFDNIVELQLGAPWPLPPLEVTATLAHKFELIGSSKIKIVFEKTTVKTAGNLAQLPPLEVPRIPDALRPSSNTGSGEFEVTYLDSDTRITRGDRGELRVFVIS >Vigun01g194400.3.v1.2 pep primary_assembly:ASM411807v1:1:37186560:37190183:1 gene:Vigun01g194400.v1.2 transcript:Vigun01g194400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDSAKVAVLFLVAICAAVESNGEDNGGPCKQNALNARPHSVSILEFGAVGDGITLNTVAFQNAIFYLKSFADKGGAQLYVPSGTWLTGSFNLTSHLTLFLERGATIIASQDCSHWDVVDSLPSYGRGIGRYRSLIYGQNLSDVVVTGDNGTIDGQGSVWWELFSSHSLNYSRPNLIEFVDSVDVIISNLTFVDSPAWGIHPVYCSNVQIQNITSRAPAESPYTSGIVPDSSKDVCIENSNISSGHDAIVLKSGWDQYGIAYGKPTSSVQISNVYLQSSSGAGLAFGSEMSGGISDVIAEKLHILNSPIGIELKTTRGRGGYMKGILISDAELENIHLGISMTGYSGFHPDDKYDTSALPVVDSITFKNVMGANIDVAGNFSGIFESPFSTICLSNVTFSLSYELSPSWFCSNVIGFSEEVIPEPCPDLQSSYSKFSFSCFPSLYPHSSSNISGSLDQEL >Vigun01g194400.2.v1.2 pep primary_assembly:ASM411807v1:1:37186560:37190183:1 gene:Vigun01g194400.v1.2 transcript:Vigun01g194400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLHWIQNFVASLQFQLAAAQAHMKELDLGSNLELRQFERMEIGDSAKVAVLFLVAICAAVESNGEDNGGPCKQNALNARPHSVSILEFGAVGDGITLNTVAFQNAIFYLKSFADKGGAQLYVPSGTWLTGSFNLTSHLTLFLERGATIIASQDCSHWDVVDSLPSYGRGIGRYRSLIYGQNLSDVVVTGDNGTIDGQGSVWWELFSSHSLNYSRPNLIEFVDSVDVIISNLTFVDSPAWGIHPVYCSNVQIQNITSRAPAESPYTSGIVPDSSKDVCIENSNISSGHDAIVLKSGWDQYGIAYGKPTSSVQISNVYLQSSSGAGLAFGSEMSGGISDVIAEKLHILNSPIGIELKTTRGRGGYMKGILISDAELENIHLGISMTGYSGFHPDDKYDTSALPVVDSITFKNVMGANIDVAGNFSGIFESPFSTICLSNVTFSLSYELSPSWFCSNVIGFSEEVIPEPCPDLQSSYSKFSFSCFPSLYPHSSSNISGSLDQEL >Vigun01g194400.4.v1.2 pep primary_assembly:ASM411807v1:1:37186560:37190183:1 gene:Vigun01g194400.v1.2 transcript:Vigun01g194400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDSAKVAVLFLVAICAAVESNGEDNGGPCKQNALNARPHSVSILEFGAVGDGITLNTVAFQNAIFYLKSFADKGGAQLYVPSGTWLTGSFNLTSHLTLFLERGATIIASQDCSHWDVVDSLPSYGRGIGRYRSLIYGQNLSDVVVTGDNGTIDGQGSVWWELFSSHSLNYSRPNLIEFVDSVDVIISNLTFVDSPAWGIHPVYCSNVQIQNITSRAPAESPYTSGIVPDSSKDVCIENSNISSGHDAIVLKSGWDQYGIAYGKPTSSVQISNVYLQSSSGAGLAFGSEMSGGISDVIAEKLHILNSPIGIELKTTRGRGGYMKGILISDAELENIHLGISMTGYSGFHPDDKYDTSALPVVDSITFKNVMGANIDVAGNFSGIFESPFSTICLSNVTFSLSYELSPSWFCSNVIGFSEEVIPEPCPDLQSSYSKFSFSCFPSLYPHSSSNISGSLDQEL >Vigun01g194400.1.v1.2 pep primary_assembly:ASM411807v1:1:37186560:37190183:1 gene:Vigun01g194400.v1.2 transcript:Vigun01g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLHWIQNFVASLQFQLAAAQAHMKELVAVLFLVAICAAVESNGEDNGGPCKQNALNARPHSVSILEFGAVGDGITLNTVAFQNAIFYLKSFADKGGAQLYVPSGTWLTGSFNLTSHLTLFLERGATIIASQDCSHWDVVDSLPSYGRGIGRYRSLIYGQNLSDVVVTGDNGTIDGQGSVWWELFSSHSLNYSRPNLIEFVDSVDVIISNLTFVDSPAWGIHPVYCSNVQIQNITSRAPAESPYTSGIVPDSSKDVCIENSNISSGHDAIVLKSGWDQYGIAYGKPTSSVQISNVYLQSSSGAGLAFGSEMSGGISDVIAEKLHILNSPIGIELKTTRGRGGYMKGILISDAELENIHLGISMTGYSGFHPDDKYDTSALPVVDSITFKNVMGANIDVAGNFSGIFESPFSTICLSNVTFSLSYELSPSWFCSNVIGFSEEVIPEPCPDLQSSYSKFSFSCFPSLYPHSSSNISGSLDQEL >Vigun01g240400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41056476:41057512:1 gene:Vigun01g240400.v1.2 transcript:Vigun01g240400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSSFIPPSQPTHLILFLFLFHSPSTMEVSLQHVFILVLSLCNFLVCANAQWKPLNPSTYEEMNRSDNFRASLSFSVSCVSIAIFLYLFFKIRARFFPRPPYIKPLPKTTAEAIQRCPTFGFSEMKELSVGNAAEECAVCLVEFEDSDTVKLLPLCQHVFHQHCIDQWLPSRLTCPICRQKLISDDTEANVTDVPSEQEREEEEEDEDGDAAEFTEVAIASATEQAEDETEADNAVG >Vigun03g210200.4.v1.2 pep primary_assembly:ASM411807v1:3:34591627:34592982:1 gene:Vigun03g210200.v1.2 transcript:Vigun03g210200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSIREIERERQGLQNQEKKLIVEIKKNAKQGQMGAVRVMAKDLIRTRHQIEKFYKLKSQLQVVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQ >Vigun07g211400.1.v1.2 pep primary_assembly:ASM411807v1:7:33263282:33274996:-1 gene:Vigun07g211400.v1.2 transcript:Vigun07g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPSDAELIVSLRARVKELETENAKLLAQLADCHSHEMEEKLHGPDGKDRESKEGNKKLEKKMEKKESGYDTRFMSHHSKRYVALKVMYFGKRFYGFASEAQMQPTVESELFKAFEKTRLLIGDRKESQYSRCGRTDKGVSSVGQVIALFLRSNLKLSAINNGNSGVVLDEKHEGEIDYVRVINRVLPNDIRILGWCPAPVDFHARFSCLSREYKYFFWKEKLNILAMESAGNKLVGEHDFRNFCKMDAANVHNYRRHITLFEISPTDVRYNGNQLWVIKIKGSAFLWHQVRCMVAVLFMVGKGLESPNVIDLLLDTTRIVRKPQYTMASEVPLVLQCCEFDDIKFICSSDAGDALRLHLVNECQIYQLQATIFHEALLNCLPLSNDQSLTPFQGTKKKVSHVPLMSRPTEPSYEERRAKLNAIA >Vigun07g211400.2.v1.2 pep primary_assembly:ASM411807v1:7:33263945:33274994:-1 gene:Vigun07g211400.v1.2 transcript:Vigun07g211400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPSDAELIVSLRARVKELETENAKLLAQLADCHSHEMEEKLHGPDGKDRESKEGNKKLEKKMEKKESGYDTRFMSHHSKRYVALKVMYFGKRFYGFASEAQMQPTVESELFKAFEKTRLLIGDRKESQYSRCGRTDKGVSSVGQVIALFLRSNLKLSAINNGNSGVVLDEKHEGEIDYVRVINRVLPNDIRILGWCPAPVDFHARFSCLSREYKYFFWKEKLNILAMESAGNKLVGEHDFRNFCKMDAANVHNYRRHITLFEISPTDVRYNGNQLWVIKIKGSAFLWHQVRCMVAVLFMVGKGLESPNVIDLLLDTTRIVRKPQYTMASEVPLVLQCCEFDDIKFICSSDAGDALRLHLVNECQIYQLQATIFHEALLNCLPLSNDQSLTPFQGTKKKVSHVPLMSRPTEPSYEERRAKLNAIA >Vigun08g172400.3.v1.2 pep primary_assembly:ASM411807v1:8:34330303:34331347:-1 gene:Vigun08g172400.v1.2 transcript:Vigun08g172400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPVINLANINGEEKKATLDQIEDACQNWGFFELVNHGIPHELLDRVERLTKEHYRKCMEKRFNEAVGSKGLECVQDELKDMDWESTFFLRHLPTSNISEIPDLTIQYRDAMKEFAEKLEKLAEELLDMLCENLGLEKGYLKRAFHGSSGPNFGTKVANYPACPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQIEVLC >Vigun08g172400.1.v1.2 pep primary_assembly:ASM411807v1:8:34329662:34331324:-1 gene:Vigun08g172400.v1.2 transcript:Vigun08g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPVINLANINGEEKKATLDQIEDACQNWGFFELVNHGIPHELLDRVERLTKEHYRKCMEKRFNEAVGSKGLECVQDELKDMDWESTFFLRHLPTSNISEIPDLTIQYRDAMKEFAEKLEKLAEELLDMLCENLGLEKGYLKRAFHGSSGPNFGTKVANYPACPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQIEVISNGKYKSVEHRVMARSDGTRMSVASFYNPGSDAVIYPAPPLLEREAKETEVSYPKFVFEDYMKLYATLKFQPKEPRFQAIKAFNSF >Vigun08g172400.2.v1.2 pep primary_assembly:ASM411807v1:8:34329692:34333357:-1 gene:Vigun08g172400.v1.2 transcript:Vigun08g172400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPVINLANINGEEKKATLDQIEDACQNWGFFELVNHGIPHELLDRVERLTKEHYRKCMEKRFNEAVGSKGLECVQDELKDMDWESTFFLRHLPTSNISEIPDLTIQYRDAMKEFAEKLEKLAEELLDMLCENLGLEKGYLKRAFHGSSGPNFGTKVANYPACPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQIEVISNGKYKSVEHRVMARSDGTRMSVASFYNPGSDAVIYPAPPLLEREAKETEVSYPKFVFEDYMKLYATLKFQPKEPRFQAIKAFNSF >Vigun09g131750.1.v1.2 pep primary_assembly:ASM411807v1:9:29019710:29020585:1 gene:Vigun09g131750.v1.2 transcript:Vigun09g131750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLKTYLSVAPVVSTLWFGALAGLLIEINRFFPDGPELASDYFFST >Vigun09g028000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2312018:2313355:1 gene:Vigun09g028000.v1.2 transcript:Vigun09g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAYEQFLLLLMAFSTCFSSTSSNSSVKPQRLVSRLIHPGSIHHPHYKPHATAQDRIQLDIQHSAARLAYIQARIEGSLASNNDYKASVSPSLTGRTILANISIGQPPIPQLVVIDTASDIFWIMCSPCTNCDNHLGPLFDPSKSSTFLPHCKVQCLFKGCKCDPVPFYASYADSSMASGTYGRDTVVFETTDEGTSQIIDVLFGCGHYIGYSSDPGHNGILGLNSAAESLATKIGRKFSYCIGNLADPHYNYNQLILGEGADLEGFSTPFEVHNGFYYVTMEGISIGEKRLDIAPGTFEIKENGSGGVIIDTGSTISYLVDDVHKLIYKEVRNLIGWSFRGAMIENSPWMLCYYGSISKDLAGFPVVTFHFAEGADLALDSGSFFSQISNDIFCMTIGPASNIDIKSKPSVVGLLAQQSYNVGYDLVNKYVYFQRIDCELLSG >Vigun11g044200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6500616:6501425:-1 gene:Vigun11g044200.v1.2 transcript:Vigun11g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLFASLSLAVWLFTATSTLSQSNLYVLDTHGDPLETDEEYFIRPAITDNGGRFTLINRNQSCPLYVGLENTDLPQGYPVRFTPFANDDDDDEVKVNRDLKVEFVEVSSTCVQSTEWKLGENDTSIGRRVIITGRDDGTRNAGNYFRIEETENASIYNIGWCPTEVCPTCRFICGTGGIVRENGQILFALDGSPLPVVFQKKDD >Vigun08g136100.6.v1.2 pep primary_assembly:ASM411807v1:8:30785751:30793061:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKAKPCSVQERQSKGLVFSGFSGMYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVLQLLPLVLVLIFIFERDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.7.v1.2 pep primary_assembly:ASM411807v1:8:30786391:30793061:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVLQLLPLVLVLIFIFERDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.9.v1.2 pep primary_assembly:ASM411807v1:8:30786387:30793103:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.1.v1.2 pep primary_assembly:ASM411807v1:8:30785542:30793102:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKAKPCSVQERQSKGLVFSGFSGMYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.4.v1.2 pep primary_assembly:ASM411807v1:8:30785550:30793073:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.2.v1.2 pep primary_assembly:ASM411807v1:8:30785542:30793102:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.8.v1.2 pep primary_assembly:ASM411807v1:8:30786387:30793102:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.5.v1.2 pep primary_assembly:ASM411807v1:8:30785550:30793073:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun08g136100.3.v1.2 pep primary_assembly:ASM411807v1:8:30785550:30793073:1 gene:Vigun08g136100.v1.2 transcript:Vigun08g136100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKAKPCSVQERQSKGLVFSGFSGMYLSKGCRCEVVLCFWFFCYFLLAASQVTDPTEVEALRTIKRSLFDINGNLSNWDRGDPCTSNWIGVMCSNTTLADGNLHVLQLQLLNLNLSGTLAPEIGNLSYLEIVDFMWNDITGSIPKEIGNIKTLKLLLLSGNKLTNDLPDELGHLPALERMQIDENKITGPIPLSFANLNSTKHFHMNNNSLSGQIPAQLSQLGSLIHLLLDNNNLTGNLPSELSEMPSLTILQLDNNNFEGSSIPESYANMPKLLKLSLRNCNLTGSIPDFSRIPHLAYLDLSFNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >VigunL059145.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000307.1:80250:85892:-1 gene:VigunL059145.v1.2 transcript:VigunL059145.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNANSSSKTQPPYAPNPNHQNFLQNNGVGMTPQPQFCSGNHQSQSLSPPFMQRLPMNVAPFMNAANHNHFPLQNDQMHLCQMGMPGHQQGQPLVGVLGPQNNVGNPNYSNPMYPGQMLAQSISNIFQPSNVNMSMPNGKFRAPYPMQNMNQQLPTQLSSPSQGVPHGMHPGSSHVWVSKQLPQAMVPQSSLLSPSPQLVAFSSHQLQGNTSGSLNSDLAHTSNSQPPALLKSHSQVFKLKCESLWNIKTNVPNTNWNGSPSKNFKNRPTRGGFKGGFQKSKFNDVNNGKRRTGFLKDHNGRAHDSVRSKELKQQLERSFSVTYTEQEIKQWREARKKNHPCNNFQKVIKREVLQRELKEVLAKQAELGIEVAEIPSHYLKNSDNQGLQNEGKNKFSDKRKFQNKFNKKLDRKGRFGKKQKFADFSESPSLKMRKPTLLQKLLSADISKDKSHLFQVFRFMVINSFFKHCPDKPLRYPSVMVKENWSEVDTKKDIPKRGNEGAVKKIASLNNDDHSSEDEDSDVDENDSIVHNNPHKELFSLVKEGFEKSDEEGEILE >Vigun05g130400.2.v1.2 pep primary_assembly:ASM411807v1:5:15130944:15134542:-1 gene:Vigun05g130400.v1.2 transcript:Vigun05g130400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLFILCCYVLDIGNATDIISSSQFIKDNETITSAGGNFTLGFFTPQNSTNRYVGIWWQTKSTVIWVANRNQPLNHSSGAVTISEDGNLVVLNGQNQVIWSTNVSTASSNTSAQLSDSGKLVLTETTRGTLWDSFQQPSNTLMPGMKTLTNAKTGRKVELTAWKSPSNPSVGSFTSSVLRRINILEVFIWNETRPYWRSGPWNGVIFTGIPMSSFLYSFKAGDDDEGNTYFYYTVPSPLEIFIYVMNSRGQYEQLSWNDKKEEMEISWSSHESDCDVYGICGSFSICNAKNSPICSCLKGFEPRNKEEWNRQNWSSGCVRSTPLKCERVNQNVSVKEDGFSQLQMVKVPDFPEGSPVDTECRRQCLENCSCVAYSYDVGIGCMSWTGNLLDIQQFSEGGLELYVRVADSDLEHDKGTHTAIIVGITVTVVSVIIVICAYVMWRTSNHPAKIWHAIRSARNRNIKDFQRLKKGETPEHPSHKVIEELSQVKLQELLLFDFERLATATNNFHLSNKLGQGGFGPVYKGKLQDGQEIAVKRLSRASGQGQEEFMNEVVVISKLQHRNLVRLFGCCIEGDEKMLIYEYLPNKSLDVLIFDPSKSKLVGWRKRCSIIEGIARGLLYLHRDSRLRIIHRDLKTSNILLDEDLNPKISDFGMARIFGGTEDHANTNRIVGT >Vigun05g130400.1.v1.2 pep primary_assembly:ASM411807v1:5:15130944:15134542:-1 gene:Vigun05g130400.v1.2 transcript:Vigun05g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLFILCCYVLDIGNATDIISSSQFIKDNETITSAGGNFTLGFFTPQNSTNRYVGIWWQTKSTVIWVANRNQPLNHSSGAVTISEDGNLVVLNGQNQVIWSTNVSTASSNTSAQLSDSGKLVLTETTRGTLWDSFQQPSNTLMPGMKTLTNAKTGRKVELTAWKSPSNPSVGSFTSSVLRRINILEVFIWNETRPYWRSGPWNGVIFTGIPMSSFLYSFKAGDDDEGNTYFYYTVPSPLEIFIYVMNSRGQYEQLSWNDKKEEMEISWSSHESDCDVYGICGSFSICNAKNSPICSCLKGFEPRNKEEWNRQNWSSGCVRSTPLKCERVNQNVSVKEDGFSQLQMVKVPDFPEGSPVDTECRRQCLENCSCVAYSYDVGIGCMSWTGNLLDIQQFSEGGLELYVRVADSDLEHDKGTHTAIIVGITVTVVSVIIVICAYVMWRTSNHPAKIWHAIRSARNRNIKDFQRLKKGETPEHPSHKVIEELSQVKLQELLLFDFERLATATNNFHLSNKLGQGGFGPVYKGKLQDGQEIAVKRLSRASGQGQEEFMNEVVVISKLQHRNLVRLFGCCIEGDEKMLIYEYLPNKSLDVLIFDPSKSKLVGWRKRCSIIEGIARGLLYLHRDSRLRIIHRDLKTSNILLDEDLNPKISDFGMARIFGGTEDHANTNRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLALEIVTGRRNSSFYDDEHVLSLLGFAWIQWREGNISSIIDPEVYDPSYHIDILRCINIALLCVQELAVDRPTMAAVISMLNSEVAFLPPPSQPAFILRHNLLNSEPCKGSHRFSSTNTASITTIYGR >Vigun11g097800.1.v1.2 pep primary_assembly:ASM411807v1:11:28755120:28756896:-1 gene:Vigun11g097800.v1.2 transcript:Vigun11g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGGNNASQLLRAQTHVWNHILKFINSLSLKCATELGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISRFMRILTHSGFFSEHHDTPNEGEVMYVLTDASKLLLKDHPSSMKSLLQLIVDPVSINPWFQFSTENIEYVGGDMFQAIPSADSIMLKLIMHNWKDEECVKILKNCKESIASEGRVIIIDMVMENKKEDHELTEMQLFLDMQMMMLFSAKERNEKEWANLIFSAGFSNYNITPIGLFSIIEVYP >Vigun06g211701.1.v1.2 pep primary_assembly:ASM411807v1:6:32422701:32425274:-1 gene:Vigun06g211701.v1.2 transcript:Vigun06g211701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDEDWLCVTLEEFSLATENGSSKQGMGRKRNYDDETREFKSKNLETERRRREKLSSRLLMLRAIVPIITNMNKATIIEDAITYIEKLQDKVQSLSQELHQIEATSEETAETKIDEIDAAQDMKDLGIQEEVRVAQIDGNKLWVKIIIEKRKGRFRKLMEALNNFSIELIDTNLTTTKGAFLITSCIQDRDGEKLEIQQTKDLLQDIINGI >Vigun10g077900.1.v1.2 pep primary_assembly:ASM411807v1:10:21223318:21223846:1 gene:Vigun10g077900.v1.2 transcript:Vigun10g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSFSHILTFFLIISVISRIGVADPQRCQKVLDATSCNLLQCRTNCYNSYKDFQGIGQCIRNINADSYQCFCFYDCNANKPGA >Vigun01g128700.2.v1.2 pep primary_assembly:ASM411807v1:1:30667647:30671206:-1 gene:Vigun01g128700.v1.2 transcript:Vigun01g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVDSPVGVLEDYFFKSSESETSSSKEPGTTDSDKSKPGSKWHAFLQLLRVKSKKPVATLYPLSVLKLSRIFSSGMRETVIDSDSSFHSSPWKIFTHHEIQIATNYFAQENCIGKGGYAEVYKGCLPNNQLVAIKRLTRGAENETIGDFLSELGIMAHVNHPNTAKLVGYGVEGGMHLVLELSEKGCLASVLNGSKEKLPWSVRQKIALGTAKGILYLHEGCQRRIIHRDIKASNILLTEDFEPQICDFGLAKWLPENWTHHSVSKIEGTFGYLAPEYLLHGIVDEKTDVFAFGVVLLELVTGRRALDYSQQSLVLWAEPFLKKNSIRELIDPSLADYVFDCRQIKIMLFTASLCIQQSSIRRPSMNQVVQLLNGNVSGSRFTKKSQLPFTRKDFLEEFIDSD >Vigun01g128700.1.v1.2 pep primary_assembly:ASM411807v1:1:30667647:30671206:-1 gene:Vigun01g128700.v1.2 transcript:Vigun01g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVDSPVGVLEDYFFKSSESETSSSKEPGTTDSDKSKPGSKWHAFLQLLRVKSKKPVATLYPLSVLKLSRIFSSGMRETVIDSDSSFHSSPWKIFTHHEIQIATNYFAQENCIGKGGYAEVYKGCLPNNQLVAIKRLTRGAENETIGDFLSELGIMAHVNHPNTAKLVGYGVEGGMHLVLELSEKGCLASVLNGSKEKLPWSVRQKIALGTAKGILYLHEGCQRRIIHRDIKASNILLTEDFEPQICDFGLAKWLPENWTHHSVSKIEGTFGYLAPEYLLHGIVDEKTDVFAFGVVLLELVTGRRALDYSQQSLVLWAEPFLKKNSIRELIDPSLADYVFDCRQIKIMLFTASLCIQQSSIRRPSMNQVVQLLNGNVSGSRFTKKSQLPFTRKDFLEEFIDSD >Vigun08g073650.1.v1.2 pep primary_assembly:ASM411807v1:8:12579296:12580129:1 gene:Vigun08g073650.v1.2 transcript:Vigun08g073650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRLKYLKQFNDAQVPKTFKETKTNEKTKIEETIPGKLGIEVSVPKVTTIDVVVIVKTTKEVVILKTEEKAREVMIEDPTTRKESAAEDEKANGKNEALVGN >Vigun08g099100.1.v1.2 pep primary_assembly:ASM411807v1:8:24096633:24097783:1 gene:Vigun08g099100.v1.2 transcript:Vigun08g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTLPCISGSSYCPKVEMLGAISLCLCCPNLKFSKVPRALSQDLQNTWWLCDTFQI >Vigun03g383500.1.v1.2 pep primary_assembly:ASM411807v1:3:58817093:58823161:1 gene:Vigun03g383500.v1.2 transcript:Vigun03g383500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTEGDFDLRQPSQSAFATGEYTFADVENLEHCAKYLNQSLVTFGFPASLDLFANDPVSIARTCNCIYFLLQQRQRDVEFRESANDQRQRLLSDISRLEAKVERLEGQLQVKDREIATITRTEAKNTAALKAQIEKLQQERDEFQRMVIGNQQVKTQQLHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENTDLRALLRSMQVDMRDFLNAPNGLPKQSLTGNERVESDPSQSPLVGKMDVFDLPFHMARDQIEESLRNKMTSIKERMVQLQDAQKGAEVTSEASERELELEAQLVEARSIIQEQASIMSKHLAKSDRPRDSLIPAPAEAVCN >Vigun07g170300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28474145:28476697:1 gene:Vigun07g170300.v1.2 transcript:Vigun07g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLQQQPSPPLSPRSLTILGKYQLTRLLGRGSFAKVYQARSLIDGATFAVKIIDKSKTVDAGMEPRIIREIDAMRRLHHHPNILKIHEVMATKTKIHLIVELAAGGELFAKIARRGRLPESTARRYFQQLVSALRFCHRNGVAHRDLKPQNLLLDGEGNLKVSDFGLSALPEHLKNGLLHTACGTPAYTAPEILRQNGGYDGSKADAWSCGLILYVFLAGYLPFDDTNIPAMYKKISRRDYQFPDWITKPARYVIYKLLDPNPETRMGLEALFGNPWFKKSLKPETAEESAFGWDNVYVKGCYYEGAKCSGVNAFDIISMSSGLNLTRLFETTADGGSRREKRFTSSARVEVVEEKVKEVGGVLGFKVEAGKTINGAIALLKGKVALVFEVLQIVPDQLLLVAVKVVDGALEFEEHHWGDWKNALQDLVLSWHNDES >Vigun03g437900.1.v1.2 pep primary_assembly:ASM411807v1:3:64141881:64146424:-1 gene:Vigun03g437900.v1.2 transcript:Vigun03g437900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKSRDVCIVGVARTPMGGLLGSLSSLSATELGSIVIKNALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPTSVVCTTINKVCASGMKATMLAALTIQQGLNDIVVSGGMESMSNSPKYLAAARKGSRYGHDTVIDGMVKDGLWDVYNDFGMGACAELCADQHVITRDEQDSYAIQSFERGISAQKAGHFAWEIVPVEVSSGRGKPSTLVDTDEGLGKFDAAKLRKLRPSFKQVGGSVTAGNASIISDGAAALVLVSGEKARELGLHVIAKIKGYGDAAKEPELFTTAPALAIPKAISNAGLEASQIDYYEINEAFSVVALVNQKLLGLNPEKVNAHGGAVSLGHPLGCSGARILVTLLGVLRQKRGKYGVAAICNGGGGASALVLELMPVAVGRSLL >Vigun03g437900.3.v1.2 pep primary_assembly:ASM411807v1:3:64141898:64146379:-1 gene:Vigun03g437900.v1.2 transcript:Vigun03g437900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLGSLSSLSATELGSIVIKNALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPTSVVCTTINKVCASGMKATMLAALTIQQGLNDIVVSGGMESMSNSPKYLAAARKGSRYGHDTVIDGMVKDGLWDVYNDFGMGACAELCADQHVITRDEQDSYAIQSFERGISAQKAGHFAWEIVPVEVSSGRGKPSTLVDTDEGLGKFDAAKLRKLRPSFKQVGGSVTAGNASIISDGAAALVLVSGEKARELGLHVIAKIKGYGDAAKEPELFTTAPALAIPKAISNAGLEASQIDYYEINEAFSVVALVNQKLLGLNPEKVNAHGGAVSLGHPLGCSGARILVTLLGVLRQKRGKYGVAAICNGGGGASALVLELMPVAVGRSLL >Vigun03g437900.2.v1.2 pep primary_assembly:ASM411807v1:3:64141898:64146126:-1 gene:Vigun03g437900.v1.2 transcript:Vigun03g437900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKSRDVCIVGVARTPMGGLLGSLSSLSATELGSIVIKNALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPTSVVCTTINKVCASGMKATMLAALTIQQGLNDIVVSGGMESMSNSPKYLAAARKGSRYGHDTVIDGMVKDGLWDVYNDFGMGACAELCADQHVITRDEQDSYAIQSFERGISAQKAGHFAWEIVPVEVSSGRGKPSTLVDTDEGLGKFDAAKLRKLRPSFKQVGGSVTAGNASIISDGAAALVLVSGEKARELGLHVIAKIKGYGDAAKEPELFTTAPALAIPKAISNAGLEASQIDYYEINEAFSVVALVNQKLLGLNPEKVNAHGGAVSLGHPLGCSGARILVTLLGVLRQKRGKYGVAAICNGGGGASALVLELMPVAVGRSLL >Vigun05g151900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:23480890:23482574:1 gene:Vigun05g151900.v1.2 transcript:Vigun05g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHPINTQSPRLFCYHSQSARKARDQKTSLKSNVFELCSKLKKMQKEQQKEYLDSMTCPSFNYYTTVQLAVVDNQVGQDHNRTQNDDASFEFAAFRDEACFDDAFFPIFNHKIQNRQRSSIAGGRDSGTAVLRFPITGDDIHRSEHNPLPAPLSDSSSSSSEVDELEGVPAATYCVWTPNSPRKCKKSNSTGSSSKKWKLLDLLRRSNSERKESYLFLTAASEKKRMNERWSIEVAGKPKDNGFAEKKKAAVTAHEALYVKNRELRMIDKKKSFLPYKPNLVGFCTRRFGKTFSSF >Vigun03g117700.1.v1.2 pep primary_assembly:ASM411807v1:3:10933069:10934273:1 gene:Vigun03g117700.v1.2 transcript:Vigun03g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLRSSAVPISTPLSLLLVVIVILLPLSGTATPQHSSDLHKVLRRHGLPAGLFPQSVRSYDLDRTGRLEVHLDRPCLAQYETRVFFDSVVRANLSFGQLKVLEGMSRQELFLWLPVKDIIVTDPSSGVILIDIGLAFKHLSFSRFEDSPVCRSQSALMLKVSGRKGVGF >Vigun07g186700.8.v1.2 pep primary_assembly:ASM411807v1:7:30388418:30390621:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGHEHGGVDTAQAKVLLASFSAMVAETTTFPIDLIKTRLQLHGESLSSKRPTGAYRIGLAIVREQGVVGLYSGLSPAIIRHMFYTPIRIVGYEHLRSVVSADNGSFSVISKAAVGGTSGVIAQIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.7.v1.2 pep primary_assembly:ASM411807v1:7:30388448:30391543:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGHEHGGVDTAQAKVLLASFSAMVAETTTFPIDLIKTRLQLHGESLSSKRPTGAYRIGLAIVREQGVVGLYSGLSPAIIRHMFYTPIRIVGYEHLRSVVSADNGSFSVISKAAVGGTSGVIAQIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.6.v1.2 pep primary_assembly:ASM411807v1:7:30388448:30391543:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGHEHGGVDTAQAKVLLASFSAMVAETTTFPIDLIKTRLQLHGESLSSKRPTGAYRIGLAIVREQGVVGLYSGLSPAIIRHMFYTPIRIVGYEHLRSVVSADNGSFSVISKAAVGGTSGVIAQIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.5.v1.2 pep primary_assembly:ASM411807v1:7:30388448:30390570:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGHEHGGVDTAQAKVLLASFSAMVAETTTFPIDLIKTRLQLHGESLSSKRPTGAYRIGLAIVREQGVVGLYSGLSPAIIRHMFYTPIRIVGYEHLRSVVSADNGSFSVISKAAVGGTSGVIAQIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.3.v1.2 pep primary_assembly:ASM411807v1:7:30388447:30390571:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLLLARLRSVEPPVSLLSDPLLKDPSLSNNSNMIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.4.v1.2 pep primary_assembly:ASM411807v1:7:30388447:30390571:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLLLARLRSVEPPVSLLSDPLLKDPSLSNNSNMIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun07g186700.2.v1.2 pep primary_assembly:ASM411807v1:7:30388418:30390621:-1 gene:Vigun07g186700.v1.2 transcript:Vigun07g186700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGHEHGGVDTAQAKVLLASFSAMVAETTTFPIDLIKTRLQLHGESLSSKRPTGAYRIGLAIVREQGVVGLYSGLSPAIIRHMFYTPIRIVGYEHLRSVVSADNGSFSVISKAAVGGTSGVIAQIIASPADLVKVRMQADGQRMKQGLQPRYSGPFDALNKIVGAEGFRGLWKGVFPNIQRAFLVNMGELGCYDHAKQFVIRSRIADDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQAAQKEGKVLYNSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVTYEKFRKFAGLSSF >Vigun03g170000.3.v1.2 pep primary_assembly:ASM411807v1:3:20249800:20252450:-1 gene:Vigun03g170000.v1.2 transcript:Vigun03g170000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVEDKGCYNNHGPTQEIPNNSAVYSEFNKGKDATRIPHHHRTTMGKPTPSKWDDAQKWLVGLSKGGEKSHSKNRPRNSNADDLRLIAPVPQKEHDYSSSEKEEEDIDASHELVTTSSSNAIVAQYEADAKRVECDESNWRNSIKPSENTIQVQSICFRDMGTEMTPIASQEPSRTGTPIRATTPATRSPIHSGTSTPIRGQNGLQVVEGDRDTVRYSAEGSTSPCKRIEDQARKLSPLESRAMAWDEAERAKYMARFKREEVKIQAWENHQIRKAEMEMKKMEVKADRMKALAQERFANKLASTKRVAEEKRANAQVKLNDKALRTTERVEYIRRTGHVPSSFSFNFKLRSMCW >Vigun03g170000.1.v1.2 pep primary_assembly:ASM411807v1:3:20249750:20252895:-1 gene:Vigun03g170000.v1.2 transcript:Vigun03g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVEDKGCYNNHGPTQEIPNNSAVYSEFNKGKDATRIPHHHRTTMGKPTPSKWDDAQKWLVGLSKGGEKSHSKNRPRNSNADDLRLIAPVPQKEHDYSSSEKEEEDIDASHELVTTSSSNAIVAQYEADAKRVECDESNWRNSIKPSENTIQVQSICFRDMGTEMTPIASQEPSRTGTPIRATTPATRSPIHSGTSTPIRGQNGLQVVEGDRDTVRYSAEGSTSPCKRIEDQARKLSPLESRAMAWDEAERAKYMARFKREEVKIQAWENHQIRKAEMEMKKMEVKADRMKALAQERFANKLASTKRVAEEKRANAQVKLNDKALRTTERVEYIRRTGHVPSSFSFNFKLRSMCW >Vigun03g170000.2.v1.2 pep primary_assembly:ASM411807v1:3:20249750:20252895:-1 gene:Vigun03g170000.v1.2 transcript:Vigun03g170000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVEDKGCYNNHGPTQEIPNNSAVYSEFNKGKDATRIPHHHRTTMGKPTPSKWDDAQKWLVGLSKGGEKSHSKNRPRNSNADDLRLIAPVPQKEHDYSSSEKEEEDIDASHELVTTSSSNAIVAQYEADAKRVECDESNWRNSIKPSENTIQVQSICFRDMGTEMTPIASQEPSRTGTPIRATTPATRSPIHSGTSTPIRGQNGLQVVEGDRDTVRYSAEGSTSPCKRIEDQARKLSPLESRAMAWDEAERAKYMARFKREEVKIQAWENHQIRKAEMEMKKMEVKADRMKALAQERFANKLASTKRVAEEKRANAQVKLNDKALRTTERVEYIRRTGHVPSSFSFNFKLRSMCW >Vigun03g207400.1.v1.2 pep primary_assembly:ASM411807v1:3:33860945:33864774:-1 gene:Vigun03g207400.v1.2 transcript:Vigun03g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKGSAIKLFGRTISLPHNDSSAPATLASPSSPSSPPELTSATQQHQEHQEALRKELTSLEDDGASSHQTTEDLKSAASDILENPKTPSAETETSLQKSPENEEQSETSASEEKTPKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNTSAAAVSHYRQIVFPEPLQGATLSASNGLHNALLGNRATVLSFGPDTPLCDTMSSVLNIAERAQSCVSNGFHATERNGSVSYSKEGDAGAHSAAVSVSTTSNSSHASSHESVDKRVEGFPPQLSYFAGAPWPYPMPPPTFCQPRYPLSFYTTPPYWSCMQPSWNISCMSPQSSVSNSVSAPILGKHSRNGNIITPFNSQKEIRDVEHNSSEHNFLIPKTLRIDDPGEAAKSSMWSKLGTKNDRASSGGLFQAFPPKGNDMNHRVEASPQLQANPAALSRSLTFHEHT >Vigun06g193700.1.v1.2 pep primary_assembly:ASM411807v1:6:31027711:31031476:-1 gene:Vigun06g193700.v1.2 transcript:Vigun06g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFPFSALEAAENRSHYSARRRRRHQNITAHPKGIDMLGKTRKVSARGEAVAANYAFGPAEDDVIIKHRLLTRTTTTRGDPPLKKLQKKFTSFVSEVDKDEDNYNDCEKLARAFLQELTTFEIPLLKSKAIVEANVREKENFNELKEEMNRQILQAQADIEDLKKQLEESKVERRHKEECEAIRKLIALQPPRSETMKVISELEKEIAALDTENTAGSRLLELRKKQFALLLHVVDELQNTIEEEQKSLVEEMRMATEELKNGLEDTSGAEAMAIDQ >Vigun06g193700.2.v1.2 pep primary_assembly:ASM411807v1:6:31029600:31031476:-1 gene:Vigun06g193700.v1.2 transcript:Vigun06g193700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFPFSALEAAENRSHYSARRRRRHQNITAHPKGIDMLGKTRKVSARGEAVAANYAFGPAEDDVIIKHRLLTRTTTTRGDPPLKKLQKKFTSFVSEVDKDEDNYNDCEKLARAFLQELTTFEIPLLKSKAIVEANVREKENFNELKEEMNRQILQAQADIEDLKKQLEESKVERRHKEECEAIRKLIALQPPRSETMKVISELEKEIAALDTENTAGSRLLELRKKQFALLLHVVLTRCEWYLLHAVFGGEKRGCTTYRL >Vigun10g122000.1.v1.2 pep primary_assembly:ASM411807v1:10:32961262:32965927:1 gene:Vigun10g122000.v1.2 transcript:Vigun10g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMRRLKSIASGRTSISSDPGGDSNSKRAKFEQEIEVKPVEKSNIVETVCTDQDQPVDGPKETTVGMSDVSTVVRIEKPGYDELPKELNEMKIRDEKSKNNNDKDIEATVVSGNGTETGQIITTAIGGRDGQPKQTISYMAERVVGTGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQVMRTVDHPNVVKLKHCFFSTTDKDELYLNLVQEFVPETVYKVSKHYVRMHQHMPMIYVQLYTYQICRALNYLHQVIGVCHRDIKPQNLLVNPQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYNEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTALAACAHPFFDDLRDPNACLPNGRPLPPLFNFTSNELANAPEELRRRLIPEHARS >Vigun09g146600.1.v1.2 pep primary_assembly:ASM411807v1:9:31016591:31018872:-1 gene:Vigun09g146600.v1.2 transcript:Vigun09g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWAQGIGVVKPMEGSKPPPMLERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTPSAPSPASAPTSKKLPDLTPPNFPQSASQDPKIHQGQDLNLAYPPAEDYNTVSKFIEVPYSTELDKGSLQNATSSSTPSQLSAMELLKTGIASSRGLNSFMPMYNSTGFPLPDFKPPPGLNFSLEGFENGYGGLQGIQEGPTGARILFPVEDLKQQVPTTNEFDQQNRSQGDSSGYWNGMLGGGSW >Vigun09g146600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31016591:31018872:-1 gene:Vigun09g146600.v1.2 transcript:Vigun09g146600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKPPPMLERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTPSAPSPASAPTSKKLPDLTPPNFPQSASQDPKIHQGQDLNLAYPPAEDYNTVSKFIEVPYSTELDKGSLQNATSSSTPSQLSAMELLKTGIASSRGLNSFMPMYNSTGFPLPDFKPPPGLNFSLEGFENGYGGLQGIQEGPTGARILFPVEDLKQQVPTTNEFDQQNRSQGDSSGYWNGMLGGGSW >Vigun06g124000.1.v1.2 pep primary_assembly:ASM411807v1:6:25125171:25125997:1 gene:Vigun06g124000.v1.2 transcript:Vigun06g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFVFSLLLLLEVPKSCIAKEKKVKWNSFKFVQQWPKGFCDSPYTGTRKCRIIPDKFVIHGLWPQKENDTLPKCRSKTPIHRKDLKPVSTQLDSDWPNLIGQNFLFWKLEWVKHGGCSEPTFPKLEYFNLALHLYEQNNLLNILEKEQIVPDDKKLYNVSSVFAAVHNHTSHDPVLSCYHDPKLNVTALYQISICLTPNGTSFVNCNSVGSCGDKSLLFPK >Vigun01g102800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27148320:27149840:1 gene:Vigun01g102800.v1.2 transcript:Vigun01g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFFLFIALLFTLYLYFVTEKPRKTNHKGFKNYPLIGTLPEFLKNRHRFLEWSTQVLCDCPTNTAVFSRPYSFHGVITANPDNVEHMLKTRFQNYPKGDRFIHHLQDFLGNGIFNSDSELWKVQRKIASHEFSTKSLRNFIVNSVTTELQTRLLPILSLASQTNRVLDLQDLFERFAFDNVCKLAFNVDPACLGGDGTAGAEFMSAFEEAAVLSSGRFMSALPFMWKIKKLLNMGTERRLRESITTVHMFADSIIRSRLESREPSGDDEDLLSRFIRTNKSSPEFLRDVVISFILAGRDTTSSALTWFFWILSSRPHVRRKIREEIARVRSATRGVGAFGYEELREMHYLHAALTETMRLYPPVPVDSKKCLDDDVLPDGTRIGKGWFISYHTYAMGRMESVWGKDCTQYNPERWLENGVYRTESPFRFPVFHAGPRMCLGKEMAYIQMKSIAASMIESFEIEAADKDTCPQHVLSLTLRIKGGLAVRVRTRDTQPSLHSNTGF >Vigun06g224600.2.v1.2 pep primary_assembly:ASM411807v1:6:33297735:33303342:1 gene:Vigun06g224600.v1.2 transcript:Vigun06g224600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLPRSFHCLWLPCCNLPPKSSERLRIYAPIPLPKPWMSFTHHTHMLPNATSSPNSDPDDFDILSSTEHSNGTFLFQFATASEIRQKLDELNKKKKADELNKKKKSIALNKKKKLDTLNKKKLASEVVVEESKAGVRALVSESVEELNTGVYHPSGDEIESSSTVVVSVADQQSLLPVKEKESVVLNSDSGNPVINDRHVKLEDGDGSNQGVLSEDIGTECNGFLSASEEDSKLDTHQEAVVSSVAPESDAVSDLKSGVSVEVEEDEAGKGYGVDGVTNNLATAVDAELSELVQESTSLESEQVGYSATDNSTVIVAAEISELVPESISLESDSEQVGYTATNNPTASVAAEASELLPESSSLESEQVDYSASSNLIGDEDTDASEVVPESTYLESEQVDHSAINNPTAAVGTGLSELVQESTSLESEQAGYSETKNLNAVVDADKSELLPQDSFLGSEQAGYTETNNLTGGVDADLSELMPVSTSLESEPLANGEETTQLIVDDLIDASKTGKSELSRDEVPSSDLENITDIDNTERSDYESTPQLTIPQIHSIEVASHRTDSSKTELCLVSGGACLPHPSKALTGREDAYFISQQNWLAVADGVGLGSLEGNAGLYIRELIENCENMVSNCENISTIKPAEVITRGAAETQTPGSSAVLVAHFDGQVLHAANVGNTGFIIIRDGFIFKKSTPMFHEFNFPLHVVTGHDPSPLIEHYTIDLHDGDVIVTATNGLFDNLYEQEIASIISKSLQASLTLQEIAELLVVRAQDVGRSTSIRSPFSDEAQALGYVGYVGGKLDDVTVIVSLVQPR >Vigun06g224600.1.v1.2 pep primary_assembly:ASM411807v1:6:33297735:33303342:1 gene:Vigun06g224600.v1.2 transcript:Vigun06g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLPRSFHCLWLPCCNLPPKSSERLRIYAPIPLPKPWMSFTHHTHMLPNATSSPNSDPDDFDILSSTEHSNGTFLFQFATASEIRQKLDELNKKKKADELNKKKKSIALNKKKKLDTLNKKKLASEVVVEESKAGVRALVSESVEELNTGVYHPSGDEIESSSTVVVSVADQQSLLPVKEKESVVLNSDSGNPVINDRHVKLEDGDGSNQGVLSEDIGTECNGFLSASEEDSKLDTHQEAVVSSVAPESDAVSDLKSGVSVEVEEDEAGKGYGVDGVTNNLATAVDAELSELVQESTSLESEQVGYSATDNSTVIVAAEISELVPESISLESDSEQVGYTATNNPTASVAAEASELLPESSSLESEQVDYSASSNLIGDEDTDASEVVPESTYLESEQVDHSAINNPTAAVGTGLSELVQESTSLESEQAGYSETKNLNAVVDADKSELLPQDSFLGSEQAGYTETNNLTGGVDADLSELMPVSTSLESEPLANGEETTQLIVDDLIDASKTGKSELSRDEVPSSDLENITDIDNTERSDYESTPQLTIPQIHSIEVASHRTDSSKTELCLVSGGACLPHPSKALTGREDAYFISQQNWLAVADGVGLGSLEDNYAGNAGLYIRELIENCENMVSNCENISTIKPAEVITRGAAETQTPGSSAVLVAHFDGQVLHAANVGNTGFIIIRDGFIFKKSTPMFHEFNFPLHVVTGHDPSPLIEHYTIDLHDGDVIVTATNGLFDNLYEQEIASIISKSLQASLTLQEIAELLVVRAQDVGRSTSIRSPFSDEAQALGYVGYVGGKLDDVTVIVSLVQPR >Vigun03g022300.1.v1.2 pep primary_assembly:ASM411807v1:3:1633587:1635756:-1 gene:Vigun03g022300.v1.2 transcript:Vigun03g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKGSEVVERKRGGYRTIPLIIANETFEKIAIVGLRVNMILYLLQEYHFEPATAAIVVFLWSAFTNFLPIFCAFLSDCWLGRFSVVALGTLIHLLGLVVLWLTAIIRHARPECEKEPCANATGSQLLILFSSLTLMAIGAGGIRSCTLAFTADQINNPENPQNESTMKSFFNWYYVSVGLSVTISLTFIVYIQVTAGWIVGFGISMGLMSFSAVLFFLGSSIYIKVKPDKSLFTGFAQVIAAAWKNRHLPLPPKNSNIWYFHNGSNFVQPTDKVRFLNKACMIKNKEKDLDSDGMAKEPWSVCTVRQVEELKAIIKVLPIWSTGIIISTSISQQSFSVVQAKTMNRVVLNMEIPPTNFIAFIFLTLTLWVVLYDRILVPLLPKERVLTVKQRMGIGLVISCLATIVSTLVEKKRRDFAIREGFVDNPKGVVNMSAMWLVPQCCLYGLSQGLNFIGQIEFYYSQFPKTMSSVAVSLCTLGFGVGNLVGSLIVKVVKDGTEKGGKDSWLASNINRGHYDYYYGLLFVLNLVNLLCFCIWSRAYGSTQDIKYWDETVHTKLLSEKNTNTK >Vigun07g140200.3.v1.2 pep primary_assembly:ASM411807v1:7:25061410:25064546:-1 gene:Vigun07g140200.v1.2 transcript:Vigun07g140200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIITPEDVLESLMNDGSIDALRLKIINQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLIVDNNGLGKEISETVERVFCRLSGQEPPLFPLPNGEPQSEKEAGNRKEKGKGKQKENESTNTNTPSKKRSFSEINLEGPDETSTRFSDPTAVLEGSGKSPASISKT >Vigun07g140200.1.v1.2 pep primary_assembly:ASM411807v1:7:25058898:25064545:-1 gene:Vigun07g140200.v1.2 transcript:Vigun07g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIITPEDVLESLMNDGSIDALRLKIINQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLIVDNNGLGKEISETVERVFCRLSGQEPPLFPLPNGEPQSEKEAGNRKEKGKGKQKENESTNTNTPSKKRSFSEINLEGPDETSTRFSDPTAVLEGSGKSPASISKT >Vigun07g140200.2.v1.2 pep primary_assembly:ASM411807v1:7:25061467:25064545:-1 gene:Vigun07g140200.v1.2 transcript:Vigun07g140200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIITPEDVLESLMNDGSIDALRLKIINQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLIVDNNGLGKEISETVERVFCRLSGQEPPLFPLPNGEPQSEKEAGNRKEKGKGKQKENESTNTNTPSKKRSFSEINLEGPDETSTRFSDPTAVLEGSGKSPASISKT >Vigun11g192200.1.v1.2 pep primary_assembly:ASM411807v1:11:39129427:39140936:1 gene:Vigun11g192200.v1.2 transcript:Vigun11g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFGVRALFISFRNCNPLPPPLLPLSVPFRAARSLHSPSSSVAAMPGAGTHDEEWPAKRVRDTFMGFFEGKNHVNWKSSPVVPFNDPTLLFANAGMNQFKPIFLGTADPNTALSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKAEAISWAWELLTEVYKLPSDRMYATYFGGDDKAGLAPDIEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLKSLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFLPIFDVIQKATGARPYSGKVGADDVDKVDMAYRVVADHIRTLSFAIADGSRPGNDGREYVLRRILRRAVRYGREVLKAKEGFFHGLVSVVVNLMGDVFPELKQQETHIRNVIEEEEESFGRTLIKGIEKFETAVQHVQGKTLSGEEAFVLWDTYGFPLDLTQLMAEEKGLVVDVKGFDKAMEAARERSRSAQTKQAGGAIVMDADATSALHKRGISPTDDSFKYAWFKDHESVVQAIYTGSEFVDTINAGHDVGLVLESTSFYAEQGGQIFDTGSLEGTLGSFQVHNTQVYGGFVLHIGNGTGVSVGDRVACKVDYGRRALIAPNHTCTHILNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVDADNLRKIEAIVNEQIKAELDVSAKEATLAEAKRINGLRAVFGEVYPDPVRVVSIGQKVEDLLADPANDKWLSISSELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDRAHDAMKVADELEQQVDDAAKLDGSLLEEKVSSLKSNVETISIPAAKKADIKAKIARLQDQVRKAQKQIAEENKRKAVIITAEQAELAASKGKTFCISRVDVGLDVAAVREAVTKAMDKKGISVMVFSTDASTNKAVICAGVPEKGDKGKLDVAEWLSNALGPLKGRCGKGKGGLATGQGTDAAHVNEAMDLAEKFASMKLS >Vigun11g150900.2.v1.2 pep primary_assembly:ASM411807v1:11:36148223:36148819:1 gene:Vigun11g150900.v1.2 transcript:Vigun11g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDLLCSSSASTAVTSSMHHRSTARGRTKTYDHDGRKTQICVPCSSQLPITPKPYLEKPRKSSADKGRKSSVDVSELYTHHHATAEGSSRRYLLADAPFIGWVSESNKFTQMVPSQHDVQDKAVVIKTNHAPTVRSKDQGLRRKT >Vigun11g150900.1.v1.2 pep primary_assembly:ASM411807v1:11:36148062:36150684:1 gene:Vigun11g150900.v1.2 transcript:Vigun11g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDLLCSSSASTAVTSSMHHRSTARGRTKTYDHDGRKTQICVPCSSQLPITPKPYLEKPRKSSADKGRKSSVDVSELYTHHHATAEGSSRRYLLADAPFIGWVSESNKFTQMVPSQHDVQDKAVVIKTNHAPTVRSKDQVVVLRVSLHCRACEGKLRKHISKMEGVTSFSIEMETKKVTIIGDVTPLGVLESVSKVKNAQLWPSL >Vigun08g130900.1.v1.2 pep primary_assembly:ASM411807v1:8:30121574:30124983:-1 gene:Vigun08g130900.v1.2 transcript:Vigun08g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTIYIKNLNEKVKKDELKRSLYCLFSQYGRILDVVALKTPRLRGQAWVCFSEVTAASNAVRQMQNFPFYEKPMRIQYAKTKSDCIAKEEGSYVPREKKKKQEEKAERKRRAEEAQQSGAANGTHSASNGGPTASFRQGPSAQEAAAPNNILFIENLPHETTGRMLEMLFEQYPGFKEVRLIEAKPGIAFVDFEDEVQSSMAMQALNGFKITPLNPMNITFAKK >Vigun06g017400.2.v1.2 pep primary_assembly:ASM411807v1:6:8126043:8132038:-1 gene:Vigun06g017400.v1.2 transcript:Vigun06g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPRLNHFPLFLTFLLLFHHATPNPIKTVVVLVMENRSFDHMLGWMKRLNPAINGVTGSESNPLSVTDPNSKRFFFKDQAHYVDPDPGHSFQAIREQIFGSNDTSANPPLMNGFVQQAFSMDNTSRMSQNVMNGFLPDLLPVYKTLVSEFAVFDRWFASVPASTQPNRLFVHSATSGGATSNVAAKLAAGYPQKTIFDSVYDAGLDFGIYYQNIPATLFYRNLRKLKYLLKFHPYDLSFKGHAKEGKLPSYTVVEQRYMDMKVLPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLFLITYDEHGGFYDHVPTPARGVPSPDGIVGPEPFNFTFNRLGVRVPTIAISPWIQKGTVVHGPNGSPTPTSEYEHSSISATVKKLFNLPSFLTKRDAWAGTFEGIVQRRTEPRTDCPGWYPSSTN >Vigun06g017400.1.v1.2 pep primary_assembly:ASM411807v1:6:8126043:8132038:-1 gene:Vigun06g017400.v1.2 transcript:Vigun06g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPRLNHFPLFLTFLLLFHHATPNPIKTVVVLVMENRSFDHMLGWMKRLNPAINGVTGSESNPLSVTDPNSKRFFFKDQAHYVDPDPGHSFQAIREQIFGSNDTSANPPLMNGFVQQAFSMDNTSRMSQNVMNGFLPDLLPVYKTLVSEFAVFDRWFASVPASTQPNRLFVHSATSGGATSNVAAKLAAGYPQKTIFDSVYDAGLDFGIYYQNIPATLFYRNLRKLKYLLKFHPYDLSFKGHAKEGKLPSYTVVEQRYMDMKVLPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLFLITYDEHGGFYDHVPTPARGVPSPDGIVGPEPFNFTFNRLGVRVPTIAISPWIQKGTVVHGPNGSPTPTSEYEHSSISATVKKLFNLPSFLTKRDAWAGTFEGIVQRRTEPRTDCPEKLPTPVKMREGGPNEDAQMSEFQQELIQLAAVLKGDNILTSFPGKIGKDMTVKQGKDYMDDAVRRFFEAGRYAKKMGVNEEHIVQMKPSLTTRSSKSSNRNP >Vigun03g184000.1.v1.2 pep primary_assembly:ASM411807v1:3:23939851:23942476:1 gene:Vigun03g184000.v1.2 transcript:Vigun03g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQPEQKIHDNSEKDTQETGNSSFKFNAQAPEFVPRSQSQMPISGYFYPCFQILGGCGDSDWFFVGDQDPSCLIPTASVALPNSSKNILTPDLQQKIVKQVEYQFSDMSLLANESFQKQMNKDPEGYVPITVIASTKKVKSLVNNIHMLTQAIRSSSKLVLSADGKKVKRKHPFTDKEKEDLQSRTVVAENLPDDHSHQNLQKIFSTVGSVKTIRICHPQEPNTSRPKSDFFVSNKLHALVEYDTSDIADKAVEKLNDERNWRKGMRVRLLLRCSPKSVLKSRKSEFDGYLEDDDVLNSESAEDPSHSNNADLFDTSVDENSVGSKKGWARGRGKGRGRTQGRGLLAPPSQSSSTALCDAHTKPNTKGPRMPDGTRGFTMGRGKPLSSSALVTSSQD >Vigun03g184000.2.v1.2 pep primary_assembly:ASM411807v1:3:23939851:23942476:1 gene:Vigun03g184000.v1.2 transcript:Vigun03g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQPEQKIHDNSEKDTQETGNSSFKFNAQAPEFVPRSQSQMPISGYFYPCFQILGGCGDSDWFFVGDQDPSCLIPTASVALPNSSKNILTPDLQQKIVKQVEYQFSDMSLLANESFQKQMNKDPEGYVPITVIASTKKVKSLVNNIHMLTQAIRSSSKLVLSADGKKVKRKHPFTDKEKEDLQSRTVVAENLPDDHSHQNLQKIFSTVGSVKTIRICHPQEPNTSRPKSDFFVSNKLHALVEYDTSDIADKAVEKLNDERNWRKGMRVRLLLSPNLF >Vigun08g151900.1.v1.2 pep primary_assembly:ASM411807v1:8:32452476:32453834:1 gene:Vigun08g151900.v1.2 transcript:Vigun08g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSILRKSGSFARALAVAGQLTKNNHLGHRTLIFTAINHHNDSFVPKSHFSSAAAQKKPTSDENLLRVIESEIECAQETDDHNAEEGVPSNFPFKIIDSPGHQTITLERTYQDEEIKVEVHMPDLVTGEGNNDDDDNESERAPQSSIPLSVSVYKKGGPYLEFNCVGYPDEIVIDSLSVKNPDLTEDQIAYEGPDFQDLDENLQKSFHRYLEIRGIKPSTTNFLHEYMINKDSKEYLVWLNKLKSFVQA >Vigun04g133650.2.v1.2 pep primary_assembly:ASM411807v1:4:33545244:33552242:1 gene:Vigun04g133650.v1.2 transcript:Vigun04g133650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKSFYILLLLSLLGSGISMTLKNSSESGEAKCIESEREALLSFKESLIDEFGMLSTWTNNTDCCKWKHILCNHQTGHIQLLDLHGSHTPRYYLGGAINVTSLIHLSYIQHLDLSHNYFVMSYIPELMDSFNNLIYLDLSQSYFAGRIPSTLGNLLQLRYLDLGDNFLWGEIPIQIGNLKHLNYLDLGLFYLSGKIPCQIGNLQKLKYLSLGSDTTLYTRKTPNYISNSLSGAIPFRIGNLSLLRTLRLVGNFDIKAKDAQWLSTLHSLTILELSSLHSLKSSHQWLQTIGKIIPNLTELKLVDCNILDSDIQSLFHSHSSNNSTSLTKLDLSSNMLSSSILPFLFNFSLHLQELYISHNNITLSPSLCPKFPSLKVLDLSYNNPAQSMFRANFNISSKLQELYLKNCSLMDGNFLLSSTSTMNSLSSLLFLDLSNNLLKSSPMFYWLFNFTTHLLSIDLNGNLLEGPIPDEFGKAMNSLEYLFLYNNKLQGKVPSFFGSMCRLQILDLSNNNLNGEFPSFTQNSSWCSRHIFRELNLSYNQITGRIPESIRLLSQLEILSLRWNSLEGDVTESHLSNFSKLYLLDLSHNSLSVKFGSSWVPPFQLRFLFLASCNVGPGFPRWIQTQNSLIQLDMSDNGLNDFVPEWFWNKLQIMYTLNMSHSNLTGSIPNMQLKLPFRPSILLCSNKFQGKVPLFLLQASELFLSGNKFSDFSCGNVTAANLATLDLSDNQIKGQLPDCWKSINRLLNELSGKLPISLGTLVNLEALVLRNNRLMGELPSSLKNCKNLIMLDVSENMLSGAIPSWIGESMQQLIILIMRGNHFSGNIPLHICCLKRTQLLDLSRNKLSEGIPTCLINFTALSKNTINKIETESPVYWYNSTYSELYNFVIDSYYSFHITWMWKGVEHDFTRPELTLQSIDLSCNNLIGEIPKEITYMVGLVSLNLSGNNLSGEIPSKIGNLSLLESLDLSRNKLYGRIPSSLSEMNFLQNLDLSHNSLSGRIPLGRHMDTFDASCFEGNVDLCGEQLNKSCSGDQTVVKPEKAALRGEYHVFFEALYMSLGIGFFTGFWGLLGPLLLWQSWRITYLRFLNRVLDYLLVTVEVILAKRQK >Vigun04g133650.1.v1.2 pep primary_assembly:ASM411807v1:4:33545244:33552242:1 gene:Vigun04g133650.v1.2 transcript:Vigun04g133650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKSFYILLLLSLLGSGISMTLKNSSESGEAKCIESEREALLSFKESLIDEFGMLSTWTNNTDCCKWKHILCNHQTGHIQLLDLHGSHTPRYYLGGAINVTSLIHLSYIQHLDLSHNYFVMSYIPELMDSFNNLIYLDLSQSYFAGRIPSTLGNLLQLRYLDLGDNFLWGEIPIQIGNLKHLNYLDLGLFYLSGKIPCQIGNLQKLKYLSLGSDTTLYTRKTPNYISNSLSGAIPFRIGNLSLLRTLRLVGNFDIKAKDAQWLSTLHSLTILELSSLHSLKSSHQWLQTIGKIIPNLTELKLVDCNILDSDIQSLFHSHSSNNSTSLTKLDLSSNMLSSSILPFLFNFSLHLQELYISHNNITLSPSLCPKFPSLKVLDLSYNNPAQSMFRANFNISSKLQELYLKNCSLMDGNFLLSSTSTMNSLSSLLFLDLSNNLLKSSPMFYWLFNFTTHLLSIDLNGNLLEGPIPDEFGKAMNSLEYLFLYNNKLQGKVPSFFGSMCRLQILDLSNNNLNGEFPSFTQNSSWCSRHIFRELNLSYNQITGRIPESIRLLSQLEILSLRWNSLEGDVTESHLSNFSKLYLLDLSHNSLSVKFGSSWVPPFQLRFLFLASCNVGPGFPRWIQTQNSLIQLDMSDNGLNDFVPEWFWNKLQIMYTLNMSHSNLTGSIPNMQLKLPFRPSILLCSNKFQGKVPLFLLQASELFLSGNKFSDFSCGNVTAANLATLDLSDNQIKGQLPDCWKSINRLLNELSGKLPISLGTLVNLEALVLRNNRLMGELPSSLKNCKNLIMLDVSENMLSGAIPSWIGESMQQLIILIMRGNHFSGNIPLHICCLKRTQLLDLSRNKLSEGIPTCLINFTALSKNTINKIETESPVYWYNSTYSELYNFVIDSYYSFHITWMWKGVEHDFTRPELTLQSIDLSCNNLIGEIPKEITYMVGLVSLNLSGNNLSGEIPSKIGNLSLLESLDLSRNKLYGRIPSSLSEMNFLQNLDLSHNSLSGRIPLGRHMDTFDASCFEGNVDLCGEQLNKSCSGDQTVVKPEKAALRGEYHVFFEALYMSLGIGFFTGFWGLLGPLLLWQSWRITYLRFLNRVLDYLLVTVEVILAKRQK >Vigun08g168800.1.v1.2 pep primary_assembly:ASM411807v1:8:33994541:33996147:-1 gene:Vigun08g168800.v1.2 transcript:Vigun08g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNITIPLVLCLLMMFFFMSSQVPAQDLKEDVVARERNGVDDGTLVGGGGYGFGGGYPGGYGYGYGGFPGDYGYGGFPRGGFPRWFCRLGCCYRNPFTRQCLRCCP >Vigun03g168466.1.v1.2 pep primary_assembly:ASM411807v1:3:19774537:19775489:-1 gene:Vigun03g168466.v1.2 transcript:Vigun03g168466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKLLQEETGGKDAIDIGNLIVTTRNEYSFPKGNGRDKSGSISFVDRLVAQEMTETAKENWRRRDITNFEYLMILNTLARRSYNDLTQYPVFPWVLADHSSEVLDFNKSSTFQDLSKPVGAHDTKRFEARDPNANLAHFFDVIGGSGTSALITALLATPSPHDPTRAAFTPAQIVDFYKQNGPHVFNSSRYAI >Vigun04g129100.3.v1.2 pep primary_assembly:ASM411807v1:4:32457346:32462390:1 gene:Vigun04g129100.v1.2 transcript:Vigun04g129100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFHGMKRKRLQALCKKHGIPANLKNSEMADRLFLLFKEKEIEDPVGSGNARTEKDTTLHCVSSKNVEMIDLVTPSPGSKERSVFSAKNLKGSEIERLEFETNLSLEITREEFGICGAGEDMQSRLEEEHHNSQGGLHLAPVAVALVEFNDQLSEGEVEKLGENNNLIGDICEYEKGKAEAAEQGVHNSLKGSNMKDVNALHSSKEESAPPMVEEDEATEQGDCISPQRSSMKDMKESGYLVVEKDEAVEQGDHSSPQGSSMKDVKIYHVSQEESGYPVVEKDEAVEQGDHSSPQGSSMKDVKISHVSQEEFGYPVVEKDEAVEQGDQSSPPGSNMKDVNALYASIEESGFPMVEEDEAIHQEGDCISSQGSSMKDGKVLHQEESGYPVMEKDEDVEQGDHNSPPGSNPKDVNVLHASEEEYGFPMVEEDDALKQGDQNSSQGPSMKDVNILLVSQKESDCPIAEDVAVEQGDNNSPQGPNIKDVNIFYQEESLYPVVEDVAIEQGDHNSPQGPNIKDVNILDVYQEESVYPVMEEDVAVEQGNHNSPQGPNIKDVNILHVYQEEYVYPEVEEDVAVEQGDQNIPQGPNMKEVNVLHVCLEESGYPMVEEEEVVEQGDHNSPQGSNLKDVSVMHVSQEDFGYPTVEEVQKSEGNNYDLTNTGSEVDGKDTDGKTNLTAEHSVGTKDQFQRTYPENEVKSSDVNIHQETCEEELTSPQNTGSIANLGECSRFSPCNLEASLVESFQSETYSEQSPLGDIGTCNMKESMQSSNMEKVEYTQEALHTTANVNLLGKAIEEGIVFENVDMSEGKFVSSRKISLSPASDTMTGFSPKQLNNSETKVLQSETARVDVGIGDVEENMQMDLNKELVDEIGEYLLEGEIDKSGLIGDSEEVDNGICDMEENIQIIVNEMQVEESEQVIRATPSAMVLNNVEVGEQLLEGEVEKSGLNADSEEGIGFLPNNLVPSATKGFEDETYFDRSALGDVVDTFKMEESMPSDQMEKEFSEEVLHMTNDSVQEEVTRLINNSDDHEYVGVTGPEDGKNQLNMKRDLESIEKYLLNDFHTFEDASDQNSDENAPTMPHDGEMKLCTFNHQQMSASGTFSGDEMGTPPKCNIPKLRESFMKKELKIISSLPVKRARDILGASDMKENTKISKKEQVGTIISRSAFPNKRKPLQYLQQN >Vigun04g129100.2.v1.2 pep primary_assembly:ASM411807v1:4:32457346:32462390:1 gene:Vigun04g129100.v1.2 transcript:Vigun04g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFHGMKRKRLQALCKKHGIPANLKNSEMADRLFLLFKEKEIEDPVGSGNARTEKDTTLHCVSSKNVEMIDLVTPSPGSKERSVFSAKNLKGSEIERLEFETNLSLEITREEFGICGAGEDMQSRLEEEHHNSQGGLHLAPVAVALVEFNDQLSEGEVEKLGENNNLIGDICEYEKGKAEAAEQGVHNSLKGSNMKDVNALHSSKEESAPPMVEEDEATEQGDCISPQRSSMKDMKESGYLVVEKDEAVEQGDHSSPQGSSMKDVKIYHVSQEESGYPVVEKDEAVEQGDHSSPQGSSMKDVKISHVSQEEFGYPVVEKDEAVEQGDQSSPPGSNMKDVNALYASIEESGFPMVEEDEAIHQEGDCISSQGSSMKDGKVLHQEESGYPVMEKDEDVEQGDHNSPPGSNPKDVNVLHASEEEYGFPMVEEDDALKQGDQNSSQGPSMKDVNILLVSQKESDCPIAEDVAVEQGDNNSPQGPNIKDVNIFYQEESLYPVVEDVAIEQGDHNSPQGPNIKDVNILDVYQEESVYPVMEEDVAVEQGNHNSPQGPNIKDVNILHVYQEEYVYPEVEEDVAVEQGDQNIPQGPNMKEVNVLHVCLEESGYPMVEEEEVVEQGDHNSPQGSNLKDVSVMHVSQEDFGYPTVEEVQKSEGNNYDLTNTGSEVDGKDTDGKTNLTAEHSVGTKDQFQRTYPENEVKSSDVNIHQETCEEELTSPQNTGSIANLGECSRFSPCNLEASLVESFQSETYSEQSPLGDIGTCNMKESMQSSNMEKVEYTQEALHTTANVNLLGKAIEEVDTRCLDISIRTITNDDGKTNVTIEQFTDELQSSTFEDSDGTPVQFLPNDALSEVKTDFNVHEMVVSGIVFENVDMSEGKFVSSRKISLSPASDTMTGFSPKQLNNSETKVLQSETARVDVGIGDVEENMQMDLNKELVDEIGEYLLEGEIDKSGLIGDSEEVDNGICDMEENIQIIVNEMQVEESEQVIRATPSAMVLNNVEVGEQLLEGEVEKSGLNADSEEGIGFLPNNLVPSATKGFEDETYFDRSALGDVVDTFKMEESMPSDQMEKEFSEEVLHMTNDSVQEEVTRLINNSDDHEYVGVTGPEDGKNQLNMKRDLESIEKYLLNDFHTFEDASDQNSDENAPTMPHDGEMKLCTFNHQQMSASGTFSGDEMGTPPKCNIPKLRESFMKKELKIISSLPVKRARDILGASDMKENTKISKKEQVGTIISRSAFPNKRKPLQYLQQN >Vigun04g129100.1.v1.2 pep primary_assembly:ASM411807v1:4:32457346:32462390:1 gene:Vigun04g129100.v1.2 transcript:Vigun04g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFHGMKRKRLQALCKKHGIPANLKNSEMADRLFLLFKEKEIEDPVGSGNARTEKDTTLHCVSSKNVEMIDLVTPSPGSKERSVFSAKNLKGSEIERLEFETNLSLEITREEFGICGAGEDMQSRLEEEHHNSQGGLHLAPVAVALVEFNDQLSEGEVEKLGENNNLIGDICEYEKGKAEAAEQGVHNSLKGSNMKDVNALHSSKEESAPPMVEEDEATEQGDCISPQRSSMKDMKESGYLVVEKDEAVEQGDHSSPQGSSMKDVKIYHVSQEESGYPVVEKDEAVEQGDHSSPQGSSMKDVKISHVSQEEFGYPVVEKDEAVEQGDQSSPPGSNMKDVNALYASIEESGFPMVEEDEAIHQEGDCISSQGSSMKDGKVLHQEESGYPVMEKDEDVEQGDHNSPPGSNPKDVNVLHASEEEYGFPMVEEDDALKQGDQNSSQGPSMKDVNILLVSQKESDCPIAEDVAVEQGDNNSPQGPNIKDVNIFYQEESLYPVVEDVAIEQGDHNSPQGPNIKDVNILDVYQEESVYPVMEEDVAVEQGNHNSPQGPNIKDVNILHVYQEEYVYPEVEEDVAVEQGDQNIPQGPNMKEVNVLHVCLEESGYPMVEEEEVVEQGDHNSPQGSNLKDVSVMHVSQEDFGYPTVEEVQKSEGNNYDLTNTGSEVDGKDTDGKTNLTAEHSVGTKDQFQRTYPENEVKSSDVNIHQETCEEELTSPQNTGSIANLGECSRFSPCNLEASLVESFQSETYSEQSPLGDIGTCNMKESMQSSNMEKVEYTQEALHTTANVNLLGKAIEEGNMHITEGKVIGLFYNSDVHDDAILNGRSEVDTRCLDISIRTITNDDGKTNVTIEQFTDELQSSTFEDSDGTPVQFLPNDALSEVKTDFNVHEMVVSGIVFENVDMSEGKFVSSRKISLSPASDTMTGFSPKQLNNSETKVLQSETARVDVGIGDVEENMQMDLNKELVDEIGEYLLEGEIDKSGLIGDSEEVDNGICDMEENIQIIVNEMQVEESEQVIRATPSAMVLNNVEVGEQLLEGEVEKSGLNADSEEGIGFLPNNLVPSATKGFEDETYFDRSALGDVVDTFKMEESMPSDQMEKEFSEEVLHMTNDSVQEEVTRLINNSDDHEYVGVTGPEDGKNQLNMKRDLESIEKYLLNDFHTFEDASDQNSDENAPTMPHDGEMKLCTFNHQQMSASGTFSGDEMGTPPKCNIPKLRESFMKKELKIISSLPVKRARDILGASDMKENTKISKKEQVGTIISRSAFPNKRKPLQYLQQN >Vigun07g245300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36616639:36617976:1 gene:Vigun07g245300.v1.2 transcript:Vigun07g245300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNDHIPVHHVRGSNPKPMKLNRHHSVRYYVHRVHESLTTRVSKMICATFLGLLFIVGLITFILWLSLRPHRPRFHIHQFNMPGLTQNYGFENAVITFNVTARNANQNIGVNYESMDAAVFYRDQKIGYTPLLFPFYQEPKNTTEVDGELSGASLTVSSERWSEFQSDRADGSVVFRLELTSVIRFKISSWESKRHTMHANCDVGVGSDGSLLTNFKDKRCPVYFS >Vigun10g054300.1.v1.2 pep primary_assembly:ASM411807v1:10:9065505:9071682:1 gene:Vigun10g054300.v1.2 transcript:Vigun10g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLSPSPFTALSKTNVSFCPLFSSNFAVPSSSKLSRIELPRKRGSQNTALRCSIWPGGPGSGDSDSSNRSILDAFFLGKAVAEALNERIESTVGEILSTVGRLQAEQQKQVQDFQEDVLERAKRAKEKAAREAMEARGLTSKSAVETKIADSDSYKTSNSAVDPVTSVLSTDASEADIESTDE >Vigun11g125400.1.v1.2 pep primary_assembly:ASM411807v1:11:33265825:33269947:-1 gene:Vigun11g125400.v1.2 transcript:Vigun11g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFYALVARGTVVLAEFSAVTGNTGAVARRILEKLPAESDSRLCFSQDRYIFHILRSDGITYVCMANDTFGRRVPFSYLEDIQMRFMKNYSRVANYAPAYAMNDEFSRVLHQQMEFFSSNQSADTLNRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNFKLLALLTFLIVVVLYLIIAACCGGISLPSCRS >Vigun09g166000.1.v1.2 pep primary_assembly:ASM411807v1:9:33374094:33376020:1 gene:Vigun09g166000.v1.2 transcript:Vigun09g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVFNKKSQVHHNPISSSYTYSCSSQRDVHYSCGTCGYELNLSSSNRSISSIGSKYGKSIRRGIISFFNVDDSRFTRADEIECAPFFSKHSWGLFRKKTKLLCRKCCNHVGYSYNDHTSSSFPLLSNGAQPSPATEASTRMKYDIRIRALQPSSQEYGITVSA >Vigun05g156901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25544908:25545240:1 gene:Vigun05g156901.v1.2 transcript:Vigun05g156901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun09g128200.2.v1.2 pep primary_assembly:ASM411807v1:9:28507991:28512163:1 gene:Vigun09g128200.v1.2 transcript:Vigun09g128200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVTTFSIYSESQSKFRNFLGHPLIPNRNKPTSISVPPAMVRATLFSTFTCSQTPCTPCSNFSPGRALFKFLSEKVAFFLIGSFLLSGAFSKRAAFAEASPSVSSDVVLEEKVKPAEEKNEEEESDEEEEMWEKVLEKDPKNVEALKVVLYGKIKRGKSKEAVKFVEDLIAAEPKEVEWRLLLALCYETMGQLSKAKRLFREILKRRPLLLRALHGLAMAMHKNHEGPAIFEMLNKAQELASRENRVTEERNIRILIAQMHAVQVN >Vigun09g128200.3.v1.2 pep primary_assembly:ASM411807v1:9:28507991:28512162:1 gene:Vigun09g128200.v1.2 transcript:Vigun09g128200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVTTFSIYSESQSKFRNFLGHPLIPNRNKPTSISVPPAMVRATLFSTFTCSQTPCTPCSNFSPGRALFKFLSEKVAFFLIGSFLLSGAFSKRAAFAEASPSVSSDVVLEEKVKPAEEKNEEEESDEEEEMWEKVLEKDPKNVEALKVVLYGKIKRGKSKEAVKFVEDLIAAEPKEVEWRLLLALCYETMGQLSKAKRLFREILKRRPLLLRALHGLAMAMHKNHEGPAIFEMLNKAQELASRENRVTEERNIRILIAQMHAVQVN >Vigun09g128200.1.v1.2 pep primary_assembly:ASM411807v1:9:28507991:28512162:1 gene:Vigun09g128200.v1.2 transcript:Vigun09g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVTTFSIYSESQSKFRNFLGHPLIPNRNKPTSISVPPAMVRATLFSTFTCSQTPCTPCSNFSPGRALFKFLSEKVAFFLIGSFLLSGAFSKRAAFAEASPSVSSDVVLEEKVKPAEEKNEEEESDEEEEMWEKVLEKDPKNVEALKVVLYGKIKRGKSKEAVKFVEDLIAAEPKEVEWRLLLALCYETMGQLSKAKRLFREILKRRPLLLRALHGLAMAMHKNHEGPAIFEMLNKAQELASRENRVTEERNIRILIAQMHAVQGNLEEGIKRLQELIDQNPRDFRPYICQGIIYSLLGKTEEAAQQFETYQSLVPEEFPQRGFLDDVTIAAKKTSFEKFNKEFRNQFSYRK >Vigun11g045200.2.v1.2 pep primary_assembly:ASM411807v1:11:6779961:6782052:1 gene:Vigun11g045200.v1.2 transcript:Vigun11g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGAYFVSEVGKDAAGVAGNMFAFGLFLSPIPTFRRIIRNGSTEMFSGLPYVYSLLNCLICLWYGTPLISPDNLLVTTVNTIGGVFQLVYITLFLVYAEKARKVRMLGLLLAVLGIFVIILVGSLQIDDSAMRRMFVGLLSCASLISMFASPLFIIKLVIRTKSVEFMPFYLSLSTFLMSISFFLYGLVSYDAFIYVSSLSACLFLRYSF >Vigun11g045200.1.v1.2 pep primary_assembly:ASM411807v1:11:6779961:6782052:1 gene:Vigun11g045200.v1.2 transcript:Vigun11g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGAYFVSEVGKDAAGVAGNMFAFGLFLSPIPTFRRIIRNGSTEMFSGLPYVYSLLNCLICLWYGTPLISPDNLLVTTVNTIGGVFQLVYITLFLVYAEKARKVRMLGLLLAVLGIFVIILVGSLQIDDSAMRRMFVGLLSCASLISMFASPLFIIKLVIRTKSVEFMPFYLSLSTFLMSISFFLYGLVSYDAFIYVPNGIGTVLGIVQLILYFYYKSSSSENCRQPLIVSYE >Vigun04g039600.1.v1.2 pep primary_assembly:ASM411807v1:4:3357138:3358564:1 gene:Vigun04g039600.v1.2 transcript:Vigun04g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLFALLIGNIQNFLQGLGRRRLEMQLRCGDVEQWMSHRRLPEYLRRRVREAERYSWTATRGVNEATLMENFLEDLQMDIRRHLFKFVKKVRIFALMDEPVLDAICARLRQATYIKGSRILSQGSVVEKMVFVVRGKLESIGEDGIRIPLSEGDVCGEELLTWYLEHSSVSAGIAIYSNIPSFFCFSPGSSFRDYSVRDML >Vigun09g188866.1.v1.2 pep primary_assembly:ASM411807v1:9:36355131:36355702:1 gene:Vigun09g188866.v1.2 transcript:Vigun09g188866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EISPWSTETAESTIHSLSGLDTSVSLLTQAPLVDYRNLPRLALVRDTPTVRWIDEKFATDRWPLSLKTNHLIRTFFGVQQIYEVPFLRSVRGVVFFRVGQPLGFLSSWPLFTLTHHLVMFYCADKVYPGQRFTR >Vigun08g124000.1.v1.2 pep primary_assembly:ASM411807v1:8:29414744:29416716:1 gene:Vigun08g124000.v1.2 transcript:Vigun08g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSLLRSPKKEEQEVPEAITSVLPNSDQSYSYEDFNILEELEGVFNSKEEQKLLQQDSSSGELHWDFMEWEEFAPIEEEGEDENEGKEQVTESTNRYPFEEQQIKRENIVGFWEMDDEKMVALNLNLNYQEVLDAWSNRGSLWADDCSLSLATNNGYYNGEVPVLEEERARREASVLRYKEKRQNRLFAKKIRYQVRKLNADKRPRIKGRFVKRH >Vigun04g172800.1.v1.2 pep primary_assembly:ASM411807v1:4:39671407:39673486:1 gene:Vigun04g172800.v1.2 transcript:Vigun04g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSIFNSESDEDHWVIQINQMVSESHNSILKKIPVCIFHVPKSLSYAKPEAFSPQLIAIGPYTHFRPELYPMERFKIFAAKAVLDHFNKHDLKQVVQEFHDTATFIRASYHKYLDLKDETLLYTMAIDALFLLNFYHNYLDKKVSGSFMMGLEDPVQLSGVKITRNATIRDILMVENQIPNYSLLKILLFESPEPPHLVQEYLGSMLLSFCHQHSPLKFTHTITCSEAVSKHHHVLDLMYHLVVSHAVKSETPVPDQGEGTSELQKSSNSEAIAISFKKFKGVLTWTVESLKKLKDVNIPLVKPVKRHLDPVLKVSSQLDALSSHPKLSEEEEEEESHMIVNIPCVRELDSVGVFFQPVEGGNMAIEFDEKRGIFYLPVVKLDVNSEVIMRNLVAYEALTQPDFLIFTRYTELMRGIVDSVEDVKLLRKAGIIESSSTLSVEETEELFNGMSKSITPTKTHKLDETINKVNKYYDYKRKTNILKASTDYVYRSWKLFTLLATFVLLAMTAIETFCAAYDCHRYFAPE >Vigun03g294500.3.v1.2 pep primary_assembly:ASM411807v1:3:48040914:48046066:-1 gene:Vigun03g294500.v1.2 transcript:Vigun03g294500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNPSGHRGRRPLSIFAVLGLCCFFYLLGAWQRSGSGKGDNLALKVNKMMTDCTVLPNLSFESHHNDVEIVEPSEPKAKVFKACDVKYRDYTPCQEQDRAMTFPRENMIYRERHCPAENEKLRCLIPAPEGYTTPFPWPKSRDFAYYANVPYKSLTVEKAVQNWVQFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLLKRNVLAMSFAPKDNHEAQVQFALERGVPAVIGVLGSIRLPYPSRAFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLKAEQTKIEELAESLCWEKKYEKGDIAIWRKKINAESCKRKSSNLCDLANADDVWYQKMETCKTPLPEVNNKNEVAGGELKKFPARLFAVPPRIAQGNVAGVTAKSYQEDNKSWKKHVNAYKRINQLIGTTRYRNVMDMNAGLGGFAAALDSQKSWVMNVVPTIAENTLGVVYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYRGKCNLEDILLEMDRILRPEGAIIIRDEVDVLNKVKKIVGGMRWDAKMVDHEDGPLVPEKILVAVKQYWVATTKKTSSNDE >Vigun03g294500.1.v1.2 pep primary_assembly:ASM411807v1:3:48040914:48046066:-1 gene:Vigun03g294500.v1.2 transcript:Vigun03g294500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNPSGHRGRRPLSIFAVLGLCCFFYLLGAWQRSGSGKGDNLALKVNKMMTDCTVLPNLSFESHHNDVEIVEPSEPKAKVFKACDVKYRDYTPCQEQDRAMTFPRENMIYRERHCPAENEKLRCLIPAPEGYTTPFPWPKSRDFAYYANVPYKSLTVEKAVQNWVQFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLLKRNVLAMSFAPKDNHEAQVQFALERGVPAVIGVLGSIRLPYPSRAFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLKAEQTKIEELAESLCWEKKYEKGDIAIWRKKINAESCKRKSSNLCDLANADDVWYQKMETCKTPLPEVNNKNEVAGGELKKFPARLFAVPPRIAQGNVAGVTAKSYQEDNKSWKKHVNAYKRINQLIGTTRYRNVMDMNAGLGGFAAALDSQKSWVMNVVPTIAENTLGVVYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYRGKCNLEDILLEMDRILRPEGAIIIRDEVDVLNKVKKIVGGMRWDAKMVDHEDGPLVPEKILVAVKQYWVATTKKTSSNDE >Vigun03g294500.4.v1.2 pep primary_assembly:ASM411807v1:3:48040914:48045878:-1 gene:Vigun03g294500.v1.2 transcript:Vigun03g294500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNPSGHRGRRPLSIFAVLGLCCFFYLLGAWQRSGSGKGDNLALKVNKMMTDCTVLPNLSFESHHNDVEIVEPSEPKAKVFKACDVKYRDYTPCQEQDRAMTFPRENMIYRERHCPAENEKLRCLIPAPEGYTTPFPWPKSRDFAYYANVPYKSLTVEKAVQNWVQFQGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLLKRNVLAMSFAPKDNHEAQVQFALERGVPAVIGVLGSIRLPYPSRAFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKEDLKAEQTKIEELAESLCWEKKYEKGDIAIWRKKINAESCKRKSSNLCDLANADDVWYQKMETCKTPLPEVNNKNEVAGGELKKFPARLFAVPPRIAQGNVAGVTAKSYQEDNKSWKKHVNAYKRINQLIGTTRYRNVMDMNAGLGGFAAALDSQKSWVMNVVPTIAENTLGVVYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYRGKCNLEDILLEMDRILRPEGAIIIRDEVDVLNKVKKIVGGMRWDAKMVDHEDGPLVPEKILVAVKQYWVATTKKTSSNDE >Vigun09g219500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39341888:39342722:1 gene:Vigun09g219500.v1.2 transcript:Vigun09g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWSRKVNLLIDSWKTQDWTDYLLTLLACLVVSAFYQFIENRRIRLKLIGAGKPFPAEIQTPLLQRKLTGNGAKLGVKVAGAFLFGLSSAIGYLLMLSVMSFNGGVFVAIVVGLAVGYFFFRNEGEDSIIADTSCACA >Vigun11g161166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36863385:36865244:1 gene:Vigun11g161166.v1.2 transcript:Vigun11g161166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRQWPRLILAFAFCLMAISVGADDYKPYYGQPWNNYPHPTPPYQHQTPPYYYKSPPPPSPSPPPSPYVDKFPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYAYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPYVYNSPPPPSPSPPPPYYYKSPSPPSPSPPPPYYYKSPPPPTVY >Vigun02g154800.1.v1.2 pep primary_assembly:ASM411807v1:2:30125080:30129415:-1 gene:Vigun02g154800.v1.2 transcript:Vigun02g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLFLFLLLCTLFSNGLSQTVSLQRETRILLRVKNTQLEDNNKSLHDWVPNADHNPCNWTGITCDAQNQSLVSIDLSEIGIYGEFPFGFCRIHTLQNLSLGSNFLSNSVSPNSLLLCSHLRLLNLSDNVFVGDLPEFPPEFTELRVLDLSTNNFTGNIPESFGQIPRLRVLNLCGNLLSGTIPPFLGNLSELTRLELAYNTFKPGPLPPQLGNLFSLETLFLAGVNLVGEIPPTIGNLTSLKNLDLSKNGLCGNIPNSISGLKNVEQIELFENQLSGELPQGLGNLSSLMLLDLSQNGLTGKLPHAIASLRLYSLNLNDNLLGGEIPESLASNPNLQELKLFNNSFTGTLPQDLGQNSDIQEFDVSTNDFLGELPKYLCKRNKLERLITFANRFSGTLPEPYGECDSLYYVRIQNNQLSGQVPPAFWTLTGLQFLEMSNNRFEGSVSASISTALTKLILSGNDFSGKFPTRICQLPNLLEIDVSKNRFTGEVPTCITGLKKLQKLKMQENMFIGEIPSNVALWNDMTELNLSFNRFSGSIPRELGSLQDLTNLDLASNSLTGEIPVELTNLRFNQFNVSDNNLYGEVPSGFNRQMYFSSLEGNPGLCSGVIKTLPPCSKRRPFSLLAIVVLVACASLLVGSMLWYLKSKTRGKSKQSYMSTSFQRVGFNEEEIISNLTRENVIGAGSSGRVYRVRLKTGQTVAVKKLFGGVHKSDTELVFRAEIETLGRIRHANIVKLLFSCSGDEFRVLVYEYMEKGSLGDALHGEEMCGELKEWSRRFAIAVGAAKGLAYLHHDCVPPIVHRDVKSNNILLDHEFVPRVADFGLAKTLQLETTQDAVMSKVAGSYGYIAPEYGYTMKVNEKSDVYSFGVVLMELITGKRPNDCSFGENKDLVKWMTEIVLSPSSERGSGNVGGGKDAIMTKIVDPRLNPSTCDYGEVEKVLNVALLCTSAFPINRPSMRRVVELLKDHKLP >Vigun07g208300.1.v1.2 pep primary_assembly:ASM411807v1:7:32989726:32994664:-1 gene:Vigun07g208300.v1.2 transcript:Vigun07g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNLLLFFSLVSLCAMAAAKVVLIGNNITLSFDDIEANFAPTVKGSGEYGVLYLAEPLDACTDLTNKVERHPNVSSPFALVVRGGCSFEEKVRRAQKAGFQAVIVYDNEEDGVLVAMAGNSAGIRIHAVFVSKASGEILKKYAGWPNVEIWLIPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRASLVREFHGMSSRLVKAMPSLIFTAVLEDNCTSRTCAICLEDYCVGEKIRILPCCHKFHAACVDSWLTSWRTFCPVCKRDARTGLTDPPPSESTPLLSSSPASMASSVLSSVRSSLASSSAIQIARTASQTTSVSRNHSIASTPYVHPSPSLRSSYHQSPSLSMSRSSVDLRNASQRSLASHFNSPHSMGYPSLPSLNSRYMTPYIPSPSNASVSYLGSSSHQQHPLRYSESAASFSPFASTHSLPEC >VigunL059416.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:21844:23171:-1 gene:VigunL059416.v1.2 transcript:VigunL059416.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun02g154400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30101823:30104718:-1 gene:Vigun02g154400.v1.2 transcript:Vigun02g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLIASNLRHHLTKSAKILRPQFLSTAVEAAVPEQDGDSSSGMTMKGVRISGRPLYLDVQATSPMDPRVVDAMLPFYLSRFGNPHSRTHFYGWESDEAVEHARAQVASLIGASPKEIIFTSGATESNNISVKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVESDGLIDLEKLRAAIRPDTGLVSVMAVNNEIGVVQPVEEIGRICKEFNVPFHTDAAQALGKIPIDVDKWNVSLMSLSGHKVYGPKGVGALYLRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACEVAKNEMEYDEKRISSLQQRLLNGIREKLDGVVVNGSLERRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEAEIDRAVKLTVQQVEKLREMSPLYEMVKEGINIKDIQWAQH >Vigun03g116900.1.v1.2 pep primary_assembly:ASM411807v1:3:10824841:10826855:-1 gene:Vigun03g116900.v1.2 transcript:Vigun03g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKWCVLLVCFLAIFTSCCKSMPLSVQKRWIIDDATGERVKLHCSHWVAHTQPMLAEGLDKLPMNVIAANVAKAGFNCVRLSYATYMFTRHANNTVRDIFHSHDIPEMVTAIEKHNPSVLNMTHLQAYEAVVDALGEHGLMVLIDNHLSLPDWCCGNNDQNGFFGDRHFNTSEWIQGLAFVARHFKGKPNVFAMDLRNELRGSRQNWNVWYKYVSEGVKTIHEINPDLLIVVSGLSFDNDLSYLKTRPLDLNIDNKIVYESHVYSFSGDTGRWHVQPTNWVCNATIQWFIQQSGFLLSGKNPAPLFVSEFGYNMEGGNFADERYWPCIVAYFTSVDLEWSLWAFQGSYYFRQGNVGPGETYGVMDSDWKDYRDPNFTQKIQLLQRMIQDPNSKASESHILFHPSTGYCAHVSDDKEVVMGDCKSNSLWSFEGDGSPIRLMNSGMCLKADGEGLPPSLSEDCLSAQSSWKAVSMSGLHLASFGKDGEVLCLEKDSNSTKIVTTKCICIGDDDPSCLDDPLNQWFRLVPTNV >Vigun03g268700.1.v1.2 pep primary_assembly:ASM411807v1:3:43991405:43996927:-1 gene:Vigun03g268700.v1.2 transcript:Vigun03g268700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEESTTKPSKSSSSVQETPTVPAYPDWSSSMQAYYSTGATPPPFFASTVASPTPHPFLWGSQHPLMPPYGTPVPYPALYPPGSIYAHPSMAVTPSAIQQSTEIEAKGADGKDRDSSKKLKGTSANTGSKAGESGKAGSGSGNDGMSQSGESGSEGSSNASDENTNQQESATNKKGSFDLMLVDGANAQNNSGGAISQSSVPGKPVVSMPATNLNIGMDLWNPSSGGAEAAKMRHNQSGAPGVVALGEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEDLQKRVETLGSENRKLREELQRLSEECEKLTSENNSIKEELERMCGPEAVADLG >Vigun08g139400.1.v1.2 pep primary_assembly:ASM411807v1:8:31194820:31198325:-1 gene:Vigun08g139400.v1.2 transcript:Vigun08g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGAVLQNSRSDKHLIGGGEGRRHEEFEGNDNSVLRVVETLLRLFPIVLSVTALIIMLKNSEENEHGSVSYTDLSAFRYLVQANGICAGYSLFSVVFVALPRLSSMPRNWTFFLLDQVLTYLILAAGAASMEVLYLAEKGNAATTWSSACGAFGPFCHKVTASIAITFVAVICYVFLSLISSYKLFTKYDAPDITNISKAVHIAAFHA >Vigun08g139400.2.v1.2 pep primary_assembly:ASM411807v1:8:31194820:31199753:-1 gene:Vigun08g139400.v1.2 transcript:Vigun08g139400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYLRSWKMSLLAMEEGGAVLQNSRSDKHLIGGGEGRRHEEFEGNDNSVLRVVETLLRLFPIVLSVTALIIMLKNSEENEHGSVSYTDLSAFRYLVQANGICAGYSLFSVVFVALPRLSSMPRNWTFFLLDQVLTYLILAAGAASMEVLYLAEKGNAATTWSSACGAFGPFCHKVTASIAITFVAVICYVFLSLISSYKLFTKYDAPDITNISKAVHIAAFHA >Vigun08g139400.3.v1.2 pep primary_assembly:ASM411807v1:8:31194820:31199753:-1 gene:Vigun08g139400.v1.2 transcript:Vigun08g139400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGAVLQNSRSDKHLIGGGEGRRHEEFEGNDNSVLRVVETLLRLFPIVLSVTALIIMLKNSEENEHGSVSYTDLSAFRYLVQANGICAGYSLFSVVFVALPRLSSMPRNWTFFLLDQVLTYLILAAGAASMEVLYLAEKGNAATTWSSACGAFGPFCHKVTASIAITFVAVICYVFLSLISSYKLFTKYDAPDITNISKAVHIAAFHA >Vigun06g075300.1.v1.2 pep primary_assembly:ASM411807v1:6:20602162:20603262:1 gene:Vigun06g075300.v1.2 transcript:Vigun06g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSVLFPCVLVLFLVIFASGMVNGCNYPCRGPRDLCRNLHCPGCVKECVKSCCLCNCNGNGKPEIKM >Vigun02g015700.1.v1.2 pep primary_assembly:ASM411807v1:2:5692548:5696187:-1 gene:Vigun02g015700.v1.2 transcript:Vigun02g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFFSQLSLLQSNNLSPSCLSPRIPHSLASRVKPSSLGVVSVSKRVSRVVPNAALKALETNSDFPISAKKSINNPIVVIDNYDSFTYNLCQYMGELGFHFEVYRNDELTVEELKRKNPRGVLISPGPGEPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAYGGKIVRSPYGVMHGKSSLVYYDEQGEDGVLAGLSNPFLAGRYHSLVIEKESFPDELEATAWTEDGLIMAARHKKYKHLQGVQFHPESIITPEGKKIVHNFVKLIERMEGGRS >Vigun10g058542.1.v1.2 pep primary_assembly:ASM411807v1:10:11135611:11138003:1 gene:Vigun10g058542.v1.2 transcript:Vigun10g058542.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGIYCFSTIPSTTPAYHSLPLGSYFDLHKPLLYSKPSKFTSLVINGHRRMDTRARIEKEGDCNDNLIILQHPPVYTLGTASTMENLKFDMKNAPFNIYRTELGGEVTYHGPNQVIALNHLLYIMYPIINLRTHKMDLHWYLRNLEEVVIRVLSSTFSIQASMVEGLTGVWVGNEKLAAASIKVFGWITYHGLALNVTIDLSPFKWIIPCEIRDRQVGSIKGLVRDGVGHGRADLYNLNDASLIHITHESLLEEFSQSFQLEYNYKSISSTILYESK >Vigun01g222300.1.v1.2 pep primary_assembly:ASM411807v1:1:39565687:39570440:1 gene:Vigun01g222300.v1.2 transcript:Vigun01g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLRFFILLSFALFLQLCHSSSPLKSYIVYTGNSMKDEDSALALYSTILQEVADSNGEPKSVQQHYKRSFGGFVAKLTEEEANRLARHEKVVAVFPNQKKQLHTTRSWDFIGFPLNVQRETTESDVIIGVLDSGIWPESKSFNDEGFGPPPSKWKGTCQSSKNFTCNNKIIGAKIYKADGFFSDDDPKSPLDVDGHGTHTASIAAGNPVSQASMLGLAQGTARGGAIKARIAVYKVCWLDEGCSDADILAAFDDAIADGVDIISVSLGGFSDENYFRDGIGIGAFHAVRKGVLTVTSAGNGGPKYASLSNFLPWSITVAASTIDRKFVTKVGLGNNVTFEGTSINTFDLKGELYPIIYGGDAPSKGFDSSSSRFCFSGSLDKKLVEGKIVLCDSRSKATGPFDAGSVGALIQGQSFRDLPPSLPLPGSYLELKDGVTVLAYINSTRTPTATVFKTDVTEDTIAPVVASFSSRGPNPVTPDVLKPDLVAPGVSILASWSPVSPPSDVEGDNRTLNFNIISGTSMACPHVSGAAAYVKSFHPTWSPAAIRSALMTTAKQLRPEINLYAEFSYGAGQIDPSKAVCPGLVYDAGEIDYVRFLCGQGYSSKILQLITGDNSSCSESSSARDLNYASFALFSPLSNSNKVISGSFNRTVTNVGSATSTYKANVIGPEGLKIEANPSVLSFTSLNQKLSFVLTIEGIIKEPVVSGSLTWDDGKFQVRSPIVVFNTA >Vigun06g076401.1.v1.2 pep primary_assembly:ASM411807v1:6:20673266:20678411:1 gene:Vigun06g076401.v1.2 transcript:Vigun06g076401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSNHDIRRSYQTQAQQWLPHGFRSGRRIIHDLILLLLLPSSSISSPKQRPRRRKQWNPTKPATSLLHQYSIIIDELLLLQNLHKGL >Vigun04g110050.1.v1.2 pep primary_assembly:ASM411807v1:4:27523100:27525549:-1 gene:Vigun04g110050.v1.2 transcript:Vigun04g110050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFDANSNQDDSRNAPYIKNFIEEYVTNYDYVAQILIKGLSRGKKGVVWSQKMILVNLVGTLVFSTLIVNTKA >Vigun06g064000.1.v1.2 pep primary_assembly:ASM411807v1:6:19267075:19272010:1 gene:Vigun06g064000.v1.2 transcript:Vigun06g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGSFFHIDQHVKSSSVLNDPLEQENNYANTETAAAINSNEVQIPNAVQIPNLIGRDKSKMPITNVTKIMRKFLPNTAKVSDGAKEMIQQSATNYITHVTRKAKEKCQSEYRKIMNADDLLWAIENVGFDEYIGPLSTFLQRCRHIEGGSSNGPAFGPTFEPELNPVEMPLNAVEMPHPPLPPPPPPPLPPPPPPAAEMFDPNGVSGFINFDPFSLFQQDQL >Vigun11g049300.1.v1.2 pep primary_assembly:ASM411807v1:11:7897345:7899453:-1 gene:Vigun11g049300.v1.2 transcript:Vigun11g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTNLSLRLHALSKSLVAEGFCEAVLLSHLREEKWKEMPMKKRREIYVLVRNLRSTAYRLALASIVLEYKQNDIGFL >Vigun08g016500.1.v1.2 pep primary_assembly:ASM411807v1:8:1388567:1389288:1 gene:Vigun08g016500.v1.2 transcript:Vigun08g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEIKSIGVIITVMILLNFSHAESTDSVLCDIKCGLKCAFAAINEELHERCLRHCNEHCHASDSVNSCITDCHLTMSNAINNGARDLMNNMMNTCIQGCKEKL >Vigun01g042500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5978490:5979228:1 gene:Vigun01g042500.v1.2 transcript:Vigun01g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFECFGFSSPSQVSDYVERSSSELKSPSSEKPKRTPKSKGAPIVVSYFPVNHYPSRL >Vigun09g100100.2.v1.2 pep primary_assembly:ASM411807v1:9:16382119:16383652:1 gene:Vigun09g100100.v1.2 transcript:Vigun09g100100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFYNWITSTENRLYIGWFGVLMIPTLVTATSIFIIAFIAAPLVDIDGIHEPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEATSVDEWLYNGGPYELIVLHFLHGVACYMGREWELSFHLGMRPWIAVAYSAPVAAATAVFFIYPIGQGSFSDGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWVDIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun09g100100.1.v1.2 pep primary_assembly:ASM411807v1:9:16382119:16383652:1 gene:Vigun09g100100.v1.2 transcript:Vigun09g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFYNWITSTENRLYIGWFGVLMIPTLVTATSIFIIAFIAAPLVDIDGIHEPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEATSVDEWLYNGGPYELIVLHFLHGVACYMGREWELSFHLGMRPWIAVAYSAPVAAATAVFFIYPIGQGSFSDGMPLGISGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWVDIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun01g073200.1.v1.2 pep primary_assembly:ASM411807v1:1:20347545:20349956:1 gene:Vigun01g073200.v1.2 transcript:Vigun01g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYLSPSHYIYFVPLLCFEHLSTPPTSYIYTLQLSLEGTQTLVILCEGHHRLLRKMANENGFQQLSVKLGEPTLVPPAEETKKGLYFLSNLDQNIAVIVRTVYCFKTAERGNEKAVEVIKNALKKVLVHYYPLAGRLTISSEGKLIVDCTGEGALFVEAQANCSMEEIGDITKPDPGTLGKLVYDIPGAKHILQMPPLVAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWGQVARDLPLSIPPVLDRSILKARNPPKIEHLHQEFADIEDKSNTATLYEDEMVYRSFCFDPEKLKELKKKAMQDGALDACTTFEVLSAFVWIARTKALKMLPEQQTKLLFAVDGRAKFNPPLSKGYFGNGIVLTNSVCQAGELTQKPLSFAVRLIQDAIKMVTDSYMRSAIDYFEVTRARPSLACTLLITTWSRLSFHTTDFGWGDPVLSGPVSLPEKEVILFLSHGQERRNINVLLGLPSTVMKIFQELMQI >Vigun01g073200.2.v1.2 pep primary_assembly:ASM411807v1:1:20347545:20349956:1 gene:Vigun01g073200.v1.2 transcript:Vigun01g073200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYLSPSHYIYFVPLLCFEHLSTPPTSYIYTLQLSLEGTQTLVILCEGHHRLLRKMANENGFQQLSVKLVIVRTVYCFKTAERGNEKAVEVIKNALKKVLVHYYPLAGRLTISSEGKLIVDCTGEGALFVEAQANCSMEEIGDITKPDPGTLGKLVYDIPGAKHILQMPPLVAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWGQVARDLPLSIPPVLDRSILKARNPPKIEHLHQEFADIEDKSNTATLYEDEMVYRSFCFDPEKLKELKKKAMQDGALDACTTFEVLSAFVWIARTKALKMLPEQQTKLLFAVDGRAKFNPPLSKGYFGNGIVLTNSVCQAGELTQKPLSFAVRLIQDAIKMVTDSYMRSAIDYFEVTRARPSLACTLLITTWSRLSFHTTDFGWGDPVLSGPVSLPEKEVILFLSHGQERRNINVLLGLPSTVMKIFQELMQI >Vigun01g073200.3.v1.2 pep primary_assembly:ASM411807v1:1:20347931:20349956:1 gene:Vigun01g073200.v1.2 transcript:Vigun01g073200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLFFCRLLRKMANENGFQQLSVKLGEPTLVPPAEETKKGLYFLSNLDQNIAVIVRTVYCFKTAERGNEKAVEVIKNALKKVLVHYYPLAGRLTISSEGKLIVDCTGEGALFVEAQANCSMEEIGDITKPDPGTLGKLVYDIPGAKHILQMPPLVAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWGQVARDLPLSIPPVLDRSILKARNPPKIEHLHQEFADIEDKSNTATLYEDEMVYRSFCFDPEKLKELKKKAMQDGALDACTTFEVLSAFVWIARTKALKMLPEQQTKLLFAVDGRAKFNPPLSKGYFGNGIVLTNSVCQAGELTQKPLSFAVRLIQDAIKMVTDSYMRSAIDYFEVTRARPSLACTLLITTWSRLSFHTTDFGWGDPVLSGPVSLPEKEVILFLSHGQERRNINVLLGLPSTVMKIFQELMQI >Vigun07g189750.1.v1.2 pep primary_assembly:ASM411807v1:7:30784194:30785030:1 gene:Vigun07g189750.v1.2 transcript:Vigun07g189750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFKVLGFAFIALFILDLAVAARAPERAPTRKGGEGGGGGGGGGGGGGGVKGGSGSGSGAGYGTGGGKKGGGGGGGGGGGGGGGSGGSGEGSGSGVGGSNGGSGYGSGGGSGWGSGGGGNHHKFH >Vigun10g150900.2.v1.2 pep primary_assembly:ASM411807v1:10:37011133:37015779:-1 gene:Vigun10g150900.v1.2 transcript:Vigun10g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDRSICHVSQVLYPTTEQELLSMVASAARSKTKMKVATRFSHSIPKLVCPEGENGVLISTRYLNKIVKVDVEARTMVVESGVTLKDLISEAAKAGLALPYAPYWWGLTIGGLMGSGAHGSTLWGKGSSVHDYVLNLRIVRPASPQEGYAKVENLDQQHEDLNAAKVSLGVLGVISQITLKLEGIFKRSITYVAKNDSDLGEQVASFGYAHEFGDITWYPSQRRAVYRVDDRVPINASGNGLYDFIPFRPTPSLALAILRTTEDVQESAGDADGKCINAKTTTNTLITSAYGLTNNGIIFTKYPVIGFQNRLQASGTCLDSLKDAKITACAWDSTVKGEFFHQTTFSIGLSVVKDFIEDVQKLVELEPKGLCGLELYNGILMRYVKASNAYLGKQEDALDIDITYYRSKDPMSPRLYEDIVEEIEQLGIFKYGGLPHWGKNRNLAFEGAIKKYKNAEKFLRVKEKYDSEGLFSSTWTDQMLGLKDGVTIVKDGCALEGLCICSQDSHCNPSRGYYCRAGKVYKEARVCTHLKSK >Vigun10g150900.3.v1.2 pep primary_assembly:ASM411807v1:10:37011133:37015779:-1 gene:Vigun10g150900.v1.2 transcript:Vigun10g150900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDRSICHVSQVLYPTTEQELLSMVASAARSKTKMKVATRFSHSIPKLVCPEGENGVLISTRYLNKIVKVDVEARTMVVESGVTLKDLISEAAKAGLALPYAPYWWGLTIGGLMGSGAHGSTLWGKGSSVHDYVLNLRIVRPASPQEGYAKVENLDQQHEDLNAAKVSLGVLGVISQITLKLEGIFKRSITYVAKNDSDLGEQVASFGYAHEFGDITWYPSQRRAVYRVDDRVPINASGNGLYDFIPFRPTPSLALAILRTTEDVQESAGDADGKCINAKTTTNTLITSAYGLTNNGIIFTKYPVIGFQNRLQASGTCLDSLKDAKITACAWDSTVKGEFFHQTTFSIGLSVVKDFIEDVQKLVELEPKGLCGLELYNGILMRYVKASNAYLGKQEDALDIDITYYRSKDPMSPRLYEDIVEEIEQLGIFKYGGLPHWGKNRNLAFEGAIKKYKNAEKFLRVKEKYDSEGLFSSTWTDQMLGLKDGVTIVKDGCALEGLCICSQDSHCNPSRGYYCRAGKVYKEARVCTHLKSK >Vigun10g150900.1.v1.2 pep primary_assembly:ASM411807v1:10:37011133:37015779:-1 gene:Vigun10g150900.v1.2 transcript:Vigun10g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFHKALASTQVFLFFCVAVFSTPPEDPIKCSSGNTTCTITNSYGMFPDRSICHVSQVLYPTTEQELLSMVASAARSKTKMKVATRFSHSIPKLVCPEGENGVLISTRYLNKIVKVDVEARTMVVESGVTLKDLISEAAKAGLALPYAPYWWGLTIGGLMGSGAHGSTLWGKGSSVHDYVLNLRIVRPASPQEGYAKVENLDQQHEDLNAAKVSLGVLGVISQITLKLEGIFKRSITYVAKNDSDLGEQVASFGYAHEFGDITWYPSQRRAVYRVDDRVPINASGNGLYDFIPFRPTPSLALAILRTTEDVQESAGDADGKCINAKTTTNTLITSAYGLTNNGIIFTKYPVIGFQNRLQASGTCLDSLKDAKITACAWDSTVKGEFFHQTTFSIGLSVVKDFIEDVQKLVELEPKGLCGLELYNGILMRYVKASNAYLGKQEDALDIDITYYRSKDPMSPRLYEDIVEEIEQLGIFKYGGLPHWGKNRNLAFEGAIKKYKNAEKFLRVKEKYDSEGLFSSTWTDQMLGLKDGVTIVKDGCALEGLCICSQDSHCNPSRGYYCRAGKVYKEARVCTHLKSK >Vigun09g139900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30208042:30209659:1 gene:Vigun09g139900.v1.2 transcript:Vigun09g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTQDSHLRSENSVTYESPYPIYAMAFSPSHPHRLALGSFIEEYTNRVHILSFHPETLSLSPHPSLSFDHPYPPTKLMFHPRKPSPSSSSDLLATSGDYLRLWDVRENSVEPLSLFNNSKTSEFCAPLTSFDWNDIDHNRIGTSSIDTTCTIWDIERTVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPHPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTTPVAELERHRGSVNAIAWAPHSSTHICSAGDDTQALIWELPTLAAPTGIDPMCMYSAGCEINQLQWSAAQPDWIAIAFANKVQLLKV >Vigun07g011700.4.v1.2 pep primary_assembly:ASM411807v1:7:986412:989810:-1 gene:Vigun07g011700.v1.2 transcript:Vigun07g011700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAMMGKPEAMLRICEMEEISSPFGGRYFELCDPDLFPDTLQISEVTSSSNCCHEDKSSYATTISPPLDAVDNKININSNSNIVTTSSSTTTASTTTNNNNNNNNNLNNSNNNNNNNNTTNSNNLSLFFDSQDEFDNDISASIDFSSSPSFAVPPLLPITTQQDHFDFSSAQQQLHLSASGSVLKGLSQYPTDPVVAPLIGAPLASVFDDDCISSIPSYVPLNPSSPSCSYLSPGIGAYMTPPGSLATALSADSSGLFGGNILLGSELQAQELDYQGENGGIYCTDSIQRVFNPPELQKNRKRIISSSIIG >Vigun07g011700.1.v1.2 pep primary_assembly:ASM411807v1:7:985086:988414:-1 gene:Vigun07g011700.v1.2 transcript:Vigun07g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTMLICHHSLQEEISSPFGGRYFELCDPDLFPDTLQISEVTSSSNCCHEDKSSYATTISPPLDAVDNKININSNSNIVTTSSSTTTASTTTNNNNNNNNNLNNSNNNNNNNNTTNSNNLSLFFDSQDEFDNDISASIDFSSSPSFAVPPLLPITTQQDHFDFSSAQQQLHLSASGSVLKGLSQYPTDPVVAPLIGAPLASVFDDDCISSIPSYVPLNPSSPSCSYLSPGIGAYMTPPGSLATALSADSSGLFGGNILLGSELQAQELDYQGENGGIYCTDSIQRVFNPPELQALGNESQKLVSAGGSGTLAPEISHLEDSTLKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDSHRQGSSNHEEDDEEIIVKEDDDLVDSSDIFAHISGVNSFKCNYSIQSLI >Vigun07g011700.3.v1.2 pep primary_assembly:ASM411807v1:7:985086:989810:-1 gene:Vigun07g011700.v1.2 transcript:Vigun07g011700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAMMGKPEAMLRICEMEEISSPFGGRYFELCDPDLFPDTLQISEVTSSSNCCHEDKSSYATTISPPLDAVDNKININSNSNIVTTSSSTTTASTTTNNNNNNNNNLNNSNNNNNNNNTTNSNNLSLFFDSQDEFDNDISASIDFSSSPSFAVPPLLPITTQQDHFDFSSAQQQLHLSASGSVLKGLSQYPTDPVVAPLIGAPLASVFDDDCISSIPSYVPLNPSSPSCSYLSPGIGAYMTPPGSLATALSADSSGLFGGNILLGSELQAQELDYQGENGGIYCTDSIQRVFNPPELQALGNESQKLVSAGGSGTLAPEISHLEDSTLKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDSHRQGSSNHEEDDEEIIVKEDDDLVDSSDIFAHISGVNSFKCNYSIQSLI >Vigun07g011700.2.v1.2 pep primary_assembly:ASM411807v1:7:985086:989810:-1 gene:Vigun07g011700.v1.2 transcript:Vigun07g011700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVIQPPTPDEQQQLPIEEISSPFGGRYFELCDPDLFPDTLQISEVTSSSNCCHEDKSSYATTISPPLDAVDNKININSNSNIVTTSSSTTTASTTTNNNNNNNNNLNNSNNNNNNNNTTNSNNLSLFFDSQDEFDNDISASIDFSSSPSFAVPPLLPITTQQDHFDFSSAQQQLHLSASGSVLKGLSQYPTDPVVAPLIGAPLASVFDDDCISSIPSYVPLNPSSPSCSYLSPGIGAYMTPPGSLATALSADSSGLFGGNILLGSELQAQELDYQGENGGIYCTDSIQRVFNPPELQALGNESQKLVSAGGSGTLAPEISHLEDSTLKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDSHRQGSSNHEEDDEEIIVKEDDDLVDSSDIFAHISGVNSFKCNYSIQSLI >Vigun03g135601.1.v1.2 pep primary_assembly:ASM411807v1:3:13285735:13286304:-1 gene:Vigun03g135601.v1.2 transcript:Vigun03g135601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAQRIMISQTKCHNHRKRNPFPSVPREENKNDKYSIIYRLDYFYSKILRRDSQMGILLLKLPSPNEKKQNSTFGPPSWSFQNPTPTKLSNTS >Vigun08g178733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34852263:34852906:-1 gene:Vigun08g178733.v1.2 transcript:Vigun08g178733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMSLHPWHLHGHEFWVLGYGEGKFEEGDERKLNLRNPPLRNTVVVFPYGWSALRFRADNPGVWAFHCHIEGHFEMGMGVVFAEGVEHVKGIPRDAMGCGLTRNI >Vigun07g019800.2.v1.2 pep primary_assembly:ASM411807v1:7:1639957:1644317:-1 gene:Vigun07g019800.v1.2 transcript:Vigun07g019800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNSSPRLMLVSDLDHTMVDHHDPENSSLLRFNALWEAYYRQDSLLVFSTGRSPTLYKQLRKEKPMITPDIAIMSVGTEITYGRSMVPDDGWVQFLNQKWDKNIVIEETSKFPELKPQAETEQRPHKVSFYVEKDKAQSVTKALSKVLEERGLNVKIIYSGGIDLDVLPNGAGKGQALAYLLKKFETEGKPPLNTLACGDSGNDAELFSIPGVYGVMVSNAQEELLQWHSENAKDNPKILHASERCASGIIQAIGHFKLGLNLSPRDVSDTGEEVSSPHLEIVNFALLVEKWRRAEVESSELFIAGLKATVLASAILIHPSGSVYNMKEYLNTFRKVYGDKKGKQYRIWVDDVLATELAPGIWLVKFDKWELCGEERKGCAFTSILSNKDSDWFNLVHAHQTWLEDSAQGEWFI >Vigun07g019800.1.v1.2 pep primary_assembly:ASM411807v1:7:1639957:1643915:-1 gene:Vigun07g019800.v1.2 transcript:Vigun07g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNSSPRLMLVSDLDHTMVDHHDPENSSLLRFNALWEAYYRQDSLLVFSTGRSPTLYKQLRKEKPMITPDIAIMSVGTEITYGRSMVPDDGWVQFLNQKWDKNIVIEETSKFPELKPQAETEQRPHKVSFYVEKDKAQSVTKALSKVLEERGLNVKIIYSGGIDLDVLPNGAGKGQALAYLLKKFETEGKPPLNTLACGDSGNDAELFSIPGVYGVMVSNAQEELLQWHSENAKDNPKILHASERCASGIIQAIGHFKLGLNLSPRDVSDTGEEVSSPHLEIVNFALLVEKWRRAEVESSELFIAGLKATVLASAILIHPSGSVYNMKEYLNTFRKVYGDKKGKQYRIWVDDVLATELAPGIWLVKFDKWELCGEERKGCAFTSILSNKDSDWFNLVHAHQTWLEDSAQGEWFI >Vigun07g019800.3.v1.2 pep primary_assembly:ASM411807v1:7:1639958:1644315:-1 gene:Vigun07g019800.v1.2 transcript:Vigun07g019800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNSSPRLMLVSDLDHTMVDHHDPENSSLLRFNALWEAYYRQDSLLVFSTGRSPTLYKQLRKEKPMITPDIAIMSVGTEITYGRSMVPDDGWVQFLNQKWDKNIVIEETSKFPELKPQAETEQRPHKVSFYVEKDKAQSVTKALSKVLEERGLNVKIIYSGGIDLDVLPNGAGKGQALAYLLKKFETEGKPPLNTLACGDSGNDAELFSIPGVYGVMVSNAQEELLQWHSENAKDNPKILHASERCASGIIQAIGHFKLGLNLSPRDVSDTGEEVSSPHLEIVNFALLVEKWRRAEVESSELFIAGLKATVLASAILIHPSGSVYNMKEYLNTFRKVYGDKKGKQYRIWVDDVLATELAPGIWLVKFDKWELCGEERKGCAFTSILSNKDSDWFNLVHAHQTWLEDSAQGEWFI >Vigun07g019800.4.v1.2 pep primary_assembly:ASM411807v1:7:1639958:1644311:-1 gene:Vigun07g019800.v1.2 transcript:Vigun07g019800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNSSPRLMLVSDLDHTMVDHHDPENSSLLRFNALWEAYYRQDSLLVFSTGRSPTLYKQLRKEKPMITPDIAIMSVGTEITYGRSMVPDDGWVQFLNQKWDKNIVIEETSKFPELKPQAETEQRPHKVSFYVEKDKAQSVTKALSKVLEERGLNVKIIYSGGIDLDVLPNGAGKGQALAYLLKKFETEGKPPLNTLACGDSGNDAELFSIPGVYGVMVSNAQEELLQWHSENAKDNPKILHASERCASGIIQAIGHFKLGLNLSPRDVSDTGEEVSSPHLEIVNFALLVEKWRRAEVESSELFIAGLKATVLASAILIHPSGSVYNMKEYLNTFRKVYGDKKGKQYRIWVDDVLATELAPGIWLVKFDKWELCGEERKGCAFTSILSNKDSDWFNLVHAHQTWLEDSAQGEWFI >Vigun07g019800.5.v1.2 pep primary_assembly:ASM411807v1:7:1641887:1644311:-1 gene:Vigun07g019800.v1.2 transcript:Vigun07g019800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNSSPRLMLVSDLDHTMVDHHDPENSSLLRFNALWEAYYRQDSLLVFSTGRSPTLYKQLRKEKPMITPDIAIMSVGTEITYGRSMVPDDGWVQFLNQKWDKNIVIEETSKFPELKPQAETEQRPHKVSFYVEKDKAQSVTKALSKVLEERGLNVKIIYSGGIDLDVLPNGAGKGQALAYLLKKFETEGKPPLNTLACGDSGNDAELFSIPGVYGVMVSNAQEELLQWHSENAKDNPKILHASERCASGIIQAIGHFKLGLNLSPRDVSDTGEEVSSPHLEIVNFALLVEKWRRAEVESSELFIAGLKATVVCLISFIFYRLPIVCSICVRRLPSM >Vigun03g383400.2.v1.2 pep primary_assembly:ASM411807v1:3:58796358:58801126:-1 gene:Vigun03g383400.v1.2 transcript:Vigun03g383400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSMKISPLDLMSAMIKGTLDPSNISSTNASAATVFLENREFVMMLTTSIAVLIGCVVVFIWRRSAAPKPKPLEPPKRVIEKLPEIELDDGTKKVTIFFGTQTGTAEGFAKAIAEEAKARYEKATFRVVDLDDYAADDEEYEARFKKESHVLFFLATYGDGEPTDNAARFYKWFTEGGEKGEGSFKNLHYGVFGLGNRQYEHFNKVAIEVDELFAEQGGNRLLRVGLGDDDQCIEDDFTAWKEELWPALDQLLRDEDDTTVSTPYTAAVLEYRVVIHDPLEVSVDEKKWHNVNGHAVVDAQHPVRANVAVRKELHTPASDRSCTHLEFETSGTGVTYETGDHVGVYCENLSETVEEALRLLGLSPDTYFSIHADGEDGKPLSGSSLPPPFPPCTLRTAIARYADVLSSPKKSVLLALAAHASDASEADRLRHLASPAGKDEYSEWVTASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPRMAPNRIHVTCALVCDKMPTGRIHKGVCSTWMKNSVPLEKSTDCSWAPIFVRTSNFRLPADNKVPIIMIGPGTGLAPFRGFLQVYNLLDILSPNSFFHPSIYSNYLVGFLFAPSGKISSERRRS >Vigun03g383400.1.v1.2 pep primary_assembly:ASM411807v1:3:58795624:58801126:-1 gene:Vigun03g383400.v1.2 transcript:Vigun03g383400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSMKISPLDLMSAMIKGTLDPSNISSTNASAATVFLENREFVMMLTTSIAVLIGCVVVFIWRRSAAPKPKPLEPPKRVIEKLPEIELDDGTKKVTIFFGTQTGTAEGFAKAIAEEAKARYEKATFRVVDLDDYAADDEEYEARFKKESHVLFFLATYGDGEPTDNAARFYKWFTEGGEKGEGSFKNLHYGVFGLGNRQYEHFNKVAIEVDELFAEQGGNRLLRVGLGDDDQCIEDDFTAWKEELWPALDQLLRDEDDTTVSTPYTAAVLEYRVVIHDPLEVSVDEKKWHNVNGHAVVDAQHPVRANVAVRKELHTPASDRSCTHLEFETSGTGVTYETGDHVGVYCENLSETVEEALRLLGLSPDTYFSIHADGEDGKPLSGSSLPPPFPPCTLRTAIARYADVLSSPKKSVLLALAAHASDASEADRLRHLASPAGKDEYSEWVTASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPRMAPNRIHVTCALVCDKMPTGRIHKGVCSTWMKNSVPLEKSTDCSWAPIFVRTSNFRLPADNKVPIIMIGPGTGLAPFRGFLQERLALKEEGAELGPSVFFFGCRNSKMDYIYEDELNHFINTGALSELIVAFSREGPTKEYVQHKMMEKASEIWSMLSQGAYLYVCGDAKGMARDVHRALHTILQEQGSMDSSQAESMVKNLQTTGRYLRDVW >Vigun01g122800.2.v1.2 pep primary_assembly:ASM411807v1:1:29958625:29963272:-1 gene:Vigun01g122800.v1.2 transcript:Vigun01g122800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAERRYLEDEDTPLMKTIKGATTGLVAGTIWGTVVATWYDVPRVERNVALPGLIRTFKMMGNYGLTFAAIGGVYIGVEQLVQHYRMKRDLVNGAVGGFVAGATILGYKGRSIKTALSAGSALAFTSAYIDLGGQTLKHDEGKEYAAYTTKKRTSGDE >Vigun01g122800.3.v1.2 pep primary_assembly:ASM411807v1:1:29958625:29963241:-1 gene:Vigun01g122800.v1.2 transcript:Vigun01g122800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAERRYLEDEDTPLMKTIKGATTGLVAGTIWGTVVATWYDVPRVERNVALPGLIRTFKMMGNYGLTFAAIGGVYIGVEQLVQHYRMKRDLVNGAVGGFVAGATILGYKGRSIKTALSAGSALAFTSAYIDLGGQTLKHDEGKEYAAYTTKKRTSGDE >Vigun01g122800.4.v1.2 pep primary_assembly:ASM411807v1:1:29958626:29963241:-1 gene:Vigun01g122800.v1.2 transcript:Vigun01g122800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAERRYLEDEDTPLMKTIKGATTGLVAGTIWGTVVATWYDVPRVERNVALPGLIRTFKMMGNYGLTFAAIGGVYIGVEQLVQHYRMKRDLVNGAVGGFVAGATILGYKGRSIKTALSAGSALAFTSAYIDLGGQTLKHDEGKEYAAYTTKKRTSGDE >Vigun01g224100.2.v1.2 pep primary_assembly:ASM411807v1:1:39728807:39731479:-1 gene:Vigun01g224100.v1.2 transcript:Vigun01g224100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGIACYTRGPFLPTVSSKHSPPSISPSFAFRSLKSSSFFGESLRVASKSTLKVSKTKSSSVVTRCEIGDSLEEFLTKATPDKGLIRLLVSMGEALRTISFKVKTASCGGTQCVNSFGDEQLAVDMLANQLLFEALNYSHFCKYACSEEEPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGVMGPRTTYVLAIKGFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATSDNLDYAKLIDYYVNEKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGLLIENAGGYSSDGHQSVLDKVINNIDERTQVAYGSKNEIIRFEETLYGKSRLKGGVAVGAAA >Vigun01g224100.1.v1.2 pep primary_assembly:ASM411807v1:1:39728807:39731479:-1 gene:Vigun01g224100.v1.2 transcript:Vigun01g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGIACYTRGPFLPTVSSKHSPPSISPSFAFRSLKSSSFFGESLRVASKSTLKVSKTKSSSVVTRCEIGDSLEEFLTKATPDKGLIRLLVSMGEALRTISFKVKTASCGGTQCVNSFGDEQLAVDMLANQLLFEALNYSHFCKYACSEEEPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGVMGPRTTYVLAIKGFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATSDNLDYAKLIDYYVNEKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGLLIENAGGYSSDGHQSVLDKVINNIDERTQVAYGSKNEIIRFEETLYGKSRLKGGVAVGAAA >Vigun09g059100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6023717:6030787:-1 gene:Vigun09g059100.v1.2 transcript:Vigun09g059100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDTRRAVQCSAGIFVSPNTLSFSSSLSHYSPYLLHKLTHLLPKHSTLSHKQNNLSFLSFLLHHTANNYFQNFTFHFWPEMQDIHSICGGDRRLRQHHHHHHQPLKCPRCDSLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKSKRSNKPKTTTATTTNNNNNPSSSSQIAPATASTTPSAAEPERNSNSHSSSESSTLTVTEAMSAPTSNTLSSNALFLDNVRESKLFNASNPSLDGGAVFSEIGNFSSLIASNNEALGFGFGNCSNNNSNGNSNILDATPFRFGVTHPQGNNNDQVQGAAGGEQQQNHQEFGTASFLDLTAPLEFSSLPQKSGGHHHGGFGSLDWQPGADQGLFDLPNTVDQPYWTHSHWSDQDNPSLFHLP >Vigun03g139566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13754660:13755891:-1 gene:Vigun03g139566.v1.2 transcript:Vigun03g139566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSFPKLGLDKKDCTETSWIGSVVFMNAGFSGTRDLEAIEVLVNRTRTRVKNFKGKSDYVSKPIPLHGLRGLWRLLYDDGIEYGQLQFAPYGGIMDEISKSQTPFSHRSGYIFHIHYIATWLEEGDETAQRHMNWTRRVYKYMEPYVSKSPRAAYLNYRDLDIGVNNNGYTSYHQASIWGLKYFGSNFRRLVEVKTKVDPHKFFRNEQSIPTPSVHVTP >Vigun05g284300.1.v1.2 pep primary_assembly:ASM411807v1:5:47241992:47243892:-1 gene:Vigun05g284300.v1.2 transcript:Vigun05g284300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLQLPPKLSTPFLTGHAHGSNLLSKPNSSVARPQWAPPCSVPQIKAMKTMQGKVVCSSSDKTVAVEVVRVAVHPKYKRRFRKKKKYQAHDPDNQFKVGDIVQLQKTRRSNEPSQREEVFIDFESFESFGSQSQS >Vigun05g284300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47241992:47243892:-1 gene:Vigun05g284300.v1.2 transcript:Vigun05g284300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLQLPPKLSTPFLTGHAHGSNLLSKPNSSVARPQWAPPCSVPQIKAMKTMQGKVVCSSSDKTVAVEVVRVAVHPKYKRRFRKKKKYQAHDPDNQFKVGDIVQLQKTRPISKTKTFLALPAPQRRSNEPSQREEVFIDFESFESFGSQSQS >VigunL078925.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000585.1:32426:34094:-1 gene:VigunL078925.v1.2 transcript:VigunL078925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGISMNPIIEIAQDTLF >Vigun03g183200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23642355:23650322:1 gene:Vigun03g183200.v1.2 transcript:Vigun03g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLRINFVGTWKRNRWKRKERFRLFTTQSQSSEPHVGYMISTNISIAQRFKMGNLEEARHLFDQMQHRTVSSWNTMISGYSQWGRYPEALTLVSLMHRSSVTLNEVSFSAVLSACARSESLLLGKQVHSLLLKSGYERFGLVGSALLYFCVQCCGIREADVVFEELRDGNQVLWSLMLAGYVQRDMMDDATDMFEKMPTRDVVAWTTLISGYAKREGGCERALDLFGCMRRSFEVVPNEFTLDCVVRVCARLGDLCVGRVVHGLCIKGGFDFDNSIGGALTEFYCDCEAMDDAKRVYESMEGEACLNVANSLIGGLVSKGRMEEAEFVFNDLRDTNPVSFNLMIKGYAMSGQFEKSKRIFEKMSPKTLTSLNTMISVYSKSGELVEAVKLFDKTKGERNYVTWNSMMSGYIINGRHKEALNLYVAMRRMSVDYSRSTFSVLFRACSCLCSFRQGQLLHAHLIKTPFQENVYVGTALVDFYSKCGHLADAQSSFNSIFSPNVAAWTALINGYAYHGLGSEAVLLFRSMSHQGVVPNAATFVGVLSACNHAGLVGEGLRIFHTMQRCYGLTPTIEHYTCVVDLLGRSGHVKEAEEFIIKMPIEADAIIWGALLNASWFWKDMEVGERAAEKLFSMDPNPTFAFVVLSNMYAILGRWGQKTKLRKRLQSLELRKDPGCSWIELNNDIHLFSVEDKTHPYSDVIYATVDHITSTINSIIPFNFLHSSNFG >Vigun03g183200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23647461:23650322:1 gene:Vigun03g183200.v1.2 transcript:Vigun03g183200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLRINFVGTWKRNRWKRKERFRLFTTQSQSSEPHVGYMISTNISIAQRFKMGNLEEARHLFDQMQHRTVSSWNTMISGYSQWGRYPEALTLVSLMHRSSVTLNEVSFSAVLSACARSESLLLGKQVHSLLLKSGYERFGLVGSALLYFCVQCCGIREADVVFEELRDGNQVLWSLMLAGYVQRDMMDDATDMFEKMPTRDVVAWTTLISGYAKREGGCERALDLFGCMRRSFEVVPNEFTLDCVVRVCARLGDLCVGRVVHGLCIKGGFDFDNSIGGALTEFYCDCEAMDDAKRVYESMEGEACLNVANSLIGGLVSKGRMEEAEFVFNDLRDTNPVSFNLMIKGYAMSGQFEKSKRIFEKMSPKTLTSLNTMISVYSKSGELVEAVKLFDKTKGERNYVTWNSMMSGYIINGRHKEALNLYVAMRRMSVDYSRSTFSVLFRACSCLCSFRQGQLLHAHLIKTPFQENVYVGTALVDFYSKCGHLADAQSSFNSIFSPNVAAWTALINGYAYHGLGSEAVLLFRSMSHQGVVPNAATFVGVLSACNHAGLVGEGLRIFHTMQRCYGLTPTIEHYTCVVDLLGRSGHVKEAEEFIIKMPIEADAIIWGALLNASWFWKDMEVGERAAEKLFSMDPNPTFAFVVLSNMYAILGRWGQKTKLRKRLQSLELRKDPGCSWIELNNDIHLFSVEDKTHPYSDVIYATVDHITSTINSIIPFNFLHSSNFG >Vigun10g112800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31351702:31354058:-1 gene:Vigun10g112800.v1.2 transcript:Vigun10g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITFTDDDFLGVDHQQDDPMVITVKLENYAVKKDPKSSCVFSAPLKDPRSYYDAQTDQVKCWVTPAFGRFSWKLPMHSLPISNDEDRVQVFAYALLEGQVCPCLKSVRKYMSAPPESIMKKEAFGQKRVGNLLSKLKSRLIDSSAVLRMVWKENPRELFAEILDWFQHSFHKHFEELWLQMHNELLMGTQKGPQHKSSRKKKVKSKGLS >Vigun11g225100.1.v1.2 pep primary_assembly:ASM411807v1:11:41545890:41551096:-1 gene:Vigun11g225100.v1.2 transcript:Vigun11g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLAGSGNATPPGFRLPLAAAVGVGVGVGSKRNKLSSSPSPAIPGTQTIYIKTFGCSHNQSDSEYMAGQLSAFGYSLSDNPDQADLWLINTCTVKSPSQSAMDTIITKGKSSNKPLVVAGCVPQGSRNLKELEGISIVGVQQIDRVVEIVEETLKGHEVRLLTRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVGRVKSVISDGVKEIWLSSEDTGAYGRDIGVNLPTLLKALVAELPADASTMLRIGMTNPPYILEHLKEIAEILRHPCVYSFLHVPVQSGSDTVLTAMNREYTVSEFRTVVDTLTELVPEMQIATDIICGFPGETDEDFVQTVDLVKDYKFPQVHISQFYPRPGTPAARMKKVPSNVVKRRSRELTNVFESFTPYSGMEGKVERIWITDIASDGIHLVGHTKGYIQVLVLAPDDMLGASAMAKITSVGRWSVFGEVVETIDRVSDNKALNKLVPDRDLHSLCVNPAKSGGFSEEPESCACGSDICCSIDKSDVSRGTAVPQNQSNRNFVEWILRKREHLHKKVESELASGSVKKQDGKMKKWDFVDKVLLGGISISILTIIALVAALAFRVIWSR >Vigun03g229700.1.v1.2 pep primary_assembly:ASM411807v1:3:38255194:38258308:1 gene:Vigun03g229700.v1.2 transcript:Vigun03g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNTVELLREEIPINQKPLTLSPNIKTGLVIVDVVNGFCTVGAGNLAPKQPDQRISHMVEECVRLSKAFSERKWPIFAFLDCHHPDKPEPPYPPHCIIGSNEEKLVPDLQWLENDPNATLRDKDCIDGFLGSTEKDGSNVFIDWVKTNEIKQILVAGICTDICVLDFVSSVLSARNRGFLSPLENVIVSSQACATYDLPLHVAKTNKAFVSHPQELMHHIGLYIAHGRGADIASEVLFE >Vigun02g163750.1.v1.2 pep primary_assembly:ASM411807v1:2:30850382:30851485:1 gene:Vigun02g163750.v1.2 transcript:Vigun02g163750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGWETTGLHGFQEYRRGGSCLYVLNLGKPDKNTLFMCSKLRENQYDNKRRKYVFSIANSKVGLRWFPMLVPFHKSKS >Vigun11g058900.1.v1.2 pep primary_assembly:ASM411807v1:11:12711267:12727826:1 gene:Vigun11g058900.v1.2 transcript:Vigun11g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSQLLEAASDFSHYPGVQSDDSARDFLNRFPLTLIINALQTNVDVPDLENTLVACLDKLFNTKLGASLIPQYMPFVQVGLQAESQAVRSLSCKTVSRLLENVDNDDKVAASVRLIKDFNIYPLLLDCIINGDEQVAAVAADAITKLASFPEGMEIIFPSGKVGETDLELIASQCSSLGRVRVLALVVKLFSVSRSAASTVYSLNLLKLLEAELRNADDTLVTLSVLELLYELAAIEHSTEFLSKTSLIELLSSIISNNSVESILRSRAMMICGRLVPKDIIHSFTNEPCVKAVIASIDGRLQSLDPSDIDECETALESLGHIGSSTQGATLLLSGSSPAARHVIDAAFERQGPQGHGKQLAALHALGNISGETRSKNNIILNAEAEENLRRLIYETATRSSKLTPSGLFLSVLQQDAEIRLAVYRVISGLVARPWCLMEICSKDDIINKVTNPTVETTKIGMEARYNCCKAIYQTLTLSDSVSAFTDIYAKLEKAVRMDPYLVKSHGEPQPVVETAERF >Vigun06g160400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28291739:28293278:1 gene:Vigun06g160400.v1.2 transcript:Vigun06g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKISSLLSTTFCSTSPSTIKSSIHLPNKLSIPLSVTPNLKPSRKLFEELNGFSHTIPILQDNPGNNSTTTTSTSSKATIVQLYALLEAVADRVEMHRNIGDQRDNWNTLLLNSVNMLTLTASAMVGLAASIGGGGAPLLALKLSSTLLFSASTGMLVVMNKIQPSQLAEEQRNATRLFKQLQSHIETTIAIGNLTEEDVKEAMERVLALDKAYPLPLLGAMVEKFPKKFEPAVWWPSAQKRMNSSKYQEGKNNGWNKGLEMELRNVLEVVKEKDMKDYERLGNMVLKINKSLAIAGPLLTGIAAVGSACVTEGSSWGAIVAVIGGALATTVNAFEHGGQVGMVSEMYRNCGGFFKQLENTIQDTMEEDEDQRENGELFEMKLALKLGRSLSQLRDLARKSAYSRIEGTTTDEFASKLF >Vigun06g155600.1.v1.2 pep primary_assembly:ASM411807v1:6:27917342:27918926:1 gene:Vigun06g155600.v1.2 transcript:Vigun06g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPVRFQRMVAAFDADVARVRLCESSGSEHSPESLTDLSDLVKSFMEKNETTGEKEEVGGVVHFEEERGEEQLEETEWSDSEKKEMLRSLFSGNEEDDEDERDAKERIRREVEVASGVVGSNCKRHLMSQLREKGFDAGLCKSKWEKNGRLTAGNYEYIDVNLKGKRYVVEICLALEFEIARPTNQYSALLDVFPLIFVGKVDELKQVVRLMCTAIKGSMKRMNLHIPPWRRNVYMQAKWFSAYKRTTNAVATKRASSSPLSSESLFPKRSIGFEVRPVKTKNCRDVDYATNAGFRISHLTAVFNSDNVGV >VigunL061237.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:29746:29946:1 gene:VigunL061237.v1.2 transcript:VigunL061237.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun05g268200.11.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEMLPI >Vigun05g268200.3.v1.2 pep primary_assembly:ASM411807v1:5:45997542:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEMLPI >Vigun05g268200.2.v1.2 pep primary_assembly:ASM411807v1:5:45997542:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEEVGKNVKGRENSECYQFDEPLEKCGDQEYKMKHQKKNRHSKGQSCSDKGVGMFLRSMKKSVTVRPRRSTRLFSKVLQQSLH >Vigun05g268200.9.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEMLPI >Vigun05g268200.1.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEEVGKNVKGRENSECYQFDEPLEKCGDQEYKMKHQKKNRHSKGQSCSDKGVGMFLRSMKKSVTVRPRRSTRLFSKVLQQSLH >Vigun05g268200.7.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEEVGKNVKGRENSECYQFDEPLEKCGDQEYKMKHQKKNRHSKGQSCSDKGVGMFLRSMKKSVTVRPRRSTRLFSKVLQQSLH >Vigun05g268200.8.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEEVGKNVKGRENSECYQFDEPLEKCGDQEYKMKHQKKNRHSKGQSCSDKGVGMFLRSMKKSVTVRPRRSTRLFSKVLQQSLH >Vigun05g268200.10.v1.2 pep primary_assembly:ASM411807v1:5:45997563:45999838:1 gene:Vigun05g268200.v1.2 transcript:Vigun05g268200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDSKKTRLSDIHQNNCGDVESGVCSQEKDFTSSLIEITDVSPLCFEYHGWSEEGINLCVDLNSSPSYWANKYRNEVCVSENVCMMNECRSLLQDLGCLGGSSSQGKGINEKQSTALGLVRYAAPNNYLSGAESCAEDVSEKTLKAINTPFIKFICGSETLKHHDSNPGDEIFEDGGLPDLVDPKNDLVVEQGNSREIDLDTDVKNIPSFAEEEMLPI >Vigun08g089800.2.v1.2 pep primary_assembly:ASM411807v1:8:20754987:20756849:1 gene:Vigun08g089800.v1.2 transcript:Vigun08g089800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRRRSRRRDMQPSTPATTGVVTGEKPTPQILVLRFFPACETITLVAAQQCLHKLPPAASRPSSALPSPSRESSAPPVRTRGSSFLTRELSKTEQSPPSDAVGDRDWSCCHDHAVGSATTLLRLKPNSLPMMSSEIACEQ >Vigun08g089800.1.v1.2 pep primary_assembly:ASM411807v1:8:20754987:20756849:1 gene:Vigun08g089800.v1.2 transcript:Vigun08g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRRRSRRRDMQPSTPATTGVVTGEKPTPQILVLRFFPACETITLVAAQQCLHKLPPAASRPSSALPSPSRESSAPPVRTRGSSFLTRELSKTEQSPPSDAVGDRDWSCCHDHAVGSATTLLRLKPNSLPMMSSEIVSNEDKTRNGGVYDGFVRVG >Vigun08g089800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20754984:20756850:1 gene:Vigun08g089800.v1.2 transcript:Vigun08g089800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRRRSRRRDMQPSTPATTGVVTGEKPTPQILVLRFFPACETITLVAAQQCLHKLPPAASRPSSALPSPSRESSAPPVRTRGSSFLTRELSKTEQSPPSDAVGDRDWSCCHDHAVGSATTLLRLKPNSLPMMSSEIACAHPFGPLDYLFFGSLFLGLYCWVLFLWFFTQVSNEDKTRNGGVYDGFVRVG >Vigun06g066800.2.v1.2 pep primary_assembly:ASM411807v1:6:19535049:19541879:1 gene:Vigun06g066800.v1.2 transcript:Vigun06g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSAVELMESSNEVHFSGFHMEGFEQRKDSIEQPTTSETDMYKEPFVIGVAGGAASGKTAVCDMIIQQLHDQRVVLVNQDSFYNSLSEEELTRVQDYNFDHPDAFDNEQLLRVMDKLKHGEAVDIPKYDFKTYKSDVLRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTNEKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQTGMCYCWTLYLAQVIRLFKQFLYS >Vigun06g066800.1.v1.2 pep primary_assembly:ASM411807v1:6:19535049:19541879:1 gene:Vigun06g066800.v1.2 transcript:Vigun06g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSAVELMESSNEVHFSGFHMEGFEQRKDSIEQPTTSETDMYKEPFVIGVAGGAASGKTAVCDMIIQQLHDQRVVLVNQDSFYNSLSEEELTRVQDYNFDHPDAFDNEQLLRVMDKLKHGEAVDIPKYDFKTYKSDVLRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTNEKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISDRHVLLLDPILGTGNSAVQAISLLIRKGVPESNIIFLNLISAPKGVHVVCKSFPRIKIVTSEIEIGLNEDFRVIPGMGEFGDRYFGTDDDDDEQMVVSSQ >Vigun11g165600.2.v1.2 pep primary_assembly:ASM411807v1:11:37280069:37284368:1 gene:Vigun11g165600.v1.2 transcript:Vigun11g165600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCASSSSRTILLLILFIFNFSPRITAIRKDVSFQTLHPCKTTVQGRYLLSDENGYVCNAVSVNSRSRCCPPKGEKFSCHECNLLSRCCNSYEYCVSCCLNPALTSKEQVLKMKVAKPATARTYTSVFDYCAGRCRHSSESVVHENAYISDFHHCFSLPSNSSWKNRTLVEARLNGINVVVGRQGESCNSVCKSRGQLCVPNKLAVLNNCDIIQKYMSCKGSCLSSLGSDQPAEVVYDAPMHLNPGSCLYTETLSMLSCDGLHQHTRRLCPCA >Vigun11g165600.4.v1.2 pep primary_assembly:ASM411807v1:11:37280069:37284368:1 gene:Vigun11g165600.v1.2 transcript:Vigun11g165600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKPSTPAKPPFKADTSFQMKTYLVGYVCNAVSVNSRSRCCPPKGEKFSCHECNLLSRCCNSYEYCVSCCLNPALTSKEQVLKMKVAKPATARTYTSVFDYCAGRCRHSSESVVHENAYISDFHHCFSLPSNSSWKNRTLVEARLNGINVVVGRQGESCNSVCKSRGQLCVPNKLAVLNNCDIIQKYMSCKGSCLSSLGSDQPAEVVYDAPMHLNPGSCLYTETLSMLSCDGLHQHTRRLCPCA >Vigun11g165600.1.v1.2 pep primary_assembly:ASM411807v1:11:37280069:37284368:1 gene:Vigun11g165600.v1.2 transcript:Vigun11g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCASSSSRTILLLILFIFNFSPRITAIRKDVSFQTLHPCKTTVQGRYLLSDENGYVCNAVSVNSRSRCCPPKGEKFSCHECNLLSRCCNSYEYCVSCCLNPALTSKEQVLKMKVAKPATARTYTSVFDYCAGRCRHSSESVVHENAYISDFHHCFSLPSNSSSGKNRTLVEARLNGINVVVGRQGESCNSVCKSRGQLCVPNKLAVLNNCDIIQKYMSCKGSCLSSLGSDQPAEVVYDAPMHLNPGSCLYTETLSMLSCDGLHQHTRRLCPCA >Vigun11g165600.3.v1.2 pep primary_assembly:ASM411807v1:11:37280069:37284368:1 gene:Vigun11g165600.v1.2 transcript:Vigun11g165600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKPSTPAKPPFKADTSFQMKTYLVGYVCNAVSVNSRSRCCPPKGEKFSCHECNLLSRCCNSYEYCVSCCLNPALTSKEQVLKMKVAKPATARTYTSVFDYCAGRCRHSSESVVHENAYISDFHHCFSLPSNSSSGKNRTLVEARLNGINVVVGRQGESCNSVCKSRGQLCVPNKLAVLNNCDIIQKYMSCKGSCLSSLGSDQPAEVVYDAPMHLNPGSCLYTETLSMLSCDGLHQHTRRLCPCA >Vigun09g234800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40526513:40529281:-1 gene:Vigun09g234800.v1.2 transcript:Vigun09g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGMRNHLFLLLLFISTSSVARDTLPEGSSLSVEKQSDILLSSNGDFSAGFFRVGQNAFCFSVCFTRSKQPTVLWMAKRDQPVNGKGSFLSLRKSGNLVLTDAGGTIIWETATLSSFQLHLKLRNNGNLLLLTSEGTTIWQNFDSPTDTLLPTQPLTERVALVSPRSATNHSSGFYKLYFDDESFLRLLYKGHTFSSVYWPAPWQLPTVPTDIGRTNYNFTKTALLDSFGLFTSSDGFEFRSTDYPKKLYRRLKMDSDGNVRLYSFNEERKIWEVTWQVVSQPCSIHGICGQNSICNHDPVIGRTCYCLKGYKVKDPNDWTQGCEPEFSPSDFSCNSRQSLGFLRLPNMDLYGYDMSYGIVSSLKECQNLCLALCDECVAVQLKFNEFGTFRCYVKKVAFNGRDSPHIIVDTYIKLPNSILRSSTKILKHSRMNCSVGLSQKLNRFYQPPEKNSTLSFLVWFAFGVGVFEFSTIFLVWFFLFRTSKNPDPLDEQQHLLSATRFQRFTYAELKSATRGFKEEVGRGAGGVVYKGTLYDNRVAAIKRLNEATQREAEFLAEIRTIGMLNHMNLIDMWGYCVEGKHRLLVYEYMEYGSLADNLFGNALDWKKRFNVAVGTARGLAYLHEECLEWILHCDVKPQNILLNSEFQPKVADFGLSKLLNRDERRNCSFSGIRGTRGYMALEWAYNLRITSKVDVYSYGIVVLEMVSGRSPMAIHSLENSGNIEKHRLVTWIREKIKLAPTFGFRMEEIIDPSLEGNYNVSEVEVLVEVALQCVQDDMNERPSMSQVAEMLRAHQNKLLPL >Vigun05g042400.1.v1.2 pep primary_assembly:ASM411807v1:5:3449527:3453483:1 gene:Vigun05g042400.v1.2 transcript:Vigun05g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSQNTFTFITTTIDAPSPTTPEISPSRDALSGLAQAYPPGFSRKVFAEVIGTFLLVFVGSGSAGLSVIDESRVSKLAASIAAGLIVTVMIYSIGHISGAHMNPAVSLAFAAVRHFPWPQVPFYVAAQLTGSISASYTLRELFQPSKEIGGTTPAGSHVQALIVEMVTTFTMVFISMAVATDTNATGQLSGVAVGCSVSISSIVAGPISGGSMNPARTLGPAIATSSYKGLWVYFVGPITGAVLAAWSYNVIRDTEHPGFPFSLSSLSFKVRQSVSGTKTDQRSLV >Vigun05g042400.3.v1.2 pep primary_assembly:ASM411807v1:5:3449527:3453483:1 gene:Vigun05g042400.v1.2 transcript:Vigun05g042400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSQNTFTFITTTIDAPSPTTPEISPSRDALSGLAQAYPPGFSRKVFAEVIGTFLLVFVGSGSAGLSVIDESRVSKLAASIAAGLIVTVMIYSIGHISGAHMNPAVSLAFAAVRHFPWPQVPFYVAAQLTGSISASYTLRELFQPSKEIGGTTPAGSHVQALIVEMVTTFTMVFISMAVATDTNATGQLSGVAVGCSVSISSIVAG >Vigun05g042400.2.v1.2 pep primary_assembly:ASM411807v1:5:3449527:3453483:1 gene:Vigun05g042400.v1.2 transcript:Vigun05g042400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSQNTFTFITTTIDAPSPTTPEISPSRDALSGLAQAYPPGFSRKVFAEVIGTFLLVFVGSGSAGLSVIDESRVSKLAASIAAGLIVTVMIYSIGHISGAHMNPAVSLAFAAVRHFPWPQVPFYVAAQLTGSISASYTLRELFQPSKEIGGTTPAGSHVQALIVEMVTTFTMVFISMAVATDTNAVRITVNFLQLSITLSKHDTCR >Vigun09g250400.1.v1.2 pep primary_assembly:ASM411807v1:9:41768047:41771458:1 gene:Vigun09g250400.v1.2 transcript:Vigun09g250400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPHLPFVFLFPSLTHYPIPVPIITQNPQKQIERNRSISISIRKQKAAATAMARGKIQIKRIENTTNRQVTYSKRRNGLFKKANELTVLCDAKVSIIMFSSTGKLHEYISPSTSTKHFFDQYQMTLGVDLWNSHYENMQENLKKLKEVNKNLRKEIRQRMGDCLIDLNMEDLKLLEEEMDKAAKIVRERKYKVITNQIDTQRKKFNNEKEVHNRLLRDLDARAEDPRFALIENGGEYESVIGFSNLGPRMFALSLQPSHPSGHSGPTSDLTTYPLLF >Vigun09g250400.2.v1.2 pep primary_assembly:ASM411807v1:9:41767704:41771458:1 gene:Vigun09g250400.v1.2 transcript:Vigun09g250400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENTTNRQVTYSKRRNGLFKKANELTVLCDAKVSIIMFSSTGKLHEYISPSTSTKHFFDQYQMTLGVDLWNSHYENMQENLKKLKEVNKNLRKEIRQRMGDCLIDLNMEDLKLLEEEMDKAAKIVRERKYKVITNQIDTQRKKFNNEKEVHNRLLRDLDARAEDPRFALIENGGEYESVIGFSNLGPRMFALSLQPSHPSGHSGPTSDLTTYPLLF >Vigun09g250400.3.v1.2 pep primary_assembly:ASM411807v1:9:41767705:41771458:1 gene:Vigun09g250400.v1.2 transcript:Vigun09g250400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENTTNRQVTYSKRRNGLFKKANELTVLCDAKVSIIMFSSTGKLHEYISPSTSTKHFFDQYQMTLGVDLWNSHYENMQENLKKLKEVNKNLRKEIRQRMGDCLIDLNMEDLKLLEEEMDKAAKIVRERKYKVITNQIDTQRKKFNNEKEVHNRLLRDLDARAEDPRFALIENGGEYESVIGFSNLGPRMFALSLQPSHPSGHSGPTSDLTTYPLLF >Vigun03g258900.1.v1.2 pep primary_assembly:ASM411807v1:3:42513586:42515371:1 gene:Vigun03g258900.v1.2 transcript:Vigun03g258900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPTSTARRVTRSQTASNNIPLSRKKNEDSEMAVSKTRQRNGVQNQDRSALVDISNDSPIVGLANGNDLETPLSSIVKQKRVKNTPGSGEALLRGQVKTLLQKVEEEAVISKLTMEVVPPFLQLANSPMSLLAPTPANTPQIPNLSGSNGDALALVSPPSCVIEEQLISRVVNETFEKNKEEVEGEKSVITRSLLLDFSDKSEVVSECSSEVTYQEVIHGSGGSTEDDGASVWSMQVNASTHDEDEMEEESGEEEEGDYYEDAEEDEECYDNEDGDGGLLLDELCAGLNNISVNEKGCGKHTRFVYDSEDELVEKVVESCGSSDVMHLKGLPTPKGKHLRFPDEEENCSL >Vigun10g085400.1.v1.2 pep primary_assembly:ASM411807v1:10:24445433:24449722:1 gene:Vigun10g085400.v1.2 transcript:Vigun10g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNIFPIMRSFNIIDGCKGTQFYAFNPSSGTATGSGIGDKLLQQLHDHIKAQTLRTKSNRNFISPNTTSASEVVFCEGSLLPYGLPMTELLEPKIEPSLVSPDLVETLAGAYRRAEECPQFERTEVFLQQCTIFRGLADPKLFRRSLRAARQHATNVHAKVVLAAWLRHERREDELIGSSSMDCSGRNLECPRATLEPGYDPESVFDPCPCTRACAVDGENDSDDGYSAMMTTEDDDDDEQRPASEEEDGDMSFIVGEDEVRCNRFNIASLSRPFKTMLYGEFVESRREHINFSQNGFSVETLKAAKEFSRSKKLTHLEPNAVLELLSFANRFCCEEMKHACDVHLALLVCDLENALLLIEYGLEETAYLLVAACLQVFLRELPSSMQCSSFVRMLSSPEGRDRLAMAGHASFMLYYFLSQVAMEEEMRSNTTVMLLERLVECANDGWEKQLAFHQMGVVMLERKEYKDAQHWFESAVEAGHIYSTVGVARAKYKRGHTYSAYKLMNSIVSEQKPVGWMYQERSLYCMGKEKQMDLLSASELDPTLSFPYKFRAVSFLEENKIESAIAEINKVIGFKVSADCLELRAWFLIAMEDYEGALRDVRAILTLDPNYMLFYGHMHGEHLIELLSPVVQQWCQADCWMQLYDRWSSVDDIGSLAVVHQMLANDPGKSLLRFRQSLLLLRLNCPKAAMRSLRMARNHSISDHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAYFLKAYALADSNLDSESSKYVIHLLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKNHRKAAYDEMTKLIEKARSNASAYEKRSEYCDRDMAKSDLSMASELDPLRTYPYRYRAAVLMDDHKEAEAIAELSRAIDFKPDLQLLHLRAAFYESMGDYASAVRDCEAGLCLDPNNNEILDLCNKAREHLREQPK >Vigun03g297700.6.v1.2 pep primary_assembly:ASM411807v1:3:48503202:48509622:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQVSLCSDKKVVVRVYVEKKPRKKGSSSIQHQHQLHGPISMGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQGRFLVLQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.3.v1.2 pep primary_assembly:ASM411807v1:3:48508223:48509697:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQGRFLVLQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.7.v1.2 pep primary_assembly:ASM411807v1:3:48503202:48509622:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQVSLCSDKKVVVRVYVEKKPRKKGSSSIQHQHQLHGPISMGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.1.v1.2 pep primary_assembly:ASM411807v1:3:48508232:48509697:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQVSLCSDKKVVVRVYVEKKPRKKGSSSIQHQHQLHGPISMGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQGRFLVLQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.5.v1.2 pep primary_assembly:ASM411807v1:3:48508280:48509589:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQGRFLVLQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.4.v1.2 pep primary_assembly:ASM411807v1:3:48508223:48509697:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.2.v1.2 pep primary_assembly:ASM411807v1:3:48508223:48509697:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQVSLCSDKKVVVRVYVEKKPRKKGSSSIQHQHQLHGPISMGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun03g297700.8.v1.2 pep primary_assembly:ASM411807v1:3:48508223:48509595:-1 gene:Vigun03g297700.v1.2 transcript:Vigun03g297700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRRAGLLSYSHLLRQSAKGALSAPSFSKWVANNNLQPPTQIAPSNMKKATWFGSCSWKLLIPRFLRSWSKGKKKENKKKHMGADFSGNGMRMICCYRS >Vigun02g007850.1.v1.2 pep primary_assembly:ASM411807v1:2:3419872:3420123:1 gene:Vigun02g007850.v1.2 transcript:Vigun02g007850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRFKQRSTFICQEVYRSVNSTFQSLFEIMDHHLFLSHHSPFSLRIS >Vigun03g322600.1.v1.2 pep primary_assembly:ASM411807v1:3:51851599:51854693:1 gene:Vigun03g322600.v1.2 transcript:Vigun03g322600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAISCFFSSSPSSFQRNINLKLRLSLHRQRVAHWTIYDKDKSSQYIHGVPKLRGRHQKQHAWVPEKHDSISENIFPINKSCFHLSQNGGKSRLVPFCDLNRDNEVISSNSERTQNSASWMLGPAILVASFILPPLILPKVMLNNFGESSSLILLLLFFTEATFYSGVAVFLLQLDSLMRRRQPNHSANKSVTFSPQLGYQISSVVTMVLSITIPIATMILALQWTFPLVPTTFVPHLVGILVQFTFERLARYWKSPSWAAISLIFHAYRFHQIHRAVNLLTYISFESGRSEVAKSKLPAGSLVTLSNILYLLLVICFWSFSSFLMRLFLELNSDAKLM >Vigun03g017300.1.v1.2 pep primary_assembly:ASM411807v1:3:1224093:1226937:-1 gene:Vigun03g017300.v1.2 transcript:Vigun03g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLPPGFRFHPTDEELITYYLLRKVSDVSFTSKVVAVVDLNKSEPWDLPGKASMGEKEWYFFSLKDRKYPTGLRTNRATESGYWKTTGKDKEIFGGGVLIGMKKTLVFYMGRAPRGEKSNWVMHEYRLQNKQPYRSSKEEWVICRVFQKSSAPKKPQQTSSSQPSEDSMVNEFGDADLPNLNSIANSSSVAAQIYNSGDPSNVSTNMNLAMNWPSATELPSLPWPSGLLLNPNLSVNSLLLRALQLRNRNSYQQREAATSDHFATYVPQTFPHNNIGTHNDNLTPNLSVSASSTALELQEQPFNMDSIW >Vigun06g038000.1.v1.2 pep primary_assembly:ASM411807v1:6:15563870:15564539:-1 gene:Vigun06g038000.v1.2 transcript:Vigun06g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FISLFFYCSVEGFQYWIIAQTWPAGFCNHNVCDATKPIPLKFTIHGLWPSNYNMSPPLPCSKSKLNVSLINGIVPILDQDWPSYKTINSYFWNYEWQKHGSCSNMLPFDYFTLALDIYKRNDLQQILKDANILHDNTYGINKIMTAIQTSRVGVQPQLSCEKGDLVEIRLCLNINPIPQYINCPPNKACPTYVNFI >Vigun03g147500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15125994:15133713:-1 gene:Vigun03g147500.v1.2 transcript:Vigun03g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDHRCDKFFVTEKSFNNCKDGSQNFCKTSISAPRVVNSFNNKGSGYQNLRGLKIHCDDKSVLHYFRALVGSGISRLFNYNGNESQEFPGFTFLQYLRDLTRVG >Vigun09g125500.1.v1.2 pep primary_assembly:ASM411807v1:9:27848647:27851256:-1 gene:Vigun09g125500.v1.2 transcript:Vigun09g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEGKLREKLVKVVGVGKLCYLLKSKIGEGSYSLVWRAEQRQTGDDVAVKQVFLSKLNPRLKACLDCEINFLSSVNHPNIIRLLHFFQDDGCVYLVLEFCAGGNLASYIRSHGRVQQQTARKFMHQLGSGLRVMHSHGIIHRDLKPENILLSSHEDDAKLKIADFGLSRTVRPGEYAETVCGSPLYMAPEVLQFQRYDDKADMWSVGAILFEILNGYPPFNGKNSVQVLKNIISCNCLPFSQLILSGLDPDCLDICSKLLHLNPVERPSVDEFYWHSFLQRKVMRT >Vigun04g093400.1.v1.2 pep primary_assembly:ASM411807v1:4:18574627:18579497:-1 gene:Vigun04g093400.v1.2 transcript:Vigun04g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSIFLLGFMLLHCWVAMNEAKYVKYKDPKQPLNVRIKDLMDRMTLEEKIGQMTQIERKVASAEIMKKYYIGSVLSGGGSVPKAQASAEDWINMVNDFQKGSLSTRLGIPMIYGIDAVHGNNNVYNATIFPHNIGLGATRDPKLVRKIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGEIPANSPKGVPFVAGKRKVIASAKHYVGDGGTTKGINENNTVISRHGLLSIHMPAYYNAIKKGVSTVMVSYSSWNGKKMHANHNLITKFLKNHLHFKGFVISDWQGIDRITTPPDANYTYSIYASINAGIDMVMVPLNFTEFIDGLTLLVKSNAIPMNRINDAVRRILRVKFVMGLFEDPLADHSLVHQLGSKKHRKLAREAVRKSLVLLKNGENPNQPLIPLPKRVPKILVAGSHADNLGYQCGGWTIEWQGVTGNNVTKGTTILSAIKSTVHKDTEVVYKENPDLDYVKSNNFSYAIVVVGEKPYAETNGDSLNLTISAPGPETIENVCGQVKCVTVIISGRPVVIQPYVDKIEALVAAWLPGTEGNGVTDVLFGDYGFRGKLPRTWFKTVDQLPMNVGDSHYDPLFPFGFGLKTKSHKAN >Vigun07g013600.1.v1.2 pep primary_assembly:ASM411807v1:7:1223166:1224108:-1 gene:Vigun07g013600.v1.2 transcript:Vigun07g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLMPAIPPAEAPRPRRHRNCCCCLFSICWKILLALIIFLVVVFVIFYAVLQPRAFKLHVTDATLTQFNYTSSDSTLRYNLVLNFTAGNPNKKLDFYYESVESEVSYNGVRFASTELLTLRESFRQRRKTTNRLNGVYTGHYETVLDEGQVKGLEEDEKNRVFHFSVRLYFKIKFMINDSASSSTKAKAKCELEVPLSSKGKTPMATVFVSTYCRVHF >Vigun11g163900.1.v1.2 pep primary_assembly:ASM411807v1:11:37140616:37143645:-1 gene:Vigun11g163900.v1.2 transcript:Vigun11g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSTLFLGLFALLLVRSSKVTSHETVSVSPTIDISINRNTFPQGFIFGAGSSSYQFEGAAMEGGRGESVWDTFTHKYPAKIQDRSNGDVAIDSYHNYKEDVKMMKDVNLDSYRFSISWSRILPKGKLSGGINQEGINYYNNLINELVANGIKPFVTLFHWDLPQALEDEYGGFLSPLIVKDFRDYAELCFKEFGDRVKYWVTLNEPWSYSQNGYASGEMAPGRCSAWMNSNCTGGDSSTEPYLVTHHQLLAHAAAVRLYKAKYQTSQEGVIGITLVANWFLPLRDTKADQKAAERAIDFMYGWFMDPLTSGDYPKSMRSLVRTRLPKFTADQARQLIGSFDFIGLNYYSTTYSSDAPQLSNANPSYITDSLVTAAFERDGKPIGIKIASDWLYVYPRGIRDLLLYTKDKYNNPLIYITENGVNEYNEPSLSLEESLMDTFRIDYHYRHLYYLLSAIRNGANVKGYYVWSFFDNFEWSSGYTSRFGMVFIDYKNGLKRYPKLSAMWYKNFLKKETRLYASSK >VigunL019200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:17992:19980:-1 gene:VigunL019200.v1.2 transcript:VigunL019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFLDRMLLAMAIQRISSIYYEIWTCVLVFFIASVFIGQNYAACDGVGVDGPPSPPTVGTNHPNPAPLFEAPMVPPAPVPPEIPTLPEPLLSDEVRGDVLYSRYGLLNFGGDANLQRMTSTITNQVIVERYVEAALVEDGFDPLSILDRYRDLRQQIHSPRGELLSERAYRAYVDQIRELGTRQSVPYRRVIDAITSSRLLLDRAGGRWI >Vigun10g036100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4831813:4832946:-1 gene:Vigun10g036100.v1.2 transcript:Vigun10g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEPTGVPINRATRFENKVGSLDLVAGESLIKKKILERLFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLPRRFRQNLAWMELNKIWRTNTKVKGFILKKVKGGYSVAIAGFITFLRFRSHNKRRRKKISNNRFTIKSINPKRTNIVVF >Vigun07g120933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22345187:22346656:1 gene:Vigun07g120933.v1.2 transcript:Vigun07g120933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHMPTHRYGVPLVGDNNPFMYTKKTKFSLNPTNQLQSYNESNHVGCPVGAVLLAWDPITCGVEFVVIVVARCLLVAAKDDDLSLLRGICLLACAELLHPASVATAAGMFLCVFLCVLLCEMGIVIILNIV >Vigun05g096400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9372653:9375860:1 gene:Vigun05g096400.v1.2 transcript:Vigun05g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHQIFFNIFIPLCLICFNFNILVVTCHCLHHDQFLLLQLKQNLVFNPNTSTKLVHWNESSDCCQWNGVTCSMGHVTGLDLSEEFISGELHNSTLFQLHHLLHLNLAYNDFSSSIPLNFDKLKNLRSLNFSSCRLSGHFPKGIFQIQTLNVLDVSNNKDLHGALPNFQEDDAVLLHTMNLSNTNFSGKLPGSISNLKQLSVLDLSNCQFIETLTISMSELTHLVYLDLSFNKFTGSLPSFRMMKNLRYLSLAHNSFTGTISTHFEGLENLLIINLGDNSLSGKIPASLFTLPSLQELTLSHNGFHGPLDEFSNVSSSKLQLIDLSSNSLEGTIPVSIFHLEELRFLQLSANEFSGTIALDRIQRLQSLHTLGLSHNNLSVDITSIDEHDISSFPNMKYLLLGSCNLGEFPGFLRNHPQLHALDLSNNQIHGIIPNWIWRFDSLVYLNLSNNFLTTMEGPFDDLHSKLYILDLHSNQLHGSIPTFTEYAVHLDYSSNNFSTFPLDLNNYIPFVHYLSLSNNNFQGKIHEAFCNFTYLRLLDLSYNTFNGFIPKCLMTKNSTLRVLNLAGNKLQGSISDTISSSCNLRFLNLNENLLGGVIPDSLANCHSLQVLNLGNNHFSDRFPCFLRNISTLRVLILRSNKLNGSISCPHSTTNWEMLHIVDLSYNNFTGILPGALLRSWTKMIGNQTESQEEQGTLFFDMFDNHDNIRYNTLFSVINEFLVLKLFKLLSTEPYTVADHIFAYYVTSNQFGGRYLNSVTIVSRATQMQWIKIPSALTSLDLSCNHFQGPIPQELSSLTTMYNLNLSHNAFSSNIPSSIGNLRNLESMDLSNNNLSGEIPVELLDLNFLAYLNLSFNHLWGRIPSGGQMYTFEASYFEGNEGLCGPPLKDCSNGIVRDSDPTPVYEMHGSIELNFLSVELGFIFGFGIFILPLMLLKKWRLLYWQIVDNFLQRVVPQLDFVYEHCEGKRYKSLRWMR >Vigun03g205200.1.v1.2 pep primary_assembly:ASM411807v1:3:33177702:33185971:1 gene:Vigun03g205200.v1.2 transcript:Vigun03g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFSTTCRHSNSALHHNPKSSFTGFSQRNNIWQLLVITKSISRRAIRKLSVKNVASDEKQEVKDTLTKRDNFYEFIPDSASIASSIKFHAEFTTPFSPEKFELNKAFFATAESVRDSLIINWNATNDYYERKNVKLAYYMSMEYLQGRALLNAIGNLQLLGPYAEALTKLGHNLEDVANKEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQHITRDGQVEVAENWLEMGNPWEILRNDVSYPVKFYGEVIPGPNGSKQWVGGENILAVAYDVPIPGYKTRTTVNLRLWSTKVSQEEFDLQAFNLGDHAKAYAVLKNAEKICYVLYPGDESIEGKTLRLKQQYTLCSASLQDIIARFEKRSGKRVNWDTLPDKVVVQMNDTHPTLCIPEIIRILVDVKGLSWEKAWNITKRTVAYTNHTILPEALEKWSLTLLQDLLPRHVEIIRMIDEELIHEIISEYGIDDLDLFQQRLKQMRILENIELPSSVMELLRTQETSTVDPVKVIDVEDNDVMATEEEEKKDDDDDNDEVEEGQEEEDNENLLVEEDTDNKVEVRFKVDPKLPMMARMANLCVAGGFSVNGVAAIHSKIVKDEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVTDLEKLAILRKFADNEDLQLEWIEAKRRNKIKVASFLKDKTGYVVNPSAMFDVQVKRIHEYKRQLLNILGIVYRYKRMKELSAEERKEMFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINRDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCIVIGTLDGANVEIREEVGEDNFFLFGARAEEIAGLRKERAEGKFVPDPRFEEVKAYVRSGVFGSYNYEELMGSLEGNEGYGRADYFLVGKDFPSYLECQEEVDKAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIEPIELA >Vigun03g205200.2.v1.2 pep primary_assembly:ASM411807v1:3:33180676:33185971:1 gene:Vigun03g205200.v1.2 transcript:Vigun03g205200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWEILRNDVSYPVKFYGEVIPGPNGSKQWVGGENILAVAYDVPIPGYKTRTTVNLRLWSTKVSQEEFDLQAFNLGDHAKAYAVLKNAEKICYVLYPGDESIEGKTLRLKQQYTLCSASLQDIIARFEKRSGKRVNWDTLPDKVVVQMNDTHPTLCIPEIIRILVDVKGLSWEKAWNITKRTVAYTNHTILPEALEKWSLTLLQDLLPRHVEIIRMIDEELIHEIISEYGIDDLDLFQQRLKQMRILENIELPSSVMELLRTQETSTVDPVKVIDVEDNDVMATEEEEKKDDDDDNDEVEEGQEEEDNENLLVEEDTDNKVEVRFKVDPKLPMMARMANLCVAGGFSVNGVAAIHSKIVKDEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVTDLEKLAILRKFADNEDLQLEWIEAKRRNKIKVASFLKDKTGYVVNPSAMFDVQVKRIHEYKRQLLNILGIVYRYKRMKELSAEERKEMFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINRDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCIVIGTLDGANVEIREEVGEDNFFLFGARAEEIAGLRKERAEGKFVPDPRFEEVKAYVRSGVFGSYNYEELMGSLEGNEGYGRADYFLVGKDFPSYLECQEEVDKAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIEPIELA >Vigun08g065650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:9563475:9564182:-1 gene:Vigun08g065650.v1.2 transcript:Vigun08g065650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGEEEGEEEEGEEEEEGEEEGEEGEEGEGEEEGEEEEEGEEEGEEEGEEEGEEEEEEEGEEGEEEEEEEGEEGEGEEEEGEEGEEEEGEREEEEGEEEGEGEEEEGEEAEEEEEEEEEEEEEEEAKEAEEEEEEEEEEEEEEKKKKKKEKKKKKKKEKKKKKKKEKKKKKKKEKKKKEKKEKKKKKKEKEKKKKEKKKKKKEKKKEKKKKEKKKKKKKKKTTTIAGRRRRRR >Vigun09g140600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30256109:30260053:-1 gene:Vigun09g140600.v1.2 transcript:Vigun09g140600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVQTGKSGLNWLDRLRSSKGIPTGDEPDLDSFLLTAPPQSPQARPNDPPRKPPSAGRDEPKPMTALLAELFCMGATITKTNKKCPRKQTNPKIFLASSAANTAVAVAAAAPRGDAFVAEVEEEAVADRGEEEEEGNELKGFTKSEVTVIDTSCPGWKVDKFVFRKNNVWKVRERKPKNKFLAKRKSNSTLVHRDVDVNAIDNSK >Vigun09g140600.1.v1.2 pep primary_assembly:ASM411807v1:9:30256109:30260053:-1 gene:Vigun09g140600.v1.2 transcript:Vigun09g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVQTGKSGLNWLDRLRSSKGIPTGDEPDLDSFLLTAPPQSPQARPNDPPRKPPSAGRDEPKPMTALLAELFCMGATITKTNKKCPRKQTNPKIFLASSAANTAVAVAAAAPRGDAFVAEVEEEAVADRGEEEEEGNELKGFTKSEVTVIDTSCPGWKVDKFVFRKNNVWKVRERKPKNKFLAKRKSNSTLVHRDVDVNAIDNSKDIVINMRGDKPVKTHRVI >Vigun04g001000.2.v1.2 pep primary_assembly:ASM411807v1:4:114870:117806:1 gene:Vigun04g001000.v1.2 transcript:Vigun04g001000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTSLTPFTFLLSSSFLSHILLIVAVSDKELLLGFKDSIENKDVLSSWNESVPPCSPKQNNWPYVQCYKGHVWGLTLENMRLKGVVDVQTLVKLPYLRTLSLKNNDFNTEWPYINGMQGLKALFLSNNKFFGEVPAQAFQDMKWLKKIHLSNNRFTGHIPTSLTSMRRLMELKLDGNQFSGSIPNFLRPLKSFTVSDNKLEGEIPEGLRDMPASAFSALLVIGAVVLLVLRRRREQVPDPDPDPDPGVPEENPPSMIHKKARSREPSEEGSHRSRASSRVSKRDNLMLSFVRDNQEQFDLHELLRASAQILGSGCYSSSYKASLLDGPVMVVKRFKQMNNVGREEFQEHMRRLGRLNHPNLLPLVAYYYRKEEKLFVTNYVHNGSLAIRLHGYQALGQQSLDWESRLKIVKGIAEGLQYLYTDMPSLIAAHGHLKSSNVLLNESLEPLLMDYGLVPVINQDLAPEIMVIYKSPEYLQHGRITKKTDVWSLGIIILEILTGNLPANFLQGTGSELSMANWVHSVVREEYSEVFDKDMEVTKNSEGEMIKLLKIALACCEGDVDKRWDLKEVVDRIHQVKETDNEDHNSRSSSSNASEVQVKQTQSGEVSFSIDG >Vigun04g001000.1.v1.2 pep primary_assembly:ASM411807v1:4:114870:117806:1 gene:Vigun04g001000.v1.2 transcript:Vigun04g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTSLTPFTFLLSSSFLSHILLIVAVSDKELLLGFKDSIENKDVLSSWNESVPPCSPKQNNWPYVQCYKGHVWGLTLENMRLKGVVDVQTLVKLPYLRTLSLKNNDFNTEWPYINGMQGLKALFLSNNKFFGEVPAQAFQDMKWLKKIHLSNNRFTGHIPTSLTSMRRLMELKLDGNQFSGSIPNFLRPLKSFTVSDNKLEGEIPEGLRDMPASAFSGNEGLCGAPLESCHSKKQSPLSIIVTVVLVVVALLVIGAVVLLVLRRRREQVPDPDPDPDPGVPEENPPSMIHKKARSREPSEEGSHRSRASSRVSKRDNLMLSFVRDNQEQFDLHELLRASAQILGSGCYSSSYKASLLDGPVMVVKRFKQMNNVGREEFQEHMRRLGRLNHPNLLPLVAYYYRKEEKLFVTNYVHNGSLAIRLHGYQALGQQSLDWESRLKIVKGIAEGLQYLYTDMPSLIAAHGHLKSSNVLLNESLEPLLMDYGLVPVINQDLAPEIMVIYKSPEYLQHGRITKKTDVWSLGIIILEILTGNLPANFLQGTGSELSMANWVHSVVREEYSEVFDKDMEVTKNSEGEMIKLLKIALACCEGDVDKRWDLKEVVDRIHQVKETDNEDHNSRSSSSNASEVQVKQTQSGEVSFSIDG >Vigun03g421600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62722135:62723114:1 gene:Vigun03g421600.v1.2 transcript:Vigun03g421600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERQHGGGGGGGGGAPHGILLAVVVAIVVLVPFLVGDQGEAITEAISELLSPLGLLLLPILLLLTIQFLSSDRGSFVSALFSTGEPDTIHRVSGSPVGVALLLLLILFLLYNRFSIFGGDDSDD >Vigun03g300700.1.v1.2 pep primary_assembly:ASM411807v1:3:48938789:48944598:1 gene:Vigun03g300700.v1.2 transcript:Vigun03g300700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSLPIIDLSSPHRLSTADSIRQACVEYGFFYLVNHGVDTQCLRKVFNQSAHFFSLPLQQKMDLARKEYRGYTPLFAETLHPTSLSKGDPKESYYIGPVEDPSIAYLNQWPSEELLPNWKPTMESLFWKLLAAGKNLLSLIALSLNLDEDYFEKIGALNKPAAFLRLLHYPGELVSGEQICGASPHSDYGMITLLMTDGVPGLQICKDKFREPQVWEDVPHVEGALIVNIGDLMERWTNCLYRSTLHRVMSTGKQRYSVAFFFDPPSDCMVECFESCCSESSPPRFPPIRSGDYLNERFRLTYGSERELKCSIST >Vigun08g095300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:22726168:22727929:1 gene:Vigun08g095300.v1.2 transcript:Vigun08g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPFFSLHHNPLQSHFSLPFHAQHTSLVFFTIHNPSKSYPIHHFPSTTPPISPTCRKTQNKIQAQKESTLPYNLHILHLHQHTNSYSPSHPSVTFIHTGLHFNFFPKPIFITFLSYTHNQIGVKRGKKKYGLVLREGESVVAPIRFLTNENSQR >Vigun04g099550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23334976:23335326:-1 gene:Vigun04g099550.v1.2 transcript:Vigun04g099550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SINVSFHGIFRRNSIFAYFDDPQYRTQSSGITKYRTIDINYIFKKEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVLNNSIIEVDTPITVNIRSQVSGLVRLEKKK >Vigun02g191200.3.v1.2 pep primary_assembly:ASM411807v1:2:32867429:32876635:-1 gene:Vigun02g191200.v1.2 transcript:Vigun02g191200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNTDMRLESGTCNVCSAPCSSCMHLNHALMGPKAEEFSDENCRIGAAIDQYSMDEDNAYSLRSRACESSQQTVSEASNMQSVNSSQDALSENAKSRQIIPNKYQDSKHLEGHDDNTSCISRASDTNLVNDSHQRNEERVIMHEEKDSCSLVSEKISECTIENFSSSCTKEREPVVSGEKCITVKDGLIESTSNISLKVCAKLEANTDVCEANNEDPKCSVQDGQCEKAAELVKSPAKQETQSEDESDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLEKVPEGDWLCEECKEAEENENKKPDVEDKKILEVSSTSQVTGKRLSDNIEVAPAAKRQALESSTGSPKTSSPKRLVPLSRESSFKSLNKSKVKPSLLIPSRNNSGGSDTEIARSPSIGPRGQTSKGMLMKSNSFSNVNSKPRVKLVDEVVPSKPKGVNEHASKNMEMPARMTGKSTLFKSPSLGRTNATESKVKMLSPKSAAVQDLKGSRHLKESGAIDRKFPSRIDRPVVSSVVSTPKGDQRLTPRAESSKPSTMNNNRELKVNQDGKASALSRSVSNINRKSLEPQVISERTSIRVDEAQQDVLPRARETTNQIEKSRDSSSDRIRPTVHTSKSLFCQRCKEFGHAMEGCSVGTTQESGAEISATTSSSSKDEMLKGNILKAAIQAALLRRPEIYKKKEVSNQTDEVSTSGTDLNCEVTSNDPVLISSTLKNGISTEETPDQQEILENSTSDSSKCSSANDFKLLKSCQPGKSGSICLAAGKPVVKDMSDKAVTLSIVPSKMLAFPEYEYIWQGAFEVHRNGKPPDLYTGLQAHLSSCASPKVLGAVNKFLPKVSFNEASRLSMWPSQFDHGGVSEDNIALYFFASDVESYERHYKGLLDRMIRNDLALKGNFEDVELLIFPSNQLPENSQRWNMLFFLWGIFRGRKMNHSDSTKKICIPSLNVMPVEEKSSTVVLTVSETHCLAKCKDEETIDRHSSCNALIPSTSTDQHPTTGCRNIDVNDQTHMDLQVNTEKLDGRIESKWVPTSTTLLCQEKISTDSSLKASVPEQGPCKESKPPEAMGKSASSNVMETKIDSDISVKEEKTLSVIPSEKGARNNITKDKISEKINSEEDQQRPKEKQKEYRHYSIDLEATIDNEETGDASDLSKEKNSITIIGSEDQEGPMWKQKDDRYIDLEVALEEEETVLVSNIGGDKILEKMDVEEDWRWPKRKRKDGHYIDLEATFQGDPSAEGINCQLPNDKVKHIDLSDTIMQGSAVSCQKMPWSQGNVKLEDTESSGKKLKTGFGGIYGSGGRDSFNDSFASLGNDLGSCSSVEDKGCEEACDEKIIREDLGTMERTFFPVGTHNISNSLSVLNSLSTKGVGEYDKGFQDVIPNLELALGGKTKAPPPAPAAPKGMLPFLIGPVDRQNNRPDRLGDVQEDDGVAASLSLSLSFPSPNKEHKKPAELLPDGQRMDNSFFLFGRK >Vigun02g191200.1.v1.2 pep primary_assembly:ASM411807v1:2:32867051:32876635:-1 gene:Vigun02g191200.v1.2 transcript:Vigun02g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNTDMRLESGTCNVCSAPCSSCMHLNHALMGPKAEEFSDENCRIGAAIDQYSMDEDNAYSLRSRACESSQQTVSEASNMQSVNSSQDALSENAKSRQIIPNKYQDSKHLEGHDDNTSCISRASDTNLVNDSHQRNEERVIMHEEKDSCSLVSEKISECTIENFSSSCTKEREPVVSGEKCITVKDGLIESTSNISLKVCAKLEANTDVCEANNEDPKCSVQDGQCEKAAELVKSPAKQETQSEDESDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLEKVPEGDWLCEECKEAEENENKKPDVEDKKILEVSSTSQVTGKRLSDNIEVAPAAKRQALESSTGSPKTSSPKRLVPLSRESSFKSLNKSKVKPSLLIPSRNNSGGSDTEIARSPSIGPRGQTSKGMLMKSNSFSNVNSKPRVKLVDEVVPSKPKGVNEHASKNMEMPARMTGKSTLFKSPSLGRTNATESKVKMLSPKSAAVQDLKGSRHLKESGAIDRKFPSRIDRPVVSSVVSTPKGDQRLTPRAESSKPSTMNNNRELKVNQDGKASALSRSVSNINRKSLEPQVISERTSIRVDEAQQDVLPRARETTNQIEKSRDSSSDRIRPTVHTSKSLFCQRCKEFGHAMEGCSVGTTQESGAEISATTSSSSKDEMLKGNILKAAIQAALLRRPEIYKKKEVSNQTDEVSTSGTDLNCEVTSNDPVLISSTLKNGISTEETPDQQEILENSTSDSSKCSSANDFKLLKSCQPGKSGSICLAAGKPVVKDMSDKAVTLSIVPSKMLAFPEYEYIWQGAFEVHRNGKPPDLYTGLQAHLSSCASPKVLGAVNKFLPKVSFNEASRLSMWPSQFDHGGVSEDNIALYFFASDVESYERHYKGLLDRMIRNDLALKGNFEDVELLIFPSNQLPENSQRWNMLFFLWGIFRGRKMNHSDSTKKICIPSLNVMPVEEKSSTVVLTVSETHCLAKCKDEETIDRHSSCNALIPSTSTDQHPTTGCRNIDVNDQTHMDLQVNTEKLDGRIESKWVPTSTTLLCQEKISTDSSLKASVPEQGPCKESKPPEAMGKSASSNVMETKIDSDISVKEEKTLSVIPSEKGARNNITKDKISEKINSEEDQQRPKEKQKEYRHYSIDLEATIDNEETGDASDLSKEKNSITIIGSEDQEGPMWKQKDDRYIDLEVALEEEETVLVSNIGGDKILEKMDVEEDWRWPKRKRKDGHYIDLEATFQGDPSAEGINCQLPNDKVKHIDLSDTIMQGSAVSCQKMPWSQGNVKLEDTESSGKKLKTGFGGIYGSGGRDSFNDSFASLGNDLGSCSSVEDKGCEEACDEKIIREDLGTMERTFFPVGTHNISNSLSVLNSLSTKGVGEYDKGFQDVIPNLELALGGKTKAPPPAPAAPKGMLPFLIGPVDRQNNRPDRLGDVQEDDGVAASLSLSLSFPSPNKEHKKPAELLPDGQRMDNSFFLFGRK >Vigun02g191200.2.v1.2 pep primary_assembly:ASM411807v1:2:32867357:32876635:-1 gene:Vigun02g191200.v1.2 transcript:Vigun02g191200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNTDMRLESGTCNVCSAPCSSCMHLNHALMGPKAEEFSDENCRIGAAIDQYSMDEDNAYSLRSRACESSQQTVSEASNMQSVNSSQDALSENAKSRQIIPNKYQDSKHLEGHDDNTSCISRASDTNLVNDSHQRNEERVIMHEEKDSCSLVSEKISECTIENFSSSCTKEREPVVSGEKCITVKDGLIESTSNISLKVCAKLEANTDVCEANNEDPKCSVQDGQCEKAAELVKSPAKQETQSEDESDESDVVEHDVKVCDICGDAGREDLLAICSRCSDGAEHTYCMREMLEKVPEGDWLCEECKEAEENENKKPDVEDKKILEVSSTSQVTGKRLSDNIEVAPAAKRQALESSTGSPKTSSPKRLVPLSRESSFKSLNKSKVKPSLLIPSRNNSGGSDTEIARSPSIGPRGQTSKGMLMKSNSFSNVNSKPRVKLVDEVVPSKPKGVNEHASKNMEMPARMTGKSTLFKSPSLGRTNATESKVKMLSPKSAAVQDLKGSRHLKESGAIDRKFPSRIDRPVVSSVVSTPKGDQRLTPRAESSKPSTMNNNRELKVNQDGKASALSRSVSNINRKSLEPQVISERTSIRVDEAQQDVLPRARETTNQIEKSRDSSSDRIRPTVHTSKSLFCQRCKEFGHAMEGCSVGTTQESGAEISATTSSSSKDEMLKGNILKAAIQAALLRRPEIYKKKEVSNQTDEVSTSGTDLNCEVTSNDPVLISSTLKNGISTEETPDQQEILENSTSDSSKCSSANDFKLLKSCQPGKSGSICLAAGKPVVKDMSDKAVTLSIVPSKMLAFPEYEYIWQGAFEVHRNGKPPDLYTGLQAHLSSCASPKVLGAVNKFLPKVSFNEASRLSMWPSQFDHGGVSEDNIALYFFASDVESYERHYKGLLDRMIRNDLALKGNFEDVELLIFPSNQLPENSQRWNMLFFLWGIFRGRKMNHSDSTKKICIPSLNVMPVEEKSSTVVLTVSETHCLAKCKDEETIDRHSSCNALIPSTSTDQHPTTGCRNIDVNDQTHMDLQVNTEKLDGRIESKWVPTSTTLLCQEKISTDSSLKASVPEQGPCKESKPPEAMGKSASSNVMETKIDSDISVKEEKTLSVIPSEKGARNNITKDKISEKINSEEDQQRPKEKQKEYRHYSIDLEATIDNEETGDASDLSKEKNSITIIGSEDQEGPMWKQKDDRYIDLEVALEEEETVLVSNIGGDKILEKMDVEEDWRWPKRKRKDGHYIDLEATFQGDPSAEGINCQLPNDKVKHIDLSDTIMQGSAVSCQKMPWSQGNVKLEDTESSGKKLKTGFGGIYGSGGRDSFNDSFASLGNDLGSCSSVEDKGCEEACDEKIIREDLGTMERTFFPVGTHNISNSLSVLNSLSTKGVGEYDKGFQDVIPNLELALGGKTKAPPPAPAAPKGMLPFLIGPVDRQNNRPDRLGDVQEDDGVAASLSLSLSFPSPNKEHKKPAELLPDGQRMDNSFFLFGRK >Vigun07g152800.11.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26394303:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSYGERYLSSPLFESIRHEAEQMTFH >Vigun07g152800.1.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQCAIKKDATELIGNTPMVYLNNIVEGCVARIAAKLESMQPCFSIKDRTAFSMIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSYGERYLSSPLFESIRHEAEQMTFH >Vigun07g152800.12.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26394303:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.9.v1.2 pep primary_assembly:ASM411807v1:7:26389468:26394312:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.8.v1.2 pep primary_assembly:ASM411807v1:7:26389468:26394312:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVNSSQRGNRVHGL >Vigun07g152800.6.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQCAIKKDATELIGNTPMVYLNNIVEGCVARIAAKLESMQPCFSIKDRTAFSMIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.4.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.2.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSYGERYLSSPLFESIRHEAEQMTFH >Vigun07g152800.7.v1.2 pep primary_assembly:ASM411807v1:7:26389468:26394312:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSYGERYLSSPLFESIRHEAEQMTFH >Vigun07g152800.10.v1.2 pep primary_assembly:ASM411807v1:7:26389468:26394312:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.5.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQCAIKKDATELIGNTPMVYLNNIVEGCVARIAAKLESMQPCFSIKDRTAFSMIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEDGNFIGCRSSRCHKIGEET >Vigun07g152800.3.v1.2 pep primary_assembly:ASM411807v1:7:26389490:26396308:-1 gene:Vigun07g152800.v1.2 transcript:Vigun07g152800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQCAIKKDATELIGNTPMVYLNNIVEGCVARIAAKLESMQPCFSIKDRTAFSMIKDAEEKGLITPGKSVLVEATSGNTGIGMAFIATLKGYKVIVAMPASVSLERKIVLLAFGAEVHLTDPAKGTDEVLRKAEEIVNNTPGSYMLRQIDNPANPKVHYESTGPEIWRDSGGKVDALVAGVGTGGTITGAGRFLKERNPNVKLYGVEPFESPVLSGGSPGDHLIQGIGNGIIPNVLDVNLLDEVIQISSVEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSYGERYLSSPLFESIRHEAEQMTFH >Vigun02g098100.1.v1.2 pep primary_assembly:ASM411807v1:2:25345027:25352659:1 gene:Vigun02g098100.v1.2 transcript:Vigun02g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNHDPNPFDEEEVNPFSNRARSPPVASEPLGYGQRHDATVDIPLDTTNDSKKKGQELAAWEADLKRREKEIKKREEAVSRAGVPVDDKNWPPLFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVVAVIVCWIRGGGVKIFFLAVIYALMGVPLSYVLWYRPLYRAMRTDSALKFGWFFMFYLLHIAFCIFAAIAPPVVFHGKSLTGILAAIDVFSDHVLVGIFYLIGFGMFCLEALLSLWVLQKIYMYFRGHK >Vigun08g017100.1.v1.2 pep primary_assembly:ASM411807v1:8:1440689:1447064:1 gene:Vigun08g017100.v1.2 transcript:Vigun08g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQDLDPKPPKQPDPNNDERPLLKPSAADSSGELEKKFAAFVRHDVYGSMGRGELPTREKVLLGVAFVTLVPVRVVAITTILLLYYFICRVCTMCSVPNRVEEHQEDYAHIVGWRRIVIVQSGRALARVMLFVFGFYWITHTYPIPPASVENSTTTDEQEDNAQSEDTRPGVIISNHVSYLDILYHLYASFPSFVAKRSVAKLPLLGLMSKCLGCVLVQRESKSSDFKGVSAVVTDRIREAHQNPSAPIMMLFPEGTTSNGEFLLPFKSGAFLAKAPVLPVILTYRYQRFSPAWESISGVRHMIFILCQFVNYMEVIQLPTYYPSQQEQDDPKLYANNVRKLMASEGNLTLSDIGLAEKRIYHAALNGLFSQC >Vigun08g017100.2.v1.2 pep primary_assembly:ASM411807v1:8:1440689:1447064:1 gene:Vigun08g017100.v1.2 transcript:Vigun08g017100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQDLDPKPPKQPDPNNDERPLLKPSAADSSGELEKKFAAFVRHDVYGSMGRGELPTREKVLLGVAFVTLVPVRVVAITTILLLYYFICRVCTMCSVPNRVEEHQEDYAHIVGWRRIVIVQSGRALARVMLFVFGFYWITHTYPIPPASVENSTTTDEQEDNAQSEDTRPGVIISNHVSYLDILYHLYASFPSFVAKRSVAKLPLLGLMSKCLGCVLVQRESKSSDFKGVSAVVTDRIREAHQNPSAPIMMLFPEGTTSNGEFLLPFKSGAFLAKAPVLPVILTYRYQRFSPAWESISGVRHMIFILCQFVNYMEVIQLPTYYPSQQEQDDPKLYANNVRKLMASEGNLTLSDIGLAEKRIYHAALNGK >Vigun08g017100.4.v1.2 pep primary_assembly:ASM411807v1:8:1440689:1447064:1 gene:Vigun08g017100.v1.2 transcript:Vigun08g017100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQDLDPKPPKQPDPNNDERPLLKPSAADSSGELEKKFAAFVRHDVYGSMGRGELPTREKVLLGVAFVTLVPVRVVAITTILLLYYFICRVCTMCSVPNRVEEHQEDYAHIVGWRRIVIVQSGRALARVMLFVFGFYWITHTYPIPPASVENSTTTDEQEDNAQSEDTRPGVIISNHVSYLDILYHLYASFPSFVAKRSVAKLPLLGLMSKCLGCVLVQRESKSSDFKGVSAVVTDRIREAHQNPSAPIMMLFPEGTTSNGEFLLPFKSGAFLAKAPVLPVILTYRYQRFSPAWESISGVRHMIFILCQFVNYMEVIQLPTYYPSQQEQDDPKLYANNVRKLMASEGNLTLSDIGLAEKRIYHAALNGK >Vigun01g011500.2.v1.2 pep primary_assembly:ASM411807v1:1:1287081:1292392:-1 gene:Vigun01g011500.v1.2 transcript:Vigun01g011500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGKKLSLGSSNIVLRGCELKNTSWAIGVAAYCGSETKAMLNSSGAPSKRSRLETRMNYEIIWLSFFLVALCTITSVCAAVWLKRHKDELNLLPYYRKLDFSEEEVESYEYYGWGFEVLFTFLMSVIVFQVMIPISLYISMELVRVGQAFFMIRDNKMYDEETKSRFQCRALNINEDLGQIKYVFSDKTGTLTQNKMEFQCASIWGVDYSSTENSMEGDQVEHSIEVDGKVFRPKMKVKVNPELLQLARSGFLNLEGKRIHDFFLALATCNTIVPLVVDSPDPDVKLIDYQGESPDEQALAYAAAAYGFMLVERTSGHVVIDIHGQRQKFNVLGMHEFDSDRKRMSVILGFPDSSVKVFVKGADTSMLNVIDKSFNMDLVRATEAHLHSYSSIGLRTLVIGMRDLNASEFEQWHASFEAASTAVFGRAAMLRKVSSIVENSLSILGASAIEDKLQQGVPESIESLRIAGIKVWVLTGDKQETAISIGYSSKLLTSKMNQIIINSNNRAACRKSLQDALVMSKKLVSTSTVANNVGGTSEANPMALVIDGTSLVHILDSELEEELFQLASRCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRLGYMILYNFYRNAVLVLVLFWYVLYTAFTLTTAINEWSTTLYSIIYSSLPTIVVAILDKDLGKRTLLKYPQLYGAGQRHEAYNKKLFALTMLDTLWQSMVIFWAPLFAYWSSTVDVSSIGDLWTFGVVILVNLHLAMDVVRWYWVTHVAIWGSIVATFICVMIIDALPNLPGYWAFFNVAGTGLFWLLLLGIIIAALLPRLVVKYVYEYYFPNDIQICREAEKIEYERVVERAAIEMLPISNIPPR >Vigun01g011500.1.v1.2 pep primary_assembly:ASM411807v1:1:1287081:1292392:-1 gene:Vigun01g011500.v1.2 transcript:Vigun01g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRPHVIMTPSTTAPDNNYQQDPYSSANISELPTSNSGFGNVFNPFENTLVNSSSRRSSMSNRSSGSKSNNSIHEVSLSSSASKSIPIRYGSKGADSEGLSVSQRELRDEDARLVYINDPLKTNETFLFAGNSIRTSKYSILSFIPRNLFEQFHRIAYIYFLIIAILNQLPQLAVFGRAVSILPLSFVLFVTAVKDAYEDWRRHRSDKVENNRLASILVDGNFVEKRWRDVRVGEVIKIKANEPIPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETHGKEGFGGVIKCEKPNRNIYGFLANMEVDGKKLSLGSSNIVLRGCELKNTSWAIGVAAYCGSETKAMLNSSGAPSKRSRLETRMNYEIIWLSFFLVALCTITSVCAAVWLKRHKDELNLLPYYRKLDFSEEEVESYEYYGWGFEVLFTFLMSVIVFQVMIPISLYISMELVRVGQAFFMIRDNKMYDEETKSRFQCRALNINEDLGQIKYVFSDKTGTLTQNKMEFQCASIWGVDYSSTENSMEGDQVEHSIEVDGKVFRPKMKVKVNPELLQLARSGFLNLEGKRIHDFFLALATCNTIVPLVVDSPDPDVKLIDYQGESPDEQALAYAAAAYGFMLVERTSGHVVIDIHGQRQKFNVLGMHEFDSDRKRMSVILGFPDSSVKVFVKGADTSMLNVIDKSFNMDLVRATEAHLHSYSSIGLRTLVIGMRDLNASEFEQWHASFEAASTAVFGRAAMLRKVSSIVENSLSILGASAIEDKLQQGVPESIESLRIAGIKVWVLTGDKQETAISIGYSSKLLTSKMNQIIINSNNRAACRKSLQDALVMSKKLVSTSTVANNVGGTSEANPMALVIDGTSLVHILDSELEEELFQLASRCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRLGYMILYNFYRNAVLVLVLFWYVLYTAFTLTTAINEWSTTLYSIIYSSLPTIVVAILDKDLGKRTLLKYPQLYGAGQRHEAYNKKLFALTMLDTLWQSMVIFWAPLFAYWSSTVDVSSIGDLWTFGVVILVNLHLAMDVVRWYWVTHVAIWGSIVATFICVMIIDALPNLPGYWAFFNVAGTGLFWLLLLGIIIAALLPRLVVKYVYEYYFPNDIQICREAEKIEYERVVERAAIEMLPISNIPPR >Vigun01g060600.1.v1.2 pep primary_assembly:ASM411807v1:1:12677503:12681825:1 gene:Vigun01g060600.v1.2 transcript:Vigun01g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISMVEAKLPPGFRFHPRDEELVCDYLMKKVTHNDSLLMIDVDLNKCEPWDIPETACVGGKEWYFYTQRDRKYATGLRTNRATASGYWKATGKDRPILRKGTLVGMRKTLVFYQGRAPKGRKTEWVMHEFRIEGPHGPPKVSSSKEDWVLCRVFYKNREVSAKVSMGSCYEDTGSSSLPALMDSYISFDQTQGHADEFEQVPCFSILSQNQQQNPIFNHMTSMDPKLPATSGYGGAPNLGYCLDPLSCDRKVLKAVLSQITKMERNPLNQSLKGSPSFGEGSSESYLSEVGMPHLWNNY >Vigun09g164201.1.v1.2 pep primary_assembly:ASM411807v1:9:33235768:33236051:-1 gene:Vigun09g164201.v1.2 transcript:Vigun09g164201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIRHSYPNQPSQLPKIFKVVTRVLDQKLKCLAENLFFPFLFASLSLFPICLHFS >Vigun03g012800.1.v1.2 pep primary_assembly:ASM411807v1:3:862477:866761:-1 gene:Vigun03g012800.v1.2 transcript:Vigun03g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQSKIEEEEKEKLQKQQQEEEELQLEKRKKRKIKGNSRLSFAEDIDSETQEEEEEEPRHNNLEANRLRRGKLGKDPTVETGFLPDSEREAEEQAERERLRKQWLREQEQIRNEPLEITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Vigun06g069500.1.v1.2 pep primary_assembly:ASM411807v1:6:19913804:19918539:1 gene:Vigun06g069500.v1.2 transcript:Vigun06g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGGAGDKKRPRRSSAPDPASDVPPRKQAVKKDVFQVFAEKVRDHKELVSRWAVLQETRVEYFRGKDFVSFLKNNPELKDILESDRNLETEEIANILLGKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQAFSENDAFFAWTFAKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGILFLILSVLLIRGAIFGVLYITLGKRIWFFPNILAEEATLRELFRFWPKKDEEEKPKWTTRLFYAGVAVLFILLLRHHAPDEAARARYHKRVSNIIDDVLEWSPTLALSGMMDKQQNVANATGSADTSKTGAEHADDVDDENNFMGQYNNTEDVIDDVDDDKQHD >Vigun06g103200.1.v1.2 pep primary_assembly:ASM411807v1:6:23297479:23299405:1 gene:Vigun06g103200.v1.2 transcript:Vigun06g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEHSFPPQKQDTQPGKEYLMNPPPQYSSPDYKPSNKLQGKVAVVTGGDSGIGRAVCNLFSLEGATVIFTYVKEHEDIDAKDTLEIIRKAKTADAKDPMAIAVDVGYEVNCKRVVDEVVDAYGRIDILVNNAAEQYETESLEEIDETRLERVFRTNIFSHFFMTKHALKHMKEGSSIINTTSVNAYRGHATLIDYTSTKGAIVAFTRALALQLVSKGIRVNGVAPGPIWTPLIVATMKEEEIVKFGSETPMKRAGQPIEVAPSYVFLASNQSSSYITGQVLHPNGGTIVNA >Vigun06g103200.2.v1.2 pep primary_assembly:ASM411807v1:6:23297294:23299362:1 gene:Vigun06g103200.v1.2 transcript:Vigun06g103200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEHSFPPQKQDTQPGKEYLMNPPPQYSSPDYKPSNKLQGKVAVVTGGDSGIGRAVCNLFSLEGATVIFTYVKEHEDIDAKDTLEIIRKAKTADAKDPMAIAVDVGYEVNCKRVVDEVVDAYGRIDILVNNAAEQYETESLEEIDETRLERVFRTNIFSHFFMTKHALKHMKEGSSIINTTSVNAYRGHATLIDYTSTKGAIVAFTRALALQLVSKGIRVNGVAPGPIWTPLIVATMKEEEIVKFGSETPMKRAGQPIEVAPSYVFLASNQSSSYITGQVLHPNGGTIVNA >Vigun06g113900.1.v1.2 pep primary_assembly:ASM411807v1:6:24209564:24214551:-1 gene:Vigun06g113900.v1.2 transcript:Vigun06g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGLQVMDKKPNGSVAASNGSLSDRMRVSPKIAAMVQAMDHDIKESTEANPFGKHHERKDVSSGKSPKLNVVLPEEKKSEKPEIQKVDDNKELSSPVVDKELPSPSAPPSAPQPSDQVTEKHVTHAPAADTEAAATGLSLSPIANNIPSPNSSKNSQPSSPFSPRKSLHYDDKKHLDDEDNWSVTSSSVASARNAKCKVTVGSAPNFRCSDRAEKRREFYMKLEEKHRALEEEKSQYEARKKEEDDAAIKQLRKNLVIKAKPVPSFYYDGPPPRTELKKLPLTRPKSPKLSRRKSCGDAANVSTTTTQICTVGRRSVDMNTKHHSPAPRTKDTVTARKSNGSFKSKERPKLDKETAPKITEQTNADISVQS >Vigun08g142800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31519914:31520755:1 gene:Vigun08g142800.v1.2 transcript:Vigun08g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTLDHRLAREFYFSLPSSTRWRLFISTLSHHYKASPLQCLNHKNLSKIDLNFVEQLAMNNIIRVGLLLCSALPLLSCFMELFVAATASQPHCDTVSEESELNKKKDDDASVSANVAAQKHFRQIQRIC >Vigun08g129900.1.v1.2 pep primary_assembly:ASM411807v1:8:30031809:30035170:1 gene:Vigun08g129900.v1.2 transcript:Vigun08g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQSWLHQPKVWRFVCFVSSTVGLICYAFSSSFNHLIGNWSWWKIFLYILFSFLISLSTLFAKTWEYSNSRCLEVHTAFSTLLITSVYSFFLDKDVKQKADAYSLVSCVAFAIMSLGLSRLSQLGFEVDLLYFFCALLTVQLMKIKLWFVTVGGAFSYSLILLRSNLDFQPRSGYHGLQHQDHLVVEIGSHSQPQGTNHSVTQVDSTQTVMASSQPHPVIDMVWPSRGTRHSASQVVSPQEGGAPPENIYGTKECFMGCIEALKKESGSVIRAISMHVDKYLKANVVSEDQISVPELHGDHNMLVDSLSSGMISKLRENVKKMVSDGFVHECLHVYSNWRREFLKESLWTLGLQDQELNTEDTNKTEKIERLIKAMNIAARILFPNEKRLFNRVFRGSISSGEFHFRELCTELATSLLNSALALANWSHFMRNTLQELIQEFESCTFTNIVVQLIRQRLSIYEALEDLSLIPSGGIHSITLEVMYCIYLVYRNREISKLSLDLKEGKITSSVYKAKVSILLASTLDAKSKNGNKLEVMYYIKSLDQNRENNLGLDLDTMISPVCIYRMIELLESSLETNSKNYKNTSLGYVFIMNNRRFIQVETKVNRLGPTFGDDWLHKNTTKFRENLELYLRSSWNKIVDLLKLDLNQLEPSVAAELMKDKLYWFNEHFDETCNIQSAWSACDEELREQIIKSIENILLPAYGSFLGRFEELLGKHAYKYIKYGMFELQEQLNKLFLLSVNFCGYMIGGNLIWIRYVFRGL >Vigun07g297100.2.v1.2 pep primary_assembly:ASM411807v1:7:40735606:40738749:-1 gene:Vigun07g297100.v1.2 transcript:Vigun07g297100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFASSTTTFRPLRTRRSGFGGCHGVTLSVKERGVDRGLRVRASSSSVEDASVQAKVTQKVFFDISIGNPVGKLVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFILSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVRLIESQETDRGDRPIKKVTISDCGELPIA >Vigun07g297100.1.v1.2 pep primary_assembly:ASM411807v1:7:40735606:40738749:-1 gene:Vigun07g297100.v1.2 transcript:Vigun07g297100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFASSTTTFRPSNLADIKGLHLPNPNPNILKLRTRRSGFGGCHGVTLSVKERGVDRGLRVRASSSSVEDASVQAKVTQKVFFDISIGNPVGKLVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFILSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVRLIESQETDRGDRPIKKVTISDCGELPIA >Vigun02g024200.1.v1.2 pep primary_assembly:ASM411807v1:2:8087780:8096804:-1 gene:Vigun02g024200.v1.2 transcript:Vigun02g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTGRLKSSSSELDLDRPNIEDYLPSGSTIQQEPHGKLSLHDLLNISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNLYLFPLWCFGVVIRYLILFPIRVIGLTLGWIIFLSSFIPVHFLLKGHDKLRRSIERSLVEMMCSFFVASWTGVVKYHGPRPSRRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESLGCIWFNRAEAKDREIVAKKLRDHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQSLKPGETPIEFAERVRDIISLRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAELVLRRLEEK >Vigun03g354000.1.v1.2 pep primary_assembly:ASM411807v1:3:55563787:55566783:1 gene:Vigun03g354000.v1.2 transcript:Vigun03g354000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSLKRNTDCVYFLASPLTCKKGAECEYRHNEIARLNPRDCWYWVSGQCLNPTCAFRHPPLDGHTGVPAPSEPAQNSLPANKTMVPCYFFFNGFCNKGDKCSFLHGSDDSIFTVKPVKNDNGSTDALNLENKTLSGNGTGVVSVPTHQSLTAPKHLSDFKRQPKEQLQLTKNVKQQGDPLVISASEYKEAAVSRSDSPFPDDGFVHNVSHLRTEQSSEERVNSQIEPEERWESSPHSPGFDVLVHDELENMGYEEDSEYLPVLDRSEQELNEQYLGYEFKDPVEYDTMCQEADILYPQETYDGYRCFDSDLAHGNGRTVRTYSRDIFLDSIFSRKRIRMSAEMAAYDNNLDLRDHLRRRRENNGPPIAGFLRRQESSSLMIRNQERHQRPDIEQRPSRRLTSQLGYSAIDSIGEVETLSIANKHRLFRRSQQPKPRKHYREKPAKRPFLSSKISRKPVFKQRGFVEESTAFSGPKTLAEIREEKKKAEEGLHWQRTSTDFQDPKPLSEILKDKRTMD >Vigun01g238200.3.v1.2 pep primary_assembly:ASM411807v1:1:40943668:40947280:1 gene:Vigun01g238200.v1.2 transcript:Vigun01g238200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRVAFVLIDGLADVSLPRLGLKTPLQAARVPNLDGIACAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVSSRRADRHFEEEGPILCSALDGLKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSQEARNTAAVVNELSKEITKILVSHPVNAKRVAEGKNIANVVLLRGCGIRIEVTPFLDKHGLWPCMVAPTKIIAGLGLSLGIDILDAPGATGDYRTLLTSKASAIAKALSAPLQSCPKVFVPGEDEFKAGRSDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDTAVGQLARLLWEAESSGEYQFYLCVTGDHSTPVEYGDHSFESVPFAMCRLKDFVGAIGESTVLKTSLDPFPIPSVKSGEDLLNDLDTKEGLHKCCEAYSGDSVYELNEVAAARGCLGRFPGGEMMGIIKKFISLDEKTA >Vigun01g238200.1.v1.2 pep primary_assembly:ASM411807v1:1:40943638:40947356:1 gene:Vigun01g238200.v1.2 transcript:Vigun01g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRVAFVLIDGLADVSLPRLGLKTPLQAARVPNLDGIACAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVSSRRADRHFEEEGPILCSALDGLKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSQEARNTAAVVNELSKEITKILVSHPVNAKRVAEGKNIANVVLLRGCGIRIEVTPFLDKHGLWPCMVAPTKIIAGLGLSLGIDILDAPGATGDYRTLLTSKASAIAKALSAPLQSCPKVFVPGEDEFKAGRSDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDTAVGQLARLLWEAESSGEYQFYLCVTGDHSTPVEYGDHSFESVPFAMCRLKDFVGAIGESTVLKTSLDPFPIPSVKSGEDLLNDLDTKEGLHKCCEAYSGDSVYELNEVAAARGCLGRFPGGEMMGIIKKFISLDEKTA >Vigun01g238200.2.v1.2 pep primary_assembly:ASM411807v1:1:40943524:40947354:1 gene:Vigun01g238200.v1.2 transcript:Vigun01g238200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRVAFVLIDGLADVSLPRLGLKTPLQAARVPNLDGIACAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVSSRRADRHFEEEGPILCSALDGLKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSQEARNTAAVVNELSKEITKILVSHPVNAKRVAEGKNIANVVLLRGCGIRIEVTPFLDKHGLWPCMVAPTKIIAGLGLSLGIDILDAPGATGDYRTLLTSKASAIAKALSAPLQSCPKVFVPGEDEFKAGRSDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDTAVGQLARLLWEAESSGEYQFYLCVTGDHSTPVEYGDHSFESVPFAMCRLKDFVGAIGESTVLKTSLDPFPIPSVKSGEDLLNDLDTKEGLHKCCEAYSGDSVYELNEVAAARGCLGRFPGGEMMGIIKKFISLDEKTA >Vigun07g244100.1.v1.2 pep primary_assembly:ASM411807v1:7:36545124:36546763:-1 gene:Vigun07g244100.v1.2 transcript:Vigun07g244100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAAMATTTLTSNPKLSFFDHKPTSFHGKPVSQYRLTPTKCSAKPPAISMSLTTPPYDFQSFKFQPIKESIVAREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPAVQIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKEGRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDNVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRNNAIDGTCGVGREEPELMFASANTEDIVDA >Vigun05g014100.1.v1.2 pep primary_assembly:ASM411807v1:5:1110872:1120372:1 gene:Vigun05g014100.v1.2 transcript:Vigun05g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGSSSPYRYPSPYGYPPNPHQPYPPPPVSAPDPYAHAPYPSYPYISSHSFNYSHHGPPRPSSHSGHFEYSYPPNAMDYSHPPPPSYSPPPPSYSPPPSYSPPPTYPYPYHVPPPNHGSPRPPLFHQPSYQHGSPQYYYPPKEAYSPPHDIHSGTNSFSSPYWQDNTSAVEGGKVSQSSDNLKPSQGSSYPALDDLMSNVRLSDGQPTAPASPPAPAGHPLMHSISVPKLQQKKEDFYGCSNNSFSGWGSSYPTRMGSSKLSDFSGSFNESMYGERLQLVPVQNKGSLRVLLLHGNLDIWVHEAKNLPNMDMFHKTLGDMFGKLPGSVSNKIEGTMNSKITSDPYVSILVSNAVVGRTYVISNSENPVWLQHFYVPVAHHAAEVHFLVKDSDVVGSQLIGIVAIPVERIYSGETVEGFFPILNSNGKPCKQDAVLRLSIQYIPMEKLSIYHQGVGAGPEYVGVPGTYFPLRRGGTVTLYQDAHVPDGMLPNVLLDSGMYYANGKCWQDMFDAISQARRLIYITGWSVWHKVRLVRDAARYSPDYTLGDLLRSKSQEGVRVLLLIWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRSGKRHSWIKQKEVGTIYTHHQKTVIVDADAGNNRRKIIAFVGGLDLCDGRYDTPLHPIFRTLNTTHKDDYHNPTFTGNVGGCPREPWHDLHSKIDGPAAYDVLTNFEERWLRASKPHGIKKLKISYDDALLRLERIPDFIGINDAPSVGEDDPEVWHVQIFRSIDSNSVKEFPKDPKVATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSYNWGQHKDLGADNLIPMEIALKITEKIKAHERFAVYVVIPMWPEGVPTGAATQRILFWQNKTMQMMYETIYKALVEAGLEAAFSPQDYLNFFCLGNREVISMYDNVSVTGAPPPANSPQVASRNSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDSEIAMGAYQPHYTWARAQTYPHGQIHGYRMSLWAEHTGTIEDCFLQPESIECVTRIRAMGEMNWKQFAADEITEMKGHLLKYPVDVDRKGKVRSLPDQEEFPDVGGKIVGSFLAMKENLTI >Vigun09g172600.1.v1.2 pep primary_assembly:ASM411807v1:9:34272796:34277224:1 gene:Vigun09g172600.v1.2 transcript:Vigun09g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQLEETTGVNSQAVDNLRVGDSVTDQKNQSKETDLVTDQKKQSKEAEGQIIGHDGPEFDDIPDAEDVRSKRNDRDLQSRTQLENLETKSETEIITDRQEESGDKTSAVGDLEFRNQETNLDGDSGRSGLTKEVDDRESMQMFDKSDEIPSEDPNLEPVFDGTEVPGMEANRSMSGRRLDADQDSPGVVEKAVALKNFVKEKSAVAVSTMMRRLSGKRDEVTVDNVDDEGKEVSDIGKVGENKMVSEKFDWNPLNYIKKSSDAGAENKTEQIDSIVMKGRIILYTKLGCQESNEIRLFLRLKRLRYVEINIDVFPSRKKELERISGSTSVPKVFFNEILIGGSSELKTLDESGKLDEKVDFLITEAPLFEAPSPPLSGEDDVPSSGLPDEMAIIVRKMKESIAVKDRLHKVRRFSNCFLGSEAIDFLSEDQYLERPEAVEFARKLAEKHFFRHVTDENLFEDGNHLYRFLDDDPIVVSQCHNISRGISTLKPKPLAEIASRLRFLSRAIFEAYAYEDGRRVDYTSIHGSEEFARYLRIVEELQRVEISDSSREEKLAFFINLYNMMAIHAILVLGHPDGAMERRKLFGEFKYVIGGSTYSLSAIQNGILRGNQRPPYNLKKPFGAKDKRSTVALPYPEPLIHFALVYGTRSGPALRCYTPGKIDEELLDAARNFLRNGGIVIDSSAKAVNASKILKWYSIDFGKNEVEVIKHVSNYLDPADSEVLLDLLAASELKVTYQPYDWGLNC >Vigun01g189400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36857947:36861137:-1 gene:Vigun01g189400.v1.2 transcript:Vigun01g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVRSVIFLLQNFCDSQKKVRQIKAQLIRRNLYPNTRIAEHFIGACYSHGLINSALLLFTTLPPSPHVYIFNTLIRVFSQSQTPHTTLLIYAHMRRNNVLPNNFTFPPLFKALSEARHVTQARCVHTHVLKLGHHQDLFVGNALLDVYASSRQVGLCRSLFDEMLQRDVVSWSVLIAGYNNVGNYDDALVVFEQMQYAGFVPNRVTMINALHACAHSGNVEMGAWIHGAVKREGWELDVILGTALVDMYGKCGRVEEGLSVFWSMKEKNVFTWNAVVKGLALAKSGQEAIRWFNRMEKEGVRADEVTLLAVLSACSHSGLVDKGSEIFGLLVDGRYGFCANVKHYACMVDVLARFGRLHEAVEFMGRMPFGPTKAMWGSLLFGSKVQGDLELGLLAAEKLIELDQENSTYYVHLSNIYAAMGRWGDVEKVRGVMKDRQLNKDLGYSSVEVEHQRHVNEFLA >Vigun05g098800.1.v1.2 pep primary_assembly:ASM411807v1:5:9671180:9673873:-1 gene:Vigun05g098800.v1.2 transcript:Vigun05g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFMQRVVSYVVNEVVVNGLANSPAFQRFAVRTSRRIEDISIKVNQKKQELMEQAKDLSEDISESFKKRP >Vigun08g088700.23.v1.2 pep primary_assembly:ASM411807v1:8:20271553:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNHDVSGNGWVTRICKML >Vigun08g088700.5.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20278901:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.4.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20278901:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.3.v1.2 pep primary_assembly:ASM411807v1:8:20271079:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.16.v1.2 pep primary_assembly:ASM411807v1:8:20271058:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.21.v1.2 pep primary_assembly:ASM411807v1:8:20271126:20276907:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.2.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20278902:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.17.v1.2 pep primary_assembly:ASM411807v1:8:20271058:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.20.v1.2 pep primary_assembly:ASM411807v1:8:20271126:20278614:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.13.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20278584:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNHDVSGNGWVTRICKML >Vigun08g088700.19.v1.2 pep primary_assembly:ASM411807v1:8:20271058:20276910:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.1.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20276910:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.22.v1.2 pep primary_assembly:ASM411807v1:8:20271553:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGPYKEMNPFETRVWRIWFCYKLTKKQPRRTCVDNESHINTGLF >Vigun08g088700.12.v1.2 pep primary_assembly:ASM411807v1:8:20271079:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGPYKEMNPFETRVWRIWFCYKLTKKQPRRTCVDNESHINTGLF >Vigun08g088700.15.v1.2 pep primary_assembly:ASM411807v1:8:20271079:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.7.v1.2 pep primary_assembly:ASM411807v1:8:20271553:20278902:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.10.v1.2 pep primary_assembly:ASM411807v1:8:20271553:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNHDVSGNGWVTRICKML >Vigun08g088700.14.v1.2 pep primary_assembly:ASM411807v1:8:20271021:20278584:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNHDVSGNGWVTRICKML >Vigun08g088700.9.v1.2 pep primary_assembly:ASM411807v1:8:20271488:20278901:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun08g088700.18.v1.2 pep primary_assembly:ASM411807v1:8:20271058:20278571:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFLLLFIPSLFLGFNFASLPVLLHHTFSFSVMNASIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRAGCNDGSCVIWDFETRGIAKILHDDECSSPITSICWSKYGHRILVSAADKSLILWDVMSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNEKATMMYQEMDG >Vigun08g088700.11.v1.2 pep primary_assembly:ASM411807v1:8:20271487:20276195:1 gene:Vigun08g088700.v1.2 transcript:Vigun08g088700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKITRIVLQQTPLQARLHPGSSTPYLCLACPLSCAPMIVDLNTGNTTLLKVSVSETSNGPIPPSRNKSSDGITSFTPTAACFNKYGTLVYLGNSKGEILVIDYKNGEVRAIVPITGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPLKDEVRTLDELNENCGDLNNSEKLKAIGSKCLILFREFQDTITKVHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPFVVSVSLNGFVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPDTEKVKGPDVNEDEEVDIVSVEKDATFSDSDMSQEELCFLPATPTRDPLEQQDKCAESSSKLLDSNNTGSPSEEAGPNGLMMNHASSLLEEDAGRVKRKRKPSEKVLELQAEKVKKSSKSSKLAKPKSKSLVDQDNGNSFHGDGISDE >Vigun01g021100.5.v1.2 pep primary_assembly:ASM411807v1:1:2214218:2221321:-1 gene:Vigun01g021100.v1.2 transcript:Vigun01g021100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEYSHDWKDPPNNICTFYQKGVCAYGSRCRYDHIKASQAQSSIPSSSVIENHTVVSDTGAFGNIITTSNGVGTTAELSLSSSPYILPSEPAWNHELVWNPEIEYYEILGEDNVGQSIITSPSEISICSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRPEEREEHMKTCESRHKYLDALKRSQEIECSVCLERVLSKPILAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVIPSVVWYSTTEEKQEIIDKYKEKLKSIDCKHFDFGDGNCPFGTSCFYKHAYRDGRVEQVVLRHLGAADGNTVIAKDIRLSDFLSNMHLR >Vigun01g021100.3.v1.2 pep primary_assembly:ASM411807v1:1:2214005:2221337:-1 gene:Vigun01g021100.v1.2 transcript:Vigun01g021100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEYSHDWKDPPNNICTFYQKGVCAYGSRCRYDHIKASQAQSSIPSSSVIENHTVVSDTGAFGNIITTSNGVGTTAELSLSSSPYILPSEPAWNHELVWNPEIEYYEILGEDNVGQSIITSPSEISICSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRPEEREEHMKTCESRHKYLDALKRSQEIECSVCLERVLSKPILAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVIPSVVWYSTTEEKQEIIDKYKEKLKSIDCKHFDFGDGNCPFGTSCFYKHAYRDGRVEQVVLRHLGAADGNTVIAKDIRLSDFLSNMHLR >Vigun01g021100.1.v1.2 pep primary_assembly:ASM411807v1:1:2214218:2221321:-1 gene:Vigun01g021100.v1.2 transcript:Vigun01g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEYSHDWKDPPNNICTFYQKGVCAYGSRCRYDHIKASQAQSSIPSSSVIENHTVVSDTGAFGNIITTSNGVGTTAELSLSSSPYILPSEPAWNHELVWNPEIEYYEILGEDNVGQSIITSPSEISICSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRPEEREEHMKTCESRHKYLDALKRSQEIECSVCLERVLSKPILAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVIPSVVWYSTTEEKQEIIDKYKEKLKSIDCKHFDFGDGNCPFGTSCFYKHAYRDGRVEQVVLRHLGAADGNTVIAKDIRLSDFLSNMHLR >Vigun01g021100.2.v1.2 pep primary_assembly:ASM411807v1:1:2214005:2221337:-1 gene:Vigun01g021100.v1.2 transcript:Vigun01g021100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEYSHDWKDPPNNICTFYQKGVCAYGSRCRYDHIKASQAQSSIPSSSVIENHTVVSDTGAFGNIITTSNGVGTTAELSLSSSPYILPSEPAWNHELVWNPEIEYYEILGEDNVGQSIITSPSEISICSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRPEEREEHMKTCESRHKYLDALKRSQEIECSVCLERVLSKPILAERKFGLLSECDHPFCISCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVIPSVVWYSTTEEKQEIIDKYKEKLKSIDCKHFDFGDGNCPFGTSCFYKHAYRDGRVEQVVLRHLGAADGNTVIAKDIRLSDFLSNMHLR >Vigun02g199700.1.v1.2 pep primary_assembly:ASM411807v1:2:33454456:33457243:-1 gene:Vigun02g199700.v1.2 transcript:Vigun02g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTALNTVLCATITPVYDFVCFLPYWERRRERRRQQREATIANSTN >Vigun01g037800.1.v1.2 pep primary_assembly:ASM411807v1:1:4995408:5001613:-1 gene:Vigun01g037800.v1.2 transcript:Vigun01g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAGQLVCVTGASGFIASWLVKFLLQRGYTVNATVRDLSDSRKVDHLLNLDGAKERLHLFKANLLEEGSFDSAVEGCDAVFHTASPFFLVSKDPQGELLDPALKGTMNVLKSCVKSRTLKRVVLTSSIAAVAYNGKPQTPDVVVDETWFSDAEFCRRNGKWYNLSKTLAEEAAWKFAKENSIDLVTINPAMVIGPLLQPELNTSAAAVLNFVNGSETFRNVISGWVDVKDVANAHILAFENHSANGRYCLVGRVTHNSVIVKMLRDLFPTLQLPQKCADEKLYAPIYQVSKKKTKNLGIKFTPLEVSLKETVESLKEKKFIKF >Vigun02g079700.6.v1.2 pep primary_assembly:ASM411807v1:2:23234305:23239716:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVIRHGSIFRQGLLTNYSIQI >Vigun02g079700.4.v1.2 pep primary_assembly:ASM411807v1:2:23234305:23238129:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVAWKHFQAGTADQLFDPNLELQEDNNREVKDDILRVVHIGLLCTQEVPSLRPIMSKTLQMLTKKEENLIAPSNPPFLDESTMELHDTSVDPFYHLHAAHSMATMSHSSFYPR >Vigun02g079700.1.v1.2 pep primary_assembly:ASM411807v1:2:23234305:23238129:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVAWKHFQAGTADQLFDPNLELQEDNNREVKDDILRVVHIGLLCTQEVPSLRPIMSKTLQMLTKKEENLIAPSNPPFLDESTMELHDTSVDPFYHLHAAHSMATMSHSSFYPR >Vigun02g079700.3.v1.2 pep primary_assembly:ASM411807v1:2:23234305:23238129:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVAWKHFQAGTADQLFDPNLELQEDNNREVKDDILRVVHIGLLCTQEVPSLRPIMSKTLQMLTKKEENLIAPSNPPFLDESTMELHDTSVDPFYHLHAAHSMATMSHSSFYPR >Vigun02g079700.7.v1.2 pep primary_assembly:ASM411807v1:2:23234305:23238129:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVIRHGSIFRQGLLTNYSIQI >Vigun02g079700.5.v1.2 pep primary_assembly:ASM411807v1:2:23234304:23239760:-1 gene:Vigun02g079700.v1.2 transcript:Vigun02g079700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVSVTSLCYLVLMKLLLLSKTVTAEPRAKTVLVTCGNVREHNSTIFVPNFVATMEKISDEMRKTGFGTAVVGTGPDANYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNSGRIFLDGCFMRAENYSFFNEYTGAGDRAVCGNTTKKNSSFQAAAKQAVLRAVQDAPNNKGHARGNVAVAGTTNQSVYVLADCWRTLDQRSCKACLEKANVSLQGCLPWSEGRALNTGCFMRYSDTDFLNKEQENGSSRGNVVVIVVAVVSSVIVSVVGVTIGVYIWKQRYIQKKRRGSNDAERLAKSLQNKSLNFKYSTLDKATGSFHENNKLGQGGFGTVYKGVLADGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDKNKGKELNWEKRFEIIIGTAEGLVYLHENSKTKIIHRDIKASNILLDAKFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEMVTGRQNNRSIVLEYSDSLVTVAWKHFQAGTADQLFDPNLELQEDNNREVKDDILRVVHIGLLCTQEVPSLRPIMSKTLQMLTKKEENLIAPSNPPFLDESTMELHDTSVDPFYHLHAAHSMATMSHSSFYPR >Vigun10g112200.1.v1.2 pep primary_assembly:ASM411807v1:10:31280716:31288647:-1 gene:Vigun10g112200.v1.2 transcript:Vigun10g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METWESSGDVIEMNSQSLGDGDSNALILPAKRMKKRKGKEQDHRKAQSNKKQKLSKPQKRKKKFEDDKEKQRLQEQAIKTMNEHTLPEYAYHLLQSSCNINRNETMKEKRRRAVHLLKEGLNVSYNGLSKEPEMDEIHSAQDDEVEKNDIQIQPIKSEEVLNTTLTPLESSEELLHGNEVENYKYVSGNLADISIDKQLYEIRSSPLSCSVDEIKDRTDENNKPNGLSNLDYSAPRASNVPTVVHVYRPSEVEDKRKDLPIVMMEQEIMEAINDCSSVIICGETGCGKTTQVPQFLYEAGYGSSKGVIGVTQPRRVAVLATAKRVAYELGLHLGKEVGFQVRYDKKIGENCSIKFMTDGILLREVQNDILLRRYSVIILDEAHERSLNTDILIGMLSRVIKTRQMIYNEQQKMILSGEIISPEKIIFPLRLVLMSATLRVQDFTSGKLFQTAPPVIEVPTRQFPVTVYFSKKTEKTDYIGEAYKKVLAIHKRLPPGGILVFVTGQREVEDLCRKLRKASKEFIKKKVEGSVQTDSTVINEKKSDEGVNIREINEAFEVHGTSSFQQTDRFSSYDEDEDNANENESDFSYDTETESELEFDDDNLVLPENNSNIVNALGQAGSLASLKAAFEKLSGQATSSSSNEEKTFSANIEGNLDQSKALSEKRTKENCSTPGALCVLPLYAMLPAAAQLRVFEKVGEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYDPSNGMETYEIQWISKASAAQRAGRSGRTGPGHCYRLYSSAAFNNEFPEHSPAEVEKVPVHGVVLLLKSMHIKKVANFPFPTSLKAASLLEAENCLKSLEALDSKDELTTLGKAMAHYPLSPRHSRMLLTVVKNTRQELKCNLNLLLAYAVAAAAALSLSNPFIMQYEDDNSRDSKMSEKSGMGDGERDFDKKGKSRRKKLKETAKVAREKFRVVTSDALTIAYALQCFEHSQKSVEFCDDYALHFKTMDEMSKLRQQLLKLVFYQVDKGGLEEEYSWIHGTLEDVECAWQVSSEKYPLSLVEERLICEAICAGWADRVAKRITSFSRVFDGEKTSRALRYQSCMVDESVLLHRWSSLSTVGPEYLVYNELLETKRPNKEGISTMRAYMHGATSVEPAWLVEHAKSSCVFSAPLKDPRSYYDAQTDQVKCWVTPAFGRFSWKLPMHSLPISNDEDRVQVFAYALLEGQVCPCLKSVRKYMSAPPESIMKKEAFGQKRVGNLLSKLKSRLIDSSAVLRMVWKENPRELFAEILDWFQHSFHKHFEELWLQMHNELLMGTQKGPQHKSSRKKKVKSKGLS >Vigun10g112200.3.v1.2 pep primary_assembly:ASM411807v1:10:31280718:31289044:-1 gene:Vigun10g112200.v1.2 transcript:Vigun10g112200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METWESSGDVIEMNSQSLGDGDSNALILPAKRMKKRKGKEQDHRKAQSNKKQKLSKPQKRKKKFEDDKEKQRLQEQAIKTMNEHTLPEYAYHLLQSSCNINRNETMKEKRRRAVHLLKEGLNVSYNGLSKEPEMDEIHSAQDDEVEKNDIQIQPIKSEEVLNTTLTPLESSEELLHGNEVENYKYVSGNLADISIDKQLYEIRSSPLSCSVDEIKDRTDENNKPNGLSNLDYSAPRASNVPTVVHVYRPSEVEDKRKDLPIVMMEQEIMEAINDCSSVIICGETGCGKTTQVPQFLYEAGYGSSKGVIGVTQPRRVAVLATAKRVAYELGLHLGKEVGFQVRYDKKIGENCSIKFMTDGILLREVQNDILLRRYSVIILDEAHERSLNTDILIGMLSRVIKTRQMIYNEQQKMILSGEIISPEKIIFPLRLVLMSATLRVQDFTSGKLFQTAPPVIEVPTRQFPVTVYFSKKTEKTDYIGEAYKKVLAIHKRLPPGGILVFVTGQREVEDLCRKLRKASKEFIKKKVEGSVQTDSTVINEKKSDEGVNIREINEAFEVHGTSSFQQTDRFSSYDEDEDNANENESDFSYDTETESELEFDDDNLVLPENNSNIVNALGQAGSLASLKAAFEKLSGQATSSSSNEEKTFSANIEGNLDQSKALSEKRTKENCSTPGALCVLPLYAMLPAAAQLRVFEKVGEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYDPSNGMETYEIQWISKASAAQRAGRSGRTGPGHCYRLYSSAAFNNEFPEHSPAEVEKVPVHGVVLLLKSMHIKKVANFPFPTSLKAASLLEAENCLKSLEALDSKDELTTLGKAMAHYPLSPRHSRMLLTVVKNTRQELKCNLNLLLAYAVAAAAALSLSNPFIMQYEDDNSRDSKMSEKSGMGDGERDFDKKGKSRRKKLKETAKVAREKFRVVTSDALTIAYALQCFEHSQKSVEFCDDYALHFKTMDEMSKLRQQLLKLVFYQVDKGGLEEEYSWIHGTLEDVECAWQVSSEKYPLSLVEERLICEAICAGWADRVAKRITSFSRVFDGEKTSRALRYQSCMVDESVLLHRWSSLSTVGPEYLVYNELLETKRPNKEGISTMRAYMHGATSVEPAWLVEHAKSSCVFSAPLKDPRSYYDAQTDQVKCWVTPAFGRFSWKLPMHSLPISNDEDRVQVFAYALLEGQVCPCLKSVRKYMSAPPESIMKKEAFGQKRVGNLLSKLKSRLIDSSAVLRMVWKENPRELFAEILDWFQHSFHKHFEELWLQMHNELLMGTQKGPQHKSSRKKKVKSKGLS >Vigun10g112200.2.v1.2 pep primary_assembly:ASM411807v1:10:31280718:31289044:-1 gene:Vigun10g112200.v1.2 transcript:Vigun10g112200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METWESSGDVIEMNSQSLGDGDSNALILPAKRMKKRKGKEQDHRKAQSNKKQKLSKPQKRKKKFEDDKEKQRLQEQAIKTMNEHTLPEYAYHLLQSSCNINRNETMKEKRRRAVHLLKEGLNVSYNGLSKEPEMDEIHSAQDDEVEKNDIQIQPIKSEEVLNTTLTPLESSEELLHGNEVENYKYVSGNLADISIDKQLYEIRSSPLSCSVDEIKDRTDENNKPNGLSNLDYSAPRASNVPTVVHVYRPSEVEDKRKDLPIVMMEQEIMEAINDCSSVIICGETGCGKTTQVPQFLYEAGYGSSKGVIGVTQPRRVAVLATAKRVAYELGLHLGKEVGFQVRYDKKIGENCSIKFMTDGILLREVQNDILLRRYSVIILDEAHERSLNTDILIGMLSRVIKTRQMIYNEQQKMILSGEIISPEKIIFPLRLVLMSATLRVQDFTSGKLFQTAPPVIEVPTRQFPVTVYFSKKTEKTDYIGEAYKKVLAIHKRLPPGGILVFVTGQREVEDLCRKLRKASKEFIKKKVEGSVQTDSTVINEKKSDEGVNIREINEAFEVHGTSSFQQTDRFSSYDEDEDNANENESDFSYDTETESELEFDDDNLVLPENNSNIVNALGQAGSLASLKAAFEKLSGQATSSSSNEEKTFSANIEGNLDQSKALSEKRTKENCSTPGALCVLPLYAMLPAAAQLRVFEKVGEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYDPSNGMETYEIQWISKASAAQRAGRSGRTGPGHCYRLYSSAAFNNEFPEHSPAEVEKVPVHGVVLLLKSMHIKKVANFPFPTSLKAASLLEAENCLKSLEALDSKDELTTLGKAMAHYPLSPRHSRMLLTVVKNTRQELKCNLNLLLAYAVAAAAALSLSNPFIMQYEDDNSRDSKMSEKSGMGDGERDFDKKGKSRRKKLKETAKVAREKFRVVTSDALTIAYALQCFEHSQKSVEFCDDYALHFKTMDEMSKLRQQLLKLVFYQVDKGGLEEEYSWIHGTLEDVECAWQVSSEKYPLSLVEERLICEAICAGWADRVAKRITSFSRVFDGEKTSRALRYQSCMVDESVLLHRWSSLSTVGPEYLVYNELLETKRPNKEGISTMRAYMHGATSVEPAWLVEHAKSSCVFSAPLKDPRSYYDAQTDQVKCWVTPAFGRFSWKLPMHSLPISNDEDRVQVFAYALLEGQVCPCLKSVRKYMSAPPESIMKKEAFGQKRVGNLLSKLKSRLIDSSAVLRMVWKENPRELFAEILDWFQHSFHKHFEELWLQMHNELLMGTQKGPQHKSSRKKKVKSKGLS >Vigun11g220600.1.v1.2 pep primary_assembly:ASM411807v1:11:41288223:41292740:1 gene:Vigun11g220600.v1.2 transcript:Vigun11g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGLFIFSAHGKLYELATKGTMQGMIERYMKFTRGAQPEAAPEPLPLDAKEESNLLKQEIQTLQKSIRYLFGGGNKTSTIDELQVLEKNLETWIYHIRSMKTNIMLQEIEALKDKEGTLKAANKYLHDKIVENTAVHNFAQFATDVPYPLIIQDGSFQLY >Vigun06g134000.3.v1.2 pep primary_assembly:ASM411807v1:6:26032306:26036382:1 gene:Vigun06g134000.v1.2 transcript:Vigun06g134000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLGSGHASGMAIQHLGRQLRTGNLKDIVGIPMSVASASEAAKAGIPLDTYQESSKIDFAFDDADVIEEGTLVAIIGRRKLQSGESIIQEKSIINASNKFVFIIEENQYKGGLEGSIPVLIQSLNWMATAEEIDDMFLGDAEVWRRPTMGQAGPLGGDFPVVTREGHNILDVIFTSPIENLAEVAKSLGKVDGVVDHGVVSKIPCTVVIASQNGLNILDKLTADIVG >Vigun06g134000.1.v1.2 pep primary_assembly:ASM411807v1:6:26031565:26036382:1 gene:Vigun06g134000.v1.2 transcript:Vigun06g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSSSFSTRHLLCSPTPLLGRRRCRPNFLRMLTRSCLDDSSALLQAAEYTVNTYVKSGMVVGLGSGHASGMAIQHLGRQLRTGNLKDIVGIPMSVASASEAAKAGIPLDTYQESSKIDFAFDDADVIEEGTLVAIIGRRKLQSGESIIQEKSIINASNKFVFIIEENQYKGGLEGSIPVLIQSLNWMATAEEIDDMFLGDAEVWRRPTMGQAGPLGGDFPVVTREGHNILDVIFTSPIENLAEVAKSLGKVDGVVDHGVVSKIPCTVVIASQNGLNILDKLTADIVG >Vigun06g134000.2.v1.2 pep primary_assembly:ASM411807v1:6:26031565:26036382:1 gene:Vigun06g134000.v1.2 transcript:Vigun06g134000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSSSFSTRHLLCSPTPLLGRRRCRPNFLRMLTRSCLDDSSALLQAAEYTVNTYVKSGMVVGLGSGHASGMAIQHLGRQLRTGNLKDIVGIPMSVASASEAAKAGIPLDTYQESSKIDFAFDDADVIEEGTLVAIIGRRKLQSGESIIQEKSIINASNKFVFIIEENQYKGGLEGSIPVLIQSLNWMATAEEIDDMFLGDAEVWRRPTMGQAGPLGGDFPVVTREGHNILDVIFTSPIENLAEVAKSLGKVDGVVDHGVVSKIPLVFSLNFYNLLNK >Vigun01g186500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36659618:36662944:-1 gene:Vigun01g186500.v1.2 transcript:Vigun01g186500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRTHRSLAERYDQVKPDTTGIGHLVTGGSPFASAKYQIQKLMCFSDSGYDTYSERCDVYEEYEESEESEVDDPEEEEEGTEFVHSCTKKEKASPVAVSDELMKMRDEVMRLNEENNANRDQINDKNTICEEVKMLRDEVMRLNEENNAHRDQINDKNTICDEVKMLREEIGRLRDENEEQKKQLKQKDEEKIEVIRQLSLAVDVLKQENVKMRNFIANESAKKWKKPFDEINKLVGAFSLKLFNGGPRNQPSVELSTCGFHCFANN >Vigun01g186500.1.v1.2 pep primary_assembly:ASM411807v1:1:36659618:36662944:-1 gene:Vigun01g186500.v1.2 transcript:Vigun01g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMEKQPSQWWWLDNHNTTNRSPWLQSTISELNEKTRAMLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRTHRSLAERYDQVKPDTTGIGHLVTGGSPFASAKYQIQKLMCFSDSGYDTYSERCDVYEEYEESEESEVDDPEEEEEGTEFVHSCTKKEKASPVAVSDELMKMRDEVMRLNEENNANRDQINDKNTICEEVKMLRDEVMRLNEENNAHRDQINDKNTICDEVKMLREEIGRLRDENEEQKKQLKQKDEEKIEVIRQLSLAVDVLKQENVKMRNFIANESAKKWKKPFDEINKLVGAFSLKLFNGGPRNQPSVELSTCGFHCFANN >Vigun01g186500.3.v1.2 pep primary_assembly:ASM411807v1:1:36659618:36662944:-1 gene:Vigun01g186500.v1.2 transcript:Vigun01g186500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMEKQPSQWWWLDNHNTTNRSPWLQSTISELNEKTRAMLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRTHRSLAERYDQVKPDTTGIGHLVTGGSPFASAKYQIQKLMCFSDSGYDTYSERCDVYEEYEESEESEVDDPEEEEEGTEFVHSCTKKEKASPVAVSDELMKMRDEVMRLNEENNANRDQINDKNTICEEVKMLRDEVMRLNEENNAHRDQINDKNTICDEVKMLREEIGRLRDENEEQKKQLKQKDEEKIEVIRQLSLAVDVLKQENVKMRNFIANESAKKWKKPFDEINKLVGAFSLKLFNGGPRNQPSVELSTCGFHCFANN >Vigun05g148700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21431777:21433216:-1 gene:Vigun05g148700.v1.2 transcript:Vigun05g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRDSMAKTTHIAVVSIPAFSHQASIVEFCKRLVHVHHHFHVTCIFPTIDEPLPATLTLLKSLPSNINYTFLPPINKRDLPQDALSAVQIQLAVSQSVSSIKNALGSLLSTTPLVALIADLFANEALEIAKEFNLLSYVYFPHSAMAVSVFLHLPALHQQVSCEYRDHKEAINIPGCVPIQGRDLPSHFQDRSSLAYKLILDRCRRVSHADGFIVNSFSKIEESSERALQEHNRVSSNKSNNSGVYLIGPNVQTGSSDEGSECVKWLENQKAKSVFYVSFGSGGTLSQQQMNELAFGLELSGEKFLWVVRAPSDSADGAYLGASSDDPLQFLPNGFLERTKGRGFVVRSWAPQTQILGHVSTGGFLTHCGWNSALESILLGVPMVAWPLFAEQRTNAVLLTEGVKVALRPKFNDSGIAEREEIAEVIKGLMVGEEGRLIRGRIEKLRDAAAEALEEHGSSTRALYQFGTQIESFVGQP >Vigun11g032100.1.v1.2 pep primary_assembly:ASM411807v1:11:4243235:4244961:-1 gene:Vigun11g032100.v1.2 transcript:Vigun11g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGKISTEIGVHATAEKWYNLFATQLHHVQNLADRVHGTKLHHGEDWHHNESIKHWTYVIDGKVTTCHESIESVDEANKTIYYKLFGEEIDQKFKVFRLIFQAIDKENGGAIIKWSIEYERVDEEIEPPYGYIEYVHKCTSDIDGHLLKA >Vigun08g130700.1.v1.2 pep primary_assembly:ASM411807v1:8:30098240:30102178:-1 gene:Vigun08g130700.v1.2 transcript:Vigun08g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLDTSATANSFANFTFATHPFMTTSFSDLLASPTDNNNNNNKSQGAFSEKNASGVPKFKSTPPPSLPLSPPPISPSSYFAIPPGLSPAELLDSPVLLNSSNILPSPTTGAFVSQSFNWKSSSGGNQQIVKEEDKSFSNFSFQTQARPPASSTVTYQSSNVALQAQNPWNFQESTKQDSFSSGKSVMKTENSSSMHSFAPEIVSVQTNHSNGFQSDFGNYPAQSQTLNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPSCPTKKKVERSLDGQITEIVYKGTHNHPKPQSTRRNSSSSSSLAIPPSNPISNEIQDQSYATHGSGQMDSAATPDNSSISIGDDDFEQSSQKCKSGGDEYDEDEPDAKRWKIEGENEGMSAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSHSVNRPLPNNAANPTTAIRPLSVNHHTDNNSIQNQRPQAPPSGQSPFTLEMLQSPGNFGFSGFGNSMGSYMNQQQLSDNVFSSRTKEEPRDDMFLESLLC >Vigun04g197600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42241055:42242866:1 gene:Vigun04g197600.v1.2 transcript:Vigun04g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVLYAAIIVPVLCSLYMFHRRRCCKHPLLRDYPILGMLPTVLFNLWRLHDFFTEGLIKHGGSGDLKGPWFTNMNYFVTCDSRNVQHMLCKNFDNYVKGHDFREIFEPFGDGFIAADYETWKYIRTLLHSLMNQPRFKAFIDQTVQKKILTSLLPMFDHAQQLGRMVNLQDVFLRFTFDNICLTTVGHDPKCLSIDFPVVAVEKAFRMSDKSIFYRHTVPNSVWKLQKWLQIGQEKKMTEASKTIDEFIYSFITSKRKELRKCTKEEMKEVPLDLLSLWMTEEGGCEHNDKFIRDFAFNFIVAGRGSMASTLTWFFWLVIKHPLVEAKILEEIKDHFKTNEKKNDEYGHGFDDLKQSVSGEVLGMEEVKKLVYLHGALCEALRLFPPIPIERKQAVKVDILPSGHRVNGNTMIMFSLYAMGRCEETWGKDCLEFKPERWISEKGEIVKVASYKFIAFNAGPRSCLGKDLALLQMKMVAASMLWSYRFQMAEGHVASPSHSFGLSMKNGLKVRIMKRDL >VigunL023532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:22433:22834:-1 gene:VigunL023532.v1.2 transcript:VigunL023532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun09g141700.1.v1.2 pep primary_assembly:ASM411807v1:9:30411460:30413851:-1 gene:Vigun09g141700.v1.2 transcript:Vigun09g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRQNKMMSPNLGKQVGDTTYTKIFVGGLAWETKRDSLKRYFDQFGEILEAVVITDRVTGRSKGYGFVTFRDPNSAARACLNPYPVIDGRRANCNLAALGAQKFDPSTTGRQKFSSPSWNMAPVPLQGTSTYYNQHIPHYPFPYPTYRYPGFTHPQDLYEMNYNQAYGGQQFPLRWYPAYCQPFYGLGRQIIPTTYVKKVIPDTSPQDVTVVGFSEPVAASPSIPTTGLVTGTVPATGVVESPQEQTSSTT >Vigun10g104900.1.v1.2 pep primary_assembly:ASM411807v1:10:30215369:30217106:1 gene:Vigun10g104900.v1.2 transcript:Vigun10g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSLFLSTLQSLWLFVIIVLKPLIPSLIQKWSKKQKPKLPPGPKPWPMVGNLPEMFANKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIAKQFLSEQDAIFASRYVNTCTDLVTSGHVTTVLVPYGDQWKKMKKILTTALLSSHKHLWLQNRRTEEADNLMFYVYNKSKSVNNGVAGLVNIRSVAKHYCGNVIRKILFNKRYFGKGRKDGGPGFEEEEHVDSIFILLKYVYAFSISDFIPPLRRLDLDGHQKKVREALNVMKKYHDPIVQERVKQWNDTPKMDEQDWLDILISLKDADNKPLLTMEEISAQIVEVLMAAVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGKERLVQESDIPKLNFVKACAREAFRLHPLADFNVPHVSMSDTTVGNYFIPNGSHVLLSRKGLGRNPKVWNEPLKFKPERHLTSDNGSNVVLTEPNLRFISFSTGRRGCPGVMLGTTMTVMLFARLLHGFTWTAPPNVSKINLVESNNEINLAEPLMAVAKPRLAPQLYHL >Vigun07g144900.1.v1.2 pep primary_assembly:ASM411807v1:7:25560524:25566516:-1 gene:Vigun07g144900.v1.2 transcript:Vigun07g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHENYQDSWSETESIGSRGMQVGFSGPLSGPLSGPLVTTSHKRNGSKNKSARFKDDEEMVEITLDVRDDAVSVQNIRGGDSETAFLASRLEMRPSSFSGRLRQVSRELKRMTSNKAFDKVDRSKSGAARALGGLKFMTKSAAGAEGWSLVEKRFDELAIDAKLPKTRFSQCIGMTESKEFAGELFDALARRRGITSSAVTKNELREFWEQITDQSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKLQERAEEYAALIMEELDPDNFGYIELYNLEMLLLQAPAQSTHITTDSRVLSQMLSQRLVPTKEYNPIKRGFRAVSYFVQDNWKRLWVIALWLSICAGLFTWKFIQYKHRAVFHVMGYCVTVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAIIPFDDNINFHKVVAFGIAIGVGLHAISHLTCDFPRLLHATDAEYEPMKPFFGDDRPNNYWWFVKGTEGWTGVLMVVLMAIAFILAQPWFRRNRLNLPKSLKKLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLSKKWYKKTTWMYLAVPMILYGCERLLRAFRSRHKSVRILKVAVYPGNVLALHVSKPQGFKYSSGQYIYVNCSDVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTSQLKAVFAKACQPANDGQSGLLRADMLQGNNKPRMPRLLIDGPYGAPAQDYKNYDVILLVGLGIGATPLISILKDVLNNIKQQQDEEEGVVESGVKDNKRKAFATKRAYFYWVTREEGSFEWFKGVMNEVAENDKEGVIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDIVSGTRVKTHFARPNWRNVFKHTALKHPDKRVGVFYCGAHGLVGELKRLSLDFSRKTSTKFDFHKENF >Vigun04g019000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1412833:1414832:1 gene:Vigun04g019000.v1.2 transcript:Vigun04g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLLSLFTIFTILSLTSSRTITTPSHTTILNISSSLHQAHQILSFKPQNALQQQALTQQHHPTSPSSSFFSVQLHSRETLVNEQHPDYKTLVLARLARDSARVNSLETRLQLALYGVKRSDLFPTQTEVRLEDLSTPVSSGTSQGSGEYFSRVGVGQPAKPFYMVLDTGSDVNWLQCKPCSDCYQQSDPVFDPTSSSSYYPLTCDAQQCQDLEMSACKNGRCEYQVSYGDGSFTVGEYVTETVSFGGSGSVNRVALGCGHDNEGLFVGAAGLLGLGGGPLSLTSQIKAASFSYCLVDRDSGTSSTLEFNSPRPSDSVTAPLLKNRQVNTFYYVELTGFSVGGEMVSIPPDTFAVDQSGVGGVIVDSGTAITRLQTRAYNAVRDAFKRMTQNLRPAEGVALFDTCYDLSSLQSVRVPTVSLHFSGDKIWALPAKNYLIPVDGAGTYCFAFAPTSSSLSIIGNVQQQGTRVSFDLANSLVGFSSNKC >Vigun11g216800.1.v1.2 pep primary_assembly:ASM411807v1:11:41061984:41067398:1 gene:Vigun11g216800.v1.2 transcript:Vigun11g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAGYSLAVSFAPTGSNILKNNGRFPVVISRRNKFSYGLFSTRALKAQLHDKPGVGSRYYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMVAAETIVHQKDNLDRFLAYSPDQHPIVLQIGGSNIEKLAKATELANAYCYDEINLNCGCPSPKVAGHGCFGVSLMLNPKFVAEAMSAIAASTNVPVSVKCRIGVDDHDSYNELCDFIYQVSSLSPTKHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGITSVDEVRASREAGAHGVMVGRAAYNNPWHILGHVDSAIYGTPSPDVTRRQVLEKYLAYGESVMGKYGRRPTMRDIMKPLLNLFHSEPGNGLWKRKADAAFKNCTTVESFFEETLVAIPDSVLDSPVAKLPPGRRDLFANIHSLLPPPYRTREEEAVVICA >Vigun10g174100.2.v1.2 pep primary_assembly:ASM411807v1:10:39276851:39280156:-1 gene:Vigun10g174100.v1.2 transcript:Vigun10g174100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQQTGVSLRSSLTFGETLCAVFIPLIGLVEALVFSLTGCFDFHSPAKKKKPSSTTFDDILALAKDSQFTVNEIEALHELFKKLSSSIIDDGLIHKEELTLALFKTTTGENLFLDRCGLIGLEVFDVFDEKRNGVIEFEEFVHALNIFHPCTPLEKKIDFSFRMYDLRQTGYIEREEVSILACPG >Vigun10g174100.3.v1.2 pep primary_assembly:ASM411807v1:10:39276851:39280156:-1 gene:Vigun10g174100.v1.2 transcript:Vigun10g174100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQQTGVSLRSSLTFGETLCAVFIPLIGLVEALVFSLTGCFDFHSPAKKKKPSSTTFDDILALAKDSQFTVNEIEALHELFKKLSSSIIDDGLIHKEELTLALFKTTTGENLFLDRVFDVFDEKRNGVIEFEEFVHALNIFHPCTPLEKKIDFSFRMYDLRQTGYIEREEVSILACPG >Vigun10g174100.1.v1.2 pep primary_assembly:ASM411807v1:10:39276851:39280156:-1 gene:Vigun10g174100.v1.2 transcript:Vigun10g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQQTGVSLRSSLTFGETLCAVFIPLIGLVEALVFSLTGCFDFHSPAKKKKPSSTTFDDILALAKDSQFTVNEIEALHELFKKLSSSIIDDGLIHKEELTLALFKTTTGENLFLDRVFDVFDEKRNGVIEFEEFVHALNIFHPCTPLEKKIDFSFRMYDLRQTGYIEREEVRQMVVAILSECGIDLDDETLETIINKTFQDADADKDDKISKEEWKAFVTRNPTLLKHMTLPHLADISTLFTSFIFNTGVDDSHWQP >Vigun05g177600.1.v1.2 pep primary_assembly:ASM411807v1:5:33170514:33172635:-1 gene:Vigun05g177600.v1.2 transcript:Vigun05g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNPFGQSSSSPLGSQIVYGQTNSSTNPFDPNPSGSTSPFGSQTGRSMFGGTSTGVFGAAQQSSPFSSNTASGASSSPASGSSVPAFESSSTPTFGSSSSSVGGSSVFGQMPAFGGFGSTPTQTSPFGATQQSQPAFGSSIFGSSTTFEGSSRLAFGATSIPAFGTPAFGASRPLEILVSTFGNTGIRQPGFGGQQRGGSRVASYTATTEAYSRTSVHTAKLESISAMPVYELKSHEELRLEDYVGDKGGSTVASYAATIEADSGTSGRIPKLESISAMPVYELKSHEELRWEDDQLGDKGGPLPSGQFTGLAGFSSSTTQTNAFSPSPVFGQSLANPFSSTTPNSNPFAPKSSPFSSGFGTFAANAFSSSAFGSSTSAATPTIFGSSPSPFGANSSLTPSFGAKCSIGSTVFQYRKYLFEPLHCVAFIYFICCVFLLLLR >Vigun06g071200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20189566:20189868:1 gene:Vigun06g071200.v1.2 transcript:Vigun06g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METARVREKMKNRDVGSKKGLYFTELLPRARVHFWTLLKLFFPSDTQPFSSKFPSLKIFVVFTETHYRCTSNPTYTKAVRPDGGRRNRSGTLPTVVDGEH >Vigun03g149550.2.v1.2 pep primary_assembly:ASM411807v1:3:15470754:15471550:1 gene:Vigun03g149550.v1.2 transcript:Vigun03g149550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVENGDRETKRKRIKKAEGKLLQASEVSLIAIKKAIKNLHKKNVRIVQLEGDLAKAHKERDEFSARLKRLKQKVRELAEILIEDDDDDDDDDDDDDDEERERRKKLREEK >Vigun03g149550.1.v1.2 pep primary_assembly:ASM411807v1:3:15470754:15471550:1 gene:Vigun03g149550.v1.2 transcript:Vigun03g149550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVENGDRETKRKRIKKAEGKLLQASEVSLIAIKKAIKNLHKKNVRIVQLEGDLAKAHKERDEFSARLKRLKQKVRERMMMMMMMMMMMMMMKRGRDERSSEKRNSSSVNICFDV >Vigun08g133200.2.v1.2 pep primary_assembly:ASM411807v1:8:30435896:30437784:-1 gene:Vigun08g133200.v1.2 transcript:Vigun08g133200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHFYGPTPGITLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFVAVHKVFGASNVSKMLLEVPEAQRADAANSLVYEANLRLRDPVYGCMGAISTLQQQVQSLQAELNAIRAEILKYKYREAASLISSNHAALVSSADAISAAIPTNSQPLGLSQPLTPPPPKPLHTPPLKPLPSPSVILSSFSSSSTSSVYTPPKSSMSLGSISSTENVPYFV >Vigun08g133200.1.v1.2 pep primary_assembly:ASM411807v1:8:30435896:30437784:-1 gene:Vigun08g133200.v1.2 transcript:Vigun08g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHQEIDRLEEITKVRAEVEASSQMGRKHFYGPTPGITLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFVAVHKVFGASNVSKMLLEVPEAQRADAANSLVYEANLRLRDPVYGCMGAISTLQQQVQSLQAELNAIRAEILKYKYREAASLISSNHAALVSSADAISAAIPTNSQPLGLSQPLTPPPPKPLHTPPLKPLPSPSVILSSFSSSSTSSVYTPPKSSMSLGSISSTENVPYFV >Vigun10g177600.2.v1.2 pep primary_assembly:ASM411807v1:10:39606955:39608886:1 gene:Vigun10g177600.v1.2 transcript:Vigun10g177600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERENKRKGIMNHFTRSHLIAVPNSHPPPSIGVIFGCHISSPFSAALTAPKTIMMNIAALLQLFAFSFLTCVYAQDTTTLVPAILTFGDSAVDVGNNDYLPTIYKANYPPYGRDFVNHQPTGRFCNGKLATDITAETLGFKTYAPAYLSPQASGKNLLNGANFASAASGYDENAAILNHAIPLSQQLSYFKEYQGKLAKVAGSQKAASIIKDALYVLSAGSSDFVQNYYVNPWINKVYTPDQYGSYLVGSFNSFVKDLYRLGARRLGVTSLPPLGCLPAARTLFGFHENGCVSRINSDAQAFNKKINSAAANLQKQLPGLKIAVFDIYKPLNDLVQSPSKFGFVEANRGCCGTGTVETTSLLCNQKSPGTCSNATQYVFWDSVHPSEAANQVLADALILQGISLVT >Vigun10g177600.3.v1.2 pep primary_assembly:ASM411807v1:10:39606955:39608886:1 gene:Vigun10g177600.v1.2 transcript:Vigun10g177600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERENKRKGIMNHFTRSHLIAVPNSHPPPSIGVIFGCHISSPFSAALTAPKTIMMNIAALLQLFAFSFLTCVYAQDTTTLVPAILTFGDSAVDVGNNDYLPTIYKANYPPYGRDFVNHQPTGRFCNGKLATDITAETLGFKTYAPAYLSPQASGKNLLNGANFASAASGYDENAAILNHAIPLSQQLSYFKEYQGKLAKVAGSQKAASIIKDALYVLSAGSSDFVQNYYVNPWINKVYTPDQYGSYLVGSFNSFVKDLYRLGARRLGVTSLPPLGCLPAARTLFGFHENGCVSRINSDAQAFNKKINSAAANLQKQLPGLKIAVFDIYKPLNDLVQSPSKFGIQYSLFNISVK >Vigun10g124800.1.v1.2 pep primary_assembly:ASM411807v1:10:33310503:33322775:1 gene:Vigun10g124800.v1.2 transcript:Vigun10g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGVSGIIIEDSDLISTVFANRGVVPDEQGTKALTQTYELAEECLQINYYGTKITVQSLMPLLQLSDSPTIVNVSSTQGQLESFPKESWARGVLSDADNLTEEKMDEIVKKFLNDFKEGSLESNGWPRYIGAYIVSKAAVNGYTRILAKKNPSFCINSVCPGYVKTDITSNTGFLTVEEGAASPVKLALLPNGSPSGLFYYRADVASF >Vigun06g205500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31969935:31971014:-1 gene:Vigun06g205500.v1.2 transcript:Vigun06g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLERLEWVNAYSKKRCRSLFWRLRAALKKALKNGGKQRLKFQYDPSSYALNFDDGFCAAKKFIGDARLMELTDINNTTTTLVYVLWVKTN >Vigun01g239000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40983643:40985476:1 gene:Vigun01g239000.v1.2 transcript:Vigun01g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCEMVFETTTRGGCKEHPHDKQSPGVCSSCLREKLSQLYSTNPIIDPLCFSPQSPASPHQSFSSSRGGGHRKPRFRRNASLVAAESGSSVQGLNLKKSKSHAFGSKSRGRERDVSGRKKDGFWSKVLKLKIRDTRDSIVTSKTST >Vigun04g201900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42490283:42492736:1 gene:Vigun04g201900.v1.2 transcript:Vigun04g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATAAMTFAVPSTKAQAHARYRVLARPTNPFTVPAGGLAQRPPARHLCIRAAIPRTKKEETVETVREQLENCYLLAGINYKGFTVKQFQELRKTFPESTKLIVAKNTLVYKAVEGTPWETLKPCMKGMNVWLFVHSEEIPAALKPYRDFQREKKLEDNDFTGAVFEGKFYGPDEFKKLETLPTRAEIYATLLGALKGPSSALVSTLQAPSRELLLVLKAHIKNLEEQQQGLPQ >Vigun08g037000.2.v1.2 pep primary_assembly:ASM411807v1:8:3545101:3558550:-1 gene:Vigun08g037000.v1.2 transcript:Vigun08g037000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRSLSPSPCCGDSHFVLLVPVLLVIIHSLLLLPHSSLAIRPTESDQIELANATESNVSLSRPREGSFADMIDRALEHEFTENDQNEAADPGSFNNSVAEQQAVLETVARVTPNKNDTKDEKSFQLHHVFNRGEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVAIVSATCGGVAFAFAGQPVITGYLLAGSIVGPGGFNFISEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCMCGLTVSLCGGKASEGVFVGAFLSMSSTAVVLKFLMEKNTTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVFQGVLSMTKLLVTLIAFLSVLTILSRTCLPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMIATTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVHFLWNHVDILVASVILVIVIKTIIISSVVKGFGYNNKTSILVGMSMAQIGEFAFVLLSRASNLHLVEVTTPLLFKLIPAVVHLGVLLKWFSPDSSVEIGYNKVDSLRSESGKQRVILMDQESHDS >Vigun08g037000.1.v1.2 pep primary_assembly:ASM411807v1:8:3545102:3558549:-1 gene:Vigun08g037000.v1.2 transcript:Vigun08g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRSLSPSPCCGDSHFVLLVPVLLVIIHSLLLLPHSSLAIRPTESDQIELANATESNVSLSRPREGSFADMIDRALEHEFTENDQNEAADPGSFNNSVAEQQAVLETVARVTPNKNDTKDEKSFQLHHVFNRGEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVAIVSATCGGVAFAFAGQPVITGYLLAGSIVGPGGFNFISEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCMCGLTVSLCGGKASEGVFVGAFLSMSSTAVVLKFLMEKNTTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVFQGVLSMTKLLVTLIAFLSVLTILSRTCLPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMIATTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVHFLWNHVDILVASVILVIVIKTIIISSVVKGFGYNNKTSILVGMSMAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLKWFSPDSSVEIGYNKVDSLRSESGKQRVILMDQESHDS >Vigun11g055000.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10190705:10195388:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKGIHILDISQNNFSGGLEWLGNCSTSLQELHLDSNFFTGYLPDSLYSMSALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun11g055000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10190720:10195109:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKGIHILDISQNNFSGGLEWLGNCSTSLQELHLDSNFFTGYLPDSLYSMSALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun11g055000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10190720:10195109:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKGIHILDISQNNFSGGLEWLGNCSTSLQELHLDSNFFTGYLPDSLYSMSALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun11g055000.8.v1.2 pep primary_assembly:ASM411807v1:11:10190705:10195306:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun11g055000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10190706:10195387:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKGIHILDISQNNFSGGLEWLGNCSTSLQELHLDSNFFTGYLPDSLYSMSALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun11g055000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10190720:10195109:1 gene:Vigun11g055000.v1.2 transcript:Vigun11g055000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRYCPMAFVRWSFLAWFIFFSVGLETLASSCDQHDLLALKEFAGNLTKGSIITAWSDDVFCCKWVGVVCDDVVDGGSRVSKLILPRMGLNGTISSSLAYLDQLKVLDISFNRLQGGLPSEFSNLKQLEVLDLSHNILSGPLFGALSGLQSIQILNISSNLFVGDLFQFGGLEHLVALNISNNSLTGQFNSQICSFSKGIHILDISQNNFSGGLEWLGNCSTSLQELHLDSNFFTGYLPDSLYSMSALEQLSVSMNYLSGQLSMKLSNLSNLKSLIISGNRFSGEIPNVFGNLSDLEQLIGHSNSFSGSLPSTLALCSKLQVLDLRNNSLTGSVSLNFSGLSNLSTLDLGSNHFNGPLPNSVSNCHELTMLSLAKNELTGQIPESYANLTSLLTLSLSNNSFENLSGALYVLQHCKNLTTLILTKNFHGEEISENLTVSFKSLVVLALGNCGLKGHIPAWLLNCSRLEVLDLSWNHLEGSVPSWIGWMDHLFYLDLSNNSLTGEIPKGLTELKGLISLNYHISSLFSSSAIPLYVKRNKSANGLQYNHASSFPPSIYLSNNRLSGTIWPEIGRLRELHILDLSRNNITGTIPSSISEMKNLETLDLSNNNLYGTIPPSFNNLTFLSKFSVAYNHLWGTIPAGGQFSSFPNSSFEGNLGLCGETYNHCNKEKTIDISTHSSGKFGKNSVLGITIGSGVGLALLIAVILLRMSKKDEDKPVDNFDEELSFPNRIPEAVVTSKLVLFQNSDCKDLTVEDLIKSTNNFNQENIIGCGGFGLVYKANLPNGRKVAVKKLSGYCGQVEREFQAEVEALSRAQHKNLVSLKGYCQHVNDRLLIYSYLENGSLDYWLHESENGNSALQWNVRLKIAQGAAHGLAYLHKECEPHIVHRDIKSSNILLDDKFEAYLADFGLSRLLQPYDTHVSTDLVGTLGYIPPEYSQALKATFKGDIYSFGVVLFELLTGRRPVEVIVGQCSRNLVSWVFQMKSENRNQEIFDSVIWHKDIEKQLLEVLAIACKCIDADPRQRPHIELVVSWLDGVGFDGSQQSSS >Vigun10g013900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1518527:1522086:1 gene:Vigun10g013900.v1.2 transcript:Vigun10g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNSYLPNAPSSLLPISIHEFQNSSQHHSPTLKFTQLRPKSNVSSCIKQQINLSETQQLQGHFIKTNSNRSFRVPFEALKCYSSNEAIYGFLITSYIKNNSPEDAAKIYGYMRRTDTEVDNFIIPSVLKACCLIPSMLLGQELHGFVVKNGFHGDVFVCNALIMMYSEVGSLDSAQLLFDKIENKDAVSWSTMIRSYDRSDLFDEALDLLRDMLVMGVRPSETALLSITHVLAEIAELKLGKAMHGYVIRNVKYGKSEVPLSTGLIDMYVKCGNLAYATKIFYGLSNASIISWTAMIAGYIRCNNLNEGVRLFVKMLEEGMFPNEITILSLVKECGIAGALELGKWLHAFTLRTGFTMSLVLGTAFIHMYGKCGDAKSARYVFNSFKSKDLMMWSAMISAYAQNNCADEAFDIFVHMTGCGIRPNEKTMVSLLKICAKVGSLEMGKWIHSYIDKQGIKEDMILITSLVDMYAKCGDIDSAHRLFDATMDRDISMWNAMISGFAVHGHGETALKLFEEMQALGVLPNDITFIGALHACSHSGLLQEGKRLFHRMVHEFGLVPKVEHYGCVVDLLGRAGMLDEAQELIKNMPMRPSMAVFGSLLSACKLHKNLKLGEWAAAQFLSLEPHKSGYNVLMSNIYASERKWGDVAYIRRGMKDEGIVKVPGVSSIEVNGSLHEFIIGDSDHQDAERIYEMVDEIRRKLEDVGYT >Vigun01g247500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41527278:41528592:-1 gene:Vigun01g247500.v1.2 transcript:Vigun01g247500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVLSQLATGLSVLAGAVIVKSVMDQKPMAGPFTRCPTCNGTGRVTCLCSRWSDGDVGCSTCSGSGRMACSSCGGSGTGRPLPAKIAIRPPSRPS >Vigun01g103000.2.v1.2 pep primary_assembly:ASM411807v1:1:27197671:27202162:-1 gene:Vigun01g103000.v1.2 transcript:Vigun01g103000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTGVASRKWNLFNWYFFSMGFASLSALTIVVYIQDNMGWGWGLGIPSIAMMISIIAFVLGSPLYKTVKPEGSPLVRLAQVIAAAVKKRNQTLPEDPKFLYHNWELDAPIALEGMLLHSDQYKWLDKAAIVTEEEARDSSATPDLWKLVTVHRVEELKSIIRMLPIWASGILLITSSSHLHSFVILQARSMDRHLSPSFEISPASMSIFSVLTMMSGVVLYERVFVPFARRFTGNPSGITSLQRMGIGFVINIIATVVAALIEMKRKSAAAKFNLLDDPKAIIPISVFWLVPQYFLHGVAEIFMSVGHLEFLFEQSPESMRSSATALYCITTAIGNYLGTLLVSLVHKYTGNERNWLPDRNLNRGGLDYYYFLVSGIQVVNLVYYLICARFYTYKPVEETTERNKEEDLEEANEHVSSHILKDGGEEEKRGVTKDE >Vigun01g103000.1.v1.2 pep primary_assembly:ASM411807v1:1:27197671:27202162:-1 gene:Vigun01g103000.v1.2 transcript:Vigun01g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKSAKNSEEIGAQKEAKTTQHRRGGIRTLPFILANEVCDRFASAGFHGNLISYLTQELNMPLVAASNTLTNFGGTSSFTPLIGAIIADSFAGRFWTITVASLIYELGLLSITVSAILPHFRPPPCPTQVNCQEATSSQLWILYISLLLTSVGSGGIRPCVVPFSADQFDMTKTGVASRKWNLFNWYFFSMGFASLSALTIVVYIQDNMGWGWGLGIPSIAMMISIIAFVLGSPLYKTVKPEGSPLVRLAQVIAAAVKKRNQTLPEDPKFLYHNWELDAPIALEGMLLHSDQYKWLDKAAIVTEEEARDSSATPDLWKLVTVHRVEELKSIIRMLPIWASGILLITSSSHLHSFVILQARSMDRHLSPSFEISPASMSIFSVLTMMSGVVLYERVFVPFARRFTGNPSGITSLQRMGIGFVINIIATVVAALIEMKRKSAAAKFNLLDDPKAIIPISVFWLVPQYFLHGVAEIFMSVGHLEFLFEQSPESMRSSATALYCITTAIGNYLGTLLVSLVHKYTGNERNWLPDRNLNRGGLDYYYFLVSGIQVVNLVYYLICARFYTYKPVEETTERNKEEDLEEANEHVSSHILKDGGEEEKRGVTKDE >Vigun03g012000.1.v1.2 pep primary_assembly:ASM411807v1:3:808881:817163:1 gene:Vigun03g012000.v1.2 transcript:Vigun03g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADALSLIPAAVLRNLADKLYEKRKNAALDIEGIVKQLATAGDHDKITAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRALKADPAEAFDVGAILSIARRQLSSEWEGTRIEALHWILTLLKKYRNEVLQYLNDIFDTLLKALSDPSDQVVLLVLDVHACIAKDPQHFRQLVVFLMHNFRVDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASIMVQALNLILLTSSELSEIRYLLKQSLVDPAGKDLYVSLYASWCHSPMAIISLCLLAQTYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYPWLFKALYGLLMLLPQQSAAFKILKTRLKAVPSYSFNGEQLKKTSSGNPYNFLQNMSGGSQINEDGEVALDRGNSLNGINFAARLQQFQQMQHQHRVHFKTQTPKTSSSSSKEAQRHEEPKQPQLSEVNAVAPSRSSKRAQGS >Vigun11g225900.1.v1.2 pep primary_assembly:ASM411807v1:11:41616460:41625593:1 gene:Vigun11g225900.v1.2 transcript:Vigun11g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLPCLSSSLYTHTLALTLSHRYHCRLSPRSSFILTPHRRSHFLTPCSSLRQTQRDPKKQLGAKINTAPSDSALKRLFSPNDSQGDGDAADNTDSRSDFQDATAFKGTLLAGFLLLGVIGGFASVGYIYRDQINSFLNQLSLFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSVVGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFIPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESELSNIFGGNSQLLTLGLGLLATALAATYVTRLAKDAIKDIE >Vigun11g225900.3.v1.2 pep primary_assembly:ASM411807v1:11:41616460:41625593:1 gene:Vigun11g225900.v1.2 transcript:Vigun11g225900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLPCLSSSLYTHTLALTLSHRYHCRLSPRSSFILTPHRRSHFLTPCSSLRQTQRDPKKQLGAKINTAPSDSALKRLFSPNDSQGDGDAADNTDSRSDFQDATAFKGTLLAGFLLLGVIGGFASVGYIYRDQINSFLNQLSLFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSVVGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFIPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESELSNIFGGNSQLLTLGLGLLATALAATYVTRLAKDAIKDIE >Vigun11g225900.2.v1.2 pep primary_assembly:ASM411807v1:11:41616460:41618847:1 gene:Vigun11g225900.v1.2 transcript:Vigun11g225900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLPCLSSSLYTHTLALTLSHRYHCRLSPRSSFILTPHRRSHFLTPCSSLRQTQRDPKKQLGAKINTAPSDSALKRLFSPNDSQGDGDAADNTDSRSDFQDATAFKGTLLAGFLLLGVIGGFASVGYIYRDQINSFLNQLSLFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSVVGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFIPYVLGRYGAYC >Vigun01g032700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4180684:4181005:1 gene:Vigun01g032700.v1.2 transcript:Vigun01g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKINKIVLLGMICIGLVVCSGRDIEKNGKSDGNVVLLCFIDLAHCVDDHVCDQKCKSANYLAGGRCKYKTCCCRG >Vigun09g102866.1.v1.2 pep primary_assembly:ASM411807v1:9:17812031:17813463:-1 gene:Vigun09g102866.v1.2 transcript:Vigun09g102866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGLLALGYTVVVSYKRASTTVNNGKVTISYETIDVLGGGVAKQSATSAEGVPFRFTNALDIHPKTDEVYFTDSSILFQRKRSKWVWED >Vigun05g244600.1.v1.2 pep primary_assembly:ASM411807v1:5:43768127:43770919:-1 gene:Vigun05g244600.v1.2 transcript:Vigun05g244600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPSSFFHMVSLFSFLLFSIVIRSSTAQGPPSPGYYPSSKISPVTFAEGFKNLWGPQHQKLDQDSLTIWLDTYTGSGFKSLHSYRSGYFGAAIKLQPGYTAGVITSLYLSNNQDYPGNHDEIDIEFLGTTPDKPYVLQTNVYIRGSGDGNIIGREMRFHLWFDPTEDFHNYAILWEPSEIMFLVDDVPIRRYPRKSDATFPTREMHVYGSIWDASSWATEGGKYKADYKYQPFIGEYKNFKLEGCTTEDSTSCQPPSASPSGYGSLSPQQLAAMQWVQNNHLVYDYCHDPGRDHTLTPEC >Vigun05g244600.2.v1.2 pep primary_assembly:ASM411807v1:5:43768127:43770919:-1 gene:Vigun05g244600.v1.2 transcript:Vigun05g244600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYIESYPNSHHILLNITSGPPSPGYYPSSKISPVTFAEGFKNLWGPQHQKLDQDSLTIWLDTYTGSGFKSLHSYRSGYFGAAIKLQPGYTAGVITSLYLSNNQDYPGNHDEIDIEFLGTTPDKPYVLQTNVYIRGSGDGNIIGREMRFHLWFDPTEDFHNYAILWEPSEIMFLVDDVPIRRYPRKSDATFPTREMHVYGSIWDASSWATEGGKYKADYKYQPFIGEYKNFKLEGCTTEDSTSCQPPSASPSGYGSLSPQQLAAMQWVQNNHLVYDYCHDPGRDHTLTPEC >Vigun07g293600.1.v1.2 pep primary_assembly:ASM411807v1:7:40488832:40493638:1 gene:Vigun07g293600.v1.2 transcript:Vigun07g293600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVSTCTLPSQFPPPYPCRRLCRLTVVCSSFRRRSRSRRRHNKVSLPATTSTSYSSEHKFEAVIDLTPLTALQSDIRRFILSGRDAYLDLQTLFTLDHNRRLVVSCRPSTVHFLGTSAALTLVTFSVFGVLAQLISRFSSWRRNASYNRPLVVRRDRSLGGKEVVVALGQTSNSNPLSPTVRDSVKRSAKNKVRFQRKLPEWWPTVVNANGYVFDAGEQEEYKREAYRVVRAITNSRLAGNDIMESDIIQLRQLCRTSGVQVSVEPTNVRDNLYRASVNFVLNVCSRAPSYSTSIDINGEDASHFLAGFAENIGLQNVRAATIVSAAVAARTRSCLLQAWALEMQGKQVEAMVELSKICLLLRIFPPEESSPEMEMVSRGLEKHLKLEQRQHLMFLFGKVCGEDSHRIAREALGLMHSQNGCSDQLDDTTLPEN >Vigun01g137900.1.v1.2 pep primary_assembly:ASM411807v1:1:31819424:31828739:-1 gene:Vigun01g137900.v1.2 transcript:Vigun01g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSFRLNKTSPLCATHWNPTKRKLQHPSVVAMLRRPSDTITEQTTKTNAYKDNLFDRLAINHLSKNVQEATGLSNNKSGYESLVEAATVAKQKFDPIQQQEVIIQALDRAFPRPILSFIKRVVPPASKFSREYFAVFTTLFFAWLVGPCKVRESKVNGRSEKNVVYIPKCRFLEETNCVGMCINLCKMPSQTFIKNSLGMSLNMVPNFDDMSCEMIFGEDPPASTDDPALKQPCYKLCKAYKNHGTNCLS >Vigun09g109000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:23034431:23034772:1 gene:Vigun09g109000.v1.2 transcript:Vigun09g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGGFTDDTITLHSTSRETLLGLLLRSPVRSRQGCIDPLHGREVPLAGYYRQRFQPPPASTPVSTLAPIRLQSSTLLFRERERCTRTLRLVTTVPATTIGSATITRPHSFA >Vigun06g201900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31683058:31685284:1 gene:Vigun06g201900.v1.2 transcript:Vigun06g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEYIAGDLKSIEDAVTETENCNGCFDCNICLDFAHEPVVTLCGHLYCWPCIYKWLLVQHDSLTTAERPQCPVCKAVISNSTMVPLYGRGNATAEEKTSCDVLIPPRPPASCAQVLVAAPSGRGQRLPYRNPYQGHYFSSRSYQQEDATSQTLNLGSHHHHPVTGMFGEMVYSRVFGNPENLYAYPNSYQLMGSSTPRLRRQEMQAHKSLNRISIFLFCCFLICLLVF >Vigun06g201900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31683027:31685284:1 gene:Vigun06g201900.v1.2 transcript:Vigun06g201900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEYIAGDLKSIEDAVTETENCNGCFDCNICLDFAHEPVVTLCGHLYCWPCIYKWLLVQHDSLTTAERPQCPVCKAVISNSTMVPLYGRGNATAEEKTSCDVLIPPRPPASCAQVLVAAPSGRGQRLPYRNPYQGHYFSSRSYQQEDATSQTLNLGSHHHHPVTGMFGEMVYSRVFGNPENLYAYPNSYQLMGSSTPRLRRQEMQAHKSLNRISIFLFCCFLICLLVF >Vigun07g051400.1.v1.2 pep primary_assembly:ASM411807v1:7:5391122:5396134:1 gene:Vigun07g051400.v1.2 transcript:Vigun07g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQKILLHHLNPSSSTHANESSSLSASACAAGDSAAYHRTSTFGDDVVIVAAYRTALCKSKRGGFKDTHADDLLAPVLKAVIERTNVNPSEVGDIVVGSVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTANPMAWEGSVNPKVKMFEQAQNCLLPMGITSENVANRFGVSRKEQDLAAVESHRRAAAATASGRFKDEIIPVSTKIVDPKSGEEKPVTISVDDGIRPGTTVSDLGRLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSIAQQKGLPILGVFRTFSAVGVDPAIMGVGPAAAIPVAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKKRGRDCRFGVISMCIGTGMGAAAVFESGDCADELCNARKVDNLLLSKDAR >Vigun09g206800.1.v1.2 pep primary_assembly:ASM411807v1:9:38159783:38162277:1 gene:Vigun09g206800.v1.2 transcript:Vigun09g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDAFE >Vigun01g189200.4.v1.2 pep primary_assembly:ASM411807v1:1:36847119:36849608:-1 gene:Vigun01g189200.v1.2 transcript:Vigun01g189200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTIDTLCSDKTMKGRKAPPSHYTFKIKSFSWLSKASVQKCTSEEFEAGGYKWSLSIYPDGNKKEGGKDHVSIDLVLVDTSSRPLDWEINAIVNFSAYNFIDDEYHITQDTDVRRFHVLKTECGVAKFIDRSTFYDSSNGYLMDDTCVFGVEVFVVKSTNRGDCLSMFEGPIPCSYTWKFTNFSSAKLDKYESETFVGGNYKWKLLLYPNGIVEGKGNSVSLFLVLDVSTLPANTKLVVENIVRAKNQKSDERHLQTKGEAILS >Vigun01g189200.1.v1.2 pep primary_assembly:ASM411807v1:1:36847119:36849608:-1 gene:Vigun01g189200.v1.2 transcript:Vigun01g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTIDTLCSDKTMKGRKAPPSHYTFKIKSFSWLSKASVQKCTSEEFEAGGYKWVKLLDEQRHYRSLSIYPDGNKKEGGKDHVSIDLVLVDTSSRPLDWEINAIVNFSAYNFIDDEYHITQDTDVRRFHVLKTECGVAKFIDRSTFYDSSNGYLMDDTCVFGVEVFVVKSTNRGDCLSMFEGPIPCSYTWKFTNFSSAKLDKYESETFVGGNYKWKLLLYPNGIVEGKGNSVSLFLVLDVSTLPANTKLVVENIVRAKNQKSDERHLQTKACRKFSNSNAAWGSRQLVALAKLKDPNSGFLVDDTCVFETEFTILGVMMPRTD >Vigun01g189200.3.v1.2 pep primary_assembly:ASM411807v1:1:36847119:36849608:-1 gene:Vigun01g189200.v1.2 transcript:Vigun01g189200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTIDTLCSDKTMKGRKAPPSHYTFKIKSFSWLSKASVQKCTSEEFEAGGYKWVKLLDEQRHYRSLSIYPDGNKKEGGKDHVSIDLVLVDTSSRPLDWEINAIVNFSAYNFIDDEYHITQDTDVRRFHVLKTECGVAKFIDRSTFYDSSNGYLMDDTCVFGVEVFVVKSTNRGDCLSMFEGPIPCSYTWKFTNFSSAKLDKYESETFVGGNYKWKLLLYPNGIVEGKGNSVSLFLVLDVSTLPANTKLVVENIVRAKNQKSDERHLQTKGEAILS >Vigun01g189200.5.v1.2 pep primary_assembly:ASM411807v1:1:36847119:36849608:-1 gene:Vigun01g189200.v1.2 transcript:Vigun01g189200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTIDTLCSDKTMKGRKAPPSHYTFKIKSFSWLSKASVQKCTSEEFEAGGYKWSLSIYPDGNKKEGGKDHVSIDLVLVDTSSRPLDWEINAIVNFSAYNFIDDEYHITQDVRRFHVLKTECGVAKFIDRSTFYDSSNGYLMDDTCVFGVEVFVVKSTNRGDCLSMFEGPIPCSYTWKFTNFSSAKLDKYESETFVGGNYKWKLLLYPNGIVEGKGNSVSLFLVLDVSTLPANTKLVVENIVRAKNQKSDERHLQTKACRKFSNSNAAWGSRQLVALAKLKDPNSGFLVDDTCVFETEFTILGVMMPRTD >Vigun01g189200.2.v1.2 pep primary_assembly:ASM411807v1:1:36847119:36849608:-1 gene:Vigun01g189200.v1.2 transcript:Vigun01g189200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTIDTLCSDKTMKGRKAPPSHYTFKIKSFSWLSKASVQKCTSEEFEAGGYKWSLSIYPDGNKKEGGKDHVSIDLVLVDTSSRPLDWEINAIVNFSAYNFIDDEYHITQDTDVRRFHVLKTECGVAKFIDRSTFYDSSNGYLMDDTCVFGVEVFVVKSTNRGDCLSMFEGPIPCSYTWKFTNFSSAKLDKYESETFVGGNYKWKLLLYPNGIVEGKGNSVSLFLVLDVSTLPANTKLVVENIVRAKNQKSDERHLQTKACRKFSNSNAAWGSRQLVALAKLKDPNSGFLVDDTCVFETEFTILGVMMPRTD >Vigun06g069300.1.v1.2 pep primary_assembly:ASM411807v1:6:19892873:19901574:-1 gene:Vigun06g069300.v1.2 transcript:Vigun06g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIPFKNLHSREYSGHKKKVHSVAWNCIGTKLASGSVDQTARIWHIEPHGHGKVKDMELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHRRKFNYEVNEIAWNMTGEMFFLTTGNGTVEVLSYPSLRPLDTLMAHTAGCYCIAIDPVGRYFAVGSADSLVSLWNISEMLCVRTFTKLEWPVRTIGFNYTGDLIASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFENA >Vigun01g141900.2.v1.2 pep primary_assembly:ASM411807v1:1:32294034:32301320:1 gene:Vigun01g141900.v1.2 transcript:Vigun01g141900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRIFLLHVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPLTITKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLEIRHFYHNSLHVTDNEIQTMPWATILEKVVLLQRSQQLCVVKDLSAHDIVMRLMRKENYLIGMLNKGVLAFPISPWFPGAGPTMTSGSSGTQNRVILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLQRRLMVVGFAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFRHRINSSVLHASDYLKQFPAPIISIIAKFISFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITSELLVLDAEGAMSMVVQHTHYLPKRWRGKESTESVRVEFATLFQYTGMMLLEEMASIFLTPYLLLFIVPKRVDDILLFIADFTVNVEGVGHVCSFSTFDFQEHGNSHYGSPCNAPRSRRSSQGKMEKSLLSFQSSYPSWEPSAQGKQFLLNLKKFREEKLPVHGNIHAASPPRMWRGISNTGSNIGDRNRFMSREMPYSTFLTANHLGSLWVMEANQHSHPYLLDWYYTSRSSDVNQREDPSEAFGIIEHDSREHWIPSNMTQNESGYEEYSNENRRGWAQSHLVTSTSVPIFRESSIQDQSYNELTPSSRSHWWARSHAQQGGQNQTSFFEPPDFNEERYNYYDKFSDRGSEEEDREQRLYSRDSHRLSRTYTDDLGAGEINLHFDDIYSRPPETPPASF >Vigun01g141900.1.v1.2 pep primary_assembly:ASM411807v1:1:32293518:32301357:1 gene:Vigun01g141900.v1.2 transcript:Vigun01g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRIFLLHVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPLTITKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLEIRHFYHNSLHVTDNEIQTMPWATILEKVVLLQRSQQLCVVKDLSAHDIVMRLMRKENYLIGMLNKGVLAFPISPWFPGAGPTMTSGSSGTQNRVILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLQRRLMVVGFAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFRHRINSSVLHASDYLKQFPAPIISIIAKFISFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITSELLVLDAEGAMSMVVQHTHYLPKRWRGKESTESVRVEFATLFQYTGMMLLEEMASIFLTPYLLLFIVPKRVDDILLFIADFTVNVEGVGHVCSFSTFDFQEHGNSHYGSPCNAPRSRRSSQGKMEKSLLSFQSSYPSWEPSAQGKQFLLNLKKFREEKLPVHGNIHAASPPRMWRGISNTGSNIGDRNRFMSREMPYSTFLTANHLGSLWVMEANQHSHPYLLDWYYTSRSSDVNQREDPSEAFGIIEHDSREHWIPSNMTQNESGYEEYSNENRRGWAQSHLVTSTSVPIFRESSIQDQSYNELTPSSRSHWWARSHAQQGGQNQTSFFEPPDFNEERYNYYDKFSDRGSEEEDREQRLYSRDSHRLSRTYTDDLGAGEINLHFDDIYSRPPETPPASF >Vigun04g119700.1.v1.2 pep primary_assembly:ASM411807v1:4:30695540:30697503:-1 gene:Vigun04g119700.v1.2 transcript:Vigun04g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSKRWHSWLIEHVRSVETFAIVVSSRSDKVNRINLLYRIVKEKEFWIRSRKLRRYIQDENSRQAKAVKEAKLMIAATKEKVKCLVQRLQNEKDVKVQDEVVTSPEESCSL >Vigun08g015500.1.v1.2 pep primary_assembly:ASM411807v1:8:1300765:1302067:-1 gene:Vigun08g015500.v1.2 transcript:Vigun08g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVWTTKQNKKFENALAIYDKDTPDRWQNLARAVGGKTVEEVKRHYEMLVDDLKQIEEGRVPLPNYRKVAAAASEAGTIRGYSYINEEQRMKVLSLR >Vigun08g002200.3.v1.2 pep primary_assembly:ASM411807v1:8:257337:261395:-1 gene:Vigun08g002200.v1.2 transcript:Vigun08g002200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKEHINQQTDPAAVLRDGKEILLQGFNWESHKYNWWNNLETKVPDIAKSGFTSVWLPPPYHSFSPEGYTPQNLYSLNTKYGSERQLKALLQKMKQYRVRAMADIVINHRTGTTQGHGGSYNRFDGIPLAWDERAVTSCTGGLGNRSTGAVFQGFPNIDHTQDFVRKDIINWLRWLRYDVGFQDFRFDFAKGFSAKYVREYIEGANPLFAVGEYWDTCNYKGSSLDYNQDSHRQRIVNWIDGTGQLSTAFDFTTKGILQEAVRGEFWRLRDTQGKPPGVMGWWPSRSVTFIDNHDTGSTQGHWPFPKDHIMEGYAYILTHPGVPTVFYDHFYDWGNSIRDQIVKLIDIRKRHGVQSRSPIRILEAKHDLYSAIIGEKLCMKIGSGSWCPSGREWTLSTSGHNYAVWHKY >Vigun08g002200.4.v1.2 pep primary_assembly:ASM411807v1:8:257337:261394:-1 gene:Vigun08g002200.v1.2 transcript:Vigun08g002200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKEHINQQTDPAAVLRDGKEILLQGFNWESHKYNWWNNLETKVPDIAKSGFTSVWLPPPYHSFSPEGYTPQNLYSLNTKYGSERQLKALLQKMKQYRVRAMADIVINHRTGTTQGHGGSYNRFDGIPLAWDERAVTSCTGGLGNRSTGAVFQGFPNIDHTQDFVRKDIINWLRWLRYDVGFQDFRFDFAKGFSAKYVREYIEGANPLFAVGEYWDTCNYKGSSLDYNQDSHRQRIVNWIDGTGQLSTAFDFTTKGILQEAVRGEFWRLRDTQGKPPGVMGWWPSRSVTFIDNHDTGSTQGHWPFPKDHIMEGYAYILTHPGVPTVFYDHFYDWGNSIRDQIVKLIDIRKRHGVQSRSPIRILEAKHDLYSAIIGEKLCMKIGSGSWCPSGREWTLSTSGHNYAVWHKY >Vigun03g182000.8.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.10.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.18.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359200:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.15.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23359040:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.4.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23359079:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.14.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.11.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.13.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.16.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.22.v1.2 pep primary_assembly:ASM411807v1:3:23351930:23359079:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.12.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.21.v1.2 pep primary_assembly:ASM411807v1:3:23351943:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.20.v1.2 pep primary_assembly:ASM411807v1:3:23351930:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.9.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVG >Vigun03g182000.17.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.19.v1.2 pep primary_assembly:ASM411807v1:3:23351943:23359200:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.6.v1.2 pep primary_assembly:ASM411807v1:3:23352196:23359092:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.1.v1.2 pep primary_assembly:ASM411807v1:3:23351727:23363629:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPTNHATESTVEGRFRIFFFLPLCSSSFSKHFSFFLFAFSHKFCVSLLMGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEEVIPGVAEMFSNLFIGSNFTGKNNSARKDANFVVFEKVQQAHDVPSGSYSEG >Vigun03g182000.23.v1.2 pep primary_assembly:ASM411807v1:3:23351943:23359079:1 gene:Vigun03g182000.v1.2 transcript:Vigun03g182000.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKGGSKISSEYQIMVELFAAKDLVGTKLIGNPNPYAVITCGNENRFSSIIRGSRNPLWGEDFNFCVHQLPVQIKVAIYDWEISTTSVPLGSVTVPVESEGSTRPSWHTLGRPSGQVTFGIKTQASGNASRINGYGGGNSQRRMPPLETHGVPVVHQKPGPLQTIFGLHPNEVVDHTHTCALETLFLYQGHMYISARHICFHSTVFKEMKVVIPFEDIDKIQTSQHALINPAITIVLRQGAGGRGVPPLKSRDDENKNKHYITTDDDGLCQNVSPATYMFASFGNRNRVLKDLQRAEKNFNEILEVEKENAVPELCAYSSSVRGSKMLDKAPEVSMPKTGKLQPIIQGMKLFLG >Vigun05g111700.1.v1.2 pep primary_assembly:ASM411807v1:5:11698581:11699593:-1 gene:Vigun05g111700.v1.2 transcript:Vigun05g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEEVTLLGVIGSPFVCRVEIALKLKGVEYKYVEENLSNKSEELLKYNPVHKKVPIFVHNGKSIGESLVIVEYIDDTWKNNPILPSDSYQRALARFWSKFIDDKVVRSSWKSVFTVDEKWRLKNVAEAYESLQFLENEIKEKKFFGGEKLGLVDISAVYVAYWIPLIQEIGGMELLTTQKFPNLYRWSQEFVNHPIVKECLPPRDPVIAIFKGRYGGRFVSK >Vigun06g137700.3.v1.2 pep primary_assembly:ASM411807v1:6:26316803:26318309:-1 gene:Vigun06g137700.v1.2 transcript:Vigun06g137700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFLTRTVWWAIPVIWLPVVCYFVYSSVLMGLSCPHLALLVVVGIFIWTLLEYSLHRFLFHIKTKTYWGNTLHYLLHGCHHKHPMDGLRLVFPPAATAILLMPFWNLVKLMATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPQTEVPRNLKKYHLNHHFRIQDKGFGITSSLWDKVFGTLPPSKIDAKSM >Vigun06g137700.1.v1.2 pep primary_assembly:ASM411807v1:6:26316579:26323195:-1 gene:Vigun06g137700.v1.2 transcript:Vigun06g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNFEVDLNKALVFQVGHLGEAYEEWVHQPIVSKEGPRYFENEILEFLTRTVWWAIPVIWLPVVCYFVYSSVLMGLSCPHLALLVVVGIFIWTLLEYSLHRFLFHIKTKTYWGNTLHYLLHGCHHKHPMDGLRLVFPPAATAILLMPFWNLVKLMATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPQTEVPRNLKKYHLNHHFRIQDKGFGITSSLWDKVFGTLPPSKIDAKSM >Vigun06g137700.2.v1.2 pep primary_assembly:ASM411807v1:6:26316573:26323437:-1 gene:Vigun06g137700.v1.2 transcript:Vigun06g137700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNFEVDLNKALVFQVGHLGEAYEEWVHQPIVSKEGPRYFENEILEFLTRTVWWAIPVIWLPVVCYFVYSSVLMGLSCPHLALLVVVGIFIWTLLEYSLHRFLFHIKTKTYWGNTLHYLLHGCHHKHPMDGLRLVFPPAATAILLMPFWNLVKLMATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPQTEVPRNLKKYHLNHHFRIQDKGFGITSSLWDKVFGTLPPSKIDAKSM >Vigun08g011400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:999488:1000745:-1 gene:Vigun08g011400.v1.2 transcript:Vigun08g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNPCLPSSKPNTKMASFPMPHLLPCTSLPHTTTTAAAANIFLPSSLFRRRRTLHSLRCSASDKPQDDAVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVVYHDAVSGTSEVGCVGEVVKHERLVDDRFFLVCKGQERFRVNDVVRSKPYLVGRVTWLEDRPPENDAGDDAEALAREVEGYMKDVIRLSNRLGGKGEKEMGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAKRLKREKETLKNTLNYLTAASAVKDAFPSS >Vigun07g044766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4524052:4524432:-1 gene:Vigun07g044766.v1.2 transcript:Vigun07g044766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLQIPHVYQLPLVKHYYCPCRGRFQKHRLRSRRPRLGKWAKGEGSRCLYLPFFLRVASSRSEVNTVVVVGGMLRTWTGLAAASPATPVDTPSAVRRLNGSSQSRSLQPFPPAASPGVSPATFS >Vigun08g158000.3.v1.2 pep primary_assembly:ASM411807v1:8:33035598:33045376:1 gene:Vigun08g158000.v1.2 transcript:Vigun08g158000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDRTSEFRLLSETLKKIGGGTSSSVQPETAPSTSHDSYSRSEFNRKASRIGLGINETSQKVARLAQLARRSSMFNDPAVEIQELTALIKNEITALNSALSDLQAIQNMDMADGSYSQDRIVHSTAVCDDLKSKLMGATKHLQDVLTARTENIKAHESRKQIFSKNASRENPFQHQPKPATEPPPWLNSVNAYDNLQQESVLSSNGAPVSNQLRRRLAVDNTPTQQMEMSMVQRVIPRQENYTQSRSTALHNVESTITELSGIFSHLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHLNRISSNRWLLIKIFAVLILFLLIFIFFVA >Vigun08g158000.4.v1.2 pep primary_assembly:ASM411807v1:8:33035598:33045039:1 gene:Vigun08g158000.v1.2 transcript:Vigun08g158000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDRTSEFRLLSETLKKIGGGTSSSVQPETAPSTSHDSYSRSEFNRKASRIGLGINETSQKVARLAQLARRSSMFNDPAVEIQELTALIKNEITALNSALSDLQAIQNMDMADGSYSQDRIVHSTAVCDDLKSKLMGATKHLQDVLTARTENIKAHESRKQIFSKNASRENPFQHQPKPATEPPPWLNSVNAYDNLQQESVLSSNGAPVSNQLRRRLAVDNTPTQQMEMSMVQRVIPRQENYTQSRSTALHNVESTITELSGIFSHLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHLNRISSNRWLLIKIFAVLILFLLIFIFFVA >Vigun08g158000.2.v1.2 pep primary_assembly:ASM411807v1:8:33035598:33045039:1 gene:Vigun08g158000.v1.2 transcript:Vigun08g158000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDRTSEFRLLSETLKKIGGGTSSSVQPETAPSTSHDSYSRSEFNRKASRIGLGINETSQKVARLAQLARRSSMFNDPAVEIQELTALIKNEITALNSALSDLQAIQNMDMADGSYSQDRIVHSTAVCDDLKSKLMGATKHLQDVLTARTENIKAHESRKQIFSKNASRENPFQHQPKPATEPPPWLNSVNAYDNLQQESVLSSNGAPVSNQLRRRLAVDNTPTQQMEMSMVQRVIPRQENYTQSRSTALHNVESTITELSGIFSHLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHLNRISSNRWLLIKIFAVLILFLLIFIFFVA >Vigun08g158000.1.v1.2 pep primary_assembly:ASM411807v1:8:33035598:33042009:1 gene:Vigun08g158000.v1.2 transcript:Vigun08g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRDRTSEFRLLSETLKKIGGGTSSSVQPETAPSTSHDSYSRSEFNRKASRIGLGINETSQKVARLAQLARRSSMFNDPAVEIQELTALIKNEITALNSALSDLQAIQNMDMADGSYSQDRIVHSTAVCDDLKSKLMGATKHLQDVLTARTENIKAHESRKQIFSKNASRENPFQHQPKPATEPPPWLNSVNAYDNLQQESVLSSNGAPVSNQLRRRLAVDNTPTQQMEMSMVQRVIPRQENYTQSRSTALHNVESTITELSGIFSHLATMVAHQGELAIRIDDNMDESLANVEGAHSSLLRHLNRISSNRWLLIKIFAVLILFLLIFIFFVA >Vigun07g141200.1.v1.2 pep primary_assembly:ASM411807v1:7:25136462:25142549:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEENVAKTCNTKDNASQTWTLCTHAFYDLTHVSPVVFMFLLKEYYYYGTCKATAKFRALQNQIHLVLHNDPKPGPATFIVHCMYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLEVKDLVAHLLVDVMRGQIFQDEKIVMKLVEIFDIKLTNIEKAMCQLKEKHDLSCGSGKELVEEYIVTLVKSQWYMTAVTLIEQFSIYHYGQSFLLDMIQSNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.6.v1.2 pep primary_assembly:ASM411807v1:7:25136504:25142614:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLEVKDLVAHLLVDVMRGQIFQDEKIVMKLVEIFDIKLTNIEKAMCQLKEKHDLSCGSGKELVEEYIVTLSNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.7.v1.2 pep primary_assembly:ASM411807v1:7:25136504:25142614:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLESNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.4.v1.2 pep primary_assembly:ASM411807v1:7:25136504:25142614:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEENVAKTCNTKDNASQTWTLCTHAFYDLTHVSPVVFMFLLKEYYYYGTCKATAKFRALQNQIHLVLHNDPKPGPATFIVHCMYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLEVKDLVAHLLVDVMRGQIFQDEKIVMKLVEIFDIKLTNIEKAMCQLKEKHDLSCGSGKELVEEYIVTLSNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.5.v1.2 pep primary_assembly:ASM411807v1:7:25136504:25142614:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEENVAKTCNTKDNASQTWTLCTHAFYDLTHVSPVVFMFLLKEYYYYGTCKATAKFRALQNQIHLVLHNDPKPGPATFIVHCMYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLESNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.2.v1.2 pep primary_assembly:ASM411807v1:7:25136503:25142516:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLEVKDLVAHLLVDVMRGQIFQDEKIVMKLVEIFDIKLTNIEKAMCQLKEKHDLSCGSGKELVEEYIVTLVKSQWYMTAVTLIEQFSIYHYGQSFLLDMIQSNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun07g141200.3.v1.2 pep primary_assembly:ASM411807v1:7:25136504:25142614:-1 gene:Vigun07g141200.v1.2 transcript:Vigun07g141200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEENVAKTCNTKDNASQTWTLCTHAFYDLTHVSPVVFMFLLKEYYYYGTCKATAKFRALQNQIHLVLHNDPKPGPATFIVHCMYVSPLFEDHSQGFTHMIVSALRRFLKRSTTTENSLEVKDLVAHLLVDVMRGQIFQDEKIVMKLVEIFDIKLTNIEKAMCQLKEKHDLSCGSGKELVEEYIVTLVKSQWYMTAVTLIEQFSIYHYGQSFLLDMIQSNQFKAAERWATFMGKPMLSILVNEFTKRNMLKNAYEIIKTNNLKQDFPDVYKRSKESSLKKLAEKGCWDVAEAKVNNDMHLMEYLVYLAMEAGYTEKVDELCHRYSLERFLDTEVPETSNLQGRYLCLDELLVENIIWVDEAETLFDATRHIEGFKVVGLDCEWKPNYVKGSKPNKVSILQIASEKMVFIFDLIKLHKEVPDILDDCLSRILLSPRILKLGYNFQCDVRQLAHSYGELRCFKNYEMLLDIQNIFKELRGGLAGLTEKILGASLNKTRRNSNWEQRPLTPNQLEYAALDAVVLVHIFRHLPGHGHEKPEWKSFIVSRTENGKKSKKHTPKVVDTEMEASKH >Vigun05g180900.3.v1.2 pep primary_assembly:ASM411807v1:5:34503250:34508577:-1 gene:Vigun05g180900.v1.2 transcript:Vigun05g180900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIHTHMNPPQFVWPNPPQSKLFDLEFPTQSFGKRDFALATQEYGINGVSAIFDIGNRIGQAGADFGASLNGLVQQFFRSLPVPVPFKHEESSVRVDGGDKGWQRGGVGIAVPEDLGLGSLSERLKNHGFAESVSGGSGGSAEEEGGGGFNIGSIGLLGRRQGIINFTSTYDSRTQEVEGSLVARGDLWRLEASHGGSTSGNENSSLFLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPVACSFVDLQFPNGQLTYVSGEGLSTSAFLPVCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFSLGLAQALAWKRSGLMVRPSVQFSLMVAFM >Vigun05g180900.2.v1.2 pep primary_assembly:ASM411807v1:5:34501521:34508577:-1 gene:Vigun05g180900.v1.2 transcript:Vigun05g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIHTHMNPPQFVWPNPPQSKLFDLEFPTQSFGKRDFALATQEYGINGVSAIFDIGNRIGQAGADFGASLNGLVQQFFRSLPVPVPFKHEESSVRVDGGDKGWQRGGVGIAVPEDLGLGSLSERLKNHGFAESVSGGSGGSAEEEGGGGFNIGSIGLLGRRQGIINFTSTYDSRTQEVEGSLVARGDLWRLEASHGGSTSGNENSSLFLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPVACSFVDLQFPNGQLTYVSGEGLSTSAFLPVCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFSLGLAQALAWKRSGLMVRPSVQFSLCPTLGGSNPGLRAELIHSVKEKLNLICGCAFMTYPSAFASVSIGRSKWNGNVGNSGLVLRVDVPLSTVGRPSFSVQINSGIEF >Vigun05g180900.1.v1.2 pep primary_assembly:ASM411807v1:5:34501502:34508577:-1 gene:Vigun05g180900.v1.2 transcript:Vigun05g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIHTHMNPPQFVWPNPPQSKLFDLEFPTQSFGKRDFALATQEYGINGVSAIFDIGNRIGQAGADFGASLNGLVQQFFRSLPVPVPFKHEESSVRVDGGDKGWQRGGVGIAVPEDLGLGSLSERLKNHGFAESVSGGSGGSAEEEGGGGFNIGSIGLLGRRQGIINFTSTYDSRTQEVEGSLVARGDLWRLEASHGGSTSGNENSSLFLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPVACSFVDLQFPNGQLTYVSGEGLSTSAFLPVCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFSLGLAQALAWKRSGLMVRPSVQFSLCPTLGGSNPGLRAELIHSVKEKLNLICGCAFMTYPSAFASVSIGRSKWNGNVGNSGLVLRVDVPLSTVGRPSFSVQINSGIEF >Vigun06g095800.1.v1.2 pep primary_assembly:ASM411807v1:6:22693657:22698631:1 gene:Vigun06g095800.v1.2 transcript:Vigun06g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSLDSFTRAKKWVQELQKQGNPNMVMALAGNKADLEDKRKVTAEEARLYAEENGLFFMETSAKTASNVNDIFYEIAKRLPRAQPAQNPTGMVLVDRPAEGTRAPSCCS >Vigun01g104100.4.v1.2 pep primary_assembly:ASM411807v1:1:27400871:27404979:-1 gene:Vigun01g104100.v1.2 transcript:Vigun01g104100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSVDEWREYFVSANSDIFEIIENAIVVAATDCPKEFRVKRDAIAERLFCSRLTRCAGCDRVELVARGVGKESDDEGCKNGVERNGAEFAGASKESKVNEGGDEDAEIDVNGVSNYSFGEAEALTDEMDEETQYMGEILRIKDVLLNREEESDSVLFESLRRLQLMELTVDSLKATEIGKAVNPLRKRGSKEIRELAKKLIDGWKDMVDEWVKATATTAIAASDEGTPDSVNPSVVDDEEEEGLPSPPMDEGAFFVAPTGSMELSQFFDGMDDDGNPRPSGPSHKNRDNNGRRPAIPNREDKSQLAKRNMAVVPVRPNKPVTSDSGPGRPLPSNNLQRKSNVEPKMQQKIENNSLARRPPIGHLDKPMRSDEAAVQVKLEATKRKLQERYQQLETAKRQRTIQFMEINDLPKHVNYRNPHAKPGFHNRNRANTRR >Vigun01g104100.5.v1.2 pep primary_assembly:ASM411807v1:1:27400871:27404941:-1 gene:Vigun01g104100.v1.2 transcript:Vigun01g104100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSVDEWREYFVSANSDIFEIIENAIVVAATDCPKEFRVKRDAIAERLFCSRLTRCAGCDRVELVARGVGKESDDEGCKNGVERNGAEFAGASKESKVNEGGDEDAEIDVNGVSNYSFGEAEALTDEMDEETQYMGEILRIKDVLLNREEESDSVLFESLRRLQLMELTVDSLKATEIGKAVNPLRKRGSKEIRELAKKLIDGWKDMVDEWVKATATTAIAASDEGTPDSVNPSVVDDEEEEGLPSPPMDEGAFFVAPTGSMELSQFFDGMDDDGNPRPSGPSHKNRDNNGRRPAIPNREDKSQLAKRNMAVVPVRPNKPVTSDSGPGRPLPSNNLQRKSNVEPKMQQKIENNSLARRPPIGHLDKPMRSDEAAVQVKLEATKRKLQERYQQLETAKRQRTIQFMEINDLPKHVNYRNPHAKPGFHNRNRANTRR >Vigun01g104100.1.v1.2 pep primary_assembly:ASM411807v1:1:27401022:27404927:-1 gene:Vigun01g104100.v1.2 transcript:Vigun01g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSVDEWREYFVSANSDIFEIIENAIVVAATDCPKEFRVKRDAIAERLFCSRLTRCAGCDRVELVARGVGKESDDEGCKNGVERNGAEFAGASKESKVNEGGDEDAEIDVNGVSNYSFGEAEALTDEMDEETQYMGEILRIKDVLLNREEESDSVLFESLRRLQLMELTVDSLKATEIGKAVNPLRKRGSKEIRELAKKLIDGWKDMVDEWVKATATTAIAASDEGTPDSVNPSVVDDEEEEGLPSPPMDEGAFFVAPTGSMELSQFFDGMDDDGNPRPSGPSHKNRDNNGRRPAIPNREDKSQLAKRNMAVVPVRPNKPVTSDSGPGRPLPSNNLQRKSNVEPKMQQKIENNSLARRPPIGHLDKPMRSDEAAVQVKLEATKRKLQERYQQLETAKRQRTIQFMEINDLPKHVNYRNPHAKPGFHNRNRANTRR >Vigun07g184000.2.v1.2 pep primary_assembly:ASM411807v1:7:30100501:30112457:-1 gene:Vigun07g184000.v1.2 transcript:Vigun07g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRKNSWPTEEYISKSTLQLFDYDSSAPPEQAWRRRLNSHANLLKEFRVTFMEAIKMVRLGIRIWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPSLCEASPVMANQFSIFISREGGNKNFSSVLAPGQHEGLGSSKKPDDQGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGSSHLSGDHVNEPFQAEDGVSGVLLYHKTAKGNPPVTFSIAACETQNVSVSVLPSFGLSEGSSITAKGMWSKMVKDGQFDQENFNSGPSMPSSLGETLCAAVAATAWVEPHGKCTVAFSLAWSSPKVKFVKGCTFNRRYTKFYGTSEKAAVDLAHDALTNYSRWEEEIEKWQNPILKDETLPEWYKFTLFNELYFLVAGGTIWIDSPLLSSSMRNGQDRARELENAVVKGTEDKINGRKRTVVDRTTDSTYESTATTGNNCVDENLYGHDNDDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPRIELNIQRDFARAVLCEDGRRVKFLAEGNWGIRKVYGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATGDLQFGIDVWPAVRAAMEYMDQFDRDGDGLIENDGFPDQTYDTWTVHGVSTYCGCLWLAALQAAAAMALELGDRDFAEICKRKFLKAKPAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFEDSKIKSALRKVYDFNVMKVKGGRMGAVNGMHPNGKVDDTCMQSREVWTGVTYGVAATMILAGMEEEAFTTAEGIFLAGWSEDGYGYWFQTPEAWTMDGHYRSLMYMRPLAIWGMQYAMNRPKAILEPPKINIMDRIHLSPVIGGFSHNETGG >Vigun07g184000.1.v1.2 pep primary_assembly:ASM411807v1:7:30100709:30112456:-1 gene:Vigun07g184000.v1.2 transcript:Vigun07g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNIFHCRKNSWPTEEYISKSTLQLFDYDSSAPPEQAWRRRLNSHANLLKEFRVTFMEAIKMVRLGIRIWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPSLCEASPVMANQFSIFISREGGNKNFSSVLAPGQHEGLGSSKKPDDQGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGSSHLSGDHVNEPFQAEDGVSGVLLYHKTAKGNPPVTFSIAACETQNVSVSVLPSFGLSEGSSITAKGMWSKMVKDGQFDQENFNSGPSMPSSLGETLCAAVAATAWVEPHGKCTVAFSLAWSSPKVKFVKGCTFNRRYTKFYGTSEKAAVDLAHDALTNYSRWEEEIEKWQNPILKDETLPEWYKFTLFNELYFLVAGGTIWIDSPLLSSSMRNGQDRARELENAVVKGTEDKINGRKRTVVDRTTDSTYESTATTGNNCVDENLYGHDNDDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPRIELNIQRDFARAVLCEDGRRVKFLAEGNWGIRKVYGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATGDLQFGIDVWPAVRAAMEYMDQFDRDGDGLIENDGFPDQTYDTWTVHGVSTYCGCLWLAALQAAAAMALELGDRDFAEICKRKFLKAKPAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFEDSKIKSALRKVYDFNVMKVKGGRMGAVNGMHPNGKVDDTCMQSREVWTGVTYGVAATMILAGMEEEAFTTAEGIFLAGWSEDGYGYWFQTPEAWTMDGHYRSLMYMRPLAIWGMQYAMNRPKAILEPPKINIMDRIHLSPVIGGFSHNETGVRKIATKARCFSNSVFNCAC >Vigun03g284900.1.v1.2 pep primary_assembly:ASM411807v1:3:46595923:46597544:-1 gene:Vigun03g284900.v1.2 transcript:Vigun03g284900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVRSCDKEYMRMAMLKHEETFKEQVYELHRLYRIQKILMQNMETRRGVEVSEEEWYLKNAISLTHKGAQEKPQMKFDLERPAEEHIAESEDGVLEVIDETEIELTLGPSSYNRRKKVETPLTSDSGHSLSSSSSGSSIINKTRCHGSHSTREESSGSIIRLVQMPGSTPGCQSGIRNSFDVEEQLRQERLKHSPWLFQVLNLNMT >Vigun04g002400.1.v1.2 pep primary_assembly:ASM411807v1:4:202686:205943:-1 gene:Vigun04g002400.v1.2 transcript:Vigun04g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNNITAVVNFIALLASIPIIGSGVWLASKPDNECIHNFRWHVLVLGLLVLLVSLAGFVGAYWNKQGLLAFYLCCMAILIALLLFILLFSFIVTRPDGTYYVPGRGFKESMLHGFSPWLRNHLTASRSWNKITTCLADSDVCIKLTQDYVSADQFFNSHISPLQSGCCKPPSACGYNYVNPILWINPVNPMVDPDCYLWTNEQSQLCYNCNACKAGLLGNLREEWRKANIILMVAVVVLIWVYLIACSAFKNAQTEDLFRRYKRGWV >Vigun02g016200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5872320:5875491:-1 gene:Vigun02g016200.v1.2 transcript:Vigun02g016200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMTPNLNLEPEEDFKICSQVGSNELLQETAQDFMDNTSSSCLTKADPDSGSLSLDLTLKFNHSDEEFKGASYTNSEVGAETHPPALAIPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRALRMGILTDRYTSLASLPLHGTSFRSLGLEAHAAMHQAQMQSLRVPEILPAPKFTKDYFRTPIFVDDDDGGVFWPGSFRKREGRGVFGVNLSPDAHAFNPSTRFVATAPPPQTPATPDLTLRL >Vigun02g016200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5872320:5875662:-1 gene:Vigun02g016200.v1.2 transcript:Vigun02g016200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMTPNLNLEPEEDFKICSQVGSNELLQETAQDFMDNTSSSCLTKADPDSGSLSLDLTLKFNHSDEEFKGASYTNSEVGAETHPPALAIPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRALRMGILTDRYTSLASLPLHGTSFRSLGLEAHAAMHQAQMQSLRVPEILPAPKFTKDYFRTPIFVDDDDGGVFWPGSFRKREGRGVFGVNLSPDAHAFNPSTRFVATAPPPQTPATPDLTLRL >Vigun02g016200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5872320:5875652:-1 gene:Vigun02g016200.v1.2 transcript:Vigun02g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMTPNLNLEPEEDFKICSQVGSNELLQETAQDFMDNTSSSCLTKADPDSGSLSLDLTLKFNHSDEEFKGASYTNSEVGAETHPPALAIPRVFSCNYCRRKFFSSQALGGHQNAHKRERTMAKRALRMGILTDRYTSLASLPLHGTSFRSLGLEAHAAMHQAQMQSLRVPEILPAPKFTKDYFRTPIFVDDDDGGVFWPGSFRKREGRGVFGVNLSPDAHAFNPSTRFVATAPPPQTPATPDLTLRL >Vigun02g121600.1.v1.2 pep primary_assembly:ASM411807v1:2:27387220:27391398:-1 gene:Vigun02g121600.v1.2 transcript:Vigun02g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENGEGRSLAETPTYAVATVIAVLVSFSFLFQGTLKKLVKWLDRTKRKSLLSALEKIKEELMLFGLLSLLMGHWIIFVAKICVKSSVISSRFFPCPLEKNSVKRVVWLSSEYSNRTVVKGQVNNGLRNYCPEGHESLACYESLEQLHRFVFVLGVTHVTYSFLAVALAMIKIYSWRTWENEAKTIAVQSIQDTSQSTSSIRLRRLNTFIFHHTSHPWSHHKILVWLLCFSRQFWSSIHRADYMALRLGFITNHDLPTTYDFHNYMLRSMDEEFRDIVGVSVLLWVYAICCMFLNFHGSNFYFWLSFVPAILILIIGTKLHRVVVKLAVEIINRCPNLKPHQFNLRDELFWFGKPRFLLRLIQLISFLNAFEMATFLWSLWEIKDPSCFMNNRTFIVIRLSFGVISQVWCSFITFPLYVIITQMGSRFKKTVVSENVRKSLSKWQRRVKERQSYSSALLTATSISEEGSSSGTKDLCLSYLDHVSRTQADASFPSYENDPHDIIHDSSPPLS >Vigun09g123900.1.v1.2 pep primary_assembly:ASM411807v1:9:27599478:27612682:1 gene:Vigun09g123900.v1.2 transcript:Vigun09g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAESSKTAAVKPAEELKTQERAKGETSEVPIEEVKKLTIKEEEEEEPTIKLKTSDGIIFEVEASVVKLMETVQAVIDDVGVAPDAAIPLQNLTCSELGRILEFRAKRSRVGSDPQTLRKFDAKFMSMLTPDQMKELLLTANYLNMSDLMDVISRAIADFLKDKTAEFARDFFGIVSDYTPEEEAAYREAHAWAFQNLDKESV >Vigun10g100500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29321575:29323226:1 gene:Vigun10g100500.v1.2 transcript:Vigun10g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHRCKLCLRSFANGRALGGHMRSHMMNLPLPPKESEFVPVQLSFEADSSPSQSSSSFYGLRENPKKSFRFADPEFSFAAETGSVILQDRESETESSKNPTRKRSKRAWQLGEPPKKMKLCGNNNKNESASSASDTTAEEAVAFCLMMLSRDRWKRYDDHKNIQQYDDEVEDDDDDDDDDEEEDEEEDDEEEEEEEKDGFELEEMKSVKRSNKVRGRYKCETCDKVFRSYQALGGHRASHKKMKLNSETEFQKPELESELVVVSEKKIHECPVCFRVFGSGQALGGHKRTHVIGSSATHTTATVRTSATASVKVGDSLIDLNLPAPVDDEEDISQFDHSALSDAEFVKE >Vigun03g432800.1.v1.2 pep primary_assembly:ASM411807v1:3:63686147:63688917:1 gene:Vigun03g432800.v1.2 transcript:Vigun03g432800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSWSNNNRRRRRSAYFHPHPHPHPPHLPPPYYYPPPLLPPAAPPPQGYFVTSTNPSASTSATTGYVGPPPPQYYPNVYTANSVMLHQQPLYANEGGSQIQVSPPPYVDHQTTKKIRNDVNLHKHTLRLCIDPNYPDHHLISFDFDALFDGSITIFYLAKEEEKCRFNPLFPDLFEPITFPLRKGAGQKFCQPSGTGIDLGFFELDDLSQPSSGEDIFPLVICAETNSISVLDASPHMQITQAVLEKNNGVGPFQVKVVRQILWIDDVRYELRELYGIGSSATADFEDNDHGKECVICMTEPKDTAVLPCRHMCMCSECAKALRFQSNKCPICRQPIEELIEIRINSGNQ >Vigun02g069600.1.v1.2 pep primary_assembly:ASM411807v1:2:21986362:21991060:-1 gene:Vigun02g069600.v1.2 transcript:Vigun02g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHSLGGPPPHAAAESTHNLRQRVITCLNKLSDRDTLAGAAAELESIARTLSHDSFSSFLSCIHNTDSSSKSPVRKQCVHLLNILSRFHGEALSSFLPKMLATVLRRLRDTDSAVRSACVDAVAEMSTRITRPPFATAFLRPLMDALVQEQDANTQIGAALCLAAAVEAAPDPDAEALRRSALPRLGKLVKSDVCRARAALLVLIGSVVAVGGASSRGSMSWLVPCLVEFLGSEDWTVRKAAAEALLKVASVEKDLASQHKVLCLDSLQNRRFDKIKVVRETMNRALETWRDVTEDAPAMVKSECDSVCGTDYGKGQPVTKSPPSVGSKSSKTVPANRSPPPSGVSFMSSTKGENFLKSNEKNSRMATLHQRDDEKSSVEKLEGLFSSSAHSNMSKEDVVKRCDVEHSSPTPYQNGVNSRAEIKRVLFSKMSDEKVKRFSGSKSRVVPCYDDPNVVDNSANDVCDNPQDVEDFSLIREQLIQIENQQSNLLDTLQRFIGSSQSGMNSLESRVHGLEMALDEISYDLAVSSGRFSYTDVTDDTCCKLPGTDFLSSKFWKKTEGRYSTSKFSLGNIASTNGVHNGTTNKDGGKEIFTPNNNRLQHGKGGYFVNQLAEVQSNNFKGLHTYKMSTNRVQDAMRAQTDNASRYGGIHPATEAPRNHNVRSSV >Vigun04g105800.1.v1.2 pep primary_assembly:ASM411807v1:4:25331957:25339463:-1 gene:Vigun04g105800.v1.2 transcript:Vigun04g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVLDLWRKNQNSSFGLHSSHAFQSSGAFFSASAAAAAASVAAGTGFASRALFGSSVAYCDAGAALSEDYSSSIQSSFEKKNHYDALKYSIKQYDVELKPLFSAFELRTFALTSIRSFLMFYLPLLEPRAEMEDDEDFLEEDQEHPVDLVVPLKKSVKQILRESTVVTTRRILERIAVHYVSQRMAWKLLKDIPRSATRKAGRNMPTVVYFYCVSRTTFRGHMLGVAASWLVQVGIDLYRFFSSSFKVQNEDNDVDKTNEVGVLGQKIFITTVRCTSSLIFASIGAGIGATLVRPSLGQWIGCVAGDLAGPIIVAFCADQLFQVKL >Vigun01g140300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32107830:32109645:-1 gene:Vigun01g140300.v1.2 transcript:Vigun01g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLLLPLFFVSVLPLFSFFFLGPFSLKLNTKDKPSSHDNIVLPKAYPLIGSYLAFKATENRLIQWLSDLIKVSPAATLILHGPMGSREVITGNPAIVEHILKTRFSNYQKGTTFTHTLSDFLGKGIFNADGHNWKFQRQVAIQQFNTKSQRKFMEQVVDEKLSNRLIPILASAAQEDRTLDMQDILQRFSFDNVCKIAFGFDLDYLTASDERSKFAQAFEEAMEISTKRFSEPLPLIWEIKRVLNVGSERRLRMAVREVHEFAKNIVREKKKELKEKETLESEDMLSRFLNSGHSDEEFVTDIVISFILAGKDSTSAALIWFFWLIWKNPRVEKEIVNEIRENSETPVADEAKDMVYTHAALCESMRLYPPVPIDVKEAMDDDVLPDGTVVKKGMQVKYHVYAMGRLESIWGEDWAEFKPERWLEKVESGKWKFVGRDSFTYPVFQAGPRICLGKEMAFMQMQKLVAGILRRFRVVPTVADGVEPRYFAFLTSKMEGGFHVKIQKRVLSD >Vigun09g042300.1.v1.2 pep primary_assembly:ASM411807v1:9:3932431:3934808:1 gene:Vigun09g042300.v1.2 transcript:Vigun09g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPQTSPPPPPLPLMTPPATPLNQETTSYKKNRRTSGVPVPLPHSVEVIDVDDFIPSAFFQGTIRSNAVSVERHDAVFKSVHIIDLSDTEDDDDLRILNFTPANTSFGKRKKLRGESSSAAPFDCEICAETKTSKEAFSINGCCHVYCNHCIALYVESKLQDNVVNIGCPVPQCRGLLEAEDCRSILAPEVFDRWGTALCEAVISLEEKFYCPFPDCSVLLIREGKDEDIRESNCPNCRRQFCALCRVPWHEDLPCEEFQKLNADERGREDVMLRNLAKQMMWKRCPNCGFYVAKTSGCMYMKCRCGSAFCYNCGVPNTSNHHYCSSCRK >Vigun03g015900.1.v1.2 pep primary_assembly:ASM411807v1:3:1102668:1112952:1 gene:Vigun03g015900.v1.2 transcript:Vigun03g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLDGGGVAVLSNSVNKVDSASALKDDLKCSKPESPILIFLFFHKAIRNELDALHRLALAFATGNRSDIQPLSERYHFLSSMYRHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGENNLFDHLFELLKYSINNDETFPRELASCTGALQTSVSQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMVDFLPWLSTSISPDESQDLRNCLIKIVPQEKLLQKVVLTWMEGRGRANSVESCVDHSQVLCSSRSSPNQVENVNCACESTTCGKRKYSGSMIDVSDTTGAHPIDEILLWHNAIKKELSEIAVEARKIQHSGDFTNLSAFNERFQFIADVCIFHSIAEDKVIFPAVDGEFSFFQEHAEEESQFNDFRSLIENIQSEGASSNSDVEFYSKLCTHADHIMETIQRHFHNEEVQVLPLARKHFSFRRQCELLYQSLCMMPLKLIERVLPWLVGSFTEDEAKLFQRNMQLAAPATDSALVTLFCGWGCKARSEGQCLSSGASGCCPAQRLSDIEENIDPLSCACSSALSSRPCSVLAESGDNQRAVKRNTVELHKNEDVPETSDTESIQKQCCSPRSCCVPGLGVNSNNLGLSSLSTAKSLRSLSFSSSAPSINSSLFVWETERGSCNAGCTQRPIDTIFKFHKAIRKDLEYLDVESGKLRDGDETILRQFNGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYMLDHKQEEKLFEDISCVLSEFSVIHESLQMTHMAESLNESNFETSDGNTSDVIKKYNELATKLQGMCKSIRVTLDQHLFREECELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNRMMDTWKQATKNTMFNEWLSECWKESPVSIAQEEASDHSTSRRGTEGQESLGHNDPMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKSPKALLEGSSNNVEIVGLSPSFRDPEKHVFGCDHYKRNCKLRAECCGKLFTCRFCHDNVSDHSMDRKTTLEMMCMRCLNIQPIGPMCMTPSCNGFSMAKYYCNICKFFDDERNVYHCPFCNLCRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSMGDMAVYFGMLDALLAAEELPEEYRERCQDILCHDCDRKGSSRFHWLYHKCGFCGSYNTRVIKCETSNSSCS >Vigun03g015900.2.v1.2 pep primary_assembly:ASM411807v1:3:1107036:1112952:1 gene:Vigun03g015900.v1.2 transcript:Vigun03g015900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGRANSVESCVDHSQVLCSSRSSPNQVENVNCACESTTCGKRKYSGSMIDVSDTTGAHPIDEILLWHNAIKKELSEIAVEARKIQHSGDFTNLSAFNERFQFIADVCIFHSIAEDKVIFPAVDGEFSFFQEHAEEESQFNDFRSLIENIQSEGASSNSDVEFYSKLCTHADHIMETIQRHFHNEEVQVLPLARKHFSFRRQCELLYQSLCMMPLKLIERVLPWLVGSFTEDEAKLFQRNMQLAAPATDSALVTLFCGWGCKARSEGQCLSSGASGCCPAQRLSDIEENIDPLSCACSSALSSRPCSVLAESGDNQRAVKRNTVELHKNEDVPETSDTESIQKQCCSPRSCCVPGLGVNSNNLGLSSLSTAKSLRSLSFSSSAPSINSSLFVWETERGSCNAGCTQRPIDTIFKFHKAIRKDLEYLDVESGKLRDGDETILRQFNGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYMLDHKQEEKLFEDISCVLSEFSVIHESLQMTHMAESLNESNFETSDGNTSDVIKKYNELATKLQGMCKSIRVTLDQHLFREECELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNRMMDTWKQATKNTMFNEWLSECWKESPVSIAQEEASDHSTSRRGTEGQESLGHNDPMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKSPKALLEGSSNNVEIVGLSPSFRDPEKHVFGCDHYKRNCKLRAECCGKLFTCRFCHDNVSDHSMDRKTTLEMMCMRCLNIQPIGPMCMTPSCNGFSMAKYYCNICKFFDDERNVYHCPFCNLCRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSMGDMAVYFGMLDALLAAEELPEEYRERCQDILCHDCDRKGSSRFHWLYHKCGFCGSYNTRVIKCETSNSSCS >Vigun03g015900.3.v1.2 pep primary_assembly:ASM411807v1:3:1105499:1112952:1 gene:Vigun03g015900.v1.2 transcript:Vigun03g015900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDFLPWLSTSISPDESQDLRNCLIKIVPQEKLLQKVVLTWMEGRGRANSVESCVDHSQVLCSSRSSPNQVENVNCACESTTCGKRKYSGSMIDVSDTTGAHPIDEILLWHNAIKKELSEIAVEARKIQHSGDFTNLSAFNERFQFIADVCIFHSIAEDKVIFPAVDGEFSFFQEHAEEESQFNDFRSLIENIQSEGASSNSDVEFYSKLCTHADHIMETIQRHFHNEEVQVLPLARKHFSFRRQCELLYQSLCMMPLKLIERVLPWLVGSFTEDEAKLFQRNMQLAAPATDSALVTLFCGWGCKARSEGQCLSSGASGCCPAQRLSDIEENIDPLSCACSSALSSRPCSVLAESGDNQRAVKRNTVELHKNEDVPETSDTESIQKQCCSPRSCCVPGLGVNSNNLGLSSLSTAKSLRSLSFSSSAPSINSSLFVWETERGSCNAGCTQRPIDTIFKFHKAIRKDLEYLDVESGKLRDGDETILRQFNGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYMLDHKQEEKLFEDISCVLSEFSVIHESLQMTHMAESLNESNFETSDGNTSDVIKKYNELATKLQGMCKSIRVTLDQHLFREECELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNRMMDTWKQATKNTMFNEWLSECWKESPVSIAQEEASDHSTSRRGTEGQESLGHNDPMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKSPKALLEGSSNNVEIVGLSPSFRDPEKHVFGCDHYKRNCKLRAECCGKLFTCRFCHDNVSDHSMDRKTTLEMMCMRCLNIQPIGPMCMTPSCNGFSMAKYYCNICKFFDDERNVYHCPFCNLCRVGQGLGIDYFHCMKCNCCLGIKSASHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSMGDMAVYFGMLDALLAAEELPEEYRERCQDILCHDCDRKGSSRFHWLYHKCGFCGSYNTRVIKCETSNSSCS >Vigun09g185600.1.v1.2 pep primary_assembly:ASM411807v1:9:35925146:35929507:-1 gene:Vigun09g185600.v1.2 transcript:Vigun09g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDQATSICTHCDRAIPSANIDLHYAHCSRNLERCKICCDMVPKTLAEEHYLNTHAPVACSLCSETMERDILDIHRDENCPRRMVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNKYVRLRERYNHEDSCNRIQENSSGPSRYVRPVERDDGPRRRPQNDFPRKRVFFTIAITGIAVILGSIFFQRKTDLSNVQ >Vigun09g185600.2.v1.2 pep primary_assembly:ASM411807v1:9:35925146:35929507:-1 gene:Vigun09g185600.v1.2 transcript:Vigun09g185600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLVACSLCSETMERDILDIHRDENCPRRMVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNKYVRLRERYNHEDSCNRIQENSSGPSRYVRPVERDDGPRRRPQNDFPRKRVFFTIAITGIAVILGSIFFQRKTDLSNVQ >Vigun02g075700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22743063:22745111:1 gene:Vigun02g075700.v1.2 transcript:Vigun02g075700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDAYQRQLQQLFHLHDSGLDQAFIDALPVFFYKEIIGLKEPFDCAVCLCEFLEQDKLRLLPMCNHAFHIECIDTWLLSNSTCPLCRGTLYSPGFAFENSAFDFESQLEEDEVSGSGGGGVGAASFHKTVESHIVNGKRVFSVRLGKFRSTNNGVERGECESSSGNLDVRRCYSMGSFQYVVADSDLRVALGPTRGDGGSGSMRQLKGRTAPNGSSFIDGDIEGKKINLARKGESFSVSKIWQWSRKDKLSGSSDSHFHNSTLPWMNKARGT >Vigun02g075700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22743186:22745167:1 gene:Vigun02g075700.v1.2 transcript:Vigun02g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVRSQILLSNGAITNPPILSPYSSSSSSSSSSPFVPYVSNYQKQSAPSPTSSSGNKISPAILFIIVILAVVFFILGLLHLLVRFLIKHRSSNSSISQSNRYPDMSESDAYQRQLQQLFHLHDSGLDQAFIDALPVFFYKEIIGLKEPFDCAVCLCEFLEQDKLRLLPMCNHAFHIECIDTWLLSNSTCPLCRGTLYSPGFAFENSAFDFESQLEEDEVSGSGGGGVGAASFHKTVESHIVNGKRVFSVRLGKFRSTNNGVERGECESSSGNLDVRRCYSMGSFQYVVADSDLRVALGPTRGDGGSGSMRQLKGRTAPNGSSFIDGDIEGKKINLARKGESFSVSKIWQWSRKDKLSGSSDSHFHNSTLPWMNKARGT >Vigun01g249400.1.v1.2 pep primary_assembly:ASM411807v1:1:41631932:41633078:1 gene:Vigun01g249400.v1.2 transcript:Vigun01g249400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDNHPQVLDAEPTETGTYLRDTATSVIQNFDPINKIHQHLCAFHFYSDDMTRQVEAHHFCAHKNEEMRQCLIYDGPEKKARLIGLEYIISENLYLTLPDEEKRLWHSHLYEVKSGLLYMPKIPASIQRRDMETVSKTYGKVFHFWQVDKGHSLPFGIPQLMMAFTRDGQIYDHLVKSCAERMGIDYDEERKGREYMTGPEHGIHPLANGGGKGLETRLREVHLNADSAPPSATRVSVV >Vigun01g127800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30569328:30570514:-1 gene:Vigun01g127800.v1.2 transcript:Vigun01g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNTFFLPLTLSFLFASLVSATFYQTLSPDQLRSREKLTHIRFYFHEQITNEKPSLLIIDPPKIMADSPLPFGSMVVIENRLTIGPDVESKRIGKAQGFYLSATQRPGLDLEIVMSFTLTFLEGEFNGSTLCVSGRNEIMLPVREMPIIGGTGAFRFARGFVHARSVKVDYHKGDATVEYNVYVYHYSSTTTTTSSSHQHVNEGLNFMTDPILSKI >Vigun05g195550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37822321:37823371:1 gene:Vigun05g195550.v1.2 transcript:Vigun05g195550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKVIASMMFQTFLTLFANIWLGLSFINSITFIFLVGVFLSLWLGESVLGLGEWFIKRMPLVHLIGLEKNRFFLYVSFSSFYL >Vigun02g022800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7672338:7673363:-1 gene:Vigun02g022800.v1.2 transcript:Vigun02g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TTTTTTVATTVIPPSSLLQKPKSHFLTPSNFKFQPFPLSISSKFHTQLPIPKSLPSSAAAAVSPLNLKSRLRNGDTLYGLFLLSFSPTLAEIAALAGYDFVVVDMEHGPGGIRDALPCLHALAAADTAAILRVPESTAAWAKKALDLGPQGIMFPMIDTAQSAHQAVSFCRFPPAGIRGAAHPIVRASKYGFDDGYIGNYLDELLIMCQVESEEGAANAGEIAAVDGVDCVQMGPLDLSASLGYLWDPGNKRVREVLRETERKVLESRNDDVDSGAYLAGFATAFDGARDMRSRGYHMVSGAVDIGLFRSAAAEDVIAFKTSVSESEEEEEKEVEEKYWSE >Vigun11g042400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6106304:6106411:-1 gene:Vigun11g042400.v1.2 transcript:Vigun11g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Vigun11g208701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40457319:40458842:-1 gene:Vigun11g208701.v1.2 transcript:Vigun11g208701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METWFILSVLCFFLWLILKSTCKVLFPPLPPGPSKCNFALLRGYLTDPKTILQKLVAKYGPIFTVHVGYSHPDIFIASRFLAHQALIQHGTIFADRPKANPTNKVISSNQHDILFSFYGPRWRLLRRNLTSRILHPLQVKSYAHARKWVLAMILQGLVSDSEGNNPIRVIDHFQYGMFSLMVLMCFGDKLDEKQIREIEDSQRDMLVSYARYSVLNFWPTVTRILFWKRWKEFLQKRREQDAVLIPHINARRKAKEERENNGSSQLSDSVFSYVDSLLDLQILEGINLDDGKICTLCSEFLNAGSDTTSTALEWIMANLVKYPKIQESVVEEIRGLMVGREEREVKEEDLHKLPYLKAVILEGLRRHPPLHFVAPHRVTKDVVLNGYLVPTYASVNFLVAEIGRDPTAWDDPMAFKPERFMNIGEKNGGTTFDIMGSKEIKMMPFGAGRRMCPGYGLAMLHLEYFVANFLWNFEWKVVDGEHVDLSEKLQFTTVMKNPLKVHILPRQ >Vigun08g012200.1.v1.2 pep primary_assembly:ASM411807v1:8:1078658:1082095:1 gene:Vigun08g012200.v1.2 transcript:Vigun08g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTEDSTRRRNAVTEYRKKLLQHKELESRVRSVRENLRASRKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAPYSADFGKE >Vigun05g076300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6978517:6979819:-1 gene:Vigun05g076300.v1.2 transcript:Vigun05g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISAENLCMNGKPIEKDTYVVVHTQSCTKFFTTRTEEEGGRNNPSWNEKFLVDGVNSITLEVQCKTWLGFKSLGAARIAVSEFVSETSLQFLSYRLWDEKGKRNGVINFSVRVVKAPQERESSCSMVEIEKNWREFGMQVTMGENDAARTVTGVPVFWNFPLNIPR >Vigun07g281800.1.v1.2 pep primary_assembly:ASM411807v1:7:39629831:39631964:1 gene:Vigun07g281800.v1.2 transcript:Vigun07g281800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKSGSMGLERMSVEQLKAVKEQTDLEVNLLQDSLNNIRSATTRLEIASSALNDLAVRPPASQILVPLTASLYVPATLQDSTHVLIDVGTGYFIEKTMEEGKDYCERKINLLKSNFEQLVEVASKKKNVADEAGVVLQAKLKQLASSS >Vigun06g181600.1.v1.2 pep primary_assembly:ASM411807v1:6:30126827:30130151:-1 gene:Vigun06g181600.v1.2 transcript:Vigun06g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSGSYSTSGFICYGLVLPLLLLSLFFLQLARCGSTVKFLPGFDGPLPFVFETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVLEIGPLGFKYEEYDGTIPKLFYRQHSWTKVSSIIFVDLPVFTGFTYPTTESAAQRSDWIIVRQAHQFLRKWLIDHPTFLSNEVYIGGDSYSGIPIPVIVQEISQANEKGVQPWINLQGYLLGNAATTGSDFNYRIPYSHGMGLISDELYQVITKNCRGEYIKVDVKNVLCSRDIESFNEALSGINSAYILDPSCEWIDSETSWRRSLIKKYPTTNFLNTRLKLPSLNCRSYVYFLCGFWANDDSVRTALHIRQGSIGKWRRCTFDIPHKRDIPSSFEYHVNLSRMGYRSLIYSGDHDLLIPFLATQAWVKSLNYSIVDDWRQWHTNGQVAGYTRTYSNGMTFATVKGGGHTAPEYKPEECLDMFSRWLSKRPL >Vigun02g163850.1.v1.2 pep primary_assembly:ASM411807v1:2:30869204:30871407:1 gene:Vigun02g163850.v1.2 transcript:Vigun02g163850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKEMLQLSSSILPFTSLSLPRVSPPSHFSLQLQRSLRPAIRCASSDSDGTNKVSARLSQVNQVLQEAEHRALSADQTPPPKITIGSLSICNSFARSGGPGGQNVNKVNTKVDMRFNILQTEKNRINKDGDLVISSTKTRTQKGNIEDALAKLQEIIDAASYVPPPPSEEQKKKISKMAAIGEQKRLKSKKVLSDKKAFRRKHNPLTLSYWIHTLDEWLEYNHEFEEHLERPYKWFVAAYW >Vigun11g029400.1.v1.2 pep primary_assembly:ASM411807v1:11:3865653:3868745:-1 gene:Vigun11g029400.v1.2 transcript:Vigun11g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDEEAIVSDEEKTNEGGGWTEVQETNEDEQPNKEGKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTGDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKTETSDSDNPKKPKSYFLVL >Vigun01g032500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4142227:4142466:-1 gene:Vigun01g032500.v1.2 transcript:Vigun01g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun03g008300.1.v1.2 pep primary_assembly:ASM411807v1:3:555547:558051:1 gene:Vigun03g008300.v1.2 transcript:Vigun03g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSPHFTPIQEEREEDTPIRHTRSTPSHHHHHHPPTPILNTKSNTHKKKRSESEEDSSVSCNKCRPHSRDKIFILPFDHAANHNASNTKQSSSSLLASPNGIFRSLVSKLTRKSPMSSSHHNALPLSREEQWKMAVAELSHKLLHATRKRDEALLEASRLMHSMGELEKKLNKLELYCHTLKSGLEECTNANSNAVSSPTPFKQSQTLHQDAVIQHFLVSVSEARSSVKLLSRSLTMQLRHMGSKVYEKVSFLLQPYDVKISFSKNPRSLLFYLEALLNRTFFEDFETIGFQKNACNAVLNPVERCEGSFRCFNMLQGLTWEEVLSKGTRHFSEEFSRFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKSVWMVHLLANSVHPSLPIFRVDKGVNFDSVYMEDMGGDKASKLVPSVVRIMVTPGFYVYGSAVKCKVLCRYLSSNNTNNDTNTNHNHSNNSSNKEEDKDKGLSLNPTS >Vigun05g230900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42442054:42446554:1 gene:Vigun05g230900.v1.2 transcript:Vigun05g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPFVLTFSLLLSLPILFFLAPRILPPKPHSLPISPSDELDDITLFNRAITLANSRATTASATNPSKFFHLSSKNPSLKIAFLFLTNTDLHFSPLWDLYFRDTPSNLFNIYIHADPSVNITRPLSPLFADKFIPSKRTFRASPTLISATRRLLATALLDDPANAYFALLSQHCVPLHSFTYTYRSLLLSPTFDSSDPESSRIGIRLKYKSFIEILSHAPKLWKRYTSRGRYAMMPEVPFEQFRVGSQFFALTRRHALLVVKDRTLWRKFKIPCYRDDECYPEEHYFPTLLSMADPDGCTKYTLTKVNWTGTVNGHPYTYRPPEVSSELILRLRQSNNSESYLFARKFTPDCLEPLMRIAKSVIFRD >Vigun05g230900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42442097:42446554:1 gene:Vigun05g230900.v1.2 transcript:Vigun05g230900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPFVLTFSLLLSLPILFFLAPRILPPKPHSLPISPSDELDDITLFNRAITLANSRATTASATNPSKFFHLSSKNPSLKIAFLFLTNTDLHFSPLWDLYFRDTPSNLFNIYIHADPSVNITRPLSPLFADKFIPSKRTFRASPTLISATRRLLATALLDDPANAYFALLSQHCVPLHSFTYTYRSLLLSPTFDSSDPESSRIGIRLKYKSFIEILSHAPKLWKRYTSRGRYAMMPEVPFEQFRVGSQFFALTRRHALLVVKDRTLWRKFKIPCYRDDECYPEEHYFPTLLSMADPDGCTKYTLTKVNWTGTVNGHPYTYRPPEVSSELILRLRQSNNSESYLFARKFTPDCLEPLMRIAKSVIFRD >Vigun10g079300.1.v1.2 pep primary_assembly:ASM411807v1:10:21700510:21718334:-1 gene:Vigun10g079300.v1.2 transcript:Vigun10g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIFSPSGLFGEDDNPTREEDADSQESYVERKHQFPGMELIVREFSFHQLNANLLWPGTFSFAEWLVQHRSCIEGRRAIELGSGTGALAIFLRKSYNLDITTSDYDDHEIEKNIAHNCQANEIPVIPHIKHTWGNKFPNSDPDWDLIIASDILLYVKQYANLIQTISFLLKCYKPRHSRVVSPTGNDESHGDVVLPQPAFLMSWRRRIGKEDESLFFNGCEKAGLKVKHIGSRVYCINPIENEISETKP >Vigun03g022400.4.v1.2 pep primary_assembly:ASM411807v1:3:1638737:1643799:-1 gene:Vigun03g022400.v1.2 transcript:Vigun03g022400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDNTLNGIGKHAPPKDFVCPITSHIFDDPVTLETGQTYERKAIEEWFNRGNFTCPITRQKLQNTQLPKTNYVLKRLIASWKDRNPHLVQPPYESPYEDTEAVVQSTTVSTSPNSVITQATVDGMMSELRCAINNLYMSEVLKESEMAVLQVEKFWRGVNLGVDIHRMLAKPAIINGFMEILFNSVEPQVLQAAVFLLAEMGSSDNAVIETLTRVKTDVECIMALFKNGLTEAVVLLYLLNPPITSLAEMAIVESLIMVLNTKEEELVTMCLKPKTAVVLLLARITGSSEEIIASSVVNTLFSENAIGTIVSSFGADLAKERIAAVEILLRCMEEDGTCRTNIVDKAELSPLMETLIGADDGERFKIIQFFAELVKLNRRTFTEQILHIIKEEGPFSTMHTLLIYLKAAPHDHCPVMAGLLLQLDLLVEPRKMSIYREEAMDTLIACLRNTDFPVTQLAAADTIMSLQGSFDFFGNPLTREVLLKRAGIDKSSRSLVLVGHQISNSSPEIEITPEDEKAADDWERRIAYVLVSHEFGTIFEALADGMKSQNSELRSACFISATWLTYMLTILPDTGIQVAARACLLKQFIAKLNSARDVEDRILSMIALNSFLHFPEGLGDLTSYTKDILRGLRELKRSCPLAPKMLKSLVEENESKADNWIHKELIKEDCSENGEVVSVTCFKDKIFSGHTDGTIKVWTLKNNSFHLLQEIQEHTKAVTNLVISESGDTLYSGSLDRTSKVWSIGKSAIHCVQVYDMKDQIHNLIVTNSLACFVPQGTGIKVQSLSGESKLLNSSKYVKCLAHVNGKLYCGCHDSSVQEIHLGTGTVNTIQSGYKRLLAKANPIHAIQIHGELIYAAGSSFDGSSIKFQLQYGWITANWI >Vigun03g022400.2.v1.2 pep primary_assembly:ASM411807v1:3:1638737:1646279:-1 gene:Vigun03g022400.v1.2 transcript:Vigun03g022400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTVTTRSQILRHTAAFTSSVLSQSELRRRLIATLLRHTPISDQKHLKLAADTLESAISFSSPALRTSSLSLVEKLLLPLPDFALSSLLLSLAHALRNRPTESATSLLRIFHSNNNSNKNASLVRSEIAPALYERLFSPHLFPVFRWFDEQRTNILSSTPSTSIRENDYYSVSEEYSVVLPCAKVLSKMSEEQAAMLREVEREYEEVLDENCRVLAEYFKEVLVNENSDAGISPPSLIMKSGAEGGGRENITEEITRTNLLENGRYNPIWSEREREASVEFLSPSSSRRSSQEPFYPQRVFSRIVKPKNPSKAWTTPVYLNSTADADFFSDESLVSSSSDSEAENEEKDKSIALLEPRQSQIQEQMQTVFKQSSGSPDYPMADFDNTLNGIGKHAPPKDFVCPITSHIFDDPVTLETGQTYERKAIEEWFNRGNFTCPITRQKLQNTQLPKTNYVLKRLIASWKDRNPHLVQPPYESPYEDTEAVVQSTTVSTSPNSVITQATVDGMMSELRCAINNLYMSEVLKESEMAVLQVEKFWRGVNLGVDIHRMLAKPAIINGFMEILFNSVEPQVLQAAVFLLAEMGSSDNAVIETLTRVKTDVECIMALFKNGLTEAVVLLYLLNPPITSLAEMAIVESLIMVLNTKEEELVTMCLKPKTAVVLLLARITGSSEEIIASSVVNTLFSENAIGTIVSSFGADLAKERIAAVEILLRCMEEDGTCRTNIVDKAELSPLMETLIGADDGERFKIIQFFAELVKLNRRTFTEQILHIIKEEGPFSTMHTLLIYLKAAPHDHCPVMAGLLLQLDLLVEPRKMSIYREEAMDTLIACLRNTDFPVTQLAAADTIMSLQGSFDFFGNPLTREVLLKRAGIDKSSRSLVLVGHQISNSSPEIEITPEDEKAADDWERRIAYVLVSHEFGTIFEALADGMKSQNSELRSACFISATWLTYMLTILPDTGIQVAARACLLKQFIAKLNSARDVEDRILSMIALNSFLHFPEGLGDLTSYTKDILRGLRELKRSCPLAPKMLKSLVEENESKADNWIHKELIKEDCSENGEVVSVTCFKDKIFSGHTDGTIKVWTLKNNSFHLLQEIQEHTKAVTNLVISESGDTLYSGSLDRTSKVWSIGKSAIHCVQVYDMKDQIHNLIVTNSLACFVPQGTGIKVQSLSGESKLLNSSKYVKCLAHVNGKLYCGCHDSSVQEIHLGTGTVNTIQSGYKRLLAKANPIHAIQIHGELIYAAGSSFDGSSIKFQLQYGWITANWI >Vigun03g022400.1.v1.2 pep primary_assembly:ASM411807v1:3:1638737:1646279:-1 gene:Vigun03g022400.v1.2 transcript:Vigun03g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTVTTRSQILRHTAAFTSSVLSQSELRRRLIATLLRHTPISDQKHLKLAADTLESAISFSSPALRTSSLSLVEKLLLPLPDFALSSLLLSLAHALRNRPTESATSLLRIFHSNNNSNKNASLVRSEIAPALYERLFSPHLFPVFRWFDEQRTNILSSTPSTSIRENDYYSVSEEYSVVLPCAKVLSKMSEEQAAMLREVEREYEEVLDENCRVLAEYFKEVLVNENSDAGISPPSLIMKSGAEGGGRENITEEITRTNLLENGRYNPIWSEREREASVEFLSPSSSRRSSQEPFYPQRVFSRIVKPKNPSKAWTTPVYLNSTADADFFSDESLVSSSSDSEAENEEKDKSIALLEPRQSQIQEQMQTVFKQSSGSPDYPMADFDNTLNGIGKHAPPKDFVCPITSHIFDDPVTLETGQTYERKAIEEWFNRGNFTCPITRQKLQNTQLPKTNYVLKRLIASWKDRNPHLVQPPYESPYEDTEAVVQSTTVSTSPNSVITQATVDGMMSELRCAINNLYMSEVLKESEMAVLQVEKFWRGVNLGVDIHRMLAKPAIINGFMEILFNSVEPQVLQAAVFLLAEMGSSDNAVIETLTRVKTDVECIMALFKNGLTEAVVLLYLLNPPITSLAEMAIVESLIMVLNTKEEELVTMCLKPKTAVVLLLARITGSSEEIIASSVVNTLFSENAIGTIVSSFGADLAKERIAAVEILLRCMEEDGTCRTNIVDKAELSPLMETLIGADDGERFKIIQFFAELVKLNRRTFTEQILHIIKEEGPFSTMHTLLIYLKAAPHDHCPVMAGLLLQLDLLVEPRKMSIYREEAMDTLIACLRNTDFPVTQLAAADTIMSLQGSFDFFGNPLTREVLLKRAGIDKSSRSLVLVGHQISNSSPEIEITPEDEKAADDWERRIAYVLVSHEFGTIFEALADGMKSQNSELRSACFISATWLTYMLTILPDTGIQVAARACLLKQFIAKLNSARDVEDRILSMIALNSFLHFPEGLGDLTSYTKDILRGLRELKRSCPLAPKMLKSLVEENESKADNWIHKELIKEDCSENGEVVSVTCFKDKIFSGHTDGTIKVWTLKNNSFHLLQEIQEHTKAVTNLVISESGDTLYSGSLDRTSKVWSIGKSAIHCVQVYDMKDQIHNLIVTNSLACFVPQGTGIKVQSLSGESKLLNSSKYVKCLAHVNGKLYCGCHDSSVQEIHLGTGTVNTIQSGYKRLLAKANPIHAIQIHGELIYAAGSSFDGSSIKIWNSSSYNMVGSLQTGSEVRTMAVSSGLIYLGCKGGTVEIWDKKKSSKVDTLQMGTNCRVNCMALDSNEEVLVIGTSAGQIQAWEMN >Vigun03g022400.3.v1.2 pep primary_assembly:ASM411807v1:3:1638737:1643798:-1 gene:Vigun03g022400.v1.2 transcript:Vigun03g022400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDNTLNGIGKHAPPKDFVCPITSHIFDDPVTLETGQTYERKAIEEWFNRGNFTCPITRQKLQNTQLPKTNYVLKRLIASWKDRNPHLVQPPYESPYEDTEAVVQSTTVSTSPNSVITQATVDGMMSELRCAINNLYMSEVLKESEMAVLQVEKFWRGVNLGVDIHRMLAKPAIINGFMEILFNSVEPQVLQAAVFLLAEMGSSDNAVIETLTRVKTDVECIMALFKNGLTEAVVLLYLLNPPITSLAEMAIVESLIMVLNTKEEELVTMCLKPKTAVVLLLARITGSSEEIIASSVVNTLFSENAIGTIVSSFGADLAKERIAAVEILLRCMEEDGTCRTNIVDKAELSPLMETLIGADDGERFKIIQFFAELVKLNRRTFTEQILHIIKEEGPFSTMHTLLIYLKAAPHDHCPVMAGLLLQLDLLVEPRKMSIYREEAMDTLIACLRNTDFPVTQLAAADTIMSLQGSFDFFGNPLTREVLLKRAGIDKSSRSLVLVGHQISNSSPEIEITPEDEKAADDWERRIAYVLVSHEFGTIFEALADGMKSQNSELRSACFISATWLTYMLTILPDTGIQVAARACLLKQFIAKLNSARDVEDRILSMIALNSFLHFPEGLGDLTSYTKDILRGLRELKRSCPLAPKMLKSLVEENESKADNWIHKELIKEDCSENGEVVSVTCFKDKIFSGHTDGTIKVWTLKNNSFHLLQEIQEHTKAVTNLVISESGDTLYSGSLDRTSKVWSIGKSAIHCVQVYDMKDQIHNLIVTNSLACFVPQGTGIKVQSLSGESKLLNSSKYVKCLAHVNGKLYCGCHDSSVQEIHLGTGTVNTIQSGYKRLLAKANPIHAIQIHGELIYAAGSSFDGSSIKIWNSSSYNMVGSLQTGSEVRTMAVSSGLIYLGCKGGTVEIWDKKKSSKVDTLQMGTNCRVNCMALDSNEEVLVIGTSAGQIQAWEMN >Vigun04g050001.1.v1.2 pep primary_assembly:ASM411807v1:4:4404837:4408701:-1 gene:Vigun04g050001.v1.2 transcript:Vigun04g050001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRQFAFQNNEQQWRNYHYMRTTMEELSLYETTLFTKMLLMLMLHNFNIINKFFSCIPNWRFFNAAALQICLRA >Vigun07g147250.1.v1.2 pep primary_assembly:ASM411807v1:7:25825054:25827430:1 gene:Vigun07g147250.v1.2 transcript:Vigun07g147250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKFDIEERAKKWVLGSICSSWRNHKCRLKTKYFFPNMPHDYNFKNRPPSVPLEQWKILIKFWKSDIAKVRCEKNKISRAKFTSVHTTGTKTFAEIRYEEMMKNIDGREPSRAEMFIMTHKPKNEETKKIILKLEDAISSHSIEPEKNSTRDDVFSQVFGKDRHGYVRTYGKGVSPSDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLNNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELVEKNAHALIFPFTHTSPRRKKGKKDLNVEIVTLDNDLSILKHPSNAFELFLQEFANNYKETKVDLDNFERELESYFNAATKLKRKLHLSTLKESVIEGKEIGKREQKPKIHFDGIDLKKLKQEKSHKCKFPLSLLVNDSNSLIKNIYVFVILIYQF >Vigun10g185900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40192414:40194442:-1 gene:Vigun10g185900.v1.2 transcript:Vigun10g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELISGLPQDVARDCLIRVSYQQFPTVASVCKLWKSEILSPEYHRQRRSTKHAQKLIAMAQARHQPGTGSTKRVTNPVYRLSVFEPETGHWTELPPPPEFCSGLPMFCQLVSVGHDLVLLGGLDPNSWEASNSVFVYNFLSAEWRRAADMPGGPRTFFACASDSKGTVFVAGGHDNEKNALRSALAYDVTSDRWVSLPDMAAERDECKGVFRRGKFFVVGGYPTETQGRFEKSAEEFDPATWRWDEVKENFLDCATCPRTLVDGGDDEAVFLCSGGDLISLRGNTWQKISTVPGEIRNVAYVGAFDGTLVLIGSSGYGEVHVAFAFDFVSCNWRKLDCPEGFTGHVQTGCVLEI >Vigun01g169300.4.v1.2 pep primary_assembly:ASM411807v1:1:35099717:35106397:1 gene:Vigun01g169300.v1.2 transcript:Vigun01g169300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKSNKSKVEEQAAQVPTIPTVPIIPTVPTVPTMIPRPVPIWTPEMANQFVSHSNGIPAGFDFSAFPEISNWPIHRYEPAPFSHLATGNGVQISFDGFPSSSNGISQLLTQSEVPLAPLAPLAPSANSAATNEDLLSQFIPPYNSQFIPNYSSFGHHQFLGVEQPFPNTIRNQYEDLPGSSYFDYCSKTFAQIAPHQDVVIAAETRKDAIEERNREGEDHNTTSTMCYNPHSKINELTTEVAAVSAPQEEISRGIDLNKTPQPKPKTRKHRPKVINEGQPKRTIKKAAAETVQSKKNRADKRKQRKGLNTASTPQTEGRGDWTSGPASTAKTCNRSLNFENTPFANASSSSNWVADHNGLQECPNNSIGRDGWGKGCGLQALGSKRKQPGIEQADNTSINLLGAEHNAMQAYYLNLKYEVQFPNVQKKRRSVKGRISEAPRKSCWASGSDYTAAGVPVLIQDKHPLQYALSLGQKRPTKSRSRASTRTHVDLSHTAKQTDIGPSLPKKKRTGKRRSPVNSASSCKNERQACVEEWKKWKKIVDGLTEQFTFLNINREAQVLEAQELESHGQLVPYNQPNGTIVPYKPKKQSVRPKVYLDEETAKVWTLLLEDSNSSGIDGSDAEKAIWWEQERKVFRGRAETFIAKMHRVQGDRRFSMWKGSVVDSVVGVFLTQNVSDHLSSSAFMALASRFPLKSTSTCHKESTCHKESMSSTDNELPVCTVHPEGNGNRGNESDSKMFESVERHNSEHSPVESVMTEEGLHDFSTDQNPEKIGSFSDSNSEIEDPLSTAQFNIYNNRASFLELLEMASSTKLPEVNGQRSKATESFTKTSDQPIDLNYDNQTGNLEKSDATPYTLEPPMTSQTQESKQKEKQKDVGSPNHEKRKGKGKKQLASEKGKKKSASAEPKSKKKEENNFDWDSLRVQAQARAGKREKTENTMDSVDWDAVRRADVKEISKTIKERGMNNMLADRIQSFLNRLVDKHEGIDLEWLRDVPPDQAKKFLLSIKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLDAVQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFARYDN >Vigun01g169300.1.v1.2 pep primary_assembly:ASM411807v1:1:35099653:35108469:1 gene:Vigun01g169300.v1.2 transcript:Vigun01g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKSNKSKVEEQAAQVPTIPTVPIIPTVPTVPTMIPRPVPIWTPEMANQFVSHSNGIPAGFDFSAFPEISNWPIHRYEPAPFSHLATGNGVQISFDGFPSSSNGISQLLTQSEVPLAPLAPLAPSANSAATNEDLLSQFIPPYNSQFIPNYSSFGHHQFLGVEQPFPNTIRNQYEDLPGSSYFDYCSKTFAQIAPHQDVVIAAETRKDAIEERNREGEDHNTTSTMCYNPHSKINELTTEVAAVSAPQEEISRGIDLNKTPQPKPKTRKHRPKVINEGQPKRTIKKAAAETVQSKKNRADKRKQRKGLNTASTPQTEGRGDWTSGPASTAKTCNRSLNFENTPFANASSSSNWVADHNGLQECPNNSIGRDGWGKGCGLQALGSKRKQPGIEQADNTSINLLGAEHNAMQAYYLNLKYEVQFPNVQKKRRSVKGRISEAPRKSCWASGSDYTAAGVPVLIQDKHPLQYALSLGQKRPTKSRSRASTRTHVDLSHTAKQTGTSARRTFGDVEGPQTCIDALFADIGPSLPKKKRTGKRRSPVNSASSCKNERQACVEEWKKWKKIVDGLTEQFTFLNINREAQVLEAQELESHGQLVPYNQPNGTIVPYKPKKQSVRPKVYLDEETAKVWTLLLEDSNSSGIDGSDAEKAIWWEQERKVFRGRAETFIAKMHRVQGDRRFSMWKGSVVDSVVGVFLTQNVSDHLSSSAFMALASRFPLKSTSTCHKESTCHKESMSSTDNELPVCTVHPEGNGNRGNESDSKMFESVERHNSEHSPVESVMTEEGLHDFSTDQNPEKIGSFSDSNSEIEDPLSTAQFNIYNNRASFLELLEMASSTKLPEVNGQRSKATESFTKTSDQPIDLNYDNQTGNLEKSDATPYTLEPPMTSQTQESKQKEKQKDVGSPNHEKRKGKGKKQLASEKGKKKSASAEPKSKKKEENNFDWDSLRVQAQARAGKREKTENTMDSVDWDAVRRADVKEISKTIKERGMNNMLADRIQSFLNRLVDKHEGIDLEWLRDVPPDQAKKFLLSIKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLDAVQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPAPEENRMVATSGDNATEGKQSQVINQMPLLLPENPIEEALQQNQMIIHPLVEEPASPKPERSQPSRVVDIEDAFKKDSFEIPNIEVDMEVFNLSLRNYVQQNMELQKGEMSKALVALNPDEACIPTPKLKNVSSYELPDSHPLLNGWEMREPDDPGKYLLAIWTPGETADSIQPPEIRCSSQDSDQLCKENECYACNSRRESNSQIVRGTILIPCRTAMKGSFPLNGTYFQVNEVFADNDSSINPVSVPRSWIWNLGRKTVYFGTSVSAIFKGLSTQTIQQNFWRGYVCVRGFDRETRSPRPLLARLHFPASKKPKTPKIPKTPKKKDNTKEKSNPVN >Vigun01g169300.2.v1.2 pep primary_assembly:ASM411807v1:1:35099717:35109117:1 gene:Vigun01g169300.v1.2 transcript:Vigun01g169300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKSNKSKVEEQAAQVPTIPTVPIIPTVPTVPTMIPRPVPIWTPEMANQFVSHSNGIPAGFDFSAFPEISNWPIHRYEPAPFSHLATGNGVQISFDGFPSSSNGISQLLTQSEVPLAPLAPLAPSANSAATNEDLLSQFIPPYNSQFIPNYSSFGHHQFLGVEQPFPNTIRNQYEDLPGSSYFDYCSKTFAQIAPHQDVVIAAETRKDAIEERNREGEDHNTTSTMCYNPHSKINELTTEVAAVSAPQEEISRGIDLNKTPQPKPKTRKHRPKVINEGQPKRTIKKAAAETVQSKKNRADKRKQRKGLNTASTPQTEGRGDWTSGPASTAKTCNRSLNFENTPFANASSSSNWVADHNGLQECPNNSIGRDGWGKGCGLQALGSKRKQPGIEQADNTSINLLGAEHNAMQAYYLNLKYEVQFPNVQKKRRSVKGRISEAPRKSCWASGSDYTAAGVPVLIQDKHPLQYALSLGQKRPTKSRSRASTRTHVDLSHTAKQTDIGPSLPKKKRTGKRRSPVNSASSCKNERQACVEEWKKWKKIVDGLTEQFTFLNINREAQVLEAQELESHGQLVPYNQPNGTIVPYKPKKQSVRPKVYLDEETAKVWTLLLEDSNSSGIDGSDAEKAIWWEQERKVFRGRAETFIAKMHRVQGDRRFSMWKGSVVDSVVGVFLTQNVSDHLSSSAFMALASRFPLKSTSTCHKESTCHKESMSSTDNELPVCTVHPEGNGNRGNESDSKMFESVERHNSEHSPVESVMTEEGLHDFSTDQNPEKIGSFSDSNSEIEDPLSTAQFNIYNNRASFLELLEMASSTKLPEVNGQRSKATESFTKTSDQPIDLNYDNQTGNLEKSDATPYTLEPPMTSQTQESKQKEKQKDVGSPNHEKRKGKGKKQLASEKGKKKSASAEPKSKKKEENNFDWDSLRVQAQARAGKREKTENTMDSVDWDAVRRADVKEISKTIKERGMNNMLADRIQSFLNRLVDKHEGIDLEWLRDVPPDQAKKFLLSIKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLDAVQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPAPEENRMVATSGDNATEGKQSQVINQMPLLLPENPIEEALQQNQMIIHPLVEEPASPKPERSQPSRVVDIEDAFKKDSFEIPNIEVDMEVFNLSLRNYVQQNMELQKGEMSKALVALNPDEACIPTPKLKNVSSYELPDSHPLLNGWEMREPDDPGKYLLAIWTPGEKKN >Vigun01g169300.3.v1.2 pep primary_assembly:ASM411807v1:1:35099717:35109056:1 gene:Vigun01g169300.v1.2 transcript:Vigun01g169300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKSNKSKVEEQAAQVPTIPTVPIIPTVPTVPTMIPRPVPIWTPEMANQFVSHSNGIPAGFDFSAFPEISNWPIHRYEPAPFSHLATGNGVQISFDGFPSSSNGISQLLTQSEVPLAPLAPLAPSANSAATNEDLLSQFIPPYNSQFIPNYSSFGHHQFLGVEQPFPNTIRNQYEDLPGSSYFDYCSKTFAQIAPHQDVVIAAETRKDAIEERNREGEDHNTTSTMCYNPHSKINELTTEVAAVSAPQEEISRGIDLNKTPQPKPKTRKHRPKVINEGQPKRTIKKAAAETVQSKKNRADKRKQRKGLNTASTPQTEGRGDWTSGPASTAKTCNRSLNFENTPFANASSSSNWVADHNGLQECPNNSIGRDGWGKGCGLQALGSKRKQPGIEQADNTSINLLGAEHNAMQAYYLNLKYEVQFPNVQKKRRSVKGRISEAPRKSCWASGSDYTAAGVPVLIQDKHPLQYALSLGQKRPTKSRSRASTRTHVDLSHTAKQTDIGPSLPKKKRTGKRRSPVNSASSCKNERQACVEEWKKWKKIVDGLTEQFTFLNINREAQVLEAQELESHGQLVPYNQPNGTIVPYKPKKQSVRPKVYLDEETAKVWTLLLEDSNSSGIDGSDAEKAIWWEQERKVFRGRAETFIAKMHRVQGDRRFSMWKGSVVDSVVGVFLTQNVSDHLSSSAFMALASRFPLKSTSTCHKESTCHKESMSSTDNELPVCTVHPEGNGNRGNESDSKMFESVERHNSEHSPVESVMTEEGLHDFSTDQNPEKIGSFSDSNSEIEDPLSTAQFNIYNNRASFLELLEMASSTKLPEVNGQRSKATESFTKTSDQPIDLNYDNQTGNLEKSDATPYTLEPPMTSQTQESKQKEKQKDVGSPNHEKRKGKGKKQLASEKGKKKSASAEPKSKKKEENNFDWDSLRVQAQARAGKREKTENTMDSVDWDAVRRADVKEISKTIKERGMNNMLADRIQSFLNRLVDKHEGIDLEWLRDVPPDQAKKFLLSIKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLDAVQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPAPEENRMVATSGDNATEGKQSQVINQMPLLLPENPIEEALQQNQMIIHPLVEEPASPKPERSQPSRVVDIEDAFKKDSFEIPNIEVDMEVFNLSLRNYVQQNMELQKGEMSKALVALNPDEACIPTPKLKNVSSYELPDSHPLLNGWEMREPDDPGKYLLAIWTPGEKKN >Vigun09g151800.2.v1.2 pep primary_assembly:ASM411807v1:9:31593908:31595578:1 gene:Vigun09g151800.v1.2 transcript:Vigun09g151800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTMEGESSSSREAKEAKKMPILLSELIIEILLRLPVKSLVRFKCVYFNASLHDDSAMYTLNLDFLSPNDYSDFRIVGSCRGFLLLNSYQSLWVWNPSTGVHRKLPSTLIESNFKTFLLGFGYDPSADDYLVVKASSYDNPMRGFDKATNRVEFFSLKTNVWRDVEANNLSYVNYNDDIKGGALLNGAIHWFAFPSDVRHGVIVVFDLTGRSLSEMLLPDELLDHYDLDTCELLVLGDLLSISFLEMDRSVEIWMMEEYGVESSWTLTIVVSAEDIPADRFFFPICYTRGGDIFGTDASTGLAKYNDMGELQEHRSYCNRRDAFEVAVYTESLFSFPM >Vigun09g151800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31593888:31595578:1 gene:Vigun09g151800.v1.2 transcript:Vigun09g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTMEGESSSSREAKEAKKMPILLSELIIEILLRLPVKSLVRFKCVCKSWLSLLSDPYFAISHFQRLTAASTARLLLIAPPNPGIRSIDFNASLHDDSAMYTLNLDFLSPNDYSDFRIVGSCRGFLLLNSYQSLWVWNPSTGVHRKLPSTLIESNFKTFLLGFGYDPSADDYLVVKASSYDNPMRGFDKATNRVEFFSLKTNVWRDVEANNLSYVNYNDDIKGGALLNGAIHWFAFPSDVRHGVIVVFDLTGRSLSEMLLPDELLDHYDLDTCELLVLGDLLSISFLEMDRSVEIWMMEEYGVESSWTLTIVVSAEDIPADRFFFPICYTRGGDIFGTDASTGLAKYNDMGELQEHRSYCNRRDAFEVAVYTESLFSFPM >Vigun05g300300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48405846:48406025:1 gene:Vigun05g300300.v1.2 transcript:Vigun05g300300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSCFSFISGTVCGVYLAQNYQVPNITKLADTALFMAKVVEEKYRKPKKWDDDDDV >Vigun06g176000.5.v1.2 pep primary_assembly:ASM411807v1:6:29616981:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQK >Vigun06g176000.3.v1.2 pep primary_assembly:ASM411807v1:6:29616972:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQK >Vigun06g176000.1.v1.2 pep primary_assembly:ASM411807v1:6:29616877:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQKELHSTRGVLAAERARAFQLQVEVFHLKQRLQSIENRAPTPRKPFHV >Vigun06g176000.4.v1.2 pep primary_assembly:ASM411807v1:6:29616972:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQKELHSTRGVLAAERARAFQLQVEVFHLKQRLQSIENRAPTPRKPFHV >Vigun06g176000.6.v1.2 pep primary_assembly:ASM411807v1:6:29616981:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQKELHSTRGVLAAERARAFQLQVEVFHLKQRLQSIENRAPTPRKPFHV >Vigun06g176000.2.v1.2 pep primary_assembly:ASM411807v1:6:29616877:29622516:1 gene:Vigun06g176000.v1.2 transcript:Vigun06g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRHMKLGSRLKVQLSDTPQGTRSPIRHHQKRNGEGAARTSGHSEEIDSQFLSATAEMHTSTSGSSENWMVLSIAGEKPTPRSYHAAAVVQNKMVVVGGESGNGLLDDVQVLNFDRFLWTMASSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDKISVWVFDTETECWSLMEAKGDIPVARSGHTVVMANSVLIMFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGAAPCPRFNHVAALYDGKILFIFGGASKSRTLNDLYSLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLVYDVVKSEWSVAIGSPPSSITTNKGFSLVLVQHKDKDFLVAFGGSKKEPSNQVEVLITEKNESRKRPTSTKGQRSLLLEKHSLSAGLASQLKKDSSQHLIDSVVRQNLASAIEHGSERKSLSETLLEQDSNSLRTKTSLRRQFDHHEEYNPDVRIKHSEDDPGNQMRSSGSKTNMEEQQVSVSGNSNQQNLGFENPLLESDDVSFPENGKSGSLSAASSIHHYHETKVASLMRKFGLLEGQLSAALASREAAEKSLASAFKSRQEMEKKLSDTLKEMELLREKLVSAELSQEEATNLSNLVHADNVRLEHDVAFLKAVLDDTQK >Vigun02g202400.1.v1.2 pep primary_assembly:ASM411807v1:2:33659567:33660701:1 gene:Vigun02g202400.v1.2 transcript:Vigun02g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAPEGEGEGRGRGLGLSEEEYDLIYGSESGWVEARSSCDHLSSLSADLSHIPPPDTPCHTCQHPSENWLCLSCKEVLCGRFVNKHMLHHFHHTNHSLALSFSDLSFWCFSCDAYLDAQLIPQLRPIHQLAYVMKFGESPPLPLIQQHQPSSAR >Vigun07g294200.4.v1.2 pep primary_assembly:ASM411807v1:7:40519889:40527948:-1 gene:Vigun07g294200.v1.2 transcript:Vigun07g294200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNSQQPNSNACYTEGSTVDSFRLSDFDQSVGYRIEDAVALSGNSILDSLKVSSQTISRGPIQLGSFDKLPTSLDKSILTNQTERQSLQFQKVHTSNLVTGDTEHQEEFAMADASPRTDISTDADTDDKNPQFDRSQALAAVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDAEYARWLEEQNRQVNELRAAVNSHAAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMEALQQSLSETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIKQADNLRQQTLQQIHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun07g294200.2.v1.2 pep primary_assembly:ASM411807v1:7:40519892:40527948:-1 gene:Vigun07g294200.v1.2 transcript:Vigun07g294200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNSQQPNSNACYTEGSTVDSFRLSDFDQSVGYRIEDAVALSGNSILDSLKVSSQTISRGPIQLGSFDKLPTSLDKSILTNQTERQSLQFQKVHTSNLVTGDTEHQEEFAMADASPRTDISTDADTDDKNPQFDRSQALAAVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDAEYARWLEEQNRQVNELRAAVNSHAGDTELCMIIDGVMAHYDEIFRLKANAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMEALQQSLSETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIKQADNLRQQTLQQIHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun07g294200.1.v1.2 pep primary_assembly:ASM411807v1:7:40519798:40528013:-1 gene:Vigun07g294200.v1.2 transcript:Vigun07g294200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNSQQPNSNACYTEGSTVDSFRLSDFDQSVGYRIEDAVALSGNSILDSLKVSSQTISRGPIQLGSFDKLPTSLDKSILTNQTERQSLQFQKVHTSNLVTGDTEHQEEFAMADASPRTDISTDADTDDKNPQFDRSQALAAVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDAEYARWLEEQNRQVNELRAAVNSHAGDTELCMIIDGVMAHYDEIFRLKANAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMEALQQSLSETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIKQADNLRQQTLQQIHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun07g294200.3.v1.2 pep primary_assembly:ASM411807v1:7:40519889:40527948:-1 gene:Vigun07g294200.v1.2 transcript:Vigun07g294200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNSQQPNSNACYTEGSTVDSFRLSDFDQSVGYRIEDAVALSGNSILDSLKVSSQTISRGPIQLGSFDKLPTSLDKSILTNQTERQSLQFQKVHTSNLVTGDTEHQEEFAMADASPRTDISTDADTDDKNPQFDRSQALAAVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDAEYARWLEEQNRQVNELRAAVNSHAAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMEALQQSLSETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIKQADNLRQQTLQQIHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun05g179101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:33872896:33873212:1 gene:Vigun05g179101.v1.2 transcript:Vigun05g179101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYVTCVLKIIVSIIHCCRYSPLHNVRRPWEQHTDQSIQYPLTMLLTVDHDDRVVPLHTLKLLAVGLLLMNSHSNCRHKLTSIY >Vigun04g102901.1.v1.2 pep primary_assembly:ASM411807v1:4:23908662:23910498:1 gene:Vigun04g102901.v1.2 transcript:Vigun04g102901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHIIVQSKQFGELKDQVTMEQILQVVFMDSEPSSHVVVRRILSGRSNRPYQAGFPCVPRFNKVRSTNIKI >Vigun01g090400.2.v1.2 pep primary_assembly:ASM411807v1:1:25060053:25064864:-1 gene:Vigun01g090400.v1.2 transcript:Vigun01g090400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAVDLRASIWKQMASAGIKYIPSNTFSYYDQVLDATATLGAVPQRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGVDTIPVLVGPVTYLLLSKPAKGVEKSFNLLSLLPKVLAVYKDVIADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYAELAPALSGLNVLIATYFADVPAEAYKVLTSLNGVTAYGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLATLQGLEGVVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALAKALSGNKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEEEYVKSIKEEIHKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSFTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQADYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRNELAK >Vigun01g090400.4.v1.2 pep primary_assembly:ASM411807v1:1:25060060:25064705:-1 gene:Vigun01g090400.v1.2 transcript:Vigun01g090400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAVDLRASIWKQMASAGIKYIPSNTFSYYDQVLDATATLGAVPQRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGVDTIPVLVGPVTYLLLSKPAKGVEKSFNLLSLLPKVLAVYKDVIADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYAELAPALSGLNVLIATYFADVPAEAYKVLTSLNGVTAYGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLATLQGLEGVVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALAKALSGNKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEEEYVKSIKEEIHKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSFTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQADYLDWAVHSFRITNVGVQDTTQVLLDHHKSSPWVIIIIFHPILHHVIINFSY >Vigun01g090400.1.v1.2 pep primary_assembly:ASM411807v1:1:25060060:25064662:-1 gene:Vigun01g090400.v1.2 transcript:Vigun01g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAVDLRASIWKQMASAGIKYIPSNTFSYYDQVLDATATLGAVPQRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGVDTIPVLVGPVTYLLLSKPAKGVEKSFNLLSLLPKVLAVYKDVIADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYAELAPALSGLNVLIATYFADVPAEAYKVLTSLNGVTAYGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLATLQGLEGVVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALAKALSGNKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEEEYVKSIKEEIHKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSFTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQADYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRNELAK >Vigun01g090400.3.v1.2 pep primary_assembly:ASM411807v1:1:25060060:25064658:-1 gene:Vigun01g090400.v1.2 transcript:Vigun01g090400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAVDLRASIWKQMASAGIKYIPSNTFSYYDQVLDATATLGAVPQRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDVNFTYSSHKAVEEYKEAKALGVDTIPVLVGPVTYLLLSKPAKGVEKSFNLLSLLPKVLAVYKDVIADLKAAGASWIQFDEPTLVLDLESHKLQAFTDAYAELAPALSGLNVLIATYFADVPAEAYKVLTSLNGVTAYGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLATLQGLEGVVGKDKLVVSTSSSLLHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALAKALSGNKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEEEYVKSIKEEIHKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSFTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQADYLDWAVHSFRITNVGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRNELAK >Vigun07g181700.1.v1.2 pep primary_assembly:ASM411807v1:7:29838852:29841927:-1 gene:Vigun07g181700.v1.2 transcript:Vigun07g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding YPKVKVRHDDDGELGLKAFLSLYLQPSSPVTDKKVVSMPSVVRVPECYVPHVAIPRVPVTEDSGDFSLASDSPVTTGSEKDGSTDEIKVNIRASSIPPPRAVISSPDNDSMIGNRNKTRDGRLSTSKNGAVLQNRHAHCKVRSHDVTDFPPETRKHREPGSKEETDSVGKKKTHKGSIKFEKVPWRF >Vigun09g242600.1.v1.2 pep primary_assembly:ASM411807v1:9:41180507:41181963:1 gene:Vigun09g242600.v1.2 transcript:Vigun09g242600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHENPLSKYTVPLTISFFCLFLFLFIVSSISRKLKNHSAATRKAPPEASGAWPLIGHLHLLGGSEPPHVTLGHMADRYGPVFSLRLGAYKTLVVSDWEMAKECFTVNDKAFANRPKSMSFEVLGYNCSMMGFSPYGSYWRQMRKIATLELLSSRRIETLKHVMVAEVKASMRETYKLWLKEENSCSEMKKWFGNIALNIMFRTVVGIRFVSDGGANEENERLRKAFREFIDLGGSFAVSDSLPYLRWLDLDGKEKKMKRTAKELDGFVQSTLEEHKRNRDCGSGERKHGQDLMDVLLGLVEEGEEFEGHDPDTTIKATCLALILAGSDTTTGTLTWALSLLLNNREILKK >Vigun07g013100.5.v1.2 pep primary_assembly:ASM411807v1:7:1159303:1171916:1 gene:Vigun07g013100.v1.2 transcript:Vigun07g013100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAIRALVLNIYNVSDDKVYQFISTPPVSKYFSDLICRLKDLCFRLDAVVYDKEKMDTQKRRNGIILESEKIADELYYFKDILNVGEPRLTRLVMENLLNGLVFPLLRSFMASKNINGSDLSAITSLYIISRLLQVVGGRSTINNVACVLLYHILNFNVKSPSEGNTFDFHYDIVTFSKRLNEVENVISSAPESNGSQSINGTYLGAHWEGFMSSITICSPNGEICSERSGIFAFLFCKDQSLLLASIFLLLILIESKDLDCDLSLMSKLPEMGDEMTNGTTSKTVDGSIFIKFMPEILKALLNVLAFQQPLSTMMLWHAGWCLQKLLVFHKMELDSDNLLLFTTSYDQSRVKFMKELEGVWLDHIPDTLITELASCKRVLEQPSQYKDPLFLLELVLHQQPTNGQRASYFAWQRMVDVVKAFVLHIQLRTLIFEGVLLEKPLLNMISSSTNDSGVIRTSKISSASFGSNVSLGRHRVRTTLWNCIFKF >Vigun07g013100.3.v1.2 pep primary_assembly:ASM411807v1:7:1159303:1169661:1 gene:Vigun07g013100.v1.2 transcript:Vigun07g013100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAIRALVLNIYNVSDDKVYQFISTPPVSKYFSDLICRLKDLCFRLDAVVYDKEKMDTQKRRNGIILESEKIADELYYFKDILNVGEPRLTRLVMENLLNGLVFPLLRSFMASKNINGSDLSAITSLYIISRLLQVVGGRSTINNVACVLLYHILNFNVKSPSEGNTFDFHYDIVTFSKRLNEVENVISSAPESNGSQSINGTYLGAHWEGFMSSITICSPNGEICSERSGIFAFLFCKDQSLLLASIFLLLILIESKDLDCDLSLMSKLPEMGDEMTNGTTSKTVDGSIFIKFMPEILKALLNVLAFQQPLSTMMLWHAGWCLQKLLVFHKMELDSDNLLLFTTSYDQSRVKFMKELEGVWLDHIPDTLITELASCKRVLEQPSQYKDPLFLLELVLHQQPTNGQRASYFAWQRMVDVVKAFVLHIQLRTLIFEGVLLEKPLLNMISSSTNDSGVIRTSKISSASFGSNVSLESGLPCGIAFSNSEIRDIYVIPVASGITGKLLLAEKHPFRSRHGVVIAIAPLAGLCPKIDEKHSSWLHVGIREFDPQFYSMKGREKHASMGDDWADGRWTLGFPNARACEEAQLAILNEMGKQRSALQYMLAPLLEDDFGLPQN >Vigun07g013100.4.v1.2 pep primary_assembly:ASM411807v1:7:1159303:1171916:1 gene:Vigun07g013100.v1.2 transcript:Vigun07g013100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAIRALVLNIYNVSDDKVYQFISTPPVSKYFSDLICRLKDLCFRLDAVVYDKEKMDTQKRRNGIILESEKIADELYYFKDILNVGEPRLTRLVMENLLNGLVFPLLRSFMASKNINGSDLSAITSLYIISRLLQVVGGRSTINNVACVLLYHILNFNVKSPSEGNTFDFHYDIVTFSKRLNEVENVISSAPESNGSQSINGTYLGAHWEGFMSSITICSPNGEICSERSGIFAFLFCKDQSLLLASIFLLLILIESKDLDCDLSLMSKLPEMGDEMTNGTTSKTVDGSIFIKFMPEILKALLNVLAFQQPLSTMMLWHAGWCLQKLLVFHKMELDSDNLLLFTTSYDQSRVKFMKELEGVWLDHIPDTLITELASCKRVLEQPSQYKDPLFLLELVLHQQPTNGQRASYFAWQRMVDVVKAFVLHIQLRTLIFEGVLLEKPLLNMISSSTNDSGVIRTSKISSASFGSNVSLGRHRVRTTLWNCIFKF >Vigun07g013100.2.v1.2 pep primary_assembly:ASM411807v1:7:1157647:1169661:1 gene:Vigun07g013100.v1.2 transcript:Vigun07g013100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALWRSIDRFSVQNFQYVINELRKINVVDKSNRELVMDLLQSVVEIVMYGDRQDPQIFECFMERQVLADFVHILKISEDSKIEAPLLQYLSIMIQNMDSEHAIYYCFSNGYINNIISHPFKFDGGDLASYYVSFLRAISCKINRHTLCLLVDIQGDAIVSFPLYTGALRFAQHEEKMIQTAIRALVLNIYNVSDDKVYQFISTPPVSKYFSDLICRLKDLCFRLDAVVYDKEKMDTQKRRNGIILESEKIADELYYFKDILNVGEPRLTRLVMENLLNGLVFPLLRSFMASKNINGSDLSAITSLYIISRLLQVVGGRSTINNVACVLLYHILNFNVKSPSEGNTFDFHYDIVTFSKRLNEVENVISSAPESNGSQSINGTYLGAHWEGFMSSITICSPNGEICSERSGIFAFLFCKDQSLLLASIFLLLILIESKDLDCDLSLMSKLPEMGDEMTNGTTSKTVDGSIFIKFMPEILKALLNVLAFQQPLSTMMLWHAGWCLQKLLVFHKMELDSDNLLLFTTSYDQSRVKFMKELEGVWLDHIPDTLITELASCKRVLEQPSQYKDPLFLLELVLHQQPTNGQRASYFAWQRMVDVVKAFVLHIQLRTLIFEGVLLEKPLLNMISSSTNDSGVIRTSKISSASFGSNVSLESGLPCGIAFSNSEIRDIYVIPVASGITGKLLLAEKHPFRSRHGVVIAIAPLAGLCPKIDEKHSSWLHVGIREFDPQFYSMKGREKHASMGDDWADGRWTLGFPNARACEEAQLAILNEMGKQRSALQYMLAPLLEDDFGLPQN >Vigun06g229300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33651649:33652330:1 gene:Vigun06g229300.v1.2 transcript:Vigun06g229300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKGKSCAKRKKPGMFTQTEIEMARQLVQLSNSITDSSNGITGSLNSGESVEWKWRKRNDNDISDAVEDVLAEIEEDESLRRRNNRYRYIQDLYNSTRSIET >Vigun10g121800.1.v1.2 pep primary_assembly:ASM411807v1:10:32897038:32902201:1 gene:Vigun10g121800.v1.2 transcript:Vigun10g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNLPASKRVGGPRQWRVLDVVTAAFFGLVFLFFVLVFTSLGDSLASSGRQTLHLSTSDPLQRLRVSAAIEAGQPLVIEACPADTADHMPCEDPRLNSQLSREMNYYRERHCPPLENTPLCLVPPPPGYKVSVQWPESLHKIWYSNMPYNKIADRKGHQGWMKIEGPYFIFPGGGTMFPDGAEQYIEKLGQYIPMNGGVLRTALDMGCGVASFGGYLLAQNILTMSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWSDLQAVARALCYELIAVDGNTVIWKKPAMDTCLPNQNEFGLGMCDDSDDPNIAWYFKLKKCVTRMSSVKGIVGTISKWPERLTAPPVRSTILKNGADVYEADTKRWVRRVAFYKNSLKIKLGTPAIRNVMDMNAFFGGFAAALNSDPVWVMNVVPSHKPPTLDAIFDRGLIGVYHDWCEPFSTYPRTYDLIHATSIESLIKDPASAKNRCNLVDLIVELDRILRPEGTLVVRDTPEVIERVARVARAVRWEPTIYNKEPESHGRENILVATKTLWKL >Vigun09g058900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5997103:6000054:-1 gene:Vigun09g058900.v1.2 transcript:Vigun09g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPPTLSFHHKLPIQHRSSWTWNRNKNNNHFPSFKVPKSSLSPPTTRKVHDHSRAKINHPHQPFLQRLHNLCDAGNLNEALNLLHSQTQNGVVSSSDVTKEAIGLLLRASALHKDIDVGRKLHAMVSASHRFRNDVVLNTRIISMFSACGSPSDSRSAFDAAKEKDLFLYNALLSSYARNALFRDAISLFLDLLYATELVPDNFTLPCVVKACAGVADAGLGEMVHALALKFGLFFDVFVGNALIAMYGKCGLVESAVKVFETMPERNLVTWNSMMYAYSENGGFEECYGVFKGLLSEEEGLVPDVATMVTVIPACATLGEVGMGMVLHGLAFKLGISEEMTVNNSLVDMYSKCGYLGEARVLFDMNGGRNVVSWNTMIGGYSKEGDFGGVFGLLRKMLVEDKVKVNEVTVLNVLPACSDELLTLKELHGYALRRGFQIDELVANAFVASYAKCGLLDCAERVFCGMEEKTVSSWNALIGAHAQNGLPRKALDLYLVMRDSGLNPDRFTIGSLLLACAHLKFLSCGKEIHGFMMRNGLELDKFLGISLLSLYIQCGSILRAKLIFDKMGNKSLVCWNAMISGFSQNELPCEALDTFQQMLLSGIEPQEIAVMSVLGACSQVSSLRLGKEVHSFALKAHLSDNTFVICALIDMYAKCGSMEHSRNIFDRVDNKDEAVWNVMIAGYGIHGHGLKAIELFELMQNNGCRPDSFTFLGVLMACNHAGIVTEGLKYLGQMQSLYGVKPKLEHYACVIDMLGRAGQLKEALELVNEMPDEPDSGIWSSLLSSCRNYGDLDIGEEVSKKLLELEPYKVENYVLLSNLYAGLGKWDEVRKVRQRMKEIGLHKDAGCSWIEIGGKVYRFIVSDGSLSESKKIQQTWNKLEKKISKIGYKPDTSCVLHELEEEEKIKILKNHSEKLAISFGLLNTAKGTTLRVCKNLRICVDCHNAIKLVSKVVGRDIVVRDNKRFHHFKNGFCTCGDYW >Vigun03g332300.2.v1.2 pep primary_assembly:ASM411807v1:3:53029951:53031783:-1 gene:Vigun03g332300.v1.2 transcript:Vigun03g332300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTTTLGGMFLCGADNLITIFVALECFILCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVCHSNFRYPLSNEWHLLLEILAILSMILGNLIVITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMMFYISMNLGTFACIVSFGLRTETDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQADLYFLVSIGLLTSVVSIYYYLKIIKLLMIGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun04g171400.1.v1.2 pep primary_assembly:ASM411807v1:4:39535369:39542621:-1 gene:Vigun04g171400.v1.2 transcript:Vigun04g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASLLDSSEPGVKNTKGKQDFALSKGEGEVMAKNKQKKRSLAESGEQAPAPRKMPKRAAACKDLKEKSFSISDKSCLIETKKDQTVDEEIVAVSMTAGQDDGRPNRRITEFILHDQEGKAQHLEMLEFIDLYITGVVLPLEASTGKKREKGVKCEGFGRIESWDISGYEDGSPVIWISTDVADYDCQKPAASYKKFYDFFFEKARACVEVYKKLTKSSGGDPSISLDELLAGMARSMSGSKCFSGTASIKDYVIYQGDFIYEQLIGLDMTSKTNDMMFADIAALIALRDEAKKQDAYMHLMPSNGSLRIGSGSGDEENKNQMDSDSPNVKKKDSKLARLLQEEEYWKSLKQPKNHKSSKYYIKINEDEIANDYPLPAFYRTSLQETDEFIICGDDYDQYNVDDLPRNILHNWSLYNSDARLVSLELLPMKPCSDMDVAIFGSGVMKLDDGSGFHLETEVGQSSSTSSEPQTFDGIPIYLSAIKEWMIEFGSLMIFISIRTDMGWYRLGKPAKQYAPWYETVLKTARLAIAIITLLKEQSRVSRLSFGDVIKKVSEFSQNDGSYISSDPLAVERYVVVHGQIILQLFAVFPDSEIRKCPFVTGLANKMEERHHTKWLVKKKKNQPRIEANLNPRAAVGLVVSKRKAMQATTTKLINRIWGEYYSNHLAENQKEGAVSELKEEDELEDHEENEDEDNEEEPILLDGTSKRHSDSKQTKTFSGNAEITWEGKPEGKTSSGYPVYKQAIINGEVISVGKFVLVEVDGADEFPDMYCVEYMFESKNGRKMFHGRMMQHGCHTVIGNAANEREVFLTNECRDLGLQDVKQTVVVNIRKRPWGHQHRKDNAIADRADRARAEERKKKGLPTEYYCKSLYWPERGAFFSLPFDTLGQGSGICSSCKIHDDEKAKNIFNVNSSKSGFLFKGIEYSLNDFVYVSPFEFEEKIEQGTHKSGRNVGLKAYVVCQVLEIVLKMEIKHPEIKSTQVKIRRFYRPEDVSNEKAYCSDIQEVYYSDETHIISVDSIEGKCEVRKKSDIPEQSAPGMFQNVFFCELLYDPATGSLKKLPAHIKVKYSTGRTSDAATRKRKGKCKEGDDDSESSKEGKTLNEKRLATLDIFAGCGGLSEGLEQSGVSSTKWAIEYEEPAGDAFKANHPDALVFINNCNVILRAVMEKCGDIDDCISTTEAAELAAKLDESERSSLPMPGEVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLALASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAACPDDVLPEWPEPMHVFSAPELKITLSENVHYAAVRSTANGAPLRAITVKDTIGDLPAVGNGASKGNMEYQNDPVSWFQKKIRGEMIVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPQEKVTLSNGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYKFSGNIIHKHRQIGNAVPPPLAFALGRKLKEAVNSKNSK >Vigun04g171400.2.v1.2 pep primary_assembly:ASM411807v1:4:39535369:39543739:-1 gene:Vigun04g171400.v1.2 transcript:Vigun04g171400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKQKKRSLAESGEQAPAPRKMPKRAAACKDLKEKSFSISDKSCLIETKKDQTVDEEIVAVSMTAGQDDGRPNRRITEFILHDQEGKAQHLEMLEFIDLYITGVVLPLEASTGKKREKGVKCEGFGRIESWDISGYEDGSPVIWISTDVADYDCQKPAASYKKFYDFFFEKARACVEVYKKLTKSSGGDPSISLDELLAGMARSMSGSKCFSGTASIKDYVIYQGDFIYEQLIGLDMTSKTNDMMFADIAALIALRDEAKKQDAYMHLMPSNGSLRIGSGSGDEENKNQMDSDSPNVKKKDSKLARLLQEEEYWKSLKQPKNHKSSKYYIKINEDEIANDYPLPAFYRTSLQETDEFIICGDDYDQYNVDDLPRNILHNWSLYNSDARLVSLELLPMKPCSDMDVAIFGSGVMKLDDGSGFHLETEVGQSSSTSSEPQTFDGIPIYLSAIKEWMIEFGSLMIFISIRTDMGWYRLGKPAKQYAPWYETVLKTARLAIAIITLLKEQSRVSRLSFGDVIKKVSEFSQNDGSYISSDPLAVERYVVVHGQIILQLFAVFPDSEIRKCPFVTGLANKMEERHHTKWLVKKKKNQPRIEANLNPRAAVGLVVSKRKAMQATTTKLINRIWGEYYSNHLAENQKEGAVSELKEEDELEDHEENEDEDNEEEPILLDGTSKRHSDSKQTKTFSGNAEITWEGKPEGKTSSGYPVYKQAIINGEVISVGKFVLVEVDGADEFPDMYCVEYMFESKNGRKMFHGRMMQHGCHTVIGNAANEREVFLTNECRDLGLQDVKQTVVVNIRKRPWGHQHRKDNAIADRADRARAEERKKKGLPTEYYCKSLYWPERGAFFSLPFDTLGQGSGICSSCKIHDDEKAKNIFNVNSSKSGFLFKGIEYSLNDFVYVSPFEFEEKIEQGTHKSGRNVGLKAYVVCQVLEIVLKMEIKHPEIKSTQVKIRRFYRPEDVSNEKAYCSDIQEVYYSDETHIISVDSIEGKCEVRKKSDIPEQSAPGMFQNVFFCELLYDPATGSLKKLPAHIKVKYSTGRTSDAATRKRKGKCKEGDDDSESSKEGKTLNEKRLATLDIFAGCGGLSEGLEQSGVSSTKWAIEYEEPAGDAFKANHPDALVFINNCNVILRAVMEKCGDIDDCISTTEAAELAAKLDESERSSLPMPGEVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLALASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAACPDDVLPEWPEPMHVFSAPELKITLSENVHYAAVRSTANGAPLRAITVKDTIGDLPAVGNGASKGNMEYQNDPVSWFQKKIRGEMIVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPQEKVTLSNGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYKFSGNIIHKHRQIGNAVPPPLAFALGRKLKEAVNSKNSK >VigunL018000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:23857:24441:-1 gene:VigunL018000.v1.2 transcript:VigunL018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTNMPRKMLFAAIPSICALSSKKISIYNEEMIVARCFIGFIIFSRKSLGNTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQCISKAEKIELIRESLVVLRMVRVGGSIKNK >Vigun04g194700.1.v1.2 pep primary_assembly:ASM411807v1:4:41936503:41942187:1 gene:Vigun04g194700.v1.2 transcript:Vigun04g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASSMGPRYAPPDPTLPKPWKGLVDGKTGYLYFWNPETNVTQYERPSSSAAPPKSSSVPSSSVQVQHASQGLQRGRSPDFSDRYDRNGSGGSNDAGSRNNQSSKGGSYSSHSVPTGTNAAANASYSVKGQGASDAAAVLSPESYRSRHEITVTGDNVPPPLTSFGSSTGFPPEVLREVQNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLMPAFVHLKRCGNNSKMGPTALVLSPTRELATQIQDEAVKFGKSSRISCACLYGGAPKGPQLRDIDRGADIVVATPGRLNDILEMRRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNEVPNRRQTLMFTATWPKEVRKIAADLLVKPVQVNIGNVDELVANKSITQHVEVLPLMEKQRRLENILRSQDQGSKIIIFCSTKKMCDQLARTLTRQFGAAAIHGDKSQAERDHVLSQFRTGRSPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDAKYASDLIKVLEGANQKVPPELRDMSSRGGSGMGRSRRWGSGGRGGRGDSGYGGRNNDSGYGGRGDYGGYGGRGSDSNYGSRGTASSGRGGRGFDYDSQRNDRGPSPEKGSKWSDRYKSVNRERSRSPDRAAALPQHSQSSFHKSMMERGGWGGDSDRNKSSNRDRSRSPSPYRQERTAAVRERSPVHSFHRSMMGQGQSSPPRQRARSPPKEWGGGEVEEGMIPEEDGMIGQGGD >Vigun01g099500.4.v1.2 pep primary_assembly:ASM411807v1:1:26620427:26632096:-1 gene:Vigun01g099500.v1.2 transcript:Vigun01g099500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDNFILSVWCHSNQLCLQSIKEQMSSVRESASFFLGLISVVVWVVAEIPQIITNYRTKSTEGLSLTFLVTWIIGDVFNLLGCLLEPATLLTQLYMAVLYTIITLALGLQTIYYGHIYPQLKYRRQLKVETFTKAGQGEKAGDAEQQSIKLENSNRNTTPSSPIPLPACPQRIGTGRELFYRSARYLSKSHTPTAGSILAQKMASTTALDSIQENLLGSAIATQSAPASRMKSTLCLVSTLTFLGAINLLQPLSKNINHMSSNPRQQFVIHVGRKLFQVGDEQLLNTDVSGSSSIGTFLGWAMTFVYLGGRLPQICLNIRRGHAEGLNPLMFMFAVLGNSTYVASILVISLDWSKIKPNLPWLVDAGGCVLLDFFILMQFIYFRYWTSQYL >Vigun01g099500.3.v1.2 pep primary_assembly:ASM411807v1:1:26620251:26632133:-1 gene:Vigun01g099500.v1.2 transcript:Vigun01g099500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDNFILSVWCHSNQLCLQSIKEQMSSVRESASFFLGLISVVVWVVAEIPQIITNYRTKSTEGLSLTFLVTWIIGDVFNLLGCLLEPATLLTQLYMAVLYTIITLALGLQTIYYGHIYPQLKYRRQLKVETFTKAGQGEKAGDAEQQSIKLENSNRNTTPSSPIPLPACPQRIGTGRELFYRSARYLSKSHTPTAGSILAQKMASTTALDSIQENLLGSAIATQSAPASRMKSTLCLVSTLTFLGAINLLQPLSKNINHMSSNPRQQFVIHVGRKLFQVGDEQLLNTDVSGSSSIGTFLGWAMTFVYLGGRLPQICLNIRRGHAEGLNPLMFMFAVLGNSTYVASILVISLDWSKIKPNLPWLVDAGGCVLLDFFILMQFIYFRYWTSQYL >Vigun01g099500.2.v1.2 pep primary_assembly:ASM411807v1:1:26620251:26632142:-1 gene:Vigun01g099500.v1.2 transcript:Vigun01g099500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDNFILSVWCHSNQLCLQSIKEQMSSVRESASFFLGLISVVVWVVAEIPQIITNYRTKSTEGLSLTFLVTWIIGDVFNLLGCLLEPATLLTQLYMAVLYTIITLALGLQTIYYGHIYPQLKYRRQLKVETFTKAGQGEKAGDAEQQSIKLENSNRNTTPSSPIPLPACPQRIGTGRELFYRSARYLSKSHTPTAGSILAQKMASTTALDSIQENLLGSAIATQSAPASRMKSTLCLVSTLTFLGAINLLQPLSKNINHMSSNPRQQFVIHVGRKLFQVGDEQLLNTDVSGSSSIGTFLGWAMTFVYLGGRLPQICLNIRRGHAEGLNPLMFMFAVLGNSTYVASILVISLDWSKIKPNLPWLVDAGGCVLLDFFILMQFIYFRYWTSQYL >Vigun11g081600.1.v1.2 pep primary_assembly:ASM411807v1:11:24173123:24176473:-1 gene:Vigun11g081600.v1.2 transcript:Vigun11g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKKKGVAIKKTNNHWAFLEHIEAPMWVDLTVEAVSGSADTDGDDWFNKSHPFHQMSAQELKSKFSQGEEILAPGIDLQAVNSPELPSSVSRSRGKDYNNKKWEGINLSTLLDKQTGRRGLQQCSSFGQVKPRLKSNVIRPKGALSGKFGLTFERQAGGKTESKASCSKPSSSSDRKTGGSSPRSTITSENTQKYMEVSSKACDQKISSSIRRVSVGKCCVTRKISNIQPQKKCLGVSSQPCDQKSRSSSVISVRRSYVSVKTSKVEIGDNSTQSRGRKSSSGKSSVGSCSNTSIEVKFVSRQHREKITDEKGGVTIKRAIKNRCKPGETSKALAILAEETKSGNRMGSNINFAKPAYHRTAKSLVQYPSTSSKALLQHSVNKENSCMDRAKAKQRTTKINSLTVKGKENITKNVTVNRKCIARGVSAGGHVRSHRTEHNLQKGDKAGSTSLTNCGESTNQREAKNPVNLAMRIYPR >Vigun11g059700.3.v1.2 pep primary_assembly:ASM411807v1:11:12977252:12979795:-1 gene:Vigun11g059700.v1.2 transcript:Vigun11g059700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPQNRFSCRNSPQESACDGMKNRRSSGKHGYCSRTKKVGGSFGIVGSSEKVNCQTTGIRIEAVSDS >Vigun11g059700.2.v1.2 pep primary_assembly:ASM411807v1:11:12977252:12979795:-1 gene:Vigun11g059700.v1.2 transcript:Vigun11g059700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPQNRFSCRNSPQESACDVDAGMKNRRSSGKHGYCSRTKKVGGSFGIVGSSEKVNCQTTGIRIEAVSDS >Vigun05g031700.2.v1.2 pep primary_assembly:ASM411807v1:5:2512849:2517595:-1 gene:Vigun05g031700.v1.2 transcript:Vigun05g031700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVSFAIDRLYDLLIEEARLLNGVSDKVKSMQNELKRMQCFLRDAESKQDEGDIIKNYISEVRKLAYDAEDVIEIYAIKVAFSISIGTKNPLSRVKSIHKVGSELITINSRISDLTRSLQTYGLTATKDNEESSNMKRQLRWSYSHIVDEFIVGLDKDINKVTEWLLNENENEGCRFVYISGMGGLGKTTLAKSIYHYNAIRRNFDGFAWAYISQQCKKRDVWEGILLKLISPTKEERDEITKMKDDELARKLFKVQQEKKCLIILDDIWSNEAWDILSPAFPSQNTRTKIVFTSRNKDISLHVNPEGLLHEPSCLNAEDSWALFKKKAFPRQDDPESTISDDFKRLGREMVAKCAGLPLAIIVLGGLLATKESVSEWEKIHRHLSSYLIGAEVRDSRRLDEVLDLSYQDLPCQLKPCFLYLSQFPEDSEIPKTKLLQLWVAEGVVSSQFESERDETMEDVAERYLGNLISRCMVQIGQMGSTGRIKTYRLHDLMRDLCLSKARKENFLYIINGSEQNSTTDATRSSNVSDARQIGEVRRLAVYLDQHVDQLIPQDKQVNERLRSLVFFHDKKCRMENWDLVRGVFVKFKLLRVLDLEGIKGLKGQSLSKEVGNLLWLKFLSLKRTRIQILPSSLGNLENLQFLNLQTVNKVSWDSTVEIPNVICKLKRLRHLYLPNWCGNIVNNLQLDNLTNLQTLVNFPASKCDVKDLLKLKKLRKLVLNDPRHFQKFSESFSPPNKRLDCLLSLSLRTDMLSFPENVVDVEKLVLGCPSLRKLQVEGRMERLPDASLFPPHLSKLTLWGCRLVEDPMVTLEKLPNLKFLNGWDLFIGNKMTCSPNGFPQLKVLVLRGLPKLDEWMIENQAMPNLYRLSISDCNNLKTVPDGLKYITSLRELEIRWMPKSFKTRLGTAGEDYHKVQHVPSIIFLN >Vigun05g031700.1.v1.2 pep primary_assembly:ASM411807v1:5:2512849:2517595:-1 gene:Vigun05g031700.v1.2 transcript:Vigun05g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVSFAIDRLYDLLIEEARLLNGVSDKVKSMQNELKRMQCFLRDAESKQDEGDIIKNYISEVRKLAYDAEDVIEIYAIKVAFSISIGTKNPLSRVKSIHKVGSELITINSRISDLTRSLQTYGLTATKDNEESSNMKRQLRWSYSHIVDEFIVGLDKDINKVTEWLLNENENEGCRFVYISGMGGLGKTTLAKSIYHYNAIRRNFDGFAWAYISQQCKKRDVWEGILLKLISPTKEERDEITKMKDDELARKLFKVQQEKKCLIILDDIWSNEAWDILSPAFPSQNTRTKIVFTSRNKDISLHVNPEGLLHEPSCLNAEDSWALFKKKAFPRQDDPESTISDDFKRLGREMVAKCAGLPLAIIVLGGLLATKESVSEWEKIHRHLSSYLIGAEVRDSRRLDEVLDLSYQDLPCQLKPCFLYLSQFPEDSEIPKTKLLQLWVAEGVVSSQFESERDETMEDVAERYLGNLISRCMVQIGQMGSTGRIKTYRLHDLMRDLCLSKARKENFLYIINGSEQNSTTDATRSSNVSDARQIGEVRRLAVYLDQHVDQLIPQDKQVNERLRSLVFFHDKKCRMENWDLVRGVFVKFKLLRVLDLEGIKGLKGQSLSKEVGNLLWLKFLSLKRTRIQILPSSLGNLENLQFLNLQTVNKVSWDSTVEIPNVICKLKRLRHLYLPNWCGNIVNNLQLDNLTNLQTLVNFPASKCDVKDLLKLKKLRKLVLNDPRHFQKFSESFSPPNKRLDCLLSLSLRTDMLSFPENVVDVEKLVLGCPSLRKLQVEGRMERLPDASLFPPHLSKLTLWGCRLVEDPMVTLEKLPNLKFLNGWDLFIGNKMTCSPNGFPQLKVLVLRGLPKLDEWMIENQAMPNLYRLSISDCNNLKTVPDGLKYITSLRELEIRWMPKSFKTRLGTAGEDYHKVQHVPSIIFLN >Vigun05g031700.3.v1.2 pep primary_assembly:ASM411807v1:5:2513291:2517128:-1 gene:Vigun05g031700.v1.2 transcript:Vigun05g031700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVVSFAIDRLYDLLIEEARLLNGVSDKVKSMQNELKRMQCFLRDAESKQDEGDIIKNYISEVRKLAYDAEDVIEIYAIKVAFSISIGTKNPLSRVKSIHKVGSELITINSRISDLTRSLQTYGLTATKDNEESSNMKRQLRWSYSHIVDEFIVGLDKDINKVTEWLLNENENEGCRFVYISGMGGLGKTTLAKSIYHYNAIRRNFDGFAWAYISQQCKKRDVWEGILLKLISPTKEERDEITKMKDDELARKLFKVQQEKKCLIILDDIWSNEAWDILSPAFPSQNTRTKIVFTSRNKDISLHVNPEGLLHEPSCLNAEDSWALFKKKAFPRQDDPESTISDDFKRLGREMVAKCAGLPLAIIVLGGLLATKESVSEWEKIHRHLSSYLIGAEVRDSRRLDEVLDLSYQDLPCQLKPCFLYLSQFPEDSEIPKTKLLQLWVAEGVVSSQFESERDETMEDVAERYLGNLISRCMVQIGQMGSTGRIKTYRLHDLMRDLCLSKARKENFLYIINGSEQNSTTDATRSSNVSDARQIGEVRRLAVYLDQHVDQLIPQDKQVNERLRSLVFFHDKKCRMENWDLVRGVFVKFKLLRVLDLEGIKGLKGQSLSKEVGNLLWLKFLSLKRTRIQILPSSLGNLENLQFLNLQTVNKVSWDSTVEIPNVICKLKRLRHLYLPNWCGNIVNNLQLDNLTNLQTLVNFPASKCDVKDLLKLKKLRKLVLNDPRHFQKFSESFSPPNKRLDCLLSLSLRTDMLSFPENVVDVEKLVLGCPSLRKLQVEGRMERLPDASLFPPHLSKLTLWGCRLVEDPMVTLEKLPNLKFLNGWDLFIGNKMTCSPNGFPQLKVLVLRGLPKLDEWMIENQAMPNLYRLSISDCNNLKTVPDGLKYITSLRELEIRWMPKSFKTRLGTAGEDYHKVQHVPSIIFLN >Vigun10g145100.11.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEVL >Vigun10g145100.3.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.9.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.7.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.1.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.8.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36288705:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.2.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36288680:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.6.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36288705:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.5.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEDISVLREMVESTMKSYPSFHISSGRKVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.4.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEVMEIRPNVNWDKGCALMYLLDTLGFDNFNNVLPIYLGDDRTDEDAFKVIRHIGQGFPIVVSSIAKETQASYSLRDPSDVLAFLIRLARWKKNMLHKTK >Vigun10g145100.12.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36285177:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEVL >Vigun10g145100.10.v1.2 pep primary_assembly:ASM411807v1:10:36281857:36288705:-1 gene:Vigun10g145100.v1.2 transcript:Vigun10g145100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSQPSEHSNMKPISLAKMDENEELCSYASWLEKHPSVLDNFEKVMSVAEGKEIVVFLDYDGTLSPIVDDPDKAYMSDVMRAAVREIAYCFPTAIVSGRCKDKVYEFVKLRNVYYAGSHGMDISTPSGSSKCEDQKHQIKGVDEKGNHVVHFHPAKEFLPTIQEIIKVLKENIRRIKGSMIEDNMFCVTVHYRCVKNEEVL >Vigun04g016800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1224446:1228065:-1 gene:Vigun04g016800.v1.2 transcript:Vigun04g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTIRKAIGVVKDQTSIRIAQVASNMAPEMEVAVVRATSHDDYPASEKYLREILDLMSHSRGYVLACVSAVSKRLGKTRNWIVAVKALMLLHRLIDEGPPLFQEEILYATRRGTRLLNMSDFRDEAHSMSWDHSAFVRSYATYLDQRLELMLFYRKSTDAGTCGGSVGGDGADDRFGGGDNFRWAPYEYGGGDMSESVTPLRDMTLDKRVFDKMDHLQRLLDRFLGCRPTGSAKHSRMVLVALYHVVRESFQLYAAICEVLGLLFDDFFIDMEYVDCVKAFEAFDSATTQFDELVGFYNWCKDIGVVRSSEYPEVQRIATKLLETMEKFVRDMAKRPKSPQTKEEEPAPDKNEIKALPPPEN >Vigun10g028100.1.v1.2 pep primary_assembly:ASM411807v1:10:3407595:3413692:-1 gene:Vigun10g028100.v1.2 transcript:Vigun10g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMQTPENGELNRMEETKLFCEETKEAQKAAMEKRWKDFKKKMMENRGIILTKFDLLGNTAIHVATRSNPELVREMIEMVPEAERWHALCKPNGEGNTVLHEIVFSKKAMEMAEVVFRFEDQLVPREMEEKKPLVELRNNRGETPLFVAAMHGKLKILRYMANRVGTVGTVDNLPKHFRRSDKYNALHASVIGQHFHVAIWLQRKDETLALERDEKQLTSLQLLSKMPQVFRSHIHLGLVKSIIYILLPDEYDNDEQCQLFKQKKDEENGKEDEPKVCKSAISNINYSFWKSLAAEFEGIDHMWKEKKKHKLAEQLASTLVKYDLSWQVSSNEYNRTLLITMPRDPLNVAKRRRVSVQKREERRKNIEERPERIALFIAAKTGIVEIVKKFLEVYPASIYHVTKNKQNILTMAVKYRQKKILKIIERTGTMESLVGQITDKERTVLHEVARMDYYKAEHLAGVAFHLQDELRWYDTVRRFTPKHYNMHSDIDGHTPEDMLEIEHDGMLKEAQKWLKETAQSCSTVAILVATVVFAAAYTIPGGTENGTPVFLHSSEFLFFTIMDVVALATSLASVVVFLSILTSPCDLWDFHKSLPRKLNLGFALLFLSLMTTMLAFSATMLLTIRLEWKNWTSTLIYSAAFFPVTIFAIIQFPVYVMVRSITKHLWKQVKKVFPTRLIERCMSHC >Vigun09g078200.1.v1.2 pep primary_assembly:ASM411807v1:9:9094487:9099760:1 gene:Vigun09g078200.v1.2 transcript:Vigun09g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGMVAAAEALAASGRSAEKLSLSSLQSKMKCDPEGYESELLLIYNQFNSSLELFQRQAAMNFTSVTGIGSDPTIAKDLGDRAMFLSHVTPFYPKHLADFPRKLADLLRCAARTLPSGLRCQLTHALILLSNRKVVDVGETLSLFMELQTLGDRTVKKLAFDHVVHSIRRMNQKHKNEAKNRALQNILFALVQKEEEESAKRALVTLCELHRRKVWFDERTANAICTASFHPSSRIMIAALSFLLDYEKIQDDDDSDDSGSDDETNESPQVVLSRETLYKASHQGTAASKKKKKAKLQRAIRSMKRQQRMSSERTNNNYYSPLNHLKDAQGFAEKLFSRLKNCNERFEVKMMILKLIARTIGLHRLFLLDFYPFLQKYIQPHQRDITNLLAAVVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAITVGLNAVREICMRMPLLMNEDLLQDLALYKKSREKAVSVAARSLIGLFRELCPSLLVKKDRGRPIDPKARPKAYGEVTVATDVSGVELLQTVDNDEQDGDHSDDSACSVSDNDQQNDMMSINDDDDDDDDDEESQLCSDDDTESDDDEARGTDAISEDEDESSDFEAGDSDTAEDVDDKGDDNDEEDDVSDHEEDGEQAYLSDGSNVETKSKLKVSAKKRKFTDFDGQIIAADTSLRALKKLAGAKVGDVLPESQDGILSNEDFQRIKKLKAKREAKDALAQQGLAKSSGIKVPSSDQLSLKRVDGAMLEVHVRKKMSKDERLALVRAGREERGKYHARTAVKQNKTGGLSNRQKEHKKKMPLAAKRDKVSRTRIEKKKKNQRSGKQFRGRKAWKQ >Vigun04g117300.1.v1.2 pep primary_assembly:ASM411807v1:4:29711408:29715367:-1 gene:Vigun04g117300.v1.2 transcript:Vigun04g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRVSVMSPIGLKVIIFMVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDHHGMLSSWTTPDCCQWKGICCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSYNSFSHIPEFLGSLRNLRYLDLSCCYFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLRGNSFQGNLPTELQSLSKLDLSNLQQLYLGGEDYNGDLEFNDRGKLLSNFISLSHLYLWSIHGLNSCHSCLQNIAKLPKLRELSLIDCSLSDHFILSLRPFKFNFSTSLLAFDLSENAFTSPVILQWVSNITSNLVELDLSYNNLEDSVSKHFGMAMNSLEILDLSSNSFKGEVLKSFMNICTLHSLYMHQNNLTEDLSSILQSLSSVGMLKEGNKLPFQLEFLSLSSNLLEGGIPKSFGNACALRSLDMKNNNLSDELSMIIYHLSGCAKYTLDYLNLQENQINGTIPDLSAFSALNSLDLSANRLIGMLKEGSKLPFQLEFLSLSSNLLEGGIPKLFGNACALRSLDMMNNNLSDELSTIIHHLSGCAKYTLEHLSLQENQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPKSFGNACALHSLRMEDNKLSVEFSIIIHHLSGCARYSLEVLYLHMNEINGTLIDLSMFTSLRVLSIGENKLSGKILKNIQFPPQLEELDIQSNSLNGVFTDYHFVNVTKLSYLDLSNNPLTLTFTQNWIPPFQLSSIRLKSCLLGTFPKWLRKQNKYDELDISNSKILDMVPRWFWAKLASGNVGSIDISNNSLHGIIPNIFGKNIVDFLILASNQFEGPIPPFLRGSIFLDLSNNNLLDSHSFLCASGPEKILYQLDLAHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLGLQALLLRNNNLTHEIPFSLRRCTKLVMLDMSNNNLSGQIPAWIGSEMQELQILSLGSNNFNGILPLQICYLKSIQIFDLSLNNLSGKIPTCINNFTSMVNKTFSSDYGRHGYFINISDFRTYHLYDLNAFLMWKGSEQIFKTTELLLLKGIDLSSNNFSEEIPVEIENLVELISLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIDLSHNHLSGVIPTSTQLQSFDASSYEDNLNLCGPPLKKLCIDDGLSQDPIFEIDEYSLFGHEFYISMTLGFTITFWITFGSILFLKT >Vigun04g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6576123:6576829:-1 gene:Vigun04g062500.v1.2 transcript:Vigun04g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAHVSTAVKGTFGYLDPEYYIRQRLTEKSDVYSFGVVLFEVLCARSPLIHTKEIEQVSLANWARYCCQNGTVGEIVDPILKEKIAPDCLAKFCEIGVSCLSQEAMKRPSMNDVVLMLESALKLQESADEGAKEDINHVFDPEKHQNSDNDNDKLMLKLFSDIVDPRPR >Vigun02g118500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27125030:27125724:1 gene:Vigun02g118500.v1.2 transcript:Vigun02g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWHRVSFFHNHTLWTFRKHLVLLPPAPSKPFSHTIAFTPLPIMASAYTCTACGSNLNLNSVYAFPPDFYFEAGNKDSVSFSAFTAIDAAKFKFEKEDKIRPFFETVNYWGIQRKRTKIKCSNCSSLLGHVYDDGPPLTNSPGQFHMGPSQVIPREPRYRFKTKAITIAYT >Vigun10g058570.1.v1.2 pep primary_assembly:ASM411807v1:10:11148444:11149862:1 gene:Vigun10g058570.v1.2 transcript:Vigun10g058570.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGTYCFTTIPSTTSTYPSLPIGSYFDLHKPLLYSKPSKFASLVINGHKRICDLFDLHQEQVPYEVAWSWQKEILKDKRAQIEKKGDCNDTLIILQHSPVYTLGTASIVEILKFDMKNAPFNIYRTVRGGEVTYHGPRQLVMYPIINLRTHNMDLHLYLRILEEVVIRVLSSTFSIQASTVEGLTGVWVGNEKLAVVGIIVSSWITYHGLALNVTTDWSSFKRIIPCGIRDRQVGSIKEFVRKGVGHERTDLHHLNDASLIHITHKSLLE >Vigun10g058570.2.v1.2 pep primary_assembly:ASM411807v1:10:11148224:11149862:1 gene:Vigun10g058570.v1.2 transcript:Vigun10g058570.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRALEKIVPLPFHVLLASSYSMNSGGNVHTFGFWVELIDPLIPSNFLSLLHCFHTTHTEQVPYEVAWSWQKEILKDKRAQIEKKGDCNDTLIILQHSPVYTLGTASIVEILKFDMKNAPFNIYRTVRGGEVTYHGPRQLVMYPIINLRTHNMDLHLYLRILEEVVIRVLSSTFSIQASTVEGLTGVWVVVGIIVSSWITYHGLALNVTTDWSSFKRIIPCGIRDRQVGSIKEFVRKGVGHERTDLHHLNDASLIHITHKSLLE >Vigun06g150750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27556299:27556970:1 gene:Vigun06g150750.v1.2 transcript:Vigun06g150750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVAGGGGGGGSGSGGGGCRGGGGGLRGGAHIDGGAIRGGVLELTMEKKRRLRKRRRRKRRRRKCATLLEPIFPTLSLPTLIIDEQVMLLLVAQLCEFI >Vigun09g083500.1.v1.2 pep primary_assembly:ASM411807v1:9:10200244:10202436:-1 gene:Vigun09g083500.v1.2 transcript:Vigun09g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FLTVNHFASMEFQCLPLLFSLGVILMAAQAALPPEIYWERMLPNTPIPNAVTHLPKLGHKEIQIQYEIPSFGVEKTQTKDSVKEMSSLQDGNPLFFDSYDVKKNKVQDKEMSSSQDGNPLFFNTYGVKKNQLQNDGKEIPSQDETPYDPQSKANVVIGEVVPLANHHHHEHPKSSIILSEEGFRPGTKLDVQFPKRKYLTPLLPREIAEHLPFSSKKLSEILEILAVKPKSENAKNVEKTLKICEDPPTINDEEKHCATSIESMVDFVISKLRKNVHVTSTKAENESKSKKFIVKDGVKILAEEIIACHPMQYPYVVFYCHKLSNSSAHFMPLEGEDGTRVKDVAVCHKDTSEWDPNHIAFQVLKAKPGTISMCHFFPEGHLVWYAK >Vigun01g217300.1.v1.2 pep primary_assembly:ASM411807v1:1:39102631:39110339:1 gene:Vigun01g217300.v1.2 transcript:Vigun01g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDGGVGWLSVEHCSMLLKPSLLSHQSNFRFSRETRIFWARNSTNSYMAPPILSLALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQELWELIEGLEGNELLFYTHLLTGYIGSESFLNTVLQVVNKLRSINPELIYVCDPVMGDEGKLYVPQELVSVYREKVVPVASMLTPNQFEAELLTGFRIQSEAQGREACRLLHAAGPSKVIITSINIDGNLLLIGSHQKEKGEPPRQFKIVIPKIPAYFTGTGDLMTALLLGWSNKYRDNLDIAAELAVSSLQALLHRTLSDYKNAGHDSQSTSLEIRLIQSQDDIRNPEVNFKAEIYS >Vigun10g144500.1.v1.2 pep primary_assembly:ASM411807v1:10:36176844:36179705:1 gene:Vigun10g144500.v1.2 transcript:Vigun10g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSSRKRKRSKKLSKAKASSRIKKSRKNKSKKVHRREVSLSSYDSDDSRSLDTAASSSSEDSYRRKRDRSRTRKHVKGRKRKAQERSYNRDSSEDSHYVRKKKKVKRKHERDEVKKKLSQKKNIRREASVDLMSDTSQSCSTCQDGIASSDDNRYKKQRGRSERKEKDRRLRRSGSERSSRYRARSSSSCSSENSNEATKQKYAGEKNSRQLRSVITVTKAAEEYEELCRNETREEIANDLDYPCGSDDNNDGGTRRQLELHTHLVSEEKLSLDNEAGDMNVDLNFVEPGVRDMSYNDNSNFKAYSAGTGESAKETSETFDANVNDDDLEKILRQRALENLRKFRSEMQSSGKAPDQKSKIISQVKQPIADKLELVQGKSVVNNAVVGTKFDKQTPGEETNLLVGKRNLVACLRDNEVILDSNKDISIYAMCDIASAPKKVIDHHSGTITEPTNFNATNVELTKQTQNPCLDSFQTPASHESTNAKKLLVTERNAAKTPQAAIQSINNIRDADVSSVENKSNKLQAESNQDSQFEKKTMNVMRDGEMVQVSYKVYIPKKVPALARRQLKR >Vigun03g056200.1.v1.2 pep primary_assembly:ASM411807v1:3:4580770:4581347:-1 gene:Vigun03g056200.v1.2 transcript:Vigun03g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLGFLFLLFLVFATDVAVKKVEGKVCWSKSRVYTSPCYSNEICANYCKGDGFDGGDCGGSRRLCFCSKNC >Vigun10g159900.1.v1.2 pep primary_assembly:ASM411807v1:10:37899336:37901309:-1 gene:Vigun10g159900.v1.2 transcript:Vigun10g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNAAAPPRQLSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKQQEEEEAPAGDYAITDYNPGAIAADGQWPGTIDQSWTDAVPQPIPAVPGVNWGAPPSAEAPVAGDWGEAVPPPQQIPLPPTGVESVQPTGWD >Vigun05g165000.1.v1.2 pep primary_assembly:ASM411807v1:5:26986904:26992677:1 gene:Vigun05g165000.v1.2 transcript:Vigun05g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRISLKKKYKVIRKVKEHNQKKAKEARKLQLSGKKKVEKDPGIPNDWPFKEQELKAIEARRAKAIEELEQKKAERKERARKRKLGLLEEEDDSKLLEDSKTNSNDFGTALKTRDSSDRAFYKDLVKVIEASDVLLEVLDARDPLGTRCPEIESMVMKSGPDKRLVLLLNKIDLVPKEALEKWLKYLREELPTVAFKCSTQQQRSNLAWRKSSKKGKSSNVLQLSDCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSKENDASIALKNCKRIENLQDPIGPVKEILKLCLPEQRLTLYNIPSFNVGDVDDFLRQVAIVKGKLKTGGILDIDTTARIVLRDWNEGKIQYYTMPPNRDQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKSVDDFSAVEVPSSHPHPLDLTEMMLEDETETKLGNQGEGPGNVGEVDEAMEDDGGKKKDNSAASRQNEKLYTADGMLNTKLRCGEKKKRKKAKKASASSDPMDGYNFKTDYFQASMDSEDSQGEDDDDEPVKSEVPISAIQIDE >Vigun11g108500.1.v1.2 pep primary_assembly:ASM411807v1:11:30848427:30852093:-1 gene:Vigun11g108500.v1.2 transcript:Vigun11g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHQPTLMEPLLTHNPGNGNPNYRYDVINWFEHVSQNAAYVQTQLLAQILKQNYGVEYLNKWLGNYNILEIDACALESLFSSVVPLASHADFEPFIQRIADGDTAPLLTQQPITTLSLSSGTTEGRQKFVPFTRHSAQTTLQIFTLAAAYRSRVYPIREGGRILEFIYSSNRFKTKGGLTAGTATTHYYASEEFKIKQDATKSFTCSPQEVISGGDYKQSTYCHLLLGLFYSDQVEFITSAFIYSMVQAFSSFEENWIEICNDIRDGTLSSRIKSPKMRKAVLDIISPSPDLASKLESACHELELEKWSGLIPKLWPNAKYVYSIMTGSMQPYLKKLRHYANGLPLVSADYGSTESWIGVNVDPSLPPEHVTFAVVPTFSYFEFIPLHRNENNFGSGADDFTEDKPIPLSQVKVGQEYEVVLTTFTGLYRCRLGDVVEVGGFHNGTPKLNFVCRRKLILTVNIDKNTEKDLQLVVERGSQILTKEKAELVDFTSYADVSKQPGHYVIFWEIKGEVEDKILGACCSEMDKLFMDHGYVVSRKTNSIGPLELCILETGTFKKILDNFIANGAALSQFKTPRCTSNRVLLKILNTCTTKSFRSTAYNNT >Vigun11g108500.2.v1.2 pep primary_assembly:ASM411807v1:11:30848427:30852093:-1 gene:Vigun11g108500.v1.2 transcript:Vigun11g108500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHQPTLMEPLLTHNPGNGNPNYRYDVINWFEHVSQNAAYVQTQLLAQILKQNYGVEYLNKWLGNYNILEIDACALESLFSSVVPLASHADFEPFIQRIADGDTAPLLTQQPITTLSLSSGTTEGRQKFVPFTRHSAQTTLQIFTLAAAYRSRVYPIREGGRILEFIYSSNRFKTKGGLTAGTATTHYYASEEFKIKQDATKSFTCSPQEVISGGDYKQSTMVQAFSSFEENWIEICNDIRDGTLSSRIKSPKMRKAVLDIISPSPDLASKLESACHELELEKWSGLIPKLWPNAKYVYSIMTGSMQPYLKKLRHYANGLPLVSADYGSTESWIGVNVDPSLPPEHVTFAVVPTFSYFEFIPLHRNENNFGSGADDFTEDKPIPLSQVKVGQEYEVVLTTFTGLYRCRLGDVVEVGGFHNGTPKLNFVCRRKLILTVNIDKNTEKDLQLVVERGSQILTKEKAELVDFTSYADVSKQPGHYVIFWEIKGEVEDKILGACCSEMDKLFMDHGYVVSRKTNSIGPLELCILETGTFKKILDNFIANGAALSQFKTPRCTSNRVLLKILNTCTTKSFRSTAYNNT >Vigun06g106900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616578:23629602:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616578:23627025:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616569:23627028:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616569:23627025:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616569:23627025:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616578:23627025:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23618211:23627036:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616578:23627028:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23618297:23627036:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun06g106900.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23616569:23627028:-1 gene:Vigun06g106900.v1.2 transcript:Vigun06g106900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKQIAENKMQAGDYEGGLKFATKAQRLFPDIQNIVQILAVCEVHCAAHKKHSGSDMDWYGILQTQQSADEATIKKQYRKLALLLHPDKNKSAGAEAAFKLVGEANRVLSDPSKRTLYDSKFGVSVGNTAAKVAPCHPNGNARNYQNIFNSQPHAWNSNQYESQTFWTCCSHCNTRYQYYKTILNQTIRCQQCSKSFKALDIGNPYVPPTYWSPFNNNQGSAKHANGKNGHVAAGATKADVKVSKAKESQASTKVGCKRGRQSASDDNNKAGDGKRMKDTKDQENTVDPPRRTSRKKQHVLYPETDKAGDFGISSKTDKVLATGDGDQNGETRNKADALREETILRNKVKVEQTNVQGEEVLNSDLNDRKSKAVYCSPPKSNLPPNSEIFCPDPDFSDFERDKAEDCFAVNQLWAVFDNNDGMPRFYALVKKVHSPFKLRITWLEANSDDQGEIDWNKAGLPIACGKFRLGQSQRTSDRFMFSHQMHCIKGSNTTYLIYPKKGETWAIFRHWDLGWSSNPEKHIEYEFQYVEVLSDFDENVGIEVAYLGKLTGFVSLFQHTAFGGISLFCISPSEMYRFSHRIPSNKMTGAERKGVPSGSFELDPAGLPTCLFEVGDTGVPKMDGVNCSHQEYSKVEQATSSDCIDKSKLQESNDSIQKSKLQESIDAERTAQILRRSPRSSQKNMDNGQPSTSQFTVRKDDINIDHRGYSPPEGNAASSHTNERKVKKPQKHEKNSYDGEILKVGKLHKDLSKKNALGDASERTCKLTVNHSKNSKNVKSSNIPQFGESCYDFKMEKSEKTFQCGQIWAIYGDRDHMPNTYTQIKKIEFTPSFRLQVSMLEPCSPPGDLRRTISCGNFEVKKGKLQILSLSAFSHQLKVEPLVNNRYEIYPRKGEVWALYEDQNYELTSSKQGRGKCDIVEVLADSDKSIQVVVLTPHSNSKTIFKAPRIQRSKTGVIEILREEVGRFSHQIPAFQHRDNVHLRGCWELDPSSVPGSFVPIN >Vigun09g247500.1.v1.2 pep primary_assembly:ASM411807v1:9:41533798:41537185:1 gene:Vigun09g247500.v1.2 transcript:Vigun09g247500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRGLLLVFFVVCVSVEQSKVLHVEADDGGGGFVKTRGVQLILNGSPFYANGFNAYWLMYIASDPSQRNKVSSAFQQASNHGLNMARTWAFSDGGYKPLQYSPGSYDEQVFQGLDFAIAEARKYGIKLVLSLVNNYENMGGKKQYVEWARSQGQSINSEDDFFTNPVAKGFYKNHIKAVLTRRNSITGIAYKDDPTIMAWELMNEIRCPSDQSGKTVQGWISEMASYLKSIDGNHLLEAGLEGFYGQSKTQSNPNFNVGTDFIANNQIPGIDFATVHSYPDQWLSSSGYEEQISFLERWVDEHIQDAQNTLHKPVIFAEFGISTKSFGGNSAPRDRLFNTVYSAIYSSASSGGAAVGGLFWQLLAQGMDSFRDGYEVVLDESPSTATLIAQQSQKLNHIRKMYARLRNIEKWNRAKEIRGSQWHVGANGGN >Vigun04g037200.1.v1.2 pep primary_assembly:ASM411807v1:4:3136855:3138550:1 gene:Vigun04g037200.v1.2 transcript:Vigun04g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKELDLFEAKMVTKSLANSMLALRIVALATSAATMALLVTDNYTFQNGAELKYQDFNSYSLVVAIAAISCAYCIVQLPFSIYYAMRQKRLINNGFLPEFDFYGDKVISLCLGVAIGSGFALSVELKKFIEDHVKASDDFKGTYNKILIRGFISSAFLLVTFFSMAFLSFISSNNRPRKQPNPY >Vigun06g062900.3.v1.2 pep primary_assembly:ASM411807v1:6:19138367:19145751:1 gene:Vigun06g062900.v1.2 transcript:Vigun06g062900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNEFSETDSNGKNSKEKILDRSTEKKDLEADEGKVLANNGIKELKENIVKEIEDVKADNVEKVEEDKKVGVVEEAIEDKKETKDDKTEGGMDGAKEDKREDGVEEAREDNKEDGVEEAKEDKKEGRVEDAKEDKKEDGVEDVKEYKKEDGVDGVYKDGKDGAGEVKEDKEVDDVGVKDGVEVDGVKQVKEDKAVNGVEEVKEDKEVDGVKDIVEDTKGDKSKEIEEDKKDFHISENDKMDEDTGVKETVEDKQKNEEVETEKPEVDAMEVEGGIKGKEEGDEKEKIEVAMKEDPDEDKDKDNIDKLKEVKEENNKVNKGSRKRGRGKINVEKDKEKRKELKKIEPRTPTIDRPVRERKVVERLVTSIEKDPTKEFRIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHDNEEKQMIKIKEKLDKCNKERLLEFCDVLDITVNRATMRKEDIIAKLIDFLVAPHATTTVLLAEKEKPSKGKKRKRIVKRRSSGSGTISRRSAKSMRKNEDSLAVPRKSPTDTEDESDEENDEENENGVAGKSEDETSEKFESEDKSDSGSESEDMKEKKKPSKTSSAKKESAKKSKIEKIPVANKSHSPQKRTPKKSSSNLSKSDEDSDESPKISRKNKNEKGGKQKTSTPTKPSSLERSEKVTRGRGKKKEKSSPTDNQLHDAICEILKEVDFNTATFTDILKKLAKQFDVDLAPRKAAIKLMIQEELTKLADEADDENGEEDAEKDEALSTGQKVEA >Vigun06g062900.1.v1.2 pep primary_assembly:ASM411807v1:6:19138367:19145722:1 gene:Vigun06g062900.v1.2 transcript:Vigun06g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNEFSETDSNGKNSKEKILDRSTEKKDLEADEGKVLANNGIKELKENIVKEIEDVKADNVEKVEEDKKVGVVEEAIEDKKETKDDKTEGGMDGAKEDKREDGVEEAREDNKEDGVEEAKEDKKEGRVEDAKEDKKEDGVEDVKEYKKEDGVDGVYKDGKDGAGEVKEDKEVDDVGVKDGVEVDGVKQVKEDKAVNGVEEVKEDKEVDGVKDIVEDTKGDKSKEIEEDKKDFHISENDKMDEDTGVKETVEDKQKNEEVETEKPEVDAMEVEGGIKGKEEGDEKEKIEVAMKEDPDEDKDKDNIDKLKEVKEENNKVNKGSRKRGRGKINVEKDKEKRKELKKIEPRTPTIDRPVRERKVVERLVTSIEKDPTKEFRIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHDNEEKQMIKIKEKLDKCNKERLLEFCDVLDITVNRATMRKEDIIAKLIDFLVAPHATTTVLLAEKEKPSKGKKRKRIVKRRSSGSGTISRRSAKSMRKNEDSLAVPRKSPTDTEDESDEENDEENENGVAGKSEDETSEKFESEDKSDSGSESEDMKEKKKPSKTSSAKKESAKKSKIEKIPVANKSHSPQKRTPKKSSSNLSKSDEDSDESPKISRKNKNEKGGKQKTSTPTKPSSLERSEKVTRGRGKKKEKSSPTDNQLHDAICEILKEVDFNTATFTDILKKLAKQFDVDLAPRKAAIKLMIQEELTKLADEADDENGEEDAEKDEALSTGQKVEA >Vigun06g062900.7.v1.2 pep primary_assembly:ASM411807v1:6:19138367:19145748:1 gene:Vigun06g062900.v1.2 transcript:Vigun06g062900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNEFSETDSNGKNSKEKILDRSTEKKDLEADEGKVLANNGIKELKENIVKEIEDVKADNVEKVEEDKKVGVVEEAIEDKKETKDDKTEGGMDGAKEDKREDGVEEAREDNKEDGVEEAKEDKKEGRVEDAKEDKKEDGVEDVKEYKKEDGVDGVYKDGKDGAGEVKEDKEVDDVGVKDGVEVDGVKQVKEDKAVNGVEEVKEDKEVDGVKDIVEDTKGDKSKEIEEDKKDFHISENDKMDEDTGVKETVEDKQKNEEVETEKPEVDAMEVEGGIKGKEEGDEKEKIEVAMKEDPDEDKDKDNIDKLKEVKEENNKVNKGSRKRGRGKINVEKDKEKRKELKKIEPRTPTIDRPVRERKVVERLVTSIEKDPTKEFRIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHDNEEKQMIKIKEKLDKCNKERLLEFCDVLDITVNRATMRKEDIIAKLIDFLVAPHATTTVLLAEKEKPSKGKKRKRIVKRRSSGSGTISRRSAKSMRKNEDSLAVPRKSPTDTEDESDEENDEENENGVAGKSEDETSEKFESEDKSDSGSESEDMKEKKKPSKTSSAKKESAKKSKIEKIPVANKSHSPQKRTPKKSSSNLSKSDEDSDESPKISRKNKNEKGGKQKTSTPTKPSSLERSEKVTRGRGKKKEKSSPTDNQLHDAICEILKEVDFNTATFTDILKKLAKQFDVDLAPRKAAIKLMIQEELTKLADEADDENGEEDAEKDEALSTGQKVEA >Vigun06g062900.6.v1.2 pep primary_assembly:ASM411807v1:6:19138367:19143748:1 gene:Vigun06g062900.v1.2 transcript:Vigun06g062900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNEFSETDSNGKNSKEKILDRSTEKKDLEADEGKVLANNGIKELKENIVKEIEDVKADNVEKVEEDKKVGVVEEAIEDKKETKDDKTEGGMDGAKEDKREDGVEEAREDNKEDGVEEAKEDKKEGRVEDAKEDKKEDGVEDVKEYKKEDGVDGVYKDGKDGAGEVKEDKEVDDVGVKDGVEVDGVKQVKEDKAVNGVEEVKEDKEVDGVKDIVEDTKGDKSKEIEEDKKDFHISENDKMDEDTGVKETVEDKQKNEEVETEKPEVDAMEVEGGIKGKEEGDEKEKIEVAMKEDPDEDKDKDNIDKLKEVKEENNKVNKGSRKRGRGKINVEKDKEKRKELKKIEPRTPTIDRPVRERKVVERLVTSIEKDPTKEFRIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHDNEEKQMIKIKEKLDKCNKERLLEFCDVLDITVNRATMRKEDIIAKLIDFLVAPHATTTVLLAEKEKPSKGKKRKRIVKRRSSGSGTISRRSAKSMRKNEDSLAVPRKSPTDTEDESDEENDEENENGVAGKSEDETSEKFESEDKSDSGSESEDMKEKKKPSKTSSAKKESAKKSKIEKIPVANKSHSPQKRTPKKSSSNLSKSDEDSDESPKISRKNKNEKGGKQKTSTPTKPSSLERSGRYHLKMF >Vigun06g062900.2.v1.2 pep primary_assembly:ASM411807v1:6:19138367:19145751:1 gene:Vigun06g062900.v1.2 transcript:Vigun06g062900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEPVNEFSETDSNGKNSKEKILDRSTEKKDLEADEGKVLANNGIKELKENIVKEIEDVKADNVEKVEEDKKVGVVEEAIEDKKETKDDKTEGGMDGAKEDKREDGVEEAREDNKEDGVEEAKEDKKEGRVEDAKEDKKEDGVEDVKEYKKEDGVDGVYKDGKDGAGEVKEDKEVDDVGVKDGVEVDGVKQVKEDKAVNGVEEVKEDKEVDGVKDIVEDTKGDKSKEIEEDKKDFHISENDKMDEDTGVKETVEDKQKNEEVETEKPEVDAMEVEGGIKGKEEGDEKEKIEVAMKEDPDEDKDKDNIDKLKEVKEENNKVNKGSRKRGRGKINVEKDKEKRKELKKIEPRTPTIDRPVRERKVVERLVTSIEKDPTKEFRIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHDNEEKQMIKIKEKLDKCNKERLLEFCDVLDITVNRATMRKEDIIAKLIDFLVAPHATTTVLLAEKEKPSKGKKRKRIVKRRSSGSGTISRRSAKSMRKNEDSLAVPRKSPTDTEDESDEENDEENENGVAGKSEDETSEKFESEDKSDSGSESEDMKEKKKPSKTSSAKKESAKKSKIEKIPVANKSHSPQKRTPKKSSSNLSKSDEDSDESPKISRKNKNEKGGKQKTSTPTKPSSLERSEKVTRGRGKKKEKSSPTDNQLHDAICEILKEVDFNTATFTDILKKLAKQFDVDLAPRKAAIKLMIQEELTKLADEADDENGEEDAEKDEALSTGQKVEA >Vigun03g392900.1.v1.2 pep primary_assembly:ASM411807v1:3:59913059:59916242:1 gene:Vigun03g392900.v1.2 transcript:Vigun03g392900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFALPEAPRDDFLRSLIQSFGATYVCLWRHHSHLSNRLFFLDGFYNGGNNQPTSSQTLFHQYRALTFDVSDECVPGVAFRNQLPYIHLKLSDLLPLTSTQIQAQFFLMAVFMGCKTGEIELGFSNIPQADIETALKNLFPEDFYIRSQSIDQNPYSSSFIKSSTTFNQSLNIPRTSHSNFGVAPTQSSPHQHTIQPLPTPEDEHEEIVRTLIQVMSSTSDQQYQPPPMLPHNSVTHPGTADFVRYRSDSNIKPQMESNFNRQNLQNTSLLENLNTKRMRERDTVKATHPISSPQKYYHTISERKRREKLSECFQELSALLPPGTKLKVRNEQLLRVLSGKEVGSSREENSDRSCNERLKVRVLHASESSSSKEQMVDLEVTMREQNCEVNVLIRIWEFLERVQNVTLISTNTNLHVTEGGTTINVLTFRLRIIEGSEWDEVGFEEAVRRVVADVTHRS >Vigun08g040100.4.v1.2 pep primary_assembly:ASM411807v1:8:3957768:3963694:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.8.v1.2 pep primary_assembly:ASM411807v1:8:3957768:3963694:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.7.v1.2 pep primary_assembly:ASM411807v1:8:3957201:3963694:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.2.v1.2 pep primary_assembly:ASM411807v1:8:3957150:3963694:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.1.v1.2 pep primary_assembly:ASM411807v1:8:3957157:3963706:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.6.v1.2 pep primary_assembly:ASM411807v1:8:3958357:3963706:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.5.v1.2 pep primary_assembly:ASM411807v1:8:3957169:3963706:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun08g040100.3.v1.2 pep primary_assembly:ASM411807v1:8:3957768:3963694:1 gene:Vigun08g040100.v1.2 transcript:Vigun08g040100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTENMGLLCSRNRRYNDADAEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKLLHDGSKEFAQNDADFSKYVLSHENKEIGEKLSDIGSRLDYPYLTKELAQEIENLWKDPAIQETYARGSELQIPDCTDYFMENLQRLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCAAISEYDQTLFEDENRNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDRVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >Vigun05g116400.1.v1.2 pep primary_assembly:ASM411807v1:5:12396703:12402836:-1 gene:Vigun05g116400.v1.2 transcript:Vigun05g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIATKLSSRASSSSFSALKANPFPTNLSLLPTSSFRPFTIGLELESHMVVPDAINMINYALNQWRRERSLGAYRMGLTVLKHCISSELTEGRESKRENSKGMAMLAMSTMLYERGEYTEAIEKLDDVQELTNSYLGVRVAALETQAGLYLEMGQDDLASAVADKCMEVVQNQRQSKDFEFQRKDFEAHFIRAKALKGLIELVNGNVDSAEDFFDEPLDEKYWDGTAGLSYAEFLHKKQNYSLAKEVYRSVILGAVQVRRAGNPYLGAGNMSVNELIVGSICALGQLEAVMGNFSWAEYELGRALTEAEEAYGDPKHPTVGVALASLALMYRRKAIQEHSSSLLIQEGLYRKVMDILKVPSEETKTEGAAPFVDRSDIAALARGAYAEVLSVQEKRKGEGEEMKNLAESLWKHRKMSLADALDTDAKVIDTRISRII >Vigun09g128900.1.v1.2 pep primary_assembly:ASM411807v1:9:28578009:28585094:-1 gene:Vigun09g128900.v1.2 transcript:Vigun09g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGGAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLSFRKKDKGGINLTSTATTTHLDLETVKAICSEYRIHNADITLRYDATADDLIDVIEGSRVYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLDKIWEYLSLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCDRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >Vigun03g427600.3.v1.2 pep primary_assembly:ASM411807v1:3:63266717:63271357:-1 gene:Vigun03g427600.v1.2 transcript:Vigun03g427600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSYSPRRRSRSPLPPPSRGRKRYVDDRYGDSRSYRDRRSPLPSGLLVRNLPLDARPEDLRIPFERYGPVKDVYLPKNYYTGEPRGFGFVKYRYGEDAAEAKQHLNHTIIGGREIRIVFAEENRKTPQEMRLSSRGSSRYGGGRRRNRSRSPQRRYRSYSRSPSPARDDSR >Vigun03g427600.1.v1.2 pep primary_assembly:ASM411807v1:3:63266717:63271357:-1 gene:Vigun03g427600.v1.2 transcript:Vigun03g427600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSYSPRRRSRSPLPPPSRGRKRYVDDRYGDSRSYRDRRSPLPSGLLVRNLPLDARPEDLRIPFERYGPVKDVYLPKNYYTGEPRGFGFVKYRYGEDAAEAKQHLNHTIIGGREIRIVFAEENRKTPQEMRLSSRGSSRYGGGRRRNRSRSPQRRYRSYSRSPSPARDDSRDDRGRDDYYSPERSRSYSRSLSPSGGKDYRKSPRENGRSPDEKKGQTPSRSQSPRGNDRSPSRSRSRSYSPR >Vigun03g427600.2.v1.2 pep primary_assembly:ASM411807v1:3:63266717:63271357:-1 gene:Vigun03g427600.v1.2 transcript:Vigun03g427600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSYSPRRRSRSPLPPPSRGRKRYVDDRYGDSRSYRDRRSPLPSGLLVRNLPLDARPEDLRIPFERYGPVKDVYLPKNYYTGEPRGFGFVKYRYGEDAAEAKQHLNHTIIGGREIRIVFAEENRKTPQEMRLSSRGSSRYGGGRRRNRSRSPQRRYRSYSRSPSPARDDSR >VigunL060008.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:43484:43606:1 gene:VigunL060008.v1.2 transcript:VigunL060008.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun05g195200.1.v1.2 pep primary_assembly:ASM411807v1:5:37794303:37798069:-1 gene:Vigun05g195200.v1.2 transcript:Vigun05g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAVMILLCFLHFHVQSSHQIQPEISISQFPEGFIFGISTSSYQVEGAPSEDGKGLSNWDVFSHTPGKINNGDNGDIADDHYHRYLEDIELISSLGVNVYRFSISWTRILPRGRYGSINPRGIMFYNKIIDNLLLRGIEPFVTIHHQDLPQELEERYGGWISPLMQRDFVHFAEICFKSFGDRVKYWITINEPALTANYGFLNGKYPPGHCSPPFGNCSAGNSDVEPLIVMHNLLLSHAKAVELYRKHFQKKQGGNIGIVVITEMYEPLRDEECDRQAVRRALAFSIAWVLDPLVFGKYPTEMLSILGSKLPVFSPKEKSLIKGSIDFIGINHYATLYVKDCTLSTCSLGSNHPITGFLETISTRDGIPIGDPQTGIPFYCVVPQGMEKIVDYIKIRYHNLPMYITENGYSSLLKPDETVHDLLQDFKRIEFHKAYLAALLRAIRNGADVRGYMIWSLLDNFEWAMGYNTMFGLHYVDRHTLIRIPKLSAQWFSGFLKKTNITEELSHSII >Vigun05g195200.2.v1.2 pep primary_assembly:ASM411807v1:5:37794303:37798069:-1 gene:Vigun05g195200.v1.2 transcript:Vigun05g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAVMILLCFLHFHVQSSHQIQPEISISQFPEGFIFGISTSSYQVEGAPSEDGKGLSNWDVFSHTPGKINNGDNGDIADDHYHRYLEDIELISSLGVNVYRFSISWTRILPRGRYGSINPRGIMFYNKIIDNLLLRGIEPFVTIHHQDLPQELEERYGGWISPLMQRDFVHFAEICFKSFGDRVKYWITINEPALTANYGFLNGKYPPGHCSPPFGNCSAGNSDVEPLIVMHNLLLSHAKAVELYRKHFQKKQGGNIGIVVITEMYEPLRDEECDRQAVRRALAFSIAWVLDPLVFGKYPTEMLSILGSKLPVFSPKEKSLIKGSIDFIGINHYATLYVKDCTLSTCSLGSNHPITGFLETISTRDGIPIGDPTGIPFYCVVPQGMEKIVDYIKIRYHNLPMYITENGYSSLLKPDETVHDLLQDFKRIEFHKAYLAALLRAIRNGADVRGYMIWSLLDNFEWAMGYNTMFGLHYVDRHTLIRIPKLSAQWFSGFLKKTNITEELSHSII >Vigun05g195200.3.v1.2 pep primary_assembly:ASM411807v1:5:37794303:37798069:-1 gene:Vigun05g195200.v1.2 transcript:Vigun05g195200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIHQEDIELISSLGVNVYRFSISWTRILPRGRYGSINPRGIMFYNKIIDNLLLRGIEPFVTIHHQDLPQELEERYGGWISPLMQRDFVHFAEICFKSFGDRVKYWITINEPALTANYGFLNGKYPPGHCSPPFGNCSAGNSDVEPLIVMHNLLLSHAKAVELYRKHFQKKQGGNIGIVVITEMYEPLRDEECDRQAVRRALAFSIAWVLDPLVFGKYPTEMLSILGSKLPVFSPKEKSLIKGSIDFIGINHYATLYVKDCTLSTCSLGSNHPITGFLETISTRDGIPIGDPTGIPFYCVVPQGMEKIVDYIKIRYHNLPMYITENGYSSLLKPDETVHDLLQDFKRIEFHKAYLAALLRAIRNGADVRGYMIWSLLDNFEWAMGYNTMFGLHYVDRHTLIRIPKLSAQWFSGFLKKTNITEELSHSII >Vigun05g195200.4.v1.2 pep primary_assembly:ASM411807v1:5:37794303:37796453:-1 gene:Vigun05g195200.v1.2 transcript:Vigun05g195200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAKELGSISFLQCIVLRDFVHFAEICFKSFGDRVKYWITINEPALTANYGFLNGKYPPGHCSPPFGNCSAGNSDVEPLIVMHNLLLSHAKAVELYRKHFQKKQGGNIGIVVITEMYEPLRDEECDRQAVRRALAFSIAWVLDPLVFGKYPTEMLSILGSKLPVFSPKEKSLIKGSIDFIGINHYATLYVKDCTLSTCSLGSNHPITGFLETISTRDGIPIGDPTGIPFYCVVPQGMEKIVDYIKIRYHNLPMYITENGYSSLLKPDETVHDLLQDFKRIEFHKAYLAALLRAIRNGADVRGYMIWSLLDNFEWAMGYNTMFGLHYVDRHTLIRIPKLSAQWFSGFLKKTNITEELSHSII >Vigun05g195200.6.v1.2 pep primary_assembly:ASM411807v1:5:37794303:37798069:-1 gene:Vigun05g195200.v1.2 transcript:Vigun05g195200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIHQEDIELISSLGVNVYRFSISWTRILPRGRYGSINPRGIMFYNKIIDNLLLRGIEPFVTIHHQDLPQELEERYGGWISPLMQRDFVHFAEICFKSFGDRVKYWITINEPALTANYGFLNGKYPPGHCSPPFGNCSAGNSDVEPLIVMHNLLLSHAKAVELYRKHFQKKQGGNIGIVVITEMYEPLRDEECDRQAVRRALAFSIAWVLDPLVFGKYPTEMLSILGSKLPVFSPKEKSLIKGSIDFIGINHYATLYVKDCTLSTCSLGSNHPITGFLETISTRDGIPIGDPQTGIPFYCVVPQGMEKIVDYIKIRYHNLPMYITENGYSSLLKPDETVHDLLQDFKRIEFHKAYLAALLRAIRNGADVRGYMIWSLLDNFEWAMGYNTMFGLHYVDRHTLIRIPKLSAQWFSGFLKKTNITEELSHSII >Vigun03g400900.6.v1.2 pep primary_assembly:ASM411807v1:3:60771653:60773656:1 gene:Vigun03g400900.v1.2 transcript:Vigun03g400900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGSKLSGIRQIVRLKEMFQKWQTVTLGSKDSNHDSDVAPPGGIPPLINKRLTNLLYCDSDEDSCYSPQPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAAEEFGFDQSGGLTIPCEIETFKYLLNCMENHDDTSDSWWNCSWKHRNCGRIKTCSDLYRRKITVLVSGLSYMKNDITPQQERFVMGNLFVEQVLKIYVLFIQCFPNTCTLPTYSNRTFLTFN >Vigun03g400900.7.v1.2 pep primary_assembly:ASM411807v1:3:60771653:60773656:1 gene:Vigun03g400900.v1.2 transcript:Vigun03g400900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGSKLSGIRQIVRLKEMFQKWQTVTLGSKDSNHDSDVAPPGGIPPLINKRLTNLLYCDSDEDSCYSPQPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAAEEFGFDQSGGLTIPCEIETFKYLLNCMENHDDTSDSWWNCSWKHRNCGRIKTCSDLYRRKITVLVSGLSYMKNDITPQQERFVMGNLFVEQVLKIYVLFIQCFPNTCTLPTYSNRTFLTFN >Vigun03g400900.1.v1.2 pep primary_assembly:ASM411807v1:3:60771551:60773696:1 gene:Vigun03g400900.v1.2 transcript:Vigun03g400900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGSKLSGIRQIVRLKEMFQKWQTVTLGSKDSNHDSDVAPPGGIPPLINKRLTNLLYCDSDEDSCYSPQPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAAEEFGFDQSGGLTIPCEIETFKYLLNCMENHDDTSDSWWNCSWKHRNCGRIKTCSDLYRRKITVLVSGLSYMKNDITPQQERFVMGNLFVEQVLKIYVLFIQCFPNTCTLPTYSNRTFLTFN >Vigun03g400900.5.v1.2 pep primary_assembly:ASM411807v1:3:60771548:60773696:1 gene:Vigun03g400900.v1.2 transcript:Vigun03g400900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGSKLSGIRQIVRLKEMFQKWQTVTLGSKDSNHDSDVAPPGGIPPLINKRLTNLLYCDSDEDSCYSPQPPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAAEEFGFDQSGGLTIPCEIETFKYLLNCMENHDDTSDSWWNCSWKHRNCGRIKTCSDLYRRKITVLVSGLSYMKNDITPQQERFVMGNLFVEQVLKIYVLFIQCFPNTCTLPTYSNRTFLTFN >VigunL082500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:32520:33125:1 gene:VigunL082500.v1.2 transcript:VigunL082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDLAPHEKLPNHLIVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >Vigun05g061300.1.v1.2 pep primary_assembly:ASM411807v1:5:5282151:5289778:-1 gene:Vigun05g061300.v1.2 transcript:Vigun05g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESEKQNLLDQATDQGLPKKIKISYTREFLLSVSGLDICRELPSGFDQSLLSELEDVSQDRQRSSGGLSMHSFRRNDYGSSPPTRGDSFPRGIHGKWETRSSGRSDKDSDSQSEWDSDSAKRFGNQSRRSWQGPEHDGLLGSGSFPRPSGYTPGLSAPKLKANDNYQLNRSSEPYHPPRPYKAPHSRRETNDSYNDETFGSLEYTSEDRAEEERKRRASFELMRKEQHKAFQDKHKLNPDKNNEDFDITSLVEDDEKRLVNRNNESVEPHVTVAALSNDEKSSSLSQTLSAARPLVPPGFASTKLERNFATKTSVSTHSTEAGQPAPGDAGVLDIPDIKIGTGDQLRKRSALSEVLEASQLNAEVKGKEDVGVLNPDNSNSILYKLFGNASTVDGDKSTSIIEQPDNKADVTWSPHAFQSSKFAHWFVEEEKNPVDDMTQRPNDLLSLIVGGEKGGLQTSNVETTGHIASNFSLLNPEPISEHMASNAAHTTIDNSLQLSKSDKPEVSPAVLTCEDLEQSILSQVSENGSSHQQPIQDKDLDGKTEQSTSIDNHASLHLLSLLQKGTSHNDMELSSILDSSTKKVPNSDGFTTSNVLDNPGEENAEVSNSSKTLTLETLFGSAFMKELQSVGAPLSVQRGSVGSAGPNVSESLLFPFPTSDNVHLPTGEHTLNRRGSGVLPPEQTHQPKTNRFDEQWLGYSDSQGDVNSSLLQSEFPKASGFKGPRDIHLPEEDNLITVSDPLQNFLSAGNIVKADMSQDTTVDITRKLAALNPAFRDDRLVMRNHEGPAYPHGGPYDMREPGISYQNLNVQRSQQIHPQLNHGGPMFNQLDSHPPHISSYMRLPNPEGMIHHDSPPNHQFPGNMLHPPFHQPSSGLPGFDSPVHHSMLQQMHMQGNHPPPHLLRGFPRGGPVPSHPNNPMTGFMQEPNPMQGQGFPFNGHQHPSFGGPGMQLQAPDVGGGRNHPEALQRLFEMELRSNPKSIHASGHSQGMYGQELDLGFGYR >Vigun05g061300.3.v1.2 pep primary_assembly:ASM411807v1:5:5282151:5289778:-1 gene:Vigun05g061300.v1.2 transcript:Vigun05g061300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESEKQNLLDQATDQGLPKKIKISYTREFLLSVSGLDICRELPSGFDQSLLSELEDVSQDRQRSSGGLSMHSFRRNDYGSSPPTRGDSFPRGIHGKWETRSSGRSDKDSDSQSEWDSDSAKRFGNQSRRSWQGPEHDGLLGSGSFPRPSGYTPGLSAPKLKANDNYQLNRSSEPYHPPRPYKAPHSRRETNDSYNDETFGSLEYTSEDRAEEERKRRASFELMRKEQHKAFQDKHKLNPDKNNEDFDITSLVEDDEKRLVNRNNESVEPHVTVAALSNDEKSSSLSQTLSAARPLVPPGFASTKLERNFATKTSVSTHSTEAGQPAPGDAGEVLEASQLNAEVKGKEDVGVLNPDNSNSILYKLFGNASTVDGDKSTSIIEPDNKADVTWSPHAFQSSKFAHWFVEEEKNPVDDMTQRPNDLLSLIVGGEKGGLQTSNVETTGHIASNFSLLNPEPISEHMASNAAHTTIDNSLQLSKSDKPEVSPAVLTCEDLEQSILSQVSENGSSHQQPIQDKDLDGKTEQSTSIDNHASLHLLSLLQKGTSHNDMELSSILDSSTKKVPNSDGFTTSNVLDNPGEENAEVSNSSKTLTLETLFGSAFMKELQSVGAPLSVQRGSVGSAGPNVSESLLFPFPTSDNVHLPTGEHTLNRRGSGVLPPEQTHQPKTNRFDEQWLGYSDSQGDVNSSLLQSEFPKASGFKGPRDIHLPEEDNLITVSDPLQNFLSAGNIVKADMSQDTTVDITRKLAALNPAFRDDRLVMRNHEGPAYPHGGPYDMREPGISYQNLNVQRSQQIHPQLNHGGPMFNQLDSHPPHISSYMRLPNPEGMIHHDSPPNHQFPGNMLHPPFHQPSSGLPGFDSPVHHSMLQQMHMQGNHPPPHLLRGFPRGGPVPSHPNNPMTGFMQEPNPMQGQGFPFNGHQHPSFGGPGMQLQAPDVGGGRNHPEALQRLFEMELRSNPKSIHASGHSQGMYGQELDLGFGYR >Vigun05g061300.2.v1.2 pep primary_assembly:ASM411807v1:5:5282151:5289778:-1 gene:Vigun05g061300.v1.2 transcript:Vigun05g061300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESEKQNLLDQATDQGLPKKIKISYTREFLLSVSGLDICRELPSGFDQSLLSELEDVSQDRQRSSGGLSMHSFRRNDYGSSPPTRGDSFPRGIHGKWETRSSGRSDKDSDSQSEWDSDSAKRFGNQSRRSWQGPEHDGLLGSGSFPRPSGYTPGLSAPKLKANDNYQLNRSSEPYHPPRPYKAPHSRRETNDSYNDETFGSLEYTSEDRAEEERKRRASFELMRKEQHKAFQDKHKLNPDKNNEDFDITSLVEDDEKRLVNRNNESVEPHVTVAALSNDEKSSSLSQTLSAARPLVPPGFASTKLERNFATKTSVSTHSTEAGQPAPGDAGVLDIPDIKIGTGDQLRKRSALSEVLEASQLNAEVKGKEDVGVLNPDNSNSILYKLFGNASTVDGDKSTSIIEPDNKADVTWSPHAFQSSKFAHWFVEEEKNPVDDMTQRPNDLLSLIVGGEKGGLQTSNVETTGHIASNFSLLNPEPISEHMASNAAHTTIDNSLQLSKSDKPEVSPAVLTCEDLEQSILSQVSENGSSHQQPIQDKDLDGKTEQSTSIDNHASLHLLSLLQKGTSHNDMELSSILDSSTKKVPNSDGFTTSNVLDNPGEENAEVSNSSKTLTLETLFGSAFMKELQSVGAPLSVQRGSVGSAGPNVSESLLFPFPTSDNVHLPTGEHTLNRRGSGVLPPEQTHQPKTNRFDEQWLGYSDSQGDVNSSLLQSEFPKASGFKGPRDIHLPEEDNLITVSDPLQNFLSAGNIVKADMSQDTTVDITRKLAALNPAFRDDRLVMRNHEGPAYPHGGPYDMREPGISYQNLNVQRSQQIHPQLNHGGPMFNQLDSHPPHISSYMRLPNPEGMIHHDSPPNHQFPGNMLHPPFHQPSSGLPGFDSPVHHSMLQQMHMQGNHPPPHLLRGFPRGGPVPSHPNNPMTGFMQEPNPMQGQGFPFNGHQHPSFGGPGMQLQAPDVGGGRNHPEALQRLFEMELRSNPKSIHASGHSQGMYGQELDLGFGYR >Vigun09g104600.8.v1.2 pep primary_assembly:ASM411807v1:9:19096692:19100864:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLVDVDKASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.12.v1.2 pep primary_assembly:ASM411807v1:9:19096691:19100866:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.2.v1.2 pep primary_assembly:ASM411807v1:9:19096421:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.13.v1.2 pep primary_assembly:ASM411807v1:9:19096639:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.9.v1.2 pep primary_assembly:ASM411807v1:9:19096713:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLVDVDKASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.7.v1.2 pep primary_assembly:ASM411807v1:9:19096713:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLVDVDKASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.6.v1.2 pep primary_assembly:ASM411807v1:9:19096692:19100864:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLVDVDKASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.3.v1.2 pep primary_assembly:ASM411807v1:9:19096421:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.11.v1.2 pep primary_assembly:ASM411807v1:9:19096639:19100856:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.4.v1.2 pep primary_assembly:ASM411807v1:9:19096691:19100866:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSGNHWSVKEEVSILLLLLSATASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.10.v1.2 pep primary_assembly:ASM411807v1:9:19096691:19100866:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQDFSGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun09g104600.5.v1.2 pep primary_assembly:ASM411807v1:9:19096691:19100866:1 gene:Vigun09g104600.v1.2 transcript:Vigun09g104600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSGNHWSVKEEVSILLLLLSATASIPNIDSMEEHNRFGVYRMEGEFSVDLKLGHVGNSGTESPLAKSKDVAAVAGVSKVASSPSGSSKRARAINSTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKSPEVTIAGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEAHTRPGSFLSNYQGTQLLPFSNPHVYPSSAMMSPPWSGGLVTSSADGRLQNQHHHQVHLVDKQELFLGSSQKEGKQVAFLHGNHPSTGALSNQNLPFPSPSVCHTLLRSSPLSESCGARSKMFCESLTTTNSVHDTPCALSLLSSSHTHTPGNGLNQMVQAPSMSLMQPLALSLQDNSNLESVERVLVPNGSDHCSSMYSLGSDGSHCNDAPQLFPFQWE >Vigun03g234600.1.v1.2 pep primary_assembly:ASM411807v1:3:39068416:39072044:-1 gene:Vigun03g234600.v1.2 transcript:Vigun03g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSWPSSHSWQPTMSRDTTALSYWLNWRFLLCALWILGSMALAFFLIFKYEGFNKSRSNSGEESEGEEAGLLHEDEAWNTCLIGIDPSWLLIYRVICFIVLLALIIASVASDGAGIFYFYTQWTFTLVTIYFGLGSYFSLYGCSLKQEKIRVNGPSLDAEVGIGTHDRKIASLWGYVFQIIFQTCAGAVVLTDSVFWFILYPRLSSYKDYSVDFLVFCMHSINALFLLGDTSLNCMRFPMFRFAYFVLWTASFVIFQWIIHVCVTLWWPYPFLDLSSSYAPLLYLAVALMHFPCYGVFVLIIRMKHFWLSRSFPASSRFVR >VigunL029432.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:149944:150240:-1 gene:VigunL029432.v1.2 transcript:VigunL029432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFVCVVWKEEDSMIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSG >Vigun03g441450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64408713:64409063:-1 gene:Vigun03g441450.v1.2 transcript:Vigun03g441450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNCKFWHQPFSREGRQLHVAFLKTGLLNYSVTVANRLLQLYTRCGFLRDASHLFDEMSNTNPFSWNTLIQAHLNSVHTQSALHLFLFNAMPCHTRPTSHGTWSFPHSPSPDTSN >Vigun05g121900.1.v1.2 pep primary_assembly:ASM411807v1:5:13441355:13447820:1 gene:Vigun05g121900.v1.2 transcript:Vigun05g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEVRCCVRDCNGLRSQTGMEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPSFQF >Vigun05g121900.5.v1.2 pep primary_assembly:ASM411807v1:5:13441355:13447820:1 gene:Vigun05g121900.v1.2 transcript:Vigun05g121900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPSFQF >Vigun05g121900.3.v1.2 pep primary_assembly:ASM411807v1:5:13446511:13447572:1 gene:Vigun05g121900.v1.2 transcript:Vigun05g121900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPSFQF >Vigun05g121900.4.v1.2 pep primary_assembly:ASM411807v1:5:13446511:13447551:1 gene:Vigun05g121900.v1.2 transcript:Vigun05g121900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPS >Vigun03g403000.1.v1.2 pep primary_assembly:ASM411807v1:3:61004739:61006571:-1 gene:Vigun03g403000.v1.2 transcript:Vigun03g403000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRHFSTCFLLALCILSQGLAKGAHGFACNWGTRLTHPLPPQITVKLMRDNGFKQVKLFEADPAALKALGNSGIQVMVGIPNDLLATLASSVDAAIAWVNQNVSNYISKNGVDIRYVAVGNEAFLKTYNGQFVNSTFPAIQNIQAALIKAGLGRQVKVTTPLNADVYQSDSGLPSGGNFRPDIHDQMISIIKFLSQNGGPLTFNIYPFLSLDADPHFPKEFAFFDGSAAPVVDGSITYTNVFDANYDTLITALEKNGFGQMPVIIGEVGWPTDGTANANIKNAQRFNQGLIDRIVKRQGSPKRPTPPDIYLFGFIDEDAKSIEPGPFERHWGVFNFDGSIKYPLNLGGGKQLVAARGVRYLPKQWCVMSTQANVQDPNAFAESMSKACTYADCTPLFPGGSCSGLDVRGNASYAFNMYFQTMDQRKDACNFNGLSVISNINPSPPQSSCQFKIMIDLGKHERKSTPPSDAPKLNSKLVLVSSFIFTVLLFLYV >Vigun05g056800.2.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864615:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKNQCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun05g056800.3.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864239:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKNQCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun05g056800.6.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864615:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun05g056800.5.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864615:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun05g056800.1.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864615:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKNQCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun05g056800.4.v1.2 pep primary_assembly:ASM411807v1:5:4860300:4864239:-1 gene:Vigun05g056800.v1.2 transcript:Vigun05g056800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHSREISDADSNCKRSCLCGIVPAVSILCVVLLFSSSFFAQRYNEKLSRWRMNTEKLENDTCKNQCRSGGSTALPEGIISKTSDLEMRHLWNLPVANTIKNKQNASSNLFAMAVGIKQKDLVDKMVKKFLASNFVVMLFHYDGIVDKWNDFEWNNQVIHVAVVNQSKWWFAKRFLHPDIVAEYGYIFLWDEDLGVENFHPDRYVSIIESEGLEISQPALDTEKSEVHHQITARGRRSNVHRRIYKTGGRGKGCDENSSAPPCTGYVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIVHYGHPTLGGQDLDQVSSRAKNHRVDVRRLSFHELQVFRKRWQKAVEDDKCWVDPFQ >Vigun06g181700.1.v1.2 pep primary_assembly:ASM411807v1:6:30135971:30141189:1 gene:Vigun06g181700.v1.2 transcript:Vigun06g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNDNQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSRMSSRGQAAYAEAGTVVEQTVGAIRTVASFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNGVVMEDIRGDIELKDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFQVRWIREQIGLVSQEPILFAASIKENIMYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEEGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQREVSLSRDSSSRHSHGFTLSHRSGVHESVEIEDGDVEKPEVPMLVLGSVAAIINGLVFPMFGFLFSSAISMFFEPPEKQRKDSRFWALLYVGLGLITLVVIPVQNYFFGVAGGKLVERIRSLTFEKVVHQEISWFDDPANSSGAVGARLSSDASTVKSLVGDTLALIVQNLSTIIAGLVISFTANWILAFIILAVSPLVLMQGFLQMKFLQGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTNKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPTRPHIQIFKDLCLNIPAGKTVALVGGSGSGKSTVISLLERFYNPHTGRILLNGMDIKEFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGIATEEEITAAAKASNAHQFICALPEGYDTHVGERGTQLSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDRVSVSRTTVVVAHRLTTIRGADIIAVMKDGAVAEKGTHNELMKIIDGVYASLVALHTTAS >Vigun09g207600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38218962:38220239:-1 gene:Vigun09g207600.v1.2 transcript:Vigun09g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHRDHKNFVIDIRKKLEEAGPPITKECSIYRVPFDIRKHNEDAYTPKIVSIGPLHHNNHHRLQNMERHKLVYCNAFLERTQTSLDTWIRYIEEIEPDFRRCYSETLGFSKEELVNIIVVDSGFIFELFWKDRYNEWSGNDTFLLIPLIRDTIAVDLLLFENQIPFHVLTHLFNLSLTSVAGNNAIPSFIELTFLYFEFYNTPELKFDDNNISISHFTDLIRTFHLQHPPERRPPRTTKLLKHVPTANELSEAGVHFKVLDSVCLLDLKFSGGVLRMPQLKVEDRTEVLFRNMVALEQCHYPHESYITDYVSLLDFLINTSRDVDTLVQQEVLVNWTGDTDSVVNLFNSLGKNITQSNMNLNYWSVSHGLNGFYHNIFNKLKSTLRRDYCDSPWQTAATIAAIVLLVLSVIQTVCSIMSVIPQE >Vigun06g215600.1.v1.2 pep primary_assembly:ASM411807v1:6:32673117:32676713:1 gene:Vigun06g215600.v1.2 transcript:Vigun06g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEPNWPQHRVGGAGLMGKAPFANSNPKLANSKRTQAASDDASSINRRSNEAVTHSQYVSFNIGSLLKKELYDIKNRLVAELDQVQKFITRIESAELQPGQSFNGHPKKSSSKKVSGNKRPLPLNSTKEFKRSHPEVGNLMKGCSQVLQKLMKHKHGWIFNVPVDAVRMGLHDYYDIIKQPMDLGTVKSNLSKNKYSTPSDFASDVRLTFNNALTYNPKGHDVYTMAEQLLTRFEELYCPIHEKLEDLVGHDRDFEEELQASSWNHVEPERVKKKENPIPPAKLQQEPPQPAPSSSNPPLLQSPVRTPSPMRVPPVKPLKQPKPKAKDPNKREMSLEEKHKLGLGLQSLPAEKMEQVVQIIRRRNGHLKQDGDEIELDIEVVDTETLWELDRFVTNYKKMVSKIKRQALMGNINNSVAPNKANMELPASEKADGMPTELKKPKKVEAGDEDVDIGDDMPMGVFPLWRLRKIKMLLVAMQVVVLVALAVQAVTPHRQVIRILVVPLEVIPKQTMGIRSCQGYLLLVVKHDHAGGWMKKFLLHWLPLRVWIEYMDKGL >Vigun05g066100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5737380:5737991:1 gene:Vigun05g066100.v1.2 transcript:Vigun05g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKKLFNKEKRYIKSPTMSSSVRSVSLLWVLLLLLLSANNAMALVKVTNSLGGDLDLTLYCSSFGPSLHLIRPGTFSELNYSGGNPSGKSPFLCFFQWRGGFQSFDMCVASEGGGCKQCNWFIGPEEPCRYEGANKICGRWN >Vigun09g041400.1.v1.2 pep primary_assembly:ASM411807v1:9:3821259:3825526:1 gene:Vigun09g041400.v1.2 transcript:Vigun09g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDNPSISVSKIAIIGAGVSGIAATKQLSHHNPIVFEASDSIGGVWSHCSYNSTKLQSHRRDYEFSDFPWPQRDNSDFPTHVEILEYLQSYAHHFDLVKNIRFNSEVVEILYVGGDHDVSSSFGDLPTTHHGTPLPGYPLWQLAVKTNHSHTIQWYAFEFVVVCTGKYGDIAKIPEFACNKGPEVFKGRIMHTLDYCKLDEDGATKLLKGKKVVVVGFKKSAIDLAMECAKANQGGEGEACTMIVRTPHWIVPHYWIWGLPFSIFYSTRFSQFLHQRPNQGLLKALLCLLLSPLRRGVSKFIESYLLWKLPLQKYGLRPEHPFEEDYASCQMAIVPENFFSEADKGKIIFKRASNWSFWSGGIQTEDNSKLEADVVLLATGFDGKKKLKRILPHPFRNFLDHPFGVIPLYRGTIHPLIPNMAFVGYIESVSNLASSEIRSMWLSALVDKKFEVPGVEKMLSDTVEEMNVMKRSSRFYKRHCISTYSINHCDEMCKDIGWSSWRKKSWIREAFSPYTSQDYRKQN >Vigun08g056800.4.v1.2 pep primary_assembly:ASM411807v1:8:7101457:7108742:-1 gene:Vigun08g056800.v1.2 transcript:Vigun08g056800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKGSWSKYWKITALQGFFLPSNCERLHCPGYRADGHDFLSCDIVLRVVILSIEMLSELQAMFHFHVCCDNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVVFGKLVLGHDILKKIEDVGDKEGLPSVTVKIINCGEHNEDEKKINKSKKGRDGSSETNSHELHKGKHRKSSGDKRKRRKYYSSESDSSSDSDTKSSESDSDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRRGKKRDKHREKRRRKQDKRSKRRSRRELSSHTDSDSENKSNKCSDSESCGAEVNDQNHEDHSQRHAEGQSSSVVEKELPPMHPKKREKLDILKEEEFPKENGERCSNGTGANYRSERSEVRQPDVMDDQPDKSRSQSTSVSPRKRKSKSPSISPKGKLNRSPSGSRSPHAPLQRSLSQSPVRSTSRSPNRRSVSRSPMRGRKGRSISRSSVRRYGHQSVSTSPVRPLSRSYQRTSPKTSSRRSISRSPTISPGQRSVSVSPGRSPSRSQRRSSLKAPSRRSISRSPVRNRRLGSVSRSPSRSQGRRSDSGSPIRSLTPSHRISPRTKSRRSISRSPVRTRNHKSISKGTSRSRGHRTSSGSPVRSLSRSGRRSSPRAPSRRSISRSPVKTGNHRSVSRSPLRSHGQRSVSASPVRSPSWERRRSSPRAPSRRLISRSPVRVSRKSLSRSPVRSSARSLSRSSGRVPLRSNSRSPVRGPSRVPLRSISRSPVRGPSRVNRRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRSDRDRYSGYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPRYRGRRYSRSRSPVRSRSPAGAYRRRVERSRSLSRSSRSLSRSRSPSLSRASVESQSPRKNSRSRSRSQSSDGKKGLVSYGDGSPDSS >Vigun08g056800.3.v1.2 pep primary_assembly:ASM411807v1:8:7101457:7108742:-1 gene:Vigun08g056800.v1.2 transcript:Vigun08g056800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKGSWSKYWKITALQGFFLPSNCERLHCPGYRADGHDFLSCDIVLRVVILSIEMLSELQAMFHFHVCCDNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVVFGKLVLGHDILKKIEDVGDKEGLPSVTVKIINCGEHNEDEKKINKSKKGRDGSSETNSHELHKGKHRKSSGDKRKRRKYYSSESDSSSDSDTKSSESDSDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRRGKKRDKHREKRRRKQDKRSKRRSRRELSSHTDSDSENKSNKCSDSESCGAEVNDQNHEDHSQRHAEGQSSSVVEKELPPMHPKKREKLDILKEEEFPKENGERCSNGTGANYRSERSEVRQPDVMDDQPDKSRSQSTSVSPRKRKSKSPSISPKGKLNRSPSGSRSPHAPLQRSLSQSPVRSTSRSPNRRSVSRSPMRGRKGRSISRSSVRRYGHQSVSTSPVRPLSRSYQRTSPKTSSRRSISRSPTISPGQRSVSVSPGRSPSRSQRRSSLKAPSRRSISRSPVRNRRLGSVSRSPSRSQGRRSDSGSPIRSLTPSHRISPRTKSRRSISRSPVRTRNHKSISKGTSRSRGHRTSSGSPVRSLSRSGRRSSPRAPSRRSISRSPVKTGNHRSVSRSPLRSHGQRSVSASPVRSPSWERRRSSPRAPSRRLISRSPVRVSRKSLSRSPVRSSARSLSRSSGRVPLRSNSRSPVRGPSRVPLRSISRSPVRGPSRVNRRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRSDRDRYSGYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPRYRGRRYSRSRSPVRSRSPAGAYRRRVERSRSLSRSSRSLSRSRSPSLSRASVESQSPRKNSRSRSRSQSSDGKKGLVSYGDGSPDSS >Vigun08g056800.1.v1.2 pep primary_assembly:ASM411807v1:8:7101457:7108765:-1 gene:Vigun08g056800.v1.2 transcript:Vigun08g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNSLVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGERGVGPNTGKSLHYKGSFFHRIVKGSIARGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVVFGKLVLGHDILKKIEDVGDKEGLPSVTVKIINCGEHNEDEKKINKSKKGRDGSSETNSHELHKGKHRKSSGDKRKRRKYYSSESDSSSDSDTKSSESDSDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRRGKKRDKHREKRRRKQDKRSKRRSRRELSSHTDSDSENKSNKCSDSESCGAEVNDQNHEDHSQRHAEGQSSSVVEKELPPMHPKKREKLDILKEEEFPKENGERCSNGTGANYRSERSEVRQPDVMDDQPDKSRSQSTSVSPRKRKSKSPSISPKGKLNRSPSGSRSPHAPLQRSLSQSPVRSTSRSPNRRSVSRSPMRGRKGRSISRSSVRRYGHQSVSTSPVRPLSRSYQRTSPKTSSRRSISRSPTISPGQRSVSVSPGRSPSRSQRRSSLKAPSRRSISRSPVRNRRLGSVSRSPSRSQGRRSDSGSPIRSLTPSHRISPRTKSRRSISRSPVRTRNHKSISKGTSRSRGHRTSSGSPVRSLSRSGRRSSPRAPSRRSISRSPVKTGNHRSVSRSPLRSHGQRSVSASPVRSPSWERRRSSPRAPSRRLISRSPVRVSRKSLSRSPVRSSARSLSRSSGRVPLRSNSRSPVRGPSRVPLRSISRSPVRGPSRVNRRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRSDRDRYSGYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPRYRGRRYSRSRSPVRSRSPAGAYRRRVERSRSLSRSSRSLSRSRSPSLSRASVESQSPRKNSRSRSRSQSSDGKKGLVSYGDGSPDSS >Vigun08g056800.2.v1.2 pep primary_assembly:ASM411807v1:8:7101457:7108765:-1 gene:Vigun08g056800.v1.2 transcript:Vigun08g056800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNSLVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGERGVGPNTGKSLHYKGSFFHRIVKGSIARGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVVFGKLVLGHDILKKIEDVGDKEGLPSVTVKIINCGEHNEDEKKINKSKKGRDGSSETNSHELHKGKHRKSSGDKRKRRKYYSSESDSSSDSDTKSSESDSDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRRGKKRDKHREKRRRKQDKRSKRRSRRELSSHTDSDSENKSNKCSDSESCGAEVNDQNHEDHSQRHAEGQSSSVVEKELPPMHPKKREKLDILKEEEFPKENGERCSNGTGANYRSERSEVRQPDVMDDQPDKSRSQSTSVSPRKRKSKSPSISPKGKLNRSPSGSRSPHAPLQRSLSQSPVRSTSRSPNRRSVSRSPMRGRKGRSISRSSVRRYGHQSVSTSPVRPLSRSYQRTSPKTSSRRSISRSPTISPGQRSVSVSPGRSPSRSQRRSSLKAPSRRSISRSPVRNRRLGSVSRSPSRSQGRRSDSGSPIRSLTPSHRISPRTKSRRSISRSPVRTRNHKSISKGTSRSRGHRTSSGSPVRSLSRSGRRSSPRAPSRRSISRSPVKTGNHRSVSRSPLRSHGQRSVSASPVRSPSWERRRSSPRAPSRRLISRSPVRVSRKSLSRSPVRSSARSLSRSSGRVPLRSNSRSPVRGPSRVPLRSISRSPVRGPSRVNRRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRSDRDRYSGYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPRYRGRRYSRSRSPVRSRSPAGAYRRRVERSRSLSRSSRSLSRSRSPSLSRASVESQSPRKNSRSRSRSQSSDGKKGLVSYGDGSPDSS >Vigun08g056800.5.v1.2 pep primary_assembly:ASM411807v1:8:7101499:7108700:-1 gene:Vigun08g056800.v1.2 transcript:Vigun08g056800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNSLVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGERGVGPNTGKSLHYKGSFFHRIVKGSIARGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVVFGKLVLGHDILKKIEDVGDKEGLPSVTVKIINCGEHNEDEKKINKSKKGRDGSSETNSHELHKGKHRKSSGDKRKRRKYYSSESDSSSDSDTKSSESDSDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRRGKKRDKHREKRRRKQDKRSKRRSRRELSSHTDSDSENKSNKCSDSESCGAEVNDQNHEDHSQRHAEGQSSSVVEKELPPMHPKKREKLDILKEEEFPKENGERCSNGTGANYRSERSEVRQPDVMDDQPDKSRSQSTSVSPRKRKSKSPSISPKGKLNRSPSGSRSPHAPLQRSLSQSPVRSTSRSPNRRSVSRSPMRGRKGRSISRSSVRRYGHQSVSTSPVRPLSRSYQRTSPKTSSRRSISRSPTISPGQRSVSVSPGRSPSRSQRRSSLKAPSRRSISRSPVRNRRLGSVSRSPSRSQGRRSDSGSPIRSLTPSHRISPRTKSRRSISRSPVRTRNHKSISKGTSRSRGHRTSSGSPVRSLSRSGRRSSPRAPSRRSISRSPVKTGNHRSVSRSPLRSHGQRSVSASPVRSPSWERRRSSPRAPSRRLISRSPVRVSRKSLSRSPVRSSARSLSRSSGRVPLRSNSRSPVRGPSRVPLRSISRSPVRGPSRVNRRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRSDRDRYSGYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPRYRGRRYSRSRSPVRSRSPAGAYRRRVERSRSLSRSSRSLSRSRSPSLSRASVESQSPRKNSRSRSRSQSSDGKKGLVSYGDGSPDSS >Vigun06g075200.1.v1.2 pep primary_assembly:ASM411807v1:6:20600102:20600733:1 gene:Vigun06g075200.v1.2 transcript:Vigun06g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSVLFPCVLVLFLVVFASGMVNGCNYPCHGPHDPCGNLHCPGCVKQCVKSCCLCNCNGNETPEINM >Vigun02g160800.2.v1.2 pep primary_assembly:ASM411807v1:2:30654880:30659493:1 gene:Vigun02g160800.v1.2 transcript:Vigun02g160800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAVTYLKYLDTLRVSESFRSVWIFAEASYKIFDYAKKRVFHLVRSDGLKFNELSKGVKNKKRKSKADDKDIEEVDGTSHSTLNAGLVLEEVLEEAPKWKVLREVLEEVEEERQKAGMLRGEMFTEGEDTNNGIVLVACKDEMSCLQLEECITGSPEKVMREEWKKYLLNKVQLRDIVNKKKKPKDPKPKGFGILDGVTPITPAQNAETTSTSKQEHDALLAAASKLRNISENDHVKDSPQPDIGGQGSGKRKRRVGVRKVPVVLDGVEVQNNDKEESVSDKIGMSDSKNEVNEDESNTVSAGRFSEIMEEGTSMENIVLRKHTNPDVAARTGKPLPPVHFYALESDQPILDILKPSIVIVYHPDMAFVREIEVYKAENSSKKLKVYFLFYEASTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQGEHSLGLNYTLESDLNTPQNSVTRKAGGKKEADKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFASLKANQDEPDETKATRVGVPSEEGIVENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELALLPVERLAELMGGHKAARTLRDFLDAKYPTLL >Vigun02g160800.1.v1.2 pep primary_assembly:ASM411807v1:2:30653433:30659493:1 gene:Vigun02g160800.v1.2 transcript:Vigun02g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFHEHIITELLEDSNGGLVVLSSGLSLSKLVSSLLILHTSSEGTLLLLSPSSTSLKSKITFHLKTLNPQFNQIPAEITADLPAHHRHALYSSGNAFFITPRILIVDLLTNKLPTSKIAGILLLNAHSLSETSTEAFIVRIFRSLNRSAYVRAFSDKPHAMVSGFAKAERTMKCLHVRKLHLWPRFQVYVSQELERDPPEVVDIRVPMSKYMVGIQKAIIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAEASYKIFDYAKKRVFHLVRSDGLKFNELSKGVKNKKRKSKADDKDIEEVDGTSHSTLNAGLVLEEVLEEAPKWKVLREVLEEVEEERQKAGMLRGEMFTEGEDTNNGIVLVACKDEMSCLQLEECITGSPEKVMREEWKKYLLNKVQLRDIVNKKKKPKDPKPKGFGILDGVTPITPAQNAETTSTSKQEHDALLAAASKLRNISENDHVKDSPQPDIGGQGSGKRKRRVGVRKVPVVLDGVEVQNNDKEESVSDKIGMSDSKNEVNEDESNTVSAGRFSEIMEEGTSMENIVLRKHTNPDVAARTGKPLPPVHFYALESDQPILDILKPSIVIVYHPDMAFVREIEVYKAENSSKKLKVYFLFYEASTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQGEHSLGLNYTLESDLNTPQNSVTRKAGGKKEADKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFASLKANQDEPDETKATRVGVPSEEGIVENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELALLPVERLAELMGGHKAARTLRDFLDAKYPTLL >Vigun11g123100.1.v1.2 pep primary_assembly:ASM411807v1:11:33036359:33038215:-1 gene:Vigun11g123100.v1.2 transcript:Vigun11g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSLLLPSPSCASILSRGTHRISGSASFHRLKYRVKGFRVQALREKTEEIENPSQASSPEEVTKKYGLEAGLWKIFSSKEEGKDNSEEQKSKADQAKELLAKYGGAYLATSITLSLISFALCYALISAGIDVQSLLQKIGISADETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKVEKDK >Vigun03g288000.1.v1.2 pep primary_assembly:ASM411807v1:3:46991206:46994541:-1 gene:Vigun03g288000.v1.2 transcript:Vigun03g288000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGNKIERIYWSLSAHQVMDSNPGHYVALVVSSPTLKSDNGTPMKQLKLLRPDDTLHIGQVYRLISFEDVLKEFASKKCGKLGKLLKETGGHGVQMKHRDNRASNPSLTSKNEYGSSKVEQEIQRMDSNGSGGSSSSNNKGVGRHFVGSGGQWKPALQSIAEIGT >Vigun03g068200.2.v1.2 pep primary_assembly:ASM411807v1:3:5625875:5630213:-1 gene:Vigun03g068200.v1.2 transcript:Vigun03g068200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSELLKKNTVTTENRGAIELHSGEMLTSSKIKLQLFPINEGTRIGLEKDGHNPYLELTLRGRKKISSILKHLGKKWGSSSIAKGQLILFPCDVMENISDCRRWTINDTGTTASAVYAAVGSPAIFRLKYGWFYAHEPRSSGIPSTPIPDKPVVAGGATAKLEETLCGEQDMVEASKEYRETDVGIADNEPRVSSGLQQSSSPWLDSLSNISIGAFLSETSFFGGLDPKSFAGIQPCDVTSDSLDAFIAAQINNRPVSRLSAEDLRPSILDAEETCHAFPLQKLSSPTDVLTSRRKDYSVACSQDVSSNLLKLSNTEKVNDQDEPSPNPPSGKTQTGLLVSSRPYDDERSLGLTDINWNESMGPFDLGMPAQKLIGEDSVSIGGLVK >Vigun03g068200.1.v1.2 pep primary_assembly:ASM411807v1:3:5625875:5630213:-1 gene:Vigun03g068200.v1.2 transcript:Vigun03g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGRSQQSKVAKASAKCNLKTGSIGVRNSPKRTNKLDHKARGCSEELLNDKENRHFLFKEPMSTCSELLKKNTVTTENRGAIELHSGEMLTSSKIKLQLFPINEGTRIGLEKDGHNPYLELTLRGRKKISSILKHLGKKWGSSSIAKGQLILFPCDVMENISDCRRWTINDTGTTASAVYAAVGSPAIFRLKYGWFYAHEPRSSGIPSTPIPDKPVVAGGATAKLEETLCGEQDMVEASKEYRETDVGIADNEPRVSSGLQQSSSPWLDSLSNISIGAFLSETSFFGGLDPKSFAGIQPCDVTSDSLDAFIAAQINNRPVSRLSAEDLRPSILDAEETCHAFPLQKLSSPTDVLTSRRKDYSVACSQDVSSNLLKLSNTEKVNDQDEPSPNPPSGKTQTGLLVSSRPYDDERSLGLTDINWNESMGPFDLGMPAQKLIGEDSVSIGGLVK >Vigun03g310100.1.v1.2 pep primary_assembly:ASM411807v1:3:50210244:50211984:1 gene:Vigun03g310100.v1.2 transcript:Vigun03g310100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLQHRRLLLILFSWMFIMMGKPATVAGLFQPSQWTQAHATFYGDESASATMGGACGYGNLLINGYGTDTAALSSTLFNDGYACGTCYEIQCVESSACFSNVPYTTVTATNICPPNWAEASDNGGWCNPPRPHFDMSKPAFMKIAQWKAGIVPVMYRRVACVKSGGLRFSFQGNGYWLLVYVMNVGGGGDISNMWVKGSGTEWISMSHNWGASYQAFATLSGQSLSFKATSYTTKETIIAWNVAPTNWGVGLTYSSNVNFS >Vigun01g193000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37066676:37068275:1 gene:Vigun01g193000.v1.2 transcript:Vigun01g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPDIETKYAHNGKVMVGTAILLFLLIILIILFNAYVRFCRRRRRDFLSHSLPTTASAEPRLDPSVIKSLPTFFFSAAVHRSLQDCAVCLSEFADGDKVRTLPNCKHGFHTHCIDAWFASHSTCPLCRTPAQPAKVCSDAEPGSVPVSEADEGCSSSLGPLLRVRERRWM >Vigun09g128950.1.v1.2 pep primary_assembly:ASM411807v1:9:28598516:28599629:1 gene:Vigun09g128950.v1.2 transcript:Vigun09g128950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSSIPVAQKNARGNRSDIAWKHCNSVGGDTRKLQCKYCQKHHLAGTQKDVGACKNVTGEVKKEMLNMEEETIEASETRKNSEASSPRNIFKKRVVGTQTTINNMFKKGMREEACQAIARFFYNNAIPFNVAKSEEFIAMLDLVSRHGLGFKPSSYHEIRVKYLTTTFLVLRSFLGVTWNGDNKRTNKRQQIKLKARPNLPS >Vigun05g299100.1.v1.2 pep primary_assembly:ASM411807v1:5:48341575:48344338:-1 gene:Vigun05g299100.v1.2 transcript:Vigun05g299100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAESLPPSSQTICVTGAGGFIASWMVKLLLEKGYTVRGTLRNPDDPKNGHLKEFEGASERLTLHKVDLLDVDSVRSVIHGCHGVFHTASPVTDNPEEMVEPAVNGAKNVIIAAAEAKVRRVVFTSSIGAVYMDPKRSIDLVVDESCWSDLEYCKNTKNWYCYGKAVAEKAAWDTAKEKGVDLVVVNPVLVLGPLLQPTINASTIHILKYLTGSAKTYANATQAYVHVRDVALAHILVYEKSSASGRYLCAESSLHRGELVEILAKYFPEYPVPTKCSDEKNPRAKPYTFSNQKLKDLGLEFTPVSQCLYETVKSLQEKGHLPVPSKQEDLTTVKSS >Vigun09g266500.2.v1.2 pep primary_assembly:ASM411807v1:9:43011896:43014306:-1 gene:Vigun09g266500.v1.2 transcript:Vigun09g266500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLNHKFHNHARSNSLPSKPHPLILQCNEHLARLGSCETISSSLLGQNLTTLLDLHECIEKLVQLPLTQEALVQERQEKWVDDLLDGSLRLLDACTETKDALLHTKECTRELQSTIRRRRGGEVELTVEVKKFLTSRKVVRKAIFKALENLKAQAKRSSWALVSKLMQSKRVGYAQVAEENEFAKVDAALQLFALNMSTKSNDISGLQKKLENLGTCIQDLEEGLESLFRRLIKIRVALLNILNH >Vigun09g266500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43011896:43014197:-1 gene:Vigun09g266500.v1.2 transcript:Vigun09g266500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLNHKFHNHARSNSLPSKPHPLILQCNEHLARLGSCETISSSLLGQNLTTLLDLHECIEKLVQLPLTQEALVQERQEKWVDDLLDGSLRLLDACTETKDALLHTKECTRELQSTIRRRRGGEVELTVEVKKFLTSRKVVRKAIFKALENLKGNNANKSNLALTNKDYYQTMAFVTVLKDAEVITFSIFESLLNFFSGSAQAKRSSWALVSKLMQSKRVGYAQVAEENEFAKVDAALQLFALNMSTKSNDISGLQKKLENLGTCIQDLEEGLESLFRRLIKIRVALLNILNH >Vigun11g210900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40606470:40608664:1 gene:Vigun11g210900.v1.2 transcript:Vigun11g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLAQLAAREANLLCGVEDRIQSLQHELQMINELLNTTKSKKGMEHTVLNQIRDVAHLAEDVIDTFVAKVSIYKRRTILGRMLRGFGQARLLHHVAEKIDKIKTTLNEIRENKNKYDAFKETNSQSAAEEEEEEKRAKLLHKLRRNVEEEGVVGFVHDSKDVINRLLEGGSNRKAVSIVGMGGLGKTTLARKVYNSTQVKQHFMCQAWVYVSNECRVRELLFGLLKHLIPNFEQQWRGNKEGKKIARDINNLSEEELKKLVQNCLESERYLVVVDDLWKTQDWEEVQDAFPDNNRGSRILITSRLKEVALHAAHDVPHYLQFLSEEESWELFCKKVFRGEDCPFDLETLGKQMVQSCRGLPLSIIVLAGLLGNKEKSHREWSKVVGHVNWYLTQDETQVKDTVLKLSYDNLPKRLKPCFLYLGIFPGDIEIPVRPLLQKWIAEGFIQNTGNRDPDDVAEDYLYELIDRSLVQIGRVESNGSLETCQIHELLRDLCISESKEDKVFDVCIDNNILIPTKPRRLSIHSDMGHYISSSNNDHSHIRSLFFFGQDYYVRERDWKWLLECFKLVRVLELRPTSCRKIPSNLGNFIHLRYLRIDLVYVTFVPTSILNLLSLETIDLGPLSHNIPVSFPMQM >Vigun02g167500.1.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135984:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHQLCCISLESPGIGNHSPERMAARLFQTRSLPLVGSLGNAAQRWETTVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRCPENLNLLTPPDDVRFIGEISTNRLARVDRVVPATRGKHRKASSSSRVVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.3.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135984:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHQLCCISLESPGIGNHSPERMAARLFQTRSLPLVGSLGNAAQRWETTVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRCPENLNLLTPPDDVRFIGEISTNRLARVDRVVPATRGKHRKASSSSRVVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.8.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31133774:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.2.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31136028:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHQLCCISLESPGIGNHSPERMAARLFQTRSLPLVGSLGNAAQRWETTVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRCPENLNLLTPPDDVRFIGEISTNRLARVDRVVPATRGKHRKASSSSRVVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.14.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31134717:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.7.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31133774:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.5.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31133774:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.13.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31134717:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.4.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31136028:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHQLCCISLESPGIGNHSPERMAARLFQTRSLPLVGSLGNAAQRWETTVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKIRCPENLNLLTPPDDVRFIGEISTNRLARVDRVVPATRGKHRKASSSSRVVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.12.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135984:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGRRSLQVDQLRQRLEIPMVPPPKRCSLLCQDPLSGESQPSHSSGRRSLHRGNFHQPTRTSGQSCPRHTRKTPQSFFLFPCSPSQATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.11.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135984:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGRRSLQVDQLRQRLEIPMVPPPKRCSLLCQDPLSGESQPSHSSGRRSLHRGNFHQPTRTSGQSCPRHTRKTPQSFFLFPCSPSQATKTLHLRTDSRNDRVAWIQALVSTRGLYPHQPLHDHLLLTPNDISVSTDRLKSRLLEEGTSENLVKECEQIMLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.6.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31133774:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun02g167500.10.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135852:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKPFLITGI >Vigun02g167500.9.v1.2 pep primary_assembly:ASM411807v1:2:31127342:31135984:-1 gene:Vigun02g167500.v1.2 transcript:Vigun02g167500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEMQGQFEVLCQERSNLLDTIRQLEAANTEPEATAIHDGDYQLTKNEFSSLGRGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTRDCFTETDCKCESERAINEVDRCREISTQSTDTENIHPENMVCNYKHPQIARRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDLAHEYGKSGNGLLRALYVAAFAVSGYASSEGRNCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIHSKFRGRSIQLDPVGVLTLEFNDGEIFQWSKVTTTIYNLILGKIYCDHHGDMDIRGNRKYSCRLKFKEQTILDRNPRQVHGFVEDVTGKKVATLFGKWDDSMYYMSGNVNVKPKDLSSPNANASLLWKRTMASPNVSRYNLTPFAITLNELTPGLKENLPPTDSRLRPDQRHLENGEYDKANFEKQRLEKRQRMSRKIQESGWKPRWFRREGESGTFRYIGGYWEARELRSWDGCPDIFSEINEDSADP >Vigun01g054100.1.v1.2 pep primary_assembly:ASM411807v1:1:10229075:10238420:-1 gene:Vigun01g054100.v1.2 transcript:Vigun01g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHQYNSMESRTEEFHSAPQLVPQDLRDGMHINARPPAYNMSENKPVLNYSIQTGEEFALEFMRDRVNLRKPPFPNVVGDPNYSTGYMELKGILGHTGSESGSDISVLTKTDKGPKEFDRRNSSQHQDRSNYGSARSIPRTSSNQENYRVLHGTASSSASEISSMKMKVLCSFGGRILPRPSDGKLRYVGGETRIISIRRDIRLQELMHKTSLIYGEPHVIKYQLPGEDLDALVSVSSDEDLRNMMEECHDLQGERGSTKLRMFLFSINDLDDTQFGIGSIDGDSEIQYVVAVNGMSMSSRNNSILHAAGGSTNNLHELNEQNNDRETNRVLMDSFGVSGSSLTDNVKSSLTIQSSQQMLPISSNAFETHPLFYDDPVIHQGDASQYPLQHGIGPSNNSARNVGEIPVSVPIQSLVNQGIVNDGQTSSELQVQISAMPDTLVKRKGDNFIHTGNDPGKVFPLEAPYPIASLPFGGNLHANLPEAPVSAAISEGFHHAVPSKNKGKHQQSEDAYSLIGSMNPTQTPKSGEDDLYTTPTDAFSRAQVDAESNVIDFGSLEPPPLPNRVYYSERIPREQEDLLNRSTKSDDAYGSHLLMSDLLSDFSQKNSETESNDILHGGNMSNQNIMSRSAPKPLQADGNTIDDGFAPPPTYKQWPDTTNKLNSKLALHVNSELKQVLVDNKVTGIEDQVLHSENDTNRSKDNHNILLVDGPKGTGHLALHQVLPVEHNLNVVSKPPDLNLAEVSTRESNNGTKVQAVAFPSTGNTGQDVSQNFPPEVRTRPTTQGDILIDIEDRFPRDILHDMFSKAILSEDSSSIGPLAADRAGLSLHMDNHEPKRWSYFQNLAQEGIDNVSLIDQDYLDFSSAVRKVPDGDSKSQHSAALLADGALAGHKESHLNFGGDENQKNVPVTTKTESTLLQQKYEHSQLKGNENKNMEAIMENVRPQESEYLEDDQNEARNVDLAGNFDISTVQFIKNDDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGATLATVTEYMVDGSLRNVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDLEWKTLMEQCWAPNPAVRPSFTEIARRLRVMSAAAIQNKGQVHKASK >Vigun11g083600.1.v1.2 pep primary_assembly:ASM411807v1:11:24879302:24883370:-1 gene:Vigun11g083600.v1.2 transcript:Vigun11g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKIQRNSSSRTKNFDVFVSFRGEDTRNGFTNHLFAALQRKGVVAFRDDYTIQKGEFLESELLQAIEGSRVFIVVFSKDYASSTWCMQELTKIVGWVQQTGRSLLPIFYDVDPSEVRKQSGEFEKAFAEHEQRFKDDMEMIKTWRAALKTSCDRCGWDLKNKKQYEEIENVVEKVIDILGRNQIWSFGDDLVDMHSRVKQLEEVLDLSANDTVRLVGLCGMGGIGKTTLATALFNKISPQYNASCYIDDVSKTYYNFGATNAQKQLLCQILNQGNMEIHNVSHGTMLIRTRLCHLKTLVVLDNVDEVEQLEKLGLHPEYLGAGSRLIIISRDSRILKKYGVSEVYNVQVLDETQALQLFSKIAFRSNNIRKEYKELTFDVLKYVRGLPLAIKVLGTFLHDRDVCEWRSALIRMKENPKKDIMDVLRISFDGLENLEKEIFLDIACFFNKYGVWEVKKLLDYRQFYPDIGMQVLIEKSLISYQDEEIEMHDLLKELGRIIVREKAPKEPRKWSRVWSYKDFQEVMEINKEAENVEAIVIEQYPEEFLQGRIRVDALSKMDHLKLLILKNVNCFGTLNYISNELRYLFWNHFPWMFLPSTFHLDQLVELIMPHSNMKKLWEGKKCLPNLRRMDLSHSKNLIGVPDLSEVQRLTFLDLEGCIKIVGIHPSIGILRELHYLNLKNCKNLVLNLNILFALSSLRTLILSGCLKLLNSKMLMDPSDTKHLEEVVKITNVIQFPTSSVYRLLMLPFHIFYPSKPEDSLGMVLSSLSCVPCLVHLDISFCNLLQVPDEIKNLRSLVGLNLGGNKFVTLPSSIKLLSNLQRLNLEHCKQLKYLPELPTMKEKQIGTYYVGLYIFDCPKLCDMEHCYSTVFSWMTQNLQVCLQPTISSAHVAIVIPGSEIPKWFNKQKASTSISMDPSAVIDDPNWIGVAICVLFVTHQDPTNLVERYDNSSHTFSYGVNNVNLWPKSYSVAPMHFKKDLVTAGFDHLLTVFYSRQEFIHLLSSHPNTMHDLHGIEFETWIQSPKGLCVVVKNCGYRWVFKEDLQQFNSNMFFSGNSSSRKRKLLTRH >Vigun06g049000.1.v1.2 pep primary_assembly:ASM411807v1:6:17393193:17395623:1 gene:Vigun06g049000.v1.2 transcript:Vigun06g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNRDNQQSVAVKKTALRDLQNDNKIMVPTSVGSSSLLKDKDPGTESNRVSGTKRPFSDHPVNQNLQQSPGNNAANGHLVYVRRKSEAEMGKGTAFENPSVNAYCSHSKQLCCEEENAQPKSQIKEPKVSSFPAFAPFPVASSMNSSGKPSVPVSLGKSSIKLAPVESNYVTASSGATPTTGNPKGLKNLHWEERYQQLQMFLKKLDQSDQEEYIQMLRSLSSVELSKHAVELEKRSIQLSLEEAKELQRVAALNVLGKSVKNFKAPVDHDECSDKLKT >Vigun01g007400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:883509:886100:1 gene:Vigun01g007400.v1.2 transcript:Vigun01g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSSQSQFRVLLVLVYAITIHKGVCSTNVKLSCNEKDRSPLLLFKHSVKDHSNKLSSWSSGEDCCAWKGVLCDNTTGRVTRLDLNQQYLEGEINLSLLQIEFLTYLDLSMNDFTALIHPPLSTAHFNLSYLEYLDLSFNEDLHFNNLQWLSQLPPSLKCLNLSEINLENETNWLQTMAMHHPSLLELRLASCGLVNMSPFVKFVNFTSLLTLDLSGNYFDSELPYWLFNVSSDIFHIDLSFNNLKGRIPKSLLNLRNLESLRLSNNELTGPIPDWLGEHERLQHLVLSENLFNGPFPSTLGNLSSLTELGVSSGFLSGNLTDTIGQLSNLRTLFIGGSLSGVLSEKHFSKLFNLESLVLNSGFSFDIDPKWIPPFQLREVSLKNTNLGPTFPEWLYTQTTLEALDTSFSKLSSINADKFWSFVAKIRAINLSFNAISADLSNVTLESEYAILACNNFRGTLPRISSNVFMINMANNSLSGPISPFLCHKSGKKSALGYLDISINSLSGVIPNCWENWRSLTFLFIGNNRLEGEIPPSIELLNEIIVMDFNKNNLSGEFSLDLSNMKSLVFINLGHNSFSGVVPTKMPESMQVMILRSNQFSSNIPQQLCSLPSLIQLDLSQNKLVGSIPPCVCNVSSMDGERRIRHFRFTFDLFWKGRELGYRDIGLLRNLDLSSNNLSGEIPTELFGLTQLLFLNLSRNHLKGKIPIKIGGMKNLESLDLSNNHLSGTIPRTISNLTFLSYLNLSYNDFSGEIPLGTQLESFDAWSYVGNPKLCGLPLPKNCSNTHQRVGSGANNSQNESLYLGMVGGFVVGLWGVWGSLFINRAWRHKYFLMLNHVADWLYEVIVLKINHFSRVRASAR >Vigun01g241500.1.v1.2 pep primary_assembly:ASM411807v1:1:41109324:41116006:1 gene:Vigun01g241500.v1.2 transcript:Vigun01g241500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVRLRVVFEDPGMLSKSKKKEGLNRCWFLLKPQHSTISDVASHLHNTFRLHRTCPRGIILSMDGFVIPSFESTCILKDKDIICVKRKVSTRTDDEPAMLPPAPGGREAIELPNVLVLANESFQEESGAEETMSQEDDHDKLEDADYVECKSDGTTSKKRKAPRKLKSPSQKKIKLSTSENLSVIPEVHEEENEGSIDHQLSLVKKDKKKSSKLSSELNRPSNLDKQKNDKSDCPSDETRFVQPQDESGTKKLPSRSARRKKAKRRWLRELKLQKEKQEKIHQTTVLEKDAQVLPIKDNSFVASDVHKKSDEHQQSDEESEEDDDDDIVPVEIRPGHIRFEPLKKDQDQAVPQNQFSVETFQWNGITNKKKGQKWGKERMSFHKHDGYEHSSQEYATVENAEKEPTFNVVDFDKLQPYTGLPKEGDVIAYRLVELSESFTPELSSFRVGKISQYDAKTKRIWLELVLEYPFDFKKETEKDASSFEQPDASPYQEDGSLEIDYASLVDVRMVKHGHLGSKTAVVSGNAFVNPTKATNGSTDEKLKGNQTTLESCQTEKEVLNPAKENGEVDVWEEISKELEAKKAKLSQDGGWSRGESSSTRSWSHRAMRCSALGPTMAFLRSNNGI >Vigun01g187400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36723922:36724230:-1 gene:Vigun01g187400.v1.2 transcript:Vigun01g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKDLASKKAAVIFTKSSCCMCHSIKQLFYELGASPAVHELDNDSYGREMEWALRGMGCNPSVPAVFIGGKFVGSSKDIISLHVDGSLKQLLMDAKAIWF >Vigun01g085601.1.v1.2 pep primary_assembly:ASM411807v1:1:24150168:24151130:1 gene:Vigun01g085601.v1.2 transcript:Vigun01g085601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IDCAVQNYTAGNPAPVLYVKDLAKDVIADDFYYILGSLFGSVGAAKSAFQVKLMQEGRMRGQAFLTFPWYVFSRRLVNRVNGYVLKGKPMIIQFGRNPAAGKA >Vigun09g128100.1.v1.2 pep primary_assembly:ASM411807v1:9:28458047:28461846:-1 gene:Vigun09g128100.v1.2 transcript:Vigun09g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTSQTSVNHSLFEEQDQIPTQMGFNIPFPSTQAFAPLGCHQSLKSISAMVPSLSSEPASSAANFAETLFSTAVQRPRQDLTSSLVGGGGGQLLSLNRSRLNPWAWEEVTDCLMSKRMGGDDNHHHLGVSAMKMKKMKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSNELEDSQSPSELSSFLW >Vigun09g128100.3.v1.2 pep primary_assembly:ASM411807v1:9:28458047:28461846:-1 gene:Vigun09g128100.v1.2 transcript:Vigun09g128100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTSQTSVNHSLFEEQDQIPTQMGFNIPFPSTQAFAPLGCHQSLKSISAMVPSLSSEPASSAANFAETLFSTAVQRPRQDLTSSLVGGGGGQLLSLNRSRLNPWAWEEVTDCLMSKRMGGDDNHHHLGVSAMKMKKMKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRNCILLFRWRDRQVDPKS >Vigun09g128100.2.v1.2 pep primary_assembly:ASM411807v1:9:28458047:28461846:-1 gene:Vigun09g128100.v1.2 transcript:Vigun09g128100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTSQTSVNHSLFEEQDQIPTQMGFNIPFPSTQAFAPLETLFSTAVQRPRQDLTSSLVGGGGGQLLSLNRSRLNPWAWEEVTDCLMSKRMGGDDNHHHLGVSAMKMKKMKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSNELEDSQSPSELSSFLW >Vigun03g410800.1.v1.2 pep primary_assembly:ASM411807v1:3:61864186:61867060:1 gene:Vigun03g410800.v1.2 transcript:Vigun03g410800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTNVSTIRTIINSMVWPERSIFKMNTINVILILPFLCLSTFFIKASAINAAYESDRIIDLPGQPSTPSLSHFSGYITVNQNHGRALFYWFFEAQSQPSNKPLLLWLNGGPGCSSIGYGAVVEIGPLLVTKNGEGLHFNAYSWNQEANLLFVESPVGVGFSYTNTSSDTILEDNLVAEDAYNFLVNWLQRFPQFKSRDFFISGESYAGHYIPQLAELIFDRNRDSNKYPFINLKGFIVGNPETDDYYDYKGLLEYAWSHAVISDQQYEKAKQVCDYKQFEWSNECNKAMTEVFQDYSEIDIYNIYAPACLSNTTSSVADDSNSNGPESFTEVRNDYREKRMRIFGGYDPCYSNYAEEYFNRKDVQSSFHADTKRATNVAWKVCNNSILRAYNFSVFSVLPIYTKLIKGGLKIWIYSGDADGRVPVIGTRYCVEALGLPLKSRWRTWYHDNQVGGRTVEYEGLTYVTVRGAGHLVPLNKPTESLTLIHSFLTGDHLPTRG >Vigun02g201500.1.v1.2 pep primary_assembly:ASM411807v1:2:33620683:33628812:1 gene:Vigun02g201500.v1.2 transcript:Vigun02g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDDESLLARIEQLERERDELHKDIEQLCMQQAGPGYLAVATRMHFQRTAGLEQEIESLKKKLAACSRDNLNLQEELSEAYRIKGQLADLHNAEVSKNMEAEKQVKFFQGCMATAFAERDQAIIEAEKAKEKEETVLQQIHGIHQRVEELTADCLKLKEFNDALQIDQAVHMKQNENFKKVINKFFQIRQHSVKEFEDTSWDEKCACLLGDPEEAWSFNDASTSKYVSALEEQLERLRNSMEYLQNKLRVGLEIENHLKKRVNVLEKNQISMDKVVQNGIADLKHCHSKCRDEIKNLLGDGESIIKSITNVIDEKIQSFDLSTVPNLTLQRDAELEESEGVDIHISPQAKPISEYKRNSPWALSVGASLEGDASNVLAMALQQKVDALLLLSQQEERHLLESNVNSALQGKIEELQRNLLQVTNEKVKALMELAQLKQEYQLLLEKLGNEPNQEKGVVNTGDRKLVTRERDGTLKNLLKKSYLRRWIAPLDVSGNEVDCSSNNEGKTFNHQSSGSIDFARMKIENATLKESMESMEHLTSSIHRLRLSLSKAKDCVTSEGTISGVSEILSDVIHEAELLRTALGSSLPTSWPVEADISYIGYSVGTDRGDHEGSDEKMDTVSAAGLEMVELLIFSAQILRDLQTKIVLVPGIKVL >Vigun05g037700.1.v1.2 pep primary_assembly:ASM411807v1:5:3037504:3038602:-1 gene:Vigun05g037700.v1.2 transcript:Vigun05g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKKTLWCTLSLVLHLYTFHQILITSFHFTLICPKMEACFLSHNSFAISTDQLIPTIRNASLSHSKRSSQPFHCRKVRTPFPISCCHMSSSSSPLYDDEKPRLSGDWRSFRAKLVAGEQLQRPEEVSKVNDLDTVVDHPPLVTIADKWAHVIHEPEKGCLLIATEKLDGVHIFERTVILLLSTGPIGPSGIILNRPSLMSIKETRSTALDVEGTFSNSPLFFGGPLEEGLFLLSPKEGEGGDDGVAKSGVFEEVMKGLYYGSKESVGCAAEMVKRNVVGLGEFRFFDGYCGWEREQLRDEIRAGYWTVAACSPSVVGLGRVGSVGLWDEVLGLMSRRKVM >Vigun04g191148.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41503174:41503701:-1 gene:Vigun04g191148.v1.2 transcript:Vigun04g191148.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLDFFPKLCSLKLRCCNLQMISQDHTHNHLKDLDISKSPQFESFPMEGLCAPKLVKFSIKELKNLKLLPKRMDILLPSLTDIRILDCPQVELCSDGGLPSNLNTMDLSDWEKIAHSKT >Vigun06g122900.1.v1.2 pep primary_assembly:ASM411807v1:6:25041999:25047515:1 gene:Vigun06g122900.v1.2 transcript:Vigun06g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVAMPTSPAATTATMDIAKEHNGLRRSQSSKELCTRSIMQRSYSDNHLCCSINRIQATSVTPKLKSNRSTGISPFPFSGSILPNSLRSFLFDPETSKDIGVREKGVSIEENMVESSKEERVNRANWVERLMEIKKHWRNRLPKESMDPNVICNDNNYEECECNGDDGVCVVGEDEDEEEVTYDRDSFSEFLVEVPWSDTQLYSQLAFLCNMAYVIPQIKAKDLRRYYNLQFITSSLEKKATVAKLKLKLDQDSTSVPIDDSVASQGITKKGKENAQKHQIQLAYDIATSAASYVQLRAKDLLHRAAKSQKSENEESKLGGETPREELEGTSRGYKSEVAAYVAASTMTAVVAAGEKEKQEAANELQSLHSSPCEWFVCDDPSNHTRCFVIQGSDSLASWQANLFFEPTKFEGTDVFVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRARLQFTGHSLGGSLSLLVHLMLLTNKVVSPSTLRPVVTFGSPFVFCGGHQIIHELGLDESQIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLLKNKLLYSPLGKIFILQPDEKTSPPHPLLPRGSAFYALDNTKPGYSPSVLRTFLNQPHPIDTLSDPTAYGSEGTILRDHDSSNYLKAVNGVLREHSMILLRRVRNQRINQLWPLLTSPSPHSWSHEQNLERRSLMTKEIVTGV >Vigun06g122900.5.v1.2 pep primary_assembly:ASM411807v1:6:25044355:25047494:1 gene:Vigun06g122900.v1.2 transcript:Vigun06g122900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVAMPTSPAATTATMDIAKEHNGLRRSQSSKELCTRSIMQRSYSDNHLCCSINRIQATSVTPKLKSNRSTGISPFPFSGSILPNSLRSFLFDPETSKDIGVREKGVSIEENMVESSKEERVNRANWVERLMEIKKHWRNRLPKESMDPNVICNDNNYEECECNGDDGVCVVGEDEDEEEVTYDRDSFSEFLVEVPWSDTQLYSQLAFLCNMAYVIPQIKAKDLRRYYNLQFITSSLEKKATVAKLKLKLDQDSTSVPIDDSVASQGITKKGKENAQKHQIQLAYDIATSAASYVQLRAKDLLHRAAKSQKSENEESKLGGETPREELEGTSRGYKSEVAAYVAASTMTAVVAAGEKEKQEAANELQSLHSSPCEWFVCDDPSNHTRCFVIQGSDSLASWQANLFFEPTKFEGTDVFVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRARLQFTGHSLGGSLSLLVHLMLLTNKVVSPSTLRPVVTFGSPFVFCGGHQIIHELGLDESQIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLLKNKLLYSPLGKIFILQPDEKTSPPHPLLPRGSAFYALDNTKPGYSPSVLRTFLNQPHPIDTLSDPTAYGSEGTILRDHDSSNYLKAVNGVLREHSMILLRRVRNQRINQLWPLLTSPSPHSWSHEQNLERRSLMTKEIVTGV >Vigun06g122900.2.v1.2 pep primary_assembly:ASM411807v1:6:25042754:25047519:1 gene:Vigun06g122900.v1.2 transcript:Vigun06g122900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVAMPTSPAATTATMDIAKEHNGLRRSQSSKELCTRSIMQRSYSDNHLCCSINRIQATSVTPKLKSNRSTGISPFPFSGSILPNSLRSFLFDPETSKDIGVREKGVSIEENMVESSKEERVNRANWVERLMEIKKHWRNRLPKESMDPNVICNDNNYEECECNGDDGVCVVGEDEDEEEVTYDRDSFSEFLVEVPWSDTQLYSQLAFLCNMAYVIPQIKAKDLRRYYNLQFITSSLEKKATVAKLKLKLDQDSTSVPIDDSVASQGITKKGKENAQKHQIQLAYDIATSAASYVQLRAKDLLHRAAKSQKSENEESKLGGETPREELEGTSRGYKSEVAAYVAASTMTAVVAAGEKEKQEAANELQSLHSSPCEWFVCDDPSNHTRCFVIQGSDSLASWQANLFFEPTKFEGTDVFVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRARLQFTGHSLGGSLSLLVHLMLLTNKVVSPSTLRPVVTFGSPFVFCGGHQIIHELGLDESQIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLLKNKLLYSPLGKIFILQPDEKTSPPHPLLPRGSAFYALDNTKPGYSPSVLRTFLNQPHPIDTLSDPTAYGSEGTILRDHDSSNYLKAVNGVLREHSMILLRRVRNQRINQLWPLLTSPSPHSWSHEQNLERRSLMTKEIVTGV >Vigun06g122900.3.v1.2 pep primary_assembly:ASM411807v1:6:25043842:25047545:1 gene:Vigun06g122900.v1.2 transcript:Vigun06g122900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVAMPTSPAATTATMDIAKEHNGLRRSQSSKELCTRSIMQRSYSDNHLCCSINRIQATSVTPKLKSNRSTGISPFPFSGSILPNSLRSFLFDPETSKDIGVREKGVSIEENMVESSKEERVNRANWVERLMEIKKHWRNRLPKESMDPNVICNDNNYEECECNGDDGVCVVGEDEDEEEVTYDRDSFSEFLVEVPWSDTQLYSQLAFLCNMAYVIPQIKAKDLRRYYNLQFITSSLEKKATVAKLKLKLDQDSTSVPIDDSVASQGITKKGKENAQKHQIQLAYDIATSAASYVQLRAKDLLHRAAKSQKSENEESKLGGETPREELEGTSRGYKSEVAAYVAASTMTAVVAAGEKEKQEAANELQSLHSSPCEWFVCDDPSNHTRCFVIQGSDSLASWQANLFFEPTKFEGTDVFVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRARLQFTGHSLGGSLSLLVHLMLLTNKVVSPSTLRPVVTFGSPFVFCGGHQIIHELGLDESQIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLLKNKLLYSPLGKIFILQPDEKTSPPHPLLPRGSAFYALDNTKPGYSPSVLRTFLNQPHPIDTLSDPTAYGSEGTILRDHDSSNYLKAVNGVLREHSMILLRRVRNQRINQLWPLLTSPSPHSWSHEQNLERRSLMTKEIVTGV >Vigun06g122900.4.v1.2 pep primary_assembly:ASM411807v1:6:25041999:25047544:1 gene:Vigun06g122900.v1.2 transcript:Vigun06g122900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVAMPTSPAATTATMDIAKEHNGLRRSQSSKELCTRSIMQRSYSDNHLCCSINRIQATSVTPKLKSNRSTGISPFPFSGSILPNSLRSFLFDPETSKDIGVREKGVSIEENMVESSKEERVNRANWVERLMEIKKHWRNRLPKESMDPNVICNDNNYEECECNGDDGVCVVGEDEDEEEVTYDRDSFSEFLVEVPWSDTQLYSQLAFLCNMAYVIPQIKAKDLRRYYNLQFITSSLEKKATVAKLKLKLDQDSTSVPIDDSVASQGITKKGKENAQKHQIQLAYDIATSAASYVQLRAKDLLHRAAKSQKSENEESKLGGETPREELEGTSRGYKSEVAAYVAASTMTAVVAAGEKEKQEAANELQSLHSSPCEWFVCDDPSNHTRCFVIQGSDSLASWQANLFFEPTKFEGTDVFVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRARLQFTGHSLGGSLSLLVHLMLLTNKVVSPSTLRPVVTFGSPFVFCGGHQIIHELGLDESQIHCVMMHRDIVPRAFSCNYPNHVAVVLKRLNSSFRSHPCLLKNKLLYSPLGKIFILQPDEKTSPPHPLLPRGSAFYALDNTKPGYSPSVLRTFLNQPHPIDTLSDPTAYGSEGTILRDHDSSNYLKAVNGVLREHSMILLRRVRNQRINQLWPLLTSPSPHSWSHEQNLERRSLMTKEIVTGV >Vigun02g033900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14331101:14332464:-1 gene:Vigun02g033900.v1.2 transcript:Vigun02g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLIDRINDLQVGLNSLQNPSFSSQITTSLASVSIAYNFCKWGAVILALVATFGSIINRITIFIIRFRTKAPSFHSIEEYDDVYSSTDDEDEDYENDDDDLTYSSSEYEDEPSASSSFIRLEDYFRLRGTNDSDDNQNDEFQTQNGTHKRCRSIGDIFSLSELASSKSVVKLWDSIGFGLGLDFDDYEDGVVSTYDPASSTASPAVMVSAGEGACGNLAVEIWDTRLRRRKPTVVAEWGPTVGKTLRVESGGVQKVYVRDDGRNGVTVGDMRNVSTPLGNVTESDADTWWDADAIVVSDEQQSMLQRPT >Vigun03g088900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7461251:7462676:-1 gene:Vigun03g088900.v1.2 transcript:Vigun03g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKSLQILVQSPDLGISVQSTINHRTLSDVKHCLFPQSHQSFYFTLNGKPLPDETLLSRIAPLSTLSLRPRLLGGGGDGGATGAESRDCYLNMYAEKKPDKVDPHEQRLSKWHNCSLSNEPLREPCVIDKLGNIFNKEALVEALLGKKLPKEFGYIKGLKDMINIQLCPIPGSDDGAKFQCPVAGLEFNGKYRFFALKSCGHVLSARALREVKSSSCLVCHKEYADVDKIVLNGTDEEVAVLRERMEEERAKIRDKKNRKVKNSDDGVSLEGSRLSGTKHVVDVKAVERVSAKVEGNGKVSNGKVGLNGGVAAAKRFKAVDLAPANATKDVYASIFTSSKKSEFKETYSCRSLPLGRN >Vigun07g041400.1.v1.2 pep primary_assembly:ASM411807v1:7:4036742:4049115:-1 gene:Vigun07g041400.v1.2 transcript:Vigun07g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSSSNSENSRELVCVGTLEIATPKPVGFLCGSIPVPTDKSFHHAFHSALLPIPQTVNAPRYRYRMLPTETDLNTPPLLANFPDKVLPVGAVHSKTTGGDFPSEGTAVASNFNRKCEALAVSGLVDYGDEIDVIAPVDILKQIFKMPYSKARLSIAVRRIGHTLVLNTGPDVEEGEKLIRRHNNQAKCADQSLFLNFAMHSVRMEACDCPPTHHVPSEDQSNSSVLPGGKPPHIVVQNDDVVQAEGYNCHSEYSQVESENFYWGSKKNRRNKNRSPVKKVSQVGEKPRSSMQESEKQRKVGNDSFLRILFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHRNGVVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLSVLRFLQDNCKQDPGAYWLYKGAGEDDIQLFDLSVIPKNRSSDDSDDSSRSLPSSISRGRSDAVYSLGTLLYRIAHRLSLSMAATNRARCVRFFRKCLEFLDDSDHLAVRAIAHEQFARLILNYDDELNLTSESLALECELTVTEAKESSWDVENSNSEREGAHEVFYLHAADKSGEHGNLIEHLESECSVKMVSEGHKSSSGELIVVSNIELSNHEEDVPLTYPDVSSSVCEVCPVSTPVVQTVADPISSKLAAVHHVSQAIKSLRWMRQLQSSEPEVMDQFTENHDRPSSSFNLSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALAEAYKEDGQLHQALKVIQLSCSVYGSMPPHLEDTKFISSMASGSSLERKLIDLNENTWRDDVKDETVSGYIERKSSVYLFWAKAWELVGDIYIEFHRIKGKEISIQDLKRPATRELRMSSEVVKEVKRLKKKLVQMNQNCSSCSLVNCSCQSDRASSGNSASSSSAAVGLMTHGRKHSKRLSAKNANYSPPKDPGDELINGRDNGKDFDNKYIEHSHYGGDLNQTDTPESRMEIESLAAINPRTLEGSSEMDASCSSVVSQPENNSTETQKLKNGGIFEYLVEPLVGSVESNLIAALKCYEEARQALLKLPNSLSELQSVVKKKGWVCNELGRIRLENKESIKAELAFTDAIDAFREVSDHTNIILINCNLGHGRRALAEEMVSKIENLKLHNIFHSAYNHALETAKLKYLESLRYYGAARLELNAMNDHDDSVTSSLRNEAHTQFAHTYLRLGMLLARENTTAVYEDGSLEDTCVSHTKPQDRKARKDLRKHEISANEAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFMNSGNKKSILQKGENSALQRVKQYASLAERNWQKALDFYGPTTHPNMYLTIVMERSALSLSLSSYLHSNVVLESALAHMLEGRHVSDTTEDTFSNRYPELHAKYWSQLQMLLKKMLATLLSSSANKTTCQPSSTSSRFGDGGKIKELYKMSLKGTDMIQLHNMHNLWIS >Vigun11g130200.1.v1.2 pep primary_assembly:ASM411807v1:11:33796199:33797827:1 gene:Vigun11g130200.v1.2 transcript:Vigun11g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPQILHSLLLFNIILLGSSVSSIPQQNYANYCENTTKQTPFLNPNSSILNSIVLCISQNLYFRTSLGLFHVSSLDNNGRFLTITHSSCSSLQYVSPMAVTAGFPSPPEPNSLLLFNCSSRRTHPILPTMQNCTNLYTCGGAEENNNYPHPCLVVEDLRKFDRDFHPRHLNCSHFSWVHRRTPHGGHDHGFKVGTRISVDIPRVPEICQGCEKPNGTCGAGLNCLCHPKECKDKVISKAVIKSTGSVFFCLLSFIGSVIVALIICV >Vigun10g059632.1.v1.2 pep primary_assembly:ASM411807v1:10:11732848:11735995:-1 gene:Vigun10g059632.v1.2 transcript:Vigun10g059632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLKHEQEVSPRDSRIYVLTTYQSHSKQTNNIDHAFNTSRHPLVVESVGKDVSEVKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGCSSFSEYTVVDIANIIKIDPHIPPHRACLLGCGVSSGVGAAWRTAGVEPGSIVANFGLGSIGLAVAEGARLCGATRIIGVVGVDVYPEKFEIGKKFGVTDFVHARECGDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKVHATLSKFPNRVDKPGARINISSHEVLHDGKCLMGSLFGGLKPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun07g187600.2.v1.2 pep primary_assembly:ASM411807v1:7:30438331:30442759:1 gene:Vigun07g187600.v1.2 transcript:Vigun07g187600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKIPPELNNCSMLEYMDITRNNFSGGIPESFRNLQDLTYLDLSINRLSGDIPKFLWEFRLLEEVYLSDNSLSGSIPSSIGNMTNLITLDLSFNQLSGTIPMSIGNCSKLENLYLRGNKLQGVIPESLNNLENLLELFLDRNSLGGTIQLGSRNCKKLSVLSLSYINFSVPIPSSLGNCSGLKEFYAVESNFVGSIPSSLGLLTNLSILVIPQNQLSGKIPPQIGNCKSLEELYLHTNELEGEIPSELGNLSILRELLLFENHLTGKIPLGIWKIQTLEQVHVYINNLSGELPLEMAQLKHLKNITFFENQFSGVIPQSLGINSSLVVFDVTDNNFTGPIPPNVCFGKQLVRLNMAGNQLYGSIPPDLGRCTTLERLRLEQNNFSGPLPDFETNPNLLHMNINNNNIRGEIPSTFGNCTNLSLLDLSVNSLTGLVPSELGNLVNLRTLVLSHNTLKGPLPHQLSNCNKMIEFDVGFNFLNGSFPSNFGNWTGLTTLILSENNFDGGIPAFLSEFKRLNDLQLGGNMFGGTIPKSIGELVDLMYNLNLSANGLIGELPRDIQNLKNLVQLDLSWNNLTGSIQFLNDLSSLSEFNISYNSFEGPVPLRLTSLTNSSLSFLGNPGLCTSNFTENSYLRPCDITSKKSEKLSKVAITMIALGSLISLVLLLGLIYIFFIRKIKQEPTINEDDNSPPLLNMVMKATENLNDQYIIGRGAQGVVYKAALGPDNILAIKKFVFASDETKSSSMTREIQTLGNIRHRNLAKMEGCWLRENYGLIAYKYMPNGSLYDALHEKKGSHSLDWNIRNKIAVGIAHGLAYLHHDCDPVIVHRDIKTTNILLDSEMEPHIADFGIAKLLDQPSTSTQSIYVTGTLGYIPPENAYTTTKGKEADVYSYGVVLLELISRKKALDPSFVEGTDIVNWARSAWEEAGVVDEIVDSELAGEISNSEVTKQVTKVLLVALRCTLKDPRSRPTMRDVIKNLYADGKSST >Vigun07g187600.1.v1.2 pep primary_assembly:ASM411807v1:7:30438331:30442759:1 gene:Vigun07g187600.v1.2 transcript:Vigun07g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLLLLCFSSFLYAVSALNSDGLALLSLSRDWVVGSGDINSTWKLSDSTPCSWVGVHCDNANNVNSLNLSRYSIFGQLGPEIGRLIHLQTIDLSDNDMFGKIPPELNNCSMLEYMDITRNNFSGGIPESFRNLQDLTYLDLSINRLSGDIPKFLWEFRLLEEVYLSDNSLSGSIPSSIGNMTNLITLDLSFNQLSGTIPMSIGNCSKLENLYLRGNKLQGVIPESLNNLENLLELFLDRNSLGGTIQLGSRNCKKLSVLSLSYINFSVPIPSSLGNCSGLKEFYAVESNFVGSIPSSLGLLTNLSILVIPQNQLSGKIPPQIGNCKSLEELYLHTNELEGEIPSELGNLSILRELLLFENHLTGKIPLGIWKIQTLEQVHVYINNLSGELPLEMAQLKHLKNITFFENQFSGVIPQSLGINSSLVVFDVTDNNFTGPIPPNVCFGKQLVRLNMAGNQLYGSIPPDLGRCTTLERLRLEQNNFSGPLPDFETNPNLLHMNINNNNIRGEIPSTFGNCTNLSLLDLSVNSLTGLVPSELGNLVNLRTLVLSHNTLKGPLPHQLSNCNKMIEFDVGFNFLNGSFPSNFGNWTGLTTLILSENNFDGGIPAFLSEFKRLNDLQLGGNMFGGTIPKSIGELVDLMYNLNLSANGLIGELPRDIQNLKNLVQLDLSWNNLTGSIQFLNDLSSLSEFNISYNSFEGPVPLRLTSLTNSSLSFLGNPGLCTSNFTENSYLRPCDITSKKSEKLSKVAITMIALGSLISLVLLLGLIYIFFIRKIKQEPTINEDDNSPPLLNMVMKATENLNDQYIIGRGAQGVVYKAALGPDNILAIKKFVFASDETKSSSMTREIQTLGNIRHRNLAKMEGCWLRENYGLIAYKYMPNGSLYDALHEKKGSHSLDWNIRNKIAVGIAHGLAYLHHDCDPVIVHRDIKTTNILLDSEMEPHIADFGIAKLLDQPSTSTQSIYVTGTLGYIPPENAYTTTKGKEADVYSYGVVLLELISRKKALDPSFVEGTDIVNWARSAWEEAGVVDEIVDSELAGEISNSEVTKQVTKVLLVALRCTLKDPRSRPTMRDVIKNLYADGKSST >Vigun04g029700.1.v1.2 pep primary_assembly:ASM411807v1:4:2309741:2314744:-1 gene:Vigun04g029700.v1.2 transcript:Vigun04g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDLSKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFAFMETDLEAVIRDRNIVLSPGDIKSYLQMTLKGLAVCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARVFGSPDRRFTHQVFARWYRAPELLFGTKQYGPGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPSSSQWPDMIYLPDYVEYQHVLSPPLRSLFPMASDDALDLLSKMFTYDPKARISVQQALEHRYFSSAPLPTDPVKLPRPAPKKESKASDAISNEGPTVLSPPRKSRRVMPGRDGSEGNSLQGDKFDDSVSVRQAAGDNTGKNEPVPMSLDFSLFGLKPPNRPTITSADRSHLKRKLDLEF >Vigun03g275200.1.v1.2 pep primary_assembly:ASM411807v1:3:45096392:45114818:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGRGVRPLQPHAACFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun03g275200.2.v1.2 pep primary_assembly:ASM411807v1:3:45096390:45114831:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGRGVRPLQPHAACFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun03g275200.4.v1.2 pep primary_assembly:ASM411807v1:3:45095852:45114818:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGRGVRPLQPHAACFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun03g275200.5.v1.2 pep primary_assembly:ASM411807v1:3:45098393:45114818:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun03g275200.6.v1.2 pep primary_assembly:ASM411807v1:3:45098393:45114818:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun03g275200.3.v1.2 pep primary_assembly:ASM411807v1:3:45095852:45114818:1 gene:Vigun03g275200.v1.2 transcript:Vigun03g275200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGRGVRPLQPHAACFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPVVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKTEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQIDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLVWDVSTERPIMIGIKQVGSHPITSVAWLPMLRLLVTLSKDGNLHVWETRVAVNPNGPPTQANFFEPAAIESLDIPRILSQQGGETVYPLPRIKSLEFHPKFNLAALVFANVTVADSSKNRARYSREGRKQLFAVLQSARGSSASVLREKLSVLGSSGVLADHQLQSQLQEHHLKGQGHLTISDIARKAFLYSHFMEGHAKISPISRLPLITVLDNKHHLKDFPVYEPFHLELNFFSKGNRVLHYPVRAYYMDGLNLMAHNLSSGSDTVYRKLYTSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGATNEVVLYWENSDAQVANSKSSTVKGRDAAFVGPNENQFAILDDDKTVLSVYTLPGGASQEAKDNENVFEENPTATATAETTVGSIRGPTPYMFETEVDRIFSTPLDSSLMFATHGNQIGIAKLIQGYRLSTSTANGQYLSTNSEGKKSIKLKRNEIVLQVHWQETLRGYVAGILTTQRVLIVSAALDILAATSANSDKGLPPFRSLLWVGPALLFSTATTISILGWDGKVRPILSISMPYAVLVGSLNDRLLLANPTEINPRQKKRVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILARGSPVCGDLAVALSQSGPQFTQVMRGVYAVKALRFSTALSILKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIRFGQFDSAKETFEVIADHESMLDLFICHLNPSAMRRLAQKLEEEGLDSELRRYCDRILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTAVKDIPQWELAAEVTPYMKTDDGTIPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKVFMPKGNDNKINGPEASSVKSVSNHQSNVVGNTKGDSLMGLESLNQQLVSSSADEQAKAEEEFKKSMYGTAADGSSSDEEGVSKVKKLHIKIRDKPIASSTVDVNKIKEATRQFKLGEALAPPTRTRSSTGGSQDLGQILSLPPAITGSDSSTVSTPGDIFGTDTLTQPELVSQPTSGVVSGGLKAGPIPEDFFQNTIPSLQVAAGLPPAGTFLSKYTPGVENIKTTTNQVGAFEADATLQGGIPPQTIQQPVVSIESIGLPDGGVPPQSSSQAVVIPHSQLQATQAQISSQPLDLSILGAPKSPDSGKTQQTGSQQIAVHPGQVPRGAAASVCFKTGLAHLEQNNLSDALSCFDEAFLALAKEQSRGIDIKAQATICAQYKIAVTLLREIGRLQKVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYAYSKQMLELLLSKAPSNKQEEFRSLIDLCVQRGLANKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDALAGGGPVPSPFG >Vigun09g178700.1.v1.2 pep primary_assembly:ASM411807v1:9:35100695:35117147:1 gene:Vigun09g178700.v1.2 transcript:Vigun09g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPLLCGSKVASQSTLFASAFSARNNAGRCSFTGNSSVRGVRPVRFMKQASGSITSDEHGVFSETMDRSKHRTPNAYGGRAQEGVLEEESSKVIESRPSWKTFPGKAFPLGVSEVDSGINFSIFSRHATAVTLCLVLPERGSIDTLSGGMIEMVLDPGLNRTGDIWHIYIEDLPRSNVLYGYRIDGPQDWGEGHRFDSSVVLVDPYAKLVEGRRYFGDISMKLSKFLGTYDFDSLPFDWGENYKPPNISEKDLVIYEMNVRAFTNDESSGLDSSIRGSYLGVIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFSPMSRYGSAGGGSVNASREFKEMVKALHSSGIEVILDVVYNHTNEADDANPYTTSFRGIDNKVYYMLDNNGRLLNFSGCGNTLNCNHAVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGIDGSPLNAPPIIRAIAKDAVLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDVRKFIKGDYGVKGSFATRVAGSSDLYRVNRRRPYHSINFVIAHDGFTLRDLVSYNLKHNEANGEGGNDGSNDNFSWNCGFEGETDDASIRALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRNGNNNSYGHDTAINNFLWDQLDARKSDHFRFFSKVIKYRHAHEVFSHESFLSKNDITWHEDNWDNHDSKFLAFTLHARSGADIYLAFNAHDYFIKALLPTPPQTRKWVRVVDTNLKSPDDFVLDGVHSIENTYNIAPYSSILLEAKFDFVLKG >Vigun09g104400.2.v1.2 pep primary_assembly:ASM411807v1:9:18874701:18876767:-1 gene:Vigun09g104400.v1.2 transcript:Vigun09g104400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGCARKVHRSLKGFPGVEEVLTDCKSHKVVIKGEKADPLKVLERIQRKSHRKVELLSPIPKPPEEKVPEEEKPKPKLEEKVQEPPIVTVLKVHMHCEACSLEIKRRIQRMKGVESAEPDLKKSVVSVKGVYDPEKLVEYVYKRTGKHAVIVKPEAEKKEEKVEEAKEEKKEEVEKEKKKSGEGEENKEKKEEEAKGETKAEETAVVAAAATSSEESNKVVPEVKINEYFYNPPRYGMEVYAYPAHPVQPAYPAYFHAYPPQIFSDENPNACTVM >Vigun09g104400.1.v1.2 pep primary_assembly:ASM411807v1:9:18874701:18876767:-1 gene:Vigun09g104400.v1.2 transcript:Vigun09g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKKPEEPKVEEKKPEEAEKKQEEKKEETAEKPAGEKKPEATPPPPPPPPEIVLKVFMHCEGCARKVHRSLKGFPGVEEVLTDCKSHKVVIKGEKADPLKVLERIQRKSHRKVELLSPIPKPPEEKVPEEEKPKPKLEEKVQEPPIVTVLKVHMHCEACSLEIKRRIQRMKGVESAEPDLKKSVVSVKGVYDPEKLVEYVYKRTGKHAVIVKPEAEKKEEKVEEAKEEKKEEVEKEKKKSGEGEENKEKKEEEAKGETKAEETAVVAAAATSSEESNKVVPEVKINEYFYNPPRYGMEVYAYPAHPVQPAYPAYFHAYPPQIFSDENPNACTVM >Vigun07g248500.1.v1.2 pep primary_assembly:ASM411807v1:7:36807207:36811238:1 gene:Vigun07g248500.v1.2 transcript:Vigun07g248500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWRRLLYFLLCLLITNFVSQVRAANTTQNFHYFCDDNTTGNGTYTANSAYHANLNTLLSTLISNTEIDYGFYNFTNGENTDKVYAIGLCRGDVEPENCRTCLNGSRANLTERCPNRKEAIGWYEDEKCMLRYSDRNIFGLMEIGPAFYANNDRNASDLDEFNEDVNTLLRNLMPIAASGDSRVKYAADGISSGSKVIYGLVQCTPDLEESECADCLSQSIERIPIDCCKDKIGGRVVRPSCCMRFETSFKFYGDPAFVPPAPPPSTTTTTGKSNATIIIAITVPVVVIVFAVLIFIYIRLTARKPRKKFETQKEEPDDDDGDDGIDASEPLQIRFSIIRDATNDFSDSNKLGQGGFGAVYRGTLPNGQEIAVKRLSANSRQGDAEFKNEVLLVAKLQHRNLVRLLGFSMEGREKLLVYEFVPNKSLDYFIFDETKRAQLDWDTRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLARLFVVDQTHEDTQRVVGTYGYMAPEYALHGQFSEKSDVFSFGILILEIVSGQKISSIQHGEETGDLRHIAWRSWREGRRRDIVDPTLNNGSESEIMRCIHIGLLCVQDNAAARPTMASVVPMLNSHSFSLQVPMAPALYGNAMSGIIADMQLWEINSGTTRSRESTNRSDQDSLNEASITEPYPR >Vigun03g179600.1.v1.2 pep primary_assembly:ASM411807v1:3:22568196:22574260:1 gene:Vigun03g179600.v1.2 transcript:Vigun03g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRNPKPCVSLFHHLLRLKITPSCSCPSNHFSTVSTTDPTTTGPTTPTTSTSVLIDAGSSNRKPVDLWPGMFHSPAIYALWEARSSTLEKSDGPSLPKAPSRSRTTIFYNFSSDHMLREQYRNPWNHIRMGKLVEDFDALAGTVAFKHCSNEDGTARPLLLVTASVDKMVLTKPIHIDADLTIVGAVTWVGRSSMEIQLELIQSAQGNPNISNSPALVAKFTFVARDSATGKAAPINQVSPENEEERLLWEEAEERNKLRKRKNQEQKHGDCEDTSRLKALLAEARIFCDMPALANRDSILMTDTGLQNSFICQPQQRNIHGRIFGGFLMRRAFELAFSTAYAFAGAAPHFLEVDHVDFFKPVDVGNFLRLKSCVLYTEHDNSDEPLVNVEVVAHVTKPEHRSSEVSNRFYFTFGVDPEGIKNGLRIRHVVPATEEEARKVLERVDAENLTLVKKDGNLQVVKEHKSTPNTNV >Vigun06g063200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19179719:19185951:-1 gene:Vigun06g063200.v1.2 transcript:Vigun06g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGGYGESGGDPMDQFHRNEAISAVADDGFLAEEDDDYEDLYNDVNVGEGFLQSLRKNDDSGFRNEDVEDKKPLPPPPVLDAAGISIPGVGGGGEGVSAGGSGVVESRTSGRVDGFQNQGFRSNDVGAKGGIRVELGHQSDKLSEIEDQGGNDGAAVQGIGQQPHAGVVGSVGNEGIVRQGQGGGSVGGGGGGGGGNANRVGGNGVGNSVGAVNSVSTGGVGGGGGGAAGSGGTILFVGDLHWWTTDAELETELSKYGPVKEVKFFDEKASGKSKGYCQVEFFDPSAATNCKEGMNGHVFNGRPCVVAFASPFTVKKMGEAQINRNQQMNPSAVTQQGRRGPSDSGAKPGGGNISTGGNYQGGDGNRGYGRGGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGIMGHGGNGFGQGIGGTPPLLHPQSMMSQGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILPSFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPTSGMDGPNMGMWSDPNMGGWGGEEPGGGKAGESSYGEEAASDHQYGEVSHDRAGWPMREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKDMGHDPEWSERRHRDDRETVRERSRDRDRERSRDRDRERSRDRDRDRERDHRERDRHREDRDRYADHHRYRDGEAEHDDEWERGRSSRTHSKSRLSQEEEHHSRPRDADYGKRRRLTSE >VigunL059040.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000282.1:71027:71399:1 gene:VigunL059040.v1.2 transcript:VigunL059040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSWKEDIFSAIDLEIISQVLKSGKLDVDYLGRILEFSITSLQKLSSPTNEEMMKATHKKLFHESGEICQSRDGLNNYCVVALVKGL >Vigun05g055800.3.v1.2 pep primary_assembly:ASM411807v1:5:4779971:4783952:1 gene:Vigun05g055800.v1.2 transcript:Vigun05g055800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNDKIVNANNVERPISGSEEVSDESGVPKNGMNCEDIGGQPGSEGELKEVSHATGSVRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTQPNCQVKKKVERSHDGQITEIIYKGNHNHVKPHSSHRGSALSTDEVSDMAEEGGALAKADGGFMWRNIQSGVKDSKNSLDWKADGQERTSSTSVVTEISDPLSTNKAKSLSMFESEETPELSSTLASHDMEEDGATQGIPMVEDEVENDESEPKRRKKESYPAESNLPPRSVREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCMVRKHVERASHNLKFVLTTYEGKHNHEVPTARTSNQINSSDGGLHPNGVNGQVGLALPGSGGIKPDTHQTLAHHFDRKPEFSSEFLRPSLIGGFSSDMKFPPSSLCQMKYPSLNNTMPYSSYGLNPDRCAAAPQSGSIASMFPDFPMPLPLNLPSSGKFSIAGLNFNCAKPINPIQSFLSGQQVKDIDTVFLRPKQEQKDDTIYGSCIPSLDANASLSSSSAPPSIYQRVMQNFPS >Vigun05g055800.1.v1.2 pep primary_assembly:ASM411807v1:5:4779961:4784048:1 gene:Vigun05g055800.v1.2 transcript:Vigun05g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDLNNHHNNNGNEETESKGAKRSLAERRGFNSNAAKINTTLFCSETSTNPSPSPATHLTIPPGISPTALLDSPIMLPNSQATPSSPTTGSFFVMPTLPPYSAPLNQVCSNFHSVKGGNRESQLLAQVQKPLDFSFPADFSKGHSVKNSEVNSYNDMKMVNDKIVNANNVERPISGSEEVSDESGVPKNGMNCEDIGGQPGSEGELKEVSHATGSVRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTQPNCQVKKKVERSHDGQITEIIYKGNHNHVKPHSSHRGSALSTDEVSDMAEEGGALAKADGGFMWRNIQSGVKDSKNSLDWKADGQERTSSTSVVTEISDPLSTNKAKSLSMFESEETPELSSTLASHDMEEDGATQGIPMVEDEVENDESEPKRRKKESYPAESNLPPRSVREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCMVRKHVERASHNLKFVLTTYEGKHNHEVPTARTSNQINSSDGGLHPNGVNGQVGLALPGSGGIKPDTHQTLAHHFDRKPEFSSEFLRPSLIGGFSSDMKFPPSSLCQMKYPSLNNTMPYSSYGLNPDRCAAAPQSGSIASMFPDFPMPLPLNLPSSGKFSIAGLNFNCAKPINPIQSFLSGQQVKDIDTVFLRPKQEQKDDTIYGSCIPSLDANASLSSSSAPPSIYQRVMQNFPS >Vigun05g055800.2.v1.2 pep primary_assembly:ASM411807v1:5:4779799:4783952:1 gene:Vigun05g055800.v1.2 transcript:Vigun05g055800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSQATPSSPTTGSFFVMPTLPPYSAPLNQVCSNFHSVKGGNRESQLLAQVQKPLDFSFPADFSKGHSVKNSEVNSYNDMKMVNDKIVNANNVERPISGSEEVSDESGVPKNGMNCEDIGGQPGSEGELKEVSHATGSVRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTQPNCQVKKKVERSHDGQITEIIYKGNHNHVKPHSSHRGSALSTDEVSDMAEEGGALAKADGGFMWRNIQSGVKDSKNSLDWKADGQERTSSTSVVTEISDPLSTNKAKSLSMFESEETPELSSTLASHDMEEDGATQGIPMVEDEVENDESEPKRRKKESYPAESNLPPRSVREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCMVRKHVERASHNLKFVLTTYEGKHNHEVPTARTSNQINSSDGGLHPNGVNGQVGLALPGSGGIKPDTHQTLAHHFDRKPEFSSEFLRPSLIGGFSSDMKFPPSSLCQMKYPSLNNTMPYSSYGLNPDRCAAAPQSGSIASMFPDFPMPLPLNLPSSGKFSIAGLNFNCAKPINPIQSFLSGQQVKDIDTVFLRPKQEQKDDTIYGSCIPSLDANASLSSSSAPPSIYQRVMQNFPS >Vigun07g241700.1.v1.2 pep primary_assembly:ASM411807v1:7:36308707:36315965:-1 gene:Vigun07g241700.v1.2 transcript:Vigun07g241700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREARRSTMAANGLTRRRHRTNSLRDSPEEDGTMELQEPSRLRDRGGTGKKDRDRERDRDRERERDRLGRSKKRRGDRLMHSSREDGVEDTSEESINDEDDDDDEDGGGGSGSASVRMLPLNPSSLSNHHRKSFPPAKVFRPTPPSTWKAADEMIGVSVPRKARSASTKRSHECWASSGSGIVAEQNHRQPSTSPVRAGSPSSSNASVRKKIKQNGGAKFRPPKTTTSKPSSSAQEEIEIEIAEVLYGMMRQPQGPSKQEIIANESSKFDSREPNKSSTDAKSPISNPQNSSSSATPMSAVAPKRKRPRPVKHEDENPSSLSVRSSPISSTTKAESDQPSKIENFSSNLDKNVGSVTEAINLVNSQTVPASSEPVKPETNASLESKQATEEAEKQKDVGLSEVVVPQSPKKESPIRQVADDDREDVKVTKANPSISESENQWEEKFPIDLMAPPPPLRSSPERDVENNLVVDAEKEAKPMVKEDEKALRMNKEEAMAIEMEKVKAKAEETDSQRAGLVQKERGIDLQLDLEKAERVDPIGNVGSMVNKKQQHQNVQRQQQQTNSEKNVQPNSLPLPLSVPSWPGGLPPMGYMTPLQGVVSMDGTTVTSAAIPPPHVLFNQPRPKRCATHCYIARNILYHQQIARMNPFWPAAAGSASLYGAKPSNLNVVPSTELHGNVSARATNSSQEKGHGISMFTGHMQKDKASQPANVDNSSRKQILLQQALPPGAPSNILHGPAFIFPLNQQQAAAAASVRPGSVKSLPVSSNGTPSSVSNSAPPNASGTGAAAAAAPTMSFSYPNMPGNETPYLAILQNNAYSFPIPAHVGGPPGYRGTPHAQAFPFFNGSFYSSQMIHPSQIQQQQIPAQSQQNQQVHQNTSMSSGSSSSQKQHAQNQQQKPNNNATGSNGVGSLQGFPVSKNPPSQPLQLQQQPQQRPNHHTSHPARQIEYEMGGEDSPSTADSRLTRATMNIYGQNFAMPMQTPNFALMTPASISGAGSNGGHAEKKQAQQHPGPKAGGETAPAFAMSFAPINGASGAPGLDLSSIAQNHSIMQSNHNYHIMAAAQAASAQLKKNYHTAEEGKNVNSSNNIDEERKAMSGKIPATMGQSIAFGRPDVTDPSLASISGGNNVIDTSGRNLNIGSASSRASASVMPAAISTNAATTQQQMQRNQQQILQHQKQSQFAAAAAATARTKTPSSNGNVYSDNLPSTSSMATKFPNAVSAFPQSSSAVGHSTQWKNNVRATTTSQSPPSMASTTPASSVKNLPQQQARSQQPHTQISFATNPKSSTAQVQPASSTQSPSPPVMVGSPTTSSMSKNTGSPRTTSASTASNKISQSSSLSSQQAKNTAVPARKSSPVGGRNVPSILNVPQLTPSSSTGSKSQLPQQQQKQQQQISKQALPQAQLFFSNPYVHSQSNSSTSSTTVPSNYYLPRRGPEQMQRSGSSGNSPAANNVKGSSALPTQGLLHPAQFAAMQPSGSHPQFVPTGFSYAHVHSVPSVQVKPAEQKQPAGE >Vigun05g046220.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3864483:3865994:-1 gene:Vigun05g046220.v1.2 transcript:Vigun05g046220.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEWSLIGSFTATVMIAYTVFDKFIPTHIRSYVQIYAHKLIGFLSPYIQITFPEFSGERLKHSELFTAIQTYLAQNSSQRARKLKAEPAAESNSPFLLSMDDNEEITEIFNGVKLWWGSHKSMIKTQSFSFYPTSDEKRFYTLTFHKRHRDLVSNTYIPHVLEQGKSLKLKNRQLKLFTNNSYTGWGGYRKSRWSHVVFEHPARFETLAMEKTAKEEIIEDLLTFKNGKEYYDKIGKAWKRGYLLYGPPGTGKSTMIAAMANFMYYDVYDLELTAVKDNTQLRTLLIETTSKSIIVIEDIDCSLDLTGKRVVKKEKEKSGEVKDPVKKAEEEDNKESKVTLSGLLNCIDGIWSGCAGERIIIFTTNYVEKLDPALIRSGRMDKKIELSYCCYEAFKVLAKNYLDVDYHGLFSVVEGLLKETKMTPADVAENMMPKSKRDDVETCLKKLIESLKKAKKKAEEEEIRLKEEKEKEQEKLAEAKRDEKAGKEVKENGFSIDMGKG >Vigun11g225550.1.v1.2 pep primary_assembly:ASM411807v1:11:41588265:41589953:-1 gene:Vigun11g225550.v1.2 transcript:Vigun11g225550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVEKRSKTSKDPLEQAIHGWSACEEGNSRHWHSPSPSPATHSQHYNVHGGVVAHIRCCCCCGVCHRFEALYLRALAVVWQAFVFVLARGLLGVAYWGFVGGFVVGGSGGFIVLLEKGKYLW >Vigun03g423400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62893188:62894388:-1 gene:Vigun03g423400.v1.2 transcript:Vigun03g423400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTLELNLGSAKDIKDVNLFSKMDVYAVVSLSGVKKIKTPVDRNGGTNPTWKVTEKFTIDESLARQNGLTLEIKLRCERSLSADKEIGQVVVPIRELLDQPGDGKSFQHVSYQVRKPSGKPKGAFSFSYRFSEKFTAPGIAPAPSAPVAPKTEPVTAYPAPAVGSTSASYPGVYPPPPQPQYAGGYGYPPPPQAAYGYAPQAAYGYAAQPGYGYPPAPAPAQRPGKNKFGMGLGAGLLGGALGGLLIGDMISDVGSYDAGYDAGFGDAGGFDF >Vigun03g390600.1.v1.2 pep primary_assembly:ASM411807v1:3:59694599:59695517:1 gene:Vigun03g390600.v1.2 transcript:Vigun03g390600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRINQTFLLGLLCLALVFSSGLAEDWGLPGQSCQKDRCTNTVECSYKCVKYGFRKGGTCVAWAPGLIICCCYT >Vigun05g269700.1.v1.2 pep primary_assembly:ASM411807v1:5:46114689:46115383:-1 gene:Vigun05g269700.v1.2 transcript:Vigun05g269700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGGSKSVPSSSSASAHVGSSQFGRKVCGCGDQLLLLKATTAKNNGRFFFRCRNWASESNCNYFRWADAMEAELEGKREIEEGENENLSYSDTMILQLVQKNAKLKKKLLAERKLGEIKLFFLFCLGLLLSYFVFYFC >Vigun07g021300.3.v1.2 pep primary_assembly:ASM411807v1:7:1810191:1813445:1 gene:Vigun07g021300.v1.2 transcript:Vigun07g021300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMEEPMIILNYKYGDRRIELLVINRGKAMEESVGAEQEQRRMRMKVMVGVDESDGSFYALKWAIDNLFTAMATVGEATEENEGMVILVHVEPKVQNFVYPVGPGGAAFYPANVVVDSVKKAQEEQSASVLSRALKMCHNKLVKAESMILNGDPREMICEAAEQMQVNLLVLGSRGLGALKRTLLGSVSDYCAHHARTPVLIVKPPPEQNKKQ >Vigun07g021300.4.v1.2 pep primary_assembly:ASM411807v1:7:1810191:1813445:1 gene:Vigun07g021300.v1.2 transcript:Vigun07g021300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMEEPMIILNYKYGDRRIELLVINRGKAMEESVGAEQEQRRMRMKVMVGVDESDGSFYALKWAIDNLFTAMATVGEATEENEGMVILVHVEPKVQNFVYPVGPGGAVVDSVKKAQEEQSASVLSRALKMCHNKLVKAESMILNGDPREMICEAAEQMQVNLLVLGSRGLGALKRTLLGSVSDYCAHHARTPVLIVKPPPEQNKKQ >Vigun11g129900.1.v1.2 pep primary_assembly:ASM411807v1:11:33741597:33746131:1 gene:Vigun11g129900.v1.2 transcript:Vigun11g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENTVTSNSTDGDRPKVVVIMGPTASGKSKLAVDLASHYPIEVINADSMQVYRGLDVLANKLPLSHQNGVPHHLLGTVSPNVEFTAKSFRDSAIPIIDDILARSHLPVIVGGTNYYIQALVSSFLLDDSAEDMDESCLGDLPGITQSENSFPGENDGSSYGYDLLKDIDPVAANRIHPNNHRKINQYINLYTRTGVLPSKVFQGKAAENWGQVDNLRYDCCFICVDASLPVLDRYVEQRVDCMMDDGLLNEVYDIYNLNADYTRGLRQAIGVREFEPLLRTCVVKDMYERERELTEGSEKGKTLFNCNLMELVRSSDDTESTILLEEAIEKVKVNTRRLVRRQKRMISRLQTLFGWKIHYVDSTEAISSKSENVWARQVVESAVKIVKSFLSENGSLSSTFGTSDDTGMKIIQRDLWTQYVCKACGDRVLRGLHEWEQHRQGRGHRKRISSLKSKGQFPGFVEQKVEYSQVKNNNMSYDL >VigunL007001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:47528:47836:1 gene:VigunL007001.v1.2 transcript:VigunL007001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun10g051950.1.v1.2 pep primary_assembly:ASM411807v1:10:8237192:8240786:1 gene:Vigun10g051950.v1.2 transcript:Vigun10g051950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRDIKVVGHDGNLINIQETVGYYDPLQYPLLFPFGTYGWDTNTKNHNGQSIYCREYYSFMLQIRPNDQSVILQAGRLLQQYVVDNYVKIETGRLRWIRNHQNNIRAEVYQGLQDALYEGQTHADTVGKRTILTSSFIGSRRDLTQRYQDGMAIVAHNGKPDIFLTMTCNPSWSEISSELQNQQTPQDRPNLLTRIFRAKFEQLKEDVVNKGVLRKVNSYMYVTEFQKRELPHVHMLLILDNNDKLRDPQDYDSIVRAEIPNKAEEPQLHEAVLKHMIHGPCGTLNPRSPCMKRNQCQKRFPKDFLEETRQGNDSYPQYRRRFDEPISINRNVTVDNRWVVCSSIKSIKYLYKYVYKGPDRVTMEIHRGPIIDEVQQYLDARWICAPEALWKIFRFTIYRMNPVVERLQIHLPNRQQVRFYKHQNINDVLNNDNNSKTMLTQFFALNQRDPQSRTFLYREIPEHYCWNNRHKEWYPRRSNKKVIGRMYTVSPFEGDKFFLRVLLSHIRGPTSWEYLLSPNETYCHTFKKAAEKWGFLESDNSIHECLVEASTLQMPYALRRLFVTILLFCEPTDVRSLWNHFHTYMLEDYTSTNTSVNENLIPMLLRDLNDLLIQHGKTIKDFDLPPLSYDALATTSVPRIIQEELSIQIPNEDVDNVQRLNHDQLIAFNTILDVINRNQSQVFFVDGPGGTGKTFLYRTLIAHCRSNGQIILATASSGIAATLLPGGRTAHSRFKIPINALDQTLKDILDSDAPFGGKVIILGGDFRQVLPVVQKGTKAQMIYACIINSHLWSNTKILHLQQNMRSLQDHNFAEYLMRIGNGIEPTQVDDMVKIPQQLAISWEGETSIQHLIHQTFPQLQFHTWDASYMAERAILTPKNEDVEKLNDIIIDLFPGEDRNLLSFDEVEGDTYHLYQHEYLHTICPGGLPPHNLKVKKGSPLMLLRNIDPKSGLCNGTRLLCRGFYMNMLDVEILTGHHAGKRAFLPRIKHKTTESAGLPFVLIRKQFPVRLSFAITINKSQGQTIPTVGIYLPRHVFSHGQLYVAFSRGVSQTSTKILIKEGHLEGQEDIFTKNVVYKEILLSQN >Vigun10g058584.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11162645:11164116:1 gene:Vigun10g058584.v1.2 transcript:Vigun10g058584.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRRRPATTTYQRRHPTAAAALLHQPPSIFSPPHLQLRTTQRRHHLPPSNRDHLLRVFEPPFQQFCATTTTTVPSPSQLQQCRHRSRHYRAPFSRPPCTTICANQRRHALRHVLRSSSHEPAHLRQSQQYQHLHQFAPPPLAGKHRTSTTTPAGAAAIVVSRAVTHNQGRKEQQTLILEREYSVPRVSI >Vigun08g013700.1.v1.2 pep primary_assembly:ASM411807v1:8:1173381:1175881:1 gene:Vigun08g013700.v1.2 transcript:Vigun08g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSETVCVTGASGFIGSWLVMRLIERGYTVRATVRDPGNMKKVKHLVELPGAKTKLSLWKADLGDEGSFDEAIKGCTGVFHVATPMDFESKDPENEVIKPTVNGVLDIMKACMKAKTVKRLVFTSSAGTLNVIEHQKPVFDETCWSDVEFCRRVKMTGWMYFVSKTLAEKEAWKFAKEHGMDFITIIPPLVVGPFLMPTMSPSLITALSLITGNESHYSIIKQGQFVHLDDLCLAHIFLFEQPKVEGRYICSACDTTIHHIAKLINEKYPEYNIPTKFKNIPNELEVVRFSSKKIEDLGFQFKYSLEDMYCGAIDTCRDKGLLPKPAETPLSSTIIQNAETSMNGVIQN >Vigun11g082500.1.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAIIHHTSSSSHAISRNDVFVSFRGEDTRNNFIGFLFQALHRKGIGAFKDDEDLKKGESIAPKLLQSIQDSRVYLVVFSKNYASSTWCLRELAEILNCVETSVRRVIPIFYDVDPSVVRKQSGCYDKAFAEHEKRFRGDKVKMEEAQRWREALTEVANLSGWDVRNKSQHIVIEKIVQEILSILSPNFSSIPNDLVGVESPLEELQQLLLCDPVDDVRIVGICGMGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRLSKESRTPINLIDIVLPGNQIPRWFNQSLGTSISLDPSPIMHDNNWMGIAFSLVFVAYENQSNLDLDLGSYVGIGFHTNPFFSSIEMPVLLTDLVTVGMHHLWLLFLTREEFFSYFQIEGTLYVNGMEMHTTAGDSQGLHIEVISCGYQWVFEKDLDNLNPTKIRKEHINTHFGDEGNSILSLVDGH >Vigun11g082500.7.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRVLSDLFFLASLSFSFQFA >Vigun11g082500.3.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRLSKESRTPINLIDIVLPGNQIPRWFNQSLGTSISLDPSPIMHDNNWMGIAFSLVFVAYENQSNLDLDLGSYVGIGFHTNPFFSSIEMPVLLTDLVTVGMHHLWLLFLTREEFFSYFQIEGTLYVNGMEMHTTAGDSQGLHIEVISCGYQWVFEKDLDNLNPTKIRKEHINTHFGDEGNSILSLVDGH >Vigun11g082500.5.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAIIHHTSSSSHAISRNDVFVSFRGEDTRNNFIGFLFQALHRKGIGAFKDDEDLKKGESIAPKLLQSIQDSRVYLVVFSKNYASSTWCLRELAEILNCVETSVRRVIPIFYDVDPSVVRKQSGCYDKAFAEHEKRFRGDKVKMEEAQRWREALTEVANLSGWDVRNKSQHIVIEKIVQEILSILSPNFSSIPNDLVGVESPLEELQQLLLCDPVDDVRIVGICGMGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRVLSDLFFLASLSFSFQFA >Vigun11g082500.6.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24530199:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRLSKESRTPINLIDIVLPGNQIPRWFNQSLGTSISLDPSPIMHDNNWMGIAFSLVFVAYENQSNLDLDLGSYVGIGFHTNPFFSSIEMPVLLTDLVTVGMHHLWLLFLTREEFFSYFQIEGTLYVNGMEMHTTAGDSQGLHIEVISCGYQWVFEKDLDNLNPTKIRKEHINTHFGDEGNSILSLVDGH >Vigun11g082500.2.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRIPRWFNQSLGTSISLDPSPIMHDNNWMGIAFSLVFVAYENQSNLDLDLGSYVGIGFHTNPFFSSIEMPVLLTDLVTVGMHHLWLLFLTREEFFSYFQIEGTLYVNGMEMHTTAGDSQGLHIEVISCGYQWVFEKDLDNLNPTKIRKEHINTHFGDEGNSILSLVDGH >Vigun11g082500.4.v1.2 pep primary_assembly:ASM411807v1:11:24526261:24531911:-1 gene:Vigun11g082500.v1.2 transcript:Vigun11g082500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAIIHHTSSSSHAISRNDVFVSFRGEDTRNNFIGFLFQALHRKGIGAFKDDEDLKKGESIAPKLLQSIQDSRVYLVVFSKNYASSTWCLRELAEILNCVETSVRRVIPIFYDVDPSVVRKQSGCYDKAFAEHEKRFRGDKVKMEEAQRWREALTEVANLSGWDVRNKSQHIVIEKIVQEILSILSPNFSSIPNDLVGVESPLEELQQLLLCDPVDDVRIVGICGMGGIGKTTLATVLYGIISHQYNARCFIDDVNKIYRDCGTIGVVKQLLHQTLNEENLQIHNLYNAANLMRRRFRCVKTLIVLDHVDEVKEREKLVVNREWLGEGSRVIIISRDKHILKEFGVTTVYDVQLLNDANSLKLFCKKAFNSDEIVGGYKVLTHAVLQYAKSLPLAIKVLGSFLFGRRVSEWRSALVRLKENPNKDILDVLQISYDGLDDLEKQIFLDIACFFSGYEELYVKKVLDCRGFHPEIGIRVLLDKSLVNNFHGFIKMHDLLKALGRKIVQGNSPKEPAKWSRLWLYEHLFSMSKAKETANTEAIVLDMLREIEVLATDAEELSKMSNLRLLILHDVKFMGNLSFLSNKLQFLEWSHYPFSYLPSSFQPNSLVELILPHDNIKQLWKGTKYLPNLRALDLRDCKNLIKIPDFTGLPNLEWIILDRCTKLVCIHPSVGLLRKLALLSLQNCINLVSLPSNILGLNSLEYLNISGCSRVFSNHLLDKPIHDHSKILDIRENSMQSQSTSSSIIKKLIVPFNFSYYRGFRNSRGCLLHSLHNFFCMHDLNISFCNLAQIPDAIGSMHSLETLNLGGNHFVTLPSSINKLSKLVHLNLEHCKQLRFFPEMPSPTALPVTRETYSFGRYERGLLIFNCPKIVDIEGCQRMTFAWFLQILRIPRWFNQSLGTSISLDPSPIMHDNNWMGIAFSLVFVAYENQSNLDLDLGSYVGIGFHTNPFFSSIEMPVLLTDLVTVGMHHLWLLFLTREEFFSYFQIEGTLYVNGMEMHTTAGDSQGLHIEVISCGYQWVFEKDLDNLNPTKIRKEHINTHFGDEGNSILSLVDGH >Vigun09g040500.1.v1.2 pep primary_assembly:ASM411807v1:9:3672491:3677041:-1 gene:Vigun09g040500.v1.2 transcript:Vigun09g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKVFVFTCFLSLLFYIINGVEVSHDGRAIKIDGKRRVLISGSIHYPRSTPGMWPDLIKKAKEGGLDAIETYVFWNAHEAVRREYDFNGRNDLIRFLKTIHDEGLYAVLRIGPYVCAEWDYGKEKLFASQGGPIIISQIENEYGNVMSSYGDAGKAYIDWCANMAQSFNIDVPWIMCQQSDAPQPMINTCNGWYCHDFKPNNPNSPKMWTENWVGWFKNWGGKDPHRTAEDVAYSVTRFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYDAPLDEFGNIAQPKWGHLKELHKVLKSMENSLTNYLNVSEVDLGDSVKATVYVANDSSSCFLTNTNTTTDAIVTFRGNKYNVPAWSVSLLPDCQTEEYNTAKVNVQTSIMIKSKNKAEDEPTTLNWVWRAENVDDSLHGKGNFSTNGLIDQKIVASDTSDYLWYMTRLDLDQSDPVLSGNMSLRINGSGHVIHAFVNGEHIGSHWATYGIHSDEFEPKIKLKQGKNIISLLSVTVGLQNYGPNYDKWSTGLVGPISVIGKKDDETVVKDLSSNKWFYKVGLHGWDNKFFSEDSPYASDSKWESEYLTTNRMLTWYKTTFKAPLGSDPVVVDLQGMGKGFAWVNGHNIGRTWPSYDASEDGCSDDPCDYRGEYTDKKCVTNCGKPTQRWYHVPRSFIQDDVNTLVLFEEIGGNPSLVNFQTVVVGSVCGKTDEKKSLELSCYGRSISDIKFTSFGNPEGECGAFKHGSCESKNDVLSIVQNACVGKESCIIDVSEKTFGPTSCGDMVKRLAVEAVC >Vigun02g093500.2.v1.2 pep primary_assembly:ASM411807v1:2:24863614:24865474:-1 gene:Vigun02g093500.v1.2 transcript:Vigun02g093500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSPAHSSLSTTAVVGGGGGGGGSNATVSVDDFIIPSDPMSSLERKDEAVSVLKSDLMAALDKEVKSLVEDNWKFEGPRSRIHLVSHRVMHLA >Vigun02g093500.3.v1.2 pep primary_assembly:ASM411807v1:2:24862496:24865474:-1 gene:Vigun02g093500.v1.2 transcript:Vigun02g093500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSPAHSSLSTTAVVGGGGGGGGSNATVSVDDFIIPSDPMSSLERKDEAVSVLKSDLMAALDKEVKSLVEDNWKFEGPRSRIHLVSHRGCS >Vigun02g093500.1.v1.2 pep primary_assembly:ASM411807v1:2:24861870:24865474:-1 gene:Vigun02g093500.v1.2 transcript:Vigun02g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSPAHSSLSTTAVVGGGGGGGGSNATVSVDDFIIPSDPMSSLERKDEAVSVLKSDLMAALDKEVKSLVEDNWKFEGPRSRIHLVSHRGGHLYRPTEVSKNWNLTPPK >Vigun04g134701.1.v1.2 pep primary_assembly:ASM411807v1:4:33791756:33793800:1 gene:Vigun04g134701.v1.2 transcript:Vigun04g134701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSARRLIRRSRLIRRSRSRLIRRSRNRLIRRSRSRNASPKPTLAPTVANTFSHQPYWYWIGVGALIAFGTNQAGLSQETLMERNASTIEELFQLTNGKSSSEMKMVDEATMSLRSFSGRVSDKANRSGRSMVLPFQPLSFTFDEIKYSIDIPHVLYFSSCTMLLFQFELDSFSLMFGLVPIYVLLLPSIYLTNNNKLTNNFNDVSKVIFHI >Vigun03g350500.1.v1.2 pep primary_assembly:ASM411807v1:3:55148468:55152748:1 gene:Vigun03g350500.v1.2 transcript:Vigun03g350500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTIIVVFLLQLSSHVRVNSEPTQDKQALLAFLSQTRHSNRLQWNASASACDWVGVKCDDSRSFVYSLRLPAVDLVGPVPPATIGRLSQLRILSLRSNGLTGEIPADFSNLTFLRNLYLQKNQFSGEFPPSLTRLTRLTRLDLSSNNFTGQIPFSVNNLTHLTGLFLEHNSFSGKIPSITAKLVSFNVSFNRLNGSIPETLSTFPDSSFAGNADLCGPPLKACNPFFPAPAPSPSSNSTPATAHKKSKKLSTGAIVAIVVGSVLVAALLLLLLLLCVRRRRRQPAKPPKPVAAARAVPVEAGTSSSKEDITGGSAEAERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFEMQMEVLGKIKHDNVVPLRAFYFSKDEKLLVYDYMSAGSLSALLHGSRGSGRTPLDWDSRMKIAVGAARGLACLHVAGKVVHGNIKSSNILLRGPDHDAGVSDFGLTPLFGNGAPSNRVAGYRAPEVVETRKVSFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDAELMRYHNIEEEMVQLLQIAMACVAVVPDQRPSMQDVVRMIEDINRGETDDGLRQSSDDPSKGSEGHTPPPESRTPRSLTP >Vigun07g192900.2.v1.2 pep primary_assembly:ASM411807v1:7:31191271:31195089:1 gene:Vigun07g192900.v1.2 transcript:Vigun07g192900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQIPMYNEKEVYQLSIGAACGISWPSDRIIIQVLDDSTDPIIKKMVEVECQRWASKGINIKYEIRQNRNGYKAGALKEGMKHSYVNLCDYVAIFDADFQPEPNFLRRTIPFLAHNPEVALVQARWKFVNADECLMTRMQEMSLDYHFLVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRAGLKGGKFVYLSDLKVKSELPSTFKAFRYQQHRWSCGPANLFKKMAMEIMRNKKVSTWKKFYVIYSFFFVRKIVAHVVTFVFYCVVMPATVLVPEVEVPKWGAVYIPSIITLLNAVGTPRSIHLLVFWILFENVMSMHRTKATLTGLLEAGRVNEWVVTEKLGDALKTKSGGKAARKPRIKISERLHFLELLVGAYLFFCGCYDLTYGRNHYFIYLFLQSIAFFVVGVGYVGTFVPNS >Vigun07g192900.1.v1.2 pep primary_assembly:ASM411807v1:7:31191271:31195088:1 gene:Vigun07g192900.v1.2 transcript:Vigun07g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSAATFENLHYDGSASQMGLIWQQTRAPLVVPLLKLLVALCLAMSIMLFVERVYMGVVIIFVKLFRYKPDNKYKWEPLRDDLEIGNSAYPMVLVQIPMYNEKEVYQLSIGAACGISWPSDRIIIQVLDDSTDPIIKKMVEVECQRWASKGINIKYEIRQNRNGYKAGALKEGMKHSYVNLCDYVAIFDADFQPEPNFLRRTIPFLAHNPEVALVQARWKFVNADECLMTRMQEMSLDYHFLVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRAGLKGGKFVYLSDLKVKSELPSTFKAFRYQQHRWSCGPANLFKKMAMEIMRNKKVSTWKKFYVIYSFFFVRKIVAHVVTFVFYCVVMPATVLVPEVEVPKWGAVYIPSIITLLNAVGTPRSIHLLVFWILFENVMSMHRTKATLTGLLEAGRVNEWVVTEKLGDALKTKSGGKAARKPRIKISERLHFLELLVGAYLFFCGCYDLTYGRNHYFIYLFLQSIAFFVVGVGYVGTFVPNS >Vigun03g065900.1.v1.2 pep primary_assembly:ASM411807v1:3:5466011:5467244:1 gene:Vigun03g065900.v1.2 transcript:Vigun03g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMNSSVLACNYARSGCSDLNAKLASMPSVASPQVSALKLPVIKAHQAKVTESESNQGRRSALVYLAATLFTAAAAASNSSANAGIIEEYLEKSKTNKELNDKKRLATTGANFARAYTVEFGTCKFPENFTGCQDLAKQKKVPFLSDDLELECEGKDKYKCGSNVFWKW >Vigun09g050600.8.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEG >Vigun09g050600.9.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.11.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.2.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996844:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.13.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGCNARPESVL >Vigun09g050600.1.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEGSTLQLNMEEFVLGNILDAIVSQVMMLIREKNLQLFHEIPDEIKVLSLYGDQIRLQVVLSDFLLNVVSHTPSPNGWVEIKISPGLKIIQDGNEFIHLKFRMTHSGQGLPSDLLHDMFEGGNQWNTQEGLGLYMSRKILSRMSGHVHYVREQNKCYFLIDLDIRTRKERQKNLHAETSMLS >Vigun09g050600.3.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996844:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.6.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEGTLQLNMEEFVLGNILDAIVSQVMMLIREKNLQLFHEIPDEIKVLSLYGDQIRLQVVLSDFLLNVVSHTPSPNGWVEIKISPGLKIIQDGNEFIHLKFRMTHSGQGLPSDLLHDMFEGGNQWNTQEGLGLYMSRKILSRMSGHVHYVREQNKCYFLIDLDIRTRKERQKNLHAETSMLS >Vigun09g050600.12.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQVFAGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.10.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKEMENLWRLI >Vigun09g050600.5.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEGSTLQLNMEEFVLGNILDAIVSQVMMLIREKNLQLFHEIPDEIKVLSLYGDQIRLQVVLSDFLLNVVSHTPSPNGWVEIKISPGLKIIQDGNEFIHLKFRMTHSGQGLPSDLLHDMFEGGNQWNTQEGLGLYMSRKILSRMSGHVHYVREQNKCYFLIDLDIRTRKERQKNLHAETSMLS >Vigun09g050600.4.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEGTLQLNMEEFVLGNILDAIVSQVMMLIREKNLQLFHEIPDEIKVLSLYGDQIRLQVVLSDFLLNVVSHTPSPNGWVEIKISPGLKIIQDGNEFIHLKFRMTHSGQGLPSDLLHDMFEGGNQWNTQEGLGLYMSRKILSRMSGHVHYVREQNKCYFLIDLDIRTRKERQKNLHAETSMLS >Vigun09g050600.7.v1.2 pep primary_assembly:ASM411807v1:9:4991501:4996826:-1 gene:Vigun09g050600.v1.2 transcript:Vigun09g050600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESRGKVKDTSLSTSAESNMNSRRDKILAQYSADAEILAEFEQSGVSGKSFDYSRMVLDPPRLVSGEKMTAYLSKIQRGGLIQPFGCMLAIEEPTFRIIGYSENCFQLLGLERQIDSKMFVNLIGVDATTLFTPPSGASLAKAVASREISLLNPIWVYARMTQKPFYAILHRIDVGVVIDLEPARLSDPALSLAGAVQSQKLAVRAISRLQSLPGEDIGLLCDTVVEEVQKLTGYDRVMVYKFHEDDHGEVVAEIRRSDLEPYLGLHYPATDIPQASRFLFKQNRVRMICDCHAKPVKVIQSEELGQPLCLVNSTLRLPHGCHTQYMANMGSIASLVMAIIVNGKDATRLWGLLVCHHTSPRYVSFPVRYACEFLMQAFGLQLYMEIQLASQMAEKRMLKTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALFYDGNCWLLGTSPTEAQVKDIAEWLLSNHGDSTGLTTDSLADAGYPGAASLGDAVCGMATARINSKHFLFWFRSHTAKEVKWGGAKHHPEDRDDGGKMNPRSSFKAFLEVVKSKSLPWEVSEINAIHSLQLIIRDSFQDTEITDSKTSNYVQKTDTAAGKMDELSSVALEMVRLIETATVPIFGVDSGGIINGWNSKIAELTGLQGSEAMGKSMVNEIIHADSCDTFRNTLSRALQGEEDKSVELKIKHFGLQQQKKDVYLMVNACTSRDYTDSVVGVCFVGQDITYEKVVQDKFIKLEGDYKAIVQSLSPLIPPIFSSDESACCSEWNAAMERLTGWKRDEVIGKLLPGEIFGSFCRLKGQDTLTNFMILLYRGISGQDSEKLPFGFFDRNGEFVETYITANKRIDAGGNMLGCFCFLQVVTPDLNQSSEEHKPRGRENISESKELAYILQEMKKPLNGIRFTHKLLESTTVSENQKQFLDTSDACERQIMAIIEDTNLGSINEG >Vigun08g209000.3.v1.2 pep primary_assembly:ASM411807v1:8:37183083:37185401:1 gene:Vigun08g209000.v1.2 transcript:Vigun08g209000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRLMFFLVLLLKCDGDTDSDHAGKVITWDDFMVDEEGTTSNVGGRVIVVDQSGNGDSTTVQAAVDMVPQNNTQRVKIYIYPGVYRERVHVPKSKPFISLIGKPNITMNAKIAAIGNGIGIVIITNSTKASDKDSNGQEMGTISTATVWVQSDFFCATALTIQNLVDKDADKRQAVALRVDGDKAVFYGVRLVGEQDTLLDNTGIHYFYRSYIQGSVDFIFGNAKSLFHECVVYSVAEFWGAIAAHHRNSEDEDTGFSFVNCTIKGTGSVFLGRAWGNYARTVYSNCDMDDVINPLGWSDWDDPSRQGKRIKQK >Vigun08g209000.1.v1.2 pep primary_assembly:ASM411807v1:8:37183083:37185401:1 gene:Vigun08g209000.v1.2 transcript:Vigun08g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRLMFFLVLLLKCDGDTDSDHAGKVITWDDFMVDEEGTTSNVGGRVIVVDQSGNGDSTTVQAAVDMVPQNNTQRVKIYIYPGVYRERVHVPKSKPFISLIGKPNITMNAKIAAIGNGIGIVIITNSTKASDKDSNGQEMGTISTATVWVQSDFFCATALTIQNLVDKDADKRQAVALRVDGDKAVFYGVRLVGEQDTLLDNTGIHYFYRSYIQGSVDFIFGNAKSLFHQECVVYSVAEFWGAIAAHHRNSEDEDTGFSFVNCTIKGTGSVFLGRAWGNYARTVYSNCDMDDVINPLGWSDWDDPSRQGTAMFAEYECSGKGSNRSERVEWSKALSREEAVPFLSRDYIYGDEWLTL >Vigun08g209000.2.v1.2 pep primary_assembly:ASM411807v1:8:37183083:37185401:1 gene:Vigun08g209000.v1.2 transcript:Vigun08g209000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRLMFFLVLLLKCDGDTDSDHAGKVITWDDFMVDEEGTTSNVGGRVIVVDQSGNGDSTTVQAAVDMVPQNNTQRVKIYIYPGVYRERVHVPKSKPFISLIGKPNITMNAKIAAIGNGIGIVIITNSTKASDKDSNGQEMGTISTATVWVQSDFFCATALTIQNLVDKDADKRQAVALRVDGDKAVFYGVRLVGEQDTLLDNTGIHYFYRSYIQGSVDFIFGNAKSLFHECVVYSVAEFWGAIAAHHRNSEDEDTGFSFVNCTIKGTGSVFLGRAWGNYARTVYSNCDMDDVINPLGWSDWDDPSRQGTAMFAEYECSGKGSNRSERVEWSKALSREEAVPFLSRDYIYGDEWLTL >Vigun03g141650.1.v1.2 pep primary_assembly:ASM411807v1:3:14209702:14210502:1 gene:Vigun03g141650.v1.2 transcript:Vigun03g141650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSRSHKYEKRENEKHRANWQQRKDFKIYPEQQKKKELRSTKELEQIFITEEELENDRITLGKKTQTSKKEGRIVLLLEFSIIRTEPFPIIPSLTSILTQLAHIPSFIFFTNNPPKTTLVLLPPLLSRSLHLNHPSAQKHETETQENTHTNPPFTSSPLQHHTIPLPKNTKQNPLSLTSPSIISFTPQTNPSHTVTNKPEPHSSAKHSSLIFPNQPHPIIAHR >Vigun05g262000.2.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449024:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETMYSLGDWRRIPICTFFKDARLVFKADSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun05g262000.8.v1.2 pep primary_assembly:ASM411807v1:5:45445909:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETMYSLGDWRRIPICTFFKDARLVFKADSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRSMVFCFIHIIQIDTSTNGFLID >Vigun05g262000.7.v1.2 pep primary_assembly:ASM411807v1:5:45445909:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETMYSLGDWRRIPICTFFKDARLVFKADSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRVAGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRSMVFCFIHIIQIDTSTNGFLID >Vigun05g262000.1.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449024:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKETMYSLGDWRRIPICTFFKDARLVFKADSLGREILSIALPAAMALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRVAGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun05g262000.4.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRVAGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun05g262000.3.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRVAGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun05g262000.6.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun05g262000.5.v1.2 pep primary_assembly:ASM411807v1:5:45443561:45449025:-1 gene:Vigun05g262000.v1.2 transcript:Vigun05g262000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTLSGVSPQIEEGKCLEAGGPVDTETKELLPQRGTNVHNSDSVGESFNIVKEEHKRRHIPSASSALFIGGILGLIQAIFLISAAKPLLNFMGVNSDSAMLHPAQQYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDATNIALDPLFMFVFRLGVSGAAIAHVISQYLISAILLWRLLEQVDLIPPSIKHLQLDRFLKNGFLLLMRVVAVTFCVTLAASLAARQGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDFNRASATASRVVQMGLVLGLALAFILGTGLHFGAKIFTKDADVLHLIQIGIPFVAVTQPLNSLAFVFDGVNFGASDFAYSAFSMVVVAILSIICLLILSSAGGFIGIWVALTIYMGLRAFAGFLRIGTGSGPWEFLRSS >Vigun08g070100.4.v1.2 pep primary_assembly:ASM411807v1:8:11153368:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.11.v1.2 pep primary_assembly:ASM411807v1:8:11153569:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSELSTCIVANGTADIWG >Vigun08g070100.9.v1.2 pep primary_assembly:ASM411807v1:8:11153374:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.1.v1.2 pep primary_assembly:ASM411807v1:8:11152995:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.3.v1.2 pep primary_assembly:ASM411807v1:8:11153028:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.6.v1.2 pep primary_assembly:ASM411807v1:8:11153376:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.7.v1.2 pep primary_assembly:ASM411807v1:8:11152995:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.5.v1.2 pep primary_assembly:ASM411807v1:8:11153374:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.2.v1.2 pep primary_assembly:ASM411807v1:8:11153027:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRINPPRVSVDNDSCHACTLIKFDSINKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.8.v1.2 pep primary_assembly:ASM411807v1:8:11153288:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun08g070100.10.v1.2 pep primary_assembly:ASM411807v1:8:11153375:11158206:1 gene:Vigun08g070100.v1.2 transcript:Vigun08g070100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDQQGKKITDSKTIDFIEKALGPKGQSTEGVKSWQGKRVGVHSIGDHTAIELIGRDRPGLLSEISAVLASLQFNVIAAEVWTHNMRIACVLYVNDATNQAMDESKRLSIMEEQLNHILRVCEDDEKVARTSFSMGFTHMDRRLHQMLFADRDYESAGVTTTDCPPSFRPKIRIERIVEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSEGQYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVSRAGVSTIGEKGLNVFYVRDSSGNPVDMKIIEALRKEIGQTVMVNVKRVPGNAKAPAETRGWAKTSFFFGNLLERFLT >Vigun02g048500.2.v1.2 pep primary_assembly:ASM411807v1:2:18855431:18860344:-1 gene:Vigun02g048500.v1.2 transcript:Vigun02g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSNKTITLEEWNGSSPTKLSKTFTIKASSSSVTITRSGARFSHVWRRLLQAFVPEGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLISPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMAMGMLLARITIGSPLAIWFSFLSLTVFHMYANYRAVRCLALNSINLERSSILLHHFMETGQVLSPEQVSSQEHVLPIRFISSSSRNSNYMHKKVRLGMRISSFDNMEIKEHLLSAASYYTKAKYILVERKGIIDVIVHKDSNAADVLKSFFHALVLAKNAHKSKSLHSDGQKWVDNQYEVFITKLKSLGWKTERLLAPIIWRAYWSYEPLGEKID >Vigun02g048500.1.v1.2 pep primary_assembly:ASM411807v1:2:18854488:18860386:-1 gene:Vigun02g048500.v1.2 transcript:Vigun02g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSNKTITLEEWNGSSPTKLSKTFTIKASSSSVTITRSGARFSHVWRRLLQAFVPEGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLISPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMAMGMLLARITIGSPLAIWFSFLSLTVFHMYANYRAVRCLALNSINLERSSILLHHFMETGQVLSPEQVSSQEHVLPIRFISSSSRNSNYMHKKVRLGMRISSFDNMEIKEHLLSAASYYTKAKYILVERKGIIDVIVHKDSNAADVLKSFFHALVLAKNAHKSKSLHSDGQKWVDNQYEVFITKLKSLGWKTERLLAPIIWRAYWSYEPLGEKID >Vigun02g048500.3.v1.2 pep primary_assembly:ASM411807v1:2:18854518:18860344:-1 gene:Vigun02g048500.v1.2 transcript:Vigun02g048500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSNKTITLEEWNGSSPTKLSKTFTIKASSSSVTITRSGARFSHVWRRLLQAFVPEGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLISPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMAMGMLLARITIGSPLAIWFSFLSLTVFHMYANYRAVRCLALNSINLERSSILLHHFMETGQVLSPEQVSSQEHVLPIRFISSSSRNSNYMHKKVRLGMRISSFDNMEIKEHLLSAASYYTKAKYILVERKGIIDVIVHKDSNAADVLKSFFHALVLAKNAHKSKSLHSDGQKWVDNQYEVFITKLKSLGWKTERLLAPIIWRAYWSYEPLGEKID >Vigun02g114900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26855894:26857709:1 gene:Vigun02g114900.v1.2 transcript:Vigun02g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRADEISKIIRERIEQYNTEVKIVNTSIVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIRDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASFVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDFSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSVDLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFVELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSTPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun08g113950.1.v1.2 pep primary_assembly:ASM411807v1:8:28069890:28071765:-1 gene:Vigun08g113950.v1.2 transcript:Vigun08g113950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLQTSSGILISVANIGVFNSCPRLNRFGFRPGMYRTPSTTTSLSSESLNTTPPTPSKFRLLSLANLILP >Vigun05g172700.1.v1.2 pep primary_assembly:ASM411807v1:5:31356448:31360123:-1 gene:Vigun05g172700.v1.2 transcript:Vigun05g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSTTATWFLILVPVVVLWLWKVVNWVWLRPKRMEKVLRAQGLQGNPYRLLIGDTREMFTVLVQAAKSQKSISFLSNDKDVAPHITTFNHHIVHKFGKNSFIWEGPTPKVIIMDPEKIKDVFNKFQDFEKPKLSPLFKLLGTGLANLEGEKWKMHRKIINPAFHLEKLKVMLPTFFECCDDMISKWEVMLSSEEKCEIDVWPFLQNLTCDIISRTAFGSSYEEGREIFELLKEQAGLVMRSRKVYIPGSWLLPTARNRRMKAIDAHIRGLLRGIINNREKAMKLGDVTNYDLLGILLESNHAEMVENRNSKTLAMTSQEVMEECNAFYIAGQETSAVLLVWTMVLLSRHPEWQARARQEVLHAFGNQKPDYNGLSHLKVVTMILYEVLRLYPPVVYFSRGIKNDVKLGDLSLPAGVHVSIPILLIHQDRDIWGDDATEFKPERFAEGVAKATKGQVSFFPFGWGPRVCIGQNFALLEAKLVLSLLLQRFSFEISPAYAHAPVTLFTLHPKHGAHIILQKL >Vigun06g109650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23863246:23863749:1 gene:Vigun06g109650.v1.2 transcript:Vigun06g109650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDDVSAMAVLTKLNREVSSRPTSKQEGVRRQSALGLLHKHDAGQRGRLGTDLVAGSGLTSVSQKYPLKHEVERRCMLFVDLNCILFINFLVVLFYVTLRAHELPILQRTKRHSNSTKKQVCPRKTKMYDNSDPTSLRAREYLITLRTKLRSDLGTCKRIPQYTED >Vigun07g075900.4.v1.2 pep primary_assembly:ASM411807v1:7:10027339:10035651:-1 gene:Vigun07g075900.v1.2 transcript:Vigun07g075900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLNANHPPSLLHRSLPAVVPMLLISIGYVDPGKWVAIVEGGARFGFDLMAFALIFNFAAIFCQYISAKIGVVTGKDLAQICSDEYDNWTCMLLGVQAELSVIMLDLNLILGMAHGLNFLFGWDLFTCVFLTATGAVFHLLLFVFLDIEKAKIVGLFVSCFVFLTFVLGTLINQPDIPLSINGILTKLSGESAFVLMSLLGATLVPHNFYLHSSIVQWHQGSTTISKDALCHNHFLAIICVFSGLYLVNNVLMNAGANEFYSMGLVLTTFQDALSPMEQVLRSPIAMLAFLLILFFANQTTALTWGFGGEVVVHNFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIFTQIVVALQLPSSVIPLFRIASSRSIMGVHKIPQFVEFSALIIFIGMLVLNIVFVVEMIFGSSDWVGNLRWNVGNGVSLSYSVLLCTAFASFCLMLWLAATPLKSASIQLDEAWNWDMPQATPEPRIDNEETDLNETRYHGDASVQVMEPSPALTRTLEYSELPVASFHHELPETIMEPDVPVTTVRETHSFTSFPCSLTPVVKESTSTSESEAVPATSTDTSGISLGDAKTLKTDISAPVEKTVEVEGDSNAERDDDDGDSWETEEISKVVSLAPSSAPDGPASFRSLSGKSDDGGNSIGSLSRLAGLGRGARRQLAAILDEFWGQLYDFHGQFTQEAKAKKLDVLLGVDSRLTGALQKMDTCGKEHSGHWISAGSRAETLMSSAPYDSPKQHRMQSNFEPSYEPRRSYHSVRTNPVQFMDEYVQSSNRNLLSAGERRYFSVRNLPTSGSWDNPPPTVHGYQVASYINQVGKETNSDNLNGLMESPSMGIMNNYRNSISNTNNYRNSIALAMGQKLQNGSGLSQPPGFQNIAVPNKNSQLPSERSCYGPTDNSVGSVNAKKYHSLPDISGYAIPHRDAYISDKSTMWDGSVGGHRSSAGRTHHEQSLFSNSGTRTGAPLAFDVLSPSKVYTNVLSSQLSSGLGTGSLWSRQPFEQFWVDDKVHNAATEDVGNRPSAITQETTSVVDIDGKLLQSFRSCILKLLKLEGSDWLFKQNDGADEDLIDRVAAREKFFSEVETTEMNQANPMGEGRYLSSDGKSYSSMKNNEANWSNFSVTSIPNCGDGCVWRADIIISFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGIIDLAFSKPRSPMTACFCLQVPMTYQIKSSSPPSNGMLPPASKPGRGKCTTASVVFEMVKDVEIAISSRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVATQEGIRKIPTSAPYNS >Vigun07g075900.1.v1.2 pep primary_assembly:ASM411807v1:7:10027483:10035640:-1 gene:Vigun07g075900.v1.2 transcript:Vigun07g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLNANHPPSLLHRSLPAVVPMLLISIGYVDPGKWVAIVEGGARFGFDLMAFALIFNFAAIFCQYISAKIGVVTGKDLAQICSDEYDNWTCMLLGVQAELSVIMLDLNLILGMAHGLNFLFGWDLFTCVFLTATGAVFHLLLFVFLDIEKAKIVGLFVSCFVFLTFVLGTLINQPDIPLSINGILTKLSGESAFVLMSLLGATLVPHNFYLHSSIVQWHQGSTTISKDALCHNHFLAIICVFSGLYLVNNVLMNAGANEFYSMGLVLTTFQDALSPMEQVLRSPIAMLAFLLILFFANQTTALTWGFGGEVVVHNFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIFTQIVVALQLPSSVIPLFRIASSRSIMGVHKIPQFVEFSALIIFIGMLVLNIVFVVEMIFGSSDWVGNLRWNVGNGVSLSYSVLLCTAFASFCLMLWLAATPLKSASIQLDEAWNWDMPQATPEPRIDNEETDLNETRYHGDASVQVMEPSPALTRTLEYSELPVASFHHELPETIMEPDVPVTTVRETHSFTSFPCSLTPVVKESTSTSESEAVPATSTDTSGISLGDAKTLKTDISAPVEKTVEVEGDSNAERDDDDGDSWETEEISKVVSLAPSSAPDGPASFRSLSGKSDDGGNSIGSLSRLAGLGRGARRQLAAILDEFWGQLYDFHGQFTQEAKAKKLDVLLGVDSRLTGALQKMDTCGKEHSGHWISAGSRAETLMSSAPYDSPKQHRMQSNFEPSYEPRRSYHSVRTNPVQFMDEYVQSSNRNLLSAGERRYFSVRNLPTSGSWDNPPPTVHGYQVASYINQVGKETNSDNLNGLMESPSMGIMNNYRNSISNTNNYRNSIALAMGQKLQNGSGLSQPPGFQNIAVPNKNSQLPSERSCYGPTDNSVGSVNAKKYHSLPDISGYAIPHRDAYISDKSTMWDGSVGGHRSSAGRTHHEQSLFSNSGTRTGAPLAFDVLSPSKVYTNVLSSQLSSGLGTGSLWSRQPFEQFWVDDKVHNAATEDVGNRPSAITQETTSVVDIDGKLLQSFRSCILKLLKLEGSDWLFKQNDGADEDLIDRVAAREKFFSEVETTEMNQANPMGEGRYLSSDGKSYSSMKNNEANWSNFSVTSIPNCGDGCVWRADIIISFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGIIDLAFSKPRSPMTACFCLQVPMTYQIKSSSPPSNGMLPPASKPGRGKCTTASVVFEMVKDVEIAISSRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVATQEGIRKIPTSAPYNS >Vigun07g075900.5.v1.2 pep primary_assembly:ASM411807v1:7:10027339:10035655:-1 gene:Vigun07g075900.v1.2 transcript:Vigun07g075900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLNANHPPSLLHRSLPAVVPMLLISIGYVDPGKWVAIVEGGARFGFDLMAFALIFNFAAIFCQYISAKIGVVTGKDLAQICSDEYDNWTCMLLGVQAELSVIMLDLNLILGMAHGLNFLFGWDLFTCVFLTATGAVFHLLLFVFLDIEKAKIVGLFVSCFVFLTFVLGTLINQPDIPLSINGILTKLSGESAFVLMSLLGATLVPHNFYLHSSIVQWHQGSTTISKDALCHNHFLAIICVFSGLYLVNNVLMNAGANEFYSMGLVLTTFQDALSPMEQVLRSPIAMLAFLLILFFANQTTALTWGFGGEVVVHNFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIFTQIVVALQLPSSVIPLFRIASSRSIMGVHKIPQFVEFSALIIFIGMLVLNIVFVVEMIFGSSDWVGNLRWNVGNGVSLSYSVLLCTAFASFCLMLWLAATPLKSASIQLDEAWNWDMPQATPEPRIDNEETDLNETRYHGDASVQVMEPSPALTRTLEYSELPVASFHHELPETIMEPDVPVTTVRETHSFTSFPCSLTPVVKESTSTSESEAVPATSTDTSGISLGDAKTLKTDISAPVEKTVEVEGDSNAERDDDDGDSWETEEISKVVSLAPSSAPDGPASFRSLSGKSDDGGNSIGSLSRLAGLGRGARRQLAAILDEFWGQLYDFHGQFTQEAKAKKLDVLLGVDSRLTGALQKMDTCGKEHSGHWISAGSRAETLMSSAPYDSPKQHRMQSNFEPSYEPRRSYHSVRTNPVQFMDEYVQSSNRNLLSAGERRYFSVRNLPTSGSWDNPPPTVHGYQVASYINQVGKETNSDNLNGLMESPSMGIMNNYRNSISNTNNYRNSIALAMGQKLQNGSGLSQPPGFQNIAVPNKNSQLPSERSCYGPTDNSVGSVNAKKYHSLPDISGYAIPHRDAYISDKSTMWDGSVGGHRSSAGRTHHEQSLFSNSGTRTGAPLAFDVLSPSKVYTNVLSSQLSSGLGTGSLWSRQPFEQFWVDDKVHNAATEDVGNRPSAITQETTSVVDIDGKLLQSFRSCILKLLKLEGSDWLFKQNDGADEDLIDRVAAREKFFSEVETTEMNQANPMGEGRYLSSDGKSYSSMKNNEANWSNFSVTSIPNCGDGCVWRADIIISFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGIIDLAFSKPRSPMTACFCLQVPMTYQIKSSSPPSNGMLPPASKPGRGKCTTASVVFEMVKDVEIAISSRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVATQEGIRKIPTSAPYNS >Vigun07g075900.6.v1.2 pep primary_assembly:ASM411807v1:7:10027339:10035651:-1 gene:Vigun07g075900.v1.2 transcript:Vigun07g075900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLNANHPPSLLHRSLPAVVPMLLISIGYVDPGKWVAIVEGGARFGFDLMAFALIFNFAAIFCQYISAKIGVVTGKDLAQICSDEYDNWTCMLLGVQAELSVIMLDLNLILGMAHGLNFLFGWDLFTCVFLTATGAVFHLLLFVFLDIEKAKIVGLFVSCFVFLTFVLGTLINQPDIPLSINGILTKLSGESAFVLMSLLGATLVPHNFYLHSSIVQWHQGSTTISKDALCHNHFLAIICVFSGLYLVNNVLMNAGANEFYSMGLVLTTFQDALSPMEQVLRSPIAMLAFLLILFFANQTTALTWGFGGEVVVHNFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIFTQIVVALQLPSSVIPLFRIASSRSIMGVHKIPQFVEFSALIIFIGMLVLNIVFVVEMIFGSSDWVGNLRWNVGNGVSLSYSVLLCTAFASFCLMLWLAATPLKSASIQLDEAWNWDMPQATPEPRIDNEETDLNETRYHGDASVQVMEPSPALTRTLEYSELPVASFHHELPETIMEPDVPVTTVRETHSFTSFPCSLTPVVKESTSTSESEAVPATSTDTSGISLGDAKTLKTDISAPVEKTVEVEGDSNAERDDDDGDSWETEEISKVVSLAPSSAPDGPASFRSLSGKSDDGGNSIGSLSRLAGLGRGARRQLAAILDEFWGQLYDFHGQFTQEAKAKKLDVLLGVDSRLTGALQKMDTCGKEHSGHWISAGSRAETLMSSAPYDSPKQHRMQSNFEPSYEPRRSYHSVRTNPVQFMDEYVQSSNRNLLSAGERRYFSVRNLPTSGSWDNPPPTVHGYQVASYINQVGKETNSDNLNGLMESPSMGIMNNYRNSISNTNNYRNSIALAMGQKLQNGSGLSQPPGFQNIAVPNKNSQLPSERSCYGPTDNSVGSVNAKKYHSLPDISGYAIPHRDAYISDKSTMWDGSVGGHRSSAGRTHHEQSLFSNSGTRTGAPLAFDVLSPSKVYTNVLSSQLSSGLGTGSLWSRQPFEQFWVDDKVHNAATEDVGNRPSAITQETTSVVDIDGKLLQSFRSCILKLLKLEGSDWLFKQNDGADEDLIDRVAAREKFFSEVETTEMNQANPMGEGRYLSSDGKSYSSMKNNEANWSNFSVTSIPNCGDGCVWRADIIISFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGIIDLAFSKPRSPMTACFCLQVPMTYQIKSSSPPSNGMLPPASKPGRGKCTTASVVFEMVKDVEIAISSRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVATQEGIRKIPTSAPYNS >Vigun08g193800.1.v1.2 pep primary_assembly:ASM411807v1:8:36051501:36056724:1 gene:Vigun08g193800.v1.2 transcript:Vigun08g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQESDFNLNHLKLYYGKLFPFADLCRWVSYGNDAKHPGCDQSYMGRREFSFTLVDDIYLRFQSFNNAHELENSVKEKSPVKIDIGPVYSVDPAKRYAYAQSENNVFTPVERELIFDIDMTDYDDVRYCCSGADVCLVCWPLMTIAIKVIDTALRDDFGFKHVLWVYSGRRGVHCWVCDGKARRLSNEQRGAIADYFRVYKGNENSHKKVSLTGAALHPFLATSYTNVLKDYFEKNLLTGQNLLATEERYEKILSMIPDESIASELRGRWQDGRRSSSAKEDINVVRWEQLKQLLQSGKHKAQGLRRCVEEIVFCFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPSRCDEFDPTTVPTLSQLIEELNYESSRSDVDGELNRTSLGNAITLFRSSFLQPLLKACKEEIENSYNLKLQQSKNSLGW >Vigun03g216200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35924203:35925804:-1 gene:Vigun03g216200.v1.2 transcript:Vigun03g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPEQPRKRKHKLPQRSFFLYFSFFLFIFFFCSSHHSFYSHPSLPSSTLNLPTPLRVHHRILFPDHLLLTLSDPKILPSHQLHCVYLLNASPNPLLLPLLSTDRYDEFRSIARCPLPPTNFSAVDLTWRGMDRHRPARATPHNWEKLAYEAFLDNGTVVVFAKGLNLRPHKVSDAALFRCHFGLPNGAFLLTTKAVSVAQEVVRCALPQSIQNNPEKGRGISVSVSHVRGGGVIPSVARIGGCEKKGRVKEKMSELCACTMVWNQARALREWVMYHAWLGVERWFIYDNNSDDEIDEVVRELEDKGYNISRVTWPWVKSQEAGFSHCVVRAKEECKWVGFFDVDEFFYLNEMRRNGLRSMVANFSSWNSVAEIRTGCHNFGPSGLTTHPKRGVGVGYTCRVRSPERHKSIVRPDLVDVSLLNVVHHFEVREGFEAVNVPPSVAVINHYKYQVWETFKAKFLRRVATYVVDWKEEVNIGSKDRVPGLGTQAIEPGDWGLRFCEVWDTRLRDFLLSNFSHPQTGLLPWETTAR >Vigun04g175900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39992571:39993679:1 gene:Vigun04g175900.v1.2 transcript:Vigun04g175900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYLMMHYENARDTHTEHKLLEKMKVAMFLPLLLVLALNTEPLLAAEPEPVVDKQGNPLVPGVGYYVWPLWADDGGLTLGQTRNKTCPLDVIRNPSFIGSPVAFFAEGLGHIPTLTDLTIDFPVATVCNQPTVWRLSKQGAGFWFVSTRGNPEDITSKFKIERLEGDHAYEIYSFKFCPSVPGTLCAPVGTFEDVDGTKVMAVGDNIEPYYVRFQKVAINEKKPFSIV >Vigun11g063200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14371461:14372834:1 gene:Vigun11g063200.v1.2 transcript:Vigun11g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLVYLLLLVPISTINICLHDNLLKLNLFYNLNVGYMILLATLATTHGSIMSLKLGKVTTSVMSSAEAAKRVLQIHDHFLSDRKIPYAMKGPNHDHFSFPFIPVSQ >Vigun11g016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2114149:2114478:1 gene:Vigun11g016700.v1.2 transcript:Vigun11g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALENYCKGTIGFGPTWEHMLGYWKESIATPNKVLFLKYEELKENANFYVKRVAEFLDCPFTEEEESNGVIESIINLCSFEKMKNLEINKAGTFDRNYEKKILISEG >Vigun05g122300.1.v1.2 pep primary_assembly:ASM411807v1:5:13538402:13542348:1 gene:Vigun05g122300.v1.2 transcript:Vigun05g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNFKTQLKKHSDNISALERNMQYSGSINMLMKNLSVKIESSHAQHSEAIGALGQEDEKLLSDVKSMKTEREMIRGEMKEINKTLEKLKTDKMEGERLLGEAYDRISMLDAEMETSKKSTTDTIAGMDYDKFKTQ >Vigun05g122300.2.v1.2 pep primary_assembly:ASM411807v1:5:13538360:13540261:1 gene:Vigun05g122300.v1.2 transcript:Vigun05g122300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNFKTQLKKHSDNISALERNMQYSGSINMLMKNLSVKIESSHAQHSEAIGALGQEDEKLLSDVKSMKTEREMIRGEMKEINKTLEKLKTDKMEGERLLGEAYDRISMLDAEMETSKKSTTDTIAGLFSKHAKLIVKLK >Vigun03g145500.1.v1.2 pep primary_assembly:ASM411807v1:3:14842124:14843973:1 gene:Vigun03g145500.v1.2 transcript:Vigun03g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFNFFSSLKQVEKRLKLDQTSAAIESQTQESTLGSPIFLQSNCSQNCPSQDSSEPPQAFLSLPQEFPATYQNPSQIDHVIPVNEAEDDDDDDDDDDIEQLMQLLGLSDKQEQRGDSFNDCGSCHCEGGFYEKVVGVEGPKCRKEVVRLDGWIKHFLNGGGEEKLEPLRLAHLLLGKAAFVSDGGAYGELDFPSTIREFLHTDPSCN >Vigun03g145500.2.v1.2 pep primary_assembly:ASM411807v1:3:14842183:14843968:1 gene:Vigun03g145500.v1.2 transcript:Vigun03g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFNFFSSLKQVEKRLKLDQTSAAIESQTQESTLGSPIFLQSNCSQNCPSQDSSEPPQAFLSLPQEFPATYQNPSQIDHVIPVNEAEDDDDDDDDDDIEQLMQLLGLSDKQEQRGDSFNDCGSCHCEGGFYEKVVGVEGPKCRKEVVRLDGWIKHFLNGGGEEKLEPLRLAHLLLGKAAFVSDGGAYGELDFPSTIREFLHTDPSSPRIGWLVVFII >Vigun03g145500.3.v1.2 pep primary_assembly:ASM411807v1:3:14842138:14843968:1 gene:Vigun03g145500.v1.2 transcript:Vigun03g145500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFNFFSSLKQVEKRLKLDQTSAAIESQTQESTLGSPIFLQSNCSQNCPSQDSSEPPQAFLSLPQEFPATYQNPSQIDHVIPVNEAEDDDDDDDDDDIEQLMQLLGLSDKQEQRGDSFNDCGSCHCEGGFYEKVVGVEGPKCRKEVVRLDGWIKHFLNGGGEEKLEPLRLAHLLLGKAAFVSDGGAYGELDFPSTIREFLHTDPSCN >Vigun03g433732.1.v1.2 pep primary_assembly:ASM411807v1:3:63788526:63794229:1 gene:Vigun03g433732.v1.2 transcript:Vigun03g433732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDRAATRSDRNCDVDERSYGVEETAQEGKILLRFSFLGRLLDR >Vigun08g202700.1.v1.2 pep primary_assembly:ASM411807v1:8:36670224:36671433:1 gene:Vigun08g202700.v1.2 transcript:Vigun08g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTIKHYSSSQKILLVGEGDFSFSLSLAKAFGSASNMVATSLDSKGILLWKYPRVSIKLMELEELGCTILHEVDVHTMSEHPLLKTKCFDRIIFNFPHAGFTYREHKLCQIELHQKLVSGFFKSAKKMITQNGEIHVTHKNGNPYNKWEVVELAVDENLQLVEKVRFRKRDYLGYVNKRGSGNRCDKTFAVGDCSTFKFSHASQDNCSLIGLEDLFSLVEKFPIGRSIWICTYLHLMYFFLSNYC >Vigun03g221100.1.v1.2 pep primary_assembly:ASM411807v1:3:36779979:36781947:1 gene:Vigun03g221100.v1.2 transcript:Vigun03g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEENSCFDPNGVVAEECFSQMVSKSEAVMSGSSIQHHNTFGMENLAYTYSSGEDASAVAMEIIAHPQQNSYSQFAQVVNNHGSCEDLYSFPTLERAHHDHQFPYSTPTPDLLNLLHMPMTFENQSSNLPKPSGPLGTADSTNVSSVSYDPFLHLNLQAPPPPLRNDFAFGAGGAIEGSGIAYQDFGNGVVEFTQDVGKKRGGKRIKQFTSTTTERQRRVDLSSKFDALKELIPNPSKSDRASVVGDAINYIRELKRTVDELKLLVEKKRQEKQRVVVMMRHKVETEGQDGSNLDPDDGSYNESLRSSWIQRKSKDTEVDVRIVDNEVTIKLVQRKKMDCLVHVSQVLDQLNLDLQHVAGGHIGDFCSYLFNTKMCDGSCVYASAIANKLIQVMDTSLAAVSLNS >Vigun04g018000.8.v1.2 pep primary_assembly:ASM411807v1:4:1330192:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.4.v1.2 pep primary_assembly:ASM411807v1:4:1330465:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.7.v1.2 pep primary_assembly:ASM411807v1:4:1330192:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.9.v1.2 pep primary_assembly:ASM411807v1:4:1331031:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.5.v1.2 pep primary_assembly:ASM411807v1:4:1333842:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.10.v1.2 pep primary_assembly:ASM411807v1:4:1331031:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.6.v1.2 pep primary_assembly:ASM411807v1:4:1331176:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFRGKLPFSYFIEGHSTIVLDGYRMDLEDKFLAKVSGIQSLSSSAQSTPEKNGHSDDASRSSELLQEFLKPGLKKELLRTCVDKDKKNISSKSRMTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun04g018000.11.v1.2 pep primary_assembly:ASM411807v1:4:1334667:1338951:1 gene:Vigun04g018000.v1.2 transcript:Vigun04g018000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKSTSKNVKKQDSKKVSGVSNQSSRKQHRKGENPVRFVPVPDPPSDFGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCTCESAQGDSCGLSCHIECALQHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLSIAKDARRVDVLCFRIYLSYRLLDGTSRFKELHEMVKEAKAKLETEVGPVNGVSAKMARGIVSRLPIASDVQKLCSLAIEKADEWLATVPNVNPESREGSLPAACKVVFEEVTASSVKIILFEMSNASSGDVKGYKLWYYKSREESHTKDPVCVFPKSQRRILLSNLQPCTEYTFRIVSFTDTGDLGHSETKCFTKSVEILEKNSSSSVAVNQKKNLQAECNSSGSKLEPNPSSADSGFKVRDLGKILHLSWAQEQGSFEGFCCADKRNCCGQSEAIKPSKPQEQLPSVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLDKNLARSHGSGGSQTWNHGQTGEVPAVDSRGDASRKRKASANEETHDCDSTLINGSPLRPSDGPFSLDENFEYCVKVIRWLECQGHIKQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRPRNGFCNKTVTSS >Vigun10g044600.1.v1.2 pep primary_assembly:ASM411807v1:10:6472833:6476298:1 gene:Vigun10g044600.v1.2 transcript:Vigun10g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYCDYSVPMDPASYVPTLAGILALLIAYKVFRFIRSPKQRKGRKLPEPRGALPFIGHLHLLNGRIPYFRTFSAMAEKYGPVFCVKLGCHTTVVVNNREIAKECLTTNDRVFASRPNTSAGRLMGYNNAIFGLTPYGDYWREIRKVAVLEMLSSHRLEKLKHVRDSEILSLVKDLYSSMAVSSAKNVKGSNEVAISNLLEHMTFNIIVRMIAGKRFGGDTVNQEDNDAWKLRKAIKDATYLSGVFVVADAIPSLSWFDFQGHLSFMKITAEKLDIILERWLQEHVEMRGEKKNGGCERDFMDVMLSSFEDQEDICGYKRETVIKATSLMLILTASGSTSITLTWALSLLVNHPKVLKVALQELDSHVGKERWVQESDIKNLNYLHAIVKETLRLYPPAPLTGIREAMEDCSLVGYHVPKGTRLLINLWNLQRDPQVWTNPNEFQPERFLTTHRDIDFMSQDFELVPFSFGRRSCPGLTFGLQVAHLTLARLLQGFHIFTKDGAEVDMTEGLGVALPKEQELRLMLQPRLPLELYESL >Vigun08g068400.1.v1.2 pep primary_assembly:ASM411807v1:8:10585718:10590019:-1 gene:Vigun08g068400.v1.2 transcript:Vigun08g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARSQKIVDVGSVVEAVSAEDGDAPLYSLDSLCMRCGENGITRFLLTLIPQFKKSLLSAFGCPHCGERNNEVQFAGEITRLSLHFGNHIRRAEDAKSSSG >Vigun05g257100.1.v1.2 pep primary_assembly:ASM411807v1:5:45108265:45109215:1 gene:Vigun05g257100.v1.2 transcript:Vigun05g257100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSAIRREPSRTHAVSRWSPTKEQIAVLEDLYRQGMRTPNTEQIQEITSRLRVYGHIEGKNVFYWFQNHKARQRQKQKLETIAYSNSFLQASHPIGQHVVCAPFYAQQSGMNFYPQPRNIVAAGGIVESVVPFRMLQMCDGQQIYQQLRQRVQPDYNCSINDRKTLTLFPLHPTGVLKEKTTDHGSSHASASGRH >Vigun01g206300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38226423:38227588:-1 gene:Vigun01g206300.v1.2 transcript:Vigun01g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNRQRKSSFLSVFNIFKSSNNKQRGGSSYSSYYDEGPKVSGTKVWPSDEDKGRWGVADPVIDLRATAYIAKYKKRVSESEIHCQAQSQY >Vigun06g195900.1.v1.2 pep primary_assembly:ASM411807v1:6:31167028:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERNIKLCCTSELACFSQNPFHMPSAKRICKRHFDLPNVLST >Vigun06g195900.15.v1.2 pep primary_assembly:ASM411807v1:6:31169860:31172328:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGWC >Vigun06g195900.11.v1.2 pep primary_assembly:ASM411807v1:6:31169641:31172328:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGN >Vigun06g195900.9.v1.2 pep primary_assembly:ASM411807v1:6:31166608:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERVSRVMKLNH >Vigun06g195900.7.v1.2 pep primary_assembly:ASM411807v1:6:31166404:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERMQHFLSYPTVRKPCGN >Vigun06g195900.2.v1.2 pep primary_assembly:ASM411807v1:6:31166297:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERNIKLCCTSELACFSQNPFHMPSAKRICKSDD >Vigun06g195900.13.v1.2 pep primary_assembly:ASM411807v1:6:31167518:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKALIYRKLVMVTGLFQFFLNMYWE >Vigun06g195900.12.v1.2 pep primary_assembly:ASM411807v1:6:31167028:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKALIYRKLVMVTGLFQFFLNMYWE >Vigun06g195900.5.v1.2 pep primary_assembly:ASM411807v1:6:31167028:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSDRRCSMGLETV >Vigun06g195900.6.v1.2 pep primary_assembly:ASM411807v1:6:31166427:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERNIKLCCTSELACFSQNPFHMPSAKRICKSDD >Vigun06g195900.8.v1.2 pep primary_assembly:ASM411807v1:6:31166344:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERVSRVMKLNH >Vigun06g195900.10.v1.2 pep primary_assembly:ASM411807v1:6:31166608:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGN >Vigun06g195900.3.v1.2 pep primary_assembly:ASM411807v1:6:31167028:31172538:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKRLECCSEANVRSGIDLQETGHGDWLIPVLFEYVLGMKFSTYCQAGRICLSALHLSSGYSFSLSWICKAPEEEAELMYHALSLGTFERLAPEWMREDIVFSPSMCPIFFERVSRVMKLNH >Vigun06g195900.14.v1.2 pep primary_assembly:ASM411807v1:6:31170026:31172328:-1 gene:Vigun06g195900.v1.2 transcript:Vigun06g195900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYTKLYDKYTELKTKKLSDLEHLNEGQQLKFINCLSAAEEVVEHLKTEKEELLGQVNDLRVELALLRAAKDNQLADYQMLLMEERHKNETLSEEVEKLQQLHQEGASHDLNNSSRIMIVDGQFKDNSNSSSVRMTRKRIRQNALEKEERFISFQNDQGSFERESMQNTCKVTASGKVSIWSPKNEIHTHKYVYVYM >Vigun06g237700.1.v1.2 pep primary_assembly:ASM411807v1:6:34244652:34247260:1 gene:Vigun06g237700.v1.2 transcript:Vigun06g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHAPKRNKNVYKTLIAAEYSGVQLEFADFEMSVSNKSPEFLKMNPLGKTPVLETPDGPVFESNAIARYVARLNGDNALFLSTPIDNARIDQWIDFATLEIDTNILKWYIPRLGFAPYLPPVEEAANSALKRAFGALNTHLASNTYLVGHSVTLADIIMTCNLYLGFTQLLVKSFTSEFPHVERYFWTLVNQPNFRKILGQIKQTDAVPPVPSAKKPSQPKESKPKPKDEPKKVAKPEPEKPKEEAEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSQAPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDETQKERVSQMIEDYEPFEGEPLLDAKCFK >Vigun08g092400.2.v1.2 pep primary_assembly:ASM411807v1:8:21598084:21606349:1 gene:Vigun08g092400.v1.2 transcript:Vigun08g092400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAGGNGLTSEAGGGGGGSSSSPSPPPSPRRQSGVTRCRRRLRPAKDSSESRRLVAVIMTRRSLRYFFLLPLVYISGLLMCVGPFSALIGHTPLPGSRYRSHEIFHNLWHHIETDNSSVIELASVWKYKRKLREQKPCPNLTALHREHFLSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPQFEFHNVWKDPSEFGDIYDEDHFISTLDGYVKVVKELPEALMERHNYNMSNITNIRVQAWSPVSYYLGVVSPILQKEGVIRIAPFANRLAMSVPPHIQFLRCLTNYKALRFSSSISALANKLVYRMIQKSSRTDGKYIAVHLRFEEDMVAFSCCVYDGGEAEKLEMDSVREKGWRGKFKRKDRIILPDLNRVEGKCPLTPLEVGMMLRGMGFDNNTSIYLASGKIYRAERYLTPLMKMFPYLYTKESLATSDELAPFMGYSSQLAALDYTVCLSSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTIIPDKRKLVVLLDNLSISWRGFKDQMEDMLSESDRKGIMVPRVRKINRKTSVYTYPLPECRCLQQSLANQIDHNLDALYNYNRTKA >Vigun08g092400.3.v1.2 pep primary_assembly:ASM411807v1:8:21598084:21605570:1 gene:Vigun08g092400.v1.2 transcript:Vigun08g092400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAGGNGLTSEAGGGGGGSSSSPSPPPSPRRQSGVTRCRRRLRPAKDSSESRRLVAVIMTRRSLRYFFLLPLVYISGLLMCVGPFSALIGHTPLPGSRYRSHEIFHNLWHHIETDNSSVIELASVWKYKRKLREQKPLSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPQFEFHNVWKDPSEFGDIYDEDHFISTLDGYVKVVKELPEALMERHNYNMSNITNIRVQAWSPVSYYLGVVSPILQKEGVIRIAPFANRLAMSVPPHIQFLRCLTNYKALRFSSSISALANKLVYRMIQKSSRTDGKYIAVHLRFEEDMVAFSCCVYDGGEAEKLEMDSVREKGWRGKFKRKDRIILPDLNRVEGKCPLTPLEVGMMLRGMGFDNNTSIYLASGKIYRAERYLTPLMKMFPYLYTKESLATSDELAPFMGYSSQLAALDYTVCLSSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTIIPDKRKLVVLLDNLSISWRGFKDQMEDMLSESDRKGIMVPRVRKINRKTSVYTYPLPECRCLQQSLANQIDHNLDALYNYNRTKA >Vigun08g092400.1.v1.2 pep primary_assembly:ASM411807v1:8:21598060:21605693:1 gene:Vigun08g092400.v1.2 transcript:Vigun08g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAGGNGLTSEAGGGGGGSSSSPSPPPSPRRQSGVTRCRRRLRPAKDSSESRRLVAVIMTRRSLRYFFLLPLVYISGLLMCVGPFSALIGHTPLPGSRYRSHEIFHNLWHHIETDNSSVIELASVWKYKRKLREQKPCPNLTALHREHFLSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPQFEFHNVWKDPSEFGDIYDEDHFISTLDGYVKVVKELPEALMERHNYNMSNITNIRVQAWSPVSYYLGVVSPILQKEGVIRIAPFANRLAMSVPPHIQFLRCLTNYKALRFSSSISALANKLVYRMIQKSSRTDGKYIAVHLRFEEDMVAFSCCVYDGGEAEKLEMDSVREKGWRGKFKRKDRIILPDLNRVEGKCPLTPLEVGMMLRGMGFDNNTSIYLASGKIYRAERYLTPLMKMFPYLYTKESLATSDELAPFMGYSSQLAALDYTVCLSSEVFVTTQGGNFPHFLMGHRRFLYDGHAKTIIPDKRKLVVLLDNLSISWRGFKDQMEDMLSESDRKGIMVPRVRKINRKTSVYTYPLPECRCLQQSLANQIDHNLDALYNYNRTKA >Vigun07g162200.1.v1.2 pep primary_assembly:ASM411807v1:7:27350719:27354011:-1 gene:Vigun07g162200.v1.2 transcript:Vigun07g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDIDSLNSLRPVYGLIFLFKWRPGEKDDRVVIKDPNPNLFFASQVINNACATQAILSILMNSPDIDIGPELTKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPDEQKVATKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCSGGQGDLEWLKMVQPMIQERIERYSQSEIRFNLLAVIKNRKEMYTAELKELQKRRERILQQLAASKSDRLVDNSNFEALNNSLSEVNAGIEAATDKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSP >Vigun07g162200.2.v1.2 pep primary_assembly:ASM411807v1:7:27350719:27354126:-1 gene:Vigun07g162200.v1.2 transcript:Vigun07g162200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDIDSLNSLRPVYGLIFLFKWRPGEKDDRVVIKDPNPNLFFASQVINNACATQAILSILMNSPDIDIGPELTKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPDEQKVATKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCSGGQGDLEWLKMVQPMIQERIERYSQSEIRFNLLAVIKNRKEMYTAELKELQKRRERILQQLAASKSDRLVDNSNFEALNNSLSEVNAGIEAATDKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSP >Vigun03g155300.1.v1.2 pep primary_assembly:ASM411807v1:3:16541013:16543163:-1 gene:Vigun03g155300.v1.2 transcript:Vigun03g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGTKFWEVVCDEHGIDPTGQYVGNTELQLERVNVYYNEGSNGRYVPRAVLMDLEPGTMDAARTGVYGQIFRPDNFVFGQSGAGNNFAKGHYTEGAELIDSVLDVVRKEVENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQNYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMLSVQNKNSSYFVEWIPNNVKSSVCDIPPKGLSMASTFVGNSTSIQEMFRRVGEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDASAGEDDDSGEEENEVMRVEDVRQT >VigunL014900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:301951:303160:1 gene:VigunL014900.v1.2 transcript:VigunL014900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LAEWLKRPTNNWLIRRFNSYWIILIETRTHREENRFMNGIKYTVFTHKSIRLLGKNQYTFNVESGSTKTEIKHWIELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRHMIITMAIHLYKTSTPSTRNGNHLIYGQHRCGKGRNAGGIITVGHRWEGHKRLYRQIHFRRNEKNIYGRIVTIEYDRNRNASICLIHYGDGEKKYILHPKGAIIGDTIISGTKVPIKMGNTLPLSAV >Vigun04g098500.1.v1.2 pep primary_assembly:ASM411807v1:4:22395056:22397292:1 gene:Vigun04g098500.v1.2 transcript:Vigun04g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELVSKGHNSLLIEFHSRLLALILSESGKEKLRRYIKDENSRYAKGVKEAKLKIDATKEKIKCVERKLRNEKAKVVSSTVSPFPMEEKNKNRG >Vigun09g022400.1.v1.2 pep primary_assembly:ASM411807v1:9:1760853:1762064:-1 gene:Vigun09g022400.v1.2 transcript:Vigun09g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKTDRDANREYESPGKYLSNGKSSNQEGSSKGTENQKGSSKGTEKSHESTGDPVGEKKRSEGKKGSRSNGRN >Vigun06g213600.1.v1.2 pep primary_assembly:ASM411807v1:6:32536582:32540439:1 gene:Vigun06g213600.v1.2 transcript:Vigun06g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYKCCETQFFMRLLIIVLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVRNQHLLLCTLLICNAAAMEALPIFLDSLVVAWGAVLISVTLILLFGEIIPQSICSRYGLAIGATVAPVVRVLVWICFPVAYPISKLLDYLLGHRHEALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPITEIFSIDINSKLDRELMNLILEKGHSRVPVYYEETTNIIGLILVKNLLRIDPEEGIPVKSVTIRRIPRVPETMPLYDILNEFQKGHSHMAVVIRNTDKTGQDSSNNNANVRDVKVDIDGEKTPQENNNNNMLKSKRSLQKWKSFPNSNNSNRGSYRSRKWSKNMYSDILEIDGNTLPTPPEKEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >VigunL001500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:23030:24787:1 gene:VigunL001500.v1.2 transcript:VigunL001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILHVFRVYLIGAFKKPRELTWVTGVVLAVLTASFGVTSYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELSRGSSSVGQSTLTRFYSLHTFVLALLTVVFMLMHFSMIRLAVLEPSMIGEPADPFATPLEYYLNGIFFPVFQILRTVPNKLLGVLLMVSEPTGLLTVPFLVNVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLF >Vigun09g083100.1.v1.2 pep primary_assembly:ASM411807v1:9:10126126:10129648:1 gene:Vigun09g083100.v1.2 transcript:Vigun09g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMVWSLRLNPAPITSPDHALFCNLSLVWWNHMMVFQETHPLFNRAESLPLAITNLSPFPWILKQQFIVQNGFLWLVDLT >Vigun03g304100.3.v1.2 pep primary_assembly:ASM411807v1:3:49387763:49391230:1 gene:Vigun03g304100.v1.2 transcript:Vigun03g304100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKEEDGFLYVTYSGENTFGDLTSH >Vigun03g304100.1.v1.2 pep primary_assembly:ASM411807v1:3:49387763:49391230:1 gene:Vigun03g304100.v1.2 transcript:Vigun03g304100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKEEDGFLYVTYSGENTFGDLTSH >Vigun03g304100.2.v1.2 pep primary_assembly:ASM411807v1:3:49387762:49391267:1 gene:Vigun03g304100.v1.2 transcript:Vigun03g304100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKEEDGFLYVTYSGENTFGDLTSH >Vigun05g099900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9787002:9787919:1 gene:Vigun05g099900.v1.2 transcript:Vigun05g099900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLPLIFPSKYHHSNASALSKPITSHNQLQEPHFNKNHVKAHVLSTSTTTNANYIYSPLSSLSLYHSPLNTKMADVNAASFEAFLQGWMVRQNDFLGELLSAHQQYQEGRRVDVRPLIDRVICHYGQYFEEKSKIAHHDIFLIFSPPWFSSLEQSFLWVGGFKPGVAFQIVNTALGDLTQDQKEKLSQLKQETKMKERTFNDDLAKLQESVGDPPLVEMARNQGRLCINGSPVTDQGSVPNTFKEKLENLVANADALRTDTALKILKILRPGQIVKFMASAAELQIKVRSWGSDKDAQNGDEE >Vigun11g164600.1.v1.2 pep primary_assembly:ASM411807v1:11:37193990:37199144:-1 gene:Vigun11g164600.v1.2 transcript:Vigun11g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNRYYFHVLLPLIVLLSLLITVTEGVAPTPEIALLNRNSFPSGFIFGTASSAYQYEGAANEGGKGPSIWDTFTHKYPDKIKNRGSGDVATDSYHRYKEDVQIMKDMNLDAYRFSISWSRILPNGQLSGGINQEGIDYYNNLINELVANGLQPFVTLFHWDLPQSLEDEYGGFLSSRIVKDFQDYAELCFKEFGDRVKNWITLNEPLTYSQQGYTVGIMAPGRCSSWLNPSCNGGDSGTEPYLVTHHQLLAHAAAVNVYKTKYERIQNGSIGITLNFIWCEPLSENKLDRQATERVIDFMFGWFMDPLTRGDYPKNMHLLVKSRLPKFTKEHSKLLINSFDFIGINYYTSRYVSDAPELRNVRSSYMTDALANLSFVREGKTIGLNVASDWLYVYPRGFRDLLLYTKEKYNNPLIYITENGINDYDDPSLSLEESLLDIYRVDYHYRHLYYLQEAIKNGVNVKGYFAWSLLDNFEWNFGYTMRFGLNFVDYRNGLKRYSKLSALWFMDFLNLEFKLQNSL >Vigun10g173800.1.v1.2 pep primary_assembly:ASM411807v1:10:39254689:39262231:1 gene:Vigun10g173800.v1.2 transcript:Vigun10g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRSGDPTSYRDRRSDSGLGAPTGFGGSVQHSSSNRRDHEDGGSPKRNLSLDGLPHFEKNFYIESPAVRAMTDAEVNEYRQQREITVEGRDIPKPVKTFQDAGFPEYVMQEITKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILDPGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLQRVTYLVLDEADRMLDMGFDPQLRKIVSQIRPDRQTLYWSATWPKEVEQLARKFLYNPYKVIIGSEDLKANHAIRQYVDIVSEKQKYDKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILEEAGQKVSPELAAMGRGAPPLPSGPRGFQDRGRGYGSSRPWS >Vigun06g138800.1.v1.2 pep primary_assembly:ASM411807v1:6:26432712:26436145:-1 gene:Vigun06g138800.v1.2 transcript:Vigun06g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFERGSPARCFMTPPPQPPTPWKTIRSRSPSVPFSERKKSPNSVNKSDLFHIIHKVPSGDSPYVKAKQVQLVDKDPGRAISLFWAAINAGDRVESALKDMALVMKQLNRSDEAIEAIRSFRHLCPSESQDSLDNILVELFKRSGRVDEEIAMLHHKLKLIEDGITFVGRTTKQARSQGKKIQITAEQEISRILGNLAWAYLQKEDYKTAEEHYRKALSFEVDRNKQCNLAICLMHMNKIKEAKFLLQAVRTATKNRKMDESFAKSFERASQMLIEIETSSSSENASSLTRKSSDRVKSRTENQSDTSEGDVSHARRRLYQSPDPGRRDLNLYVPCTKPKRCSWGFNHGHRREAWGDVHSDSKSSFGTPSTDKHVTKMLNSRENGFSSPASEKWRASTLEDPAILKHEDTTVTSSDLLHTLNTEAAVEFKSSAGDSGHRSLMSESSHAMVENDIDDFASGNGKPHEKKSWADIVEEEQNQENDLFSYINFDGEDGVEVFNDENEDSNIIFQSPWPQNQPEWSSKKLESLEQKDGYCASGSAVSSRNPTARRSLCFNPELSSESAYSICTSKSPKKASDLEIRESLVGEKKLTRRSRLQVFQDITLLPETPRFV >Vigun11g057500.1.v1.2 pep primary_assembly:ASM411807v1:11:11543136:11544850:1 gene:Vigun11g057500.v1.2 transcript:Vigun11g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATFISALLLAALASKIVRHWLMRKSLSSHMTNRLPPGPPRWPIVGNLLQLGQLPHRDLASLCEKYGPLVYLKLGNIDAITTNDPKIIHEILVSQDDDFASRPQTLAAVHLAYGCGDVALAPFGPHWKHMRRICMEHLLTTKRLESFSKHRSEEAQHLVKDVLGRAQGGRPINLREVLGAFSMNNVTRMLLGKQYFGSKSSGPQEAMEFMHITHELFWLLDVIYLGDYLPMWRWFDPYGCEKKMREVEKRVDDFHSKIIEEHRKAREYKKVRREESDGDMDFVDVLLSLPGEDGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPHILQKVQQELDSVVGPNRMVLESDLPHLNYLRCIVRETFRMHPAGPFLIPHESLRATTINGYHIPAKTRVFINTHGLGRNTEIWDNVGEFRPERHWPSNGGRVEISHGVDFKILPFSAGKRKCPGAPLGVNLVLMALATLFHCFEWTPPEGLNPQDIDTTEVYGMTMPKANPLFVVAVPRLSKDLYG >Vigun05g051400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4399449:4402909:1 gene:Vigun05g051400.v1.2 transcript:Vigun05g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLGSASHFVHHRLQRPDLEDDDNQHDQDDTNNNVNDHEGLDLVATNQGHPGDIVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVTTGCDVFDSVATYARKRQRGICVLSGSGTVTNVTLRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTVFLGGGQGQVVGGNVVGPLVASGPVIVIASSFTNVAYERLPLDEEESMQMQQGQSSGGGGGGGGGSGGGGGGVSNNSFPDPSSGLPFFNLPLNMPQLPVDGWAGNSGGRQSY >Vigun05g051400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4399872:4402910:1 gene:Vigun05g051400.v1.2 transcript:Vigun05g051400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLGSASHFVHHRLQRPDLEDDDNQHDQDDTNNNVNDHEGLDLVATNQGHPGDIVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVTTGCDVFDSVATYARKRQRGICVLSGSGTVTNVTLRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTVFLGGGQGQVVGGNVVGPLVASGPVIVIASSFTNVAYERLPLDEEESMQMQQGQSSGGGGGGGGGSGGGGGGVSNNSFPDPSSGLPFFNLPLNMPQLPVDGWAGNSGGRQSY >Vigun05g085800.3.v1.2 pep primary_assembly:ASM411807v1:5:8140518:8145236:-1 gene:Vigun05g085800.v1.2 transcript:Vigun05g085800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTMDSVSVLNPKLAHSNFSLPFNRFPCIPNFNPLLKPRALNAAPPNALAASFLTLHRRKPLTIAFTASHQDSEHGEIEVEKEREARSGSEESQEAWKQALDTFREQAEKFQGVSQEAYELYSKKAGVILKDTTEQLKVLAVKTKDELSTAAKEITDEGKEYLSAATESSPEVKDIVETFTAPSDDIQKLSGLRDFYVGLPYGLLLSLGGFLSFMVTGNLAAIRFGVILGGSLLALSILSLRSYKRGRSSALALKGQAAISSILFLREINSIGKVRHSLSILI >Vigun05g085800.1.v1.2 pep primary_assembly:ASM411807v1:5:8140418:8145236:-1 gene:Vigun05g085800.v1.2 transcript:Vigun05g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTMDSVSVLNPKLAHSNFSLPFNRFPCIPNFNPLLKPRALNAAPPNALAASFLTLHRRKPLTIAFTASHQDSEHGEIEVEKEREARSGSEESQEAWKQALDTFREQAEKFQGVSQEAYELYSKKAGVILKDTTEQLKVLAVKTKDELSTAAKEITDEGKEYLSAATESSPEVKDIVETFTAPSDDIQKLSGLRDFYVGLPYGLLLSLGGFLSFMVTGNLAAIRFGVILGGSLLALSILSLRSYKRGRSSALALKGQAAISSILFLREINSIGKGSSYFTALISGAVVAFYIYRIVLERSQQNGTNLETEPEN >Vigun05g085800.2.v1.2 pep primary_assembly:ASM411807v1:5:8140518:8145236:-1 gene:Vigun05g085800.v1.2 transcript:Vigun05g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTMDSVSVLNPKLAHSNFSLPFNRFPCIPNFNPLLKPRALNAAPPNALAASFLTLHRRKPLTIAFTASHQDSEHGEIEVEKEREARSGSEESQEAWKQALDTFREQAEKFQGVSQEAYELYSKKAGVILKDTTEQLKVLAVKTKDELSTAAKEITDEGKEYLSAATESSPEVKDIVETFTAPSDDIQKLSGLRDFYVGLPYGLLLSLGGFLSFMVTGNLAAIRFGVILGGSLLALSILSLRSYKRGRSSALALKGQAAISSILFLREINSIGKGSSYFTALISGAVVAFYIYRIVLERSQQNGTNLETEPEN >Vigun03g001100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:102792:103740:1 gene:Vigun03g001100.v1.2 transcript:Vigun03g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVIADANKGVGLYSLCTLRFVAAREKKKMEGGSGEKWKSVYRECLRNHAASLGSYATDGCGEFTVDGGGLQCAACGCHRNFHRKVKYPVGPESPGGMDYGGEGGSKKRFRSKFSTDQKEKMLAFAEKLGWKLQRKDLDDEIERFCRSIGVSRQVFKVWMHNHKNSSSSSSASASASTGNISSLTH >Vigun05g265200.1.v1.2 pep primary_assembly:ASM411807v1:5:45727814:45733421:-1 gene:Vigun05g265200.v1.2 transcript:Vigun05g265200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNAFFLTVVAIVTTLASVTLAQPVPVAPILDVSSLNRTSFPPGFVFGTASAAYQYEGAAKEGGKGPSVWDTFTHKHPELIQDRSNGDVADDQYHRYKEDVEIMKYMNLDAYRFSISWPRILPKGKLSGGINEEGVKYYNNLINELLAKGIEPFVTLFHWDLPQTLEDEYGGFLSPRIINDFEDFAEVCFKEFGDRVKYWITINEPWTYSTFGYATGLMPPNRCSKWLDPKCVHGDSGKEPYLVSHHLLLAHAATVKVYRKKYQVYQKGVIGITLVSNWFEPLSDTKLDKTASERALDFMLGWFVGPLTSGKYPQSMRSLVGNRLPQFSQQQTKLVNGSFDFIGLNYYTSNYATHAPKLGNVKPNYNTDANTNLTTERNGIPIGPTAASSWLYVYPKGIRELLLYIKQKYNNPLIYITENGVDEFNDPTLSLEESLLDTLRIDYHYRHLFYLLSAIRDGVNIKGYFAWSLLDNFEWNSGYTLRFGINFVDYKNGLKRYQKLSAKWFKNFLEKY >Vigun03g388000.1.v1.2 pep primary_assembly:ASM411807v1:3:59399087:59403828:-1 gene:Vigun03g388000.v1.2 transcript:Vigun03g388000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLANYASSDEEEEQQQPTPPKTTTSFSSLPQPKSSLFQSLPQPKSSSSLFQSLPQPKSSSSSPFQSLPPPKKPSLATSSETANPKPKPQIPEPQPKRVVQFRPPIIPLPNPTQLLDDDDDDEDEERERRKKKSASSTQTSSAKSFLASIPAPRNSATLGVQASSGSGRRSIIETESPALETASNSGGSSSVSVDQSVGDYENYENYQYATDQYAGYYSNYGSGPEPEAGAAAYGTEQYGNYGEAYGDYGQYGNNWGEASAAPVTEASGVGDSVVKIPGRRGRHEVPTEIIEVKQDELIKNRPREDQVKLTGIAFGPTYQPASTKGKPSKLHKRKHQIGSLYFEMKQNEMKLAERRAKGMLTKAETQAKYGW >Vigun03g377200.5.v1.2 pep primary_assembly:ASM411807v1:3:58029432:58034000:1 gene:Vigun03g377200.v1.2 transcript:Vigun03g377200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRHNSNKDADKQKSEAPTSSKSDSEHSSFCCSHKRIFLLCLAFRMVNSVLVQTYFNPDEHWQGPEVAHRVAFGYGHLTWEWKQGIRSYLHPMFFVPLYRLLALLHLDTPWLMMRTPRLLQSVFSAVGDLYLYKLSEVLFGDCVAKWTLFSQLSNWFMFYSFSRTLSNSLETVLTLVSLYFWPCMRSSSNYSFVSRKWGLAVAAVACAIRPTSAITWLYVGLLELLKARDRFKFIFLEVAPIGILVLGLTCLLDRFMYGTWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSYLPFCIAGIIYSKQWKLSGLLAWVLGFYSLLGHKEFRFVLPVLPIALMFSGYSLAAIEDPGSARYKGKESSKTHTRCPTKMRIAILFLLATNIPMALYMSLVHQS >Vigun03g377200.1.v1.2 pep primary_assembly:ASM411807v1:3:58029432:58034000:1 gene:Vigun03g377200.v1.2 transcript:Vigun03g377200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRHNSNKDADKQKSEAPTSSKSDSEHSSFCCSHKRIFLLCLAFRMVNSVLVQTYFNPDEHWQGPEVAHRVAFGYGHLTWEWKQGIRSYLHPMFFVPLYRLLALLHLDTPWLMMRTPRLLQSVFSAVGDLYLYKLSEVLFGDCVAKWTLFSQLSNWFMFYSFSRTLSNSLETVLTLVSLYFWPCMRSSSNYSFVSRKWGLAVAAVACAIRPTSAITWLYVGLLELLKARDRFKFIFLEVAPIGILVLGLTCLLDRFMYGTWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSYLPFCIAGIIYSKQWKLSGLLAWVLGFYSLLGHKEFRFVLPVLPIALMFSGYSLAAIEDPGSARYKGKESSKTHTRCPTKMRIAILFLLATNIPMALYMSLVHQRGPEDVMNHLAREALHGKVRSILFLTPCHATPYYSMLHHDLPMQFLDCTPSEEKGVLDESDRFLMDPVSFVSAYAKNVSMASHIVLFDSEEQKLKNLLNSFDYGEERRFFNAHFKVDRDLQASVVVYVRRR >Vigun03g377200.4.v1.2 pep primary_assembly:ASM411807v1:3:58030038:58034000:1 gene:Vigun03g377200.v1.2 transcript:Vigun03g377200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVPLYRLLALLHLDTPWLMMRTPRLLQSVFSAVGDLYLYKLSEVLFGDCVAKWTLFSQLSNWFMFYSFSRTLSNSLETVLTLVSLYFWPCMRSSSNYSFVSRKWGLAVAAVACAIRPTSAITWLYVGLLELLKARDRFKFIFLEVAPIGILVLGLTCLLDRFMYGTWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSYLPFCIAGIIYSKQWKLSGLLAWVLGFYSLLGHKEFRFVLPVLPIALMFSGYSLAAIEDPGSARYKGKESSKTHTRCPTKMRIAILFLLATNIPMALYMSLVHQRGPEDVMNHLAREALHGKVRSILFLTPCHATPYYSMLHHDLPMQFLDCTPSEEKGVLDESDRFLMDPVSFVSAYAKNVSMASHIVLFDSEEQKLKNLLNSFDYGEERRFFNAHFKVDRDLQASVVVYVRRR >Vigun01g251733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41802363:41802956:1 gene:Vigun01g251733.v1.2 transcript:Vigun01g251733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLSQLVAREANLLYGVEDKVQSLQYELQMMKELLSSTKSKKGMEHTVLNQIRDVSHLAEDVIDTFVAKVSIYKRRTILGRMLRGFGQARLLRDVAEKIDKIKATLNEIRDNKSKYEAFKETNNQSVAEEEEEEEMAQSVQKLRRNVEEDDVVGFVQESKVVTLSRSLAWGDWGRPPLPERSITAPR >Vigun01g235000.1.v1.2 pep primary_assembly:ASM411807v1:1:40651698:40655142:1 gene:Vigun01g235000.v1.2 transcript:Vigun01g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANALHNAAISTITFGDDYSVSRCFFPSPSTLPFRTMQKGNHVELKKRVVVVKAKNKSDFDDVEVDVSLSHRVNAVKPSKTVAISDHATALLQAGVPVIRLAAGEPDFDTPTVIAEAGMNAIRDGHTRYTPNAGTLELRQAICHKLKEENGITYTPDEILVSNGAKQSVVQAVLALCSPGDEVIIPGPFYVSYPEMARLADANPVIIPTQISNNFLLDPKLLEANLTERSRLLILCSPCNPTGSVYSKKLLEEIAQIVAKHPRLLVLSDEVYEHIIYAPATHTSFASLTGMWDRTLTVNGFSKTFAMTGWRLGYIAGPKHFVAACGKIQSQFTSGASSVSQKAGVAALGLGYAGGEAVSTMVKAFRERRDFLVESFSKMDGVKISEPQGAFYLFIEFSSYYGREAEGFGLIENSDSFCRYLLDKGLVALVPGSAFGDDSCIRISYAQSLTVLKTAVERIEKALILLRSAALL >Vigun01g156400.1.v1.2 pep primary_assembly:ASM411807v1:1:33863725:33865485:-1 gene:Vigun01g156400.v1.2 transcript:Vigun01g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDVYSHISSPPFISHRFSSSRYQPFKLLCSKESQSKDDKGDKSSTDWDKAWSKLKKQGGKKPFSKFSDKYVSWNPRRSEFPLSEEVDPIKRTERSNLMFWNSPTFTLGGAIIIVTFLLLYTILAPIPIK >Vigun01g212900.1.v1.2 pep primary_assembly:ASM411807v1:1:38724224:38727269:-1 gene:Vigun01g212900.v1.2 transcript:Vigun01g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHVGPTLMQLFFPLTKSRFESHTLSPLQLKMAENSKGNDNGNGFYVKEEEELKVKQKKRTGNDSLPEVLNRIASAILFPGPGDDGSVIRRIKVSVADNAPLLPEASRNSARDVLLWSRGGTPLRALFVITVGAVGFVALTGLLVFMLFLLAATINAIVISLLISLAAAGGFLALFFAFVTAIYIGALSIAIFAISVTTFWACVAILIITGWTGFIYSVWVVTRKSFGFAKHSLDVTGSAISSYTTARHARYLIHTNSNQ >Vigun07g098600.1.v1.2 pep primary_assembly:ASM411807v1:7:17245681:17249090:-1 gene:Vigun07g098600.v1.2 transcript:Vigun07g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCSEVASPSCRGRSKKSNLQSSKVQSPSPVRFSSQTPEKLPQRIRNRGVALSIADIRKVGKGLQETNRRGEETSSQQSKSVRRQISLWPSSPSKSKITYDESSKLPEKYGILDQFFDRLDTLISMFRLKGKTPSFTEISSRIESLTDRRFTHGHLAQLQYILPEAIVLKKFLVHDERTCCMKPDIHISLNPEGVESDVKGHPQRRRVSLKKLFQSRLRDFFESHPEGDEIPEGTLPEPFNRPKKDNILDMFETRLPTKMSTCMRYNDIVNNTEPADIDEKSLVTSSAELPNEHLTAPSHIAPSFRARFSLKFKLNGTDEVQHSSPSVSLQPSPSDLASEAASSVKCSTSCESLDSSSALRSTPSKSIEYTGNRDGSLTSISAVSTPTRKTFEYTENKDGSLTSIDAVSTPAREINECTVHKDSSLKSIDAMSTPAKLVSTPIRLMSATPALCSPKRHYMSPDDHSISSLNKLARRPPRSRSLKFDTPVKNKESMNEDNDCALPIDDDVFEILPGKLIQSIREKERIAMEERHPAITQAKKRKKIIASLPKLFDMIRLLLRQRNSMTKVELVSKIISSHSDIVDRSEVEEQLSLLQELAPEWISEKQVSSGDFLLFINKMLSPETIRASLEEAT >Vigun03g195100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27409063:27410937:1 gene:Vigun03g195100.v1.2 transcript:Vigun03g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWFIIVVSACVCVIVRAIFSLQTKTINTPPGPLHIPILTSIQWLLKSFSQREPILRNLHAKFGPIVTIRIGSQPAIFIANGTLAHQALVQNGSLFSDRPKALATAKIITTHQHNINSASYGATWRTLRRNLTSQILHHSRIKSFSGIRNWVLHTLLTHLKSHSHSNNSVRVIDHFQYSMFCLLVFMCFGERLDDGKVRDIERVQRQMVVRLKSFNILNFWPRVTRVLFRKLWEELLRLRKEQEDVLAPVIRARKQKRKQGKNEGVVSYVDTLLDLQWPEEKRKLNEEEIVTLCNEFLNAGTDTTSTVLQWIMANLVKYPHEQERVVDEIREVLGEREEREVKEEELQKLPYLKAVILEGLRRHPPGHFVLPHAVSEDVVFNDYLVPKNGTVNFMVAEMGWDPKVWEDPMAFKPERFMNDEGFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFEWKAPEGGDVDLSEKQELTVVMKYPLQVHLSPRI >Vigun05g301500.1.v1.2 pep primary_assembly:ASM411807v1:5:48461513:48468230:-1 gene:Vigun05g301500.v1.2 transcript:Vigun05g301500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAVATTYSPSSIAPLRARCRFGFHFASFLSSTTKLKCPSIATRTTLAVATDPTVSVNPNPNPNPNQLLLPSNESSERLLRIRHTSAHVMAMAVQKLYPDAKVTIGPWIENGFYYDFDMEPLTDKDLKRIKKEMDRIIGRNLPLLREEVSRDEAHRRISALNEPYKLEILESIKDDTITIYHIGNEWWDLCAGPHVDSTGNINKKAVELESIAGAYWRGDERKPMLQRIYGTAWENEEQLKAYLNFKEEAKRRDHRRIGQDLDLFSIQDDAGGGLVFWHPKGAIVRHIIEDFWKKIHLKCGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMDIEDELYQLRPMNCPYHILVYKSKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLDDQIKDEIRGVLDLTEEILLQFGFDKYEVNLSTRPEKAVGDDDIWEKATTALEDALDDKGWSYQIDDGGGAFYGPKIDIKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNTEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARVLPVTDAQLEYSKGVANKLKMYGIRVEVCHGERLPKLIRNAEKQKIPLMAVVGSKEVETETVTVRSRFGGELGTMSVDDFIDRIRLASENPRSL >Vigun03g263400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43239825:43243469:-1 gene:Vigun03g263400.v1.2 transcript:Vigun03g263400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYSRFKHLSHLLQTLTPHQNPPLASARISILPNAQSLLRPSHARGYCTASQSHTSEKVAAIVDEVMGLTLLEVMDLVEVMREKRGVNELPIMMLMVPGMGVRGVPRGAPKGGGGSEGGEVKVAEKTAFDLKLEGFDAAGKIKVIKEVRTFTSLGLKEAKDLVEKVPAVLKKGVTKEEAESIIAKMKAVGAKVSME >Vigun09g271100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43340476:43341063:-1 gene:Vigun09g271100.v1.2 transcript:Vigun09g271100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPSVLSARHILRRSSLFANHAAATSLDVPKGHFAVYVGEGEKKRFVIPVSYLNHPSFQELLSIAEEEFGFSHQLGGLIIPCTQEFFLNITSTLHRL >Vigun05g285800.1.v1.2 pep primary_assembly:ASM411807v1:5:47334676:47338926:1 gene:Vigun05g285800.v1.2 transcript:Vigun05g285800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLSVIIFFLQREALETGGRVMESEVEEKGGGEEVMSEVHLGCPPDFTGPYISLFTVSFSDVSRTTQLHPSQRNPLHSNKLQFDQDGDLLLPRRRSTNTPFSCNSFGVRIQHNITSTIPNVGLQVWKAELVLSDFILHKASCSSELHGIIALELGAGTGLVGLLLARVANTVFLTDYGTEILDNCAKNVQLNFGLLNYQAKIHVRELDWFNHWPPKAKIEEAPGAKKYSWTSKEIEEVENASLLVAADVIYSDELTDAFFSTLARLMSRGSTKVLYMALEKRYNFSLSDLDVVANGYSHFRSYLRDEDEIESLESVSTSNFVGKRIDISQIPQYIGEYDRGRDVEIWEIKYLKSKHEASVAPG >Vigun05g285800.2.v1.2 pep primary_assembly:ASM411807v1:5:47334707:47338668:1 gene:Vigun05g285800.v1.2 transcript:Vigun05g285800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLSVIIFFLQREALETGGRVMESEVEEKGGGEEVMSEVHLGCPPDFTGPYISLFTVSFSDVSRTTQLHPSQRNPLHSNKLQFDQDGDLLLPRRRSTNTPFSCNSFGVRIQHNITSTIPNVGLQVWKAELVLSDFILHKASCSSELHGIIALELGAGTGLVGLLLARVANTVFLTDYGTEILDNCAKNVQLNFGLLNYQAKIHVRELDWFNHWPPKAKIEEAPGAKKYSWTSKEIEEVENASLLVAADVIYSDELTDAFFSTLARLMSRGSTKVLYMALEKRYNFSLSDLDVVANGYSHFRSYLRDEDEIESLESVSTSNFVGKRIDISQIPQYIGEYDRGRDVEIWEIKYLKSKHEASVAPGSGLSPSVFGSYIGSQLIQVKLGVT >Vigun06g050650.1.v1.2 pep primary_assembly:ASM411807v1:6:17624312:17627109:1 gene:Vigun06g050650.v1.2 transcript:Vigun06g050650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIQERMKASQNNPPPHLNRRSPISHSHFIFLVIRGRGFNHRTVVFESIRLISSFGLKKSFHELMGLEGFVKLFVVAFQGDVQFGTRLDFGVVTMFELRV >Vigun11g023300.4.v1.2 pep primary_assembly:ASM411807v1:11:2848729:2854887:-1 gene:Vigun11g023300.v1.2 transcript:Vigun11g023300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSNEIEDEVLPSDTSAAQLNDESYEGSTSVVLKKGPWTSTEDDILVDYVNKHGEGNWNAVQKHTGLLRCGKSCRLRWANHLRPNLKKGAFTAEEERFIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEMCLQDFQENQRNQSNGGLNGGNKMHLDLLQKNSYEIHGAIFDSTKDNQGILPYAPKFSDVSVCGNMLKGLDSSSQYCSVMPPASPKNKRLRESTIPTFNGSNDTKKNGLYPLCKNRDNNYDKISQSFGMQALLDPGPSLHNSMCYSHSPSNGNPSTSKPTFEAVKLELPSLQYPELHLGRWGTSPPPPLLESVDDFFQSHTPISTLESDCSSPQNSGLLDALLYQAKTLSSSRNHCSDKSSNSSTATPGDRGDNSALNVYETEWEDYADPVSPFGATSILNECPDLRGSENSLDEQLSLQTFTGNIPKLEFVDKVWTPDNENQTLSFLNITRPDLLFASDWNDLGFGHGKNQAITTDTATTLLGEDFAADHKHMTSGTSQSSQVWGFGSCATAANNMHALCHLSDLG >Vigun11g023300.3.v1.2 pep primary_assembly:ASM411807v1:11:2848722:2854958:-1 gene:Vigun11g023300.v1.2 transcript:Vigun11g023300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSNEIEDEVLPSDTSAAQLNDESYEGSTSVVLKKGPWTSTEDDILVDYVNKHGEGNWNAVQKHTGLLRCGKSCRLRWANHLRPNLKKGAFTAEEERFIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEMCLQDFQENQRNQSNGGLNGGNKMHLDLLQKNSYEIHGAIFDSTKDNQGILPYAPKFSDVSVCGNMLKGLDSSSQYCSVMPPASPKNKRLRESTIPTFNGSNDTKKNGLYPLCKNRDNNYDKISQSFGMQALLDPGPSLHNSMCYSHSPSNGNPSTSKPTFEAVKLELPSLQYPELHLGRWGTSPPPPLLESVDDFFQSHTPISTLESDCSSPQNSGLLDALLYQAKTLSSSRNHCSDKSSNSSTATPGDRGDNSALNVYETEWEDYADPVSPFGATSILNECPDLRGSENSLDEQLSLQTFTGNIPKLEFVDKVWTPDNENQTLSFLNITRPDLLFASDWNDLGFGHGKNQAITTDTATTLLGEDFAADHKHMTSGTSQSSQVWGFGSCATAANNMHALCHLSDLG >Vigun11g023300.2.v1.2 pep primary_assembly:ASM411807v1:11:2848722:2854958:-1 gene:Vigun11g023300.v1.2 transcript:Vigun11g023300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSNEIEDEVLPSDTSAAQLNDESYEGSTSVVLKKGPWTSTEDDILVDYVNKHGEGNWNAVQKHTGLLRCGKSCRLRWANHLRPNLKKGAFTAEEERFIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEMCLQDFQENQRNQSNGGLNGGNKMHLDLLQKNSYEIHGAIFDSTKDNQGILPYAPKFSDVSVCGNMLKGLDSSSQYCSVMPPASPKNKRLRESTIPTFNGSNDTKKNGLYPLCKNRDNNYDKISQSFGMQALLDPGPSLHNSMCYSHSPSNGNPSTSKPTFEAVKLELPSLQYPELHLGRWGTSPPPPLLESVDDFFQSHTPISTLESDCSSPQNSGLLDALLYQAKTLSSSRNHCSDKSSNSSTATPGDRGDNSALNVYETEWEDYADPVSPFGATSILNECPDLRGSENSLDEQLSLQTFTGNIPKLEFVDKVWTPDNENQTLSFLNITRPDLLFASDWNDLGFGHGKNQAITTDTATTLLGEDFAADHKHMTSGTSQSSQVWGFGSCATAANNMHALCHLSDLG >Vigun11g023300.1.v1.2 pep primary_assembly:ASM411807v1:11:2848665:2854975:-1 gene:Vigun11g023300.v1.2 transcript:Vigun11g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSNEIEDEVLPSDTSAAQLNDESYEGSTSVVLKKGPWTSTEDDILVDYVNKHGEGNWNAVQKHTGLLRCGKSCRLRWANHLRPNLKKGAFTAEEERFIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEMCLQDFQENQRNQSNGGLNGGNKMHLDLLQKNSYEIHGAIFDSTKDNQGILPYAPKFSDVSVCGNMLKGLDSSSQYCSVMPPASPKNKRLRESTIPTFNGSNDTKKNGLYPLCKNRDNNYDKISQSFGMQALLDPGPSLHNSMCYSHSPSNGNPSTSKPTFEAVKLELPSLQYPELHLGRWGTSPPPPLLESVDDFFQSHTPISTLESDCSSPQNSGLLDALLYQAKTLSSSRNHCSDKSSNSSTATPGDRGDNSALNVYETEWEDYADPVSPFGATSILNECPDLRGSENSLDEQLSLQTFTGNIPKLEFVDKVWTPDNENQTLSFLNITRPDLLFASDWNDLGFGHGKNQAITTDTATTLLGEDFAADHKHMTSGTSQSSQVWGFGSCATAANNMHALCHLSDLG >Vigun07g196600.1.v1.2 pep primary_assembly:ASM411807v1:7:31657710:31658557:1 gene:Vigun07g196600.v1.2 transcript:Vigun07g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPVEKSHSAGQVKKDASAKPSSGNPKAPPSKPAPKKTSTQTRKKGSSNK >Vigun04g047300.2.v1.2 pep primary_assembly:ASM411807v1:4:4099068:4103690:1 gene:Vigun04g047300.v1.2 transcript:Vigun04g047300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLCQGQTIHLLKEFDPAFVDPHHHSQKQRVHPYNYFCSNSSLKIAKNQVKPKDSTLQSNGAYFNSVSTGEASSGHADEIGEDRKLSLDHCGILPNTCLPCLSSSALAVEKRRPMSPDTPSSQRKSLSKLSFKWREGSSDMTLLSPKAFKQKHLAGSLIPFCAIEKQTPGSWSQIEASSFRVRGKNYLRDKKKDSAPSSAAFYPLGADLFLSPRKIDHIARFIQIPAINVPGEVPSILIVNLQIPLYPASIFQSENDGEGMNVVLYFKLSEKYSKDLPDQFRENISKLINDEVERVKGFPLDTIAPFRERLKILGRVANLENLSLSTTEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLCNLDFGLTIQGNKAEDLPEHLLCAIRLNKLDYSNFNQIG >Vigun04g047300.3.v1.2 pep primary_assembly:ASM411807v1:4:4100189:4103690:1 gene:Vigun04g047300.v1.2 transcript:Vigun04g047300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLCQGQTIHLLKEFDPAFVDPHHHSQKQRVHPYNYFCSNSSLKIAKNQVKPKDSTLQSNGAYFNSVSTGEASSGHADEIGEDRKLSLDHCGILPNTCLPCLSSSALAVEKRRPMSPDTPSSQRKSLSKLSFKWREGSSDMTLLSPKAFKQKHLAGSLIPFCAIEKQTPGSWSQIEASSFRVRGKNYLRDKKKDSAPSSAAFYPLGADLFLSPRKIDHIARFIQIPAINVPGEVPSILIVNLQIPLYPASIFQSENDGEGMNVVLYFKLSEKYSKDLPDQFRENISKLINDEVERVKGFPLDTIAPFRERLKILGRVANLENLSLSTTEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLCNLDFGLTIQGNKAEDLPEHLLCAIRLNKLDYSNFNQIG >Vigun04g047300.1.v1.2 pep primary_assembly:ASM411807v1:4:4099068:4103690:1 gene:Vigun04g047300.v1.2 transcript:Vigun04g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCASRPKANEDLAAKKKNHRRRRRRILRRRVSSRKIEANNVAHSNSALQASNRASDAAWFDSTSALDSECDDEFYSVYDGEASSGHADEIGEDRKLSLDHCGILPNTCLPCLSSSALAVEKRRPMSPDTPSSQRKSLSKLSFKWREGSSDMTLLSPKAFKQKHLAGSLIPFCAIEKQTPGSWSQIEASSFRVRGKNYLRDKKKDSAPSSAAFYPLGADLFLSPRKIDHIARFIQIPAINVPGEVPSILIVNLQIPLYPASIFQSENDGEGMNVVLYFKLSEKYSKDLPDQFRENISKLINDEVERVKGFPLDTIAPFRERLKILGRVANLENLSLSTTEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYIARKGFEGFIERLKLCNLDFGLTIQGNKAEDLPEHLLCAIRLNKLDYSNFNQIG >Vigun04g064300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6958296:6958400:1 gene:Vigun04g064300.v1.2 transcript:Vigun04g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaI MINFPSIFVPLVGLVFPAIAMASLFLYVQKNKIF >Vigun02g095800.1.v1.2 pep primary_assembly:ASM411807v1:2:25170483:25174882:-1 gene:Vigun02g095800.v1.2 transcript:Vigun02g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDDPYRDEDGEPLMDYDDIQSDREPSPEPRHQLDDYGDDVNDEWQGRDRSQTPVYDNDSARSKPRKRLIKKSDAGKQSVAPELEDEDEGYVPEARFDEGDGRKRKKGKEVGSGKKEKRLKGEKRFGGGSGSKFGGSKKGFGGKAGKDHDGEVEEMWDSIAGGNSEDDHEGVRNLDDDNFIDDTGVEPAYYGSDEPRSPGDAPQAEEGEEDEEIKDLFKIGKKKKKNERSPAEIALLVENVMAELEVTAEEDADLNRQGKPAINKLKKLPLLTEVLSKKQLQLEFLDHGVLTLLKNWLEPLPDGSLPNINIRTEILKILNDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEEISVNRKLAKELVDKWSRPIFNKSTRFEDMRNVEDDRVPFRRPSLKKPTNKAAGISRDSDLDLEISQPRAGQSSSRQHASRPEATPLDFVIRPQSKIDPEEIRARAKQAAQDQQRMKMNKKLQQLRAPKKRQLQATKLSVEGRGMVKYL >Vigun01g211400.5.v1.2 pep primary_assembly:ASM411807v1:1:38575244:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMKCEGTELSSYKLSALRDFYGIKGGGPDHRAMEDVNTLSLILPRLTRDLKLTLSSLVAKSFKESDIINSNSKKKKNSD >Vigun01g211400.8.v1.2 pep primary_assembly:ASM411807v1:1:38575653:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMK >Vigun01g211400.3.v1.2 pep primary_assembly:ASM411807v1:1:38575219:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMKCEGTELSSYKLSALRDFYGIKGGGPDHRAMEDVNTLSLILPRLTRDLKLTLSSLVAKSFKESDIINSNSKKKKNSD >Vigun01g211400.2.v1.2 pep primary_assembly:ASM411807v1:1:38575198:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMKCEGTELSSYKLSALRDFYGIKGGGPDHRAMEDVNTLSLILPRLTRDLKLTLSSLVAKSFKESDIINSNSKKKKNSD >Vigun01g211400.7.v1.2 pep primary_assembly:ASM411807v1:1:38575527:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMK >Vigun01g211400.6.v1.2 pep primary_assembly:ASM411807v1:1:38575653:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMKCEGTELSSYKLSALRDFYGIKGGGPDHRAMEDVNTLSLILPRLTRDLKLTLSSLVAKSFKESDIINSNSKKKKNSD >Vigun01g211400.4.v1.2 pep primary_assembly:ASM411807v1:1:38575218:38579183:1 gene:Vigun01g211400.v1.2 transcript:Vigun01g211400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSMIFSLLNLPRCRIQRLANYWGETFHSFSTTSGNNSSIRPVGYRVHGLQGGQRKKWTRPISTNSEGKGSTKSKSIKHEILSETIVAGATVDVNKTQLDQFQEIQYRDIQQEIAENKDLSSLVTVIVFDIETTGLSRENGRIIEIALRDLQGGENSTFQTLVNPQCKVPNSHIHGITTEMVNKPDVPRMEELIPILLQYVRSREKPGGYVLFVAHNARIFDVPFIINEFRRCSQNIPGNWLFSDSVPLGRERMK >Vigun09g261600.1.v1.2 pep primary_assembly:ASM411807v1:9:42670785:42674932:-1 gene:Vigun09g261600.v1.2 transcript:Vigun09g261600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLGVVMPVGMNLPPPFTVIEDKDSAFPMEDDKSGDLDNLKPMTKGKPPRHVSSLRHSVSTTRLMAVADLSLDVGVTGSKSSSEEKTEFLPIFRSGSCAERGPKQYMEDEHVCIDDLIQHMGPASTIPLPGAFYGVFDGHGGTDAALFIRNNILKFIVEDSHFPTCVGQAITSAFLKADYAFADSSSLDISSGTTALTALVSGRNMIVANAGDCRAVLGRRGRAIEMSKDQKPDCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSSEPELQEIILTEDDEFLIMGCDGLWDVMSNQFAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVICFSPDPPPRIETPPSRVRRSISAEGLNLLKGVLDS >Vigun09g261600.6.v1.2 pep primary_assembly:ASM411807v1:9:42670785:42674932:-1 gene:Vigun09g261600.v1.2 transcript:Vigun09g261600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSGDLDNLKPMTKGKPPRHVSSLRHSVSTTRLMAVADLSLDVGVTGSKSSSEEKTEFLPIFRSGSCAERGPKQYMEDEHVCIDDLIQHMGPASTIPLPGAFYGVFDGHGGTDAALFIRNNILKFIVEDSHFPTCVGQAITSAFLKADYAFADSSSLDISSGTTALTALVSGRNMIVANAGDCRAVLGRRGRAIEMSKDQKPDCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSSEPELQEIILTEDDEFLIMGCDGLWDVMSNQFAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVICFSPDPPPRIETPPSRVRRSISAEGLNLLKGVLDS >Vigun09g261600.4.v1.2 pep primary_assembly:ASM411807v1:9:42670785:42674972:-1 gene:Vigun09g261600.v1.2 transcript:Vigun09g261600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSGDLDNLKPMTKGKPPRHVSSLRHSVSTTRLMAVADLSLDVGVTGSKSSSEEKTEFLPIFRSGSCAERGPKQYMEDEHVCIDDLIQHMGPASTIPLPGAFYGVFDGHGGTDAALFIRNNILKFIVEDSHFPTCVGQAITSAFLKADYAFADSSSLDISSGTTALTALVSGRNMIVANAGDCRAVLGRRGRAIEMSKDQKPDCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSSEPELQEIILTEDDEFLIMGCDGLWDVMSNQFAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVICFSPDPPPRIETPPSRVRRSISAEGLNLLKGVLDS >Vigun09g261600.5.v1.2 pep primary_assembly:ASM411807v1:9:42670785:42674970:-1 gene:Vigun09g261600.v1.2 transcript:Vigun09g261600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSGDLDNLKPMTKGKPPRHVSSLRHSVSTTRLMAVADLSLDVGVTGSKSSSEEKTEFLPIFRSGSCAERGPKQYMEDEHVCIDDLIQHMGPASTIPLPGAFYGVFDGHGGTDAALFIRNNILKFIVEDSHFPTCVGQAITSAFLKADYAFADSSSLDISSGTTALTALVSGRNMIVANAGDCRAVLGRRGRAIEMSKDQKPDCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSSEPELQEIILTEDDEFLIMGCDGLWDVMSNQFAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVICFSPDPPPRIETPPSRVRRSISAEGLNLLKGVLDS >Vigun03g430800.6.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVATAVPAAATAAAGINRHVISK >Vigun03g430800.19.v1.2 pep primary_assembly:ASM411807v1:3:63527909:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.18.v1.2 pep primary_assembly:ASM411807v1:3:63527909:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.8.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVATAVPAAATAAAGINRHVISK >Vigun03g430800.15.v1.2 pep primary_assembly:ASM411807v1:3:63527396:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.13.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVPAAATAAAGINRHVISK >Vigun03g430800.21.v1.2 pep primary_assembly:ASM411807v1:3:63527909:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.12.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVPAAATAAAGINRHVISK >Vigun03g430800.16.v1.2 pep primary_assembly:ASM411807v1:3:63527396:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.20.v1.2 pep primary_assembly:ASM411807v1:3:63527909:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.1.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.17.v1.2 pep primary_assembly:ASM411807v1:3:63527396:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.4.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.3.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.11.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVPAAATAAAGINRHVISK >Vigun03g430800.2.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.9.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVATAVPAAATAAAGINRHVISK >Vigun03g430800.10.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVPAAATAAAGINRHVISK >Vigun03g430800.7.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537614:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEVATAVPAAATAAAGINRHVISK >Vigun03g430800.14.v1.2 pep primary_assembly:ASM411807v1:3:63527396:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVRSRRPMSRMEVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun03g430800.5.v1.2 pep primary_assembly:ASM411807v1:3:63526783:63537607:1 gene:Vigun03g430800.v1.2 transcript:Vigun03g430800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLEQEFMLRGKWYQRKDVEIKNSRGDVLQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVNYLRDDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALLGHAIEDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGESFFDPVNDYFGKDVWRSMHELGYNVESSSRNKDAEPSASSTINAIEQVPSDISSKDERHEHEQEKGGDHSPSPSSMISFELSNGDPFGPHVPAALEDDQYVEYQLDDLAGFPSTSEEEERMFMEAVMESLKDLEVRNSNAEQPASSISTVSVAAVDPSDKDASSQENSRSMETESSSLKHSTDSKSKSTSSATEECETLEGESNSISVNHSQNVVSKPSPVPSLSSEQLQLQSQLPPPLPPASTDTSSVSESSHTRGSAHSSSSGSLKSSSETDISHNTKATVTVVRNPPGHAMDGLMRRWDFNFFKNK >Vigun07g234700.3.v1.2 pep primary_assembly:ASM411807v1:7:35646944:35649350:1 gene:Vigun07g234700.v1.2 transcript:Vigun07g234700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVSRLGFGCGGLSGIYNAPLSHEEGCSIIKEIFNKGVTFFDTSDLYGDNHDNEIMVGKALKQLPREEVQLATKFGVTVSEGLVFGVKGTPEYVRQCCEASLKRLDVDHIDLYYQHRVDTSVPIEDTMGELKQLVNEGKIKYIGLSEANADTIRRAHAVHPITALQMEYSLWSRDIEEEIIPLCRELGIGIVAYSPLGRGFFAGKAVVETLPSQSLLTMHPRFTGENLEKNKLFYKRLDDLASKHACTPSQLALAWLLHQGNDLIPIPGTTKLKNFENNVGSLNVKLTDEDLREISEAVPDYEVAGTREYGMLSNYTWKFATTPPK >Vigun07g234700.1.v1.2 pep primary_assembly:ASM411807v1:7:35646071:35649382:1 gene:Vigun07g234700.v1.2 transcript:Vigun07g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQMQVQRVKLGSQGLEVSRLGFGCGGLSGIYNAPLSHEEGCSIIKEIFNKGVTFFDTSDLYGDNHDNEIMVGKALKQLPREEVQLATKFGVTVSEGLVFGVKGTPEYVRQCCEASLKRLDVDHIDLYYQHRVDTSVPIEDTMGELKQLVNEGKIKYIGLSEANADTIRRAHAVHPITALQMEYSLWSRDIEEEIIPLCRELGIGIVAYSPLGRGFFAGKAVVETLPSQSLLTMHPRFTGENLEKNKLFYKRLDDLASKHACTPSQLALAWLLHQGNDLIPIPGTTKLKNFENNVGSLNVKLTDEDLREISEAVPDYEVAGTREYGMLSNYTWKFATTPPK >Vigun07g234700.2.v1.2 pep primary_assembly:ASM411807v1:7:35646205:35649347:1 gene:Vigun07g234700.v1.2 transcript:Vigun07g234700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQMQVQRVKLGSQGLEVSRLGFGCGGLSGIYNAPLSHEEGCSIIKEIFNKGVTFFDTSDLYGDNHDNEIMVGKQALKQLPREEVQLATKFGVTVSEGLVFGVKGTPEYVRQCCEASLKRLDVDHIDLYYQHRVDTSVPIEDTMGELKQLVNEGKIKYIGLSEANADTIRRAHAVHPITALQMEYSLWSRDIEEEIIPLCRELGIGIVAYSPLGRGFFAGKAVVETLPSQSLLTMHPRFTGENLEKNKLFYKRLDDLASKHACTPSQLALAWLLHQGNDLIPIPGTTKLKNFENNVGSLNVKLTDEDLREISEAVPDYEVAGTREYGMLSNYTWKFATTPPK >Vigun03g112000.1.v1.2 pep primary_assembly:ASM411807v1:3:10172027:10176839:-1 gene:Vigun03g112000.v1.2 transcript:Vigun03g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGFCGFKPLRFRRKTHHILEGLKHAPKKSWKVKSKENEESLELSFVGTDQLILMVEIHKKILAFRDLMDLASCNRTASLREMVMKTLQDLQGLYPGIIPKNEVSKIKGKPTDQAMAYFCKALKCLGETWIMQNDWMHKFNIVLPSCKDNSNMRQLGETLLTTLDSLINLASERFDIEEYENKKELSPRSCSFGKHIMRSTSFSDSSSSHCSPPVTPKSVLPESTRQSSRSGDSPRSSCASPLLLSLRVQAVGKLNPIDVKRLSSQMSPTHIHKMEQESIREMEQVDDKASNHGKDSLQNLVFHLDTTEELDPQTQPFAIKEVRLSLPPNHTQTQPQSPKPEKTPLPQHEPQPVLSSPSVTPPPSMTKMNTIPLPPPPPPPPAPTNITANVTLPAPPVLQPNVAVPPTPPPPQSAPKLEENEAPVRMLPPPPPPPLPPSSGSAATAIPPPPLALPPSSGSATTAIPPPPTPLPPSSGSATTATLPPPPPIPLKGGSVLAPPPPVPGGPRAGGAPPPPPLGGGRSLRAKATTKLKRSAQLGNLYRSLKGKLEGSSLTGKSSAGKKGGVGGASTGGKQGMADALAEMTKRSSYFQQIEEDVQKYTKQILELRSTISNFKTKDMTELAKFHKDVESVLENLTDESQVLSRFEGFPTKKLEALRMSAALYNKLHSILTELQNWKIVPPMAQQLDKVEKYFSKIKTELDALDRTKDDESKKFKGHNIEFDFHILIKIKEALVDVSSNCMELSLKEKRNSGTNKDGSKKSGASLLWKAFQFAFRVYTFAGGLDDRADNLTRELAQEIESEPNPNPKPNQP >Vigun07g140800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25092792:25096400:-1 gene:Vigun07g140800.v1.2 transcript:Vigun07g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESATTCNVQKPNPQPSNSSNGEPLYEDFDSTCSTPYVSAPSSPGRGPHPGFFYSAPASPMHFAITAASSYDKSPSSAPMGYEFEFSARFGSTGSAGSGSMSSADELFLNGQIRPMKLSSHLERPQVLAPLLDLEGEEEEEEAEAVSVSVVRGRDLRLRDKSVRRRTRSMSPLRSNTPLEWAENEDDHIVGGDHDNNASSGKQIEVSSSEAEKRRENAEDGLSLGLETTPSVSGSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSSISFSPTTKDKKPTTSTAQTLNVPTNTKSGSSSSEATHKSKGSSTQAWARRMSGKPTNGVGKRRVPPSPHELHYKANRAQAEELRKKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNPVSSR >Vigun11g147600.1.v1.2 pep primary_assembly:ASM411807v1:11:35657781:35659964:-1 gene:Vigun11g147600.v1.2 transcript:Vigun11g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDTYTPTSSAPLSHSPTSSSSSPPPPPPPTVVMSPCAACKILRRRCAEKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVTSMVYEASARIRDPVYGCAGAICQLQKQVNELQAQLAKSQAELVTMQLQQANLVALICMEMAQNPQESPQQSVDNFISTPPHSGGYQSGINNFFEDNTSPNSLWEPLWT >Vigun04g058100.1.v1.2 pep primary_assembly:ASM411807v1:4:5775088:5779247:1 gene:Vigun04g058100.v1.2 transcript:Vigun04g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPITNTTHQSKTATTPSKKPSRNAENANPNVSHLRSPSSKSPALRSSKSNAINPVVQSPQNRIRQRKFVVAKKKNQKGLRNDNVSCKCKHNGGSKCVCVAYQTLRASQEEFFLKENKGCDDNGEDVEEEAKAECESVSVIEIEGTKREAVEDEEKEDEGFNMKRRRERGRLLEETGKSVAKDGFGKVMHLVKAFEKLLTEPKSSEEKNHKEDDHHGEKDYKGKMMNCALPRLQFEEHHKEVAAADASVSDSSSFHCTSDLVLTPENLGLDQGISVSSSWDSSGVSVSTRTSGGRRSRRNSLESSGTFGGSKWKRKEKQKVTRQKPFKLRTEQRGKVKEEELMKKEQEMMAEEEKMRIPIAQGLPWTTDEPECLLKPIVKERTRPIDLKLHSDIRAIDRAEFDQQVAEKLNFIEQLKLERERQQKMAEEEEVKRLRKELVPKAQPMPYFDRPFVPRRSVKHPTIPREPKIHIPQQHQPKKIKSLLSWNDMNPCASYLN >Vigun11g088800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26792356:26792780:1 gene:Vigun11g088800.v1.2 transcript:Vigun11g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIAFATILLALIIACNGYGLRSISRMVVDGECHESKECNLILAHCKSRVVCENGSCTCVKINALIKTQCDTNRDCWTVCPPLCDLAHCDMKTHTCDCACQE >Vigun07g106700.1.v1.2 pep primary_assembly:ASM411807v1:7:19608901:19612609:-1 gene:Vigun07g106700.v1.2 transcript:Vigun07g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMYPVKEECLESSSSYQLGNEYPPKPIEGLHDTGPPPFLTKTFDVVDDPVTNHVISWSRDGTSFVVWDPHTFSATLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWQFANEGFIRGHKHLLKSIRRRKAPSQLTQSHHSVEVGRFELDQEVDRLKHEKIVLMMELMNLRQQQQKARMCIKEMEQRLQVTEIKQKHTMAFLARAIKNPAFIHQLQQKEKRKDLEEALTKKRRQIEQGVRGIGESSSRGEGRSSVKVEALEFGECDCDFGVSELEKLAMEMQGFGKGRMEQEVEPEALESQERLDRVLDEEFWEELLLSEKFEGRFDIPTTEDNDEDVVNILANELGCLDSSH >Vigun07g106700.6.v1.2 pep primary_assembly:ASM411807v1:7:19609338:19612609:-1 gene:Vigun07g106700.v1.2 transcript:Vigun07g106700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMYPVKEECLESSSSYQLGNEYPPKPIEGLHDTGPPPFLTKTFDVVDDPVTNHVISWSRDGTSFVVWDPHTFSATLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWQFANEGFIRGHKHLLKSIRRRKAPSQLTQSHHSVEVGRFELDQEVDRLKHEKIVLMMELMNLRQQQQKARMCIKEMEQRLQVTEIKQKHTMAFLARAIKNPAFIHQLQQKEKRKDLEEALTKKRRQIEQGVRGIGESSSRGEGRSSVKVEALEFGECDCDFGVSELEKLAMEMQGFGKGRMEQEVEPEALESQERLDRVLDEEFWEELLLSEKFEGRFDIPTTEDNDEDVVNILANELGCLDSSH >Vigun07g106700.2.v1.2 pep primary_assembly:ASM411807v1:7:19609337:19612609:-1 gene:Vigun07g106700.v1.2 transcript:Vigun07g106700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMYPVKEECLESSSSYQLGNEYPPKPIEGLHDTGPPPFLTKTFDVVDDPVTNHVISWSRDGTSFVVWDPHTFSATLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWQFANEGFIRGHKHLLKSIRRRKAPSQLTQSHHSVEVGRFELDQEVDRLKHEKIVLMMELMNLRQQQQKARMCIKEMEQRLQVTEIKQKHTMAFLARAIKNPAFIHQLQQKEKRKDLEEALTKKRRQIEQGVRGIGESSSRGEGRSSVKVEALEFGECDCDFGVSELEKLAMEMQGFGKGRMEQEVEPEALESQERLDRVLDEEFWEELLLSEKFEGRFDIPTTEDNDEDVVNILANELGCLDSSH >Vigun07g106700.4.v1.2 pep primary_assembly:ASM411807v1:7:19609338:19612609:-1 gene:Vigun07g106700.v1.2 transcript:Vigun07g106700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMYPVKEECLESSSSYQLGNEYPPKPIEGLHDTGPPPFLTKTFDVVDDPVTNHVISWSRDGTSFVVWDPHTFSATLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWQFANEGFIRGHKHLLKSIRRRKAPSQLTQSHHSVEVGRFELDQEVDRLKHEKIVLMMELMNLRQQQQKARMCIKEMEQRLQVTEIKQKHTMAFLARAIKNPAFIHQLQQKEKRKDLEEALTKKRRQIEQGVRGIGESSSRGEGRSSVKVEALEFGECDCDFGVSELEKLAMEMQGFGKGRMEQEVEPEALESQERLDRVLDEEFWEELLLSEKFEGRFDIPTTEDNDEDVVNILANELGCLDSSH >Vigun07g106700.5.v1.2 pep primary_assembly:ASM411807v1:7:19609338:19612609:-1 gene:Vigun07g106700.v1.2 transcript:Vigun07g106700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMYPVKEECLESSSSYQLGNEYPPKPIEGLHDTGPPPFLTKTFDVVDDPVTNHVISWSRDGTSFVVWDPHTFSATLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWQFANEGFIRGHKHLLKSIRRRKAPSQLTQSHHSVEVGRFELDQEVDRLKHEKIVLMMELMNLRQQQQKARMCIKEMEQRLQVTEIKQKHTMAFLARAIKNPAFIHQLQQKEKRKDLEEALTKKRRQIEQGVRGIGESSSRGEGRSSVKVEALEFGECDCDFGVSELEKLAMEMQGFGKGRMEQEVEPEALESQERLDRVLDEEFWEELLLSEKFEGRFDIPTTEDNDEDVVNILANELGCLDSSH >Vigun08g106550.1.v1.2 pep primary_assembly:ASM411807v1:8:26462507:26468265:1 gene:Vigun08g106550.v1.2 transcript:Vigun08g106550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVFEMPRGEHIIVPFDRQLRAYGEAASLLSSACGCIATDSINVPINFDSWPKVAKSYKDDCFNILKNLFHFQASERFVERYCFLCMSNKYRNEKMNLWNCVYNSSLSREQLIANVPDGIQKDQWSSFVDYHLSEEYKKLSKRNIEVRKVQKIPHTGGAKLLSTKQHEMEVNLGRVVGRGELYKRNGSYVNEEAKSIAVKMSQSDNSNEISAYDCVSKVLGKDHVRCLGLRGLHNVAFQSTTRFSNARHNFSNFGSAESSQLKEEVISLREKLATSEENLKTLKSFMLAYIQMKEGHIPHELGVMFDNETNDEESGKEVPTSRRGSSLDSNFHEV >Vigun05g160701.1.v1.2 pep primary_assembly:ASM411807v1:5:25632734:25637545:1 gene:Vigun05g160701.v1.2 transcript:Vigun05g160701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGILICTNGTSNLERV >Vigun09g119600.1.v1.2 pep primary_assembly:ASM411807v1:9:26400194:26407890:-1 gene:Vigun09g119600.v1.2 transcript:Vigun09g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCLLVAVLLVTVTVVSCGNVSYDGRSLIIDGQRKLLISASIHYPRSVPAMWPGLVQTAKEGGVDVIETYVFWNGHELSPGNYYFGGRFDLVKFARTVHQAGMFLILRIGPFVAAEWNFGGVPVWLHYVPGTVFRTYNQPFMYHMQKFTTYIVNLMKQEKLFASQGGPIILAQIENEYGYYENFYKEDGKKYALWAAKMAVSQNTGVPWIMCQQWDAPDPVIDTCNSFYCDQFKPTSPNRPKIWTENWPGWFKTFGGRDPHRPAEDVAFSVARFFQKGGSVHNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRLPKWGHLKELHRAIKLCEHVLLNGKPVNISLGPFVEADVYTDSSGACAAFISNSDDKNDRTVEFRNTSYQLPAWSVSILPDCKNVVFNTAKVNSQTNVVAMIPGSLQHSNKGVNSLRWDIVKEKPGIWGTADFVKNGFVDLINTTKDTTDYLWHTTSIFVGENEEFLKKGSKPVLLIESTGHALHAFVNQEYQGTGTGNGTHSPFTFKNPISLRAGKNEIALLCLTVGLQTAGPFYDFVGAGLTSVKIKGLNNGTIDLSSYAWTYKIGVQGEHLKLYQGNGLNNVSWTSTSEPPKMQPLTWYKTIVDAPPGDEPVGLDMLHMGKGLAWLNGEEIGRYWPRKSEFKSEDCVKECDYRGKFNPDKCDTGCGEPTQRWYHVPRSWFKPSGNVLVLFEEKGGEPDKIRFVRRKISGACALVSEDYPSVGLLSQGEDKVQNNKNIPFARLTCPSNTHISAVKFASFGTPSGSCGSYLKGDCHDPNSSTVVEKACLNKNDCVIELTEQNFKTNLCPGLTKKLAVEAVCS >Vigun03g386200.1.v1.2 pep primary_assembly:ASM411807v1:3:59189110:59192758:1 gene:Vigun03g386200.v1.2 transcript:Vigun03g386200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEINTLVVRKPCFGLPTGCPECLSAYIYLKFAQIPFDLDFHLNNPHSDQIPYFEFGDCVAYNNEKEGIIECLKKDVGVADLDSGFSTVPEWISTKAILTTWLADALAYELWLGGEGSSAYTIYYSDLPWPLGKILYWKKAHWVKLKHGITIENAEVKKEEIYERANSAYDALSTYLGEQNYLLENRPSSLDAIFLAHGLAVLQTLPESSVLRIKFSEHANLVRYVQQCKTELLEAAPSPSSVPQFHTGSSSSASRSQSGSKPKNKAKREKTQEEKTFKRKGKYFVVAQLVAVVVFLTLMTTFDDGELELDDGGLDYDE >Vigun05g147600.1.v1.2 pep primary_assembly:ASM411807v1:5:21027057:21027729:-1 gene:Vigun05g147600.v1.2 transcript:Vigun05g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILIAFLLFASLFFLSTSTLARELYDVSFHVPTSPPPPPAAAAPCPLFSRNC >Vigun05g154300.1.v1.2 pep primary_assembly:ASM411807v1:5:24558210:24561477:1 gene:Vigun05g154300.v1.2 transcript:Vigun05g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSDSQKQLFSLIRNFAAEKSQGERRVVTLRKQIAKLSSELSVAYEELEKAKRCKEMVEQDLKGFEVQLMLSEASNQTLEARVSLIQDQISAVGSDSETLKCEEAALREQFFHNMLHMNGKIRKFQESIITCDIDPVDCTASRDESQVIMKENDAEVSLCDLESTLSEVISQIAKENEEYQEEQKNYKNVQQQLIDCERKVSVMGMIVMETKELQDLTIYPYKYSVVSIGLAISSSYVMQPKILKLTN >Vigun05g154300.2.v1.2 pep primary_assembly:ASM411807v1:5:24558210:24561477:1 gene:Vigun05g154300.v1.2 transcript:Vigun05g154300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSDSQKQLFSLIRNFAAEKSQGERRVVTLRKQIAKLSSELSVAYEELEKAKRCKEMVEQDLKGFEVQLMLSEASNQTLEARVSLIQDQISAVGSDSETLKCEEAALREQFFHNMLHMNGKIRKFQESIITCDIDPVDCTASRDESQVIMKENDAEVSLCDLESTLSEVISQIAKENEEYQEEQKNYKNVQQQLIDCERKVSVMGMIVMETKELQDLTKQSSKLEGAYSSLGDELQVRCICPSCRVDNLDSFSEILANMER >Vigun09g076100.1.v1.2 pep primary_assembly:ASM411807v1:9:8704756:8707646:-1 gene:Vigun09g076100.v1.2 transcript:Vigun09g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHWRNTGHDEESLPGSDSDTRSTRKFPLTRLMALSLFCLFIFSLTFLLPRPPVQSSPTIKALLQLKQTQTQQGDVSDFVMLKKDKLLGGLLAGGFDERSCFSRYHSAWYGKGLSGNPSPYLISRLRTYETLHKECGPYTESYNKTVKDLRSGHVSDSPACKYVVWISYSGLGNRILTLASAFLYALLTNRVLLVDPGVDMVDLFCEPFPHVSWFLPPDFPLNSHFSKFDQKSDQCYGKMLKNKATTKSTVPSFVYLHLAHDYDDQDKLFFCDEDQTFLQKVPWLVVRTNNYFVPSLFLMPSFEQQLSDLFPNKETIFHFLGRYLFHPTNKVWGLVSRYYQAYLANADEKLGIQIRVFDTGTGPFQHVLDQILACTLKENLLPDVNRKGDFVKSPGKPKSKAVLMTSLSSGYFEKVRDMYWEHPTATGEIIGIYQPSHEGFQQTEKKMHNQKAWAEMYLLSLSDALVTSSWSTFGYVAQGLGGLKPWILYKPENGTAPDPGCQRAMSMEPCFHAPPFYDCKAKRGTDTGALVPHVRHCEDMSWGLKVVDSSSSQ >Vigun01g231450.1.v1.2 pep primary_assembly:ASM411807v1:1:40384332:40385029:1 gene:Vigun01g231450.v1.2 transcript:Vigun01g231450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQYEAIKSQQIVKSSTPNRSNIWDKNMNT >Vigun10g123800.1.v1.2 pep primary_assembly:ASM411807v1:10:33215981:33218189:1 gene:Vigun10g123800.v1.2 transcript:Vigun10g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTLEVILISAKGLDDNDFLSSIDPYVILTYRTQEHKSTVQKDAGSKPQWNESFLFTVSDSTSELNLKIMDKDNFSQDDFLGETNIDLGPLFEVGSLPETVHKVVKDEEYCGEIKVALTFTPERNVEQEYSVENESYGGWKESSGEF >Vigun06g028900.1.v1.2 pep primary_assembly:ASM411807v1:6:12733815:12746817:1 gene:Vigun06g028900.v1.2 transcript:Vigun06g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDTMTTTSDLNRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESLLIWFSGKEEKRLKLTNVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWKPESRSDGIPSETNSPRTYTRRSSPLNSPFGSNESLPKDSGDHLRLHSPYESPPKNGLDKAFSDVIYYPIPPMGFFPPDSVSGSLHSVSSGGSDSMHGQMKTMPMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDAVLGGGSHQVGSDFGAKTDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVPHPKLIESLSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPREIESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVVALVEPNFCQVSCGHSMTVVLSRSGHVYTMGSCVYGQLGNTQADGKLPTRVEGKLSKSFVEEIACGAYHVAVLTSRTEVFTWGKGANGRLGHGDTNDRSTPTLVEALKDKQVKSIACGTNFTAAICLHKWVCGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSVKASMAPNPNKPYRVCDNCFNKIRKTTETDSSSQSSMSRRGSVNQGSLEFIGKDDKLDSRSHNQLARFSSIESLKQVDSRSSKKNKKLEFNSSRVSPAPNGGSQWGAMNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTVGGLSSPKIVVDDAKRTNDNLSQEVIKLRSQVENLTRKAQLQEVELERTTKQLKEAIAIASEETAKCKAAKEVIKSLTAQLKDMAERLPVGVSRTVRSPPSLASFGSIPGSNDLNNASFDRLNIQATSPESDSTGSNNQLLSNGSSTIINRTAGHIKHSQSDATSTGHNKHGQLDASSRNGSKTKDNETEWVKQDEPGVYITLTSLPGGVIDLKRVRFSRKRFSEKQAEQWWAENRGRVYEQYNVRTIDKSTIGVGSEDLPHS >Vigun06g028900.2.v1.2 pep primary_assembly:ASM411807v1:6:12733815:12746817:1 gene:Vigun06g028900.v1.2 transcript:Vigun06g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDTMTTTSDLNRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESLLIWFSGKEEKRLKLTNVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWKPESRSDGIPSETNSPRTYTRRSSPLNSPFGSNESLPKDSGDHLRLHSPYESPPKNGLDKAFSDVIYYPIPPMGFFPPDSVSGSLHSVSSGGSDSMHGQMKTMPMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDAVLGGGSHQVGSDFGAKTDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVPHPKLIESLSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPREIESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVVALVEPNFCQVSCGHSMTVVLSRSGHVYTMGSCVYGQLGNTQADGKLPTRVEGKLSKSFVEEIACGAYHVAVLTSRTEVFTWGKGANGRLGHGDTNDRSTPTLVEALKDKQVKSIACGTNFTAAICLHKWVCGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSVKASMAPNPNKPYRVCDNCFNKIRKTTETDSSSQSSMSRRGSVNQGSLEFIGKDDKLDSRSHNQLARFSSIESLKQVDSRSSKKNKKLEFNSSRVSPAPNGGSQWGAMNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTVGGLSSPKIVVDDAKRTNDNLSQEVIKLRSQVENLTRKAQLQEVELERTTKQLKEAIAIASEETAKCKAAKEVIKSLTAQDEASR >Vigun02g034101.1.v1.2 pep primary_assembly:ASM411807v1:2:14366780:14368333:-1 gene:Vigun02g034101.v1.2 transcript:Vigun02g034101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEHNGGLLIEDVKMFFRHSCKAKYVGSLNEKLSVKQRELIARTPFWWFMSLNHSVKISRNLLPVLCYRWVERRGGFAIGREVVEFNLLDVCLGLGLRVLGEKIDISDDDEDSDCRKLFSSGKVHVKRIYEFLLEYDNDGGSIELFTSLYILLGIYEFLLPNRDEIVFPKIFKLVDDLQSIGKYNWGNLVYDYLVKFRCFIFMFSNYLVVGHFVVDNPFLLFQLWSFDHIFVCNATFNCDKSKFPRLLHWMNIKVGDKLVKSSFDKELAINEVVVCNEELGYEFVREAFQTFGTSYNRSIDKENEELKHLVENEEREIAELEAVLSHLEDMVAKKEEHNRTEGDGKDDPHDDGNDEEEGDVGVHYTVNDPASDVEGDDSAADDVDVGQQSNMYDRMKSQPRKRIKSRAIRMPFAGFGSRRKTKLLTLG >VigunL003225.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:10889:11610:-1 gene:VigunL003225.v1.2 transcript:VigunL003225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Vigun11g004700.1.v1.2 pep primary_assembly:ASM411807v1:11:484206:487191:1 gene:Vigun11g004700.v1.2 transcript:Vigun11g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDLMANKDRMKESNKSKLRKGLWSPDEDEKLIRYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSSQEQHLIFHLHSIFGNRWSQIAARLPGRTDNEIKNFWNSTLKKKMKTNTSLNNTTDSSEYNNKDVVNGIIMPFDEHDDIMNITMTMDSSSSISSMQFDPFSLLVNNHCDITNDVSEFFPCMEDGHQLNHGTLEPNNKMGFPSLQSRRSIESDTVPIDVKNHNNNHFNYGSFDNTHKIQGSSKVEDSLGFGNHGQGEFYLENLMQDITSFPFLDF >Vigun03g209300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34427432:34428520:-1 gene:Vigun03g209300.v1.2 transcript:Vigun03g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLLCLVLLAVAKGCYGGEIAIYWGQNGNEGTLGEACATGNYDYLILAFLPTFGNGQTPMINLAGHCDPYSDGCTGLSSDIKSCQAKGIKVLLSLGGGAGSYSIASTEDASVVATYLWNNFLGGQSPSRPLGPAVLDGIDFDIEGGSNQHWGDLARFLKGYAMAKQGKQVYITAAPQCPFPDAWIGNALTTGLFDYVWVQFYNNAPCQYTSGAITNLEDAWKQWISAIPANKIFLGLPASPQAAGSGFIPSADLLSNVLPAIKGSAKYGGVMLWSRYYDAQSGYSSSIRSHV >Vigun01g157800.1.v1.2 pep primary_assembly:ASM411807v1:1:33995368:33999207:1 gene:Vigun01g157800.v1.2 transcript:Vigun01g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEKQPNNEEASPFLHQPKSQPTSPPDTPEFLLGWTADGLPLGHGSVVGQPMGRAPWNSSICACLGQNDHFCSSDLEVCLLGSVAPCVLYGSNVERLGSAPGTFANHCLPYSGLYVIGNSCFGWNCLAPWFSYPSRTAIRRRFNLEGTCEALNRSCGCCGSILEDEAQREQCESACDLATHVFCHVCALCQEGRELRRRLPHPGFNAQPVLFMIPQPSRPWDVELEDSPSSWKCYSCVCHCCLYNLMLNHVMTSSKKGPGFFFFTNKNIKPINSVCLPIILYHI >Vigun09g136250.1.v1.2 pep primary_assembly:ASM411807v1:9:29706463:29707410:-1 gene:Vigun09g136250.v1.2 transcript:Vigun09g136250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRDFHISGDCDVQFSYTGNSRFQITVFAGTCSQLSMQRYLRHAATEFNDTIICVRLTQYQSRGSHLDLNAKFANLIRSKHINEVVLSGPNTAVVCKLLISDSPRSTKIGKGWKEFCNQHQLKEGDRVLFQVDHADGDEFITVFVNKCLCDD >Vigun02g186000.1.v1.2 pep primary_assembly:ASM411807v1:2:32513062:32514728:-1 gene:Vigun02g186000.v1.2 transcript:Vigun02g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIITSNSTPTWLFSNSVSPLSLSSPYSSIKIVKSLKLLNIVDAPFAVPEKIGSKRRRRRRKWGAIDGSGEKQSVTVAIDGSDDDDDDDNGVDSASAVVRNFYGGINAHDVDSVEYLIAENCVYEDLVFPQPFVGRKEILEFFKKFTNSTSKDLQFVIDDLSTKDPSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGRRQITYGRDCVEPAIKPGDATLTLIRTVTWLLQQFPQLANRF >Vigun03g242700.1.v1.2 pep primary_assembly:ASM411807v1:3:40503684:40505822:-1 gene:Vigun03g242700.v1.2 transcript:Vigun03g242700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPSGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRAGRGFSLEELKSAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKVKTGDSSAEELANATQVQGPFLPIVREKPTVELVKVTDEMKSFKAYYKLRLERTNQRHHGARLKKAAEAEKEDKK >Vigun10g057700.2.v1.2 pep primary_assembly:ASM411807v1:10:10744046:10745483:1 gene:Vigun10g057700.v1.2 transcript:Vigun10g057700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIISDLDNFPEEDKQRMSTVVDQLQFRDSLRMYNSVVERCFNECVNAFYRKSLTKQEETCVLRCAQKFLSLSTQVGLRFSDLTQEASTTDKGVFE >Vigun10g057700.1.v1.2 pep primary_assembly:ASM411807v1:10:10744046:10746223:1 gene:Vigun10g057700.v1.2 transcript:Vigun10g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIISDLDNFPEEDKQRMSTVVDQLQFRDSLRMYNSVVERCFNECVNAFYRKSLTKQEETCVLRCAQKFLSLSTQVGLRFSDLTQEASTTDKGAESKLDC >Vigun04g088400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15438156:15439113:-1 gene:Vigun04g088400.v1.2 transcript:Vigun04g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALQRLLRKPPLLPFKFISAVNPSSQLQNPILTVPSHCHPQPSPTSPATQQFDAPTVIFPSFPFGFFPKPVFDSGVRSLDDGVEEDSGTMWADSVKKKRKRKMNKHKYQKLRKRMRRQS >Vigun07g236200.1.v1.2 pep primary_assembly:ASM411807v1:7:35779615:35782185:1 gene:Vigun07g236200.v1.2 transcript:Vigun07g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFQVKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGDEDHAFRKIRLRAEDIQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIGFTKRRANQVKRTCYAQSSQIRQIRRKMREIMTNQATSCDLKELVRKFIPEIIGKEIEKATSSIYPLQNVFVRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVERPADETIAEEPTEIVGA >Vigun10g086600.1.v1.2 pep primary_assembly:ASM411807v1:10:24817381:24819003:-1 gene:Vigun10g086600.v1.2 transcript:Vigun10g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYLLVAVLALTSSLVSAYDPSPLQDFCVALKKPDGVFVNGKFCKDPKTVKAEDFFLHVELGNTDNPLNAQVTPVAVDQLPGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDGNRLFTKVLNKGDVFVFPIGLVHFQLNIGYGNAVAIAGLSSQNPGTVIVANALFKAVPPISVEVLARALQVDNKVIEDLQRKSWYGKD >Vigun09g039850.1.v1.2 pep primary_assembly:ASM411807v1:9:3558055:3560479:1 gene:Vigun09g039850.v1.2 transcript:Vigun09g039850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVKITHRRIFHVLQLLGLISFVDVVCKLNLDEIIVGEADVVDCGQHDFDHYNNYHCFPH >Vigun11g062300.1.v1.2 pep primary_assembly:ASM411807v1:11:14027958:14029695:1 gene:Vigun11g062300.v1.2 transcript:Vigun11g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGCCNMQNQVISKKYNGSQGLHTKVEKFERDKDCLVCGPGIRIELDTSITLQKVVQVHYPNIWNVFLPTSPSFYFSDFPFFRWNI >Vigun11g062300.2.v1.2 pep primary_assembly:ASM411807v1:11:14027958:14029696:1 gene:Vigun11g062300.v1.2 transcript:Vigun11g062300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGCCNMQNQVISKKYNGSQGLHTKVEKFERDKDCLVCGPGIRIELDTSITLQKVIFQTHDSLPVIFVG >Vigun04g104066.1.v1.2 pep primary_assembly:ASM411807v1:4:24478920:24480783:-1 gene:Vigun04g104066.v1.2 transcript:Vigun04g104066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNACLEQPCGEIETQCHSSFKNERDDIRFKEHSFESG >Vigun03g167200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19381462:19383506:1 gene:Vigun03g167200.v1.2 transcript:Vigun03g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSERMKNRWFMWLLGALCIIGATLFIASFMQTSENSLLCTITRAQQRLTGPPTSMQLRAILHYATSQIVPQQSLSEITITFDVLQALNRPAKFLIFGLGHDSLMWAGLNAGGTTLFLEEDPKWVQTVLKEAPGLRAHTVRYRTQLREADELLSSYRSEPACSPATATLRGNERCKLALQNLPDEVYSTEWDLIMIDAPKGYFAEAPGRMAAIFSAAVMARDRKGSGVTHVFLHDVDRKVEKAYAEEFLCRKQLVKGVGRLWHFEIPPWANHSRHDARFC >Vigun01g108200.1.v1.2 pep primary_assembly:ASM411807v1:1:28068685:28072772:-1 gene:Vigun01g108200.v1.2 transcript:Vigun01g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGLELERVGGEGEEQEEAEEVKGCLSKFIDDGTVETHRYYLSRRTTLEMLRDRGYSIPSTEIDLSLSQFRNMHGHSPDPDRLRLSLTHATNPSKRILVIFCGPGSVKVTAIRNIAGQIVNRDTLSGLILIVENHVTSQALKAVALFSFKVEIFQITDLLVNITKHVLKPKHQVLTDRQKKNLLKKFNIEEKQLPRMLQSDAVARYYGLERGQVVKVTYSGEITQMHVTYRCVW >Vigun06g048200.1.v1.2 pep primary_assembly:ASM411807v1:6:17248292:17253453:-1 gene:Vigun06g048200.v1.2 transcript:Vigun06g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPIPNFARNIWGKWNIQGVVLVSLTMQIVLIFIAPFRKRSRNTLLVSLLWFTYLVADVTADFCVGLISNKYGDKDTAVSTIDDYLRAFWTPFLLLHLGGPDTITAFSLEDNELWLRHMLGLTVQVCLTGYVFLLTLPENTLWIPTALVFMAGVIKFAERTRSLQLASADNFRQSMIQNADPGPNYAKLMEELKSRQEAGLPAQIVTMPEISDQFMEFDELDQIPAKPDDPHTKTPSTDETDGQTDGNNPFKQPQLKEAPNSANSQPDTPRSLPPEEGNSSPQSDFDEKLSDLEVMKGAYDYFNKFKGLVVDMIFSFQERNESRTYLVQRTAVDALRVIEVELNFLYQTFYTKTTIIESWLGLSFRFLSIASVVAALVVFIYEQKRGCEPFDVTVTYILLYGAVSLEVVSIIMFIFSDYSFAVLYSHASQKTSDPDSGTGVAKVTTKLANNFSWVLKLKKPKWTKHKDNKPKWFKNKEYEVLKRFVLFRRWSETISAFNLISHCLQKKKKWWDWVIDKIGVEEFVEKWKYEKKRPMLQKLWIFIFNELKRKSWDAEDVETIQRICSSRGEWVIQEGDLSRKDLNKLMRYVERNEVTFDECLILWHIATDLLFFEDEDEKREKKEGQHENDEKLKKENNDQDLEQGNRDDDDDENGDKEGQNENDEQKKKENNGQDIETGNHDDGEYGRKKENNGEDLKQGNDGDCEYDDTELRHFSKLLSDYMLYLLIMQPSMMSAVRGIGQKRFLDTREEATNFFSKRKSIAAGEMRMKMKEEGEKERKRRLEKRNTMRTRSNWLLYQARVKCEKLGEFIQFIKRVLSGTRFGRILCKEKEENEDSESKMQEACEALASVSVEYEPSAVKGDRSKSLLFDACKLATVINGLKGTNKWKLMAQVWVELLSYAAANCIPITHVQQLSKGGEFLSLVWLLMTHLGLAKQFQIKEGHARAKLVVSEEYEEKKNETKST >Vigun03g092500.1.v1.2 pep primary_assembly:ASM411807v1:3:7812987:7814550:1 gene:Vigun03g092500.v1.2 transcript:Vigun03g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQMKPIATLLLVLNFCMYAIVLGIGGWAMNRAIDHGFIIGPELKLPAHFSPLFFPMGNASTGFFVTFALLAGVVGAASAISGITHIRSWTPESLPSAASVATIAWTLTLLAMGFAWKEIGLRVRNARLKTMESFIIILSATQLFYIFAIHGAAAYRR >Vigun11g091150.1.v1.2 pep primary_assembly:ASM411807v1:11:27105619:27106062:-1 gene:Vigun11g091150.v1.2 transcript:Vigun11g091150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNLRKHIVLHIIPAWIFCTSAMRISLLGVRFAVLSWLDVAFIRKRVCLPFPWAKLIKPGCGRCIGDVIQKAWNCFPL >Vigun11g202800.2.v1.2 pep primary_assembly:ASM411807v1:11:40057756:40059749:1 gene:Vigun11g202800.v1.2 transcript:Vigun11g202800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAELIDPAVKGTLNVLKSCAKSPSVKRVVFTSSTAAVVYNERPKTPQVVADETWFSNPDVCRELELWYHLSKTLAEEAAWKFVTENNIDMISINPAMVAGSFLQPEINETIEYILNIINGKPIPNKAFGWVDVKDVANAHILAYEIASASGRYCLSERVIHSSELARILRDLYPTLQIPDKGEVDEAYVSTYQISKEKAKSLGIEFIPLEVSLRDTVESFREKKIINF >Vigun11g202800.1.v1.2 pep primary_assembly:ASM411807v1:11:40055757:40059749:1 gene:Vigun11g202800.v1.2 transcript:Vigun11g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVGKVVCVTGASGFIASWIVKFLLQRGYTVRATVRNPSNRRKVSHLVNLEGAKERLHLFTADLLEEGSFDSVVEGCDGVFHTASPVRFVVKDPQAELIDPAVKGTLNVLKSCAKSPSVKRVVFTSSTAAVVYNERPKTPQVVADETWFSNPDVCRELELWYHLSKTLAEEAAWKFVTENNIDMISINPAMVAGSFLQPEINETIEYILNIINGKPIPNKAFGWVDVKDVANAHILAYEIASASGRYCLSERVIHSSELARILRDLYPTLQIPDKGEVDEAYVSTYQISKEKAKSLGIEFIPLEVSLRDTVESFREKKIINF >Vigun09g026050.1.v1.2 pep primary_assembly:ASM411807v1:9:2015479:2018038:1 gene:Vigun09g026050.v1.2 transcript:Vigun09g026050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYVTGFNHLTDVEIDKINKPYRPLASGEYSFGTGVILTASCLILSFGIGWIAGSPPLLWALSIHFFLGTAYSIDLPLLRWKRIAALAAMCMVVGRGITFQLAYFLHMQTYVFKRPTMLPRSLIFATAFLSFFSLVLALFKDIPDHEGDEKFGIQSLSVHLGQKRVFWICVSLLEMIYVVAILVGATTSSYPSSRMITVSAHAVLASVLWYRSKSVDVKSSFATESFYMFLWKVTINPISFFLLISTNLLKRSSFSY >Vigun03g356800.1.v1.2 pep primary_assembly:ASM411807v1:3:55822423:55829637:-1 gene:Vigun03g356800.v1.2 transcript:Vigun03g356800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERRKNMKVRRKIGKYEMGRTIGEGTFAKVKFARNSETGESVAIKIMAKATILEHRMVEQIKREISIMKIVRHPNIVILHEVLASQTKIYIILEFVMGGELYDKIVQQGRLSENESRRYFQQLIDAVDHCHKKGVYHRDLKPENLLLDAFGNLKVSDFGLSALTKKGVGLLHTTCGTPNYVAPEVLGNRGYNGAAADVWSCGVILYVLMAGYLPFEEPDLPTLYRRINAAEYVCPFWFSAKAKSFIRKILDPNPETRVKIEEIRKDPWFQENYFPVKLGECEQVNLDDVRAVFDDIEDQYVAEQSEITEGGPLIMNAFEMISLSQGLNLSPLFDRHQDYVKRQTRFVSRKPAKVIISSVEAIAESMGLKVHSRNYKVRLEGVSANKVGQFAVVLEVFEVAPSLFMVDIRKAAGDTFDYHKFYTSFCSKLGSIIWKPAGSMRNSNTLKQLTI >Vigun03g356800.2.v1.2 pep primary_assembly:ASM411807v1:3:55822422:55829638:-1 gene:Vigun03g356800.v1.2 transcript:Vigun03g356800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERRKNMKVRRKIGKYEMGRTIGEGTFAKVKFARNSETGESVAIKIMAKATILEHRMVEQVQQGRLSENESRRYFQQLIDAVDHCHKKGVYHRDLKPENLLLDAFGNLKVSDFGLSALTKKGVGLLHTTCGTPNYVAPEVLGNRGYNGAAADVWSCGVILYVLMAGYLPFEEPDLPTLYRRINAAEYVCPFWFSAKAKSFIRKILDPNPETRVKIEEIRKDPWFQENYFPVKLGECEQVNLDDVRAVFDDIEDQYVAEQSEITEGGPLIMNAFEMISLSQGLNLSPLFDRHQDYVKRQTRFVSRKPAKVIISSVEAIAESMGLKVHSRNYKVRLEGVSANKVGQFAVVLEVFEVAPSLFMVDIRKAAGDTFDYHKFYTSFCSKLGSIIWKPAGSMRNSNTLKQLTI >Vigun10g003300.3.v1.2 pep primary_assembly:ASM411807v1:10:278876:285266:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.2.v1.2 pep primary_assembly:ASM411807v1:10:277411:285261:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.4.v1.2 pep primary_assembly:ASM411807v1:10:278876:285266:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.5.v1.2 pep primary_assembly:ASM411807v1:10:281582:285266:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.6.v1.2 pep primary_assembly:ASM411807v1:10:281582:285266:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.7.v1.2 pep primary_assembly:ASM411807v1:10:279233:285265:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.9.v1.2 pep primary_assembly:ASM411807v1:10:278878:285264:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.11.v1.2 pep primary_assembly:ASM411807v1:10:279207:285261:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.12.v1.2 pep primary_assembly:ASM411807v1:10:281582:285165:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.8.v1.2 pep primary_assembly:ASM411807v1:10:278878:285264:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun10g003300.10.v1.2 pep primary_assembly:ASM411807v1:10:279207:285261:-1 gene:Vigun10g003300.v1.2 transcript:Vigun10g003300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSFALLLPLIFFHTFHASSSFSLSVENFKEDVILSPKKTFTAGFYAVGQNAFCFAIWYTRSPDTVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTGTANSSRQVRLHLHDTGNLVLLEDSPDNAVLWQSFEFPTDTLLPNQPLRGSTNLVSSRSGSNHSSGFYKLFFDFENVLRLMYQGPRVSSVFWPYAWLQSNNFGNGNSNGRSTFNDSRVAVLDELGGVLSSDNYTFRTIDYGTVLQRRLTLDHDGNVRVYTMKHGQEKWVVSGLIRQQPCFIHGICGPNSYCTNDPTTGRKCSCLPGHRWNDTEDWTQGCIPNFDPWCNNTKHESRFVGLAQFDFYGYDYGFYQNHTYEQCVNQCKRLCECKGFQFSFSDQGSFGSQCYLKTQLLNGHHSASFSGMFYLRLPLSYNRENPISNGLVCGANSRVEELKRPYVEEKENGSVWFMLWFAVALGGLEVLCFFLMWCFLFRNNADKHAYVLAAETGFRKFGYSELKQASKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDIKTQNILLDSDYQPKVADFGLSKLLNRNNLDNSTFSRIRGTRGYMAPEWLFNLPITSKVDVYSYGVVVLEMITGRSPTAGIQLTELDAESHNHARLVTWVREKRKKGSEVGSSWVDQIVDPALGSMYDRNEMEILATVALECVEEEKDVRPSMSHVVERLQSYEHNS >Vigun09g193800.6.v1.2 pep primary_assembly:ASM411807v1:9:36855617:36858661:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun09g193800.5.v1.2 pep primary_assembly:ASM411807v1:9:36855574:36858847:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSEMESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun09g193800.2.v1.2 pep primary_assembly:ASM411807v1:9:36848376:36858791:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun09g193800.4.v1.2 pep primary_assembly:ASM411807v1:9:36851155:36858848:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSEMESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun09g193800.1.v1.2 pep primary_assembly:ASM411807v1:9:36855999:36858847:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSEMESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun09g193800.3.v1.2 pep primary_assembly:ASM411807v1:9:36848289:36858847:1 gene:Vigun09g193800.v1.2 transcript:Vigun09g193800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSEMESVMEEPLLSKKSSNAKGGFRTLPFIIANEAFERLASLGLMPNMILYLTREYGMQTAGATNFLLLWSAVSNFTPFIGAVLADSYVGRYSMIAFGSVASLLGMILLWITTLIPLSKPLCNQLTDSCDNSPTALQVLILHSSFALMSIGAGGIRSSSLAFGVDQLSKRDKDAGIQESYFSWYYASVSMSSLIGLTVVVYIQDYMGWTVGFGVPAILMLIATLSFFLASPFYVMAEVKRNMLSGLAQVLVASYKNRFLQSSTENGTYYHQEKGSDLVMPTENLRFLNKACIVRNPSQDLTPEGRARNPWNLCTMEQVEDLKALVKVVPIWSTGIMMGVNMSQGSFLVLEASSMDRHITSNFEIPAGSFVSFMIVSLVLWVVIYDRILVPVASKIRGYPVKIGAKKKIGIGLFTSCISIASLAIVESIRRKIAIEQGYSDKAEAVVKMSALWLLPRQILNGLAESLTVIGQSEFFLTELPSSMSSLASTLSGLGLSVASLVASLILSVVDRVTGGGGHESWVSSNINKGHYDTYYSLICALCLLNSVYFLYCSKSYGPCKDRRK >Vigun05g146800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20642051:20642689:-1 gene:Vigun05g146800.v1.2 transcript:Vigun05g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAHPNNECNEKDHQIFNIDKDLSSFDNLEYLEQPLCVPHDSLQNLDYWFSLWSSTDPISIDFMISNSSESQKKESQQHDNIEDDNEDDETEKRRSNMQINHGCLQYLLKLDNKSIKFRKCRTKFRKKMVCANEVKDEGRKCSHCESTDTPQWRTGPLGPKSLCNACGVRYKSGRLFPEYRPAKSPTYDVKKHSNLHKERLRTTHYHGSRR >Vigun03g407300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61459947:61461761:1 gene:Vigun03g407300.v1.2 transcript:Vigun03g407300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLVAPPWPCSSMAEAKQHHSLLLRLGLSTDNHAVSGIFTFCSLSNHGNLNYALKLFTTLPNPDTFLYNTLFKAFSISHTPSLSLLFYSHMLQRSIAPNSFTFPSLIRTCKLQQEVKQLHAHVLKFGFGADTFALNNLIHVYFAFGSLDDARRVFYTMPHPNVVSWTSLVSGYSQWGLVDEAFRVFELMPSKNGVSWNAMIACFVKSNRFREAFDLFRRMRVEEVEVDRFVAATMLSACTGVGALEQGKWIHGCVERNGIVLDSKLGTTIVDMYCKCGCLDTAFRVFCGLEVKGVSSWNCMIGGFAMHGRGEDAIRLFREMEEEGMVLPDDITFVNVLTACAHSGLVEEGFYYFRYMVDVHGIEPTKEHYGCMVDLLARAGRLEEAKKVIDDMPMSPDAAVLGALLGACRIHGNLELGEEVGKKLIELDPGNSGRYVILGNIYASCGKWEEVAGVRKLMNERGVKKEPGFSMIEMEGVVNEFVAGGRDHPLAQVLYAKVYEMLEAIRVFGYVPDTDGVLHDLVEEERENPLLYHSEKLAIAYGLLKSKRGETLRVTKNLRVCKDCHQASKLISKVYDCDIIIRDRNRFHHFSNGECSCKDYW >Vigun01g163800.1.v1.2 pep primary_assembly:ASM411807v1:1:34545004:34547173:-1 gene:Vigun01g163800.v1.2 transcript:Vigun01g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISIAISLISILLCSAILTRALPDEPEFGYDEDSANGPQHWGDIKEAWAACKTGQMQSPIDLSRNDVQVIPKLWGLKYWTYNPQYATLSNRGHDVAVDYLRGDAGSIDIDGSSFSLVQIHWHWPSEHTIDGRRYDLELHMVHVSPQPDGTNKTAVVGVLYKYGSPDPLLSKVEQYLGDIPKENEEESIGEIDPSEIMSGKMFYRYIGSLTAPPCTEGIIWTIDKKIRSVSRRQVELLKDTVLKPYAPGNARPLQPLNQRNLQLYLSKKPLY >Vigun06g203000.1.v1.2 pep primary_assembly:ASM411807v1:6:31747574:31750107:-1 gene:Vigun06g203000.v1.2 transcript:Vigun06g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDDQEGVVAGGFFWSYTDEPHASRRRQILSKYPQIKQLFGPDHCAFFKISGVVLLQLATGILLKDAGWLKICLVAYFFGSFMNHNLFLAIHELSHNLAFSTPSLNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGVDMDIPSLTEVHLVKNVVAKTIWVFLQLFFYALRPLFLKPKPPGCWEFVNFSIQIGLDISMVYFFGWKSLAYLILSTFLGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNYLTWHVGYHNEHHDFPRIPGNKLHLVKEIAPEFYDSLSCYRSWSQVIYMYIMDRTIGPFSRMKRKSSKAE >Vigun11g036750.1.v1.2 pep primary_assembly:ASM411807v1:11:4953394:4954506:-1 gene:Vigun11g036750.v1.2 transcript:Vigun11g036750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHRNVACLSCRYKHRRHDGICEFGQYFVSNRSMKFENACRLFGLANLCRLIRCAKAYERQIMVDSILIEANMWSNNPIYGALGHVLTLNNQIQYVQRELQIVNTMLAQYSLQTTLHVTNTIMLKIFMINGLLFKHVGVGCACWQCIA >Vigun01g042900.1.v1.2 pep primary_assembly:ASM411807v1:1:6031103:6034644:-1 gene:Vigun01g042900.v1.2 transcript:Vigun01g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNNAKKLSYISVPSQIINSISSSSLQSLLDSPKKTSRSTNRFFFFFTNTIFRRPRLWFFTLFLFGFLGMLKFALTLNIPFSPYPCATTLPQNTISTPLSKSNVGVLRDNNIKDEVLLTKALVSHVELRAQGLDKVEGDGGVEKSEFWKQPDGLGYKPCLSFSRDYRRATDGVLKDRRKYLMVVVSGGLNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFGDIFDLEHFKRVLANDVRVVSALPSTHLMTKPVEGSPPLHVTPNWIRSRYLRRFNREGVLLLRGLDSRLSKDLSSDLQKLRCKVAFNALRFAQPVQELGDRIAERMKSKGPYLALHLRMEKDVWVRTGCLPGLSEELDEIVNNERIERPELLTARSNMTYHERKMAGLCPLNAVEVTRLLKGLGAPKNARIYWAGGEPLGGKEALLPLMQEFPNFYSKEDLALPGELQPFANKASIMAAIDYIVSEKSDVFMPSHGGNMGHAIQGQRAFDGHKKYITPNKRHMLPYFHNSSLSEEEFNRIMKELHQDSLGQPELRTIKAGRDVTKYPIPECMCNDSHANF >Vigun01g079000.2.v1.2 pep primary_assembly:ASM411807v1:1:22323661:22347117:-1 gene:Vigun01g079000.v1.2 transcript:Vigun01g079000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAAAVFAFLVAAIWVVESEYIEYNTTQRIVPDKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVQNVLDSVISSLLEDKNRKFIYVEMAFFQRWWRQQSKAMKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTRGHQFIKEEFGKVPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVIWQGCKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVYAALAQANVTKTNHIMWTMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEYWPLKVGDFFPYADHPNAYWTGYFTSRPGLKGYVRVLSSYYQAARQLEYFKGRNETGPNTDALADALAIAQHHDAVSGTERQHVASDYALRLSLGYEEAERLVASALASLVNQRLSSHRVNPVTNLQQCPLLNISYCPPSETTLVNGKSLVIVVYNPLAWKREDVIRIPISATHVFVQDSDGKKIESQLLPLSNATLTMRKYYVKAYRGKAPGSNALKYWLAFPVSVPPLGFSTYTVTSSDQSNDSSTISKILSPEGSTNKSIQVGQGNLMLLYSADEGKLTHYVNSRTLVTASVEQSYSYYSGNDGTDKDPQASGAYVFRPNGSFPIKSDHQVSFTVLHGPILDEVHQQLNPWVSQITRVFKEKEHAEIEFTVGPIPVDDGIGKEITTQFKTTMKTNKTFYTDSNGRDFIKRIQDFRQDWDLQVNQPVAGNYYPVIMYMRKCFF >Vigun01g079000.1.v1.2 pep primary_assembly:ASM411807v1:1:22316389:22347117:-1 gene:Vigun01g079000.v1.2 transcript:Vigun01g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAAAVFAFLVAAIWVVESEYIEYNTTQRIVPDKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVQNVLDSVISSLLEDKNRKFIYVEMAFFQRWWRQQSKAMKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTRGHQFIKEEFGKVPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVIWQGCKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVYAALAQANVTKTNHIMWTMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEYWPLKVGDFFPYADHPNAYWTGYFTSRPGLKGYVRVLSSYYQAARQLEYFKGRNETGPNTDALADALAIAQHHDAVSGTERQHVASDYALRLSLGYEEAERLVASALASLVNQRLSSHRVNPVTNLQQCPLLNISYCPPSETTLVNGKSLVIVVYNPLAWKREDVIRIPISATHVFVQDSDGKKIESQLLPLSNATLTMRKYYVKAYRGKAPGSNALKYWLAFPVSVPPLGFSTYTVTSSDQSNDSSTISKILSPEGSTNKSIQVGQGNLMLLYSADEGKLTHYVNSRTLVTASVEQSYSYYSGNDGTDKDPQASGAYVFRPNGSFPIKSDHQVSFTVLHGPILDEVHQQLNPWVSQITRVFKEKEHAEIEFTVGPIPVDDGIGKEITTQFKTTMKTNKTFYTDSNGRDFIKRIQDFRQDWDLQVNQPVAGNYYPVNLGIYIQDSSVEFSVLVDRSVGGSSLQDGQMEVMLHRRLLHDDARGVGEVLNETVCVADKCEGLTIQGKLYLRIDHKGEGAKWRRTVGQELYSPLLLAFAEQDGDNWLHFATSTFSGTDSSYSLPNNVALLTLQEFKNGKILVRLAHLFEIGEDNNYSVMASVELKKLFPNKKINKVTEMSLSANQERAEMEKRKLNWKVEGSTEEPKVVRGGPVDPINLVVELAPMEIRTFFIDFNPLQTVSGAENHMANYRSIQ >Vigun03g010000.1.v1.2 pep primary_assembly:ASM411807v1:3:693294:698410:1 gene:Vigun03g010000.v1.2 transcript:Vigun03g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSFVVSTSHTRHDVFLSFRGEDTRDNFISHLFAALQRKNIEAYIDDRLQRGEEISPALETAIEESKIYVIIFSENYASSTWCLNELTKILDCKKRYGRYVIPVFYKVDPSTVRKQEERYKEAFEVHEQLFKDDMDKVLRWKNALTQAAELSGWDSKVTRPEYKLVEQIVEDILRKLNRYSIINDQRIVGTEKHISEIKSLLHLESSDVRIIGICGMGGIGKTTISKQIYHTLALQFDSTSLVLDVQEKLQRDGIDNIRIKYMSELLNETPSPRLSYYNERLKRTKVFLILDDITDSAQVIRLMEGLDSFGQGSRIIMTSRDRQVLKNAGADDIYELKELNFHDSLKLFSLHAFKQNNSQEITYMDLSVKVLIYAKGIPLALQILGSLLYGREREAWESHLQKLEKCQDLKIFDVLKLSYDELDEEQKNIFLDIACFYRGHEETVVAERLDDCGFSSKIEMDVLKDKCLISVLDGRIVMHDLIQEMGQEIVRKECPQHPGKRSRLLKAEEIYEVFRNNKGSDAIQCILANMSKMKEVEVHVQTFEMMNNLRMLMLYSYCDDLEESNVFLESSLVSLPDTLRILYWTGFYQKSLPPKFCPQNLVRLEMPHCHLEQLWEGDQYLPKLKRLNLSGSMKLTRIPDLSLSPNIEEIILSSCEKLTNVHSSKLLSKLTCLCLDNCYDLKCVTVPSNILSRSPGLILLSWCCELEMFSTSQSRFPQVKLGRQRETFSIFPRDEPEHQRRTFLRPSYTMERAYTNQERAVQDYFSTSSEVFSITFDRYEEEENEVTDNNNVYSQSNEVSRKLIEGVPLNFRSLKKLCFIDLLNCSSLAIFPFNLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLVDTAIQTLPSSLWRLVGLQELSLSMCRYLEIIPSSIGSLTRLCKLDLRYCESLQTFPSTIFKLKLKKLDLCGCLRLRTFPEITEQAQTFAHINLKQTAIKELPSSFDNLVNLRSLQLNKCTNLESLPNSIVNLKSLCKLDCSGCVKLTEIPTYIGRLSSLTELSLSDTRIVNLPESIAHLSSLKSLDLSDCKKLECIPQIPPFLKQLVALDCPSIRTVMSNSLVQNLSNSKESVFKFHLTNAQQLDSGARANIEEDARLRMSDDAYTSVLFCFPGNAVPEWFRFRGKGRSVTINEDLSFCSDDRLIGFALCVVFGLLDTNDVEARRGYFSYSLKFESDDDGTQNIPNNDVLKSFFEWNGEERPVDEDHTLVWKFTLESLRRSGMSLRLNRARSLSFEMSPYDFDFRWPNYESVVGEFKSVVTIKECGICPLYGSGGNVAQS >Vigun03g010000.2.v1.2 pep primary_assembly:ASM411807v1:3:693294:698410:1 gene:Vigun03g010000.v1.2 transcript:Vigun03g010000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTISKQIYHTLALQFDSTSLVLDVQEKLQRDGIDNIRIKYMSELLNETPSPRLSYYNERLKRTKVFLILDDITDSAQVIRLMEGLDSFGQGSRIIMTSRDRQVLKNAGADDIYELKELNFHDSLKLFSLHAFKQNNSQEITYMDLSVKVLIYAKGIPLALQILGSLLYGREREAWESHLQKLEKCQDLKIFDVLKLSYDELDEEQKNIFLDIACFYRGHEETVVAERLDDCGFSSKIEMDVLKDKCLISVLDGRIVMHDLIQEMGQEIVRKECPQHPGKRSRLLKAEEIYEVFRNNKGSDAIQCILANMSKMKEVEVHVQTFEMMNNLRMLMLYSYCDDLEESNVFLESSLVSLPDTLRILYWTGFYQKSLPPKFCPQNLVRLEMPHCHLEQLWEGDQYLPKLKRLNLSGSMKLTRIPDLSLSPNIEEIILSSCEKLTNVHSSKLLSKLTCLCLDNCYDLKCVTVPSNILSRSPGLILLSWCCELEMFSTSQSRFPQVKLGRQRETFSIFPRDEPEHQRRTFLRPSYTMERAYTNQERAVQDYFSTSSEVFSITFDRYEEEENEVTDNNNVYSQSNEVSRKLIEGVPLNFRSLKKLCFIDLLNCSSLAIFPFNLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLVDTAIQTLPSSLWRLVGLQELSLSMCRYLEIIPSSIGSLTRLCKLDLRYCESLQTFPSTIFKLKLKKLDLCGCLRLRTFPEITEQAQTFAHINLKQTAIKELPSSFDNLVNLRSLQLNKCTNLESLPNSIVNLKSLCKLDCSGCVKLTEIPTYIGRLSSLTELSLSDTRIVNLPESIAHLSSLKSLDLSDCKKLECIPQIPPFLKQLVALDCPSIRTVMSNSLVQNLSNSKESVFKFHLTNAQQLDSGARANIEEDARLRMSDDAYTSVLFCFPGNAVPEWFRFRGKGRSVTINEDLSFCSDDRLIGFALCVVFGLLDTNDVEARRGYFSYSLKFESDDDGTQNIPNNDVLKSFFEWNGEERPVDEDHTLVWKFTLESLRRSGMSLRLNRARSLSFEMSPYDFDFRWPNYESVVGEFKSVVTIKECGICPLYGSGGNVAQS >Vigun03g010000.4.v1.2 pep primary_assembly:ASM411807v1:3:693294:698410:1 gene:Vigun03g010000.v1.2 transcript:Vigun03g010000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKEVEVHVQTFEMMNNLRMLMLYSYCDDLEESNVFLESSLVSLPDTLRILYWTGFYQKSLPPKFCPQNLVRLEMPHCHLEQLWEGDQYLPKLKRLNLSGSMKLTRIPDLSLSPNIEEIILSSCEKLTNVHSSKLLSKLTCLCLDNCYDLKCVTVPSNILSRSPGLILLSWCCELEMFSTSQSRFPQVKLGRQRETFSIFPRDEPEHQRRTFLRPSYTMERAYTNQERAVQDYFSTSSEVFSITFDRYEEEENEVTDNNNVYSQSNEVSRKLIEGVPLNFRSLKKLCFIDLLNCSSLAIFPFNLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLVDTAIQTLPSSLWRLVGLQELSLSMCRYLEIIPSSIGSLTRLCKLDLRYCESLQTFPSTIFKLKLKKLDLCGCLRLRTFPEITEQAQTFAHINLKQTAIKELPSSFDNLVNLRSLQLNKCTNLESLPNSIVNLKSLCKLDCSGCVKLTEIPTYIGRLSSLTELSLSDTRIVNLPESIAHLSSLKSLDLSDCKKLECIPQIPPFLKQLVALDCPSIRTVMSNSLVQNLSNSKESVFKFHLTNAQQLDSGARANIEEDARLRMSDDAYTSVLFCFPGNAVPEWFRFRGKGRSVTINEDLSFCSDDRLIGFALCVVFGLLDTNDVEARRGYFSYSLKFESDDDGTQNIPNNDVLKSFFEWNGEERPVDEDHTLVWKFTLESLRRSGMSLRLNRARSLSFEMSPYDFDFRWPNYESVVGEFKSVVTIKECGICPLYGSGGNVAQS >Vigun03g010000.3.v1.2 pep primary_assembly:ASM411807v1:3:693294:698410:1 gene:Vigun03g010000.v1.2 transcript:Vigun03g010000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIQEMGQEIVRKECPQHPGKRSRLLKAEEIYEVFRNNKGSDAIQCILANMSKMKEVEVHVQTFEMMNNLRMLMLYSYCDDLEESNVFLESSLVSLPDTLRILYWTGFYQKSLPPKFCPQNLVRLEMPHCHLEQLWEGDQYLPKLKRLNLSGSMKLTRIPDLSLSPNIEEIILSSCEKLTNVHSSKLLSKLTCLCLDNCYDLKCVTVPSNILSRSPGLILLSWCCELEMFSTSQSRFPQVKLGRQRETFSIFPRDEPEHQRRTFLRPSYTMERAYTNQERAVQDYFSTSSEVFSITFDRYEEEENEVTDNNNVYSQSNEVSRKLIEGVPLNFRSLKKLCFIDLLNCSSLAIFPFNLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLVDTAIQTLPSSLWRLVGLQELSLSMCRYLEIIPSSIGSLTRLCKLDLRYCESLQTFPSTIFKLKLKKLDLCGCLRLRTFPEITEQAQTFAHINLKQTAIKELPSSFDNLVNLRSLQLNKCTNLESLPNSIVNLKSLCKLDCSGCVKLTEIPTYIGRLSSLTELSLSDTRIVNLPESIAHLSSLKSLDLSDCKKLECIPQIPPFLKQLVALDCPSIRTVMSNSLVQNLSNSKESVFKFHLTNAQQLDSGARANIEEDARLRMSDDAYTSVLFCFPGNAVPEWFRFRGKGRSVTINEDLSFCSDDRLIGFALCVVFGLLDTNDVEARRGYFSYSLKFESDDDGTQNIPNNDVLKSFFEWNGEERPVDEDHTLVWKFTLESLRRSGMSLRLNRARSLSFEMSPYDFDFRWPNYESVVGEFKSVVTIKECGICPLYGSGGNVAQS >Vigun09g091200.1.v1.2 pep primary_assembly:ASM411807v1:9:12670000:12676910:1 gene:Vigun09g091200.v1.2 transcript:Vigun09g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLAPRLRQVRQLDFVSKPKVHSSKHTYIYMSGTCATRKRFNQPFYSSTHTFSSLFSSAMPKLTFFSSKHFLVLSLALNVSLILRLIVHEGEEPHNHSCFGPQTTGASIARTNSNMLISSSSSSNSTTCTTGSGSRVINLDHGDPRVYERFWRQSGEKSTIIIPGWQSMSYFSDVTNICWFLEAEFAREVVRLHTVVGNAVTEGRHIVVGTGSSQLFLAALYALSPTDAPEPISVVCATPYYSSYPSMTNHLKSGLYQWGGDAESFEKEGPYIELVTSPNNPDGHARISKVNRSQGFLVHDLAYYWPQYTPISAPADHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDSWEQGNPQEGESFFKFSHKLMANRWKQLRLVVEHSDLFSLPKFSPAFCTFFKEVLEPQPAFVWLKCEGNVEDCESFLRAHNILTRSGKHFGVSPKYVRISMLDTDENFSHFLERLSSIKS >Vigun09g091200.5.v1.2 pep primary_assembly:ASM411807v1:9:12673145:12676910:1 gene:Vigun09g091200.v1.2 transcript:Vigun09g091200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSDVTNICWFLEAEFAREVVRLHTVVGNAVTEGRHIVVGTGSSQLFLAALYALSPTDAPEPISVVCATPYYSSYPSMTNHLKSGLYQWGGDAESFEKEGPYIELVTSPNNPDGHARISKVNRSQGFLVHDLAYYWPQYTPISAPADHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDSWEQGNPQEGESFFKFSHKLMANRWKQLRLVVEHSDLFSLPKFSPAFCTFFKEVLEPQPAFVWLKCEGNVEDCESFLRAHNILTRSGKHFGVSPKYVRISMLDTDENFSHFLERLSSIKS >Vigun09g091200.2.v1.2 pep primary_assembly:ASM411807v1:9:12672450:12676910:1 gene:Vigun09g091200.v1.2 transcript:Vigun09g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLWVLRNWKSNSNIFCPLHSSFLHASHSFIGDPRVYERFWRQSGEKSTIIIPGWQSMSYFSDVTNICWFLEAEFAREVVRLHTVVGNAVTEGRHIVVGTGSSQLFLAALYALSPTDAPEPISVVCATPYYSSYPSMTNHLKSGLYQWGGDAESFEKEGPYIELVTSPNNPDGHARISKVNRSQGFLVHDLAYYWPQYTPISAPADHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDSWEQGNPQEGESFFKFSHKLMANRWKQLRLVVEHSDLFSLPKFSPAFCTFFKEVLEPQPAFVWLKCEGNVEDCESFLRAHNILTRSGKHFGVSPKYVRISMLDTDENFSHFLERLSSIKS >Vigun09g091200.4.v1.2 pep primary_assembly:ASM411807v1:9:12672200:12676910:1 gene:Vigun09g091200.v1.2 transcript:Vigun09g091200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSDVTNICWFLEAEFAREVVRLHTVVGNAVTEGRHIVVGTGSSQLFLAALYALSPTDAPEPISVVCATPYYSSYPSMTNHLKSGLYQWGGDAESFEKEGPYIELVTSPNNPDGHARISKVNRSQGFLVHDLAYYWPQYTPISAPADHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDSWEQGNPQEGESFFKFSHKLMANRWKQLRLVVEHSDLFSLPKFSPAFCTFFKEVLEPQPAFVWLKCEGNVEDCESFLRAHNILTRSGKHFGVSPKYVRISMLDTDENFSHFLERLSSIKS >Vigun09g091200.3.v1.2 pep primary_assembly:ASM411807v1:9:12672450:12676910:1 gene:Vigun09g091200.v1.2 transcript:Vigun09g091200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFGDPRVYERFWRQSGEKSTIIIPGWQSMSYFSDVTNICWFLEAEFAREVVRLHTVVGNAVTEGRHIVVGTGSSQLFLAALYALSPTDAPEPISVVCATPYYSSYPSMTNHLKSGLYQWGGDAESFEKEGPYIELVTSPNNPDGHARISKVNRSQGFLVHDLAYYWPQYTPISAPADHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDSWEQGNPQEGESFFKFSHKLMANRWKQLRLVVEHSDLFSLPKFSPAFCTFFKEVLEPQPAFVWLKCEGNVEDCESFLRAHNILTRSGKHFGVSPKYVRISMLDTDENFSHFLERLSSIKS >Vigun07g266800.1.v1.2 pep primary_assembly:ASM411807v1:7:38241583:38245603:1 gene:Vigun07g266800.v1.2 transcript:Vigun07g266800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVIVLKLLIVYLSQLVLIVSAQKEKCPPSFDCGILGHIQFPFTTTEQQHCGVLAIHGCQQLSPSAPRTIQLGTSPSTSYSVLSVEPRTIFISDDKQQRYLKNKSCKAFSKNVTLPHTSPLASFSIKYNITIFRCNHSIKPSLSNDFHTYSNCSEYDIFYGPPNTLTPPRFQWPSSLAPCSTIQLAVEANSTDDPFQFLTDSIAVEVQLSDQCERCLRRSKGRCLLDTKREFYCSAEGRNLALKLGLGIGMSCTVIIGLLILWYSKPRCVFNFFSNRNPESTCIYFGVPVFTYKDLVIATKSFDCSRELGEGGFGIVYYGKLQDGREVAVKRLYEHNYRRVEQFMNEIKILTRLRNKNLVSLYGCTSHDSRELLLVYEYISNGTVASHLHRHESTSRSSLPWLIRMKVAIETATALAYLHASDIIHRDVKTSNILLDNTFCVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHRCYQLTNKSDVYSFGVVLMELISSMPAIDLNRHKDEINLADLAVRKIHKNAIADLVDPSLGFESNDDVKRQIIAVAELAFQCLQQDKDLRPSMDEVLEVLKRIESGKDEEPSSPSSVDHDDVKLLMNMKLPPSPRAVTDKWNSRSTSPNVSGQ >Vigun07g266800.2.v1.2 pep primary_assembly:ASM411807v1:7:38241583:38245603:1 gene:Vigun07g266800.v1.2 transcript:Vigun07g266800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVIVLKLLIVYLSQLVLIVSAQKEKCPPSFDCGILGHIQFPFTTTEQQHCGVLAIHGCQQLSPSAPRTIQLGTSPSTSYSVLSVEPRTIFISDDKQQRYLKNKSCKAFSKNVTLPHTSPLASFSIKYNITIFRCNHSIKPSLSNDFHTYSNCSEYDIFYGPPNTLTPPRFQWPSSLAPCSTIQLAVEANSTDDPFQFLTDSIAVEVQLSDQCERCLRRSKGRCLLDTKREFYCSAEGRNLALKLGLGKLQDGREVAVKRLYEHNYRRVEQFMNEIKILTRLRNKNLVSLYGCTSHDSRELLLVYEYISNGTVASHLHRHESTSRSSLPWLIRMKVAIETATALAYLHASDIIHRDVKTSNILLDNTFCVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHRCYQLTNKSDVYSFGVVLMELISSMPAIDLNRHKDEINLADLAVRKIHKNAIADLVDPSLGFESNDDVKRQIIAVAELAFQCLQQDKDLRPSMDEVLEVLKRIESGKDEEPSSPSSVDHDDVKLLMNMKLPPSPRAVTDKWNSRSTSPNVSGQ >Vigun03g042850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3414708:3416507:1 gene:Vigun03g042850.v1.2 transcript:Vigun03g042850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPRLTRPTSHVPRPTCHVSRPTSHVSRSMSHVPCLTSYVSRLTSHVSRLTSHVSHPTSHVARLTSHVPRLTSRVSRLTSHVPRLTSHVPCRTSHVPCPKSHTLRLTSHVSRLTFHIARSMSHVSRTMSQVPHIMSHVSHLTSHISPFTYYVIPRLMSHAPRPTSHVFRSTSHVSHVSRPMSHVSRLTSHVPHLTSHVPHSTSHVARPTSHVPRLMSHVPRLTPGNSRLTSHVSRLTSHVPCCTSHVPCPKSHTFCLMSHVSRVTSHVLHLTFHLSRPTSSHVSRPTSHISRLTSHVARRTSLTSHVPRLTSHVSRFTSHVSRLTSHVSRVMSHVPRLTSLVSHPMTHIPRLTSHVLHPTSHVPYFTFRVSCRTFHVSCLSSHVSRDTFHVAHLMSHVSRLTFHVSRFTSHVSRSTSSRVPRPTSSHLSSRTSYVSHLTSHVPRSTYHVHVKRPTSHIPRPTSHVPRLMSHVSRPTSHVPRPTFHVSRLTSHVPHLTFHVSRDTFHVPHLKSLVPRPTSYVPPPTSHVSRLTSYDSGFTFHVSFTSHVARRTSHISCPMSHDSHIMSHVSRPTSHVSPSSFHVARSTSHVARITLLH >Vigun10g045700.1.v1.2 pep primary_assembly:ASM411807v1:10:6713937:6719876:1 gene:Vigun10g045700.v1.2 transcript:Vigun10g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETLVVAQTKEEVYLQASAQLITNREVVLPFISKTVIPERGNEAEDEKHEVEEEKHDSAKLYFNSSNSFIYMKNGPAIGIALSPTEEVREIYLKNMFMMPPNHEFYCPSCDACISKVLLCSTRPVTVPPVIVPPVTVPRPTIPPVAVSPATVPPVTVPWAAIPPVAVSPDTVPPVTVPWAAIPPVAVSPGTVPPPTVPPVTPEHEEDVQCLVRCSECFTLLIQKGKEFFTGFVSGPSGEPVSEEIAIDVNDQANTVPEPSKGWEVLKSIVYGGLAELLASLSVVTSAASADAATVSIVALGIANLIGGLTVLTHNLRDLKASKPREEASERNDEEVDKYYELLGNRDNFYLHAFFAILSFLIFGLVPPLVYGFSFGESDDKDMKLGAVAGASLICITFLAIAKAHTQIANKTFMTYFKTVTFYVTSGVLASLLTYLAGAQMKKLVEQLGWFQPKPNFAFTLPEISVENSGWGSY >Vigun05g207000.2.v1.2 pep primary_assembly:ASM411807v1:5:39632331:39635197:-1 gene:Vigun05g207000.v1.2 transcript:Vigun05g207000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRRFNKPIRVANPDEPEPEPEREPTSEPGPASPSSCDDTKTEAPSPKKRREMKKRVVTIPIGDVDGSKSKGETYPPSDSWAWRKYGQKPIKGSPYPSSSKGCPARKQVERSRVDPTKLIVTYANDHNHSVPLPKSNSSAVSAAASPAESATRFPLEEEMAVFSTHSDFELAGDAAVLLSQYHFRWFDDVASTGVLESPICGEVDDVAMPMREEDESLFADLDELPECSVVFRRGNIPRSSAIRCGGITG >Vigun05g207000.1.v1.2 pep primary_assembly:ASM411807v1:5:39632331:39635197:-1 gene:Vigun05g207000.v1.2 transcript:Vigun05g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRRFNKPIRVANPDEPEPEPEREPTSEPGPASPSSCDDTKTEAPSPKKRREMKKRVVTIPIGDVDGSKSKGETYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTKLIVTYANDHNHSVPLPKSNSSAVSAAASPAESATRFPLEEEMAVFSTHSDFELAGDAAVLLSQYHFRWFDDVASTGVLESPICGEVDDVAMPMREEDESLFADLDELPECSVVFRRGNIPRSSAIRCGGITG >Vigun01g059700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12489200:12490939:1 gene:Vigun01g059700.v1.2 transcript:Vigun01g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISDVFLGNALIHAYGKCKCTEGARRVFDDLVVKDVVSWTSMSSCYVNCGLPRQGLAVFHEMGRNGVKPNSVTVSSILPACSELKDLKSGRAIHGFATRHEMMENVYVCSALVNMYARCLSVKPARLVFDLMSYRDVVSWNGVLSAYFTNKEYEKGLALFSQMRSKGVKADEATWNAVIGGCMENGQIEEAVEMLRKMQNMGFKPNQITISSFLPACSFLESLRMGKEVHCYVFRHWLVWDLTTMTAVVYMYAKCGDLNLSRNVFDMMHRKDVVAWNTMIIANAMHGNGKEVLLLFESMLQSGMKPNSVTFTGVLSGCSHSRLVEEGLQIFNSMGRDHQVQPDANHYACMVDVFSRAGRLDEAYEFIQRMPMEPTASAWGALLGACRVYKNVELARVSANKLFEIEPNNPGNYVSLFNIFVSAKLWSEASKARILMKERGVTKTPGCSWLQVGNRVYTFVVGDKNNMESDKIYNFLNELDEKMKLAGYKPDTDYALQDVDQEEKAASLCSHSERLAVAFGILNLNGQSSLRVFKNLRICGDCHNVIKYISKIVGVTIIVRDSLRFHHFRNGNCSCQDLW >Vigun01g135100.1.v1.2 pep primary_assembly:ASM411807v1:1:31377416:31378242:1 gene:Vigun01g135100.v1.2 transcript:Vigun01g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVHSLLCPLKKLWDRLHSDHRKRRGIYILYKDVKSCPSQDVHVLWSILVESGAAPAPLPSKSFIL >Vigun09g111500.1.v1.2 pep primary_assembly:ASM411807v1:9:24195266:24200477:-1 gene:Vigun09g111500.v1.2 transcript:Vigun09g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEDGGASADSNQRDSDIELIGGERVPQSGPLNKRVGRRSSKLSNASASTSGSDLGHSISQEQDEGDYVEVTMDIKGDSVALHSVIPVAGNSDEGEDEKLILLGKGMEKKRSFGASIVRNASIRMQQVSQELKRLASSSKQGAPARVHYDRTKSAASHALKGLKFISKTDGGAGWVEVEKQFDALTASTNGYLHRSLFAKCIGMNKESEAFAGELFDALSRRRGIQTDSINKLQLKDFWDEISDQSFDSRLRTFFDMVDKDADGRITEEEIKEIICLSATTNKLANIQRQAEEYAALIMEELDPDDTGFIMVNDLEMLLLHGPTHSTRGDSKYLSQMLSLKLKPTHEENPIRRWYTKTMYFLQDNWQRSWVLVLWLGVMLGLFAYKFVQYRRKDAYKVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGIMVPFDDNLNFHKVIAVAVAIGVGIHGIYHLACDFPRLIDASSEKYELMEPFFGDQPKSYWFFVKSWEGVTGIIMVVLMAIAFTLATPWFRRGRVKLPKPLNNLTGFNAFWYSHHLFVIVYALLIVHGIKLYLTKEWYKKTTWMYLAIPIVIYSLERLTRALRSTIKPVRILKVAVYPGNVLALHMSKPQGFRYKSGQYMFLNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRSLKVKFSECCQPPDNGKSGLLRADNLQGDGSPSGLPKVMIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISIVKDIVNNMKAMEEEEGSNIEEGSPQKRNGVNKFNTRRAYFYWVTREQGSFDWFKGVMNEVAEEDHKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTSVKSHFAKPNWRSVYKRIALTHPGARVGVFYCGPPALTKELGQLASDFSRNTTTRYDFHKENF >Vigun05g278600.1.v1.2 pep primary_assembly:ASM411807v1:5:46793240:46798440:-1 gene:Vigun05g278600.v1.2 transcript:Vigun05g278600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRFSVAVLVAVLLIQAHAQPGFISIDCGAEAGVNYNDSIFGINYVSDAEFINTGVSGTIASEEINRFNQKQLQKLRSFPEGKRNCYKISVTGGSKYLIRTVFVYGNYDGRNMPPQFDLLLGPSHWDTVTISNASISQFNEIIHVPSLDYVQICLINTGHGTPFITNIEFRTLKNDTYVTQFGSLELYNYLRCDLGSNTSYRYPVDVYDRIWNDRSWYACNFGQNWKPLNASIPDDSLNQTDYKPGATIMSTAVEPENDSAPLVISWQPQSESDQFYVYMHFTETQVLNTNQTRQFNVISNGELSIPNFSPQYHNVSTITIFSTSAISGNVINFSLERTKNSTLPPIISAIEIYRVIDLQEPETFQGDVDAITSIKSFYGVKRDWEGDPCVPVAYWWDGLSCSYDGNEQFPRITTLNLSSSGLSGKIHPSISNLTMLENLDLSNNNLNGEVPDFLSELQYLKILNLEKNNLFGSIPLALVEKSKEGSLTLILGQNPYVCEHGQCIENRKNIVMPLVASICGVLILLVAVAAILWILAKRKSKAWMVEKDQNEISQLYTEQDDSLQQFKKQKFSYSDVSKFTNNFGTIVGKGGFGTVFLGYIYDTPIAVKMLSPSSCRGYEQFQAEVKLLMRVHHKNLTSLIGYCNQGINKSLIYEYMANGNLEEHLSGKHSKSKFLSWEERLRIAVDAALGLEYLQNGCKPPIIHRDVKSSNILLNEHFQAKLSDFGLSKIIPADGRSHVSTVIAGTPGYLDPDYCTSNRLTEKSDVYSFGVVLLEIITGQKVIARNEERSHIIEWVRSLVALRDIMAIVDSRFEGDFDINSAWKAVEIAMACVSLSPKERPIISVIVFELKEILATELARIKHNSCANSM >Vigun06g032800.1.v1.2 pep primary_assembly:ASM411807v1:6:14046812:14049099:-1 gene:Vigun06g032800.v1.2 transcript:Vigun06g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGFFMFVMVYGLAITRLIEGQLPDMCYEYKPMYGPCVPFLVSENPRTLPTSRCCAAATQQFSKANNPAGLKKFCACMFDSLLNLGFYPKQWIQLPGACKIKISFSIQKCVTGNITTYSTATTIHSHQLNA >Vigun05g212000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40285386:40286826:1 gene:Vigun05g212000.v1.2 transcript:Vigun05g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAIPFRSWRPLHNPLPLITHSHNDPSSSPKQEPMLLNMVWENAVTVVGRRGCCMSHVVNRLLLGLGVNPAVYEVEENDEAAVATQLEATVRREGVEPQGNLQFPAVFIGGKLFGGLDRIMATHISGELVPILKQAGALWL >Vigun09g178000.1.v1.2 pep primary_assembly:ASM411807v1:9:35039919:35041951:1 gene:Vigun09g178000.v1.2 transcript:Vigun09g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTPREENVYMAKLAEQAERYEEMVEFMEKVSAAADNEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLAAYKSAQDIANAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVLILVCQSSSIAFFSFSYEQLIDEIYCAFRMTEQMKLKKQHRNRMISKDVLLLIRIELLLYIFLKGGVCCLYLWCWNFRHSCLL >Vigun09g178000.2.v1.2 pep primary_assembly:ASM411807v1:9:35039614:35042009:1 gene:Vigun09g178000.v1.2 transcript:Vigun09g178000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTPREENVYMAKLAEQAERYEEMVEFMEKVSAAADNEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRSKIESELSNICDGILKLLDSRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLAAYKSAQDIANAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKQDDQ >Vigun03g346700.1.v1.2 pep primary_assembly:ASM411807v1:3:54591752:54602696:-1 gene:Vigun03g346700.v1.2 transcript:Vigun03g346700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEDDFVFYGTPIEREDDVISRKKKAIAESSGQLRTLPAWKQEVRDDEGRRRFHGAFTGGYSAGYYNTVGSKEGWAPQSFKSSRKNRAEIKEQNILNFLDEDEKSELEGRFLGTTSQFDTFGFTAAEIARKQAETEQKQRPSVIPGPAPDEIVVPANESIGVKLLLKMGWSRGRTIKDSHSDALYDARRQARRAFLAFSSDDPKVKVSESESVQGDIENFPEEPVNDDAQISKSTPVYVLNPKQDLHGLGFDPYKHAPEFREKKRSRLANKGGLGFSRDSLFGLKSGKAAPGFGIGALEELDAEDEDVYATGYEFEDAYVQEVEEPSTLRLENPTKKEPKDQGDLPGFRVASNSDYKMERFEAPLIPKDFVPHHKFSGPLDLNRKSYEVTPPDTPPPEDGNLKLLIEGVANLVAKCGKLYEDLSREKNQSNPLFSFLSGGTGHEYYARKLWEAQQKHNDQTSQQLDGKMTPGLNKLTAESRGQILGEKPLEKSSQGPSSFVASTDIQLQFNLTDTFTKSTSVSELMNVEKPFKDDPAKQERFEQFLKEKYKGGLRSASSSLASDMSEAARAQERLSFEAAAEAIEKGRQGRGSKPLTPSAMDFIPGGVKQFTSGELEPKTNLQADDILRKKTYPKREEFQWRPSPLLCKRFDLIDPYMGKPPPAPRIRSKMDSLIFTSDSVKGIKMDDPVTSKKEISPLQQYTTEDITKNITENEPEGDVEVENIERPVDLYKAIFSDDSDDEGGDSGIGRVDNQEKKAEVANTALSRLIAGDFLESLGKELGIEVPPDMPYPTQKSKNIAPQKELVNEDTRIETLDSQNNEEISLNHDLRYGQHIAHEGGLSKGGTIHGNNRENSNVKTKGASTMSYKPGNHDYSSSSEEEKGRKRSSREKYDEHRKLKTPVTHRRDYSSSSSLEEEKSRKRSRHHRHKRRDAGSDSSSDDERRDRHSSRSKEKKGSSREKSRSEKHSKHRKHRKHESPDRHSRYSNEKDNSRSRSEKKRRE >Vigun03g346700.2.v1.2 pep primary_assembly:ASM411807v1:3:54591752:54602696:-1 gene:Vigun03g346700.v1.2 transcript:Vigun03g346700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEDDFVFYGTPIEREDDVISRKKKAIAESSGQLRTLPAWKQEVRDDEGRRRFHGAFTGGYSAGYYNTVGSKEGWAPQSFKSSRKNRAEIKEQNILNFLDEDEKSELEGRFLGTTSQFDTFGFTAAEIARKQAETEQKQRPSVIPGPAPDEIVVPANESIGVKLLLKMGWSRGRTIKDSHSDALYDARRQARRAFLAFSSDDPKVKVSESESVQGDIENFPEEPVNDDAQISKSTPVYVLNPKQDLHGLGFDPYKHAPEFREKKRSRLANKGGLGFSRDSLFGLKSGKAAPGFGIGALEELDAEDEDVYATGYEFEDAYVQEVEEPSTLRLENPTKKEFEAPLIPKDFVPHHKFSGPLDLNRKSYEVTPPDTPPPEDGNLKLLIEGVANLVAKCGKLYEDLSREKNQSNPLFSFLSGGTGHEYYARKLWEAQQKHNDQTSQQLDGKMTPGLNKLTAESRGQILGEKPLEKSSQGPSSFVASTDIQLQFNLTDTFTKSTSVSELMNVEKPFKDDPAKQERFEQFLKEKYKGGLRSASSSLASDMSEAARAQERLSFEAAAEAIEKGRQGRGSKPLTPSAMDFIPGGVKQFTSGELEPKTNLQADDILRKKTYPKREEFQWRPSPLLCKRFDLIDPYMGKPPPAPRIRSKMDSLIFTSDSVKGIKMDDPVTSKKEISPLQQYTTEDITKNITENEPEGDVEVENIERPVDLYKAIFSDDSDDEGGDSGIGRVDNQEKKAEVANTALSRLIAGDFLESLGKELGIEVPPDMPYPTQKSKNIAPQKELVNEDTRIETLDSQNNEEISLNHDLRYGQHIAHEGGLSKGGTIHGNNRENSNVKTKGASTMSYKPGNHDYSSSSEEEKGRKRSSREKYDEHRKLKTPVTHRRDYSSSSSLEEEKSRKRSRHHRHKRRDAGSDSSSDDERRDRHSSRSKEKKGSSREKSRSEKHSKHRKHRKHESPDRHSRYSNEKDNSRSRSEKKRRE >Vigun01g101633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26914958:26915894:1 gene:Vigun01g101633.v1.2 transcript:Vigun01g101633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLKRLYHVHEIHQLMSNRKRAPFVSLTLMSRWRNILGLNVPVGSFLHKYPPCSTCSSSGRNTCCRVTKRMEELILLEGVVVRQQEMEAVKRVKKLLMMSVSVTLRLHALRMIRRELGLPEDFRDCILGKFSGDFKLVGLEVVELVDWDADLGLARLENGGRESTQRSGLVSLRPSLHFPLVSQLGLRLREVEELAGFHTLSLMGGRRLLG >Vigun09g181300.1.v1.2 pep primary_assembly:ASM411807v1:9:35486967:35492024:-1 gene:Vigun09g181300.v1.2 transcript:Vigun09g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKALKQEDETALSAKTEKLRSLQAQFLANHHNRIYSKEALDVSAKLLEVNPECYTAWNYRKLAVQNLLTNSDSDPHSIFQDELKLVEIALRKNFKSYGAWHHRKWVLSKGHSSIDNEMRLLNGFQKADPRNFHAWNYRRFVTELMKRSDEDELKYTEEVIGANFSNYSAWHNRSVLLSNLLKRKAEGYFPKEKILEEEFEHVHNAIFTDPDDQSGWFYHLWLIDQTVKTDAPLLVSSWPSHGSNITVIGDNSLQGCGLSLLDGTLSDTATLPIILNFNQAVEGINSSTVSIKSELLKEELVWKPLLTSKSNTAKVWVVYLNLGNMELQSSKTYSVDISIGHSKGIVSSNEYHYGDPSQISFKVFVQTPSIEQSEGQGGKRTTWKDTNFHKIDHFQESDPILSAVQNHHIPTTSNWPTEEIGEEITKFRDLLSEYDCKIGKLTLARLLTALDLLSSQHDGRKSNTEEVLQLYTDLMKLDPTHSLYYKDEHSLISLKQITSTRDSLLPYCHYYKDATETITGYVCLRLQNLSLSRLGSIENLLWVQMLDLSHNELQSVEGLEAMQLLSCLNLSHNKIGSFTALAPLRLVKSLKVLNISHNELGCHSVDTTRYLCSSPLSHTEEFAWDRFETLTGSVSATKFWEAFLIFGSLALTDLNIIGNAVADENFRSFLVKVLPTLKWLDDEELS >Vigun03g402600.1.v1.2 pep primary_assembly:ASM411807v1:3:60944071:60948511:-1 gene:Vigun03g402600.v1.2 transcript:Vigun03g402600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIITPNLGPYKYGTHLCVVTRHLFQMAVSPVRYRSTLAFSFCCLFFLALCTPLTAATDFAGSACLGVSPTQFAGSVTQLITAIKQLTSILSRFSTPFADFRLATAIADCLDLFDISSDVLSWALSASQNPKGKHNSTGNLSSDLRTWLSAVLSHPQTCLEGFEGTNGIVKSLVSAGIGEVVSLVEELLAQVVPVNDEFDARKEQFPSWVKPRERKLLQSIGVTPDAVVALDGSGNYGRIMDAVLAAPDYSMKRYVIYIKKGVYFENVEIKKKKWNIMIVGDGMDATVISGNRSFIDGWTTFRSSTFAVSGRGFIARDISFQNTAGPEKHQAVALRSDSDLSVFYRCGILGFQDSLYTHTMRQFFRECRVTGTVDFIFGDATAVFQNCLIQPKKGLPNQKNTITAHGRKDPNEPTGFSFQFCNITADSDLAPLVNTTQTYLGRPWKTYSRTVFMQSFMSEVIRAEGWLEWNGDFALDTLYYAEYLNTGSGAGVANRVKWKGYHAFNDSSQVSNFTVAQFIEGNLWLPSTGVTYTAAFKV >Vigun06g059650.1.v1.2 pep primary_assembly:ASM411807v1:6:18683708:18683931:-1 gene:Vigun06g059650.v1.2 transcript:Vigun06g059650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFTQGRVMADFNQQKPFREAFHIGNVEILSGM >Vigun09g163200.6.v1.2 pep primary_assembly:ASM411807v1:9:33071125:33075630:1 gene:Vigun09g163200.v1.2 transcript:Vigun09g163200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLRLVKVSLAAMTTKKRKRSSKLIPPTPSTPPTPQTQARHSLNTAKARAWAPLNLTQAELSLPLTFPTGQTFRWKNTAPLEYTGVVGSHLITLKHLQNGDVCYCLHSHSHPEKAKTALLDFLNAAVSLAGLWKAFSASDARFAALARHLSGARVLRQDPFECLIQFLCSSNNNIARITKMVDHVSSLGEHVGDVGGFQFHVFPSLEQFSSVSEQQLRDAGFGYRAKYIIGTVNALRSKPGGGEEWLRSLRKLDLPDVISALSTLPGVGPKVAACIALFSLDQHHAVPVDTHVWRVRS >Vigun09g163200.1.v1.2 pep primary_assembly:ASM411807v1:9:33071108:33075630:1 gene:Vigun09g163200.v1.2 transcript:Vigun09g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLRLVKVSLAAMTTKKRKRSSKLIPPTPSTPPTPQTQARHSLNTAKARAWAPLNLTQAELSLPLTFPTGQTFRWKNTAPLEYTGVVGSHLITLKHLQNGDVCYCLHSHSHPEKAKTALLDFLNAAVSLAGLWKAFSASDARFAALARHLSGARVLRQDPFECLIQFLCSSNNNIARITKMVDHVSSLGEHVGDVGGFQFHVFPSLEQFSSVSEQQLRDAGFGYRAKYIIGTVNALRSKPGGGEEWLRSLRKLDLPDVISALSTLPGVGPKVAACIALFSLDQHHAVPVDTHVWRIATKYLLPELAGSQLTPKLCNRVAEAFVTKYGTYAGWAQTLLFIAELPSQKAIIPSHLWTIKQRNPAKVEDGEEEVE >Vigun09g163200.2.v1.2 pep primary_assembly:ASM411807v1:9:33071125:33075630:1 gene:Vigun09g163200.v1.2 transcript:Vigun09g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLRLVKVSLAAMTTKKRKRSSKLIPPTPSTPPTPQTQARHSLNTAKARAWAPLNLTQAELSLPLTFPTGQTFRWKNTAPLEYTGVVGSHLITLKHLQNGDVCYCLHSHSHPEKAKTALLDFLNAAVSLAGLWKAFSASDARFAALARHLSGARVLRQDPFECLIQFLCSSNNNIARITKMVDHVSSLGEHVGDVGGFQFHVFPSLEQFSSVSEQQLRDAGFGYRAKYIIGTVNALRSKPGGGEEWLRSLRKLDLPDVISALSTLPGVGPKVAACIALFSLDQHHAVPVDTHVWRVRS >Vigun09g163200.4.v1.2 pep primary_assembly:ASM411807v1:9:33071108:33075630:1 gene:Vigun09g163200.v1.2 transcript:Vigun09g163200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLRLVKVSLAAMTTKKRKRSSKLIPPTPSTPPTPQTQARHSLNTAKARAWAPLNLTQAELSLPLTFPTGQTFRWKNTAPLEYTGVVGSHLITLKHLQNGDVCYCLHSHSHPEKAKTALLDFLNAAVSLAGLWKAFSASDARFAALARHLSGARVLRQDPFECLIQFLCSSNNNIARITKMVDHVSSLGEHVGDVGGFQFHVFPSLEQFSSVSEQQLRDAGFGYRAKYIIGTVNALRSKPGGGEEWLRSLRKLDLPDVISALSTLPGVGPKVAACIALFSLDQHHAVPVDTHVWRIATKYLLPELAGSQLTPKLCNRVAEAFVTKYGTYAGWAQTLLFIAELPSQKAIIPSHLWTIKQRNPAKVEDGEEEVE >Vigun09g163200.5.v1.2 pep primary_assembly:ASM411807v1:9:33071125:33075630:1 gene:Vigun09g163200.v1.2 transcript:Vigun09g163200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLRLVKVSLAAMTTKKRKRSSKLIPPTPSTPPTPQTQARHSLNTAKARAWAPLNLTQAELSLPLTFPTGQTFRWKNTAPLEYTGVVGSHLITLKHLQNGDVCYCLHSHSHPEKAKTALLDFLNAAVSLAGLWKAFSASDARFAALARHLSGARVLRQDPFECLIQFLCSSNNNIARITKMVDHVSSLGEHVGDVGGFQFHVFPSLEQFSSVSEQQLRDAGFGYRAKYIIGTVNALRSKPGGGEEWLRSLRKLDLPDVISALSTLPGVGPKVAACIALFSLDQHHAVPVDTHVWRIATKYLLPELAGSQLTPKLCNRVAEAFVTKYGTYAGWAQTLLFIAELPSQKAIIPSHLWTIKQRNPAKVEDGEEEVE >Vigun09g160700.1.v1.2 pep primary_assembly:ASM411807v1:9:32818468:32819258:-1 gene:Vigun09g160700.v1.2 transcript:Vigun09g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSYMVSTKLSMLGWSGGRREVRNRRRVFVSAQQQSDVQEAEDVKEKEQEQVQREKAKPKGTKPRPVEPQVNVKSKNMIREYGGQWLSCTTRHVRIFAAYIDPVTFEFDQTQMDKLTLILDPTDEFLWNPDTCNMVYSYFQELVDHYEGAPLNDYTLRLIGSDIEHYIRKMLYDGLIKYNMNARVLNFSMGKPRIMFDNEIKPQVSMDK >Vigun06g059800.1.v1.2 pep primary_assembly:ASM411807v1:6:18733125:18735295:-1 gene:Vigun06g059800.v1.2 transcript:Vigun06g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSVKPDASERDSEPFVEIDPTGRYGRYNELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFSDDPAMVERLYSEVRLLRSLTNKNIISLYSVWRDEKHNTLNFITEVCTSGNLREYRKKHRHVSMRALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKSHSAHSVLGTPEFMAPELYDENYTELVDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVRPQALSKIQDPEVKTFIERCLAQPRARPSAAELLKDPFFNLLDCDEDDDSNAS >Vigun02g007150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3120733:3122052:-1 gene:Vigun02g007150.v1.2 transcript:Vigun02g007150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPTTEPNKGKRRRRASSSSPERPISNRFRDSEREEQYEKIKNWVFIKERKMVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQLGQRCDFTTRRRSHEPYDENEVVLLICTANQSYQVGPTRNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIIREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQEQGVEVDLTEKIQPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQQAEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVRGPYPGMTPPEFFTYLQWPGDSPISLGGSGPVAGEGPSGAADTDGADIEDEIDFGGD >Vigun07g123000.3.v1.2 pep primary_assembly:ASM411807v1:7:22778686:22785232:1 gene:Vigun07g123000.v1.2 transcript:Vigun07g123000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSWTTFQKVSSKPNATKKVVLRTIKLFLLGLLLQGGYFHGRGKLTYGVDLSKIRWLGVLQRISIGYFLASISEIWLVNHNILVDSPAAFVRKYSIQWMVSILLCSVYLCLLYGLYVPNWKFEHSNMLGSSHLSIIQNVHCEVRGSLEPPCNAVGFVDRLILGEDHLYQRPVYIRTKECSVNSPDYGPLPPNSPGWCLAPFDPEGILSSLMSAITCFMGLQYGRIIVLFQDNKQRVLLWSMFSFALLLVGYILEILGIPLSKALYTLSYMFITAGASGLVLTAIYYIVDIEHHRKPTILLQWMGMNALVVYALAACDIFPAAIQGFYWHSPENNLVDASEALMQAIFHSEKWGTMAFVIVEILFWGIFAGFLHKKGIYIKL >Vigun07g123000.2.v1.2 pep primary_assembly:ASM411807v1:7:22778686:22785232:1 gene:Vigun07g123000.v1.2 transcript:Vigun07g123000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEDDGVAGEQRRPLIINHDFDSSVHILHQNEDTISPLPFSHTETLPLPSLPAPNQRLASLDVFRGLTVALMILVDDVGRAFPSLNHSPWFGVTLADFVMPFFLFVVGISIALVFKKVSSKPNATKKVVLRTIKLFLLGLLLQGGYFHGRGKLTYGVDLSKIRWLGVLQRISIGYFLASISEIWLVNHNILVDSPAAFVRKYSIQWMVSILLCSVYLCLLYGLYVPNWKFEHSNMLGSSHLSIIQNVHCEVRGSLEPPCNAVGFVDRLILGEDHLYQRPVYIRTKECSVNSPDYGPLPPNSPGWCLAPFDPEGILSSLMSAITCFMGLQYGRIIVLFQDNKQRVLLWSMFSFALLLVGYILEILGIPLSKALYTLSYMFITAGASGLVLTAIYYIVSSSLSPK >Vigun07g123000.1.v1.2 pep primary_assembly:ASM411807v1:7:22778686:22785232:1 gene:Vigun07g123000.v1.2 transcript:Vigun07g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEDDGVAGEQRRPLIINHDFDSSVHILHQNEDTISPLPFSHTETLPLPSLPAPNQRLASLDVFRGLTVALMILVDDVGRAFPSLNHSPWFGVTLADFVMPFFLFVVGISIALVFKKVSSKPNATKKVVLRTIKLFLLGLLLQGGYFHGRGKLTYGVDLSKIRWLGVLQRISIGYFLASISEIWLVNHNILVDSPAAFVRKYSIQWMVSILLCSVYLCLLYGLYVPNWKFEHSNMLGSSHLSIIQNVHCEVRGSLEPPCNAVGFVDRLILGEDHLYQRPVYIRTKECSVNSPDYGPLPPNSPGWCLAPFDPEGILSSLMSAITCFMGLQYGRIIVLFQDNKQRVLLWSMFSFALLLVGYILEILGIPLSKALYTLSYMFITAGASGLVLTAIYYIVDIEHHRKPTILLQWMGMNALVVYALAACDIFPAAIQGFYWHSPENNLVDASEALMQAIFHSEKWGTMAFVIVEILFWGIFAGFLHKKGIYIKL >Vigun02g002000.1.v1.2 pep primary_assembly:ASM411807v1:2:1131129:1138809:1 gene:Vigun02g002000.v1.2 transcript:Vigun02g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFSSASLFGIREEDQNQMKQQHSSTTTPSSSSTPAAPPPQKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESAREPPNLSSAIGNQLFGNSNNMSLGLSQNLGSQIPSIHDQNAQPSDLLRFGGAPRTGPFDHILPPNMASPFRHCSHQTMQLQNPPFFMPEPNQNQNQNYHDHQQGLISNKPFQGMIQLSDLNNSNTPAASNLFNLPFLSNRGHTPNFSEEHHFNPTEASNFFTEGTIIGTDHQTSSTAPSLFSTALQNSTNMSHMSATALLQKAAQMGAASSSTNNSASSLLRSLASKSDHGPLIAAANYSTILNSSVQDMITLPGFEAYDHGISNKEPKLSVGGSDRLTRDFLGVAQQQQQQRGFNLSSLEAETNNAAPSGQSFGGGANFQ >Vigun03g435600.2.v1.2 pep primary_assembly:ASM411807v1:3:63957659:63963191:-1 gene:Vigun03g435600.v1.2 transcript:Vigun03g435600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCYGIKPPHQLAFLFFFTLLFFVCAYFMFDEEASENKLKLYSHWISSCSFRVRFALNIKGLPYDYQTVTTFSEPEFLKHNPIGFVPVLVDGDSVIFDSFAIIMYLEDKYPHPPLLPHDILKRAINFQAVTIVSSSIQPFQNYTVVKYIGEKIGPDEKLPWTQRVIGKGFMALEKLLKDHAGRYATGDEIFLADLFLAPQLDTAIQRFNVDMKEFPTLSRLHEAYNEIPAFREALPENQPDAVH >Vigun11g150200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36048312:36049346:1 gene:Vigun11g150200.v1.2 transcript:Vigun11g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun03g156200.1.v1.2 pep primary_assembly:ASM411807v1:3:16760195:16762600:-1 gene:Vigun03g156200.v1.2 transcript:Vigun03g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPKPASESDLFLHSNFASRYVRDSLPRFSMPDDSMPKEAAYQNIHDELQLDAIPKLNLASFVTTSMEEECNKLIMESINKNYVDMDEYPITTDLHNRCVNMIARLFHAEIGEDENAIGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNLVTGSNVQVCWEKFARYFEVELREVEVREGYYVMDPAKAVELVDENTICVAAILGSTYNGEFEDVKLLNDLLLQKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLALVKSINVSGHKYGLVYAGIGWVIWRTKDDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGQEGYRSIMENCRENAMVLKEDLEKSGRFNILSKDDGVPVVAFSLKDRRQYDEYKISEMLRRHGWIVPAYPMPPAAQHINVLRVVIRAEFSRTLAERLAFDIHNVLHELEKVHPPTKTNNTEEVNKSLVDSEVKKTAMDTQKEIIAQESNKRQKIMAS >Vigun05g293900.1.v1.2 pep primary_assembly:ASM411807v1:5:47969624:47973773:-1 gene:Vigun05g293900.v1.2 transcript:Vigun05g293900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHFFLIYRRRNWVRTCHISVSESSRASRFGYNMEFLFTVLIILSVLAPGFSSTTTTFNVLKYGAVGDGQTNDSPAFLKAWKDVCQSKSHISQLIIPAKRTFMLKPTTFTGPCKSNYTYIQLSGNIVAPKTKPEYSGFHTNTWLGFSFIDGLIISGKGSIDGRGSIWWQQPCLGNPQPGRTCRPPTAVTFNRCNRLQLKGYTSINPARSHMTLTSCQKGTLSFLHLVAPGNSPNTDGIDISGSKHIKVLNSFIATGDDCIAISAGSSNITIFGITCGPGHGISIGSLGTRGETDIVEDVHVENCTLTETLTGVRIKTWQGGAGFARRISFEKITFVRANNPIIIDQFYCPHRTDCKNKTASIKVSDITYKGIVGTSLTDKAINLSCDENVGCSNIVLDHIYITSAVPGQKVFSFCHNAHGTATHTKPSVKCLLK >Vigun09g215200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38973925:38976089:1 gene:Vigun09g215200.v1.2 transcript:Vigun09g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEECQTVFGKYELGRLLGKGTFAKVYYGKQISTGESVAIKVMSKEQVRKEGMMEQIKREISVMRLVRHPNVVELKEVMATKTKIYFVMEYVRGGELFAKISKGKLKEDQARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDDDENLKISDFGLSALPEQLRYDGLLHTQCGTPAYVAPEVLRKKGYDGSKADTWSCGVVLYVLLAGFLPFQHENLMTMYNKVLRAEFDFPPWFSPESKRLISKILVADPAKRTTISAITRVPWFRKGLPLSSVADTCQVDNQDTVTEEPNSNPKVPKFFNAFEFISSMSSGFDLSGLFETKRKTATVFTSKCSAVAIMAKIAAAARGLSFAVAEVKDFKIRLQGTAVGRKGRLAITAEVFEVAPEVAVVEFSKSAGDTLEYAKFCEEDVRPALKDIVWTWQGDATWAANVPGCHGEECQKAVVSTV >Vigun05g041100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3328927:3330315:1 gene:Vigun05g041100.v1.2 transcript:Vigun05g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISLCNSSLGQPMANSLDFGSKSRHRKTPNSPQATPCRRSRSAVVDVVIFVAVIAAFGFLLFPYAQIVASESVKIGVVIVDLVKEEVSVAPWVYMFIGVSVTFAALTTWVLVACTTRKCGNPDCKGLRKAAEFDIQLETEDCVKNSPSLTKDSGVKKGLFKLPCDHHRELEAELKKMAPPNGRAVLVLRGRCGCSVGRLEVPGPKKNRKSKK >Vigun02g100200.1.v1.2 pep primary_assembly:ASM411807v1:2:25522693:25528465:-1 gene:Vigun02g100200.v1.2 transcript:Vigun02g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRESDILYFVFEYMECNLYQLMKDREKLFSEGEVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREITSQPPYTEYVSTRWYRAPEVLLQSYMYTSKVDMWAMGAIMAELFSLRPLFPGVSEADEIYKICGVIGSPTHESWDDGLKLARDINYQFPQLAGVHLSALIPSASDDAISLITSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRSRAVARTPPSAGTRGALDHQGVKRYSGALSNSKATNNFSSQKLHPPLASGVQRKLDMVNQDGIKNEKPMRSTKPKYRQPGKDSPTSMNKGRYARGVSEAAEKLGNLSVSSRRQSMGHPRPPPMKAGVNWMSESGNFILGSAQQIQTGRTFTRKVAG >Vigun11g160800.1.v1.2 pep primary_assembly:ASM411807v1:11:36822125:36825613:1 gene:Vigun11g160800.v1.2 transcript:Vigun11g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSSLERELNDMREYYESGKTKQESWRESQLKGLRSFLMEKQEHIMEALMHDLGKHQLEAFRDEIGTLIKTLNLALKSLKAWMSGRKAELPQVALLTSAEIVSEPLGLVLIISSWNFPFGLSLEPLIGAIAAGNVAILKPSELSPASSSLLASSLIPYLDNKAIKVIQGGSQETQQLLEQRWDKIFFTGSARVGRIVMSAAAKNLTPVTLELGGKCPAVVDSLSSSWDIETTVKRIIVGKFGACAGQACIAIDYVLVEKMYCSKLVELMKFWIKKMFGENPQHSKTITRIVNKHHFSRLNNLLADKMVKESVVYGGSMDQENLFIEPTILVDPPLDAAIMSEEIFGPLLPIITVEKIEDSIRFIRSRPKPLAIYVFTKNKTLERRMISETSSGSITFNDAILQYAADSLPFGGVGESGFGMYHGKFSFDTFSHQKAIVRRSFLTDFWFRYPPWTLNKLQLLETCYNYDYLGMLLVMLGLKRSSKRLFANHV >Vigun06g061400.2.v1.2 pep primary_assembly:ASM411807v1:6:18923832:18929447:-1 gene:Vigun06g061400.v1.2 transcript:Vigun06g061400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNRCFVEWKEQFVSQERGNRVVHYYLKDAAGESILAVVGTERSVRHMCYVVAEEFLEICGKEGSIPTGFKWRSRREVVDWLTSTLSKQNLQGDRSVSPGHNLVQAHETTNDSINEITGARITDDKDFPMSNSKLSNSDIVWSGVAWRCGKQLKHFPAFWRNGIKIEIQSFVFVMGKGENHYIAYVEDMYEDRRGQKKVKVRWFHHNQEVKGVVPVRNPHPREVFITPCSQVISAECVDGPATVLTREHYEKCMSFFSPTSRDRTHLCFRQFRSNKVKPFDLSKLRGYYAQPILSYLHLDSIQNPEKLAGENEDLTAGDDVKVGTKRSRSDKGSPQSWISQQGVRKLMRSKQMMVYKTFQVANYARPERRLLSRKQVECEPWSIHKYKVDDKIELLCQDSGIRGCWFRCTVVQVARKQLKVQYEDVQDEDGNGNLEEWVPAFKCARPDKLGMRHSGRPTIRPTPTLEEQELAVEVGHAVDAWWSDGWWEGVVTRIDHCGDESVQVYFPGECLLMEVCKKDLRISRDWLGDSWINIKAKPEITTTIFFTPNNSFNTKLSVSPSMDKVADTVGFGNFCHGDPFSKKCDEVAIEDQKNVSCEGFTEDGVSVPDNKPSSEKNTEADNIEIHGCCDEHDKVNSDDDSMKNGDNNNNNGNNKDMKVFETSGSDCEPVEVMEVGV >Vigun06g061400.1.v1.2 pep primary_assembly:ASM411807v1:6:18923832:18929447:-1 gene:Vigun06g061400.v1.2 transcript:Vigun06g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNRCFVEWKEQFVSQERGNRVVHYYLKDAAGESILAVVGTERSVRHMCYVVAEEFLEICGKEGSIPTGFKWRSRREVVDWLTSTLSKQNLQGDRSVSPGHNLVQAHETTNDSINEITGARITDDKDFPMSNSKLSNSDIVWSGVAWRCGKQLKHFPAFWRNGIKIEIQSFVFVMGKGENHYIAYVEDMYEDRRGQKKVKVRWFHHNQEVKGVVPVRNPHPREVFITPCSQVISAECVDGPATVLTREHYEKCMSFFSPTSRDRTHLCFRQFRSNKVKPFDLSKLRGYYAQPILSYLHLDSIQNPEKLAGENEDLTAGDDVKVGTKRSRSDKGSPQSWISQQGVRKLMRSKQMMVYKTFQVANYARPERRLLSRKQVECEPWSIHKYKVDDKIELLCQDSGIRGCWFRCTVVQVARKQLKVQYEDVQDEDGNGNLEEWVPAFKCARPDKLGMRHSGRPTIRPTPTLEEQELAVEVGHAVDAWWSDGWWEGVVTRIDHCGDESVQVYFPGECLLMEVCKKDLRISRDWLGDSWINIKAKPEITTTIFFTPNNSFNTKLSVSPSMDKVADTVGFGNFCHGDPFSKKCDEVAIEDQKNVSCEGFTEDGVSVPDNKPSSEKNTEADNIEIHGCCDEHDKVNSDDDSMKNGDNNNNNGNNKDMKVFETSGSDCEPVEVMEVGV >Vigun09g111300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24103176:24103852:1 gene:Vigun09g111300.v1.2 transcript:Vigun09g111300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINILSLIFRIIKNGNPPTPATSWVLQTKTHHTQFISSFPSSLTILFPHISEEKEKEKEKHNILMEGLLPLVYKAIKRNKTRSQYECLSSGSALNYNINMAQMYPQTQDQILRNQTPPNHHHQDHHKYAHRRHNSVGDFGNGFQSTQMRTGVVSSPSNKLVRFRSQRMFSCITGV >Vigun09g111300.5.v1.2 pep primary_assembly:ASM411807v1:9:24103176:24106794:1 gene:Vigun09g111300.v1.2 transcript:Vigun09g111300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINILSLIFRIIKNGNPPTPATSWVLQTKTHHTQFISSFPSSLTILFPHISEEKEKEKEKHNILMEGLLPLVYKAIKRNKTRSQYECLSSGSALNYNINMAQMYPQTQDQILRNQTPPNHHHQDHHKYAHRRHNSVGDFGNGFQSTQMRTGPRVQTLNIKWNMEMLPLQ >Vigun09g111300.7.v1.2 pep primary_assembly:ASM411807v1:9:24103176:24107693:1 gene:Vigun09g111300.v1.2 transcript:Vigun09g111300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINILSLIFRIIKNGNPPTPATSWVLQTKTHHTQFISSFPSSLTILFPHISEEKEKEKEKHNILMEGLLPLVYKAIKRNKTRSQYECLSSGSALNYNINMAQMYPQTQDQILRNQTPPNHHHQDHHKYAHRRHNSVGDFGNGFQSTQMRTAPPTFFVKIRH >Vigun09g111300.6.v1.2 pep primary_assembly:ASM411807v1:9:24103176:24107693:1 gene:Vigun09g111300.v1.2 transcript:Vigun09g111300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINILSLIFRIIKNGNPPTPATSWVLQTKTHHTQFISSFPSSLTILFPHISEEKEKEKEKHNILMEGLLPLVYKAIKRNKTRSQYECLSSGSALNYNINMAQMYPQTQDQILRNQTPPNHHHQDHHKYAHRRHNSVGDFGNGFQSTQMRTAPPTFFVKIRH >Vigun11g053100.1.v1.2 pep primary_assembly:ASM411807v1:11:9257386:9262135:1 gene:Vigun11g053100.v1.2 transcript:Vigun11g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGRKDLDSYTIRGTNKIVRAGDCVLMRPSDTSKPPYVARVEKIEQDNRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCLVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDMKKPQATFSASPGADGKVDSKRRKR >Vigun11g053100.2.v1.2 pep primary_assembly:ASM411807v1:11:9257385:9262136:1 gene:Vigun11g053100.v1.2 transcript:Vigun11g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGRKDLDSYTIRGTNKIVRAGDCVLMRPSDTSKPPYVARVEKIEQDNRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCLVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKD >Vigun11g036400.1.v1.2 pep primary_assembly:ASM411807v1:11:4886661:4888778:-1 gene:Vigun11g036400.v1.2 transcript:Vigun11g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLSNLKPSLLPQTKSKPIFQTLSSAKATTTSQATSLFFSTLQTPTAQVALGSTPTTGAKDEHQQQKDEFYLNLGLAVRTLREDMPLIFVKDLNYDIYRDDITFKDPLNTFTGIEKYKLIFWALRFHGKILFREIALDVYRIWQPSENVILIRWNLRGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQTLKQVSVLDLVTACPASPNLTFSWGSVDSNSSSWIEFYQAVKETLDQEEVLLPQDCVATCS >Vigun08g209400.4.v1.2 pep primary_assembly:ASM411807v1:8:37201376:37205366:-1 gene:Vigun08g209400.v1.2 transcript:Vigun08g209400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRRRLQSESQAQSVILLTKIKEFTRALLTDLTNGRSPLILIDRFRSYCAVPNANCFCASDLPCGKEALTLRTKSRAHRLVVMLRVMLIVQKLLQENKHSSKRDIYYTYPSLFLDQSVVDQAINDICILMQCSRHNLNVVSAGNGLIMGWIRFSEGERKFDCISSPNSVHPVPVRVEDVQDIISVAQYILVVEKESVFQRLANDQFCNTNHCIVITGRGYPDIPTRRFLRLLVENLRLPVYCLVDCDPYGFDILTTYRFGSMR >Vigun08g209400.2.v1.2 pep primary_assembly:ASM411807v1:8:37201376:37205366:-1 gene:Vigun08g209400.v1.2 transcript:Vigun08g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRRRLQSESQAQSVILLTKIKEFTRALLTDLTNGRSPLILIDRFRSYCAVPNANCFCASDLPCGKEALTLRTKSRAHRLVVMLRVMLIVQKLLQENKHSSKRDIYYTYPSLFLDQSVVDQAINDICILMQCSRHNLNVVSAGNGLIMGWIRFSEGERKFDCISSPNSVHPVPVRVEDVQDIISVAQYILVVEKESVFQRLANDQFCNTNHCIVITGRGYPDIPTRRFLRLLVENLRLPVYCLVDCDPYGFDILTTYRFGSMQMAYDTKHLRVPEINWLGAFPSDSERYFVPKQCLLPLTAEDKRKVEAMLLRCYLQREVPQWRAKVDAAKGSKV >Vigun08g209400.5.v1.2 pep primary_assembly:ASM411807v1:8:37201376:37205366:-1 gene:Vigun08g209400.v1.2 transcript:Vigun08g209400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRRRLQSESQAQSVILLTKIKEFTRALLTDLTNGRSPLILIDRFRSYCAVPNANCFCASDLPCGKEALTLRTKSRAHRLVVMLRVMLIVQKLLQENKHSSKRDIYYTYPSLFLDQSVVDQAINDICILMQCSRHNLNVVSAGNGLIMGWIRFSEGERKFDCISSPNSVHPVPVRVEDVQDIISVAQYILVVEKESVFQRLANDQFCNTNHCIVITGRGYPDIPTRRFLRLLVENLRLPVYCLVDCDPYGFDILTTYRFGSMR >Vigun08g209400.3.v1.2 pep primary_assembly:ASM411807v1:8:37201376:37205366:-1 gene:Vigun08g209400.v1.2 transcript:Vigun08g209400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRRRLQSESQAQSVILLTKIKEFTRALLTDLTNGRSPLILIDRFRSYCAVPNANCFCASDLPCGKEALTLRTKSRAHRLVVMLRVMLIVQKLLQENKHSSKRDIYYTYPSLFLDQSVVDQAINDICILMQCSRHNLNVVSAGNGLIMGWIRFSEGERKFDCISSPNSVHPVPVRVEDVQDIISVAQYILVVEKESVFQRLANDQFCNTNHCIVITGRGYPDIPTRRFLRLLVENLRLPVYCLVDCDPYGFDILTTYRFGSMVSISNGL >Vigun08g209400.1.v1.2 pep primary_assembly:ASM411807v1:8:37201376:37205366:-1 gene:Vigun08g209400.v1.2 transcript:Vigun08g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRRRLQSESQAQSVILLTKIKEFTRALLTDLTNGRSPLILIDRFRSYCAVPNANCFCASDLPCGKEALTLRTKSRAHRLVVMLRVMLIVQKLLQENKHSSKRDIYYTYPSLFLDQSVVDQAINDICILMQCSRHNLNVVSAGNGLIMGWIRFSEGERKFDCISSPNSVHPVPVRVEDVQDIISVAQYILVVEKESVFQRLANDQFCNTNHCIVITGRGYPDIPTRRFLRLLVENLRLPVYCLVDCDPYGFDILTTYRFGSMQMAYDTKHLRVPEINWLGAFPSDSERYFVPKQCLLPLTAEDKRKVEAMLLRCYLQREVPQWRLELKLMLQKGVKFEIEALSAHALSFLSDSYIPSKIERKLIM >Vigun05g200400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38729864:38730857:-1 gene:Vigun05g200400.v1.2 transcript:Vigun05g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLCWLFVIIWVYKQTHEASMRSYHYPLHTVFLRFWPTQNPGEMELDYLMDFEDYFPSMIARMGAEGFIGELCNGFRLLMDVNKGLITFESLKINCYLLGLDVRDDELVGMLMEGDLDGDGALSQMEFCILMFRLSPCLMDSPKICTTQVGADPMLI >VigunL006300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:4881:5602:1 gene:VigunL006300.v1.2 transcript:VigunL006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Vigun08g031600.1.v1.2 pep primary_assembly:ASM411807v1:8:2879728:2881739:1 gene:Vigun08g031600.v1.2 transcript:Vigun08g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHISSASQYSSGSESGWTHYLDQSSLSENYLQRRSGVVEDEGKGGRMEEESEEDLSMVSDASSGPPHYDNEYCCQNWYPCLSSTTKEKKVKEYGRSQQSSPLDDTASSPFFNYPVESNKKQESFPGNGEVESALDFSQCVSATRIKKKTKFQKHFSFLERSLAGKLGSEEEGGFDEEGK >Vigun03g264400.1.v1.2 pep primary_assembly:ASM411807v1:3:43337341:43347440:-1 gene:Vigun03g264400.v1.2 transcript:Vigun03g264400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNHSTSLRVFCVGTLDTKLHELRFLSDSLRSNLHRFSSSSSKVEVVVVDVSTGSNAPQSLQDFPFVSRNDVVSSYNTGTNEALLLPDDRGKAVAVMSQALEQFLKKSHEDQCLAGVIGVGGSGGTSLLSSPFTSLPIGIPKVIVSTVASGQTEPYIGTSDLVLFPSVVDIAGINRVSRLILSNAAAAFAGMVVGRIQSLKDSSSIEVKPTIGVTMFGVTTPCVNAVQDRLHKEGYETLVFHATGVGGRAMENLVREGFIQGVIDITTTEVADYIVGGVMACDSYRFDAIIENKVPLVLSVGALDMVNFGEKDTIPSKFQQRNIYEHNKQVSLMRTTVDENRKFADFIAKKLKKSSSKICVCLPEKGVSALDAPGKPFYDPEATGTLLRELQNLIPTNGDRQVKVYPHHINDLEFANALVDAFLEIHEKTGTDSTRQQVVNPESVEQFNEDYVSNASSFGSIVYPPSEFPEARTETLEKTQLILHQLKHQIDKGIPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKKVPVLAGVCGTDPFRRMDYFLRQVESTGFSGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIQKAHKMGLLTTPYAFNQHEAIEMAKVGADIIVAHMGLTTTGSIGAKTAVSLDESVIRVQAIAEAAHSINPNVIVLCHGGPISGPKQAEFILKRTKGVHGFYGASSMERLPVEQAITNTVKEYKSISIH >Vigun03g264400.2.v1.2 pep primary_assembly:ASM411807v1:3:43337695:43347391:-1 gene:Vigun03g264400.v1.2 transcript:Vigun03g264400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNHSTSLRVFCVGTLDTKLHELRFLSDSLRSNLHRFSSSSSKVEVVVVDVSTGSNAPQSLQDFPFVSRNDVVSSYNTGTNEALLLPDDRGKAVAVMSQALEQFLKKSHEDQCLAGVIGVGGSGGTSLLSSPFTSLPIGIPKVIVSTVASGQTEPYIGTSDLVLFPSVVDIAGINRVSRLILSNAAAAFAGMVVGRIQSLKDSSSIEVKPTIGVTMFGVTTPCVNAVQDRLHKEGYETLVFHATGVGGRAMENLVREGFIQGVIDITTTEVADYIVGGVMACDSYRFDAIIENKVPLVLSVGALDMVNFGEKDTIPSKFQQRNIYEHNKQVSLMRTTVDENRKFADFIAKKLKKSSSKICVCLPEKGVSALDAPGKPFYDPEATGTLLRELQNLIPTNGDRQVKVYPHHINDLEFANALVDAFLEIHEKTGTDSTRQQVVNPESVEQFNEDYVSNASSFGSIVYPPSEFPEARTETLEKTQLILHQLKHQIDKGIPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKKVPVLAGVCGTDPFRRMDYFLRQVESTGFSGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIQKAHKMGLLTTPYAFNQHEAIEMAKVGADIIVAHMGLTTTGSIGAKTAVSLDESVIRVQAIAEAAHSINPNVIVLCHGGPISGPKQAEFILKRTKGVHGFYGASSMERLPVEQAITNTVKEYKSISIH >Vigun04g092800.3.v1.2 pep primary_assembly:ASM411807v1:4:18188867:18192360:1 gene:Vigun04g092800.v1.2 transcript:Vigun04g092800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQGSKVPLPHPSSLSSSPHPHTSILFEPSSLSLALTHSDSSLSLYPSFSPLSTTPSQPHTQTLNIPSPSSSSAFLLLQQHPSAATPVIFLVSSPYRSRILLRFYRLRRRDHSSFQRVARVICLHKDLCFQPGLGVLLDAKHGAAVRLAASVNYFALYVLSSNKVWVFAVKDDEGGENDSSSSNDDHGGSGGLRLMRRAVIDCARPVFSLSVAFGFLILGEENGVRVFGLRRLVKGKGGNKRFGNSKQLRNGGGVRGGGLEVVNCNGDLEGKMERQRVAAVKQTNVKSKHDDRDGGSCFVMLKGNDVNTNSATKVSMSIKAISIQAVSQRMFLILDSHGDLHLLSLSNSGIGVDVTGNVRPLLRTMKVKSVAVLPDLSSSKCHFPYFIFYFSKFNLWLLF >Vigun04g092800.1.v1.2 pep primary_assembly:ASM411807v1:4:18188686:18199853:1 gene:Vigun04g092800.v1.2 transcript:Vigun04g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQGSKVPLPHPSSLSSSPHPHTSILFEPSSLSLALTHSDSSLSLYPSFSPLSTTPSQPHTQTLNIPSPSSSSAFLLLQQHPSAATPVIFLVSSPYRSRILLRFYRLRRRDHSSFQRVARVICLHKDLCFQPGLGVLLDAKHGAAVRLAASVNYFALYVLSSNKVWVFAVKDDEGGENDSSSSNDDHGGSGGLRLMRRAVIDCARPVFSLSVAFGFLILGEENGVRVFGLRRLVKGKGGNKRFGNSKQLRNGGGVRGGGLEVVNCNGDLEGKMERQRVAAVKQTNVKSKHDDRDGGSCFVMLKGNDVNTNSATKVSMSIKAISIQAVSQRMFLILDSHGDLHLLSLSNSGIGVDVTGNVRPLLRTMKVKSVAVLPDLSSMSQTIWISDGCHSVHMFTAMDIENALNEADGNDCNEKLLRLPVVRVLFSCEKIQDIISLSANSVLILGQGSLYAYAIS >Vigun04g092800.2.v1.2 pep primary_assembly:ASM411807v1:4:18188686:18199853:1 gene:Vigun04g092800.v1.2 transcript:Vigun04g092800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQGSKVPLPHPSSLSSSPHPHTSILFEPSSLSLALTHSDSSLSLYPSFSPLSTTPSQPHTQTLNIPSPSSSSAFLLLQQHPSAATPVIFLVSSPYRSRILLRFYRLRRRDHSSFQRVARVICLHKDLCFQPGLGVLLDAKHGAAVRLAASVNYFALYVLSSNKVWVFAVKDDEGGENDSSSSNDDHGGSGGLRLMRRAVIDCARPVFSLSVAFGFLILGEENGVRVFGLRRLVKGKGGNKRFGNSKQLRNGGGVRGGGLEVVNCNGDLEGKMERQRVAAVKQTNVKSKHDDRDGGSCFVMLKGNDVNTNSATKVSMSIKAISIQAVSQRMFLILDSHGDLHLLSLSNSGIGVDVTGNVRPLLRTMKVKSVAVLPDLSSMSQTIWISDGCHSVHMFTAMDIENALNEADGNDCNEKLLRLPVVRVLFSCEKIQDIISLSANSVLILGQGSLYAYAIS >Vigun08g158450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33092290:33093990:1 gene:Vigun08g158450.v1.2 transcript:Vigun08g158450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVLHLQNQNWGGTLGPSLGNLSFLTTLILSNINMHGEIPTQIGRLKRLQVLHLSHNSLNGQIPVHLSNCSKLEVINLLYNKLNGKVPSWFGLGSMTRLNKLLLGANDLVGTIPPSMGNLSSLQSITIARNHLMGSIPHVLGRLSNLKELNTGLNNFSGEVPDSLYNLSNIQVLVLGGNQLSGTLPSKMQLAFPNLRAFFVGENQLNGAFPSSISNITGLQAFDISLNGFSGPIPPTFGSLNKLKTFSIFDNRFGSGSAQDLDFLSSLTNCTQLKILLLGWNEFGGVLPDLIGNFSTNLTSLSMECNQITGTIPERIGQLIGLTNFVVINNYLEGSIPDSIGKLKNLVRLALQENKLSGNIPTAIGNLTMLSELYLHTNKLQGSIPLSLKHCTRMQSFGVSTNNLSGDIPSQTFGSLEGLINLDLSNNSFTGSIPLEIGNLTHLSILYLNENKLSGEIPAKLAACSELTELMLQINFFRGSIPSFLGSLGTLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTVISLIGNKDLCGGIPQLKTKWESY >Vigun06g104500.1.v1.2 pep primary_assembly:ASM411807v1:6:23403004:23406171:-1 gene:Vigun06g104500.v1.2 transcript:Vigun06g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELDPSLPCSQRLLSAILAMESSECLISHARECGGGAITEQVQQFIWNHCLSTPGDFHVPYLKNFLKKLIAQIEFDHGYVLDNLYELYAHYMTSCKDDSLEKGDARVSKRISLLFPDGSSELQSCPHSRVLVFPLQCSRNMLEGGTGCSIWRPGLFLSEFVLSHPELFSNKKCFEVGSGVGLIGLCLAHVKASKVILSDGDLSTLANMKFNLEMNHLNVEAGMPQRNNDQNMVKCLYLPWESASVTQLQDIMPDVVLGADVIYDPVYQPHLVRVLAILLNPMSLKFCRQHASCKDITPNIKHENYEHNHEHAIDISHSRFKASHNDDSYNLLKEAPVAYISYVIRNIETFNYFMSLGKQAHLDIVDLTDSLKPLNLLCYMQSYNQANVRLLRITGNNIK >Vigun06g104500.2.v1.2 pep primary_assembly:ASM411807v1:6:23403004:23406171:-1 gene:Vigun06g104500.v1.2 transcript:Vigun06g104500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPCQGDFHVPYLKNFLKKLIAQIEFDHGYVLDNLYELYAHYMTSCKDDSLEKGDARVSKRISLLFPDGSSELQSCPHSRVLVFPLQCSRNMLEGGTGCSIWRPGLFLSEFVLSHPELFSNKKCFEVGSGVGLIGLCLAHVKASKVILSDGDLSTLANMKFNLEMNHLNVEAGMPQRNNDQNMVKCLYLPWESASVTQLQDIMPDVVLGADVIYDPVYQPHLVRVLAILLNPMSLKFCRQHASCKDITPNIKHENYEHNHEHAIDISHSRFKASHNDDSYNLLKEAPVAYISYVIRNIETFNYFMSLGKQAHLDIVDLTDSLKPLNLLCYMQSYNQANVRLLRITGNNIK >Vigun06g104500.3.v1.2 pep primary_assembly:ASM411807v1:6:23403004:23406171:-1 gene:Vigun06g104500.v1.2 transcript:Vigun06g104500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELDPSLPCSQRLLSAILAMESSECLISHARECGGGAITEQVQQFIWNHCLSTPGDFHVPYLKNFLKKLIAQIEFDHGYVLDNLMIVWRREMPESAKEFRFFFLMVVLNCRVARTLGYWSFHCSVLETCLKVGSGVGLIGLCLAHVKASKVILSDGDLSTLANMKFNLEMNHLNVEAGMPQRNNDQNMVKCLYLPWESASVTQLQDIMPDVVLGADVIYDPVYQPHLVRVLAILLNPMSLKFCRQHASCKDITPNIKHENYEHNHEHAIDISHSRFKASHNDDSYNLLKEAPVAYISYVIRNIETFNYFMSLGKQAHLDIVDLTDSLKPLNLLCYMQSYNQANVRLLRITGNNIK >Vigun07g130300.4.v1.2 pep primary_assembly:ASM411807v1:7:23971294:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENDEERKHDDGSTSENRAESSTNGEREEKSKQKEKPETVPFFKLFAFADSTDILLMVVGTIGAIGNGMGLPIMTLLFGQMIDTFGSNQQNANVVEAVSKVSLKFVYLAVGSGMAAFLQVTSWMVTGERQAARIRGLYLKTILRQDIAFFDKETSTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun07g130300.3.v1.2 pep primary_assembly:ASM411807v1:7:23970488:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENDEERKHDDGSTSENRAESSTNGEREEKSKQKEKPETVPFFKLFAFADSTDILLMVVGTIGAIGNGMGLPIMTLLFGQMIDTFGSNQQNANVVEAVSKVSLKFVYLAVGSGMAAFLQVTSWMVTGERQAARIRGLYLKTILRQDIAFFDKETSTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun07g130300.1.v1.2 pep primary_assembly:ASM411807v1:7:23970357:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENDEERKHDDGSTSENRAESSTNGEREEKSKQKEKPETVPFFKLFAFADSTDILLMVVGTIGAIGNGMGLPIMTLLFGQMIDTFGSNQQNANVVEAVSKVSLKFVYLAVGSGMAAFLQVTSWMVTGERQAARIRGLYLKTILRQDIAFFDKETSTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun07g130300.2.v1.2 pep primary_assembly:ASM411807v1:7:23970355:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENDEERKHDDGSTSENRAESSTNGEREEKSKQKEKPETVPFFKLFAFADSTDILLMVVGTIGAIGNGMGLPIMTLLFGQMIDTFGSNQQNANVVEAVSKVSLKFVYLAVGSGMAAFLQVTSWMVTGERQAARIRGLYLKTILRQDIAFFDKETSTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun07g130300.5.v1.2 pep primary_assembly:ASM411807v1:7:23971412:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun07g130300.6.v1.2 pep primary_assembly:ASM411807v1:7:23970488:23977124:1 gene:Vigun07g130300.v1.2 transcript:Vigun07g130300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENDEERKHDDGSTSENRAESSTNGEREEKSKQKEKPETVPFFKLFAFADSTDILLMVVGTIGAIGNGMGLPIMTLLFGQMIDTFGSNQQNANVVEAVSKVSLKFVYLAVGSGMAAFLQVTSWMVTGERQAARIRGLYLKTILRQDIAFFDKETSTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFTVAFVKGWLLTCVMLATLPLLVLSGASVALIIGRMASRGQTAYAKASHVVEQTIGSIRTVASFTGEKQAVNNYSKLLVDAYKSGVSEGSIAGVGLGMVMFVVFGGYALAVWFGAKMIMEKGYNGGTVINVIISFLTASMSLGQASPSMSAFAAGQAAAYKMFQTIERKPEIDAYDPNGKILEDIQGEIDLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTLVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVENGTHSELLKDPEGAYSQLIRLQEISKETEQNAEHLGKSELSAESLRQSSLRRSLQRSISRGSSLGNSSRHSFSVSFGLPTGVKVSDPEHESSTPKEKAPEVPLSRLASLNKPEIPVLLLGCVAAIINGVILPIFGLLVSSVIKTFYEPFGEMKKDSHFWALMFMTLGIVSFLIIPARAYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEPENSSGSVGARLSADAASVRALVGDALGLMVQNLATAVAGLIIAFVASWQLALIILVLIPLIGLNGYVQMKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEDNVMELYRKKCEGPMKTGIRQGLISGSGFGVSFFLLFCVYATSFYAGARLVDAGKTTFSGVFRVFFALTMAAIGISQSSSFAPDSSKAETATASIFGIIDKKSEIDPSDESGTTLDSVKGEIELRHVSFKYPSRPDVQIFRDLSLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGQITLDGIEIRDLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEITAAAEMANAHKFISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHEALININGGFYASLVQLHTSASTV >Vigun05g242300.3.v1.2 pep primary_assembly:ASM411807v1:5:43533226:43537483:-1 gene:Vigun05g242300.v1.2 transcript:Vigun05g242300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHFSFLFQFFLFIFLTYFTSINSVKIPRLSSIPTWDTSLHHPATLDAKTSTDKINTFYYKQVLDHFNYRPQSYRTFQQRYLINFKYWGGANSSAPILAFFGAEEAIDHSPEGIAFLTDNAASLNALLVYIEHRYYGKSIPFGSREEAFKNASTIGYFNSAQAIADYAAVLIHVKKTLHAPNSPVIVIGGSYGGMLASWFRLKYPHMAIGALASSAPILYFDDITPQDGYYSVVSRDFREASETCYQTILKSWSEIDRVASQPKGLPLLSQRFNTCRPLKKSSELKDYLETMYASAAQYNHPPRYPVTVICGGIDKGSFGNDILSKIYAGVVALRGNTTCKVNAPSNESETALGWRWQNSMFQPQPYSFKSLADECKKLYGVSPRPHWVTTYYGGHNIKLILQKFGSNIIFSNGLRDPYSIGGVLENISDTLVAIHAVNGSHCLDILGANANHGDPKWLVEMRKKEINIMKGWITQYYVDLQALKETKKM >Vigun05g242300.2.v1.2 pep primary_assembly:ASM411807v1:5:43533261:43537483:-1 gene:Vigun05g242300.v1.2 transcript:Vigun05g242300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHFSFLFQFFLFIFLTYFTSINSVKIPRLSSIPTWDTSLHHPATLDAKTSTDKINTFYYKQVLDHFNYRPQSYRTFQQRYLINFKYWGGANSSAPILAFFGAEEAIDHSPEGIAFLTDNAASLNALLVYIEHRYYGKSIPFGSREEAFKNASTIGYFNSAQAIADYAAVLIHVKKTLHAPNSPVIVIGGSYGGMLASWFRLKYPHMAIGALASSAPILYFDDITPQDGYYSVVSRDFREASETCYQTILKSWSEIDRVASQPKGLPLLSQRFNTCSSCYRPLKKSSELKDYLETMYASAAQYNHPPRYPVTVICGGIDKGSFGNDILSKIYAGVVALRGNTTCKVNAPSNESETALGWRWQTCSEMVIPVGIGKNSMFQPQPYSFKSLADECKKLYGVSPRPHWVTTYYGGHNIKLILQKFGSNIIFSNGLRDPYSIGGVLENISDTLVAIHAVNGSHCLDILGANANHGDPKWLVEMRKKEINIMKGWITQYYVDLQALKETKKM >Vigun05g242300.1.v1.2 pep primary_assembly:ASM411807v1:5:43533144:43537695:-1 gene:Vigun05g242300.v1.2 transcript:Vigun05g242300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHFSFLFQFFLFIFLTYFTSINSVKIPRLSSIPTWDTSLHHPATLDAKTSTDKINTFYYKQVLDHFNYRPQSYRTFQQRYLINFKYWGGANSSAPILAFFGAEEAIDHSPEGIAFLTDNAASLNALLVYIEHRYYGKSIPFGSREEAFKNASTIGYFNSAQAIADYAAVLIHVKKTLHAPNSPVIVIGGSYGGMLASWFRLKYPHMAIGALASSAPILYFDDITPQDGYYSVVSRDFREASETCYQTILKSWSEIDRVASQPKGLPLLSQRFNTCRPLKKSSELKDYLETMYASAAQYNHPPRYPVTVICGGIDKGSFGNDILSKIYAGVVALRGNTTCKVNAPSNESETALGWRWQTCSEMVIPVGIGKNSMFQPQPYSFKSLADECKKLYGVSPRPHWVTTYYGGHNIKLILQKFGSNIIFSNGLRDPYSIGGVLENISDTLVAIHAVNGSHCLDILGANANHGDPKWLVEMRKKEINIMKGWITQYYVDLQALKETKKM >Vigun06g225300.5.v1.2 pep primary_assembly:ASM411807v1:6:33347272:33349075:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYISLQALRKAISDSFYKDLGIKPSEVFVSDGAQCDITRLQLLMGPNLKIAVQDPSFPAYIDSSVIIGQAGKFVDKAGKYKNIEYMTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun06g225300.1.v1.2 pep primary_assembly:ASM411807v1:6:33347292:33353372:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAMYNSSICSADVFQPKMVVPSFSCTFNTQNVRIGHCTKVPRNVNMEKLQHGYLFPEIERQKMMHLKRHPHADVIDLGVGDTTQPLPTTVTASMVDFVHGLSTASGYKGYGPEQGEKALRKAISDSFYKDLGIKPSEVFVSDGAQCDITRLQLLMGPNLKIAVQDPSFPAYIDSSVIIGQAGKFVDKAGKYKNIEYMTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun06g225300.2.v1.2 pep primary_assembly:ASM411807v1:6:33347272:33349288:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLKIAVQDPSFPAYIDSSVIIGQAGKFVDKAGKYKNIEYMTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun06g225300.4.v1.2 pep primary_assembly:ASM411807v1:6:33347272:33349076:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLKIAVQDPSFPAYIDSSVIIGQAGKFVDKAGKYKNIEYMTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun06g225300.3.v1.2 pep primary_assembly:ASM411807v1:6:33347272:33349230:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLKIAVQDPSFPAYIDSSVIIGQAGKFVDKAGKYKNIEYMTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun06g225300.6.v1.2 pep primary_assembly:ASM411807v1:6:33347272:33349075:-1 gene:Vigun06g225300.v1.2 transcript:Vigun06g225300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPQTNFFPDLPSISRTELIFFNSPNNPTGHAATRKQLQQLVDFARVNGSIIIFDSAYAAYITDDSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVMHDFNRIMCTCFNGASSIAQAGGLACLSPEGLRAIQSLVDYYMENARMLVDALTSLGLTVYGGKNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGEGYIRISAFGLRHSIIEASQRLKYLIYQEQN >Vigun03g351100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55236598:55236765:-1 gene:Vigun03g351100.v1.2 transcript:Vigun03g351100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTACNKHADDDHATRIEESGFHGHGDFVRFVPVISMEGDDDDDDGSYDYAPAA >Vigun02g098800.1.v1.2 pep primary_assembly:ASM411807v1:2:25406985:25410688:-1 gene:Vigun02g098800.v1.2 transcript:Vigun02g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVTVEADGGQGGAQGVQEGGKGTVRPDIHNRAMKILRAREPYNGYEEVGEKPSGFEVMGWYLYEFCFYFVQTVLVPVVFPLIISQLQRLPTDSLQEWAKNHPAKHCTQKEIHLYSKLTNHTIRTSGGSNFSSLEWTSIAWATGLALAAPILGFISFHLDGHFPKLITAAATGIGVFFCLPAGFFKVTAIFVPYIAGIVAASTVANAAHTHHLGLMIRCFTGPTLKRSQFSLRQAVSSRLSLHATAAGCLGAAIISSFTYHMLRELNDNDRDVMSLWVVSIFSGLIWLVGVLHIVTSISRTTDSISFSSRLHPFSIFKYPHAIGGLAGVFLSSFTTMSIFTGGVIFIVGQLCIKPLHLLYFWLTYFLFPLVSLSLLQPLLHVIKMNSVKMQIVGFLLSLLSSGFGFYYGNSHWKWGHLVLFGAVQSTATGILYAFGRLLVLDCAPSGKEGAFSIWYAWMRAAGLCVGFTVGSVAPGRIRTSFGAAFCTAIAGIVVLLFGNISDVGGAVAAGHVREECERSSSSVAGLDSKESARV >Vigun11g013300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1609756:1613307:-1 gene:Vigun11g013300.v1.2 transcript:Vigun11g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFDCRALLSVFLHVVLEKLAFTEILDFFRARKLDHKLLNKLKTKLNSIDSLVDDAERKQFTDPHIRKWLLKVKDAVFDAEDLLDDIQKLSKREVNSESEPQSTSGCTFKVLNFFKSSPISSFDQEIESRMQEVIDNLEYLLSQKGYLGSKTTRGDRYGLSNERPQKFPSTSLDVGTDIYGKDHVKEKILDWLISKNNDPNPLSILSIVGMGGVGKTTLAQHVYNDPRVNEAKFDVKAWVYVSDEFDVFKVSKTILEVVTMSIDDSRDLEMVHRRLKKELSEKRFLLVLDDVWNKNKFKWEELREPLLSGAQGSKILVTTRNKDVACTMQSEELSLELLQEDDGWKLFAEHAFRDYDIQSYAEFREIGMKIVKQCKGLPITLKTIGSLLYNKASVSEWESVFQSEIWEFSQEHCDIIPALALSYIHLPSHLKICFAYCALLPKDYEFKKEHLMHLWMTENLLHYCQHSKTPEEVCEGYFNDLLSRSFLQKSSKKELFVIHDLLIDLAKYVGGDMYFKWKVDKEEKIQKETCHFSVDLGSNQYFDGFGTLCNIERLRTFMPTGRSIDYFSHWSINMLINELFSKFKFLRILSLSHCSDIKEVPDSIGNLEYLRSLDLSNTTIKKLTEKICSLSHLQILKLNYCTKLEELPSNLHLLTNLCRLEFMKTKVRKVPLHLEKLKNLKVVINSFNVGHGIDFGIQRLGELNLEGSLSIEELQNIENPLHALQADLGNKTFLEKIKLRWGGDRNSVNSEKEGGVIENLQPSKELKELSIFSYGGKQFPNWLLENSLRNMVLLELDECESCQRLPPLGLLLLLKVLKIRKLDGIVRIDADFHGNTSSSFKSLKTLEFSDMSQWEKWDCQAVIGAFPRLQHLSISSCPKLKGHLPEQFVPLKTLRITDCQGLQSSAPRALDLELRDCGNLQLNWATMKRLKMGGHNMEASLLEIVGSDTLQHLHIYSVSKPTGDGYVSLWTFPLDFFPTLRTLNLSGFGNLQIISQDDVHNHLDDLTIKNCPKFESLPANMHTLLPSLSWLYLEDCPRLKSFPDRGLPSNLYDITLNNCSRLVDSLKFRDRSTLKRLSIKELDVECFPREALLPHSLTSLAIRDCPNLKTLNYKGLYQLSSLNSLVLVKCPNLQCLPEEGLPKSISYLCIDECPLLNRRCKKERGKDWKKIAHIQDLDIW >Vigun03g072700.1.v1.2 pep primary_assembly:ASM411807v1:3:5989669:5995246:-1 gene:Vigun03g072700.v1.2 transcript:Vigun03g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRQRRFRTHRGQSSRHQQLLVEDDCQSSLPVENAEGEEEEEEELKEPKIKLAMWDFGQCDAKRCTGRKLSRLGMLKELRVSNGFGGVVLSPAGNQCVSREDYAIIQKKGLAVVDCSWARLDDVPFVRLRCAAPRLLPWLVAANPVNYGRPCQLSCVEALSAALTICGEEKTANLLLGKFKWGHAFMSLNGELLRAYSKCQNSAEIISFQNAWLSQERQVPRAPTDGEVATLEGEDISQNSSDSEDGLPPLEKNMNHLSIGNSDEESE >Vigun09g008800.4.v1.2 pep primary_assembly:ASM411807v1:9:686283:691397:1 gene:Vigun09g008800.v1.2 transcript:Vigun09g008800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQQFPTPLQSSIVEKKLESLPAMVAGVWSDENNQQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRAALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLMHPSPSVLIPALRTVGNIVTGDDIQTQTIINHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNREQIQAVIEAGLIAPLVNLLQNAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKSLGNTGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDDDDTLPTGDGAQPGFNFGNELPVPSGGFNFS >Vigun09g008800.3.v1.2 pep primary_assembly:ASM411807v1:9:686283:691397:1 gene:Vigun09g008800.v1.2 transcript:Vigun09g008800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQQFPTPLQSSIVEKKLESLPAMVAGVWSDENNQQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRAALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLMHPSPSVLIPALRTVGNIVTGDDIQTQTIINHGALPCLLSLLTHNHKKSIKKEACWTISNITAGNREQIQAVIEAGLIAPLVNLLQNAEFDIKKEAAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKSLGNTGDVNLYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDDDDTLPTGDGAQPGFNFGNELPVPSGGFNFS >Vigun03g134400.1.v1.2 pep primary_assembly:ASM411807v1:3:13169761:13173604:-1 gene:Vigun03g134400.v1.2 transcript:Vigun03g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSQNQTIAVHGIAGAGSVAFATAFTYPLDTMKVLIQVGSSTGKQLDAAQALSRVVFLSGNAGLYSGFGWLAVGRIFGLGARFGVYEILTAFYKDGREDNYLFPSEALLAGMASGAIETVISSPFELIKLRKQVTSASYVPSPNFALENGARKPLIARLLNGCYPDKRSLDLYTRLISTLTTKNTNMTSALLEYPWTMSGSGKPPAVSSVTRPSDIISLEGWSTLWRGLRSGIARDSVFGGVFFSTWQLLHQAMVDWKAVGMNPPPRFNEDIGPLSPFTVSLTAGFSASVAAAASHGFDTSRSRSQCNVLPKYISMERKILKWKRPGNKFERITGIHPSDRNVLFHGIGWRMARAGLASSMIVGSYLFVADQLTSILT >Vigun02g202800.1.v1.2 pep primary_assembly:ASM411807v1:2:33682986:33684365:-1 gene:Vigun02g202800.v1.2 transcript:Vigun02g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMSLCFGLLSSSVLPPEAHALSFTRPSRSASASPSGLRMVRTVTSCTVSHSEQGTRKIRGIMKPRTVTPEMAALVGALEISRTQALKRIWAYIKDNNLQDPADKRTIICDEKLKKIFEGRDRVGMLDVARLISPHFLKTEV >Vigun07g030800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2845350:2846751:-1 gene:Vigun07g030800.v1.2 transcript:Vigun07g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPNVTTFNTKLDSCSYISRSIPPSSCINSKCVITTTTTTFPCSIPITVTMEGCKNSPLKPWKKGPTRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRSRLWLGSFATAEEAAMAYDQAARRLYGPDAYLNLPHMQPNIINSTKSQKFKWFPSNNFISMFPSRGLLNLNAQPSLHVIHQKLQQFKHNGVPAPTQPPHSKVVELENLVSQNHSEILPSLPQDSQASPQKVNGDFEEKREIDLLEFLQQMGILKDEKTDSSGSSTVSEAASRDENEQPGVFSDMSSVNWEELIEMHDHEVVCNYLASEEIQFEAYDTNEDLTFSSSIWNY >Vigun01g168900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35049704:35051176:-1 gene:Vigun01g168900.v1.2 transcript:Vigun01g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTTFSRLISSTTVSLLPPKTLSSKISIRLPARRHAKPLRFSSSSSSTITAAISVGNKLPEATFSYLDSDGEVKTTTVSELTKGKKAVLFAVPGAFTPTCSQKHVPGFVEKSGELKAKGVDTIACISVNDAFVMKAWKEDLKVNDEVLLLSDGNGTFTKAIGCELDLSDKPVGLGVRSRRYALLAEDGVVKLFNLEEGGAFTFSGAQDILDVL >Vigun11g113800.2.v1.2 pep primary_assembly:ASM411807v1:11:31668694:31669769:1 gene:Vigun11g113800.v1.2 transcript:Vigun11g113800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGIFLCLLILVMDVAAGILGFEAEAAQNKVKHLRVWIFECREPSHQAFMLGLVAAVLLGLSHAIANMLGGCNCICSQHEFQKASSNTQISTACLILTWVVLAVGLSMLVIGTMSNNRSDGLCGFSHHHFLSIGGICCFVHGLFCIAYYVSATASMD >Vigun11g113800.1.v1.2 pep primary_assembly:ASM411807v1:11:31668595:31669995:1 gene:Vigun11g113800.v1.2 transcript:Vigun11g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGIFLCLLILVMDVAAGILGFEAEAAQNKVKHLRVWIFECREPSHQAFMLGLVAAVLLGLSHAIANMLGGCNCICSQHEFQKASSNTQISTACLILTWVVLAVGLSMLVIGTMSNNRSDGLCGFSHHHFLSIGGICCFVHGLFCIAYYVSATASMD >Vigun05g213900.2.v1.2 pep primary_assembly:ASM411807v1:5:40488536:40498280:-1 gene:Vigun05g213900.v1.2 transcript:Vigun05g213900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQRLEFSLCKKRRGLEFLFQIAVGWWVVLLLVNPVAGLRPLRERTRSWDDELFTRKDENNLGPFSQWNITGTYKGSWKFLDTTNGSSRFPDIRKTNGNSVIELVSTPTKISGVHYVQGVVIFHDVFDNEYDVSGAQIRIEGVYIWPFRQLRMVANSGKEGELNQNEDYILSNPYHLLGVFSSQVLQDSSRDRMWRRKHSLIHDAEKHCNIEIAAQVSRLPSSNNEGEHDNFHLEGLMESPSADDDGDCFSPLLLNATSVKIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSSTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKAGRPFSNGEGWETMRRELSVLYSRFYGILLGGILLMYEFHNYLRPILLLMYSFWIPQIITNVIRDSRKPLHPHYILGITVTRLAIPLYIFGCPNNFMRIEPDKSWCVCLAVFIGLQAAILLLQHYLGSRWFIPRQILPEKYSYYRRFDQETRQATDCVICMTAIDLSQRSNDCMVTPCDHFFHFGCLQRWMDIKMECPTCRRPLPPA >Vigun05g213900.1.v1.2 pep primary_assembly:ASM411807v1:5:40488536:40498280:-1 gene:Vigun05g213900.v1.2 transcript:Vigun05g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQRLEFSLCKKRRGLEFLFQIAVGWWVVLLLVNPVAGLRPLRERTRSWDDEQLFTRKDENNLGPFSQWNITGTYKGSWKFLDTTNGSSRFPDIRKTNGNSVIELVSTPTKISGVHYVQGVVIFHDVFDNEYDVSGAQIRIEGVYIWPFRQLRMVANSGKEGELNQNEDYILSNPYHLLGVFSSQVLQDSSRDRMWRRKHSLIHDAEKHCNIEIAAQVSRLPSSNNEGEHDNFHLEGLMESPSADDDGDCFSPLLLNATSVKIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSSTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKAGRPFSNGEGWETMRRELSVLYSRFYGILLGGILLMYEFHNYLRPILLLMYSFWIPQIITNVIRDSRKPLHPHYILGITVTRLAIPLYIFGCPNNFMRIEPDKSWCVCLAVFIGLQAAILLLQHYLGSRWFIPRQILPEKYSYYRRFDQETRQATDCVICMTAIDLSQRSNDCMVTPCDHFFHFGCLQRWMDIKMECPTCRRPLPPA >Vigun07g023500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2024495:2028923:-1 gene:Vigun07g023500.v1.2 transcript:Vigun07g023500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTSTTTTSDREVHDFMNVDSFSQLPFIRPAPLVKEKSAIRLFGIEFAGGGNNTAVSPDQSDSAETITNTTNNNNLNSFELDATKDDTTTTTVTTVTDNNGESSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSTMVHGSTFSDAHHVYNLMNYRFGSAPTPPMPYPTWNNAVAATATATNNTRFYGTTPFSHSHHQHHQQPINGNPLALWRIPSNNPSFSHERSSLPPLFASEEITNVRASQVVGSSGSQNRYVYDAKRGSVHDHVSLDLHL >Vigun07g023500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2026897:2028923:-1 gene:Vigun07g023500.v1.2 transcript:Vigun07g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTSTTTTSDREVHDFMNVDSFSQLPFIRPAPLVKEKSAIRLFGIEFAGGGNNTAVSPDQSDSAETITNTTNNNNLNSFELDATKDDTTTTTVTTVTDNNGESSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSTMVHGSTFSDAHHVYNLMNYRFGSAPTPPMPYPTWNNAVAATATATNNTRFYGTTPFSHSHHQHHQQPINGNPLALWRIPSNNPSFSHERSSLPPLFASEEITNVRASQVVGSSGSQNRYVYDAKRGSVHDHVSLDLHL >Vigun03g078400.1.v1.2 pep primary_assembly:ASM411807v1:3:6468905:6471972:-1 gene:Vigun03g078400.v1.2 transcript:Vigun03g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIIKDIGSGNFGVAKLVKEKWTGQLYAVKFIERGFKIDEHVQREIINHRSLKHPNIIRFKEVLLTPTHLAIVMEYASGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTLQRILSVHYSIPDYVRISKECRHLLSRIFVANPEKRISIPEIKMHPWFLKNLPLEFMEEGVQNDMNESCETQSIEEILSIIQEARKPGEGPRVGEQFVGGSMDLDDIDADADIDDIETSGDFVCAL >Vigun05g125700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14131750:14132384:-1 gene:Vigun05g125700.v1.2 transcript:Vigun05g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHYAYSLLFLGICATVWMVVSSAPTAEAGVVGMEMAWMPLVEEESELEMDSEINRRILASKRYISYGAMRRDTVPCSRKGNSYYNCQPGAPANPYQRGCSAITRCRR >Vigun11g066300.1.v1.2 pep primary_assembly:ASM411807v1:11:16280752:16282433:-1 gene:Vigun11g066300.v1.2 transcript:Vigun11g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVYIVYYSMYGHVERLAEEIKKGADSVDGVEAKLWQVPETLADEVLGKMRAPPKSDVPMISPNELSEADGFVFGFPTRFGMMAAQFKAFLDATGGLWKTQQLAGKPAGIFYSTSSQGGGQETTALTAITQLVHHGMLFVPIGYTFGAGMFEMEEIKGGSPYGSGTYAGDGSRQPTKLELEQAFHQGKYIASITKKLKEAA >Vigun02g090650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24552346:24552735:-1 gene:Vigun02g090650.v1.2 transcript:Vigun02g090650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCSQFLQRTDSFDELFPEKFFPEKEYKEYKEHKESKETCKEVDRSWSNKKLPSSPKRELPKHELPKVGAVAKLKGDHRRNYSTGDVPYPPGTSGPKLMRSSGMRRNWTFEDLAEKPEHSVRFR >Vigun10g184200.1.v1.2 pep primary_assembly:ASM411807v1:10:40089867:40091900:1 gene:Vigun10g184200.v1.2 transcript:Vigun10g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALVSLNTLLTPPSSSSSSSASSSSSFSSPKPSSSSSNFASPFIVGFRSLTPVPRFRRHLVRMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPADPPEEDEEEEEKKEEEEEPEKEEPDKPEKPEKPEKPIGYI >Vigun09g200100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37453461:37454985:1 gene:Vigun09g200100.v1.2 transcript:Vigun09g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQFDVIIVGAGVMGSATAYYAAKRGLRTLLLEQFDFLHHCGSSHGESRTIRVTYIQKHYYPFVIESYKLWQELEAQVGYQVYFKAQHFDMAHSHDPTIRSVVDYCQAHAIPFQLLRRRQVAEKFAGRIDIPEDWVGVSNEYGGVIKPTKAVAMFQTLAYKNGAVLVDKAKVVDIKKNKEGEGVEVFTASGKRFRGKKCVVTVGAWAQRLVKTVSGVELPIVPVETNVCYWRIKEGQEGKFALGTGFPTFASFGDTYIYGTPTLEFPGLIKVGVHGGNPCDPDKRPWGPAVMMEKLKKWVEERFSGMVDSSEPVIKQSCMYSMTPDEDFVIDFLGGEFGKDVVIGGGFSGHGFKMAPVIGRILTELAADGEAKGFDLKHFRIGRFRITSKI >Vigun10g154001.1.v1.2 pep primary_assembly:ASM411807v1:10:37428134:37428966:-1 gene:Vigun10g154001.v1.2 transcript:Vigun10g154001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLGESDAQFDFPEWFFFSPVDSSIQTVKELTGQPSVASGNPPEKIVRLEAPTPTLSLPQRRLLFTTKVAFHVVRNPTGLFTNTMLSPFTKARGLLFCVV >Vigun09g044300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4146520:4146783:1 gene:Vigun09g044300.v1.2 transcript:Vigun09g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRREERVRGKKSSGNSIYNILKACFSCENRYDEYWEGSGNGRRVFASDEDRAHWVAEPGIDRKASDFIAKYYATRLTDSHSKFAS >Vigun01g070300.1.v1.2 pep primary_assembly:ASM411807v1:1:18769170:18771218:1 gene:Vigun01g070300.v1.2 transcript:Vigun01g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIITRIFLMVFLLALTMLISKGEGQLSENFYNSSCPNVESIVSQAVTNKFTQTITTGQATLRLFFHDCFVEGCDASVIISSPNGDAEKDYTENLSLPGDGFDTVIKAKQAVEATCPGVVSCADILALATRDVIGLLGGPSFKVELGRRDGLISKASRVEGNLPKASFNLDQLNALFQKNGLTQTDMIALSGAHTVGFSHCDQFANRLYSFSSSNSVDPTLDATYAQELMAECPRNPDPTVAVALDPQTRSVFDNVYYQDLVSGKGLLSSDQVLFSDAASQPTVVRFANNAADFNEAFVAAIRKLGRVGVKTGKDGEIRRDCTTFNS >VigunL040001.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:5842:8568:-1 gene:VigunL040001.v1.2 transcript:VigunL040001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVGVGFRPIEQELVDFYLKHKLLGDDSRVDVIPVINLCHVEPSDVPGILAKSRIRLVTQTGFSSELEHQHSHCHKEESCLLQGSVSCGVKSDWVIHEYHAVTFHESENAFVLCRLIKKPEKKTEGGTAALICDEGESSRSVVSDYENQAIAEGVPSGGTLTGMETICHVTYQAEKCISPTEPSLIEIEQDDDAYFRNENNNGRSPSEIMQIPYETMHTPCETMHTPYEIMQILVKLCRLFMKLCRFLVKLCKVLVKTSFESMQTPCETMQTPFESLQTPCEPVQTLCEISFETPFQTMQTPLETKQILPKLPNSLLADEYLVTQSKSLKRAYCESSYRDAEVVPERDASFEDIQVCILSILTQRNIMY >Vigun01g069900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18718328:18719869:1 gene:Vigun01g069900.v1.2 transcript:Vigun01g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDISHWNTLTDGERHFITHVLAFFAASDGIVLENLAGRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLETYIKDSVEKSRLFHAIDTIPCVTKKANWALRWIDSSDSFAERIVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSILRTKLPEERVKEIVRDAVDIEREFVCDALPCALVGMNGALMSQYIEFVADRLLGALGCGKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGNGDAHVFKMDEDF >Vigun10g132000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34127939:34128421:-1 gene:Vigun10g132000.v1.2 transcript:Vigun10g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPACCAQLYFPKPFISLSDILGLLRNLVFFFFNLFGFSHFLRNQHSAITSATTSTVTSVTTSAAAVTTTHEVSAHVIKELLPVVLFGESGENACICVFCLSQFSEEEEIRRMQNCNHVFHRTCVDRWINSSQKTCPLCRTPFVTNHDTESMSLYLFPG >Vigun08g143600.1.v1.2 pep primary_assembly:ASM411807v1:8:31597000:31600433:-1 gene:Vigun08g143600.v1.2 transcript:Vigun08g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSDLVNLNLSDSTEKVIAEYIWVGGSGMDMRSKARTLSEPVEDPSKLPKWNYDGSSTGQAPGQDSEVILYPQTIYRDPFRRGNNILVMCDAYTPAGEPIPTNKRHNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVQWPVGWPLGGFPGAQGPYYCGIGANKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAADELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGYEVIKKAITKLEKRHKEHIAAYGEGNERRLTGKHETADMNTFIWGVANRGASIRVGRDTEKKGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Vigun03g425700.1.v1.2 pep primary_assembly:ASM411807v1:3:63129145:63130585:-1 gene:Vigun03g425700.v1.2 transcript:Vigun03g425700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIPPPLNHNQHKHLLPIIIAPNTDSSPHQSSLFSSLSVLVLFSTTKLIAKKKMGCGKSKLNVVATSTLLSKKSSVSSKEADAKSVDKNNDVKVENVNLEVEEKNDENVKDKDVGESNKDSEVAKVEEDKALEKSHEAEENKTEETVVAVAVAVVEEPKEPLKEEEEHKVKDVAVAAAAEEQPKEQKPEEQPKDPEHQQPEEQQPEEQQPKEQKGDENEKEDVKGEDLVKEEQSKDTKEETLVKEEEVKETKDEEKNLVKEKEPEVANVATTTS >Vigun05g014400.1.v1.2 pep primary_assembly:ASM411807v1:5:1148621:1166628:1 gene:Vigun05g014400.v1.2 transcript:Vigun05g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVHLRSSTITTAAAESLHLPTLPPKTREEGELSSDADDDADDNLESSNVQSTPVVGTGSVPLVRKSTLGVQDGSTNVQLQTTRQPTTQKGLKKNQLLPKSSPWTGHVGTDKNLVISFSDDDSGSDHETKGNVSRLDSSVKRTNSTLGKSNKLKQTSLPKEVPKRSSLSRTFVSSMTKIPGSNSKGVGSMPPVQGSRARNFNLSNKNLVRGLDQGLVSNDNKLQDLRHQIAIRESELKLKAAQQNKESVSVSNRDHSAMNPKKSVSMARKNTPVSSGPAQFEPTEPARKRVKLSTSNGVSQAVDSQQEIPAVKSLLPAETLGNYYPQERNKVDQDQKDIPLCRAEPKSGISRRQPDNHVDNPLESMPRRSSDGDVNYGSNQTEKSSRLVNPGVALNQSAVPANSNSNTVLKNFEALNNAVLLNHNGNVNASEHSNLDLQSFFGMEELIDKELEEAQEYRHKCEIEERNALKAYLKAQRSLLEANTRCTNLYHKRELYSAKLRSLILSSSGLSWPSGQHQHPDIELDYLPRLGYEIPTSSCQRLAEDNDINNPSFDSNNRGINSRHSNITKHHATRANLVSEPFGEPDASTSEPLPQRDNYAADEVYSPSDELGTSANENEESSPSGHVCNHHRDADYFRKEDSVSKLVDRDTTSNAILCSDNPQDSLLLEAKLRSELFARFGTRAKQRSNSCNDVEPVAERGAENEVGNEKTKVLQTVVPHSRAEGNDLKGIGNHDRSVSVDMRENQSQPNIGGNSLIVNHIIGSRVQGDMPCEGYLTTNTLDIQPLIFRSAFSKLRGMFPFNTNQLQSKNFFINASDGPNENATSLSSHERKCTNVLAISMPVNIGNLLSDDSSYGNSAAVDPFWPLCMYELRGKCNNDECPWQHAKDYGVENIQHTDSNNTDCQGRLPLHQQNCNGVAKVPKFHKATILPTYLVGLDTLKADQFAYKPVVAHRNAQCWQKHFALTLATSSLLGNGLPVDGPLLHGGNERIEVHGAWNKQLSSFHWRSGSGQAMADSEQAVEMALLILNHEINKVQGVRKALSVLSKALENDPTSEVLWIVYLLIYYGNLKPNDKDDMFLCAVKLCEESYVLWLMYINSQGKLDDRLIAYDTALSVLCQHASADPKDKIHKSACILDLFLQMIHCLYISGNVEKAIERTYGIFPTTTKSNEHHHLSLSDILNCLTVSDKCVFWICCVYLVIYRRLPDAVVQKFESEKDLLDIEWPFVNLSEDDKVMAIKLVETAVESIDSFVYNESGKSEVNLRSAQLFALNHLRCMAALDSRECLRDLLDKYIKLYPSCLELVLASARIQKQNIQVDSFEGFEEAINRWPKEVPGVHCIWNQYIENALYNQRTDLAKEITVRWFQSVKQVQDLPIDVEGNSGGSFRMGSKFVSDSSRTDHKQIDTMFGFLNLSLYNFFQNDKTAACLAFDKAKSTVSFGGLEQCMRKYVMFLVYDELSLKEDGPDCAIKKILELYTDASSQALLVPKVLTRKFVDSIKKPRLQHLISNIVSPVLLDCSLLYLTLQSWFGSSLLPQTFSDPKHLVDFVEGVMGVVPHNFQLAITVCKLLIKQYNSSDSNTASLLFWACSTLVNAILDSMPIPPEYIWVEAAELLHNAMGTDAIFDRFYRRALSVYPFSIMLWKYFYKLYMTSGDAKDAVDAAKKRGIELGTKDLETD >Vigun03g027500.1.v1.2 pep primary_assembly:ASM411807v1:3:2061195:2062337:1 gene:Vigun03g027500.v1.2 transcript:Vigun03g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARSDYQCSFVEHRNYKIVYRRYASLFFLVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCVVETNKTSILSPIQLLDKNS >Vigun03g332250.1.v1.2 pep primary_assembly:ASM411807v1:3:53027608:53028682:1 gene:Vigun03g332250.v1.2 transcript:Vigun03g332250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDELQENDSEFLQGGTMQYQTRDRSSKEHGFFRINQFIWDPVDLFFFLFKDQPFVSVFSHRQFFIDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFEFLSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun09g047800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4597397:4598066:1 gene:Vigun09g047800.v1.2 transcript:Vigun09g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEYIAVVLSLCLLSVSMVSPQGVPGVATPPVISGQCPGGSALVLRACVSNVLNITVGIPPPNTSPSCCTILGPLTISQAQICVALALNNFTVPGLFVADVTARLLRTCP >Vigun08g188700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35694154:35697044:-1 gene:Vigun08g188700.v1.2 transcript:Vigun08g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQPLKTKVLLSLSLSPFSNSTRFFSSAASSTATITIIPSILKQCNSLTHAKVWHQQSIVQGLLHLVTDLIGAYMACNSPAAAIQLLQRLPPSPSSVFWWNQLIRRALHLGTPRQVFALFRRMKSLGWTPDHYTFPFVFKACPFLSLGASLHATVARSGFASNVFVCNALVSMYGKCGAVTHAQHVFDDLCQRGVQDLVSWNSIVSAYMGASDSKTSLLLFRKMTRLNLMSPDVISLVNVLPACASLAALLHGREVHGFAIRSGLVDDVFVGNAVVDMYAKCGEVEEANKVFQRMVFKDVVSWNAMVTGYSQAGRLEHALSLFERMREEDIELDVVTWTAVITGYAQRGQGCEALDVFRQMCSCGSRPNAVTLVSLLSACASVGALLHGKETHCYAIKFILGLDGHDTGDDDLKVFNGLIDMYAKCQNTEVARKMFDSVSSKDRDVVTWTVMIGGYAQHGDANHALQLFSEMFYEYIKPNDFTLSCGLVACARLAALRFGRQIHAYVLRNCCGSVMLFVANCLIDMYSKCGDVDTAQMVFDNMAHRNAVSWTSLMTGYGMHGRGDDAVRVFDEMRKVSLVPDGITFLVLLYACSHSGMVDQGIDFFNRMRKEFGVEPGPEHYACMVDLWGRAGRLGEAMKLIDEMPMEPTPVVWVALLSACRLHSNVEVGELAAKRLSELESGNDGSYTLLSNIYANASRWKDVARIRYMMKRSGIKKRPGCSWVQGRKGVATFFVGDRSHSQSQQIYETLADLIHRIKAIGYVPQTSFALHDVDDEEKGDLLFEHSEKLALAYAVLTLPPAAPIRITKNLRICGDCHTAITYISKIIENEIILRDSSRFHHFRNGSCSCKGYW >Vigun03g446000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64761901:64763101:1 gene:Vigun03g446000.v1.2 transcript:Vigun03g446000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPSWADQWGAGGIGAMEDDVTRSQKETGKNKNSGSKGGFGRVKATASNCVKWFKSLCKRKSTSN >Vigun03g105500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9104906:9106780:-1 gene:Vigun03g105500.v1.2 transcript:Vigun03g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVPKANPSEESPFTALSTSDPTQQGSFLSGHFKWEETAEAHMLKGEVPGLRREEVKVDVENGRVLQVCGQRVVEAEEDGDACRRLHRRVSKFKKCFTLPPDTQPDQMMASMENGVLTVTLPKQNLPIAIAN >Vigun09g024800.1.v1.2 pep primary_assembly:ASM411807v1:9:1952274:1956016:1 gene:Vigun09g024800.v1.2 transcript:Vigun09g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRGNSFKRLFSFGKRGFGEENDAGLVAPSCEEHPPRPSWKCFSFKELFDATNAFCSENLVGRGGYAEVYKGTLRGGEEVAVKRLTRTSTDERKEKEFLIEIGTIGHVRHANVLPLLGCCIDNGLYLVFELSTVGSVASVIHDENLPPLEWKTRYKIALGTARGLHYLHKGCKRRIIHRDIKASNVLLTADFEPKISDFGLARWLPSQWTHHSIAPIEGTFGHLAPEYYMHGVVDEKTDVFAFGVFLLEILSGRKPVDGSHQSVHSWAKPILNKGEIEKVVDPRLGGAYDVTQFNRVAFAASLCIRASATCRPTMSEVVEVMEKWEIDKEKWEMAEEKEEEVELWGFEDLEYAHDTSFSMSLPDSVGST >Vigun05g215600.1.v1.2 pep primary_assembly:ASM411807v1:5:40747665:40751618:-1 gene:Vigun05g215600.v1.2 transcript:Vigun05g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFLHIGMDKNLVSTLLPTKPLFLCHCSVSFPFPMPFRRHCSNLRGHSSLSHTNMAQEEGVVSLQEWQGWGTTSPLPTMVAQIVGDLKGLEQDLDAHMSFGGNGGKLKGNFRIQEDKKHRATYQALADSEKKLQFYSARQIACRILGSRGYLCQKCWLPMEDCMCSEVTSCSLHPGIRFWLYMHPKDFLRQNNTGKILWQVFGVDAASLCLFGIAEHEEIMWNSLKVAGKSKVWCLYPNKSAVLKSVKNAFDQEPVTSDEVAPSELKVETIHHFILIDGTWSNSAAMFRRLQDKAKLVWGDEDLPCISLNPGASAMHKLRPQPSWDRTCTAAAAAGLLSEMQLLPQFRSIELDKQAEAIEHALTILLEALTKRRVRMGRSITRKVRQTNIR >Vigun11g201400.1.v1.2 pep primary_assembly:ASM411807v1:11:39940284:39947084:1 gene:Vigun11g201400.v1.2 transcript:Vigun11g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHLICGLWGLLSVSFCTGVGCASCCPYQLFQQSNRRFEQKTDRFWKFSEEADRWVEVQLPCDLISGGDSLCGKVNTREESVDQEQGFDDKKMRLDRKDDKVGVVGPLDVVLMPLRKRVSLTKMSETSVWITGESGSIYERFWNGLEWVMAPHDLPISAGRAVAVFIIGQMILALSESGTLYQMHFQLGEISQPVWVEFSPALGQITDNEQERNTLTLMKSGVVSDDGQRGYFCTKNGTLVELDVVESPRWTNHGQPAGANVAAIAAVASAREAVYTISSSGDLFEYNRKSKPSWRKHIWQEKTAKVSPLIPSKGCILNGLSGDHSESLFLLTKEGTLVERRLHQRKWKWVVHGSPEQQTLTSITPALQDESSEAFISLFFTTSAGSVFEYQMPKQLGSVHNNQFPEAWGSHEHPSHAKAARGIPGLPLQVGRILYALDDGRLGELHLAGIGGESSGPSAPQNSRRKASMKYIWTVLDVPESEGWNAEYCTEERGPRNCMIGTKDESNDSGTSSVTGRRKQSQAQSYYLSVGTGGEVNKSSEEYNLPDDWTSSNFRLRLLYEGKSFFLINNDGLVFEYVCIENVWVWLKHDSSSAMRGIVGSYNGSLFMVDTFGSLFLREWSDNDIAWKNCTALRKGRHIIGGQPWDTLPGKARRATTEDSIFFVSKNGRLLQFMVYMRRFKWKDCKNPQNVKVASIVDQESFRENIVFVTGRNGRLYQYNKVTELWHEHYQSQHLILSQFPGTVIRPSTKSLSGSLFMLSREGGLVEYQWNTWYGWNWVEHGTPYKGVTLVGSPGPSFEGSQLLLIGSDGKVYLRYMDNSAWKWKDCGFPNVENKIAEAHSGRFNEEKPDQIDGNCASGLNKDNDNLVDLSLNCEPKVASTRPIPFSEGSVIFELRDGRLAELQLAEEKEWAWSRIIGTPNSLCLENYWITLATS >Vigun11g201400.2.v1.2 pep primary_assembly:ASM411807v1:11:39940284:39946040:1 gene:Vigun11g201400.v1.2 transcript:Vigun11g201400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHLICGLWGLLSVSFCTGVGCASCCPYQLFQQSNRRFEQKTDRFWKFSEEADRWVEVQLPCDLISGGDSLCGKVNTREESVDQEQGFDDKKMRLDRKDDKVGVVGPLDVVLMPLRKRVSLTKMSETSVWITGESGSIYERFWNGLEWVMAPHDLPISAGRAVAVFIIGQMILALSESGTLYQMHFQLGEISQPVWVEFSPALGQITDNEQERNTLTLMKSGVVSDDGQRGYFCTKNGTLVELDVVESPRWTNHGQPAGANVAAIAAVASAREAVYTISSSGDLFEYNRKSKPSWRKHIWQEKTAKVSPLIPSKGCILNGLSGDHSESLFLLTKEGTLVERRLHQRKWKWVVHGSPEQQTLTSITPALQDESSEAFISLFFTTSAGSVFEYQMPKQLGSVHNNQFPEAWGSHEHPSHAKAARGIPGLPLQVGRILYALDDGRLGELHLAGIGGESSGPSAPQNSRRKASMKYIWTVLDVPESEGWNAEYCTEERGPRNCMIGTKDESNDSGTSSVTGRRKQSQAQSYYLSVGTGGEVNKSSEEYNLPDDWTSSNFRLRLLYEGKSFFLINNDGLVFEYVCIENVWVWLKHDSSSAMRGIVGSYNGSLFMVDTFGSLFLREWSDNDIAWKNCTALRKGRHIIGGQPWDTLPGKARRATTEDSIFFVSKNGRLLQFMVGKQ >Vigun03g220500.1.v1.2 pep primary_assembly:ASM411807v1:3:36676973:36680835:1 gene:Vigun03g220500.v1.2 transcript:Vigun03g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISGVNMNFSLYMNDEYEKLFRRMNPPRVVIDNESCQNATVIRVDSANKHGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDHDGKKVTDEGILEYIRKSLGPESCFMSSMRSVGVTQTMEHTAVELLGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDPQRLSLIKELLCNVLGGGNKIRGSSSVVTKEVNHTERRLHQMMFSDRDYERVDDDEKNRPKVTVVNWSDSDYSVVTIQCKDRAKLLFDIVFTLTDMQYVVFHASIDSKGPDAYQEYYIKHIDGSPVKSDAERQRVIQCLEAAIKRRVSEGLKLELCATDRVGLLSDVTRIIRENSLTVTRAEVTTKGGKAVNTFYVGGASGCPVESKTIESIRQAIGNTILKVKGKPEELKPAPQDSPTRFLFGGLFKSRSLVNFGMIKSYS >Vigun03g220500.4.v1.2 pep primary_assembly:ASM411807v1:3:36677108:36680835:1 gene:Vigun03g220500.v1.2 transcript:Vigun03g220500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLYMNDEYEKLFRRMNPPRVVIDNESCQNATVIRVDSANKHGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDHDGKKVTDEGILEYIRKSLGPESCFMSSMRSVGVTQTMEHTAVELLGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDPQRLSLIKELLCNVLGGGNKIRGSSSVVTKEVNHTERRLHQMMFSDRDYERVDDDEKNRPKVTVVNWSDSDYSVVTIQCKDRAKLLFDIVFTLTDMQYVVFHASIDSKGPDAYQEYYIKHIDGSPVKSDAERQRVIQCLEAAIKRRVSEGLKLELCATDRVGLLSDVTRIIRENSLTVTRAEVTTKGGKAVNTFYVGGASGCPVESKTIESIRQAIGNTILKVKGKPEELKPAPQDSPTRFLFGGLFKSRSLVNFGMIKSYS >Vigun03g220500.3.v1.2 pep primary_assembly:ASM411807v1:3:36676973:36680835:1 gene:Vigun03g220500.v1.2 transcript:Vigun03g220500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLYMNDEYEKLFRRMNPPRVVIDNESCQNATVIRVDSANKHGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDHDGKKVTDEGILEYIRKSLGPESCFMSSMRSVGVTQTMEHTAVELLGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDPQRLSLIKELLCNVLGGGNKIRGSSSVVTKEVNHTERRLHQMMFSDRDYERVDDDEKNRPKVTVVNWSDSDYSVVTIQCKDRAKLLFDIVFTLTDMQYVVFHASIDSKGPDAYQEYYIKHIDGSPVKSDAERQRVIQCLEAAIKRRVSEGLKLELCATDRVGLLSDVTRIIRENSLTVTRAEVTTKGGKAVNTFYVGGASGCPVESKTIESIRQAIGNTILKVKGKPEELKPAPQDSPTRFLFGGLFKSRSLVNFGMIKSYS >Vigun03g220500.2.v1.2 pep primary_assembly:ASM411807v1:3:36676973:36680835:1 gene:Vigun03g220500.v1.2 transcript:Vigun03g220500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISGVNMNFSLYMNDEYEKLFRRMNPPRVVIDNESCQNATVIRVDSANKHGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDHDGKKVTDEGILEYIRKSLGPESCFMSSMRSVGVTQTMEHTAVELLGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDPQRLSLIKELLCNVLGGGNKIRGSSSVVTKEVNHTERRLHQMMFSDRDYERVDDDEKNRPKVTVVNWSDSDYSVVTIQCKDRAKLLFDIVFTLTDMQYVVFHASIDSKGPDAYQEYYIKHIDGSPVKSDAERQRVIQCLEAAIKRRVSEGLKLELCATDRVGLLSDVTRIIRENSLTVTRAEVTTKGGKAVNTFYVGGASGCPVESKTIESIRQAIGNTILKVKGKPEELKPAPQDSPTRFLFGGLFKSRSLVNFGMIKSYS >Vigun10g168600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38760195:38763090:1 gene:Vigun10g168600.v1.2 transcript:Vigun10g168600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGDTWTMPPFWAAEHRDRRRLRRSYSLLRTSTSLLVLFLVLALVFSLIVVPTLHSFASNIFKPRTVKSSWDFLNLVLVLFAIVCGFLGRNTTPETLTPRSQRTFSNPSTPPPTWYQYDYSYGHTPRRSFNRLRSFSSYPDLRQPIPADERFRFYDDTYLLRHRHPRNDAEEEEKEIGIDNVVTASPQQGTETVRRNVHRAYQVETVEKHETDDLDAKISQSPPAIRTQVVRRNVNRLHRSEAEEKHETNDSAVEDISLSTPSTPPPATRTHGTRRNAKRSYQVETIEKLELKNLDSQSSQPSPSSHPPPRIRTKAVQRKAKQERDYSVVKSTPPPPPPPPPPPPPPVEAKTGQGKKKRGTATIEFLTSLRGKKKKPRQRSVDNFDSILNSEALLPQPPAPPPPPPKVFQNLFSLKKGKHKTTHNVAVATTRVSNKRESGSSSGLKDKVMMAGNESPLNPIPPPPPLPPFKLPGWKFRVQGDYVRVDSIGSSRSGSPDLDEVSVDTPTTTSTHDETSQVNSPFAKHGEDSANVSAPSLFCPSPDVDTKAHNFIESFRAGLRMAKMNSMNERQGIGRSNLGNFTTP >Vigun10g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38760192:38763095:1 gene:Vigun10g168600.v1.2 transcript:Vigun10g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGDTWTMPPFWAAEHRDRRRLRRSYSLLRTSTSLLVLFLVLALVFSLIVVPTLHSFASNIFKPRTVKSSWDFLNLVLVLFAIVCGFLGRNTTPETLTPRSQRTFSNPSTPPPTWYQYDYSYGHTPRRSFNRLRSFSSYPDLRQPIPADERFRFYDDTYLLRHRHPRNDAEEEEKEIGIDNVVTASPQQGTETVRRNVHRAYQVETVEKHETDDLDAKISQSPPAIRTQVVRRNVNRLHRSEAEEKHETNDSAVEDISLSTPSTPPPATRTHGTRRNAKRSYQVETIEKLELKNLDSQSSQPSPSSHPPPRIRTKAVQRKAKQERDYSVVKSTPPPPPPPPPPPPPPVEAKTGQGKKKRGTATIEFLTSLRGKKKKPRQRSVDNFDSILNSEALLPQPPAPPPPPPKVFQNLFSLKKGKHKTTHNVAVATTRVSNKRESGSSSGLKDKVMMAGNESPLNPIPPPPPLPPFKLPGWKFRVQGDYVRVDSIGSSRSGSPDLDEVSVDTPTTTSTHDETSQVNSPFAKHGEDSANVSAPSLFCPSPDVDTKAHNFIESFRAGLRMAKMNSMNERQGIGRSNLGNFTTP >Vigun05g143566.1.v1.2 pep primary_assembly:ASM411807v1:5:19283956:19284789:1 gene:Vigun05g143566.v1.2 transcript:Vigun05g143566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTFGELGDVSLIQSKLPKKLKKRTHVAIEDGSTRIEEFVNILFPEESQTTNLNILEATYKWKKQKLSFDC >Vigun03g233200.1.v1.2 pep primary_assembly:ASM411807v1:3:38826987:38830042:-1 gene:Vigun03g233200.v1.2 transcript:Vigun03g233200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVFSWTKSKLRKTQPRSVAGTRKHKCGQAHTNLSTHTSEGNFPNMAFTLCLRSPIISLLLHFLLVVSTLCPVRSSQFGNHLVANHTFQPKEELRKLNVIRARLQQINKPPVKTIQSPDGDIIDCVMSHQQPAFHHPLLKGQKPLDPPKGPRGHNEMDDLSENFQLWSLSGESCPEGTIPIRRTREEDILRASSVRRFGMKKTLNSVKMDITSNGHEHAIGYVTGNKYYGAKATINVWAPVVDDPTEFSLSQMWVISGSFGSDLNTVEAGWQVYPRIFGDRKPRFFIYWTNDSYHRTGCYNLLCPGFVQTNKKIALGAAISPISSYNGGQFDITLLIWKDPKSGNWWLQYGADNLIGYWPASLFSNLRYHASMIQFGGEIVNLGSSGHTSTQMGSGHFAEEGFGKASYFKNMKVVDWHNSLIPLSNIKVLVDHPNCYHLQQRSSKAWGNYFFYGGPGRNVKCP >Vigun05g291100.3.v1.2 pep primary_assembly:ASM411807v1:5:47728286:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMTFPEKIVLDFIPAVGVCLSIVDIFFLWKKEHSSHFVGYHKWFYSCSELMVWINIIFFTKRGSSHHIVFNRVLCFWWIPKAILTVLYLMTKISSLKVSICITESLVVLLNISFGIAINVIRIKRPSYKSSFLEDPLLSNGVDLEEGGYQDLGNDGNFWDLMTFNFITPVMNHGVVKQLDSEDLLPLPTDMGPSSCHDVILSCWQAQLSNNGSNPSLFRALCSAYGWPYLRLGLLKVINDCIGFVGPLLLNKLIQFLQQGSVNVDGYLLALSLGLTSIIKSFLDTQYTFHLSKLKLKLRSSIVTLIYEKCLRVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.5.v1.2 pep primary_assembly:ASM411807v1:5:47731597:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVMVMPFLFFFLNNFLLFAYQTIVTRKLKKSLVPFLLFLPGSVNVDGYLLALSLGLTSIIKSFLDTQYTFHLSKLKLKLRSSIVTLIYEKCLRVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.2.v1.2 pep primary_assembly:ASM411807v1:5:47727104:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLHLICPNSPFIWDGERFSDCFEDIVLGFGVNIVTAVMVVVLGFSQKIDRGVRRSDGQMTFPEKIVLDFIPAVGVCLSIVDIFFLWKKEHSSHFVGYHKWFYSCSELMVWINIIFFTKRGSSHHIVFNRVLCFWWIPKAILTVLYLMTKISSLKVSICITESLVVLLNISFGIAINVIRIKRPSYKSSFLEDPLLSNGVDLEEGGYQDLGNDGNFWDLMTFNFITPVMNHGVVKQLDSEDLLPLPTDMGPSSCHDVILSCWQAQLSNNGSNPSLFRALCSAYGWPYLRLGLLKVINDCIGFVGPLLLNKLIQFLQQGSVNVDGYLLALSLGLTSIIKSFLDTQYTFHLSKLKLKLRSSIVTLIYEKCLRVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.1.v1.2 pep primary_assembly:ASM411807v1:5:47727104:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLHLICPNSPFIWDGERFSDCFEDIVLGFGVNIVTAVMVVVLGFSQKIDRGVRRSDGQMTFPEKIVLDFIPAVGVCLSIVDIFFLWKKEHSSHFVGYHKWFYSCSELMVWINIIFFTKRGSSHHIVFNRVLCFWWIPKAILTVLYLMTKISSLKVSICITESLVVLLNISFGIAINVIRIKRPSYKSSFLEDPLLSNGVDLEEGGYQDLGNDGNFWDLMTFNFITPVMNHGVVKQLDSEDLLPLPTDMGPSSCHDVILSCWQAQLSNNGSNPSLFRALCSAYGWPYLRLGLLKVINDCIGFVGPLLLNKLIQFLQQGSVNVDGYLLALSLGLTSIIKSFLDTQYTFHLSKLKLKLRSSIVTLIYEKCLRVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.4.v1.2 pep primary_assembly:ASM411807v1:5:47729332:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNFITPVMNHGVVKQLDSEDLLPLPTDMGPSSCHDVILSCWQAQLSNNGSNPSLFRALCSAYGWPYLRLGLLKVINDCIGFVGPLLLNKLIQFLQQGSVNVDGYLLALSLGLTSIIKSFLDTQYTFHLSKLKLKLRSSIVTLIYEKCLRVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.8.v1.2 pep primary_assembly:ASM411807v1:5:47731597:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.6.v1.2 pep primary_assembly:ASM411807v1:5:47731597:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.9.v1.2 pep primary_assembly:ASM411807v1:5:47733416:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.7.v1.2 pep primary_assembly:ASM411807v1:5:47732528:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAITILLIPVNKWIAQLIARATEQMMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >Vigun05g291100.10.v1.2 pep primary_assembly:ASM411807v1:5:47733416:47742727:1 gene:Vigun05g291100.v1.2 transcript:Vigun05g291100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKDERIRKTGEILTYIRTLKMYGWELLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLYALMGHQLDAAMVFTCLALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLACSEHKFKVGDTNSSPSSFLSEQPDSVQRLGVFIQDACCTWSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSIYSNESIAYVPQVPWILSGTVRDNILFGKSYDPERYTDTLKACALDVDVSLMIGGDMAYIGEKGVNLSGGQRARLALARALYHDSAVVMLDDVLSAVDVQVAQCILYNALLGPLMQRKTRLLCTHNIQAISSADKIVVMDKGRIKWIGNSDDFPINSCTQFSPLNEIDSALQNHGESCSPNLSSKSEEQSLLGTGIVRALEGAEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLYQKTSYTEIVASLWLSLRLQLLGAFIISFIAVMAVIGSRGSLPINFGTPGLVGLALSYAAPIVSLLGSFLSSFTETEKEMVSVERTLQYMDIPQEEQTGGLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGKSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKILNALEKCHVKDEVEAAGGLDLLVKEGGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDLQTASLLQTTISGECKGMTVLTIAHRISTVINMDNILILDHGKLAEQGNPQVLLKDETSIFSSFVGASAM >VigunL016100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:882296:882409:-1 gene:VigunL016100.v1.2 transcript:VigunL016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLFGIVLGLIPITLVRLFVTAYLQYRRGDQLDL >Vigun04g077800.3.v1.2 pep primary_assembly:ASM411807v1:4:10679274:10683368:1 gene:Vigun04g077800.v1.2 transcript:Vigun04g077800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVVSSCGKLWTRPCYYQLKNITMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVASDLRASIWKQMNSAGIKYIPSNTFSYYDQVLDTTAMLGAVPARYGWTGGEIGFDTFFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDMKFTYASHKAVNEFKEAKALGVDTVPVLVGPVTYLALSKPAKGVDKSFCTLSLLPKILAVYKEVVADLKAAGASWIQFDEPILVLDLESQKLQAISTAYAELESALSGLNVLIETYFADVTAEAYQTLTSLNVATAFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANNLAASLDTLNSLEAIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFSSNASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTTRLDAQQKKLNLPILPTTTIGSFPQTVELRKVRREYKAGKISEEEYVNSIKEEIRKVVELQEQLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQASYLDWAVHGFRITNVGVHDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRVNKMLAVLERNILWVNPDCGLKTRKYAEVNPALTNMVAATKLIRNQLAK >Vigun04g077800.2.v1.2 pep primary_assembly:ASM411807v1:4:10676245:10683368:1 gene:Vigun04g077800.v1.2 transcript:Vigun04g077800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVASDLRASIWKQMNSAGIKYIPSNTFSYYDQVLDTTAMLGAVPARYGWTGGEIGFDTFFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDMKFTYASHKAVNEFKEAKALGVDTVPVLVGPVTYLALSKPAKGVDKSFCTLSLLPKILAVYKEVVADLKAAGASWIQFDEPILVLDLESQKLQAISTAYAELESALSGLNVLIETYFADVTAEAYQTLTSLNVATAFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANNLAASLDTLNSLEAIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFSSNASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTTRLDAQQKKLNLPILPTTTIGSFPQTVELRKVRREYKAGKISEEEYVNSIKEEIRKVVELQEQLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQASYLDWAVHGFRITNVGVHDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRVNKMLAVLERNILWVNPDCGLKTRKYAEVNPALTNMVAATKLIRNQLAK >Vigun04g077800.1.v1.2 pep primary_assembly:ASM411807v1:4:10678763:10683368:1 gene:Vigun04g077800.v1.2 transcript:Vigun04g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVASDLRASIWKQMNSAGIKYIPSNTFSYYDQVLDTTAMLGAVPARYGWTGGEIGFDTFFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDMKFTYASHKAVNEFKEAKALGVDTVPVLVGPVTYLALSKPAKGVDKSFCTLSLLPKILAVYKEVVADLKAAGASWIQFDEPILVLDLESQKLQAISTAYAELESALSGLNVLIETYFADVTAEAYQTLTSLNVATAFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANNLAASLDTLNSLEAIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFSSNASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTTRLDAQQKKLNLPILPTTTIGSFPQTVELRKVRREYKAGKISEEEYVNSIKEEIRKVVELQEQLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETTYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQASYLDWAVHGFRITNVGVHDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRVNKMLAVLERNILWVNPDCGLKTRKYAEVNPALTNMVAATKLIRNQLAK >Vigun06g017500.1.v1.2 pep primary_assembly:ASM411807v1:6:8144076:8150865:-1 gene:Vigun06g017500.v1.2 transcript:Vigun06g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIEMDKMSAPSSRERAQRLYEKNLELENKRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEEFRAYFSAASLSSTNANSSQGVKGPARPDRITKIRLQFKTFLSEATGFYHDLITKIRAKYGLPLGYFEDSENRIVMEKDGKKSTEMKKGLVACHRCLIYLGDLARYKGMYGEGDSKNREYTAASSYYLQAASLWPSSGNPHHQLALLASYSGDMLVTIYRYFRSLAVDSPFTTARENLIVAFDKNRQSYSQLSGDAKAHAVKESSGRVTGKGRGKGEAKLATRGAGVDASPKIGASSIQETYIYFCTRFVRLNGILFTRTSLETFAEVLAAVVTDLRELLSSGQDEELNFGTDAPENALGIVRIVCILVFTVYNVNKESEGQTYAEIVQRAVLLQNAFAAAFELMGYIIERCTQLRDPSSSYLLPGILVFVEWLACYPDLAAGNDVDENQANLRSEFWNRCVSFLNKLLSVGPMSIDDEEETCFNNMSRYEEGETENRHALWEDFELRGFVPLLPAHTILDFSRKHSIGSDGDKERKARVKRILSAGKALANVVKVDKKMIYFDSKAKKFVIGVEPQTADDFVLPTYSEMRNATELVQEKPAVKSEMEIVQSNQHQQMEGDEDDEVIVFKPIVSETRADVVASSWAPNVGLEPALKASGGDLKFHVNSTPNPLMNLGHQTLSVPGSGMVPQHMQPVQLQTSRWLEEEISLANNFKGLGLFENGHAMKPGVQEAIGFSNHVSLPIPNQQSIGAADTSGVFYGISKALDVVPSKVDAIASSGVLTDNLAVKASALPVGSRKAPVSRPTRHLGPPPGFSHVPPKQGLESPVSDSISGNPMMDDYSWLDGYHFRSSTKGLGSNGPLNYSQSNSLLVNNNGFSPNVSFPFPGKQVHSLPLHAEKQNGWQDYQNYDLLKSHHDQQLQPQQLTAGNQQFSPLPEQFQGQSIWTGRYFV >Vigun01g042600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5985861:5986034:1 gene:Vigun01g042600.v1.2 transcript:Vigun01g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSALFCCFYSKKENSSTVAVKAGSLSLKPKQDSQKSSPPIVVSHFPVNSPHSLL >Vigun09g141101.1.v1.2 pep primary_assembly:ASM411807v1:9:30303558:30307588:1 gene:Vigun09g141101.v1.2 transcript:Vigun09g141101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCSSPTQPPQSSSVFSRSSPTQPPHTLLLRIPSLRTQPPHTVFFSGACTHYSSPQHPHAASLFSFSPFAQLHRPPSFPFTQFAKEER >Vigun04g097700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:21998988:21999332:-1 gene:Vigun04g097700.v1.2 transcript:Vigun04g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTETATGTDEKLAVSSLHFFCARQTTSSPRDHKTISILLTATQLCSPDTAVSGFSRCPRWLPIRLQSSTLLFRERERCASHRDWVCYHHAPPLRAPTTQRERRCCRDETCHR >Vigun06g209400.2.v1.2 pep primary_assembly:ASM411807v1:6:32274808:32277947:-1 gene:Vigun06g209400.v1.2 transcript:Vigun06g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVMLVETMANMGLIYFVFLIGLEMDISIIKRSGKKTVLISLAGMVVPFLVAICLSSLISNTDESINQVSCVLYLGIVLSVTAFPVLARMLVELKLVNTDLGKLALSTSLINDVCAWMLLAIAIAVSEEIISAWVSLWVLLSNLVFLSFSFIIVRPTMLWLIEKTPEGKPFSEFQLCIVLTGVLISAFITDVLGTHCIFGAFVYGLVIPKGPLGAAIIEKLEDFVSGLLLPLFYAISGLKTDINLISGASTWTFILSVISLTFLGKIAGTLLVSNMLQIPNRDGVVLGLLMNAKGIIEIIVLNVGRDQKVLNDKIFSIMIIVILIMTALIAPVVTLIYKPRKRLAPYKRRTIQSSRLDGEFRVLVGIHTPRNVPTLVNLLEATYPNKRSPMCAYVLHLVELTGRASAMLIVHANRQSGVPALNKTQAQTDHIITSFQNFEEQVNYAQVQPLTAISPYSTMHEDVCNLAEEKRVSIIIIPFHKQQTVDGEMEDTIPALRMVNHNLLQNSPCSVGILVDRGFNGSNRLIGNLACHQVAVLYFGGPDDREALAYGWRMSRHPKVHLTVMHFIPTQYSNQSSETDRLWAKLDRSFTTIKNGGENQLDNEYISEFKHVIAHDDSVDYIAKVVNNGEETVAAIRSMNNVNDLFIVGRGQGTMSPLTDGLTDWSECPELGAIGDLLASSDFETTASVLVLHQYVGQGPDGEDNFVVERPWESSEPRQSIQQHQSQHMQQRYVMPMGTGHSLL >Vigun06g209400.1.v1.2 pep primary_assembly:ASM411807v1:6:32274808:32278492:-1 gene:Vigun06g209400.v1.2 transcript:Vigun06g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTMTPSNMSDKSIICYTPLLVTSNGLWKNNNLLDFSLPLFTLQLTLVVSATRFFVFILRPFHQPRVIAEILGGLLLGPSVLGRYNNFASIVFPMKSVMLVETMANMGLIYFVFLIGLEMDISIIKRSGKKTVLISLAGMVVPFLVAICLSSLISNTDESINQVSCVLYLGIVLSVTAFPVLARMLVELKLVNTDLGKLALSTSLINDVCAWMLLAIAIAVSEEIISAWVSLWVLLSNLVFLSFSFIIVRPTMLWLIEKTPEGKPFSEFQLCIVLTGVLISAFITDVLGTHCIFGAFVYGLVIPKGPLGAAIIEKLEDFVSGLLLPLFYAISGLKTDINLISGASTWTFILSVISLTFLGKIAGTLLVSNMLQIPNRDGVVLGLLMNAKGIIEIIVLNVGRDQKVLNDKIFSIMIIVILIMTALIAPVVTLIYKPRKRLAPYKRRTIQSSRLDGEFRVLVGIHTPRNVPTLVNLLEATYPNKRSPMCAYVLHLVELTGRASAMLIVHANRQSGVPALNKTQAQTDHIITSFQNFEEQVNYAQVQPLTAISPYSTMHEDVCNLAEEKRVSIIIIPFHKQQTVDGEMEDTIPALRMVNHNLLQNSPCSVGILVDRGFNGSNRLIGNLACHQVAVLYFGGPDDREALAYGWRMSRHPKVHLTVMHFIPTQYSNQSSETDRLWAKLDRSFTTIKNGGENQLDNEYISEFKHVIAHDDSVDYIAKVVNNGEETVAAIRSMNNVNDLFIVGRGQGTMSPLTDGLTDWSECPELGAIGDLLASSDFETTASVLVLHQYVGQGPDGEDNFVVERPWESSEPRQSIQQHQSQHMQQRYVMPMGTGHSLL >Vigun04g111000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27794078:27796064:-1 gene:Vigun04g111000.v1.2 transcript:Vigun04g111000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENELVLGRRRRTSSYMMHLFRGLHVRMVSEFENLEDNRNMDGSSILVIDPFNEGALIVRGPNMNHTSGPNENNAVGSSLNDLVVGSGFDLLLQHLAQIGPGGYASVNPPAQKAAIEALPSVTSEEKLQCTVCLEDVEAGTEAKEMPCKHMFHDDCIVSWLKLHGSCPVCRFQMPYEDSNVEANVGNGTDNQNSELVRAGEERPRNGRRNWFPVLQSFSNFLPSP >Vigun04g111000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27794078:27796064:-1 gene:Vigun04g111000.v1.2 transcript:Vigun04g111000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENELVLGRRRRTSSYMMHLFRGLHVRMVSEFENLEDNRNMDGSSILVIDPFNEGALIVRGPNMNHTSGPNENNAVGSSLNDLVVGSGFDLLLQHLAQIGPGGYASVNPPAQKAAIEALPSVTSEEKLQCTVCLEDVEAGTEAKEMPCKHMFHDDCIVSWLKLHGSCPVCRFQMPYEDSNVEANVGNGTDNQNSELVRAGEERPRNGRRNWFPVLQSFSNFLPSP >Vigun04g111000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27794078:27796064:-1 gene:Vigun04g111000.v1.2 transcript:Vigun04g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENELVLGRRRRTSSYMMHLFRGLHVRMVSEFENLEDNRNMDGSSILVIDPFNEGALIVRGPNMNHTSGPNENNAVGSSLNDLVVGSGFDLLLQHLAQIGPGGYASVNPPAQKAAIEALPSVTSEEKLQCTVCLEDVEAGTEAKEMPCKHMFHDDCIVSWLKLHGSCPVCRFQMPYEDSNVEANVGNGTDNQNSELVRAGEERPRNGRRNWFPVLQSFSNFLPSP >Vigun04g111000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27794078:27796064:-1 gene:Vigun04g111000.v1.2 transcript:Vigun04g111000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENELVLGRRRRTSSYMMHLFRGLHVRMVSEFENLEDNRNMDGSSILVIDPFNEGALIVRGPNMNHTSGPNENNAVGSSLNDLVVGSGFDLLLQHLAQIGPGGYASVNPPAQKAAIEALPSVTSEEKLQCTVCLEDVEAGTEAKEMPCKHMFHDDCIVSWLKLHGSCPVCRFQMPYEDSNVEANVGNGTDNQNSELVRAGEERPRNGRRNWFPVLQSFSNFLPSP >Vigun06g076500.1.v1.2 pep primary_assembly:ASM411807v1:6:20685154:20689451:1 gene:Vigun06g076500.v1.2 transcript:Vigun06g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSKHTPRSPSYLLPCVLALSLFSLTGLLLYKVDDVISRTGTVVGHNLEPTPWHVFPHKPFDEETRQQRAYKILQCSYLTCRYAAADSGEARRKFPGGGGECPDFFGAIRRDLEPWMESRITEGHVAGAQRLAAFRVVIVDGKMFVDWYYACVQSRAMFTLWGLLQLMKRYPGKVPDVDMMFDCMDKPTVNRIEYQGMPVPLFRYCTTKEHFDIPFPDWSFWGWSEINIRPWNEEFPDIKRGSQRISWRNRVPRAYWKGNPDVSSPIRVELLSCNHSRKWGAQIMRQDWDEAARDGFKESRLADQCHHRYKIYAEGYAWSVSLKYILACDSVALLIAPQYEDFFSRGLVPEHNFWPVDPLKLCPSIKYAVEWGNQHLEEAEAIGRRGQEFMESLTIDRIYEYMFHLIYEYSKLQDFKPTPPPTSLEVCEESLLCFADEKQRAFLRRSTASPAPTPPCNLKPPP >Vigun05g148000.1.v1.2 pep primary_assembly:ASM411807v1:5:21220268:21221847:1 gene:Vigun05g148000.v1.2 transcript:Vigun05g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMTSTLNQGDDSHGGSASKPIHFFRIMLSHNLQHGKLKLPAKFVRKYGNHLPNTIFLKLPNDAEWEVKLEKSDGSVWFQQGWKEFVEYHSLAHGHLLVFKYNGTSNFHVLICDMSCMEIDYPVNKANHKRVGINSEEIQPPKIKKTTENKKKCCSNLQDTASDEKVRDHKGMLKNLNEVKRNMEGVENTPFMVIMKPSFFTTGYMYLPRVAARSYVKRREQCVTLVAGDRSWRVKLVHYRNDSAYITNLLDFARDNNLKEGDACYFKLMNMCDEDMVMEVSFCSQKLLSKRTIT >Vigun03g241200.1.v1.2 pep primary_assembly:ASM411807v1:3:40335312:40339066:-1 gene:Vigun03g241200.v1.2 transcript:Vigun03g241200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGRMIQWLNLVACVLLLLKAEGSLVPLTLVENALSKGAVCLDGSPPAYHFDKGTGEGINNWIVHMEGGGWCKDADDCLGRKDTRLGSSNQMVEDLYFSGILGNDRGYNPDFYNWNRVKIRYCDGSSFTGDVEEVDPTNNLYFRGARIFSVVMEDLLAKGMDKAENAILSGCSAGGLTTILHCDNFKALLPSGATVKCVPDAGFFINVEDISGTRFIEDYYSKVVSTHGSTKNLPSSCTSKLSPGLCFFPQYVVSHISTPIFIVNSAYDSWQIRNILAPGAADPSDSWHSCKLDLSKCSHDQLSIVQGFKKEFEKAVSVVGDSPSRGMFIDSCFDHCQTESQETWFKSDSPHLANTSIAKAVGDWFYGRGPFNEVDCNYPCNPTCQNLVSDLKDHPGI >Vigun03g222900.10.v1.2 pep primary_assembly:ASM411807v1:3:37053427:37066283:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRQSGVFHEWDMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.7.v1.2 pep primary_assembly:ASM411807v1:3:37054458:37065299:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQVLLHI >Vigun03g222900.2.v1.2 pep primary_assembly:ASM411807v1:3:37053378:37066343:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.3.v1.2 pep primary_assembly:ASM411807v1:3:37053519:37066122:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.5.v1.2 pep primary_assembly:ASM411807v1:3:37053427:37062606:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRQSGVFHEWDMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.6.v1.2 pep primary_assembly:ASM411807v1:3:37053519:37065299:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.9.v1.2 pep primary_assembly:ASM411807v1:3:37053519:37065299:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.4.v1.2 pep primary_assembly:ASM411807v1:3:37053380:37066148:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRVRSSMEARNGLEYLKYKRLQRAKSTTANATATNIANMMNRSGGDALSTSGSYSMRLPGDAGSFSRRKVDKYDTSDLAWTEKIPECPVYYPTKEEFDDPLLYLQRIAPEASKYGICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun03g222900.8.v1.2 pep primary_assembly:ASM411807v1:3:37053428:37062614:-1 gene:Vigun03g222900.v1.2 transcript:Vigun03g222900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLLLTPLKLLKCICKIISPLSASVPAGVVLMREQAGFKFTTRVQPLRLAEWDTEDKVVFFMSGIDYTFRDFEKMANKSFAQRYCSAGCLPATYLEKEFWSEIGCGKMETVEYACDVDGSAFSSLPTDQLGNSKWNLKKFSWLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSVNYHHCGASKTWYGIPGHAALEFERVVRQHVYTNDILSTGGEDGAFDVLLGKTTMFPPNILLEHEVPVYKAVQNPGDYVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASQRYALLHKDPLLPHEELLCKEAMLLYATLTLEDSDISPEDLLSRNCVKISFVNLMRFQHCARWLLMKSRACTSVSSHSQGTILCSLCKRDCYVAYVDCECHLHPVCLRHDVESLDFTCGTKRTLYLREDTPDMEAAAKRFEQEDGILREILKEMKSEKNIYSCPLSNMFQMAEANGYTPYCEMKFDSTANFCTTPKLSTNNNQEHMRSQFVSRPEVSSASSTLCSLSKPPEISASNIDEVHANMKLGSPDFEENCERISNNASESFQSSVQFECLNKSMGNVHRLEKKLIVDNKSDDSDSGIFRVKRPSSLKAERRNIRGAKFSSNSGQQELKELKKDLDEGRSVAVPAVDMSKRKERSYKYSDNGEIWSREKFARGNSIPVSVRYKKRSNGEVSRRRHHCQTDRLLHSIEVAPKRLKTRDPSFMGLNSRLN >Vigun02g101200.2.v1.2 pep primary_assembly:ASM411807v1:2:25597185:25600291:1 gene:Vigun02g101200.v1.2 transcript:Vigun02g101200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVIVLRDLVEVILLDCDDCEELPPLGKLPHLKKLEVSGMKNVKWIDGETYEGVEEKAFPSLEKLSVENLPNLERLLRDEGVEMLPRLSQLNIFGVSNLKFPRLPSVEILLTRSIDEVTSFMEGVVGNMTCLKTLDIQIIDGAVVLPDQLSGLGALQDLRVGYWDDLEYFPEHVLEGLTSLRNLDIHFCKKLKSLSEGVGHLARLESLSIRYCPELMDLPSNMSQLTTLWKVSIAGGSTLPDGLERVPSLRSLYIWSCKCASLPDWLGDITSLQELSIVNCWELRSLPSSIQRLTNLSSLSIRNCPHLEKRCKRESGEDWQYINHIPRLGLHFQMKPSFSGFF >Vigun10g134700.1.v1.2 pep primary_assembly:ASM411807v1:10:34511563:34516014:-1 gene:Vigun10g134700.v1.2 transcript:Vigun10g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGEFAGTICSICYDPLNPITEDLQSVSICGHVFHELCLQQWFEYSSKGKKHTCPICKQSCRASDACRLYFQSVGDASDGGKLLQSFESEENVGVLRREVNRLEVKVSGLTSELERQTKELEGVKEELCICKEQAKIETALKSEALNQKASIQFQFHMKSEELEKSTLESFRLKEKNMALAKELAALKLVSDLDLDEEEVLKLATFGNGANSKDTIDTLKRSLVMRNRTYKELMAKCNVLGRGEARYSKKLEKAKEKITKLKARVQELETLAEAKENEYLMSLKLSKRTKSSKNLENSYTDSDALTACKSSVEKPNKQISTPKSGINLAANDNSKSLESSKIENSNATTNKTVNISNGTSDKKRDYVTIDEDDLEGTKALQGCSKHSHKDQDMDDIAFSKPSLAKPETTSATKTETLLQRKCTLAESPRVDIDIDMTNNSAGPVDEEVTLQTTTNQPVVNIRKESPFTVSNSDNICFSGGLLGPDGTHRFLGKWCKRGQNSESSSAKRSSNGDLIAVGADGRGGRIKVLRTPTQILSGGKENSTSSKRLKLGAKTSSLHSQGCLQIEHFFGRVTQ >Vigun02g060000.1.v1.2 pep primary_assembly:ASM411807v1:2:20546008:20548000:-1 gene:Vigun02g060000.v1.2 transcript:Vigun02g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNFCIISKLLILQCLSVACLSQDFDFFYFVQQWPGAYCDTRQSCCYPKTGKPSADFSIHGLWPNYNDGSWPSNCDPDSVFDKSQISELISSMEKEWPSLSCPSSNGMRFWSHEWEKHGTCAQSELDQTEYFEATLKLKKKLNLLKVLNNAGIQPDDEFYTLNSIINAIKEGTGFTPGIECNRDSAHNSQLYQVYMCVDTSGSDFIECPLLPKGKCGEQVQFPKF >Vigun07g297401.1.v1.2 pep primary_assembly:ASM411807v1:7:40770198:40771652:-1 gene:Vigun07g297401.v1.2 transcript:Vigun07g297401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREREVLTVLHGGMIITMDEEQRVFRDGGIVVEGDRIKAIGQSAEILAEFSDVAHHILDLTGHILLPGFINTHVHSSQQLARGIADDVDLMTWLHHRIWPYESNMTQNDSYLSTLLCGIELIHSGVTCFAEAGGQHVSQMARAVSLLGLRACLTQSTMDSGHGLPPSWATRTTDHCIQVSLYSNSQVIFIHYSHLPSLKPSTLTISKTRLQ >Vigun01g054900.1.v1.2 pep primary_assembly:ASM411807v1:1:10588744:10598347:-1 gene:Vigun01g054900.v1.2 transcript:Vigun01g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGHRDKLQQFITITGASEKTALQALKASDWHIERAFDFFYSQPQLKTFTDSRHLEELYNRYKDPYVDMILVDGITLLCNDIQVDPQDIVMLVLSWHMKAGTMCEFSKKEFIEGLQSLGIDSLEKFQEKIPYMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFAKTVGSNLSDYDAEGAWPYLIDEFVEYLNENGVIQNGLINDSSLKR >Vigun11g145700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35460711:35462410:1 gene:Vigun11g145700.v1.2 transcript:Vigun11g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKHCLFSFSLPLLVSFLYFTTTLAQLSPASAPLKPSQPTPTPPAEAPKQPLVPSLPQSPSDSTPDTSAVDIVGILRQAKSFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDSSFSELKPGFLNSLSDGQKLELLQFHVLSEYVSSSNFDTLTNPVRTLAGDKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVRKVLLPMDFFVVAKAPAKAPSLAPESSAKAPKADKEKSLSPDSSESSEINSTNGTSGTVKISHGMWLSLVLGPILLMILPS >Vigun09g030500.1.v1.2 pep primary_assembly:ASM411807v1:9:2542882:2545628:1 gene:Vigun09g030500.v1.2 transcript:Vigun09g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDEEMESLLSAFDQIYEDAKSGILEMQLLQSNYNAEFKMRESLQVASNALKGENDRLAKLYSESLKNLADQLDYRTNCLILKEELERAKTEVSLKEDGHRKDTELLRRDFEQQIACLEAQVKESLHEKATYEATISQLHGDLAAHKSHMQVLAMRLDQLHVEVESKYNSEVQDLKECLAVEQEEKNELNRKIQNLEKELLICKAKLVDQQQEMTANWHVETLKQKIMKLRKENEVLKRKLSHSEKGK >Vigun07g107300.1.v1.2 pep primary_assembly:ASM411807v1:7:19718075:19721748:-1 gene:Vigun07g107300.v1.2 transcript:Vigun07g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEAETIGLYELEYSDLCAPSNSSTVDSIMEALGPSGPGLLAINGVPNASKLRSHLLPLARTLALLPQDTRKLVLKEHNLGGDVPLRNPDRSVSSFAMQLKYTKSPLVVKTVSECRGNEFENLGSCFQELGFCMMELGLCLARICDKAIGGNDLEMSLLDSCGAKGRLIHYHSHLDALLLKQHERSRATSKKRAGNVKPLEGSELNSIACDVNPGGIHSNLWQQWHYDYGIFTVLTTPFFILPSYSEESKTENPFPSCCFDECQSPTGHTCLQIYDPNRKRAIMVKAPPESFIIQVGEAADIISKGKLRATLHSVHRPSEFENLSRETFVVFLQPAWTKTFSISDRECLVEPRQSEQDNDNLSQEINKIVPPLSSRLKEGMTFAEFSRETTKQYYGGSGLQSNNR >Vigun07g107300.2.v1.2 pep primary_assembly:ASM411807v1:7:19718075:19721748:-1 gene:Vigun07g107300.v1.2 transcript:Vigun07g107300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEAETIGLYELEYSDLCAPSNSSTVDSIMEALGPSGPGLLAINGVPNASKLRSHLLPLARTLALLPQDTRKLVLKEHNLGGDVPLRNPDRSVSSFAMQLKYTKSPLVVKTVSECRGNEFENLGSCFQELGFCMMELGLCLARICDKAIGGNDLEMSLLDSCGAKGRLIHYHSHLDALLLKQHERSRATSKKRAGNVKPLEGSELNSIACDVNPEESKTENPFPSCCFDECQSPTGHTCLQIYDPNRKRAIMVKAPPESFIIQVGEAADIISKGKLRATLHSVHRPSEFENLSRETFVVFLQPAWTKTFSISDRECLVEPRQSEQDNDNLSQEINKIVPPLSSRLKEGMTFAEFSRETTKQYYGGSGLQSNNR >Vigun07g107300.4.v1.2 pep primary_assembly:ASM411807v1:7:19718075:19721748:-1 gene:Vigun07g107300.v1.2 transcript:Vigun07g107300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKYTKSPLVVKTVSECRGNEFENLGSCFQELGFCMMELGLCLARICDKAIGGNDLEMSLLDSCGAKGRLIHYHSHLDALLLKQHERSRATSKKRAGNVKPLEGSELNSIACDVNPEESKTENPFPSCCFDECQSPTGHTCLQIYDPNRKRAIMVKAPPESFIIQVGEAADIISKGKLRATLHSVHRPSEFENLSRETFVVFLQPAWTKTFSISDRECLVEPRQSEQDNDNLSQEINKIVPPLSSRLKEGMTFAEFSRETTKQYYGGSGLQSNNR >Vigun07g107300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19718075:19721748:-1 gene:Vigun07g107300.v1.2 transcript:Vigun07g107300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKYTKSPLVVKTVSECRGNEFENLGSCFQELGFCMMELGLCLARICDKAIGGNDLEMSLLDSCGAKGRLIHYHSHLDALLLKQHERSRATSKKRAGNVKPLEGSELNSIACDVNPGGIHSNLWQQWHYDYGIFTVLTTPFFILPSYSEESKTENPFPSCCFDECQSPTGHTCLQIYDPNRKRAIMVKAPPESFIIQVGEAADIISKGKLRATLHSVHRPSEFENLSRETFVVFLQPAWTKTFSISDRECLVEPRQSEQDNDNLSQEINKIVPPLSSRLKEGMTFAEFSRETTKQYYGGSGLQSNNR >Vigun03g202262.1.v1.2 pep primary_assembly:ASM411807v1:3:31770049:31773033:-1 gene:Vigun03g202262.v1.2 transcript:Vigun03g202262.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDSSQFSDYYYGKLVYQDVNLRSYFGSLRPPARLTFGFRLGRCILIHFPKRTFIHFFLPRRPRRLKRSKKSRPEKEKGRWGAFGKVGPIGCLHSSDNTEEERNKVRGRRVGKRVESIKLDDREKQNEIRIWLKKKERYGYHDRSPSIKKNLSKSLRVSEAFKHPKYAGVVNDITFRIENDDSFRKRKFFKLFLPNKSRSYGPTSHLFKRTLPALRPSLNYSVMQYLFNRKNQMHFDPVVVLNHFVAPGVVEPSTMGGAKQGRGLDKRIRSHIAFFVESSTSEKKCLAEAKKRLTHFIRLANDLRFAGTTKTTISLFPFFGATFFFSRDRVGFYNNLSFEDAREPLLGKLRIKCWNLMGKDKVLIEKLIDLGRIGEWIKGIEMMIEIILRNRRILYGYNSYLNEVKKMRSLLSNRTNTNTLIESVKIKYVYQSASPIAQDISFQLRKKTRSFRSIFSRIVKDIPLVMTKGVEGIRICCSGRSEGAEIARTECEKYGKTSHNVFNQKIDYASAEVSTRYGI >Vigun07g261200.1.v1.2 pep primary_assembly:ASM411807v1:7:37749854:37753998:1 gene:Vigun07g261200.v1.2 transcript:Vigun07g261200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFPFIGFLFLVALFLSSVSAFSPTGSIRHRVKFIIGEGEENLGEWKSEFTQVAPAPGPKGVDVLILAANRTNRPDILRGFQRYRGGWDIADQHYWASVGFTGAAGFILAALWLILFGLALMIHLCCGWPITIKGKGSNHSQRICLILLISFTFAAATGCILLSVGQDKFHGQALDTLHYFVNQSDYTVQTLRNVTEYLSLAKTINVTRIVLPSDVMDAIDKLNVDLNTAADTLSEKTNENSVKIRRVFNDVRLALYVVAAVMLLLALVGLVLSVLGYQHAILIFVITGWLLVATTFILCGVFMILNNAISDTCMAMGEWVANPHTESALSNVLPCVDQSTTNQTLFQSKQVVTNIANVVNEFIYNSANLNITQGSPGYYNQSGPTMPTLCYPFDSQLQERQCTDQEVSSANASMVWKNFECEVSESGICTTVGRVTPEIYLQIVAAVNESYALEHYTPPLLSLQNCNFVRETFTKITSSYCPPLNHYLKIINVGLGLVSVGVLLCLVLWILYANRPRRGEVFEKSSLAETATNLPLTNANSEV >Vigun09g267800.1.v1.2 pep primary_assembly:ASM411807v1:9:43090287:43091223:1 gene:Vigun09g267800.v1.2 transcript:Vigun09g267800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQLRDQHGNPIPLTDQHGNPVVLTDEHGNPVHVTGVATTATPAATAGSGFGTYGGPGATAVTTTVSDLITTQPRDTRELRRSSSSSSSSSEDDGQGGRRKKGVKDKIKEKLPGRKNMDQQHSPTTTTTTTAATGVPAATGVPQPTATNPNPNPNHHEHHKKGIMEKIKEKLPGHHNH >Vigun10g168400.1.v1.2 pep primary_assembly:ASM411807v1:10:38744505:38748624:-1 gene:Vigun10g168400.v1.2 transcript:Vigun10g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNTPTTVVVGRDSTVIAVSGGRHSNGAVKWAVEHLLKKNSSCILLHVRTKTMLTPENNNDAPKNGRPPNEEELHQFFLPFRGFCARKGISTKELVLHDLDVTSALIAFVVDNCISTLVVGAATSSWGSILRRFNKDDVSASLAKSLPDTCSLYVISKGKVQHIRPSGHHHPHHNHQNVEATPAKSIKDIVNFLESDPLDQPHKDLTNNQIAFEDIERKLIKDVVKHQSNKLWEYLHEETNSPKSPIEYPSSQNSSANNTPGNSDSTGQTLGPPLINKLHENQKVVVNHSRTNSHHCGTNSYHSIRPISYHSRTSSHHCGNNSSLKDQVSFDMQMRKLKLELQKTAEMYGMACKEAVLATQKAMEIEKYRQEKERDMEEAKLAQTKMTMESTQMSKHLVKTESQKRREVELKSKHEEGDTIKALQEVIYNNIPYRKYTIEDIDAATNKFDNALKIGEGGYGPVFKGVLDHTTVAIKAVKPDLAYAEKQFQQEVIVLSTIRHPNMVLLLGACPEFGVLVYEYMVNGSLEDRLLQRDNSPPIPWKTRFKIASEIATGLLFLHQTKPEPLVHRDLKPANILLDKNYVSKISDVGLARLVPPSMANQTTQYRLTGAAGTFCYIDPEYQQTGLLGVKSDVYSLGVVLLQIITAKSPMGLSHLVEKALMSNTLSEVLDPSVKDWPIEETSSFAKLALKCCELRKRDRPDLGTVVLPELNKISRLWDDENIYPLRHHMA >Vigun06g145800.1.v1.2 pep primary_assembly:ASM411807v1:6:27117575:27120537:1 gene:Vigun06g145800.v1.2 transcript:Vigun06g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQMQEERERTEFRQLQEKAGLVPHQERLEFLYDSGLSVGKSSNSEGFKALEQLPKSDGTDAPSSSASKEGASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALAKIKNNPVKMAMIKKSIEGSDHKEKAHKKEKRKKHRSSKSKHRKQSDSEDEPTEGRKRKTDNGDIDKKHNKSQSDSGYDSGERENRRKDHYEDKKYRERSPSHQQRQRKGKDYEEGANDRNYGRSKSERSVHDAQSNPGYESDGEKRRRNQYEDRKYRERSPSHQQRQRNDKDYKEDGGDRNYNRSKSERYGSEDKSNIDGPKSGGGRFSETSSNRYSGSSHERGYKRRNVAPKLSEEERAAKLKQMQLAAEVHEEQRWKRIKKAEESDAREVVQNNNAGGKNFLDSTQKSVYGAGEGGSASIAESVRRRTYYSQGRSGGEGNAFRR >Vigun05g156485.1.v1.2 pep primary_assembly:ASM411807v1:5:25422314:25425697:1 gene:Vigun05g156485.v1.2 transcript:Vigun05g156485.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGISCDSSAFQDGHLVTNPAFVKLHLQRSSKNTHTLLTFEVWDKRRDYAIVCPVQDLLDNPSSTLETLHHKHLPFNRSYTILGACSGLVCLQDSCIEDKFKEYWFRIGNPTTKVMTKDSPHIRLNLNDYKHPFMFGFGYDEWNDTYQVVLLDNNKNQSQKLEVRVCCLGDTCWRNTLTCDVVAPMIGLQSHGTCGAFVSGTLNWLVYPKSRCSDDERGGTKMNELEIFCYDLKKKTCSYFSMPDGILEVHPFDTELKVLNNTGLQAEFTLFNIRDNRIKVICVHLITSMSSSQCSPVVPQELMVEILSRVPVKDLMRLRCISKWLNTLVFDPTFVKLHLQKSSKNTHILLTFRDYENDESRYCAAPCSLQNLLHNPSSTVDVCHRFNHDYTILGVCNGLVCLQDSYRGDEFEEYWVRFWNPSTRLMGEDSPHIRIRFGDHNYPYLFMFGFGYDDWSDRYQVVFLDNKLQKMEVRVYYLGDSCWRNTLTCNAFPALSVHGAYVCGHLNWLALPKCGPGYRWGTVTINELEIFSYDLKNEKCSYLSMPDGLSEVPPPDVPVLEVLKGCLCLSHHQGTCFVVWIMREFGVAKSWTLLLNVSYEDLHIRVLHGFPALPVILCLSQNDDVMLLASYDSAKFILYNKKDNSIDGRELFNDDKFFFCSYDFVQSLVLPYRN >Vigun05g135700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16209326:16210069:1 gene:Vigun05g135700.v1.2 transcript:Vigun05g135700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRISSLPDEVLCYILSFLPTKLSVSTSILSKRWRPLWRSVPAFDLDYPCPNWNILSHPGFFIYICTFIVSQILKQPIQRLRLRTSHFQSRLVDGNVIMSFVRGAASRGTVQHLDLHLYFSTLIDMSYDLACCKTLRVLKLNSVTLAVFSSADFPLLKVLHLSSIHILDGNHVHQILSACPDVEDLKIEIAYKPTFCGYEDINEFKRLPNLLRAVIDKDVVPLEVVCNVQFLKINIKVCFVRVWIL >Vigun05g083450.1.v1.2 pep primary_assembly:ASM411807v1:5:7891195:7892059:-1 gene:Vigun05g083450.v1.2 transcript:Vigun05g083450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSFLKVNEIILNYSLSDGAGTIESHLKFSFLYYLGGRGVEYEGGWVLGTLDLSTNNLSGEIPPQLFNLTRLAGLNLSRNHLVGKIPSNIGGMTNLEWLDLSNNHLSGEIPAAISKLMFLGLLNLSYNDLSGEIPSGGQLDTLTLWSYIGNPKLCGAPLLKNCSEKVNEEKVNHEEGSNDSLNESLYIGIGVGYFAGLCGVWYSLLFHRAWRHKYFQFLDNILDWLYVFVALKLNKFRELRASSR >Vigun03g381800.1.v1.2 pep primary_assembly:ASM411807v1:3:58635418:58642102:-1 gene:Vigun03g381800.v1.2 transcript:Vigun03g381800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQSTQHHNHDFIFRSKLPDIYIPTHLPLHTYIFQNLTQFNHRPCLINAATGDCFTYADVQLTARRVAAGLHKLGIQHGDVILLLLQNCPQFVFAFLGSSFCGATVTTANPFYTPAEVAKQAAASNAKLIITQASYVDKVKDFARENDVRVMCVDAALEGYLHFSELTEANENDIPGVKISPDDVVALPYSSGTTGLPKGVMLTHKGMVTSVAQQVDGENPNLYIRSEDVVLCVLPLFHIYSLNTVLLCSLRVGAAVLIMPKFDMVKMLELVEKHKVSVAPFVPPIILAVAKSPDLQRYDLSSIRMIMSGAAPVGKELEDSLRDKLPNATLGQGYGMTEAGPVLSMCLAFAKEAGHVKSGSCGTVVRNAEMKIVDPLTAASLHTNQPGEICIRGNQIMKGYLNDEEATKITIDEEGWLHTGDIGYIDDDDQIFIVDRLKELIKYKGFQVAPAELEAMLLVHPKISDAAVVSMKDEVAGEVPVAFVVLSNGSTISEEEIKQYISKQVVFYKRISRVFFVSSIPKAASGKILRRELRARLANGLPSL >Vigun03g004300.1.v1.2 pep primary_assembly:ASM411807v1:3:291643:293455:-1 gene:Vigun03g004300.v1.2 transcript:Vigun03g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMQIANAWVNILYELALKDGMNCSIIVAYRYVFATVFISPLALIIERNKRSNMTWMILFHAFLGGLIGGTVAQNLSVESITLTSATFTTAMSNLGPGITFIVLLCFRLEKLNLITRGGKMKIIGTIIGISGATIMTFIKGPEIKLTTWFHVHRNDHNVLQRDTISGSKNILGALASLGTQVSNALWLINQTKLTERYPYPYSSTALVTLMGALLSLSFAFCVERDLSEWRLGWNVRLFTVAYSGTVTSGVMYVAYSWCLRRKGPLFVSIFSPLMLVIVAFAGSTILDEKLYLGSIIGSMLIVCGLCGVLWGKNEETRRSQSVPS >Vigun10g039550.1.v1.2 pep primary_assembly:ASM411807v1:10:5387604:5389065:1 gene:Vigun10g039550.v1.2 transcript:Vigun10g039550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIGLGTYHKFLNAVSDNISKVLGRRESSDVSLPADNDPYWLAHMGDGHSVSFIVPPNRYLKGMALFVVYASIPGIVATACLRSVLIVNYTKFTMQIHNHGTLISFNDIDWQSIRSNLGSGDKVEIFLNFGHGLIVKNTYVYFFSGESNYFEKEPALLRFIKKIVM >Vigun06g005100.1.v1.2 pep primary_assembly:ASM411807v1:6:2711350:2715435:-1 gene:Vigun06g005100.v1.2 transcript:Vigun06g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVLALPAQKERNVIESERKRGSKKRDKLLSDGKIQSKQKMKKTVEDEQQENANDTEASKFILKRSEPHIYSREKIDQELCSYGCGIGFVEDKLLVEGNIKSKDKKKKKKKVVDHKLQEDDFALGCIDDKLLVDGMIKSKEKRKKKFADNKLQKNGGDTKTSNFILKKREPQGDNREMIDPDSRFYGSELGFVKDILLVDEKIKSKEKNKRKKTVKDDDAETSKFILKMCGPQGVNREKVDVELCCYGSDGNVGEIATEKMESERKRRSRKRKPRQVDIEENSGPDLCSSGSEIVFVGDKLLSDGKVKSKQKKKKTVEDEQRENGDETKTSKLILKRRDPQIDSREKIDPELCSYGCGIGFVEDKLLEDGNIKSKKKKKKKKKKVVDHKLQEDEFAHGFVDDKLLVDGMIKSKEKRKKKFVDNKLQKKGDDSETSNFILKKREPQGDNREMIDPDSRFYGSEIGFVEDNLVLDGKIKLKEKNKRKKTVKDDDAETSKFVLKMCEPQGDNGEKIDVELCCYGGAGNVGELDTEKMESEQKKRLKKRKPHQGDIEENSGPDLCSSACEIVFVGDKLLSDGKIKSKQKKKKTVEEELDDETETRKFILKRREKIGPELCSYGGGIEFVEDKLLVEGNIKSKDKKKKKKVVDHKLQQDDLALCFVDDKLLVDGMIKSKEKRKKKYADNKRQKNGDHTETHNFILKKREPQGDNRGIIDPDSGFYGSEFGFVEDDLLVDGKIKSKEKNKRKKTVKDKLSENCDDAETSKFILKKREPQSDYRENIDLENNLQMNGNDTNAYVNPKKLKKNLSASEKWDEAYKRKTPDNTWKPPRSETVLIQEDHAHDPWRVLVICMLLNRTSGRQTKEIVLDFFKLCPDAKSCTEVATDEIEETIRTLGLQHKRAKMLQRLSEEYLDESWTHVTQLHGVGKYAADAYAIFVNGKWDRVTPTDHMLNYYWEFLRRIYQA >Vigun08g090000.1.v1.2 pep primary_assembly:ASM411807v1:8:20808946:20813724:-1 gene:Vigun08g090000.v1.2 transcript:Vigun08g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECCKKFYEWLCNAKAYLIILSLQFGSAGMYLITMDALNKGMSHYAFVVYRNIIATVALGPFAFFLERKVRPKMTVRIFSELMAVAFVEIILDQCFTFLGMKYTSASFASAVMNSVPSLTFVLAIIFRLERMKLKEFGCLAKMIGTVVSLGGAFLMTLYKGPIINIAGSSATNVGQPENVNDPSGTHWLTGACFLLIGCAGFSAFYILQTITLKKYPAEMSLATWVCFLGALQSGVLTIFMERNTHVWSVGWDSRLLAYAYSGIVTSAIQFYVQGMVIKTTGPVFVTAFNPLRMIIVTALACIVLSEKLHLGSIIGGVVVVVGLYLVVWGKSKEQKKNLMAASPEKVTLQRQKQLPLSVLRSEDNNDNKPQLVIIGDKNHDMEEGRTVTIENLQQ >Vigun09g082900.3.v1.2 pep primary_assembly:ASM411807v1:9:10067564:10073171:-1 gene:Vigun09g082900.v1.2 transcript:Vigun09g082900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNNEKARVIETLLFVAGINTLLQTMFGTRLPAVIGGSYTFVPTTISIILAGRFSGEPDPIEKFKRIMRSIQGALIVASTLQIVLGFSGLWRNVARFLSPLSSVPLVSLVGFGLYELGFPGVAKCVEIGLPQLILIVFVSQYVPHVLHSGKNIFDRFAVIFTVVIVWIYAHLLTVGGAYNDAPPKTQISCRTDRAGLIDSAPWIRIPYPFQWGAPSFDAGEAFAMMMAAFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVGILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPAIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVNTGARWFNDIINVPFQSKAFVAGVVAYFLDNTLFKREGSIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >Vigun09g082900.2.v1.2 pep primary_assembly:ASM411807v1:9:10067564:10073914:-1 gene:Vigun09g082900.v1.2 transcript:Vigun09g082900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNNEKARVIETLLFVAGINTLLQTMFGTRLPAVIGGSYTFVPTTISIILAGRFSGEPDPIEKFKRIMRSIQGALIVASTLQIVLGFSGLWRNVARFLSPLSSVPLVSLVGFGLYELGFPGVAKCVEIGLPQLILIVFVSQYVPHVLHSGKNIFDRFAVIFTVVIVWIYAHLLTVGGAYNDAPPKTQISCRTDRAGLIDSAPWIRIPYPFQWGAPSFDAGEAFAMMMAAFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVGILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPAIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVNTGARWFNDIINVPFQSKAFVAGVVAYFLDNTLFKREGSIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >Vigun09g082900.1.v1.2 pep primary_assembly:ASM411807v1:9:10067564:10073913:-1 gene:Vigun09g082900.v1.2 transcript:Vigun09g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNNEKARVIETLLFVAGINTLLQTMFGTRLPAVIGGSYTFVPTTISIILAGRFSGEPDPIEKFKRIMRSIQGALIVASTLQIVLGFSGLWRNVARFLSPLSSVPLVSLVGFGLYELGFPGVAKCVEIGLPQLILIVFVSQYVPHVLHSGKNIFDRFAVIFTVVIVWIYAHLLTVGGAYNDAPPKTQISCRTDRAGLIDSAPWIRIPYPFQWGAPSFDAGEAFAMMMAAFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVGILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPAIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVNTGARWFNDIINVPFQSKAFVAGVVAYFLDNTLFKREGSIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >Vigun04g174100.1.v1.2 pep primary_assembly:ASM411807v1:4:39845984:39851968:-1 gene:Vigun04g174100.v1.2 transcript:Vigun04g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYSSSSWRLTLSMMGKLALCFLVLLSGARFSSARCLMNRTREGGLMNVKMMMSREQHRRNLVENGLGQTPPMGWNSWNHFSCNINEDLIRETADAMVSTGLAALGYQYINIDDCWGELNRDLQGNLVPKASTFPSGMKALADYVHKKGLKLGIYSDAGNQTCSKTMPGSLGHEEQDAKTFASWGIDYLKYDNCENNNISPKERYPPMSKALSDTGRPIFFSLCEWGSEDPATWAKSVGNSWRTTGDIEDKWDSMISRADLNDKWASYAGPGGWNDPDMLEIGNGGMTTEEYRAHFSIWALAKAPLLIGCDIRTLDATTKDLLSNEEVIAVNQDKLGVQGKKVKSNNDLEVWAGPLSNNKLAVILWNRSSSKAKVTASWSDIGLKPGTVVDARDLWKHSTQSSVSEEISAELDSHACNMYVLTPK >Vigun10g099700.3.v1.2 pep primary_assembly:ASM411807v1:10:29182530:29190434:1 gene:Vigun10g099700.v1.2 transcript:Vigun10g099700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLDHPGIATLIAAHAKPPNYMFFFKLYESRNLAQKFHVEEWIPTLNDALMMAIQLAKALQYLHNLGIVHRDVKPANILLDKSLCPHLTDFGLAEYKNDLKGVSVQNWKSSGKPTGGFHKKNMVGTLIYMAPEILRKELHTEKSDVYSFRISINELLTGVVPYIDLRTEAQAHTVLEMNYTEQQLTAAVVSYGLRPVLATEDLGIPSRLLSMINNCWDGNPNNRPTFDEIVKELDLMMEHCKLKKAEDIYVRPVNLHVDQPVDKTEHLQAYQESFSWSTRGELLTASSANNSGLITRCELYDKASVYHPILSWGSYATCGRRETMEDTHFILPRICDEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTSGFMGSPANALVEAFIRTDAAFRKELDSYRKSNRCIQKDWHPGCTAIVALIVRNKLFVANVGDCRAIICRAGNPISLSKDHVASCPQERKHVIRQGGHVHWQVDTWRVGLPTLQVTRSIGDDDLKPAVTAEPEITENTLCPDDEFLVMASDGLWDVISSTEVINIIKDTVKEPGMCSKRLATEAVERGSKDNITVIVIFLRPVSTAERIY >Vigun10g099700.2.v1.2 pep primary_assembly:ASM411807v1:10:29182530:29190434:1 gene:Vigun10g099700.v1.2 transcript:Vigun10g099700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVEPNTCIRGCCTSPSIPLHLPPASYTLLSPIARGAESVVYEGTLDGTRVAVKKPILSTSEDINKFHKELQLLCKLDHPGIATLIAAHAKPPNYMFFFKLYESRNLAQKFHVEEWIPTLNDALMMAIQLDFGLAEYKNDLKGVSVQNWKSSGKPTGGFHKKNMVGTLIYMAPEILRKELHTEKSDVYSFRISINELLTGVVPYIDLRTEAQAHTVLEMNYTEQQLTAAVVSYGLRPVLATEDLGIPSRLLSMINNCWDGNPNNRPTFDEIVKELDLMMEHCKLKKAEDIYVRPVNLHVDQPVDKTEHLQAYQESFSWSTRGELLTASSANNSGLITRCELYDKASVYHPILSWGSYATCGRRETMEDTHFILPRICDEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTSGFMGSPANALVEAFIRTDAAFRKELDSYRKSNRCIQKDWHPGCTAIVALIVRNKLFVANVGDCRAIICRAGNPISLSKDHVASCPQERKHVIRQGGHVHWQVDTWRVGLPTLQVTRSIGDDDLKPAVTAEPEITENTLCPDDEFLVMASDGLWDVISSTEVINIIKDTVKEPGMCSKRLATEAVERGSKDNITVIVIFLRPVSTAERIY >Vigun10g099700.1.v1.2 pep primary_assembly:ASM411807v1:10:29182530:29190434:1 gene:Vigun10g099700.v1.2 transcript:Vigun10g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVEPNTCIRGCCTSPSIPLHLPPASYTLLSPIARGAESVVYEGTLDGTRVAVKKPILSTSEDINKFHKELQLLCKLDHPGIATLIAAHAKPPNYMFFFKLYESRNLAQKFHVEEWIPTLNDALMMAIQLAKALQYLHNLGIVHRDVKPANILLDKSLCPHLTDFGLAEYKNDLKGVSVQNWKSSGKPTGGFHKKNMVGTLIYMAPEILRKELHTEKSDVYSFRISINELLTGVVPYIDLRTEAQAHTVLEMNYTEQQLTAAVVSYGLRPVLATEDLGIPSRLLSMINNCWDGNPNNRPTFDEIVKELDLMMEHCKLKKAEDIYVRPVNLHVDQPVDKTEHLQAYQESFSWSTRGELLTASSANNSGLITRCELYDKASVYHPILSWGSYATCGRRETMEDTHFILPRICDEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTSGFMGSPANALVEAFIRTDAAFRKELDSYRKSNRCIQKDWHPGCTAIVALIVRNKLFVANVGDCRAIICRAGNPISLSKDHVASCPQERKHVIRQGGHVHWQVDTWRVGLPTLQVTRSIGDDDLKPAVTAEPEITENTLCPDDEFLVMASDGLWDVISSTEVINIIKDTVKEPGMCSKRLATEAVERGSKDNITVIVIFLRPVSTAERIY >Vigun10g099700.4.v1.2 pep primary_assembly:ASM411807v1:10:29183958:29190434:1 gene:Vigun10g099700.v1.2 transcript:Vigun10g099700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEILRKELHTEKSDVYSFRISINELLTGVVPYIDLRTEAQAHTVLEMNYTEQQLTAAVVSYGLRPVLATEDLGIPSRLLSMINNCWDGNPNNRPTFDEIVKELDLMMEHCKLKKAEDIYVRPVNLHVDQPVDKTEHLQAYQESFSWSTRGELLTASSANNSGLITRCELYDKASVYHPILSWGSYATCGRRETMEDTHFILPRICDEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTSGFMGSPANALVEAFIRTDAAFRKELDSYRKSNRCIQKDWHPGCTAIVALIVRNKLFVANVGDCRAIICRAGNPISLSKDHVASCPQERKHVIRQGGHVHWQVDTWRVGLPTLQVTRSIGDDDLKPAVTAEPEITENTLCPDDEFLVMASDGLWDVISSTEVINIIKDTVKEPGMCSKRLATEAVERGSKDNITVIVIFLRPVSTAERIY >Vigun03g126600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12079181:12079766:-1 gene:Vigun03g126600.v1.2 transcript:Vigun03g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRCTIFALMIAISFSTMDITSANLLGGLPIVGSLINGLPIVGSLLPFELAVPPVSETPVPPVSVTSVPPVSEPPVPPVSVP >Vigun02g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31413535:31415796:1 gene:Vigun02g171200.v1.2 transcript:Vigun02g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALPFALIFALLTVCPTTSAHQNPDFAKAIKQHYLPTQEIDVPNSLLTYIVRVEKPDEGGDLYAWYRSLLPSSFESDQNQRRITFLYRNVMNGFAVELTPEEAQALQDKEEVVSVRPERTFPLHTTHTPTFLGLQQGLGLWRNSNFGKGIIIGLLDTGITPDHPSFSDEGMPLPPAKWNGLCEFTGRRTCNNKLIGARNFVKNSNSTLPLDGFGHGTHTASTAAGRFVQGGNVFGNANGTAVGMAPDAHLAMYKVCGRSGCLESAILAGMDTAIEDGVDVLSLSLGGPSFPFFDDPIALGAFSAVQKGIFVSCSAGNSGPDYTSLSNEAPWILTVGASTIDRRILATAKLGNGQVFSGESIFQPHNFNPTLLPLVYAGANGNSSSAICAPGSLENVDVTGKVVLCEVGGLVRSVDKGQEVKNGGGVAMILMNSEIEGYNPLAEIHVLPATQVSYHAGLAIKSYINSTSTPTATILFGGTVIGSLFAPAVTFFSSRGPSSASPGILKPDIIGPGQNILAAWPVSLDNNVPSFNIISGTSMSCPHLAGIAALLKNSHPDWSPAAIKSAIMTSANTVNLGGKSILDQRLLPADVFATGAGHVNPLKANDPGLVYDIQPNDYIPYLCGLNYTDKEVGLILNQNVDCSEVKTIPEAQLNYPSFSIWLGSTPQFYTRTLTNVGATNVTYFSEMDPPVGVGISISPAEITFTEVNQKATYTVGFIPGGDTKNLTFSQGSIRWVSGKYSVSIPVAAVFV >Vigun10g058800.1.v1.2 pep primary_assembly:ASM411807v1:10:11314112:11315076:1 gene:Vigun10g058800.v1.2 transcript:Vigun10g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKMINQNFFLGIIYIVFILASGASGGATSDGTGWKYCQKPGEGNCPNNVACNQFCLSRPYPGGGSCIGNLCCCKA >Vigun05g095666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9272859:9273359:1 gene:Vigun05g095666.v1.2 transcript:Vigun05g095666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERRETCDVRRAMCEVRHATCDVRRETCDVRRATCDVQRAACEVRCATCDVRRATCDVRRATCDVRRATCDVRRATCDVRCAACDVRRVTCSVRRATCGVRRAACDVRFCGVRREACGVRRATCGVRRATCGVRRATCGVRRATCGVRRAMWGVRRATSVNTKP >Vigun07g153100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26432724:26434456:-1 gene:Vigun07g153100.v1.2 transcript:Vigun07g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASDLNDAVFPEEDLDDDDETQEDEEEEDEDDDVLDDEETEPSHSVLAAITLAAPGSAVSQTLGTASTAIVVADSSPKRPRTEQVEEKKALDDSRRLFQRLWTDEDEIGLLQGFLDYTAQRGSSHHNDTALFYDQIKSKLQLGFNKNQLVEKLRRLKKKYRNVITKINDGKEFSFKSPHDRATFEISRRIWSNAAPITGPVEDDDEINPNPNPNPNPNFGHSAKTSLSRKRSRPRSEKREFHDGSALNKDTNGIGNSNNNSNKDNENYNHKHSLQGLIEETVRSCVSPVLKELVNGGMGLGSGFGFGGRGFGVGGGFPLNPLQMPMALSSLNLGIGEMVTDEKWRRQQILELEVYSKRLELVQNEIKVALEELRSAGGG >Vigun05g296500.1.v1.2 pep primary_assembly:ASM411807v1:5:48131610:48135066:1 gene:Vigun05g296500.v1.2 transcript:Vigun05g296500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSMLTQYDIEEVQEHCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKANAQQKIELIFKVFDSDRNGKVSFKDILEVLKDLSGSFMSDEQREEALSQVLKEAGYTKDSYLTLDDFIKVLGQSDLKMDVEVPVD >Vigun05g296500.3.v1.2 pep primary_assembly:ASM411807v1:5:48131550:48135093:1 gene:Vigun05g296500.v1.2 transcript:Vigun05g296500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSMLTQYDIEEVQEHCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKANAQQKIELIFKVFDSDRNGKVSFKDILEVLKDLSGSFMSDEQREEALSQVLKEAGYTKDSYLTLDDFIKVLGQSDLKMDVEVPVD >Vigun05g296500.2.v1.2 pep primary_assembly:ASM411807v1:5:48131610:48135066:1 gene:Vigun05g296500.v1.2 transcript:Vigun05g296500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSMLTQYDIEEVQEHCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKANAQQKIELIFKVFDSDRNGKVSFKDILEVLKDLSGSFMSDEQREEALSQVLKEAGYTKDSYLTLDDFIKVLGQSDLKMDVEVPVD >Vigun04g060500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6201872:6204669:1 gene:Vigun04g060500.v1.2 transcript:Vigun04g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTKEADFPLKQEGVSSSDHEKAKASSSSSSQWLKLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIHLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPIPPGNFTLGYPSLVACNEGSGQNTNTLWKSKSGEMVSDKANWINRSEDDTNNNTNTNTDTNANTASDCDNKHCHGAHVFPNNLLPRPNHPSFLGLMNTMPSLGYQWEASSGDVAQWANHGFVNQTDIHSINVVPIPSSTLALSTGNTSTPQILVCPSGATQPYFPSHVAAMEMNARQINHYQMLTSGSQNLLANSLNPSSQQLMTQSGKVPFSLVRPKLFHSPNTTETHHHHHHHPRKDQDFPSKS >Vigun04g060500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6201872:6204652:1 gene:Vigun04g060500.v1.2 transcript:Vigun04g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTKEADFPLKQEGVSSSDHEKAKASSSSSSQWLKLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIHLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPIPPGNFTLGYPSLVACNEGSGQNTNTLWKSKSGEMVSDKANWINRSEDDTNNNTNTNTDTNANTASDCDNKHCHGAHVFPNNLLPRPNHPSFLGLMNTMPSLGYQWEASSGDVAQWANHGFVNQTDIHSINVVPIPSSTLALSTGNTSTPQILVCPSGATQPYFPSHVAAMEMNARQINHYQMLTSGSQNLLANSLNPSSQQLMTQSGKVPFSLVRPKLFHSPNTTETHHHHHHHPRKDQDFPSKS >Vigun04g060500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6201853:6204652:1 gene:Vigun04g060500.v1.2 transcript:Vigun04g060500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTKEADFPLKQEGVSSSDHEKAKASSSSSSQWLKLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIHLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPIPPGNFTLGYPSLVACNEGSGQNTNTLWKSKSGEMVSDKANWINRSEDDTNNNTNTNTDTNANTASDCDNKHCHGAHVFPNNLLPRPNHPSFLGLMNTMPSLGYQWEASSGDVAQWANHGFVNQTDIHSINVVPIPSSTLALSTGNTSTPQILVCPSGATQPYFPSHVAAMEMNARQINHYQMLTSGSQNLLANSLNPSSQQLMTQSGKVPFSLVRPKLFHSPNTTETHHHHHHHPRKDQDFPSKS >Vigun03g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18290063:18290901:1 gene:Vigun03g162800.v1.2 transcript:Vigun03g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVTVMRHRTSKMLDTITEEEREISTNDSVISQPKTSAASSSASVTNAKSSYFLKGVHRSLSILNQ >Vigun01g151200.3.v1.2 pep primary_assembly:ASM411807v1:1:33393221:33396705:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun01g151200.12.v1.2 pep primary_assembly:ASM411807v1:1:33393126:33396705:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun01g151200.9.v1.2 pep primary_assembly:ASM411807v1:1:33393126:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun01g151200.6.v1.2 pep primary_assembly:ASM411807v1:1:33394088:33396264:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIR >Vigun01g151200.4.v1.2 pep primary_assembly:ASM411807v1:1:33393221:33396705:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun01g151200.13.v1.2 pep primary_assembly:ASM411807v1:1:33393126:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun01g151200.8.v1.2 pep primary_assembly:ASM411807v1:1:33393127:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun01g151200.7.v1.2 pep primary_assembly:ASM411807v1:1:33393126:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun01g151200.11.v1.2 pep primary_assembly:ASM411807v1:1:33393126:33396705:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun01g151200.2.v1.2 pep primary_assembly:ASM411807v1:1:33393222:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun01g151200.5.v1.2 pep primary_assembly:ASM411807v1:1:33393222:33396692:-1 gene:Vigun01g151200.v1.2 transcript:Vigun01g151200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >Vigun11g197600.1.v1.2 pep primary_assembly:ASM411807v1:11:39662108:39664975:1 gene:Vigun11g197600.v1.2 transcript:Vigun11g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTAARRTQKADREKLRRDRLNEQFVALGNILDPDRPKNDKATIIGDTIQLLEDLTSQVSKLKDEYAALTEESCELAREKIDLREEKTSLKSDIEKLNCQYQQQLRTVSPWTAMDHSVMVVPSSYPYPVPMPIPPSPIAMQPYPFYANQHSAIIPSPCSTYVPCLVPNTLVEQQSIQHIAPPLHPGFQSHMSGKQDSRNKCKESMVEKHEDSNDVTADQTYGSSADQDLPSGKIKSSELSRRQSSCAEVSSLGRCSSSSSVQESSSSSVVHEHKG >Vigun01g077966.1.v1.2 pep primary_assembly:ASM411807v1:1:21978519:21979675:-1 gene:Vigun01g077966.v1.2 transcript:Vigun01g077966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMGDERVRMLFLLLLMPLPTILGWGKEGHYAICKIAQGHLSEDTLFSVKQLLLDSAEGDLIAVCSWADECNYEYCNEYVWPFGDCHDSSKHKHRCVTGGIYNYTMQLKAVDAGPSFELNYNLTEVFCLSVVFLELYL >Vigun10g070000.1.v1.2 pep primary_assembly:ASM411807v1:10:16832101:16835228:-1 gene:Vigun10g070000.v1.2 transcript:Vigun10g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENDNSFTQQQTARPKYDCLLFDLDDTLYPLNSGLANATHQNIRDYMVEKLGIEASKTDEMTNLLYSNYGTTLAGLRAIGYDFDYEEYYSFVHGKLPYENLKPDPVLRNLLLSLPYRKLIFTNSDKPESHRKGSVYDDEEKKGSKDTDSTPKTALKCSQIFDIIQHFAQPDPTALLPTTPVICKPSEHAIQLALKKANINPKRTLFFEDSVRNIQAGKRVGLHTLLVGKSQRVKGADYAMESIHKLRETVPELWETEIKAQVIACPGTQKLAVETSLVV >Vigun09g098466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:15461976:15462335:-1 gene:Vigun09g098466.v1.2 transcript:Vigun09g098466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQNHLLYLQLISMPFNYRYLFFHTHSFTSTAIGMWLHTTMVNYTLFAGELATRNKGSEHEIEAIEIDGMVDACVVQKVGIIHFIGCRKKLTIVAIVASSVFLFHINHKAILHITLVL >Vigun11g211300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40620994:40624023:1 gene:Vigun11g211300.v1.2 transcript:Vigun11g211300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSFVLNHLSQLVAREANLLYGVEDRVQSLQYELQMIKELLSSTKSKKGTEHTVLNQIRDVAHVAEDVIDTFVAKVAIYKRRTILGRMLLGFGQARLLRDVTEKIDKIKATLNEIRDNKDKYDAFKETNNQSAAEEEEEEKRVQSLHKLRRNVEEEDVVGFVEDSKDVINRLLEGSLNRKAVSIVGMGGLGKTTLARKVYNSSQVKQHFMCHAWVYVSNECRLRELLLDLLKHLMPDFEQQCRGKKKGKKNTLDINSLSEEELKKLVRNCLERKRYLVVVDDLWKRQDWDEVQDAFPENNRGSRILITSRLKEVALHAAHDVPHYLQFLNEEESWELFRRKVFRGEDYPSDLESLGKQMVQSCRGLPLSITVLAGMLANKEKSYREWSKVVGHVNSYLTQDETQVKDIVLNLSYDNLPRKLKPCFLYFGIYPEDSEILVRPLLQKWVAEGFIQNTGSRDPNDVAEDYLYELIDRSLAQAARVDTNGDVMVIRVHDLLRDLCISESKEDKVFEVCTDNNILIPTKPRRLSVHSKMDHYISSSNNDHSCIRSLFFFGPLYDVRNRDWKWLFERLKLVRVLDFGLIPSSKIPSDIGNFIHLRYLKIQSDYVTFVPDSILNLWNLETVELGPSRFNIFPISFPAQIWKLKHLRHLNISWAIRLRGSCLGSNEKMWNVQTVSTLMLNSQATSLIKKGTFPNVKKLRLRVTSECEDELPKLLQSLQELSYLNMLVIVLRDRDDAGVEHSTDESVKRNSGFKPQELLRNLGQFNCLTILTIENAFDLLTCAFAFPPNVTELTLFRIKCISDEGMNGLGNHTKLKILRLLGD >Vigun09g124100.1.v1.2 pep primary_assembly:ASM411807v1:9:27657174:27666551:-1 gene:Vigun09g124100.v1.2 transcript:Vigun09g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKKRSSKWDLRVDPEFSPDDSKQLRPGWSSADVAGSNSSKWSYLEGSDKLKPDMGFSSKEPFSGGRGSHKDDVMNKDYGGLDATMEWDEDGYSKKISPGSEEWKPKRHSQSPKNGWSRSVRSRSRSPPHGFRWDSGINDRNRMRAGGSTQPCRDFAAGKCRRGSHCHFLHDSQNHEDSWENKSREDGAPRYSVPQEGRDYSLKSGRSNEACTNFAKGRCRMGASCKFVHHDNSDGHGKVSVDELNREREIDRRHRDSSFEQGGGHGPNRSSDTLCKFFANGNCRNGKYCRFSHDRQACRSPNRRLRDDRWPSNPGGDHHRMDRPKLSDSDSPNRRPRDDRWGSDGNKADADKIWDSPKWNDTVAVSDSAKLVEGKSENVGATEPGFTSLPTSYGWSHSLDKSRVHGEQPFSSDKKEVNSWVSGSTATNIHASQSIGTDIWLGDTKMSPDWHYRVGSSNTIEEKHEQNKRGIKQGGTYLATSERDRVQIAAGQGTNQNAESLNPLHSSGLQAVGQSQVPVPILPSKGGIVDGMPNQEVSIEKKYTAEPNIMDAGLSQVSSRNPPPTENLVGQEQLAQLTNLSASLAHILGTGQQLPELYAALNSHYAKDTSSLAKAEVSAVPVSNTFIRSDLAVPVSNTFIHPDPAVGLPKQYDPMSDSVEPKSAVASGVSSSIPPPSKRVAEDVLEIPSQLSNTSRQNHGDSSKAAGPEELVKSDPLVQLQPGQNTEVGKDDNKEMLPEERHKSRDDPKSTKENGPLENMEQTGGPDESKKTKDLKGIRAFKFALVEFVKELLKPTWKEGQITKDDYKTIVKKVVDKVTGSMQGANIPQTQEKIDNYLSFSKPKLNKLVQAYVEKVQKA >Vigun11g221000.1.v1.2 pep primary_assembly:ASM411807v1:11:41317395:41319511:1 gene:Vigun11g221000.v1.2 transcript:Vigun11g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSCIVKMIMVTMMMMTVTRADSINYGEALRKSILFFEGQRSGKLPPSQRMKWRKDSALSDGSDIHINMVGGYYDAGDNVKFHFPMAFTTTMLAWSVVEFGDLMGSELKNALDAIRWGSDYFMKATKFPDLVVAQVGDANADHACSERPEDMDTPRTSYFLTKEKPGSELAAEIAAALAASSIAFRATDASYSNIILNRAIQVFDFANKYRGSYNNSVGAAACPFYCDYNSYMDDLTWGAAWLYKATKKTSYWDFVKSNIEKVGVDPYQFGWDAKTAGINVLVSQWAMNDAASADLFIPDADKLMCSLLPNSPKYVTYSKGGLLFKNGPSNLQSVTALSFLLIVYARFMDHAKKTIPCGQETVDSKRLISIAKTQADYILGNNPLGMSYMVGYGRKYPQKIHHRGSVLPSLDSHPQHLGCRDGDSYFKSEKPNLNVLTGAVVGGPANDDSFQDSRFNVSQSEPATYINAPFVGVLAYFNRPTIPATVV >Vigun01g069500.1.v1.2 pep primary_assembly:ASM411807v1:1:18628699:18631166:-1 gene:Vigun01g069500.v1.2 transcript:Vigun01g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKASVNRPPTPDVIENAPEREPTLQELINIKLIETGEKERLMELLRERLVDCGWKDEMKALCRAVVKKKGRNNVTVDELVHVITPKGRASVPDTIKAELLQRIRTFLVSAAL >Vigun03g278900.1.v1.2 pep primary_assembly:ASM411807v1:3:45693306:45694824:1 gene:Vigun03g278900.v1.2 transcript:Vigun03g278900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDEPKSHLFGIKNLSHKTLLQSDALYQYILETSVYPREHECLKELREMTEKHPRNIMATPPDEGQLLSMLIKLINAKNTLEIGVYTGYSLLSSALALPSDGKILALDVNREYYELGLPIIEKAGVAHKIDFREGPALPFLDELLKDENKKGTFDFVFVDADKNNYLNYHKRVIDLVKVGGLIGYDNTLWAGSVAAPPDAPLMDYVKPLRIYVLELNKYLAQDSRIEICQLSVGDGITLCRRII >Vigun04g176600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40015989:40017428:1 gene:Vigun04g176600.v1.2 transcript:Vigun04g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEPVVHVAFLPSAGMGHLNPCLRLASVFLRYGCKVTLIIPRPTVSLAESNLISSFSSSFPHQVTQIDFKLTPLDPNTVNTNDPFFLQYETIRRSVHLLSPILSSLSTSLSAFIFDIFLVSPILSIADKIFCPSYINFTSSARMLSFIAYVSVLADSDQAAQRHPSKFVGDAIQIPGFTLPIPRSSVPPPFLLEGSNPFQRMIMEDSPNLTKLDGVFMNSFEELEGEALAALNEGKVVRGLPPVYGIGPLRAGEFENVDEGQKGCMSWILEWLNERAEGSVVYVSLGNRTKTRREQIKDMALGLIECGYSFLWVVKLKRVDREEEEDLEDVLGRELMSKLREKGVVVKEFVDQIKILEHPAVGGFVTHGGWNSITEAIGEGVNILTWPQGGDQKINAELLRMRGVGIWAEEWDWGTQKVVEGKEIAKKIKEMMSNESLRVKAGEMKDAARKACGVGGSCEVTIKRLIEEWKRNAQIN >Vigun05g177200.1.v1.2 pep primary_assembly:ASM411807v1:5:33053029:33062215:-1 gene:Vigun05g177200.v1.2 transcript:Vigun05g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSNPFGQSSSSPFGSQSVFGQTNSSTNPFAPKPFGSTSPFGSQTGSSMFGGTSTGVFGAAQQSSPFASNTAFGASSSPAFGSSVPAFGSSSTPAFGSSSSSFGGSSVFGQKPAFGGFGSTPTQTSPFGATQQSQPGFGSSIFGSSTPFGGSSQPAFGATSTPAFGATSTPAFGATSTPAFGASSTPAFGASSTPAFGATSTPAFGATSSPAFGATSTPAFGSTSSPTFGSTGGAFGVSSAPVFGGGGAFGASSNPMFGSSSTSAFGSSSTPFGASSTPAFGASSAPAFSFGSTQAFGQSSSAFGSSSPFGSTASPFGGQSSAFGSQTPSSTFGNTGIGQPGFGGQQRGGSRVTSYTATIEADSGTSGQTAKLESISAMAAYKDKSHEELRWEDYQLGDKGGPLPSGQSTGLAGFSSSTTQTNAFSPSPVFGGQSSANPFSSTTPNSNPFAPKSSPFSSGFGTSAAPAFSSSAFGSSTSAAAPSIFGSSPSPFGANSSSTPSFGQSPSLFNTAPAQTSSPFGSSIFGNTQSSPLFSSAAPPIAQTGSAFGQNTSPFGQTTPFSQSSLFNSPSSGLVGSIFSSSASLTSNNLTGFGQTAQPSISTPFQPAQPAQLSGAFGFSNFGQTQPGGASSFGGTPGLFGQNNFGLPSSTQNAVAVQAVPNTNPFGTLPAMPQMSIGRVGTTPSIQYGISSMPALDKPAPVRISSLLTSRHLSQRRIRLPVRKYHSKNDGPRVPFFSDDEDTTTTPKADALFIPRENPRALIISPMEQWPAKASEKAITFKDRSIPGNENGNISKEASVTPHRTSSQDKDKDKIGVENGVVKEQGQHSASKPMSNGNNEDHSSQKADMYKTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTLPRIHELAAKERAEPGFCSHVKDFVVGRQGYGSIRFLGETDVRGLDLESLIQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGHQYTEGPKIEKYKEMLKRKAEDQGAEFVSYDPTKGEWKIRVNHFSVYKLLEEDVNTWINHEDLN >Vigun05g177200.2.v1.2 pep primary_assembly:ASM411807v1:5:33053029:33062215:-1 gene:Vigun05g177200.v1.2 transcript:Vigun05g177200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSNPFGQSSSSPFGSQSVFGQTNSSTNPFAPKPFGSTSPFGSQTGSSMFGGTSTGVFGAAQQSSPFASNTAFGASSSPAFGSSVPAFGSSSTPAFGSSSSSFGGSSVFGQKPAFGGFGSTPTQTSPFGATQQSQPGFGSSIFGSSTPFGGSSQPAFGATSTPAFGATSTPAFGATSTPAFGASSTPAFGASSTPAFGATSTPAFGATSSPAFGATSTPAFGSTSSPTFGSTGGAFGVSSAPVFGGGGAFGASSNPMFGSSSTSAFGSSSTPFGASSTPAFGASSAPAFSFGSTQAFGQSSSAFGSSSPFGSTASPFGGQSSAFGSQTPSSTFGNTGIGQPGFGGQQRGGSRVTSYTATIEADSGTSGQTAKLESISAMAAYKDKSHEELRWEDYQLGDKGGPLPSGQSTGLAGFSSSTTQTNAFSPSPVFGGQSSANPFSSTTPNSNPFAPKSSPFSSGFGTSAAPAFSSSAFGSSTSAAAPSIFGSSPSPFGANSSSTPSFGQSPSLFNTAPAQTSSPFGSSIFGNTQSSPLFSSAAPPIAQTGSAFGQNTSPFGQTTPFSQSSLFNSPSSGLVGSIFSSSASLTSNNLTGFGQTAPSISTPFQPAQPAQLSGAFGFSNFGQTQPGGASSFGGTPGLFGQNNFGLPSSTQNAVAVQAVPNTNPFGTLPAMPQMSIGRVGTTPSIQYGISSMPALDKPAPVRISSLLTSRHLSQRRIRLPVRKYHSKNDGPRVPFFSDDEDTTTTPKADALFIPRENPRALIISPMEQWPAKASEKAITFKDRSIPGNENGNISKEASVTPHRTSSQDKDKDKIGVENGVVKEQGQHSASKPMSNGNNEDHSSQKADMYKTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTLPRIHELAAKERAEPGFCSHVKDFVVGRQGYGSIRFLGETDVRGLDLESLIQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGHQYTEGPKIEKYKEMLKRKAEDQGAEFVSYDPTKGEWKIRVNHFSVYKLLEEDVNTWINHEDLN >Vigun05g004400.1.v1.2 pep primary_assembly:ASM411807v1:5:364485:371059:-1 gene:Vigun05g004400.v1.2 transcript:Vigun05g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRAFRPHISLASSNSAPSFSSSSSPNPNDSHPSPSRHAHGNGVSSASASRSLKTPSSFAHNYRIAIALVPSALFLLDLGGTPVVATLVVGLMISYILDALSLKPAAFFAVWFSLIFAQLAFFLSASSSLLAAFNSSVAVAAIASFLCAHTTFLLGVWSSLQFRWLLLENPSIAVALERLLFACLPISASSLFAWAAIAAVGINNAAYYLAAFNCCFYWLFSVPRVSSFKTKHEARYHGGEAPRDSFILGPLESCIHTLNLLFVPLLFHIASHYNLLLSSAASFCDLILLFFLPFLFQLYASTRGALWWVTSNPNQLHSIRIVNGAVALVFAVVALEVRVVFHAFGRYIQVPPPLNYVLVTITMLGGSAAAGAYAMGLVSDALSSVAFTTSAIVVSAAGAVVVGFPLLFLPLPAVAGFYLARFFEKKSLVSYFAFVVLGSLMVTWFVLHNFWDLNIWMAGMSLKSFCKLIIANSVLAMAIPGLALLPSKLNLLSEASLISHALLLCYIENRFFNYSSIYYYGFEDEVMYPSYMVVMTTLLGLALVRRLSVDNRIGGKAVWILTCLYFSKIAMLFISSKSVVWVSAVLLLAVSPPLLLYRERSKTTSRMKPWQGYAHACVVALSVWFCRETIFEALQWWNGRSPSDGLILGFCILLTGLACVPIVAIHFSHVLSAKRCLVLVVATGLLFILMQPPLPVSLSYQSDLIKTARHSADDISIYGYTAGKPTWPSWLLIIAILLTLASVTSIIPIKYIVELRTFYSIAMGIALGIYIAAEYFLWAGVLHVLIVVTMVCASVFVVFTHLPSATSTKVLPWVFALLVALFPVTYLLEGQLRIRNIIEESELGTLGEEEKKLTTLLAIEGARISLLGLYAAIFMLIALEIKYKLASILREKAIDSGGVRQNHANQNASSTFLPRMRFMQHRRATTAPSFTIKRMAADGAWMPAVGNVATVLCFAICLVLNVNLTGGSNRAIFFLAPILLLLNQDSDFVAGFGDKHRYFPVTVVISAYFVLTTLYSIWEDVWQGNSGWGLQIGGPDWIFVVKNLALLILTFPSHILFNRYVWSHTKQSDSPPWITLPLNLLPIACTDVLKIKILGILGVIYSLAQYLITRQQYISGLKYI >Vigun11g111900.1.v1.2 pep primary_assembly:ASM411807v1:11:31392489:31396518:-1 gene:Vigun11g111900.v1.2 transcript:Vigun11g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSSDKNGVKRGTWTPEEDKKLVDYITRYGHWNWRLLPQFAGLARCGKSCRLRWLNYLRPNLKRGNYTEEEEQTIIHLHRHLGNRWSAIAAQIPGRTDNEIKNYWHTNLKKRFEQDTPTESQVSNSCEQSPTTTKTTEPSANTFQSFNSSQDCSQFSQHSSFSTTSAECNAVSMNENLDLQDEFSFWDADTDLVSANFWLESYIHDISFSYAASEPEYFSFDAELWSHDN >Vigun04g057850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5708432:5708761:1 gene:Vigun04g057850.v1.2 transcript:Vigun04g057850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLITNLPARDLIRTHPEPTKNLVLKAQRLAQQPAAAENKTPTLPRQLSSGTTSLTAWRHTQRCQAPGYRYQIRNNLTSPGGNKQPVRRHTSTQQYKHRISPGETNH >Vigun03g245800.1.v1.2 pep primary_assembly:ASM411807v1:3:40897181:40901734:-1 gene:Vigun03g245800.v1.2 transcript:Vigun03g245800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEEGGGVEAENWEEERRRSRIGSLKKKAINASSRFTHSLKKRGKRKIDFRVPIEDVRDAKEESAVQELRQRLLQKGFMPPTHDDYHALLRFLKARDFNIEKTMQMWEEMLIWRKEFGTDAILQDFEFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALQEKFPACSIAAKRRISSTTTILDVQGLGIKNFSPTAASLLAAIAKIDNSYYPETLHRMYIINAGLGFKKMLWPAAQKFLDAKTIAKIQVLEPKSTCKLLDIIDSSQLPEILGGSCTCPGEGGCLRSSKGPWNDPDIMKVVHNVEGTSVRENTQMSNEHQNSDSFWIRSQKGQCSDTSTADSGSDLEDSFSSISQSRFTFPRLAPVHEEVRVADNFYSCCDDRASAAEKVLESDEFHITRGQSLQNDDTANTSCMENAEDAGTSVRSWFSFVKEKVEQTNILNVSRVLIYFLERLVMFFRSLRLEFWRTQNNIYPSVAMEHENKNLTEAGETLSERNHILQCTQRLEILEKTFGELSHKPAGIPLEKEQMLMSSLDRIKSVEFDLEKTKRVLHATVMKQFEIAELVENLRASKSQVNCFSVHI >Vigun03g245800.4.v1.2 pep primary_assembly:ASM411807v1:3:40897181:40900572:-1 gene:Vigun03g245800.v1.2 transcript:Vigun03g245800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDFEFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALQEKFPACSIAAKRRISSTTTILDVQGLGIKNFSPTAASLLAAIAKIDNSYYPETLHRMYIINAGLGFKKMLWPAAQKFLDAKTIAKIQVLEPKSTCKLLDIIDSSQLPEILGGSCTCPGEGGCLRSSKGPWNDPDIMKVVHNVEGTSVRENTQMSNEHQNSDSFWIRSQKGQCSDTSTADSGSDLEDSFSSISQSRFTFPRLAPVHEEVRVADNFYSCCDDRASAAEKVLESDEFHITRGQSLQNDDTANTSCMENAEDAGTSVRSWFSFVKEKVEQTNILNVSRVLIYFLERLVMFFRSLRLEFWRTQNNIYPSVAMEHENKNLTEAGETLSERNHILQCTQRLEILEKTFGELSHKPAGIPLEKEQMLMSSLDRIKSVEFDLEKTKRVLHATVMKQFEIAELVENLRASKSQVNCFSVHI >Vigun03g245800.5.v1.2 pep primary_assembly:ASM411807v1:3:40897181:40899428:-1 gene:Vigun03g245800.v1.2 transcript:Vigun03g245800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIINAGLGFKKMLWPAAQKFLDAKTIAKIQVLEPKSTCKLLDIIDSSQLPEILGGSCTCPGEGGCLRSSKGPWNDPDIMKVVHNVEGTSVRENTQMSNEHQNSDSFWIRSQKGQCSDTSTADSGSDLEDSFSSISQSRFTFPRLAPVHEEVRVADNFYSCCDDRASAAEKVLESDEFHITRGQSLQNDDTANTSCMENAEDAGTSVRSWFSFVKEKVEQTNILNVSRVLIYFLERLVMFFRSLRLEFWRTQNNIYPSVAMEHENKNLTEAGETLSERNHILQCTQRLEILEKTFGELSHKPAGIPLEKEQMLMSSLDRIKSVEFDLEKTKRVLHATVMKQFEIAELVENLRASKSQVNCFSVHI >Vigun03g245800.3.v1.2 pep primary_assembly:ASM411807v1:3:40897181:40901734:-1 gene:Vigun03g245800.v1.2 transcript:Vigun03g245800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEEGGGVEAENWEEERRRSRIGSLKKKAINASSRFTHSLKKRGKRKIDFRVPIEDVRDAKEESAVQELRQRLLQKGFMPPTHDDYHALLRFLKARDFNIEKTMQMWEEMLIWRKEFGTDAILQDFEFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALQEKFPACSIAAKRRISSTTTILDVQGLGIKNFSPTAASLLAAIAKIDNSYYPETLHRMYIINAGLGFKKMLWPAAQKFLDAKTIAKIQVLEPKSTCKLLDIIDSSQLPEILGGSCTCPGEGGCLRSSKGPWNDPDIMKVVHNVEGTSVRENTQMSNEHQNSDSFWIRSQKGQCSDTSTADSGSDLEDSFSSISQSRFTFPRLAPVHEEVRVADNFYSCCDDRASAAEKVLESDEFHITRGQSLQNDDTANTSCMENAEDAGTSVRSWFSFVKEKVEQTNILNVSRVLIYFLERLVMFFRSLRLEFWRTQNNIYPSVAMEHENKNLTEAGETLSERNHILQCTQRLEILEKTFGELSHKPAGIPLEKEQMLMSSLDRIKSVEFDLEKTKRVFLHAFISFLLTVLTS >Vigun03g245800.2.v1.2 pep primary_assembly:ASM411807v1:3:40897181:40901288:-1 gene:Vigun03g245800.v1.2 transcript:Vigun03g245800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHDDYHALLRFLKARDFNIEKTMQMWEEMLIWRKEFGTDAILQDFEFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALQEKFPACSIAAKRRISSTTTILDVQGLGIKNFSPTAASLLAAIAKIDNSYYPETLHRMYIINAGLGFKKMLWPAAQKFLDAKTIAKIQVLEPKSTCKLLDIIDSSQLPEILGGSCTCPGEGGCLRSSKGPWNDPDIMKVVHNVEGTSVRENTQMSNEHQNSDSFWIRSQKGQCSDTSTADSGSDLEDSFSSISQSRFTFPRLAPVHEEVRVADNFYSCCDDRASAAEKVLESDEFHITRGQSLQNDDTANTSCMENAEDAGTSVRSWFSFVKEKVEQTNILNVSRVLIYFLERLVMFFRSLRLEFWRTQNNIYPSVAMEHENKNLTEAGETLSERNHILQCTQRLEILEKTFGELSHKPAGIPLEKEQMLMSSLDRIKSVEFDLEKTKRVLHATVMKQFEIAELVENLRASKSQVNCFSVHI >Vigun07g040600.1.v1.2 pep primary_assembly:ASM411807v1:7:3982881:3986104:1 gene:Vigun07g040600.v1.2 transcript:Vigun07g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESLTVCVTGASGFIGSWLVMRLIQRGYTVRATVLDPDNMKEVKHLLDIPGAKSKLSLWKANLAEEGSFDEAIKGCIGVFHLATPIDFESKDPENEVIKPAIRGVIDIMKACLKAKSVRRLVFTSSAITTHITNHQKSLYDETCWSDVELCRRAKMTGWMYFVSKTLAEQEAWKFAKEKGLDFITILPSLVVGPFLLPSMPSSLITALSPITRKEEHYSIIRQAQFVHVEDICLAHIFLFEEPKAEGRYICNACDVTIHDIAKLINKKYPEYKVPTKFEKIPDELEPVRLSSKKIRNLGFQFKYSFEDMYTHAIDACKEKGFLPKTAEIPVNWHGQ >Vigun07g151800.1.v1.2 pep primary_assembly:ASM411807v1:7:26237846:26242176:1 gene:Vigun07g151800.v1.2 transcript:Vigun07g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPNGEEQVLFASENANEVSKSPSLDLNPDSDSGDGSPPVSTVYTDVGVVPEHQKNELEHFISNLEREIEELRVKQKKLDKKRREALSKILDIKGSIRVFCRIRPNLVTEKRRISEPVSAGPEKIRVKWGGTRKDFEFDKVFTQETSQESVFVEVEPILRSAMDGHNVCVFAYGQTGTGKTFTMDGTNEQPGIIPRALEELFHQASLDSSSAFTFSMSMLEVYMGNLRDLLAPKPSGRAHEQPMTKCNLNIQTDPKGLIEIEGLSEVQISDYAKAKWWYNKGRRFRSTSWTNVNEASSRSHCLTRISIFRRGDALEAKSEVSKLWMVDLGGSERLLKTGAKGLTLDEGRAINLSLSALADVVAALKRKRGHVPYRNSKLTQLLKDSLGYGSKVLMLVHISPSEEDVCETICSLNFAKRARAIESNKEVSMEVKKQREKKIMDLEEDIKEAEKQRQNLREQTEKIELKLNDSKKLLTVTDSLVESDDMKASSSPKDDVKEVIETPKASKKSIKTNFSNSMPRFMTSTVASRQRQSAAERDIGTVKMKSHRSTMVSRSSIHFSYSQSLSYSDIRIKALLRSSNGKSRHAEADSVPILEPVLTERPKCNDLEPKVTTPRSRMVTSSDQSLRISLGRHRRRMSDLI >Vigun01g221900.1.v1.2 pep primary_assembly:ASM411807v1:1:39531863:39534514:-1 gene:Vigun01g221900.v1.2 transcript:Vigun01g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEMEKRNGRKSEEKDKQKWVLDDCVDYKGRVPLRAATGVRKASLFVLAISMSERISYFGLSANLIMYLTRVIHQDLKTATNNVNYWKGATTLLPLIGGYVGDAYTGRFRMALFCSLLYLKGLIMLTMSQFVPSLKPLNNEISDERSKVHEVVFYLALYSLALGSGGFRPCSQSFGADQFDDDHLQERKEKMSFFNWWTFTFSFALLLGTTVIVYVEDFVSWGVACLALTIFTALTIIAFYMGMPFYRYKMTPKQNPFLPILQVLIAAIRKRNLSSPSNPALLFEVPDSENSQGRLLSHTSSLRFLDKAAIVEEKYRDEKENPWRLATVTRVEETKLILNVVPIWLTSLLVGVCIAYGSTLFVKQTAALNLKISNSFEIPPASMLSLAGFGTMAFVPIYDRIIVPILRKVTGNERGISILRRIGVGLTLLIILMAVAAMVETKRLRMVGNEVVTEEGTKPETMSLMWMIPQFIMLGIGNSFCLTGLQEYFYDQVPDSMRSIGMALYLSGIGVGFFLSSFLLIIVDYVTGKTGKSWIAEDVNSSRLDKLYWLVVGLNILNLFLFVILAMRYTYKTVHKKATEIDGSNTDGVETQP >Vigun11g088300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26368583:26373196:-1 gene:Vigun11g088300.v1.2 transcript:Vigun11g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box component of the SKP-Cullin-F box (SCF) E3 ubiquitin ligase complex, Strigolactone (SL) signal perceptio [Source: Projected from Oryza sativa (Os06g0154200)] MGDGTTVSNLPEEILLNVFSAVSDTRTRNSLSLVCRSFFRLERKTRISLTLRGNARDLHLIPTSFTHVTHLDLSFLSPWGHALFCSSATTTFDPRLLALRLRDAFPRVTSLAVYARDPATLQLLLLSPWPELSSVKLVRWHQRPPTSPAGSDFAVLFSQCRSLASLDLSSFYHWPEDLPPVLAANPDTAASLRRLNLLTTSFTEGFKSHEIESITASCPNLEHFLVACTFDPRYIGFVGDDTLVAIPSNCPKLKLLHLADTSSFSNRREDEGFGGEDGRVSRATLVTLFSGLPLLEELVLDVCKNVRDSSVALEVLGSKCPNLRVLKLGQFQGICLALGSKLDGVALCHGLQSLSVGNCADLDDMGLIEIARGCSRLVRFELQGCKLVTEQGLRTMACLLRRTMIDVKVSGCANLDTAATLRALEPIRDRIERLHVDCVWNGLKESDGLGHGFLNFDLNGLDEVDGGGELMDYFGGGECENTSKRKRQRCKYEMGVHDSFLESNDNGLYGKCWDRLRYLSLWIKVGDLLTPLPVAGLEDCPNLEEILIKVEGDSRGQPKPAEREFGLSILACYPQLLKMQLDCGDTKGYALTAPSGQMDLSLWERFFLNGIGSLSLNELHYWPPQDEDVNQRSVSLPAAGLLQECYTLRKLFIHGTAHEHFMNFFLKTPNLRDVQLREDYYPAPENDMSTEMRVASCSRFEDALNRRRICD >Vigun07g171900.2.v1.2 pep primary_assembly:ASM411807v1:7:28713771:28716092:1 gene:Vigun07g171900.v1.2 transcript:Vigun07g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFQEFDSSSNSDSTVINLSAGNTSNFTPPPPPSSSSSSPPSSASTTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPQHPPPLPPSNNAS >Vigun07g171900.1.v1.2 pep primary_assembly:ASM411807v1:7:28713771:28716092:1 gene:Vigun07g171900.v1.2 transcript:Vigun07g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFQEFDSSSNSDSTVINLSAGNTSNFTPPPPPSSSSSSPPSSASTTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTHLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPQHPPPLPPSNNAKIVI >Vigun10g056200.2.v1.2 pep primary_assembly:ASM411807v1:10:10227953:10232065:1 gene:Vigun10g056200.v1.2 transcript:Vigun10g056200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYLALLILLFLFSLKLLFQTRRFRNLPPGPMSYPIIGNLLQLKQPYHRTFAQMSQKYGQVFSLWFGSRLVVVVCSQSAVQECFTKNDIVLANRPHFLFGKYISYDNSTILHSSYGDHWRHLRRILSLEVVSNNRLTSFYEVRRDEIMRLVQKLANLSRNQFTKVDLKNMFMETSFNTMTRIVAGKRLFGDDCDVNDVEKAKEFKIIIKELVILAGVNNRGDFLPFVRWFDFDNLEKKLKGFGKRTDAFLQELIEERRNGNNNGNTMIDHLLAQQRSQPEQYTDQIIKGLSLSLLLAGTDTSALTLEWTMANLLNHPEVIKKATKEINTHVGSNRLVEESDMSKLPYIQCIVYETLRLHPAAPIWSPHLSSEDCTVGKYNLPKDTIVLVNAWAAHMDPKMWSEPTHFKPERFEKESEVNRLLSFGLGRRACPGSNLAQRTVGLSIALLLQCFEWKRISKEEIDMSEANGITISRKNPLEAMCQLRQSPTVKDVY >Vigun10g056200.1.v1.2 pep primary_assembly:ASM411807v1:10:10229643:10232065:1 gene:Vigun10g056200.v1.2 transcript:Vigun10g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYLALLILLFLFSLKLLFQTRRFRNLPPGPMSYPIIGNLLQLKQPYHRTFAQMSQKYGQVFSLWFGSRLVVVVCSQSAVQECFTKNDIVLANRPHFLFGKYISYDNSTILHSSYGDHWRHLRRILSLEVVSNNRLTSFYEVRRDEIMRLVQKLANLSRNQFTKVDLKNMFMETSFNTMTRIVAGKRLFGDDCDVNDVEKAKEFKIIIKELVILAGVNNRGDFLPFVRWFDFDNLEKKLKGFGKRTDAFLQELIEERRNGNNNGNTMIDHLLAQQRSQPEQYTDQIIKGLSLSLLLAGTDTSALTLEWTMANLLNHPEVIKKATKEINTHVGSNRLVEESDMSKLPYIQCIVYETLRLHPAAPIWSPHLSSEDCTVGKYNLPKDTIVLVNAWAAHMDPKMWSEPTHFKPERFEKESEVNRLLSFGLGRRACPGSNLAQRTVGLSIALLLQCFEWKRISKEEIDMSEANGITISRKNPLEAMCQLRQSPTVKDVY >Vigun05g210300.1.v1.2 pep primary_assembly:ASM411807v1:5:40100318:40103201:1 gene:Vigun05g210300.v1.2 transcript:Vigun05g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQITSATIAGDNVLAAAYAHELPRYGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKDKKELDADVHRKYVFGGHVASYIKTLIEDEPEKYQSHFSAYIKEGIEPDGLEDLYKKVHAAIRADPIFKKSEKQAPKEHKRYNLKKLTYEQRKAKLVARLQALNSAAGDDDDEDDDE >Vigun04g140200.1.v1.2 pep primary_assembly:ASM411807v1:4:34768307:34774098:1 gene:Vigun04g140200.v1.2 transcript:Vigun04g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWSMFCAEADCPGTGGKQPFCFDLKTLKDPSSCFNQFLIFCFDVSVLVMLAFILIQKYLFRPFQGMFQVERYSNLQLISSIINASLGLLYLCLGIWVLEENLRKSHTVLPLSGWLLELFEGFRWLIVGLSVSLKLKQLPRSWLWLFSLVTLFLSSFLCVLSMSYAISNRELTFKEALDVLSFPGAVLLLLCTYKTPKCEDTATSIDEDFYDSLNNDFHEVDPYNYVTPFAKAGFLSRMSFWWLNPLMKIGQEKTLQDEDIPKLPELDRAEFCYLSFIEQLNTQKGKESLSQSSILWAIVFCHWKDILMSGLFALLKVLSVCTGPVLLNAFISIAEGNGSFKYEGYVLVLTLFITKIAESLSQRQWYFRTRLVGMKIRSMLTASIYRKILRLSGAARLTHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSVQLCIALIILFRAIGLATIASLVVIVLTVLCNTPLAKMQHKFQSKLVVAQDERLKVSSEALVNMKVLKLYAWETHFKNAIERLRNIELKLLSAVQLRKAYNIILFWISPVLVSAASFGACYFLNVPLHANNVFTFVATLRLVQEPITAIPDVVGVVIQAKVAFSRIVNFLEATELQSAKFKHRCFDDSIKGSISIKSADFSWEGNVSKSTLRNINLEIRHGQKLAICGEVGSGKSTLLTTILGEVPLTKGTIEVYGKFAYVSQTAWIQTGTIRENILFGSDLDAHRYQETLRRSSLLKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATNLFNEYIMDGLKEKTVLLVTHQVDFLPAFDSVMLMSNGKILEVAPYHHLLTSSREFQNLVNAHNETAGSDNPLDVSSSQRDSTSAREITQAFMEKQLKATNENQLIKEEEREIGNTGFKPYLQYLNQKKGYAYFFMASFCHLMFVILQILQNSWMAANVDNSQVSTLQLIVVYFLIGVASIIFLLIRTLLVVAFGIQSSTYLFVQLINSLFRAPMSFYDCTPLGRILSRVSSDLSIMDLDIPFMLSFTTVGVIYLCSNLTVLAIVSWQVLVVSLPMIYAAIRLQKYYFSTAKELMRVNGTTKSFVANHIAETTAGAVTIRAFEEEDRFFKKNLDLIDINASPFFHSFASNEWLIQRLEVISALLLTSAALCMVLLPPGTFSSGLVGMVLSYGLTLNASLVFLIQNQCSLENYIVSVERINQYMHIPSEAPEVIAGNRPPSNWPVAGKVELNDLQIRYRPYGPLILHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEAAGGKIVVDGIDISSIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQYSDREIWEVLGKCQLREVVQEKEEGLNSSVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCSMVLSISDGKLVEYDEPMNLMNKEGSLFKQLVKEYWSHFHSAESY >Vigun03g393300.1.v1.2 pep primary_assembly:ASM411807v1:3:59948187:59950723:1 gene:Vigun03g393300.v1.2 transcript:Vigun03g393300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLPPLKPEMFDDSESHRHDDVFSDSKDSGLTEEATAVHVKEELDDGAVNGFMDNTPKPMEGLHEMGPPPFLKKTFDMVEDPKTDLVVSWSQTRDSFVVWDSHEFSKTLLPKYFKHSNFSSFIRQLNTYGFRKVDSDRWEFANEGFQGGKRHLLKNIRRRGKYNRLHHGAFNLMKPGVEAEVEKLKKDQNILKVEILKLRQQQENSHVQLTNVQERVRHAEMKQYQMMFFLTRMARRPAFVEQLIQKMRRKRELDGNDMVKRPRLMGNPCYVPFPNTVETTPNVDYRPQNHKQFPSMQSELDEFLSETVNINKMEHPTPSPLEDQLCNPVQGSRAHGCSSANAPDASSAYHVMSEKLMRENSVVDEELDVNDSNIYLELEDLITKPSDWSLGTASGLVEQTS >Vigun07g280300.1.v1.2 pep primary_assembly:ASM411807v1:7:39507445:39511234:-1 gene:Vigun07g280300.v1.2 transcript:Vigun07g280300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METELVQDIVIVGAGIAGVTTSLGLHRLGIRSLVLESSDSLRVTGFSLSIWQNAWKALDAVGVGDKLRRHHQPLNEIVTTSLVTGQQIVAIPFRPTGDQKGIEIRCVQRTTVLEVLVNELPKDTIRYSSKVVGIEESGFYKILHLADGTTIKTKVLIGCDGVNSMVAKWLGFKEASFTRRFAIRGFAQFKTHHGLKLRFMQFFGNGIRVGVVPCNEKQVHWFFTCIPTNQELKELKEDRAKLKPYVLKNIENMPSDVKACIEITDANGFLSYPLRYRQPWDLMMGNISKGNVCIGGDALHPMTPDLGQGACCALEDGVVLARCLAEAFSEEKEEEEVLYKKIEESLKKYAKERRWRSVDVTTTAYMLGSIQQAESRLVTFFRENILATFMASQYLKKSGYDCGKLNSP >Vigun09g148600.1.v1.2 pep primary_assembly:ASM411807v1:9:31197331:31201956:-1 gene:Vigun09g148600.v1.2 transcript:Vigun09g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLPALFEQARKIHAAATESAVDQEVVKKGCQALHRCEDMVNNLGLFSSNETKEDISTANLKYILVPFYLAELMEKIAQEDRLQILKASQAKLKEFISFCEAMELVPKEELESYIEGAPKTVVDQRARKIARFKRQRAAESKLLEIKERKERRGRSTKAAALSTPVEAGEEEVLDDDGEEEREAWTTTISLAICKALDLLDMLKKEEEMLSAVKDRQSKDGAEFSRDVLDERAKKAEAWHRDAAVRARYTKPSPPITCATFAQDVLEGRAKASEAHDHKHQPLIFGPASLVNGSLTTERERMAAQVFQPSHRMPTMSIEEAGLKEMEIMNKWQERNVKLMEEANSSWHNDRKSKPGEDDDEDDDAAQEKARAWDDWKDDNPRGAGNKKLTPCG >Vigun04g172900.1.v1.2 pep primary_assembly:ASM411807v1:4:39686938:39688931:1 gene:Vigun04g172900.v1.2 transcript:Vigun04g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSIFNSESDEDQWVIQINEMVSKTHNSILKKIPISIFHVPKSLSSVKPEAFTPQLVAIGPYTHFRPELYPMERFKIFAAKAVLDHFNKHDLKQVVQEFHDTATFIRASYHKYVDLKDETLLYTMAIDVLFLLNVYHNYLDKKISASFMVGLEDPVQLSGVKLTRNATIRDILMVENQIPNYSLLKILLFESSEPPHLVKEYLGSMLLSFCHQHAPLKCPITITCSEAVSKHHHVLDLMYHLVVSHAVNTETPIPDQGEGTSAVQKSSNSEAVPISFKKVKGVLTWTVDSLKKLKDVNIPLVEPVKRHLDPVLQLSSQLDDLSPRPNLSEEEEEVEETRMVVRIPCVSELFSVGVFFQPVEGGNMAIEFDEKRGIFFLPVLKLDVNSEVIMRNLVAYEALTKPDFLIFTRYTELMTGIVDSVEDVKLLREAGIIDSSSTLNVEEIAELFDGICKSIAPTKTHKLDETINKVNKYYDYKRKIDILKVSTDYVYRSWKFFTLLATFVLLVMTAIETFCAAYDCHRYFIPHH >Vigun04g176200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39999541:40000146:-1 gene:Vigun04g176200.v1.2 transcript:Vigun04g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLVALVLVVALSTKALLGEAGPAPDQVVDTSGKKVRAGENYYIVPASSDIGGLALSTTGQDCPLDVVAVDGYQGQQLSFLPVNDKKGVIRVSTDLNIFFSTYTSCSQSTVWKLKDYDYSTSQWFLTTGGSLGNPGSQTITNWFKIEKYEDAYKMVYCPSVCNYCNYPCSDIGIYQDQYGKRLALTSEPYKVQFQKVQY >Vigun01g006300.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773953:779001:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773221:779089:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773227:779011:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773227:779001:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773184:779089:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773227:779048:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773227:779011:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773953:779001:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun01g006300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:773227:779048:-1 gene:Vigun01g006300.v1.2 transcript:Vigun01g006300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPYLTRNMSWSPREEPPTVVIVSSLLLIIALFVTVTIAEAESETASTSHDDALLLLRFKQFHVSSDPRGFLSDWLPHAPSPCAWRGVTCSPEPRRVTAIDLAGADLSGTLHLPTLTSLPSLSTLLLRGNSFSAFNLSVSPFCSLQTLDLSLNNFSGKFPFANLTPCNRLAYLNLSNNLITAGLGPGPGSGLSLAQLDLSRNLVSDVNLLVSALASSALVYLNFSDNRLAGQLSGSLVSRSANLSTLDLSYNLLSGSVPPQLVNDAVRVLDFSFNNFSRLDFASCGSLTRLSFSRNALSGDEFPRGLSSCDRLEVLDLSRNEFVAEIPGEILRSLRSLKSLFLDRNKFSGEIPSELGSLCGSLVELDLSENKLSGELPLSFVNCSSLRSLNLARNYLSGNFLVSVVSKLQSLEYLNAAFNNLTGQVPLSLVNLKRLRVLDLSSNRLNGNVPSFFCPSELEKLILAGNYFSGTVPSQLGDCKNLRTVDFSFNSLNGSIPWEVWALPNLSDLIMWANNLTGEIPEGICVKGGNLETLILNNNFISGSIPKSIANCTNMIWVSLASNRLTGTIPSGIGKLNALAILQLGNNSLSGRIPPEIGKCGKLIWLDLNSNNLTGNIPFELADQAGLVIPGKVSGKQFAFVRNEGGTSCRGAGGLVEFEDIRTERLEGFPMVHSCPLTRIYSGWTVYTFSSNGSMIYLDLSYNLLSGTIPGNMGGMAYLQVLNLGHNRLSGNIPDSFGGLKAIGVLDLSHNSLNGSIPGSLESLSFLSDLDVSNNNLTGSIPSGGQLTTFPASRYENNSGLCGLPLPSCSALKNRSVGVGGWKKKQPVAAGVVIGLLCFLLFALGLVLALYRVRKSQRKEEIREKYIESLPTSGSSSWKLSSFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVQLLGYCKVGEERLLVYEFMKWGSLEAVLHERAKGGGSNLDWGARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGRRPIDSSEFGDDNNLVGWSKKLYKEKRINEILDPDLIVQTSGESELFQYLRIAFECLDERPYRRPTMIQVMAMFKELQVDTDNDTLDSFSLRDSVIDEA >Vigun02g095900.2.v1.2 pep primary_assembly:ASM411807v1:2:25176007:25179710:-1 gene:Vigun02g095900.v1.2 transcript:Vigun02g095900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSAISDVLVVLPPSASLRLPEFRHLHAFAVSDAKGFLSGGFLKSCPSSYNPKHYNEFYNFRARGLVARASANSRDNSAPFAPLQFESPVGQLLEQISNTHPHLLPAAIDQQLENLQTARDAQKESSTSSDDSLYKRIAEVKEKEKQTTLEEIMYCSIVHKFLENNISMIPKLTATSDPTGRVDLWPNQELKLEAVHSPEAFEMIQSHLSLVLGDRLVGPIQTIVQISKIKLGKLYAASIMYGYFLKRVDERFQLERSMGTLPKDLGKTKSFEEPSPGIKLWDPDSLITVQDYDDDSYSDSDYMDTEGKSFRLRAYVMQLDAETLQRLATVRSKEAISLIEKQTQALFGKPDIRVSDDGSIETSNDELLSLTFSGLTMLVLEAVAFGSFLWDKENYVESKYPFLNE >Vigun02g095900.1.v1.2 pep primary_assembly:ASM411807v1:2:25176007:25179710:-1 gene:Vigun02g095900.v1.2 transcript:Vigun02g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSAISDVLVVLPPSASLRLPEFRHLHAFAVSDAKGFLSGGFLKSCPSSYNPKHYNEFYNFRARGLVARASANSRDNSAPFAPLQFESPVGQLLEQISNTHPHLLPAAIDQQLENLQTARDAQKESSTSSDDSLYKRIAEVKEKEKQTTLEEIMYCSIVHKFLENNISMIPKLTATSDPTGRVDLWPNQELKLEAVHSPEAFEMIQSHLSLVLGDRLVGPIQTIVQISKIKLGKLYAASIMYGYFLKRVDERFQLERSMGTLPKDLGKTKSFEEPSPGIKLWDPDSLITVQDYDDDSYSDSDYMDTEGKSFRLRAYVMQLDAETLQRLATVRSKEAISLIEKQTQALFGKPDIRVSDDGSIETSNDELLSLTFSGLTMLVLEAVAFGSFLWDKENYVESKYPFLNE >Vigun05g084900.1.v1.2 pep primary_assembly:ASM411807v1:5:8047879:8051169:1 gene:Vigun05g084900.v1.2 transcript:Vigun05g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGVRIISLVLFLMLTRNLSCNAFGGSMKDEEALKEGQNDMENSVSNYGEMRGVKDDDDWKMVQKKGNQFVVNDKPFYVNGFNTYWLMVFAADESTRGKVTEVFKQASSVGMTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLSNNWEAYGGKAQYVKWGNAAGLNLTSDDDFFSHPTLRSYYKAHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCTSDPTGDKLQDWIQEMAFQVKKIDPKHMVEVGLEGFYGPSTPQRTQFNPNTYATQVGTDFIRNHLALGVDFASVHIYADSWISQQIADSHLSFIKSWMEAHIEDAEKYLGMPVVFAEFGVSSKDPGYNSSYRDTVISSVYNTILNSTKKGGSGAGSLLWQFFPDGTDNMDDGYAIVLSKSPSTSNIIQLQSSRLALFNSVCNTKCSWGCKKKKLLNNILYHDEL >Vigun10g107000.1.v1.2 pep primary_assembly:ASM411807v1:10:30575572:30581340:1 gene:Vigun10g107000.v1.2 transcript:Vigun10g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHDFTQNLLMRMTKWENQLPLWWECLLV >Vigun10g107000.2.v1.2 pep primary_assembly:ASM411807v1:10:30575572:30580026:1 gene:Vigun10g107000.v1.2 transcript:Vigun10g107000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPNLELQESGWEELRKEARKIEGDLDVKLSSYAKLGGRFTQTGHVDGGSPPLGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHDFTQIFQGIHKENNKFLGCVSYFSIFALRTTRSLPASSSMNGYPEISLIWII >Vigun03g130950.1.v1.2 pep primary_assembly:ASM411807v1:3:12737645:12738159:1 gene:Vigun03g130950.v1.2 transcript:Vigun03g130950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKISDFGMAKIFRKYHLEAIIERIVGTSRYIPPEYVRKGIYSTKYNFRVLLLQIISGKSTSCYYDMHENMTVLEYAYELWKEGRGVEFVDPSLNDTTSPCKIMRCMPTSELMYSF >Vigun05g202350.1.v1.2 pep primary_assembly:ASM411807v1:5:39093026:39094015:1 gene:Vigun05g202350.v1.2 transcript:Vigun05g202350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLPLQRELCPFNLAPVTSTAIQMVFGDTVAIVLMEARNLTKEEYAANHPVGKIGKSLIFKVKDVMRNQDDLPICRESDLIMDQLVELMSKGCKCLLVIDDRNPRIIGPEAMAVEAMKKMEAPSSPVQFLPVINDENILIGIVPRFSPRPRQKTHIWPRF >Vigun10g061250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12655867:12656214:1 gene:Vigun10g061250.v1.2 transcript:Vigun10g061250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVSLYSPDSFAWISPPTSPIKSLSSWLRLRLPPPIQPPSRRIRSPRSSSRAPLSQSLSDQVSSWPCCYVVSKTAKACCGGTCIDGGGGDVVVEEGHHRGFNGGGKKQTCVL >Vigun11g026800.2.v1.2 pep primary_assembly:ASM411807v1:11:3480921:3485389:1 gene:Vigun11g026800.v1.2 transcript:Vigun11g026800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSQGGGKDSNGKQSQVQPLVRQNSMYSLTLDEVQNQLGDLGKPLTSMNLDELLKNVWTVEAGQAASMDNDGAAQAGQAALQRQASMSLTGALSKKTVDEVWRDIQQNKVMEEKRFRDRQPTLGEMTLEDFLVKAGVVTETTSNQKNADAGSVSTPAPAPAPVPAPTPAPAQVPVPAPAPVPVPVPMDSNVAVPPQFPPQGQWIQYPPVQYQHPQQSLMQIYMPGQGMAQPMHMGPGGSMDVSFADGQVAMQSPMMGAMSDSHTPGRKRTTPEDMMEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEMLRKRQELEKMLPSTPPPEPKYQLRRIGSAPF >Vigun11g026800.1.v1.2 pep primary_assembly:ASM411807v1:11:3479821:3485397:1 gene:Vigun11g026800.v1.2 transcript:Vigun11g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTMGSQGGGKDSNGKQSQVQPLVRQNSMYSLTLDEVQNQLGDLGKPLTSMNLDELLKNVWTVEAGQAASMDNDGAAQAGQAALQRQASMSLTGALSKKTVDEVWRDIQQNKVMEEKRFRDRQPTLGEMTLEDFLVKAGVVTETTSNQKNADAGSVSTPAPAPAPVPAPTPAPAQVPVPAPAPVPVPVPMDSNVAVPPQFPPQGQWIQYPPVQYQHPQQSLMQIYMPGQGMAQPMHMGPGGSMDVSFADGQVAMQSPMMGAMSDSHTPGRKRTTPEDMMEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENEMLRKRQELEKMLPSTPPPEPKYQLRRIGSAPF >Vigun01g144400.3.v1.2 pep primary_assembly:ASM411807v1:1:32580096:32583572:1 gene:Vigun01g144400.v1.2 transcript:Vigun01g144400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYKLVSHFQTQSEPAFCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCESLEEVKVKGITFGKLVCLAQCAGAKVDYFRASHSTIDDFRKYVIKCSMSDDCHVISSYHRAALKQTGTGHFSPIGGYHAGKDMALVLDVARFKYPPHWIPLKILWEGMNYIDEATGRTRGFMLVSRPHREPGLLYTLSCKHESWINIAKFLMDDVPLLLKSENVKDIHQVVSIIVSSLPSKSEQFIKWVAEIRRREDGGPSLSTEEKARLAIKEEVLKQVQETGLFKHVASFLSNSCSRESPDDADALPAIAASICCQGAEIFAGKPWSQAGYSCKVTCMKCLKVEDDKPITMVSGTVVKGNTEQGVDVLIPSSNRKLCSNCSMRMHPASTDVLTVLLLSLPSTTWAGIKDEQLLTEIQCLVSTENLPSLLQEEVLHLRRQLHLLKRCQEGKVDQDLGAPLS >Vigun01g144400.1.v1.2 pep primary_assembly:ASM411807v1:1:32580096:32583572:1 gene:Vigun01g144400.v1.2 transcript:Vigun01g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLYRRLLPCPPAVEFASSQGKELFLEAIHNGTMEGFYKLVSHFQTQSEPAFCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCESLEEVKVKGITFGKLVCLAQCAGAKVDYFRASHSTIDDFRKYVIKCSMSDDCHVISSYHRAALKQTGTGHFSPIGGYHAGKDMALVLDVARFKYPPHWIPLKILWEGMNYIDEATGRTRGFMLVSRPHREPGLLYTLSCKHESWINIAKFLMDDVPLLLKSENVKDIHQVVSIIVSSLPSKSEQFIKWVAEIRRREDGGPSLSTEEKARLAIKEEVLKQVQETGLFKHVASFLSNSCSRESPDDADALPAIAASICCQGAEIFAGKPWSQAGYSCKVTCMKCLKVEDDKPITMVSGTVVKGNTEQGVDVLIPSSNRKLCSNCSMRMHPASTDVLTVLLLSLPSTTWAGIKDEQLLTEIQCLVSTENLPSLLQEEVLHLRRQLHLLKRCQEGKVDQDLGAPLS >Vigun01g144400.2.v1.2 pep primary_assembly:ASM411807v1:1:32577765:32583572:1 gene:Vigun01g144400.v1.2 transcript:Vigun01g144400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYKGHLTSPPAVDFSSDDGKELFLEAIHNGTMEGFYKLVSHFQTQSEPAFCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCESLEEVKVKGITFGKLVCLAQCAGAKVDYFRASHSTIDDFRKYVIKCSMSDDCHVISSYHRAALKQTGTGHFSPIGGYHAGKDMALVLDVARFKYPPHWIPLKILWEGMNYIDEATGRTRGFMLVSRPHREPGLLYTLSCKHESWINIAKFLMDDVPLLLKSENVKDIHQVVSIIVSSLPSKSEQFIKWVAEIRRREDGGPSLSTEEKARLAIKEEVLKQVQETGLFKHVASFLSNSCSRESPDDADALPAIAASICCQGAEIFAGKPWSQAGYSCKVTCMKCLKVEDDKPITMVSGTVVKGNTEQGVDVLIPSSNRKLCSNCSMRMHPASTDVLTVLLLSLPSTTWAGIKDEQLLTEIQCLVSTENLPSLLQEEVLHLRRQLHLLKRCQEGKVDQDLGAPLS >Vigun09g259100.1.v1.2 pep primary_assembly:ASM411807v1:9:42441328:42444669:-1 gene:Vigun09g259100.v1.2 transcript:Vigun09g259100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPRKFVNPVTKWVCEINWRLTGLIIPFVLFLIFFSLHYSSTSNGFNAFYPVKFFLRLPFSGESEHVLNKEELLRSKIAVCLVGGARRFELTGPSIIENILKQYPNSDLFLHSPLDSDTFKFSLLKSAPNVAAVRLFHPQPLPENESYVRVLTAHNSPNGIQGLLQYFNLVEGCLTMIRSHQQKNNFTYQWVVRTRVDGYWNAPLGPQNFVPGKYLVPPGSSYGGLNDRLGIGDLATSTVALSRLSLIPHLDSAGFNNLNSEAAFKAQLTTQNVTHVAKRLPFCVVSDRRYDFPPGQFGVPVAALSSPGPLSGAKCRPCTAVCQGLCVETVMETVEKVWSWTEWENGVLELCDAHEGWESGWEKIFDRVAGKKRARARKRIQSMKMGECVKDFQKLRKRTADWSAPAEDEICTLGLSPH >Vigun09g076300.1.v1.2 pep primary_assembly:ASM411807v1:9:8726757:8732434:1 gene:Vigun09g076300.v1.2 transcript:Vigun09g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEEINLNIESGGGNNGKSGDGFIDRSKVRILLCDNDSKNSQEVFTLLLRCSYQVTLVKSPRQVIDALNAEGQHIDIILAELDLPTKKGMKMLKYIARDKEFRRIPVIMMSAQDEVSVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLVEKNILSYEFDLVASDPSDANTNSTTLFSDDTDDKSKRSNNPEVGLSVQQEQESTIAIAAAAEKPLDAHASEHGPEVNGFNDHRTAHFSSGPKKSELRIGESSAFFTYVKASILKSNFEGVVNVDNNGAAHVRMEVMHQACGQQGVNDLQTRENGETCESQSQDDLPSSSSIPDSLSMERSCTPPASMEVSPQKHKEENFHQGVMHPRNGTHCSEHEVSGMASQHTYPYYISGVVNHVMMPSSAQMYHQKNMQDLQNHPSAAMIAQYSHLPQGGPHGPGMTSFPYYPMSICLQPGQVPQPHSWPSFGSSSSSEAKLSKVDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKLNNSNVDLNGQPASIDYDEDDEEDEDEQGARDSSPEDA >Vigun05g218700.1.v1.2 pep primary_assembly:ASM411807v1:5:41059930:41063525:-1 gene:Vigun05g218700.v1.2 transcript:Vigun05g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATDFRRPVRKKVPDALWWALCCAVILLFVYILSKGNKIESRPALSKRTYKHDKIMEGLNITEEMLNSNSVTRQLNDQISLAKAFVVIAKESNNLQFAWELSAQIHNSQRLLSNAATRRVPLTTRETEKAIRDMALLLYQAQQLHYDSATMIMRFKAKIQALEEQMNLVTEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFRNFNLQKKSKDKRQMEMKLKDNNLYHFCVFSDNILATSVVVNSTAMNSKNPNMIVFHLVTDEINYAAMKAWFAVNDFHGVSVEVQKFEDFTWLNASYVPVLKQLQDSEIQSYYFSGNSDEGRTPIKFRNPKYLSMLNHLRFYIPEVFPSLKKVVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIHAHFDPDACGWAFGMNVFDLVQWRKKNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGIEKYKPLWEKYVEYSHPLLQQCNFH >Vigun07g218900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34154706:34157133:1 gene:Vigun07g218900.v1.2 transcript:Vigun07g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYSPPPLFFFFFFLFPSVVSLPLFPSDNLTLYGDAFFSHKAITLTTHQPTCSSSSSIGRAFFIYPLRFLDPQTNSTASFSSRFSFSILSSPSCPSGEGLAFLIVSSTHFPAISMGLPQPSPSSTSFFAVEFDTTFDPSLGDINDNHVALDVNSASSFASVDAFSRGIDLKSGKLITAWVEYRHAIKMVRVWLAYSFTRPSIPILVSQIDLSERLEDFMHVGFTASNGEGSSDYLVHHWQFTTFGHDSRSMDVIDEGDCFLCYEGDSTGFGHGSNMREIERKKKITELALGLGGLTAFVLSVLAAMIVVCVFLARKKGVVRKNIEQRRSCGFERSKVPTRLSLSEIKSATMGFNRDRLVGEGASAKVYKGYLPFGGDVAVKRFQREKNLNCLHNPFATEFATMVGYLRHKNLVQLKGWCCEGKELVLVYEYLPNGSLNKVLHRNFNSSIVLSWRQRENIVLGVAAALTYLHEECERQIIHRDVKSCNIMLDADFNAKLGDFGLAEVYEHSCSTREATIPAGTMGYLAPEYVYSGVPTVKTDVYSFGVVVLEVGTGRKPVEDDGSVIVDYVWSLWEKGKLIEGGDPRLMGKFDAVDMERMLLVGLLCVHPDDEKRPTVREAARMLKKEAPLAVLPPMKPRLRIRAICPHHHATAPETHHVDGDCITTDEAPYLTPRSQFN >Vigun11g053400.4.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGAVSSCASDIFQLGVLLFELFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQLWDVATSQVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.5.v1.2 pep primary_assembly:ASM411807v1:11:9450110:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGAVSSCASDIFQLGVLLFELFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.1.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGAVSSCASDIFQLGVLLFELFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQLWDVATSQVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.11.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473621:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRHFSLFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.12.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473621:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRHFSLFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.8.v1.2 pep primary_assembly:ASM411807v1:11:9450110:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGALFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.7.v1.2 pep primary_assembly:ASM411807v1:11:9450110:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGALFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQLWDVATSQVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.9.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473621:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRHFSLFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQLWDVATSQVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.6.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473620:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLRQWLDNPQRSVDFCECFNIFRQIVEIVHVAHSQGNAVHNVRPSCFVIMSSSFRHASSMDPATSSDAEIKTPTLTPSCDMHQQRCLGSDDFVSASTSASLSSVVFVPPTSSMEDIEGKGEKVAGKKELFAVKQELVMELSWYTSPEEVAGAVSSCASDIFQLGVLLFELFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun11g053400.10.v1.2 pep primary_assembly:ASM411807v1:11:9450111:9473621:-1 gene:Vigun11g053400.v1.2 transcript:Vigun11g053400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRHFSLFCPHSSTEEKSRTMSSLRQRVLPPQLLLKWPKEASFCLWLLHPEPSSRPTIGDLLQSEFLNEPRHDKEELTLRERIYDQDLLLEFLLLIQQKRVEAAENLQHSISFLCSDIAQVTKQKTEFMEISGTALASDDCSTSSFPFTAVLDNDEDSTSSRNRKRVRTDMSVDDTAECDDQKNDESVLSKSPQFLKNFKKLDSAYFLTRSKSAYSTGKHVVEHTPMREGKSPWTNPFLEGLCKYLSFSRLKVKADLKQGDLLHSSNLVCSLSFDRDAEFFATAGVNKKIKVFEYDTTVNEDLDIHYPVVEMVSRSTLSSTCWNTYIKSQIASSNFEGVVQLWDVATSQVQSEMREHNQRAWSIDFSSADPTLLASASDDGSVKLWTINQGVSVGTIRTKANVCCVQFGIDFAHFLAFGSADHQIYYYDLRNMKGPLCTLVGHNKTVSYIKFVDTMSLVSASTDNTLKLWDLSDSSSRVIDSPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPAFSFKFYSSDPLSLDEANDSSNFITSVCWRGQSTTLIAANSTGNVKILQMA >Vigun10g023500.1.v1.2 pep primary_assembly:ASM411807v1:10:2787554:2792811:1 gene:Vigun10g023500.v1.2 transcript:Vigun10g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSNMQRLITCLLILCFVSPCLCARSTLTTKSNTYNVKDYGAHGDGKSDDSGAFLSAWKDTCGAQETPTLVIPSEGVFLLNYITLNGPCNAPSVNIQLQGKIVASAKKEWIKVSEIQNPNTKGLTNDTSNLIVFSNVTNLSIDGSGGSIDGYGSSWWECKSCGRPTILRFKSCNDLTVSNLTITNSPKAHIRLNSCDNATFSDINIRAPGDSPNTDGFDIYTSKNILIQNSNIQCGDDCIAISDGSSNINATGIACGPGHGISIGSLGRSNAHETVETVHVKNCTFTNTKNGARIKTVPGGSGYARNIIFENITLINADNPIIIDQYYDSYGKSESGALNVSDVTFRGFSGTAAKQKAITLNCCPSGCFDIVLDHVDIVPSTPGKTASCSCNNAHGKSTETVPQCSLSS >Vigun10g078666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21392271:21392965:-1 gene:Vigun10g078666.v1.2 transcript:Vigun10g078666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKLQHYRQNSLALRKNKKLGLKYFGPFPIIQHVGQVTYKLLLPYSAKIHPVFHCSQLKPCHGDHNQPYVPLPMITNEIGLVMQPISILQSRRILRGGQLVNQVLLAWEGFNESQATWEDYDVIKVNYPSFNLEDKVDFNGGGHVREEEAIRAIKETTIVSGSVHVAPDPKEVGTRRSTRTRITNSRLKGFVCDNN >Vigun09g248400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41596709:41597513:1 gene:Vigun09g248400.v1.2 transcript:Vigun09g248400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNSSSCTKIQSSGSEGDLQVVTMDERKNKRKQSNRESARRSRMRKRDHLEDLRKQVSELRKENREIMATIDMTTQHYLKTEAENCVLRAQMGELNQRFQSLNDIIVDIINTNTSYERDCYYLTSSQNFTNTFCLNQPVFQW >Vigun05g249600.1.v1.2 pep primary_assembly:ASM411807v1:5:44339927:44346830:-1 gene:Vigun05g249600.v1.2 transcript:Vigun05g249600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATHVVLALFWFLSIHTPGLFCANVQYDHRALVIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDMIETYVFWNIHEPVRGQYDFDGRKDLVKFVKTVAAAGLYVHLRIGPYVCAEWNYGGFPVWLHFIPGIKFRTDNEPFKAEMKRFTAKIVDMMKQEKLYASQGGPIILSQIENEYGNIDSSYGAAGKSYIKWAATMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNTKPKMWTENWSGWFLSFGGAVPFRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGIVRQPKWGHLKDVHKAIKLCEEALIATDPSIVSLGPNLEAAVYKTGSVCSAFLANVGTTSDATVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSASSVSSFTKESSKEDAGSSETSSAGWSWISEPVGISKADPFSQTGLLEQINTTADKSDYLWYSLSIDHNYGASSQTSLHIESLGHGLHAFINGKLAGSQAGNSGKAKFTVDIPVTLVAGKNTIDLLSLTVGLQNYGAFFDLTGAGITGPVILKGLANASALDLSSQKWTYQVGLKGEDLGLSSGSSGQWNSQSTFPKNQPLTWYKTTFAAPSGTSPVAIDFTGMRKGEAWVNGQSIGRYWPIFVASDAGCTESCNYRGPYSASKCRRNCGKPSQTLYHVPRSWLKPSGNTLVLLEEKGGDPTQISFVTKQIESLCAHVSDSHPPPVELWNSYTESGREVGPVLSLTCPQQNQVISSIKFASYGTPLGTCGNFYHGRCSSSKTLSIVQKACIGSRNCSVGVSTDTFGDPCRGVAKSLAVEASCA >Vigun09g158300.1.v1.2 pep primary_assembly:ASM411807v1:9:32504459:32510788:1 gene:Vigun09g158300.v1.2 transcript:Vigun09g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAAACAERATSDMLIGPDWAINIELCDIINMDPGQAKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGESVFQQIIERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGPTGVYPQYHAAYNELKSAGVEFPPRDENSVPFFTPPQTQPIVHAAEEFDDATIQASLQSDASGLSLTEIQNAEGLADVLMEMLSALNPKDHEGVKEEVIVDLVDQCRSYQKRVMLLVNSTTDEQLLGQGLALNDSLQRVLRRHDDIVKGTSDSGAREAETSVLPLVNVNHEDDEAEEDFAQLAHRSSRDAHVQNRKPIYDKAESGIINPVLPPPPASKKPVYSGTGVVDYLSGDTYKTEGSQNSEPASLAAPAHSSPNPTSSVSSSRPHTVSTSSPIFSSQPVYDEQPSSVDKSSERLPPAHWDTQSTGIIPPPPSKYNQRQQFFEQQGASHSSSGSSSSYDSLVGQTQNLSLNSSTPTKEQKPEDALFKDLVDFAKSKTSSSSKPNRSY >Vigun06g222800.1.v1.2 pep primary_assembly:ASM411807v1:6:33168324:33173278:1 gene:Vigun06g222800.v1.2 transcript:Vigun06g222800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAELKVESLACIDSTTLSHSELLALSLSSLCAFDLRATNDLVTPKIDPALFNESAGSRRQTYSRPQSSPTGRRRRLAGLLPAPKLPPLPAHDPENAENRLIIDYLKQLIREDPKFDQVHLASPSLPQPNVKRKRGRKPKLKLHLEDCYRGIDILNRNGVAVDLSQLATSQDPFADELKRRTEGLSKEEELLGFLRDLPGQWGSRRKKRRIVDAADFGDVLPLSWKILLGLKRKDGRAWIYCRRYISPSGQHFVSCKEVSSYLQSLLGNGDAQSQSSRRSENVVQEQTVPAENSAGVTPERQDHRQIVAVNTEVPGLFAGAHERVKEVALLGIENLADVQIQDLFECRKCNMSFDEKDSYLQHLLSVHQRTTRRYRLGSSVGDGVIIKDGKFECQFCHKVFLERRRYNGHVGIHVRNYVRRVEDSPGQINVLRTDDKSPVREDVPLRISKMDALIEIAQNSIMEGCVTEPHHLAKLNGIPASDIAVGYLDQDGNSESPISEKQMEDSLTKKNVDHHGMDGKVEEVDDNHVIDVKMVTFLDNMGFLSVNKQDVKASEASEVKDDVELTIEELDQSGIDLDGVSEVRILPLSELNMIPESEKGENSESSNAKVQFKPDEGISNKSELEFGLNCLKDVPVTVSTNVQEMVMVASEENVVHSRAFNSTISTEQLMDCLPAFSSDKGGKQFCSLDNEHDNVKGLQELRFDEIDSVDYDFARIQDSPSLPAVSAELANNTVMEETYSSSVQFESQEGMLNIGDRDQLTTVCVWCGIEFNHDSVNSEIQPDSVGFMCPACKAKISGVGL >VigunL059031.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000249.1:2973:3281:-1 gene:VigunL059031.v1.2 transcript:VigunL059031.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun10g034000.1.v1.2 pep primary_assembly:ASM411807v1:10:4569742:4579220:-1 gene:Vigun10g034000.v1.2 transcript:Vigun10g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSASSSSSSSSSSSFLKSEPHFIYDVFINHSGENISRKFVSHLHSAFLEAQVKTLINEENLQEGMKLEEHMRAIAGCKIAIIVFSKTYAESTNCLLELEKIIACHQTFGQTILPIFYEIDPLDVRDQKDDFGKSLEETAHKSYSGEQVEHALSSWTRSLTTVASITGLDVRDFRHDAQLVEITVRRVKTLLDYAELSTTLFPVGLESHVEKVIGCIEKHSTKLCMIGIWGMGGSGKTTLAKAIYNRIYRTFIGKSFSENIREACSRVNRSYVHLQENLLYDVLKSKLEVESVGMGRTMIQNRLSRKKLLIVVDDVNEFGQLENLCLNREWFGRGTVIIITTRDVHLLNRLKVNYIYKMEGMNENDSLELLSWHAFVAAKPRKELNELARNVVAYCGGLPLALKVLGSFLCGRRKKEWESIPSKLNLIPIDQFQEKLKISFDGLYNEMEKDIFLDVCCFFIGKERGYVTEILNACGLRADMGIKVLIERALIRVKRNNKLEMHPLIRDMGREIIRQRSPTELGGRSRLWFHEDLKDILEKNTGTKAIEGLSLKLPSISQDFFECHAFKEMKRLRILELDHVRLTGNYRYLSKQLRWICWKGFPSKYIPNNFYMKNVIAIDFKHSHLQPVWQQPKVLKWLKFLNLSHSKYLRETPDFSTLPSLQRLILKDCPSLCKVHPSIGDLYNIQLINLKDCTSLSSLPREIYKLKSLTTFILSGCFKIHILEEDILQMESLITLVTENITVKQVPCSIVNSKSIGYISLRGFEGFPPNIFPSIIRSWMSSTMNHQSYFSPFCMDTHNWRDFAPLCSSLANIRSVLLQCDTMFQLSEQVKKIVVEYSVNFTEPRISNHQLRFSLIAVRRYNQFLNSLRDSISEGLESSESCDVCLPHDNHPNWLAYMGEGHSVSFTVPEDDDLKGMVLCVVYLSAPEIMANECLQSVLVVNYTKCTLQIHNHGTIISFNDKDWEGIISNLGSGDKVEFFVSFGHGLVVKNTAIYLNIW >Vigun04g192900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41692277:41697114:-1 gene:Vigun04g192900.v1.2 transcript:Vigun04g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSNFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVESIIYGRDADKEIIFNWLTSETDNHNHPSILSIVGMGGLGKTTLAQHVYRDPKMEEAKFDIRAWICVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHRLKQLEDDESWNVFKKQALKDDDLEWNDEKEEIGRRIVEKCKGLPLALKTIGSLLCKESSISYWKMVLENDIWELPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDYGFDKKELILLWMAEGFLHHSQHINNVEEIGEQYFNDLLTRSFFLQSDFKTHFSMHDLLNDLAKYVCAEFCFRLKFDKGNCIPKTIRHFSFAFDDVECFDGFGSLIDAKRLRSFFPYKEFGRRYIDHYPLQFKILVHELFPNFKFLRVLSLDQYSELREVPDSVGDLKHLHSLDLSSTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLNLHKLTKLRCLEFISTKVTKMPMHFGELKNLQVLSAVFVDKNNEFSTKDLGVLNLHGRLSINEVQNIVNPVDALEANLKNKDLVKLELKWKSDHIPDDPRKEKKVLENLQPSKNVEHLSIENYGGTQFPSWVFDNSLSNLVFLMLKDCKYCLCMPPLGLLSSLKTLEIIGFDGIVSIGAEFYGNSSSSFTSLERLTFSDMKELEECEHKTAAFPRLETLKVYQCPKLKGLPDQLVNVKYLNIRDSMKASCLERCEHIVSHNSLEDLNFCAFPIMNIPMSRSFDLLEQIEIISGCDSLTTFPLDFFPNLKALSLFLCHNLQIISQKHTHNRLKHLSILKCSRFDSFPSEGLSAPRLQRMYIDGAENLKLLPKRMQILLPSLSELQIINCPKVEDFPDGGLPPNVKKVSLSSFKLMASLRETLGTNTCLQSLSIEEMDVEFFPDEVLLPQSITSLRIYDCPNLKKMEYKGLCHLSSLTLSNCPNLQCLPEDGLPKSISCLEIWNCPLLEQRCQNPEGQDWKKIAHIQKLSVRS >Vigun04g099460.1.v1.2 pep primary_assembly:ASM411807v1:4:23299864:23303429:-1 gene:Vigun04g099460.v1.2 transcript:Vigun04g099460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFISVYFHWNEILSRLLLVQRTSLQSTRFLYRNVKEYGFVQTCRECGATLRVLGSVWKGLKDLKATRCLEFKGYCARITSLLSFLLLPSTQHSTREFLSPTPSGREIIFYSE >Vigun04g112850.1.v1.2 pep primary_assembly:ASM411807v1:4:28362064:28364308:1 gene:Vigun04g112850.v1.2 transcript:Vigun04g112850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPETVETLTPATSGIDPLPPCMATSSTEHSKGRSDVWGHFTKQDPYSEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRKKMSSSTTEGASVGPSPTISKFDQNASRMKLVKMFVKSELPFRFVEDEDFRDFVRSLQPRFEVPSRTTLRREMWELYEEEKAKLKFFLSKQCGRVCLTTDTWTSIQNLNYMSLTAHFIDEDWKLHKKILNFSQTTGHSGELIAKHVEACLNNWELKRVLSVTVDNATANDVGVQYLKRRMLSWNCLVLKGEYVHMRCCAHILSLIVKDGGLVCLDVETRWNSTYLMLEASLKYKDAFVLLDMQDKKFGAEMAKSSGVPLEEDWEYARSVLLFLKMFYESTMRISGSSYVTSHMYMKEVFGIGKKIRQYSESNDVSIRLMAMRMKGKYDKYWGNPNGINILLLIAVVLDPRSKLNFVNYFIDYLFEPSMASGLKSKLLSSLKTLYDQYQGVEEGSQSSQQESQLDDEDDDPHGMSFYLRVTGRRFDYISELDKYLREDPEPYTKSVELDVLHWWKVNSTRFPILANMAREVLAIPISTVASECAFSTGGRVVNPYRSCLTPKIVEVLVCTQDWLKGTPFSILFNEDPKELDEFEQDITSQDEVGPSSAINLDD >Vigun11g041300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5934919:5937871:-1 gene:Vigun11g041300.v1.2 transcript:Vigun11g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSAPENRSYWRWSKRDFFPEKSFESGKSYRAALAETCPRLRDRLLNRSSDSHELLVLPRASEHLMNRCLTWWDLTWLAFGSVVGSGIFVVTGQEARLHAGPAIVLSYAASGFSALLSALCYTEFAVDVPVAGGSFSFLRIELGDFLAFVAAGNILLEALVGAAGLGRSWSSYFASMVKSDPDFFRIRIPGFKPGFDLLDPLAVAVLLITNGIAVSGTRNTSILTWLSSLITTFVIVFIIIIGFVHGKASNLSPFTPFGVAGVFNSAAVVYWSYSGFDMVATMAEETKKPSRDIPIGLVGSMSMITVIYCLMALSLVSMVKYTEIDPDAAYSVAFVQIGMSWAKYLVSICALKGMTTSLLVGSMGQSRYTTQIARSHMIPPFFALVHPKTGTPVNATLLTTLCSSVIALFSSLDVLSSVFSISTLFIFMLMAVALLVRRYYSRESTGRGELARVLICLFVIIGSSAVGAALWHSRILGWIGYTVAAGVWFLGTLAMSLLPKQRAPKVWGVPLVPWLPSLSVATNLFLMGSLGTEAFWRFIICTAVMFVYYFFVAVHATYDVEHQNKGNTQEGKNNDEGVQDATDEEVVVL >Vigun05g102000.2.v1.2 pep primary_assembly:ASM411807v1:5:10136714:10138473:-1 gene:Vigun05g102000.v1.2 transcript:Vigun05g102000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSRPQFVLFGSSIVQFGFFGDGWAANLAHLYARKADIINRGYAGWNTRRALQVLDKVFPKDARVQPSLVIVYFGGNDSSFPDPTGFGTSVPLEEYLENMKKIINHLQSLSDTTRIIVLSAPPINDEALNIQFARSNGKPSRTNETCRVYSEACLELCQDMNIKAVDLWTALQKVEKWQDACFIDGIHLSPVGNKVVFKEVLKVLKEADWKPSLYWRSMKNEFDEDSPYDPFFDDGRPYNNLSNWVLPDNDYWD >Vigun05g102000.1.v1.2 pep primary_assembly:ASM411807v1:5:10136714:10149033:-1 gene:Vigun05g102000.v1.2 transcript:Vigun05g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSRPQFVLFGSSIVQFGFFGDGWAANLAHLYARKADIINRGYAGWNTRRALQVLDKVFPKDARVQPSLVIVYFGGNDSSFPDPTGFGTSVPLEEYLENMKKIINHLQSLSDTTRIIVLSAPPINDEALNIQFARSNGKPSRTNETCRVYSEACLELCQDMNIKAVDLWTALQKVEKWQDACFIDGIHLSPVGNKVVFKEVLKVLKEADWKPSLYWRSMKNEFDEDSPYDPFFDDGRPYNNLSNWVLPDNDYWD >Vigun01g061675.1.v1.2 pep primary_assembly:ASM411807v1:1:13162216:13163159:1 gene:Vigun01g061675.v1.2 transcript:Vigun01g061675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNVSATIGDNNDPTQAFPGTTVLWTFTTINIEGQNFAYVDRHFSAAFENELEDRWTLVDNFGNIFVVTYNMDPLNPKLTNGWKDIENTYTDQLVDSYVQLRYVGGSRFQITCFVGRCEPYNKESFLLGVVTHPRTALYAVKLTKSQAQASHLDLNVRFGDIIRSLQMDVVYLLARRSGVECKLLVSRNKRSTKFGQGCRQFCVDNHLKEGDRVVFEVDHVQKHCIIEVFINGCNCDVAKSINLE >Vigun06g122400.1.v1.2 pep primary_assembly:ASM411807v1:6:24980511:24982409:1 gene:Vigun06g122400.v1.2 transcript:Vigun06g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKVCVTGGSGYIGSWLINKLLAKGYTVHATLRDLKNESKVGLLKSLPHAEGKLVLFEADIYNPTQFDPAIQGCEYVFHVATPLAHEPGSSQQYKDTTEAAVAGTKSIAMSCVRSGTVKRLIYTASVVSAAPLKEDGSDFKDVMDETCWTPLHDSLYLHDPFYKDYIFSKTTSEKHVLSYGNDENGGGLEVVTLPCGLVGGDTLLSTPSTRTVCIAQIVQNERAYKSLKFLEGLLGKIPLVHIDDVCDAHIFCMETPSITGRFLCASSYISLQEMANHYALYYPEFTVKQENEDGERKDIKWSSTKLCEKGFVYKYDAKMILDDCIKCARRMGEL >Vigun06g122400.2.v1.2 pep primary_assembly:ASM411807v1:6:24980409:24982409:1 gene:Vigun06g122400.v1.2 transcript:Vigun06g122400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKVCVTGGSGYIGSWLINKLLAKGYTVHATLRDLKNESKVGLLKSLPHAEGKLVLFEADIYNPTQFDPAIQGCEYVFHVATPLAHEPGSSQYKDTTEAAVAGTKSIAMSCVRSGTVKRLIYTASVVSAAPLKEDGSDFKDVMDETCWTPLHDSLYLHDPFYKDYIFSKTTSEKHVLSYGNDENGGGLEVVTLPCGLVGGDTLLSTPSTRTVCIAQIVQNERAYKSLKFLEGLLGKIPLVHIDDVCDAHIFCMETPSITGRFLCASSYISLQEMANHYALYYPEFTVKQENEDGERKDIKWSSTKLCEKGFVYKYDAKMILDDCIKCARRMGEL >Vigun03g327000.1.v1.2 pep primary_assembly:ASM411807v1:3:52291298:52293925:1 gene:Vigun03g327000.v1.2 transcript:Vigun03g327000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGRLLEWTPFVAMIMVECLDVGLSTLGKAAMNRGMNHFVFVVYSNALATLILLPLAFFFNRTMRLPLSFALLCKFFLLATLGITVMQNCVFTAIDYSSPTLGSAMNNLTPAITFVLAVIFGMEQLNIGSSISQIKVTGTVLSISGALLVTLYKGIPITSFRIQHSPSKPLSSLLAQTSNWAIGGAFFATASVSLALWNITQAAILKGYSSQSTILAFYCLFGTIQSAILSLIVVKDSNDWKISPDIELISILYSAIIGSVVSFSVMTWCIKRKGPIFVSLFKPVGIAIAAFSSVIFLGETLHIGSVLGAVIIAIGFYTVMWAQSKEENEKRLQVDAQSLPSSEASPLLETIT >Vigun03g327000.3.v1.2 pep primary_assembly:ASM411807v1:3:52291297:52293925:1 gene:Vigun03g327000.v1.2 transcript:Vigun03g327000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGRLLEWTPFVAMIMVECLDVGLSTLGKAAMNRGMNHFVFVVYSNALATLILLPLAFFFNRTMRLPLSFALLCKFFLLATLGITVMQNCVFTAIDYSSPTLGSAMNNLTPAITFVLAVIFGMEQLNIGSSISQIKVTGTVLSISGALLVTLYKGIPITSFRIQHSPSKPLSSLLAQTSNWAIGGAFFATASVSLALWNITQAAILKGYSSQSTILAFYCLFGTIQSAILSLIVVKDSNDWKISPDIELISILYSAIIGSVVSFSVMTWCIKRKGPIFVSLFKPVGIAIAAFSSVIFLGETLHIGSDVGTIQRGKRKTPPS >Vigun03g327000.2.v1.2 pep primary_assembly:ASM411807v1:3:52291298:52293925:1 gene:Vigun03g327000.v1.2 transcript:Vigun03g327000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCVFTAIDYSSPTLGSAMNNLTPAITFVLAVIFGMEQLNIGSSISQIKVTGTVLSISGALLVTLYKGIPITSFRIQHSPSKPLSSLLAQTSNWAIGGAFFATASVSLALWNITQAAILKGYSSQSTILAFYCLFGTIQSAILSLIVVKDSNDWKISPDIELISILYSAIIGSVVSFSVMTWCIKRKGPIFVSLFKPVGIAIAAFSSVIFLGETLHIGSVLGAVIIAIGFYTVMWAQSKEENEKRLQVDAQSLPSSEASPLLETIT >Vigun04g072700.1.v1.2 pep primary_assembly:ASM411807v1:4:8526548:8529392:-1 gene:Vigun04g072700.v1.2 transcript:Vigun04g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFLQEKLKTTTSLCYSHTMKQFLHLRNNYVLGVISLIVILGSVNVKVAESRVQSELAKTEYSAINCRKHSGSLKDFGGVGDGKTSNTKAFNSAISKLSQYGSDGGSTLIVPPGKWLTGPFNLTSHFTLFLMKDAVILASQNELEWPHLPILPSYGRGRDAPGGRFSSLIFGTNLTDVVITGQNGTIDGQGSYWWDKFHKKQLTLTRPYMIEIMYSDQIQISDLTLINSPTWFVHPIYSSNIIVKGLTILAPVDSPNTDGINPDSCTNTRIEDCYIVSGDDCISAKSGWDEYGIKFGKPTQHLVIKRVTCISPDSAMVALGSEMSGGIDDVRVEDLTAINTESAVRIKSAVGRGGFVKNIFVRGLNLNTMKYVFWMTGSYGQHPDNNYDPKALPTVTGINFKDVVAKNVTYSAKLEGISNDPFTGICISNVTIEVSALKKKKLQWNCTDVAGVTNNVTPPPCALLPQKEKTDCAFPNDKLPIEGVQFKTCSL >Vigun08g049300.1.v1.2 pep primary_assembly:ASM411807v1:8:5520256:5524604:-1 gene:Vigun08g049300.v1.2 transcript:Vigun08g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDTFGEIIDGDVFKYYAHGCWNKSSSGKSVPIINPSTRKPQFKVQACTREEVDRVMESAKTAQKSWAKTPLWKRAQLLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYCAEEGVRILGEGKFLVSDSFPGNDRTKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAAIHMVHCFHLAGFPQGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLAASNMVKGAFSYSGQRCTAVKVVLVMESVANTLVKKLNEKVAKLTVGAPENDCDVTPVVTESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAMLVSDAMETGTVQINSAPSRGPDHFPFQGLKDSGIGSQGITNSINMMTKVKTTVISLPAASYTMG >Vigun03g203100.1.v1.2 pep primary_assembly:ASM411807v1:3:32532388:32534845:-1 gene:Vigun03g203100.v1.2 transcript:Vigun03g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVYLVFFLALTRHSSALYCLCKDGVGDQALQKAIDYACGAGADCMPILQNGACYQPNTVKDHCNYAVNSYYQRKGNAQGTCDFAGAATTSANPPATSSGCVYPSSPSNAGTTPSTGSPPGTTPSAGTTPGTPNTFGISPTSTTGLNGKGANLGATNSVLLLLLGLTMWLAMKV >Vigun09g018900.1.v1.2 pep primary_assembly:ASM411807v1:9:1460980:1467366:1 gene:Vigun09g018900.v1.2 transcript:Vigun09g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCVAEAAAVTLFAAWLILVDARFVVEKSSITVLSPHKMRTKRDGAIGNFGLPDYGGFIVGSVVYPAKGSHGCKVFDGDKPFKLQSYRPTIVLLDRGECYFALKVWHAQLAGAAAVLVTDSIDESLITMDSPEESSDADGYIEKIMIPSALIEKSFGDSLKEALNNKDEVLLRIDWRESVPHPDNRVEYEFWTNSNDECGARCDEQMNFVKNFKGHAQILERGGYTLFTPHYITWFCPPPFILSSQCKSQCINHGRYCAPDPEKDFGEGYEGKDVVYENLRQLCVHRVANESNRSWVWWDYVTDFHVRCSMKEKRYSKDCAEEVMKSLDLPMEKIKKCMGDPEADVENEVLKNEQQVQIGRGSRGDITILPTLVLNNVQYRGKLERTAVLKAVCSGFKETTEPSVCLSGDVETNECLERNGGCWEDKKANITACKDTFRGRVCECPVVNGVQYKGDGYTTCEAFGPARCSINNGGCWSETKNGVTFSACSDSKANGCQCPVGFTGDGYKCEDIDECKERSVCQCDGCSCKDTWGGYDCKCKGNLLYIKEQDVCIERSGSRFGRFLAFVVIAVVVGASLAGYVFYKYRLRSYMDSEIMAIMSQYMPLDQQNNVVHAEAQPLRQEDTA >Vigun03g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5138990:5139463:1 gene:Vigun03g062500.v1.2 transcript:Vigun03g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAARSATRFVNLTRALSTEARAQKVERIANELLQLNRFERHDFTVLWRLKMGLHRYGAPVAGVLTPSMAPAGSPGLEASAVAEKTVFDMKLEKYDAAAKIKIIKEVRSFTELGLKEAKDLVEKVPCVLKKGLTKEEANPIMEKLKELGASIVLE >Vigun07g253200.1.v1.2 pep primary_assembly:ASM411807v1:7:37197914:37201640:1 gene:Vigun07g253200.v1.2 transcript:Vigun07g253200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPAATGRELSNPPSDGITNLRFSNHSDHLLVSSWDKSVRLYDASANVLRGEFMHAGPVLDCCFHDDSSGFSAAADNTVRRLVFSSNKEDILGRHDAPVRCVEYSYAAGQLITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGHRLVVATAGRHVNIYDLRNMSQPEQKRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTFEEGPKSNESDAIFVRSVNEIEVKPKPKVYPNPPA >Vigun07g276200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39151857:39153362:-1 gene:Vigun07g276200.v1.2 transcript:Vigun07g276200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGVEGEYEKLKAIFLPFITPSHLVPVVDIARIFAMHGVDVTIITTPGNAAVFQSSIDRDASRGRSIQTHLVQLPHVPGLPEGVETINATTSKDIASKLFEALNKTIQTQFQQLLHQYKPDFFVSDMFYTWSVDAAAEFGIPRLIYVGGTYFAHCAMDSLERFEPHKKVESDDESFLIPGLPYNLEMTRSQIPERFKKPDDTFSYLMKIVKESEKRSHGSLFKSFYAFEGAYEELYRTIMGTKSWNVGPISSWVNQDAADKGSRGHGKEVEEGKGKEGWLAWLNSKKKGSVVYVCFGSMNNFSGTQIREIAHGLEDCGHDFMWVVRKIDEDESRAVVEEFEKRVEASEKGYLIWGWAPQLLILEHPAVGGVVTHCGMNTVIETVDAGLPMVTWPLFAEQFFNERLVVDVLKIAVPVGAKEWKTWGEFGNEVVGREKIAKAIGLLMGGGEEYEEVRRRVKALSEEAKKAIQIGGSSYNSLKDVIQEMKLLKLEKLKKPVA >Vigun09g155600.1.v1.2 pep primary_assembly:ASM411807v1:9:32104447:32107933:1 gene:Vigun09g155600.v1.2 transcript:Vigun09g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCWLVGVLIVVGCGCFLGSVGVEGYPSQDLIERLPGQPNVGFKQYAGYVDVDVNHGRSLFYYFVEAEKDHPNKPLTLWLNGGPGCSSIGGGAFTELGPFYPRGDGRGLRRNSMSWNRASNLLFLESPAGVGWSYSNTTSDYNSGDASTANDTLLFLLKWYEKFPSYRSRELFLTGESYAGHYIPQLANVLLDHNAHSNGFKFNIKGVAIGNPLLKLDRDAPATYEYFWSHGMISDELGLAIANDCDFDDYVFADTHNVSKSCNNAINKANEIVSDYINSYDVIVDVCYPSIVEQELRLKKMATKISIGVDVCMTYERAFYFNLPEVQKALHANRTNLPYNWSMCSGVLNYSDTDPNIDMLPVLKRIVQNHIPVWVFSGDQDSVVPLLGSRTLIRELAHELKFKTKSHMEHGSTKGRLEVG >Vigun09g155600.2.v1.2 pep primary_assembly:ASM411807v1:9:32105152:32107933:1 gene:Vigun09g155600.v1.2 transcript:Vigun09g155600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNRASNLLFLESPAGVGWSYSNTTSDYNSGDASTANDTLLFLLKWYEKFPSYRSRELFLTGESYAGHYIPQLANVLLDHNAHSNGFKFNIKGVAIGNPLLKLDRDAPATYEYFWSHGMISDELGLAIANDCDFDDYVFADTHNVSKSCNNAINKANEIVSDYINSYDVIVDVCYPSIVEQELRLKKMATKISIGVDVCMTYERAFYFNLPEVQKALHANRTNLPYNWSMCSGVLNYSDTDPNIDMLPVLKRIVQNHIPVWVFSGDQDSVVPLLGSRTLIRELAHELKFKTKSHMEHGSTKGRLEVG >Vigun05g130050.1.v1.2 pep primary_assembly:ASM411807v1:5:15039568:15040471:-1 gene:Vigun05g130050.v1.2 transcript:Vigun05g130050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPAIEPNKGNRRRRASSPSPERPNNNLTVVLLPDKHDPFLNGLIKRNWMKLADPLPKFDPEIVREFYANAYSKDNPREKRSRGRWKKEESEPYDENEVALLICAANRSYQVGPTRNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNEKAKGALGFLFLITALCHILGLGADEHIKLSMWLRALTVQAQRCNYRGCALPLS >Vigun03g029900.1.v1.2 pep primary_assembly:ASM411807v1:3:2243049:2247659:1 gene:Vigun03g029900.v1.2 transcript:Vigun03g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKGRRHEEEMGTSETTGKVVVVAVKASRDISRTALVWALTHVVQPGDCIKLLVVIPVLSSSKRVWGFSRFTTDCASSNWRSSLGTASDQKEVITKSCSQLVLQLHDFYDPEKIKIRVKILSGSSCGGVAAEAKRVQSSWVILDKKLKHEKKYCMEQLHCNIVIMKRSRPKILRLNLNSSPKMELNTGSPLKLGRNLTENTEDAEGIIRGPVVTPASSPEQGSPPLTATDVGTSSISSSDPATSPFFHSHNYEKQRRGFTLVHEGLTNLEDIESDSETEKLSMSSKSSYFQPWIANVICMDGGDEDNMGRSSDKSLATAYEALLEKFSKLDEDPILGMLNCKIDVNLSKSVREAISLAKGSAPGPPPLCSICQHKAPVFGNPPRWFTFAELQLATGGFSQANFLAEGGFGSVHRGVLHDGQVIAVKQYKLASTQGDKEFCSEVEVLSCAQHRNVVMLIGFCVENGRRLLVYEYICNGSLDSHLYRRKQNVLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEALVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLLELVTGRKAVDINRPKGQQCLSEWARPLLEKQAIYKLVDPSLRNCYVDQEVYRMLQCSSLCIGRDPHLRPRMSQVLRMLEGDILM >Vigun02g064200.7.v1.2 pep primary_assembly:ASM411807v1:2:21257527:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.4.v1.2 pep primary_assembly:ASM411807v1:2:21257469:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.6.v1.2 pep primary_assembly:ASM411807v1:2:21257527:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.1.v1.2 pep primary_assembly:ASM411807v1:2:21257521:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.5.v1.2 pep primary_assembly:ASM411807v1:2:21257469:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.2.v1.2 pep primary_assembly:ASM411807v1:2:21257469:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun02g064200.3.v1.2 pep primary_assembly:ASM411807v1:2:21257469:21263075:1 gene:Vigun02g064200.v1.2 transcript:Vigun02g064200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSPGKWFKNLLLGKKSSAKSTSSKKNDIFKPSSNKDVVVSSEVPVSDSPANSLLISSPISGANDTKGVLSEKEVVSRSAHDNNVLSTGEEAKVQDVANFETQEDPEKLKLTEAAIKVQAACRSYLARQTFQTLKGAIQLQALIRGHLVRRQAVSALYCVKGIVKFQALARGYIVRRSDIGHAVQKICKDTHCSNSISVVSSTREEKVSENVFVCKLLASSPYAVPLSLTSDPAEPNFARKWLEYWTQSHFWAPLPVLRKKSDSVSDEKNSSSQTVQRGQVKRTTRKSPAVKGDNGSVLAANRSKQRSKKDSSHPLPSAQEHPQKETEKSSLEKKHAHDVSNGSEVVNEKRKHSSRKILDHTVTDVLEQVPNSSSEKMEDLTVAKSEESDPGKGHGQPAEDQNGNEPHKDPIAVLRTSVKKGENGKVQGVGEDQNGGDDNCISNNCQRRASLPANFNDQDSELYTTPVTPRLPSYMAPTESAKAKLRAQNSPRFATDLVEKSSTTRRHSLSSSLNGKSGSFSPRAERLMAVSGRGVIKSDRSLSSSRDGTEKLIQPQWRR >Vigun03g234400.1.v1.2 pep primary_assembly:ASM411807v1:3:39031169:39034792:-1 gene:Vigun03g234400.v1.2 transcript:Vigun03g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLFSVKRLAFSDPFLPHRRRLFSWFSLGVVASLVLLSLFILGKSLRVPKVAVFVPQLNTIGANSSLASCPFLPFNTSHSSSSGSSTSNSSKSDEYKNPVKIIKEGSAVVVDGTNGVNHVLDETKSVKGLENYSFSSYLLSESSSKGKQEGDLVGKNNSRNATVTHYEKMQDGSHEECDIFDGKWVRDESKPYYPLGSCPHIDRDFNCHRNGRPDAEYVKWRWQPYGCKIPSLNATDFLERLRGQRLVFVGDSLNRNMWESLVCILRESIRNKKRVFEISGRSEFKKKGVYSFRFEDYNCSVDFVASPFIVQESTFKSKNGSFETLRLDLMDMTKTRYQDANIIVFNTGHWWTHDKTSKGEDYYQEGNHVHARLKVLDAYTRALTTWAKWVDRKINANQTRVFFRGYSVTHFWGGQWNSGGQCHKETEPILNETYLQKYPSKMRALESVIQHMKTPVVYMNISRLTDYRKDGHPSVYRKEYKKSMDQNSTALFEDCSHWCLPGVPDTWNELLYVSLLKYGTGNWKS >Vigun05g078900.1.v1.2 pep primary_assembly:ASM411807v1:5:7367793:7369229:1 gene:Vigun05g078900.v1.2 transcript:Vigun05g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSSKQTLCGPHLLALPRPWPSKRHHRHLRLGLLHRRRAHLKEVRQRKRMVVVKAEIQMKNLKLYMENQTIIEENEKLRKQAMLLHKENQVLLSQLQKKLSEQTNNTNNNN >Vigun01g099400.1.v1.2 pep primary_assembly:ASM411807v1:1:26617222:26620226:1 gene:Vigun01g099400.v1.2 transcript:Vigun01g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSSSSSSLTTLLHISSQRYLPCSFHSPITLASSFKPLTYSKQHLNHRSCNSKLLAFSYRYFNEEEDEDDDENHTFDEAVALFNGGEYYKCHDYLESLWNNAEEPTSTLFHGILQCAVGFYHLFNQNHRGAMMELGEGLCKLRKMEFSNGPFQKFEKDISAVLDFIYQTQIELAACSENVCVRMDRSERSYELLGEYASGKRVYDLELCSDASVYIVFCPQGSNGSSEAPRVKLPKLKATMEHLVPYQYK >VigunL053350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000350.1:33734:34045:1 gene:VigunL053350.v1.2 transcript:VigunL053350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKTVHVQSKILYEVEPYFNVRKDHVLMCIKSSIYRQISRFTTGIHFLQGTSIFVNKYLKLCFSIMSWQIVSLFPIIEYVPYQEFRTDSRHHSFKVLIQK >Vigun06g146400.3.v1.2 pep primary_assembly:ASM411807v1:6:27172349:27176575:1 gene:Vigun06g146400.v1.2 transcript:Vigun06g146400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSKNVPSASLVGGNSLVHGQHIDCGGSAMDPGNGGNSHSNNSNLTSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKKADKKETGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPESGVVAVVPGDVCQEPDNKTDPSTPDPEKAAKDRVPAKSLSIESFSSHHEPLTPDSGCHVGSPADSPKGERSTKKQRLNMDGSYSKPDMVLPLQILESSMSSYQHPSTVFLGQEQFDPSMGMSTRSGEELDKVGGSNL >Vigun06g146400.4.v1.2 pep primary_assembly:ASM411807v1:6:27172349:27176575:1 gene:Vigun06g146400.v1.2 transcript:Vigun06g146400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSKNVPSASLVGGNSLVHGQHIDCGGSAMDPGNGGNSHSNNSNLTSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKKADKKETGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPESGVVAVVPGDVCQEPDNKTDPSTPDPEKAAKDRVPAKSLSIESFSSHHEPLTPDSGCHVGSPADSPKGERSTKKQRLNMDGSYSKPDMVLPLQILESSMSSYQHPSTVFLGQEQFDPSMGMSTRSGEELDKVGGSNL >Vigun06g146400.2.v1.2 pep primary_assembly:ASM411807v1:6:27168196:27176526:1 gene:Vigun06g146400.v1.2 transcript:Vigun06g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSKNVPSASLVGGNSLVHGQHIDCGGSAMDPGNGGNSHSNNSNLTSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKKADKKETGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPESGVVAVVPGDVCQEPDNKTDPSTPDPEKAAKDRVPAKSLSIESFSSHHEPLTPDSGCHVGSPADSPKGERSTKKQRLNMDGSYSKPDMVLPLQILESSMSSYQHPSTVFLGQEQFDPSMGMSTRSGEELDKVGGSNL >Vigun06g146400.5.v1.2 pep primary_assembly:ASM411807v1:6:27172520:27176526:1 gene:Vigun06g146400.v1.2 transcript:Vigun06g146400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSKNVPSASLVGGNSLVHGQHIDCGGSAMDPGNGGNSHSNNSNLTSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDEGKKADKKETGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPESGVVAVVPGDVCQEPDNKTDPSTPDPEKAAKDRVPAKSLSIESFSSHHEPLTPDSGCHVGSPADSPKGERSTKKQRLNMDGSYSKPDMVLPLQILESSMSSYQHPSTVFLGQEQFDPSMGMSTRSGEELDKVGGSNL >Vigun10g113100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31466701:31473123:1 gene:Vigun10g113100.v1.2 transcript:Vigun10g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSNTTQHNTAQNLSKRSREKREKDKVTVHFPHSLRLGSLDSEFRSMNSNKREEEDDLFLPHPSDLSPPPPPLTDPSSSSFSLLFPSSPSSSHSSPSPPSKPLFISPDPHISSQFYTFTPSSHALMLRSLLLRRLPSPSDIRAATPRNVLASWRTVWKDRNEDTAYLTAWKRIQDKLTTHHDPSTNSHFLCFKNNPHQFVPHVDQWQQIVMSHHADTDLNHLNLKDTLERIKHHWTVGAKFYGIPESFIRVCVAACPACCAAEGSAEPSASRGKRRRFEYTESFDVPAREVPSRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSKVLKREPYASKRCGCGFRIRAIVPIANYNEKDKSFVYQEEGVAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGGYLMDQENVYGVSEEMDGEGGLGCWGRRMVGICSFRCCNRCRS >Vigun03g388400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59459893:59461258:1 gene:Vigun03g388400.v1.2 transcript:Vigun03g388400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPSSEVPPNFWGHMPEEEYYTSQGVRNTKSQFQTPNGKIFTQSFLPLHQPIKATVFMTHGYGSDTGWLFQKICISFANWGYAVFAADLLGHGRSEGLRCYLGDMETVAATSLSFFLDVRRSESYKTLPAFLFGESMGGLATMLMYFQSDPGTWTGLIFSAPLFVIPEDMKPSKVHLFMYGLLFGLADTWAAMPDNKMVGKAIRDPEKLKVIASNPRRYTGPPRVGTMRELLRVTQYVQDNFSKVTAPFFTAHGTADGVTCPSSSKLLYEKASSEDKTLKLYDGMYHSLIQGEPDESANLVLGDMRQWIDDRVRRYGSQ >Vigun07g004100.1.v1.2 pep primary_assembly:ASM411807v1:7:336697:338812:-1 gene:Vigun07g004100.v1.2 transcript:Vigun07g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLLPFLAFLLLHPLSSTSDNILSPIIDEICKGVECGKGTCKASQNTTFFFECECQPGWKQVLSNDDNSDFKFLPCVVPNCTLDYSCSEAPAPVQEKATKSNESIFDACRWVDCGGGSCNKTSMFSYNCACDAGYYNLLNVTTFPCFKQCSLGMGCSELGISVTNSSSSAPPALNDNTKNEGSSMVEGSSHRVSMVVLLVAMLQLQ >Vigun02g026200.1.v1.2 pep primary_assembly:ASM411807v1:2:9022171:9037177:-1 gene:Vigun02g026200.v1.2 transcript:Vigun02g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITDDIVEEISFQGFDDDCNMLGSLLNDILQREAGPTFVDKLEKIRVLAQSACNLRHAGIEDMAELLEKQLSSELSKMTLEEALTLARAFSHHLTLMGIAETHHRVRKGGNMALVAKSCDDIFNHLLQEGVTPEELYNSVCKQGVEIVLTAHPTQINRRTLQYKHIKIAHLLDYNDRTDLGPEDRDMLIEDLVREITSIWLTDELRREKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSSALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVSKDVSLLSRWMAIDLYVREVDTLKFELSMKRCSDKLSKLAHEILEEANNEENHREHWKESRSISQFKYSNQQASPLPTKLPPGAHLPSCTEKGGSEHPRLIPGADHKQFIPKGGEISSSTESSSINTRSPSLMSPSASTSSLVSMSRSPSFNSSQQLLAQRKLFAESQIGRTSFHRLLEPKLPQHPAIAPYRVVLGNVKDKLLRTRRRLELLLENGPCEQNPMNYYETTDQLLEPLLLCYESLQSCGSGVLADGRLTDLIRRVTTFGMVLMKLDLRQESGRHAETLDAVTSYLDLGTYSEWDEEKKLNFLTKELKGKRPLVPPSIEVAPDVREVLDTFRTAAELGSDSFGAYVISMASNASDVLAVELLQKDARLAVSGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTYMAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPLPPREEKWRNLMEEISKLSCQCYRSVVYENPEFLSYFHEATPQSELGFLNIGSRPARRKSTIGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGPCDKGQTEELKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSEKRQEIGGQLRDELVQTRKFVLAVSGHDKPQQNNRSLRKLIESRLPFLNPLNMLQVEILKRLRSDDDNLKARDALLITINGIAAGMRNTG >Vigun02g026200.2.v1.2 pep primary_assembly:ASM411807v1:2:9022171:9030219:-1 gene:Vigun02g026200.v1.2 transcript:Vigun02g026200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLYVREVDTLKFELSMKRCSDKLSKLAHEILEEANNEENHREHWKESRSISQFKYSNQQASPLPTKLPPGAHLPSCTEKGGSEHPRLIPGADHKQFIPKGGEISSSTESSSINTRSPSLMSPSASTSSLVSMSRSPSFNSSQQLLAQRKLFAESQIGRTSFHRLLEPKLPQHPAIAPYRVVLGNVKDKLLRTRRRLELLLENGPCEQNPMNYYETTDQLLEPLLLCYESLQSCGSGVLADGRLTDLIRRVTTFGMVLMKLDLRQESGRHAETLDAVTSYLDLGTYSEWDEEKKLNFLTKELKGKRPLVPPSIEVAPDVREVLDTFRTAAELGSDSFGAYVISMASNASDVLAVELLQKDARLAVSGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTYMAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPLPPREEKWRNLMEEISKLSCQCYRSVVYENPEFLSYFHEATPQSELGFLNIGSRPARRKSTIGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGPCDKGQTEELKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSEKRQEIGGQLRDELVQTRKFVLAVSGHDKPQQNNRSLRKLIESRLPFLNPLNMLQVEILKRLRSDDDNLKARDALLITINGIAAGMRNTG >Vigun02g026200.4.v1.2 pep primary_assembly:ASM411807v1:2:9022171:9035120:-1 gene:Vigun02g026200.v1.2 transcript:Vigun02g026200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLEKQLSSELSKMTLEEALTLARAFSHHLTLMGIAETHHRVRKGGNMALVAKSCDDIFNHLLQEGVTPEELYNSVCKQGVEIVLTAHPTQINRRTLQYKHIKIAHLLDYNDRTDLGPEDRDMLIEDLVREITSIWLTDELRREKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSSALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVSKDVSLLSRWMAIDLYVREVDTLKFELSMKRCSDKLSKLAHEILEEANNEENHREHWKESRSISQFKYSNQQASPLPTKLPPGAHLPSCTEKGGSEHPRLIPGADHKQFIPKGGEISSSTESSSINTRSPSLMSPSASTSSLVSMSRSPSFNSSQQLLAQRKLFAESQIGRTSFHRLLEPKLPQHPAIAPYRVVLGNVKDKLLRTRRRLELLLENGPCEQNPMNYYETTDQLLEPLLLCYESLQSCGSGVLADGRLTDLIRRVTTFGMVLMKLDLRQESGRHAETLDAVTSYLDLGTYSEWDEEKKLNFLTKELKGKRPLVPPSIEVAPDVREVLDTFRTAAELGSDSFGAYVISMASNASDVLAVELLQKDARLAVSGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTYMAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPLPPREEKWRNLMEEISKLSCQCYRSVVYENPEFLSYFHEATPQSELGFLNIGSRPARRKSTIGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGPCDKGQTEELKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSEKRQEIGGQLRDELVQTRKFVLAVSGHDKPQQNNRSLRKLIESRLPFLNPLNMLQVEILKRLRSDDDNLKARDALLITINGIAAGMRNTG >Vigun02g026200.3.v1.2 pep primary_assembly:ASM411807v1:2:9022171:9037177:-1 gene:Vigun02g026200.v1.2 transcript:Vigun02g026200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITDDIVEEISFQGFDDDCNMLGSLLNDILQREAGPTFVDKLEKIRVLAQSACNLRHAGIEDMAELLEKQLSSELSKMTLEEALTLARAFSHHLTLMGIAETHHRVRKGGNMALVAKSCDDIFNHLLQEGVTPEELYNSVCKQGVEIVLTAHPTQINRRTLQYKHIKIAHLLDYNDRTDLGPEDRDMLIEDLVREITSIWLTDELRREKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSSALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVSKDVSLLSRWMAIDLYVREVDTLKFELSMKRCSDKLSKLAHEILEEANNEENHREHWKESRSISQFKYSNQQASPLPTKLPPGAHLPSCTEKGGSEHPRLIPGADHKQFIPKGGEISSSTESSSINTRSPSLMSPSAKSQIGRTSFHRLLEPKLPQHPAIAPYRVVLGNVKDKLLRTRRRLELLLENGPCEQNPMNYYETTDQLLEPLLLCYESLQSCGSGVLADGRLTDLIRRVTTFGMVLMKLDLRQESGRHAETLDAVTSYLDLGTYSEWDEEKKLNFLTKELKGKRPLVPPSIEVAPDVREVLDTFRTAAELGSDSFGAYVISMASNASDVLAVELLQKDARLAVSGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTYMAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPLPPREEKWRNLMEEISKLSCQCYRSVVYENPEFLSYFHEATPQSELGFLNIGSRPARRKSTIGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGPCDKGQTEELKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSEKRQEIGGQLRDELVQTRKFVLAVSGHDKPQQNNRSLRKLIESRLPFLNPLNMLQVEILKRLRSDDDNLKARDALLITINGIAAGMRNTG >Vigun02g026200.5.v1.2 pep primary_assembly:ASM411807v1:2:9022171:9034873:-1 gene:Vigun02g026200.v1.2 transcript:Vigun02g026200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKSCDDIFNHLLQEGVTPEELYNSVCKQGVEIVLTAHPTQINRRTLQYKHIKIAHLLDYNDRTDLGPEDRDMLIEDLVREITSIWLTDELRREKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSSALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVSKDVSLLSRWMAIDLYVREVDTLKFELSMKRCSDKLSKLAHEILEEANNEENHREHWKESRSISQFKYSNQQASPLPTKLPPGAHLPSCTEKGGSEHPRLIPGADHKQFIPKGGEISSSTESSSINTRSPSLMSPSASTSSLVSMSRSPSFNSSQQLLAQRKLFAESQIGRTSFHRLLEPKLPQHPAIAPYRVVLGNVKDKLLRTRRRLELLLENGPCEQNPMNYYETTDQLLEPLLLCYESLQSCGSGVLADGRLTDLIRRVTTFGMVLMKLDLRQESGRHAETLDAVTSYLDLGTYSEWDEEKKLNFLTKELKGKRPLVPPSIEVAPDVREVLDTFRTAAELGSDSFGAYVISMASNASDVLAVELLQKDARLAVSGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSIGRGGGPTYMAIQSQPPGSVMGTLRTTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPLPPREEKWRNLMEEISKLSCQCYRSVVYENPEFLSYFHEATPQSELGFLNIGSRPARRKSTIGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGPCDKGQTEELKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSEKRQEIGGQLRDELVQTRKFVLAVSGHDKPQQNNRSLRKLIESRLPFLNPLNMLQVEILKRLRSDDDNLKARDALLITINGIAAGMRNTG >Vigun07g098800.1.v1.2 pep primary_assembly:ASM411807v1:7:17276854:17281300:1 gene:Vigun07g098800.v1.2 transcript:Vigun07g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWHSLSHLACRRSISSFSKSYACDAPFNRCTKTHELLLQPNKFVTTPFRNMGTLVQKTSPVPSRQRKIMEKSQLEETFESAETTEEMLKAFSNMEAVFDERELGLASLKIGLKLDHEGEDPEKALSFANRALKALDIDNSNPSLPVAMCLQLLGSVNFRLKRFSDSLGYLNRANRVLGRLQDEGFGVDDVRPVLHAVQLELSNVKNAMGRREEALENLRKCLEIKEMTFEEDSGELGKANRELAEAYVAVLNFKEALPYCLKALEIHTKGLGQNSVEVAHDRKLLGIVYSGLEEHEKALEQNVLAQRILKNWNLNADLLRAEIDAANMMIALGRYDEAVGTLNGVVNQTEKDSETRALVLVSMAKALCNQEKFAECKRCLEVSLGILDKRERISPVEVAEAYSEISMQYETMNEFETAILLLKRTLALLEKQPQEQHSEGSVSARIGWLLLLTGKVQKAIPYLESAAERLKDSFGPKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVSLGPHHTDTIEACQNLSKAYGEMGSYALAIEFQQQAIDAWESHGASAEDELREAHRLLEQLKNKAHGPSANELPMKALPLGKTPAITRDSKLKS >Vigun09g214000.1.v1.2 pep primary_assembly:ASM411807v1:9:38813158:38818051:1 gene:Vigun09g214000.v1.2 transcript:Vigun09g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKRMSDSGEEFPVGMRVLAVDDDPICLMVLENLLRKCQYHVTTTNQAITALALLREHKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDTKLVMKGISHGACDYLLKPVRMEELKNIWQHVIRRKKFDSKEKNKTSNLDKPTSDSGNGHGSSGAVNSDQNEKLTKKRKDQDEDEDEEQENDHDNDDPSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISCVANQQANMVAALGGADPSYLRMSSVNGVGHLQTLSGSGQLHNNGFRSFPPGGIINRLNTPAGLNVHGFPSGVLQLSQSQNLNNTNDHLKFRSAITPVNQNGVQGMPLSVQNNKSLISVQNLTSVFNAKTNFPISNKLPDPRPKITSVSRTSDVGISNNALMLEPCPQGTQGSVRIETLSSSVASQHSEFSLPLLDQGRYNDSWTSAVQPPVIQTNSYPPSECFKPTNIPPPDNMATIPLQGGNLTGSSITSLSRQSHDSMTDMHSEGLTLTNRPGNISSNVPFQGWDDRNHDATHHSNNMFASINSLTAVNGATVPTGHAAANSATQRNLDFNFCDPLQMKHDGFVELTEEISSKQHQANILNQQKSQHSHFSNNLGSLEDLVSSMMKQEKDRLKLLDENLICDNYPGGLSM >Vigun06g018800.1.v1.2 pep primary_assembly:ASM411807v1:6:8722313:8726043:1 gene:Vigun06g018800.v1.2 transcript:Vigun06g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGNAADGPADSDAADDKGETNHQNSMRSDSNNKNNGEDNAGATATTPPASAPPPTVTSPPSKSSKPGAMGPVLERPMEDVRATYTIGKELGRGQFGVTHLCTDKVTGEQFACKTIAKRKLVSKEDIEDVRREVQILHHLSGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTFHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKEGEMFKDIVGSAYYIAPEVLKRKYGPEVDIWSVGVMLYILLCGVPPFWAESEHGIFNAILRGHVDFTSDPWPSISPSAKDLVSNMLNSDPKERFTAAQVLDHPWIKEDGEAPDKPLDNAVLNRLKQFRAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITIEELKQGLAKQGTKLSEQEVKQLMEAADADGNGTIDYDEFITATMQMNRMNREEHLYTAFQYFDKDNSGFITTEELEQALREFNMHDGRDIKEILVEVDGDNDGLINYDEFVAMMRKGNTDAATRKRRDSNYT >Vigun08g219200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37846152:37848511:1 gene:Vigun08g219200.v1.2 transcript:Vigun08g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTVFIISNIMLLLSSASAKTASPPSLSPTPAPAPAPDFVNLTELLSVAGPFHTFLGYLESTKVIDTFQNQANNTEEGITIFVPKDTAFSSVKKSALSKLTSDQIKQVILFHALPHFYSLADFTKLSETSSTPTFAGGDYTLNFTDNSGTVLISSGWSRTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPDVAPAADSPTEHSADSKASSPSSNLDGSSSHKLLISFGIWANLVLASFAVLVLF >Vigun08g219200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37846152:37848511:1 gene:Vigun08g219200.v1.2 transcript:Vigun08g219200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTVFIISNIMLLLSSASAKTASPPSLSPTPAPAPAPDFVNLTELLSVAGPFHTFLGYLESTKVIDTFQNQANNTEEGITIFVPKDTAFSSVKKSALSKLTSDQIKQVILFHALPHFYSLADFTKLSETSSTPTFAGGDYTLNFTDNSGTVLISSGWSRTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPDVAPAADSPTEHSADSKASSPSSNLDGSSSHKLLISFGIWANLVLASFAVLVLF >Vigun08g219200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37846075:37848511:1 gene:Vigun08g219200.v1.2 transcript:Vigun08g219200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTVFIISNIMLLLSSASAKTASPPSLSPTPAPAPAPDFVNLTELLSVAGPFHTFLGYLESTKVIDTFQNQANNTEEGITIFVPKDTAFSSVKKSALSKLTSDQIKQVILFHALPHFYSLADFTKLSETSSTPTFAGGDYTLNFTDNSGTVLISSGWSRTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPDVAPAADSPTEHSADSKASSPSSNLDGSSSHKLLISFGIWANLVLASFAVLVLF >Vigun08g219200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37846122:37848511:1 gene:Vigun08g219200.v1.2 transcript:Vigun08g219200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTVFIISNIMLLLSSASAKTASPPSLSPTPAPAPAPDFVNLTELLSVAGPFHTFLGYLESTKVIDTFQNQANNTEEGITIFVPKDTAFSSVKKSALSKLTSDQIKQVILFHALPHFYSLADFTKLSETSSTPTFAGGDYTLNFTDNSGTVLISSGWSRTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPAPAPAPTPDVAPAADSPTEHSADSKASSPSSNLDGSSSHKLLISFGIWANLVLASFAVLVLF >Vigun07g298200.1.v1.2 pep primary_assembly:ASM411807v1:7:40836335:40840301:1 gene:Vigun07g298200.v1.2 transcript:Vigun07g298200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPKIISLSLLNRARKEDFGAGFGRRDFGVTDRHGILTMKCAIERSSNGSDGKKGGVSNSNYVVPLDNSSPFSNSSCITRPLAEILRDLNKRIPDTIVKGHVPGDPSASTFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRLTVRGSDGEAYRESTGTISPSHGSIGDPVSAAEEIAFCKACARFGLGLYLYHED >Vigun03g202154.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31097117:31097737:-1 gene:Vigun03g202154.v1.2 transcript:Vigun03g202154.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDRVFHSSSMIQSIISYLIPLNSIFEVAIESIQYISYVTMGAILDLNQISDQSILIDCLHYVVASKYNYFRFWIFHIISARGLDPFFYDPSIKRFILFIKKRR >Vigun02g031900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:13456814:13456948:-1 gene:Vigun02g031900.v1.2 transcript:Vigun02g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSSSFGFMRSLSPSRASAPSHSSLFGSCKQGWYYRCLGVDP >Vigun01g032300.2.v1.2 pep primary_assembly:ASM411807v1:1:4074333:4077901:1 gene:Vigun01g032300.v1.2 transcript:Vigun01g032300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQILMLIIYTTFFCFMPTSSTITPNQSLQYHETLVSSAGTFEAGFFGFENSTRQYFGIWYKSILPRTIVWVANRNVPAQNSTAVLKLTHQGVIVILDGSGGRLWFSNSSKIAVKPVMQLLDSGNLVVKDGESSENFLWQSFDYPGDTFLPGMKLKSDFLKGPYKYLTSWRDYDDPSEDVFSYMIDTRGFPQQVTTNGTTILYSIGPWNGYIFSGVSWDRTHTFLSFSLEFTDEGVSYGYETLNISVLSITRLKLNPRGGTERFLWSNQRQSWDIVNSHPIDQCEYFGTCGVNSICNINKPPICECLQGFTPKFQAKWDSHDWSGGCVRRTKLSCDNGDWFKEYRGIKLPETSSSWFDRSLSLEECETLCFRNCSCTAYANSDIRDGGSGCLLWFRDIVDLRTHTDQGQEIHIRMPFSERDQRRNNRFLNPKRLAGIVVGFATFIVGLTVLVWATSKRMRGMKLPKPVKKVIPWKHMMEKEDNNLPTMFRFSTIDIATNHFSNRNKVGEGGFGTVHKGTLIDGQEIAVKRLSKTSTQGTEEFKNEVKLMATLQHRNLVKLLGWSIKKDEKLLIYEFMPNRSLDYFIFDTLQGKSLDWTKRLKIVEGIARGLMYLHQDSRLRIIHRDIKTSNILLDDNMIPKISDFGLARIFGADQAEANTNRVMGTHGYMPPEYAGHGCFSTKSDVFSFGVIVIEIISGRKNRGFRDPHHHLLNLLGHAWRLWNEERPLELMDEILDDGADLSLEILRCIHVGLLCVQQNPENRPNMSSVVLMLNGEKLLPRPSQPGFYIGKDHIADTGSSKQHDRCSINEVSISSLEAR >Vigun11g022600.1.v1.2 pep primary_assembly:ASM411807v1:11:2744313:2750333:1 gene:Vigun11g022600.v1.2 transcript:Vigun11g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDDGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >Vigun11g022600.4.v1.2 pep primary_assembly:ASM411807v1:11:2745696:2750333:1 gene:Vigun11g022600.v1.2 transcript:Vigun11g022600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNGHIKRPQDEDIQSNVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDDGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >Vigun11g022600.3.v1.2 pep primary_assembly:ASM411807v1:11:2744531:2750333:1 gene:Vigun11g022600.v1.2 transcript:Vigun11g022600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDDGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >Vigun11g022600.2.v1.2 pep primary_assembly:ASM411807v1:11:2744407:2750333:1 gene:Vigun11g022600.v1.2 transcript:Vigun11g022600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHIKRPQDEDIQSNVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDDGWNQIQFNLADFTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >Vigun09g095650.1.v1.2 pep primary_assembly:ASM411807v1:9:14271896:14284216:1 gene:Vigun09g095650.v1.2 transcript:Vigun09g095650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLKNGDIKIVVGNNFDEIVLDDSKMFSLR >Vigun09g002000.2.v1.2 pep primary_assembly:ASM411807v1:9:163619:169622:1 gene:Vigun09g002000.v1.2 transcript:Vigun09g002000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGSKALVARSSMGDHDYESLKQAKSKRRKHKKGGAAHESDSNPKHSNTNSNTFTSPSQQSRVRKQLDPETTQYFSEIANLFETEGVELEERSLICANALQETKGKEFEIATDYIVSHTLETILQGCDVDHLCDFFHSSANDFPYIAMDRSGSHVAETAIKSLAVHLQDDEARPLVEEALTVICKVIAANSVDVMCNCYGSHVLRTLLCLCRGVPLDKCGYYLSKSTTLLAERLNSKEFSKKDDATNFQPGFPNLLKVLVSDILKHAKKYIKILQVDQFSSLVFQTVLRVLAGDDEELLHVIPFLLGCKDKNNAEGNFIDTKVVADLKNLLKESKFSHLMEVVLEVSPESLFNELFAKVFRNYLFELSSHQHGNFVVQALISYASNQDLMELIWEELGPNLEDLFKMGRSGVVASLVAASERLHVNERKCCEVLAEAVCPVDDSPKGIVPRLMFLDSCFTYEDKSNWSWQSGARMNVMGSLILQTVFRFRSEYIQPYIISITSMEATHVLEAVGDSRGSHVVEAFLCSGASGKQKRRLIIKLQGHFGEVALNSSGAFTIDKCFTASNLSLRETIVSEVLAVRSDLSKTKQGSYLLRKLDIDGFAANPDHWRSKQASKESTYKDFYSMFGSNDTKLMKNDSFLSDTSNNKSNPKAVKEIRKEIDQSLGSGAPFLSFQNKNPKKDKQKNKRNAYITGDDGESNRKKRSKKEKVESGFDTAGTAATGTPVKKRRRDGALSEASLKKVKASNA >Vigun09g002000.3.v1.2 pep primary_assembly:ASM411807v1:9:163619:169622:1 gene:Vigun09g002000.v1.2 transcript:Vigun09g002000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSHVAETAIKSLAVHLQDDEARPLVEEALTVICKVIAANSVDVMCNCYGSHVLRTLLCLCRGVPLDKCGYYLSKSTTLLAERLNSKEFSKKDDATNFQPGFPNLLKVLVSDILKHAKKYIKILQVDQFSSLVFQTVLRVLAGDDEELLHVIPFLLGCKDKNNAEGNFIDTKVVADLKNLLKESKFSHLMEVVLEVSPESLFNELFAKVFRNYLFELSSHQHGNFVVQALISYASNQDLMELIWEELGPNLEDLFKMGRSGVVASLVAASERLHVNERKCCEVLAEAVCPVDDSPKGIVPRLMFLDSCFTYEDKSNWSWQSGARMNVMGSLILQTVFRFRSEYIQPYIISITSMEATHVLEAVGDSRGSHVVEAFLCSGASGKQKRRLIIKLQGHFGEVALNSSGAFTIDKCFTASNLSLRETIVSEVLAVRSDLSKTKQGSYLLRKLDIDGFAANPDHWRSKQASKESTYKDFYSMFGSNDTKLMKNDSFLSDTSNNKSNPKAVKEIRKEIDQSLGSGAPFLSFQNKNPKKDKQKNKRNAYITGDDGESNRKKRSKKEKVESGFDTAGTAATGTPVKKRRRDGALSEASLKKVKASNA >Vigun09g002000.1.v1.2 pep primary_assembly:ASM411807v1:9:163619:169622:1 gene:Vigun09g002000.v1.2 transcript:Vigun09g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGSKALVARSSMGDHDYESLKQAKSKRRKHKKGGAAHESDSNPKHSNTNSNTFTSPSQQSRVRKQLDPETTQYFSEIANLFETEGVELEERSLICANALQETKGKEFEIATDYIVSHTLETILQGCDVDHLCDFFHSSANDFPYIAMDRSGSHVAETAIKSLAVHLQDDEARPLVEEALTVICKVIAANSVDVMCNCYGSHVLRTLLCLCRGVPLDKCGYYLSKSTTLLAERLNSKEFSKKDDATNFQPGFPNLLKVLVSDILKHAKKYIKILQVDQFSSLVFQTVLRVLAGDDEELLHVIPFLLGCKDKNNAEGNFIDTKVVADLKNLLKESKFSHLMEVVLEVSPESLFNELFAKVFRNYLFELSSHQHGNFVVQALISYASNQDLMELIWEELGPNLEDLFKMGRSGVVASLVAASERLHVNERKCCEVLAEAVCPVDDSPKGIVPRLMFLDSCFTYEDKSNWSWQSGARMNVMGSLILQTVFRFRSEYIQPYIISITSMEATHVLEAVGDSRGSHVVEAFLCSGASGKQKRRLIIKLQGHFGEVALNSSGAFTIDKCFTASNLSLRETIVSEVLAVRSDLSKTKQGSYLLRKLDIDGFAANPDHWRSKQASKESTYKDFYSMFGSNDTKLMKNDSFLSDTSNNKSNPKAVKEIRKEIDQSLGSGAPFLSFQNKNPKKDKQKNKRNAYITGDDGESNRKKRSKKEKVESGFDTAGTAATGTPVKKRRRDGALSEASLKKVKASNA >Vigun02g120400.3.v1.2 pep primary_assembly:ASM411807v1:2:27291817:27301716:-1 gene:Vigun02g120400.v1.2 transcript:Vigun02g120400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYFNPISTSPHLVSANLSRKFKIPKLLHRKKRFDGSPRSSKITSFYGLKTPPYELDALEPHMSKKTIDKHWGEYHRSLIEGLNKQLEKDDILYGYTMDELVKVTYNSGNPFPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLQQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKREEKRLEIVKTSNAICPIVWNDIHAYYLDYRNDRAKYVDVFLNHLVSWEAAAARLTFAEAFVNLGEPKIPIA >Vigun02g120400.1.v1.2 pep primary_assembly:ASM411807v1:2:27291817:27301716:-1 gene:Vigun02g120400.v1.2 transcript:Vigun02g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYFNPISTSPHLVSANLSRKFKIPKLLHRQKKRFDGSPRSSKITSFYGLKTPPYELDALEPHMSKKTIDKHWGEYHRSLIEGLNKQLEKDDILYGYTMDELVKVTYNSGNPFPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLQQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKREEKRLEIVKTSNAICPIVWNDIPLINLDLWEHAYYLDYRNDRAKYVDVFLNHLVSWEAAAARLTFAEAFVNLGEPKIPIA >Vigun02g120400.2.v1.2 pep primary_assembly:ASM411807v1:2:27291790:27301841:-1 gene:Vigun02g120400.v1.2 transcript:Vigun02g120400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYFNPISTSPHLVSANLSRKFKIPKLLHRKKRFDGSPRSSKITSFYGLKTPPYELDALEPHMSKKTIDKHWGEYHRSLIEGLNKQLEKDDILYGYTMDELVKVTYNSGNPFPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLQQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKREEKRLEIVKTSNAICPIVWNDIPLINLDLWEHAYYLDYRNDRAKYVDVFLNHLVSWEAAAARLTFAEAFVNLGEPKIPIA >Vigun03g387700.1.v1.2 pep primary_assembly:ASM411807v1:3:59375015:59378844:-1 gene:Vigun03g387700.v1.2 transcript:Vigun03g387700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLTGAGGRTYGFDFEFVKSPSSSTRTSHTSSSPSSTISESSNSAALAISTKKPRTPRKRPNQTYNEAAALLSTAYPNLFSTKNLKTQGKFAKPASENFDYDSSELFLPFRVLDGSSSCFLLDQPGPKPVERPKVVSVQEKACGSPGEISSVVNFNSLELNDDCEESLDAESILDEEIEEGIDSIMGSRVQEISNDAVNNFPWIMPFGGKSDFPRPRVSALRHVDDGNWWNFPAVDIHQISPKINTKPPPVTAEKKMKKKKVTAIAATEKPAVVELKNAELPKPKQGLMLKLNYDDIRSAWSDRGTPFADDSPLADLPETDVTARLSQIDLWWDNGGVREASVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVRRLNSSSNAHR >Vigun03g387700.2.v1.2 pep primary_assembly:ASM411807v1:3:59375107:59378825:-1 gene:Vigun03g387700.v1.2 transcript:Vigun03g387700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLTGAGGRTYGFDFEFVKSPSSSTRTSHTSSSPSSTISESSNSAALAISTKKPRTPRKRPNQTYNEAAALLSTAYPNLFSTKNLKTQGKFAKPASENFDYDSSELFLPFRVLDGSSSCFLLDQPGPKPVERPKVVSVQEKACGSPGEISSVVNFNSLELNDDCEESLDAESILDEEIEEGIDSIMGSRVQEISNDAVNNFPWIMPFGGKSDFPRPRVSALRHVDDGNWWNFPAVDIHQISPKINTKPPPVTAEKKMKKKKVTAIAATEKPAVVELKNAELPKPKQGLMLKLNYDDIRSAWSDRGTPFADDSPLADLPETDVTARLSQIDLWWDNGGVREASVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVRRLNSSSNAHR >Vigun03g387700.3.v1.2 pep primary_assembly:ASM411807v1:3:59375039:59378844:-1 gene:Vigun03g387700.v1.2 transcript:Vigun03g387700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLTGAGGRTYGFDFEFVKSPSSSTRTSHTSSSPSSTISESSNSAALAISTKKPRTPRKRPNQTYNEAAALLSTAYPNLFSTKNLKTQGKFAKPASENFDYDSSELFLPFRVLDGSSSCFLLDQPGPKPVERPKVVSVQEKACGSPGEISSVVNFNSLELNDDCEESLDAESILDEEIEEGIDSIMGSRVQEISNDAVNNFPWIMPFGGKSDFPRPRVSALRHVDDGNWWNFPAVDIHQISPKINTKPPPVTAEKKMKKKKVTAIAATEKPAVVELKNAELPKPKQGLMLKLNYDDIRSAWSDRGTPFADDSPLADLPETDVTARLSQIDLWWDNGGVREASVQRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKVRVS >Vigun03g084800.1.v1.2 pep primary_assembly:ASM411807v1:3:7051048:7051925:1 gene:Vigun03g084800.v1.2 transcript:Vigun03g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGSAVVLLLSLCFLLLHSHMAHAATYRVGGTGGWTFNTVAWPKGKRFKAGDTLVFRYSPTLHNVVAVNRGGYDGCTTPRGSKVYTSGNDQIRLAKGQNYFICNFVGHCQAGMKIAVTAA >Vigun01g130950.2.v1.2 pep primary_assembly:ASM411807v1:1:30885248:30887857:1 gene:Vigun01g130950.v1.2 transcript:Vigun01g130950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GCFRGYKRFFKRTALETSDYLKDDCLSVNCSVGVVRSRTEGPKIYTIAIPSSSIGHQFGQLLESRKGSDVNFEVNGEFFSAHKLVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALLHFIYWDSLPDMHELTGLNSKWASTLMAQHLLAAADRYGLERLRLTCEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFMCKHRNEALLDGSDINGRRVKQRL >Vigun01g130950.1.v1.2 pep primary_assembly:ASM411807v1:1:30884542:30887857:1 gene:Vigun01g130950.v1.2 transcript:Vigun01g130950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDLIGLAQTQCVHFRIRTWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVRSRTEGPKIYTIAIPSSSIGHQFGQLLESRKGSDVNFEVNGEFFSAHKLVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALLHFIYWDSLPDMHELTGLNSKWASTLMAQHLLAAADRYGLERLRLTCEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFMCKHRNEALLDGSDINGRRVKQRL >Vigun01g079750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22526289:22527587:1 gene:Vigun01g079750.v1.2 transcript:Vigun01g079750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQPLLLAPTQLQPHHRSPPSPLHHATAPQTQLHCRRHQSTLETSHPSQRLNHFVLAHALNPERLSPAATSQKRYRRRRRHHKSRRRGICHLHSPSSQARLERPLLRHPRPLSTTLRSLRPRPPS >Vigun08g063700.4.v1.2 pep primary_assembly:ASM411807v1:8:8830485:8834969:1 gene:Vigun08g063700.v1.2 transcript:Vigun08g063700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIELNMHWDDVVCPICLDFPHNSVLLQCSSYDNGCRAFVCDTNQLHSNCLDRFKNSSGVPASLVSSTASAENTENSNAKESNGECNLTCPLCRGEVSGWIVVDKARGHLDEKKRCCDEVRCAFMGSYLELQKHAQLEHPHARPSKVDPARQLDWENFQQSSEIIDVLSTIHSEIPRGVVLGDYVIEYGDDDARDEFEDFPGDEGNWWTSCILYFDNFRRSRNRRRARVSHTRRGNRRLSYDTSNSDEGSVASVEYPDYRIEETDDDFVSTSGSSRSSAGYRRSHRRRSRFYDN >Vigun08g063700.3.v1.2 pep primary_assembly:ASM411807v1:8:8830158:8835076:1 gene:Vigun08g063700.v1.2 transcript:Vigun08g063700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIELNMHWDDVVCPICLDFPHNSVLLQCSSYDNGCRAFVCDTNQLHSNCLDRFKNSSGVPASLVSSTASAENTENSNAKESNGECNLTCPLCRGEVSGWIVVDKARGHLDEKKRCCDEVRCAFMGSYLELQKHAQLEHPHARPSKVDPARQLDWENFQQSSEIIDVLSTIHSEIPRGVVLGDYVIEYGDDDARDEFEDFPGDEGNWWTSCILYFDNFRRSRNRRRARVSHTRRGNRRLSYDTSNSDEGSVASVEYPDYRIEETDDDFVSTSGSSRSSAGYRRSHRRRSRFYDN >Vigun08g063700.2.v1.2 pep primary_assembly:ASM411807v1:8:8830158:8835076:1 gene:Vigun08g063700.v1.2 transcript:Vigun08g063700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIELNMHWDDVVCPICLDFPHNSVLLQCSSYDNGCRAFVCDTNQLHSNCLDRFKNSSGVPASLVSSTASAENTENSNAKESNGECNLTCPLCRGEVSGWIVVDKARGHLDEKKRCCDEVRCAFMGSYLELQKHAQLEHPHARPSKVDPARQLDWENFQQSSEIIDVLSTIHSEIPRGVVLGDYVIEYGDDDARDEFEDFPGDEGNWWTSCILYFDNFRRSRNRRRARVSHTRRGNRRLSYDTSNSDEGSVASVEYPDYRIEETDDDFVSTSGSSRSSAGYRRSHRRRSRFYDN >Vigun10g051400.1.v1.2 pep primary_assembly:ASM411807v1:10:8024472:8025564:-1 gene:Vigun10g051400.v1.2 transcript:Vigun10g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERTFIWLALNVFQQVVKSGSSIQQKSLAHVNGGTQLSTFVDETLGYLDLKNVS >Vigun09g082400.1.v1.2 pep primary_assembly:ASM411807v1:9:9971877:9973419:1 gene:Vigun09g082400.v1.2 transcript:Vigun09g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVFLMLGMLLLVCLVKISSDPKIEEETVEEELQFPIEPVVVRGGNRRLMQNIDCGGLCKSRCSAHSRPNVCTRACGTCCVRCKCVPPGTSGNRELCGTCYTGMTTHGNKTKCP >Vigun09g127400.4.v1.2 pep primary_assembly:ASM411807v1:9:28282953:28290035:-1 gene:Vigun09g127400.v1.2 transcript:Vigun09g127400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVQGETPDCLKQLGPSNSFMLCYVCDSFLSSRVVRKKFLSSTPPARKVANFNGYGCGTYLLFCAHVFQMFFTLQISTLLYTLPLLLLDVTLCLYFYLYLPMKLLGGNAENLDAEIEQLLNAEKHARLAGNVAATRNAVTEILRLCFEARAWDTLNDQIVLLSKRRGQLRQAVTAMVQQAMQYIDETPDVKTCISLIETLNSVSAGKIYVEIERARLIKKLAKIREEQGLIDEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSKKINERVFDADVSKEKKKPKEGDNVVEEAPADIPSLPELKRIYYELMIRYYSHKNDYLEICRCYKAIYEIPSVKENPAEWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDRNLSEIPNFKLLLKQLVTMEVIQWTTLWDSYNDEFENENNLGRNLGEAAKDLKERVIEHNILVISKYYARITLKRLAELLCLSVQEAENHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDVLNSWAVNLERLLDLVEKSCHQIHKETMVHKAALKG >Vigun09g127400.2.v1.2 pep primary_assembly:ASM411807v1:9:28282545:28290078:-1 gene:Vigun09g127400.v1.2 transcript:Vigun09g127400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAGNAENLDAEIEQLLNAEKHARLAGNVAATRNAVTEILRLCFEARAWDTLNDQIVLLSKRRGQLRQAVTAMVQQAMQYIDETPDVKTCISLIETLNSVSAGKIYVEIERARLIKKLAKIREEQGLIDEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSKKINERVFDADVSKEKKKPKEGDNVVEEAPADIPSLPELKRIYYELMIRYYSHKNDYLEICRCYKAIYEIPSVKENPAEWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDRNLSEIPNFKLLLKQLVTMEVIQWTTLWDSYNDEFENENNLGRNLGEAAKDLKERVIEHNILVISKYYARITLKRLAELLCLSVQEAENHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDVLNSWAVNLERLLDLVEKSCHQIHKETMVHKAALKG >Vigun09g127400.1.v1.2 pep primary_assembly:ASM411807v1:9:28282545:28290077:-1 gene:Vigun09g127400.v1.2 transcript:Vigun09g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVQGETPDCLKQLGPSNSFMLCYVCDSFLSSRVVRKKFLSSTPPARKVANFNGYGCGTYLLFCAHVFQMFFTLQISTLLYTLPLLLLDVTLCLYFYLYLPMKLLGGNAENLDAEIEQLLNAEKHARLAGNVAATRNAVTEILRLCFEARAWDTLNDQIVLLSKRRGQLRQAVTAMVQQAMQYIDETPDVKTCISLIETLNSVSAGKIYVEIERARLIKKLAKIREEQGLIDEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSKKINERVFDADVSKEKKKPKEGDNVVEEAPADIPSLPELKRIYYELMIRYYSHKNDYLEICRCYKAIYEIPSVKENPAEWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDRNLSEIPNFKLLLKQLVTMEVIQWTTLWDSYNDEFENENNLGRNLGEAAKDLKERVIEHNILVISKYYARITLKRLAELLCLSVQEAENHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDVLNSWAVNLERLLDLVEKSCHQIHKETMVHKAALKG >Vigun09g127400.3.v1.2 pep primary_assembly:ASM411807v1:9:28282545:28290077:-1 gene:Vigun09g127400.v1.2 transcript:Vigun09g127400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAGNAENLDAEIEQLLNAEKHARLAGNVAATRNAVTEILRLCFEARAWDTLNDQIVLLSKRRGQLRQAVTAMVQQAMQYIDETPDVKTCISLIETLNSVSAGKIYVEIERARLIKKLAKIREEQGLIDEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSKKINERVFDADVSKEKKKPKEGDNVVEEAPADIPSLPELKRIYYELMIRYYSHKNDYLEICRCYKAIYEIPSVKENPAEWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDRNLSEIPNFKLLLKQLVTMEVIQWTTLWDSYNDEFENENNLGRNLGEAAKDLKERVIEHNILVISKYYARITLKRLAELLCLSVQEAENHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDVLNSWAVNLERLLDLVEKSCHQIHKETMVHKAALKG >Vigun03g154600.1.v1.2 pep primary_assembly:ASM411807v1:3:16410880:16412753:-1 gene:Vigun03g154600.v1.2 transcript:Vigun03g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSATAIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFVNQLLKWEAQVEQDLDKMLEKAKAANERRYIDGDDD >Vigun10g058000.1.v1.2 pep primary_assembly:ASM411807v1:10:10905483:10906917:-1 gene:Vigun10g058000.v1.2 transcript:Vigun10g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGCGSSCNCGSNCSCNKYSFDLSYAEKEESLVLGVGPVKAQFEGGEMGVAAEDSGCKCGSNCTCDPCNCK >Vigun03g197900.2.v1.2 pep primary_assembly:ASM411807v1:3:28264979:28267301:-1 gene:Vigun03g197900.v1.2 transcript:Vigun03g197900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQPSSRHSFLLLLACTLFQQLYASNTKIGINYGTVADNLPPPSTVATFLKSKTTIDHVKIFDANTDILHAFAGTGISVTVTVANAAIPSLSTLPGAQAWLSQNILPFLPDTAVTRISVGNEVVESNDKTLIINILPAMKSLHEALSLANHTTVQVSTPHSLGILASSEPPSAASFLPSHDKAIFAPMLDFLRRTKSPFMVNPYPFFGIAPTVPETVNYALFRPNSGVWDEGTSVNYTNMFDAQMDAVFTAMKKLGYDDVELVVAETGWPSVGELNEGGVSFDNAASYNGNLIKHVNSGKGTPLMPGRTFETFIFSLFNENLKPTVSERNYGLFYPDLTPVYDVGVFIANEEFVPTSGSSPTYNPTSGPTSRPTSGSNPISAPTSGRTSGPSPIFEPISRPSPTYAPASGPSVIYGRKFGPSPTYALVSGPSPISERASRSSPTFAPTFGPTSRLAYGPTTLTPLEAPSSSSSSLKKKWCVPKEDASETDLQANIDFVCKSSGIECGPINDGGQCFEPNTIRSHATYAMNAYYQASGGNDLDCDFGNTGRITYTDPSSQDCTYPDAATWLKATKPDTAGSLTRAFHNSLFFISISILICFTFF >Vigun01g032366.1.v1.2 pep primary_assembly:ASM411807v1:1:4092400:4093152:-1 gene:Vigun01g032366.v1.2 transcript:Vigun01g032366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYELHDFHTIYFGYVGDSCFHVTIFPSKCKPLSIARFLKRIEADQPLFNGPKLHFFIFLNPNQCNASHLVRFISYFLLNGYLPADFGNYLRQGRFKYIFLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun11g116800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32199819:32202312:-1 gene:Vigun11g116800.v1.2 transcript:Vigun11g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAASLTLSKPSSLPFNHTHAFPKTPSILPTPPRSAVKSLLLVSSKNPLSDVISSPAADDSAWDDDEKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTVHNDVLQSITGVGLVSDVFNESKLDQLPGSLAIGHVRYSTAGQSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRALRSDLEDSGSIFNTTSDTEVVLHLIATSKHRPFILRIVDACEKLQGAYSLVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVFPGEVLVVDKNGIQSLCLMSHPQPKQCIFEHIYFALPNSVVFGRSVYESRRRFGEILATESPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSTEELISNRMSVEEIRNFIGSDSLAFLPFDSLKKLLGSESPNFCYACFSGNYPVEPTELKVKKVGDFVDDGLNGNLESIGGGWVQANRNQIEVGS >Vigun09g104300.1.v1.2 pep primary_assembly:ASM411807v1:9:18849627:18854616:-1 gene:Vigun09g104300.v1.2 transcript:Vigun09g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSLPECPLRNPKFPLDNLNLHFRVQHLSTLEALSERLNCGTRTLLLRFRPFSLPYLYSLALSNCFGLVKKKKKKKKKRKKKKRKKKKKRKKKKKRKKKKRKKKKKKRKKKKKKKRKKKKKKKRKKKRKKRKKKKRKKKRKKKRKKKRKKKRKKKKRKKKRNKKKRKKKRKKKKKRKKKKKRKKKKKRKKKKKRKKKKRKKKKKKRKRKKKKKKRKRKKKKRKKRKKRKKKKKKRKKRKKKKKKRKKKKKKKKRKKKKKKKKKRKKKKKRKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKKKKKRKKKKKRKKKRKKKRKKKKRKKKRKKKRKKRKKRKKKRKKKRKKRKKKRKKKRKKKRKKKRKKKRKKKRKKKKRKKKKKRKKKRKKKKKRKKKKKRKKKRKRKKKRKKKKKKKRKKKKKRKKKKKKKKKKRKKKKKKKKKKKKKKKRRRRRRRRRRRKKKKKKKKKRKKKKKRKKKRKKKKKRKKKTKRKKKKKTKRKKRKKKKKKKRKKRKKKRKKREERRRRRRGRRRRRRRRRREEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDEEEEEEEDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKRKKKKKKKKKKKKKKKRKKKKKKRKKKRKKKKKREEEEEGRRGRRRREEEEEEEEEEEEERRRRRRRKKKKKRRRRRRRKEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRRREEEEEEEEEEEEERRRRRRRGRRRRRRRRRRRRRKKKRKKKKRKKRRRRGRRRGRRRRRGRRRRGRRGRRRRRRRRGRRRRRRRRRRRRRGRRGEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDFAFCINH >Vigun11g072766.1.v1.2 pep primary_assembly:ASM411807v1:11:20723993:20724610:1 gene:Vigun11g072766.v1.2 transcript:Vigun11g072766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSALVFFSSCHSRSPLRSLHTTRRCCAEEVPPQRNHQFLVHRYFPSLAAHEGFTLQFLSVFFFFLFPISAISNQSSSLGGSLVF >Vigun05g085200.1.v1.2 pep primary_assembly:ASM411807v1:5:8064545:8069791:1 gene:Vigun05g085200.v1.2 transcript:Vigun05g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLATNPLTLSAAESPRFPFLDSRQTHTPRTQLNPSSRVPFSSKPSKTSCNNKSVFWALSSRSNEGPSRGYGFYNELEFEEVKEKTFGLEGNPVGEDSATESGSVPFDGVEGGDDKGEGDLVRVQGGADGDGNDLKKDDDVDQGENEKFGGKRVRRGKQVIRRSNLLAKQVISIRSALSMGFVSQLWVDTTSWMVLFVEVRPNLLSGDSEKFLLEDISQVGDVVLVQDESVIDNEFKMIGLETLVGYKVVTPSQRNIGKVRGYTFCINSGAVEELELDSFGLSIIPSSLVSTYSLLVEDVLEVVSDAVVVHEAAALRIQRLSKGFLGNHNVRTSVDYDSEQSETYGQISRRRKSVGRKKPNHKEWDDEDNWELPMDYL >Vigun03g343800.1.v1.2 pep primary_assembly:ASM411807v1:3:54296228:54298284:-1 gene:Vigun03g343800.v1.2 transcript:Vigun03g343800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPLSLPPERRQPREEALRHCLQIGVEALKAKLPPLDVVERVVRELENIPQFNAGKGSVLTSKGTVEMEASIMDGTTMNCGAVSGLTTVVNAVSLARLVMEKTPHIYLAFDGAEEFARQQGVETLDSTHFITAENIERLKQAIEANRVQIDYTQPIQNDTKKETAIGNGDSQIGTVGCVAVDGNGNLASATSTGGLVNKMVGRIGDTPVIGAGTYADARCAVSATGKGEAIIRGTVARDVAALMEFKGLSLEEAATCVVHERTPKGTVGLVAVSAKGEVTMPFNTTGMFRACATEDGYSEISIWPAPKFE >Vigun03g211200.1.v1.2 pep primary_assembly:ASM411807v1:3:34907529:34910205:1 gene:Vigun03g211200.v1.2 transcript:Vigun03g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPANFMLQTPHQDDHQPPPSLNSIITSCAPQEYHGGASFLGKRSMSFSGIELGEEANAEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQAQNQKLQTEILALKSREPTESINLNKETEGSSSNRSENSSEIKLDISRTPAIDSPLSTQQNSRNLFPSSTRPTGVAQLFQTTSRPELQCQKIDHMVKEESLSNMFCGIDDQSGLWPWLEQQHFN >Vigun03g226700.2.v1.2 pep primary_assembly:ASM411807v1:3:37719467:37721338:-1 gene:Vigun03g226700.v1.2 transcript:Vigun03g226700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELVAPRRETWNALSSGFLELLSNGWSFDTFLENPSFPTSNSLFAAFSTPIDRRFECPFTNELPPYPFPDAFTMPLPHLHPANDDPPPPLPPTLEDEDVAFYDNNNNNFEEIKSVCKVEEQGVENPQGTEIPLFNTGMSDDAERKQKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEGEEGSSQINLLGISKDQVKPNEAIVRNSPKFDVERRDQDTRISICCATKPGLLLSTINTLDALGLEIQQCVVSSFNDFSVEASCSEVNREIALALRR >Vigun03g226700.1.v1.2 pep primary_assembly:ASM411807v1:3:37719467:37721338:-1 gene:Vigun03g226700.v1.2 transcript:Vigun03g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELVAPRRETWNALSSGFLELLSNGWSFDTFLENPSFPTSNSLFAAFSTPIDRRFECPFTNELPPYPFPDAFTMPLPHLHPANDDPPPPLPPTLEDEDVAFYDNNNNNFEEIKSVCKVEEQGVENPQGTEIPLFNTGMSDDAERKQKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEGEEGSSQINLLGISKDQVKPNEAIVRNSPKFDVERRDQDTRISICCATKPGLLLSTINTLDALGLEIQQCVVSSFNDFSVEASCSEVGEQRNCVSPEEIKQSLFRNAGFGGKCL >Vigun11g094100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27676491:27681698:1 gene:Vigun11g094100.v1.2 transcript:Vigun11g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGQGFQHGQLLLHLLEACSTIQSFKIVKCLHAVSITIGPIPKQSIFIHNNILSSYISLGEVLHARKMFNAMPHRTVVSYNTLINAYSRLGHVDDAWDLFSHMRWTGFAPTQYTLTGLLSCEFLNLSLGAQLQALSIRNGLFDADSFVGTALLGLFGRHGHWDEVFSTFEYMPQKSLVTWNSMMSLLGRNGFVEECKLLFHDLVRTGMSLSEGSFVAVLSGLVYFEEDLEYGEQIHGLMVKCRFDYEISALNSLISVYVRCKAMFAVERLFKQVPIQNVVSWNTIMDALVKGGKPMATLELFLSMVSRGLMPTQATFVVVIESCNCLRIVVFGESVHAKVIRSGFETDVIVGTALIDFYAKCDKLILAKKCFDQIEAKNLVSWNTLIVGYSNICSSTAILLLQKMLQLGYFPNEFSFSAVLKSSSVSSLHQLHSLIIRTGHKSNEYVLSSLILSYTRNGLINEALSFVKEFENRLPVVASNIIAGIYNKKCQYYDAIKLLSLLEKPDVVSWNIVISAWARSNDYDKVFALFKHMHSTCILPDSYTFMSVLSGCTALCHLDLGSSLHGLVIKTNLGNFDTFLGNVLIDMYGKCGSIDNSMKVFEEIMHKNMITWTTLITALGLNGYTYESVMRFRDMEMKGLKPDALAFRAVLSSCRYGGLVEEAMQIFSQMRANNGMSLEQDHYYIMVDLLAKNGQIREAEKIIASMPFLPNVNIWRSFLEATIRNNL >Vigun09g175500.1.v1.2 pep primary_assembly:ASM411807v1:9:34700497:34704365:-1 gene:Vigun09g175500.v1.2 transcript:Vigun09g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAKDKVDFDLGCGLVGRIFHLKTSNRTRKSSVHSLPLKPCNAAQQRDQAKNEFKPPLNHEPKVPRDTANGINPTLKGEQNPARKSTSSHRAPSAYRNIPNGRPSDAARTSIQQNHDPNEESKNSGNSLELARISTGGSHHQNNETKSPAKDFVLPITGNLLVNNSPRTSVTKSKELNSMSGSSPYSSNGNKGVMGNIMRKNSDELLQFRSPRNNRADPEVMKSMGNEAYKLGRFEEALILYDRAIALDSNKATYHCNKSAALIGLGRFLQAIVECQEAIRLEPSYSRAHNRLATIYFRLGEAEKALNCNETSPCVDSVLSLQAQTLQNHLSKCTEARKVKEWKAILKETQAAVSLGADSAPQVYCLQTEALLKLQRHQEAYATFEKMPKFDLDSCKKIFGPARTAYLMMIAAQIYLAAGRFEDAVTTSEKAGKLDPSSFEVNAVVRRARAVTSARMSGNLLFKASKFTEACGVYNEGLEHDPYNSVLLCNRAACRSKLGQFEKAIEDCNVALVLQPSYSKARLRRADCNAKLERWEAAIQDYEMLLREKPGDEEVARALFETQLQLKMLRGEDIKDLKFGSNLFFISSNDRFRHYVTSPGMSVVLFCNKTTHKQVLLVLEQTCKRFPSVNFLKVEIEDHPYLAKSEGVNCIPAFKIYKNGSRVKEIPGNNHELLEKLVKLYSS >Vigun05g063400.1.v1.2 pep primary_assembly:ASM411807v1:5:5481388:5494138:-1 gene:Vigun05g063400.v1.2 transcript:Vigun05g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGPGGGPGRNPAGRAASTSSAAASPSSSSSAASHLESLQQQQQQQQIGSRQSFQQQLLRKPEGSEAFLAYQAGLQGVFGSNNFPSSSMQLPQQPRKFVDLAQHGSNQGQGVEQQMLNPAQAAYFQYALQASQQKSALAMQQPKMGMLGSSSVKDQDMRMGNLKMQDLMSMQAVNQAQASSSRNSSDHFNHGEKRVEQGQQLAPARKSEGNPSSQVPAVGNIVPGNIIRPGQALANQQNISNTMNNQIAMSAQLRAMQAWAHEQNIDMSHPANAQLMAQLIPLMQSRMVQQPKANDTNSGAQSSPVPISNQQVTSPAVASESSARANSSGDVSAQLGSVKARQMAPPNHLSPPISAGIASTSSDMAVQQFSLHSRDTQGSLKHSVLTGNGMHPQQTSANMNVGADHPLNAKASSSCPEPAKMQYIRQLNPSASQDGGLTNEGGSGNYPKTQAGPSQTPQQRNGFTKQQLHVLKAQILAFRRLKKGEGALPQELLRSINPPPLDLLVQQPIHSGGAQSQERSAGNIVAEQPRQNEANAKDSQHIPSISGKNSSKKEAFIRDESNAVTAVHLQITSPVIKESAGREEQLSVVCSAKSDQENEHGINRTSVRNELVLDKGKAVAAPQSSVTDTVQLNKPSEASTVAQPKDTTSTRKYHGPLFDFPFFTRKHDSFGSSMMLNNNNNLSLAYDVKELLFEEGTEVLSKRKTENLRKIEGLLAVNLERKRIRPDLVLKLQIEGKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQRALREKQLKSIFQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVDRYREMLLEQQTSIQGDAAERYAVLSTFLTQTEEYLHKLGSKITTAKNQQEVEEAAKAAAAAARLQGLSEEEVRAAAACAGEEVMIRNRFMEMNAPKDSSSVSKYYSLAHAVSEKVVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVMVNWKSELHTWLPSVSCIFYAGGKDYRTKLYSQEIMAMKFNVLVTTYEFIMYDRSRLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKEGSTQNTEDDWLETEKKVIIIHRLHQILEPFMLRRRVEEVEGSLPPKVSIVLRCKMSAVQSAIYDWVKSTGTLRLDPEGEESKIQKNPLYQAKEYKTLNNRCMELRKTCNHPCLNYPLFNELSTNLIVKSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEDYLNLRRFVYRRIDGTTNLDDRESAIMDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVRVIYMEAVVDKISSHQKEDELRSGGTVDIEDEFVGKDRYIGSVESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQENVHNVPSLQEVNRMIARSEEELELFDQMDEELDWPEEMMQHDEVPQWLRANSREVNAAIASLSKRPSKNILLSGSVGMDSEVGSERRRGRPKGKKHPNYKELEDENGEYSEASSEDRNEYSAQEEGEIGEFEDDVYSGADGHQLEEDGLTSDAGYEIARSSENARHNHVAEEAGSSGSSSDNQRLVQTVSPSVSAQKFGSLSALDARPSSISKIMGDDLEEGEIAGSGDSHMDHQQSGSWIHDRDEGEGEQVLQKPKIKRKRSLRVRPRHAVERPDDKSGSEMKSIQRGESSLLADYKYQMQTRMDTESKSFVDKNAGKHDKNATSLKNKQKLPSRKVANTSKLHGSPQSNRLNCTSGPSDDGEHPRESREGKPLNSNGSSVHGTKTTEIIQRGCKNVISKLQRKIDKEGHQIVPLLTDLWKRIENSGYAGGSGSSLLDLRKIDQRIDRMDYTGEMELVFDVQFMLRGSMHFYGYSYEVRSEARKVHDLFFDILKIAFPDTDFGEARSALSFSSQIPGGTTSSPRQVTVGTSKRQRATNDVETDPIPSQKLPQSGAASNGESTRFKGHLKESKTGSGSSSAREQPQQDNPSMLTHPGQLVVCKKKRNDREKSLGKGRSGSACPVSPHGAIRSPGSGSTPKDTRWGGQPSQPSNGSGGGSVGWANPVKRLRTDSGKRRPSHM >Vigun05g063400.2.v1.2 pep primary_assembly:ASM411807v1:5:5481388:5494138:-1 gene:Vigun05g063400.v1.2 transcript:Vigun05g063400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGPGGGPGRNPAGRAASTSSAAASPSSSSSAASHLESLQQQQQQQQIGSRQSFQQQLLRKPEGSEAFLAYQAGLQGVFGSNNFPSSSMQLPQQPRKFVDLAQHGSNQGQGVEQQMLNPAQAAYFQYALQASQQKSALAMQQPKMGMLGSSSVKDQDMRMGNLKMQDLMSMQAVNQAQASSSRNSSDHFNHGEKRVEQGQQLAPARKSEGNPSSQVPAVGNIVPGNIIRPGQALANQQNISNTMNNQIAMSAQLRAMQAWAHEQNIDMSHPANAQLMAQLIPLMQSRMVQQPKANDTNSGAQSSPVPISNQQVTSPAVASESSARANSSGDVSAQLGSVKARQMAPPNHLSPPISAGIASTSSDMAVQQFSLHSRDTQGSLKHSVLTGNGMHPQQTSANMNVGADHPLNAKASSSCPEPAKMQYIRQLNPSASQDGGLTNEGGSGNYPKTQAGPSQTPQQRNGFTKQQLHVLKAQILAFRRLKKGEGALPQELLRSINPPPLDLLVQQPIHSGGAQSQERSAGNIVAEQPRQNEANAKDSQHIPSISGKNSSKKEAFIRDESNAVTAVHLQITSPVIKESAGREEQLSVVCSAKSDQENEHGINRTSVRNELVLDKGKAVAAPQSSVTDTVQLNKPSEASTVAQPKDTTSTRKYHGPLFDFPFFTRKHDSFGSSMMLNNNNNLSLAYDVKELLFEEGTEVLSKRKTENLRKIEGLLAVNLERKRIRPDLVLKLQIEGKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQRALREKQLKSIFQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVDRYREMLLEQQTSIQGDAAERYAVLSTFLTQTEEYLHKLGSKITTAKNQQEVEEAAKAAAAAARLQGLSEEEVRAAAACAGEEVMIRNRFMEMNAPKDSSSVSKYYSLAHAVSEKVVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVMVNWKSELHTWLPSVSCIFYAGGKDYRTKLYSQEIMAMKFNVLVTTYEFIMYDRSRLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKEGSTQNTEDDWLETEKKVIIIHRLHQILEPFMLRRRVEEVEGSLPPKVSIVLRCKMSAVQSAIYDWVKSTGTLRLDPEGEESKIQKNPLYQAKEYKTLNNRCMELRKTCNHPCLNYPLFNELSTNLIVKSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEDYLNLRRFVYRRIDGTTNLDDRESAIMDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVRVIYMEAVVDKISSHQKEDELRSGGTVDIEDEFVGKDRYIGSVESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQENVHNVPSLQEVNRMIARSEEELELFDQMDEELDWPEEMMQHDEVPQWLRANSREVNAAIASLSKRPSKNILLSGSVGMDSEVGSERRRGRPKGKKHPNYKELEDENGEYSEASSEDRNEYSAQEEGEIGEFEDDVYSGADGHQLEEDGLTSDAGYEIARSSENARHNHVAEEAGSSGSSSDNQRLVQTVSPSVSAQKFGSLSALDARPSSISKIMGDDLEEGEIAGSGDSHMDHQQSGSWIHDRDEGEGEQVLQKPKIKRKRSLRVRPRHAVERPDDKSGSEMKSIQRGESSLLADYKYQMQTRMDTESKSFVDKNAGKHDKNATSLKNKQKLPSRKVANTSKLHGSPQSNRLNCTSGPSDDGEHPRESREGKPLNSNGSSVHGTKTTEIIQRGCKNVISKLQRKIDKEGHQIVPLLTDLWKRIENSGYAGGSGSSLLDLRKIDQRIDRMDYTGEMELVFDVQFMLRGSMHFYGYSYEVRSEARKVHDLFFDILKIAFPDTDFGEARSALSFSSQIPGGTTSSPRQVTVGTSKRQRATNDVETDPIPSQKLPQSGAASNGESTRFKGHLKESKTGSGSSSAREQPQQDNPSMLTHPGQLVVCKKKRNDREKSLGKGRSGSACPVSPHGAIRSPGSGSTPKDTRWGGQPSQPSNGSGGGSVGWANPVKRLRTDSGKRRPSHM >Vigun03g018400.1.v1.2 pep primary_assembly:ASM411807v1:3:1316469:1333662:1 gene:Vigun03g018400.v1.2 transcript:Vigun03g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFEYFVVCGIGPEIRTIDGSKGYHGPGCLYLPSLLDQYPPPNHALYPPPPPQLSTCVLPACIEFYSSGFDSNDPSSFPRSYPIVLTEGDGSKIYVSCISFRDLVCEDIVEAYRIQPNSYADKCICLVSRLPSFSVLRSALEEIFTLCFSSKGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIESCLLSVEAPPNDWLPHADISFLPIVQCLDIDNLVRLFTAVLLERRILLRANKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDISALAMDGVVVVDLEYNLITTTEEIPPIPEPEFSILRGEIMKLIYPNVIAIDGMKSGIYSLSEHDSKLRGKLWGEDHDLQLRMIFLKFFATLLSGYRNFLENSATQVFNTQAFLKKRSRSTNQPPEPMIAQFLDSHGFLDYLERGVGSDENNNNLLDKLQDAIGRGQNPMSILPSSPVETEILTVSDSDIGISGSGTKYTYDKFPANIRTDEQEEKRKQTLAAVSNAFEYSGRHAPSKDPLADTLSPLERAAERDRMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECISEHIHSGWDCHLTEEQFIAVKELLKTAINRATSRNDLLTIRDALEVSSDMYKKDSNNVPDYCQRHLISLPIWEELRFWEGYFDYLMEQSSNKSANYASLVTAQLVVLTSHMAGLGLPDNDAWYMIETIAERNSIGSKQFIKIRGFLSHIQQLRNGYWGISSTKAQSVSSHGMPSPHPKDAKDENQQPTEATGVGRSWVQSMFTRNPTTRSSSFSHVRRWTSDGSNTVLASNDNGTPRKQDLLSGGQKKLQTNVRTLRGHNGAITALHCVTKREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRATLKGHARTVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELNGHDGPVSCVRTLSGERVLTASHDGTVKMWDVRTDRCVATVGRCSSAVLCMEYDDNVGVLAAGGRDVVANIWDIRASRQMHKLSGHTQWIRSIRMVGDTVITGSDDWTARIWSVNRGTCDAVLACHAGPILCVEYSSLDRGVITGSTDGLLRFWENDDGGIRCAKNVTIHNAAILSVNAGEHWLGIGAADNSLSLFHRPQERLGGFSGAGSRMAGWQLYRTPQKTVAMVRCVASDLERKRICSGGRNGLLRLWDATINI >Vigun03g018400.2.v1.2 pep primary_assembly:ASM411807v1:3:1317146:1333662:1 gene:Vigun03g018400.v1.2 transcript:Vigun03g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVPLPTPGKERVLFAIESCLLSVEAPPNDWLPHADISFLPIVQCLDIDNLVRLFTAVLLERRILLRANKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDISALAMDGVVVVDLEYNLITTTEEIPPIPEPEFSILRGEIMKLIYPNVIAIDGMKSGIYSLSEHDSKLRGKLWGEDHDLQLRMIFLKFFATLLSGYRNFLENSATQVFNTQAFLKKRSRSTNQPPEPMIAQFLDSHGFLDYLERGVGSDENNNNLLDKLQDAIGRGQNPMSILPSSPVETEILTVSDSDIGISGSGTKYTYDKFPANIRTDEQEEKRKQTLAAVSNAFEYSGRHAPSKDPLADTLSPLERAAERDRMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECISEHIHSGWDCHLTEEQFIAVKELLKTAINRATSRNDLLTIRDALEVSSDMYKKDSNNVPDYCQRHLISLPIWEELRFWEGYFDYLMEQSSNKSANYASLVTAQLVVLTSHMAGLGLPDNDAWYMIETIAERNSIGSKQFIKIRGFLSHIQQLRNGYWGISSTKAQSVSSHGMPSPHPKDAKDENQQPTEATGVGRSWVQSMFTRNPTTRSSSFSHVRRWTSDGSNTVLASNDNGTPRKQDLLSGGQKKLQTNVRTLRGHNGAITALHCVTKREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRATLKGHARTVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELNGHDGPVSCVRTLSGERVLTASHDGTVKMWDVRTDRCVATVGRCSSAVLCMEYDDNVGVLAAGGRDVVANIWDIRASRQMHKLSGHTQWIRSIRMVGDTVITGSDDWTARIWSVNRGTCDAVLACHAGPILCVEYSSLDRGVITGSTDGLLRFWENDDGGIRCAKNVTIHNAAILSVNAGEHWLGIGAADNSLSLFHRPQERLGGFSGAGSRMAGWQLYRTPQKTVAMVRCVASDLERKRICSGGRNGLLRLWDATINI >VigunL008700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000161.1:20177:21192:1 gene:VigunL008700.v1.2 transcript:VigunL008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIYLSRSFPRSNSSLFLCSGKALQSEVLRLGEEMFLVDAGPGTPRICMQDEPTGVPINRATRFENKVGSLDLVAGESLIKKKILERLFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLPRRFRQNLAWMELNKIWRTNTKVKGSILKKVKGGYSVAIAGFITFLRFRSHNKRRRKKISNNRFTIKSINPKRTNIVVF >Vigun05g297100.1.v1.2 pep primary_assembly:ASM411807v1:5:48181361:48193265:-1 gene:Vigun05g297100.v1.2 transcript:Vigun05g297100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLQQESRQRIVNKIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFTAATSQSDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPDPGLVIPSQVHNLGQQHSIPMSNQSQARQQLMQNNIASQSSGLTQTPIQNVGQNNPNMQNIPGQNSVGNTISQNSNMQNMFAGSQRQIQGRQQVVSQQQQQSQNPQQYLYQQQIQHQLMRQKFHQQQQQQQQQQQQQQQNLLQPNQLQSSQQPVIQTSSVMQQAPMMQTSLPSIQHNQQSNNVQQSTQSVLQQHSQVIRQQQPQQTSIIHQQQTPMTQQSILPPQQQQQQQQQQLMGAQGNASNMQHSQILGTQNNVSDLQQPQRLLAQQNNLSNLQQQQLINQQNSLSNMHQQLGNNVPGLQPQQVLGPQSGNSGMQTSQHSAHVLQQSQVQIQPQSQQNASNLLPSQAQQSQSQAPQQQLMPQIQSQPAQLQQQLGLQQQPNSLQRDMQQRLQASGPLLQQSTVLDQQKQLYQSQRPLPETSSTSLDSTAQTGQPSGGDWQEEVYQKIKSMKESYLPELNEMYQKIASKLQQHDSLPQQPKAEQLDKLKVFKMMLERIITFLQVSKSNVSPSIKEKLGSYEKQIINFINTNRPKKNIPGQLPPPHMHSMSQSQPQVTQVQSHENQINSQLQTSNMQGSVTTMQQNNMPNMQHNSLSGVSTAQQSKMNSMQPNTNLDSGPGNAVNSLQQVPVSSLQQNPVSAPQQTNVNSLSSQSGVNVIQSNLNPLQQGSSMLQHQQLKQQEQQMLHNQQIKQQYQRQLMQRKQQQIMQHQQQQQQLHQTAKQQLPAQLPTHQIQQLHQMNDANDIKMRQGIGVKPGVFQPHLTSSQRSAYPHQQMKGSPFPVSSPQLLQATSPQIPQHSSPQVDQQNHLPSLTKVATPLQSANSPFVVPTPSPPLAPSPMPGDAEKPISGVALISNAANIGYQQSGGATAPAQSLAIGTPGISASPLLAEFTGPDGALGNAFVSTSGKSTVTEQPIERLIKAVKSMSSKTLCSAVSDIGSVVSMNDRIAGSAPGNGSRAAVGEDLVAMTNCRLQARNFIAQDGANGTRRMKRYTYATPLNVVSSAGSMNDSIKQLTASEVSDLDSTATSRFKMPRVEANHSLLEEIREVNQRLIDTVVDISNEEVDPTAAAAAAEGTEGTIVKCSYNAVALSPSLKSQYASVQMSPIQPLRLLVPTNYPNCSPILLDKFPVESGKENEDLSVKAKSRFSISLRSLSQPMSLGEIARTWDVCARTVISEHAQQSGGGSFSSKYGTWENCLTT >Vigun05g297100.2.v1.2 pep primary_assembly:ASM411807v1:5:48181430:48193165:-1 gene:Vigun05g297100.v1.2 transcript:Vigun05g297100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDWRGGLQQESRQRIVNKIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFTAATSQSDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPDPGLVIPSQVHNLGQQHSIPMSNQSQARQQLMQNNIASQSSGLTQTPIQNVGQNNPNMQNIPGQNSVGNTISQNSNMQNMFAGSQRQIQGRQQVVSQQQQQSQNPQQYLYQQQIQHQLMRQKFHQQQQQQQQQQQQQQQNLLQPNQLQSSQQPVIQTSSVMQQAPMMQTSLPSIQHNQQSNNVQQSTQSVLQQHSQVIRQQQPQQTSIIHQQQTPMTQQSILPPQQQQQQQQQQLMGAQGNASNMQHSQILGTQNNVSDLQQPQRLLAQQNNLSNLQQQQLINQQNSLSNMHQQLGNNVPGLQPQQVLGPQSGNSGMQTSQHSAHVLQQSQVQIQPQSQQNASNLLPSQAQQSQSQAPQQQLMPQIQSQPAQLQQQLGLQQQPNSLQRDMQQRLQASGPLLQQSTVLDQQKQLYQSQRPLPETSSTSLDSTAQTGQPSGGDWQEEVYQKIKSMKESYLPELNEMYQKIASKLQQHDSLPQQPKAEQLDKLKVFKMMLERIITFLQVSKSNVSPSIKEKLGSYEKQIINFINTNRPKKNIPGQLPPPHMHSMSQSQPQVTQVQSHENQINSQLQTSNMQGSVTTMQQNNMPNMQHNSLSGVSTAQQSKMNSMQPNTNLDSGPGNAVNSLQQVPVSSLQQNPVSAPQQTNVNSLSSQSGVNVIQSNLNPLQQGSSMLQHQQLKQQEQQMLHNQQIKQQYQRQLMQRKQQQIMQHQQQQQQLHQTAKQQLPAQLPTHQIQQLHQMNDANDIKMRQGIGVKPGVFQPHLTSSQRSAYPHQQMKGSPFPVSSPQLLQATSPQIPQHSSPQVDQQNHLPSLTKVATPLQSANSPFVVPTPSPPLAPSPMPGDAEKPISGVALISNAANIGYQQSGGATAPAQSLAIGTPGISASPLLAEFTGPDGALGNAFVSTSGKSTVTEQPIERLIKAVKSMSSKTLCSAVSDIGSVVSMNDRIAGSAPGNGSRAAVGEDLVAMTNCRLQARNFIAQDGANGTRRMKRYTYATPLNVVSSAGSMNDSIKQLTASEVSDLDSTATSRFKMPRVEANHSLLEEIREVNQRLIDTVVDISNEEVDPTAAAAAAEGTEGTIVKCSYNAVALSPSLKSQYASVQMSPIQPLRLLVPTNYPNCSPILLDKFPVESGKENEDLSVKAKSRFSISLRSLSQPMSLGEIARTWDVCARTVISEHAQQSGGGSFSSKYGTWENCLTT >Vigun05g297100.3.v1.2 pep primary_assembly:ASM411807v1:5:48179704:48193165:-1 gene:Vigun05g297100.v1.2 transcript:Vigun05g297100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLQQESRQRIVNKIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFTAATSQSDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPDPGLVIPSQVHNLGQQHSIPMSNQSQARQQLMQNNIASQSSGLTQTPIQNVGQNNPNMQNIPGQNSVGNTISQNSNMQNMFAGSQRQIQGRQQVVSQQQQQSQNPQQYLYQQQIQHQLMRQKFHQQQQQQQQQQQQQQQNLLQPNQLQSSQQPVIQTSSVMQQAPMMQTSLPSIQHNQQSNNVQQSTQSVLQQHSQVIRQQQPQQTSIIHQQQTPMTQQSILPPQQQQQQQQQQLMGAQGNASNMQHSQILGTQNNVSDLQQPQRLLAQQNNLSNLQQQQLINQQNSLSNMHQQLGNNVPGLQPQQVLGPQSGNSGMQTSQHSAHVLQQSQVQIQPQSQQNASNLLPSQAQQSQSQAPQQQLMPQIQSQPAQLQQQLGLQQQPNSLQRDMQQRLQASGPLLQQSTVLDQQKQLYQSQRPLPETSSTSLDSTAQTGQPSGGDWQEEVYQKIKSMKESYLPELNEMYQKIASKLQQHDSLPQQPKAEQLDKLKVFKMMLERIITFLQVSKSNVSPSIKEKLGSYEKQIINFINTNRPKKNIPGQLPPPHMHSMSQSQPQVTQVQSHENQINSQLQTSNMQGSVTTMQQNNMPNMQHNSLSGVSTAQQSKMNSMQPNTNLDSGPGNAVNSLQQVPVSSLQQNPVSAPQQTNVNSLSSQSGVNVIQSNLNPLQQGSSMLQHQQLKQQEQQMLHNQQIKQQYQRQLMQRKQQQIMQHQQQQQQLHQTAKQQLPAQLPTHQIQQLHQMNDANDIKMRQGIGVKPGVFQPHLTSSQRSAYPHQQMKGSPFPVSSPQLLQATSPQIPQHSSPQVDQQNHLPSLTKVATPLQSANSPFVVPTPSPPLAPSPMPGDAEKPISGVALISNAANIGYQQSGGATAPAQSLAIGTPGISASPLLAEFTGPDGALGNAFVSTSGKSTVTEQPIERLIKAVKSMSSKTLCSAVSDIGSVVSMNDRIAGSAPGNGSRAAVGEDLVAMTNCRLQARNFIAQDGANGTRRMKRYTYATPLNVVSSAGSMNDSIKQLTASEVSDLDSTATSRFKMPRVEANHSLLEEIREVNQRLIDTVVDISNEEVDPTAAAAAAEGTEGTIVKCSYNAVALSPSLKSQYASVQMSPIQPLRLLVPTNYPNCSPILLDKFPVESGKENEDLSVKAKSRFSISLRSLSQPMSLGEIARTWDVCARTVISEHAQQSGGGSFSSKYGTWENCLTT >Vigun03g042200.1.v1.2 pep primary_assembly:ASM411807v1:3:3314179:3318219:-1 gene:Vigun03g042200.v1.2 transcript:Vigun03g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARQLCEEKSKDMGSSTGLFYPDVSSNSPTLQPHLMNHIQGFVTDPEMFNLTTGMEMIGFSKNLNPHSESNGVMWKSFFAKPSQHAGPSSSKTMNESSCNFYNQHEYSNKQDFTSGISETSSENLIVGGAHDSAPWQENRLMLDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSSIGLQSFELRQTNHHQHLSDFVSRDGYFGKSVNAQQQQQMLQDGYVSPNKAANIYQGHFLIKNSKFLVPAQLLLNEFCSLGTKENDVQKQKQSLKNKAWEEGNNGGGSSKNHSLGSLEFVELQKRKTRLLSMLEEVDRRYKHYCNQMKAVVSSFEAVAGNGAATVYSALALRAMSRHFRCLKDGIMEQIQATRKAMGEKDPVAPGTTRGETPRLKIIDQSLRQQRAFQQISMMETHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEEKEQQNDVASSEGGGTEGDQKPTQARLLRIDSEMSSMVSNTEHKNDGKSGKSVENENEMNERNHPAEAFGSVELEFSSYVQQSSGVVGYDGENGGGVSLTLGLQQQGESGVSLAFPPATQSSMFYPRDQIEECQTVEYSLLDGEGQNMPYRNLMGTQLLHDLV >Vigun01g168000.1.v1.2 pep primary_assembly:ASM411807v1:1:34966770:34971617:-1 gene:Vigun01g168000.v1.2 transcript:Vigun01g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLGSNGSAAAPGLGDIPENCVARVFLHLTPPEICNLARLNRSFRGAASADSVWRTKLPTNYQDLLDLMPPERYRNLSRKDIFALLSRPVPFDDGNKEVWLDRVTGRVCMSISAKAMSITGIDDRRYWTWVRTEESRFNTVAYLQQIWWFEVDGEVSFPFPADIYTVSFRLHLGRFSKRLGRRVRNYEHTHGWDIKPVKFELSTMDGQQASFECCLDEAEPDDAHGNQKCGHWVDYKVGEFIVRGSEPTTKVRFSMKQIDCTHSKGGLCVDSVFIIPSHLRERTRSGILK >Vigun01g168000.2.v1.2 pep primary_assembly:ASM411807v1:1:34966770:34971443:-1 gene:Vigun01g168000.v1.2 transcript:Vigun01g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLGSNGSAAAPGLGDIPENCVARVFLHLTPPEICNLARLNRSFRGAASADSVWRTKLPTNYQDLLDLMPPERYRNLSRKDIFALLSRPVPFDDGNKEVWLDRVTGRVCMSISAKAMSITGIDDRRYWTWVRTEESRFNTVAYLQQIWWFEVDGEVSFPFPADIYTVSFRLHLGRFSKRLGRRVRNYEHTHGWDIKPVKFELSTMDGQQASFECCLDEAEPDDAHGNQKCGHWVDYKVGEFIVRGSEPTTKVRFSMKQIDCTHSKGGLCVDSVFIIPSHLRERTRSGILK >Vigun03g185600.1.v1.2 pep primary_assembly:ASM411807v1:3:24498720:24503163:1 gene:Vigun03g185600.v1.2 transcript:Vigun03g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAEGGGGGGGFAVRGGNIYWGRKEETGFRGIVVIFSWVSVPQTILREFVDLCSFFGWNSLVCSANYLSAFHDESAMPLAFCVLDELIKELRTRSCPVVFASFSAGSKACLYKVFQLIDGRCETALNLPNYQLLRNCLSGQIYDSGPIDVTSDFGFRFALHPSIAKVPGPSKLVSWVAKSVTSGLDALYLTRFESQAAEHWQALYSSVNFGAPFLLLCSENDDLLRYQNICDFAQRLRNLNGDVNLVNFSSSSHLGHYKHHPIQYRIAVNHLLEKAVTIYSQKVMLERERTGLDGTQDEISELICDLQKVAINSNKSLRRVAVGPTDHFFLPSSAGHYSDRESGTPQDEQKEKPVCLPSFPSISAHSVLGQFLFDVCVPKNVEGWDVKFSSAPRHSLLRGTKRIGRSRL >Vigun03g006500.1.v1.2 pep primary_assembly:ASM411807v1:3:444356:453914:1 gene:Vigun03g006500.v1.2 transcript:Vigun03g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMAAPDPSKKVADRYLKREVLGEGTYGVVYKAIDTHTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIVELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADTKSYLQMTLKGLAYCHKKWVLHRDMKPNNLLIGSNGLLKLADFGLARMFGSPDRRFTHQVFARWYRAPELLFGAKQYGSGVDVWALACVFAELLLRRPFLQGTSDIDQLGKIFSAFGTPTASQWPDMVYLPDYVEYQYVPAPPLRSLFPMATDDALDLLSKMFTYDPKARISVQQALEHRYFSSAPLPSDPDKLPRPAPKKEPKASDFNLQEAPTVLSPPRKSRRVMPERDGFEGNSQQADKVDGGFGDFRQTTDDNSGKNESAPMSVDFSIFGLKPPNRPTINSADRTHLKRKLDLEFQQPE >Vigun06g011800.1.v1.2 pep primary_assembly:ASM411807v1:6:5266768:5279021:1 gene:Vigun06g011800.v1.2 transcript:Vigun06g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTVAAQLPSCWYRIPNHLNVHHDAQQKRKLTTLSLSNADSQISLKNLCDLSLPQTQNCSKGQESNNRSIHNPNSNQNHKNLLFLQLLPDSDTDDINNQNPRTRNHCQHQVDEQREFKDNNKASLFTNMRWVDVKAALGQRINLEAILCSTMVIFKDPKLAMPHIFVPDIRYVDWAELRRKGFKGVVFDKDNTITAPYSLKPWPPLESSLESCKLAFGHDIAVFSNSAGLHEYDHDGSKARMLEGAIGIKVIRHRVKKPGGTAEETEKHFGCEASQLIMVGDRPFTDIVYGNRNGFLTILTEPLSLAEEPFIVKQVRKLENSFVRYWSRRRLKPPSQRLLPDPKLCVREPHPSQD >Vigun11g139300.3.v1.2 pep primary_assembly:ASM411807v1:11:34862561:34869340:1 gene:Vigun11g139300.v1.2 transcript:Vigun11g139300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLPNQTRKVPFVTFVVLISFVVGPKLVFGQSSTGGSAGGGSAGGAGLEIFAQEVYRGMSNYTTVFKKVIKQELGYCVIDVDADWNGAFNFSKDLTFLTICAQQMKGDITQRMCTEAEILAYGQSFSGKSRSNFLKPNINCNLTSWVNGCEPGWGCKANQKVDVDSTKKDIPVRSVDCLPCCEGFFCPRGLTCMIPCPLGSYCPLAQLNKTSGVCEPYRYQLPPGKPNHTCGGADIWADITSSSEVFCSSGSFCPSTIVKNPCNKGYYCRTGSTSPERCFKLATCEPNSANQNITAYGVLIFAGLCFLLIIIYNCSDQVLATRERRQANTRERAVQSVRESQAREKWKSAKDIAKKHAVGLQSQLSRTFSRKTSKKPELKGFGSKHSDSSIPPIGKGKKKDKNNLSKILNDIEQNPDNPEGFNVQIGDKNAKKQAPRGKQLHTQSQIFKYAYGQIEKEKALAEQNKNLTFSGVISMASDIEIRKRPTIEVAFKDLTLTLKGKNKHLLRCVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVHVNGQESSIRSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLPADLPKEEKVLVVERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFKMFDDFILLAKGGLTVYHGPVNKVEEYFSSMGINVPDRVNPPDYFIDILEGIVKLSPSLGVTYKQLPVRWMLHNGYPVPMDMLQSVEGMSAPSGEGSSSGAAAGGEEEAPSFAGELWQDVKCNVEMKKDILHINFLASHDLSNRVTPGVFNQYKYFLGRVGKQRLREARTQAVDFLILLLAGLCLGTLAKVSDESFGATGYTYTVIAHSFLRLRH >Vigun11g139300.2.v1.2 pep primary_assembly:ASM411807v1:11:34862561:34869341:1 gene:Vigun11g139300.v1.2 transcript:Vigun11g139300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLPNQTRKVPFVTFVVLISFVVGPKLVFGQSSTGGSAGGGSAGGAGLEIFAQEVYRGMSNYTTVFKKVIKQELGYCVIDVDADWNGAFNFSKDLTFLTICAQQMKGDITQRMCTEAEILAYGQSFSGKSRSNFLKPNINCNLTSWVNGCEPGWGCKANQKVDVDSTKKDIPVRSVDCLPCCEGFFCPRGLTCMIPCPLGSYCPLAQLNKTSGVCEPYRYQLPPGKPNHTCGGADIWADITSSSEVFCSSGSFCPSTIVKNPCNKGYYCRTGSTSPERCFKLATCEPNSANQNITAYGVLIFAGLCFLLIIIYNCSDQVLATRERRQANTRERAVQSVRESQAREKWKSAKDIAKKHAVGLQSQLSRTFSRKTSKKPELKGFGSKHSDSSIPPIGKGKKKDKNNLSKILNDIEQNPDNPEGFNVQIGDKNAKKQAPRGKQLHTQSQIFKYAYGQIEKEKALAEQNKNLTFSGVISMASDIEIRKRPTIEVAFKDLTLTLKGKNKHLLRCVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVHVNGQESSIRSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLPADLPKEEKVLVVERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFKMFDDFILLAKGGLTVYHGPVNKVEEYFSSMGINVPDRVNPPDYFIDILEGIVKLSPSLGVTYKQLPVRWMLHNGYPVPMDMLQSVEGMSAPSGEGSSSGAAAGGEEEAPSFAGELWQDVKCNVEMKKDILHINFLASHDLSNRVTPGVFNQYKYFLGRVGKQRLREARTQAVDFLILLLAGLCLGTLAKVSDESFGATGYTYTVIAVYCGIEIILIG >Vigun11g139300.1.v1.2 pep primary_assembly:ASM411807v1:11:34862561:34869340:1 gene:Vigun11g139300.v1.2 transcript:Vigun11g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLPNQTRKVPFVTFVVLISFVVGPKLVFGQSSTGGSAGGGSAGGAGLEIFAQEVYRGMSNYTTVFKKVIKQELGYCVIDVDADWNGAFNFSKDLTFLTICAQQMKGDITQRMCTEAEILAYGQSFSGKSRSNFLKPNINCNLTSWVNGCEPGWGCKANQKVDVDSTKKDIPVRSVDCLPCCEGFFCPRGLTCMIPCPLGSYCPLAQLNKTSGVCEPYRYQLPPGKPNHTCGGADIWADITSSSEVFCSSGSFCPSTIVKNPCNKGYYCRTGSTSPERCFKLATCEPNSANQNITAYGVLIFAGLCFLLIIIYNCSDQVLATRERRQANTRERAVQSVRESQAREKWKSAKDIAKKHAVGLQSQLSRTFSRKTSKKPELKGFGSKHSDSSIPPIGKGKKKDKNNLSKILNDIEQNPDNPEGFNVQIGDKNAKKQAPRGKQLHTQSQIFKYAYGQIEKEKALAEQNKNLTFSGVISMASDIEIRKRPTIEVAFKDLTLTLKGKNKHLLRCVTGKLYPGRVSAVMGPSGAGKTTFLSALTGKATGCHTTGQVHVNGQESSIRSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLPADLPKEEKVLVVERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFKMFDDFILLAKGGLTVYHGPVNKVEEYFSSMGINVPDRVNPPDYFIDILEGIVKLSPSLGVTYKQLPVRWMLHNGYPVPMDMLQSVEGMSAPSGEGSSSGAAAGGEEEAPSFAGELWQDVKCNVEMKKDILHINFLASHDLSNRVTPGVFNQYKYFLGRVGKQRLREARTQAVDFLILLLAGLCLGTLAKVSDESFGATGYTYTVIAVSLLSKIAALRSFSLDKLHYWRESSSGMSSLAYFLSKDTVDHFSTIIKPLVYLSMFYFFNNPRSSVTDNYIVLLCLVYCVTGIAYVLAIFLQPGPAQLWSALLPVVLTLVATYENEDDSKYVKYLSDLCYTKWALEAFVISNAKRYAGVWLISRCGALYSSGYDLKHWYQCLGLLIVMGIISRMLAFFCMITFQKK >Vigun02g134200.1.v1.2 pep primary_assembly:ASM411807v1:2:28438745:28442709:1 gene:Vigun02g134200.v1.2 transcript:Vigun02g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPSEALESLSVSDSQTPQSSNSTPQLTPEEKFKIVRSVGEECIQEDELLNLLTKKPEPVCYDGFEPSGRMHIAQGVMKAISVNKLTSAGCRVKIWIADWFAKLNNKMGGDLKKIETVGRYLIEIWKAVGMDVEGGKVEFLWSSKEINARADEYWPLVLDIAQKNNLKRIIRCSQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSVYMEDEEAEVNVKIKKAYCPPKVVEGNPCLEYIKYLILPWFNEFTVERSADNGGNKTFKSYEELIADYENGELHPADLKPALSKSLNKILEPVREHFKKDSNAKELLKRVKAYRVTK >Vigun05g206350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39556266:39556640:-1 gene:Vigun05g206350.v1.2 transcript:Vigun05g206350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGFMDFEPVSFSRGGGTSTLCHSGLPLVVSRKVLFVLWCHGGVARKPWWFCLTMACFERRWTCASPASSMEKMEMFVACGEVQEARRGGDCVLVLASPSLFAVRLLVRERSERERGLLCNV >Vigun11g057600.1.v1.2 pep primary_assembly:ASM411807v1:11:11556745:11561122:-1 gene:Vigun11g057600.v1.2 transcript:Vigun11g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFHISNTDSRLLLPTMVDCTCAFYPPATLQVLPLARAAPANLTFVCSSQRVLPIAELQLSDADDGDDDGDPSDDVVDYSDYLNNWDGVIGFDDSLSEEVLKERIRRMRIGRANRGKVPWNKGKKHSAETRERIRQRTIEALRDPKVRKKMAEHPHSHSDQTKAKISDSVRRVWRERLKSKRLREDFLLSWTQSIANAAKKGGIGQEELDWDSYHKIRQQLEHHHRMMAREKRREKLMAVTGAKNIILTWRENIAKAAKKGGSGEQELDWDSYEKVQEEIIRQRQFQRTVEKAKKKELARVKAEKAARIKAIKRVILTQKRKEHQERAKLKGNIKSHRRSNTKEGKASLEDTQEFKVHNPLTKIHMIENTNGDLTREGDTFDCIFPAQNKLDLELIKREKMQKEVSLADQIQAARDKKSKLYT >Vigun11g008666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:975452:976584:-1 gene:Vigun11g008666.v1.2 transcript:Vigun11g008666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGENIDSNTRIWLFNHLSTRVLGDSSFFTNRNTLGAWETLLEAIKGVGKLSILLLHPWRFYPFWVGEDDGLQNWRQRCEWEAQLEHEAVFKNLGRGIVSTLWFQFLLYSSIL >Vigun05g261200.1.v1.2 pep primary_assembly:ASM411807v1:5:45401023:45407509:-1 gene:Vigun05g261200.v1.2 transcript:Vigun05g261200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQVYIDVIEDVMVKVRDEFVSNGGPGEEVLKELQAMWESKMMQAGAVLGPIERSTAAKPTPGGPITPVHDLNMPYEGTEEYETPTAEMLFPPTPLQTPIQTPLPGTGDNSNYNIPTGPSDYPSSANDNRGNADGKGGRPAPYMQPPSPWMNQRPSLDVNVAYVEGRDEADRGTSNQPLTQDFFTMSSGKRKRNDLTSQYNAGGYIPQQDGAGDAALGVFEIEVNGENISINSHHTVSKGKMPADLERLTSSIPQLDGPIPFDDDVLSTPNIYNYEGVFSEDYNISNTPAPSEVPASTPALVAQNEAGNDYDDDDDEPPLNEEDDDELDDIEQVDDQNTHHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFEF >Vigun10g019800.1.v1.2 pep primary_assembly:ASM411807v1:10:2271426:2288251:1 gene:Vigun10g019800.v1.2 transcript:Vigun10g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRFSLFGGGDSRAVDNASSTSKGEELAPVVSPTLKLETDRQVYRPGDPVVVTIQISNPANGCSFLMERLSFEIRGIEKLDTQWFATQKPMSGTRHRRGEHVFLEFSTPVLVANHIVNAGATKSYVVRTQLPSIIPPSYKGSNIRYLYYVKSSITGGWIIFENGQSHAESNNDVTDLEGRIPLQIWINQKSGGFPIDDDIVPLTTVQLDIFWKEMDGDADWVRANDIYDGVEEGYDSSRDDISSVSSYNPMKESLYRGFGSSLSLRSSSARSLTRDASTVEGFRTSLSSNMALPRLSVAEVLSDSGSDVLLSQKLFAVVSPNEQQKPQKNFSGEDVGASSSAEAGEGFIRGRSYNIRLDDQVLLKFYPKNSDSTYYFSDVIGGTLTFFHEEGARRCLEISITLETSETINRRFVHPSRRNSPTITRVQSDHHEVVADLLQTSFLFSIPMDGPMSFSTQHVSVQWVLRFEFFTTPKRVDWKKYDHPLLVETREKTEWVLPISVHAPPPRAPASASATRSEKLFSLDPMWVHN >Vigun01g201400.1.v1.2 pep primary_assembly:ASM411807v1:1:37772172:37773916:-1 gene:Vigun01g201400.v1.2 transcript:Vigun01g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHEARILLGFPPNSRPTPSQVKSAYRKKVWESHPDRFPSHEKPLAESKFKLISEAYTCLQSGRRNVSGSVEYSHVVRTGFPRAHGGRKNHAMIKVPFLLIILGTVALGGFNASRAYKKQKEEYPSHNPFLP >Vigun03g364700.1.v1.2 pep primary_assembly:ASM411807v1:3:56808068:56811377:-1 gene:Vigun03g364700.v1.2 transcript:Vigun03g364700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFTSNSNHSFFFLFFCIFFFLFSASAPTPSDGVEDVDQERYEEVVVVPPWTARRSMAEGASFRNVTLVLAKERTRRQDWFNGFNLYNGGWNISNAHYLTSVMSTAGPFIVVAVAWFVIFGVVLLISCALCCCCNGGESSDYSKLVHYFSLFLLILSTIITIGGCAQLYTGQGKIDGSTSETLDYVLNRAQLVAENLNTISTYFDSAKHIVSEPPLPLDIDLGPNLDDIKFKIDRAADTLSNKVTANSKIIRKVIDGVRLALIIVAVVLFFVTFLRLFFCLLGLQCPVYSLVVIGWILVTCTLVLCAAFIFVHNMAGDTCVAMDEWVVNPTAHTALDDIFPCVEEKAVMETYLKSKMVTYKIIEEFDKVISNFTNANQSVPLLCNPFNSDLTSRNCSSEEVTFQNATQVWKNFKCEVSLTEKCRSVGRLTPILYTKLGAAVNVTYGLFQYGPFFADLLDCNFVRKTFNDISRTYCPPLRRYCEQVYLGSVLVSAAVMLSLVSSLFFVREQFMFLHNNRVF >Vigun03g364700.2.v1.2 pep primary_assembly:ASM411807v1:3:56808068:56810188:-1 gene:Vigun03g364700.v1.2 transcript:Vigun03g364700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGPFIVVAVAWFVIFGVVLLISCALCCCCNGGESSDYSKLVHYFSLFLLILSTIITIGGCAQLYTGQGKIDGSTSETLDYVLNRAQLVAENLNTISTYFDSAKHIVSEPPLPLDIDLGPNLDDIKFKIDRAADTLSNKVTANSKIIRKVIDGVRLALIIVAVVLFFVTFLRLFFCLLGLQCPVYSLVVIGWILVTCTLVLCAAFIFVHNMAGDTCVAMDEWVVNPTAHTALDDIFPCVEEKAVMETYLKSKMVTYKIIEEFDKVISNFTNANQSVPLLCNPFNSDLTSRNCSSEEVTFQNATQVWKNFKCEVSLTEKCRSVGRLTPILYTKLGAAVNVTYGLFQYGPFFADLLDCNFVRKTFNDISRTYCPPLRRYCEQVYLGSVLVSAAVMLSLVSSLFFVREQFMFLHNNRVF >Vigun06g182800.1.v1.2 pep primary_assembly:ASM411807v1:6:30243446:30247232:-1 gene:Vigun06g182800.v1.2 transcript:Vigun06g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTEIIAPSAKLKIKFSTKMMEVDSVAKREFGREVSHTDERRRFNSNGKSSGLNSNKRGQPDSNEGQKVKRQRVDRKWSVQCATILKSLMAHPYGWVFNNPVDPVALNIPDYFNIIKSPMDFGTIKAKLEKNDYSGTEDFADDVRLTFSNATTYNPPGNDVHMMAQALRKIFDRKWKDLVRKLKCEDERSRSESGTIKEASGRSLDTMHSRHKDSWPKNTHVSEKKGIPKSISSACKDASVEAPKLSHIPLKFMEKDLHKGSKGNRDREQSAGSLKACSTACPVTCKCSICGDTNCHCLIRSNSTQVSSDISFEGSEGRDLTVSGADTLRQDCLTKGSSPMEKKSDSDGTVSSLDSEHICASSKHVTFTTDASSGEVWSTAILPVQLSPKKALRAAMLKSRFADTILKAQQKTLLEHGDKRNPKKMQLEKERLERIQREERARIEAQIKTAEAAARTRAEEESRQRREKEREAARVAIEKMKRTVDIEHNMEIIRELESLSGCTLSYKAVGGRNGYKVALDTWDKPQFENPLERLGLFMKEEYIVEDEEFLNGVREEGEIFN >Vigun06g182800.2.v1.2 pep primary_assembly:ASM411807v1:6:30243503:30247192:-1 gene:Vigun06g182800.v1.2 transcript:Vigun06g182800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTEIIAPSAKLKIKFSTKMMEVDSVAKREFGREVSHTDERRRFNSNGKSSGLNSNKRGQPDSNEGQKVKRQRVDRKWSVQCATILKSLMAHPYGWVFNNPVDPVALNIPDYFNIIKSPMDFGTIKAKLEKNDYSGTEDFADDVRLTFSNATTYNPPGNDVHMMAQALRKIFDRKWKDLVRKLKCEDERSRSESGTIKEASGRSLDTMHSRHKDSWPKNTHVSEKKGIPKSISSACKDASVEAPKLSHIPLKFMEKDLHKGSKGNRDREQSAGSLKACSTACPVTCKCSICGDTNCHCLIRSNSTQVSSGSEGRDLTVSGADTLRQDCLTKGSSPMEKKSDSDGTVSSLDSEHICASSKHVTFTTDASSGEVWSTAILPVQLSPKKALRAAMLKSRFADTILKAQQKTLLEHGDKRNPKKMQLEKERLERIQREERARIEAQIKTAEAAARTRAEEESRQRREKEREAARVAIEKMKRTVDIEHNMEIIRELESLSGCTLSYKAVGGRNGYKVALDTWDKPQFENPLERLGLFMKEEYIVEDEEFLNGVREEGEIFN >Vigun02g132400.3.v1.2 pep primary_assembly:ASM411807v1:2:28326564:28331386:-1 gene:Vigun02g132400.v1.2 transcript:Vigun02g132400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQQQSRSEEPRAREDRHGDRDREISREKGRDRDRDRERSREHSHERVRDRDYREDRHHRDRDRTRDRDRDRDRDRGRDRDRARDRDRDRDRDRHRERDRDYDVGDTDRRSRDRESEYDRVESKHERDQHGERNRDYEPEDDRGRHSQYEHGRRHADPDHDPEQYDYHHGDDRGDHYNQYHDHDRMEDDYHVGRATSESHEKEKSHGTDREYRRSERSHSREYDY >Vigun02g132400.1.v1.2 pep primary_assembly:ASM411807v1:2:28325615:28331386:-1 gene:Vigun02g132400.v1.2 transcript:Vigun02g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVTKFAEPGDPEYAPPVPETETPAQKRARIHKLRLEKGAAKAAEELEKYDPHNDPNVSGDPYKTLFVAKLSYETTESRIKREFESYGPIKRVRLVADKETNKPKGYAFIEFLHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQQQSRSEEPRAREDRHGDRDREISREKGRDRDRDRERSREHSHERVRDRDYREDRHHRDRDRTRDRDRDRDRDRGRDRDRARDRDRDRDRDRHRERDRDYDVGDTDRRSRDRESEYDRVESKHERDQHGERNRDYEPEDDRGRHSQYEHGRRHADPDHDPEQYDYHHGDDRGDHYNQYHDHDRMEDDYHVGRATSESHEKEKSHGTDREYRRSERSHSREYDY >Vigun02g132400.2.v1.2 pep primary_assembly:ASM411807v1:2:28325615:28331410:-1 gene:Vigun02g132400.v1.2 transcript:Vigun02g132400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVTKFAEPGDPEYAPPVPETETPAQKRARIHKLRLEKGAAKAAEELEKYDPHNDPNVSGDPYKTLFVAKLSYETTESRIKREFESYGPIKRVRLVADKETNKPKGYAFIEFLHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGREQQQSRSEEPRAREDRHGDRDREISREKGRDRDRDRERSREHSHERVRDRDYREDRHHRDRDRTRDRDRDRDRDRGRDRDRARDRDRDRDRDRHRERDRDYDVGDTDRRSRDRESEYDRVESKHERDQHGERNRDYEPEDDRGRHSQYEHGRRHADPDHDPEQYDYHHGDDRGDHYNQYHDHDRMEDDYHVGRATSESHEKEKSHGTDREYRRSERSHSREYDY >Vigun01g204500.1.v1.2 pep primary_assembly:ASM411807v1:1:38036707:38043278:-1 gene:Vigun01g204500.v1.2 transcript:Vigun01g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRFSNYTVEQFKRLLGAKQTPKAVLGSVPVISHPKSLKLPVNFDARTAWSQCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHFDVNISLSVNDLLACCGFLCGSGCNGGYPLSAWRYLSNHGVVTEECDPYFDQTGCSHPGCEPAYRTPKCVKKCVSGNQLWKKSKHYSVSTYKVKSNPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHVTGYVLGGHAVKLIGWGTTDDGDDYWLLANQWNREWGDDGYFKIRRGTNECGIEEEVTAGLPSTKNLVREVTDMDDGVAVSF >Vigun02g029600.1.v1.2 pep primary_assembly:ASM411807v1:2:12268743:12270719:-1 gene:Vigun02g029600.v1.2 transcript:Vigun02g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYALLSCRKRYESEKKMYVTRPLSMYKRNPGALSEPPPAGPNSGYLVIFDEEAQTYSCFGLCKDSNIRDLPFPQDKNLTINYGSDDEEALFVPVLNQPLSSNRYYVIRRRGKHQGKASTSSKEENMGTCLCCSFVHDVKPRPLDPSDVYQQVEIMKKNYGFHAKSVASDGIPSGLLRYKGWTVYTRTPSNYHLREALGANDSLRAKLPGVNFPLSNDRSESVVVGKWYCPFMFVKEGIRLKEQMKKSVFYELTLEQRWEKIFSKENNEHSGENHDVFVDVVVQTEVAKVEGREAVWDEHGVDDEKVVWFRSFGDGASERSVGLSLEIVDGMKWEQERVGWVSGNERQMRVERVEKFEGVMNKWVKFGCYVLVESFVLKAMDSRMVLTYEFRHKHQIRCKWE >Vigun03g070100.2.v1.2 pep primary_assembly:ASM411807v1:3:5797101:5805811:1 gene:Vigun03g070100.v1.2 transcript:Vigun03g070100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKEKNGTWLSVPQFGDWDQKGQVPDYSLDFSKIRETRKQNKTNISRASLGNEQEFVDSTSSSVNTGHSSEHSQPHNDQTVPPNHEGYPTEREGIFFFSP >Vigun03g070100.3.v1.2 pep primary_assembly:ASM411807v1:3:5797101:5805811:1 gene:Vigun03g070100.v1.2 transcript:Vigun03g070100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKEKNGTWLSVPQFGDWDQKGQVPDYSLDFSKIRETRKQNKTNISRASLGNEQEFVDSTSSSVNTGHSSEHSQPHNDQTVPPNERKSFLSYFNCCVKA >Vigun03g070100.1.v1.2 pep primary_assembly:ASM411807v1:3:5797121:5798994:1 gene:Vigun03g070100.v1.2 transcript:Vigun03g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKEKNGTWLSVPQFGDWDQKGQVPDYSLDFSKIRETRKQNKTNISRASLGNEQEFVDSTSSSVNTGHSSEHSQPHNDQTVPPNVRLPISFPALCFIFLKGSI >Vigun05g012700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1020005:1020789:1 gene:Vigun05g012700.v1.2 transcript:Vigun05g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGATIVNFLQDEEAFSVSVSNRFAYLDTDNDGLLSYAEMLKELQSLRVLETHFGIDVEPDPDELAQVYESLFVQFDHNLNGTIDLDEFKNETRQMLLAMADGLGFVPVQMVLEEDSILKTAVERESYKLPA >Vigun07g041100.1.v1.2 pep primary_assembly:ASM411807v1:7:4011745:4014113:-1 gene:Vigun07g041100.v1.2 transcript:Vigun07g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSKKVRIEERLARLQNTSDRSEAGLKAKIQRVPRHLVGDGTMHYEKHFTPKLMSLGPIHYGAPELQLGEQYKQMWAAMFFRSTDQTSQFLFGKIAENVEALKELFAPDLFTGNHLFLKYSEQGFSSMEEMISWTLLVDGCALLRILEYAQQWEKKMNVRVEQLMLVVQDVLLLENQLPYPLLKLLWRGSNDGELMETMKKFLRCDEWAAANGYKERMEFEEPTHLLHLQLSLVLYDSPPKDTKYFETGLVRPVKTEYYSATEGFVMTYRNITELKAAGVELKSSRTNRLSDISFSRGRFRSKLRLPVMIMDETTATSTLNVIAYEMCPDFANYGFCDHISFLKSLIETPDDVKALRSARILVNNLGSDQDVLNLFTTISSNLVPNMEKYAHVMFQIEKHYSHKSLPTWIALGYNTYFSNPWSIIAFLAALLGLVLTLIQTIYC >Vigun05g087700.1.v1.2 pep primary_assembly:ASM411807v1:5:8327047:8328975:1 gene:Vigun05g087700.v1.2 transcript:Vigun05g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMLSSSSPSFALHFPENTMALSLQEISHCLKSLENQEKGKGKTSTQASTINLVDLEQTKSHFLKKSFCHSDHADTGKKVIQPTRIEQSISHVSIIGSTIKYKIMMSDMVALNKTSNVFDNLEVW >Vigun02g144000.1.v1.2 pep primary_assembly:ASM411807v1:2:29229839:29232960:1 gene:Vigun02g144000.v1.2 transcript:Vigun02g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSGSSQKLGSFLSPGAPHYREKNSGSQNGWSSERVLQPSSSSIRHQASVANLTPFNSGRTVPSKWDDAERWICSPVSGYTNHKTNSYAQLHRRPKSKSGPIVPPGKGYYSNYSPTIPLRQGLAVKNFMMGGSPFSTGVLAPDAISLHHYYAHDAVFGPRYDFDSTMQCSSPLLDDNSEAILASVSSAPVWSELLCDPSSPNSQDEKRNGTKNEDALTSLSRCDKGTQMSPPETEKDAAKSSPTSTMNHENRHAAKLEVRDVEVDSEATIIRWSKSHVPRLSLLPGKHSRRRTSMEAQPSGLDIAESTLDSTKFQREESKIIAWESLQKAKAEAAIRTLEMKLEKKKSSSMEKILNKLRRAQMKAEKMRNQITVEEDLQQVSKTRKVFSFQKYVQIWSPKSCFGTHAP >VigunL059714.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:41200:53461:-1 gene:VigunL059714.v1.2 transcript:VigunL059714.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g132000.1.v1.2 pep primary_assembly:ASM411807v1:7:24154038:24156243:1 gene:Vigun07g132000.v1.2 transcript:Vigun07g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDEFAKAASSSKGSQHSSVINSCNLTSDASNFVPDEQSPADEALLESSSLCSLGASNNRNICSRKHDDIDDSVQNNEEIVKKKAAREGTGVKRSRNAEVHNLCERKRRDKINKRMRILKDLIPNCNKNDKASMLDDAIEYLKTLKLQLQIMTMGGGFCMPFMMLPNAAHCMMNAPHLQHLQQSGTTFPCNLPQFPITPLPAITDNRVHMFRFTPISHAPPFIPMAQNPSQLTTLLASLSNNSYLNGQAELAIKQPLKQVFPHH >Vigun07g132000.2.v1.2 pep primary_assembly:ASM411807v1:7:24154153:24156134:1 gene:Vigun07g132000.v1.2 transcript:Vigun07g132000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDEFAKAASSSKGSQHSSVINSCNLTSDASNFVPDEQSPADEALLESSSLCSLGASNNRNICSRKHDDIDDSVQNNEEIVKKKAAREGTGVKRSRNAEVHNLCERKRRDKINKRMRILKDLIPNCNKNDKASMLDDAIEYLKTLKLQLQIMTMGGGFCMPFMMLPNAAHCMMNAPHLQHLQQSGTTFPCNLPQFPITPLPAITDNRVHMFRFTPISHAPPFIPMAQNPSQLTTLLASLSNNSYLNGQAELAIKQPLKQVFPHH >Vigun07g132000.3.v1.2 pep primary_assembly:ASM411807v1:7:24153903:24156242:1 gene:Vigun07g132000.v1.2 transcript:Vigun07g132000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDEFAKAASSSKGSQHSSVINSCNLTSDASNFVPDEQSPADEALLESSSLCSLGASNNRNICSRKHDDIDDSVQNNEEIVKKKAAREGTGVKRSRNAEVHNLCERKRRDKINKRMRILKDLIPNCNKNDKASMLDDAIEYLKTLKLQLQIMTMGGGFCMPFMMLPNAAHCMMNAPHLQHLQQSGTTFPCNLPQFPITPLPAITDNRVHMFRFTPISHAPPFIPMAQNPSQLTTLLASLSNNSYLNGQAELAIKQPLKQVFPHH >Vigun04g094900.1.v1.2 pep primary_assembly:ASM411807v1:4:19626308:19637222:-1 gene:Vigun04g094900.v1.2 transcript:Vigun04g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDHDQPSAAAAKAQALQTLAAGPLSSSVAKLAASSRCLPSDKDFHFYRNFEEFKVPVEEIARESRSMLEAIGAAAHAAFPADVDDAYDWLVNVNDDVLERFDASMDEFRRHREEEKTGHPAKHPMEEDGFQLVSGRKKKGARGNVIPGTGPEVSPATPGVTVATKDKKTMGPKPKVPFHIPTIRRPQDEYSIVVNNANMPFEHVWLQSSEDGSRFIHPLEMLSVLDFVDGSPGDVVPMKPPSIDNTPFKLVEEVKDLKELAARLRSVNEFSVDLEHNQYRSFQGLTCLMQISTRAEDFVVDTLKLRIHIGPYLRDVFKDPSKKKVMHGADRDILWLQRDFGIYVCNLFDTHQASKLLGLERNSLEYILYHFCEVTANKDYQNADWRLRPLPDEMLRYAREDTHYLLYIYDLMRIKLFALSKDSESSESSDISLVEVYKRSYDVCMQLYEKELLTENSYLHIYGLQGAGFNAQQLAIVSGLCEWRDIVARAEDESTGYVLPNKSVLEIAKQIPLTTSKLRRLVKSKHPYVEHNLDTVVSIIRHSIQNAAAFEEASQLLKEAHAAVALDVVPVTDGTEDPQFYKQDSKEPSVHQDTNVQIKIKSSSLTSEPRVSLTVLELDRDANVGALSSEKGNRTTVQVLKKPSGAFGALLGNSASKRKLGPDKGKEDIKLEQIRASVSLPFHTFLGSSEKLEPTVQTPSVATEILEPPKPVSDIVSASPLDEIIMLESDTGAEGMELNNLENYNVHMEKSSGVFTSGKEDKEPVSLSELSSNFKKCFHSNDQNNKTRQHGKTEESSGVVQLKAFDYEAARKHVEFGEHTKHASSQDCGEVEVSDSKQLSTIGQEQASNSTKQLQQGKRRQAFPASGNRSATFR >Vigun10g161800.2.v1.2 pep primary_assembly:ASM411807v1:10:38049482:38055278:-1 gene:Vigun10g161800.v1.2 transcript:Vigun10g161800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRLKQQQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFAGTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYTSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQNAINDLTGKWLGSRQIRCNWATKGAGGIEEKQNSDAKSVVELTNGSSDGKEVSNSDAPENNAQYTTVYVGNLASEVTQLDLHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSLLLGKQIKCSWGSKPTPPGTASNPLPPPAAASLPGLSANDLLAYERQLAMSKMGSVHALMHPQGQHPLKQAAAIGASQAIYDGGFQNVAAAQQMMYYQ >Vigun10g161800.1.v1.2 pep primary_assembly:ASM411807v1:10:38049482:38055278:-1 gene:Vigun10g161800.v1.2 transcript:Vigun10g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRLKQQQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFAGTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYTSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQNAINDLTGKWLGSRQIRCNWATKGAGGIEEKQNSDAKSVVELTNGSSEDGKEVSNSDAPENNAQYTTVYVGNLASEVTQLDLHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSLLLGKQIKCSWGSKPTPPGTASNPLPPPAAASLPGLSANDLLAYERQLAMSKMGSVHALMHPQGQHPLKQAAAIGASQAIYDGGFQNVAAAQQMMYYQ >Vigun02g171400.1.v1.2 pep primary_assembly:ASM411807v1:2:31425229:31426911:-1 gene:Vigun02g171400.v1.2 transcript:Vigun02g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTVGHRVESLASSGIKCIPKEYVRPQEELENIGNVFEEEKKEGPQVPTIDLGEIDSPSEVVRGRCREKLKKAAEEWGVMNLVNHGIPEELLNRLRKAGETFFSLPIEEKEKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLVFPEDKRDLSIWPTKPSDYTEATSEYARLLRKLATKILEALSIGLGLEGGRLEKEVGGMEELLLQLKINYYPICPQPELALGVEAHTDISSLTFLLHNMVPGLQLFYEGKWVTAKCVPNSIFMHIGDTIEILSNGRYKSILHRGLVNKEKVRISWAVFCEPPKEKIILQPLPELVTEKEPARFPPRTFAQHIHHKLFRKDEEAVSN >Vigun05g126250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14242584:14243117:-1 gene:Vigun05g126250.v1.2 transcript:Vigun05g126250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQQSQKKLIIKIYSSRPENHEGVSELKNKKRYYAKAIWIDVKNTSKSCESNQKIRHEECDKRKDKEMLQKEWNKREKKRKVEDVMRMNRCKRMQCWMTMKRLMVGKYAWIFKKNDQNKKITSLQDIELKMKRLEYSKVDDFADDMKNVFSYPLGYPPKNEVHKIQEISQAFELN >Vigun09g026500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2084578:2085933:1 gene:Vigun09g026500.v1.2 transcript:Vigun09g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQPAKRRRVYSLEPNKVEQAAFARSYMNYLVPALMKIKERITSSEHCDDIQNVVKYEVDMAMVHSAQGFAWSDALSVKLQRNNRANADSDTTSNEEAGEASSRVCGKSGEMVPLNNHFPSNPSLKPRRRRRGLREEEEDEDELKSLRMLIPGGEEMCSEQMVTELQSYVSCLQMQVNILQCLAETH >Vigun08g158900.1.v1.2 pep primary_assembly:ASM411807v1:8:33140515:33143964:-1 gene:Vigun08g158900.v1.2 transcript:Vigun08g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVVMFLLSVVLQTLVYITPDTVALVLSSESDKVALLALKKKLTNGVPNSLPSWNHSLHLCEWQGLTCSRRHKRVSVLHLKNQNWNGILGPSLGNLTFLRALILSNINMHGEIPTQIGRLKRLQLLDLSNNNLNGQIPMHLINCSKLEVINLLYNKLNGKLPSWFGLGSMTRLNKLLLGANDLVGTIPPSLGNLSSLQHITVARNHLVGSIPHVLGRLSNLKELNLGLNDLSGVVPDSLYNLSNIQIFVLGVNRLSGTFPPKMQLAFPNLRAFFVGGNEFSGTFPSSISNITGLQVFDISSNDFSGPIPHTLGSLNKLQKFNIANNSFGTGRASDLDFLSPLTNCTQLRILLLDGNGFGGVIPDLIGNFSTHLTTLRMGLNRISGMIPDEIGQLIGLIDFVVEDNYLEGTIPDSIGRLKNLGRLVLQENKLSGNIPTAIGNLTALSELYLHTNKLKGSIPLSLKHCTRMQSFGVSENNLSGDIPSQTFGNLEGLINLDLSNNSFTGSIPLEFGNLKHLSVLYLYENKLSGEIPIELGACSALTELVLQSNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKKPITPKTPSSSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVYDFMPNGSLEGLLHDNVVLESRNFSVNLDLVVNIALDVANALDYLHHGSEEAIVHCDIKPSNVLLDDDMVAHLGDFGLARLVHVATGHSSRDQVSSSAIRGTIGYVPPEYGTGCGVSTKGDMYSYGILVLEMVTGRRPTDAMFGEGVSLHKFCQMAIPEGITEIADSRLLVPVVEEGRRMMEIKIRECLVGLARIGVECSAELPVDRMDIKDVVLELHSIKQRLCH >Vigun09g045050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4241944:4242525:1 gene:Vigun09g045050.v1.2 transcript:Vigun09g045050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKTFANFLVSLMRLQLAAIERSHFPLRLLLSCGTRALTLRVSVPLTPTTLMMMVAFSPSRSPPIATWITRGEIGGNLSVKVECLEDSSEPSHMFCPLPLRCFRFGRALVLCSCGEVLCCIAL >Vigun11g143000.1.v1.2 pep primary_assembly:ASM411807v1:11:35253549:35257043:-1 gene:Vigun11g143000.v1.2 transcript:Vigun11g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRKDCFSSTLVSFPISPSEVTFNHDPWFRRLSVVRRSQQCHGLRRGFSKNMACSVENCDMGSGVVHPATDAYAGEAVEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRRHTSPLAICVGDVSDITRFAVTDHLPHDLLDSLLPGPVTVVLKRGESSILERSLNPGLDSIGVRVPDCNFIRTIARASETALALTSANLSGQPSSLSTKDFENLWEHCAFVYDGGLIPSSRAGSTVVDLTTPQKYRILRPGSAKEETVAILEKHSFVEAVTS >Vigun11g143000.2.v1.2 pep primary_assembly:ASM411807v1:11:35253549:35257043:-1 gene:Vigun11g143000.v1.2 transcript:Vigun11g143000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSAQGLLLLNTSFFSNFSFRGFRRLSVVRRSQQCHGLRRGFSKNMACSVENCDMGSGVVHPATDAYAGEAVEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRRHTSPLAICVGDVSDITRFAVTDHLPHDLLDSLLPGPVTVVLKRGESSILERSLNPGLDSIGVRVPDCNFIRTIARASETALALTSANLSGQPSSLSTKDFENLWEHCAFVYDGGLIPSSRAGSTVVDLTTPQKYRILRPGSAKEETVAILEKHSFVEAVTS >Vigun01g229700.2.v1.2 pep primary_assembly:ASM411807v1:1:40225983:40228402:1 gene:Vigun01g229700.v1.2 transcript:Vigun01g229700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDELLSSLISDIHSYTGKDPLLPWLRAIRKIKDTLPPKILKEKLPAFLQKCAHTFELDRRYRNDMRYIRVWLHLMDFVSDPKTLLSTMELNRIGTKRSEFYQAYALYYEKCKKYDEAEKMYHLGVKNLAEPLDKLQKSYEQFLQRMEQRKSNKRTQHQEAKAARRPLSAKSSDDKKTEGSKKFRGDDTVVVKFVDTAMVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETVPLRRKSHKNHSKESRSTKNEFEVFVDENLDHGIKTSGSSSLQKRTEASQPHQEPFQIYIDGEEHSETSNANLSEGGSASSASQSNGFVFLRPKDITSEKSSDMDADSRRNSKFREDTVVCKFVGSTILDEPEVENVCHHGLVDPTINLKEAMDDINNMFGKPIDFVRKRRITKQEKAPQSNKGNDFGGFSILADDDHLEQQVPPPPTRKLHGKSKESDLFEPTLLTKEAMDDINKMFNMPLNF >Vigun01g229700.4.v1.2 pep primary_assembly:ASM411807v1:1:40225985:40228440:1 gene:Vigun01g229700.v1.2 transcript:Vigun01g229700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDELLSSLISDIHSYTGKDPLLPWLRAIRKIKDTLPPKILKEKLPAFLQKCAHTFELDRRYRNDMRYIRMDFVSDPKTLLSTMELNRIGTKRSEFYQAYALYYEKCKKYDEAEKMYHLGVKNLAEPLDKLQKSYEQFLQRMEQRKSNKRTQKDLLKHQEAKAARRPLSAKSSDDKKTEGSKKFRGDDTVVVKFVDTAMVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETVPLRRKSHKNHSKESRSTKNEFEVFVDENLDHGIKTSGSSSLQKRTEASQPHQEPFQIYIDGEEHSETSNANLSEGGSASSASQSNGFVFLRPKDITSEKSSDMDADSRRNSKFREDTVVCKFVGSTILDEPEVENVCHHGLVDPTINLKEAMDDINNMFGKPIDFVRKRRITKQEKAPQSNKGNDFGGFSILADDDHLEQQVPPPPTRKLHGKSKESDLFEPTLLTKEAMDDINKMFNMPLNF >Vigun01g229700.3.v1.2 pep primary_assembly:ASM411807v1:1:40225985:40228402:1 gene:Vigun01g229700.v1.2 transcript:Vigun01g229700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDELLSSLISDIHSYTGKDPLLPWLRAIRKIKDTLPPKILKEKLPAFLQKCAHTFELDRRYRNDMRYIRVWLHLMDFVSDPKTLLSTMELNRIGTKRSEFYQAYALYYEKCKKYDEAEKMYHLGVKNLAEPLDKLQKSYEQFLQRMEQRKSNKRTQDLLKHQEAKAARRPLSAKSSDDKKTEGSKKFRGDDTVVVKFVDTAMVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETVPLRRKSHKNHSKESRSTKNEFEVFVDENLDHGIKTSGSSSLQKRTEASQPHQEPFQIYIDGEEHSETSNANLSEGGSASSASQSNGFVFLRPKDITSEKSSDMDADSRRNSKFREDTVVCKFVGSTILDEPEVENVCHHGLVDPTINLKEAMDDINNMFGKPIDFVRKRRITKQEKAPQSNKGNDFGGFSILADDDHLEQQVPPPPTRKLHGKSKESDLFEPTLLTKEAMDDINKMFNMPLNF >Vigun01g229700.1.v1.2 pep primary_assembly:ASM411807v1:1:40225971:40228440:1 gene:Vigun01g229700.v1.2 transcript:Vigun01g229700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDELLSSLISDIHSYTGKDPLLPWLRAIRKIKDTLPPKILKEKLPAFLQKCAHTFELDRRYRNDMRYIRVWLHLMDFVSDPKTLLSTMELNRIGTKRSEFYQAYALYYEKCKKYDEAEKMYHLGVKNLAEPLDKLQKSYEQFLQRMEQRKSNKRTQKDLLKHQEAKAARRPLSAKSSDDKKTEGSKKFRGDDTVVVKFVDTAMVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETVPLRRKSHKNHSKESRSTKNEFEVFVDENLDHGIKTSGSSSLQKRTEASQPHQEPFQIYIDGEEHSETSNANLSEGGSASSASQSNGFVFLRPKDITSEKSSDMDADSRRNSKFREDTVVCKFVGSTILDEPEVENVCHHGLVDPTINLKEAMDDINNMFGKPIDFVRKRRITKQEKAPQSNKGNDFGGFSILADDDHLEQQVPPPPTRKLHGKSKESDLFEPTLLTKEAMDDINKMFNMPLNF >Vigun06g004300.1.v1.2 pep primary_assembly:ASM411807v1:6:2044561:2046315:-1 gene:Vigun06g004300.v1.2 transcript:Vigun06g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSIFLLSHLHLQTLLSSLIILVIIFCITFLKGLESHLLKKPKNQEVPLPPGPKPWPIIGNLPEMLANRPTFRWINNLMKEMKTEIACIRLGNVHVILVTCPIIAREFLRKQDATFASRPTSITTSLISRGYLTTTLVPLGEQWKKMRRIVGNELLSTTIHHQLQHKRLEEANNLVFYIYSMCKNNVNADVGLVNVRDVTQHYCCNVIKNLSFGMRYFGEGKNDGGPGCEEVEHLEAIFVLLKYIYDFSVSDYVPCLRGLDLDGHDNKVKKAIQIVNKYHDPIIDQRIKEWNDGSKIHTEDFLDILISLRDANNNPVLTTQEIKAQINELMMAAVDNPSNAVEWGLAEMINQPELLQHATDELDRVVGKERLVQESDIPKLNYIKACAREAFRLHPVAPFNVPHVSVKDTVVGKYVIPNGSHVLLSRQELGRNSKVWGDEALKFKPERHLKGNVVLTESDLNFVSFSTGRRGCPGIVLGTTMTVMLFARLLHSFTWTAPPNASPINLAESHHDLLLANPLLAVAKPRLTPQLYTV >Vigun06g004300.2.v1.2 pep primary_assembly:ASM411807v1:6:2044453:2046373:-1 gene:Vigun06g004300.v1.2 transcript:Vigun06g004300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQTNLTKSSLICRTQCKGLESHLLKKPKNQEVPLPPGPKPWPIIGNLPEMLANRPTFRWINNLMKEMKTEIACIRLGNVHVILVTCPIIAREFLRKQDATFASRPTSITTSLISRGYLTTTLVPLGEQWKKMRRIVGNELLSTTIHHQLQHKRLEEANNLVFYIYSMCKNNVNADVGLVNVRDVTQHYCCNVIKNLSFGMRYFGEGKNDGGPGCEEVEHLEAIFVLLKYIYDFSVSDYVPCLRGLDLDGHDNKVKKAIQIVNKYHDPIIDQRIKEWNDGSKIHTEDFLDILISLRDANNNPVLTTQEIKAQINELMMAAVDNPSNAVEWGLAEMINQPELLQHATDELDRVVGKERLVQESDIPKLNYIKACAREAFRLHPVAPFNVPHVSVKDTVVGKYVIPNGSHVLLSRQELGRNSKVWGDEALKFKPERHLKGNVVLTESDLNFVSFSTGRRGCPGIVLGTTMTVMLFARLLHSFTWTAPPNASPINLAESHHDLLLANPLLAVAKPRLTPQLYTV >Vigun06g232200.1.v1.2 pep primary_assembly:ASM411807v1:6:33846878:33850482:1 gene:Vigun06g232200.v1.2 transcript:Vigun06g232200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSQFSFLSDQALQDKNFDPSTIEDLMKLFEIESYKAWAAAELEQEREVEEAEAGMEEAEEYLDSVMENAMDEFRRFEQELEKMAKDEMENLVQTAERARKMGNLMEKGASIASKKYIEAALNSATASMKSAWKGKKTNLRHFFALSNLILSEKYINIGSLLGIEY >Vigun11g160200.3.v1.2 pep primary_assembly:ASM411807v1:11:36769289:36773260:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSVYAPENKRRAPIFSFFKDARLVFKYDSLGCEILSIALPSALALIADPVASLVDTAFIGQIGPVELAAVGVAIALFNQISRIAIFPLVSVTTSFVAEEDTLSGDTPQEVEKNEWLEAAPIMDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun11g160200.5.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36772790:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSVYAPENKRRAPIFSFFKDARLVFKYDSLGCEILSIALPSALALIADPVASLVDTAFIGQIGPVELAAVGVAIALFNQISRIAIFPLVSVTTSFVAEEDTLSGDTPQEVEKNEWLEAAPIMDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun11g160200.8.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36772274:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQDCFLELNYLPEMFMSFTSSELESRL >Vigun11g160200.1.v1.2 pep primary_assembly:ASM411807v1:11:36769291:36773283:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSVYAPENKRRAPIFSFFKDARLVFKYDSLGCEILSIALPSALALIADPVASLVDTAFIGQIGPVELAAVGVAIALFNQISRIAIFPLVSVTTSFVAEEDTLSGDTPQEVEKNEWLEAAPIMDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun11g160200.6.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36773260:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSVYAPENKRRAPIFSFFKDARLVFKYDSLGCEILSIALPSALALIADPVASLVDTAFIGQIGPVELAAVGVAIALFNQISRIAIFPLVSVTTSFVAEEDTLSGDTPQEVEKNEWLEAAPIMDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQDCFLELNYLPEMFMSFTSSELESRL >Vigun11g160200.2.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36773260:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSVYAPENKRRAPIFSFFKDARLVFKYDSLGCEILSIALPSALALIADPVASLVDTAFIGQIGPVELAAVGVAIALFNQISRIAIFPLVSVTTSFVAEEDTLSGDTPQEVEKNEWLEAAPIMDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun11g160200.4.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36772287:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun11g160200.7.v1.2 pep primary_assembly:ASM411807v1:11:36769307:36772519:-1 gene:Vigun11g160200.v1.2 transcript:Vigun11g160200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIKELIPLNIDLTAIDCNIAKFEHKRRQIPSASSALLIGGILGIIQTVFLISAAKPLLNFMGVTSDSLMLHHAHKYLTLRSLGAPAVLLSLAMQGIFRGFKDTRTPLYATLAGDLTNVALDPLFIFVFRLGVSGAAIAHVISQYLMSLILLWCLMEKVDLIPPSIKHLQFHRFLKNGFLLFVRVIAVTFCVTLAASLAARKGPTSMAAFQVCLQIWLAVSLLADGLAVAAQAILAGAFANKDYEKAEATAYRVLQLGLVLGFALAFILGIGLLLGAKLFTRDVHVLHLIRIGIPFVAATQPLNALAFVFDGINFGASDFAYSAISLVVVAISSIICLLFLSSVGGFIGIWVALTIYMALRAIVGILRVGTGSGPWKMIRS >Vigun03g141600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14206695:14208515:1 gene:Vigun03g141600.v1.2 transcript:Vigun03g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSCFTFATAILLLFSFHPSTADSHEKFVQCLYNYPHITNSISNVVYIQTNSSYSSVLDVSIQNRRFLNITSKPQVIVTPLDVSHIQATIICAQRHSLQIRTRSGGHDYEGLSYVAGVPFVVVDLIKLRRIEVDIENRTAWVEAGATLGELYYRISQKSKTLGFPAGECPPVGVGGHFSGGGYGYMMRKYGLAADHVIDAKIVDVNGNLLDKETMGEDLFWAIRGGGGASFGVIVAWKIKVVPVPSTVTVFQVARTLEENATEIIHKWQRVANKFNESITMKANMVRVNSSKSGNPTVEAQFISLYLGRVDELIPMMQKSFPELGLVREECTEMSWIESILWKAGFADGEPTDVLLNRTQLIGLLFFKAKSDYVRDPIPDDGLEGLWPFFYEDEAKYAYIQFTPYGGRMDEISESETPFAHRSGYIFHIQYGVYWEEKGDEAAQRYMNWIRRVYKYMKPYVSKSPRAAYMNYRDLDIGVNNNGYTSFSQASIWGFKYFGNNFNRLAQVKTRVDPRNFFRNEQSIPPLISKGDINRPSWVPL >Vigun05g118800.1.v1.2 pep primary_assembly:ASM411807v1:5:12852838:12856089:1 gene:Vigun05g118800.v1.2 transcript:Vigun05g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVFLHIASLATLFLSTSARIPGVYTGGSWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCDQDPRWCNPGNPSILITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVAYRRVACRKTGGIRFTINGFRYFNLVLITNVAGAGDIVRVSVKGSKTAWMSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNVAPPNWQFGQTFTGKNFRV >Vigun02g179800.3.v1.2 pep primary_assembly:ASM411807v1:2:32084768:32087798:1 gene:Vigun02g179800.v1.2 transcript:Vigun02g179800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMECIEINIPTSTHAKPELHETDTKFGAKEIWVQIQSVWEFCKEDTGREIFALKVGITVLLVSLFVLFEAPYQVFGSNIIWAILTAIIVFEDTVGATFNRGFNRAVGTLVAVILAVVVAETALSCGHVAEPIILGLSMFMIAVITSYMKMWGALVQYEYGFRVTLMTYCLIVVSDYRIGNPVRTMIDRLYSIAIGGMISVLVNVSIFPIWAGDQLHKELVKNFHLVADSFEECTKKYLEDASEKSKFTMSSIDAFHEEGAYMRCQSTLDSGSRFQTLAQSAKWEPPHGKFMHISYPWLQYVKVGAVLRHCAYEVMALHSIAHAKIQCWLSGTLQIEGWA >Vigun02g179800.2.v1.2 pep primary_assembly:ASM411807v1:2:32084768:32087798:1 gene:Vigun02g179800.v1.2 transcript:Vigun02g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMECIEINIPTSTHAKPELHETDTKFGAKEIWVQIQSVWEFCKEDTGREIFALKVGITVLLVSLFVLFEAPYQVFGSNIIWAILTAIIVFEDTVGATFNRGFNRAVGTLVAVILAVVVAETALSCGHVAEPIILGLSMFMIAVITSYMKMWGALVQYEYGFRVTLMTYCLIVVSDYRIGNPVRTMIDRLYSIAIGGMISVLVNVSIFPIWAGDQLHKELVKNFHLVADSFEECTKKYLEDASEKSKFTMSSIDAFHEEGAYMRCQSTLDSGSRFQTLAQSAKWEPPHGKFMHISYPWLQYVKVGAVLRHCAYEVMALHSIAHAKIQVPYKLRVGLESEVEEASKEAAEVVRILGRDISHMQWSVKDSHVKRLHNSIKRLQS >Vigun02g179800.1.v1.2 pep primary_assembly:ASM411807v1:2:32084768:32087798:1 gene:Vigun02g179800.v1.2 transcript:Vigun02g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMECIEINIPTSTHAKPELHETDTKFGAKEIWVQIQSVWEFCKEDTGREIFALKVGITVLLVSLFVLFEAPYQVFGSNIIWAILTAIIVFEDTVGATFNRGFNRAVGTLVAVILAVVVAETALSCGHVAEPIILGLSMFMIAVITSYMKMWGALVQYEYGFRVTLMTYCLIVVSDYRIGNPVRTMIDRLYSIAIGGMISVLVNVSIFPIWAGDQLHKELVKNFHLVADSFEECTKKYLEDASEKSKFTMSSIDAFHEEGAYMRCQSTLDSGSRFQTLAQSAKWEPPHGKFMHISYPWLQYVKVGAVLRHCAYEVMALHSIAHAKIQVPYKLRVGLESEVEEASKEAAEVVRILGRDISHMQWSVKDSHVKRLHNSIKRLQSCMCFQYSYMLASTFDNPSKSFANISHMFYHLPYQREMMEEEVECYHHMTGKQLRRLYSWPPREADAFEDIKLEESTAMLSFTNFASSLMEFVARVEHLVEAVHELSKMAKFKSAL >Vigun02g179800.4.v1.2 pep primary_assembly:ASM411807v1:2:32084768:32087798:1 gene:Vigun02g179800.v1.2 transcript:Vigun02g179800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQMECIEINIPTSTHAKPELHETDTKFGAKEIWVQIQSVWEFCKEDTGREIFALKVGITVLLVSLFVLFEAPYQVFGSNIIWAILTAIIVFEDTVGATFNRGFNRAVGTLVAVILAVVVAETALSCGHVAEPIILGLSMFMIAVITSYMKMWGALVQYEYGFRVTLMTYCLIVVSDYRIGNPVRTMIDRLYSIAIGGMISVLVNVSIFPIWAGDQLHKELVKNFHLVADSFEECTKKYLEDASEKSKFTMSSIDAFHEEGAYMRCQSTLDSGSRFQTLAQSAKWEPPHGKFMHISYPWLQYVKVGAVLRHCAYEVMALHSIAHAKIQCWLSGTLQIEGWA >Vigun06g034066.1.v1.2 pep primary_assembly:ASM411807v1:6:14493630:14494823:1 gene:Vigun06g034066.v1.2 transcript:Vigun06g034066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPPIDSSLPTKNSQQPETSTKHVQCESSSYWTVHAIDLEGVIKKIKVKVREVNNLPRGERIIVDFDELGMAIGEYLL >Vigun07g096900.1.v1.2 pep primary_assembly:ASM411807v1:7:16127155:16141790:-1 gene:Vigun07g096900.v1.2 transcript:Vigun07g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEREDPQRLKRIAADSYDYDKDSRWPDYWSNVLIPPHMASRNDVVSHFKRKFYQRYVDSDLVVEPMSLGGSSSQPARSSTTSTTTPATNNQPRARSSASTNRTSGTTSSTAGAAPLRWDRQTIMFSVNAWVFVVGFLATIPLVPKHLSHRAYRLSFLGTACSSLYSMYSQYGKPRAWNMQALQIYFQSIIASNDFIYFIFCLTFVTSHLCLKFALIPILCWSLEHVAKFLRRNFSRSTLYRKYLEEPCVWVESNNTTLNILTSHAEIGLGFLLIISLFSWQRNIIQTFMYWQLLKLMYHVPVTAPYHQSVWAQIGRTVNPVIQRHLPFLKTPVTAIQRWWLR >Vigun11g071601.1.v1.2 pep primary_assembly:ASM411807v1:11:20585375:20585937:-1 gene:Vigun11g071601.v1.2 transcript:Vigun11g071601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRLMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGLLVHPPYCGKCLSKSICNESCRGF >Vigun09g191100.1.v1.2 pep primary_assembly:ASM411807v1:9:36568366:36572172:-1 gene:Vigun09g191100.v1.2 transcript:Vigun09g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAETEMEPLTSGASNRIIPLLKALRASLIFVYTFFLSFLFFILPRRRRLSSTAGPPSPKKHLRRRWLVREEEDTCRRRALAQDYLGMGRDEGWYRWSTSIFYGVRNNALFCRSWFPVSEDVKGILIIIHGLNEHSGRYADFARQLTSCKFAVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIRSDNPGIPCFLFGHSTGGAVVLKAASHPHIEVMVEGIILTSPALRVKPAHPIVGAVAPIFSLVAPRFQFKGANKRGIPVSRDPAALLAKYTDPLVYTGPIRVRTGHEILRISSYLMRNFNSVTVPFFVLHGTADKVTDPMASQDLYDKAASKFKDIKLYDGFLHDLLFEPEREEIAQDIINWMEKRLFTI >Vigun02g099600.2.v1.2 pep primary_assembly:ASM411807v1:2:25474889:25478600:1 gene:Vigun02g099600.v1.2 transcript:Vigun02g099600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFVVGLWHIWGSVARYVRNPKAFEVRVWNPVPGFDGRLKHLELYVITIGAFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVALLSQETRFLPLPEGALCLIAASAFCAEYLLFYFHSTTHKGLEGYYHTLLVFLVGLCILSSIAGALLPTSFPVDLCNGIAIALQGLWFYQTAFVLYGPMMPSGCKLGEMSVTCHSADSEVRGELLANFQLFVAVLVVLVGTVASYVFAASRYGKYEVKSLHAFQVGFDEE >Vigun02g099600.3.v1.2 pep primary_assembly:ASM411807v1:2:25474889:25478600:1 gene:Vigun02g099600.v1.2 transcript:Vigun02g099600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFVVGLWHIWGSVARYVRNPKAFEVRVWNPVPGFDGRLKHLELYVITIGAFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVALLSQETRFLPLPEGALCLIAASAFCAEYLLFYFHSTTHKGLEGYYHTLLVFLVGLCILSSIAGALLPTSFPVDLCNGIAIALQGLWFYQTAFVLYGPMMPSGCKLGEMSVTCHSADSEVRGELLANFQLFVAVLVVLVGTVASYVFAASRYGKYEVKSLHAFQVGFDEE >Vigun02g099600.1.v1.2 pep primary_assembly:ASM411807v1:2:25474889:25478600:1 gene:Vigun02g099600.v1.2 transcript:Vigun02g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFVVGLWHIWGSVARYVRNPKAFEVRVWNPVPGFDGRLKHLELYVITIGAFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVALLSQETRFLPLPEGALCLIAASAFCAEYLLFYFHSTTHKGLEGYYHTLLVFLVGLCILSSIAGALLPTSFPVDLCNGIAIALQGLWFYQTAFVLYGPMMPSGCKLGEMSVTCHSADSEVRGELLANFQLFVAVLVVLVGTVASYVFAASRYGKYEVKSLHAFQVGFDEE >Vigun02g140450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28931260:28932060:-1 gene:Vigun02g140450.v1.2 transcript:Vigun02g140450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEATISIQSIKSTNFSLKKKSIKDLNTKRKVVIKKIEKMKKEEKKRGLGIPETNIHSKKKIYDSKRIEFEKKFLQIFQRRNISLTKKSHSFFQFLMKKIYIDIFLYIICIPKIQLQLFLESTKKILNKWLYDNEPNTERSYKTNQSIIPFLLKLHKYFNNKNKNANSHNYFDVSFLSQPYVFLNLLQTRIININIYKLRLLFQYNKIFFF >Vigun11g027800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3632720:3633233:1 gene:Vigun11g027800.v1.2 transcript:Vigun11g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVANLHRHGKQMAETHNTSKSSSPTSSSTSRCLLVRSHQNRRQRYSAHCQIAGDDFGHHLRAITNSDVNQHLRRRWQPPRLLLPSSAWSFPFFLLNVQRVIPFT >Vigun09g137000.8.v1.2 pep primary_assembly:ASM411807v1:9:29811378:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQPLLKDPSGRKLGGRNS >Vigun09g137000.6.v1.2 pep primary_assembly:ASM411807v1:9:29811378:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGRHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQPLLKDPSGRKLGGRNS >Vigun09g137000.10.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKT >Vigun09g137000.2.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGRHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQSTAALERSLRQKVRWEELIKRGMHTLKKSQYQLCYVDPGIASEGEVEKLKTIDSIFLEF >Vigun09g137000.4.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814230:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQSTAALERSLRQKVRWEELIKRGMHTLKKSQYQVLLILITNYNCIAPRHD >Vigun09g137000.9.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGRHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKT >Vigun09g137000.3.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814230:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGRHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQSTAALERSLRQKVRWEELIKRGMHTLKKSQYQVLLILITNYNCIAPRHD >Vigun09g137000.5.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814230:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGRHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQPLLKDPSGRKLGGRNS >Vigun09g137000.1.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814229:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQSTAALERSLRQKVRWEELIKRGMHTLKKSQYQLCYVDPGIASEGEVEKLKTIDSIFLEF >Vigun09g137000.7.v1.2 pep primary_assembly:ASM411807v1:9:29811326:29814230:-1 gene:Vigun09g137000.v1.2 transcript:Vigun09g137000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRFTTCPFCDSCLPLSQLTGHANFHFWELQHDFDLPAIIQRSPNANSPPQSLPREKATTVSLSGAKYSCGGETSSDNGECKVNDKISCLIVSQTRSEFHKVEAGLMTLLKNCLESEIGNSISILSGYVDHFQCLESEDAGWGCGWRNIQMLSSHLLVQRPEARKALFGGSGFVPDILSLQRWLEIAWEKGFDPLGSAQFNHVIFGSKKWIGTTECAALLRSFGLRARVVDFGPKGSQSIPGSSADNARNETSVHLVKKKDREGRGYQVLMDFVWNYFLDEISIQFGQKHVVISEKTPLYFQHDGHSRTIVGIQVNHQQKRHLQYNLLILDPAQPLLKDPSGRKLGGRNS >Vigun05g250800.1.v1.2 pep primary_assembly:ASM411807v1:5:44478967:44481497:-1 gene:Vigun05g250800.v1.2 transcript:Vigun05g250800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTLLVLLFVLGNGVAVTMGRFLRTEVEVIEEDLDEELFLVKESKLVANTSAGKMVVFYDRRVPERLQIATTTMEPRSLMIHRFLAATNVMYVVSGEANLGYIDQNNQRLTQIRLREGDVYQIPAGSAFYIANEESDQKLEIISGIEPSQGLGDDVFQSFYIGGRANSGLSGFKKEILEAAFNVSQEELGTFFTGQKMGVIVPLQGSHATGKWSKFLELKEEEKLQQLREMVQQGQENEEVEKEDEESGDDEEEQQQTSLPWRMLFKSVFGEEIKNTREKITEDPPRSYNLNKRKPDFENKYGWRVAVDGSQYHPLKSSGIGIYHEYLSAGSMMAPRVNPMATEYGIVVNGSGRVQVLFPNGSNAMDTNITKGDVFFIPSNFPYCEIAHKGESLELLGFTTSAQRNRPVVLVGARSVVRTMEGPELATSFGVSKEEIKHLVSAQHESVILPTP >Vigun05g250800.2.v1.2 pep primary_assembly:ASM411807v1:5:44478967:44481497:-1 gene:Vigun05g250800.v1.2 transcript:Vigun05g250800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEANLGYIDQNNQRLTQIRLREGDVYQIPAGSAFYIANEESDQKLEIISGIEPSQGLGDDVFQSFYIGGRANSGLSGFKKEILEAAFNVSQEELGTFFTGQKMGVIVPLQGSHATGKWSKFLELKEEEKLQQLREMVQQGQENEEVEKEDEESGDDEEEQQQTSLPWRMLFKSVFGEEIKNTREKITEDPPRSYNLNKRKPDFENKYGWRVAVDGSQYHPLKSSGIGIYHEYLSAGSMMAPRVNPMATEYGIVVNGSGRVQVLFPNGSNAMDTNITKGDVFFIPSNFPYCEIAHKGESLELLGFTTSAQRNRPVVLVGARSVVRTMEGPELATSFGVSKEEIKHLVSAQHESVILPTP >Vigun04g097801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:22197725:22198141:1 gene:Vigun04g097801.v1.2 transcript:Vigun04g097801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPTNFLADSGATHHVTNDLANLALHHPYTGPDSLFMGNGSGLNISHSGTLLLNDLSLSHALCVPSMQQKIISVSQLTKQTNSAVVFLPNSFHVKNLQTGQTTYNGLCVDGLYLWPANSPSVHSARTTSSASWHHRLGHP >Vigun09g019000.1.v1.2 pep primary_assembly:ASM411807v1:9:1468850:1475470:1 gene:Vigun09g019000.v1.2 transcript:Vigun09g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLYQPTHTVFSNTQFKGLRSGFFWRPSYSFGTLAENKAQPIKALVAKSPFPLFQPPQVEEESPSELEPVDPDFYKIGYVRSMRAYGVQFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTISKKLPWMFFPDIIPLDHPIFDIINSTNPETDWDLRLASLLLFAFDCEGNFWQLYGDFLPSADECTSLLLASEEELLELQDPNLASTIRKQQQRALEFWHKNWHNEAPLKIKRLARDPQRFIWAVSIAQSRCINMQMRVGALNQEANMLIPYADMLNHSFEPNCFFHWRFKDRMLEVLINAGHRVRKGDEMTVNYMSSQKNDVYMQRYGFSSPVNPWDEVQFSGNARIHLDSFLSIFNISGLREEYYHNNDLSKDGDTFVDGAVIAAARTLPSWSDGDVPPIPSVEREAVKELQNECQKMLSGFGTTSKQDQKLLDSMTDATRTLEAAIKYRLHRKLLMEKVIKALDMYQEKILF >Vigun05g278300.1.v1.2 pep primary_assembly:ASM411807v1:5:46767007:46772527:-1 gene:Vigun05g278300.v1.2 transcript:Vigun05g278300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSSILVTFVGLLILIPAKGQPGFISIDCGAQAGVNYTEPSLAINYVSDADFINTGVSGTITSEEISRHSQRQLWRLRSFPEGKRNCYKISVTRGSKYLIRTVFLYGNYDGKNLLPLFDLLLGPNHWDTVTVYNASIDQSKEIIYVPSLDFVQICLVNTGNGTPFITTIELRTLKNDTYVTQFGSLQRYSRCDLGSDRGYRYWSDAYDRFWFTCNFNEDWTRINASIPGDSFSGRDVYEPGATIMSTAVAPANDSAPLVISWQPKDETELFYVYMHFTEIQVLTTNQTRQFNIRRNGDYYFSPRYHVVDTIYTTSAISGKVIKYSLERTENSTLPPIISAIEIYRVIDLQKPQTLQADVDAITTIKSVYALTRDWQGDPCAPLAFLWDALNCSYHENDSPRITTLNLSSSGLYGKIDPSISNLTMLEKLDLSNNSLNGEIPDFLSQLRHLKILNLEKNNLSGIIPSALLRGSLSLSVDQNPYLCEPDQCNKKKNKKNNSIVTPLVASVGGVFVLLVVVAAILWTAKRRKAKALTVEKDQSEKSLQYTEQNDSSLQFQKQIYSYSDIIKITNNFTTIVGKGGFGTVYLGYIEDTPVAVKMLSPSSVRGYQQFQAEVKLLMRVHHKYLTSLVGYCNEGTNKGLVYEYMANGNLQEHLSGKRSKRNFFSWEKRLRIAVDAASGLEYLQNGCKPPIFHRDVKSTNILLNEQLQAKLSDFGLSKIILTDGGTHVSTVIAGTPGYLDPEYYLTNRLTEKSDVYSFGVVLLEIITSQPAIMKEKIHISEWVRSLIEKGDINAIVDSGLEGDFDSNSVWRAVEIATACLSPNPNRRPIISVVVNELKESLAMEFARTDNKKSTHTSDSVELVTMNLNTESLPQARTLPLREPFQKFSKAQGKPRPKRGLEIVFNQQ >Vigun05g167400.1.v1.2 pep primary_assembly:ASM411807v1:5:28119179:28122488:1 gene:Vigun05g167400.v1.2 transcript:Vigun05g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYFPLRWESTGDQWWYASPIDCAAANGHYDLVRELLKIDNNHLFKLTSLRRIRRLEVVWDDEEQFSDVAKCRSQVAQKLLLECESKRGKNKNSLIRAGYGGWLMYTAASAGDLSFVQQLLERNPLLVFGEGEYNVTDIFYAASRGKNCEVFRQIFDFAVSPRFVTGKGGVLEEHVGDIPPVYKWEMSNRAVHAAARGGSVEILEEFLANCTDVLAYRDAQGSTILHSAAGRGQVEVVKYLTSSFDIINSTDHQGNSALHVAAYRGQLAAVEALVSACPALVSKRNNGGDTFLHKAVSGFQSTSFRRLDRQVEFLRQLVSCKNFHIEEVMNVKNTDGRTALHIGTMGKIHADLVKLLMTAPSVNVNVGDCNGLTPLDYLKQSPNTAASNVLIRKLVAAGGMFHSQGYNSRKATASHMKMHSIGGSPGTSFRISDTQMFLYTGIENASDASTDQGSVGMSSSSSDHTAYDSAAENRPSTSIRPSAAAGLKRVLQWPLVKDKKGEGLRKSMDEGSVDSCRKWDTTDEIPTPLRQKFFRHSALQNNKRNLSVRSYQSSPNAKKRFASGLVHVKVSRRSSSSSFSISSLSSPRSIDKQKGFCLDNDVAGPSCTNNQNDKSTISGKRASVSKKLRGHYFCFSKASVDREQESHCYKDHDVYVSGLIG >Vigun04g114600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28722770:28724089:-1 gene:Vigun04g114600.v1.2 transcript:Vigun04g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINITSRETIKPSVPTSAECKTLKLCLFDVFQLNTYFPLILFYSKTNNLKEFSDVSTQLKKSLSEALTIFYPLAGRRCDYFSIDCNDAGAIFMEASVNTTMKVFLKPPNLELLNQLLPCEANKCHPHEEVLPQLLVQVNKFQCGGIAIGLCNLHILLDAYSCSVFLKTWFAICKGSKEEISWPDFSSAASSFPPRNTTGVRAGMLNINKDSNIEENCTTRRFLFDDKAINELKSMSTCDETKPTRYEVVSSFISKHMIVAMKEDKTRPMVALHVVNMRKRMGEPFSRGAVGNLLWPALVVLEGVNKNTEIIDLVEILKEGLGKLTKDLFLKLQNDPGFLWSDECAELMLEGIAANKPISLVFTSWGNMGFNEVDFGCGKPLWLAQRGGTKESIPNTVILMETREGIEAWITMPEKHISILEHDVDFLLFALLNPSILI >Vigun04g045100.1.v1.2 pep primary_assembly:ASM411807v1:4:3906447:3912389:-1 gene:Vigun04g045100.v1.2 transcript:Vigun04g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAPQEIGNDSPKVSEARKDSVSTIYQQHKFTYLEGCQESSLSRINSYSRCAIISFNFEPDGTWRIVALPGQCLSYINLAAGVNMDGVQLLVSPPLNRLKIDQCKGPRVPLPSYAYSAKPCTKKAFTTSNVHRRCQNKIATRASNLNELPNNSSSQSSLVCSPGLFPDTSAEVNSSDNYASNSKEDDKSLKKNSRKRARKKFRRSKKKSSDSGSTERDVLTEEFVCPSLTSETCSSNVGDKEGVAEFLTSDDRLIKSDCDRIEMNENIKVMEAPNNSNSYLDQEAMSKDSADIIQSSAGECVTFESKNRLKGRGSEQVIDREIKNIQHAQPCSFNDIQDSLVLDSVSVGSRSDGSINTDDIGKQSNKSNCTTTSDSGDGYYFCQNLTNGIHNNSEHIDGIMNSGKSCISNDKRVKQKRAMSNSSGLNKYGGVGMLHGRKGKENSHSVWQKVQKNSSDECGGDLKKVNTTLSQLASTVEKDPSVIKECNPVGVNCVSKTEDKKQMKSKIGKKSKVKMDLVSKKGQSNYSRKTLQFNRSLSNDHGKVGAEQNDVLHISTQEIDQHGLKNDSGYNSDVHCLMDGVKTNGVEHVTSEKIHSAEFHLEESDPKNSACHTVANTNKESIDSEDSSLVMPSENVNQSNMSVELSPDSCNLEGDEVGQTEKEVSSADYNAQNQYSGTTLWKWIPVGKKDTGFEKSESNISPPDYSDASSMNNINRESSVEPEVASSESKDSSLNASRTSNGEIYNNVSIVGEGENQKLGDQVAFTLTQHRVKQEVSNHMFNESIKQEMLEKDSYRIFQAVNDACRAQLACEAVHVATGGPVAEFERLLHYGSPVICSSVDSFSCSTCSRNHAGGVSLCRHEIPNLSLGCLWQWYEKHGSYGLEISALDHENPKRQVGDGDFPFRAYFVPSLSAVQLFKNHENQCVYSSDKLSDCEVSEACQMFDTSENSSTATEHSIFSVLFPQPRDQDASIETPKENASISNGSIPCINSMCSGDLELLFEYFEFEQPQQRQPLYEKIQELVRGNMPIQSSTYGDPTKLDSIKLRDLHPCSWFSVAWYPIYRIPDGNFRASFLTYHSLGHLVRRRTASDLPPSASSIVSPAVGLQSYNVLGECWFKLKHSAEAAQMVGLDPSLVLRERLKTLEETASLMARAVVNKGKLSCTNRHPDYEFFLSRRRY >Vigun03g114200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10456062:10456700:1 gene:Vigun03g114200.v1.2 transcript:Vigun03g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEVKLWNDKREREMFDNFAELYAIIKATERLEKAYVRDIISPQEYELECQKLIAHFKTLASTLKDTVPSIERFADTYKMECPAALNRLVVSGVPATVEHRATAAASASTSAAAVAECVQNFITSMDSLKLNMVAVDQVHPLLSDLYASLNKLTILPPDFEGKTKMKEWIARLSKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >Vigun05g058600.1.v1.2 pep primary_assembly:ASM411807v1:5:5010912:5013616:-1 gene:Vigun05g058600.v1.2 transcript:Vigun05g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIDLKQHYQIEFVFSRDNRFMEFHHPYLYILCIILFLHMFPESANSQQAYLNSTVYDCSENPSAPKGYLCNGLQKSCTSFLVFRSKSPYDSPVSIAYLLGSEASTIASMNNISRDDKIPSNKSIIVPVFCSCSGNIYQHITPYTATKNDTYFKLVTEIYQGLTTCQALMGQNYYASGGIRVGAELTVPVVCACPTENQTARGITSLLVYSVKNGDTVKSIGEAYGVDQQSMLEANALSVPSSEDNIIIIYATTPILVPLRGKSCKEEPNSFYCTCSQGRVGDGTFMGFQCNESDDTSFPTKLVASLGVGIGAGFLCLFLLGYKSYQYIQKKRESIRKESLFRRNGGYLLQEKLSSCGNGEMAKLFKAEELQKATDNYNKSRFLGQGGFGTVYKGMLPDGTIVAVKRSKEIERNQIETFVNEVVILSQINHRNIVKLYGCCLETETPLLVYEFIPNRTLSHHIHRRDNEPSLSWESRLRIACEVAGAVAYMHFAASVPIFHRDIKPSNILLDSNLSAKVSDFGTSRSVPQDKTHLTTAVGGTFGYIDPEYFQSSQFSDKSDVYSFGVVLVELISGRKPISFLEEDEGQNLIAQFLSLMKENKVYEILDGRVVKEARKDEILAIANLAMRCLRLNGKKRPTMKEVSLELEALRKVQSSLEINHDHEYTTSEAVQEITDESMPLFLEQDSTSF >Vigun05g058600.2.v1.2 pep primary_assembly:ASM411807v1:5:5010927:5013516:-1 gene:Vigun05g058600.v1.2 transcript:Vigun05g058600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIDLKQHYQIEFVFSRDNRFMEFHHPYLYILCIILFLHMFPESANSQQAYLNSTVYDCSENPSAPKGYLCNGLQKSCTSFLVFRSKSPYDSPVSIAYLLGSEASTIASMNNISRDDKIPSNKSIIVPVFCSCSGNIYQHITPYTATKNDTYFKLVTEIYQGLTTCQALMGQNYYASGGIRVGAELTVPVVCACPTENQTARGITSLLVYSVKNGDTVKSIGEAYGVDQQSMLEANALSVPSSEDNIIIIYATTPILVPLRGKSCKEEPNSFYCTCSQGRVGDGTFMGFQCNESDDTSFPTKLVASLGVGIGAGFLCLFLLGYKSYQYIQKKRESIRKESLFRRNGGYLLQEKLSSCGNGEMAKLFKAEELQKATDNYNKSRFLGQGGFGTVYKGMLPDGTIVAVKRSKEIERNQIETFVNEVVILSQINHRNIVKLYGCCLETETPLLVYEFIPNRTLSHHIHRRDNEPSLSWESRLRIACEVAGAVAYMHFAASVPIFHRDIKPSNILLDSNLSAKVSDFGTSRSVPQDKTHLTTAVGGTFGYIDPEYFQSSQFSDKSDVYSFGVVLVELISGRKPISFLEEDEGQNLIAQFLSLMKENKVYEILDGRVVKEARKDEILAIANLAMRCLRLNGKKRPTMKEVSLELEALRKVQSSLEINHDHEYTTSEAVQEITDESMPLFLEQDSTSF >Vigun09g173300.1.v1.2 pep primary_assembly:ASM411807v1:9:34349739:34351807:-1 gene:Vigun09g173300.v1.2 transcript:Vigun09g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSSTNSPCAACKIQRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELNAAQREDAVKSLAYEAEARLRDPVYGCVGLISILQHRLKQLQTELHHAKKELASYIGPHAMLPPPPPPPPQPRTFSLFPFKTAPPPPHQDLFAFTDDGASASHSSHAAFNHLAVLSPTLAADHAFPHAPTHPLALPHHQHLMLSPMQSKPQTDPA >Vigun03g344000.1.v1.2 pep primary_assembly:ASM411807v1:3:54301476:54306147:-1 gene:Vigun03g344000.v1.2 transcript:Vigun03g344000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFVDLQENSELSETNSWLSSKEHSLASGAAPNTNLDRVLFNDLVDIVPLVQSLIDRKASRSFTRRGSMIYTKTPARESLSKRVTDTKSRNAAQSTPAKKKRDHGEKEQGKNGGNDTDAYSTFSSRDSEELNVLKEQVEELQRKLLEKDELLKSAENTRDQLNAFNAKLDELKHQASEKDTLLKFTQQQLSDAKIKLADKQAALEKIQWEAMTSTKKVEKLQDELGSMQADISSFTLLLEGLSKTDTAKYTDNYDVKPYDFSPLPSIDDLDEMDLQEMEEARKAYMAAVAITKEKQDEESITAAASARLHLQSLIFKSKDFNL >Vigun03g344000.2.v1.2 pep primary_assembly:ASM411807v1:3:54301476:54304410:-1 gene:Vigun03g344000.v1.2 transcript:Vigun03g344000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDTKSRNAAQSTPAKKKRDHGEKEQGKNGGNDTDAYSTFSSRDSEELNVLKEQVEELQRKLLEKDELLKSAENTRDQLNAFNAKLDELKHQASEKDTLLKFTQQQLSDAKIKLADKQAALEKIQWEAMTSTKKVEKLQDELGSMQADISSFTLLLEGLSKTDTAKYTDNYDVKPYDFSPLPSIDDLDEMDLQEMEEARKAYMAAVAITKEKQDEESITAAASARLHLQSLIFKSKDFNL >Vigun05g231500.1.v1.2 pep primary_assembly:ASM411807v1:5:42508683:42513054:1 gene:Vigun05g231500.v1.2 transcript:Vigun05g231500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLPRFRCISFLHSFPKVSKNIKQIHAQLVTNGLKSPAFLAKLIEHYCGSPDSHIANNAHLVFQHFDKPDLFLFNTLIRCVKPNDSIILFRDEFSRGLVFFDDYTYNFVLGACARSPSASTLWVGRQLHSLIVKHGVGSNILVSTTKIYFYSSNKDIISARQVFDEMSMRTSVTWNAMITGYSSLKEGNRQYAFNALSLFKDMLVDVCGIKPTDTTVVAVLSAVSQMGLLETGASVHAFAEKTLCTEDDVFIGTGLVDMYSKCGCIDSALSVFWRMNQKNILTWTAMTTGLAIHGKGKQALEVLYKMGDYGVKPNEATFTSFLSACCHGGLIEEGLQLFHEMKRTFSVTPQVKHYGCIVDLLGRAGKLKEAYEFIMRMPINPDDVIWRILLSACKLHEDVVMGEKVGKFLLQLEEWSRPESALKSEDYVSLSNVYALAERWVDVEAVRKQMRAKSISNNAGCSAVQIVSL >Vigun07g151600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26221106:26222906:-1 gene:Vigun07g151600.v1.2 transcript:Vigun07g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFYRYQSATISTYNTSSSVRSETVTKPFSPFVPKYNSGDGYSDEYVGKKKLVPVGRRPYEYESDDEWKHERGTNPAHRAYVDPPPSSIPVTLPHSGHSSQPIPYVTKPNIKTTPDYYADHGDYGTKEKYKPKPASTPVYADGYGTDNGDYNNKERYKSKPTSGPAYNDGYGADYGDYNNKERYKSKPTFNPVYNDGYGADYGDYNNKERYRPKPTFSPVYHDGYGTDDGDYNNKERYKPKSTVVPVYNDGYGAKPSGTPVYNDGYGADYGDYNNREGYNPKPKPSDSSVYNDRYGADYGSYNNKEGYKPKSTGIPFHNNGYGDNYGTDNGKERSTPKPIESPVYNDGYGGDYGNYSKGPKPKSTEIPVYNDGYGVGGASPTGHDYGGYGNYPNNKERNKLVGPKTIETPRKGTPLSKPMNDINEALELLKKEAAVVNGNEKNMNLVDETEKLKKIATKAPETPAKGNSRFSSQRPLFNFSDGRRPDYGVIDHKEAEKKFKGMTI >Vigun09g018550.1.v1.2 pep primary_assembly:ASM411807v1:9:1402067:1406284:-1 gene:Vigun09g018550.v1.2 transcript:Vigun09g018550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNILHHKHLLTTTFPTVYCNQPDAFGCQHLVKVVDFRMSKNTMTVVIGVREKGKRGEEAKVTDDVMTMVE >Vigun02g007700.1.v1.2 pep primary_assembly:ASM411807v1:2:3367013:3368321:-1 gene:Vigun02g007700.v1.2 transcript:Vigun02g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCEGSVLLASTPGNPIAERDHLANNPSLRGFEVIDEAKTQLEAACPNTVSCADILAFAARDSASKVGGINYDVPSGRRDGRVSIADEVAQNLPAPTSSADELVSRFAQKGLTADEMVTLSGAHSIGVSHCSAFSKRLYSFNDTFMQDPSMDSSYAETLKTKCPAPLATSDPTLSLDPSTPVRLDSKYYEGLMNHRGLLTSDQTLFTTQSTRDMVVSNANNGASWSEKFAKAMVQMGSIEVLTGSSGEIRKHCSFVN >Vigun08g000300.2.v1.2 pep primary_assembly:ASM411807v1:8:44988:53400:1 gene:Vigun08g000300.v1.2 transcript:Vigun08g000300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFLDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRIFIIATAFSRPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFVNMLLAQPIFFSPNFPTLIKKTNLRASLQPHNNFPPLRVSQMPKPLGVRARPKTLLAPLQKKSGVQICHSFKKESEVGGNDERDWTTSFLLFLLWAALIYYVFFLTPNQTPSRDLYFLKKLLNLKGDDGFRMNEVLVSLWYIMGLWPLVYSMLLLPTGRSSKNSILVWPFLILSCFGGAYVLLPYFVLWKPPAPPVEETQLKTWPLNFLESKVTALISLVAGVAIIIYAGLAGQDVWKEFYQYFRESKFIHITSIDFIVLSTFAPFWVYNDMTARKWFDKGSWLLPISLIPYLGPGLYLLLRPSLSAVSISQTPVEPE >Vigun08g000300.1.v1.2 pep primary_assembly:ASM411807v1:8:47250:68222:1 gene:Vigun08g000300.v1.2 transcript:Vigun08g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMELASVASEVKAADIKVLFVKPLVYWTRIFIIATAFSRPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFVNMLLAQPIFFSPNFPTLIKKTNLRASLQPHNNFPPLRVSQMPKPLGVRARPKTLLAPLQKKSGVQICHSFKKESEVGGNDERDWTTSFLLFLLWAALIYYVFFLTPNQTPSRDLYFLKKLLNLKGDDGFRMNEVLVSLWYIMGLWPLVYSMLLLPTGRSSKNSILVWPFLILSCFGGAYVLLPYFVLWKPPAPPVEETQLKTWPLNFLESKVTALISLVAGVAIIIYAGLAGQDVWKEFYQYFRESKFIHITSIDFIVLSTFAPFWVYNDMTARKWFDKGSWLLPISLIPYLGPGLYLLLRPSLSAVSISQTPVEPE >Vigun08g038350.1.v1.2 pep primary_assembly:ASM411807v1:8:3709674:3710853:-1 gene:Vigun08g038350.v1.2 transcript:Vigun08g038350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGVKKHLAPFLPSHPMVSTSFIVVDIFGHVFYYIHYKVHGQNHTQGNMQPSQLHGSVLQSSGLPQDVLIMCMETATSFAPFSQHHRLLKKKLQPQHSVLH >Vigun01g200900.2.v1.2 pep primary_assembly:ASM411807v1:1:37716741:37729905:1 gene:Vigun01g200900.v1.2 transcript:Vigun01g200900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANGAMAVRDQSLTEWRMHRGAYLGDISALCFLHLPNLSLPFLLAGLGSEIAVYDLELSKRIKSFSVFEGVRVHGIASSFPRGTMIAVFGETRVKLFSFEFDGVSGSAELTLAHLLPKFGDWVLDVCFLEGCLPHSNVDGHFLAVGCSDNSVHVWDISNSIAVLKVQSPVRCLLYSMRLWGHNLEVLRIASGTIFNEIIVWKVTHQHNKSSSHQENHDQQSISSSVCCQLKDNLFEATHVCKLIGHEGSIFRIAWSSCGSKLVSVSDDRSARVWAVSMEGEHALCHNSTALVLFGHNARVWDCCISDNLIVTVSEDCTCRIWGVDGKQLQVIKEHIGRGIWRCLYDPNSSLLITAGFDSAIKVHQPHASLPMGLEAVQGSPSSTELFSICIPNVSEHIGFMDSKSEYVRCLRFSCQESLYVATNNGYLYHAKLCDTGGAQWNQLVQVSDGAPIICMDLLAKDSVEHDCGAEDWIAVGDGKGNMTIIDVTKDDCSPTVRLCFTWPAETERQLLGTYWCKSLGCRYVFTADPRGTLKLWRLPDPSQSDLQSSTRSNKVSLIAKFISNYGMRIMCLDACMEEEVLACGDVRGNMVLFPLLKNLVLSISDTEERKIPPVNNFKGVHGISSVSSVSVTKLGYNQLEIRSTGADGCICYLEYDKEMHNLQFTGMKQVKELSLIEYVSVDNKSGDRLSSSYAAGFASVDFIVWNLVNENKVVNIPCGGWRRPHSFYLGDIPEMRNCFAFVKDDLIHIHRHWIHNRDGKVYPQNLHTQFHGREIHSLCFISEDVLVGDNFKCTLFSKSSWIATGCEDGTVRLTWYSPGTENWSMSKLLGEHVGGSAVRSICCVSKVHTISPDMGDVPDGRIELNAALENKHNPTLLISVGAKRVLTSWLLKNTRLDIKNDFIINHQHKSEDDDSFLSSLSSSMTFQWLSTDMPAKYSVTRDTPKNNVQKIVGVAENVCHTNNDAGMISESGMANLIRDKHEDDWRYLAVTAFLVRCSGSRISVCFVVVACSDATLMLRALILPFRLWFDVALLVPLLAPVLSLQHIIFPLCRPCKENIQAGNVYIVISGSTDGSVAFWDLTDSVEAFMQRVSVCNVEKLFDCQKRPRTGRGSQGGRQWRSLRRDLSKKRQDSSLVTLKAKEKIEKGTPYVPSDSEDSRTGCSQSTHMALLELESKTDNSSMEICEIQPLCLQKIHQSGVNCLHVSEIKSGQNTDCCQTYSIVSGGDDQALNHLMVELSPKSINLHDGILCPEITRIASVPEYGKDFNFQNMSKKFKIRFLNNEKLPSAHSASVKGVWTDGSWVFSTGLDQRVRCWRLQRSKLIEHSYLIVSVPEPEALSARACGRNQYQIAVAGRGMQIIDFSES >Vigun01g200900.1.v1.2 pep primary_assembly:ASM411807v1:1:37716741:37729935:1 gene:Vigun01g200900.v1.2 transcript:Vigun01g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANGAMAVRDQSLTEWRMHRGAYLGDISALCFLHLPNLSLPFLLAGLGSEIAVYDLELSKRIKSFSVFEGVRVHGIASSFPRGTMIAVFGETRVKLFSFEFDGVSGSAELTLAHLLPKFGDWVLDVCFLEGCLPHSNVDGHFLAVGCSDNSVHVWDISNSIAVLKVQSPVRCLLYSMRLWGHNLEVLRIASGTIFNEIIVWKVTHQHNKSSSHQENHDQQSISSSVCCQLKDNLFEATHVCKLIGHEGSIFRIAWSSCGSKLVSVSDDRSARVWAVSMEGEHALCHNSTALVLFGHNARVWDCCISDNLIVTVSEDCTCRIWGVDGKQLQVIKEHIGRGIWRCLYDPNSSLLITAGFDSAIKVHQPHASLPMGLEAVQGSPSSTELFSICIPNVSEHIGFMDSKSEYVRCLRFSCQESLYVATNNGYLYHAKLCDTGGAQWNQLVQVSDGAPIICMDLLAKDSVEHDCGAEDWIAVGDGKGNMTIIDVTKDDCSPTVRLCFTWPAETERQLLGTYWCKSLGCRYVFTADPRGTLKLWRLPDPSQSDLQSSTRSNKVSLIAKFISNYGMRIMCLDACMEEEVLACGDVRGNMVLFPLLKNLVLSISDTEERKIPPVNNFKGVHGISSVSSVSVTKLGYNQLEIRSTGADGCICYLEYDKEMHNLQFTGMKQVKELSLIEYVSVDNKSGDRLSSSYAAGFASVDFIVWNLVNENKVVNIPCGGWRRPHSFYLGDIPEMRNCFAFVKDDLIHIHRHWIHNRDGKVYPQNLHTQFHGREIHSLCFISEDVLVGDNFKCTLFSKSSWIATGCEDGTVRLTWYSPGTENWSMSKLLGEHVGGSAVRSICCVSKVHTISPDMGDVPDGRIELNAALENKHNPTLLISVGAKRVLTSWLLKNTRLDIKNDFIINHQHKSEDDDSFLSSLSSSMTFQWLSTDMPAKYSVTRDTPKNNVQKIVGVAENVCHTNNDAGMISESGMANLIRDKHEDDWRYLAVTAFLVRCSGSRISVCFVVVACSDATLMLRALILPFRLWFDVALLVPLLAPVLSLQHIIFPLCRPCKENIQAGNVYIVISGSTDGSVAFWDLTDSVEAFMQRVSVCNVEKLFDCQKRPRTGRGSQGGRQWRSLRRDLSKKRQDSSLVTLKAKEKIEKGTPYVPSDSEDSRTGCSQSTHMALLELESKTDNSSMEICEIQPLCLQKIHQSGVNCLHVSEIKSGQNTDCCQTYSIVSGGDDQALNHLMVELSPKSINLHDGILCPEITRIASVPEYGKDFNFQNMSKKFKIRFLNNEKLPSAHSASVKGVWTDGSWVFSTGLDQRVRCWRLQRSKLIEHSYLIVSVPEPEALSARACGRNQYQIAVAGRGMQIIDFSES >Vigun01g064012.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:15421903:15422427:-1 gene:Vigun01g064012.v1.2 transcript:Vigun01g064012.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQSLLHQPKVWRFVCFSSSIVGLVCYVFNSFFNHLIGNWSWWKIFLYILFSLLISISTLFAKTWEYSNSRCLEAHNVFYFAGHQYSFFLDKYVKNKPYVYSLVSSVAFVIMSLGLSRLSHLGFEVDLLYFFCGLLTVQLMKIKLWLVIVGGAFSYSLILLRSNLDSSNKK >Vigun09g235000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40541603:40544587:-1 gene:Vigun09g235000.v1.2 transcript:Vigun09g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLPTAMRIKLFLLLLFISTSSAAATDTLLEGSSLSVEKQSDILFSSNGDFSAGFFQVGENAFCFSVCFTRSKQPTVLWMANRDEPVNGKGSFLSLWKNGNLVLTDAGGTVIWATATLSSSQLYLKLRNNGNLLLLSSKGTIIWQSFASPTDTLLPTQPLTERAGLVSSRSATNHSSGFYKLYFDNDNVLRLLYKAHTFSSVYWPASWQILIDIGRSTYNVTKTAILDSFGLFTSSDGFNFRSTDYPKKVYRILKMDSDGNLRLYSFNEESKTWDVTWQVISEPCIIHGICGPNSMCNHDPVIGRTCYCLKGYKVKDPNDWTQGCEPEFSLSDISCNSRQSFGFLRLQTMELYGYDWNVSRVSSFKECLDICLSLCDNCVAVQLKFNEFATYNCYPKTMALNGRDIPGFVGEVYLKLPNSILGSSTKILKHSRMNCSVGLSQKLNRVYQSPKKNSTLIFLVWFACGVGVFEFSTIFLVWFCLFRTSKNPDPLDKKQYLLSATGFQRFTYAELKSATKGFKEEVGRGAGGVVYKGTLYDNRVAAIKHLNEATQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRLLVYEYMEHGSLADNLFGNTLDWKKRLNVAVGTAKGLAYLHEECLEWILHCDVKPQNILLDSEFQPKVADFGLSKLLNRDERGNSSFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVVLEMVSGRSPVAIHSLENSGDIEQHRLVTWIREKIKHAPTCAFWMEEIIDPSLEGNYNVSEVEVLVKVALQCVEDDMNERPSMSQVAEMLQANENKLLSR >Vigun08g162000.1.v1.2 pep primary_assembly:ASM411807v1:8:33437494:33440770:1 gene:Vigun08g162000.v1.2 transcript:Vigun08g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRRKTPLFTSEMGAMKGRKNNNLSIFVVVFSIFLFGLFMYNEDVKSIAEFPFSSHKARETQEGVGKEVDSVQGGTKDVEESGFVQDTVTVTVSKSSRAQLEKSGGEDEDSDDLKSVVEKEKKIELPRAEEEEEVEEEEEEEEEEEEKVELPPEDCDLYTGEWVLDNVTHPLYKEEQCEFLTSQVTCMKNGRPDSLYQNWKWKPRDCSLPKFKPKLLFEKIRGKRLMFVGDSLNRNQWESMVCMVNSAVPSHNKTWYKTGSLAIFKIQEPEHVTTVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKDADFLIFNTYIWWMNTFSMKVLRGSFDEGSTEYDEVPRPIAYERVLKTWSKWVDENIDPNRTKVFFSSTSPLHIKPENWNNPDGIKCAKETSPVVNMSTPLDVGTDRRLFAIANNVTESMKVPVYFINITTLSELRKDAHTSVYTIRQGKMLTPEQQADPTNYADCIHWCLPGLPDTWNEFFYTRIISQS >Vigun08g126100.1.v1.2 pep primary_assembly:ASM411807v1:8:29617991:29619822:-1 gene:Vigun08g126100.v1.2 transcript:Vigun08g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVPFWRAAGMTYITYSNICANLVRNCLKEPYKAEALSREKVHFSFSKWVEGKPEKPTIRSDTPGQ >Vigun03g123000.4.v1.2 pep primary_assembly:ASM411807v1:3:11561244:11571917:-1 gene:Vigun03g123000.v1.2 transcript:Vigun03g123000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLVKKARELSILCDAEVGLIVFSSTSKLYDYASSSMKSVIDRYNKVKEDHHQLMNPASEIKFWQREVASLRQQVQYMQECHRQMMGQELSGLDIKELGNLENRLERSLKGVRMKKDQILIDEVKELHQKGSQANQENVELHRKINLMRKNNEELQKVLEAKGRKEGAATSNPSCSMSYGYDIFAPISLKLSQPHPHHSEPQAKAMKLGLSAT >Vigun03g123000.3.v1.2 pep primary_assembly:ASM411807v1:3:11561244:11571917:-1 gene:Vigun03g123000.v1.2 transcript:Vigun03g123000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLVKKARELSILCDAEVGLIVFSSTSKLYDYASSSMKSVIDRYNKVKEDHHQLMNPASEIKFWQREVASLRQQVQYMQECHRQMMGQELSGLDIKELGNLENRLERSLKGVRMKKDQILIDEVKELHQKGSQANQENVELHRKINLMRKNNEELQKVLEAKGRKEGAATSNPSCSMSYGYDIFAPISLKLSQPHPHHSEPQAKAMKLGLSAT >Vigun03g123000.5.v1.2 pep primary_assembly:ASM411807v1:3:11561244:11571852:-1 gene:Vigun03g123000.v1.2 transcript:Vigun03g123000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLVKKARELSILCDAEVGLIVFSSTSKLYDYASSSMKSVIDRYNKVKEDHHQLMNPASEIKFWQREVASLRQQVQYMQECHRQMMGQELSGLDIKELGNLENRLERSLKGVRMKKDQILIDEVKELHQKGSQANQENVELHRKINLMRKNNEELQKVLEAKGRKEGAATSNPSCSMSYGYDIFAPISLKLSQPHPHHSEPQAKAMKLGLSAT >Vigun03g123000.6.v1.2 pep primary_assembly:ASM411807v1:3:11561244:11571616:-1 gene:Vigun03g123000.v1.2 transcript:Vigun03g123000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLVKKARELSILCDAEVGLIVFSSTSKLYDYASSSMKSVIDRYNKVKEDHHQLMNPASEIKFWQREVASLRQQVQYMQECHRQMMGQELSGLDIKELGNLENRLERSLKGVRMKKDQILIDEVKELHQKGSQANQENVELHRKINLMRKNNEELQKVLEAKGRKEGAATSNPSCSMSYGYDIFAPISLKLSQPHPHHSEPQAKAMKLGLSAT >Vigun02g027400.1.v1.2 pep primary_assembly:ASM411807v1:2:9452240:9463129:1 gene:Vigun02g027400.v1.2 transcript:Vigun02g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGLIVAASLAAFTVKQLNVRSSNPEHKDEGTEEEHVTRFNDKEREEEEEKEEVKLISSIINRANDFEDDILPEFEDLLSGEIEFPLPPDKDEKDRVYEIEMANNESELERLRQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEELTQGASAKRELEVARNKIKELQRQIQLEANQTKGQLLLLKQQVLGLQVKEEEAARKDAELEKKLKAVNDLEVAVVELKRRNKELQHEKRELTVKLDAAESKVAELSNMTETEMVAKAKEEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPQGKVSARDLSKSLSPKSQEKAKQLMLEYAGSERGQGDTDLESNFSHPSSPGSEDFDNASIDSSTSKYSTLSKKTSLIQKFKKWGKSKDDSSALSSPARSFSGGSPRRMSMSVKPRGPLESLMIRNAGDSVSITSFGLRDQESIDSPETPTDMRRVTSSDSLNSVAASFQLMSKSVDGSMDEKYPAYKDRHKLALAREKQIKEKAEKARVQKFGDNSGLNMTKAERGNPISLPSKLTQIKEKPVVSGTPNDKSEDGKNVEDQTISKMKLAHIEKRPTRVPRPPPKPSGAAAATTNANPANGVPSAPPLPPPPPGAPRPPPPPGGPPPPPPPPGSLSRGGMGGDKVHRAPELVEFYQSLMKREAKKDTSTLLVSSTSNASDARSNMIGEIENRSSFLLAVKADVETQGDFVMSLAEEVRAASFSDINDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLENRVSTFIDDPNLPCEAALKKMYSLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDALSGPEKEPAREFLILQGVRFAFRVHQFAGGFDAESMKAFEDLRSRIQNSQASEDNKSEI >Vigun01g177600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35871951:35872280:1 gene:Vigun01g177600.v1.2 transcript:Vigun01g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGSGPQLDLKLNLSPPRVDRRLDSPTRSATASPTSPPSSCVSSELNQEDKNYSNSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHDTKNPTIRRS >Vigun03g140800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14048370:14050400:1 gene:Vigun03g140800.v1.2 transcript:Vigun03g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTFTTAIALLFSFEPPSLHTPEKFVQCLYNYPHISNSIFNAVYTQTNSSYTSILDTSIRNYRFFNLSEKPQVIVTPLDVSHIQATIMCSQRHGLQIRTRSGGHDYEGLSYVAEVPFVILDLFNLQQITIDVENRTAWVQAGATLGELYYAISQKSKTLGFPAGVCATVGTGGHFSGGGYGSLMRKYGLAVDNILDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVKKVNSSEHGKQTLQANFVSMFQGGVEELIPMMQKSLPELGLDRKDCTETSWIGSVLFANAVLLGSTVNEAPEVLLNRTRFRPGINKAKSDYVRKPIPVEGLQGLWRLLYEVPDGELQFAPYGGRMDEISESETAFAHRSGYIFHIHYVVVWEEDGEEAAQRYMNWIRRVYKYMEPYVSNSPRAAYLNYRDLDIGVNNNGYTSYEQASIWGVKYFGNNFRRLAIVKTKVDPHNFFRNEQSIPILSDEEN >Vigun01g139400.1.v1.2 pep primary_assembly:ASM411807v1:1:31977446:31981665:1 gene:Vigun01g139400.v1.2 transcript:Vigun01g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHPLPHFHHMALSASLLQLQPSSLKKKTAVSRSWVLLDHHGKPTVLDADKHAIMRLVKIHARDLRILDPLLSYPSTILGRDKVIVLNLEHIKAIITADEVLLRDPTDDDVVPIVEELRRRLPKVSASGEGRGEEETCAQDGEGGDENEFPFEIRALEVLFEAICSFLDARTRELETAAYPALDELTSKISSRNLDRVRKLKCAMTRLTSRVQKIRDELENLLDDDDDMADLYLSRKSTVSSSPTSSSDAPKWLYGSPNTGSRIHKSSRASGTTVHRDDDVEELEMLLEANFMQIDGTLNKLATLREYIDDTEDYINIQLDNHRNQLIQLELFISAGTVCMSLYSLVAAIFGMNIPYTWKSPGHEHVFKWVVIFAGMVCASLFLSIVSYARRKGLVGS >Vigun03g310600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50295622:50297378:-1 gene:Vigun03g310600.v1.2 transcript:Vigun03g310600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEERDMDQDQDQDGGTTDLSTSDADADKGSSQATNLNGLPQHFQDHAIGFQVLPLKNEPTDSDPDPDPNAPKPSPPLPMHLPLKSPRRSSTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHSEQAIIEATGTGTVPAIAVSVGGALKIPTTSSANQNKGEEGASNKKRKRPSNSEFVDINDTVSQTSGLAPVQVPQGLVPVWAVSNPNMVVPANTFWMIPQAATTSNPLGGVTGPTSYQPQIWALSPSMFNVAARPISPLVTTTNIPEAWSVMRACSNGSNSAAVSTSTLGAKSATNSSMAPSVPSSATKTQTLRDFSLQIYDKQELQFLGRSGPNANP >Vigun02g000007.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5216:5383:-1 gene:Vigun02g000007.v1.2 transcript:Vigun02g000007.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun03g060700.1.v1.2 pep primary_assembly:ASM411807v1:3:4965961:4969158:1 gene:Vigun03g060700.v1.2 transcript:Vigun03g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENGATTNLQDFDIQDDVSMHSQSNSKYYDDDGRLKRTGTVWTASSHIITAVVGSGVLSLAWGMAQLGWIAGPTVMLLFSLVTFYTSSLLLQCYRSEHPISGKRNYTYMDAVRSILGGANVRLCGILQYLDILGIVIGYTIAASISMMAIRRSNCFHESGGKNPCHMNSNLYMIIFGATQIVLSQIPDFDQIWWLSTVAAIMSFTYSTIGLSLGIAKVAEAGTFKGSLTGITTGSVSLSQKIWRTSQALGDIAFAYSYAVVLVEIQDTIKSPPSEAKTMKKATLISVTVMTTFYMLCGSTGYAAFGDTTPGNLLTGFGFYNPYWVVDIANAAIVIHLVGAYQVFSQPIFAFVEKGVTQKCPNLERELKIPIPGFPPYKLKMFRLVWRTLYVLFTTLVSMLIPFFNDVLGVIGALGFWPLNVYFPVEMYISQKKIPKWSNRWISLKIFTAACLLVSVVAFVGSVAGVLLDLKKYKPFHANY >Vigun03g183000.1.v1.2 pep primary_assembly:ASM411807v1:3:23586370:23598812:-1 gene:Vigun03g183000.v1.2 transcript:Vigun03g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNDLIQEAKLRTLWWALCIFAVSYFLTHTSKSMWMNLPMSIIFVAGLRILFNRVEFRWKVQQPKPLTYLSHLEKKQLSLKDSRLTSLPPPAKWKRKIDSPVVEAAMREFIDKILKDFVVDLWYSEITPDKEFPEQIRAIIMDVLAEISGRVKEINLVDLLTRDLVDLVGDHIELFRRNQAAIGVDVMKTLSSEERDDRLKFHLLNSKELHPALISPESEYKVLQQLMSAVLATVLRQREAQCPVIRSIARELLTCLVMQPIMNLASPGYINELIEALILLLHDDGTEGTDSNQSTNVAGHHGHSVTNESGHNNLTPSNKHSSLNQGTDMVLAKISDQEGGTSLQGNALHQESSQARPADWARMLEVATQRRTEILMPENLENMWTKGRNYKRKENKIIKAGFQDLPAKSPSTDSSLPQRKLAQETSASKRGKFEVAEGKSSLPPLHALGSDALQNVAIAKISESSQNPDKELSFAKDLATDGYKSPLKRSSSASSLGMLTNKGGPIIPEFYNPEFERHGEGFWGKSSSDMVVRKEGPLVPKLRCRVLGAYFEKLGSTCFAVYSIAVTDAQNKTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTDDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFFSVSSKNYSFGKSPSVMKTLAVNVDDAMDDIVRQFKGVSDGLRRKVVGSSSLMSEGSATSSVTWNLTLNSDEIDKIIPRQGTAESVLSSDNEEAEKNNNFDDESIVREVAQDSGSHYDNALILKGYSSQLNNRDEESSNLDFDRKHDMVVEARVGNDVPTTNFILIHDNSEDPVGGPPEWTPSNVSVPILDLVDNIFQLKKRGWIRRQVYWMSKQILQLVMEDAIDDWLVRQIHWLRKEETVAQAIRWVQDVLWPGGTFFLRVGTPRTISSDSDQMPSQTTSRSGGSNITKSESGSFEQQLEAARRASDIKKLLFDGAPTTLVSLIGHKQYRRCARDVYYFSQSNTCVKQLAYAILELALVSIFPEMRSVVLSIHQPLKTSSSSI >Vigun10g113250.1.v1.2 pep primary_assembly:ASM411807v1:10:31498221:31498529:-1 gene:Vigun10g113250.v1.2 transcript:Vigun10g113250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPMTMNKIQKVVNVGIVQMSCLGVLQVLGHM >Vigun02g109500.1.v1.2 pep primary_assembly:ASM411807v1:2:26353727:26356119:-1 gene:Vigun02g109500.v1.2 transcript:Vigun02g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPNVAERIERLLNETDPSSSEDKSLDLSFSEDGRSGTFMIGNEHFPASLLDLPCVVESYKSYDDNSLIKTADIGQMIMVRESGDAAPDVIEYRHGLTPPMRDARKRRFRREPDLNPELVSRVEKDLLKIMARGTAENLDAEAAEQEVEENARGGNKKAAPKPAPKHDVPENHTNAGEPDRSDSEESDDSV >Vigun05g299700.1.v1.2 pep primary_assembly:ASM411807v1:5:48370254:48372195:1 gene:Vigun05g299700.v1.2 transcript:Vigun05g299700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEGNNLKSFRTLVVAASMTIPILIILFVTHQNSVYDILKEYNVFIERANDIATNKQKTQNPDVKDDEEKLHGGLLVSSFDEASCISRFQSYLYRKASPYKPSKYLISKLRNYENLHQRCGPYTKSYNKTMKEGANFSKNGVDSMCKYLIWTIADGLGNRMISMVSAFLYAILTDRVLLVRFNDEMLNLFCEPFPNSSWMLPENFPFPNDLNQLQTFESIIAKNKENNSQDLLPSISFLPLMNYNSGNSRFHCDKNQDLLRKIPVLILQSHEYFVPSLYIVSSFRQDFDKMFPDKDTTFHLLGRYLFHPSNIAWERIKNVYKEHLAKVNERIGLQIRIYNIRDTPEETIINETISCLEQNKLLPKFNMSSPLEKNTSKVILVVSLYSKYGEGLKSIYESNTSLSKEVIKVYQPSHEENQNSGDNMHNIKAWVDIYLLGLCDTLVTSPGSTFGYVAHSLGGMKPLILKSIDGKTIPDLPCQRLKDMEPCYYDPPNYNCASDIPVDYTSIFHNIKRCIDLPQGMRIINT >Vigun05g171600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30668644:30669655:1 gene:Vigun05g171600.v1.2 transcript:Vigun05g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEANNVLLMSLMEETKEEEYYDGDDRLVSMIQSLEAEISVTEIAQMDDQDCSTSDSEPDHWDDINIISSLPFDEMNAWIPCGDEIMDHSSMEYEDATYIQDFQFSYGVFFDQHYLAQGPTDVVF >Vigun02g009400.1.v1.2 pep primary_assembly:ASM411807v1:2:3819138:3823921:1 gene:Vigun02g009400.v1.2 transcript:Vigun02g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSCRNIMFIFLTVLILTAEASESESESEESSIISRFQQYLKIDTAQPSPRYKEAAEFLISEGKRLSLESQSIEFVEGKPLVVLKWEGTNPHLPSILLYSHTDVVPSEHSKWTHHPFSAHLDSQGRIFARGSQDMKCVGMQYLEALRRLRAQNFRPLRSIYLAFAPDEEIGGHDGAEKLAQSEIFQRMNVGVVLDEGLASPDAHYRAFYAERSPWWLVIKAVGAPGHGSKLYDNSAMENLLKSIEAIRRFRASQFDLIKAGFKAEGEVVSVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPSSRNMSFSLGQFKQKASTRNKSGKPLLTKTDSSNPWWNLLENAVEMAGGKLGKPEVFPAATDSRYFRDLGLPAIGFSPMANTPLLLHDHNEFLHKDEYLKGIEIYESIIKAYASFNDHGRRDGASKDEL >Vigun02g009400.2.v1.2 pep primary_assembly:ASM411807v1:2:3819138:3823921:1 gene:Vigun02g009400.v1.2 transcript:Vigun02g009400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSCRNIMFIFLTVLILTAEASESESESEESSIISRFQQYLKIDTAQPSPRYKEAAEFLISEGKRLSLESQSIEFVEGKPLVVLKWEGTNPHLPSILLYSHTDVVPSEHSKWTHHPFSAHLDSQGRIFARGSQDMKCVGMQYLEALRRLRAQNFRPLRSIYLAFAPDEEIGGHDGAEKLAQSEIFQRMNVGVVLDEGLASPDAHYRAFYAERSPWWLVIKAVGAPGHGSKLYDNSAMENLLKSIEAIRRFRASQFDLIKAGFKAEGEVVSVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADTESLERRIAEEWAPSSRNMSFSFKQKASTRNKSGKPLLTKTDSSNPWWNLLENAVEMAGGKLGKPEVFPAATDSRYFRDLGLPAIGFSPMANTPLLLHDHNEFLHKDEYLKGIEIYESIIKAYASFNDHGRRDGASKDEL >Vigun04g099340.1.v1.2 pep primary_assembly:ASM411807v1:4:23178730:23179309:-1 gene:Vigun04g099340.v1.2 transcript:Vigun04g099340.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMNLDAYIFSISWSRILPNGKLSGGVNPEGIRYYNNLIDNLLANEPYVTLFHWDLPQALEEEYGGFLSRRVVDDFRHYTEICFRYFRNRVKY >Vigun11g152900.1.v1.2 pep primary_assembly:ASM411807v1:11:36255965:36260117:-1 gene:Vigun11g152900.v1.2 transcript:Vigun11g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFSNIRNWIRNIEQHASDNVNKILVGNKADMDESKRVVPTSKGQELADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLVDTDSKTEPSTIKINQDESGGVGEAAQKSACCG >Vigun05g007800.1.v1.2 pep primary_assembly:ASM411807v1:5:674936:676690:-1 gene:Vigun05g007800.v1.2 transcript:Vigun05g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSAQEMAFIFGLLGNIVSFLVFLAPLPTFYTIFKTKSSAGFQSIPYVVALLSALLLLYYGFIKTNAILIVTINSIGCFIEVTYLAMYIIYAPKKQKISTLIMILIADVGGFGLTMLVTIFSMTGINRVRAVGWVCAIFNIAVFAAPLSIMRKVIKTKSVEYMPFSLSLFLTLCATMWFFYGLFDKDPFIMLPNVLGFLFGITQMILYMVYKNSEKKNTKANRTQQQESEGTVNTKECDDTKHDFPSVVEMKENPLDQV >Vigun01g047000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7012861:7013791:1 gene:Vigun01g047000.v1.2 transcript:Vigun01g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSSQNSEPALPLHLCFFLLTLFMFLGFSWYSSYEPIMESFMEQLKLVLMVSPLLLLLVLHFVSNYGGGGGVLSSLIPLPERESLHRAGGTPWGVGFVLVLLLFMVSYQSSFQERWFPLLTR >Vigun05g206600.3.v1.2 pep primary_assembly:ASM411807v1:5:39586670:39589227:-1 gene:Vigun05g206600.v1.2 transcript:Vigun05g206600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVNAYFGSKILSPSTEIVLNNEMDDFSMPRNVSKDVPPLAPANFIVSGKRPLSSMTPTIALKNGKLKVVVGASGGAFIIGGTSEVLLNHFGEELYPFSSVMASRVYHQMSGGDLGVSAFPNGQVVFSL >Vigun05g206600.1.v1.2 pep primary_assembly:ASM411807v1:5:39586886:39588690:-1 gene:Vigun05g206600.v1.2 transcript:Vigun05g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVNAYFGSKILSPSTEIVLNNEMDDFSMPRNVSKDVPPLAPANFIVSGKRPLSSMTPTIALKNGKLKVVVGASGGAFIIGGTSEVLLNHFGEELYPFSSVMASRVYHQMSGGDLGVSAFPNGDSIFTWIGTIKGQVVFSL >Vigun05g206600.2.v1.2 pep primary_assembly:ASM411807v1:5:39587366:39589227:-1 gene:Vigun05g206600.v1.2 transcript:Vigun05g206600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVNAYFGSKILSPSTEIVLNNEMDDFSMPRNVSKDVPPLAPANFIVSGKRPLSSMTPTIALKNGKLKVVVGASGGAFIIGGTSEVLLNHFGEELYPFSSVMASRVYHQMSGGDLGVSAFPNGDSIFTWIGTIKGGKRNFV >Vigun05g093500.1.v1.2 pep primary_assembly:ASM411807v1:5:9051980:9055012:-1 gene:Vigun05g093500.v1.2 transcript:Vigun05g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHLGHPHSPSMETTPSSTPFQDLTEASPKPSKKTTFTSFMTAPSFKEDTYFVSHLKPSEKKALQDLRDKLLASSDSSNPVSMWGVPLLDGGDRGDVLLLKFLRARDFRVHDALNMLLKCLAWRTEFGADNIVEEELGFKELEGVVAYTHGYDREGHPVCYNAYGVFKDKEMYEKVFGDEEKLKRFLRWRVQVLERGVKMLHFKPGGVNSLIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSMLYSVFSPFLTQRTKSKFLISKEGNAAETLYKFIRPENIPVRYGGLSRPSDLENGPPKPASEFTVKGGEKVNIQIEGIESGASITWDIVVGGWDLEYSAEFVPIAEGSYTLAVDKARKIMGTEEAIHNTFTSKEAGKMVLSVDNSASRKKKVAAYRYFVRKCNNTPSSELQLSPK >Vigun03g312300.1.v1.2 pep primary_assembly:ASM411807v1:3:50520822:50524293:-1 gene:Vigun03g312300.v1.2 transcript:Vigun03g312300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSNIYDMPWVEKYRPSKIADIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALANELIGPNCKEGVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTTGAQQALRRTMEIYSNSTRFALACNTSAKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVQAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFQFVNQANVFKVCDQPHPLHVKNMVRNVIEGNFDEACSGLKQLYDLGYSPTDIITTLFRIIKSYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLSLVRETAKAV >Vigun07g268100.1.v1.2 pep primary_assembly:ASM411807v1:7:38335426:38338500:-1 gene:Vigun07g268100.v1.2 transcript:Vigun07g268100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQNNQYPLNSVSKFFNAQFHFVRFLAYIVILGFGITIGVIFSFYLRNCNFSLQFTQLSLSSFPRTQILPTPTTKPEISNQTQIHTLIQTQTEIQTETRAEIQTESVHVGLKDFLQPPQVVHDMDDEELLWRASMTAKIPDYPFDRVPKVAFMFLTRGPLFLAPLWEKFFEGHEGLYSIYVHSNPSYNGSQPESPVFEGRRIPSKEVEWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLFNFSTIYTYLMNSTENYVMAFDDPSSVGRGRYNIQMLPDISLNQWRKGSQWFEMDRELALEVVSDRKYFPVFQDYCKGSCYADEHYLPTYVSIKFWEGNSNRSLTWVDWSKGGPHPAKFLRSEITVKFLESLRNHKCKYNNGDSINVCFLFARKFAPSTVSKLTKIAPMVMHF >Vigun07g268100.2.v1.2 pep primary_assembly:ASM411807v1:7:38335426:38338500:-1 gene:Vigun07g268100.v1.2 transcript:Vigun07g268100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELLWRASMTAKIPDYPFDRVPKVAFMFLTRGPLFLAPLWEKFFEGHEGLYSIYVHSNPSYNGSQPESPVFEGRRIPSKEVEWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLFNFSTIYTYLMNSTENYVMAFDDPSSVGRGRYNIQMLPDISLNQWRKGSQWFEMDRELALEVVSDRKYFPVFQDYCKGSCYADEHYLPTYVSIKFWEGNSNRSLTWVDWSKGGPHPAKFLRSEITVKFLESLRNHKCKYNNGDSINVCFLFARKFAPSTVSKLTKIAPMVMHF >Vigun03g102700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8826434:8828456:1 gene:Vigun03g102700.v1.2 transcript:Vigun03g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSSKKIPIVIPSDDENFYTEVSTPSKRTPPSTSKLHRNDKSIDSVSGKAKRRLCMCSEPDIEDYGDFLKKKEKKIKADNNTLLHRFRSKKGLFVTDVTKTEWCERQMEFSLFSEEWKNNEGRPMDSGGGRRNSEAMKAGRNRHVQLELEVHSWVELKVKSREDDMAMKLVNFINGVNQLAYDGLTRELPILSFAFAEGIWMVGKIDEVQMPKAKKDRNPILIETKTRFQDTVPSEAQKRNGRIQLMCYKYLWDNLVAHAHHDFPRKQLYDYFELNPRRTLSKDLQAACEESGFTALTLGDVVRCYQNTCKMLPPSNKKLVLRYESQRDHSVLDEEKIAYDEGWVKSEIGNCLELWLGQREASYVAEDEQWKCGYCDFVSECQGYTDTDSESTQFVSDYSSE >Vigun09g158650.1.v1.2 pep primary_assembly:ASM411807v1:9:32545882:32546722:1 gene:Vigun09g158650.v1.2 transcript:Vigun09g158650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAHDKMNSSGNLPKQFDELVDRSLLFKVESRNDQNFKIEQSFRVKKICVDDDIIEKFNDSSLKSVDVYAGNGEFSREKMRLVNESTVNISEDLLVRFTKETIQCGSQSPELIQDNPTNDDANSSHKRESGKKTASLESIEEDSVPLKLLKRNIKKEKSVI >Vigun04g164300.1.v1.2 pep primary_assembly:ASM411807v1:4:38848169:38852702:-1 gene:Vigun04g164300.v1.2 transcript:Vigun04g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGDTTKKQQQQQQPQQPQPQSHLHHQQQQTQQQQQQTQQISSSPKAPREEAITEVRPIHHHQQLSPVVVVTGAPPFISSPLYVSSGASSSPYEPQFETLNPKRPRYSGQWKQLLPSPPAQPKQSQMAMLPTTESSPSPTTHTSSNPQQPQTQTASSSDTSSSPTHSLPLLSGGSGQEGSKQEGEQVHQQLRKGKYVSPVWKPNEMLWLARAWKEQYQGGGGGGGGSESSSRAEQQHQAELGITRGKTRADKDKEVAEFLKRHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQIGKSYFRLSPYERKLHRLPASFDEDVFEELAQFMGSRMRSSHGRAGSSFVSGDEARTAARALPPPPRPFKDDETPLSATTKQLAITSGVEPFFHGSRGGLLGLDTLLDVSGSSSSSKELRRIGKIRMTWEESVSLWAEEGEIHRGRVRLQSSSFLNADELTCFDDAMVPCPMEYFEDGPLKGFSVDRFVSGQQVKVFGRRKSSPASDSSGFAERVQLPSKALPIRSIATLDFRDPTEYYMECLLRASPQTLPSLYELKRHLQEPPPEDLRFPLRRDVYEELPQGKELFFTTTPEPLDCRTIMYDIVGPIIRTHNPSLSLATSSSRDSFIPLWDECINRVIQKFCPEELTLIRKPTSPPPESTNQSMLQDQWPNVTGFVNNYCLWRGEETHQLKESQPNPSTTLVGKLMWTYMDLPYILGYYAVANTVTFCALSRSQDGIINRTDLHEVNLSTPVEKLKALVPCFRIGLLLALLSKRCASTSNCKGFTYSDFERVCYGNGVVTEVTPSTCTRVFMEKRKWMAVKEVYEILDHRIPHAEVMVGFSERELGLSFKPRGCRVKPGNCEELVEALKFVTKAMVALHDLSFMHRDLKWEKVMRRRDREEWFVCGFDEAAGAPELKGHVSGTRGGNAPEMERGLHGVKVDVWGVGNLIRTCGLGGVPKMLRELQNRCMEQNPEQRPTAADCYHHLLQLQSSLSAAAGGVMMM >Vigun10g190100.1.v1.2 pep primary_assembly:ASM411807v1:10:40501052:40502535:1 gene:Vigun10g190100.v1.2 transcript:Vigun10g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSALKDLRRKLFASSSFTSHGSGGVHKQRWNNELLKRFATAAGDKGKSEATEVAVTEGKKSNKLFPRRRNNRRWIWRNQDRDFPPALYEFFPSGLGNALLQASENINRVFESMNLTPWSLSGRVKEGDDHYKLRYEMPGMAKEEVKITVDDGVLTIKGEHKEEKEEGEDDEHWSSSSYGYYNTSLVLPDDAKADDIKAELKDGVLTVTIPRTEKPKKDVKQVTVE >Vigun07g138300.1.v1.2 pep primary_assembly:ASM411807v1:7:24813235:24818995:-1 gene:Vigun07g138300.v1.2 transcript:Vigun07g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKDKEQYGVLLYYNYAEISDLNHLLTFYHSNCTSLNLRGRVRLSTHGVNVTVGGNLSSLESHIEALKAYNSLFHRTDFKLATCHHPLNDKVAQECGFTSLSIRIVDELVTLSSHPLLKAPDISNAGSHLSALDFHSSLHNANNESPENGLVLLDARNLYETRIGKFDVPNVETLDPKVRQYSDLSSWIDDNGDRLKGKNIFMYCTGGIRCEMASAYIKSKGAGFENVFQLFGGIQRYLEQFPDGGFFKGKNFVFDHRISVGSSDANVIGTCLMCQCSFDDYTSRCRCAYCRMLVLVCRSCENESTAYVCELCQKQGKAESAQLIENGESKTSLSGVEFNNFSSDTICLPRVPRGDDPRTSRKLRILCLHGFRQNASSFKGRTASLAKKLKKITEFVFIDAPHELPFIYQTPMFEPNVNCASSSLPSSPPPPLENCKKKFAWFVAPNFDGSSGVDWKVADGPFDPLQYQQQTVGYDISLSHLKNVFSQEGPFDGILGFSQGAAMAALISALQEELKGEMDFKFVVLCSGFALRMKAMESGPIKCPSLHIFGNEHGKDRQIANQTSKELASLYDGDCSVIVEHDSGHIIPTKSPYIDEIKDFLNRFL >Vigun01g225500.1.v1.2 pep primary_assembly:ASM411807v1:1:39837682:39839475:-1 gene:Vigun01g225500.v1.2 transcript:Vigun01g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1 MEGKEQDVSLGANKFSERQPIGTAAQSQDDGKDYQEPPAAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVMGVNRSASKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQVLGAIVGAGVVKGFEGKTFFGQHNGGANFVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFVGAALAALYHQVVIRAIPFKSN >Vigun09g276800.1.v1.2 pep primary_assembly:ASM411807v1:9:43691968:43696581:1 gene:Vigun09g276800.v1.2 transcript:Vigun09g276800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRVVVLNPPSNLFHNPSSAASLIPPHTRLLSFSASAAAAAAASSSSSSANRTRHTPTTKNDSPFSTFGRVKTQKPKTLLHRSRDRRRTLQVEEEEEDDDDNYGNYDDNDDYSAPRRGRPSQSGSRSGGRKRGGWDIIPRFPSQAESTTDTNFFSLKSFKEIGCADYIIQSLQKIFLTRPSHVQAMAFAPVLSGKTCVIADQSGSGKTLAYLAPIIQRLRQEEQEGRSQSSSQAPRVLVLAPTAELASQVLDNCRSLSKSGIPFKSMVVTGGFRQRTQLENLQQGVDVLIATPGRFLYLMNEGFLQLTNLRCAVLDEVDILFGDEDFERALQCLINSSPVDTQYLFVTATLPKNVFTKLVEVFPDCQMIMGPGMHRISSRLEEILVDCSGEDGQEKTPDTAFFNKKTALLQLVEESPVPRTIVFCNKIETCRKVENSLRRFDRKGNNMQVLPFHAAMTQESRLASMEEFTRPPSKGVSQFMVCTDRASRGIDFAGVDHVILFDFPRDPSEYVRRVGRTARGARGVGKAFIFVVGKQVSLASKIMERSRKGHPLHDVPTPY >Vigun04g092400.1.v1.2 pep primary_assembly:ASM411807v1:4:17763552:17769299:-1 gene:Vigun04g092400.v1.2 transcript:Vigun04g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRRAPRAVIDPVPKFRQVGFFAPPERSQSGPPNTTHSSPPISNSLSPVMIPPPRHLSDNLLLHAPPASSPLRADSGSGGTSFDHADLFTAPLSPVLPSSSYSSRIAGDFYKGNGGKLAASSFPRGGFDLTAMKAAAAASVVVPASELTTVSVVNDSLGIPEKEKASRGGGSAAEVKEQPASSKQKPKTSKAERRALQEAQRAAKAAAKAEGNKASGTAASVNAKPAKAAKPAQKIDNAAVAASEKKGGEIPPEKDRKKDAPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYEHGSQLPDLEARFFHLSPVHPAVYKVGLQYLTGDISGANARCIAMLQAFQEAIKDYKVPHEKTLVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSRIAKLPLTLSESEAKASLHSDIERFISEKIILANKVIVKHAVTKIRDGDVLLTYGSSSAVEMVLLHAHELGRQFRVVVVDSCPKLRGQLLLRRLVEKGLSCTYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVIVCCEAYKFHERVQLDSICSNELGDPDAISNVLGREDVNHLDGWANIENLQLLNLIYDATPSDYVSMIVTDYGMVPPTSVPVIVREYGREQVWI >Vigun06g143900.4.v1.2 pep primary_assembly:ASM411807v1:6:26972102:26979009:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.8.v1.2 pep primary_assembly:ASM411807v1:6:26972413:26978917:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.7.v1.2 pep primary_assembly:ASM411807v1:6:26972413:26978917:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.5.v1.2 pep primary_assembly:ASM411807v1:6:26972824:26978917:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.3.v1.2 pep primary_assembly:ASM411807v1:6:26972054:26978940:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.2.v1.2 pep primary_assembly:ASM411807v1:6:26972054:26978940:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.1.v1.2 pep primary_assembly:ASM411807v1:6:26972102:26979009:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun06g143900.6.v1.2 pep primary_assembly:ASM411807v1:6:26972823:26978918:1 gene:Vigun06g143900.v1.2 transcript:Vigun06g143900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEVQFYPAGTTKKSDSQKIYHGRDPNHGSNFWTDGLICAFEYVRGQNRSAKWRSSERLQVNGQQHSKMQAPSDGLKEASSLRPDKSDLSSVNVSRDTLFGASDDDKESQSRQAGQSRKYDGGHWVPIGWARISELVQTVQVDAVWSSHQFEFEDSEDDFTVADLAAPYWERPAGPIWWGHVSAGHPTVEAWLNNAQWLHPAVSLALRDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLVTVMHVKGSVSRINALGITEVQELLSAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRNQEDLNLFILILNQEIRKLSTQVIRVKWSLHAREEIVFELLQHLKGNGARSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRVTHNLAVFGGVGVVLTIVTGLFGINVDGIPGAENTPYAFGVFTAILVFLGVVLIAVGMVYLGLKNPVAEEQVEVRKLELQELVKMFQHEAETHAQVRKNISPKNLPPTAGDAFRSGADYLVIQ >Vigun10g174900.1.v1.2 pep primary_assembly:ASM411807v1:10:39347632:39353661:1 gene:Vigun10g174900.v1.2 transcript:Vigun10g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMMIFNGYADDFSWICLKHFKFTSFCSQTTTIDAINLFFVCVFYASTIVSLIRRNFINGSRSKSRFFLIVSICCAITSIVFYGIGLWNLIAKTGNSMATCVVRGFVWTSLAVSLLLQTHEWIKVLNTIWWASSGALFSTIQIEILFRKHAIEIFDVLLWLLHTLLLFCAFQNLGYFVTQREPKSLSEPLLAQEVETEETGLGRASFLSKLTFSWVNSVLSLGYSKPLSLEDIPSLLSEDKADLSHQNFMHACKSLARERSNENNNKNLVFWSIVRTHSKENILIAVYALLRTIAVSVSPLILYAFVNFSYSRDSGDTDLKEGLIIVGFLILSKVVESVSQRHWFFSSRRSGLKMRSALMVAVYEKQLKLSSSARTRHSTGEIVNYIAVDAYRMGECPWWFHLTWACTLQILLSISILYGVVGVGALPGLVPLLICGLINVPFAKFLQKCMAQFMISQDERLRATSEILNSMKIIKLQSWEDKFKNLVENLRAKEFVWLSKSQLLKAYGSFLYWVSPTIVSAVVFLGCAVFNSAPLNAGTIFTVLATLRNLGEPVRMIPEALSVMIQVKVSFDRLNTFLFDEELDTSDGNRSYINRSSTNAVEIQDGNFIWDHESVSPTLRDLNLEIKWGQKVAVCGPVGAGKSSLLYAILGEIPKISGTVNVFGNIAYVSQTSWIQSGTLRDNILFGKPMEKTRYESAIKVCALDKDINDFSHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFKDCVMTALREKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYGNLLTAGTAFEQLVSAHKEAIIELDQNNENRTHREESQGVYKNQSEGEISTEGQLGIQLTQEEEKEIGHVGLKTFWDYISFSRCSFMLFGIMLAQLAFVALQAASTLWLALATDIPNITSAILIGVYSFISFASAGFVYMRSLLTSYLALKASKVFFTSFNTAIFNAPMLFFDSTPIGRILTRASSDLSTLDFDIPYSTTFVTCVPIEILVMIGVMVLVTWPVLIAAIPATVASKYVQEYYQASSGELMRINGTTKAPVMNFAAETSLGVVTVRAFNMVERFFKNYLKLVDTDATLFFHSNVAMEWLVLRIEALQNLTVITSALLLVLFPQGYVSSGLVGLSLSYGLTLTGSFIFWTRWYCNLLNYLISVERIKQFIDLPSEPPAIVKDHQPPSSWPFKGRIDLQALEIRYRPNAPLVLKGITCSFREGSRVGVVGRTGSGKSTLISALFRLVEPASGDILIDGMNICSMGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDDIWKALEKCQLKETISHNPNLLDSKVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKMVEYDEPSRLMDTNSSFSKLVAEYWASCSKNSS >Vigun09g098800.1.v1.2 pep primary_assembly:ASM411807v1:9:15515611:15572531:-1 gene:Vigun09g098800.v1.2 transcript:Vigun09g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARENWEKLVRATLKREQLRNAGQGHARVPSGIAGAVPPSLAQTTNIDLILQAADEIQSEDPNVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKDGVRIDRNRDMENLWKFYLHYKQRHRVDDIQREEQRLQESGTFSSSLGELKLRSSEMRKIIATLRALVEVLEALSKDADPSGVGGLIMEELRKLKKSSVTLSGELTPYNIIPLEAPSLTNPIRIFPEVKAAISAIRYTDQFPSLPAGFKVSGQRDADMFDLLEFVFGFQKDNVRNQRENVILMIANKQSGLGIPAATDPKIDEKTINEVFLKVLDNYIRWCRYLRIRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHNMAKELDAILDHGEAGPALSCVTDDGSAKFLEKIICPIYDTLAKEAARNNNGKAAHSAWRNYDDFNEYFWSPACFELNWPMRTDSPFLRKPTLSKRSKRTGKSSFVEHRTFLHLYRSFHRLWIFLALMFQALTIIAFNHGHINLDTFKTILSIGPSFAIMNFSKSFLDVLLTFGAYTTARGMAVSRLVIRFFWGGLTSVAVTYLYLKVLQERNSRNSDNSFYFRIYLLVLGVYAALRLCFALLLKFPACHKLSEMSDQSFFQFFKWIYQERYYVGRGLYEGISDYCRYVAFWLVVLACKFTFAYFLQIKPLVEPTNIIVDLPSLTYSWHDFISKNNNNAFTIVSLWAPVVAIYLMDILIFYTVMSAIVGGVIGARARLGEIRSIEMVHKRFESFPGAFVKNLVSPQIKRIPLSGQSTQDSQDMNKAYAAMFAPFWNEIIKSLREEDFISNREMDLLSIPSNAGSLRLVQWPLFLLSSKILLAIDLALDCKDTQADLWNRISKDEYMAYAVKECYYSVEKILYSLVGNDNEGRLWVERIFREINNSIVEGSLVLTLSLKKLPVVLSRLTALSGLLIRNDPELAKGAAKAVHDLYEVVTHELVSSDLRENLDTWNLLARARDEGRLFSRIIWPNDPEIKELVKRLHLLLTVKDSAANVPKNLEARRRLEFFTNSLFMDMPSAKPVSEMLPFSVFTPYYSETVLYGTSELHKENEDGISTLFYLQKIFPDEWENFLERIGRGASTGDAELQESSSDALELRFWASYRGQTLARTVRGMMYYRRALMLQSFLEGRSLGVDNYPQNNFITSQDFELSRESRAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLLQRNEALRVAFIHSDEPSDANSSKVFYSKLVKADINGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGEAVQTIDMNQDNYLEEAMKMRNLLEEFHANHGLRPPSILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYVFLYGRAYLAFSGLDEAVSDKAKLAGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLLKAIFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYAEGGAVTYVLVTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGENSWESWWDEEQMHIQTLRGRILETILSARFFLFQYGIVYKLHLTGKDTSLAVYGFSWAVLVGIVLIFKIFTYSPKSRPIFS >Vigun01g004750.1.v1.2 pep primary_assembly:ASM411807v1:1:594281:594799:1 gene:Vigun01g004750.v1.2 transcript:Vigun01g004750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLGEARRKCHGSSLSLVWSFMLLIRKKKEMNEHLGKELNHTSYSSMFKYNTNVLGSPNNVMHALYLIRI >Vigun02g109100.1.v1.2 pep primary_assembly:ASM411807v1:2:26320390:26329468:1 gene:Vigun02g109100.v1.2 transcript:Vigun02g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVAGLAPGLSRKLKKVLESRIDTPDLLSSLNTLSSFYDENTPQTRRNLRSTIEKRSLSINHEFLDASHAAQLALDSVEKEVDALAECCDRIAKALNSCSASTTDIISTTERLKQELETTTQRQEIVACFLRDYQLSPEEINALREEELNENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVSELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVAVLLDPDAIVDSGSKQFANNTENGSGKTESDLMFVLDRIFEGVCRPFKLRVEQVLQSQPSLIVSYKLSNTLEFYCYTIADLLGRETALCNTLWALKDAAQKTCFDILKVRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIDTYNSVMVPASGQKPAFGPVVSAILDPIIQMCEQAADAHKSKVVSHSSRRSRTSSDSNQHTKSSVDAILSNSSSSSSSLTSETPSKIFLINCLCAIQQPLSGYEAVADYVNRLGAMIDNHLRVLVEKEAEAILRRCNLSEKMPLLQNSIHKEGENEVGTPLAEREDTGPTILSECLKALFGLILGSESSLPEFEQMQDPRLRSEASIGVARSLAEAYELIYKAIMDPKNGYPDPRALARHPPDQIRTILGI >Vigun02g109100.2.v1.2 pep primary_assembly:ASM411807v1:2:26325535:26329468:1 gene:Vigun02g109100.v1.2 transcript:Vigun02g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVQAECRKLGDTDNPEVSELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVAVLLDPDAIVDSGSKQFANNTENGSGKTESDLMFVLDRIFEGVCRPFKLRVEQVLQSQPSLIVSYKLSNTLEFYCYTIADLLGRETALCNTLWALKDAAQKTCFDILKVRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIDTYNSVMVPASGQKPAFGPVVSAILDPIIQMCEQAADAHKSKVVSHSSRRSRTSSDSNQHTKSSVDAILSNSSSSSSSLTSETPSKIFLINCLCAIQQPLSGYEAVADYVNRLGAMIDNHLRVLVEKEAEAILRRCNLSEKMPLLQNSIHKEGENEVGTPLAEREDTGPTILSECLKALFGLILGSESSLPEFEQMQDPRLRSEASIGVARSLAEAYELIYKAIMDPKNGYPDPRALARHPPDQIRTILGI >Vigun07g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22069288:22071098:1 gene:Vigun07g119000.v1.2 transcript:Vigun07g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVGHPSSSTTTIFPTLTLSPSPTHPQPSATPHPQIPSPLHPCNTHPPEKPWIWSATRRTHHPELPPSPPTNPTQPARNPGSGSIATHRSLPTSYRSTDRILVPTAPNIVWRDPPPATRICPWTTAQAKSRSWTNWRTLSTNSGNDGMLEMAT >Vigun05g197800.1.v1.2 pep primary_assembly:ASM411807v1:5:38255370:38273859:1 gene:Vigun05g197800.v1.2 transcript:Vigun05g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLAQFQTIKNTSDRLVISVEDVSDLWPTVKPAFEGRSPFKRATLNNKTRNPVFVDALPAEFILTTDSRLRSRFPQEQFLFWFREPYATVVLVTCEDLDEFKNILKPRLKLIVQNDEREWFIVFVSKAHPANDQANKMAKKVYARLEVEFNTKRRERCCKYDMHFPEANFWEDLESKIMECIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAFMFEMAHLHDDALREYDELELCYLETVNMTGKQRDFGGADHGDDQAALLNPINKPLTQMVQEDSFREFEFRQYLFACQSKLLFKLHRPIEAATRGYSFIISFSKSLSLHERILPFCMREVWVATACMSLIKATTSNYTDGNVAPDIEKEFFRLLGDLYSLARVKFMRLAYLIGYGTDIERSPVNSASLSLLPWPKPAVWPSVPGDSSVEVLEKEKLILQTTSRTKHFGIQRKPLPLEPTVLLREANRRRASLSAGSVSEMFDNRQGPMDGSGFDASTRMSPHKAPPNSMTRTNSSPGNFDSSIDRPMRLAEIFIAAEHALKQTISSPELWKSLSSSEEFEKKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVAFKHGNFDQAAESYEKVCALYAGEGWQDLLAEVLPNLAECQKMINDRAGYLLSCVRLLSLDEGLFLTKERQAFQSEVIRLAHSEMKDPIHLDVSSLVTFSGNPGPPLELCDRDPGILSVTVWSGFPDDITLNSITLTLNATYNTDDGLKALKSSTAVVLHPGRNTITLDLPPQKPGSYVLGVLTGQIGELRFRSHSFSKVGPADSDDFMSYEKPAKPILKVFKPRALVDLDAAVSSALLINEHQWVGILVRPVKYSLKAAVLHIDTGPGLEIKESHVIEMESYAGVSQNKDDQLQNDCAQVNCDKNFERLSLNDGKIEFPNWASDNPSILWVLVRAIGDTLSRGSSSATTRRESIVDGMRTIALKLEFGAFHNQIFERTLAVHFTDPFYVRTRVTDKCNDGTLLLQVILHSEVKATLTVYDAWLDLQDGFVHTGQTEGRANSSFFPLVISPTSKAGILFSICLDKTNAEEARKQPESILNIKYGISGSRTIGAHPPVMNESIGVDDARQELIFRSAITLQRPVLDPCLAVGFLPLPSDGLRVGQLVKMQWRVERLKDLDKEGVSKHNDEVLYEVKANSGNWMIAGRKRGYASLSTKQGARIVISVLCMPLVAGYVRPPLLGLPDVDEANISCKPAGPHLVCVLPPPLSSSFCIPVNS >Vigun06g108000.1.v1.2 pep primary_assembly:ASM411807v1:6:23711869:23723138:-1 gene:Vigun06g108000.v1.2 transcript:Vigun06g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGNNGVSANNVAQAIHTALDWASTPDARLNAVAFLDSIKRGDVRALANTSFLLVKKNWSSEIRLHAYKMLQHLVRLRWEELSPAEHKNFANLSLELMSEIADPCENWSLKSQTAALVAEVVRREDIGLWQEMLPSLISLSNKGPIEAELVAMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLSEIFPLLYNLLERHFTAAMNEAGRNQMDIAKQHAATVTAALNAVNAYAEWAPLSDLAEHGIIHGCGVLLSAPDFRLHASEFFKLVSPRRRPIETSVPKFDQAMSNIFQTLMNVSREFLYRSGSSPGSIDEGEYEFAEYICESMVSLGSFNLQSIAGDSSLLPLYLEQMLGFFQHFKFAIHFQSLQFWLVLMRDLMSKPKNSTHSAADSSAVSSTGSGEVENAKKKTLSFVSDDFCGAILDTSFPRMLKREKILHETAITLGALELWSEDFECKGTFSLYRSRLLELIRFVSSYKPLIAATKISEKIDTVIKGFLLSPAPTQDLAVMESMQLAIEGVVNAVFDGSSDFTKTNAEVQFALCRTFEGILQRLISLNWTEPALVEVLVHYLDAMGPFLKYFPDAVGSVISKLFELLTSLPTIIKDTSVHSARHARLQICTSFIRIAKAADKSILPHMKGIADTMACLQRDGCLLQGEHNLLGEAFLVMASSSGIEQQQEVLKWLLEPLSHQWTQSEWQEKYLSGPQGLVQLCSEAPVMWSIFHTLTFFERALKRSGFKKANWNSENSSTPNSTPINPMASHISWMVTPLLKLLRCIHSLWSPSISQALPGEVRAAMVMIDSEKSSLLGEGNSKLPKGSLTVTDGSKVDINKEGYAEPNGSDIRNWFKGIRDSGYNVLGLSTTIGDSFFKYLDVHSVSVALMENIPSMEFRHIRQLVHSTLIPLVKNCPLDMWEIWLEKILHPLFIHAQQALSCSWSSLLQDGRAKVPDILSILSGSDLKVEVMEETILRDLTREICSLLSVIASPPLNNGIPSLEQSGHVSRLDTLKSLDTVASCSMVGFLLKHEGLAIPTLRLCLEAFTWTDGESVTKISSYCSVLVVLAIVTNHAELVEYVSRDLFTSVIQGLTLESNAITSADLVAICREIFVYLCDRHPAPRQVLMSLPNITPHDLVAFEESLKKTSSPKEQKQHMRSLLQLATGNKLKALAAQKSVNIITNVSMRQRSSANAPESKVDDGDVVGLAAIM >Vigun05g207900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39785984:39786274:-1 gene:Vigun05g207900.v1.2 transcript:Vigun05g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFLAMFHGGAKEEMIWYNSGTLVLLGIVFLSLLLITMVIFSCGLDDNEPYSRRAQPPRRYNSSRHGGSTTHSRKSDRRLSGLGGDGGGDGGGG >Vigun03g139500.1.v1.2 pep primary_assembly:ASM411807v1:3:13737425:13738512:-1 gene:Vigun03g139500.v1.2 transcript:Vigun03g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLQTRHKPQLGGHDSEIKGLLLDQLLAMASPLNERREPEWKQAWIGNTLLSVPPLPLLAIVGIVVFLLWISTQLNNNYLVMQIATTNFNFFLLFLPLVLTLVAQGTRLLPPAPSVMRPSHDGDVESESSSSSMPWGWVISVLMLLLLISYRLHPILVVGIVFFYVYFLSA >Vigun02g090100.1.v1.2 pep primary_assembly:ASM411807v1:2:24509120:24513213:1 gene:Vigun02g090100.v1.2 transcript:Vigun02g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGVAQLIVGHPFDTIKVKLQSQPTPLPGQLPRYSGAIDAVKQTVAAEGPRGLYKGMGAPLATVAAFNAVLFSVRGQMETLLRSHPGATLTVNQQIVCGAGAGIAVSFLACPTELIKCRLQAQSALAGTGAATMAVKYGGPVDVARQVLRSEGGIRGLFKGMVPTMAREVPGNAALFGVYEALKQLLAGGTDTSGLDRGSLLLAGGLAGGAYWLAVYPTDVIKSVIQIDDYKNPKFSGSIDAFRRISATEGFKGLYKGFGPAMARSVPANAACFLAYEMTRSALA >Vigun06g227000.2.v1.2 pep primary_assembly:ASM411807v1:6:33479366:33483522:-1 gene:Vigun06g227000.v1.2 transcript:Vigun06g227000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVCNVVQAMKPTLLMLVVQIAFAGVNIFYKLAVNDGMSLRVIVAYRFLFATTFIAPLALILERKKRTKMNRTILFQSFLCGLFGGSLAQNFYLQALSLTSATFASAMANLVPAITFIMAVCFGLERLNLKTTPGKAKIMGTLIGISGAMVLTFVKGKDIKIGTFHFNLLHQKGAHPQVHATAGANIVMGALCALASGVSYASWLIIQAKMSKKYPNPYSSTALMSLWGALVSIVFALCLERDWSQWRLGWNIRLWTAAYAGIVVSGIMVVVISWCIKMRGPLFVSVFNPLMLVIVALAGSTMLKEKLHLG >Vigun06g227000.4.v1.2 pep primary_assembly:ASM411807v1:6:33479366:33483522:-1 gene:Vigun06g227000.v1.2 transcript:Vigun06g227000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVCNVVQAMKPTLLMLVVQIAFAGVNIFYKLAVNDGMSLRVIVAYRFLFATTFIAPLALILERKKRTKMNRTILFQSFLCGLFGGSLAQNFYLQALSLTSATFASAMANLVPAITFIMAVCFGLERLNLKTTPGKAKIMGTLIGISGAMVLTFVKGKDIKIGTFHFNLLHQKGAHPQVHATAGANIVMGALCALASGVSYASWLIIQAKMSKKYPNPYSSTALMSLWGALVSIVFALCLERDWSQWRLGWNIRLWTAAYAVLIFA >Vigun06g227000.1.v1.2 pep primary_assembly:ASM411807v1:6:33479367:33483522:-1 gene:Vigun06g227000.v1.2 transcript:Vigun06g227000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVCNVVQAMKPTLLMLVVQIAFAGVNIFYKLAVNDGMSLRVIVAYRFLFATTFIAPLALILERKKRTKMNRTILFQSFLCGLFGGSLAQNFYLQALSLTSATFASAMANLVPAITFIMAVCFGLERLNLKTTPGKAKIMGTLIGISGAMVLTFVKGKDIKIGTFHFNLLHQKGAHPQVHATAGANIVMGALCALASGVSYASWLIIQAKMSKKYPNPYSSTALMSLWGALVSIVFALCLERDWSQWRLGWNIRLWTAAYAGIVVSGIMVVVISWCIKMRGPLFVSVFNPLMLVIVALAGSTMLKEKLHLGCIIGAVLIVGGLYMVLWGKSKEMKKSDELVAAHSPHEEESVRVEVVVRGEVEDESKQKKRHENDEKLEQNSHVASESNQGRDEEKSNTLLCT >Vigun06g227000.3.v1.2 pep primary_assembly:ASM411807v1:6:33479366:33483522:-1 gene:Vigun06g227000.v1.2 transcript:Vigun06g227000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVCNVVQAMKPTLLMLVVQIAFAGVNIFYKLAVNDGMSLRVIVAYRFLFATTFIAPLALILERKKRTKMNRTILFQSFLCGLFGGSLAQNFYLQALSLTSATFASAMANLVPAITFIMAVCFGLERLNLKTTPGKAKIMGTLIGISGAMVLTFVKGKDIKIGTFHFNLLHQKGAHPQVHATAGANIVMGALCALASGVSYASWLIIQAKMSKKYPNPYSSTALMSLWGALVSIVFALCLERDWSQWRLGWNIRLWTAAYAVLIFA >Vigun03g447900.1.v1.2 pep primary_assembly:ASM411807v1:3:64901007:64905407:-1 gene:Vigun03g447900.v1.2 transcript:Vigun03g447900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLTLPPMEIAPLFTVATWWDQINASTRWQNAVFFFLCAAYALVASIALAQLVRIEVRVPEYGWTTQKIFHLMNFVVNGVRAVVFGLHKLVFLLQPKVLILVLLDLPGLLFFSTYTLLVLFWAEIYHQARGLPTDKLKIVYISVNAALYIIQVCIWIYLWIDDNSAVEFIGEIFIAVVSFMAALGFLIYGGRLFFMLRRFPIESKGRRKKLNEVGSVTAICFTCFLIRCVMGFLSAFDSDASLDVLDHPLLDLIYYMLVEILPSTLVLYILRKLPPKRISAQYHPIR >Vigun05g096600.1.v1.2 pep primary_assembly:ASM411807v1:5:9381667:9383805:1 gene:Vigun05g096600.v1.2 transcript:Vigun05g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKLAMISALTLLPFLSINKSDAASYYPSGLHGDSAEVAPFASQKVNLSVYYSSLSQPSATFIVKNLEEIFHSDLINIINLHLVPWANAYVNKTNQSIICQNGPDECELNSLETCALNVWNDVSKHYALIYCFEFLAIEGRHKNWQDCFSQLDLPEEPVLNCYTRENATEIGQKYINETAPLEFLPLVMVNNESIGKEYENFTRYVCEAYRGTPVPAACNGWSTNKF >Vigun05g096600.2.v1.2 pep primary_assembly:ASM411807v1:5:9381667:9383805:1 gene:Vigun05g096600.v1.2 transcript:Vigun05g096600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKLAMISALTLLPFLSINKSDAASYYPSGLHGDSAEVAPFASQKVNLSVYYSSLSQPSATFIVKNLEEIFHSDLINIINLHLVPWANAYVNKTNQSIICQNGPDECELNSLETCALNVWNDVSKHYALIYCFEFLAIEGRHKNWQDCFSQLDLPEEPVLNCYTRENATEIGQKYINETAPLEFLPLVMVNNESIGKTVIVCVTLQEYENFTRYVCEAYRGTPVPAACNGWSTNKF >VigunL059717.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:12695:73212:1 gene:VigunL059717.v1.2 transcript:VigunL059717.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun09g136400.1.v1.2 pep primary_assembly:ASM411807v1:9:29727890:29732269:1 gene:Vigun09g136400.v1.2 transcript:Vigun09g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRITVSPRPCCGRRIVAAKKRPRAADGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGPSTMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDGYSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFTHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVCRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEGNAGSINVSNILTGKCLAKIRASNSFPVDNNCNCSGNPSGSGCCNSRKRKHGSKMRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun09g136400.2.v1.2 pep primary_assembly:ASM411807v1:9:29727890:29732269:1 gene:Vigun09g136400.v1.2 transcript:Vigun09g136400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRITVSPRPCCGRRIVAAKKRPRAADGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGPSTMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDGYSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFTHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVCRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEGNGSINVSNILTGKCLAKIRASNSFPVDNNCNCSGNPSGSGCCNSRKRKHGSKMRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun05g046700.1.v1.2 pep primary_assembly:ASM411807v1:5:3905044:3907051:1 gene:Vigun05g046700.v1.2 transcript:Vigun05g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEINVHAQNEPVTAVSPQPTPTQAMHDHADSTTVVEPTDFLSMMHHNNGCCHRQPPCSAVNNPMKRRSPPSCSFSGEPSAKKLSCDQEDLSHYGFSAVPIPLNLHSLVNKRRSLPVLRRCVSDPYRPPAPAPPTPAERGSFPVLRRCVSDPYRPPAPAPPTPAERGSGLPPLPPGLRRSVSDLSTPSPSLNYEETAAPESVKLRRIKERLKEMWQWWHEVMKDDEEENGREEECIAEEDKVLPQDDLGGGDSEEAVSVEWGEQCLSLGFACPCGKSFKVLLSENNCYYKLV >Vigun06g035801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15000038:15000349:-1 gene:Vigun06g035801.v1.2 transcript:Vigun06g035801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIFGFSTQLPHVEIMQLKSTMQCNATLAQIHRWQANQALRKTKLLKISRYIQISTNFKHINPENGTFKSTKIIMIMQRQCKRILQCKIHCQPIRYHFFLL >VigunL056901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000429.1:18957:20080:-1 gene:VigunL056901.v1.2 transcript:VigunL056901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDAMDLIGLSFGMETYQVIIFKFRETLEFTMAILSQILMVGIAQLVDQNPRVTSSNLVPDTRFICMSIYPPYSLK >Vigun06g125500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25261843:25265338:1 gene:Vigun06g125500.v1.2 transcript:Vigun06g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPQTLQFLSECFLHTLSPAPEPRRRAESSLVDASDRPNYGLVVLRLVAETSVDEQIRQAAAVNFKNHLRTWWSSDSPILPPEKEQIKSLIVPLMLSATRRIQSQLSEALAVIGEHDFPKAWPALLPELVSNLKNASQASDYASVNGILGTADSIFNKFRFAFKTNDLLLDLKYCLDHFAAPLLEVFLKTASLIDASVNSGANLRPLFESQSLCCSIFYSLNFQELPEFFEDHMKEWMGEFRKYLTTTYPALERSGGDSLAIVDKLRAKVCENINLYMEKNEEEFQGYLNDFALAVWTLLGNVSQSSNRDQLAITAIKFLTTVSTSVYHTLFASDGVVPQICQGIVIPNVKLREDDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATRYGDVVRNIVSSQIQSLLSSFAANPVNNWKDKDCALYLVVSLATRKAGTSYLSTDLVDVQSFFESVIVPELQSPDVNGYPMLKAGALKFFSVFRNQISKSVALRFFPDLVRFLASESNVVHSYAASCIEKLLRVKDEMGEPRYTSADINPIFPVLMNNLFSALKHQESEENQYVMKCIMRVLGVADLSADVSRVCIEGLTFILGEVCRNPKNPVFNHYIFESLAILVKRGCENGLSVSIFEASLFPKLEIILTTDVTEFFPYTFQLLAQLVELNRSPIPPIYMQIFEILLSPDSWKRSPNVLALVRLLQAFLQKAPNEINQGDRLTKVLGIFDTLVQSSNTSDQGFYVLNTVIESLEYDIIKPYISHIWAALFRELQRRRTVKLIKSLLIFTSLFLVKHGPSNLVDTMNGVQPDIFNVILTQFWIPNLKMITGAIELKLAAVASTRLICESPILLDPSAAESWGKMVNSIVTLLSRPEQERVDEEPDESDITENVGYTAAFFRLYNAGRKEEDPLKDISNPREFFVASLSRLSTLSPGRYLKVINELVDPANQAALLQLCNRFNLPIV >Vigun04g147850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36335631:36336235:1 gene:Vigun04g147850.v1.2 transcript:Vigun04g147850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSHPYKCEKCGKKFTSKHGLAIHKGLSWKCDGVKCDLPPKSFVCGTCGKAFDSDRQLNGHMTFHKKHRAGSSSHANLHLDLNQPPNY >Vigun04g011600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:848112:849463:1 gene:Vigun04g011600.v1.2 transcript:Vigun04g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRSGGLLSNGGTGYDPYHVQLGRYTSISLSERQVMRQQNGGLLEMWNMTYEVGASTCALQLTIALDNTKKVKGIYLQLRSLIRRSCGAVAEFDFVLDSRTIDGDADYFLVYPQPISGFYSPVTHYENKKFNSKSGTVTDIDMYMYGEGQKGGLILLERKKKSEQQPPFEVIMAHYHVTSSGINPHKMRPDFGLSMFAKIRSIRGSLTITVDGPEQHPSSALLYMFDEVIRHAYWHRDMCPHCAKHPKKQNGELCESEESEGGSGKDNSRLISNGGKFGGDGNGNFYERNTFNNMIYPH >Vigun07g052800.1.v1.2 pep primary_assembly:ASM411807v1:7:5576942:5581339:1 gene:Vigun07g052800.v1.2 transcript:Vigun07g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRTFSHFFTIVASLSTLHLLPSSSFNPRNISFASYTFYSDWSHALATWYGPAQGDGSEGGACGYGSSVGEPPFSSMISAGSPLLYDSGKGCGSCYEVKCTGNNGCSGNPVRVVITDACPGCDSDAEYHFDLSGSAFGAMAVSGQDEKLRNAGKINIQYRRVECSYPGVSIAFRVDSGSNDEYFAILIEYEGGDGDLGKVELKEEDSGAWYTMERSWGAVWKLDKGSPLNAPFSIRLTTLKSHSTIVANNVIPKGWTLAHTYRSIVNF >Vigun06g169700.1.v1.2 pep primary_assembly:ASM411807v1:6:29125255:29131384:-1 gene:Vigun06g169700.v1.2 transcript:Vigun06g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFYQNRFHRKACGDRLWRFQILREKDFKQIINKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHLGGCQFFIPILIISVYSTGNLDSVIPEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKSACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDSAYFLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQGINTQCWDVGFTVQALLATGLIDDIGPTLEKAHDFIKKSQVAENRSGDFKSMFHHISKGAWTLAERDHGLQVSDGTAECLKCCLLLSMLPEEIVGEKLEPEKLYEPVNFILSLQSKNGGVTVWEPALGQKWLENLNPAEFLADIVIEHEHIECTGTTIQALVLFKKLYPKHKKEEIDNFIMKATQYIEDQQLPNGSWHADWGVCFTYTSWFALGALAAAGNTYSNCVAICKAVKFLLSIQNDDGGWGESYLSCSMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCVLHYPFYRNYFPIWALSEYRNNVLVHSTSV >Vigun06g169700.2.v1.2 pep primary_assembly:ASM411807v1:6:29125255:29131455:-1 gene:Vigun06g169700.v1.2 transcript:Vigun06g169700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFYQNRFHRKACGDRLWRFQILREKDFKQIINKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHLGGCQFFIPILIISVYSTGNLDSVIPEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKSACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDSAYFLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQGINTQCWDVGFTVQALLATGLIDDIGPTLEKAHDFIKKSQVAENRSGDFKSMFHHISKGAWTLAERDHGLQVSDGTAECLKCCLLLSMLPEEIVGEKLEPEKLYEPVNFILSLQSKNGGVTVWEPALGQKWLENLNPAEFLADIVIEHEHIECTGTTIQALVLFKKLYPKHKKEEIDNFIMKATQYIEDQQLPNGSWHADWGVCFTYTSWFALGALAAAGNTYSNCVAICKAVKFLLSIQNDDGGWGESYLSCSMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCVLHYPFYRNYFPIWALSEYRNNVLVHSTSV >Vigun01g159800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34145097:34146206:1 gene:Vigun01g159800.v1.2 transcript:Vigun01g159800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKGFLSKVSSMFASSSSDLEAKAIEGGDMELDEAEVWNWNSTNTVTESKKWSRSGKKGFKKVEGGGGGGRVNPVASSSMPVNIPDWSKILKENYEEHENRDSASGDDDDDDNDGGERVPPHEYLARNRGASLSVHEGKGRTLKGRDLRSVRNAIWKKIGFED >Vigun01g159800.1.v1.2 pep primary_assembly:ASM411807v1:1:34145106:34146267:1 gene:Vigun01g159800.v1.2 transcript:Vigun01g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKGFLSKVSSMFASSSSDLEAKAIEGGDMELDEAEVWNWNSTNTVTESKKWSRSGKKGFKKVEGGGGGGRVNPVASSSMPVNIPDWSKILKENYEEHENRDSASGDDDDDDNDGGERVPPHEYLARNRGASLSVHEGKGRTLKGT >Vigun03g013300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:901942:902334:1 gene:Vigun03g013300.v1.2 transcript:Vigun03g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAAAEAIMVILLTIPGLDALRKGLIAVTRNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEGESCTPSEHLRHQKSIMKSQRNALLIASALLFYWLLYSVTNLVVKIEQLNQRLERLKNRD >Vigun03g449700.1.v1.2 pep primary_assembly:ASM411807v1:3:65076799:65080547:-1 gene:Vigun03g449700.v1.2 transcript:Vigun03g449700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSVRCYTCSPSSSFFLRKAPSPVRFRLKFRFRFRINALFGDWGLGNRKNSLSFSSTHQHQFTFSLTDTDTVRVSVLSSISDVPAKEWDACAVDASGPHSFNPFLSHAFLSALEHSASAVRETGWMPHHIVAKDQANNILAVVPLYLKTHSYGEFVFDHSWANAYHSYGYKYYPKLQSCVPFTPVTGSRILLRNTSFKDQIFDIVVSAMKDLTVNSQLSSLHVTFPSENEWLKLTQKGFLPRIGMQYHWKNRDYKNFDDFLKDMKQSKRKNIRQERKKISAQNLIMKRLRGHEIKARHWDSFYTFYRNTTDNKWGTPHLTREFFHELGSKMGDNVLLVVAEEGDELVAGALNLIGGDTLFGRLWGCLPRSYYPSLHFEACYYQAIEAAIELNLRKVEAGAQGEHKIQRGYLPVTTYSCHYLIDEEFRGAIQDFLEREASQVKLVIKLLHESGPFKEGIV >Vigun11g151000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36153223:36157168:1 gene:Vigun11g151000.v1.2 transcript:Vigun11g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNITFIYLSPRTIVVLHLFVFAITLRHAKTDVVTEVHAPAPTITFFMHDIIGGSAPSERIVAGTIVDTQTAKLPFSKPNNRIFPFKGAIPLVDTNTVTNPTHSSTTMVIKNIDKNKVVIDSNPLGALLDKFLFGRITVIDDEITQGHEFGSEVIGKAQGFHLTSSLDGSSRTMAFTVVFGGEGDEEEDGVSFFGVHRTATKESHIAVVGGTGKYDNAKGYAKIQTVPSPEQHITNGFETLLQITVYIA >Vigun11g052600.1.v1.2 pep primary_assembly:ASM411807v1:11:9155285:9156370:1 gene:Vigun11g052600.v1.2 transcript:Vigun11g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCIQIQTKSMPASKPVLKQHMMEQNNRVPRIHEFSSPPSPPFFSCIVALSIAALIFMFFYVFSPIVSAAILLLLCVTTLFLCRFTQTFSFHAHTPPKNHRVVKIFNNLFWVVEEKRRGQTQQVKKLLGSIVCFGNQTLLSGSECAICLEEFKNGEECVVFSVCGHIFHCDCIKHWLEEKPTCPNCRLCVASSATVFRRRSIEFSEDLV >Vigun03g294600.1.v1.2 pep primary_assembly:ASM411807v1:3:48052425:48054699:1 gene:Vigun03g294600.v1.2 transcript:Vigun03g294600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPGYFRYCLNTGKLLLLAVLVSGGVVLHILACALYKNWWPMLTAITYVILPIPLLFFAGSERSSVFSESDNTWMNATKFLSGASAVGSIAIPAILKHAGVISWGALAMELSSFFVFVSAIMCYIRMNDEDDYSVL >Vigun03g294600.2.v1.2 pep primary_assembly:ASM411807v1:3:48052803:48054642:1 gene:Vigun03g294600.v1.2 transcript:Vigun03g294600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPGYFRYCLNTGKLLLLAVLVSGGVVLHILACALYKNWWPMLTAITYVILPIPLLFFAGSERSSVFSESDNTWMNATKFLSGASAVGSIAIPAILKHAGVISWGALAMELSSFFVFVSAIMCYIRMNDEDDYSVL >Vigun03g294600.3.v1.2 pep primary_assembly:ASM411807v1:3:48052803:48054642:1 gene:Vigun03g294600.v1.2 transcript:Vigun03g294600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPGYFRYCLNTGKLLLLAVLVSGGVVLHILACALYKNWWPMLTAITYVILPIPLLFFAGSERSSVFSESDNTWMNATKFLSGASAVGSIAIPAILKHAGVISWGALAMELSSFFVFVSAIMCYIRMNDEDDYSVL >Vigun03g294600.4.v1.2 pep primary_assembly:ASM411807v1:3:48052461:48054623:1 gene:Vigun03g294600.v1.2 transcript:Vigun03g294600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPGYFRYCLNTGKLLLLAVLVSGGVVLHILACALYKNWWPMLTAITYVILPIPLLFFAGSERSSVFSESDNTWMNATKFLSGASAVGSIAIPAILKHAGVISWGALAMELSSFFVFVSAIMCYIRMNDEDDYSVL >Vigun06g123000.4.v1.2 pep primary_assembly:ASM411807v1:6:25048390:25055794:1 gene:Vigun06g123000.v1.2 transcript:Vigun06g123000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGCAQPPSGILPNGLLPNEAASVMRVLDMERWLKAEERTAELIACIQPNPPSEERRHAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQTLKDAWAHQVHDMLQNEEKDENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPAGQENQGQPFTSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLDCPKEDLFLEVNQFFMNTWVRHGTGQRPDALSNDLWRLTLSSHDQSRGSDNLLKNSNKTENTCNHEFQVKGVHASQSVLSQHSILSSKSLSKSSGDSTANQNNAKNFEQVKREANCNQGARVDKGQRNAKPKSAFNDVPGRLLFARTCSSPELTDSYGEIPRQGRHAKAPESGKGPTSSATLENSHRKHLESDMPASYTVRIDDSSGRKIISHQVPDSGADSNNGSNSYDNESGHGIVGEEFASVAGVGGTHMMHQEEQDLLNMMTSPTTEGFSGQNQIPVNLAPGHIPLPLSPSILASMGYAHRNVGNIPLIESFNWGTNVQFPQGLVPSPWTPYFPGMGLTSNPEDLIETGNENFNTVEMGPAEADKDFWHEQERAAAGGIDVDNVNFEMVPDDKRQSTSGGYNFIPSSHVGSSSNSAILQPTFTKENRVSTKEEHIDSPKYQDGRGNGAYFDERIANPRLPSEPSSSSFRSKTSSESSWEGPSPKSSKSTREKRGKKNTSSVTSAAYGKGKNVSDISSNLVDDENRQWTPLSTTTSDMSDRSSGPLAVAPMHVPRQPVSGSEVVRTSGSDSVSPMAPMLIGHGSRQRTGDNSGVVPFAFYPTGPPVPFVTMLPLYNFPTTQSSHTPASNFHVEDADNNDRGQSFDPSEVHDLPEVLSSSNSMGRAAIDTVEPKLDILNGDFVSHWQNLQYGRICQNSRHPDSVNYPSSVVVPPVYLQSRFPWDGPGRPQSANVNLFTQLMNYGPHLVPVAPLHSVSNRPANIYQRFIDEIPRYRSGTGTYLPNPKVSVRDRHSTSTRRGNHNYDRSDQHGDREGNWNVNSKSRTSGRSHYRSQAERSSSRPEKLATSESHSERPWGSHRPDSFVSHQSGPVRLNSSQSNLSNLAYGMYSLPGLRPSGISSNGPTIPPVVMWYSYDHNAGYSTPAEQLEFGTLGPMGFPGVNEVSLINEGSQPGGAFEEQRFNGGTAQQSSPDQPASPKFRRGL >Vigun06g123000.3.v1.2 pep primary_assembly:ASM411807v1:6:25048390:25055794:1 gene:Vigun06g123000.v1.2 transcript:Vigun06g123000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGCAQPPSGILPNGLLPNEAASVMRVLDMERWLKAEERTAELIACIQPNPPSEERRHAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQTLKDAWAHQVHDMLQNEEKDENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPAGQENQGQPFTSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLDCPKEDLFLEVNQFFMNTWVRHGTGQRPDALSNDLWRLTLSSHDQSRGSDNLLKNSNKTENTCNHEFQVKGVHASQSVLSQHSILSSKSLSKSSGDSTANQNNAKNFEQVKREANCNQGARVDKGQRNAKPKSAFNDVPGRLLFARTCSSPELTDSYGEIPRQGRHAKAPESGKGPTSSATLENSHRKHLESDMPASYTVRIDDSSGRKIISHQVPDSGADSNNGSNSYDNESGHGIVGEEFASVAGVGGTHMMHQEEQDLLNMMTSPTTEGFSGQNQIPVNLAPGHIPLPLSPSILASMGYAHRNVGNIPLIESFNWGTNVQFPQGLVPSPWTPYFPGMGLTSNPEDLIETGNENFNTVEMGPAEADKDFWHEQERAAAGGIDVDNVNFEMVPDDKRQSTSGGYNFIPSSHVGSSSNSAILQPTFTKENRVSTKEEHIDSPKYQDGRGNGAYFDERIANPRLPSEPSSSSFRSKTSSESSWEGPSPKSSKSTREKRGKKNTSSVTSAAYGKGKNVSDISSNLVDDENRQWTPLSTTTSDMSDRSSGPLAVAPMHVPRQPVSGSEVVRTSGSDSVSPMAPMLIGHGSRQRTGDNSGVVPFAFYPTGPPVPFVTMLPLYNFPTTQSSHTPASNFHVEDADNNDRGQSFDPSEVHDLPEVLSSSNSMGRAAIDTVEPKLDILNGDFVSHWQNLQYGRICQNSRHPDSVNYPSSVVVPPVYLQSRFPWDGPGRPQSANVNLFTQLMNYGPHLVPVAPLHSVSNRPANIYQRFIDEIPRYRSGTGTYLPNPKVSVRDRHSTSTRRGNHNYDRSDQHGDREGNWNVNSKSRTSGRSHYRSQAERSSSRPEKLATSESHSERPWGSHRPDSFVSHQSGPVRLNSSQSNLSNLAYGMYSLPGLRPSGISSNGPTIPPVVMWYSYDHNAGYSTPAEQLEFGTLGPMGFPGVNEVSLINEGSQPGGAFEEQRFNGGTAQQSSPDQPASPKFRRGL >Vigun06g123000.2.v1.2 pep primary_assembly:ASM411807v1:6:25048390:25055794:1 gene:Vigun06g123000.v1.2 transcript:Vigun06g123000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGCAQPPSGILPNGLLPNEAASVMRVLDMERWLKAEERTAELIACIQPNPPSEERRHAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQTLKDAWAHQVHDMLQNEEKDENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPAGQENQGQPFTSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLDCPKEDLFLEVNQFFMNTWVRHGTGQRPDALSNDLWRLTLSSHDQSRGSDNLLKNSNKTENTCNHEFQVKGVHASQSVLSQHSILSSKSLSKSSGDSTANQNNAKNFEQVKREANCNQGARVDKGQRNAKPKSAFNDVPGRLLFARTCSSPELTDSYGEIPRQGRHAKAPESGKGPTSSATLENSHRKHLESDMPASYTVRIDDSSGRKIISHQVPDSGADSNNGSNSYDNESGHGIVGEEFASVAGVGGTHMMHQEEQDLLNMMTSPTTEGFSGQNQIPVNLAPGHIPLPLSPSILASMGYAHRNVGNIPLIESFNWGTNVQFPQGLVPSPWTPYFPGMGLTSNPEDLIETGNENFNTVEMGPAEADKDFWHEQERAAAGGIDVDNVNFEMVPDDKRQSTSGGYNFIPSSHVGSSSNSAILQPTFTKENRVSTKEEHIDSPKYQDGRGNGAYFDERIANPRLPSEPSSSSFRSKTSSESSWEGPSPKSSKSTREKRGKKNTSSVTSAAYGKGKNVSDISSNLVDDENRQWTPLSTTTSDMSDRSSGPLAVAPMHVPRQPVSGSEVVRTSGSDSVSPMAPMLIGHGSRQRTGDNSGVVPFAFYPTGPPVPFVTMLPLYNFPTTQSSHTPASNFHVEDADNNDRGQSFDPSEVHDLPEVLSSSNSMGRAAIDTVEPKLDILNGDFVSHWQNLQYGRICQNSRHPDSVNYPSSVVVPPVYLQSRFPWDGPGRPQSANVNLFTQLMNYGPHLVPVAPLHSVSNRPANIYQRFIDEIPRYRSGTGTYLPNPVSVRDRHSTSTRRGNHNYDRSDQHGDREGNWNVNSKSRTSGRSHYRSQAERSSSRPEKLATSESHSERPWGSHRPDSFVSHQSGPVRLNSSQSNLSNLAYGMYSLPGLRPSGISSNGPTIPPVVMWYSYDHNAGYSTPAEQLEFGTLGPMGFPGVNEVSLINEGSQPGGAFEEQRFNGGTAQQSSPDQPASPKFRRGL >Vigun06g123000.1.v1.2 pep primary_assembly:ASM411807v1:6:25048390:25055794:1 gene:Vigun06g123000.v1.2 transcript:Vigun06g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGCAQPPSGILPNGLLPNEAASVMRVLDMERWLKAEERTAELIACIQPNPPSEERRHAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQTLKDAWAHQVHDMLQNEEKDENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPAGQENQGQPFTSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLAKLLDCPKEDLFLEVNQFFMNTWVRHGTGQRPDALSNDLWRLTLSSHDQSRGSDNLLKNSNKTENTCNHEFQVKGVHASQSVLSQHSILSSKSLSKSSGDSTANQNNAKNFEQVKREANCNQGARVDKGQRNAKPKSAFNDVPGRLLFARTCSSPELTDSYGEIPRQGRHAKAPESGKGPTSSATLENSHRKHLESDMPASYTVRIDDSSGRKIISHQVPDSGADSNNGSNSYDNESGHGIVGEEFASVAGVGGTHMMHQEEQDLLNMMTSPTTEGFSGQNQIPVNLAPGHIPLPLSPSILASMGYAHRNVGNIPLIESFNWGTNVQFPQGLVPSPWTPYFPGMGLTSNPEDLIETGNENFNTVEMGPAEADKDFWHEQERAAAGGIDVDNVNFEMVPDDKRQSTSGGYNFIPSSHVGSSSNSAILQPTFTKENRVSTKEEHIDSPKYQDGRGNGAYFDERIANPRLPSEPSSSSFRSKTSSESSWEGPSPKSSKSTREKRGKKNTSSVTSAAYGKGKNVSDISSNLVDDENRQWTPLSTTTSDMSDRSSGPLAVAPMHVPRQPVSGSEVVRTSGSDSVSPMAPMLIGHGSRQRTGDNSGVVPFAFYPTGPPVPFVTMLPLYNFPTTQSSHTPASNFHVEDADNNDRGQSFDPSEVHDLPEVLSSSNSMGRAAIDTVEPKLDILNGDFVSHWQNLQYGRICQNSRHPDSVNYPSSVVVPPVYLQSRFPWDGPGRPQSANVNLFTQLMNYGPHLVPVAPLHSVSNRPANIYQRFIDEIPRYRSGTGTYLPNPKVSVRDRHSTSTRRGNHNYDRSDQHGDREGNWNVNSKSRTSGRSHYRSQAERSSSRPEKLATSESHSERPWGSHRPDSFVSHQSGPVRLNSSQSNLSNLAYGMYSLPGLRPSGISSNGPTIPPVVMWYSYDHNAGYSTPAEQLEFGTLGPMGFPGVNEVSLINEGSQPGGAFEEQRFNGGTAQQSSPDQPASPKFRRSVVRWNY >Vigun10g022600.1.v1.2 pep primary_assembly:ASM411807v1:10:2661799:2666013:1 gene:Vigun10g022600.v1.2 transcript:Vigun10g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFDKLDGKEWRKRQIRRITDRVFEKVQNQKESDKLSFEDLYIAVLLVYNDINKYIPGPHFDPPSKARVIELKEKCDINLDGDIDREEFFDFILQMTADTFTVVSQKLIVTLVVAPTVAVATKKATEGVPCVGKVVKKIPNSVYASLVTIAAVWFQKKAQSSSL >Vigun02g077500.2.v1.2 pep primary_assembly:ASM411807v1:2:22994462:22999992:1 gene:Vigun02g077500.v1.2 transcript:Vigun02g077500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSSNGTPMSDSVTASAPSQSSSQQSSDDAESPPPSEPPPLQSSPSSPLPSPSISPPPPPPEMSSPPPTVSPPEYSPPPAPENSPPPSTPVDSSPPPTPSTSPPPTASTSPPPTPSTSPPPSTSPPPSTSPPPTSSTSPPPTPSPSPPPPDQSSPPPTRSPPPPSPRRSAPPPSLTPPPRSQPSPSPPPSPSPPPPQPSKSPPPPPPQNSPSSPQPYVAPPQFLSPPTPTATPPSSAFRITLGRADLQTPAASAPPSQLRPSPPELPPRILPTLPPIFHLSAPPKSSLTPPPAVTPSPSGNNTTGQIVGLTLAGVFVIAFIVLVIFFLLRNKRENNHVVPSPGTSNLRGAGTSGDVLYYVEEPGFGSGAQQGGMHIRTPSDPAFSGGQLVFTYEKIAEITNGFSRENIIGEGGFGYVYKASMPDGRVGALKTLKAGSGQGEREFRAEVDTISRIHHRHLVSLIGYCIAEQQRALIYEFVPNGNLNQHLQGTEFPVLDWSKRMKIAIGAARGLTYLHDGCNPKIIHRDIKSANILLDNAYEAQVADFGLAKLTDDTNTHVSTRVMGTFGFNLQVHGSRVCNKWKING >Vigun02g077500.4.v1.2 pep primary_assembly:ASM411807v1:2:22994462:22999992:1 gene:Vigun02g077500.v1.2 transcript:Vigun02g077500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSSNGTPMSDSVTASAPSQSSSQQSSDDAESPPPSEPPPLQSSPSSPLPSPSISPPPPPPEMSSPPPTVSPPEYSPPPAPENSPPPSTPVDSSPPPTPSTSPPPTASTSPPPTPSTSPPPSTSPPPSTSPPPTSSTSPPPTPSPSPPPPDQSSPPPTRSPPPPSPRRSAPPPSLTPPPRSQPSPSPPPSPSPPPPQPSKSPPPPPPQNSPSSPQPYVAPPQFLSPPTPTATPPSSAFRITLGRADLQTPAASAPPSQLRPSPPELPPRILPTLPPIFHLSAPPKSSLTPPPAVTPSPSGNNTTGQIVGLTLAGVFVIAFIVLVIFFLLRNKRENNHVVPSPGTSNLRGAGTSGDVLYYVEEPGFGSGAQQGGMHIRTPSDPAFSGGQLVFTYEKIAEITNGFSRENIIGEGGFGYVYKASMPDGRVGALKTLKAGSGQGEREFRAEVDTISRIHHRHLVSLIGYCIAEQQRALIYEFVPNGNLNQHLQGTEFPVLDWSKRMKIAIGAARGLTYLHDGSSICRYMAPEYATSGKLTDRSDVFSFGVVLLELISGRKPVDPTQPLGEESLVEWARPLLLRTLETGEFGELVDPKLQQQYIEKEMLKMTEAAAACVRHSAQKRPRMVQVLRSLDNGDQLYDLSNGVKYGQSTVYHSGQYNEDIARFRKMVNGSFDDSDFDNLSSEFRSTASKEMVEHNSARMSPSASNSDSEFKDLHHQRSHTQNMS >Vigun02g077500.1.v1.2 pep primary_assembly:ASM411807v1:2:22994462:22999992:1 gene:Vigun02g077500.v1.2 transcript:Vigun02g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSSNGTPMSDSVTASAPSQSSSQQSSDDAESPPPSEPPPLQSSPSSPLPSPSISPPPPPPEMSSPPPTVSPPEYSPPPAPENSPPPSTPVDSSPPPTPSTSPPPTASTSPPPTPSTSPPPSTSPPPSTSPPPTSSTSPPPTPSPSPPPPDQSSPPPTRSPPPPSPRRSAPPPSLTPPPRSQPSPSPPPSPSPPPPQPSKSPPPPPPQNSPSSPQPYVAPPQFLSPPTPTATPPSSAFRITLGRADLQTPAASAPPSQLRPSPPELPPRILPTLPPIFHLSAPPKSSLTPPPAVTPSPSGNNTTGQIVGLTLAGVFVIAFIVLVIFFLLRNKRENNHVVPSPGTSNLRGAGTSGDVLYYVEEPGFGSGAQQGGMHIRTPSDPAFSGGQLVFTYEKIAEITNGFSRENIIGEGGFGYVYKASMPDGRVGALKTLKAGSGQGEREFRAEVDTISRIHHRHLVSLIGYCIAEQQRALIYEFVPNGNLNQHLQGTEFPVLDWSKRMKIAIGAARGLTYLHDGCNPKIIHRDIKSANILLDNAYEAQVADFGLAKLTDDTNTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELISGRKPVDPTQPLGEESLVEWARPLLLRTLETGEFGELVDPKLQQQYIEKEMLKMTEAAAACVRHSAQKRPRMVQVLRSLDNGDQLYDLSNGVKYGQSTVYHSGQYNEDIARFRKMVNGSFDDSDFDNLSSEFRSTASKEMVEHNSARMSPSASNSDSEFKDLHHQRSHTQNMS >Vigun02g077500.3.v1.2 pep primary_assembly:ASM411807v1:2:22994462:22999992:1 gene:Vigun02g077500.v1.2 transcript:Vigun02g077500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSSNGTPMSDSVTASAPSQSSSQQSSDDAESPPPSEPPPLQSSPSSPLPSPSISPPPPPPEMSSPPPTVSPPEYSPPPAPENSPPPSTPVDSSPPPTPSTSPPPTASTSPPPTPSTSPPPSTSPPPSTSPPPTSSTSPPPTPSPSPPPPDQSSPPPTRSPPPPSPRRSAPPPSLTPPPRSQPSPSPPPSPSPPPPQPSKSPPPPPPQNSPSSPQPYVAPPQFLSPPTPTATPPSSAFRITLGRADLQTPAASAPPSQLRPSPPELPPRILPTLPPIFHLSAPPKSSLTPPPAVTPSPSGNNTTGQIVGLTLAGVFVIAFIVLVIFFLLRNKRENNHVVPSPGTSNLRGAGTSGDVLYYVEEPGFGSGAQQGGMHIRTPSDPAFSGGQLVFTYEKIAEITNGFSRENIIGEGGFGYVYKASMPDGRVGALKTLKAGSGQGEREFRAEVDTISRIHHRHLVSLIGYCIAEQQRALIYEFVPNGNLNQHLQGTEFPVLDWSKRMKIAIGAARGLTYLHDGCTWLQSMQQVEN >Vigun11g218800.2.v1.2 pep primary_assembly:ASM411807v1:11:41150586:41162219:-1 gene:Vigun11g218800.v1.2 transcript:Vigun11g218800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALLGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYISHFFTAIMGAEWWAQPFGKSLPFLSWLPYLEGIPTFKAVLCLMIVFGVTPTVTCNVTNVYKIVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVVIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun11g218800.1.v1.2 pep primary_assembly:ASM411807v1:11:41150586:41162219:-1 gene:Vigun11g218800.v1.2 transcript:Vigun11g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHKYKYSGVDHSYVAKYVLQPFWTRFVNFFPLWMPPNMITLMGFMFLLVSALLGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYISHFFTAIMGAEWWAQPFGKSLPFLSWLPYLEGIPTFKAVLCLMIVFGVTPTVTCNVTNVYKIVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVVIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun11g218800.3.v1.2 pep primary_assembly:ASM411807v1:11:41150586:41159999:-1 gene:Vigun11g218800.v1.2 transcript:Vigun11g218800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWHSSNLRSPNMITLMGFMFLLVSALLGYIYSPHLDSAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESLAFGSTAMCGRGTFWWWLISAITFYGATWEHYFTNTLILPVINGPTEGLMIIYISHFFTAIMGAEWWAQPFGKSLPFLSWLPYLEGIPTFKAVLCLMIVFGVTPTVTCNVTNVYKIVKARNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIMGRYPHLVVIGTGLTFGYLVGRMILAHLCDEPKGLKTGMCMSLVYLPLAIANVLASRLNDGVPLLDERLVLLGYCAFSVALYLHFATSVIHEITNALGIYCFRITRKEA >Vigun04g102775.1.v1.2 pep primary_assembly:ASM411807v1:4:23800184:23800642:-1 gene:Vigun04g102775.v1.2 transcript:Vigun04g102775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLDLPTESAAYVRKRRFKRLELQGSTNTCIVQCKLLVRNSPKKSTKIGKGWKDFCIFNRLKEEDVIVFPAHNQMRKKKIKLYVKKEFSF >Vigun03g250200.1.v1.2 pep primary_assembly:ASM411807v1:3:41630351:41634593:1 gene:Vigun03g250200.v1.2 transcript:Vigun03g250200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSSSSPPPNPPNYSGSTSNVGFSATTSSFGKSQFSEIASGSIDSEGSLPFCSPDGQILERPNLKEFSFGDLKSAAKSFKGDTLLGEGGFGRVYKGWLDEKNLTPAKPGSGMVVAIKKLNPESTQGFQEWKSEVNFLGRLSHPNLVKLLGYCWDEDELLLVYEFMPKGSLENHLFRRNPNIEPLSWNTRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDGNYNAKISDFGLAKLGPSGGQSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTGMRALDTRRPTGQQNLVEWTKPCLSSKKKLKTIMDAKIQGQYSPKAAFQAAQLTLKCLEHDPKQRPSMKEVLEGLEAIETIHEKSKESKTRNSHHISHQHPRQRVVRV >Vigun06g042300.2.v1.2 pep primary_assembly:ASM411807v1:6:16461500:16467976:-1 gene:Vigun06g042300.v1.2 transcript:Vigun06g042300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSPISSSFTFANPSSLPRPRNSVCCSLSPRAMAKELYFNHDGSATKKLLAGVDMVAELLGVTLGPKGRNVVLPNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAHGLIREGTKVIAAGMNPVQIARGIEKTATALVSELSSMSREVEDHELADVAAVSAGNDYAVGNMISEALHKVGKRGVVTIETGKSTENSLEIVEGMQFDRGYLSPYFVNNRRKMTVELHNCKLLLVDKKITNPKELINILNNSAKEKYPIVIVAEDIEQEALAPVIKNKLRGALKVAAIKAPAFGERKTHCLEDIAILTGGTVIREDMGFTLEKATKDVLGSATKVVITKNSTLIVTDGSTRSLVEKRVHQLKKLVENTVDKFPKKILNERIARLSGCIAIIQVGAQTQVELKDKQLRIEDALNATKAAIDEGVVVGGGCSLLRLSKKVDAIKLLLDNEEQKVLLEDNMNFGYNAAKDCYEDLMKARIMDPTKVVRCCIEHSASVAKSFLTSNAVVIERKELQPITLPPRKAMMATPNLPISKDLAFSKPLPMSKDLAMSKGFLPKGF >Vigun06g042300.1.v1.2 pep primary_assembly:ASM411807v1:6:16461500:16467976:-1 gene:Vigun06g042300.v1.2 transcript:Vigun06g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSPISSSFTFANPSSLPRPRNSVCCSLSPRAMAKELYFNHDGSATKKLLAGVDMVAELLGVTLGPKGRNVVLPNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAHGLIREGTKVIAAGMNPVQIARGIEKTATALVSELSSMSREVEDHELADVAAVSAGNDYAVGNMISEALHKVGKRGVVTIETGKSTENSLEIVEGMQFDRGYLSPYFVNNRRKMTVELHNCKLLLVDKKITNPKELINILNNSAKEKYPIVIVAEDIEQEALAPVIKNKLRGALKVAAIKAPAFGERKTHCLEDIAILTGGTVIREDMGFTLEKATKDVLGSATKVVITKNSTLIVTDGSTRSLVEKRVHQLKKLVENTVDKFPKKILNERIARLSGCIAIIQVGAQTQVELKDKQLRIEDALNATKAAIDEGVVVGGGCSLLRLSKKVDAIKLLLDNEEQKIGAEIFRRALSYPARLIAKNAGVNGNVVINKVLLEDNMNFGYNAAKDCYEDLMKARIMDPTKVVRCCIEHSASVAKSFLTSNAVVIERKELQPITLPPRKAMMATPNLPISKDLAFSKPLPMSKDLAMSKGFLPKGF >Vigun06g042300.3.v1.2 pep primary_assembly:ASM411807v1:6:16460460:16467976:-1 gene:Vigun06g042300.v1.2 transcript:Vigun06g042300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSPISSSFTFANPSSLPRPRNSVCCSLSPRAMAKELYFNHDGSATKKLLAGVDMVAELLGVTLGPKGRNVVLPNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAHGLIREGTKVIAAGMNPVQIARGIEKTATALVSELSSMSREVEDHELADVAAVSAGNDYAVGNMISEALHKVGKRGVVTIETGKSTENSLEIVEGMQFDRGYLSPYFVNNRRKMTVELHNCKLLLVDKKITNPKELINILNNSAKEKYPIVIVAEDIEQEALAPVIKNKLRGALKVAAIKAPAFGERKTHCLEDIAILTGGTVIREDMGFTLEKATKDVLGSATKVVITKNSTLIVTDGSTRSLVEKRVHQLKKLVENTVDKFPKKILNERIARLSGCIAIIQVGAQTQVELKDKQLRIEDALNATKAAIDEGVVVGGGCSLLRLSKKVDAIKLLLDNEEQKIGAEIFRRALSYPARLIAKNAGVNGNVVINKVLLEDNMNFGYNAAKDCYEDLMKARIMDPTKVCG >Vigun05g018500.4.v1.2 pep primary_assembly:ASM411807v1:5:1497916:1504589:-1 gene:Vigun05g018500.v1.2 transcript:Vigun05g018500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MDFSSTSISHFRVSANSFLSQYEPLALLLVPLLSLFLAHSLRSFLRLLSENGLKATLLGFIMNAVKLVPGVKGYIDAEKQKVVDKLQSDSKSKREGWNTELPSTGLGTSVLEKMSEEKNNDPVWQGKCSGTVYIGGSESDGHFSVINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKENSSGGQICGNMTSGGTESILLAVKTSRDYMKSKKGITRPEMIIPLSGHSAYDKAAQYFNIKLWRVPVDKNFQADVKAIRRHINKNTILIVGSAPGFPHGIIDPIEELGHLASSFGICFHVDLCLGGFVLPFARELGYPIPPFDFSVKGVSSISVDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMISLGKEGYLRNTKAIMEGSRRIQKGIEEIAELFIVGKPDMTIVAFGSDVLDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVPIVEDFLNDLKESVKTVSCFPKWNPSNK >Vigun05g018500.1.v1.2 pep primary_assembly:ASM411807v1:5:1497916:1504589:-1 gene:Vigun05g018500.v1.2 transcript:Vigun05g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MDFSSTSISHFRVSANSFLSQYEPLALLLVPLLSLFLAHSLRSFLRLLSENGLKATLLGFIMNAVKLVPGVKGYIDAEKQKVVDKLQSDSKSKREGWNTELPSTGLGTSVLEKMSEEKNNDPVWQGKCSGTVYIGGSESDGHFSVINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKENSSGGQICGNMTSGGTESILLAVKTSRDYMKSKKGITRPEMIIPLSGHSAYDKAAQYFNIKLWRVPVDKNFQADVKAIRRHINKNTILIVGSAPGFPHGIIDPIEELGHLASSFGICFHVDLCLGGFVLPFARELGYPIPPFDFSVKGVSSISVDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMISLGKEGYLRNTKAIMEGSRRIQKGIEEIAELFIVGKPDMTIVAFGSDVLDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVPIVEDFLNDLKESVKTVKANPGPISGGLAPIYGAAGKMPDRGMVQELLVDYMDGTC >Vigun05g018500.2.v1.2 pep primary_assembly:ASM411807v1:5:1497916:1504589:-1 gene:Vigun05g018500.v1.2 transcript:Vigun05g018500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MLRYIGGSESDGHFSVINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKENSSGGQICGNMTSGGTESILLAVKTSRDYMKSKKGITRPEMIIPLSGHSAYDKAAQYFNIKLWRVPVDKNFQADVKAIRRHINKNTILIVGSAPGFPHGIIDPIEELGHLASSFGICFHVDLCLGGFVLPFARELGYPIPPFDFSVKGVSSISVDVHKYGLAPKGTSIVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMISLGKEGYLRNTKAIMEGSRRIQKGIEEIAELFIVGKPDMTIVAFGSDVLDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVPIVEDFLNDLKESVKTVKANPGPISGGLAPIYGAAGKMPDRGMVQELLVDYMDGTC >Vigun02g091600.1.v1.2 pep primary_assembly:ASM411807v1:2:24685937:24689349:1 gene:Vigun02g091600.v1.2 transcript:Vigun02g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENHDTAVREIKPKNRRIMGAGGPDDEDNRWPPWLKPLLKESFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLAHHKDHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSYRFCSLGCKIVGTSKNQQKKKKQSTAMSSDSEDSYSSNSVHGLQKNCKVQSFTPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >Vigun10g096700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28412842:28413512:-1 gene:Vigun10g096700.v1.2 transcript:Vigun10g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun05g299900.1.v1.2 pep primary_assembly:ASM411807v1:5:48386223:48389856:1 gene:Vigun05g299900.v1.2 transcript:Vigun05g299900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARKGHKSFKPLLVVASITFPILIIFYVTHQNSIFDIFQDVNLMIEGFHNTTTNISESVVNKDAKNNTFEDEKNDKKLFGGLLVSSFDEASCISRFQSYLYRRPSPYKPSEYLISRLRNYESLHQSCGPHTKSYNEIIREGANFSKAGVDSKCKYLVWTAIEGLGNRMISLVSTFLYAILTDRVLLVRFENDMLDLFCEPFPNSSWLLPKNSTYWNDLRQFKTCENVFENNKENNSHTVLFLDLMNNNVRVTNRFHCDQNQDLLQNIPVVILRSNEYFVPSLFLTSSFRQEFNKMFPDKDTTFHLLGRYLFHPSNVVWKRIQNVYDSHLAKENERIGLQIRIYNTRQTPEETIINETISCLQQNKLLPQLNIQSSMSLNLEKNTSKAVLVVSLYSRYGERLKSIYENNTSLSREVVKVYQPSHEEHQNSGDNIHNIKAWSEIYLLGLCDALITSPTSTFGYVAHSLGGMKPLVLQSIYGRTIPNLPCQRLKYMEPCFHDPPNYDCTTNTNVDFTSLFNLRRCEDQSRGMKIINS >Vigun05g299900.2.v1.2 pep primary_assembly:ASM411807v1:5:48386289:48389770:1 gene:Vigun05g299900.v1.2 transcript:Vigun05g299900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARKGHKSFKPLLVVASITFPILIIFYVTHQNSIFDIFQDVNLMIEGFHNTTTNISESVVNKDAKNNTFEDEKNDKKLFGGLLVSSFDEASCISRFQSYLYRRPSPYKPSEYLISRLRNYESLHQSCGPHTKSYNEIIREGANFSKAGVDSKCKYLVWTAIEGLGNRMISLVSTFLYAILTDRVLLVRFENDMLDLFCEPFPNSSWLLPKNSTYWNDLRQFKTCENVFENNKENNSHTVLFLDLMNNNVRVTNRFHCDQNQDLLQNIPVVILRSNEYFVPSLFLTSSFRQEFNKMFPDKDTTFHLLGRYLFHPSNVVWKRIQNVYDSHLAKENERIGLQIRIYNTRQTPEETIINETISCLQQNKLLPQLNIQSSMSLNLEKNTSKAVLVVSLYSRYGERLKSIYENNTSLSREVVKVYQPSHEEHQNSGDNIHNIKAWSEIYLLGLCDALITSPTSTFGYVAHSLGGMKPLVLQSIYGRTIPNLPCQRLKYMEPCFHDPPNYDCTTNTNVDFTSLFNLRRCEDQSRGMKIINS >Vigun05g299900.3.v1.2 pep primary_assembly:ASM411807v1:5:48386269:48389770:1 gene:Vigun05g299900.v1.2 transcript:Vigun05g299900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLRNYESLHQSCGPHTKSYNEIIREGANFSKAGVDSKCKYLVWTAIEGLGNRMISLVSTFLYAILTDRVLLVRFENDMLDLFCEPFPNSSWLLPKNSTYWNDLRQFKTCENVFENNKENNSHTVLFLDLMNNNVRVTNRFHCDQNQDLLQNIPVVILRSNEYFVPSLFLTSSFRQEFNKMFPDKDTTFHLLGRYLFHPSNVVWKRIQNVYDSHLAKENERIGLQIRIYNTRQTPEETIINETISCLQQNKLLPQLNIQSSMSLNLEKNTSKAVLVVSLYSRYGERLKSIYENNTSLSREVVKVYQPSHEEHQNSGDNIHNIKAWSEIYLLGLCDALITSPTSTFGYVAHSLGGMKPLVLQSIYGRTIPNLPCQRLKYMEPCFHDPPNYDCTTNTNVDFTSLFNLRRCEDQSRGMKIINS >Vigun10g151300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37116490:37119150:-1 gene:Vigun10g151300.v1.2 transcript:Vigun10g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTPFFLCFSCIIVLNLIYTMAQSDNYIIHMDKLAMPKAFSTHHAWYLSTLSSLLDNVPTSNDNNLNIASSKLIYTYTNAMNGFTANLSPQEFEALKTSPGYVSSTPDFPTKLDTTHSPEFLGLNPNTGAWPAAKFGEDVIVGLVDTGVWPESESFNDEGMPDKLPTKWHGNCESSIKCNKKLIGAQFFNKGFLSQKSKLAKIVNSTRDTEGHGTHTSSTAVGRQVDNASFFGNANGSAKGVASRARLAIYKVVWNENAYPSDVIAAIDTAISDGVDILSLSLGFNNFSLFHDPVAIASFAAMDRGIFVSTSAGNSGPIRGSLHNGIPWVINVAAGTLDREIRASLTLGNGVKLLGLSTYLTGNFLEYQVPIVFMGPCNNSTELAKAHNKIVVCEDMGGNLSNLEFNIKAANVLASVFISSVTDISIYNDPDLVGIIINPRYGEILKAYIKSDSNAKANMSFKITTLGIKPSPKVDVYSSRGPSNNCPFVLKPDITAPGTSILAAWPQNLPVAYSGFQSLYSNFNFLSGTSMACPHVSGVATLLKGAHPDWSPATIRSAIMTTSDIFDNTKEPIKDIGNGDSPASPLAMGAGHINPNKALDPGLVYDVGSQDYVNLLCAMNFTQQQITTITRSSIFNCSKPSLDLNYPSFIALFRDNGSSNESTVTWDFFRTVTNVGEGQTIYTVSVTPIKGFNVSIFPNKLVFKETNEKLSYKLRIEGPKRESFGYVTWTDVKHVVRSPIVVTNPLSSQ >Vigun04g111400.1.v1.2 pep primary_assembly:ASM411807v1:4:27993464:27996843:1 gene:Vigun04g111400.v1.2 transcript:Vigun04g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPMLQREIQNLDKDADSRKSAMRALKSYVKDLDMKTIPIFLAQVSETKETGSLSGEFTISLYEVLARVHGVKIVPMIDSIMQSIIQTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEEKKRQIIHSLCKPLSDSLSSSQESLACGAALCLKALVDSDNWRFASDEMVNRVCQNVAAALEGKSTQTNSHMGLVMTLAKRNALTVEAYARLLIQSGLRILNSGSEPLEGNSQKRFVAIQMVNFLMKCLDRRSIFSEVEQIIEVMELAQSDKMAYVKGAAFEALQSAKMILTDKKSRGVKTPASVTGSNFSRKDFMEGENFSGGDCSPSSISPESRTSTLDFFNGFASPVGSPILTSLHSPNLYERRSVNRKLWSLENGEVDVSLKDGFFSKSGHGNASLERTMDHEFSNGGGDLTGEFTVFTHKSPWRGASRSASKSPRKSLTQENFKIFMTPRKLIHSLQDPNDEISDCSEKPNRRIKSLSSGNIMWSTASFSEYDQNGIADHVNCDSKENGGLYGDDQFQGGPESVSSTDDNPVNSDMQRPSEVGPESRSGTETVLMGKPFQKTKHKLVYGISFALLAIATPLLWINSQDEGHFLVPT >Vigun11g045000.1.v1.2 pep primary_assembly:ASM411807v1:11:6756270:6759467:1 gene:Vigun11g045000.v1.2 transcript:Vigun11g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYFRLCALVFAVLLSVPSSLYLVQANACDFSRGNWVLDHSHYPLYNASRDCPFIVRGFNCLRNGRPDRDYLNYRWKPSGCDLPRFDGVNFLERYKGKKIMFVGDSISNNMWQSLTCLLHNAVPESSYALSTPTKQLSVFTIPEFDVSVMWLKNGFLVDVVHDKEKGRIVKLDSMKSGYMWKGDVLIFNTYHWWTHLKESETVQFQVGNETIKEMDPMEAFKIGLTTWSKWIDANIDPSKTKVLFQGIAASHYEGKGCLNETQPEEGPQAPYPGVEIVKNVLSSMSHPVYLLDITFLTQLRIDGHPSIYTGKGTSYEDCSHWCLSGAPDAWNEILYAVLLGL >Vigun11g048900.1.v1.2 pep primary_assembly:ASM411807v1:11:7777558:7778203:1 gene:Vigun11g048900.v1.2 transcript:Vigun11g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEIKSIGVIITVMILLNFAQAETKVSYCNFKCRMTCFVDSSPEYFEKCVNECRSLHCTKLSSDLVHNCITGCRLMKSNPINNDDRDLMNNVMNTCIQECKE >Vigun02g094400.1.v1.2 pep primary_assembly:ASM411807v1:2:24945198:24950416:-1 gene:Vigun02g094400.v1.2 transcript:Vigun02g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNAKPTSTWRRSTEEDMDLGFWKRALDSRNSISSMAKQDSCVALSLSNPKTSSDNSNSSNLIHHFQNASTNATTNPFQPFHHNHYFHQPLFQPQHQSLSQDLGFLRPIRGIPVYQNPPPIPFTQHHHHHHHLPLEPSTTTPSSIISTTNTSSTPFHSQALMRSRFLSRFPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDVYDNGSSGDTSDDLMFDMKSSRTSDVSIKQGRSSVNQDKDYHGLWGNSSREAWLHGKTKTDSVGNVPSLEKEMDPKCVSYERISDGSSSSNVSGSSPKKPNLDLEFSLGQPL >Vigun10g059100.1.v1.2 pep primary_assembly:ASM411807v1:10:11429448:11435016:1 gene:Vigun10g059100.v1.2 transcript:Vigun10g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGCGYLHFILAIKGGLVSKAPNVARGQPKLKFKNITDIYDDGLLSHNDDGMAERSCYGEIEETNADASEYNVNDDDDQRIYNLDDNDFDNITLSQIKESCEARKRKRSQGLESSRRNIKIEDSFLEDNGEAQMEADDDSDFMETLGSWKSKLSNNMKAKKRCFKKTISAYTQEVMPVVKLEEILDSVDFTPTSEEIQNGQDFPPTSEEIVDCQDFSPSSEEIDNNKEILSFNGDSAALVEVKCEVPETDYFGGPDDYSIVEVQEAEKIYKWNLKSELKNAQQRCMDFLPLRMVGPFNMDVGISNSQLSDGQFPDMPAIEFKSEERIIHPDYHYIAPQQISMVEDQIPDIHDSQPDGDSDSAVTLRSVATHKDLDYLGVDFKDDNILLGDCSNDEFTPGAEDQVVETSPTMEHDLNLDGYLVRCSDDPPEYEEIQSFASVNNECNGSSKLHHYERLLSTRQEISPSSLEKHCKAVKTIDLNRKKKLKSKGRQIYFSEQTDNRTAEGCDDITGARFTDIPNKISVIPRTKRVSHPKGISKIPHSSRQATRLGCSSVQSCSKSAIAFTQQQMHDAECLAMKLTKELKSMKDIVDDMLRSEFCLNTSLRHKVNEARVAVKNATRAEEASKRWLAFMSRDCSRFCKIMKLSDDGPAPQDVVRKERKKIAFADEAGGRLCQVKFYEDDGVSLSESN >Vigun03g242000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40444079:40445395:-1 gene:Vigun03g242000.v1.2 transcript:Vigun03g242000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPKSKPLYFALTLLGTLDFLILSQATTNFLIHRDSPLSPLYDPSITHFDRLHSAFQRSFKRINHLKAAGDNLSHGSNLQAPMRPGGGEYLMKILIGTPPVEVIGIADTGSDLIWTQCLPCIECYNQTIPLFNPSRSKSYRTMPCLPRLCSKVLGLENTLCPNNRTCGYSYAYADGSHTAGALAFETVTLGISNPISLPNVAFGCAHDTGGIFDASGSGIIGLSGGNLSLISQLGPYSSGGKKFSYCLIPNTMTNENSTSKINFGNGSLVSGRGVVTTPLINAVPGGFLVTLKALSVGKERIEFARKGDVTRGNMIIDTGTTFTLLPSGVYSGLVRALRKVIRAKRVRDPKGVFDLCYEGSEKIMKLPIITLHFEGGDVNLQAVNTFSWVRKDVVCLTMVPGDQVVLGNLAQTNFLVGYDLHSLNLSFKPTDCSKL >Vigun03g006400.1.v1.2 pep primary_assembly:ASM411807v1:3:444622:447556:-1 gene:Vigun03g006400.v1.2 transcript:Vigun03g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKSNPNAQLLEELEALSESLYKQHTTTTRRTASLVLPRNSAPPVEDAKDDEGSINRARLRRMSMSPWRSRPKPDDASAKAESKKLDDISRTPSDSDKKGIWKWKPMRALSHIGMQKLSCLFSVEVVTAQGLPSSMNGLRLSVCVRKKETKDGAVKTMPSRVAQGAADFEETLFIRCHVYHTSNQGTIKFEPRPFWIYLFAVDAKELDFGRSSVDLSELIRESVEKNQQGTRVKQWDTSFDLSGKAKGGELVLKLGFQIMEKDGGVDIYNNQVDNSKSSSGKLGSLSSFARKQSKTSFSMSSPRMANKNDLWTPSQSRIREDIQGMDDLNLDDPNPVQDSSSSTQKVDERSKEQVEDFELPDFEVVDKGVEVQEKEGNAEEEESEEPVQEESASSEVVKEVVLDHVHLTRLTELDSIAQQIKALESMMGEDDKFAKIDEETEPQRLDADEETVTREFLQMLEDQDNNSIYSFDQPEIPPLHLEGHDDASAEDGESKVYLPDLGKGLGCVVQTKDGGYLTSMNPLDIAVARKDTPKLAMQMSRPYVLASHQSLTGFELFQKLAGIGFEELSSKVLALMPIDEIIGKTAEQVAFEGIANAIIEGRNKEGASSSAARIVSSLRSIGSAMSSGRKERITTGLWNVDEEPLTAEKLLAFAMQKIESMTVEALKIQADMADEEAPFDISAKKGDGGKDILASVIPLEEWIRNQSYKKSSAGSDGEPEKAGKKGKQTSSKEQELLWSISSRIVADMWLKTMRNPDINLAK >Vigun05g222600.1.v1.2 pep primary_assembly:ASM411807v1:5:41445951:41453460:1 gene:Vigun05g222600.v1.2 transcript:Vigun05g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGVVLPFSFLLLWLPLWLEICLGGDTLKAKQNITQDSKGNLVSSNDTFELGFFSPGGESGGKYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEHASSKTHWSSELGPSSSTNRTLKLLDSGNLVLVEDHSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSANPAPGDFTFKLDPSGTLVVLKQSQLYWTESLDGSDTKIYDFLSLMNTSWTRNSSFLMFQQKPHHINKKSRLIMNSNGEIQFMKWDEDDRQWDKRWWEPDGACDVYGNCGSFGICKENNLIPKYCKCLPGFRPRDEKSPGEGCIRKSTSCSDTNVTFLNLTNIKVGGSDEQIHSVTEAECESLCRNRSISKCSQTQCQAYSYSYSYSSERELDGDGYYNSTCEIWTGNLSTRVGGGRNLSVLVKRSDIEATAKSCEPCGANEIPYPLSTGPNCGDPTYNNFSCNESTGDVSFRMPGGKSYLVTWIDEDTRMFYIETDDSYSCGSRNLNNTLKSPFSVVADSECSIKDGVIKMSWEAAPEPPCKKPTDCENWLHSTCTGTSKGDRRCHCNPHYSWNNSIMICAKEKHSTDSLAITFVIFTIMVILGCIIAFLIVWRKRKAHKLDSADEASSRIQESLHESERHVKGLIGLGSLEENDIEGIEVPCYTFASILSATDNFSDSNKLGKGGYGPVYKGTFYGGHDIAVKRLSNVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRTRTLLLDWPMRFKIIVGIARGMLYLHQDSRLRVIHRDLKTSNILLDEEMNPKISDFGLAKIFGEKETEASTERVVGTYGYMAPEYALDGLFSIKSDVFSFGVILLEILSGKRNTGFYESNQISSLLGYAWKLWTENRLLDLMDSCIGETCNQNQFIKCAIIGLLCIQDEPIDRPTMSNVLFMLDRDTTTMPLPIPTQPTFFVNKRFSSSASSSSKPEIGLQFDTSYQQGR >Vigun05g222600.2.v1.2 pep primary_assembly:ASM411807v1:5:41445974:41453436:1 gene:Vigun05g222600.v1.2 transcript:Vigun05g222600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGVVLPFSFLLLWLPLWLEICLGGDTLKAKQNITQDSKGNLVSSNDTFELGFFSPGGESGGKYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEHASSKTHWSSELGPSSSTNRTLKLLDSGNLVLVEDHSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSANPAPGDFTFKLDPSGTLVVLKQSQLYWTESLDGSDTKIYDFLSLMNTSWTRNSSFLMFQQKPHHINKKSRLIMNSNGEIQFMKWDEDDRQWDKRWWEPDGACDVYGNCGSFGICKENNLIPKYCKCLPGFRPRDEKSPGEGCIRKSTSCSDTNVTFLNLTNIKVGGSDEQIHSVTEAECESLCRNRSISKCSQTQCQAYSYSYSYSSERELDGDGYYNSTCEIWTGNLSTRVGGGRNLSVLVKRSDIEATAKSCEPCGANEIPYPLSTGPNCGDPTYNNFSCNESTGDVSFRMPGGKSYLVTWIDEDTRMFYIETDDSYSCGSRNLNNTLKSPFSVVADSECSIKDGVIKMSWEAAPEPPCKKPTDCENWLHSTCTGTSKGDRRCHCNPHYSWNNSIMICAKEKHSTDSLAITFVIFTIMVILGCIIAFLIVWRKRKAHKLDEASSRIQESLHESERHVKGLIGLGSLEENDIEGIEVPCYTFASILSATDNFSDSNKLGKGGYGPVYKGTFYGGHDIAVKRLSNVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRTRTLLLDWPMRFKIIVGIARGMLYLHQDSRLRVIHRDLKTSNILLDEEMNPKISDFGLAKIFGEKETEASTERVVGTYGYMAPEYALDGLFSIKSDVFSFGVILLEILSGKRNTGFYESNQISSLLGYAWKLWTENRLLDLMDSCIGETCNQNQFIKCAIIGLLCIQDEPIDRPTMSNVLFMLDRDTTTMPLPIPTQPTFFVNKRFSSSASSSSKPEIGLQFDTSYQQGR >Vigun03g426900.1.v1.2 pep primary_assembly:ASM411807v1:3:63206041:63207573:1 gene:Vigun03g426900.v1.2 transcript:Vigun03g426900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTATSFSLTSFNTTKTLVPGCRISNSGSALVSLKGRTFPPIILQSRVPRFQIACAAKPETVEKVCDIVKKQLALPDGSTVTGDSKFSALGADSLDTVEIVMGLEEEFGISVEEESAQNISTVQDAADMIDKLLESKSA >Vigun11g111400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31332794:31333681:1 gene:Vigun11g111400.v1.2 transcript:Vigun11g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLMPWLSPCGVQSTTIEPPRICSSFGTHLQWTKHLRTPRTRFSHCSAHQHHRSAIIISIAMFNTAPETPPSRTIDGDENTDPCTSFLRATVNLQQRNLHASPRIA >Vigun10g019100.1.v1.2 pep primary_assembly:ASM411807v1:10:2153712:2156702:1 gene:Vigun10g019100.v1.2 transcript:Vigun10g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLCWDLWLELSDTLVRFTIVSRIKTFGVIKNLQDFARKGKKLSSTSNEKVATTNKHKVKFSL >Vigun07g177400.2.v1.2 pep primary_assembly:ASM411807v1:7:29267595:29271865:-1 gene:Vigun07g177400.v1.2 transcript:Vigun07g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVLDGIINRLLEVRGRPGKQVQLSEPEIKQLCLVSRDIFSNQPNLLELEAPLKICGDIHGQYSDLLRLFDYGGLPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPDLHYLNQIKSLPRPTEVPETGLLCDLLWSDPSSDIRGWGENERGVSYTFGVDRVTEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMTVDESLVCSFQILKPVDKKPNKFRFGSTTTSFFGAKA >Vigun07g177400.3.v1.2 pep primary_assembly:ASM411807v1:7:29267595:29271865:-1 gene:Vigun07g177400.v1.2 transcript:Vigun07g177400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVLDGIINRLLEVRGRPGKQVQLSEPEIKQLCLVSRDIFSNQPNLLELEAPLKICGDIHGQYSDLLRLFDYGGLPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPDLHYLNQIKSLPRPTEVPETGLLCDLLWSDPSSDIRGWGENERGVSYTFGVDRVTEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMTVDESLVCSFQILKPVDKKPNKFRFGSTTTVKPTTPTKAKSFFGAKA >Vigun07g177400.1.v1.2 pep primary_assembly:ASM411807v1:7:29267595:29271865:-1 gene:Vigun07g177400.v1.2 transcript:Vigun07g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVLDGIINRLLEVRGRPGKQVQLSEPEIKQLCLVSRDIFSNQPNLLELEAPLKICGDIHGQYSDLLRLFDYGGLPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPNNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPDLHYLNQIKSLPRPTEVPETGLLCDLLWSDPSSDIRGWGENERGVSYTFGVDRVTEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMTVDESLVCSFQILKPVDKKPNKFRFGSTTTVKPTTPTKAKQSFFGAKA >Vigun07g022200.1.v1.2 pep primary_assembly:ASM411807v1:7:1884549:1890938:1 gene:Vigun07g022200.v1.2 transcript:Vigun07g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIVPVDSSFKDNQTLYEEWFNFADADGDGRFTGTEALKFFAFSNLSRQELKQVWAVADSKREGYLGFREFVIAMQLVSLAQSGYPITQDVVNSDAMRYVKPPTMEGLDALVAKKRRKNKDKELSVIPQPSAASNWFSAKSVKKVPASSVTSVIDGLKRLYLQKLKPLEATYRYNDFVSPALTNSDFDAKPMILLLGQYSTGKTTFIKHMLRTNYPGSHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLDYITFVDSPGVLSGEKQRTQRQYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLRGNDDKIRVVLNKADQVDPQQLMRIYGALMWSLGKVLNVPEVMRVYIGSFNDQDTHNSISGPLGNELFQKEQNDLLCDLKDIPKKACDRKINEFVKRARSAVIHAYIVSHLKKQMPSMIGKAKAQQRLIDNLEDEFVKVQREFHLPAGDFPDVEQFRETLKGYNIDKFERLNKKLIQTVEDMLAYDIPNLLKTFRNPYG >Vigun03g245500.2.v1.2 pep primary_assembly:ASM411807v1:3:40841953:40847873:-1 gene:Vigun03g245500.v1.2 transcript:Vigun03g245500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRVLLFLGLLWAEIHVISSYTETQDVVALRSLKDVWQNTPPSWDKSDDPCGAPWEGVTCNKSRVTSLGLSTMGLKGKLSGDIGQLTELRSLDLSFNRDLTGPLSPELGELSKLNILILAGCGFSGNIPDELGNLSELSFLALNSNNFTGKIPPSLGKLSKIYWLDLADNQLTGPIPVSTSTSPGLDLLLKAKHFHFNKNQLSGSIPPKLFSSEMILIHILFDGNNLSGTIPSTLVQVKTVEVLRLDRNFLTGEVPSNLNNLTNINELNLAHNNFTGPLPDLTGMDTLNYVDLSNNSFDPSDAPTWFTNLPSLTTLVMEFGSLQGPLPSKLFGIPQIQQVKLRNNVLNDTLNMGENICPQLQLVDLQQNEISSVTLSSQYKNTLILIGNPVCSSALSNTNYCQLQQQAKQPYSTSLANCGGKSCSPDQKLSPQSCECAYPYEGMLYFRAPTFRELSNVNTFHSLEMSLWVKLGLTPGSVSLQNLFFDSNDYLQVQLALFPPTGQYFNRSEVQRIGFELSNQTYKPPKEFGPYYFLAFSYPFSGSHRGASLSKGVIIGIAIGSTFLVLSLIGLAIYAILQKKRAERAIGLSRPFASWAPTGKDSGGAPQLKGARWFSYDDLKKCTSNFSENNEIGSGGYGKVYKGVLPDGKIVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFIPNGTLRESLSGRSDIHLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITSRQPIEKGKYIVREVRTLMNKKDEEHYGLRELMDPVVRNTPNLIGFGRFLELAMQCVEESAADRPTMSEVVKALETILQNDGMNTNSTSASSSATDFGVSKGGMRHPYIDASFTKKDNGNDSSNSAFDYSGGYTLSTKVEPK >Vigun05g054600.1.v1.2 pep primary_assembly:ASM411807v1:5:4664722:4667409:1 gene:Vigun05g054600.v1.2 transcript:Vigun05g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGVWILPLIAAWWWLSTAATVRGSNSTTIYDELRAQGLPVGLLPKGIAKYSFNGTSGEFEVWMPEPCNAKFENEVHYDSNIKGILGFGRIGKLSGMSAQELFLWFPVKGIRVDVPTSGLIHFDVGVADKQLSLSLFEDPPDCNPEVNAGSEGVLRAAW >Vigun07g164300.1.v1.2 pep primary_assembly:ASM411807v1:7:27666896:27675140:1 gene:Vigun07g164300.v1.2 transcript:Vigun07g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSYSANPADYKLLEEVGYGASATVYRAIYLPYNEEVAVKCLDLDRCNSNLDDIRREAQTMSLIEHPNVVRAFCSFVVDRSLWVVMGFMAQGSCLHLMKAAYPEGFEEAAIGSILKETLKALEYLHRHGHIHRDVKAGNILLDSNGQVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPSVEKLLKHSFFRQAKPPELSVKKLFADLPPLWNRVKSLQLKDAAQLALKKMPSAEQEAISQSEYHRGVSAWNFDIDDLKAQAALMQDDDDIAEMREEDENKFFSSYKGTSDFQFSIDKKNSNNLQQDEFAVQVGSNDIPQSEKRNGSVAEATTSSTLENDIGTSKIKSQSVKLGKTQSGPLVPGSVLGHSLSEKGRAFERFENENQLSGEKSNRDIRRAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSNKANLVQIKGRFSVTSENLDLVKDIPVSSVSRRSSQGSPMRKSASVGDWMVDYKQMPIGQSSNDSANMNIPASFLVPHLHNLFQQTSIQQDLIMNILNGLQSAEAIDASQNGKLPPLPRNSENNGSVDTAVSERELMLLAKISELQSRMINLTDELTYEKLRYVQLQQQVAAVYSQEQNGEREEYA >Vigun07g175100.1.v1.2 pep primary_assembly:ASM411807v1:7:29045193:29045662:-1 gene:Vigun07g175100.v1.2 transcript:Vigun07g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKGKNSWPELVGVEGSVAVGTIERENPYVDAHTVLKGSIVTADFRCDRVRVWVTKEGIVYKTPTIG >Vigun07g061700.2.v1.2 pep primary_assembly:ASM411807v1:7:7003435:7011190:1 gene:Vigun07g061700.v1.2 transcript:Vigun07g061700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHAMSSANNASSFTTGGNLWRCKRSTKNIYYTSCCDSKASQYKRKTQIEYNPLMFKQSRLNNHYKWIEGEATYEECNKKHVGKAISRPYFDSEPQHSNSKNLLVSIKKFVVALYRFCYPYSVIARILSTVSASLLVVEKVSDISPLFLIGVLQAMVPLLFMDIYVNGVNQLSDLEIDKINKPYLPLVSGQISFTTGVIIVASSLTLSFWLAWTIGSWPLIWNLVSCFSLWTAYSINVPLMRWKRHPLLAAICVCATWALIFPITFFAHMQTFVLKRTTIFPRSLIFVIIFMSFYSLGIALFKDIPDIDGDQTFGIQSFSARFGQKRVFWICIFLFEMAFGIALVAGLTSSSLLVKIVTLLYVAYFLMPLIR >Vigun07g061700.1.v1.2 pep primary_assembly:ASM411807v1:7:7003435:7011190:1 gene:Vigun07g061700.v1.2 transcript:Vigun07g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHAMSSANNASSFTTGGNLWRCKRSTKNIYYTSCCDSKASQYKRKTQIEYNPLMFKQSRLNNHYKWIEGEATYEECNKKHVGKAISRPYFDSEPQHSNSKNLLVSIKKFVVALYRFCYPYSVIARILSTVSASLLVVEKVSDISPLFLIGVLQAMVPLLFMDIYVNGVNQLSDLEIDKINKPYLPLVSGQISFTTGVIIVASSLTLSFWLAWTIGSWPLIWNLVSCFSLWTAYSINVPLMRWKRHPLLAAICVCATWALIFPITFFAHMQTFVLKRTTIFPRSLIFVIIFMSFYSLGIALFKDIPDIDGDQTFGIQSFSARFGQKRVFWICIFLFEMAFGIALVAGLTSSSLLVKIVTGLGHAVLAWILWYKANFVDLSSKASIGSFYMLIWKLLYVAYFLMPLIR >Vigun09g119400.1.v1.2 pep primary_assembly:ASM411807v1:9:26350582:26352389:1 gene:Vigun09g119400.v1.2 transcript:Vigun09g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQAEVGVPLKLVVNKETNKVLFAEARKDFVDVLFSFLTLPLGTIARLVGNESNIGPVKIGSLNSLYHSVAALDDNCLTAQAYKERLLRPWNMAEDFCNTLKLNIDDTPLKQYFVCNNFCAHTCSAIMRCTSNSVCSCGRAVNQTVFLKSSANGFVNDDAIFVITDDLIVMPNSMDYLSFALVLKLGIKFPSSLKEITVNVTTKKVVDLLKCSMLSKSCLTDLFLEKKPVIQKPTFVSCSVENNSNINIKLKLVIRKSDGKILYALGEKDFADMLLSFLNFALGGVIQKLGGNCSVGSIDGLYESVTDMSENLYFMSKVAKSRLVDPHLLPLFKSSAQILATVNEEDLKYLLYYSNKEAKFLVQFLRSCEGVPDGGNYLGLMPLTDSESATESIVKGSRMFVVTDDLVVAPSSPISDLNIIIRLNTSFLDLKEKDVTIGLMECLNILKASLTSTSALTVGLGHLISEVKEGK >Vigun02g155600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30216677:30217069:-1 gene:Vigun02g155600.v1.2 transcript:Vigun02g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVMPVSLIRRRSTGSRLRYMALPKEGLLEEESEKSVTVVVGKEKRVFMVEPFILQESPFRVLMDISMKKDPAAEKKHFHFTSSQRRVIFVDVDDILFEHMLWLMHNDASSLFQLNLKEIIDFYTHDL >Vigun02g001100.1.v1.2 pep primary_assembly:ASM411807v1:2:795423:797456:-1 gene:Vigun02g001100.v1.2 transcript:Vigun02g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIFDMLAPISLFLLMIVALKLGRNLTKTKANPNPPPGPWKLPIIGNIPHLVTPTPHRKLRDLAKKYGPLMHLQLGEVFTVVVSSAECAKEVMKTHDLVFASRPLILASKIIGYDATNISFSPYGNYWRQLRKICTAELFTPKRLSSFKPIREEELSSLINMIASEDGSPFNLSEALLTSNYAIISRAAFGKTCREQEEYISVQKEVLKAAGGFDIGDFFPSATWLQNFTGLRPTLERLHRKSDQILENIMREHKDKKSKAKEGLVEAEEDLVDVLLKFQDDSGEDQDICLTDDNIKAIIDNIFAAGGETSATTIDWTMAEMIRNPRVMKRAQAEVREVFKMNGRVDETCINEMKYLKLVIKETLRLHPPAPLLLPRECGEACEIDGYHIPVKSKVIVNAWAIGRDPNSWSDPERFYPERFSNSSLDYKGNNFEFIPFGAGRRICPGITFGLMNVELTLALLLHHFDWKLPNGMKAEELDMTEQFALTVRRKDGLFLIPSTATQCS >Vigun07g127000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23388726:23390215:1 gene:Vigun07g127000.v1.2 transcript:Vigun07g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYGLGFSVSPSQNQSPISHSSSPSILRWIIARHHVPLLHHQRSPLSRHLAGHLQQSSPPSLNFEVASAIHLRRNRHLRYRLWISFLCRTRCDGRELVSPLPEPHRIPSSTLSNVRPSSVSAIIRVSKENQGCFSQCSRQSPYLSFCYAISCFFSFWNHGWNDWS >Vigun04g143750.1.v1.2 pep primary_assembly:ASM411807v1:4:35709088:35710362:1 gene:Vigun04g143750.v1.2 transcript:Vigun04g143750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEGLYIDESDVMSFIQRCNSNTKFIPDPARNAQALMLNKESEEPENTQQLIREIAAAGDARDFNSNFWKWACRFVEYYGLVAEGDIKKMILLSSRKSMEKRPLVVCVVKECHPNGLGDMSIVIKDPSAKASVHKKVVMDPEFGSRIAVGASMILKNVSCFGHKPWVSPYLNITVCNIVKVNILYYIYIYTF >Vigun11g112600.1.v1.2 pep primary_assembly:ASM411807v1:11:31514568:31516040:1 gene:Vigun11g112600.v1.2 transcript:Vigun11g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTPSCEKSGAGKGTWTPEEDRKLIAYVTRYGFWNWRQLPRFAGLARCGKSCRLRWMNHLRPKIKRANFSSEEEEYIIRMHTKLGNRWSAIAAELPGRTDLEVKNHWHTTLKKRSQ >Vigun11g112600.2.v1.2 pep primary_assembly:ASM411807v1:11:31514568:31516040:1 gene:Vigun11g112600.v1.2 transcript:Vigun11g112600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDMVSGIGDNFPGLARCGKSCRLRWMNHLRPKIKRANFSSEEEEYIIRMHTKLGNRWSAIAAELPGRTDLEVKNHWHTTLKKRSQ >Vigun02g070000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22081294:22084022:1 gene:Vigun02g070000.v1.2 transcript:Vigun02g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRLSAVVSSLILCFLFPPFFCLGIRSFPTAEDGGAFFHYAEAPEYRNGAGCPAPSTHTAIPSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPESVFFHFVAAEFDPASPRVLTRLVRSIFPSLNFKVYIFREDTVINLISSSIRLALENPLNYARNYLGDMLDACVDRVIYLDSDVVVVDDVRKLWRVPLTDARVIGAPEYCHANFTKYFTEEFWNDPLLSRVFSSRKPCYFNTGVMVMDLVRWREGNYRKRIENWMELQRKKRIYDLGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVNGVCRSLHPGPVSLLHWSGKGKPWVRLDEKKPCPLDRLWEPYDLYKPKQTRDRVRDQNWGFSSSILVGYAHDLL >Vigun03g447500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64872441:64873066:1 gene:Vigun03g447500.v1.2 transcript:Vigun03g447500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVKAASSMEWDGEDWSDLKSKKRSSKAHELSLGKGQKEKLMQTLKLCPDANGKVKIKISKKELAQLFQKQHQRASSAEQVLVGLIKSRNDDAGHRFWMPMLETIPEAT >Vigun06g221200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33073077:33073475:-1 gene:Vigun06g221200.v1.2 transcript:Vigun06g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAVMLLASLLISSTMAQSPASSPALSPTNTPVATPPRRALSPAPSPVVVPPSSDSPAVSPAASPATGTSPPAPPTSPSESPKAATPDVPPSTISGPPAEAPGPATNGAVLNRLAGSAVVLAIAAVVLV >Vigun10g168700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38763361:38764449:1 gene:Vigun10g168700.v1.2 transcript:Vigun10g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKTRTRTYDPYKDLDAPIRNLYHLPTSPEFLFVEEARRKRRSWGENLTFYTGCGYLAGALGGGGSGLVEGVRAFESGDTAKLRINRVLNAAGHSGRVWGNRVGILGLLYAGIESGIEAARDADDVWNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVVGMAVAGKQALKRYVPI >Vigun03g306600.1.v1.2 pep primary_assembly:ASM411807v1:3:49744385:49751246:1 gene:Vigun03g306600.v1.2 transcript:Vigun03g306600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSVATTATAVAAIPAAFAFSSLSSSPSSSSSSSSSSFSRSSQSSNSPNRFGLLKTLATPPSVLHMDHKLSSQPDAVLPELLTEYMVDMKCEGCVNAVKNKLHEVNGVKNVEVDLSNQVVRILGSTPVKTMTEALEQTGRKARLIGQGIPEDFLISAAVSEFKGPDIFGVIRLAQVNMELARIEANFSGLSPGKHGWSINEFGDLTRGAASTGKIFNPITEENTKEPLGDLGTLEANENGDAFYTGVKEKLRVADLIGRSVVVYATEDKTKDGITAAVIARSAGVGENYKKLCTCDGTIIWDATDKDFVSSKA >Vigun11g031700.1.v1.2 pep primary_assembly:ASM411807v1:11:4163768:4165150:1 gene:Vigun11g031700.v1.2 transcript:Vigun11g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLSIEIRVHATATKWFNLLTTQLHHVQNLTDAVHETNLHHGEDWHHNESIKQWTSSIDGNATKYHESIESTDEANKTITYKIFGEDFEHKFKVLKLIFQGIHNDEGGDIIKWIIEYEIKSEEFDPPFGFLQFVYKASKDVDANLLKA >Vigun03g307700.1.v1.2 pep primary_assembly:ASM411807v1:3:49897843:49902718:-1 gene:Vigun03g307700.v1.2 transcript:Vigun03g307700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPYTTGSAPRLSSSSNFSVATKLYSGLKLQSPISFGAVKPNVTAEFYGKVHKTLQCRYAKHNPSRASIRMMPIGTPKVPYRTPGEGTWQWVDIWNALYRERIIFIGQEIDEEFSNQILATMLYLDSIENSKKLYMYINGPGGDLTPSMAIYDTMQSLQSSVATHCVGFAYNLATFLLAAGEKGHRSTMPLSRIALQSPAGAARGQADDIQNEANELLRIRDYLFNELAKKTGQPVEKITLDLSRMKRFNAQEAVEYGLVDRIVRPPRIKADAPRQDAGTGLG >Vigun04g056200.3.v1.2 pep primary_assembly:ASM411807v1:4:5491723:5496333:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDNLRRFINLNSGKWNGSFYQFDSCGNMLQQLSTKLSASSYGEDELMSLIQTLYIKQPSSSTSISGDDNDAEWAEYKIKETNMFTVDKYQQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun04g056200.4.v1.2 pep primary_assembly:ASM411807v1:4:5491724:5496332:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMQNGLSTKLKKPICLLSTNINNVSITISLQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun04g056200.2.v1.2 pep primary_assembly:ASM411807v1:4:5491723:5496333:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMQNGLSTKLKKPICLLSTNINNLQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun04g056200.6.v1.2 pep primary_assembly:ASM411807v1:4:5491724:5496332:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMQNGLSTKLKKPICLLSTNINNVSITISLQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun04g056200.1.v1.2 pep primary_assembly:ASM411807v1:4:5491724:5496332:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVQCCSVWFPSLPKLNTNTLRFLSVNPNSVSFSSPSFRIRASSSSPTLEEAAMSIDNLRRFINLNSGKWNGSFYQFDSCGNMLQQLSTKLSASSYGEDELMSLIQTLYIKQPSSSTSISGDDNDAEWAEYKIKETNMFTVDKYQQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun04g056200.5.v1.2 pep primary_assembly:ASM411807v1:4:5491724:5496332:-1 gene:Vigun04g056200.v1.2 transcript:Vigun04g056200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIKQPSSSTSISGDDNDAEWAEYKIKETNMFTVDKYQQIGFFPSERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRRPSVVCENCLYSLQRDKRARAFHILEPKGTVDMLIVFLEERSDGAPPLLDSSMDANSRIIPFLGKWKGHSITKRSGVYGSTIAKADTVVLHEMDDNGQLIQDVTSISDGENVTTNVHWSGTISEYLVTFDGGYQMILLPGDMYMGCPCDIAKSVAESKSFHLEFCWLETPDKRQRLVRTYDVQGLAVSSTYFYETKL >Vigun07g109800.1.v1.2 pep primary_assembly:ASM411807v1:7:20336215:20339894:-1 gene:Vigun07g109800.v1.2 transcript:Vigun07g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSPTTCVRSLFRNPPRALHSFHAVKHSFSSSASYATLKLPLGFSLSPTPLISKGLSHRRTPLVRAATIEEIEAEKAAIENDAKSRMERTIDNVRTNFNSIRTGRANPAMLDKIEVEYYGTPVSLKSIAQISTPDASSLLVQPYDKSSLKAIEKAIVSSDVGMTPNNDGELIRLSIPQLTSERRKELSKIVAKQAEEGKVALRNIRRDALKAYDKLEKEKKLSEDNVKDLSSDLQKLTDDYIKKVDTILKQKEKELLTV >Vigun01g058200.2.v1.2 pep primary_assembly:ASM411807v1:1:11750328:11756396:-1 gene:Vigun01g058200.v1.2 transcript:Vigun01g058200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLQKSADDMRKKLHGDSSGAELNNSNTVPSKGASSPSDARSCVSSIGDASGSVKDVDVDHEYLSTDQGVPYPAGGYYGYYYPGYGGFFGESDNQGYYVGADAMDLQYPVMQADNGSYVYLVPGFQTGYPSYFPIGTAGVEGQYNVYHPGSIFQHPIGSPGYLPTSLSYGELPPSTYSWDSSLITQDGFQGHVYNDLAGKPNGRSNLSSQSHSGGVVSKSSPSSNSAELKGSTPLLEVTSTHVKRNQPKQTNKAPVSVLHSPVAKFPTYNQGKSGFLYPNNLLSVKTNTKGWVSTDKLKNRNKVNDSLNEQNQGPRTANAKGGLVSGGNSVRNLALGGSGNVSSKIRTDQYNLHDFPTKYDHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDGAFQDAQKRMEEKGCKCPVFLFFSVNASGQFCGVAEMTGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNDHKPVTNSRDTQEVSFPQGVEMLNIFKNYVARTSILDDFEFYESRQKVLQEKKTRQSIPHTSIQHVDELTTTLGSIELSSSSSSVKNMEDPKVVEKMND >Vigun01g058200.1.v1.2 pep primary_assembly:ASM411807v1:1:11751540:11756396:-1 gene:Vigun01g058200.v1.2 transcript:Vigun01g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLQKSADDMRKKLHGDSSGAELNNSNTVPSKGASSPSDARSCVSSIGDASGSVKDVDVDHEYLSTDQGVPYPAGGYYGYYYPGYGGFFGESDNQGYYVGADAMDLQYPVMQADNGSYVYLVPGFQTGYPSYFPIGTAGVEGQYNVYHPGSIFQHPIGSPGYLPTSLSYGELPPSTYSWDSSLITQDGFQGHVYNDLAGKPNGRSNLSSQSHSGGVVSKSSPSSNSAELKGSTPLLEVTSTHVKRNQPKQTNKAPVSVLHSPVAKFPTYNQGKSGFLYPNNLLSVKTNTKGWVSTDKLKNRNKVNDSLNEQNQGPRTANAKGGLVSGGNSVRNLALGGSGNVSSKIRTDQYNLHDFPTKYDHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDGAFQDAQKRMEEKGCKCPVFLFFSVNASGQFCGVAEMTGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNDHKPVTNSRDTQEVSFPQGVEMLNIFKNYVARTSILDDFEFYESRQKVLQEKKTRQSIPHTSIQHVDELTTTLGSIELSSSSSSVKNMEDPKVVEKMND >Vigun09g015000.1.v1.2 pep primary_assembly:ASM411807v1:9:1107636:1109231:-1 gene:Vigun09g015000.v1.2 transcript:Vigun09g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPPQLAAQPFNYQTWFLKVSIHCEGCRRKVKKVLKSIDGVFTATIDPQQNKVTVTGSVAVETLLRKLVRAGKQAEIWPENDGKISGNGQQQQQQKKKNEARELQRVENYKGTKNASAKFNSEKKNSSNNSPGKSPSGDQRPSEGGRREGGGGSAMKKKKKKLQSGGGNANIGLSSVEAAASTGAPAHTGLQFQDLGPVNVNPTRQYLLLYPSYYVPSSPYTCAGVDQDWHHFQSPPLVSFEIFSDENVNGCSVI >Vigun11g131100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33908600:33912181:1 gene:Vigun11g131100.v1.2 transcript:Vigun11g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKRFRLHLLPLSKKHAQFPLPRNAVTLSDGVQQPSDATIAHLVLESDPVTLSEALSKPTIQWAPELVNQVLKRLWNHGPKALQFFKHLDRHPSYIHCASSFDHAIDIAARMHDYNAAWALVGRMRSLRRGPTPRTFAILAERYAANGKPHRAVRTFISMHEHGCRQDLNSFNTVLDVLCKSRRVEMAHTLLKTFRSRFKLDCVSYNIIANGYCLIKRTPMALQVLKEMVQRGINPTMVTYNTLLKGYFRSNQIKEAWEFYLEMKKRKCEIDVVTYTTVIHGFGVAGEVKKSRRVFDEMVKEGVAPSVATCNALIQVLCKKDSVENAVVVFEEMLRKGLCAPNVVTYNVVIRGFCHVGDMERALGFMGRMGEHGLRASVQTYNVVIRYFCDAGEIEKGLEMFEKMRDEPCLPNLDTYNVLISAMFLRKKSEDLVVAGKLLMEMVDRGFLPRKFTFNRVLNGLVITGNQDFAKEILRMQSRCGRVVRRLKL >Vigun11g131100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33908600:33912181:1 gene:Vigun11g131100.v1.2 transcript:Vigun11g131100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKRFRLHLLPLSKKHAQFPLPRNAVTLSDGVQQPSDATIAHLVLESDPVTLSEALSKPTIQWAPELVNQVLKRLWNHGPKALQFFKHLDRHPSYIHCASSFDHAIDIAARMHDYNAAWALVGRMRSLRRGPTPRTFAILAERYAANGKPHRAVRTFISMHEHGCRQDLNSFNTVLDVLCKSRRVEMAHTLLKTFRSRFKLDCVSYNIIANGYCLIKRTPMALQVLKEMVQRGINPTMVTYNTLLKGYFRSNQIKEAWEFYLEMKKRKCEIDVVTYTTVIHGFGVAGEVKKSRRVFDEMVKEGVAPSVATCNALIQVLCKKDSVENAVVVFEEMLRKGLCAPNVVTYNVVIRGFCHVGDMERALGFMGRMGEHGLRASVQTYNVVIRYFCDAGEIEKGLEMFEKMRDEPCLPNLDTYNVLISAMFLRKKSEDLVVAGKLLMEMVDRGFLPRKFTFNRVLNGLVITGNQDFAKEILRMQSRCGRVVRRLKL >Vigun11g131100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33908600:33912181:1 gene:Vigun11g131100.v1.2 transcript:Vigun11g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKRFRLHLLPLSKKHAQFPLPRNAVTLSDGVQQPSDATIAHLVLESDPVTLSEALSKPTIQWAPELVNQVLKRLWNHGPKALQFFKHLDRHPSYIHCASSFDHAIDIAARMHDYNAAWALVGRMRSLRRGPTPRTFAILAERYAANGKPHRAVRTFISMHEHGCRQDLNSFNTVLDVLCKSRRVEMAHTLLKTFRSRFKLDCVSYNIIANGYCLIKRTPMALQVLKEMVQRGINPTMVTYNTLLKGYFRSNQIKEAWEFYLEMKKRKCEIDVVTYTTVIHGFGVAGEVKKSRRVFDEMVKEGVAPSVATCNALIQVLCKKDSVENAVVVFEEMLRKGLCAPNVVTYNVVIRGFCHVGDMERALGFMGRMGEHGLRASVQTYNVVIRYFCDAGEIEKGLEMFEKMRDEPCLPNLDTYNVLISAMFLRKKSEDLVVAGKLLMEMVDRGFLPRKFTFNRVLNGLVITGNQDFAKEILRMQSRCGRVVRRLKL >Vigun05g148400.1.v1.2 pep primary_assembly:ASM411807v1:5:21292460:21295753:1 gene:Vigun05g148400.v1.2 transcript:Vigun05g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEITGGGNNSETEIQWWWGVAAAAQMGLGMRSFAKGYAGDSRFMPLKAFTVASLFVGSAASASVLLLQANGINGVEDLMEAGANLRAKLGLPPRTQNKKMDDS >Vigun05g180200.1.v1.2 pep primary_assembly:ASM411807v1:5:34282135:34284052:1 gene:Vigun05g180200.v1.2 transcript:Vigun05g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGKWGLAVAMVKEFEKQCGTPIAKLRQVADAMDVEMHAGLASEGGSKLKMLITFVDNLPSGDEKGLFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTSSSHELFDFIADKLAKFVSSEPEEFHPAPGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKAFEKMEVY >Vigun11g086600.1.v1.2 pep primary_assembly:ASM411807v1:11:25934086:25939310:-1 gene:Vigun11g086600.v1.2 transcript:Vigun11g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPMGHHHQQQPPPPALPLQPSQPQQSQPQNEVPRRSSDMETDKDMSATVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGEPVAIKKVLQDRRYKNRELQLMRLMDHPNVICLKHCFFSTTSRDELFLNLVMEYVPETMYRVIKHYNTMNQRMPLIYAKLYTYQIFRGLAYIHTTLGVCHRDVKPQNLLVHPLTHQVKLCDFGSAKVLVKGESNISYICSRYYRAPELIFGATEYTASIDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPEYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPSLFNFKQELAGVSPELVNRLIPEHIRRQTGLSSPHSTGT >Vigun05g165300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27047988:27051091:-1 gene:Vigun05g165300.v1.2 transcript:Vigun05g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLTFAVVFLLTAAFAVLCSCGHAPLGCNEEERQALLRIKGSFRDSSRLSSWEGSSCCQWKGVACNNLTGHVVKLDLRNPCYPLRGDFQPNCKFYDHVLEAQRVPPSILHLKYLTYLDLSGNNFHNTSIPAFIQTLHHLQVLYLADSDFSGRIPYNLGNLTKLLILDLSFNAHLYADDFYWISQLSSLQYLYMSDVYLGKPQNLLHSLNMLPSLMEIDLRNCGLDKLHTPQHVSTTNLSKLEYLNLAENGLQTPFVDAFQNMTSIAVIDLSHNNLNSTPFWLGTSANLVSLFLDSNALYGSLPSALHNLTSLVSLDLSQNKFHSVPGWLGELKGLQYLSLSGNDVNHIEGSLAYLLGNCCHLKQFDMSRNKVQSDAFGNHTHFECIRHDLMYLDLSHNECNGHLPPWLGQLENLSSLIMTDNKLVGTLPCSIITKLVNLQNFVLSNNNFTGSLPDCIGELVSLKILILSSNHFDGVIPMSLVQLVSLTDLDISRNSLNGTIPHNIGQLQNLCTLYLSENKLHGNIPYSLSQLLNLHNLDISLNHLENLVSDIRWPSQLYYLNLTNNHIRGSLPQDISDSLPNVSYLLLGNNLISGLLPDSLCRIDSLYSLDLSGNMLVGEIPNCWSVTQKLNVLNLASNNLSGVIPSSLGNLPTLAWLHLNNNSLHGGFPSSLRNLNQLLILDVGENHLSGIIPSWMGNIFSSMQILRLRQNRLNGTIPLQLCQLSALQILDLSNNNLMGPIPHCIGNLTGMVSRKNISVNQSSRFVEWYEQDVREVVKGIELDYTRNLKLVVNLDLSNNNLTGSIPDGITSLGALLGLNLSHNRLSGHIPKRIGDMKSLESLDLSHDQLSGAISQIITSLTWLSHLNLSYNNLSGRIPKGTQLSTLDDDPFIYAGNPFLCGHPLPNKCIDDHFKGGNEDEEKDHKEDKVENLWFYFVIALGYIIGFWTVTGSLLVQ >Vigun11g019650.1.v1.2 pep primary_assembly:ASM411807v1:11:2476076:2476959:-1 gene:Vigun11g019650.v1.2 transcript:Vigun11g019650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTTKHPVIETNKGKRRRRASSYSPERPIINRFRDPKREKRYEKIKNWLFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANSYPEDNPGEKRSQVRGRWVNYDRAAISEFLVEPTGNPLKILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLCCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKSALGFPALITAMCQEQGVEVDLTEKTRPSITKRFIEHFCTH >Vigun08g019100.2.v1.2 pep primary_assembly:ASM411807v1:8:1617275:1620823:1 gene:Vigun08g019100.v1.2 transcript:Vigun08g019100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSAITTSLSSLSFSSHISQRPNTLSFATNLSLSRFPKTPSLVVSATALAEPETEDLKKFVKSRLPGGFAAQTIIGTGRRKSAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLITLGYESSYDVFVKAEGGGLSGQAQAISLGIARALLKVSEDHRAPLRQEGLLTRDSRVVERKKVGLKKARKAPQYSKR >Vigun08g019100.3.v1.2 pep primary_assembly:ASM411807v1:8:1617347:1620797:1 gene:Vigun08g019100.v1.2 transcript:Vigun08g019100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSAITTSLSSLSFSSHISQRPNTLSFATNLSLSRFPKTPSLVVSATALAEPETEDLKKFVKSRLPGGFAAQTIIGTGRRKSAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLITLGYESSYDVFVKAEGGGLSGQAQAISLGIARALLKVSEDHRAPLRQEGLLTRDSRVVERKKVGLKKARKAPQYSKR >Vigun10g155300.4.v1.2 pep primary_assembly:ASM411807v1:10:37521430:37524750:-1 gene:Vigun10g155300.v1.2 transcript:Vigun10g155300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYANGKTTPCLDVLPSQNFSCSDLNTTVFINRMIKAEKPNLIVFTGDNIFGFDCTDSAKSMEAAFAPAIASNIPWVAILGNHDQEGTLSREGVMKHIVGMKNTLSKFNPPEAHIIDGFGNYNLEVGGVEGSDFENKSVLNLYFLDSGDYSKVSTIFGYDWIKPSQQLWFQRTSAKLKKAYISGPMPQKDAAPGLTYFHIPLPEYASFDSSNMTGVKLENGISSASVNSGFFTTLVSAGDVKAVFTGHDHLNDFCGNLMNIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGSWGDVKSIKTWKRLDDQHLTGIDGEVLWSKNSQ >Vigun10g155300.1.v1.2 pep primary_assembly:ASM411807v1:10:37521430:37524684:-1 gene:Vigun10g155300.v1.2 transcript:Vigun10g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGKRNGFGTKGRTGLDFMVLVSTVLCLCLTGISVSATTQAEAPSPQPSQKLRFSQNGEFKILQVADMHYANGKTTPCLDVLPSQNFSCSDLNTTVFINRMIKAEKPNLIVFTGDNIFGFDCTDSAKSMEAAFAPAIASNIPWVAILGNHDQEGTLSREGVMKHIVGMKNTLSKFNPPEAHIIDGFGNYNLEVGGVEGSDFENKSVLNLYFLDSGDYSKVSTIFGYDWIKPSQQLWFQRTSAKLKKAYISGPMPQKDAAPGLTYFHIPLPEYASFDSSNMTGVKLENGISSASVNSGFFTTLVSAGDVKAVFTGHDHLNDFCGNLMNIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGSWGDVKSIKTWKRLDDQHLTGIDGEVLWSKNSQCDNSQTVPLHWPMLQLLGWVSIILAW >Vigun10g155300.3.v1.2 pep primary_assembly:ASM411807v1:10:37521430:37524684:-1 gene:Vigun10g155300.v1.2 transcript:Vigun10g155300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGKRNGFGTKGRTGLDFMVLVSTVLCLCLTGISVSATTQAEAPSPQPSQKLRFSQNGEFKILQVADMHYANGKTTPCLDVLPSQNFSCSDLNTTVFINRMIKAEKPNLIVFTGDNIFGFDCTDSAKSMEAAFAPAIASNIPWVAILGNHDQEGTLSREGVMKHIVGMKNTLSKFNPPEAHIIDGFGNYNLEVGGVEGSDFENKSVLNLYFLDSGDYSKVSTIFGYDWIKPSQQLWFQRTSAKLKKAYISGPMPQKDAAPGLTYFHIPLPEYASFDSSNMTGVKLENGISSASVNSGFFTTLVSAGDVKAVFTGHDHLNDFCGNLMNIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGSWGDVKSIKTWKRLDDQHLTGIDGEVLWSKNSQCDNSQTVPLHWPMLQLLGWVSIILAW >Vigun10g155300.2.v1.2 pep primary_assembly:ASM411807v1:10:37521427:37524749:-1 gene:Vigun10g155300.v1.2 transcript:Vigun10g155300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGKRNGFGTKGRTGLDFMVLVSTVLCLCLTGISVSATTQAEAPSPQPSQKLRFSQNGEFKILQVADMHYANGKTTPCLDVLPSQNFSCSDLNTTVFINRMIKAEKPNLIVFTGDNIFGFDCTDSAKSMEAAFAPAIASNIPWVAILGNHDQEGTLSREGVMKHIVGMKNTLSKFNPPEAHIIDGFGNYNLEVGGVEGSDFENKSVLNLYFLDSGDYSKVSTIFGYDWIKPSQQLWFQRTSAKLKKAYISGPMPQKDAAPGLTYFHIPLPEYASFDSSNMTGVKLENGISSASVNSGFFTTLVSAGDVKAVFTGHDHLNDFCGNLMNIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGSWGDVKSIKTWKRLDDQHLTGIDGEVLWSKNSQ >Vigun03g227800.2.v1.2 pep primary_assembly:ASM411807v1:3:37826593:37831618:1 gene:Vigun03g227800.v1.2 transcript:Vigun03g227800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVAGWRSQRVFLLFWFGITLRLFFLLGACSEKTTRKPLRETRPTQQTTSNPGIILTTQNRQQVVIDNGIVSVKFSRPEGYILGMSYKGIDNILEDDNEEQDRGYLDVVWNTPGKSSNFQRIFGTNFTVIAADDNTVELSFSRTWTPSMNGSSVPMNIDIRYILRSGDSGFYAYAIFDRPEGWPAVEIDQIRIVFKLKSKFNYMAMSDERQRTMPTRRDRETGQVLAYPEAVLLTRPANPEFRGEVDDKYQYSCENRDNTVHGWVSVDSNAPVGFWVITPSNEFRNAGPIKQDLTSHVGPITLAMFVSTHYAGKEVTLSFQEGEAYKKVFGPVFAYVNSASREDGTLSLWSDAAQQQAKEERSWPYEFPKSVDFIPPSQRGIVLGRLLVKDSYFRGGRLLYADNAYVGLALPGEAGSWQIESKGYQFWTQADTKGFFLINNIVPGDYNLYAWVPGFIGDYRYNVTITIPMGGGVITLDSLVFVPPRSGPTMWEIGFPDRTAAEFNIPDPYPTLMNKLYNERHSDKFRQYGLWERYTDLYPNDDLVYTVGVSKYNKDWFFAHVSRNAGNKTYQPTTWQIIFEHPNEVVRGNYTLQVALACTNDADLQIRLNDPEANPPDFATGKIGGDSAIARHGVHGLHRLFSISVGSDRILKGRNTIYLKQARSRNPFQGVMYDYIRLERPQLTVM >Vigun03g227800.1.v1.2 pep primary_assembly:ASM411807v1:3:37826233:37831619:1 gene:Vigun03g227800.v1.2 transcript:Vigun03g227800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVAGWRSQRVFLLFWFGITLRLFFLLGACSEKTTRKPLRETRPTQQTTSNPGIILTTQNRQQVVIDNGIVSVKFSRPEGYILGMSYKGIDNILEDDNEEQDRGYLDVVWNTPGKSSNFQRIFGTNFTVIAADDNTVELSFSRTWTPSMNGSSVPMNIDIRYILRSGDSGFYAYAIFDRPEGWPAVEIDQIRIVFKLKSKFNYMAMSDERQRTMPTRRDRETGQVLAYPEAVLLTRPANPEFRGEVDDKYQYSCENRDNTVHGWVSVDSNAPVGFWVITPSNEFRNAGPIKQDLTSHVGPITLAMFVSTHYAGKEVTLSFQEGEAYKKVFGPVFAYVNSASREDGTLSLWSDAAQQQAKEERSWPYEFPKSVDFIPPSQRGIVLGRLLVKDSYFRGGRLLYADNAYVGLALPGEAGSWQIESKGYQFWTQADTKGFFLINNIVPGDYNLYAWVPGFIGDYRYNVTITIPMGGGVITLDSLVFVPPRSGPTMWEIGFPDRTAAEFNIPDPYPTLMNKLYNERHSDKFRQYGLWERYTDLYPNDDLVYTVGVSKYNKDWFFAHVSRNAGNKTYQPTTWQIIFEHPNEVVRGNYTLQVALACTNDADLQIRLNDPEANPPDFATGKIGGDSAIARHGVHGLHRLFSISVGSDRILKGRNTIYLKQARSRNPFQGVMYDYIRLERPQLTVM >Vigun03g227800.3.v1.2 pep primary_assembly:ASM411807v1:3:37826593:37831618:1 gene:Vigun03g227800.v1.2 transcript:Vigun03g227800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVAGWRSQRVFLLFWFGITLRLFFLLGACSEKTTRKPLRETRPTQQTTSNPGIILTTQNRQQVVIDNGIVSVKFSRPEGYILGMSYKGIDNILEDDNEEQDRGYLDVVWNTPGKSSNFQRIFGTNFTVIAADDNTVELSFSRTWTPSMNGSSVPMNIDIRYILRSGDSGFYAYAIFDRPEGWPAVEIDQIRIVFKLKSKFNYMAMSDERQRTMPTRRDRETGQVLAYPEAVLLTRPANPEFRGEVDDKYQYSCENRDNTVHGWVSVDSNAPVGFWVITPSNEFRNAGPIKQDLTSHVGPITLAMFVSTHYAGKEVTLSFQEGEAYKKVFGPVFAYVNSASREDGTLSLWSDAAQQQAKEERSWPYEFPKSVDFIPPSQRGIVLGRLLVKDSYFRGGRLLYADNAYVGLALPGEAGSWQIESKGYQFWTQADTKGFFLINNIVPGDYNLYAWVPGFIGDYRYNVTITIPMGGGVITLDSLVFVPPRSGPTMWEIGFPDRTAAEFNIPDPYPTLMNKLYNERHSDKFRQYGLWERYTDLYPNDDLVYTVGVSKYNKDWFFAHVSRNAGNKTYQPTTWQIIFEHPNEVVRGNYTLQVALACTNDADLQVHGLKSDIVKQFSAKRTS >Vigun07g245800.1.v1.2 pep primary_assembly:ASM411807v1:7:36656118:36660794:1 gene:Vigun07g245800.v1.2 transcript:Vigun07g245800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFSYVIPFISLISLCFIRTRAQGLQYQYQVCSRNKFTPNSTFQSHLTTLFSSLSSKASNNVEFFNDTVTGTNPSDTVYGLFMCRGDIPSDLCNNCVGNATQRLSTHVDCSLSVEAVVYYDECIVRYSNLSFFGTADMEMSSGYVLASPINMTNQESFKRLVYVSLNETADEAMSSGSDGEKFATKETDIDIFQKLYCLAQCTPDLSPTDCRSCLNSLINSDLPRCCAGRQGGRVLYPNCVIRFEIYPFYRSLGSAPTPAPPPKLGENNSSRSRTIILVVVPIVSFAIFSAFCYYLLRRRARKRSKNLLKENFGEESSILEGLQFDLATIKLATDHFSNESEIGKGGFGEVYKGILQDGRHIAVKRLSIGSKQGSVEFKNEILLIAKLQHRNLVAFIGFCLEEQEKILIYEYVPNHSLDYLLFGTKQEKLSWHERYKIIRGTALGILYLHDYSRLKIIHRDLKPSNILLDENMNPKISDFGLARIFDVDQNRAETDRIVGTYGYMSPEYAMLGQFSEKSDVFSFGIIVIEIITGKKNARSYESHHMDEVLMSHVWRQWKNETPMGILDPNMKENYSESEVVRCLQIGLLCVQENPNIRPTMATVVSYLNNHSLELPSPQEPAFFLQGIDRRVMQQGSSSSFSANSSMPFSVNEMSTTNFYPR >Vigun02g087600.2.v1.2 pep primary_assembly:ASM411807v1:2:24244632:24253208:-1 gene:Vigun02g087600.v1.2 transcript:Vigun02g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTYHVRKQFSTYCCFKLVLHLSLLLLTASSEPSTVFNNLAARPSRPPVKLNTENPHQVVIGNGIVSFNLSKPKGHIIGIYTGITNLLESKNKENDRGYLDVVWNEKGKAGKYQRVVGTNFSVIVANENIVEVSFSRTWTASMKGSSVPINIDHRYILRRGDSGFYSYVIFERTPGLPAAEVYQARIVFKLNKARFHYLAISDTRQRNMPSQSDRELGTPLEYPEAVLLNHPSDSQFKGEVDDKYQYSSENKDSGVHGWIAKDDIAAAAEGFWVITPSNEFRSAGPIKQELTSHVGPTTLSMFTSTHYGGKDVTMNFGEGETYKKVFGPIFVYLNSSPNKARFQSLWSDAVKQLSEEVRRWPYDFVGSKDFIPPNQRGKVSGTLQVQDRTRKAQPAKNAYVGLALPGNAGSWQKECKGYQFWTQTDINGHFLIENVVPGDYKLYAWVHGFIGDYIYPAKISIKPGGNINLDSLVYYPPRNGPTLWEIGIPDRSAAEFYVPDPDPGFRNKLYPSNGPDKFRQYGLWARYSELYPKNDLIYTVGVSDYHKDWFFAHVTRNTEEKTDVCRRTEEKTYMPTTWQIRFQLQNIIKGGNYTLQLAIAAATISKLKVRFNDPNAERPHFSTKLIGNDNAIARHGIHGLYSLYSVGVDSDQLVKGENIIYLTQSFAEGPFMGVMYDYIRLESPPTATEPCA >Vigun02g087600.3.v1.2 pep primary_assembly:ASM411807v1:2:24244632:24248069:-1 gene:Vigun02g087600.v1.2 transcript:Vigun02g087600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSVPINIDHRYILRRGDSGFYSYVIFERTPGLPAAEVYQARIVFKLNKARFHYLAISDTRQRNMPSQSDRELGTPLEYPEAVLLNHPSDSQFKGEVDDKYQYSSENKDSGVHGWIAKDDIAAAAEGFWVITPSNEFRSAGPIKQELTSHVGPTTLSMFTSTHYGGKDVTMNFGEGETYKKVFGPIFVYLNSSPNKARFQSLWSDAVKQLSEEVRRWPYDFVGSKDFIPPNQRGKVSGTLQVQDRTRKAQPAKNAYVGLALPGNAGSWQKECKGYQFWTQTDINGHFLIENVVPGDYKLYAWVHGFIGDYIYPAKISIKPGGNINLDSLVYYPPRNGPTLWEIGIPDRSAAEFYVPDPDPGFRNKLYPSNGPDKFRQYGLWARYSELYPKNDLIYTVGVSDYHKDWFFAHVTRNTEEKTDVCRRTEEKTYMPTTWQIRFQLQNIIKGGNYTLQLAIAAATISKLKVRFNDPNAERPHFSTKLIGNDNAIARHGIHGLYSLYSVGVDSDQLVKGENIIYLTQSFAEGPFMGVMYDYIRLESPPTATEPCA >VigunL059043.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000296.1:17623:18522:1 gene:VigunL059043.v1.2 transcript:VigunL059043.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQFISSVYILSLQLKEVLAKQAELGIEVAEIPSHYLKNSDNQGLQNEGKNKFSDKRKFQNKFNKKLDRKGRFGKKQKFADFSESPSLKMRKPTLLQKLLSADISKGKSHLFQVFRFMVINSFFKHCPDKPLRYPSVMVKENWSEVDTKKDIPKRGNEGAVKKIASLNNDDHSSEDEDSDVDENDSIVHNNPHKELFSLVKEGFEKSDEEGEILE >VigunL059358.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:12687:13382:1 gene:VigunL059358.v1.2 transcript:VigunL059358.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRDSVFGFRVWVLGVGFRVLCYQLQVTGFGSHISGVGFQVSGFGFWISGLRFRVSGYGLRVSGYGFSVWSFDFRVSVSRLGFRISGFVFGFSGFGDFSLPISGFGFQHSGFEFRVWGFEFRVSGFGFRVSGFEFRVSGFEFQVTSYGFWDSCFGCWISSFLFRISSLRFRVLSLEFGVRVSNLGFMVLAFRDSVFGFRVWVLGFGFRVSGYKLQVTGFGSHVSGVGF >Vigun09g042900.1.v1.2 pep primary_assembly:ASM411807v1:9:3992317:3994920:-1 gene:Vigun09g042900.v1.2 transcript:Vigun09g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISHCCPFLHLRLRTQQFVVTLSVLATALSWITTSHAHNDCSLTRYPNLCAQTLMEFGSSNQTVDHNILVLLHKSILETNLPSSYFSEFKTNAEDVAHSVIADYCEELNGMSLKRLDQSLRALKSPTRNNDDIQTWLSASITFQQSCKDYADAQNKTSSADGRMQRMSEKMEYLSQLGSNSLALVNHVSEKGILKGNTNKEGHEFPKWVSAKGRKLLQDGTIKANAVVAKDGSGDYKTVSEAIKAASGKRFVIYVKEGIYKEKIKTSKDGITLIGDGKYSTLIVGDDSVGEGSSLTGSATFTITGDGFIARDIGFQNNAGPQGEQAVALNIASDHSVLYRCSVSGYQDTLYALALRQFYAECDIYGTIDFIFGNAAAVFQRCNLLLRRPRSSGYDAILANGRTDPGQNTGFSVHKCIISPTSDFKQSRNAFLGRPWKEYSRSVVMQSRIDSVIAAKGWVAWPGYGSSVLKTLYFAEYGNEGPGAGTSGRVEWKGFHVLKSQDATKFTVASFISGNSWIPSGVNFISGLN >Vigun04g124400.6.v1.2 pep primary_assembly:ASM411807v1:4:31596653:31599953:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun04g124400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31596653:31604252:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTGMNEKLLDITFDYFESGNVCPIESPKHFTDLIRSSIISSSELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun04g124400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31596653:31604252:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTGMNEKLLDITFDYFESGNVCPIESPKHFTDLIRSSIISSSELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun04g124400.4.v1.2 pep primary_assembly:ASM411807v1:4:31596653:31604252:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun04g124400.5.v1.2 pep primary_assembly:ASM411807v1:4:31596653:31604252:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun04g124400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31596652:31599953:-1 gene:Vigun04g124400.v1.2 transcript:Vigun04g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEDDEWEKKDPLLQKPLLGTYVCFDLILLENQLPFFVLEQLYNLTGMNEKLLDITFDYFESGNVCPIESPKHFTDLIRSSIISSSELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun07g188533.1.v1.2 pep primary_assembly:ASM411807v1:7:30538290:30547903:-1 gene:Vigun07g188533.v1.2 transcript:Vigun07g188533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHSQPAKDMFSSRDEGKPPKPSRKSPPESRKDFHGFLKGSKSRAAPVKKSDLGTKKGKQELDSFGSTKDFHGFSKSSKSTAAPIKKGDLVTKKKNKEVPFSEIVDRMIVSARSQYLPLEESDLNTTTKPKELSDYLESLSRAENVTTANRLLSRFSGKQSGVDIEYSAIQANRRMRIAVEELTYLRAFLRHFDYNKISDHDQMEFVDNIIHEINDAEEVLYSLPIKAYKERRKGIEYLLAKPWTQLVVTVETPCLGREEDNTVLEMFDYILNGNLITREDVIETVLSLREGYLADTYSLILSSYDSPGDWSQSERVCLLEIEDIFFYTASVAEKFIKRIERPRNVWAVLYPFEQHGVEMNLMKEIEHINSKFGDAVYRRWTFGDGGKLLMGDITGSSSKRLTFFAQFVSSWRFLNNNLSTTRRYLAVMKAFLDDIDGTVVEGLNQRQRVWVGQVREVTRKGYCLVEEENGFFFLLSRIVFAKDIDYLLNEIIDISKRKEIYAIANIQGERRKSFPSSPSIQGSEREIMVEVEQEGDNSGAEESPLIAQPNTFSYRHITELEKEFQSIKGEKQLMNALFDDQMKEIAPEIDLVIEECERELKHIKRIKKKIKDVCRSRKAYSLVQPQSRAESLCRKTQPTLIAKESRMVGFDEDVEILMAQLLSDEKHRGICSIVGAKGTGKTELARFISRNKVVLSHFDCVIWVTPSSSAERLKNKIAKKAAELIMGGERDTWTTQDVLTTLATKRYLIVVDGIQTLHVLDTLREAIPDRLTASRFLLTTRNAIILQRAGTTSSFVHPLRLLDDKNSWILFTRKLSVEMNSDPKLQEIGKKLVATSGGLPLEILKMNKLLLRKNVAAEDSAKVLKQNPWSETLDTVNTNLPSYLRRCLFYFELFPANFEIPVRRLVALWVAEGLVTLGEDQEWPPELVAETYLTELIDLDMVQIAKRKPNGKGFNSLRQILKSTKSRIPEVGTSTDLEAVPKNSRIRRVADHLEVKDIWHTHIHDNINTYARDCTSLRTYYKNVLSFLSFDTRQGSKPGQDIGNFLNLCIWNNCLLQLRVLDLEGVYKPKLPKDIAKLLGLRYLGLRWTYLESLPSSISSLLQLQTLDLKHTYIQTLPISIWKMKLRHLFLSESNRTRFPPKPIGIDIGRSLHDLQTLWGVFVDEETPVKGGLDKLVNITKLGITCQSQVSAVADWIVKLQYLQSLRLKSRDKQGRPSQIHLEFLKHHTNLTDLYLLGNLNTPLNQLFLPPTLVVLTLSHSKLVNDPMQILKDAPNLRSLSLLAESYLGRTIVCTSESFSQLRVLRVWKLEQLEEWKIEQKALPSLRELEIRLCRRMKVLPDGLNHVNSLLELKLTNMSMEIKTEKHNIPWNCKVHRDDSVQ >Vigun08g154100.2.v1.2 pep primary_assembly:ASM411807v1:8:32638974:32649698:1 gene:Vigun08g154100.v1.2 transcript:Vigun08g154100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGLSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKDMERTESLHTNMVQSVISRTFAQDTMVHSYKSFNGFVARLTKEESERMKGMDEVVSVIPNRIHSIQTSRSWDFLGFPENVQRTKEESNVIVGVLDTGIWPNSSSFTDGGGGPPPEKWKGRCQNFTCNNKIVGAKYFRLDGDFDKEDIISPIDNLGHGSHTASTAAGNSVSNANLFGLGVGTARGGVPSARIAVYKVCWKGGCKTADILAGFDAAIMDGVDIISVSLGPKFVTFINYFEDEFAIGAFHAMKRGILTSKSSGNSGPKRSTTANPAPWIISVAATTIDRKFFTKLQLGNGQIFQGISLNTFSPTEKSYPLIYGGDAPVPGFKGSLASNPVATISKSFEEKDSSAPYVAAFSSRGPNIVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIISGTSMACPHVTGAAAYVKSNHPNWSPAAIKSALMTTATPMNPKRNVDAEFAYGAGQINPLKAANPGLVYDADENDYISFLCGQPGYNSSTLQKLTGDKSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKVRSPVVVYVP >Vigun08g154100.3.v1.2 pep primary_assembly:ASM411807v1:8:32638974:32649698:1 gene:Vigun08g154100.v1.2 transcript:Vigun08g154100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGLSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKDMERTESLHTNMVQSVISRTFAQDTMVHSYKSFNGFVARLTKEESERMKGMDEVVSVIPNRIHSIQTSRSWDFLGFPENVQRTKEESNVIVGVLDTGIWPNSSSFTDGGGGPPPEKWKGRCQNFTCNNKIVGAKYFRLDGDFDKEDIISPIDNLGHGSHTASTAAGNSVSNANLFGLGVGTARGGVPSARIAVYKVCWKGGCKTADILAGFDAAIMDGVDIISVSLGPKFVTFINYFEDEFAIGAFHAMKRGILTSKSSGNSGPKRSTTANPAPWIISVAATTIDRKFFTKLQLGNGQIFQGISLNTFSPTEKSYPLIYGGDAPVPGFKGSLARYCLENSLDSAKVKGKIVLCDRYSPSPNVGFASGAAGLIFTSTLTFLTADIFALPAIHINQTDRNSVTSYLNSTSNPVATISKSFEEKDSSAPYVAAFSSRGPNIVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIISGTSMACPHVTGAAAYVKSNHPNWSPAAIKSALMTTGYYII >Vigun08g154100.1.v1.2 pep primary_assembly:ASM411807v1:8:32638974:32649698:1 gene:Vigun08g154100.v1.2 transcript:Vigun08g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGLSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKDMERTESLHTNMVQSVISRTFAQDTMVHSYKSFNGFVARLTKEESERMKGMDEVVSVIPNRIHSIQTSRSWDFLGFPENVQRTKEESNVIVGVLDTGIWPNSSSFTDGGGGPPPEKWKGRCQNFTCNNKIVGAKYFRLDGDFDKEDIISPIDNLGHGSHTASTAAGNSVSNANLFGLGVGTARGGVPSARIAVYKVCWKGGCKTADILAGFDAAIMDGVDIISVSLGPKFVTFINYFEDEFAIGAFHAMKRGILTSKSSGNSGPKRSTTANPAPWIISVAATTIDRKFFTKLQLGNGQIFQGISLNTFSPTEKSYPLIYGGDAPVPGFKGSLARYCLENSLDSAKVKGKIVLCDRYSPSPNVGFASGAAGLIFTSTLTFLTADIFALPAIHINQTDRNSVTSYLNSTSNPVATISKSFEEKDSSAPYVAAFSSRGPNIVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIISGTSMACPHVTGAAAYVKSNHPNWSPAAIKSALMTTATPMNPKRNVDAEFAYGAGQINPLKAANPGLVYDADENDYISFLCGQPGYNSSTLQKLTGDKSTCTSANKGSVLDLNLPSFTLSTPRSSHNNVTFGRTVTNVGSATSTYKATISAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKVRSPVVVYVP >Vigun08g154100.4.v1.2 pep primary_assembly:ASM411807v1:8:32638974:32649698:1 gene:Vigun08g154100.v1.2 transcript:Vigun08g154100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGLSHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKDMERTESLHTNMVQSVISRTFAQDTMVHSYKSFNGFVARLTKEESERMKGMDEVVSVIPNRIHSIQTSRSWDFLGFPENVQRTKEESNVIVGVLDTGIWPNSSSFTDGGGGPPPEKWKGRCQNFTCNNKIVGAKYFRLDGDFDKEDIISPIDNLGHGSHTASTAAGNSVSNANLFGLGVGTARGGVPSARIAVYKVCWKGGCKTADILAGFDAAIMDGVDIISVSLGPKFVTFINYFEDEFAIGAFHAMKRGILTSKSSGNSGPKRSTTANPAPWIISVAATTIDRKFFTKLQLGNGQIFQGISLNTFSPTEKSYPLIYGGDAPVPGFKGSLASNPVATISKSFEEKDSSAPYVAAFSSRGPNIVTPNILKPDIAAPGVDILASWSALSSISGVKGDKRVSNLNIISGTSMACPHVTGAAAYVKSNHPNWSPAAIKSALMTTGYYII >Vigun01g086100.2.v1.2 pep primary_assembly:ASM411807v1:1:24256627:24263981:-1 gene:Vigun01g086100.v1.2 transcript:Vigun01g086100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTTQGRLKLLFNGEGVSSILEQKDPFLYKCRSVQTSKRRPRCMGSSARIYYASKSSLCNRSNRCNARTSSTAGNGSVHDEDEDDDEDDDFDEDDDVFDGDGLSCFRGLVLDIAYRPVNVVGWKRAICLEFMEKADVLEYYTKTVNSPSGSFYIPAVLRVPHLLQVVKRRIIKNNLSRKNILFRDNYTCQYCSSRENLTIDHVVPAALGGEWTWENLVTACAKCNSKKGKKTLEEAKMKLIKAPKDYDILAIPLTAAALRMLTLRKGTPEEWRQYLRSP >Vigun01g086100.1.v1.2 pep primary_assembly:ASM411807v1:1:24256627:24263981:-1 gene:Vigun01g086100.v1.2 transcript:Vigun01g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTTQGRLKLLFNGEGVSSILEQKDPFLYKCRSVQTSKRRPRCMGSSARIYYASKSSLCNRSNRCNARTSSTAGNGSVHDEDEDDDEDDDFDEDDDVFDGDGLSCFRGLVLDIAYRPVNVVGWKRAICLEFMEKADVLEYYTKTVNSPSGSFYIPAVLRVPHLLQVVKRRIIKNNLSRKNILFRDNYTCQYCSSRENLTIDHVVPAALGGEWTWENLVTACAKCNSKKGKKTLEEAKMKLIKAPKAPKDYDILAIPLTAAALRMLTLRKGTPEEWRQYLRSP >Vigun04g107650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26008905:26009327:1 gene:Vigun04g107650.v1.2 transcript:Vigun04g107650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSIIYPINGQNMWPTTDFPDVMPPHKRIMPGRPKKKRRLEQWELRKDDTQLRKGGHRKRCRICRELGHNRTNCPQLPTQGQSSQPSDAPPQPTQPSQEPISATQQPTQPSQETIYATTQPNQPSQDPTSAPPQPTLPL >Vigun03g037132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2853192:2854367:-1 gene:Vigun03g037132.v1.2 transcript:Vigun03g037132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTPPNAPPKPKCFPIQATQPPKLKHNAVAPKHRPTIRGGTRKVWCRISRIVSQNTMVAAVVAVTVRVTMKTAASGRQVTFTQCIYIYIVMHSVNLISDTCRTEVEYHRGSMCVIIEES >Vigun03g387300.4.v1.2 pep primary_assembly:ASM411807v1:3:59342020:59343324:1 gene:Vigun03g387300.v1.2 transcript:Vigun03g387300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFISNSKTLLRHSQSPKLLIVCHRHRSSEAMKSKWVEIEGAKLLEDHAHRVMVIKATPDWLPFLPGSSFWVPPPPSPFLHKFSIPTQPFLLQAVATPRGPELTVQLKVMAPTENVALSEDEEG >Vigun03g387300.3.v1.2 pep primary_assembly:ASM411807v1:3:59342030:59347945:1 gene:Vigun03g387300.v1.2 transcript:Vigun03g387300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFISNSKTLLRHSQSPKLLIVCHRHRSSEAMKSKWVEIEGAKLLEDHAHRVMVIKATPDWLPFLPGSSFWVPPPPSPFLHKFSIPTQPFLLQAVATPRGPELTVQLKVMAPTENVALSEDEEG >Vigun03g387300.1.v1.2 pep primary_assembly:ASM411807v1:3:59342030:59343420:1 gene:Vigun03g387300.v1.2 transcript:Vigun03g387300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFISNSKTLLRHSQSPKLLIVCHRHRSSEAMKSKWVEIEGAKLLEDHAHRVMVIKATPDWLPFLPGSSFWVPPPPSPFLHKFSIPTQPFLLQAVATPRGPELTVQLKVMAPTENVALSEDEEG >Vigun07g026300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2365483:2367895:1 gene:Vigun07g026300.v1.2 transcript:Vigun07g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQQKPLFRAKVPLSVLGMPFQSGIVAGETKELTLNLSTFFESGPSLKVAYRPNDSKNPFSLIVKTGTGPFGSPLKSSMLMSCEFNLPARTGSPLFMLHFKPRFGDFTFKKTQTSIFDGKPFCNAQNDVVIVDETVQVETPSFAGAKIPVLDTNSRAAGAVAGMFSGVEVSARTTLPVRGRAAVKFRWGVRVPTEFKGDGAFQRIPFLVMDKIGVEHMMECGEAKKGRADAATRAPASADVAEACFAVKRQMEVLQAENGLLRNAVEDLRREIVGVRSGGSEFNKWKNPKKNDKKTSSDYGGFSGKSTEVEASEELKKALMGGAPSGA >Vigun07g026300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2365483:2367896:1 gene:Vigun07g026300.v1.2 transcript:Vigun07g026300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQQKPLFRAKVPLSVLGMPFQSGIVAGETKELTLNLSTFFESGPSLKVAYRPNDSKNPFSLIVKTGTGPFGSPLKSSMLMSCEFNLPARTGSPLFMLHFKPRFGDFTFKKTQTSIFDGKPFCNAQNDVVIVDETVQVETPSFAGAKIPVLDTNSRAAGAVAGMFSGVEVSARTTLPVRGRAAVKFRWGVRVPTEFKGDGAFQRIPFLVMDKIGVEHMMECGEAKKGRADAATRAPASADVAEACFAVKRQMEVLQAENGLLRNAVEDLRREIVGVRSGGSEFNKWKNPKKNDKKTSSDYGGFSGKSTEVEASEELKKALMGGAPSGA >Vigun07g026300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2365434:2367895:1 gene:Vigun07g026300.v1.2 transcript:Vigun07g026300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQQKPLFRAKVPLSVLGMPFQSGIVAGETKELTLNLSTFFESGPSLKVAYRPNDSKNPFSLIVKTGTGPFGSPLKSSMLMSCEFNLPARTGSPLFMLHFKPRFGDFTFKKTQTSIFDGKPFCNAQNDVVIVDETVQVETPSFAGAKIPVLDTNSRAAGAVAGMFSGVEVSARTTLPVRGRAAVKFRWGVRVPTEFKGDGAFQRIPFLVMDKIGVEHMMECGEAKKGRADAATRAPASADVAEACFAVKRQMEVLQAENGLLRNAVEDLRREIVGVRSGGSEFNKWKNPKKNDKKTSSDYGGFSGKSTEVEASEELKKALMGGAPSGA >Vigun07g026300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2365483:2367896:1 gene:Vigun07g026300.v1.2 transcript:Vigun07g026300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQQKPLFRAKVPLSVLGMPFQSGIVAGETKELTLNLSTFFESGPSLKVAYRPNDSKNPFSLIVKTGTGPFGSPLKSSMLMSCEFNLPARTGSPLFMLHFKPRFGDFTFKKTQTSIFDGKPFCNAQNDVVIVDETVQVETPSFAGAKIPVLDTNSRAAGAVAGMFSGVEVSARTTLPVRGRAAVKFRWGVRVPTEFKGDGAFQRIPFLVMDKIGVEHMMECGEAKKGRADAATRAPASADVAEACFAVKRQMEVLQAENGLLRNAVEDLRREIVGVRSGGSEFNKWKNPKKNDKKTSSDYGGFSGKSTEVEASEELKKALMGGAPSGA >Vigun04g111133.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27826556:27829172:-1 gene:Vigun04g111133.v1.2 transcript:Vigun04g111133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSYETCSDVWAQAKLLYTNDTQRLYGVCQDLFKVVAPQSPGPMAEYLGKIHALLHEFNELLPPASTPAEELEQRSKFFMLLALHGLSDEYSHVRDQILGSPVVPNFTATSSALLRVPRKQIIDTSTCADDSSVLVSQRDDRNRSRKPGKGRPKCDHCGKLGHKIDKCYALHGRPPRSAAVVHSDPPPRRAPCHFQRLSQMV >Vigun01g003750.1.v1.2 pep primary_assembly:ASM411807v1:1:432322:441177:1 gene:Vigun01g003750.v1.2 transcript:Vigun01g003750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFEGQCSNDESRERRSDVENSEDERRRSRIGNLKKKAMSASSRFTHSLKKRGKRKIDYRVPSVSIEDVRDAGEETAVHELRQKLVERGSLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLVWRKEYGTDTILEDFEFGELEEVLQYYPQGYHGIDREGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERTLHEKFPACSIAAKKRISSTTTVLDVHGLGMKNFSRTAASLLSAMAKIDSSYYPETLHQMYIVNAGSGFKKMLWPAAQKFLDAKTVAKIQIVEPKSLYKLLEVIDSSQLPDFLGGSCTCASEGGCLRSNKGPWNDPDIMKLVHNEEAKFVRQIIKLPDGQHKFDSFQMLHPLKERCSDTSTAESGSDMNEYSSPNRYRSCPYPYLAPVREEVKVPDRNGYYSCDDSSLAVEKVIESDHFRLNQEQPVQSNDVGMFLVEQIQEVLLTAVGSALLRKKTIFTHQLPWTITFITIQQLLNQVLKENIFFREGENANGSMNRIKSVEFDLEQTKRVLHATVMKQLEIAELLENLKKTKCRQRRLFVESFKLLNQSWCFLSTTLLGSSSFLLLFWALSVSSLTPTFISDHVFDSQAHAGRNLLQAKKGCSVNFEFLNYTIITSKCKGPQYPPKECCGAFKEFACPYADVINDLTNECASTMFSYINLYGKYPPGLFASECREGKLGLECPALPPSVSADDTSNQFLHCPSLFLLLTTCFLIFLF >Vigun01g003750.3.v1.2 pep primary_assembly:ASM411807v1:1:431773:437375:1 gene:Vigun01g003750.v1.2 transcript:Vigun01g003750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFEGQCSNDESRERRSDVENSEDERRRSRIGNLKKKAMSASSRFTHSLKKRGKRKIDYRVPSVSIEDVRDAGEETAVHELRQKLVERGSLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLVWRKEYGTDTILEDFEFGELEEVLQYYPQGYHGIDREGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERTLHEKFPACSIAAKKRISSTTTVLDVHGLGMKNFSRTAASLLSAMAKIDSSYYPETLHQMYIVNAGSGFKKMLWPAAQKFLDAKTVAKIQIVEPKSLYKLLEVIDSSQLPDFLGGSCTCASEGGCLRSNKGPWNDPDIMKLVHNEEAKFVRQIIKLPDGQHKFDSFQMLHPLKERCSDTSTAESGSDMNEYSSPNRYRSCPYPYLAPVREEVKVPDRNGYYSCDDSSLAVEKVIESDHFRLNQEQPVQSNDVGMFLVEQIQEVLLTAVGSALLRKK >Vigun01g003750.2.v1.2 pep primary_assembly:ASM411807v1:1:431823:437220:1 gene:Vigun01g003750.v1.2 transcript:Vigun01g003750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFEGQCSNDESRERRSDVENSEDERRRSRIGNLKKKAMSASSRFTHSLKKRGKRKIDYRVPSVSIEDVRDAGEETAVHELRQKLVERGSLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLVWRKEYGTDTILEDFEFGELEEVLQYYPQGYHGIDREGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERTLHEKFPACSIAAKKRISSTTTVLDVHGLGMKNFSRTAASLLSAMAKIDSSYYPETLHQMYIVNAGSGFKKMLWPAAQKFLDAKTVAKIQIVEPKSLYKLLEVIDSSQLPDFLGGSCTCASEGGCLRSNKGPWNDPDIMKLVHNEEAKFVRQIIKLPDGQHKFDSFQMLHPLKERCSDTSTAESGSDMNEYSSPNRYRSCPYPYLAPVREEVKVPDRNGYYSCDDSSLAVEKVIESDHFRLNQEQPVQSNDVGMFLVEQIQEVIVMRLFKKLYLYAVQNLSCRYTLSYLHVEVANS >Vigun02g136300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28602968:28605349:1 gene:Vigun02g136300.v1.2 transcript:Vigun02g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFPFTPIILFTLLIHNFSLILGQQPYIGLGTVACPRSGNKNSIRGYTCNGANSCEAFLTFRSQPIYNSVSTISTLLGSDPSQLAKINSVSLNDTFQTNKLVLVPVNCSCAGGEYYQTNTSYVFQNSETYFLIANNTFEGLTTCQALQNQNHNPANIYPGRKLLVPLRCACPTKNQTEKGIRYLLSYLVNWGDSVSLIADKFGVRSSTTLEANNLTLTQSTIYPFTTLLIPLHDKPSSSQTVSPTQLTPSPSSPPSSHHKKKWVFVVVGVVVGALALTSALCAVVFFTRCRKNRNEDGSMVVSKSFEEGKEKAPRSVNEKLSEVMSGIAQSFKVYDFEELKRATDNFSPSYWIKGSVYRGVINGDLAAIKKIEGDVTKEMEILSRINHSNVIRLSGVSFHEGRWYFVYEYAANGALSEWIYFNNVDGKFLSWTQRIQIALDVATGLDYLHSFSSPYIHKDIKSSNILLGADFRGKIANLSLVRRVEGGDDQFPATRHIVGTRGYMAPEYLEHGLVSTKLDVYAFGVVMLEMMTGKEVAAIVTEDETNLSNVLRVMLGEESGQERLKEFVDPSLRENFPFELGMFVVEMIDNCISNDPASRPSVHEIVQSLSRTLNSSLSWERSMNVPRS >Vigun11g088400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26397268:26398105:1 gene:Vigun11g088400.v1.2 transcript:Vigun11g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYLTTLNHSHPNSSPSLFLNNNLFVSFYSNLLQMDVFNTATIISDHGNKENVPPFCNSNVNKDKGKASIPHIMSISFKNKKRSTRKLKRLPLADITNLFNNSATDVFDLSDPQMGFSVIPRRIPCCSKTLRMRFR >Vigun04g059500.1.v1.2 pep primary_assembly:ASM411807v1:4:5998878:6000950:-1 gene:Vigun04g059500.v1.2 transcript:Vigun04g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTVLALPYAAQGHVNPMMTFSQKLVENGCKVIFVNTEFNHRRVVSSMVEQQDEESVLKLVSIPDGLGPHDDRNDQAKLCEAIPKTMPEALEKLIEDIHVKGESRINLIVADLCMAWALDVGRKMGIRGAVLCPASATIFTLLHSVPMLIHDGIVDSDLGLTSTTDRRIRISPCMPEMDTEDFFWLNMGEPKTGKKVLKYLLYCAEHIHLTEWWLCNTTPELEPGTLLYMPKILPIGPLLRNNDNDNNKSATTKSMGQFWEEDHSCMSWLDEQAHGSVVYVAFGSFTLFDQNQFNELALGLDLTNRTFLWVIREDNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVTHCGWNSTMEGLSNGVPFLCWPYFGDQLSNKTHICDELNVGLGIDKDKNGVVSREELKNKVEQFFNDDENMKSRSMVLKEKVLNNIAKGGTSYENLKKFVKEIEE >Vigun04g131200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32821199:32822347:1 gene:Vigun04g131200.v1.2 transcript:Vigun04g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGQAIKLYPSTPELSGGRISMRKTASKSVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLANHLADPVNNNAWAYATNFVPGK >Vigun03g225400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37484125:37487218:1 gene:Vigun03g225400.v1.2 transcript:Vigun03g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKTRHNDSLGVNKTGKNIRKSPLHQPNYGSNNNNNNVNGVRQQQQQPQPHVYNISKNEFRDIVQQLTGSPSQDPSSKPPQSNSPKPQSMRLQKIRPPPLPYVRPPLPASYSNNNLAPRPAQFRQPSPNPFPQPNTAESPISAYVRYLEHSITDPGSKGAQLQPLRPSSALLPNNPMLHSPRLNATVVVPVNGMNPLPPPPHPHVSGVPSPQTNGPPILPSPTSQFPLPSPNGYVNFLSPQSAYPPLLSPGIQFPSPFTPNFPFSPFGQSGSFGPGQQPPLSPGMFPLSPSGFFPITSPRW >Vigun03g225400.2.v1.2 pep primary_assembly:ASM411807v1:3:37484192:37485916:1 gene:Vigun03g225400.v1.2 transcript:Vigun03g225400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKTRHNDSLGVNKTGKNIRKSPLHQPNYGSNNNNNNVNGVRQQQQQPQPHVYNISKNEFRDIVQQLTGSPSQDPSSKPPQSNSPKPQSMRLQKIRPPPLPYVRPPLPASYSNNNLAPRPAQFRQPSPNPFPQPNTAESPISAYVRYLEHSITDPGSKGAQLQPLRPSSALLPNNPMLHSPRLNATVVVPVNGMNPLPPPPHPHVSGVPSPQTNGPPILPSPTSQFPLPSPNGYVNFLSPQSAYPPLLSPGIQFPSPFTPNFPFSPFGQSGSFGPGQQPPLSPGMFPLSPSGFFPITSPRWHSIEDQEHNWMVGQEL >Vigun06g054300.1.v1.2 pep primary_assembly:ASM411807v1:6:18063290:18068787:1 gene:Vigun06g054300.v1.2 transcript:Vigun06g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTAATALLDRRGKYNRCVSHVNDEFHTFRSYLRWMCVDQSNAFTATLSWSVFLLLAVVVPAASHFLLACPDCDGRHSRPYDGVVQVSLTTVATLSFLCLAGFVRKYGLRRFLFFDKLCDESETVRTNYMAQLNRSLKILSVFVGPCFVVECAYKIWWYASGASQIPFLGNVYVSDAVACILELCSWLYRITVIFLVCVLFRLICHLQILRLHDFAGFFHVDSDVASVMSEHLRIRRHLRIISHRFRAFILLALILVTGSQFASLLVTTKHSRDMNLYMAGELALCSVTLLSALCILLRSATKITHKAQAITGLAAKWHVCATLDSFDGAVTDGETPMAPQSSCETAFPNVGTDGESDTDEAGDEEDEINTTKFIPSYAYSTISYQKRQALVNYFENNRAGITVYGFMLDRSTLHTIFGIQLSLVLWLLGKTIGSF >Vigun04g022300.1.v1.2 pep primary_assembly:ASM411807v1:4:1707604:1710123:1 gene:Vigun04g022300.v1.2 transcript:Vigun04g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITCYLTKKVSDSSFTSRAIAVVDLNKSEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATESGYWKTTGKDKEIFRTGVLVGMKKTLVFYRGRAPRGEKSNWVMHEYRLENKHHLRPSKDEWVVCRVFQKSLLVKKPQQANSSQPDSPCDTVSMVNEFGDVELPNLNSIANSSGHSFMNADLSNNSVNTNMNLAMNWAVASEVQVQVPSLTSLPWPSGLLSPSISSVNSLLLKALQLRNYQQREAAAVATDHFAPFMGEGLSQVGTELSSNLTASSSSKVQECLPQQQLQQQQEQPFNLDSIW >Vigun05g005900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:486989:487891:-1 gene:Vigun05g005900.v1.2 transcript:Vigun05g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTISPASLVGKKKVLFIMGATGTGKTKLSINLAMQFPSEIINSDKIQVYKGLDIITNKVSESERYGIPHHLLAIIDDPDYDFTVDDFCNHVLLALDTIIQNGHLPIIVGGSNTYLAAMLEDPNIGFRSKYDCCFIWVDVSLPVLFPYLDKRVDEMVDAGVVDEIREAFVAGADCSRGIRRAIGVPELGEFFLVEKEIDDETQKEKMLQHAIMKTKENTRKLAEKQLSKIRKMNHELKMFRFDSTQVFEAVLKGVDYKQLYAELVFIPSIEIVKQFLEETTAVKKTSSQNAEQVPICV >Vigun02g091200.2.v1.2 pep primary_assembly:ASM411807v1:2:24620392:24621651:1 gene:Vigun02g091200.v1.2 transcript:Vigun02g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSSTHEKKSLHLREIPAPDKTLGMSKGSAFCKMGEGWTCIITKTEGPDAGKAFVKCGENCSCTIEGEAVSQELILAADAVSSSDSGSGSGAFCKCGEGWSCVIFRTEGPDAGSGKGFAECAGHCSCTVNDK >Vigun02g091200.1.v1.2 pep primary_assembly:ASM411807v1:2:24620634:24621651:1 gene:Vigun02g091200.v1.2 transcript:Vigun02g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSSTHEKKSLHLREIPAPDKTLGMSKGSAFCKMGEGWTCIITKTEGPDAGKAFVKCGENCSCTIEGEAVSQELILAADAVSSSDSGSGSGAFCKCGEGWSCVIFRTEGPDAGSGKGFAECAGHCSCTVNDK >Vigun09g008700.1.v1.2 pep primary_assembly:ASM411807v1:9:677273:679754:1 gene:Vigun09g008700.v1.2 transcript:Vigun09g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHADLEPSRRKTDLSSKTGAFLMVLTILIGLFCFVLCLIAEATRSEVTWMNRGGKENGAKSECVYSGSGKVPLLCAVSAFVGLAFAMVMQHTYMLIAVSKSSPSLLTWDPDSPSAKSLTLQAAFFFVTTWICFGVAEILLLAALSVESGHLKNWSKARRGCYSIREGLFSAAGVLALTTVFLAAGLYLIALRAQKMVEEVASVRREVLEASVLYASPPRSPQPHYMSTVARENPTSRENQNELLLSVFPTPFVKSYDFV >Vigun09g008700.2.v1.2 pep primary_assembly:ASM411807v1:9:677273:679754:1 gene:Vigun09g008700.v1.2 transcript:Vigun09g008700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGKENGAKSECVYSGSGKVPLLCAVSAFVGLAFAMVMQHTYMLIAVSKSSPSLLTWDPDSPSAKSLTLQAAFFFVTTWICFGVAEILLLAALSVESGHLKNWSKARRGCYSIREGLFSAAGVLALTTVFLAAGLYLIALRAQKMVEEVASVRREVLEASVLYASPPRSPQPHYMSTVARENPTSRENQNELLLSVFPTPFVKSYDFV >Vigun11g069400.5.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244703:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWGKLFSGPEIAVKRLSQNLGQGITEFINEVKLIAKLQHRNLVKLLDHIKGKLLDWKKRFHIICGLARGLTYLHEDS >Vigun11g069400.6.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244704:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWII >Vigun11g069400.1.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244703:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLTLFIPSLASAVNVSSISQSKSLTYGNTLVSNPLGTFEFGFFHFGNSNKIYLGIWYKNIPIQNVVWVANGANPINDSSAILTLNSSGNLVLTHNNMVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWGKLFSGPEIAVKRLSQNLGQGITEFINEVKLIAKLQHRNLVKLLGCCIQNQEKILVYEYMSNGSLDYFIFDHIKGKLLDWKKRFHIICGLARGLTYLHEDS >Vigun11g069400.2.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244704:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLTLFIPSLASAVNVSSISQSKSLTYGNTLVSNPLGTFEFGFFHFGNSNKIYLGIWYKNIPIQNVVWVANGANPINDSSAILTLNSSGNLVLTHNNMVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWII >Vigun11g069400.3.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244704:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLTLFIPSLASAVNVSSISQSKSLTYGNTLVSNPLGTFEFGFFHFGNSNKIYLGIWYKNIPIQNVVWVANGANPINDSSAILTLNSSGNLVLTHNNMVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWGKLFSGPEIAVKRLSQNLGQGITEFINEVKLIAKLQHRNLVKLLDHIKGKLLDWKKRFHIICGLARGLTYLHEDS >Vigun11g069400.4.v1.2 pep primary_assembly:ASM411807v1:11:20240943:20244703:-1 gene:Vigun11g069400.v1.2 transcript:Vigun11g069400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWCTSSPNFAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNLSISLVAWKSYDDPTPGEFSWGIKLNPYPNMYVMKGTKKYHRAGPWNGLRFSGRPDLRPNKIYNYEFVSNNEEIYYTWTLANTSFITIIVLNQTSPERPRYVWLEDSKSWQPYTTPADLCDHYGVCGANAYCAPSALPMCECLKGFEPKSPQNWDSTDWSKGCVLKHPLNCKNDDFVLVDGLKVPDTEHTFVDKSIDLAQCRSKCFNNCSCMAYTSNDISGAGSGCVMWFGDLFDIILYSNLTYGQGLYIRLPKSEIDAIIRPRKSKIRLVITITVSSGLLLLGIFFICKVWKNENVNSKQENNFETYVNDLDLPLFDLPSITAATNNFSDMNQIGEGGFGPVYWGKLFSGPEIAVKRLSQNLGQGITEFINEVKLIAKLQHRNLVKLLGCCIQNQEKILVYEYMSNGSLDYFIFDHIKGKLLDWKKRFHIICGLARGLTYLHEDS >Vigun01g028100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3343642:3344106:1 gene:Vigun01g028100.v1.2 transcript:Vigun01g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSFSVNFFLVALIAALFFAVTSAEELSAAAPAPGPDAGAAGSVSSSLAMIGASIVVSMLAILKQ >Vigun07g291300.1.v1.2 pep primary_assembly:ASM411807v1:7:40293691:40297762:-1 gene:Vigun07g291300.v1.2 transcript:Vigun07g291300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTVRKLEVVSPVPADIDIANSVEPVHISEIAKDLNLSPNHYDLYGKYKAKVLLSVLDDLQGSQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRSFSDVMFRRLKKLGISKTNPDDLTPEEVNKFARLDIDPNSITWRRVMDINDRFLRKITIGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKSGDPVSADDLGVGGALAVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGAEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPAVVAGRPLDHAYLTENVALVEAGCVNMARHISNTKSYGVNVVVAINKFSTDTEAELNAVRSAALAAGAYDAVICSHHAHGGKGAVDLGIAVQKACENVTQPLKFLYPLDLSIKEKIEAIAKSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSDNAAAKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDIDTTTGKVTGLS >Vigun02g027100.1.v1.2 pep primary_assembly:ASM411807v1:2:9305507:9308087:-1 gene:Vigun02g027100.v1.2 transcript:Vigun02g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCKEFKVGKCEGEKVVDGETMPLVLEPPASTKSDIESLLLALENNKDWFEQMIIKNSAVLLRGFDVKNAEDFNEIVETFGWEDIRYVGPAPRTHIYKRVWTANEGPLSEFIYYHHEMVLIKEFPKKVILFCEIPPPEGGETPFVPSFKVTERMVKEFPEEVKQMEEKGLKYSFIARSTQNDNSSMRGRGWEDAFGTSDRKVAEERAKALGMEMEWLPNGGVKTILGPRNLTKVFEGRKGRKMWFNTLVGMYGKELSSAMMADGTEIPEHVVKRCEEIIEEESIQFKWEKGDVLFFDNYALLHGRRPSLPPRKVLVATCK >Vigun10g140101.1.v1.2 pep primary_assembly:ASM411807v1:10:35692239:35692785:1 gene:Vigun10g140101.v1.2 transcript:Vigun10g140101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDGNPEMLPKWYAIVVVWGIFPSEIFPLEVRSAAQSINVSMNMILTFAIMQIFTTMLCHIKFGLFIFFACFVIVMNIFIYKLLPETTGISIEEMHVVWQSHSYWKKFVEPDADVKTISDEC >Vigun08g217100.1.v1.2 pep primary_assembly:ASM411807v1:8:37734300:37736414:1 gene:Vigun08g217100.v1.2 transcript:Vigun08g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKLKLMLPLLFLFMIPPKPAYGEFEQWCVADEQATQNELQTALDWACGKGGADCRKIQVNQPCYLPNTLKDHASYAFNSYYQKFKHTGGSCNFRGAAMTTETDPSHDSCHYDFIP >Vigun02g077200.1.v1.2 pep primary_assembly:ASM411807v1:2:22971309:22973050:-1 gene:Vigun02g077200.v1.2 transcript:Vigun02g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYFFRWDLFVVFVHVRVSKKNGTLIQNFRTWEQNKMKKEMWSERKEEHDLDGSFFNIGSFAPKVQTNE >Vigun06g227500.1.v1.2 pep primary_assembly:ASM411807v1:6:33512595:33515589:-1 gene:Vigun06g227500.v1.2 transcript:Vigun06g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFSTSAEKEIVRDVKEKLAYVALDFEQEMETTKSSSAVEKSYELPDGQVITIGSERFRCPEVLFQPSLIGMEATGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Vigun03g016400.11.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQLWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNVVDSSGTLYWIYSVPWGDMDSARDNQSSNIAVHYFVHRCNE >Vigun03g016400.8.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQLWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVIWGLISFIFLCGAVYLGAVILS >Vigun03g016400.3.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVICVSGCCDLVMTALVLS >Vigun03g016400.10.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1150989:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIGKYGSNLLS >Vigun03g016400.2.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151685:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNVVDSSGTLYWIYSVPWGDMDSARDNQSSNIAVHYFVHRCNE >Vigun03g016400.5.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVICVSGCCDLVMTALVLS >Vigun03g016400.9.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQLWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVICVSGCCDLVMTALVLS >Vigun03g016400.6.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGLRIYSVPWGDMDSARDNQSSNIAVHYFVHRCNE >Vigun03g016400.7.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNVVDSSGTLYWIYSVPWGDMDSARDNQSSNIAVHYFVHRCNE >Vigun03g016400.1.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVIWGLISFIFLCGAVYLGAVILS >Vigun03g016400.4.v1.2 pep primary_assembly:ASM411807v1:3:1148560:1151684:1 gene:Vigun03g016400.v1.2 transcript:Vigun03g016400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNWELNNCCNHEQVVFLVTVGVCTVVILALWRTVILRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYIGSSFWGMVLILASTKLLTARIAAGCFIAALLIVLFVAKNWTLRGLCIGFIVFLGVIWILQETTKVRILRYIILFIDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVIWGLISFIFLCGAVYLGAVILS >Vigun03g120500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11243940:11244609:-1 gene:Vigun03g120500.v1.2 transcript:Vigun03g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNLVFILTIISMCSICLAQSSSKDFLDEHNKARKEVGVEPLVWNETLVTYGQNYVNSKKKTCEFEHSMGPYGENLAMGYGEFSGRDSVKMWVGEKPNYDEKSNKCVKDECLHYTQVVWKDTKSVGCARAKCDNNAIYIICNYYPPGNYIGSRPY >Vigun11g124600.1.v1.2 pep primary_assembly:ASM411807v1:11:33197172:33200927:-1 gene:Vigun11g124600.v1.2 transcript:Vigun11g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPYPVSESSCESGTPGIIGYLKLLHTILITMPSCHNTNNNLNLREGLTQNHSKTRKRGCSSSSSSSLVRRYRFKRAILVGKKGGSSTPVPMWKTKTTSPSLPTHHTKPLHSSASMLPSSKDRELSVSARKLAATLWEINDLPPSRVKKEFEVDQIRGCKEKGRGREKSSGLSRSGFLRSQMSDPSHSPASERMKGLEGDSCRRRVSGFSHQLLSGDYYLDALDAHSNANLIEEVENQLRSEKNRGKCSGGVKNRLKEARSGLSTSKKLLKVLNQMCLREQQASSMPLVLALGSELDRVCHQVDQLIQEQRSNQNDMEYVMKHFGEEKAVWKRRERERVHEAIKHVAEELAVEKKLRRQTERLNKKIAKEMASVKASHLKTSKELEREKRAKEILEQICDELARGIGEDRAQVEELKRESAKVREEVEKEREMLQLADLLREERVQMKLSEAKYQFEEKNAFLEKLRNELEDYVRTKDGQNEDVSPECKNIKDLESYFNNVCRGFQNAEKEDDSDVENDVGQGRDDDSDDSDLHSIELNMDDISKGYKWSFASENDGQEKRFSMDKESIGRKSFSEKIQWGSICFNKGTSSGRKRDFSINIKEVSDHFDPDRSIEFLSQARIQDDTDETQSKRSIKGLQDSISCANSDKINGRLLTLQCAGGEAGEKALALEDDNLKQETAGEKKS >Vigun05g135000.1.v1.2 pep primary_assembly:ASM411807v1:5:16038111:16040457:1 gene:Vigun05g135000.v1.2 transcript:Vigun05g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSSDKRKSEEQKPKEQKPKASENKPIMTE >Vigun07g191500.1.v1.2 pep primary_assembly:ASM411807v1:7:30984240:30991703:-1 gene:Vigun07g191500.v1.2 transcript:Vigun07g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHNLSRFSLLPFENVATRHRNNLPLSLRIVYNSHHNRSMALKAASGSAPSADKSNVSKEEEKSETYSHNMTEAMGAVLTYKHELGMNYNFIRPDLIVGSCPQTPDDVEKLRRIGVKTIFCLQQNPDLEYFGVDIKAIQEYAKAHDVQHLRAEIRDFDAFDLRMRLPAVVSKLYKAINSNGGVTYIHCTAGLGRAPAVATAYMFWVLGYTFKEAHTLLQSKRECFPKLDAIKSATADILTGLSKKPVTLSWEDSNCSRVEISGLDIGWGQRVPLDYDDKSGLWYLERELPEGRYEYKYIVDGVWTCNNDELVTSPNKDGHVNNFIQVLDNSSSDRVSLRQRFSSDDPDLTAEERLRIKEFLEACPDEDL >Vigun10g154100.1.v1.2 pep primary_assembly:ASM411807v1:10:37446975:37447580:-1 gene:Vigun10g154100.v1.2 transcript:Vigun10g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKSTTSSPTLTFVKWNLGTCQCYWGNQMHNSISQNGFSLVLLISSIQTVKELTGQPSVASGNPPEKIVRLEAPTPTLSLPQRRLLFTTKVAFHVVRNPTGLFTNTMLSPFTKARGLLFCVV >Vigun04g150700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36681940:36682981:1 gene:Vigun04g150700.v1.2 transcript:Vigun04g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYELQVKLESARGLKNVNWRHGANRPYAVVWVDAKNKCSTSVDEDGDTEATWDQTLVFPLPPEPLENLTLHVDVVHAGSEEDIEPIIGSARLKLVEVLEEVGVGARVPRTLSLKRPSGRPQGNVDVNVVIRASGGYRTQDAYYAPPLGVRDYSPVSQGYGYPHQDNNSYYHSAIQTTSYAQPQTASYGHGSEYAPREEKSKFGGMGTGLAVGAVAGVLGGVALVEGVDFLEEKIADDVADNVEDDLGYDEDDF >Vigun06g234700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34029224:34029892:1 gene:Vigun06g234700.v1.2 transcript:Vigun06g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITPALCGPVLNTSFLRKQPLNISSMRAFQNANAVFGVKGGNGGRVTAMAAYKVKLITPEGEKEITCPDNEYVLDAAEEVGIDLPYSCRAGSCSSCAAKIVSGQVDQSDGNFLDDDQIDAGFVLTCVAFPTSDLVIQTHKEDDLVA >Vigun05g051300.1.v1.2 pep primary_assembly:ASM411807v1:5:4387218:4393455:1 gene:Vigun05g051300.v1.2 transcript:Vigun05g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNGYDAEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTDNLLEGGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYNRDAGN >Vigun08g115200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28185779:28189120:-1 gene:Vigun08g115200.v1.2 transcript:Vigun08g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMASSLCNIFLLLCLFNVLAYPVAVHSAADVPINVTKHFSFYNFSFSNNPRLVHDVKLLGSAKFSDEKGSLQIPDESQYADIRHQAGRGIYSFPIRLLDPTTKTPASFQTTFSFQLNNSTASEQAAYGGSGLTFIIVPDEFTVGRPGPWLGMLNDACENDYKAVAVEFDTRKNPEFGDPNDNHVGINLGTIVSTKVINVSDVGVSLKDGFVHRAWITYDGPQRRMDIRLGRANEEDYPSTPIFSESVDLSPYLNEYMFVGFSASTGNHTQIHNILSWNFTSVSQAFLRFPSSETCQGKILLENSTAPPTTEKKSSKNEPPRSFLIFMGSVALALALFLGFYFISRHRRNASKTKTSVETEIHLPRPPNKPRRFTFSQLSSSTRSFSEIELLGSDSRGEYYRGKLSNGSQVAVKRFSNQFLNTHGSDKKRLLKEIKVIGHVRHPNLLPVRGWCQDNHEIMVVYDFVPNGSLDKWLFGAGVLPWTRRFKVIKDVADGLSFLHTKQLAHKNLKCSSVFLDVNFRAVLGDFGFVLLGAESKQFESAVCHGADVFEFGVLVLEVIAGRVRDEKQEGNPEERNLLDYAWNLHQIDEKVKLVDRRMGSLINLEQAIRVLEIGLLCTLNENKGRPSMEQVVEFLLNMDKPIPELPKTRPVSLFPYNSANTGLCNTYSCTF >Vigun03g437500.1.v1.2 pep primary_assembly:ASM411807v1:3:64112528:64114095:-1 gene:Vigun03g437500.v1.2 transcript:Vigun03g437500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGRSWEREQEEESSGCFRRRFLGLKRNQVIDCSLSRSEIEDSDFSEELFEINLKEPLVLDTIREDCESTVFSLDIHNCNDNDDEYDDDEDDDDVVYVAVSNNDEHSSPSMEALSWALKHAVTPSATVVRLLHVFPQVKLIPSPLGKIPRSQVNEEYTNMHLAQERAKRKLLLQKFIDLCVHSKVKVEMMLIEGDDVAKAIMDHVRNLNIRRLVVGISGSNLRKCVSGRNSGIAGKVLNYAQESCDVKIICEGIEVIDQMSECTSPRSSTDNGTSINEFREFFPLKLFMLSWIWLFK >Vigun10g094600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:27281111:27281764:1 gene:Vigun10g094600.v1.2 transcript:Vigun10g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFMALVSVLSRVLLRKCIHMYNEYAHLLLDMAFVLVSSVTFSGMRFRPTEAVSGRISPMTSMMGRPDR >Vigun06g139500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26492122:26495742:-1 gene:Vigun06g139500.v1.2 transcript:Vigun06g139500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLFEGSDSENDDISKINIDEGYARKFEHNKKREDLQRFEELKKKGVIDSPSSSHSGGEEDSESEPLDDDDDEEKLRNSTKFDKELFGALIRVKKQDPILKQKDVELFDTDDSRDDESNEEGNLKSKDQRVEKPMYLKDVMAKHLIEEGADFGHEEEIDERVKQKAKKVMPSKDEHFVNKDGKKTYGDEQEELKRAFLRAVEKEGLEDGEEEFFTVKGKEGEEDKVDSDHKELEEKLDEYFGGDVESNEESKFLRSFFSNKMWVDKDLDVGVDTDLSEDDIDLERMEEYEYRYQENPGDRVLGHARKVEGSVRKKTNTRKEQRKSKEERMAIAQKEREEELKHLKNLKKQEIQEKVKKIMETAGINDDDLIPLSMAEIEEEFNPEEYDRMMKKAFDDKYYNAEDADPDFCSDNDDIEKPDFEKEDELLGLPKGWDASGSNGGFLAAREKVLKEKIENTSDDDLPEGGDEGDEEEEKIPEEGSRKRKRKTALLEKARQAMMDEYYKLDYEDTIGDLKTRFKYAKTKPNRFGMSASEILLMDDKELGQYVSLKKLAPYRDEEWKLSKQKRYALKMRAKELLRASLDKKKRKKSKVDSGKKTSSKSVVEEEKASPGESSVNTDNLSRKAKRRRQGANLKLSQLRLKAYGKIPSKSKHGGKH >Vigun06g139500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26492122:26495742:-1 gene:Vigun06g139500.v1.2 transcript:Vigun06g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLFEGSDSENDDISKINIDEGYARKFEHNKKREDLQRFEELKKKGVIDSPSSSHSGGEEDSESEPLDDDDDEEKLRNSTKFDKELFGALIRVKKQDPILKQKDVELFDTDDSRDDESNEEGNLKSKDQRVEKPMYLKDVMAKHLIEEGADFGHEEEIDERVKQKAKKVMPSKDEHFVNKDGKKTYGDEQEELKRAFLRAVEKEGLEDGEEEFFTVKGKEGEEDKVDSDHKELEEKLDEYFGGDVESNEESKFLRSFFSNKMWVDKDLDVGVDTDLSEDDIDLERMEEYEYRYQENPGDRVLGHARKVEGSVRKKTNTRKEQRKSKEERMAIAQKEREEELKHLKNLKKQEIQEKVKKIMETAGINDDDLIPLSMAEIEEEFNPEEYDRMMKKAFDDKYYNAEDADPDFCSDNDDIEKPDFEKEDELLGLPKGWDASGSNGGFLAAREKVLKEKIENTSDDDLPEGGDEGDEEEEKIPEEGSRKRKRKTALLEKARQAMMDEYYKLDYEDTIGDLKTRFKYAKTKPNRFGMSASEILLMDDKELGQYVSLKKLAPYRDEEWKLSKQKRYALKMRAKELLRASLDKKKRKKSKVDSGKKTSSKSVVEEEKASPGESSVNTDNLSRKAKRRRQGANLKLSQLRLKAYGKIPSKSKHGGKH >Vigun02g195500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33120283:33122613:-1 gene:Vigun02g195500.v1.2 transcript:Vigun02g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIFLPSIFILLSLLNGFHAIVQPNTSITAGSNSTWKSPSDDFEFGFLHLPSGLFLVGIWFGRISDITLAWYLSPPVEQNSQIQFTSAGNLVVVHPNGTTANTIYNSAEGDAATSADMQDDGNFVINGSNLVSVWQSFNSPTNTILPGQTLLSTKTLFSKGKGLSNYSLGSFMLQMQDDGNLLLRAYQWDDPAYWYISTTTPNVTLVFNSTTALMYLITGTGNIYSITNTTPTPVEDYYHRAVIDENGNFQQYAYHKRNGTGWRRVWRAVEDPCRVNVICGVYGLCSSPDNESVKCECIPGYIPFDDQDISKGCHPPIVINYCAENNFKLHVFDDTDFHFNTQLVNLSGVDLESCKKAVIDDCNIVAATYDPSTSTCVKKRLPLLNARNSSSSKGLKALLKAANRVESELPKKKSFNVRVLLKVLVAVTATLACFFGALAVYYHPFTRRLTRRKKHLNATAIGINFREFTFQELHEATDGFTKILGKGASGKVYRGALVIGDAEIDVAVKKLEKKIEKSESEFTTELKIIGRTHHRNLVRLLGFCIESSHRILVYELMPNGALSSYLFGEGERPEWGQRMEMALGVARGLLYLHEECNTQIIHCDIKPENVLLDANYTPKIADFGLSKLLNKDQTRTNTNLRGTMGYMAPEWLKSAPITAKVDIYSYGVMLLEIICCRRHVEICGDGKDSEDYDVVLTSWVLRCVVLKQLELVVRHDSEVLNDFKRFEEMALIGLWCVHPNPALRPSMKHVMQMLDGTVEVGVPPLVYEQMMAD >Vigun06g158200.2.v1.2 pep primary_assembly:ASM411807v1:6:28159047:28161383:-1 gene:Vigun06g158200.v1.2 transcript:Vigun06g158200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLPLSQLPFVYPATPRSNKAYPFWPCKRRSSQPTRLHCNKMFVPGFGEASPEAKAAKNLHNFFTYIAVKIVAAQLESYNREAYDELIEFLGRHSLNDGDKFCAAMFRESSGHKNLGNGYYQATQSSIGI >Vigun06g158200.1.v1.2 pep primary_assembly:ASM411807v1:6:28159047:28161383:-1 gene:Vigun06g158200.v1.2 transcript:Vigun06g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLPLSQLPFVYPATPRSNKAYPFWPCKRRSSQPTRLHCNKMFVPGFGEASPEAKAAKNLHNFFTYIAVKIVAAQLESYNREAYDELIEFLGRHSLNDGDKFCAAMFRESSGHKNLALRIMEVRSAYCKSDFEWDNLKRLALKMVTESNTKLMTEYVLETSHVESEK >Vigun06g064600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19308215:19309723:-1 gene:Vigun06g064600.v1.2 transcript:Vigun06g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWIFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPIYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLEILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDKLRLLYLDEMGGMVIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILSQMFYGYKLFNKQNSYFFDSGPRELFISISILKLVISIGIYPDFIFSFSADKVEAIISHF >Vigun04g145300.1.v1.2 pep primary_assembly:ASM411807v1:4:35995605:35997991:1 gene:Vigun04g145300.v1.2 transcript:Vigun04g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIGASKFEEGLRRYWTFHHLKGNNAKPDELHGIISAGAMGLKLHEDWGTTPAAIDTCLSVAEQYDIQVNIHTYTLNEYGFVEHTIDAFKGRTIHAYHRHFIGSEGAGGGHAPDIIKSMWCEEWFAIFDKSNAPLYV >Vigun06g169250.1.v1.2 pep primary_assembly:ASM411807v1:6:29075641:29078103:1 gene:Vigun06g169250.v1.2 transcript:Vigun06g169250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTFRSTGKRVPGVGRSPFKNHDLLPDRSSSFVGIFPLPLTHGAAHHSPQQSRRRPSEDLPTSPRHRFSRRDQRRHPQFASPAPLRPTEVNAGAANQKRHRRRRWHSFLSFTRSIFSSPSLQPSRAGSGTAVCTVAVPRPLGLSVGIRRRFSPPEFYFRLVAIWCFVCDDFCSKKTLSLLGSRSALLFEFGYVSGVGSENLLKSISKLVLFFTAAITFRKSTS >Vigun08g053600.1.v1.2 pep primary_assembly:ASM411807v1:8:6464713:6468101:1 gene:Vigun08g053600.v1.2 transcript:Vigun08g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAGKKKDHEVPAPATRANGHHPSKSWEEKRGVAAAVVSSSSSKALDEDTAMFINMSQELREEGNRLFQRKDNEGAMLKYEKALKLLPRNHIDVAHLHTSMAICYMQLGLGEYPRAISECNMALQVSPRYTKALLKRAKCYEALNRLDLAMRDVRVVLNLEPNNSTALEVLDSLRETMEEKGIVIDETEIALAALQQHPEPPSARLRKVVREKIKKNRKENKGEDEGKAKKIIVEEKVKADNVRKKDKEKSGKLEKGKLGKEDKGKLAKEEKGKLGKEEKENLGKEEREKFGKEEKGGVTRTVKLIYGEDIRWAQLPVNCGIRLVRDVIRDKFPGVKGVLVKYKDREGDLVTITNTSELRLAETCHVLGSIRLYVTKVEPEEEPFYDDGVVADSGKVKVVENGNGVVGKGRSSTVEDWLVQFARLFKNHVGFDSDAYLDIHGIGMKLYSEAMEDTVTSEAAQELFEIAGDRFQEMAALALFNWGSVHMSRARRRVTFPEDGSRDSSFECIQVAYEWAQKEYINAEKRFGEAVRIKPDFYEGLLALGHQQFEQARLCWCYLTATEKDLEVSHSDEVLQLFNKAEDNMEKGMMMWEEMEERRLNGLSKTDKYKEQLEKMGLHGLFRDVSADEADEQAARMRLQIYLLWGTLLYERSVVEFKLGLPTWEECVEVAVEKFELAGASTTDIGVMIKNHCSNETAMEGFKIDEIVQAWNEMYDGWQFDVPSFRLEPLFRRRVPKLHYILEQF >Vigun08g053600.2.v1.2 pep primary_assembly:ASM411807v1:8:6464713:6468923:1 gene:Vigun08g053600.v1.2 transcript:Vigun08g053600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAGKKKDHEVPAPATRANGHHPSKSWEEKRGVAAAVVSSSSSKALDEDTAMFINMSQELREEGNRLFQRKDNEGAMLKYEKALKLLPRNHIDVAHLHTSMAICYMQLGLGEYPRAISECNMALQVSPRYTKALLKRAKCYEALNRLDLAMRDVRVVLNLEPNNSTALEVLDSLRETMEEKGIVIDETEIALAALQQHPEPPSARLRKVVREKIKKNRKENKGEDEGKAKKIIVEEKVKADNVRKKDKEKSGKLEKGKLGKEDKGKLAKEEKGKLGKEEKENLGKEEREKFGKEEKGGVTRTVKLIYGEDIRWAQLPVNCGIRLVRDVIRDKFPGVKGVLVKYKDREGDLVTITNTSELRLAETCHVLGSIRLYVTKVEPEEEPFYDDGVVADSGKVKVVENGNGVVGKGRSSTVEDWLVQFARLFKNHVGFDSDAYLDIHGIGMKLYSEAMEDTVTSEAAQELFEIAGDRFQEMAALALFNWGSVHMSRARRRVTFPEDGSRDSSFECIQVAYEWAQKEYINAEKRFGEAVRIKPDFYEGLLALGHQQFEQARLCWCYLTATEKDLEVSHSDEVLQLFNKAEDNMEKGMMMWEEMEERRLNGLSKTDKYKEQLEKMGLHGLFRDVSADEADEQAARMRLQIYLLWGTLLYERSVVEFKLGLPTWEECVEVAVEKFELAGASTTDIGVMIKNHCSNETAMEGFKIDEIVQAWNEMYDGWQFDVPSFRLEPLFRRRVPKLHYILEQF >Vigun05g246100.3.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDEMIVLAEPDPGDMVHAIQKAISMLPKIDPQVMHNRMRELYNWHDVARRTEIVYDRALKCSNQNLLERLSRYLFCGAWAGKLFCLVMIVGFLLWQLLELWQPVDDIEEVPDFSLPHNCDEDVWEENPVK >Vigun05g246100.7.v1.2 pep primary_assembly:ASM411807v1:5:43925100:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPESLIRVIWCMQFKRQYLCCQRLIRKSCIIE >Vigun05g246100.2.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDPQKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVATHAYGNRSGVRYMTGGLKVYYVPCRPFVMQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPESLIRVIWCMQFKRQYLCCQRLIRKSCIIE >Vigun05g246100.6.v1.2 pep primary_assembly:ASM411807v1:5:43925100:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDPQKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVATHAYGNRSGVRYMTGGLKVYYVPCRPFVMQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPESLIRVIWCMQFKRQYLCCQRLIRKSCIIE >Vigun05g246100.5.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDEMIVLAEPDPGDMVHAIQKAISMLPKIDPQVMHNRMRELYNWHDVARRTEIVYDRALKCSNQNLLERLSRYLFCGAWAGKLFCLVMIVGFLLWQLLELWQPVDDIEEVPDFSLPHNCDEDVWEENPVK >Vigun05g246100.4.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDPQKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVATHAYGNRSGVRYMTGGLKVYYVPCRPFVMQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDEMIVLAEPDPGDMVHAIQKAISMLPKIDPQVMHNRMRELYNWHDVARRTEIVYDRALKCSNQNLLERLSRYLFCGAWAGKLFCLVMIVGFLLWQLLELWQPVDDIEEVPDFSLPHNCDEDVWEENPVK >Vigun05g246100.8.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPESLIRVIWCMQFKRQYLCCQRLIRKSCIIE >Vigun05g246100.1.v1.2 pep primary_assembly:ASM411807v1:5:43925101:43929958:1 gene:Vigun05g246100.v1.2 transcript:Vigun05g246100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDPQKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVATHAYGNRSGVRYMTGGLKVYYVPCRPFVMQNTLPTFYGLLPIIRIILIRERITVVHGHQAFSTFCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVVPNAVDTTIFKPAVKRPSGPEIVIVVISRLVYRKGVDLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHVQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDEMIVLAEPDPGDMVHAIQKAISMLPKIDPQVMHNRMRELYNWHDVARRTEIVYDRALKCSNQNLLERLSRYLFCGAWAGKLFCLVMIVGFLLWQLLELWQPVDDIEEVPDFSLPHNCDEDVWEENPVK >Vigun03g201401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:30888880:30889401:-1 gene:Vigun03g201401.v1.2 transcript:Vigun03g201401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFTLMSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun07g160100.1.v1.2 pep primary_assembly:ASM411807v1:7:27192164:27195550:-1 gene:Vigun07g160100.v1.2 transcript:Vigun07g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGRKGRCSCSAPSDKDHLLLLLILFLPMLLPMVESRTLNYTRHTQTSTLRLQRITRHLDKINKPPVLTIESADGDVIDCVHKRKQLALDHPLLKNHKIQKMPTEMPRGMMKVNEGDEGESMAWQTWHLNGTRCPKGTVPIRRSTVHDVLRAKSLYDFGKKRSRLVPLSRRNDAPDVLSANGHEHAIAYTGSSQEMYGAKATINVWDPSIQVMNEFSLSQLWILSGSFDGTDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYRATGCYNLLCAGFIQTNSRIAIGAAISPVSSYGSNQYDITILIWKDPKVGNWWMSFGDKTLVGYWPAELFTHLAEHATMVEWGGEVVNSRSNGQHTFTQMGSGHFAEDGFGKASYFRNLQIVDMDNSLSSVQSISTLAENSNCYDIKSFYSNEWGTYFYYGGPGNNPQCP >VigunL085900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000654.1:31551:35784:1 gene:VigunL085900.v1.2 transcript:VigunL085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun01g175600.1.v1.2 pep primary_assembly:ASM411807v1:1:35688334:35692871:1 gene:Vigun01g175600.v1.2 transcript:Vigun01g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPKCSTVFLFLLWLLLLINNGVEALHKIYPHLQSVSAISVGTQHRTGYHFQPPRHWINDPNGPMYFNGFYHLFYQYNPNGSVWGNIVWAHSVSKDLINWESLEHALYPSKSFDKYGCWSGSATIVPGIGPVIQYTGVVDEKQTQVQCYAIPADLKDPRLRKWVKPDDMNPFLVADKPVNGSAFRDPTTAWWSKDGHWRMVVGSRKKDRGVAYMYRSRDFKKWVRSQHPLHSKKDTGMWECPDFYPVSLKSNLGLDASAEGEHMKHVLKNSLDVTRYEYYTVGTYRRDKDRYVPDKTSEDGWGGLRYDYGNFYASKSFFDPSKNRRVIWGWANESDTKEDDVRKGWAGIQAIPRTVWLDPNQRQLLQWPVEELNNLRGKEVTLNKQKLQKGEKVEVKGISAAQADVELEFSFSSLDKAEKYDDKWVDAQEACANKGSNVEGGVGPFGLLTFASEKLEEFTPVFSEFSKIKRSMSFSCAPMQEDRL >Vigun01g175600.3.v1.2 pep primary_assembly:ASM411807v1:1:35688334:35692871:1 gene:Vigun01g175600.v1.2 transcript:Vigun01g175600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNGFYHLFYQYNPNGSVWGNIVWAHSVSKDLINWESLEHALYPSKSFDKYGCWSGSATIVPGIGPVIQYTGVVDEKQTQVQCYAIPADLKDPRLRKWVKPDDMNPFLVADKPVNGSAFRDPTTAWWSKDGHWRMVVGSRKKDRGVAYMYRSRDFKKWVRSQHPLHSKKDTGMWECPDFYPVSLKSNLGLDASAEGEHMKHVLKNSLDVTRYEYYTVGTYRRDKDRYVPDKTSEDGWGGLRYDYGNFYASKSFFDPSKNRRVIWGWANESDTKEDDVRKGWAGIQAIPRTVWLDPNQRQLLQWPVEELNNLRGKEVTLNKQKLQKGEKVEVKGISAAQADVELEFSFSSLDKAEKYDDKWVDAQEACANKGSNVEGGVGPFGLLTFASEKLEEFTPVFSEFSKIKRSMSFSCAPMQEDRL >Vigun01g175600.2.v1.2 pep primary_assembly:ASM411807v1:1:35689434:35692871:1 gene:Vigun01g175600.v1.2 transcript:Vigun01g175600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNGFYHLFYQYNPNGSVWGNIVWAHSVSKDLINWESLEHALYPSKSFDKYGCWSGSATIVPGIGPVIQYTGVVDEKQTQVQCYAIPADLKDPRLRKWVKPDDMNPFLVADKPVNGSAFRDPTTAWWSKDGHWRMVVGSRKKDRGVAYMYRSRDFKKWVRSQHPLHSKKDTGMWECPDFYPVSLKSNLGLDASAEGEHMKHVLKNSLDVTRYEYYTVGTYRRDKDRYVPDKTSEDGWGGLRYDYGNFYASKSFFDPSKNRRVIWGWANESDTKEDDVRKGWAGIQAIPRTVWLDPNQRQLLQWPVEELNNLRGKEVTLNKQKLQKGEKVEVKGISAAQADVELEFSFSSLDKAEKYDDKWVDAQEACANKGSNVEGGVGPFGLLTFASEKLEEFTPVFSEFSKIKRSMSFSCAPMQEDRL >Vigun08g221900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38006378:38007808:-1 gene:Vigun08g221900.v1.2 transcript:Vigun08g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVTTMEKAARLVFIPGLGAGHLVSAIQFANLLLERHHHISITLLVIKLPSDTTTAAYTHSLLNSQRLQLINLPETPSNSQPTLMNETIELQKPHVREAISNLSPTPPLAAFVVDMFFTTMIDVAKEFNVPSLVFFTSGLAFLGLMLHLHTLKEEENAEFTEFDAEWVIPSFAKPIPARNFPSVTLRKEWEEVFMNFGRGLKKADGFIVNSFEELESHAAQSFFHGPQAVYAVGPILNPKPKPHANAHADNADIFDWLDQQPPSSVLFLCFGSMGSFGEDQVREIARALENSGARFLWSLRKPPPKGSTFMTPPSDYAPSELPPILPAGFLDRTAGIGKVIGWAPQAQILAHRATGGFVSHCGWNSSLESIHFGVPIATWPLYAEQQTNAFLLVRELEIALEISLDYRVDFMGEAPSVLSAEKIENGIRNLVEIDDERRKRVVEASEKSRTTLLEGGCSHSSLGRFVDYVMNQV >Vigun02g021400.4.v1.2 pep primary_assembly:ASM411807v1:2:7404510:7407543:1 gene:Vigun02g021400.v1.2 transcript:Vigun02g021400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNSKEPFVEVKEGMNNDCGCKNSGFTKGGMVKWPFMNKVPVHPHLMSLNTPQKSVNHDGQGGGIHFSLTPYPALHDVNYMNRPHNVKMFPSLKSPFATVAQNMNASGMKQPLLGGIPVTAPHSCVKPCVSTPKLTIFYAGTVNVFDDITPEKAKAIMLMARNGISVASNVTVPNNVEAARHSKPIPLSSPLSVSSHSGAKSGSVSSSSDEFLTPKTFVNKADTPKAVNTNTMLPSAVPQARKASLARFLEKRKERVLSAAPYNLNKKCENMSEESASEGADAV >Vigun02g021400.6.v1.2 pep primary_assembly:ASM411807v1:2:7405787:7407543:1 gene:Vigun02g021400.v1.2 transcript:Vigun02g021400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPHNVKMFPSLKSPFATVAQNMNASGMKQPLLGGIPVTAPHSCVKPCVSTPKLTIFYAGTVNVFDDITPEKAKAIMLMARNGISVASNVTVPNNVEAARHSKPIPLSSPLSVSSHSGAKSGSVSSSSDEFLTPKTFVNKADTPKAVNTNTMLPSAVPQARKASLARFLEKRKERVLSAAPYNLNKKCENMSEESASEGADAV >Vigun02g021400.2.v1.2 pep primary_assembly:ASM411807v1:2:7404409:7407543:1 gene:Vigun02g021400.v1.2 transcript:Vigun02g021400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWPFMNKVPVHPHLMSLNTPQKSVNHDGQGGGIHFSLTPYPALHDVNYMNRPHNVKMFPSLKSPFATVAQNMNASGMKQPLLGGIPVTAPHSCVKPCVSTPKLTIFYAGTVNVFDDITPEKAKAIMLMARNGISVASNVTVPNNVEAARHSKPIPLSSPLSVSSHSGAKSGSVSSSSDEFLTPKTFVNKADTPKAVNTNTMLPSAVPQARKASLARFLEKRKERVLSAAPYNLNKKCENMSEESASEGADAV >Vigun11g143700.1.v1.2 pep primary_assembly:ASM411807v1:11:35322217:35323848:1 gene:Vigun11g143700.v1.2 transcript:Vigun11g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKWSILVAIFILLIAMEAAIAQGQGNGNGNGNGNGNGKGSDNGNGKGKNKDGKEKKPKEKKPKKQHDEASDYDKLSALPSGQERGFCRTNTTCESKTIVCPTECAKRKPKKNKNQKACFIDCSNRKCEATCKVRKANCDGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALAVMFDSHTLVIAANRVSHWDDTSDSLTIKWDGELVNVPIDGEAEWRANGGEREVVVERTDETNSVRVTVSGLVEMDIGVKPIGEKENKVHNYQLPQDDAFAHLETQFRFKKSTDLFEGVLGQTYRPGYVSPVKRGVAMPMMGGEDKYQTLSLFSASCKQCKFQRPSEIASTKGLVAKY >Vigun02g011000.1.v1.2 pep primary_assembly:ASM411807v1:2:4329585:4332948:-1 gene:Vigun02g011000.v1.2 transcript:Vigun02g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLIRNKTHNLIPTPKNSSFLSLILSSTMSSSSSDADNLPLREIPGSYGLPFFGPIVDRHNYFYHEGRDKFFASRIHRHNSTVIRTNMPPGPFISSDPRVVALLDGTSFPVLFDNDKVQKLNVLDGTYMPSTKFTGGFRVCAYLDTAESNHKLIKGFFLQALARRKDVFLPLFRNCLSESFAEIEDQLSKNTKAGFNDVFSQASFNFMFRLFCDNKDPSQTNLASKGPKLVDTWLLFQLAPLATLGLPKIFNYVEDFVIRSFPFPAFLTKSGYNTLYDAFKTHATTLLDEAEKLGLDRNEACHNVVFTAGFNAYGGLKNQFPVLLKWVGLAGDKLHADLASEVRRVVSAEGGVTLNALEKMPLVKSVVYEVLRIEPVVPYQYGRAREDMVVRSHDASFEVKKGEMLFGYQPFATKDPRIFEDAEVFVARRFVGEGEKMLKHVLWSNGKETEEASVSNKQCAGKNLVVLLCRLFLVDLFLRYDTFEFEFKQSGFGPSITLKSLTKASTLF >Vigun07g047700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4918620:4920093:1 gene:Vigun07g047700.v1.2 transcript:Vigun07g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGNLVVFAMTVARVPDQVAAASFSCWPTRNSFFPDERNTVVSTFVTFWVCVGACSVALFLCGGSVFFFLAMAFGHLYMGGGVDALVHLWFASQICIWIWFVATHTWLVMVIAANGGVSRVCRAAMVCRSCDVRSMSTCEWVACERDKGGLLAFCCAELSFK >Vigun02g164400.3.v1.2 pep primary_assembly:ASM411807v1:2:30923196:30925486:-1 gene:Vigun02g164400.v1.2 transcript:Vigun02g164400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRKLCSTLNNLKVFRLSNRNSHSYNNFSTCNISVDPLNPSSSNSFLTHGIHVFQCPDTVGIVAKLSDCIATRGGNILSADVFVPQNKQVFYSRNVFVFDPAMWPRIQMEEDFLRISKTFNAMRSVIRVPALDPKYKIAILASKQDHCLIDLLHGWQDGRLSVDITCVISNHYRAPESEVIRFLEMHSVPYHYLGGTAENKREEEILELVQNTDFLVLARYYPAPS >Vigun02g164400.4.v1.2 pep primary_assembly:ASM411807v1:2:30923196:30925486:-1 gene:Vigun02g164400.v1.2 transcript:Vigun02g164400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRKLCSTLNNLKVFRLSNRNSHSYNNFSTCNISVDPLNPSSSNSFLTHGIHVFQCPDTVGIVAKLSDCIATRGGNILSADVFVPQNKQVFYSRNVFVFDPAMWPRIQMEEDFLRISKTFNAMRSVIRVPALDPKYKIAILASKQDHCLIDLLHGWQDGRLSVDITCVISNHYRAPESEVIRFLEMHSVPYHYLGGTAENKREEEILELVQNTDFLVLARYYPAPS >Vigun02g164400.1.v1.2 pep primary_assembly:ASM411807v1:2:30923197:30925486:-1 gene:Vigun02g164400.v1.2 transcript:Vigun02g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRKLCSTLNNLKVFRLSNRNSHSYNNFSTCNISVDPLNPSSSNSFLTHGIHVFQCPDTVGIVAKLSDCIATRGGNILSADVFVPQNKQVFYSRNVFVFDPAMWPRIQMEEDFLRISKTFNAMRSVIRVPALDPKYKIAILASKQDHCLIDLLHGWQDGRLSVDITCVISNHYRAPESEVIRFLEMHSVPYHYLGGTAENKREEEILELVQNTDFLVLARYIRILSSTFLRSYGKDIINIHHGLLPSFKGINPSKQAVDAGVKLIGATSYFVTEGLDTGPIIEQMVERVSHRDDLRSFVQKSENLEKQCLTSAIRSYCELRVLPYEENKTVVF >Vigun02g164400.2.v1.2 pep primary_assembly:ASM411807v1:2:30923196:30925486:-1 gene:Vigun02g164400.v1.2 transcript:Vigun02g164400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRKLCSTLNNLKVFRLSNRNSHSYNNFSTCNISVDPLNPSSSNSFLTHGIHVFQCPDTVGIVAKLSDCIATRGGNILSADVFVPQNKQVFYSRNVFVFDPAMWPRIQMEEDFLRISKTFNAMRSVIRVPALDPKYKIAILASKQDHCLIDLLHGWQDGRLSVDITCVISNHYRAPESEVIRFLEMHSVPYHYLGGTAENKREEEILELVQNTDFLVLARYIRILSSTFLRSYGKDIINIHHGLLPSFKGINPSKQV >Vigun10g087200.1.v1.2 pep primary_assembly:ASM411807v1:10:24883491:24889398:1 gene:Vigun10g087200.v1.2 transcript:Vigun10g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWKWNRKKPPIFPLLVLVLLFFIAFSTLHSEHTIQRIHEIPDHVLNHQEVSSATFVEPNLSGHLKQAPEVLDRFSRCNSTVEYSGKKIAWRGDSWQSGRRRVRPESCDVFSGKWVFDNVSHPLYNESDCPYMSDQLACHKHGRSDLGYQYWRWQPHNCNLKRWNVKEMWEKLRDKRLMFVGDSLNRGQWISMVCLLQSVIPADKRSMSPNAHLTIFRAEDYNATVEFLWAPLLVESNSDDPVNHRLDERIIRPDTVLRHASLWENADILVFNTYLWWRQGPVKLLWTAEKNGACEELDGRGAMELAMGAWAEWVSSKVDPLKKRVFFVTMSPTHLWSREWKPESEGNCYGEKEPIYNEGYWGSGSDLPTMSTVEKILSNLSSKVSVINITQLSEYRKDGHPSIFRKFWEPLRPQQLSNPSSYSDCIHWCLPGVPDVWNELLFHFL >Vigun02g000014.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5520:5783:-1 gene:Vigun02g000014.v1.2 transcript:Vigun02g000014.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun09g249900.1.v1.2 pep primary_assembly:ASM411807v1:9:41715351:41720364:-1 gene:Vigun09g249900.v1.2 transcript:Vigun09g249900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENGYSGSSSSNLETLKAERSVWLMKCPLVVAKSWQTHPPSQPLAKVVLSLDPLHPEEDPSAVQFTMEMAGSEAVNMPKTYSLNMFKDFVPMCVFSETSQGGKVAMEGKVEHKFDMKPHGENIEEYGKLCRERTNRSMIKNRQIQVIDNDRGVLMRPMPGMIGLVSSNSKDKKKTQPIKQSDTKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSVEDTSAE >Vigun02g116100.1.v1.2 pep primary_assembly:ASM411807v1:2:26957239:26962975:1 gene:Vigun02g116100.v1.2 transcript:Vigun02g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRASTPPVAENDAVSLLPSQRKKTKGSSHNPAVCFVGKPIPVTEARAKWPHRYSNEGKKKNSTGNNSREAPNENNEVMLAKCHYRQAKIDGAVFNLYDDAYVKAEDGKPDYIARIVEMFESVDNEPYFMAQWFYRAVDTVIKGHGDLVDKKRVFLSDIKDENPLDCIVSKLKIVKLTPNVGLTTEKRKIPPCDYYYDMKYTLPYLTFSNLVDETDKSESDASSTVSSESGSSGCFNGANLTNGKTIEKNSSNSSEWTLLDLYSGCGAMSTGLCLGATLAGVKLVTRWAVDINSHACKSLKLNHPETQVRNEPAENFLELIKAWAKLCEEFGLLGSERSESGSDMEEDEIDEENVDVKKEESEEDHSDSEDFEVEKLLAICHGDPNNVKKPGLYFKVRWMGYDSSYDTWEPMDGLSDCKDALKDFVTKGYKKKLLPLPGDANFICGGPPCQGVSGFNRFRNAAAPLEDIKNKQLIVYMDIIDFLKPKYVLMENVVDILKFSGGYLGRYAIGRLVAMNYQARMGMMAAGSYGVPQFRMRVFLWGARPNEKLPPYPLPTHEVVSRGFVPTEFEEITVAYDDKETRCKLGNALLLEDALSDLPPVTNEEKQDERNYGTAARTEFQKYIRLKKSEMVGGTMSSTQSTARRILYDHRPLQLNQDDYDRVCRIPQKKGANFRDLPGVVVKDNKVEWDPLIDRVMLDSGKPLVPDYAMTFVRGTSSKPFGRLWWDEIVPTVVTRAEPHNQVILHPIQNRVLTIRENARLQGFPDCYKLCGPIKERYMQVGNAVAVPVALAMGYSFGLACQGLSDDKPLITLPFKYPSCLASATFAETENDDQSS >Vigun02g116100.2.v1.2 pep primary_assembly:ASM411807v1:2:26957239:26962975:1 gene:Vigun02g116100.v1.2 transcript:Vigun02g116100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRASTPPVAENDAVSLLPSQRKKTKGSSHNPAVCFVGKPIPVTEARAKWPHRYSNEGKKKNSTGNNSREAPNENNEVMLAKCHYRQAKIDGAVFNLYDDAYVKAEDGKPDYIARIVEMFESVDNEPYFMAQWFYRAVDTVIKGHGDLVDKKRVFLSDIKDENPLDCIVSKLKIVKLTPNVGLTTEKRKIPPCDYYYDMKYTLPYLTFSNLVDETDKSESDASSTVSSESGSSGCFNGANLTNGKTIEKNSSNSSEWTLLDLYSGCGAMSTGLCLGATLAGVKLVTRWAVDINSHACKSLKLNHPETQVRNEPAENFLELIKAWAKLCEEFGLLGSERSESGSDMEEDEIDEENVDVKKEESEEDHSDSEDFEVEKLLAICHGDPNNVKKPGLYFKVRWMGYDSSYDTWEPMDGLSDCKDALKDFVTKGYKKKLLPLPGDANFICGGPPCQGVSGFNRFRNAAAPLEDIKNKQLIVYMDIIDFLKPKYVLMENVVDILKFSGGYLGRYAIGRLVAMNYQARMGMMAAGSYGVPQFRMRVFLWGARPNEKLPPYPLPTHEVVSRGFVPTEFEEITVAYDDKETRCKLGNALLLEDALSDLPPVTNEEKQDERNYGTAARTEFQKYIRLKKSEMVGGTMSSTQSTARRILYDHRPLQLNQDDYDRVCRIPQKKGANFRDLPGVVVKDNKVEWDPRLVWPARDYLMINL >Vigun01g234400.1.v1.2 pep primary_assembly:ASM411807v1:1:40608846:40612503:-1 gene:Vigun01g234400.v1.2 transcript:Vigun01g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYQTLDNRPINQWKVTELREELRRRRLNTKGLKDDLVKRLDECLRLERESEQDSEEEANGFDDGHVDGEKDSAAVTMDAKVVDATDKESTQTFETAEKGKSDVVEPIETKNEEKFPGVVDEDSNKNDKQGGIAGQVDINNSVSTMDEEVEHEGFPSGVDSGNVVEEAASTHASTVETTITVTESVVTGVVVSGEDTYCAEKNNEFSAAKLENDEESKAQLDGEDTKPQLDSETKPLHEDIVPDSSVPENQVSEVNPSLGSQVKSDSISTDSVSINQKNELKDTIITDNVKLEQDIVKPEMVEEPSSRNDVPVSYDESHAMDVGGRHEKKTSVEENINNVSSPDLNKTNSSDDVGYPEKLNLDRSSGDDSMEEDLPETKQIDSKFNVDELRKKVESIEEPIVKEESRTIAVGDGVSGGKNDTHQDIDISPVAPTEKRKFHEQISVGNNEPAKRQRRWNTETVKGPDPQSTTPRPATTPRDEPIALKRNLSRSDSTATDDTPKERIVPPSQRSPTNSLRIDRFLRPFTLKAVQELLGKTGNVSSFWMDQIKTHCYVTYSSVEEAMETRNAVYNLQWPPNGGRLLVAEYVDPEEVKMKLEPPVQAAPISTAPVVPPVVPTSQPEPSPRLHREQHSVPTMLPPPPPLSKNPPVARDRLPSPPPLPEKVDPPIVTLDDLFRKTISTPRIYYLPLSEEQVTAKLAAQGKSVRQ >Vigun01g234400.2.v1.2 pep primary_assembly:ASM411807v1:1:40608846:40612913:-1 gene:Vigun01g234400.v1.2 transcript:Vigun01g234400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYQTLDNRPINQWKVTELREELRRRRLNTKGLKDDLVKRLDECLRLERESEQDSEEEANGFDDGHVDGEKDSAAVTMDAKVVDATDKESTQTFETAEKGKSDVVEPIETKNEEKFPGVVDEDSNKNDKQGGIAGQVDINNSVSTMDEEVEHEGFPSGVDSGNVVEEAASTHASTVETTITVTESVVTGVVVSGEDTYCAEKNNEFSAAKLENDEESKAQLDGEDTKPQLDSETKPLHEDIVPDSSVPENQVSEVNPSLGSQVKSDSISTDSVSINQKNELKDTIITDNVKLEQDIVKPEMVEEPSSRNDVPVSYDESHAMDVGGRHEKKTSVEENINNVSSPDLNKTNSSDDVGYPEKLNLDRSSGDDSMEEDLPETKQIDSKFNVDELRKKVESIEEPIVKEESRTIAVGDGVSGGKNDTHQDIDISPVAPTEKRKFHEQISVGNNEPAKRQRRWNTETVKGPDPQSTTPRPATTPRDEPIALKRNLSRSDSTATDDTPKERIVPPSQRSPTNSLRIDRFLRPFTLKAVQELLGKTGNVSSFWMDQIKTHCYVTYSSVEEAMETRNAVYNLQWPPNGGRLLVAEYVDPEEVKMKLEPPVQAAPISTAPVVPPVVPTSQPEPSPRLHREQHSVPTMLPPPPPLSKNPPVARDRLPSPPPLPEKVDPPIVTLDDLFRKTISTPRIYYLPLSEEQVTAKLAAQGKSVRQ >Vigun03g075700.2.v1.2 pep primary_assembly:ASM411807v1:3:6297797:6301082:-1 gene:Vigun03g075700.v1.2 transcript:Vigun03g075700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPPNFPAPSEDSEQLRKAFQGWGTNEALIISILGHRNAVQRKLIREAYNVAYGEDLLKDLDKELSSDFERVVLLWTLDPAERDAFLANEATKKLTFSHWVLLEIATTRSSIDLLKAKQAYQSRFKKSIEEDIAYYTSGDIRKLLVPLVSTYRYEGEEVSMPLAKSEAKLLHEKISKKAYNDEELIRILSTRSRAQLAATLNHYKDEFGNAINKDLKADPKTKIYKDKIEIAIK >Vigun03g075700.1.v1.2 pep primary_assembly:ASM411807v1:3:6297797:6301082:-1 gene:Vigun03g075700.v1.2 transcript:Vigun03g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPPNFPAPSEDSEQLRKAFQGWGTNEALIISILGHRNAVQRKLIREAYNVAYGEDLLKDLDKELSSDFERVVLLWTLDPAERDAFLANEATKKLTFSHWVLLEIATTRSSIDLLKAKQAYQSRFKKSIEEDIAYYTSGDIRKLLVPLVSTYRYEGEEVSMPLAKSEAKLLHEKISKKAYNDEELIRILSTRSRAQLAATLNHYKDEFGNAINKDLKADPKDEYLKFLRGATKCLTFPEKYFAKVLRLAINRLGTDEWALTRVVATRAEVDLQRIGEEYQRRNSVPLDRAIANDTSGDYKKFLLALAGHDD >Vigun08g063500.1.v1.2 pep primary_assembly:ASM411807v1:8:8748017:8752653:-1 gene:Vigun08g063500.v1.2 transcript:Vigun08g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQFDATTAFSGGGFMSSQPSNFNDSSPAPSKSRETQGLVPVTVKQISEASQTGDEKSNFVINGVDLNNVTLVGMVFEKVERNTDVNFVLDDGTGRIKCRRWVNETFDTKEMEEVMNGMYVRVFGHLKSFQGVRQLVAFSVRPVTNFDEIPFHFIDCIHNHLRSKTKFEGITSTNPSSVSLNTPVKNAPNGSQAPSSTPAYAQYSVDGLKDCDKLIIDYLQQHSDMSDERGIHVNELSRELKLPMDRIMLSLKTLGDDGLVYSTIDDYHYKQA >VigunL059515.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:43925:45658:1 gene:VigunL059515.v1.2 transcript:VigunL059515.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g326600.1.v1.2 pep primary_assembly:ASM411807v1:3:52257973:52262538:-1 gene:Vigun03g326600.v1.2 transcript:Vigun03g326600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTPLSHTVSVFNIPRSATAKDLLHFLESKVGPSTVFALEIFSDNPNWKSRGAGRVQFETLDAKSCALSLSNLQQLLIHSHFLRLSDASEDIIFRPLHRLQNGVLYAGFMLSDHRMSVLDSWEGVAGLVVPQRRKLDFLVWHDGDCYRLEIFFEDILETHGYCLGEEAKLNAILVKLKFGPRIYKKKTGPDVATKFKSDRYHFCKEDSEFLWVRTTDFSALKSIGHSTSFCWEIAEEHLASDVFTSFPLYTENLRDLALEDGEEFCSSTEAVPLVKCESQSKLPYEALFQLNSLVHTQKLSLASVDDELIDLLGGLDEEIRAVIFQKLHQMGFTCYEPLKFVKTQLHVLSNKKKGPPQSSQKRLTDNNIMSCHRALITPTKIYCLGPELETSNHVVKHFASHASDFMRITFVEENWNKLPNYAVSTGVQKGIFSKPSKTEIYNRILTILRDGIVIGSKRFEFLAFSASQLRSNSVWLFASNDNLKATDIREWMGCFNNIRSVSKCAARMGQLFSSSMQTFEVAPQDVEIIPDIEVTTDGVSYCFSDGIGKISQSFARQVAQKLKLDHSPSAFQIRFGGFKGVITVDRHSFRKLSLRKSMLKFESKNRMLCVTKWSESMPCFLNREIISLLSTLGVNDEAFLARQQDQLNLLGKMLTDSKAALDVLESLNGADSRSILVKMLHEFNEPNSEPYLSMMLKAYYAYQLSDLKTRCRIFVPKGRVLVGCLDETGILNYGQVFVRVTVKKTTENFDDNLRKVDDDDTTRIVVGKVVVTKNPCLHPGDVRVLDAIYNEELEEKGLKDCLVFPQNGHRPHPNECSGGDLDGDLFFISWDNDLIPCQTEAPMDYTGRRPRIMDHKVTLEEIQQFFVDYMINDTLGAISTAHLVHADREPDKAKSRKCLELAELHSMAVDFAKTGAPAAMPRVLKPREFPDFMERVDKPMYISKGVLGKLYRAIIESQLQIKYSFVWSEKLAEEAYDRSFEVNGFEAFLETASSHKEMYAEKMSSLMNFYGAETEDEMLLGNLQNRASYLQRDNRRYGDMKDRILLSVKNLQHEAKEWFETSCQPQEYRPMASAWYHVTYHPSYYSQESSCFLSFPWIVGEILLQIKSVNKVVQG >Vigun11g034600.2.v1.2 pep primary_assembly:ASM411807v1:11:4661519:4682391:-1 gene:Vigun11g034600.v1.2 transcript:Vigun11g034600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKVAWLEKHLQTIDHQKYLLDLHTQILLWRHLPCLQCSRLSQLMIQKLEMIWRVQRLYLACKLRHWSMLRHLQHLPNGARAGFFVGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGATCVEVHALNKLPYSKLDSKSVGIREGVVFSTYNSLIASSEKGRTRLQQLVQWCGPGFDGLIIFDECHKAKNLVPEAGSQPTRTGEAVLDIQDRLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFLDFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYEGAEFEVIEAPLEEKMMEIYKKAAEFWAELRVELLSASAFLNDKPNSSQLWRLYWASHQRFFRHLCMSAKVPAALRLAKEALAQEKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPELLPGEDGVKELQRKRHSATPGVSVKGRVRKVAKWQPPSDAESDEESETDSGVESTDSDDEFQICEICTTEEERKKLLQCSCCGKLVHSTCLMPPIGDVVPEEWSCHLCKEKTDEYLLARQAYIAELQKRYDAALERKTKISEIIRSLDLPNNPLDDIVDQLGGPDKVAEMTGRRGMLVRAATGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALMIMYKGIMEQDSLPVVPPGCSSDKPDTVHDFIVQAKAALVSVGIVRDTVLGNGKDLGRLSGRIIDSDMHEVGRFLNRILGLPPDIQNGLFELFVSILDLLVRNARIEGNLDSGIVDLKANVIELQGTPKTVHVDQLTGASTVLFTFILDRGITWELANTMLNEKQRDGLGSANDGFYESKREWLGRRHFILAFESSASGMYKIVRPPVGESNREMPLSELKSKYRKISSLEKAQSGWEEEYEVSSKQCMHGPNCKIGNFCTVGRRLQEVNVLGGLILPVWGAVEKALSKQARLSHRRLRVVRIETTVDTQRIVGLLVPNAAVETVLQDLAWVQEIDD >Vigun11g034600.1.v1.2 pep primary_assembly:ASM411807v1:11:4661519:4682391:-1 gene:Vigun11g034600.v1.2 transcript:Vigun11g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSAPPPPPPPLAPPPPTTPPPPQQTESVRVRCAGCRMILTVAPGLTEFACPTCRMPQMLPPELMPRERAAAPNALTPVPPTSAPPSQPPHAPAHGIDPTKIQLPCASCKAILNVPHGLARFACPQCNVDLAVDVSKVKQFFPAAPPPEEVNEVAVEVERDEDEGGMVGETFTDYRPPKVSIGPPHPDPVVETSSLSAVQPPEPTYDPKIRDDLESSKTLSCLQIETLVYACQRHLQHLPNGARAGFFVGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGATCVEVHALNKLPYSKLDSKSVGIREGVVFSTYNSLIASSEKGRTRLQQLVQWCGPGFDGLIIFDECHKAKNLVPEAGSQPTRTGEAVLDIQDRLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFLDFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYEGAEFEVIEAPLEEKMMEIYKKAAEFWAELRVELLSASAFLNDKPNSSQLWRLYWASHQRFFRHLCMSAKVPAALRLAKEALAQEKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPELLPGEDGVKELQRKRHSATPGVSVKGRVRKVAKWQPPSDAESDEESETDSGVESTDSDDEFQICEICTTEEERKKLLQCSCCGKLVHSTCLMPPIGDVVPEEWSCHLCKEKTDEYLLARQAYIAELQKRYDAALERKTKISEIIRSLDLPNNPLDDIVDQLGGPDKVAEMTGRRGMLVRAATGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALMIMYKGIMEQDSLPVVPPGCSSDKPDTVHDFIVQAKAALVSVGIVRDTVLGNGKDLGRLSGRIIDSDMHEVGRFLNRILGLPPDIQNGLFELFVSILDLLVRNARIEGNLDSGIVDLKANVIELQGTPKTVHVDQLTGASTVLFTFILDRGITWELANTMLNEKQRDGLGSANDGFYESKREWLGRRHFILAFESSASGMYKIVRPPVGESNREMPLSELKSKYRKISSLEKAQSGWEEEYEVSSKQCMHGPNCKIGNFCTVGRRLQEVNVLGGLILPVWGAVEKALSKQARLSHRRLRVVRIETTVDTQRIVGLLVPNAAVETVLQDLAWVQEIDD >Vigun11g034600.3.v1.2 pep primary_assembly:ASM411807v1:11:4661519:4682391:-1 gene:Vigun11g034600.v1.2 transcript:Vigun11g034600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSAPPPPPPPLAPPPPTTPPPPQQTESVRVRCAGCRMILTVAPGLTEFACPTCRMPQMLPPELMPRERAAAPNALTPVPPTSAPPSQPPHAPAHGIDPTKIQLPCASCKAILNVPHGLARFACPQCNVDLAVDVSKVKQFFPAAPPPEEVNEVAVEVERDEDEGGMVGETFTDYRPPKVSIGPPHPDPVVETSSLSAVQPPEPTYDPKIRDDLESSKTLSCLQIETLVYACQRHLQHLPNGARAGFFVGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGATCVEVHALNKLPYSKLDSKSVGIREGVVFSTYNSLIASSEKGRTRLQQLVQWCGPGFDGLIIFDECHKAKNLVPEAGSQPTRTGEAVLDIQDRLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFLDFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYEGAEFEVIEAPLEEKMMEIYKKAAEFWAELRVELLSASAFLNDKPNSSQLWRLYWASHQRFFRHLCMSAKVPAALRLAKEALAQEKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPELLPGEDGVKELQRKRHSATPGVSVKGRVRKVAKWQPPSDAESDEESETDSGVESTDSDDEFQICEICTTEEERKKLLQCSCCGKLVHSTCLMPPIGDVVPEEWSCHLCKEKTDEYLLARQAYIAELQKRYDAALERKTKISEIIRSLDLPNNPLDDIVDQLGGPDKVAEMTGRRGMLVRAATGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALMIMYKGIMEQDSLPVVPPGCSSDKPDTVHDFIVQAKAALVSVGIVRDTVLGNGKDLGRLSGRIIDSDMHEVGRFLNRILGLPPDIQNGLFELFVSILDLLVRNARIEGNLDSGIVDLKANVIELQGTPKTVHVDQLTGASTVLFTFILDRGITWELANTMLNEKQRDGLGSANDGFYESKREWLGRRHFILAFESVCTVPIVRLATFVQLVEDYRK >Vigun03g026400.1.v1.2 pep primary_assembly:ASM411807v1:3:1981603:1983494:-1 gene:Vigun03g026400.v1.2 transcript:Vigun03g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQVYVDDHLLCEIEGNHLTHAAIIGHDGSVWAQSSSFPQFKPEEITGIMNDFNEPGTLAPTGLYIGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNLALVIGIYDEPMTPGQCNMIVERLGDYLIDQGL >Vigun08g208000.1.v1.2 pep primary_assembly:ASM411807v1:8:37085488:37088274:-1 gene:Vigun08g208000.v1.2 transcript:Vigun08g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDGYSRPKFNIAGAMEWLCFRLDMLSLITFVFSLIFLISIPVGLIDPGLAGLAVTYGLNLNEIQAWMIWNLWNMENKIISVERILQYTCIPSEPPLVIDENRPDPSWPSNGEVDIQDLQVRYAPHLPLVLCGLTCKFHGGLKTGIVGRTGSGKSTLIQTLFRVVEPAAGQIMIDNINISSIGLHDLRSRLSIIPQDPTMFEGTIRNNLDPLEEYTDDQIWEALDKCQLGDEVRKKEGKLDSKVSENCENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQKTLRKHFADSTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPTRLLENKSSSFAQLVAEYTMRSKSSFEKSDGH >Vigun08g179800.3.v1.2 pep primary_assembly:ASM411807v1:8:34971953:34975013:1 gene:Vigun08g179800.v1.2 transcript:Vigun08g179800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSIFVSLVSTERRRRRWFPKGGSKSRSKTKENSKSSEGKAPLKTSGSNVDKPISSNLKSFTFNELKEATKNFRQENLIGEGGFGFVYKGWIDENTYAPTRPGTGIVVAIKKLKPESFQGHREWLAEVNYLSQLHHENLVKLIGYCSDGKNRLLVYEFMHKGSLENHLFRRGVQPIPWTIRINIAVAVARGLTFLHTLDANVIYRDLKAANILLDSDFNPKLSDFGLARDGPTGDNTHVSTRVIGTHGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGRRVVEDERPGFSEETLVDWARPFLSDSRRILRIMDTRLGGQYSKRGAQAAATLALQCLNADPKHRPTMVNVLAALEALSSSNSFPRTPKSGTENHTTKHSSHSQKSAVNNNRL >Vigun08g179800.2.v1.2 pep primary_assembly:ASM411807v1:8:34971425:34975006:1 gene:Vigun08g179800.v1.2 transcript:Vigun08g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRKPVAHVSSTSFFGGSKSRSKTKENSKSSEGKAPLKTSGSNVDKPISSNLKSFTFNELKEATKNFRQENLIGEGGFGFVYKGWIDENTYAPTRPGTGIVVAIKKLKPESFQGHREWLAEVNYLSQLHHENLVKLIGYCSDGKNRLLVYEFMHKGSLENHLFRRGVQPIPWTIRINIAVAVARGLTFLHTLDANVIYRDLKAANILLDSDFNPKLSDFGLARDGPTGDNTHVSTRVIGTHGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGRRVVEDERPGFSEETLVDWARPFLSDSRRILRIMDTRLGGQYSKRGAQAAATLALQCLNADPKHRPTMVNVLAALEALSSSNSFPRTPKSGTENHTTKHSSHSQKSAVNNNRL >Vigun08g179800.1.v1.2 pep primary_assembly:ASM411807v1:8:34971425:34975148:1 gene:Vigun08g179800.v1.2 transcript:Vigun08g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRKPVAHVSSTSFFGGSKSRSKTKENSKSSEGKAPLKTSGSNVDKPISSNLKSFTFNELKEATKNFRQENLIGEGGFGFVYKGWIDENTYAPTRPGTGIVVAIKKLKPESFQGHREWLAEVNYLSQLHHENLVKLIGYCSDGKNRLLVYEFMHKGSLENHLFRRGVQPIPWTIRINIAVAVARGLTFLHTLDANVIYRDLKAANILLDSDFNPKLSDFGLARDGPTGDNTHVSTRVIGTHGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGRRVVEDERPGFSEETLVDWARPFLSDSRRILRIMDTRLGGQYSKRGAQAAATLALQCLNADPKHRPTMVNVLAALEALSSSNSFPRTPKSGTENHTTKHSSHSQKSAVNNNRL >Vigun08g179800.4.v1.2 pep primary_assembly:ASM411807v1:8:34971425:34975003:1 gene:Vigun08g179800.v1.2 transcript:Vigun08g179800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRKPVAHVSSTSFFGGSKSRSKTKENSKSSEGKAPLKTSGSNVDKPISSNLKSFTFNELKEATKNFRQENLIGEGGFGFVYKGWIDENTYAPTRPGTGIVVAIKKLKPESFQGHREWLAEVNYLSQLHHENLVKLIGYCSDGKNRLLVYEFMHKGSLENHLFRRGVQPIPWTIRINIAVAVARGLTFLHTLDANVIYRDLKAANILLDSDFNPKLSDFGLARDGPTGDNTHVSTRVIGTHGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGRRVVEDERPGFSEETLVDWARPFLSDSRRILRIMDTRLGGQYSKRGAQAAATLALQCLNADPKHRPTMVNVLAALEALSSSNSFPRTPKSGTENHTTKHSSHSQKSAVNNNRL >Vigun10g078000.1.v1.2 pep primary_assembly:ASM411807v1:10:21247265:21247861:-1 gene:Vigun10g078000.v1.2 transcript:Vigun10g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFFFSHMVVFILIISGTNGVNVKRCEKMLQPTGCTIQKCRSDCSNNMPKNLEPFGECIQNTKNNTYECICFYDCNATSHLM >Vigun06g001700.1.v1.2 pep primary_assembly:ASM411807v1:6:872495:873782:1 gene:Vigun06g001700.v1.2 transcript:Vigun06g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRGFRFNLFLVSLFATWVRIQTKVESYQYKVGDLDSWGIPTSSNSKVYDKWSKYQNLKIGDSLLFLYPPSQDSLIQVTEESYKSCNLKDPILYMNNGNSLFNITSEGEFYFTSAESGHCQKHQKLHITVGVGGNTDTLSPSSLPQSAPSYPTAFGDIPMSASSTSSSPHPNSRFSFILIGFFLCALFPTLLR >Vigun09g029200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2423576:2424136:-1 gene:Vigun09g029200.v1.2 transcript:Vigun09g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLKFFWGMVLCIVAMAHQTIALETLETLKGQSLIQKVCTFSATRNLCIEVLSSDPYKSPNANLRDLAIISLRVAATNASGILGETKILIDDDKLSPDVQQGLSDCKETILDAESQLEDSIAAIMVDSKAETQLWLKAALAAIDTCDASIPGDDDILSIESVAFRKLCNIAITVTKLLLNPIKL >Vigun10g162400.1.v1.2 pep primary_assembly:ASM411807v1:10:38127845:38130973:-1 gene:Vigun10g162400.v1.2 transcript:Vigun10g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPERMTRVSTAEIKRAQKAEREASDLKGTMRKRMEFLDFD >Vigun01g066950.2.v1.2 pep primary_assembly:ASM411807v1:1:17932783:17933625:1 gene:Vigun01g066950.v1.2 transcript:Vigun01g066950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPYHLLKHINTCLIFFIIPPPSLLRAPPTTKNHKSTTLLPSRFSSLRHTHRLASPPPTRRWPPRRRWPYLLLLHRESRRGCQKRENSAMHGERRATVRHCDWRTILTATTTANTGRPIL >Vigun01g066950.1.v1.2 pep primary_assembly:ASM411807v1:1:17932783:17933710:1 gene:Vigun01g066950.v1.2 transcript:Vigun01g066950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPYHLLKHINTCLIFFIIPPPSLLRAPPTTKNHKSTTLLPSRFSSLRHTHRLASPPPTRRWPPRRRWPYLLLLHRESRRGCQKRENSAMHGERRATVRHCDWRTILTATTTANTGRPIL >Vigun06g098900.1.v1.2 pep primary_assembly:ASM411807v1:6:22950411:22952357:1 gene:Vigun06g098900.v1.2 transcript:Vigun06g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAHRTVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSQGYHAVAPDLRGYGDTEAPASISSYTCFHIVGDLVALIDSLGVDQVFLVAHDWGAIIGWYLCMFRPEKIKAYVCLSVPFLRRHPNVRTVDGMRAVYGDDYYICRFQKPDEMEAQMAEVGTEYVLKNILTTRKPGPPIFPKGEYGSGFNPDMTDSLPSWLPEDDLAYYVSKYQKTGFTGPLNYYRNMNLNWELTAPWSGVKVQVPVKFITGEFDMVYTSRNVKEYIHGGGFKQDVPNLEEVIVQKDVAHFNNQESAEEIGNYIYEFIKKF >Vigun07g110900.2.v1.2 pep primary_assembly:ASM411807v1:7:20586511:20592414:1 gene:Vigun07g110900.v1.2 transcript:Vigun07g110900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSEIAAATIVLLCLWSVADSVLNTNITTVLCNVGGYTSGDPFAVSLSYVVGELERETPTQKNYDYYNISPYPNAFAYGHAACNLNLTTSDCKTCLGVAKTAMFNACPKRIGARSVLHDCTIRYVTIPIFVHCWWFNKKWINPEL >Vigun01g130500.1.v1.2 pep primary_assembly:ASM411807v1:1:30846342:30852420:-1 gene:Vigun01g130500.v1.2 transcript:Vigun01g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHLQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYSLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQEEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLGQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLTMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSSFAASSIELKLVQSVNDQLNSQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLFTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLYSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSARRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >VigunL060537.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:20180:20302:-1 gene:VigunL060537.v1.2 transcript:VigunL060537.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun08g005900.2.v1.2 pep primary_assembly:ASM411807v1:8:503792:505603:-1 gene:Vigun08g005900.v1.2 transcript:Vigun08g005900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKVGFYF >Vigun08g005900.1.v1.2 pep primary_assembly:ASM411807v1:8:503792:505603:-1 gene:Vigun08g005900.v1.2 transcript:Vigun08g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSPMHEKMQKNLCTQLAVAVRSIQWSYGIFWAPSTTEERVLEWREGYYNGDIKTRKTVQAMELEMKADKIGLQRSEQLKELYKFLLAGEADPQTKRPSAALAPEDLSDLEWYYLVCMSFVFGHNQSLPGRTLEIGDTIWLCDAQHADSKIFSRSLLAKVGFYF >Vigun01g065751.1.v1.2 pep primary_assembly:ASM411807v1:1:17378570:17380164:1 gene:Vigun01g065751.v1.2 transcript:Vigun01g065751.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASFCSSVALGLLLILIQGGNPVLRLALPFSILKMLFTYCILGGSLVLSCIRSIEKETLFPYQRNLFRWCLKLEEPLNIFGPLIKEILHRRVLNDHFIRIKQYLVSLCILDLCVCLGLTVVGLDVEFDCYELCILMYYVLLHLHHSLWN >Vigun06g171300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29274494:29276510:1 gene:Vigun06g171300.v1.2 transcript:Vigun06g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFSGFEGFEKRLELHFFGDDPTVLQLGLRKLDFDCIQQTLQEVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSMTPLIFYAHTHLGLTLSSCRYTRGTFIFPLSQPFPHTSFNDEVTYIEATLPPTLCFRKASIMPSKSSSHAWHVFTATTDSHALYHHNYTLEICMTDLDPLLAGRFFRPPGDGRSGDSAGREMTELTGIDGINPHALVCDFAFDPCGYSMNGMDGEWYSTIHVTPEEGYSYASFECVGSRSSDVDVVHVLRKVVQIFRPGTMSVSTTSLGNEVWRKVAGAVEPMGMKLRSCAMDQFPDSGSVVFQTFSPLRRKSAH >Vigun07g146300.15.v1.2 pep primary_assembly:ASM411807v1:7:25732643:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.2.v1.2 pep primary_assembly:ASM411807v1:7:25726200:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGTSRGQGSGEPVGPVLVSRRFVWPHGGRMVFLTGSFTRWQAIVPMSPREGCPTEFEVICALTPGYHKYKFNVDGEWRHDAHQPFVYGDDGIFNIFYVGRQPVIFPPILSAETPGRSHMEVDNDVVGHVEAKPRMSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.4.v1.2 pep primary_assembly:ASM411807v1:7:25731568:25736131:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.13.v1.2 pep primary_assembly:ASM411807v1:7:25732300:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.8.v1.2 pep primary_assembly:ASM411807v1:7:25726200:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGTSRGQGSGEPVGPVLVSRRFVWPHGGRMVFLTGSFTRWQAIVPMSPREGCPTEFEVICALTPGYHKYKFNVDGRQPVIFPPILSAETPGRSHMEVDNDVVGHVEAKPRMSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.11.v1.2 pep primary_assembly:ASM411807v1:7:25732011:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.7.v1.2 pep primary_assembly:ASM411807v1:7:25726200:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGTSRGQGSGEPVGPVLVSRRFVWPHGGRMVFLTGSFTRWQAIVPMSPREGCPTEFEVICALTPGYHKYKFNVDGRQPVIFPPILSAETPGRSHMEVDNDVVGHVEAKPRMSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.3.v1.2 pep primary_assembly:ASM411807v1:7:25731004:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.14.v1.2 pep primary_assembly:ASM411807v1:7:25732300:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.16.v1.2 pep primary_assembly:ASM411807v1:7:25732681:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.10.v1.2 pep primary_assembly:ASM411807v1:7:25732458:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYINVANLSCTLPSLLGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.12.v1.2 pep primary_assembly:ASM411807v1:7:25732300:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.1.v1.2 pep primary_assembly:ASM411807v1:7:25726200:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGTSRGQGSGEPVGPVLVSRRFVWPHGGRMVFLTGSFTRWQAIVPMSPREGCPTEFEVICALTPGYHKYKFNVDGEWRHDAHQPFVYGDDGIFNIFYVGRQPVIFPPILSAETPGRSHMEVDNDVVGHVEAKPRMSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun07g146300.9.v1.2 pep primary_assembly:ASM411807v1:7:25731003:25736130:1 gene:Vigun07g146300.v1.2 transcript:Vigun07g146300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDLQVSQHRISVFLSTHTAYELLPESGKVVALDTTLPVKQAFHTLYQEGISTAPVWDSSKCQFVGMLSAMDFILILKELGIHETNMTEEQLETHTIAAWREAKEQEGAIDSRGKKYPQHLVHAGPLECLKDVALKILQNKVATVPIIHSSSEDGSFPQLLHLASLSEILKCICRHFKHSWDSLPILQLPISMLPIGTWVSKLGESNKQPLAMLWPHASLSEALSLLIQAGISSIPIVDINYSLQDIYSRRDIIALVRDKIYARIDLHGFSIHQALLLGRDAGFPSGLPNGPRCHMCLRSDSLYQVMERLTDPGVRRLVVVEAGTLRVEGIISIGDIFRFLLCC >Vigun05g091700.3.v1.2 pep primary_assembly:ASM411807v1:5:8880590:8895086:1 gene:Vigun05g091700.v1.2 transcript:Vigun05g091700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQFPQLSLAQSFQTLSDLAKHLATAVDIVFPVIHGKFGEDGGIQELLERYNVPFVGTGSKECVQAFDKHKASLELRKHGFITVPSFLVQGYETNKTEVLEWFKKHQLDPDLGKVVVKPTRGGSSIGVRVAYGVNDSLVKANEIMSEGIDNKVLIEIFLEGGSEFTAIVLDVGSGSDCFPVVLLPTEVELQFRGANDVKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIENIRKGASLLFQQLCLQDFARIDGWFLPNSGSKLSSSSESEFGRTESGSIVFTDINLISGMEQTSFLFQQASKVGFSHTNILRSIIHHACLRFPNLASVSGIIGQLPSRSKSLQLNNSFSHHKGARKVFVIFGGDTSERQVSLMSGTNVWLNLLAFHDLEVTPCLLSPTSVDAGKDDDVMNRTVWSLPYSLVLRHTTEEVLDACMEAIEPERAAITSNLRKKVMDELMQGLKDHNWFTGFDIADELPVEFSLRQWIKLAKEVQATVFIAVHGGIGEDGTLQSLLDAEGVPYSGPGAMASKICMDKVATSVALKHLANSGVLTINKDVRQKADLFNKSINDTWHDLTSKLQCQTLCVKPAKDGCSTGVARLCCSQDLAIYVKALEDCLLRITPNSLSKAHGMIEMPNPPPEHVIFEPFIETDEILVTTKFENATGSGLTWKGNSRWVEITVGVLGKRGSMHSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALKRCKQHIELIANTLQLEGFSRIDAFVNVDSGEVLMIEVNTVPGMTPSTVLIHQALAEQPPLYPHEFFRKLLDLASERSM >Vigun05g091700.1.v1.2 pep primary_assembly:ASM411807v1:5:8880590:8895086:1 gene:Vigun05g091700.v1.2 transcript:Vigun05g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTQIHTHFTLTRRSLVSNIPSLLRRRTLFLSRSLSSSPANSVNLFCSNHEVAVVDGGVMEKAAEKGKVLKVGLICGGPSAERGISLNSARSLLDHLQGDNLHVSCYYIDCNLNAYAISSAQVYSNTPADFDFKLESLAQSFQTLSDLAKHLATAVDIVFPVIHGKFGEDGGIQELLERYNVPFVGTGSKECVQAFDKHKASLELRKHGFITVPSFLVQGYETNKTEVLEWFKKHQLDPDLGKVVVKPTRGGSSIGVRVAYGVNDSLVKANEIMSEGIDNKVLIEIFLEGGSEFTAIVLDVGSGSDCFPVVLLPTEVELQFRGANDVKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIENIRKGASLLFQQLCLQDFARIDGWFLPNSGSKLSSSSESEFGRTESGSIVFTDINLISGMEQTSFLFQQASKVGFSHTNILRSIIHHACLRFPNLASVSGIIGQLPSRSKSLQLNNSFSHHKGARKVFVIFGGDTSERQVSLMSGTNVWLNLLAFHDLEVTPCLLSPTSVDAGKDDDVMNRTVWSLPYSLVLRHTTEEVLDACMEAIEPERAAITSNLRKKVMDELMQGLKDHNWFTGFDIADELPVEFSLRQWIKLAKEVQATVFIAVHGGIGEDGTLQSLLDAEGVPYSGPGAMASKICMDKVATSVALKHLANSGVLTINKDVRQKADLFNKSINDTWHDLTSKLQCQTLCVKPAKDGCSTGVARLCCSQDLAIYVKALEDCLLRITPNSLSKAHGMIEMPNPPPEHVIFEPFIETDEILVTTKFENATGSGLTWKGNSRWVEITVGVLGKRGSMHSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALKRCKQHIELIANTLQLEGFSRIDAFVNVDSGEVLMIEVNTVPGMTPSTVLIHQALAEQPPLYPHEFFRKLLDLASERSM >Vigun05g091700.2.v1.2 pep primary_assembly:ASM411807v1:5:8881519:8895087:1 gene:Vigun05g091700.v1.2 transcript:Vigun05g091700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQFPQLSLAQSFQTLSDLAKHLATAVDIVFPVIHGKFGEDGGIQELLERYNVPFVGTGSKECVQAFDKHKASLELRKHGFITVPSFLVQGYETNKTEVLEWFKKHQLDPDLGKVVVKPTRGGSSIGVRVAYGVNDSLVKANEIMSEGIDNKVLIEIFLEGGSEFTAIVLDVGSGSDCFPVVLLPTEVELQFRGANDVKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIENIRKGASLLFQQLCLQDFARIDGWFLPNSGSKLSSSSESEFGRTESGSIVFTDINLISGMEQTSFLFQQASKVGFSHTNILRSIIHHACLRFPNLASVSGIIGQLPSRSKSLQLNNSFSHHKGARKVFVIFGGDTSERQVSLMSGTNVWLNLLAFHDLEVTPCLLSPTSVDAGKDDDVMNRTVWSLPYSLVLRHTTEEVLDACMEAIEPERAAITSNLRKKVMDELMQGLKDHNWFTGFDIADELPVEFSLRQWIKLAKEVQATVFIAVHGGIGEDGTLQSLLDAEGVPYSGPGAMASKICMDKVATSVALKHLANSGVLTINKDVRQKADLFNKSINDTWHDLTSKLQCQTLCVKPAKDGCSTGVARLCCSQDLAIYVKALEDCLLRITPNSLSKAHGMIEMPNPPPEHVIFEPFIETDEILVTTKFENATGSGLTWKGNSRWVEITVGVLGKRGSMHSLSPSVTVKESGDILSLEEKFQGGTGINLTPPPLSIMSENALKRCKQHIELIANTLQLEGFSRIDAFVNVDSGEVLMIEVNTVPGMTPSTVLIHQALAEQPPLYPHEFFRKLLDLASERSM >Vigun01g088300.1.v1.2 pep primary_assembly:ASM411807v1:1:24661593:24671220:-1 gene:Vigun01g088300.v1.2 transcript:Vigun01g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPRQNEAQVALILGPDTTHLESLISHLMSSSNDQRSQAESLFNLCKQARPESLLLGLAHLLHTAPKPETRTMSAILLRRHLTRQQDSFLWPHLSPAGRSSLHSLLLSTLQQEPIKSIAKKLCDTISELAAAILPDDPSAWPNLLPLLFQWVTSPEPRLQEVSLLIFAQLAHYIGQTLLPQLSTLHSVFLRCLHSSTPSDVRIAALAASINFIQCLTSSSDRDRFQDLLPLMMQTLTEALNSGQEAVAQEALELFIELAGTEPRFLRRQIVDVVGSMLQVAEAEALEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFDVPAWHAAVDEEEDAGETSNYAFGQECLDRLSIALGGNTIVPVASELLPTYLSAPEWEKHHAAIIALAQIAEGCSKVMIKNLEQVLSMILNSFRDPHPRVRWAAINAIGQLSTDLGPDLQVKFHHLVLPALAGAMDDFQNPRVQAHAASAVLNFTENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVIPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQQSQLDADDPTASYMLQAWARLCKCLGQDFLPYMGFVMPPLIQSAQLKPDVTITSADSDTEFDEDDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAFTLVPLLKFYFHEEVRKAAVSAMPELLTSAKSAVEKGQSQGRDETYIKQLSDYIIPNLVEALHKEPEVEICASMLDALNECIQVSGPHLDEKQVRSIVDEIKQVLTASSTRKHERAERAKEEDFDAEERELLKEENEQEEDLFDQVGDCLGTLIKTFKTSFLPFFDELSSYITPMFGKDKTSEERRIAICIFDDVAEHCRETALKYYDSFLPFLLEACNDEYPDVRQAAVYGVGVCAEFGGSVFKPLVGEALSRLDAVIRHPSAQLPDNVMAYDNAVSALGKICQFHRDSINATQVVPAWLSCLPIKGDLIEAKVVHDQLCSMVERADRELIGPNNQYLPKIVAVFAEVLCAGTDLATEQTVSRMINLLRQLQQTLPPSTLASTWSSLQPQQQLALQSILSS >Vigun07g150000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26042463:26043491:-1 gene:Vigun07g150000.v1.2 transcript:Vigun07g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFFLYVPILLSLSFSFLLHVFRKPIHLLSLYFVAIFNTIFYVLHYSLLSKHIELIKVIDKDIESLINCTKNSDFQSININDEIDDEKITNDEDEDYSLSRTDDEQITKDEDEDYFLRKTDDEKITKDEDEDYSLSRTNDEKSTKDEDKDYSLSTTDDEEITKDEDEDYSWSRTKLAEDRGNFPPPISSLNGLGQPKFIFVPIRENGRMQLKKIRIRRPKILHATREDGRLRLFLVRDDNAEDDIEEMEEEEKQELVDDDDDGVKEAKEEQFIVESIKEYEEGKEEVQETSIYDPKDICIEGLQLPSHSFMKYYEILNHFEHHHNAFGSHHHLPMYGLCNA >Vigun03g242200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40459338:40459859:-1 gene:Vigun03g242200.v1.2 transcript:Vigun03g242200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEPVFDGKVGSILVAMGSASFIITVFHLIVLCRAHHRARVTNQNPQQQQPRSVNVSVPPHLIPIHTYRKKKKSVDEVAAEGDDDEDHTCAVCLGEFEDGEELRTLPECLHSFHVACIDPWLSSRSSCPVCRAHATPSPAVEHPAPEFSAHHIIDIDITQIVRFQNGSALL >Vigun10g085600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24503473:24505966:-1 gene:Vigun10g085600.v1.2 transcript:Vigun10g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKILFGLLTFLFLLVSSTAFSFTFHGFNESNITLEEKAKIDKQGRLELTRRENNIVGHAFYNESIKILDKNTSVPKPKVFSFSTFFVFSIVSPSSGPGGFGLAFTIAPSTQFPQAEGGHLLGLFNSSNDMNSSNQILVVEFDTVNGYKDATDTVGNHVGVNKNGMSSNISEPAAYFEDGKKEEFNMEMADAVCVWIEYDGETDILNLTIASYPKSKPSKPLISQRVGLESVMKESMFFGFSASTGKRKSSAHYILGWSFAVNGVAPQLDYALLPKPPPKEKHSNTFPWIKVVIGILSALTFTLLCLLLFVTLYKRYMVFETLEDWEMDCPHRFRYRDLHLATKGFTESQLIGVGGFGSVYKGVLPSTGSEVAVKRILRTPAKGMREFAAEIESLGRLRHKNLVNLQGWCKHKNDLLLVYDYIPNGSLDSLLFSKSFVLDWDQRFRILKGVAAGLLYLHEEWEQVVIHRDVKSSNILIDGDFNAHLGDFGLARLYSHDQVSHTTSVVGTIGYIAPELTRTGKASTSSDVYAFGVLLLEMVAGTRPVGSSGNFFLVDWVLENSHLGQILEVVDPKLDSVYDEEEAELVLKLGLLCTQNKAEYRPSIQQVTRYLNFDDPFPDISDCRYYDSQSSTTSLGFSKAMSTGEISSSSYSLPSIDRSTISIEAGR >Vigun03g402500.1.v1.2 pep primary_assembly:ASM411807v1:3:60941301:60943253:-1 gene:Vigun03g402500.v1.2 transcript:Vigun03g402500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKLFLLLLAFFFSHAVAVKSSNAFTTPTFHANHSSLNRTNLFHDVSFDSSKLSISININPNIINTLLQSLQAAISDATKLSDLLNNAGHSSITENKIGAVQDCRELQQSTLASLQRSLSGIRSQDSRKVVDARTYLSAALTNKGTCLESLDSASGTLKPVLVNSVINSYKHVSDSLSMLPKPEKKAFQGHKNRRLLWLSRANHRLLKGNDGVLVVAADGTGKFSTITEAINFAPNNSVGRTVIYVKEGTYEENVEIPSYKTNIVLLGDGKDVTVITGSRSVIDGWTTFRSATLAVSGEGFLARDIGFENKAGPEKHQAVALRVNADFTAFYRCAMYGYQDTLYVHSFRQFYRECEIFGTIDYIFGNAAVVLQACNIVSRMPMPGQFTVITAQSRDSPDEDTGISIQNCSILATTDLYSDSGSVKSYLGRPWRVYSRTVYLESYIDAFIEAEGWTRWSNDEGLDTLYYGEYSNYGPGSGTDNRVDWAGYHLMDYDSAYNFSVSEFIIGDAWLGSTSFPYDNGI >Vigun11g199100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39723590:39724039:-1 gene:Vigun11g199100.v1.2 transcript:Vigun11g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKIIQMAWKLQKEITNYQRKRLLWPKTHENAASAEGCSVWTKAEKGHFVVYSIDKKRFVLPLLYLKNKIFRELFKLAEEEFGLCSNVPLTLPCEGALIEYVITLIQRNVTKDVEEAVLMFIATVRCQSSFDLHREPTNQHLLCSY >Vigun05g050700.1.v1.2 pep primary_assembly:ASM411807v1:5:4352736:4355388:-1 gene:Vigun05g050700.v1.2 transcript:Vigun05g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEMWTQYEEHGINIPRDEEQDLVKNTEKFRACSMLSTETPRDSPPETPPRNPPPEAPPRHPPISFRKCMKYIVLSMKDIPDHFHYMLLASGGAFLKFKAEKLTTQFDFKLEDLSDTGIVVLSFGEALGVFNPEITDEMTIMVGYLLVLLLIWPLQPVYQISIMLILISESLHYVLKLFGKLSGVYVAYGVFKLPLICFTATAAFALSLFVCFMMLLMIYLIQRYVGAGKTKVGEPGFTFEDFSVFVQGMMGGEVESYK >Vigun11g076400.1.v1.2 pep primary_assembly:ASM411807v1:11:22500836:22506819:-1 gene:Vigun11g076400.v1.2 transcript:Vigun11g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQISPSLRHVTVLPGKGLKEFIKVKVASRRLSYRMLIYSLLFFTFLLRFVFVLTAVDNIDGENKCSSIGCLGKKLRPKILGRSLESNVPEVIYRILDQPLGKDELEQKTDIPQTLEEFMVQTKEGGYDAKTFAIKLREMVTLMQQRTREAKVQEYLYRHVASSSIPKQLHCLGLKLANEHTNNAGARLQLPSAELVPALVDNNYFHFVLASDNVLAASVVATSLVRNSLRPQKVVLHIITDRKTYYPMQAWFSLHPLSPAIIEVKALHHFDWFSKGKVPVLEAMEKDQNVRSKFRGGSSAIVANTTEKPREIAAKLQALSPKYNSVMNHIRIHLPELFSSLSKVVFLDDDIVVQTDLSPLWDIDMDGKVNGAVETCSGEDKYVMSKRLKSYLNFSHPLISQNFDPNECAWAYGMNIFDLDAWRKTNISSTYHYWVEQNIKSDLSLWQLGTLPPGLIAFHGHVHTIDPFWHMLGLGYQESTSFSDAKDAGVIHFNGRAKPWLDIAFPHLRPLWTKYIDFSDYFIKSCHIKAL >Vigun11g091200.2.v1.2 pep primary_assembly:ASM411807v1:11:27143448:27144541:1 gene:Vigun11g091200.v1.2 transcript:Vigun11g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYERVGHQHLPLSSTSSLAPRNARHTATAIPLVPRFGLQVPTPPLENVRVSPRRYHETAGSRSSHRHPHTLYPSFYYYSIHFDYPLRLVPSYTLPTNPSTSSSMPIQHAPFAHHSQPLRHNMDIQDMSYEDIQNMSYEDLLDLAERIGKVDTGLPDEIIARQMKTETYQPPNHLEEATSQEQEIDLCVICQDEYKIQESIGILQCGHRYHTDCITTWLHEKNECPICKSKALNIE >Vigun07g204700.1.v1.2 pep primary_assembly:ASM411807v1:7:32621382:32623187:-1 gene:Vigun07g204700.v1.2 transcript:Vigun07g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKLKTLTTPTTSSSPPIPIHVPTMLSNSPPSSSSSPPSHSPTPFPSPSQGSPPAAPPSPPPPVVVSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVSELQAQLAKAQAELVNMQCQQANLVALICMEMSESQEQHMVQPQPHIDMSCFIEDNSFGTAWEPLWT >VigunL059478.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000503.1:19286:19652:-1 gene:VigunL059478.v1.2 transcript:VigunL059478.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun05g024000.1.v1.2 pep primary_assembly:ASM411807v1:5:1983029:1984211:-1 gene:Vigun05g024000.v1.2 transcript:Vigun05g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRMNQNIETQNSSAKRLADKVAVVTGGARGIGATAAKLFAENGAHVVIADVLDEVGAKVAESIGGRYIHCDVSKEDDVESAINFALSWKGHLDIMLSNAGIGGPEGRSITTIDMDQVRHLFSTNLHGTVHGIKHAARAMIKGRRGGSIICTSSVAAVMGGLALHGYTMTKAAIDGLVRSAACELGEHLIRVNCISPHGIPSEMLLTAFRRFSNVVDITPQCLNEFIGTRASLLKGRGATIEDVAHAALFLASDESGFVTAHDLRVDGGYTYADSRMSYMYQPKKDEKILI >Vigun06g124500.5.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177187:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKQMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.1.v1.2 pep primary_assembly:ASM411807v1:6:25161025:25177237:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.2.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25178317:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.3.v1.2 pep primary_assembly:ASM411807v1:6:25161025:25177237:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.7.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177159:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKQMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.4.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177131:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.10.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177131:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.9.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177131:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.6.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25178317:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYSRAKGKGEKKKKEEKVLPGVMDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKQMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g124500.8.v1.2 pep primary_assembly:ASM411807v1:6:25161065:25177187:1 gene:Vigun06g124500.v1.2 transcript:Vigun06g124500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVNLPGETHVVLKGISTDKIIDVRRLLSVNTETCYITNFSLSHEVRGSQLKDTVDLFVLKPCVLTLIEEDYDEDRAVAHVRRLLDIVACTTNFGHSSAAKNVNPHAPPPAAAAFDGDGGISHSCPRLGSFYEFFSLSHLTPPFQYIKKTVRRRVPEILEADHLFSFDVKLCNGKVVHVEACRNGFFSVGKQQIFSHNLVDLLTRLSRAFDTAYDDLLKAFSERNKFGNLPYGFRANTWLVPPGVAQSPSVFSPLPVEDKNWGGNGGGLGRDGKFDLIPWAKEFSFIAFMPCNTAEERQIRDRKAFLLHTLFVDVAILRAIKAVKQVMGESDVHSSITENGIHFTDRVGDLSIRVMKDASLANCKVDSKIDGVETTGINQKDLIGRNLLKGITADENTAAHDVTTLGVVVVRYCGYLVSVKVEGGENENVNSSSYQSIELFDQPDGGANALNINSLRLILNSAQSEKNRPNQMQMPETEELGVSQAFVERLIKESLSKLEEEEPGVDNFIRWELGACWIQHLQDHNSEKDKKPLLGKAENEMKVEGLGKPFKALKSNKSKSDRSSVKLASENSKSHVACVNGEPESALVPSVESKHETAAAKNELVLKGFLSEAAFTRLKESGTGLHSKSMQDLIDLSKKYYIDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMHSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVIAVVDKEKMAASITAALNLLLGVPEDRQSDKSCKIHPLVWKWLEVFLKKRFDWDLSSLNYSNVRKFAILRGLCHKVGIELVPRDLDMNSSIPFQKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALARLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVNVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTQKPDTSIASKGHLSVSDLLDYINPSHDPKGRDIALRKRSQIAKMRMESCPNIGSASSDESWKETPRETSDEVILIPGAGVDVDTDLETNSAPDSEQALLEKTSDEKQVSVEILSEAHADGEDGWQPVQRPRSSGSYCQRLKQRRATIGKVYYQKKKMESGIDYTYGMSSEQNSGYYILKKRTISHGVYADDHTVNISQGTKFGRKVVKAVTYRVKSMSASDKTTVKDSSEIGDKLISSCSQMGSLSSPNGNSTLKTSIVSIGKSPSYKEVAVAPPGTISKLQVYNPQSNIPVFGVGKHEEEDFKIHANSEPTLEEVKNTLKEKDKSSVSDSLDDSNHTNDPERKQTQFTDSVQEKFESAEGVDSVDVEDHEAVDNIIMIDAVEDPVDSHKLEIDTSNSDCFELSNHTTSISQEGEDLRVSVSPSSQGDSLGIPYKKLSASAAPFNPAPGIARAAPVPSASGAVPPIGPWPVNMNVHHGPATMLPAVTQMCSSPHHAYPSPPHTPNMIQPLPFMYPPYTQPQSIPSNNFPVTSSAFHVNQFAWQCNMNSTVSNFGPNAVWPGCHPVEFPPLAPSIKPMPDPILEPQKQCHVSKSSNSAFVLPEGITNDGGYKKEGQPLESETSEDEVGRVHAESVKENGNPNFHGFESAEDKPNNNIGLNKIIRNEKNIDGEKTFSILIRGRRNRKQTLRMPISLLTRPSSSQSFKVTYNRVVRGSDVPKSINLSSGRDCTATA >Vigun06g007900.2.v1.2 pep primary_assembly:ASM411807v1:6:3908328:3918203:-1 gene:Vigun06g007900.v1.2 transcript:Vigun06g007900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKNNAQSEDETTVALKKKRLRRVSFADNEITSVHVFRRDDDSSSPSEAPSDPSIVGFFRDLASDSDDDDKEQPQLHEEAEDGNSFLRPIGSPYPGDSSTADEGDDDDDDFRGPVSAHFIRPERLSDSGVSDDVTMDSTAFSMHYRSLARSDSGDIKTLQFDVTTPNSHSRGSYMELTEPKLCGVVLDAHSAGKDSNDMSIEGEQQRGSEYDILPSPAFGAAILVQGAHNNSPQRSLEGSVASPPFIQSHQKQPSDSAMEEIKESTKDATEILSICRQLDFENANSETSPRVGEHKGMVLDSNCKSHKVDRNPIYESTLLLLSGRKQSFTRSPVSSAHAGNITPRLEQSALHGPEVSVTHCATSSAHRSIAKMVTIEPLAMSSLKKGMDILKARLSKYSPGFLLSNEKNQEYKQEESCQIHLGEKLFSSTPTSNTYKGLAYCNDRGIQSLKNISKLSQNEDIVDTKMDDGDLNPISASSSQLTHLRMVGDVDLADRSGKNEILVPSSPIHQVTPQLCLPQDVPPKVTVDGHGPDNNPHSVLNVAQSPLTKSGIGNSSGKKRKGVQILRNEDKIDKIGRIDRTPEAHSNASGDLQLVLEQTGSMRRERVMLGNQTSNDGDLFLKKFLDRTTHLLPPSVDKLNLRLIGRLEDILVHLQKVKKTEIVCSEIQSQHKITDRLNIHRHKRVAETRILLHSIAYEKAKLQLLHIKHDKLLEKGQQISSGLQECEMIKLNFIPSFKRGAVDSQANDSFSKGKSQVSCKKVLEKKQELESLESKAKSLSEFLQSHCKMEGDQSFTDTVKAVSGYLQKRMPCKSICQNLKLWEIEDFERRDDCHKVCLNYCGYVTQRVTVNTGHSSIIISTSLNDVNIGKTFPNLESFSAFVFVLNPHTSKKFTDSSSMAREIQFRGLICSCLSLISIVGGR >Vigun06g007900.1.v1.2 pep primary_assembly:ASM411807v1:6:3908328:3918203:-1 gene:Vigun06g007900.v1.2 transcript:Vigun06g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKNNAQSEDETTVALKKKRLRRVSFADNEITSVHVFRRDDDSSSPSEAPSDPSIVGFFRDLASDSDDDDKEQPQLHEEAEDGNSFLRPIGSPYPGDSSTADEGDDDDDDFRGPVSAHFIRPERLSDSGVSDDVTMDSTAFSMHYRSLARSDSGDIKTLQFDVTTPNSHSRGSYMELTEPKLCGVVLDAHSAGKDSNDMSIEGEQQRGSEYDILPSPAFGAAILVQGAHNNSPQRSLEGSVASPPFIQSHQKQPSDSAMEEIKESTKDATEILSICRQLDFENANSETSPRVGEHKGMVLDSNCKSHKVDRNPIYESTLLLLSGRKQSFTRSPVSSAHAGNITPRLEQSALHGPEVSVTHCATSSAHRSIAKMVTIEPLAMSSLKKGMDILKARLSKYSPGFLLSNEKNQEYKQEESCQIHLGEKLFSSTPTSNTYKGLAYCNDRGIQSLKNISKLSQNEDIVDTKMDDGDLNPISASSSQLTHLRMVGDVDLADRSGKNEILVPSSPIHQVTPQLCLPQDVPPKVTVDGHGPDNNPHSVLNVAQSPLTKSGIGNSSGKKRKGVQILRNEDKIDKIGRIDRTPEAHSNASGDLQLVLEQTGSMRRERVMLGNQTSNDGDLFLKKFLDRTTHLLPPSVDKLNLRLIGRLEDILVHLQKVKKTEIVCSEIQSQHKITDRLNIHRHKRVAETRILLHSIAYEKAKLQLLHIKHDKLLEKGQQISSGLQECEMIKLNFIPSFKRGAVDSQANDSFSKGKSQVSCKKVLEKKQELESLESKAKSLSEFLQSHCKMEGDQSFTDTVKAVSGYLQKRMPCKSICQNLKLWEIEDFERRDDCHKVCLNYCGYVTQRVTVNTGHSSIIISTSLNDVNIGKTFPNLESFSAFVFVLNPHTSKKFTDSSSMAREIQITSSLLSNLLDVVEEVQLARIEIRNLVDAKFYSHSVQRLDLQLSFIDFHSGRKVKAIFDITCLKCGVYPSGVVPSQIFEPSGAEQKSLPASLAHEIRSATERVRVGYSRIIKLCRCISHAVLSGFLQNQMIGN >Vigun11g162200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36965073:36966822:1 gene:Vigun11g162200.v1.2 transcript:Vigun11g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATTAPPTLQELELDNLKALKVLGKGAMGTVFLVHDTTTNNAPLALKVVDKTCVRAKLDAERRARWEIQVLSTLSHPFLPTLLGTFDSPQFLAWAIPYCPGGDLNVLRYRQTDRTFSPTVIRFYVAEIICALHHLHSMGIAYRDLKPENVLIQNNGHVTLTDFDLSRKLTLKPKPHLPSIPVPNPKVKEPRRKHRRNFSRWIPLPPLDGNRRKATTNALKKAKSARVSPVSRRKLSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWSLGILIYEMLYGTTPFKGKNRKDTFRNVLTKAPEFVGKRTALTDLIEKLLTKDPTRRLGYTHGAAEIKEHEFFRGVKWDHLIEVVRPPFIPSRDDGSAESLEKLSAGNSGVDIRDYFQNLRSVPSSPSASASPSSPRWRFKKNVSLTEF >Vigun06g027400.5.v1.2 pep primary_assembly:ASM411807v1:6:12199108:12204125:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVVFLFVEICDHFNGIPFKFQFNYYDIFRQKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSVFF >Vigun06g027400.1.v1.2 pep primary_assembly:ASM411807v1:6:12198421:12204325:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVVFLFVEICDHFNGIPFKFQFNYYDIFRQKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSV >Vigun06g027400.4.v1.2 pep primary_assembly:ASM411807v1:6:12198424:12204125:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVVFLFVEICDHFNGIPFKFQFNYYDIFRQKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSVFF >Vigun06g027400.6.v1.2 pep primary_assembly:ASM411807v1:6:12199108:12204125:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSVFF >Vigun06g027400.2.v1.2 pep primary_assembly:ASM411807v1:6:12198421:12204325:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSVFF >Vigun06g027400.3.v1.2 pep primary_assembly:ASM411807v1:6:12198424:12204156:1 gene:Vigun06g027400.v1.2 transcript:Vigun06g027400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVASSSNSSKKYDVFLSFRGEDTRMNFTSHLHEVLKQKKVETYIDYRLEKGDEISPALIKAIEDSHVSIVIISENYAFSKWCLEELSKILECRKIQGQIVIPVFYNIDPSHVRKQTGSYEQAFVKHQEDFRCNKWRAALAEVANFSGWDSRNRIESELLMDIVGDILRKLTARYPTQLKGLVGIEENYEQIESLLRIGSREVRTLGIWGMGGIGKTTLATALYAKFSPEFEGGCFLTNVRENSSRQGGLEALRSKLFTELLENENHCFGSPLLVPQFVMSRLGHKKVFIVLDDVATSEQLECLIIDYGLLGPGSRIIVTTRDKQIFRPNDEIYEVKELSIYHSLELFSLTAFEEKIPKQGYEDLSRRAISYCKGIPLALKVLGASLCRRSKEAWVSELRKLKKISNMEIHNVLKLSYDGLDRSQKDILLDIACFLKGEHKDRVTNLLEACDFFAASGIEVLLDKALVTISYSNNIQMHDLIQEMGQEIVDQESIKDSGRRSRLWRPEEVHQVLKHNLGTEVVEAITLDTCDLNRDLNLSSNSFTKMVSMRFLKIHSSYYSSQFNVHLPSGLESLSDKLRYFRWDGFCHESLSSNFHAEYLVELDMRRSKLRKLWEGVQSLVNLEKIHLEASRDLVEIPDLSKAEKLKRIDLSDCESLRKLHPSISSLPKLAHLELSGCRKIENLNVHSKYLQRLNLEGCSSLKELSVTSHKMVFLDLSYTAICSLPSSIQYNTELARLFLKGCDNLSFVQSPPNIIGHLFSLLLLDLSGTNVESLPASIKNLSMMKLLVLDDCRTLVSLPELPRSLEMLTAYNCTSLETVFTQLLVSEHMLQSCKPYLSKQYYYPKQFEGGCAVFPGDHIMNDFGFHAEDSSITIPYLSLPELCGFICCFVLSEGSIEGHISCSIYQDSEQVGIDEGQLLHTALISDHVKDERVRIKECGVFPVYASESGLKLFGSDSTEFFELESITQSFDESQSRAIGVGVRCTNGENGLESFVEVSNKESQLREIGVGGSSNENENEWEHLLHVITSSQVFF >Vigun08g068700.1.v1.2 pep primary_assembly:ASM411807v1:8:10638795:10640898:-1 gene:Vigun08g068700.v1.2 transcript:Vigun08g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPCNSITTQTLVPRAYTTSSCHRHLLPPPKVAVSAVSAVSTAPLKARRTHSMPPEKMEVFKSLEGWASEWVLPLLKPVERCWQPQNLVPDSSLPFEEFSEEVKALRERTKELPDEYFVVLVGDMVTEDALPTYQTMINNLDGVKDDCGTSPSPWAVWTRAWTAEENRHGDLLRTYLYLSGRVDMAKVEKTVHYLIGAGMDPGTENNPYLGFVYTSFQERATFVAHGNTARLAKEGGDPVLARICGTIAADEKRHENAYSRIVEKLLEVDPTGAMVAIGNMMEKKITMPAHLMYDGHDPKLFEHFSAVAQRMGVYTANDYADILEFLVGRWRLEKLEGLSGEGKRAQDYVCGLAPRIRRLQERADERARKMKPQSVKFSWVFNRELLL >Vigun06g233000.2.v1.2 pep primary_assembly:ASM411807v1:6:33893937:33899613:1 gene:Vigun06g233000.v1.2 transcript:Vigun06g233000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHMRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLKQLVKQSLIDTITMEHSPPVRKASANVVSIVAKYAVPSGEWPDLLPFLFRCSQSEQEDHREVALILFSSLTETIGNAFRPYFADLQALLLKCLQDETSNRVRVAALKAVGSFLEFTHDGDEVIKFREFIPSILNVSRQCLASGEEDVVILAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAVQIISWLAKYKASTLKKHKLIIPILQVLCPLLAESTNENEDDDLAPDRAAAEVIDTMALNIPKHVFQPVFEFASVSCQNANPKFREASVTALGVISEGCLELMKSKLEPVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSHYESVLPCILNALEDGSDEVKEKSYYALAAFCENMGEDILPFLDPLMGRLLTALQNSSRVLQETCMSAIGSIASAAEQAFIPYAESVLELMKSFMVLTNDEDLRSRARATELVGIVAMSVGRARMEPILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILEDSFAQYLPHVVPLVFSSCNLDDGSAVDIDECDDEVANGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKTSFAPYLEETLRILVKHSGYFHEDVRLQAIISLKYALTAALIVFQSQHVSLKTTSLCLILHSYFFKDGFFYLITL >Vigun06g233000.1.v1.2 pep primary_assembly:ASM411807v1:6:33893937:33902216:1 gene:Vigun06g233000.v1.2 transcript:Vigun06g233000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHMRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLKQLVKQSLIDTITMEHSPPVRKASANVVSIVAKYAVPSGEWPDLLPFLFRCSQSEQEDHREVALILFSSLTETIGNAFRPYFADLQALLLKCLQDETSNRVRVAALKAVGSFLEFTHDGDEVIKFREFIPSILNVSRQCLASGEEDVVILAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAVQIISWLAKYKASTLKKHKLIIPILQVLCPLLAESTNENEDDDLAPDRAAAEVIDTMALNIPKHVFQPVFEFASVSCQNANPKFREASVTALGVISEGCLELMKSKLEPVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSHYESVLPCILNALEDGSDEVKEKSYYALAAFCENMGEDILPFLDPLMGRLLTALQNSSRVLQETCMSAIGSIASAAEQAFIPYAESVLELMKSFMVLTNDEDLRSRARATELVGIVAMSVGRARMEPILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILEDSFAQYLPHVVPLVFSSCNLDDGSAVDIDECDDEVANGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKTSFAPYLEETLRILVKHSGYFHEDVRLQAIISLKYALTAALIVFQSQHDGAAKAKELLDNVMTIYIKSMVEDDDKEVVAQACNSVADIIRDYGFATLEPYLAQVVDATSLLLREQSACQQIESDSEIDDVDSAHDEVLMDAVSDILPAFAKSMGAQFAPILGQLFEPLMKFAKASRPPQDRTMVVACLAEVAQNMGSPIASYVDRVMPLVLKELASSEATNRRNAAFCVGELCKNGHEPALKYYDNILRGLHPLFGESEPDDAVRDNAAGAVARMIMVHPESIPLNQVLPVFLRVLPLKEDREESMAVYSCISTLVLSSNPQILSLVPELVNLFAQVVVSPVETPEVKAVVGRAFSHLVSLYGQQMQPLLSNLPPAHANALSSFAQRS >Vigun04g070600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8193319:8194986:-1 gene:Vigun04g070600.v1.2 transcript:Vigun04g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPRNAAVFAVHVFKASSRFLHSSSPQTHPNFPIPRSNSPPSHVVNPSSLLSDLVSCAHSDMAGVFALHKRELTSNLVFGALRGYKQLGRAKTLKFFSLAGSHMGFHFDDSVVEYMADFLGRRKLFDDIKCLLTTVAFHKGGVSHKALAICIRFLGRQGRIKEALSLFEDMETVFKCKPDNLVCNNMLYVLCKRESSLEMIQLAHSIFHKIETPDTYSCSNMIVGFCKFGRVESALEIFNQMEKIGVLPTRSAVNMLIGELCLTSAKEGSVEKVRVKNTRRPYTILVPNVGGNSDAMQPAVQVFWAASKAGLLPSSFVVVKVMCELCRLGNTEEAGRVLRIVEERKLRCVHEGYSVVIKALCECHKVKEASDLFGRMLSLGLKPKLVVYNSVILMLCKLGKLKDATRVFEIMNKNRCLPDDLTYTALIHGHGEGKNRKVAYDLLIEMLGLGLLPNFDTYNLVESLLREHGRLDLCVKLDRKLENQKLQKLCRGGELDAAYEKVKSMLEKGIPLSVYARDIFEQVFQKCGKLKIARQLLENTKRFQKAEEIDKT >Vigun03g181000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22956025:22959861:1 gene:Vigun03g181000.v1.2 transcript:Vigun03g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHRRRFHRRDPQPSTPTTTGGVADKHPSPQILALRFFSHARDSVVTTTTRATPSTLLFTSGFVDVEASFSIYVAFGTPTPRPTVMPPPPLEASTRHQRCHQRFFFVSWFYRFRWH >Vigun02g106100.1.v1.2 pep primary_assembly:ASM411807v1:2:26017708:26022356:-1 gene:Vigun02g106100.v1.2 transcript:Vigun02g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLGGARAVLCSKSSTTSVVRKMSSVPASRKFVSVEGSDIHSRSKPDGFRFSLVSYNILAQVYVKSSSFPHSPSSSLKWKLRSNTILEVLKDLGADFFCLQEVDEFESFYKGNMQNLGYSSIYMKRSGQKRDGCGLFYKHDCAELLIEEKIEYNDLVKSIPYGNSSNDDDHTNIQTVHVDKQKDVSPKNGSKSNTEDRGDPNDPRVRLKRDCVGIMAAFKFKDPSRHIVIVANTHLYWDPEWADVKLAQAKYLLSRLAKFKTLVSDRYECIPEVIVAGDFNSQPGDTVYQYLVSGNPSSNLMLNCLEESPPIPLCSVYASTRGEPPFTNYTPDFTGTLDYVLFSPSDHIKPISFLELPDSDAADIVGGLPNFSYPSDHLPIGAEFEIIKE >Vigun02g106100.3.v1.2 pep primary_assembly:ASM411807v1:2:26017708:26022356:-1 gene:Vigun02g106100.v1.2 transcript:Vigun02g106100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLGGARAVLCSKSSTTSVVRKMSSVPASRKFVSVEGSDIHSRSKPDGNEPNLDFPISFEFDVVQFSYILSLLNFNYSGFRFSLVSYNILAQVYVKSSSFPHSPSSSLKWKLRSNTILEVLKDLGADFFCLQEVDEFESFYKGNMQNLGYSSIYMKRSGQKRDGCGLFYKHDCAELLIEEKIEYNDLVKSIPYGNSSNDDDHTNIQTVHVDKQKDVSPKNGSKSNTEDRGDPNDPRVRLKRDCVGIMAAFKFKDPSRHIVIVANTHLYWDPEWADVKLAQAKYLLSRLAKFKTLVSDRYECIPEVIVAGDFNSQPGDTVYQYLVSGNPSSNLMLNCLEESPPIPLCSVYASTRGEPPFTNYTPDFTGTLDYVLFSPSDHIKPISFLELPDSDAADIVGGLPNFSYPSDHLPIGAEFEIIKE >Vigun02g106100.2.v1.2 pep primary_assembly:ASM411807v1:2:26017708:26022357:-1 gene:Vigun02g106100.v1.2 transcript:Vigun02g106100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPASRKFVSVEGSDIHSRSKPDGFRFSLVSYNILAQVYVKSSSFPHSPSSSLKWKLRSNTILEVLKDLGADFFCLQEVDEFESFYKGNMQNLGYSSIYMKRSGQKRDGCGLFYKHDCAELLIEEKIEYNDLVKSIPYGNSSNDDDHTNIQTVHVDKQKDVSPKNGSKSNTEDRGDPNDPRVRLKRDCVGIMAAFKFKDPSRHIVIVANTHLYWDPEWADVKLAQAKYLLSRLAKFKTLVSDRYECIPEVIVAGDFNSQPGDTVYQYLVSGNPSSNLMLNCLEESPPIPLCSVYASTRGEPPFTNYTPDFTGTLDYVLFSPSDHIKPISFLELPDSDAADIVGGLPNFSYPSDHLPIGAEFEIIKE >Vigun07g256200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37402189:37402719:1 gene:Vigun07g256200.v1.2 transcript:Vigun07g256200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYCVVVAFNATFFIIFMFLLSYSNCVEARKSLRDQYSSPSFIDLFINRAYSGPSHKGRGH >Vigun09g177200.2.v1.2 pep primary_assembly:ASM411807v1:9:34938540:34942483:1 gene:Vigun09g177200.v1.2 transcript:Vigun09g177200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRCLSFFTGKKDKNKSAAEGSSTGDLKAQLGEIQHPKISSERRDLKPATLDVTVPFGVQKNSRGNVRIMNHESPVKTEVEEAYEGEDEHEQSPSIKRQLSDFDLQVHQAAASKGRCDPSNEEIKFEDQVNIQFEDTEHKYSIKSDDMIQSGHVSDPGIGKTDFWASPELKRSCSDLERRDVLMKTSHLFPTSKSQSFEDLHGLSAYINLESPRSAMTHCSADKVMLKRHSSSQVLPSRSKRLWWKLFLWSHRNIHRSKSSKSTQIHPAIAALSSQCGYSSDTLEPKQGKALRHEESPSPTSSFGEYFQKSCDEQNIVNQRWSRFQKDHFGFWPQNQWVAFSTESSSLSRVDEWVKDLEIQQPPPEDDDFGDDSIGSIAFPPSPDDGRSMARSTSQLIRHSDANISKEIMHANSLVQSLNPASTAAHISGIGIKAIPSLSHFFSLRSVNLSSNLIAHITPGFLPKGIHTLNLSRNKISTIEGLRELTRLRILDLSYNRISRIGQGLSNCTLVKELYLAGNKIGDVEGLHRLLKLTVLDLSFNKIATTKALGQLVANYNSLQALSLLGNPIQSNISDEQLRKAVSGLLPKLVYLNKQSIKTQRGREMFTDSVAKAALGNSGHNSYRRVLKKGGGQGGSSSSGVHRSSASVSHKSRHRSRSRTKRH >Vigun09g177200.1.v1.2 pep primary_assembly:ASM411807v1:9:34938540:34942483:1 gene:Vigun09g177200.v1.2 transcript:Vigun09g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRCLSFFTGKKDKNKSAAEGSSTGDLKAQLGEIQHPKISSERRDLKPATLDVTVPFGVQKNSRGNVRIMNHESPVKTEVEEAYEGEDEHEQSPSIKRQLSDFDLQVHQAAASKGRCDPSNEEIKFEDQVNIQFEDTEHKYSIKSDDMIQSGHVSDPGIGKTDFWASPELKRSCSDLERRDVLMKTSHLFPTSKSQSFEDLHGLSAYINLESPRSAMTHCSADKVMLKRHSSSQVLPSRSKRLWWKLFLWSHRNIHRSKSSKSTQIHPAIAALSSQCGYSSDTLEPKQGKALRHEESPSPTSSFGEYFQKSCDEQNIVNQRWSRFQKDHFGFWPQNQWVAFSTESSSLSRVDEWVKDLEIQQPPPEDDDFGDDSIGSIAFPPSPDDGRSMARSTSQLIRHSDANISKEIMHANSLVQSLNPASTAAHISGIGIKAIPSLSHFFSLRSVNLSSNLIAHITPGFLPKGIHTLNLSRNKISTIEGLRELTRLRILDLSYNRISRIGQGLSNCTLVKELYLAGNKIGDVEGLHRLLKLTVLDLSFNKIATTKALGQLVANYNSLQALSLLGNPIQSNISDEQLRKAVSGLLPKLVYLNKQSIKTQRGREMFTDSVAKAALGNSGHNSYRRVLKKGGGQGGSSSSGVHRSSASVSHKSRHRSRSRTKRH >Vigun07g273200.1.v1.2 pep primary_assembly:ASM411807v1:7:38861207:38862746:-1 gene:Vigun07g273200.v1.2 transcript:Vigun07g273200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIKTLMSFFQIFCIVLLLTSGAKSDSGAPPGTVCEVGGGKCPNAYNCISYCLFLGYKSGGVCDPSNSERCCCIKDK >Vigun06g180100.1.v1.2 pep primary_assembly:ASM411807v1:6:30008271:30013951:-1 gene:Vigun06g180100.v1.2 transcript:Vigun06g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERMGRELKCPICWSLFDSAVSLTCNHHFCNSCIVKSMKSASACPVCKIPFTRREVRPAPHMDNLVNIYKNMEVASGINIFVTQNVSQAKLSDVEKQCDGNADSGTVEAGGSHKGHVQEKKTRKIKKAKKTVQANMESSGSGLSKPSFPAKKRVLVPQNILSETPMKNLKLGDSLSEINKEKEGVEKVCVIESERPLQNEKSVPVLSPFFWLREEKDGEKSSQPTDEDQFIDGSTPNRPSFSDLKDSDDENTSNIAPFDERQNQISVNLFDSEMFEWTQRPCSPELFSSPSKMQLQVMDTYEDDENQDELVAALQQDLDANIPSTNPDNMKFENPKGNKAADALPPNVTPLIRSSVDINGKVKSRKRGRKAREKIRHEQIVEPKNSIDGMHVDGHVSLDVTQERALGYKPKSSNLGKVSRRSKRVCLNTSSVPTCTSACTVPDTSGVLSINEMEMVANSCISPCKEENQKHCPLKIAGKSQKIISGKQNMDPTAELAGSDSPLFSLQTNSNVNTSKSNRNIFSRKSKSGSKKSRNTKRSKLSSECTSITRNAEEILPNESVHHCPQVSHLNDTSKEKHRSLSDKAVLRKCESHVKKYQCFFCLSSEESEVSGPMVHYLDGKPVPADYEGGFKVTHCHRNCTEWAPNVYFDGENAINLEAEINRSRRIKCSFCGLKGAALGCYEKSCRRSFHVPCAKWTSLCRWDKQNFVMLCPLHSSSMLPCEDSGSQKRSKKGQGRDGKNHGPSLDTISQTRADHRSYKKIVLCCSALSVQEKDIVSKFESVYKVTVLKNWDSSVTHVIASTDENGACRRTLKVLLGILEGKWILKVEWIKACMKEMNPVGEEHYEINVDIHGIRDGPRLGRLRVLNKQPKLFDGYKFYFMGDFIPSYKGYLQELVVAAGGIILHRKPVSCDQKSMLPDTHSYQTFIVYSLELPDKCKPSETDTICRQRCHDAEVVASSTGSKVVTNTWILNSIAACKVECLAQ >Vigun06g180100.2.v1.2 pep primary_assembly:ASM411807v1:6:30008271:30013951:-1 gene:Vigun06g180100.v1.2 transcript:Vigun06g180100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERMGRELKCPICWSLFDSAVSLTCNHHFCNSCIVKSMKSASACPVCKIPFTRREVRPAPHMDNLVNIYKNMEVASGINIFVTQNVSQAKLSDVEKQCDGNADSGTVEAGGSHKGHVQEKKTRKIKKAKKTVQANMESSGSGLSKPSFPAKKRVLVPQNILSETPMKNLKLGDSLSEINKEKEGVEKVCVIESERPLQNEKSVPVLSPFFWLREEKDGEKSSQPTDEDQFIDGSTPNRPSFSDLKDSDDENTSNIAPFDERQNQISVNLFDSEMFEWTQRPCSPELFSSPSKMQVMDTYEDDENQDELVAALQQDLDANIPSTNPDNMKFENPKGNKAADALPPNVTPLIRSSVDINGKVKSRKRGRKAREKIRHEQIVEPKNSIDGMHVDGHVSLDVTQERALGYKPKSSNLGKVSRRSKRVCLNTSSVPTCTSACTVPDTSGVLSINEMEMVANSCISPCKEENQKHCPLKIAGKSQKIISGKQNMDPTAELAGSDSPLFSLQTNSNVNTSKSNRNIFSRKSKSGSKKSRNTKRSKLSSECTSITRNAEEILPNESVHHCPQVSHLNDTSKEKHRSLSDKAVLRKCESHVKKYQCFFCLSSEESEVSGPMVHYLDGKPVPADYEGGFKVTHCHRNCTEWAPNVYFDGENAINLEAEINRSRRIKCSFCGLKGAALGCYEKSCRRSFHVPCAKWTSLCRWDKQNFVMLCPLHSSSMLPCEDSGSQKRSKKGQGRDGKNHGPSLDTISQTRADHRSYKKIVLCCSALSVQEKDIVSKFESVYKVTVLKNWDSSVTHVIASTDENGACRRTLKVLLGILEGKWILKVEWIKACMKEMNPVGEEHYEINVDIHGIRDGPRLGRLRVLNKQPKLFDGYKFYFMGDFIPSYKGYLQELVVAAGGIILHRKPVSCDQKSMLPDTHSYQTFIVYSLELPDKCKPSETDTICRQRCHDAEVVASSTGSKVVTNTWILNSIAACKVECLAQ >Vigun05g163000.2.v1.2 pep primary_assembly:ASM411807v1:5:26151628:26152468:1 gene:Vigun05g163000.v1.2 transcript:Vigun05g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYHMYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCLERSSMFNYFMN >Vigun05g163000.1.v1.2 pep primary_assembly:ASM411807v1:5:26151628:26152468:1 gene:Vigun05g163000.v1.2 transcript:Vigun05g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYHMYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCLERSSMFNYFMN >Vigun07g237400.2.v1.2 pep primary_assembly:ASM411807v1:7:35924766:35927333:1 gene:Vigun07g237400.v1.2 transcript:Vigun07g237400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAKSTSKKSLSSQNEPFNLRSQKPIYSNKFGRLHEITPEKNPQLRDLDVFLTSVDMKEGGLLMPNYNSKAIVILVVNKGEANIELVGQREQQQQQQEESWEVQRYRAEVSEDDVFVIPASYPVAITATSNLNFIAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPASGEKVEKLINKQSDSHFTDAQPEQQQKEGRKSE >Vigun07g237400.1.v1.2 pep primary_assembly:ASM411807v1:7:35924766:35927333:1 gene:Vigun07g237400.v1.2 transcript:Vigun07g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARVSLLLGVLFLASLSVSFGIVHREHQECQEESESRGQSNPFYFNSDRWFHTLFRNQYGHLRVLQRFDQRSKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSYILEQGHAQKIPAGTTFFLVNPDDNENLRIVKLAIPVNNPHRFQDFFLSSTEAQQSYLQGFSKNILEASFDSDYKEINRVLFGEEEQQQQDEESQQEGVIVQLKREQIRELMKHAKSTSKKSLSSQNEPFNLRSQKPIYSNKFGRLHEITPEKNPQLRDLDVFLTSVDMKEGGLLMPNYNSKAIVILVVNKGEANIELVGQREQQQQQQEESWEVQRYRAEVSEDDVFVIPASYPVAITATSNLNFIAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPASGEKVEKLINKQSDSHFTDAQPEQQQKEGRKSE >VigunL059005.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000112.1:51303:51740:-1 gene:VigunL059005.v1.2 transcript:VigunL059005.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHEELKNLANTLNLNQIILPESTSPKTLLPQLHLLPHAAPTIEATHCQVAGDAANNGAGLHHRSSSDGSSASLKCAEHHSMASMFASLLRLVNIVLLQCYQAIVVDIHHQNVPPKIINSTTPHITVTPFLSIQNLSFPVQFQF >Vigun07g217700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33972528:33975144:1 gene:Vigun07g217700.v1.2 transcript:Vigun07g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRIGNFNHVEARVSLIQQWFFLRRLSLWSMKKEAELESALSRNRRWIVNNQIKNIILRYPNNEIPIETLQKKFKTLDLQGKALNWLSKYPCCFEIHDNRCRLTKRMMNLVAQEHSLIDSLQPLFARRLAKLLMLTLRNRLTVLKINEFKRAFGFPDDYVIRILPNYPNLFRIVNESGRRSSMAIELLHWDPDLAVSAVESSAAKHGTPPRFSCSLPSSWVKSWERFREFDEIPYISPYSDPRGLVEGSKEMEKRNVGLVHELLSLTLWKKASIVKLGHFKREFLLPDRLNVFLLKHPGIFYVSNKYKIYTVLLREAYVGSQLVDKDPLVVVKEKFGDLMQEGLHEYNQRRRLLNVEKRRRSGVPLISVDGAKGRRRSHEVDDDYGDSNGADSKVGGLFDPEERKRFYRVLFDDDVS >Vigun07g089700.1.v1.2 pep primary_assembly:ASM411807v1:7:14027896:14037543:1 gene:Vigun07g089700.v1.2 transcript:Vigun07g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARFFHHVLGLSVLELATICVNLTFVLLFLFVVSVRRAIVYQGGLRFGKNGDSGNASPICSVIDEETRGVRIGLVFKLSVVSCFYVLIVHVLALGFEGGALVWGEADVDLSLLSVPAAQVLAWFVLSFSALHCKFKVSERFPVLLRVWWFLSFVICLCTLYVDGRGFWEDGFQHLCSRAVSNVAATPPLAFLFVVAVRGDTGIRVCRNSDLQEPLLVEEEPGCLRVTPYRDAGIFSLVTLSWLNPLLSVGAKRPLELKDIPLVAPRDRAKTSYKILNSNWERLKAENDNPSKQPSLAWAILKSFWKEAALNAIFAGLNTLVSYVGPYMISYFVDYLGGKETFPNEGYTLAGIFFVSKLVETVTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSSAKQSHTSGEIVNYMAVDVQRVGDFSWYLHDMWMLPLQIVLALFILYKNIGIASIATLIATIVSIVVTVPVARIQEDYQDKLMTAKDERMRKTSECLRNMRILKLQAWEDRYRLKLEEMRGVEYKWLRKALYSQAFITFIFWSSPIFVSVVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSTDRISTFLQDEELQEDATIIMPRDLSNMAVEIRDGVFCWDSSLPRPTLSGIHMKVEKGMSVAVCGMVGSGKSSFLSCILGEIPKLSGEVKVCGSVAYVPQSAWIQSGNIEENILFGSPMDKAKYKNVLHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYVLTALANKTVIFVTHQVEFLPSADMILVLKEGHIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPNHSDDSDENVPLEESIMNSKTSISSAYDIDSLAKEVQEGSSDQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMMVYWSYMAAAYKGLLIPLIVMAQTLFQFLQIASNWWMAWANPQTEGDQPRVTPTVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFFNMLRSIFHAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASSTIQLIGIVAVMTDVTWQVLLLVVPMAILCLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCLVLLVSLPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQVPTEAPAIIEDYRPPSSWPENGTIQLIDLKVRYKENLPVVLHGVSCTFPGGKKIGIVGRTGSGKSTLIQALFRLVEPEAGSIFIDNINISAIGLHDLRSHLSIIPQDPTLFEGTIRGNLDPLEEHSDKEIWEALDKSQLGEIIREKGRKLDMPVLENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIRREFRDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIADF >Vigun09g077900.1.v1.2 pep primary_assembly:ASM411807v1:9:9001331:9005371:-1 gene:Vigun09g077900.v1.2 transcript:Vigun09g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNNRVEKRGHELVDEDDDSQHRLTHPKKPKLPGLASVIVEALKVDSLQRLCSSLEPLLRKIVSEEVERALAKLGHDKLAERSQPPRLEGPVPKNLQLQFRTKMPPHLFTGGKVEGEQGSAIHVVLMDPNSGSVVHVGPESVAKLNVVVLEGDFNEEVDDDWTKEHFEGHEVKERDGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGVKVAPGYCEEIRIREGKTEAFAVKDHRGELYKKHYPPALNDEVWRLDRIAKDGALHKKLIQAKIVTVEDFLRLLVREPQKLRSILGSGMSNRMWESTVEHAKTCVLGGKLFVYYTDETNAAGIVFDHIYQLRGLIADGQFFSLESLTPNQKMSVDSLVKKAYDNWEQVVEYDGKVLNSLTNSKKGSRALATQIMNHNSFPEQQYSSAKNKVSYVSSEPNQHSQITNNYSASPGLADYLFGRSDNQMVGTSLTDSQISLNGSMNYITGENHDNGGAYFAGDWSRPRSGQGLEDIVAEELRLRSSEMLESDDMQRLLKTINGGHSNEGCYTYSLQYEPQMYHSFGEDQGKPSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLTELN >Vigun11g187400.1.v1.2 pep primary_assembly:ASM411807v1:11:38747530:38750661:-1 gene:Vigun11g187400.v1.2 transcript:Vigun11g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFQDHPSAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRKGQKACSIL >Vigun08g151400.1.v1.2 pep primary_assembly:ASM411807v1:8:32415457:32421352:-1 gene:Vigun08g151400.v1.2 transcript:Vigun08g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYTATIADYPRTTLKIVFFFIFVALTFFFVGKHFSDGSSPQLIFFSATTTAATAAEVTVSPNFNQFFNVSAIIDAHTPPKPPVPLPVPPPASEPQDVFKRFGILNENGTMSDEFEVGDFEDGAPDEAGNVSLVAEDSDSAPRVAVSKFGMCPRSMSEHIPCLDNEDAIRKLESTERGERFERHCPEEGKRFHCLIPPPKGYRPSIPWPRSRDEVWYSNVPHTRLVEDKGGQNWISKGKDKFRFPGGGTQFIHGADQYLDHISETVPDIKFGQNIRVALDVGCGVASFGAYLLSRNVITMSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDMIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEVLEEQWKEMLNLTTRLCWKFLKKDGYVAIWQKPSDNSCYLNREAGTQPVLCGQSDDPDNVWYANLKACISRLPENGYGANVARWPARLHTPPDRLQSIKFDAFISRNELFKAESKYWGEIIASYVRVLRWKKMKLRNVMDMKAGFGGFAAALIDQNLDSWVMNVVPVSGSNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAANLLSVEKKRCNVSSIMVEMDRILRPGGRAYIRDTLAIMDELIEIGNAMGWHVTLRDTSEGPHASYRVLVCDKRLRG >Vigun09g274600.1.v1.2 pep primary_assembly:ASM411807v1:9:43524565:43526352:-1 gene:Vigun09g274600.v1.2 transcript:Vigun09g274600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPATHRSLNDSPRHNQAQESAGAATICFAPKQEEKDNNVLFVEVKDSKSPTSERCPDLNLELSISPPHHEREEGGDGDEEESKSIGRSLCFACSLGLQNSKDCSCALNAITANANASSATGFDFLGLKTSPLDYRTLQMK >Vigun10g086700.1.v1.2 pep primary_assembly:ASM411807v1:10:24823125:24824280:1 gene:Vigun10g086700.v1.2 transcript:Vigun10g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYLLVAVLALTSSLVSAYDPSPLQDFCVAIKESDGVFVNGKFCKDPKTVKAEDFFLHVEPGNTDNPLNAQVTPVAVDQLPGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDGNRLFTKVLNKGDVFVFPIGLVHFQLNIGYGNAVAIAGLSSQNPGTVIVANALFKAVPPISVEVLARALQVDNRVIEDLQRKSWYGKD >Vigun03g011900.1.v1.2 pep primary_assembly:ASM411807v1:3:796320:806539:1 gene:Vigun03g011900.v1.2 transcript:Vigun03g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWEDEIVMRDVTNAGLVVSDRIGREVSSQLDLEEALEASRYTSHPYSTHPREWPPSVEVVNTWELPPVLIERYNAAGGEGTAFCGIFPEIKRAWASVDNSLFLWRFDKWDGQCPEFSGEEQAICAVGLAKSRPGVFVEAIQYLLVLATPVELILVGVCCSGGADGSDPFAEVTLQPLPEHTISSDGVTMTCVACTDKGRIFLAGRDGHIYEVLYSTGSGWQKRCRKICVTAGFGSVISRWVIPNVFNFGAVDAIVEMVFDNERQILYARTEEMKIQVYVIGPNGDGPLKKVAEEKNLVNQRDAHYGARQSTGSRVSSRSPKASIVCISPLSTLESKWLHLVAVLSDGRRMYLSTSPSSGSLTGFNTNHLKPSCLKVVTTRPAPPWGVSGGLTFGAMALAGRPQNEDLSLKIEATYYSAGTLILSDASSSTMPSLLVLSRDSSTQSLPSSNLGTTTRSSRALRESVSSLPVEGRMLSVADVLPSPDNAATVQSLYSEIEFGGYESSMESCEKLSGKLWARGDLSTQHILPRRRIIVFSTMGMMEIVFNRPLDILRRLLESSTPRSVLEDFFNRFGAGEAAAMCLMLAARVVHSENLISNVIAEKAAEAFEDPRVVGMPQLEGSNAFSNTRSAAGGFSMGQVVQEAEPVFSAAHEGLCLCSSRLLFPLWELPVMVVKGNLGPSGALSENGIVVCRLSVGAMQVLEQKLRSLEKFLRSRRNQRRGLYGCVAGLGDLSGSILYGNGSTLGAGDRNMVRNLFGAYSRNMESNGSRTNNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHVTRLIQGFDSSLQQTLVQLTFHQLVCSEEGDHLATRLISALMEYYTGPDGRGTVDDISRRLRDGCPSYYKESDYKFFLAVEALERAATTIDAEDKENLAREAFNSLSKVPESVDLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAYNDEIDATVREQALAHREQCYEIIISALRSLKGDTLQKEFGSPIKSTISQSALDPSSRKKYICQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLPFLQSAGRKPIHEVRAVTATTSPMGQSGAPMSTNQVKYYELLARYYVLKRQHMLAAHALLRLAERRSVDGVPTLEQRCQYLSNAVLQAKNATSSDGLLGSGRSSIDSGFLDLLEGKLAVLRFQIKIKEELESIASRSDVLPSTSDSTENGVIPEGSSTADANFVNATREKAEELASDVKSITQLYNEYAVPFGLWETCLEMLYFANYSGDTDSSIVRETWSRLIDQAISRGGIAEACSVLKRVGPRLYPGDGAVLPLDIICLHLEKAGLERLNSGVEAVGEEDVARALVAACKGAAEPVLNAYDQLLSNGAILPSPSVRLRMLRSVLVVLREWAMSAYSQRIGSSAVGHSSLILGGGFSSERAIASQGIRDKITSAANRYMTEVRRLALPQNQTEHVYRGFRELEESFISQHSFDRF >Vigun03g011900.2.v1.2 pep primary_assembly:ASM411807v1:3:797872:806539:1 gene:Vigun03g011900.v1.2 transcript:Vigun03g011900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVACTDKGRIFLAGRDGHIYEVLYSTGSGWQKRCRKICVTAGFGSVISRWVIPNVFNFGAVDAIVEMVFDNERQILYARTEEMKIQVYVIGPNGDGPLKKVAEEKNLVNQRDAHYGARQSTGSRVSSRSPKASIVCISPLSTLESKWLHLVAVLSDGRRMYLSTSPSSGSLTGFNTNHLKPSCLKVVTTRPAPPWGVSGGLTFGAMALAGRPQNEDLSLKIEATYYSAGTLILSDASSSTMPSLLVLSRDSSTQSLPSSNLGTTTRSSRALRESVSSLPVEGRMLSVADVLPSPDNAATVQSLYSEIEFGGYESSMESCEKLSGKLWARGDLSTQHILPRRRIIVFSTMGMMEIVFNRPLDILRRLLESSTPRSVLEDFFNRFGAGEAAAMCLMLAARVVHSENLISNVIAEKAAEAFEDPRVVGMPQLEGSNAFSNTRSAAGGFSMGQVVQEAEPVFSAAHEGLCLCSSRLLFPLWELPVMVVKGNLGPSGALSENGIVVCRLSVGAMQVLEQKLRSLEKFLRSRRNQRRGLYGCVAGLGDLSGSILYGNGSTLGAGDRNMVRNLFGAYSRNMESNGSRTNNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHVTRLIQGFDSSLQQTLVQLTFHQLVCSEEGDHLATRLISALMEYYTGPDGRGTVDDISRRLRDGCPSYYKESDYKFFLAVEALERAATTIDAEDKENLAREAFNSLSKVPESVDLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAYNDEIDATVREQALAHREQCYEIIISALRSLKGDTLQKEFGSPIKSTISQSALDPSSRKKYICQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLPFLQSAGRKPIHEVRAVTATTSPMGQSGAPMSTNQVKYYELLARYYVLKRQHMLAAHALLRLAERRSVDGVPTLEQRCQYLSNAVLQAKNATSSDGLLGSGRSSIDSGFLDLLEGKLAVLRFQIKIKEELESIASRSDVLPSTSDSTENGVIPEGSSTADANFVNATREKAEELASDVKSITQLYNEYAVPFGLWETCLEMLYFANYSGDTDSSIVRETWSRLIDQAISRGGIAEACSVLKRVGPRLYPGDGAVLPLDIICLHLEKAGLERLNSGVEAVGEEDVARALVAACKGAAEPVLNAYDQLLSNGAILPSPSVRLRMLRSVLVVLREWAMSAYSQRIGSSAVGHSSLILGGGFSSERAIASQGIRDKITSAANRYMTEVRRLALPQNQTEHVYRGFRELEESFISQHSFDRF >Vigun09g265700.10.v1.2 pep primary_assembly:ASM411807v1:9:42982851:42983798:-1 gene:Vigun09g265700.v1.2 transcript:Vigun09g265700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVMEDEEYNYREVKLPSLLPVAPEPELERETGERRRGRDIIIAIDHGLNSKHAFDWALVHFCRLADTIHLVHVVSDLKMNDYYEISQGLMEKLAVEAFQVAMVKTVARIVEGDPGKIICQEAERIKPAAVILGTRGRGFLQSVLQGSVGVYCLHHCKAAPIVIVPGKDAGDESIV >Vigun11g191800.1.v1.2 pep primary_assembly:ASM411807v1:11:39105645:39108919:-1 gene:Vigun11g191800.v1.2 transcript:Vigun11g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGNLVSQRSFNPIFIPYNSIDKLNNTFRFLSRIHSYNNATVTVTASSKRKDDFNSPLIGKNTSRAPRRLITISPGDGRYHGDWTSDYLVSLHDLDLQDLIEDDGNSRKNAQVFINLSVQKHASFGLSVDGRVTTSFTRKCSNCSTPYCRQIDAKFNVWVLIAPKDERKQPLLDIGADPNVIYVRPGHEVDLDSLVQDAIRLNSAVKDSCSESCEKTEGTIQYITGQSQASVDKRWSRLLALKKTNL >Vigun11g191800.3.v1.2 pep primary_assembly:ASM411807v1:11:39105645:39108919:-1 gene:Vigun11g191800.v1.2 transcript:Vigun11g191800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGNLVSQRSFNPIFIPYNSIDKLNNTFRFLSRIHSYNNATVTVTASSKRKDDFNSPLIGKNTSRAPRRLITISPGDGRYHGDWTSDYLVSLHDLDLQDLIEDDGNSRKNAQVFINLSVQKHASFGLSVDGRVTTSFTRKCSNCSTPYCRQVIYVRPGHEVDLDSLVQDAIRLNSAVKDSCSESCEKTEGTIQYITGQSQASVDKRWSRLLALKKTNL >VigunL059459.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000422.1:33983:34342:1 gene:VigunL059459.v1.2 transcript:VigunL059459.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g070800.1.v1.2 pep primary_assembly:ASM411807v1:9:7720932:7722369:-1 gene:Vigun09g070800.v1.2 transcript:Vigun09g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSQTITPLPPLTPSSFLLIKQLRFFLYSQFSHVLFHTHRRRKMFRINNTFVGILHTLPLFLGLAAMGVSAYIRVHGDCQNVLKYPLLFSGLFVSVVSALGLVGALCRVNAALYLYLIATFFVILAFASFTLVALVVVTKGNAPHHASRVGFTVRDFSPWLRHYVSDDHNWDDTKRCFAQARICHGLAVDAHNVSLVFKHSTTTQFGCCKPPEQCRFKLNGTLWEAPKAGAAAEESDCRSWSNREEKMCFDCDSCKGGVLAGVRKQWRDMSIVNACVVLLLTIIYVFACYAIRNNRLEYSKYTAQRNMKMRILNSNHR >Vigun10g148900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36737534:36738982:-1 gene:Vigun10g148900.v1.2 transcript:Vigun10g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWISESRIIVVMSGYNPIFLVILSLVIGFVIRWLLFKTGLIHSIRKVLRRVQDWFHVYQFLKVPEFNEAMQRNHFHRKVSLYLHSLPSLEDADFTNLVSGHDQNDIVLRLDPNQTIEDHFLGATLFWFEQKTQPDRISSFVLKIRKTDKRRILRQYLRHINTVADEMESQRKRHLRLFMNVAAGEGGRGETRWRSVPFTHPATFETIAMEKDLKNRIKSDIESFLKAKQYYRKLGRAWKRSFLLYGASGTGKSSFVAAMANFLRYDVYDVDLSKIRCDSDLKFLLMETTAKSIVVIEDLDRFLEPEKESAVTVRGIQSFMDGILSACCGEERVMVFTMNSKQWVDPNLMRPGRVDVHIHFPVCDFSAFKTLASSYLGVREHKLFAQVEDIFRHGASLSPAEISELMIANRNSPSRAIKSVIGALQLDGDGRKYAEMIGRQIGVDDVDVQSFGGDELSTVKDSRKFYGFFRKRNGRRTNYPKI >Vigun07g052100.1.v1.2 pep primary_assembly:ASM411807v1:7:5449270:5459083:-1 gene:Vigun07g052100.v1.2 transcript:Vigun07g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQLVYCGIDPFPRSSPSPRRRNSLLHLRRRSGRVFAVSAEPKPARQKTVNGANSSRTVNGVSTRIGDVSKEIKRVRAQMEEDEQLATLMRGLRGQNLRDSLFAEDDVELRLVEVDESSEFLPLVYDPASISAYWGKRPRAVATRIVQLLSVAGGFLSRIALDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMTELQKLCDKVPSFADDVAMALIEEELGQPWQNIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTIDLFIIRNLGLALRKFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMRKDLPQVVIPRTYHKYTSRRVLTTEWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYPAIVKDFVKLGFIPDGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNSDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEDMNGNMAELGILTTRQSEYLLPGFQSVMLQPQQPVQTRAALAFLLSDRGNFFREFLLDEIVKGIDAVTREQLVRTMSLLGIQNATPVFSMVPTVGPFKPAALIPSITEEDEVILNNVQMVVEFLTAGSSLSRTSDQGLNIPRIIQELLPVLPGISVKVLPDVLSRLSSRVLARLVRDAFL >Vigun02g074766.1.v1.2 pep primary_assembly:ASM411807v1:2:22641475:22643276:-1 gene:Vigun02g074766.v1.2 transcript:Vigun02g074766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYIYQASVAKKYPAVTVIVFFQLLSSTVQCGVFALIAVRDSTEWKLNFDIGLIGVLYQAIVATMIRYLLCTWYVLKAGPLFCSMFKPVAIIFTAIMGAVFLGDDFSLGSLIGAVIIVVGFYGVLWGKSKEENEIAERVEGFESSCHDVPLLQDRNRLKL >Vigun05g243800.1.v1.2 pep primary_assembly:ASM411807v1:5:43685660:43693279:1 gene:Vigun05g243800.v1.2 transcript:Vigun05g243800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNPSPSPSPVRVLIRPPSSPSPSSSDHPSPAPSQAPLTRASDGVVVVGFVARRHDDSAQLLDRVIDSNVFASGNLDASLLVDDEEAREWFERRRISYFHDHERGILFLQFSSTRCPAIHPAADVAPPGFDSAVEEHEFGDLQGMLFMFSVCHVIIYIQEGSHFGSRILRNFRVLQSAKHAMAPFVRSQTMPPLPARSHPSPSSRPASAANNSSPGRGGGNLSRNVSAISLMSGLGSYASLFPGQCIPVTLFVFIDDFSSLCNSSANGEDTSDSTSLSQSSSLSGAAKGNLSAKGSGSVVVLARPASRSEGGFRKKLQSSLEAQIRFLVKKCRTLSGPEVTHPGIRTAGSSTSAPLFSLDASRTVVLLDRFSNQRGESLEFASGLVDDVLNGKATSDSLLLESHSQSATKEDLISVKEFIYRQSDILRGRGGLINSNSGSAAGVGMVAVAAAAAAASAASGKTFSTPDLPNFEVWLSSSRHILSGVLCAKGGSLDEFDIVKRKPHSRNTVSSSAEGSLKSTNPLDVAVAWLQSGRGLNTKFSTMWCQRAIPAAKEIYLKDLPACYPTSQHVVHLDKALNAFRSMVKGPSVELFAKKLEDECTSIWKSGRQLCDAVSLTGNPCMHQRYDAETSNSDLGASPRPHSSGYFFLHACACGRSRQLRPDPFDFESADDSCFSDCDKLLPAVKLPETEVAGPVKPSAWSLLRIGGASYYESSRGLLQSGFSATEKFLFKWTIYLEKKKIPNGSSESIVKQGSVIRAPKVESIADAKKTRVIQAHPAVLNGVEDIGTSLDVMKVDDKKISFGRGFPIFKMKKPFSEVVAGSTASDSGFPPLQQRKLPTPGSEKGIKQSRSSNQTVEQVNAAIDHQLSQKSQNISSTQGHVDANGNNISRDSDPFLRIGNNVLPVYLNDVERNKSHSLKHVIVYVGFEHECPRGHRFLLNAEHLSKLGSSYSSSEESHVSSMEPSGINQAGHTKVSKNASWNKVHRSSNEILSAASNKERDVNKSNEMIPNGDSNSDGLIHTDISLKQYNLTSTNTFAKPLNLTKDFGGDTQTIGMDGGDLACSMLNQNLPIYMICPHCKLSRNNKDTPKVKFASGISQLKRIFVVTPAFPVILASCPVVQFETSCLPPSVPDREKKLQFSLGCEVILPPESFLTLKLPFVYGVQLEDGNKHPLNPFEQQPEMTAWIAKGTVLQILSKWNSDEGYQAQ >Vigun09g245600.1.v1.2 pep primary_assembly:ASM411807v1:9:41422220:41426903:-1 gene:Vigun09g245600.v1.2 transcript:Vigun09g245600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIACCGTKFSLYVLVIVGLVCFAGLMAGLTLGLMSLGLVDLEVLMKSGRPQDRIHASKIYPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVHPAAAILISVTLILMFGEILPQAICTRYGLTVGATLAPFVRVLLLVFYPVSYPISKVLDWMLGKGHAALLKRAELKTFVNFHGNEAGKGGDLTHDETTIITGALELTEKTAKDAMTPISKAFSLDLDATLNLETLNSIMTIGHSRVPVYAGEKTNIIGLVLVKNLFMVDSKAAVPLRKMVIRKIPRVSENMPLYDILNEFQKGHSHIAVVYKDLNSKNEAPKKVKDGEQHDLSDNYKNKGENATLDKGSKLESGDTLTTVTKIDGAQQAKKSPPATPAFKKRHRGCSYCILDLDNAPLPVFPPNEVVVGVITMEDVIEELLQEEILDETDEYVNIHNKIKVNMNASKETASAANMLQPSQEVQGHTPTNSISTATSATGSPTTIDLISESESLRNQ >Vigun08g054900.1.v1.2 pep primary_assembly:ASM411807v1:8:6729346:6736986:-1 gene:Vigun08g054900.v1.2 transcript:Vigun08g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSNSSQNLMLPVSDPPSNHDDYADDKLFRGSAMTKRGAFAAVSYMSCSVLLVVFNKAALSSYSFPSANVITLLQIVCSCCFLYLLRRWRMISFSANESLLIFDTSTKFVSLKTLKHTLPLSGAYLFYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEFALVGQRYTRSVILSVGLIVLGAFIAGARDFSFDAYGYAVVFMSNIATAIYLATISRIGKTSGLNSFGLMWCNGIICGPVLLIWTFVRGDLKIAINFPYLFSPGFVVILLFSCILAFFLNYCIFLNTTLNSAVTQTICGNLKDLFTIGLGWIIFGGLPFDIWNITGQFLGFAGSGLYAYYKLIGK >Vigun09g157700.1.v1.2 pep primary_assembly:ASM411807v1:9:32388103:32392880:-1 gene:Vigun09g157700.v1.2 transcript:Vigun09g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLFAKSRIFFSTPQHTKRFFFPPFLNNSSSSSFRSPTFFCFSRHESTKADAQLELEHDVTEDHANQAIDFPGGKVGFTSEMRFISESAHKRVPCYRVLDDNGELVKYSNYVQVSKEMGVKMYSHMVTLQTMDSIFYEVQRQGRISFYVTQMGEEAVNIASAAALDPDDIVLPQYREPGVLLWRGFTLQQFVHQCLGNTYDLGKGRQMPIHYGSNKHNYFTVSSPIATQLPQAVGAAYSLKMDDKSACAVTFCGDGGTSEGDFHAAMNFAAVMDAPVVFICRNNGWAISTPVQEQFRSDGIVVKGKAYDIWGIRVDGNDALAVYSAVHTAREIAIKEQRPVLIEALTYRVGHHSTSDDSTKYRAIDEIEYWKMARNPVSRFKRWVERNGWWSDKDELELRSSIRKQLMHAIQVAEKAQKPPLGDLFTDVYDQLPSNLEEQERLLRKSIEKHPKDYPSDVPL >Vigun01g193600.1.v1.2 pep primary_assembly:ASM411807v1:1:37119808:37121984:-1 gene:Vigun01g193600.v1.2 transcript:Vigun01g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNVNFIPIHSYSCKLLKFPSFNNPMAFKNLSFLTLCVSLVLSSLSPISIASNNKRDVVPPETLCKSTVHPSYCKTLLANQNGTIFDYGRISVRKSLSQSRKFLNLVNSFLQDRSSLSLPTTRALEDCQFLAEQNFEYLSNALDNVDKASDDLPTTEAEDQQTMLSATLTNQETCLESLQSTASDERVKSELLSSLSDDTKLHSVSLDLFIKGWVPEKKISTSWQPNGRHLEFHNGRLPLRMSNRVRAIYDSARGHGRKLLQDSSESVLVSDIVVVSQDGNGTFTTINDAIAAAPNNTAASAGYFLILVTAGVYQEYVSIPKNKKYLMMIGDGINQTIITGDHNVVDNFTTFNSATFAVVAQGFVAVNMTFRNTAGPSKNQAVAVRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQTCNMYPRLPLSGQFNAITAQGRTDPNQNTGTSIQNATIKAAADLAPAVGSVQTYLGRPWKEYSRTVYMQSFMDSLINPAGWHLWSGDFALTTLYYAEYNNTGPGSSTQNRVTWSGYHVINATDAANFTVSNFLGGDSWLPQTGVPYSSGLI >Vigun05g120950.1.v1.2 pep primary_assembly:ASM411807v1:5:13278086:13278891:1 gene:Vigun05g120950.v1.2 transcript:Vigun05g120950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAENDHMMARENVRHYGLCLRFHTITHLIFVITNIYVGHILRECVGTLPYY >Vigun01g040350.2.v1.2 pep primary_assembly:ASM411807v1:1:5589319:5589730:1 gene:Vigun01g040350.v1.2 transcript:Vigun01g040350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERFVLPPPLTSHHHHSQTTTSRDPPPPPHTHAASHHYHASDQAQATTARVTTTMRAPPHEPLP >Vigun01g040350.1.v1.2 pep primary_assembly:ASM411807v1:1:5589319:5589689:1 gene:Vigun01g040350.v1.2 transcript:Vigun01g040350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERFVLPPPLTSHHHHSQTTTSRDPPPPPHTHAASHHYHASDQAQATTARVTTTMRAPPHEPLPYLNFWLP >Vigun06g115000.1.v1.2 pep primary_assembly:ASM411807v1:6:24320680:24325144:-1 gene:Vigun06g115000.v1.2 transcript:Vigun06g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSVTWWLCSIICILLVCVQFQGCVGSKTKRTDEAYVTLLYGDEFLLGVRVLGKSIRNTGSSKDMVVLVSDGVSDYAKNLLRADGWIVELISLLANPNRVRPKRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIDDLFKCVKFCANLKHSERLNSGVMVVEPSETVFNDMISKIKTTASYTGGDQGFLNSYFSEFPNAHVFEPNLSPEVLKSRPVPEMERLSTLYNADVGLYMLANKWMVDENELRVIHYTLGPLKPWDWWTSWLLKPVDVWQDVREQLEESLPGTGEGQNPKDSFLVKFLFLLPLCALLFLCYRSFMKNPGHFSSVCRSSIFDQVRHLYYKIRSNGPLSYTSISTSTTNSIHQLFNGPQNKVPAYLGGVSVCVCFMVAVVSLGLSLLIVPRQVMPWTGLLLMYEWTYTIFFILFGGYLRLIYQWGKMVGSRVASSLSDPGSSDHDSEKRHQRSLSSCDVATWYYGLGMALLAIAAPSLPCLFGITALFARLGLMVVGGIILASFMTYASEHLANQSFLKGFDERDIARNSNLCFPC >Vigun07g250500.3.v1.2 pep primary_assembly:ASM411807v1:7:36976467:36978160:-1 gene:Vigun07g250500.v1.2 transcript:Vigun07g250500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGSELRTSGCESGWTLYLEHSFLNQNASSHRGGTEGFCEEEQEHKDKRFKDEDSGEEDLSMLSDASSGPPLFPHYDSYFKEDLNGCFYSASEAVKLAKTSKKKQKVKENQHLEDQHLTFLHDTASSPVFDFSTDNVTRGNQQTSVGSVVDYSQGFSATYFMVLHFALFF >Vigun07g250500.1.v1.2 pep primary_assembly:ASM411807v1:7:36976467:36978160:-1 gene:Vigun07g250500.v1.2 transcript:Vigun07g250500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGSELRTSGCESGWTLYLEHSFLNQNASSHRGGTEGFCEEEQEHKDKRFKDEDSGEEDLSMLSDASSGPPLFPHYDSYFKEDLNGCFYSASEAVKLAKTSKKKQKVKENQHLEDQHLTFLHDTASSPVFDFSTDNVTRGNQQTSVGSVVDYSQGFSATYFMGRSSFQEEHFGFLQSENEVEGNKWYGGKRMGIR >VigunL059220.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000197.1:17535:21078:-1 gene:VigunL059220.v1.2 transcript:VigunL059220.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLQLKTFVHQDFHGIHLGAFVGLSNPHISKTTNFIFSQNSPPSKELRFKASRKDDSPYKVHQRHVPLGGRGPLLLVGKQTTGARIASSPDSDLEAFSHNPTHGSFAPLAFQPSAMTNSHVPYWWVNNPTLGEFCFTMIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPNPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRSPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQRSVGGATHKGIPPISFLAPYEFTHPLTRTHVRLLGPCFKTGRMGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGAVTLYCAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun08g021400.5.v1.2 pep primary_assembly:ASM411807v1:8:1879757:1887929:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQALKYASSMTCWQLQAITLYISGIDQWKVSTSPIQLDSELELNNGHLDFIRHVSSI >Vigun08g021400.2.v1.2 pep primary_assembly:ASM411807v1:8:1878888:1887800:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRCELNHILQDDTFSGHIKSFLGTVWNGFIYILQHSCVSLGGLILLLFAAFSFVPPKLTRKKRAIIGVLHVSAHLAAALILMLLLEIGVEICIQHDLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGLESLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHINYDGDLEVYTLAVDKVPKEWKLDPDWDGEAKHPQELSHFRRFPSKWRAVTAHQDPVQTVRIVDHFVIHRTENIDCDTAFNGPVQ >Vigun08g021400.4.v1.2 pep primary_assembly:ASM411807v1:8:1878888:1887929:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQALKYASSMTCWQLQAITLYISGIDQWKVSTSPIQLDSELELNNGHLDFIRHVSSI >Vigun08g021400.1.v1.2 pep primary_assembly:ASM411807v1:8:1878888:1887929:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQCELNHILQDDTFSGHIKSFLGTVWNGFIYILQHSCVSLGGLILLLFAAFSFVPPKLTRKKRAIIGVLHVSAHLAAALILMLLLEIGVEICIQHDLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGLESLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHINYDGDLEVYTLAVDKVPKEWKLDPDWDGEAKHPQELSHFRRFPSKWRAVTAHQDPVQTVRIVDHFVIHRTENIDCDTAFNGPVQ >Vigun08g021400.7.v1.2 pep primary_assembly:ASM411807v1:8:1882064:1887800:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQCELNHILQDDTFSGHIKSFLGTVWNGFIYILQHSCVSLGGLILLLFAAFSFVPPKLTRKKRAIIGVLHVSAHLAAALILMLLLEIGVEICIQHDLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGLESLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHINYDGDLEVYTLAVDKVPKEWKLDPDWDGEAKHPQELSHFRRFPSKWRAVTAHQDPVQTVRIVDHFVIHRTENIDCDTAFNGPVQ >Vigun08g021400.3.v1.2 pep primary_assembly:ASM411807v1:8:1879757:1887800:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQCELNHILQDDTFSGHIKSFLGTVWNGFIYILQHSCVSLGGLILLLFAAFSFVPPKLTRKKRAIIGVLHVSAHLAAALILMLLLEIGVEICIQHDLLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGLESLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHINYDGDLEVYTLAVDKVPKEWKLDPDWDGEAKHPQELSHFRRFPSKWRAVTAHQDPVQTVRIVDHFVIHRTENIDCDTAFNGPVQ >Vigun08g021400.6.v1.2 pep primary_assembly:ASM411807v1:8:1878946:1887798:1 gene:Vigun08g021400.v1.2 transcript:Vigun08g021400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQSARILDTLKMERVRTILTHTYPYPHEHSRHAVIAVVIGCLFFISSDNIHTLVEKLDNNVKWWSMYACLFGFFYFFSSPFIHKTFKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYLSSILFLLVFHIIFLGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMQRERTLDRKNSNWFSFWKKGDGNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCHGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVSDGNQHHDLLYDHFSEKEDFWFDFMADTGDGGNSSYAVARLLAKPFIRTLKDDAELTLPRGDLLLIGGDLAYPNPSAFTYERRLFVPFEYALQPPPWYKAEQIAVNKPEVPYGAPLKQYNGPQCFVIPGNHDWFDGLQTFMRYICHRNWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHGDIDVYQFKFFSELITKKVREDDSVILITHEPNWITDWYWNDVTGKNISHLICDYLKGRCKLRMAGDLHHYMRHSHVKSNGPVHVHHLLVNGCGGAFLHPTHVFSKFNKLHGVTYECKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSMFPQVSSPISFYL >Vigun05g205800.2.v1.2 pep primary_assembly:ASM411807v1:5:39485703:39490170:1 gene:Vigun05g205800.v1.2 transcript:Vigun05g205800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEMTDYQIVLKDLEGIYENDTLENNNGCGSSTFDCAQFADVNAISLGSSSKEALGMDRASDILLEFSIYTMRSEIIEHAFCGMDELSKMGSAGQPLWQRQQGNNGCEILNDGEYSKQFAEVDTTLSEIVKLMEVGESQNLPSFDPYQTELPLTTTVTPTATPQSEGSRAMAYIKMAPICIVELLMEVNQWSEAFYTIVSKAATVGTLLGVDGSYDNRMQVMSAELHLPSPVLLTRECYFGRYSKQLSNNTWGIVDVSLDKFVPSPANNFRKKPSGCLITGMPNGHSKVIWVEHVEVEDSHVDQYFQSLFTSTLAFGATRWINALIRYGEWLQCVFTTALIADEGVCIPQMGRTSLLQLADRMMKTFCGNTSSSADNPWTQMAHSPYVRIMVKNNMGEAGKPPGTSVVFTTSLWLDVSPNRLFNFLRHGNSRPKWDSLSRRHTIREFASMLKGENPGNRVSLMRANNSQGKLVICYLQESYTDSTGSYVVYAPLDESAVAALIHGVNPDKVMILPSGFSILPERLQGVEDRNARSLLTIAFHIVESSTCKPYLAPESVETIYKVISDTVSSITNSVLYHNHRNNWKDLNF >Vigun05g205800.1.v1.2 pep primary_assembly:ASM411807v1:5:39483575:39490170:1 gene:Vigun05g205800.v1.2 transcript:Vigun05g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEMTDYQIVLKDLEGIYENDTLENNNGCGSSTFDCAQFADVNAISLGSSSKEALGMDRASDILLEFSIYTMRSEIIEHAFCGMDELSKMGSAGQPLWQRQQGNNGCEILNDGEYSKQFAEVDTTLSEIVKLMEVGESQNLPSFDPYQTELPLTTTVTPTATPQSEGSRAMAYIKMAPICIVELLMEVNQWSEAFYTIVSKAATVGTLLGVDGSYDNRMQVMSAELHLPSPVLLTRECYFGRYSKQLSNNTWGIVDVSLDKFVPSPANNFRKKPSGCLITGMPNGHSKVIWVEHVEVEDSHVDQYFQSLFTSTLAFGATRWINALIRYGEWLQCVFTTALIADEGVCIPQMGRTSLLQLADRMMKTFCGNTSSSADNPWTQMAHSPYVRIMVKNNMGEAGKPPGTSVVFTTSLWLDVSPNRLFNFLRHGNSRPKWDSLSRRHTIREFASMLKGENPGNRVSLMRANNSQGKLVICYLQESYTDSTGSYVVYAPLDESAVAALIHGVNPDKVMILPSGFSILPERLQGVEDRNARSLLTIAFHIVESSTCKPYLAPESVETIYKVISDTVSSITNSVLYHNHRNNWKDLNF >Vigun06g022400.1.v1.2 pep primary_assembly:ASM411807v1:6:10497855:10500853:1 gene:Vigun06g022400.v1.2 transcript:Vigun06g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDKPHVVERGAKDDEKKEEDGKGGFLDTVKDFISDIGEKIEEAIGFGKPTADVTGIHIPSINLQKVDLVVDVLIKNPNPVPIPLIDINYLIESDGRKLVSGLIPDSGTIHAHGEETVKIPLTLIYDDIKKTYADIKPGSIIPYKVKVDLIVDVPVLGRLTLPLEKNGEIPIPYKPDVDLDRIQFETFSFEETVAILHLKLDNKNDFDLGLNALDYEVWLGDVSIGGAELSKSAKLVKTGITDIDVPITFRPKDFGSALWDMIRGRGTGYSIKGHIDVDTPFGAMKLPITKEGGTTQLKKKKEDGGDDDEE >VigunL001700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:27451:27857:-1 gene:VigunL001700.v1.2 transcript:VigunL001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIANMITYIRNANMNKEGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYSLILTLRYRRNRKESYKSLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGKVLCYIW >Vigun07g279400.1.v1.2 pep primary_assembly:ASM411807v1:7:39431458:39437449:1 gene:Vigun07g279400.v1.2 transcript:Vigun07g279400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIDHYAVLGLPSGEEGAKLTEKEINKAYRWKALELHPDKRPDDPNAAANFQQLRTSYDILRDDKARKLFDELLRVKRDRELRHSQRDGKRRKMVSDLERRERDANAPDLAAKEREEEARIARKLKEEIARIRAMHSMKAAPPPPPETEKESGRGGGGGGLDQEKVLKVSWEKVGEDYSADKLRELFSEFGEVEDVVIKGSKKKGSALVVMATKEGSVAATGSVIGHLANPLLVLPLKPATVADSSSVPKSAETPKLSNLVGAGYQAFEDSVLKKLQKAAEKQK >Vigun11g066900.1.v1.2 pep primary_assembly:ASM411807v1:11:16944420:16953108:1 gene:Vigun11g066900.v1.2 transcript:Vigun11g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPIAGDDFIYLSGFGNHLSSEALAGALPAGQNSPLICPFGLYAEQISGTSFTSPRNRNLFSWFYRIKPSVTHQPFKPRVPSNRRILSEFNNSNSSANPTQLRWKPMDAPDSPTDFIDGLSTICGSGSSFMRHGYAIHMYAANKSMENCAFCNADGDFLIVPQQGRLLITTECGRLKVSPGEIAILPQGFRFSVNLLDSPSRGYVAEIFGTHFQLPDLGPIGANGLAAPRDFLVPTAWFEDKSYPGYTIVQKFGGELFAAVQDFSPFNVVAWHGNYFPYKYDLSKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIHGGYEAKADGFLPGGASLHNCMTPHGPDTKSYEATIARGNDIGPSKITSTMAFMFESSLIPRISQWALESPFLDQDYYQCWIGLRSHFTVTETCPENSSVRNGQ >Vigun10g035100.1.v1.2 pep primary_assembly:ASM411807v1:10:4713776:4720728:-1 gene:Vigun10g035100.v1.2 transcript:Vigun10g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPSMEFASSTSKLSQIYDVLIHFTGEDIRKKFVSHLDSALSGVGLTTFLHHDNGVKPIQIQEPILNLCRVAIVVFTKTYSQSDWCLDQLQHIIKWHETYARHVLPVYYEIQPSDVRLQKGDFGEAFKETAQQTFSAQQLEHGMSRWSHALTKAANFFGWDESNYRSDAELVDTIVKSILTLPVLSATKFPVGLQSHVEDAIQIIKNKSSEVCRIGICGMGGSGKTTLAKAIYNQIQGTFMEKSFIEDIAQVTQTRGRVHLLEQLLHDVLKTNVDSVQMGRSMIRERLYGKRVLIVLDDVNEYFCFSYMNEYGPLDLWNSPWFGKGTVIIITTRDAALLTMEHVYSIIRINEMNPNASLKLLSWHAFREAKPKEEYHLLAKRVVDYCGGLPLTLEVIGSYLYERSIEEWTKVLSRLENIPQHEVPQILKIGFDGLRNQMEKYLFLDICCFFVGKDRTYVTKILNGCGVDPDSGIRVLIECSLIKVTKNNKFGVHPLLQDMGREVIREISRKEPRKNIELVLDKDTKYALLENTLFSSQGTNVIQGLSEKRFLTSTGCFKPYSLQATKMLKFIGDSEYVSKKLRWFILRGFPLEYLPNDFYLHDAIAIDLKHNLLRFVWKQPQVLTCLKVLNLSHSRYLQQTPDFSRLPSLEQLILKDCPRLREVHHSIGCLCYLTLLNLKDCTSLINLTIEIYKLKSLKILILSGCSKVSLLEKDIVQMESLITLIAESTAVKEVPFSIVSSKSIGYISLRGFQGLSHNLLPSIIRSWMLPTMKSLSYIHSFCMDMEDNSWDDIAPLLSTLVNLRSVLVQCNTEFQLSKQVETILNEYSLNITKSGTSTHHLKFSLIGVGRCKDIFNAISDSISEALASSKSSVVSLPGDNDPDWLAHIGEGPFVSFTVPQDCEVKGMALCVVYLSTPGIVTTEYLTSVLIVNYTKCTLQIHNHGTVISFNDKDWEGIISNLGSGDKVEIFYTFNLELVIKNTAVYLIYGETNDLEKEFVPKKKSLIRFMKKSVM >Vigun09g086500.1.v1.2 pep primary_assembly:ASM411807v1:9:11447324:11449649:1 gene:Vigun09g086500.v1.2 transcript:Vigun09g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLHVCMDSSDHWLQGTIHEESGMDSSSSPSGDMLTCSRPMIERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSAKKSNDHQLVNNQISQTQPPPSSYHHNPKDLQLSFPDVQFSHLSNLLGTHNAGALGGNPSFMEGKYGIGMIENPRPIDFMMESKLEGIIGSSSSRNFDFFGNTDMAMGGAGLGDMHGNAQNGLPPNFHALCPSAFGGMSMSLDANTTTNGAANNYLMDSCQRLMLPYDATEDHNASIDVKPNPKQLLSLEWQDQGCSDAGKDSFGYLNGPGSWTGMMNGYGSSTTNPLV >Vigun10g025600.1.v1.2 pep primary_assembly:ASM411807v1:10:3062071:3067909:-1 gene:Vigun10g025600.v1.2 transcript:Vigun10g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDSVATLMDSTTSKIQQLQKAFAELESYRAVTLNMKWKELEEHFHGLEKSLKRRFHELEDQEKEFENKTMKARQLLEKREAAVFAKEQASFQRIQEKRDSAVFAIVNAREKHRKISSRELATVSNVGKGGIVVVEEKPVDTVSAAAEGNVEDVKLPENGNVELVSYPELVKLCKEMDAAGIRKFISDNRKNLAAVRDEIPNALRAAPNAARLVLDSLEGFYCTEVSNQDVKKDANLLGLRRTCIMLMECLCDFLSNSDCVSNVISEDIKDRAKAVAEEWKPKLDALDIDASNGNSLEAHAFLQLVASFGIASGFDEEELSRLIPMVSRRRQTADLCRFLGLSEKMSGVIEILVNSGRQIDAVNLAFAFDLTEQFSPVSLLKSYLKDARKASSPVKSVNSSPTAQIEVNERELVALKAVIKCIEEHKLDEQYPLDPLQKRLIQLEKAKADKKRETEATKPQPKRPRANGAGYGPRVTNIPCDKTSYARVADRYPQYVYERPPYMYPAPTDNHCPPLMSTATYNISPSLGNYFGNGYQYQATYLH >Vigun10g025600.2.v1.2 pep primary_assembly:ASM411807v1:10:3062094:3067678:-1 gene:Vigun10g025600.v1.2 transcript:Vigun10g025600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDSVATLMDSTTSKIQQLQKAFAELESYRAVTLNMKWKELEEHFHGLEKSLKRRFHELEDQEKEFENKTMKARQLLEKREAAVFAKEQASFQRIQEKRDSAVFAIVNAREKHRKISSRELATVSNVGKGGIVVVEEKPVDTVSAAAEGNVEDVKLPENGNVELVSYPELVKLCKEMDAAGIRKFISDNRKNLAAVRDEIPNALRAAPNAARLVLDSLEGFYCTEVSNQDVKKDANLLGLRRTCIMLMECLCDFLSNSDCVSNVISEDIKDRAKAVAEEWKPKLDALDIDASNGNSLEAHAFLQLVASFGIASGFDEEELSRLIPMVSRRRQTADLCRFLGLSEKMSGVIEILVNSGRQIDAVNLAFAFDLTEQFSPVSLLKSYLKDARKASSPVKSVNSSPTAQIEVNERELVALKAVIKCIEEHKLDEQYPLDPLQKRLIQLEKAKADKKRETEATKPQPKRPRANGAGYGPRVTNIPCDKTSYARVADRYPQYVYERPPYMYPAPTDNHCPPLMSTATYNISPSLGNYFGNGYQYQATYLH >Vigun02g165500.1.v1.2 pep primary_assembly:ASM411807v1:2:31001454:31003266:-1 gene:Vigun02g165500.v1.2 transcript:Vigun02g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGMGHRHISWKHVWANYCLSYHNKKLLDDNDALQNFGARNNSQVQFVPFVMTKQSLRHSKGRKLRFFHGLNKRS >Vigun05g161400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25666887:25667342:1 gene:Vigun05g161400.v1.2 transcript:Vigun05g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGGSNFSIPYIFVSNFFQINKTYGVFVTIIGIFITLVKTYLFIFVSITTRWTLPRLRIDQLLNLGWKFLLPISLGNLLLTTSSQLFSL >Vigun07g209200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33085198:33087612:1 gene:Vigun07g209200.v1.2 transcript:Vigun07g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVVNGFPLRIEVEKVVEKTVEMNGEFLKKMFEKVDWKALIDASRAMGYTELPEEADSSMLDSDEFLNRFHHALLEIHLEEGALVCPETGRRFPVNKGIPNMLLHEDEV >Vigun10g011200.1.v1.2 pep primary_assembly:ASM411807v1:10:1177223:1180239:1 gene:Vigun10g011200.v1.2 transcript:Vigun10g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLFTLVFCSQVMAAFNTPLPPPSYGDQVSILSIDGGGIRGIIPATVLIHLDNALKAKDPTSSLADYFDVISGTSTGGLMSLMLSAPSSPGSNTPLFTPSEVVNFYKTYGPDIFKLRPIWDLTKCPKYDGKFLANKARELLKETRLNQTLTNVVIPSFDEQKIYPVIFSSFKAKTQTYLNAKLSDIGLATSAAPTFLPSHEFENDGVQFDLIDGAMAANNPALVAVSEVFQHSGEKEVLLLSLGTGIPKAKEKLGNIFDIGCQALWLPGHIEVISEVAFSTDMTHYYLATIFPGLVPPKNYLRIEEYNLDPSMEAMDNADKKNMDNLEKVGEGLLTQKVKRINLSSYKPFELDQTNAQALDRLAEKLFAERQLRLKRKSMEEGGRPFIETI >Vigun04g041100.1.v1.2 pep primary_assembly:ASM411807v1:4:3566410:3573157:1 gene:Vigun04g041100.v1.2 transcript:Vigun04g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVGAGVEKAVGDGTKAWDSGGTNILVRRVMYVAMLLGGVGVLWMSFHHFGSPLECPTFSHYSMDESSKEDDNDQKLESVLRRASMKDKTVILTTLNDAWTAPGSIFDLFLESFRIGNETEWLLNHLVVITYEQKTQERCLTVHKYCHQLISKGDNFTGEQRYMTPSYLHMMWKRLEFLGSILDMGYSFVFTDCDIMWLRDPFKQFDKDADFQIACDAFNGIPSDIHNSPNAGFKYARSNYRTNWFYKFWINSRSSYPNLNEQDVLNRIKEHPSVSDMKLKIKFLSTSYFGGFCQISEDFNKVTTMHANCCVGLEKKSNDLKLVLEDWKKYMAMPEQNKTESHHSWRVPKNCKF >Vigun07g103700.2.v1.2 pep primary_assembly:ASM411807v1:7:18819575:18822007:-1 gene:Vigun07g103700.v1.2 transcript:Vigun07g103700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEQANRAAVESCHRVLSLLSQPRDQVQHRNLVVETGDAVVRFKKVVSLLQSGLGHARVRKVKNPQVPFTHQSIFLDNPNCKTNNHHSKNLHVFQPSFPDNSIQELGSTIKHSLSLGQPSLELSSSGKSALHLTQQASSTHYHFFQQQQQQRMLLQQQQQQQQQQQQQHLKHQAEMMFRRSNSGINLNFDSTSCTPTMSSNRSFISSLSIDGSVANLDGSAFHLIGAPHSSDQNSQQHKRKCSARGDEGSLKCGSSSRCHCSKKRKHRMKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPKLPTQSANA >Vigun07g103700.3.v1.2 pep primary_assembly:ASM411807v1:7:18818134:18822186:-1 gene:Vigun07g103700.v1.2 transcript:Vigun07g103700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEQANRAAVESCHRVLSLLSQPRDQVQHRNLVVETGDAVVRFKKVVSLLQSGLGHARVRKVKNPQVPFTHQSIFLDNPNCKTNNHHSKNLHVFQPSFPDNSIQELGSTIKHSLSLGQPSLELSSSGKSALHLTQQASSTHYHFFQQQQQQRMLLQQQQQQQQQQQQQHLKHQAEMMFRRSNSGINLNFDSTSCTPTMSSNRSFISSLSIDGSVANLDGSAFHLIGAPHSSDQNSQQHKRKCSARGDEGSLKCGSSSRCHCSKKRKHRMKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPSYTGIDLAHAWCNVSCDLPNFEHHLRRKMVAV >Vigun07g103700.1.v1.2 pep primary_assembly:ASM411807v1:7:18818134:18822208:-1 gene:Vigun07g103700.v1.2 transcript:Vigun07g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEQANRAAVESCHRVLSLLSQPRDQVQHRNLVVETGDAVVRFKKVVSLLQSGLGHARVRKVKNPQVPFTHQSIFLDNPNCKTNNHHSKNLHVFQPSFPDNSIQELGSTIKHSLSLGQPSLELSSSGKSALHLTQQASSTHYHFFQQQQQQRMLLQQQQQQQQQQQQQHLKHQAEMMFRRSNSGINLNFDSTSCTPTMSSNRSFISSLSIDGSVANLDGSAFHLIGAPHSSDQNSQQHKRKCSARGDEGSLKCGSSSRCHCSKKRKHRMKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPKLPTQSANA >Vigun01g102500.1.v1.2 pep primary_assembly:ASM411807v1:1:27061466:27065094:-1 gene:Vigun01g102500.v1.2 transcript:Vigun01g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNINQDQPFFYSFRNRTGSTIKQYFRFLLFHLLFCKMGLLLIIPLSLITVWVGYTLYQRLRFKLPPGPRPWPVVGNLYDIKPVRFRCFAEWAQSYGPIISVWFGSTLNVIVSNSELAKEVLKEQDQHLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVTAMVESIFHHCTTTENLGKGILVRKFLGEVAFNNITRLAFGKRFVNSEGVLEEQGVEFKGVVENGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTEARKKSGGAKQHFVDALLTLQDKYDLGEDTIIGLLWDMITAGTDTSAISVEWAMAELIRNPRVQQKAQEELDRVIGLERVMTEADFLSLPYLQCVAKEAMRLHPPTPLMLPHRANANVKVGGYDIPKGSNVHVNVWAVARDPAVWKEPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFSWSPPEGVKPEEIDMGENPGLVTYMRTPLKGVASPRLPSHLYKRVVAEI >Vigun01g252900.3.v1.2 pep primary_assembly:ASM411807v1:1:41865155:41874350:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFSVEKDRLLANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDL >Vigun01g252900.4.v1.2 pep primary_assembly:ASM411807v1:1:41864752:41874414:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFSVEKDRLLANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVCYHPFLN >Vigun01g252900.2.v1.2 pep primary_assembly:ASM411807v1:1:41864742:41874413:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFSVEKDRLLANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDLQHSIIESQEIFD >Vigun01g252900.6.v1.2 pep primary_assembly:ASM411807v1:1:41864874:41874350:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKVFNYVIH >Vigun01g252900.5.v1.2 pep primary_assembly:ASM411807v1:1:41865665:41874350:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFSVEKDRLLANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKV >Vigun01g252900.1.v1.2 pep primary_assembly:ASM411807v1:1:41864578:41874404:-1 gene:Vigun01g252900.v1.2 transcript:Vigun01g252900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLKLEHLQTLKDAAYKLRESIAHDQEKTESVKCQVLQLEDSIKQLEDKIHHAEETLKDLRKLQEQISTKTAQRSTLLKEQEKQHAALVEENEDSDELLLEWKTKFDERIAILEAKIRKLKRESDDATEKGTALTNNIGHTIKEIAKLQAEAEAHMSLKNERDSSIQDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIEKKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLESRKRFIDSKLQSLDQQCSGLDSYLKVLESSKEKRDVQRSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSPEEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVLGVLAQVKTDKDLVDTLVQPVENADRLFEEIQDLQKQVEDLEDKLDFRGQGVKSLEEIQLELKTLQSTKDNLQSELERLRDEQIHMEKDLSHICNRRHNLTEEKLKATNLLQGVKRLEEELERLTEEKTQVDLDEKHLADALGPFSVEKDRLLANYNDMKIRLNLEYEDLAEQKRSYKQEAESLFRMNSKIKEYSDLKKGDRLKELQEKNSRSQTELESCDTRKKEISAELVKSKDLMQNQDQLRRKIDDNLNYRKTKAEVDELAHEIETLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDLQHSIIESQEIFD >Vigun08g138700.7.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGEDKYYIFKTGIYKVGRKGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSRYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun08g138700.11.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun08g138700.8.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGEDKYYIFKTGIYKVGRKGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSRYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun08g138700.12.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun08g138700.9.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGEDKYYIFKTGIYKVGRKGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun08g138700.10.v1.2 pep primary_assembly:ASM411807v1:8:31085827:31094360:-1 gene:Vigun08g138700.v1.2 transcript:Vigun08g138700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWGLFPVDPLSGEDKYYIFKTGIYKVGRKGCDVIITKDKGVSRVHAEIVVNTMNLVNSLPNECSHLSPSIHIRDCSKYGTFISKNVGPKKKVHELPNKQTPLEDGDFVSFGTGSATYKFCHVPLVFFICSSNEVDQSFQEKISSIGASFTHTLGEGCTHVLVDQLMPLKKDLVDAVVAKKSCVLKNWLEFFAEKNISIEIPSCHSYIPTVSVDGESIKIVDPRSREDCLKGYTFLLESEHLYKFQDQLKSLLEVAGAKVVSSGEFCSNSQDSNYGEDNHVVCVIPERPACKSNLSDKLNSLLRVSEIDIICAALSGQLDLSILRSPCILVSSSCSTDETIVADSDTEVETATSARSTEALSNDNNVKYEKTEEIYDDSYTLDKRKHESIEASSDDVLTRLHDIKRTKTEKSLDGASVRSHATNFGNDNDGVKVKKDNVDDNETGNSDVVYSQNLIVRDTNIRSSISTSPSSSFPNFKCFRKAHTQSGNSFDNLVPFAKYPYKDSDCGDDEMSELVKEEKRRKKMEAVADELFNNEKARKRGAAGSRGTVGSLRSILSS >Vigun05g290900.1.v1.2 pep primary_assembly:ASM411807v1:5:47710425:47711743:1 gene:Vigun05g290900.v1.2 transcript:Vigun05g290900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLIWTIILTFCIIVAFSHATPNVTQRQAIRSSKFNQTQHQILLQMNEGCSYVVNIYTSCSSSFYARGQINLLFGDADGNEVHVPEVHGPFDDCTTNTYDIYGACANQICHLHLYWIGDEDWILEKVSIHSNYYDPVTFYYHTYISEGASYDFDYCDY >Vigun05g072500.1.v1.2 pep primary_assembly:ASM411807v1:5:6383604:6389390:-1 gene:Vigun05g072500.v1.2 transcript:Vigun05g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDHGHGNGDFRTKVWSMTGGPYCRPKHWKRNTAIAMFGVVLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYE >Vigun04g130400.2.v1.2 pep primary_assembly:ASM411807v1:4:32665892:32670407:1 gene:Vigun04g130400.v1.2 transcript:Vigun04g130400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSSEEIEIGRDITPTLTPLSFSLHNSNLNTHCSACFSPLSGPFPSIPNPPFYCSPPCSAALSPLHHSSAETHLPPSVHSSHLRAALRLLRSHRPSPSLRLAGLLSNHRILTSPQTPSLSHDDDHVSESIRLGAAAMAEAIAEQRAVPNGDAVLEEATIAFCAVLTNAVEVHDDEGRALGIAVFDPTFSWINHSCSPNACYRFILSSHSDEPELLGIAPHPQMGSGGVCVSSNEFAQGYGPRLVVRSIKKIKKGEEVTVAYTDILQPKAMRQWELWSKYRFVCSCKRCRALPFSYVDHALQEISTFSYDSTGSYSKFLKDMADRKLSEGIDDVISEYLSVGDPESCCDKLEKILMQDVKGKSDSKFMLHPLNHHSIKAYTTLASAYKVCAGDLLSVDSEIDTNQLKAFDMSRTSAAYSLLLAGATHHLFNSESSLIASVANFWIGAGESLLFLSRSSEWSTCDTLGLIVPNLNSAIKFKWSKCSLVDRIRTCIANGQINSADFENVSNEFICCVSDITQKVWGFLISDCPFLRSCKDPINFSWLMSTKSSDTMDVEVCDNKSYKTEICYTHEPENSIYICEEPTSTDDVVACLLQLGLHCLAYGGLLGSICYGPQSHMVCRVLNVLDREKNFVLYSREES >Vigun04g130400.1.v1.2 pep primary_assembly:ASM411807v1:4:32665892:32670407:1 gene:Vigun04g130400.v1.2 transcript:Vigun04g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSSEEIEIGRDITPTLTPLSFSLHNSNLNTHCSACFSPLSGPFPSIPNPPFYCSPPCSAALSPLHHSSAETHLPPSVHSSHLRAALRLLRSHRPSPSLRLAGLLSNHRILTSPQTPSLSHDDDHVSESIRLGAAAMAEAIAEQRAVPNGDAVLEEATIAFCAVLTNAVEVHDDEGRALGIAVFDPTFSWINHSCSPNACYRFILSSHSDEPELLGIAPHPQMGSGGVCVSSNEFAQEVLGYGPRLVVRSIKKIKKGEEVTVAYTDILQPKAMRQWELWSKYRFVCSCKRCRALPFSYVDHALQEISTFSYDSTGSYSKFLKDMADRKLSEGIDDVISEYLSVGDPESCCDKLEKILMQDVKGKSDSKFMLHPLNHHSIKAYTTLASAYKVCAGDLLSVDSEIDTNQLKAFDMSRTSAAYSLLLAGATHHLFNSESSLIASVANFWIGAGESLLFLSRSSEWSTCDTLGLIVPNLNSAIKFKWSKCSLVDRIRTCIANGQINSADFENVSNEFICCVSDITQKVWGFLISDCPFLRSCKDPINFSWLMSTKSSDTMDVEVCDNKSYKTEICYTHEPENSIYICEEPTSTDDVVACLLQLGLHCLAYGGLLGSICYGPQSHMVCRVLNVLDREKNFVLYSREES >Vigun07g073050.1.v1.2 pep primary_assembly:ASM411807v1:7:9291103:9292445:-1 gene:Vigun07g073050.v1.2 transcript:Vigun07g073050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMKKGKKRHFHILGCVYLLQLWYFEHFLIPKCNIGKSSTKFPRVLQWMDMKVVEDKISSALIKNKVYQTLSFLILL >Vigun08g132400.1.v1.2 pep primary_assembly:ASM411807v1:8:30350149:30352646:1 gene:Vigun08g132400.v1.2 transcript:Vigun08g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSYAHSFSFSTLFCMFAMVTSQLTSSCYESTCPQALSIIRSSVISAVAKEHRMGASLLRLHFHDCFVNGCDASVLLDDTSSFTGEKSAGANVNSLRGFDVIDDIKTKVEAVCPGVVSCADIVAVAARDSVVALGGPSWNVGLGRRDSTTASKDAATKDIPSPLMDLSALISAFANKGFNAKEMVALAGAHTTGLARCQLFRGRVYNESSIESNFATSLKSNCPSTGGDTNLSPLDVTTSVLFDNAYFKNLINQKGLLHSDQQLFGGGSTDSQVTTYSNDPSAFYADFASAMVKMGNLSPLTGKSGQIRTNCRKVN >Vigun08g204500.1.v1.2 pep primary_assembly:ASM411807v1:8:36811324:36815757:-1 gene:Vigun08g204500.v1.2 transcript:Vigun08g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGDNVVVSNMELERLLSMKGGKGEASYANNSQAQAIHARSMLHLLRETLDRVELVEGREVPFVVVDLGCSCGSNTINVVDVMIKHVMKRYEALGWQPPEFSAFFSDLPSNDFNTLFQLLPPLANHGVSMEECLAADNHRSYFAAGVPGSFYRRLFPARSVDVFHSAFSLHWLSQVPESVVDKRSSAYNKGRVFIHGADESTANAYKKQFQTDLAGFLMARSVEMKREGSMFLVCLARTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISQEKRDSFNIPVYAASLQDFKEVVEANGSFTIDKLEVFKGGSPLVVNQPDDAREVGRALANSCRTVSGVLVDAHIGDKLSEELFLRVEHRATSHAKELLEKLQFFHIVASLSFAQ >VigunL009500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:16786:20003:1 gene:VigunL009500.v1.2 transcript:VigunL009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFLENHFGGLQPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKFLIIKTEKHELRKKFFRLKRRRIFGAQYEILFSCKTRSDKGKLQRLLRSKILALTLS >Vigun08g087850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:19845394:19846965:1 gene:Vigun08g087850.v1.2 transcript:Vigun08g087850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALSTKNKLDFVDRTTSEPNKNEATYHAWKRCNNMVVSWIVHSVSPSIGQSILWMNRADEIWNDLRTRYFQGNLMRISDLQLELPPSNKEN >Vigun02g074400.2.v1.2 pep primary_assembly:ASM411807v1:2:22590303:22595438:-1 gene:Vigun02g074400.v1.2 transcript:Vigun02g074400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSQEHTDPWVYKPAQLWQLEDQTPRPTKRLHGSSVFTLKEMEEATCSFSDENLLGKGGFGKVYRGTLRSGEVVAIKKMELPAIKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMRNGNLQDHLNGIGERNMDWPRRLQVALGAAKGLAYLHSSSDVGIPIVHRDFKSTNILLDDNFEAKISDFGLAKLMPEGQETHVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRNILNDRKKLRKVIDPEMARNSYTIQSIVMFANLASRCVRTESNERPSMAECVKELLTIIYTNSKGLGMVMHTLRMI >Vigun02g042900.1.v1.2 pep primary_assembly:ASM411807v1:2:17341179:17343396:1 gene:Vigun02g042900.v1.2 transcript:Vigun02g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTSSQESRKLSQIFFPKQLNKVYPIRLHRSSSTSSISSLSSSFSQNSDDSSLTDSLNLADDNFQFALNLISPRDQRREPAVTITNAPHQQQTGEFRRCNWITKNCDKAYIEFHDECWGVPAYDDKKLFELLTLSGLLIDYNWTEILKRKKILRQVFGGFDPTIVAKMEEKEIMEIASNKEILLPDCRVRCIVDNAKCIMTIVRECGSFSCYIWGYVNHKPVINRFKFPRDVPLRTSKADTISKDLIKRGFQFLGPVIVYSFMQAAGLTIDHLVDCHRHSECVRLAQRPWRHL >Vigun11g196050.1.v1.2 pep primary_assembly:ASM411807v1:11:39561706:39564848:-1 gene:Vigun11g196050.v1.2 transcript:Vigun11g196050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISIFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYPFFWY >Vigun01g047200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7049085:7049886:-1 gene:Vigun01g047200.v1.2 transcript:Vigun01g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSPSVKRPYDITMSRRTRKQQFSNEKPKSAAKDEKLTLETAQTNTIDEVKQGGEGDHKSLKQLIVGDDNEATKGNNSDERGKGSRNSLGEHFTEEEKQHLQLVRMHQKDNLQGLKFKKLVSRYAKVLGHLLKAKRDPHLGDAPKKPVFKLSS >Vigun06g192700.1.v1.2 pep primary_assembly:ASM411807v1:6:30969316:30973421:-1 gene:Vigun06g192700.v1.2 transcript:Vigun06g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKLSMAFPKSPAPPTPPHSSATKSSLTRSFATYFSRSSAQVQPRPSDVLDLLRLVEDLRERESRLKTELLEHKLLKETVAIVPLLENQISARDALIETNNNKMEQVEADNRRLRNELQQLKLRMEEEKTESLKKTKALEDEIAELKKTASDHVCKALVNELHSSMENDEHSSVRLQAPLEVPARSTLVKSLKKTASDHRSVNHKQFEVTVQDFKPEMAESERPRRDSVELADSTESILTRSRAPRVPKPPPRPSLSSSSMRSRYTESAASENGNVETEKVISRPPPPPPPPFKASSKAGPPPPPPPPKGSRQVTAKVRKVPEVVEFYHSLMRRESQSRRESLSGVVELPPAANPRDMIGEIENRSSHLLAIKTDVETQGDFIRCLIKEVEGAAFTDIEDVVLFVKWLDDELSYLVDERAVLKHFDWPEKKADALREAAFGYCDLKKIESEASSFRDDPRQPCGPALKKMQALFEKLEHGVFNISRMGESATNRYKVFHIPVQWMLDNGFVSQIKLASVKLAMKYMKRVSGELETVGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCQLQCHSQQQKFFCRSATY >Vigun08g197200.1.v1.2 pep primary_assembly:ASM411807v1:8:36290079:36296321:1 gene:Vigun08g197200.v1.2 transcript:Vigun08g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLAWLLLLLLISVSGPGLQVWALKTPFHPRDVLPLLPRQVSWPIMTRLHSAVDILPVFVGAASSPADVIEWKGACFYQNKAWMVFHNKSQTEYGGGTLHIKVSNAHSWTCMDLYIFATPYRVTWDYYFLAREHTLEIKAWEGKAEYDYVKNHGLSIFLLQAGMLGTLQALWEVFPLFSNTGWGENSNINFLEKHMEASFEVRPQPWVTNVSADDIHSGDFLAVSKIRGRWGAFETLEKWVSGAYAGHTAVCLRDPNGKLWVGESGHEDEKGEDIIALMPWEEWWDFELNKDDSNPHIALLPLHPDLRARFNESAAWEYAVSMIGKPYGYHNMIFSWIDTLNENYPPPLDANVVACVMTIWNQLQPEYAANMWNEALNKRLGTEGLDLPEVLVEVEKRGSSFDALLTIPEQDDWVYSDGKSTSCIAYVLEMYKEAGLFDPIASSVQVTEFTIKDAYILNFFETNSSRLPKWCNDGDTVKLPYCQIKGKYRMELPEYNTMQPYSHMNERCPSLPPEYSRTKDC >VigunL007600.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:36742:37378:-1 gene:VigunL007600.v1.2 transcript:VigunL007600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSDNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVQIRT >Vigun11g005300.1.v1.2 pep primary_assembly:ASM411807v1:11:564609:576019:1 gene:Vigun11g005300.v1.2 transcript:Vigun11g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSLKSTIFDKEERKQQYQWHIRGLNAFDRHKKFINDYVNFYGKERPSNLKLPIKTDQDTLREGYRFIRSEEDDMNPSWEQRLVKRYYDKLFKEYCLADMSNYKSGKIGLRWRTEKEVMSGKGQFICGNKHCNEKDGLASYEVNFCYFEAGENKQALVKLVACERCACKLNYKRQKEKEQLEKRQQDQDRRKRSRSKSDDDLDDYEESNERRRKGKKALTSSSDRKIDGDDDDDDNLDEFLEGRRGHVDGSSWWGHACCS >Vigun05g232700.1.v1.2 pep primary_assembly:ASM411807v1:5:42656249:42657002:-1 gene:Vigun05g232700.v1.2 transcript:Vigun05g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIFTTFVLLMLLCLLLTREPSGCGSAYECFGANAAGLRDIPNRKALSILKNKKTALKAGLQGSSSIKYGEKPLSWELRKVPSGPDPLHHNGVNPKKPQTP >Vigun04g130800.4.v1.2 pep primary_assembly:ASM411807v1:4:32762797:32771234:1 gene:Vigun04g130800.v1.2 transcript:Vigun04g130800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVVPSTLIDDPSPGSLQCTRLALRVSEDRRSCVVYIASGPHIYKLHIALGESSVNEGKDSLLIPEHTEIIASSLLNRCPHRSEIQSIALAEVESCGDVMLGSVDSYGHLIVSKLDASGEDVDKLTYSALPHDNGIGEGSWSGLCFCPNQWSTTAVARSFCKTIDIYDQDMHIRTLRPLWYPTSLNFMQNVVNGDQSSTLAITEGSQLSIWDLRMKENGGCAHRVCGIPGDIFYAVSISSNGNVAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLAFSTIDPDCIYIQGVDYEVFCGRWKDTAKIFSFRGDSNWLGFSKCSYFLNF >Vigun04g130800.3.v1.2 pep primary_assembly:ASM411807v1:4:32762797:32771172:1 gene:Vigun04g130800.v1.2 transcript:Vigun04g130800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVVPSTLIDDPSPGSLQCTRLALRVSEDRRSCVVYIASGPHIYKLHIALGESSVNEGKDSLLIPEHTEIIASSLLNRCPHRSEIQSIALAEVESCGDVMLGSVDSYGHLIVSKLDASGEDVDKLTYSALPHDNGIGEGSWSGLCFCPNQWSTTAVARSFCKTIDIYDQDMHIRTLRPLWYPTSLNFMQNVVNGDQSSTLAITEGSQLSIWDLRMKENGGCAHRVCGIPGDIFYAVSISSNGNVAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLAFSTIDPDCIYIQGVDYEVFCGRWKDTAKIFSFRGDSNWLGFSKVCI >Vigun04g130800.2.v1.2 pep primary_assembly:ASM411807v1:4:32762797:32777736:1 gene:Vigun04g130800.v1.2 transcript:Vigun04g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVVPSTLIDDPSPGSLQCTRLALRVSEDRRSCVVYIASGPHIYKLHIALGESSVNEGKDSLLIPEHTEIIASSLLNRCPHRSEIQSIALAEVESCGDVMLGSVDSYGHLIVSKLDASGEDVDKLTYSALPHDNGIGEGSWSGLCFCPNQWSTTAVARSFCKTIDIYDQDMHIRTLRPLWYPTSLNFMQNVVNGDQSSTLAITEGSQLSIWDLRMKENGGCAHRVCGIPGDIFYAVSISSNGNVAVGGADRTVTIYDPRR >Vigun04g130800.5.v1.2 pep primary_assembly:ASM411807v1:4:32762797:32771234:1 gene:Vigun04g130800.v1.2 transcript:Vigun04g130800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVVPSTLIDDPSPGSLQCTRLALRVSEDRRSCVVYIASGPHIYKLHIALGESSVNEGKDSLLIPEHTEIIASSLLNRCPHRSEIQSIALAEVESCGDVMLGSVDSYGHLIVSKLDASGEDVDKLTYSALPHDNGIGEGSWSGLCFCPNQWSTTAVARSFCKTIDIYDQDMHIRTLRPLWYPTSLNFMQNVVNGDQSSTLAITEGSQLSIWDLRMKENGGCAHRVCGIPGDIFYAVSISSNGNVAVGGADRTVTIYDPRR >Vigun04g130800.1.v1.2 pep primary_assembly:ASM411807v1:4:32762797:32777736:1 gene:Vigun04g130800.v1.2 transcript:Vigun04g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKCLKKAVVPSTLIDDPSPGSLQCTRLALRVSEDRRSCVVYIASGPHIYKLHIALGESSVNEGKDSLLIPEHTEIIASSLLNRCPHRSEIQSIALAEVESCGDVMLGSVDSYGHLIVSKLDASGEDVDKLTYSALPHDNGIGEGSWSGLCFCPNQWSTTAVARSFCKTIDIYDQDMHIRTLRPLWYPTSLNFMQNVVNGDQSSTLAITEGSQLSIWDLRMKENGGCAHRVCGIPGDIFYAVSISSNGNVAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLAFSTIDPDCIYIQGVDYEVFCGRWKDTAKIFSFRGDSNWLGFSKCSGKDVLAGWCDSGSIFIADVAIEMDEVVSVQ >Vigun06g073900.1.v1.2 pep primary_assembly:ASM411807v1:6:20501263:20505058:-1 gene:Vigun06g073900.v1.2 transcript:Vigun06g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGIRIVLLLAACLLPLSVEALVRHYKFNVVVKNATRLCSTKPIVTINGKFPGPTIYAREDDTVLIKVVNHVKYNVSIHWHGVRQLRSGWADGPAYITQCPIQPGQAYVYNFTLTGQRGTLWWHAHILWLRATLHGAFVILPKLGVPYPFPKPNMEQVIILSEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVQGCASQEGFKLDVQPGNTYLLRIINAALNEELFFKIAGHELTVVEVDAVYTKPFKTDTIVIAPGQTTNVLLTTKHAAGKYLVAASTFMDAPIAVDNNTATATLHYSGTLSSTLTTLTSIPPSNSTLLATTFTDSLRSLNSKKYPARVPLKIDHNLLFTVSLGINPCATCVNNSRVVADINNITFVMPKISLLQAHFFKIKGVFTDDFPGNPPVVYNFTGTQPSNLNTMNGTRLYRLAYNSTVQLVLQDTGMITPENHPIHLHGFNFFVVGRGQGNFNPIKDPKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPSDLPKC >Vigun06g073900.2.v1.2 pep primary_assembly:ASM411807v1:6:20501263:20503457:-1 gene:Vigun06g073900.v1.2 transcript:Vigun06g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVIILSEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVQGCASQEGFKLDVQPGNTYLLRIINAALNEELFFKIAGHELTVVEVDAVYTKPFKTDTIVIAPGQTTNVLLTTKHAAGKYLVAASTFMDAPIAVDNNTATATLHYSGTLSSTLTTLTSIPPSNSTLLATTFTDSLRSLNSKKYPARVPLKIDHNLLFTVSLGINPCATCVNNSRVVADINNITFVMPKISLLQAHFFKIKGVFTDDFPGNPPVVYNFTGTQPSNLNTMNGTRLYRLAYNSTVQLVLQDTGMITPENHPIHLHGFNFFVVGRGQGNFNPIKDPKKFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPSDLPKC >VigunL059072.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000471.1:20091:20919:-1 gene:VigunL059072.v1.2 transcript:VigunL059072.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQILFAHSFLKWTTKTCSAPPVFTKLHPSYHLNSIQPDHAIFCHVIIFISQNPNPNFLLSFHHHGSRRRRHRNCHRKTTTPPRAAMETSAPPQHHRSNAPSSPWQQRTRASITPPPSPSSLAQNATVPPPSSTIATPFSSPPRSFSTTRQPWQPPRANQNTAISIFSAFLTTARRHSQPLQRTSAHGHHEQQRLFTTCSAAPSSREPVPPLHLQPSRPPEKPPRRRSSFHTNLALLCSQKSVRVKP >Vigun08g187500.1.v1.2 pep primary_assembly:ASM411807v1:8:35639084:35639729:1 gene:Vigun08g187500.v1.2 transcript:Vigun08g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSTSVFGLAIMLLMTVAAMKPVNGFSCVQAKLSLLTCLPFLTTNQQSPSSACCNGVSVVRASARTKPELREACECLKATANKTPNLNKDKVVQLPKLCNVDVGFPISKDIDFNTISL >Vigun03g216600.2.v1.2 pep primary_assembly:ASM411807v1:3:36067855:36079820:1 gene:Vigun03g216600.v1.2 transcript:Vigun03g216600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDAYMSPQHKRPMVSSRGEPSGQPQMMSGGGQRLTTNDALAYLKAVKDMFQDKREKYDDFLEVMKDFKAQRIDTTGVIARVKDLFKGHKDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFAEAISFVGKIKTRFQSNDSVYKSFLDILNMYRKETKSITEVYEEVAALFQDHADLLEEFTHFLPDTSGTAFNHYASTRNPLLRDRSSAMPTVRQMHVEKRERNIASQGDRDFSADHPDPELDRGLIKADKDQRRRGEKEKESREEKDRRQRERDDRDYDHDGSRENLSHKRKSACRAEDSGAEPMHDTDENFGMHPVSYACEDKSSLKSMYSPVIGYLDKVKEKLPNPEDYQEFLKCLNIYCKEIIARHELQSLVSNLLGKHADLMDGFDEFLSQCEKNEGFLAGLLKKVKSFWHEGHGPKPMKLEDRDRDRDDGMKERDRRERDKSNAIANKDVSAPKMFQYGSKDKYSGKPISELDLSNCEQCTPSYRLLPKNYLIPPASQRTELGAEVLNDYWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVATKRVEELLEKINANIIKGDSPIRIEEHLTALNLRCIERLYGDHGLDVMDVLKKNASLALPVILTRLKQKQDEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSAKVLLAEIKEISEKKRKEDDVLLAIAAGNRQPIIPHLEFVYPDPEIHEDLYQLIKYSCGEMCTTEQLDKAMKIWTTFLEPVFGVPSRSQGPEDVVKDRNNSAKSGTEVVAGDGSPVINPKNLNINRNGDENFPFEQSHSCKQWQPSGHAKVKKDNHLDSDSFAQKTGALGSNTQQGKMNIDASIPDEVSRANKQDHSTERLVNADVSLSSAVEQNNRSTNVDKATGLAATPLRPANISSVGGVGLASLEGADSARPVTSTNGAIIEDSKVHRHREESVGHFRCEREEGELSPNGDFEEDNFAVYGHTSSDAVHKGKDSNISRPYQNRHGEVVRGEAGGENDADDEGEESPHRSLEDSENASENGDISGTESADGEECSREREEDGGHDHDDKAESEGEAEGMADANDVEGDCASLPYSERFLVNVKPLAKYVPPTLHEKERIGRVFYGNDSFYVLFRLHQTLYERIRSAKVNSSSSERKWRASNDTDSTDQYGRFLNALYNLLDGSSDSTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQAVAADEMDSKLLQLYAYEKSRKPGRFVDLVCHENARVLLHDENIYRIECSPSPTQLSIQLMDYGFDKPEVTTVSMDPNFSAYLHNDFLSVVPDKKEKSGIYLKRNKRKNGSGDEFSSQTMDGLQIVNGLECKIACNSSKVSYVLDTEDLLYQTRRKKRRSLHQSSSCLEQSNSSKISSSREQRVCKLFSN >Vigun04g113400.1.v1.2 pep primary_assembly:ASM411807v1:4:28461102:28461853:-1 gene:Vigun04g113400.v1.2 transcript:Vigun04g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTCAKKVVEYGGLLKRMLRKMSSQLNNPPFNYTIHPRPLHGNGSRMHSLIALYF >Vigun01g250800.1.v1.2 pep primary_assembly:ASM411807v1:1:41726997:41729065:1 gene:Vigun01g250800.v1.2 transcript:Vigun01g250800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQHFLLVTYPIQGHINPSLQYAKRLAAIGVHVTFATSVYLHRRMLNKPTVPGLSFVTFSDGYDDGYKTTDDTHVGSYMAELKRRGSEFLGNIITSTKKEGKPFTCVTYTLMLPWVAKLAREFRIPGVLLWIQAATVLDIYYHYFHEYREYINMVHTSENPIIELPGLPFPLATRDIPSFLLPSNIYSFAIPSLEEHFKLFDEETNPRVLVNTFQDLEPEALKAIDKLTMISIGPLVPSAFLDGKDPTDTSFGGDIFHGSNDYVEWLDSKPALSVVYVSFGTLAVLGQRQMEEVARALLDSGYPFLWVIREPNGKQEKLQELSCRKELEQRGKIVTWCSQLEVLSHGSLGCFLTHFGWNSTMESLSSGVPMVGFPQWTDQGTNAKLVEDVWKTGVRVDGKANAEGIVEGVEIRKCLEVVMGSGGKGEELRRNAEKWKRLAKEAVKEGGSSDRNMRTFLDYVARLGQDCLI >Vigun05g017500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1442499:1443486:-1 gene:Vigun05g017500.v1.2 transcript:Vigun05g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDSATHQKLSNVLSPFHTKTLIQENIFFDGTNKELTSNLAVLRIRFYNLERCVLSLKAKPVISGGISRMEEHEEPFDPALGRACIAEPWRLLSVDSSTVLKRVRDEYGVGANGVVCLGGFRNVRAVHQWQGLKLDETNYDFGTSYELECESSDPETHKKLLEDFLQENGISYSYSQVSKFAVFQSRKLPEF >Vigun05g132500.1.v1.2 pep primary_assembly:ASM411807v1:5:15530364:15532283:1 gene:Vigun05g132500.v1.2 transcript:Vigun05g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLHKQQNFWFFLLLCVAVAIVYATKTGDVSFQQNYKVVWGNRHVFFLQHGREVQLSIDKTSGAGFRSKLDYASGFFQMRIKIPTKDSRGVVTAFYLTSRVYKDMGEKHDEIDFEFLGNNGEPHMLQTNIFANDEGGREQRHSLWFDPTINFHSYGILWNQHQIVFYVDEIPIRVFKNKSKVGVSFPWREMHVTGSIWNGEPWASNGKRIDWNQAPFTAHFQGFKIHACETQNTNKFLCYSPYLWWNDHKHWQLNPLQQKAYQYVTKKHLVYDYCSDRGQLHKECQINS >Vigun05g172500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31076002:31077636:-1 gene:Vigun05g172500.v1.2 transcript:Vigun05g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYAMWSVKCLTIILTHHGSGRTTKNHTTQTNPQSPPALCSVAVSPNLIIEEDEKLHGNWRFRRCSRRTAIALRQQSRSRRPRFANARLERRHGSDRSVSGRKKIENRR >Vigun03g377600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58081565:58084076:1 gene:Vigun03g377600.v1.2 transcript:Vigun03g377600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDAFVSQPEQPPYFLSQPNPPFPPTARSDSFSLNDRALKVSPSILLIIIILAIVFFISGLLHLLVRFLWRPQTREPDDLDNATALQGQLQQLFHLHDAGVDQSFIDTLPVFLYKAIIGLKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRASLLPEFSVGNSCSPYVLVLESGSESSREIVPEREAGVGRSSSVMTSNSRLGCHGDSEFGSTRVDLKSGELLSEAPGPTVPNGVEKVVTVKLGKFKSVDGGGDGGEGSSTTNNVDSRRCFSMGSFAYVMDESSSLQVPIRTPIKKQSSKKKSGLPLTPGHRPAMSECDCESRRDFKFAVFDATIVEDDVASSGAATERTTYNGNSAAIGRGRKESFSISKIWLRGKKDKPNAVADSSRRAVSFRFPAKSSAVASSGSADDDLKGKNSKFDTRSTISEMDIGKWENGGGSEFDFDEENQSCYSMDSSQARAPSFARRTLLWLTGGRQNKVVHSASNL >Vigun07g282900.1.v1.2 pep primary_assembly:ASM411807v1:7:39693517:39695023:-1 gene:Vigun07g282900.v1.2 transcript:Vigun07g282900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSLLHHQLVVGTSVTHGQLQKPVNRLPGPATQCQCSTSTSKQMRLRTNISETVKRKISLGARILRVGGVEKVFQQFFTMEEGERLLKVSQCYLSTTSGPIAGFLFISTYKVAFCSERTVKVFTQNGHMLRIRYKVVIPLKKIKSMNQTENMQKPRQKYIEIVTEDNFEFWLMGVLKYQKTFQYLQEAVSQA >Vigun02g073500.1.v1.2 pep primary_assembly:ASM411807v1:2:22500030:22503397:-1 gene:Vigun02g073500.v1.2 transcript:Vigun02g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQSSWQFLITHFSEFQLACVGTFFLHEGVFFLSGLPYMMLERSGWLSKYKIQAKNNSPAAQKKCITGLILYHFGVNLPVMIISYPLLKRMGMEISLPLPSWKIILTQIIFYFILEDFVFYWGHRILHTKWLYTSVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPVLTGPHLMTLWTWMILRVLETVEVHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDTGYRNLKASKKAEAESSSHQKKQH >Vigun02g073500.2.v1.2 pep primary_assembly:ASM411807v1:2:22500030:22502321:-1 gene:Vigun02g073500.v1.2 transcript:Vigun02g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKNNSPAAQKKCITGLILYHFGVNLPVMIISYPLLKRMGMEISLPLPSWKIILTQIIFYFILEDFVFYWGHRILHTKWLYTSVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPVLTGPHLMTLWTWMILRVLETVEVHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDTGYRNLKASKKAEAESSSHQKKQH >Vigun05g212600.1.v1.2 pep primary_assembly:ASM411807v1:5:40353556:40354604:-1 gene:Vigun05g212600.v1.2 transcript:Vigun05g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGCECRPLGFLIGLPFALLALILSLVGAVIWVFGSVLSCLCPCCICCAGLANLAVSLVKLPVKVLRWFTRQIPC >Vigun03g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29798354:29799997:-1 gene:Vigun03g200800.v1.2 transcript:Vigun03g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSLVIGLMACLMAASVHGEDPYVFYTWNVTYGTVAPLGVEQQGILINGLFPGPEINCTSNNNIVVNVFNFLDEPLLFTWNGIQHRKNSWQDGTLGAQCPILPGTNYTYHFQVKDQIGSYFYYPSIGMHRAVGGFGGLRIYSRLLIPVPYADPADEFWVLIGDWYGKTHQTLRQFLDSGRSIGRPSGVHINGKNGGLEPAYTMEPGKTYKYRICNVGTKNSLNFRIQGHPLKLVEMEGSHVVQNIYNSLDVHVGQCFSVLVTADQEPKDYYMVASTRFTKKTLGATRIIRYSNGVAPASPELPPSPEGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNTLSRSGGKLRYGLNGVSHLDNETPFKLAEYYGVSDKVFQYNLISDDPNSLIGDLTLASNVITANFRDFIEVIFENPTKVPQSYNLDGYSFFAVAIEPGKWSPEKRKNYNLLDAVSRHTIQVFPKSWAAIMLTFDNAGMWNLRSELGENRYLGQQLYVSVLSPNRSLRDEYNLPDTQLLCGIVKDMPKPPPYSS >Vigun04g024000.1.v1.2 pep primary_assembly:ASM411807v1:4:1839607:1843468:1 gene:Vigun04g024000.v1.2 transcript:Vigun04g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNSIPSRAHKERSQPSARKKFGLLEKHKDYVQRAKAFHKKEDTLRKLREKAANRNEDEFYFKMVRTKTVDGVHRPESEANKYTQEELMLMKTQDMGYILQKVQSEKKKIERQTASLHSIDNQPANKHVFFAEDREEAKELQSRYSKSEIPFSIDHIPAGIKRKTDRSYKELEARKDRLSQIEKIYMDMAMKKELQKNGRKRKLTEDEIVCPTSQPVYKWRVERKR >Vigun08g096800.1.v1.2 pep primary_assembly:ASM411807v1:8:23257336:23262009:1 gene:Vigun08g096800.v1.2 transcript:Vigun08g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTTIFSIFLIALLCLHELVHVSSSSFLYARQVYEESSGERKLEQEQGQEHVQELHCSRERSRAARKVIEEYLMPFVERENYRLSTKCTLHPENDIFSDQEEHKIFIDRHEWQCGYCKKSFREEKFLDQHFDKRHYNLLNVSHGKCLADLCGAMHCDAVMNFRSPRSKCNLAAAARNRHLCESLADNCFPTSDGPAAGRLHELFLHQFCDAHTCSGKHKPFSRGGKDQSSFFRLAAGALILVLLPVFYLFLYLIQSDVKNRTQELRRISKAGWKTKPS >Vigun09g122200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27284963:27286310:1 gene:Vigun09g122200.v1.2 transcript:Vigun09g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSHSSFFLLFLIAITHTNVCLAECDNVKDYCPTSTKPQKQAIFINGLSCENPDNTSAHDFKSNELSKPGSRDDFGALVKIVTASKFVGLNTLGLAIGRSDIEVDGLVNLHNHPRATEMVYVNQGRLLAAFLNTQNQLFQKNLNAGDVFIIPKGLFHFFLNRGEQVATLFSVFNSQNPGLGSLSAQPSQSENTLQLVEKIKMKLNSLSHSQLHGDSDLTSVLLDIVSHY >Vigun07g235400.4.v1.2 pep primary_assembly:ASM411807v1:7:35690886:35696176:-1 gene:Vigun07g235400.v1.2 transcript:Vigun07g235400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTATFRSILDKPLNQLTEDDISQLTREDCRRFLKEKGMRRPSWNKWQAIQQVISLKALLEPSDDDSPPPPPPMHHQPHAPQPLANLTQPPPKAPPPEEPAFLAADDIQKSASSGEKPTETNDTNTNANVASPRGCATSGSIGQMTIFYCGKVNVYDGVSPDKARAIMQLAASPVQFTQDDPLHGNAPVWSSPCHLPLDKDGLIPVDTAILQVAQADKMVEYPLQYREKGSITRDADGQASRKVSLQRYREKRKDRGRFKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTSSPPQPRLPLASSGSADNQLKVALPIDLNDKDVHEC >Vigun07g235400.2.v1.2 pep primary_assembly:ASM411807v1:7:35690886:35696176:-1 gene:Vigun07g235400.v1.2 transcript:Vigun07g235400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTATFRSILDKPLNQLTEDDISQLTREDCRRFLKEKGMRRPSWNKWQAIQQVISLKALLEPSDDDSPPPPPPMHHQPHAPQPLANLTQPPPKAPPPEEPAFLAADDIQKSASSGEKPTETNDTNTNANVASPRGCATSGSIGQMTIFYCGKVNVYDGVSPDKARAIMQLAASPVQFTQDDPLHGNAPVWSSPCHLPLDKDGLIPVDTAILQVAQADKMVEYPLQYREKGSITRDADIDGQASRKVSLQRYREKRKDRGRFKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTSSPPQPRLPLASSGSADNQLKVALPIDLNDKDVHEC >Vigun07g235400.1.v1.2 pep primary_assembly:ASM411807v1:7:35690886:35696176:-1 gene:Vigun07g235400.v1.2 transcript:Vigun07g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTATFRSILDKPLNQLTEDDISQLTREDCRRFLKEKGMRRPSWNKWQAIQQVISLKALLEPSDDDSPPPPPPMHHQPHAPQPLANLTQPPPKAPPPEEPAFLAADDIQKSASSGEKPTETNDTNTNANVASPRGCATSGSIGQMTIFYCGKVNVYDGVSPDKARAIMQLAASPVQFTQDDPLHGNAPVWSSPCHLPLDKDGLIPVDTAILQVAQAGNKMVEYPLQYREKGSITRDADIDGQASRKVSLQRYREKRKDRGRFKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTSSPPQPRLPLASSGSADNQLKVALPIDLNDKDVHEC >Vigun07g235400.3.v1.2 pep primary_assembly:ASM411807v1:7:35690886:35696176:-1 gene:Vigun07g235400.v1.2 transcript:Vigun07g235400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGTATFRSILDKPLNQLTEDDISQLTREDCRRFLKEKGMRRPSWNKWQAIQQVISLKALLEPSDDDSPPPPPPMHHQPHAPQPLANLTQPPPKAPPPEEPAFLAADDIQKSASSGEKPTETNDTNTNANVASPRGCATSGSIGQMTIFYCGKVNVYDGVSPDKARAIMQLAASPVQFTQDDPLHGNAPVWSSPCHLPLDKDGLIPVDTAILQVAQAGNKMVEYPLQYREKGSITRDADGQASRKVSLQRYREKRKDRGRFKGKKLTGITSSNFEMYLNLPVKVHASNGNSSRSSTSSPPQPRLPLASSGSADNQLKVALPIDLNDKDVHEC >Vigun11g100000.1.v1.2 pep primary_assembly:ASM411807v1:11:29404939:29413378:1 gene:Vigun11g100000.v1.2 transcript:Vigun11g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPNRAGTSASTSAAKDDAVSKKKVENEDLSDEDLALKQQLDLYVERVQDADAGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKTYYETMAESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYAKRQSEETPIDDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLGMLSAHVDKTNFKRTCLYLTSSARYLPGPDDMLVLDIAYSIYKQFEEYPNALQIALFMDNMQHVREVFTSCNDVLRKKQFCYMLARHGITFELDEEMAADDEDREMFQDIINNSKLSEGYLTLARDIEVTEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSSSASSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQLDKYFHSNDNHVIAGALLGVGIVNCSIKNDCDPAMALLGDYTDKEDTSIRIGAIMGLGIAYAGSQNEQLREKLTGVLNDSKASLDVLAFTAISLGLIFVGSCNEEIAQAIIYTLMDRSESELGEPLTRLLPLGLGLLYLGKQDSVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLDKGETHQGPAVLGIAMVAMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDTSLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLITMLHACLDMKAIVLGKYHYVLYFLVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYLPLSPILEGFVILKENPDYREE >Vigun03g092100.1.v1.2 pep primary_assembly:ASM411807v1:3:7777186:7780868:-1 gene:Vigun03g092100.v1.2 transcript:Vigun03g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDVSQKWVSGKVLIAMLLLVTVSAENITSQSNHTIGVDTNHHAQVFYHHTWPSMKFGWRIIVGSIVGFLGSAFGNVGGVGGGGIFVPMLSLIIGFDAKSAIAISKCMITGGATATVLYNLRQRHPTLDLPLIDYDLALLFQPMLMLGISIGVSFNVIFPEWMLTVLLIIFFVGISIKSFLKGVDTWKKETIMKKEAMKNSRIDAIATPEGAAHYIQTEDTVKDINEPKKKVSLVENIRWTELGLLFAIWIMILALEIGKSCTTTCSGSYWLLNLLQVPVTLGMSSYQAMRLYKGQRTIASKGDQQTHWPVCQLILFCSCGVLAGTIAGLLGLGGGFILAPLFLGIGIPPQVASATSILAMSFSASVAVVEYYLLKRFPIPYALYLVGVATAASLVGQHLVRKVVAILGRASVIIFILTFTLCVSAFLLGGVGVAHMIQKIEHKEYMGFGNLCMYKVKH >Vigun08g054600.1.v1.2 pep primary_assembly:ASM411807v1:8:6688722:6691863:1 gene:Vigun08g054600.v1.2 transcript:Vigun08g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVSLRPTTSPSHSQLFCSVRFNSRRRGNRLGFESDGGRICRRSRNSVGVGVRSVLNDNRPSVNDYGAAESARVLFERLFDPTQSRFSGEEPDLRILESDLEAALAALKKKEDHLMEAERTVLLENSKLKHTKEELERQESEIEAAKVRYEKLREEMKETTDKLVAQASQVEELKLKVRDRDHEIDAVQYGLRLKEEEVEKMRVELEVKSQEAAVLDSELREKGKLLDEANEIMNKQRVELEELKKAVGEKEEEIEVFLVQREVEREKLKVAEANLEKQAMDWLLAQEELKRLGEDAARHAEESNQTLEDFRRVKKLLNDVRSELVSSQQALASSRSKMEEQERLLEQQLFELSEQRASVMSYMENLKDAQTEVESERTKLRVAEVRNKELERDLKMEKELINELEAELKKERTSLAQAVTEMDLLQQELGKKSAEFRETSAVLQVKESELVDAKLEIQRLKSEKASLQGILEEKDVELSNARNMMVEANQEISDLKMLMNSKETQLIEATNMLREKEEHVKIIQSELNDTNQKAFEAETVIERILDLTNKLVTSIKDEDTNSSRPLLDGMGNQLLEQLSEEPAIGMRWQQKGLEKELELAKENLKKKEMEVLAAQRALTIKDEELKMTLLRLDAKEEELKKVREEVTEDSNDLKRLYALAQEKIGEVSLGDLAIEKLQLEAAQLEVEAATNALQKLAEMSKAILSVEADNCISVVPIYDKASNSVTDISKSDCFSEVKAGVARLSALSEQLVMEAGIAAAN >Vigun03g001600.1.v1.2 pep primary_assembly:ASM411807v1:3:129121:131660:1 gene:Vigun03g001600.v1.2 transcript:Vigun03g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALTAVRSPAIVLGRPISASASTRLGSTSRFSRKLCFTSLSIPKQGRRRRFLVRAASSSPESSESDAPAKIAPLQLESPIGQFLSQILVDHPHLVPAAVDQQLEQLQTDRDADQQKEEPSASGTDLILYRRIAEVKANERRKALEEILYALVVQKFIDANISLTPSVTTDMSGKIDLWPDEDGKLQQLHSREAYEMIQNHLSLILGNKVGDLKSIAEISKFRVGQVYAASVMYGYFLKRVDQRFQLEKTMKVLPNETEENSVHQTIEEDTSQGMSHPEVSTWPGGDVSPGGFGYGMKATRLRNYVMSLDGDTLQRFATIRSKEAISIIEKHTEALFGRPEIVVTPEGAVSKDENVKINFGGLKKLVLEAATFGSFLWDVESYVDSRYHFVLN >Vigun01g063700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:15356382:15357252:-1 gene:Vigun01g063700.v1.2 transcript:Vigun01g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRIPTRNTNPIHNDVPENRTFLLFDQPSGEANTTGTLINKINEIAINAKTANWKAIVMFLILQFTNKRTLPFDPSTPGCLS >Vigun07g126100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23297564:23298413:-1 gene:Vigun07g126100.v1.2 transcript:Vigun07g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIRFNKTLILIGALSCLLLSTVASARHLLAAPVPPELTQFCSGTDNPALCVDTIAPVLAGNFDPVRAVEGEINATLQKASEIAASISKQLEDPATAKEALDALNICKTQYDDMLDSIKEALNMVVQMNVMEAYRKMSAVISYKSSCDDAYTESPGVEMPFRQEATTLFQLSGNCVTVLNTIVKTTTV >Vigun07g106300.1.v1.2 pep primary_assembly:ASM411807v1:7:19451471:19452537:1 gene:Vigun07g106300.v1.2 transcript:Vigun07g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWTEDQIAIFHEAFDVVDKDSDGFITVEELISIVQSLEGNTTKEEIQEMISKVDMDGKGSVNFEEFLNVIGMRMKENLTEELKDAFKVFDRDNDGYISATELRHVMMKLGERLTEEEVEQMIREADLDGDGRVSYEEFVRFMSLN >Vigun06g175100.1.v1.2 pep primary_assembly:ASM411807v1:6:29551271:29553215:-1 gene:Vigun06g175100.v1.2 transcript:Vigun06g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDIEYKSSSTPPPPAGVDYFKVDVILRFLLLAASVVALGVIVSSDQTEVVFFQGTLVPQPAKFKHTPAFVYFVAAFSVSGLYALVSALASIAVIQKPEFKLKFLLNFIFWDTLVLGIIASATGAAGSVAYIGLKGNSNLGWIKVCNVYDKFCRHLAGSIAVALFGSIVTVLLIWLSAFTIHSRVPK >Vigun01g234250.1.v1.2 pep primary_assembly:ASM411807v1:1:40599241:40600803:-1 gene:Vigun01g234250.v1.2 transcript:Vigun01g234250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGLMGPEEPDENLISRGCITVQFSFHMSELSLMEYENERRVRRVNAGAFTLKTIRQINAPILAQICCVDKFKWPLTKDTVILRVTARTFVIALPGLLYALQFPGLCDRERLRILSYHFRESGHYRDLRKLKLGGYSQECNTPGMLEMLRPHFEPIAHEALLEVGHIPGISRFCMREQNSSFLRACRMSVMTKVIMESMRVKMMTTSLVDIHDPDPDPPEEEQLPTRACAAASTYTRLVDAAEYACLVCCGLGERLENVESPLRFASVDIGFKVWNLNEIGLLTFMSRFEADVKEEVEYVTAQEKWWNDMEKRVEGRGSARGRDVGNSSQG >Vigun03g379800.1.v1.2 pep primary_assembly:ASM411807v1:3:58449207:58457271:-1 gene:Vigun03g379800.v1.2 transcript:Vigun03g379800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTKLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDVDRLVIAKEEFHAILEEEELKGAVVLLFANKQDLPGALDDAAVTEALELHKIKNRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Vigun09g135000.2.v1.2 pep primary_assembly:ASM411807v1:9:29481853:29483408:1 gene:Vigun09g135000.v1.2 transcript:Vigun09g135000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYHVPSLQDNNSFLIFDECTKEGAVVDPIEPEKVLEAADSHGVNLKLVLTTHHHGEHAGGNEKIKNLVPGIRVYGGSIDNVKGCTDKVENGDRVSLGADISILCLHTPCHTKGHISYYVTGKEEEQPAVFTGDTLFIAGCGKFFKGTAEEMYESLCVTLGSLPRQTRVYCGHEKLLLLTILNDHFGPTPYLWTFF >Vigun09g135000.1.v1.2 pep primary_assembly:ASM411807v1:9:29481853:29484906:1 gene:Vigun09g135000.v1.2 transcript:Vigun09g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYHVPSLQDNNSFLIFDECTKEGAVVDPIEPEKVLEAADSHGVNLKLVLTTHHHGEHAGGNEKIKNLVPGIRVYGGSIDNVKGCTDKVENGDRVSLGADISILCLHTPCHTKGHISYYVTGKEEEQPAVFTGDTLFIAGCGKFFKGTAEEMYESLCVTLGSLPRQTRVYCGHEYAVKNLQFATTIEPDNLKIQQKLTWAKNQNQAGQPTTPSTIEEEMETNPFMRVHLPQIQEKVGCKSPIEALRELRKLKDKWSCCVAES >Vigun06g052700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17835131:17839266:-1 gene:Vigun06g052700.v1.2 transcript:Vigun06g052700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYSTLRRRRRCHLFSSLSRAPTTLPSVQSPNLYLLDNNAFLKPHLLDLSLAIPEATRTCWRLPALGPSHVLHLLQALQSRRVTLEKVRSLWEVFKWGAHTNAGFDFKQRSLSHEIMASLLVQVGLFKEAEELLFALESNEIFDDLIKGYAGARAWEKGVFVYDFMKGRGKVPSRDCYGVLVDLLVKVKRTSLAYRVAFDLVDLGVPLSGDELRALKMVMVQLCVGGRIQEARNLVKKVLVLNCEVSSLVFDEIAFGYCKRRNFKDLVSFFVEIKCVPSVKAGNRVMNSLCRCYGVERAGLFLQELESLGFCPDEVTYGILIGWSCREGKMGNALSCLSAMLSKSFVPHIFTYNALISGLFKVGMVDLARDIVDEMIERGTLPDVSTFRVLMAGYCKCRQFDKVKSLVHEMESRGLIKLSLMENPISKAFLILGFDPLSVKLKRDNDGRLSKSEFFDDVGNGLYLDADVDEFEKHLTLDLEESMVTNFNSFVGKECSNGNLKKALVLVEEMLCWGQELLLPEFSKLVRQLCSSRSQTTSMTNLLEKMPRSAHKLDPETLNLVVQAYSKKGLLSKAKTILDEMLQNKFQVTNETYTAILMTFCKKGNMKDFNFYWDVACRNKWSPGLEDFKSLLVLMCHQKMLKEASRFLEIMLLSHPCLKSDICHVFVEVLSNKGLTDIALAALKQLQPCFILDDTGYNNLIRGLCNEGNFSLAFTVLDDMLDRCLTPCLDISVLLIPQLCKANRYDKATALKDIVLKEHHSFSHAIDCALMRGFCIMRSIGKAEAMFRDMLSKGFNPDEEPELCNMLIQGYCQANNLRKVGELLAVAIRKSWLLSLTSYRNMVRSVCRKNGVWFALSLKNLMLAQCALDGHIIYNILIFYLLSAGNSLAVNKILAEMEEKKVVLDEVGLNFLVYGFLQCKDLSSSLNYLAIMISKGFKPSNLNLRKVIRSLCDAGDLQKALELSQEMRLRGWIHDSAIQTSIVESLLLSGKIQKVETFLDRMGEESLTPDNINYDYLIKCFCQHGRLNNAVHLMNTMLRKHNIPISTSYDFLIVGYCAQNKLDIAMDFYSEMLNWNLKPRIETVEMLVHRSCQDGKTELAEQFLVDMSHGGETPTRKMYCTVIKSYHMEKNLRKASELMQAMQENGYQPDFETHWSLISNLNSAKAKDTDNGGKGFLSRLLSRTGFLQKK >Vigun06g052700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17835128:17839265:-1 gene:Vigun06g052700.v1.2 transcript:Vigun06g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALSCLSAMLSKSFVPHIFTYNALISGLFKVGMVDLARDIVDEMIERGTLPDVSTFRVLMAGYCKCRQFDKVKSLVHEMESRGLIKLSLMENPISKAFLILGFDPLSVKLKRDNDGRLSKSEFFDDVGNGLYLDADVDEFEKHLTLDLEESMVTNFNSFVGKECSNGNLKKALVLVEEMLCWGQELLLPEFSKLVRQLCSSRSQTTSMTNLLEKMPRSAHKLDPETLNLVVQAYSKKGLLSKAKTILDEMLQNKFQVTNETYTAILMTFCKKGNMKDFNFYWDVACRNKWSPGLEDFKSLLVLMCHQKMLKEASRFLEIMLLSHPCLKSDICHVFVEVLSNKGLTDIALAALKQLQPCFILDDTGYNNLIRGLCNEGNFSLAFTVLDDMLDRCLTPCLDISVLLIPQLCKANRYDKATALKDIVLKEHHSFSHAIDCALMRGFCIMRSIGKAEAMFRDMLSKGFNPDEEPELCNMLIQGYCQANNLRKVGELLAVAIRKSWLLSLTSYRNMVRSVCRKNGVWFALSLKNLMLAQCALDGHIIYNILIFYLLSAGNSLAVNKILAEMEEKKVVLDEVGLNFLVYGFLQCKDLSSSLNYLAIMISKGFKPSNLNLRKVIRSLCDAGDLQKALELSQEMRLRGWIHDSAIQTSIVESLLLSGKIQKVETFLDRMGEESLTPDNINYDYLIKCFCQHGRLNNAVHLMNTMLRKHNIPISTSYDFLIVGYCAQNKLDIAMDFYSEMLNWNLKPRIETVEMLVHRSCQDGKTELAEQFLVDMSHGGETPTRKMYCTVIKSYHMEKNLRKASELMQAMQENGYQPDFETHWSLISNLNSAKAKDTDNGGKGFLSRLLSRTGFLQKK >Vigun09g121800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27219581:27222372:-1 gene:Vigun09g121800.v1.2 transcript:Vigun09g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAALKQPSLVVALLVVALFVAPTSSTSRKNLQHHPCPTNEFRVMLRHVDSGKNLTKLERVQHGMKRGKSRLQRLNAMVLAASTTPDSDQLEAPVHAGNGEYLMELAIGTPPLSYPAVLDTGSDLIWTQCKPCTRCYKQPTPIFDPKKSSSFSKVSCDSSLCSALPSSTCSDGCEYVYSYGDYSVTQGVLGTETFSFGKSKKKVSVHNIGFGCGEDNEGDGFEQASGLVGLGRGPLSLVSQLKEPRFSYCLTSIDDRKKSVLLLGSSAKVKDAKGVTTPLIKNPLQPSFYYLSLEGISVGDTRLPIEKSTFEVGNDGSGGAIIDSGTTITYIEEKAFDELKKEFVSQTKLPVDKTTSTGLDLCFSLPSDSTQVEIPKLIFHFSGGDLELPAENYVIGDSSLGVACLAMGASSGMSIFGNVQQQNILVNHDLEKDTISFIPTSCDEL >Vigun03g148600.1.v1.2 pep primary_assembly:ASM411807v1:3:15255900:15258208:1 gene:Vigun03g148600.v1.2 transcript:Vigun03g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASTLTIVRNPIFLTHHYQLNKHFTTPSSLRLLSFAKMEGSEISEEVVEDSRAKRKIFVAGATGSTGKRIVEQLLAKGFSVKAGVRDLDKAKSTLSSANPSLQIVKADVTEGSDKLAEAIGDDSEAVVCATGFRPGWDLLAPWKVDNFGTVNLVEACRKRNVNRFILISSILVNGASMGQLLNPAYIFLNVFGLTLVAKLQAENYIRKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVEALAYPEASFKVVEIVSRPDAAKRSYHDLFGSIRQR >Vigun03g044000.7.v1.2 pep primary_assembly:ASM411807v1:3:3533482:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANAAENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLRSRHCLFHQMFHQANPVRGQIIL >Vigun03g044000.6.v1.2 pep primary_assembly:ASM411807v1:3:3535127:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun03g044000.3.v1.2 pep primary_assembly:ASM411807v1:3:3534051:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANAAENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun03g044000.2.v1.2 pep primary_assembly:ASM411807v1:3:3533385:3537363:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANAAENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun03g044000.1.v1.2 pep primary_assembly:ASM411807v1:3:3533385:3537422:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun03g044000.8.v1.2 pep primary_assembly:ASM411807v1:3:3533482:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLRSRHCLFHQMFHQANPVRGQIIL >Vigun03g044000.5.v1.2 pep primary_assembly:ASM411807v1:3:3534682:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun03g044000.4.v1.2 pep primary_assembly:ASM411807v1:3:3534051:3537362:1 gene:Vigun03g044000.v1.2 transcript:Vigun03g044000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPTRVNQTYETGCTWRMLRIFNFREGRSDRRLVSSRRHLNAHANENGKSRSSSGAACTVDEKCLQIGVSSRRRDYICRSINSMVNDEVADWENEVTKMIVDHRFVNTHYQGKDGAGFQPNQFLDALKILYSNKELFIKLLQDPNSLLVKQIQEFQSSQVKEPCQHARQKMMSRLNNPQNSNATQCVKPFNSSDRSDSRSNRIVVLKPGTVNVENSVETSFVSPPHSPSSFSSNAQNTRLSHFSFSCIKRKLKHVMKVRRQEQQLRTTDGIPSRLSSSSKGLRDGKKVKELEISEKNSPIRALSNTGKWLNSYHDLKKRENIIMFKDSELCMGQEAASFGEENILKMHIESRKSRSQMLNCGIEETFEEDISLKNSVLSRNLHAYYDIPRDGRCMTQNIDMNNIIGGCGSLLDLRPEIQTLSFSSDVSSSQSCQRTDNTVNMGSKVEHPNNEFFDEHFTKDDVTNLPTTIIQPDESPEKRMHEKIEENNFEDLLIRLSFDPMNDLASSKDIIREILHAFNLKLDELSGGTILHDCVIESFMQVYQNSGFPLHVGNVQAYIVKKLVVKEITELVNLHFHRHPSPLTLEQLVEKDLARRGSWLNIQVDAEDIAVELENDVLEKLVVEIASEMNYHKPICT >Vigun02g190800.1.v1.2 pep primary_assembly:ASM411807v1:2:32843830:32848230:-1 gene:Vigun02g190800.v1.2 transcript:Vigun02g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINLQQEAWGVVNVGGRPKKKKQRTMWLEIIFGLVIYKLFRRFFYDDDVLDMEGSDYSVLFSVADRLKKLYGANVYVGLRIPDADTASRQGIDIVLVTKQELVVVSVKNYSGILTIGGDGGWLCEKPDKRKAERHPDPVEETRKQASILESYLEQRGVALPEGYICCKVILPNPKLVALPADGFPSEVITHDQWIQLKSESKSKLSSWVKGALRSGKKDMLESINQNLDFVLSSAPIWDRLELKGNKYVLGDFLEFKGKREDVEALKHIRRSKVGRVVIQKTSMFGLAPSRLQVLCSLRDYRGKGASEPEWKEETVRSSTEIIFQPENGSKVRKFKLSSVTSMVLSA >Vigun09g162600.1.v1.2 pep primary_assembly:ASM411807v1:9:33026176:33032172:1 gene:Vigun09g162600.v1.2 transcript:Vigun09g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MQSSQQWVEKYRPRQVKDVAYQEEVIRVLTNTLETGSCPHMLFYGPPGTGKTTTALAIARQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTSKRKNGYLCPPFKIIVLDEADSMTEDAQNALRRTMETYSKVTRFFFICNYVSRIIEPLASRCAKFRFKPLSEVIMSSRILYICKEEGLYLDTAALSTLSSISHGDLRRAITYLQSAARLFGSSISSENLISVSGVVPEKVVEAVLQACRSGNFDFANKEVNNFIAEGYPASQMLTQLFEAIVEENDLSDEQKARISKKLGEADKCLVDGADEYLQLLAVVSNTMKAFSNIPEEFAYEC >VigunL003150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:10478:10879:-1 gene:VigunL003150.v1.2 transcript:VigunL003150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun06g127600.1.v1.2 pep primary_assembly:ASM411807v1:6:25435642:25437831:-1 gene:Vigun06g127600.v1.2 transcript:Vigun06g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYVLFFLIYFLRSHVCLNEGTQTILKEYLELERQIQPINKPPIKSIHTEFGDIIDCIDIYKQPSFDHPLLKDHKLQKKPNFHNLTGESSHTNLGTRFKFGLSKDECPKGTVPILRTRKDDHIREQSMLNNHILAEGLPGIHLAEIYLLRKYGPYYGVTGINSIYNPRVDSKLQISMSHVWVEKGVRTSGNKISVGWHVAPQLYGDYASHFYTSWTSDNYQKTGCYNIQCAGFIQTSKIHYLGARITNVSVYGGSVYDSLLSISMDPTTKNWWVIINNKFIGYFPAKLFSNLNKADHVGWGGRTYTEPAGSSSPQMGSGHFPDDSACYFRQMSFQDESRLAKEPNEHQMYPFVDKPTCYNIRNKGDKTRHFGYLFEFGGPGGSCGD >Vigun03g335700.1.v1.2 pep primary_assembly:ASM411807v1:3:53378196:53380162:1 gene:Vigun03g335700.v1.2 transcript:Vigun03g335700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGRPPCCEKIGVKKGPWTPEEDIMLVSYIQEHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEHEEKIIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKMKPSDQNEEGMEQEGHSSSSSHPKGQWERRLQTDIQTAKQALCDALSLHTTPPTHVVPETKPSTSHQPYSHASSSYASSYENISRLMENWMKTPNSHDTNSVGDYSFNNMVNINTPRSSSSEGAHSTTNTTCAQDHVFDLFTFNSSTNYGAPSQTQAPLTMIEDWLFEDGASQSHEDLMSMSLEESTSGLF >Vigun07g052400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5493294:5496939:-1 gene:Vigun07g052400.v1.2 transcript:Vigun07g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELVAGPLMGAVFNVLLERIASTEVVNFFKNKNCEKLLKRLKIILLSVNVVLNDAEEKQMKNGAVKEWLEELKDVAFAAEDLLDEIYTDAKMKAKQVNTLHTGPVSFYCKGVEEKIEDVHERLEFIMRQKEVLDLKVGKEVKMSQKTPTSSVMEACDVYGRDNDKESLVDLVLTHDEKIGVIPIVGMGGIGKTTLAQLIYNDQRVQKEFDLKAWIYVSEEFDICKITKTLLEAVTSCSCDTEDLNFLQRDLKMHVMKKKFLFVLDDVWNENYDNWDKFRSPFKHAGEHGSKIIVTTRSGCVASIMQTVSPYNLRELSNEDSWNLFSKHAFDYGDSSLQLHQSLDKVGREIVRKCKGLPLAVKTLAGLLRCKSDRQEWCKVLNSEIWDLHDSESNILPALRLSYHYLPSHLKRCFAYCAIFPKDYEFEKENLVLLWMAEGFLQQSKRHRRIEEVGNEYFCELVSRSFFQQSRRGKSCFLMHHLVNDLAQFVSGTFSIRMECSNTNEIKERTRHLSHIIADSSSYVNLKDASKANCLRTFLQIRPVGTSIDLFNNMPNDLLTKLRCLRVLSLVGTHIYSLPNSVGELKHLRYLEVADTEIVRLPKSICSLFNLQTLKLVGCHNLIELPASIHKLVNLRHLDIRGTSLRWMPLQINELNNLQNLSDFFVGKGCGSSIGELGELSCLHGELFIHCLEHIVSEKDCEKAKLKEKQGLEKLSLEWCGNGETDNSQKEKTILNSLQPHTNLKNLDIYDYPGTEFPEWLGDHSFYNLVSVLLNGSKYCYKLPPLGQLPMLKELQISKFEGLVSVGSEFLGNRTSYVTDCFPALEILRIEYMPSWEKWYPNAENAGTKAFFHLREFHIGNCPKLRGDLPDKLPSLTLLVIRDCKRLLCSLPNSPSLRVLNVQNCESLEFQVHSPCCHQSLTSLFLHGSCDSLVFLPLDLFPNIKSLDIWGCKNLEALTVSESDSTPPTFKSLHSLRIRHCPNFTSFPKGGFAASKLTLLTINYCQKLNSLPEQMHDLMPSLKEVQLRGCPKIESSRMRPLRIRICSKHMEGKQNLSDPLFARLKGLATDQSPSSS >Vigun01g196500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37340594:37341689:-1 gene:Vigun01g196500.v1.2 transcript:Vigun01g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATSSSCISNGVSIGKILKKRTKPTKTAILLESGGNTREIKLPVKSGELMIEEFGHVVTPLDELRRTGRVSALLPEEDLVPGKVYLLLPVSRVHSKASKFEMAIAEAQSKRTRGINMAKVSPSLVSSSSERDAENEVTVFPVCPRLGNQLRWNPVLDTIFE >Vigun07g293700.3.v1.2 pep primary_assembly:ASM411807v1:7:40498703:40505745:1 gene:Vigun07g293700.v1.2 transcript:Vigun07g293700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMIECSVCHSKLVSPSAKTISRAYDRHKNRITSKQRVLNVFLVVGDCVLVGFQPILVYMSKVDGKFNFSPISVNFLTEVTKVFFAIVMLILQARNQKVGEKPLLSISTFVQAARSNALLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIALLLKVIMKRQFSVIQWEALALLLIGISVNQLRSLPEGTTALGLPVTMGAYLYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVIFKGPSSFDILQGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFVIGISIVFISMHQFFSPLSKAKDEQNGVLELHDVHDRQRSKDSFLNMAAGANEEASHRVGHDERQPLLPS >Vigun07g293700.2.v1.2 pep primary_assembly:ASM411807v1:7:40498703:40505744:1 gene:Vigun07g293700.v1.2 transcript:Vigun07g293700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMIECSVCHSKLVSPSAKTISRAYDRHKNRITSKQRVLNVFLVVGDCVLVGFQPILVYMSKVDGKFNFSPISVNFLTEVTKVFFAIVMLILQARNQKVGEKPLLSISTFVQAARSNALLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIALLLKVIMKRQFSVIQWEALALLLIGISVNQLRSLPEGTTALGLPVTMGAYLYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVIFKGPSSFDILQGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFVIGISIVFISMHQFFSPLSKAKDEQNGVLELHDVHDRQRSKDSFLNMAAGANEEASHRVGHDERQPLLPS >Vigun07g293700.1.v1.2 pep primary_assembly:ASM411807v1:7:40498691:40505744:1 gene:Vigun07g293700.v1.2 transcript:Vigun07g293700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMIECSVCHSKLVSPSAKTISRAYDRHKNRITSKQRVLNVFLVVGDCVLVGFQPILVYMSKVDGKFNFSPISVNFLTEVTKVFFAIVMLILQARNQKVGEKPLLSISTFVQAARSNALLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIALLLKVIMKRQFSVIQWEALALLLIGISVNQLRSLPEGTTALGLPVTMGAYLYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTVIFKGPSSFDILQGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFVIGISIVFISMHQFFSPLSKAKDEQNGVLELHDVHDRQRSKDSFLNMAAGANEEASHRVGHDERQPLLPS >Vigun03g064300.1.v1.2 pep primary_assembly:ASM411807v1:3:5275314:5279516:1 gene:Vigun03g064300.v1.2 transcript:Vigun03g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLHSKPKPSNRKKMKGGTVQIVWHERKPVLTLDFHPLSATLATAGADFDIKFWSIKPSGTEKLPLVSYLSNLTYHSSAVNVIRFSSSGELLASGADGGDLIIWKLNSSDTGQTWKVMKMLRSHLKDILDLQWSSDAKYIISGSVDNCCIIWDVNKGTNLQTLDAHAHYVQGVAWDPLGKYASSLSSDRTCRIYMNKPHKSKGTEKVNYVCQQVISKADQPLFKNSQDTKIHLFHDETLPSFFRRLAWSPDGSFLVVPAGSYKTNTASEAVNAAYIISRKDLSRPARLLPSTNKAVVAVRFCPIIFKLRGTNSLDSVGVFNLPYRIIFALATLNSLYIYDTESISPLAVLAGLHYAAITDITWSSDARYLALSSQDGFCSLVEFENDELGSPYSLSEGKVEEDSKSTVQTGNNTATVPTGNFGAVLSESKDMELEARAGASGNIGAAISENQSTVTQEKPDNMVIEATGNVEGVIANSRKNEAEEKTDDMVIETTGRIGAAELDRKRKAEPEDNAAKQPWNLGTVSFGAQDKAGQQLSSSKSTPLSNKPARKRITPIALDP >Vigun09g116100.1.v1.2 pep primary_assembly:ASM411807v1:9:25408382:25410233:1 gene:Vigun09g116100.v1.2 transcript:Vigun09g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENEHIGPFTFTPIQDGNDLFITNSIEKKSKKKTTSFPNTYIRYHVLLCPFTPPSNMNTTHQTHSLHESGGDREFSFWGSPNTTPYSDWDQPQGNEARFYKEADFGETHQRKNLKFEYSPFCSTASNPSRSKAIAQGQMELMEMVQDMPESGYELSFQDMVVHEKHVLEPEQPHQNETSLNNTHMQSSGNKDQLKKLKKKKKIKGNSRPGQILRVESMDSETFLLKLFFPISLDWMKKDKMKNESKVSSRPSFQESIKQVGKDWRIKGFSLSGNNTEDGMSDTNRYVDHSSSFSNGCWSFLPCAKGKTKNMRG >Vigun10g190900.1.v1.2 pep primary_assembly:ASM411807v1:10:40535262:40537338:-1 gene:Vigun10g190900.v1.2 transcript:Vigun10g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGADLLWADMNRLLAFLFHQGVLDEQFLQLQQLQDETTPNFVSEVVNIYFHESEKLLTNLRALLMEREFWDYKKMGNHLNQFMGSSSSIGAKRVRNVCVAFRAATEQNNRAGCLRALEMLEHEYCYLKNKLHELFQIEQQRALAAGVRYPVQD >Vigun11g122100.1.v1.2 pep primary_assembly:ASM411807v1:11:32951566:32953980:-1 gene:Vigun11g122100.v1.2 transcript:Vigun11g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVVIVGGGVAGAILAKNIQNQANVTLIDPKEYFEIPWASLRGLVEPSFAERIVINHREYFKKGDLVVSSAVNITETEVFTADGQQIAYDYLVIATGHTEPIPKTRTERLDQYKAENAKIKSASSILIVGGGPTGVELAAEIAVDFPDKKVTIVHKGVRLLEYIGQKASSKTLKWLKSKKVDVKLEQSVEVRSSSEENKTYETSNGETIEADAHFLCTGKPLGSAWLRETLVKDDLDADGRIKVDENLRVKGRSNIFAIGDITDVQEIKQGVYATGHAQLVAKNLKLLIEGGGKENKLGTYKAQPPISIVSLGRKTAVAQFPFITVLGRLPGMIKSGDLFVGKTRKDLGLEPNVKKS >Vigun08g004800.1.v1.2 pep primary_assembly:ASM411807v1:8:414611:416997:-1 gene:Vigun08g004800.v1.2 transcript:Vigun08g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVICDEHGIDPTGKFTGDVASDIQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSSPYGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENGDECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYASLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKNLKMSSTFIGNSTSIQEMFRRVSEQFTAMYRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVDEEDYEEGEGEEDHNFE >Vigun01g106600.1.v1.2 pep primary_assembly:ASM411807v1:1:27770063:27784164:-1 gene:Vigun01g106600.v1.2 transcript:Vigun01g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLLYRPPLLRFMASRFFIHSSFHTRFSSLPPSTLTKTRTSFHLRKFLVPCSVSIAATPRAFMSSVPTTEAFQKSESSTAYGSDQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQGGFASRIDVVLLADGSVSITDNGRGIPTDLHPVTNKSALETVLTVLHAGGKFGGANSGYSVSGGLHGVGLSVVNALSESLEITVWRDGMEYTQKYSRGKPVTILKCLVLPDERKDHRGTRVRFWPDKEVFTTAIEFDYNTIAGRIRELAFLNPKLTITLQKEDNDPDKAQYNKYFYAGGLVEYVQWLNADKKALHDVLSFRKETDGIAVDIAFQWCADAYSDTILGYANSIRTIDGGTHIEAMKASITRTLNGLGKKSKVIKDKDITLSGEHVREGLTCVVSVKVPNPEFEGQTKTRLGNPEVRKVVEQSIHDYLTEYLELHPDVLDLILSKALNAFKAALAAKRARELVRQKSVLRSSSLPGKLADCSSSNPEECEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVVRGKQVHYCYDEADLKKLQRSFPPNASYNMQRFKGLGEMMPLQLWETTMDPERRLLKQLKVDDAAEASVVFSSLMGTQVDIRKELIRNSASMIDLHKLDI >Vigun11g018000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2254344:2257641:-1 gene:Vigun11g018000.v1.2 transcript:Vigun11g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEMFTGALVSIFVERTIDTLASRFVHIFRARKHNKKRLNDLRTKLLAIDVVAYDAEQKQFRDSRVRDWLLRAKDVVFDAENLLGEIDYQLRKSQVEEESQSATNKVWNSLKSSFVNFSEIEIESRMEQLIEDLERLVTQGDLLGLKKGSGVGVGSGSGSKLAYTYLPNESVIYGRDDDREFIFNWFTSDTNKSLSILSIVGRGGVGKTSLAQHVFNDPRIQCKFDIKAWVRVPCEFDVFNVSRAILEGVTTLTDDSRDLEMIHRRLKEKLMGKKFLLILDDVWLERQSNWEDVKKPLVFGGQGSRIFVTTRSEKVAVTMRSERHHLKVLKKMIVGNCSQNMHSKVFNQIQILWRLVRR >Vigun05g106000.1.v1.2 pep primary_assembly:ASM411807v1:5:10725641:10730479:-1 gene:Vigun05g106000.v1.2 transcript:Vigun05g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSAPSCSYTYPNLFQSFCPKLSSSQHRCRLRLKKLTGKNRISASIGSYEVGGGYPELEKDEKRKNRKSLSEPTHTESFLRGGEQVISVLEEMITLLDDMDMDEDSERVAVELAAQGVIGKRVDEMESDFMTALDYMIQLSEKDQDDKRKELLEVIKETVLSHLTKKCPPHVQVVGLLCRTPKKDSRHELMRRVAAGGGAFKGENDLKIHIPGANLNDIANQADDLLEAMENRRVIPDRKLLARLVLIREEARNMMGGGIMDERNHRGFYTLPQPEVEFLSKLVALKPGKKVLDMIRNVMQGKDEGADKYDEEDTMDPEPIEFLGKPILTGEKTLPVRPGMFLETVSKVLSGLYAGTDSGITAQHLEWVHMKTLEVLQEIAFG >Vigun05g137000.1.v1.2 pep primary_assembly:ASM411807v1:5:16523145:16523944:1 gene:Vigun05g137000.v1.2 transcript:Vigun05g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGEVRGVGACDNTCGCTVPCPGGSSCRCRSAGTTAGGGDHVTCTCGEHCGCNPCSCPKTAAAGSGCRCGSECACVSCRS >Vigun11g121900.1.v1.2 pep primary_assembly:ASM411807v1:11:32906715:32912496:1 gene:Vigun11g121900.v1.2 transcript:Vigun11g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLKEVLKTLCSRNRWSYAVFWKIGCNNSKLLIWEDHYYEPLPSPFPPRHVGMSNFPYRDGEGCWFSSESQLGIQEEDRVGGLINKMMLNNSVSIAGEGMVGRATFTGNYQWILMNNFSRDAYPPQVYTELHYQFSAGMQTVAVIPVLPHGVVQFGSLLPIMEDIGFVNDVKNLILQLGCVPGALLSEDYSAKVSSERLGVPVTIDPPVVTSKCTPSAANGSNQLTDSTIASRSIAPSPHPPRQEIDNYQASVLTPQTYDQNQIFDSICQPKSHSMIKTIAYGQQKKTVVHAEAKVIPTNFDSCMQQPSAYNSRSAFNELAGFNQSNLSCNLNYMEQQTSGVGRQSHVNPNMNPSSASNMPQLKTGAGKILEQNQSSGGSSLFGGIPMCGGSNLLRTNMINCSVSNSPKVPPTSEFSGSHKLEFGLQNNNSTTDAGLCSVPTFCNQSVTSHVNLENSAQKNLPIDLKHAFVSTEQRIPDDLLHTALNIPSLHLEENEPMRDHIPGFVQDCLNKDVTGMVKMNVKHEDTYTQLPSGDDLFDVLGVDLKRQLLNGNWDNLLATDSEANRENLDKKATCMNLQGVRPDNSYSVKEAISDSGIFSGTGTDHLLDAVVSKAQSAPKQSSDEISCRTTLTRVSTASVSSPACNQVLSDHVLKGLFDFPKNGAKRAAGETSSLRSGCSKDEAGNCSQMTSIYGSKLSSWVENGSSVKRESSVSTGYSKRPEEVCKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKIVSKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNPPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEARNDKIWARFAVEANRDVTRMEIFMSLVRLLDQTVKGGAASSNATDNNMMMYHSFPQATQIAATGRPSSLQ >Vigun10g093400.1.v1.2 pep primary_assembly:ASM411807v1:10:26940623:26948647:-1 gene:Vigun10g093400.v1.2 transcript:Vigun10g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKYRVRNEYSLADPELYRAADKDDPEALLEAVAMAGLVGLLRQLGDLAEFAAEIFHDLHEEVMVTAARGHGLMARVKQLEAEVPSLEKAFFSQTHHSSFYTNGGIDWHPNLQSEQNLLTRGDLPRFIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKIESTSPVNATIEVQREKRIRKVKLKKGARPRDGEAPNVVPSHSKLHQLLLEERIENGYSNPARLVKLKKRHMNGPAVEAGAGKSYMEKFLETPSPDQKMVCETSIFPLPVKLTSDDTSETGIKILEISSISPVKRSKGNKNTYSSLDEQDLELKPSLEMDGGTDGDPVKVKEQISSGVTDDMSSNDRKLLDVAQLAVDERNIIEGNLDGYHSDDVTSEVDNYMDALTTMESELDTDNEYKPKNSFLNVEKATNTKDKEEQLQAHFSDSQSFGDSSMSDDSNSFKQDTNEEIIKVQAKSSDSQSTGTSSSLDNNSSLRRDINGHRIEPRAHLSDYRYVGNSSTSDENDSFMKNGSYSSQSESLSTVVENTPGPLLFTNTKYYGSVVEDATSNQPPQNVEFQHTDCGVSVMHDDAPVHEEISDFGQACSDTTTSGQGLCSDIVSTSQVVLPAATESGEISSDPVELNLRLDGDDADRTQDGDAGRTDDNDDAGSTDDNDNDADRTGLVESITSKPVSLSLVKDNACPVDSSDKTSFDNLDDDYDPCIHSDDLLQVSNDLEFIAHGDESNSHSEIKMFQAGSTHENISEILANRDIDSSGEDPAYPSAEELKVNSGAVLAPDCHDLKDQGCTTATQLNSETPVVKIPPMSCLTRVLSSDSIQNKTQEEPDSAEIEVSNPDLSLEVDEVPKMVHDDEINGSTCNVDPVEGDSRFKHPSPVDQVMTNDLVIENVQSEDQAVYSVPCVYSAEDGVGIVTCPVSFQTSPSRGFSDSEEPLLNTHSYKMDMKSSEVELMQNAMDTNAETSETRLAPLPDVTSPDVINSPTNNIVELDESLTIFADSEESKVDEPVVRESTELEDHQKIVDQPEIASVEAQLNLNKTVPCDLQDSESCTIQKFQHSAFVDNAETLLEFSGLDSQLSQSIFNGQHDPLQNDRDSFSSPLGKQLGRETDLDLFSKSQIGEQDAEYPLGDERNFASEKSQYQKMQIYQLEPEGDPATSECVSEIHTDEPSPIYSSPQSSSQNAGKLVMDPLMPLLPSHFPKSTENNPDEMPPMPPLPPMQWRMGKVQHSSLPSQREELEVSQTSVQPIRPDKNSLFGLPTSERETSFYQRPFLPVMAMENDQLEHSSGFPVGVSGHSVAIPFQFPIMVNESKGQYNYLLLDRNQIQNPFLTLPVASTGMSPHGLIVAPEGRKMMQNSNSCVPVPAAAYTVSGHDSIPTQESSTQPPHQLMLETRSDDKSLQQSMDRPPNVLAIASEGEMGLNSNPCPTIPPAECTASGHESVSDEEKLPQLPSQLMMEPSSDDKTLHQSVTNGVSMDSPDNHIVSSGGEMERNSSPDPQILPVECAVPGPGHDSISSQEKPTLPSSQLMSGTSSKVQTLQQSIHNLEGEQERLPISFMSPPNMESMEPNQSFVPYEGGMASSLDTSDHTLDVESERTNGKPKSKLLRPRNPLIDAVAAHDKSKLRRVTERVMPQTAPKVDERDSLLEQIRTKSFNLKPAVTTRPSIQGPKTNLKLAAILEKANAIRQALAGSDEDDDADWSDS >Vigun04g114500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28692009:28693322:-1 gene:Vigun04g114500.v1.2 transcript:Vigun04g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINITSRETIKPSQPTSAECKTLKLSLIDGFQLNTYFPLILYYSKTTSLQGFSDVSTQLKKSLSEALTIFYPLAGRRRDYVSIDCNDEGAIFMEASVNTTMELFLKPPNLELLNQLLPCEPDKCHPHEEVLPQLLVQVNKFQCGGIAIGLCNLHILLDAYSYSAFLKTWFAICRGSKGEISWPDFSSAASAFPQRDITGIRGCTFNLIEENCSTRRFLFDHKAINELKSMSTSDETKPTRYQAVSSFVCKHMIVAIKEQCCDKTRPNVACHTVDMRRRMGQPFSKGVVGNLLWPALVVLEGVNKNTEIIDLVEILKEGFGKLTKDLFLKLQNDPGFLWSDEYAELMLEGIATKRPISLVFTSWANMGFNEVDFGCGKPCWLSYRGGTKETTSNTAILLETREGFEAWIRMSEKNMNILEDDVDFLRFALLNPSVLI >Vigun06g223200.1.v1.2 pep primary_assembly:ASM411807v1:6:33184743:33191090:-1 gene:Vigun06g223200.v1.2 transcript:Vigun06g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLKLNASNPKVWILIGIGLAGAVVLAETRRRRRRKLPKEDFGAFVERFELLPIPQPDQTQTLSALTFGIKDIFDVKGYVTGFGNPHWKRTHSEAGKTAIVITALLSNGATCVGKTVMDEFSFGISGENKFYGTPINPYLPSSIPGGSSSGSAVAVAARLVDFAIGTDTTGCVRIPAAFCGILGFRPSHGVVSTIGVLPNAQSLDTVGWFARDASVLRHVGLVLLPTNLVELKRTRRIIFADDIFQLSKVPSQKTVYIIDKTIENLSGYQSPQRMNICQYIASNVPSLKGFHDITHQQNGVSILKDLALVMFSLQGYEFKTNHEEWFKSVKPRLGRAVSERVIAAMNSTHDHVKTLYKVRTEMRVAFQRLLKDDGILVIPTVPDDPLKLNTEKGFSSEFHDRAFALSSIASISGCCQVAIPLGCHNDCCASVSFISAHGADKFLLNTVLDMYRAIQEQATVAYALPLPDTNGGIETSELLKEKGNAAFKGRLWNKAVDYYSEAINLDGTNATYFSNRAAAYLELGCFQEAEEDCNKAILYDKKNVKAYLRRGTAREQLLRYKEALKDFQHALVLEPQNKTASLAEKRLRKNRS >Vigun05g283100.1.v1.2 pep primary_assembly:ASM411807v1:5:47159154:47161445:1 gene:Vigun05g283100.v1.2 transcript:Vigun05g283100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLTKYGNVPMFLSYLMRKLHYISFSTIAINEVIANIYHLPKLHTTPTSFTTHNHIATIDLSFGGEEEKINDHLPHRSMDDPNSPHLNASFAFRSTTPHQNNLSRSHSSKETPFSNFLNSPPRLSCPTLSRSLQNSPISSPLHPHHHFSPPSPTKLSDSSDPQTTYHCASSVLRNDGQILSIAFSSNGLVYTGSDSNFVRVWKLPEFTECGQLRTKACRVVALEVSNDTVYAAYGDGKIRVWRRTWDKVLKHVRLATIPKALGYVRSYIAGKDKTMHKGIITSMAINTAEDILYTASLDKTVKVWRISDLKCIETIKAHPEPINAMIVADDGVLYTASDDATVRVWRRNFCSHDQPHSLTVTLHAKYSPVKALTLTPDAGILYGGCTDGYIHFWLKGWFAGQLQYGGSIQGHTHAVMCLASVGKYVVSGSADSTSRVWGREGDGQHTCLAVLVGHRGPIRCVTAFMGGRLVEDNEDSCTICTGSLDGVLKVWRVTHKTLNNHSSSPPGAPTKYFELYKHL >Vigun08g191100.1.v1.2 pep primary_assembly:ASM411807v1:8:35873288:35885199:1 gene:Vigun08g191100.v1.2 transcript:Vigun08g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLKQPGAFAITPHKVSLCILLKIYAPPAQISIPFPFASVAQHNRLGMFLLALTKSCDDILEPKLDELVHQLRMMSQNWEASWVIDQLMSRLSSLSSPDDLFNFFSDIRGILGGSDSGAVEDDQVILDMNSNLGIFLRRCVLAFNLLSFEGLSHLLTNLGIYCKEELSNCPSYEEHSVDDCSSNLETYSEYENMDLENFVYEKVSEEIEARKEASGVVPFHLHAPKTLLSLVDDIDVPADSVSKETEKVRVVSSFGDSSSNILRDVDQSGAVFLRTNWQVQGYLQEQADTIEKNGNAVSYNGLETILQQLQKLAPELHRVHFLSYLNGLSHDDYLSALENLHCYFDYSAGTEGFDFVPSVAGNGFGRYEIGLLCLGMMQFHFGHPKMALEVLTEAVRVSQQQSNDTCLAYTLAAISNLLFENGISSTAGTLGSSYSPFTSIGVSLSVQQQLFVLLRGSLKRAESLKLKRLVASNHLAMAKFDLTHVQRPLLSFGPKTNMKLSTCPVNVCKEIRLSSQLISDFSYESSAMTIDGAFSTAWLRNLQKPTGSLVLCQEIGSGSSSNVSQFIAQPTSIPGSVLQILGSSYILRATAWELYGSSPLSRINVLVHATCFADASSSSDAALAYVKLIQHLAVFKGYKEAFSALKIAEEKFLSVSKSQILLLKLQLLHEHALHRGKLKLAQKLCDELGVLASRVTGVDMELKTEASLRHARTLLAANQFREAAVVAHSLFCMCYKYNLQVENASVLLLLAEIHKKSGNAVLGLPYALASLSFCLSFNLDLLKASATLTLAELWLSLGSSHATRALNLIHGAFPMILGHGGLELRSRAYIVEAKCYLCDSNFNVFEDYEVVIDSLRQASEELQLLEFHELAAEAFYLKAMVYDKLGKLEEREEAAASFRKHILAIGNPQDEDDPLVRFDSS >Vigun01g076750.2.v1.2 pep primary_assembly:ASM411807v1:1:21500660:21501609:1 gene:Vigun01g076750.v1.2 transcript:Vigun01g076750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVSRLFLFFFSFPFTPHGSHRFRPVFAVAEVCSRLPFGFAVRHSHLPFIICIHRLPFAFADHVLSSSSPFSFPSSFVFALPLELISSVHAKLEEVPE >Vigun01g076750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21500660:21501082:1 gene:Vigun01g076750.v1.2 transcript:Vigun01g076750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVSRLFLFFFSFPFTPHGSHRFRPVFAVAEVCSRLPFGFAVRHSHLPFIICIHRLPFAFADHVLSSSSPFSFPSSFVFALPLELISSVHVRVSHLHCCHLRVLHLPCCHVQTILLFSYYLLGLLLLLLIFPFFLFLLG >Vigun11g073500.1.v1.2 pep primary_assembly:ASM411807v1:11:20935239:20939305:-1 gene:Vigun11g073500.v1.2 transcript:Vigun11g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAERLAIGLEKVIRTVSSETVKHFYKKCYHLCNMAIIAVGDLSDTQLVYQLFTTNLTPGEEDIKIVMQMAEEAVSAQDRDPYTAFTNRVKELHYGNSYFFRPIRKSDLQKVDPRKACEFFGTCFKDPSTFTIVIVGNIDPRTQNL >Vigun07g258200.1.v1.2 pep primary_assembly:ASM411807v1:7:37544612:37550606:-1 gene:Vigun07g258200.v1.2 transcript:Vigun07g258200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRFFPTLAITLSILFGNGNHVLSFDGGGSHQKQQPTLCQELIIPSGYPCSEYTTQTKDGFLLGLQRVSSSSSLRLGNRGERGPPVLLLHGLFMAGDAWFLNTPDQSLGFILADQGFDVWVGNVRGTRWSHGHISLSEKNKKFWDWSWQELALYDVAEMINYINSVTNSKIFVVGHSQGTIISFAAFTQPEIVEKVEAAALLSPISYLDHISAPLVLRMVKMHLDKMILSMGIHQLNFKSEWGANLLVSLCDTRLSCNNMLSSITGKNCCFNESRVEFYLEQEPHPSSTKNLNHLFQMIRKGTFSKYDYGQLKNMIEYGKFKPPKFDLSRIPESLPLWMAYGGNDALADIADFQHTLKELRSTPEVIYLENYGHVDFILSLQAKQDLYDPMISFFKSTGKFTSM >Vigun07g258200.2.v1.2 pep primary_assembly:ASM411807v1:7:37544612:37550606:-1 gene:Vigun07g258200.v1.2 transcript:Vigun07g258200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRFFPTLAITLSILFGNGNHVLSFDGGGSHQKQQPTLCQELIIPSGYPCSEYTTQTKDGFLLGLQRVSSSSSLRLGNRGERGPPVLLLHGLFMAGDAWFLNTPDQSLGFILADQGFDVWVGNVRGTRWSHGHISLSEKNKKFWDWSWQELALYDVAEMINYINSVTNSKIFVVGHSQGTIISFAAFTQPEIVEKVEAAALLSPISYLDHISAPLVLRMVKMHLDKMILSMGIHQLNFKSEWGANLLVSLCDTRLSCNNMLSSITVIRKGTFSKYDYGQLKNMIEYGKFKPPKFDLSRIPESLPLWMAYGGNDALADIADFQHTLKELRSTPEVIYLENYGHVDFILSLQAKQDLYDPMISFFKSTGKFTSM >Vigun09g042100.1.v1.2 pep primary_assembly:ASM411807v1:9:3905607:3907697:-1 gene:Vigun09g042100.v1.2 transcript:Vigun09g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNFPMGFSVVCITLIQFIASLNFSTSQNEISTSTTTTTTKAFFIFGDSTVDSGNNNFIDTIPENKADYKPYGLNAFSHQPTGRFSDGRVIVDFIAEYAELPLIPPFLQPNADFSNGVNFASGGAGVLSETNHGLVIDFQTQLRHFEEVRNLLSEKLGEKKAKELISEAVYFISIGSNDYMGGYLGDPKMQESYNPEQYVGMVIGNLTQAIQILYEKGARKFGFLSLSPLGCLPALRSLNPESDKGGCFEAASALGLAHNNALSNVLTRLKQVLEGFMYSNSNFYDWLLDRIDNPTNYGFKDGVNACCGSGPYGGMFSCGGSTKDTEFSLCDNAGDHVWWDSFHPTQNIHQQFAKALWDGPSSSVGPYNLKQLFSNNEITLTIADVVDAPQNQQHSFTV >VigunL068900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:77506:77646:1 gene:VigunL068900.v1.2 transcript:VigunL068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun09g180700.5.v1.2 pep primary_assembly:ASM411807v1:9:35341692:35361090:1 gene:Vigun09g180700.v1.2 transcript:Vigun09g180700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLQSLDSVTVYGLLDPRIWLLGKMWFLHFFNLPKLDVSFVHREHNLFLENNIMGIQLKSTKLRSTEDLGESTRLDFQLEFSEIHLLREAGSSILEILKVDLASFVYIPVQPISPVRAETDIKLGGTQCNIIMSRLKPWLFLHSSKKKRMVLREEASVDAKPQSTDGKTIMWTCNVSAPEMTIVLFNMAGSPVYHGCSQSSHLFANNISNMGTTVHTELGELNLHLADEYQECLKESVFGVESNCGSVMHIAKVNLDWGKKDVESSEGDGPKCRLGLLVDVTGMTLCLTFKRIESLVSTAVSFQALLKSLSASKKKLTHSQGRLTKSSGKGTQFLKFNLERCSVHVWGETGLETTFVPDPKRVNYGSQGGRVLINVSADGTPRNANIFSTISNENQKLKYSVSLEIFRFSLCVNKEKQSTQMELERAKSAYQEYREENREVTNVALFDMQNAKFVQRSGGLKDIAVCSLFSATDITVRWEPDVHLSLIELVFQLKLLVHNSKLQEHGNEHMEDLSHVQDANWKKESAIGSGYLEKQKKKESIFAVDVETLSISAGLGDGVEAMVQVQSIFSENARIGVLLEGLMLSLNGARVFKSSRMQISRIPSVSANASDVKGHVTTWDFVVQGLDFHIIMPYRLQLRAIDDVIEDMLRGLKLIIAAKKKMIFPVKKEISKVKKPSSVQFGCIKFCIRKLTADIEEEPIQGWFDEHYQLLKKEAAELAIRLNFLDEFISKAKQGSKSTDTVSSSQERKVSFNNVEANVKDSSTIESMREEIYKRSFRSYYQACQNLVLSEGSGACVDDFQSGFRPSTSRTSLLSISASDLDVSLKKIDGGEVGIIEVLKKLDPVCLEKDIPFSRLYGTNILLNTGSLVVKLRNYTFPLFSGSSGKCEGHLVLAQQATSFQPQIYQDVYVGRWRKVRMLRSASGTTPPLKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSLMNPGPLILPPKKERSLPWWDDMRNYIHGRISLMFSESKWNVLASTDPYEKVDKLQIVTNSMEMHQSDGRVFVSAKDFKILLSSLESLANKRGIKIPAGVSGAFLEAPVFTLEVTMDWDCESGDPMNHYLFALPAEGKPRDKVFDPFRSTSLSLRWNFSLRPFPPPSQKESSSSITRDIEGDATAFDNFQTSQNVSPLSPTFNFGAHDLAWILKFWSLNYIPPHKLRSFSRWPRFGIPRIARSGNLSLDKVMTEFMLRLDATPACIKNMPLDDDDPARGLTFAMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMLKAFINKEECASVAKVVNMILKSSQSASIDKVPSEKGYMTEKNRDDGFLLSSDYFTIRRQSPKADPARLLAWQEAGRRSVEMTHIRSGYENGSETDDHMRSDLSDDDGNNVVVADDCQSVFVYGLKLLWTIGNRDAVWAWVGGLSKAFEPAKPSPSQQYAQRKLLEENKQRCGSDFHQDDVSKGLPTGKISKSFLQNVSTPGSLTSSPNSVKVDNLPSVKKENSDDLDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVRGQVLARSFHSVFHVGYEIIEQALVSKDVPINEYQPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVMRTGALLERVFMPCSMYFRYTRHKGGTPELKVKPLKELTFNSHDIEATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDYEDVEEEADEVVPDGVEEVELAKINLEKTEREQRLLLDDIRKLSLWGDPYGEPHQEKESELWMISGGRSLLVQGLKRELVIAQKSRKAASASLRMAFQKAAQLRLTEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGIARFTTKYFVVRNCLPNVKSDMLLSAWNPPSEWGKKVMLRVDARQGAPKDGNSPLELFEVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSLLEASASSSHSIKEYEAPSKSGISAMLFPTSQPSVHVDSAQASKTQNAKANSGTVTGSNPELRRTSSFDRTWEETVAESVANELVLQSFSSSKNGQYSSAKQQDESAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRFVVNDLKLLMDQFHRTEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQHTGAGVPEIDLNFSDNEGQTGKSDQYPPSWPKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDMDFSPFARQLTITRAKELIRRHTKKFRSRGQKASSSQQRESLPSSPRETTPFDSDSSSGSSPYEDFHE >Vigun09g180700.4.v1.2 pep primary_assembly:ASM411807v1:9:35334283:35361698:1 gene:Vigun09g180700.v1.2 transcript:Vigun09g180700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLKSTKLRSTEDLGESTRLDFQLEFSEIHLLREAGSSILEILKVDLASFVYIPVQPISPVRAETDIKLGGTQCNIIMSRLKPWLFLHSSKKKRMVLREEASVDAKPQSTDGKTIMWTCNVSAPEMTIVLFNMAGSPVYHGCSQSSHLFANNISNMGTTVHTELGELNLHLADEYQECLKESVFGVESNCGSVMHIAKVNLDWGKKDVESSEGDGPKCRLGLLVDVTGMTLCLTFKRIESLVSTAVSFQALLKSLSASKKKLTHSQGRLTKSSGKGTQFLKFNLERCSVHVWGETGLETTFVPDPKRVNYGSQGGRVLINVSADGTPRNANIFSTISNENQKLKYSVSLEIFRFSLCVNKEKQSTQMELERAKSAYQEYREENREVTNVALFDMQNAKFVQRSGGLKDIAVCSLFSATDITVRWEPDVHLSLIELVFQLKLLVHNSKLQEHGNEHMEDLSHVQDANWKKESAIGSGYLEKQKKKESIFAVDVETLSISAGLGDGVEAMVQVQSIFSENARIGVLLEGLMLSLNGARVFKSSRMQISRIPSVSANASDVKGHVTTWDFVVQGLDFHIIMPYRLQLRAIDDVIEDMLRGLKLIIAAKKKMIFPVKKEISKVKKPSSVQFGCIKFCIRKLTADIEEEPIQGWFDEHYQLLKKEAAELAIRLNFLDEFISKAKQGSKSTDTVSSSQERKVSFNNVEANVKDSSTIESMREEIYKRSFRSYYQACQNLVLSEGSGACVDDFQSGFRPSTSRTSLLSISASDLDVSLKKIDGGEVGIIEVLKKLDPVCLEKDIPFSRLYGTNILLNTGSLVVKLRNYTFPLFSGSSGKCEGHLVLAQQATSFQPQIYQDVYVGRWRKVRMLRSASGTTPPLKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSLMNPGPLILPPKKERSLPWWDDMRNYIHGRISLMFSESKWNVLASTDPYEKVDKLQIVTNSMEMHQSDGRVFVSAKDFKILLSSLESLANKRGIKIPAGVSGAFLEAPVFTLEVTMDWDCESGDPMNHYLFALPAEGKPRDKVFDPFRSTSLSLRWNFSLRPFPPPSQKESSSSITRDIEGDATAFDNFQTSQNVSPLSPTFNFGAHDLAWILKFWSLNYIPPHKLRSFSRWPRFGIPRIARSGNLSLDKVMTEFMLRLDATPACIKNMPLDDDDPARGLTFAMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMLKAFINKEECASVAKVVNMILKSSQSASIDKVPSEKGYMTEKNRDDGFLLSSDYFTIRRQSPKADPARLLAWQEAGRRSVEMTHIRSGYENGSETDDHMRSDLSDDDGNNVVVADDCQSVFVYGLKLLWTIGNRDAVWAWVGGLSKAFEPAKPSPSQQYAQRKLLEENKQRCGSDFHQDDVSKGLPTGKISKSFLQNVSTPGSLTSSPNSVKVDNLPSVKKENSDDLDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVRGQVLARSFHSVFHVGYEIIEQALVSKDVPINEYQPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVMRTGALLERVFMPCSMYFRYTRHKGGTPELKVKPLKELTFNSHDIEATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDYEDVEEEADEVVPDGVEEVELAKINLEKTEREQRLLLDDIRKLSLWGDPYGEPHQEKESELWMISGGRSLLVQGLKRELVIAQKSRKAASASLRMAFQKAAQLRLTEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGIARFTTKYFVVRNCLPNVKSDMLLSAWNPPSEWGKKVMLRVDARQGAPKDGNSPLELFEVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSLLEASASSSHSIKEYEAPSKSGISAMLFPTSQPSVHVDSAQASKTQNAKANSGTVTGSNPELRRTSSFDRTWEETVAESVANELVLQSFSSSKNGQYSSAKQQDESAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRFVVNDLKLLMDQFHRTEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQHTGAGVPEIDLNFSDNEGQTGKSDQYPPSWPKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDMDFSPFARQLTITRAKELIRRHTKKFRSRGQKASSSQQRESLPSSPRETTPFDSDSSSGSSPYEDFHE >Vigun09g180700.6.v1.2 pep primary_assembly:ASM411807v1:9:35334283:35361698:1 gene:Vigun09g180700.v1.2 transcript:Vigun09g180700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSGEVNVNLNEELLLKRKSSSEFSLGPGSKVGHHADSVGAKGPSKKMQTLVAFSKYSSMFPEKVSFNLPKLDVSFVHREHNLFLENNIMGIQLKSTKLRSTEDLGESTRLDFQLEFSEIHLLREAGSSILEILKVDLASFVYIPVQPISPVRAETDIKLGGTQCNIIMSRLKPWLFLHSSKKKRMVLREEASVDAKPQSTDGKTIMWTCNVSAPEMTIVLFNMAGSPVYHGCSQSSHLFANNISNMGTTVHTELGELNLHLADEYQECLKESVFGVESNCGSVMHIAKVNLDWGKKDVESSEGDGPKCRLGLLVDVTGMTLCLTFKRIESLVSTAVSFQALLKSLSASKKKLTHSQGRLTKSSGKGTQFLKFNLERCSVHVWGETGLETTFVPDPKRVNYGSQGGRVLINVSADGTPRNANIFSTISNENQKLKYSVSLEIFRFSLCVNKEKQSTQMELERAKSAYQEYREENREVTNVALFDMQNAKFVQRSGGLKDIAVCSLFSATDITVRWEPDVHLSLIELVFQLKLLVHNSKLQEHGNEHMEDLSHVQDANWKKESAIGSGYLEKQKKKESIFAVDVETLSISAGLGDGVEAMVQVQSIFSENARIGVLLEGLMLSLNGARVFKSSRMQISRIPSVSANASDVKGHVTTWDFVVQGLDFHIIMPYRLQLRAIDDVIEDMLRGLKLIIAAKKKMIFPVKKEISKVKKPSSVQFGCIKFCIRKLTADIEEEPIQGWFDEHYQLLKKEAAELAIRLNFLDEFISKAKQGSKSTDTVSSSQERKVSFNNVEANVKDSSTIESMREEIYKRSFRSYYQACQNLVLSEGSGACVDDFQSGFRPSTSRTSLLSISASDLDVSLKKIDGGEVGIIEVLKKLDPVCLEKDIPFSRLYGTNILLNTGSLVVKLRNYTFPLFSGSSGKCEGHLVLAQQATSFQPQIYQDVYVGRWRKVRMLRSASGTTPPLKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSLMNPGPLILPPKKERSLPWWDDMRNYIHGRISLMFSESKWNVLASTDPYEKVDKLQIVTNSMEMHQSDGRVFVSAKDFKILLSSLESLANKRGIKIPAGVSGAFLEAPVFTLEVTMDWDCESGDPMNHYLFALPAEGKPRDKVFDPFRSTSLSLRWNFSLRPFPPPSQKESSSSITRDIEGDATAFDNFQTSQNVSPLSPTFNFGAHDLAWILKFWSLNYIPPHKLRSFSRWPRFGIPRIARSGNLSLDKVMTEFMLRLDATPACIKNMPLDDDDPARGLTFAMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMLKAFINKEECASVAKVVNMILKSSQSASIDKVPSEKGYMTEKNRDDGFLLSSDYFTIRRQSPKADPARLLAWQEAGRRSVEMTHIRSGYENGSETDDHMRSDLSDDDGNNVVVADDCQSVFVYGLKLLWTIGNRDAVWAWVGGLSKAFEPAKPSPSQQYAQRKLLEENKQRCGSDFHQDDVSKGLPTGKISKSFLQNVSTPGSLTSSPNSVKVDNLPSVKKENSDDLDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVRGQVLARSFHSVFHVGYEIIEQALVSKDVPINEYQPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVMRTGALLERVFMPCSMYFRYTRHKGGTPELKVKPLKELTFNSHDIEATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDYEDVEEEADEVVPDGVEEVELAKINLEKTEREQRLLLDDIRKLSLWGDPYGEPHQEKESELWMISGGRSLLVQGLKRELVIAQKSRKAASASLRMAFQKAAQLRLTEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGIARFTTKYFVVRNCLPNVKSDMLLSAWNPPSEWGKKVMLRVDARQGAPKDGNSPLELFEVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSLLEASASSSHSIKEYEAPSKSGISAMLFPTSQPSVHVDSAQASKTQNAKANSGTVTGSNPELRRTSSFDRTWEETVAESVANELVLQSFSSSKNGQYSSAKQQDESAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRFVVNDLKLLMDQFHRTEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQHTGAGVPEIDLNFSDNEGQTGKSDQYPPSWPKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDMDFSPFARQLTITRAKELIRRHTKKFRSRGQKASSSQQRESLPSSPRETTPFDSDSSSGSSPYEDFHE >Vigun09g180700.1.v1.2 pep primary_assembly:ASM411807v1:9:35334283:35361698:1 gene:Vigun09g180700.v1.2 transcript:Vigun09g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVNFLFGFLLLSITLWLVFIFASGLVAWILSRILGASVGFRVGGWKCLRDVVVKFKKGAVESVSVGEIKLSLRQSLVKLGVGFMSRDPKLQVLICDLEVVLRPPDKTPGKKKTRKSRASGRGKWMIVGNIARYLSVCVTDLVLKTPKSTVEIKELNLDISKDGGSKSNLLVRLHIFPIFVHIGEPRVSCDLISNLSGEGCSSSGQASITAIERSSAPFFCEMFSVSCEFDHDREVGIVIKSMDISSGEVNVNLNEELLLKRKSSSEFSLGPGSKVGHHADSVGAKGPSKKMQTLVAFSKYSSMFPEKVSFNLPKLDVSFVHREHNLFLENNIMGIQLKSTKLRSTEDLGESTRLDFQLEFSEIHLLREAGSSILEILKVDLASFVYIPVQPISPVRAETDIKLGGTQCNIIMSRLKPWLFLHSSKKKRMVLREEASVDAKPQSTDGKTIMWTCNVSAPEMTIVLFNMAGSPVYHGCSQSSHLFANNISNMGTTVHTELGELNLHLADEYQECLKESVFGVESNCGSVMHIAKVNLDWGKKDVESSEGDGPKCRLGLLVDVTGMTLCLTFKRIESLVSTAVSFQALLKSLSASKKKLTHSQGRLTKSSGKGTQFLKFNLERCSVHVWGETGLETTFVPDPKRVNYGSQGGRVLINVSADGTPRNANIFSTISNENQKLKYSVSLEIFRFSLCVNKEKQSTQMELERAKSAYQEYREENREVTNVALFDMQNAKFVQRSGGLKDIAVCSLFSATDITVRWEPDVHLSLIELVFQLKLLVHNSKLQEHGNEHMEDLSHVQDANWKKESAIGSGYLEKQKKKESIFAVDVETLSISAGLGDGVEAMVQVQSIFSENARIGVLLEGLMLSLNGARVFKSSRMQISRIPSVSANASDVKGHVTTWDFVVQGLDFHIIMPYRLQLRAIDDVIEDMLRGLKLIIAAKKKMIFPVKKEISKVKKPSSVQFGCIKFCIRKLTADIEEEPIQGWFDEHYQLLKKEAAELAIRLNFLDEFISKAKQGSKSTDTVSSSQERKVSFNNVEANVKDSSTIESMREEIYKRSFRSYYQACQNLVLSEGSGACVDDFQSGFRPSTSRTSLLSISASDLDVSLKKIDGGEVGIIEVLKKLDPVCLEKDIPFSRLYGTNILLNTGSLVVKLRNYTFPLFSGSSGKCEGHLVLAQQATSFQPQIYQDVYVGRWRKVRMLRSASGTTPPLKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSLMNPGPLILPPKKERSLPWWDDMRNYIHGRISLMFSESKWNVLASTDPYEKVDKLQIVTNSMEMHQSDGRVFVSAKDFKILLSSLESLANKRGIKIPAGVSGAFLEAPVFTLEVTMDWDCESGDPMNHYLFALPAEGKPRDKVFDPFRSTSLSLRWNFSLRPFPPPSQKESSSSITRDIEGDATAFDNFQTSQNVSPLSPTFNFGAHDLAWILKFWSLNYIPPHKLRSFSRWPRFGIPRIARSGNLSLDKVMTEFMLRLDATPACIKNMPLDDDDPARGLTFAMTKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMLKAFINKEECASVAKVVNMILKSSQSASIDKVPSEKGYMTEKNRDDGFLLSSDYFTIRRQSPKADPARLLAWQEAGRRSVEMTHIRSGYENGSETDDHMRSDLSDDDGNNVVVADDCQSVFVYGLKLLWTIGNRDAVWAWVGGLSKAFEPAKPSPSQQYAQRKLLEENKQRCGSDFHQDDVSKGLPTGKISKSFLQNVSTPGSLTSSPNSVKVDNLPSVKKENSDDLDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVRGQVLARSFHSVFHVGYEIIEQALVSKDVPINEYQPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVMRTGALLERVFMPCSMYFRYTRHKGGTPELKVKPLKELTFNSHDIEATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDYEDVEEEADEVVPDGVEEVELAKINLEKTEREQRLLLDDIRKLSLWGDPYGEPHQEKESELWMISGGRSLLVQGLKRELVIAQKSRKAASASLRMAFQKAAQLRLTEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGIARFTTKYFVVRNCLPNVKSDMLLSAWNPPSEWGKKVMLRVDARQGAPKDGNSPLELFEVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSLLEASASSSHSIKEYEAPSKSGISAMLFPTSQPSVHVDSAQASKTQNAKANSGTVTGSNPELRRTSSFDRTWEETVAESVANELVLQSFSSSKNGQYSSAKQQDESAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRFVVNDLKLLMDQFHRTEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQHTGAGVPEIDLNFSDNEGQTGKSDQYPPSWPKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDMDFSPFARQLTITRAKELIRRHTKKFRSRGQKASSSQQRESLPSSPRETTPFDSDSSSGSSPYEDFHE >Vigun01g082000.1.v1.2 pep primary_assembly:ASM411807v1:1:23253862:23258106:-1 gene:Vigun01g082000.v1.2 transcript:Vigun01g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTDASPPPSLGDEPLPQDGYGYDVNDDDGASFGVVSLDDEGFPSQLPGSETPSEKSSFTADFYRCGTDWSSLMLLDDKNDRHIGFERDSGKKMKQANLFQIWGFKRNDAVGSVESGPSMSVYSDKGGSSSERKIVKPGNWGSILRDGGKDSENTKSLRKRKGFSGENRVTRSCPFYKKIPGRPFTVDAFRYGYVEGCSAYFLSHFHCDHYGGLSKKWSHGPIYCSPLTGRLVQMCLSVNPLYIHPLEFNTEHVIDGVKVTLLEANHCPGAALIHFSLPNDQRYLHTGDFRACKPMQAYRLLVNQRVNVLYLDTTYCNPKYRFPSKEDVLNYVVKITKNHLKIHHRTLVVVGAYSIGKECVYLAISKALGVKIYANASRRRILQAFGWSDLSDRLCTIGNDTLLHVLPMSSLRPETLKDYLKTYKDQFTAVLAFRPTGWTFNDKISNDLELIKPVTKGNITIYGVPYSEHSSFTELRDFVQFLRPEKIIPTVNVGNAANREKMQSYFRDWLRC >Vigun08g210000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37220471:37222036:1 gene:Vigun08g210000.v1.2 transcript:Vigun08g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGAQNAGNSGFSELSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKIYLQRFREIEGEKTVAARDKDAAAASSVSGYDYSPSSAAAMMHHPGHVYGSPAFHQVSAAPVMGKPGTGPGPGPSYPAPGRPR >VigunL074833.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:210059:211579:1 gene:VigunL074833.v1.2 transcript:VigunL074833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDTFIRRGYYGGHTDTYTPYGQNLYYYDVNSLYPFVMKEFPMPGGIPVWHSDLESIPLDSMFGFIEAYVECPQSIKKPFLPYRNKDGTLLFPTGTFVGVYYSEELKYAKEIGYTIIPICGYLFEEMESPFKGYVNSLFESRSNAKKMGNNALSFVYKLLMNSFYGRFGINPQSTKTEICKKSRRDLLFRREEFIDEVFLREDLYMVSYLTNSGKGPSYWDPQKNSAVQLSAAITASARIYMYKYISREDCFYTDTDSIVLGKPLPEEDISSSVLGQFKLEDRILEGYFLAPQCYCFTTEESEGIKKVFKFKGAGRSVITPEWFEEQYANPSKTISEKVTFHFRPDWKELEVQMKDSTTTLRTIGNIKRKSLLDESGKCVGTDPLDINDLSCLNNISTKERGRIAQQGVESRKKTLTLEDQNTLEQKNAKRGEEERNEENVNEERGEENINTVKDRRRTISKKDGDPETKPPDNGCG >Vigun01g217200.1.v1.2 pep primary_assembly:ASM411807v1:1:39089120:39092846:-1 gene:Vigun01g217200.v1.2 transcript:Vigun01g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMGSKRAKVMKIDGETFKLKTPARANDVVKDYPGHVLLDSQAVKNFGPRAKPLEPDHELKPKKLYFLVELPKVRPEPLTRRVRSSGIRGMNAKDRLDFLMLSKRSVSDLAVVKHGPGPDTSSGPTRVRMRVPKAQLERLMEESHDGGEVAEKILSLYMGNNAAAVDGGASVVEAQKELRNRKAQRKKVSFSPVEHEEIHEESAAPQKSLVYTSPSR >Vigun03g293400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47872655:47875343:1 gene:Vigun03g293400.v1.2 transcript:Vigun03g293400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSTVVDVYFTDTKRTRLSVTNPCRQKGIRKCFIHCSTSNSTPEAPPTPTSDSKKKSTSLSDQLAPLANKTLSTVAGDQSYAFSKGKSTWVNPTKAKRTVLSSERQKRATYSYSPQLKDLKRFVHKLNQCGSSEDEFLPSLEEIPRPLTRENVLLILNTLKPWQKTHLFLDWIKTQNLLPMETIFYNVTMKSLRFGKQFALIEELAHQMIDTGVPLDNITYSTIISCAKKCNLYDKAVQWFERMYKTGLMPDEVTYSAILDVYARLGKVEEVISLYERGRASGWKPDPITFSVLGKMFGEAGDYDGIRYVFQEMESVGVQPNLVVYNTLLEAMGKAGKPGFARGLFEEMIESGIVPNEKTLTAVIKIYGKARWSRDALELWQRMKENDWPMDFILYNTLLNMCADVGLVEEAETLFRDMKQSAHCKPDSWSYTAMLNIYGSQGDVDKAMKLFEEMCKSGVELNVMGFTCLIQCLGRAMEFDGLVRVFYSSVERGIKPDDRLCGCLLSVVSLSQGSKDEEKVLACLQEANPKLVAFIQLIEDEKTSFETVKEEFKGIMNSAVVEVRRPFCNCLIDICRNKDLLERAHELLYLGTLYGLYPSLHNKTDEEWCLDVRSLSVGAALTALEEWMWTLTKIVKREETLPELFLAQTGTGAHKFAQGLHVSFSSHLRKLAVPFRQSEEKVGCFSATREDLISWVQSNSTAAATAT >Vigun10g054800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9238374:9238718:1 gene:Vigun10g054800.v1.2 transcript:Vigun10g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLALTGDAWSRRCYSFSFSREPPLLGLPLSATTLLCSNSDDATSVAATVNAAAAATRRATGDAKLPDVLLSPSATITRPHSSIHATTSDHTPVQSFKSPAARTSLLLVFCF >Vigun10g079850.1.v1.2 pep primary_assembly:ASM411807v1:10:21822844:21823162:1 gene:Vigun10g079850.v1.2 transcript:Vigun10g079850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSPNTEDDNQMRRSKSGFFIRSAWTFVGFCKRTWYKIRMHVYFEKPKGHTDDTMHDDKRIRPCMCI >Vigun03g439100.2.v1.2 pep primary_assembly:ASM411807v1:3:64263886:64267451:-1 gene:Vigun03g439100.v1.2 transcript:Vigun03g439100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCEEQRGEKPPLLRSDPVVLEINSLQNQLKEKDKEVATCQGEIKALRSTEALKDKAIEELRNRVGKLEERLGVTEDRLKQKNLEIKKLTDEKKDALASQYAAEATLRRVHAIQKDEDFIPIETAIAPLEAEIKMYRNEITSLQEDKKALERLTKSKEAALLEAERILQSALEKALVVDEVRNQNFDLKRQIEISQEENKILEKKHRQKILEVEKLSQTIQELEEVILASGATANAVRDYQRQTSELQEEKRKLERELARVKVSANRIANVVANEWKDENDKVMPVRQWLEDRRIMQAEIQRLKDKLATSERTAKAESQLKDKLKLRLKTLEEGLKHLSSYPDILNVPCASPKAEKSNILGFLTTSSGLRKRSTSQPRASTVGSSLFQQPHAKNSTDILVGNLKLGSPKKRYSSAENVLKKGIWASRSKVDNAEKENEMLVNTDMTLNRCNEEREAAEINVDEDPESKKPNGSGRDDVVSGFLYDKLQKEVINLRKSCETKDSSLQCKDEEIKMLTKKVDALTKAMQVEWKKMKREAASREKEASSTKSDDNRKNRSTSSSKRVMTER >Vigun03g439100.1.v1.2 pep primary_assembly:ASM411807v1:3:64263826:64267500:-1 gene:Vigun03g439100.v1.2 transcript:Vigun03g439100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCEEQRGEKPPLLRSDPVVLEINSLQNQLKEKDKEVATCQGEIKALRSTEALKDKAIEELRNRVGKLEERLGVTEDRLKQKNLEIKKLTDEKKDALASQYAAEATLRRVHAIQKDEDFIPIETAIAPLEAEIKMYRNEITSLQEDKKALERLTKSKEAALLEAERILQSALEKALVVDEVRNQNFDLKRQIEISQEENKILEKKHRQKILEVEKLSQTIQELEEVILASGATANAVRDYQRQTSELQEEKRKLERELARVKVSANRIANVVANEWKDENDKVMPVRQWLEDRRIMQAEIQRLKDKLATSERTAKAESQLKDKLKLRLKTLEEGLKHLSSYPDILNVPCASPKAEKSNILGFLTTSSGLRKRSTSQPRASTVGSSLFQQPHAKNSTDILVGNLKLGSPKKRYSSAENVLKKGIWASRSKVDNAEKENEMLVNTDMTLNRCNEEREAAEINVDEDPESKKPNGSGRDDVVSGFLYDKLQKEVINLRKSCETKDSSLQCKDEEIKMLTKKVDALTKAMQVEWKKMKREAASREKEASSTKSDDNRKNRSTSSSKRVMTER >Vigun03g068500.1.v1.2 pep primary_assembly:ASM411807v1:3:5645859:5647908:1 gene:Vigun03g068500.v1.2 transcript:Vigun03g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMIECEDNWNCQLESITYTAKDGKTSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKGASLGVGRGRAVAMRAKAQAAGRGAAPGRGVPPVRR >Vigun01g139000.2.v1.2 pep primary_assembly:ASM411807v1:1:31923704:31928634:-1 gene:Vigun01g139000.v1.2 transcript:Vigun01g139000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFHPKPSVSSQAKFGKRRGNYNCGRCGLPKKGHICTVSTPVTSSSATPCNSSLSVAASAPSSVSAARCPPLRPRRALSFDDEEPDGLDPSESTAVWPQGDDLDSSGLPGNVLWDVLKRLPPSGLLTAAKVSRGWRDMTKRLWKAAEELRLRVRASVQVGFVASMLQKCPGIVNLSLVMESDLDSTMLACIAFSCPNLTFLEISVSDSAVNRITGSELGRFVADKKSLKSLKMEGCSSLGGFVLCSSSLSTIWLSDLYSHSKMVFNCPQLREISLKFSHREKGSTDLATMVEGWGRSCPRLQNIRIYSVQLSHTAVLALTAAQLRGLRMLSLVSGSDVTDASVAAIASSYPNLELLDLSGVSDSGISMICNVFSETLTRLLLALCPNVTSSGIQFATAQLPHLEIMDCGMTICEPDSDNSIADENNHHKLQKTSITNVHLTNQKLIIKHSCLKKLSLWGCSGLDALYLNCTQLKDLNLNSCTNLHPERLLLQCPSLENVHASGCQDMLVGAIQSQVCNAFTDLENPSPCKRLPDGSKRVRVPHLVNTELADEETKRSRKKRRLCNVLLD >Vigun01g139000.1.v1.2 pep primary_assembly:ASM411807v1:1:31923407:31928751:-1 gene:Vigun01g139000.v1.2 transcript:Vigun01g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFHPKPSVSSQAKFGKRRGNYNCGRCGLPKKGHICTVSTPVTSSSATPCNSSLSVAASAPSSVSAARCPPLRPRRALSFDDEEPDGLDPSESTAVWPQGDDLDSSGLPGNVLWDVLKRLPPSGLLTAAKVSRGWRDMTKRLWKAAEELRLRVRASVQVGFVASMLQKCPGIVNLSLVMESDLDSTMLACIAFSCPNLTFLEISVSDSAVNRITGSELGRFVADKKSLKSLKMEGCSSLGGFVLCSSSLSTIWLSDLYSHSKMVFNCPQLREISLKFSHREKGSTDLATMVEGWGRSCPRLQNIRIYSVQLSHTAVLALTAAQLRGLRMLSLVSGSDVTDASVAAIASSYPNLELLDLSGSSVSDSGISMICNVFSETLTRLLLALCPNVTSSGIQFATAQLPHLEIMDCGMTICEPDSDNSIADENNHHKLQKTSITNVHLTNQKLIIKHSCLKKLSLWGCSGLDALYLNCTQLKDLNLNSCTNLHPERLLLQCPSLENVHASGCQDMLVGAIQSQVCNAFTDLENPSPCKRLPDGSKRVRVPHLVNTELADEETKRSRKKRRLCNVLLD >Vigun07g267640.1.v1.2 pep primary_assembly:ASM411807v1:7:38311265:38314793:1 gene:Vigun07g267640.v1.2 transcript:Vigun07g267640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCPHSFTCGLFGSFHYPFTKAEQPDCGLIPIHDCDHSQSIQKKIQLEENGKYFVLTGITQQDGISISDEDLHKRLQNNICDTLNNSYSLPSHSPLYSCYIKYNVTLFRCKHGLNMKPPPHYFNHSCPEYDYDIYYDSLSFPNNDEAHKLFSSCSVLTLSKKDKPDTRDILSFVSAQMVVQVLLSKDCDDCYNHRGGQCSLDRDQQFYCHEEKSGKKMLVVVTVAGAVVLVMVLACCFRTNIISANTLLFPRDPTHQIIEGFLKEHGPLPTARYSYSEVKKMTNFFRNKLGQGGFGSVYKGKLHDRHVAVKILNRSEDNGEEEFINEVASISRTSHVNVVRLLGFCLDKSKRALIYEFMPNGSLDKFIYHDKNSLRVSCQLDYEILYDIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDDEFCPKISDFGLAKLCPRKESAVSIFGARGTAGYIAPEIFSRNFGPVSHKSDVYSYGMMVIEMVGMKHNFKAKVDCSSEIYFPQWIYNFLESEQELSLENMIDESDDKIVRKMSIVGLWCIQTYPSTRPAISRVVEMLESKVELLQIPPKPFLSDPSTSSVNLSGQTL >Vigun07g144800.1.v1.2 pep primary_assembly:ASM411807v1:7:25552260:25554712:-1 gene:Vigun07g144800.v1.2 transcript:Vigun07g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESKAEPREAEERVEGEPIACKTVTLRVSIHCQGCKKKVKKILQAIYGVYDIDIDQKQHKVVVTGNVDGELLVWKLTKAGKHAELWPEKEDSGKKKQVNSESKEKRQSDAESSEGIKENDKEDVKVVAQDPSKNAEGTNNNNTKSKAVKKNSDGCAAGKAAVQLQEPKPEVRQTVVLQPAGPVTDKKVSIAVQVPNDNEATGNENITGGAGGGGAGGAKKKKKKVTATGKGSNSNNGNEVGSDAKANEGSSNQSNGQGHVHEPAVPVSSSVPFVIPTNESSTRHHHFYREYPPHYYAPPAAPAQVVHNVSYHTAQPSSSYGAAYYAPPQPYSYAHVVRPGYEVEPPPYTYEAPSYAPSYNSSYASSQPSDSFELFSDENPNACSLM >Vigun11g007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:779957:781495:1 gene:Vigun11g007100.v1.2 transcript:Vigun11g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMQSYSSLVLVLLFLCNTSLSNALKGFSVEMMHRDSEKSPFYLPSETHSQRVAKAIQRSIARVNHLTKPSASLDTVESTVIPNSGEYLMTYSVGTPPFKILGIVDTGSNIVWLQCKPCTDCYEQTSPIFDPRKSRTYKNLPCTSSACRAAGASSSCSEKCEYTINYGDGSHSTGDLSVDTITLSSTEGSPVKFRNIAIGCGHDNTGTFQANGSGIVGLSGGATSLISQLGSTIEGKFSYCLAPFFSGSKSTSKLSFGDAAVLSGKGVVSTPLIKQEGQIFFFLTLESFTVGRKKVAVRGSSSGPASEGNIIIDSGTTLTLLPSDVYEELESAVADAVNLERASDPSGFLSLCYESDSNSEQGIPVITAHFRGADVDLKAVNTFIEVGDGVICLAFRASEDLSIFGNVAQQNFVVGYDLQKQTVSFKPKDCSKG >Vigun07g194500.1.v1.2 pep primary_assembly:ASM411807v1:7:31373678:31379427:-1 gene:Vigun07g194500.v1.2 transcript:Vigun07g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSTDMPWLRGLREMRPLLHLLLPLTIHWIAEEMTVSVLVDVTTSALCPGDSTCSKAIYINGLQQTIVGVFKMVVIPLLGQLSDEHGRKPLLLFTISTSIFPFALLVWRQSAEFVYAYYVIRTISNIISQGSIFCIAVAYAADVVNESKRAAVFSWISGLLSASHVLGDLLARFLPEKYIFAVSIVLLIICPVYMKFFLVETMTLAPKNDQVSGCWAKIVNVPQQRFKSMRTAAEIVVFSPTLRGMALVSFFYELGMSGITSVLLYYLKAVFGFNKNQFSELLMMVGIGSIFSQMLLLPILNPLVGEKVILCSGLLASIAYAWLYGLAWAPWVSYLSASFGIIYVLVKPATYAIISNATSSTNQGKAQTFIAGAQSLSDLLSPIAMSPLTSWFLSSNAPFECKGFSILCASICMIISLCFVCMLKPDSNSSNDIEGSTETPLLNHTLPQ >Vigun02g157400.1.v1.2 pep primary_assembly:ASM411807v1:2:30350834:30355882:-1 gene:Vigun02g157400.v1.2 transcript:Vigun02g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCWECLLKLLNFILSLTGLAIVGYGIYLFVEFSKASNDDTPEISPVSDDSALLQLGRPIIMAVSLSNSFFDNLPRAWFIYLFIGTGVVLFLISCFGCIGAATRNGCCLSCYSILVVLLILIELGCAGFMFFDKNWKEEIPTDKTGDFDAIYEFLSENWHIVKWVALGIVIFEAFLFLLALIVRAANRPADYDSDEEFINPRQQVRQPLLNRQAPSPAIGVPASGTIDQRSSRNDAWSARMREKYGLDTSEFTYNPSESSRFQQVNPQTTEEKSRCTIM >Vigun11g052851.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9209874:9210038:1 gene:Vigun11g052851.v1.2 transcript:Vigun11g052851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGWQLVFSFLCGYFLSNFFLLQQICDQDLKLKNTLLDGSSVHNSKFVIFVK >VigunL059549.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:44740:45099:1 gene:VigunL059549.v1.2 transcript:VigunL059549.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g348800.2.v1.2 pep primary_assembly:ASM411807v1:3:54918854:54927455:1 gene:Vigun03g348800.v1.2 transcript:Vigun03g348800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVDLTNKLPELKLDSKQAQGFLSFFKTLPDDPRAIRLFDRRDYYTAHGDNATFIAKTYYHTTTAMRQLGNGSNALSSVTVSRNMFETIARDLLLDRTDHSLELYEGSGSNWRLVKTGTPGNIGSFEDVLFANSEMQDSPVIVALSLNFRENGCTIGLGFVDLTKRVLGMAEFLDNHFTNVESALVALGCKECLLPIESVKSTEYRMLCDVLTKCGVMLTEKKKYEFKARDLVQDLGRLVKGPIEPVRDFVSGFEYAPGALGALLSYAELLADESNYENYTLRRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHIWLKQPLVDVAEINSRLDIVQAFVEDTVLRQDLRQHLKRISDIERLVHNLQKRRAGLQHIVKLYQSSIRLPFIKSALEIYDGQFSSVMRSRYLEPLHLWTDDEHLNKFIGLVEASVDLDQLENREYMISPSYDSTLANLKEQRELLESQIHNLHRQTADDLDLPIDKALKLDKGTQFGHVFRITKKEEPKIRKKLNTQFIVLETRKDGVKFTNTKLKKLGDQYQQILEEYKNCQKKLVDKVVQTATTFSEVFESLAEIISELDVLLSFADLASSCPTPYTRPDITPSDEGDIILEGCRHPCVEAQDWVNFIPNDCKLVREKTWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATEKSLIIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALALENVSNDPQKQIVGVANYHVSAHIDSSTRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVTLAREKAAELEDFSPPAASLTDTTQEVGSKRKRVFESDDVSQGTARARQFLETFVDLPLVTMDKMQALQEVRKLTENLEKDAENCNWLKQFL >Vigun03g348800.1.v1.2 pep primary_assembly:ASM411807v1:3:54918858:54928270:1 gene:Vigun03g348800.v1.2 transcript:Vigun03g348800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVDLTNKLPELKLDSKQAQGFLSFFKTLPDDPRAIRLFDRRDYYTAHGDNATFIAKTYYHTTTAMRQLGNGSNALSSVTVSRNMFETIARDLLLDRTDHSLELYEGSGSNWRLVKTGTPGNIGSFEDVLFANSEMQDSPVIVALSLNFRENGCTIGLGFVDLTKRVLGMAEFLDNHFTNVESALVALGCKECLLPIESVKSTEYRMLCDVLTKCGVMLTEKKKYEFKARDLVQDLGRLVKGPIEPVRDFVSGFEYAPGALGALLSYAELLADESNYENYTLRRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHIWLKQPLVDVAEINSRLDIVQAFVEDTVLRQDLRQHLKRISDIERLVHNLQKRRAGLQHIVKLYQSSIRLPFIKSALEIYDGQFSSVMRSRYLEPLHLWTDDEHLNKFIGLVEASVDLDQLENREYMISPSYDSTLANLKEQRELLESQIHNLHRQTADDLDLPIDKALKLDKGTQFGHVFRITKKEEPKIRKKLNTQFIVLETRKDGVKFTNTKLKKLGDQYQQILEEYKNCQKKLVDKVVQTATTFSEVFESLAEIISELDVLLSFADLASSCPTPYTRPDITPSDEGDIILEGCRHPCVEAQDWVNFIPNDCKLVREKTWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATEKSLIIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALALENVSNDPQKQIVGVANYHVSAHIDSSTRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVTLAREKAAELEDFSPPAASLTDTTQEVGSKRKRVFESDDVSQGTARARQFLETFVDLPLVTMDKMQALQEVRKLTENLEKDAENCNWLKQFL >Vigun02g028295.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10375544:10376362:1 gene:Vigun02g028295.v1.2 transcript:Vigun02g028295.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTTTTTTTMTTTITTITTMITNATTTTTTTTTTTTTTTKTTTTTTTTTTTTTTTATTTTTTTTITTISTKTTTTIKTSTTTTAITTTTTNSTKATATTTTMDTTTMTTISTITTTTTTTTRINHPYPYHTTTTTTTTTPTIPTTTTTTTATTKATKAITTTTTTITTSTTTITTTTTIMTYTTTITPITTNTISATTTNIATTTTTIAMGTTTMTTTITAKTTTTITTISRTTTTTATTTITPTTTTTTTRPQPPLLPPPRPPPPQPPAP >Vigun09g021400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1664869:1669755:1 gene:Vigun09g021400.v1.2 transcript:Vigun09g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEKTGFVESSQVCTDLSLSSPSLQSKSNEKKRGKKRKMKMENEWKCSTSLELYENPWKIRKVLTKSDTGRLSRLLLGADVGENFMLPVLDSHAQTEVINGTGSTVSVWDVDTMSMHNLILKRWPSFNNFVLMGRWSSDFVQRRQLIKGDEIGLLWDSFKHCFHFSVLKRNH >Vigun09g021400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1664869:1669755:1 gene:Vigun09g021400.v1.2 transcript:Vigun09g021400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEKTGFVESSQVCTDLSLSSPSLQSKSNEKKRGKKRKMKMENEWKCSTSLELYENPWKIRKVLTKSDTGRLSRLLLGADVGENFMLPVLDSHAQTEVINGTGSTVSVWDVDTMSMHNLILKRWPSFNNFVLMGRWSSDFVQRRQLIKGDEIGLLWDSFKHCFHFSVLKRNH >Vigun01g061766.1.v1.2 pep primary_assembly:ASM411807v1:1:13258653:13260961:1 gene:Vigun01g061766.v1.2 transcript:Vigun01g061766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSLDDVKQFYKNYSIRSGFGIRTSTSARGEDNEINYIKLVCSREGNYMSAIPPELKTVPTKAKQCKASITAAKKDGQWFIRSIVTDHSHDISPKKSRLIRGNRKVDMHSRQTVETNDDAGVRINKSFRSLVSEAGGYEHVNFIERDVRNYIGQQRRSLCKDVDGQALLRHFSKMRELNNDFFFEIDMDEDNRICNVFWADARSRAASEDFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGHSILLGCGLVSAEDTRSFVWIFELWLRCMYNKPPEGIVTDQCKAMLNAIREVFPNTKHRWCLWHIMKKVPEKLQGYTNYKSIKTELKRLVYDSITMGEFELGWHAFIGQYDLTTNDWLTTLFDERHHWVPCYLKSQFWAGMSTTQRTEKEFEADFASSNTTVACGSQSLIERQFQLEYTHAKFAEVQNEFRGKINCFVKRVFEDGCLLKYTVKEEWLWEGKKYHRMHDVVLDTLTTNIQCSCMLFEFRGIMCRHSLLTLGQEDMECVPKKYVLHRWSKNVRRRHSVIIAGYNRSNDDPRMQRYKILCKRFYDLAEVACDSDASSTMLWNELNSIAEGLGVPTKSNPFLLTQQGHIEDNSGQHAGVTNCSNVRSPVHVKRKGRLRSNRLQSTVEKLSKKRKTSAAKNKSQRTSQEPIDVDVPLDSQCTQMTDEARIGPYIPNSGFMSLLTCMEAEIVNSQVSTTRLI >Vigun08g050200.1.v1.2 pep primary_assembly:ASM411807v1:8:5721267:5723931:1 gene:Vigun08g050200.v1.2 transcript:Vigun08g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKLVPVPRKKKPGSNPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYGFGAHERDTTGIFEVEPRRCPGFTFRKSIFIGSTDMGARDVRELMERMAEDYSGNTYHLIQKNCNHFCDDVCVKLTGKSTPRWVNRLARLGFLCNCVLPPSLNETKVRQVTLDRVQDGEKKKVRTHSSRYEASPKPPLSSSRRHCLPPSSVINASPSSTITVK >Vigun05g119400.1.v1.2 pep primary_assembly:ASM411807v1:5:12999056:13000448:-1 gene:Vigun05g119400.v1.2 transcript:Vigun05g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCGGNCGCGSSCKCGNGCGGCKMYPDLSYAEQTSTESLVMGVAPVRVQFEGAEMGVAGETDGCKCGANCTCNPCTCK >Vigun03g448900.3.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991448:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.6.v1.2 pep primary_assembly:ASM411807v1:3:64986661:64991448:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQVC >Vigun03g448900.11.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLVNSRTISKTSCSVKE >Vigun03g448900.2.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991448:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.8.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.5.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLVNSRTISKTSCSVKE >Vigun03g448900.7.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.10.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFISEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.1.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991473:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.9.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991474:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFIRCETKRKLQTLLSLLQSDAPESGIIFVAEQSEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g448900.4.v1.2 pep primary_assembly:ASM411807v1:3:64982909:64991448:-1 gene:Vigun03g448900.v1.2 transcript:Vigun03g448900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTVSLLWSLPTLRFLSSEKPTPTCYGLPPHNHFVKHFSYATNLNRNSKFRTPQAVLDTSPITPTPLTTSTLRELCQAHVPQHILQRMEEIGYVMPTDIQREALPYLFSGRDCILHAQTGSGKTLTYLLLIHSLINAAKSSVQALVVVPTRELGMQVTKVARALAAKPTGVVGEQKSCSIMALLDGGTLKRHKTWLKAEPPTIVVATVGSLCQMLERHTFSLETVRVLIVDEVDCIFNSSKQVSSLRKILTSYSSCNNRQTIFASASIPQHNRFIHDSVQQKWTKRDVVHIHVSAVEPMPSRLYHRFISEKSKKAGKAPSTSLLIDFLKTSYQGDLDVLLLEDKMNFNSRAASLLEVRKGGGYLLVATDIAARGVDLPEMSHIYNFDLPRTAVDYLHRAGRTCRKPFSDINCSVTSIIVPDERFVLQRYENELMFDCEELIVP >Vigun03g398300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60497144:60499501:1 gene:Vigun03g398300.v1.2 transcript:Vigun03g398300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCLVIKENSEETLHSNGVIKQKEQQVMESFSAFFSLSLLLPLLLGLQLTPVSARKSYIVHMKERHDPSVHPTHFDWYTSMLSSSPHSLLYAYTSVYNGFAATLDPQQLHALRTSDSVLGVYEDTLYKLHTTRTPEFLGLQAHSGFWEDLHQASHNVVIGVLDTGVWPESQSFDDSQMPQIPTRWRGTCDSAPDFDPSLCNNKLIGARSFSKGYQMASAKSRKPRDLASPRDGDGHGTHTASTAAGSVVSNATLLGYATGTARGMAPQARVAAYKVCWTDGCFASDILAGMDQAIQDGVDVLSLSLGGSSSVPYYFDAIAIGAFAAVERGIFVSCSAGNTGPRSGSVANVAPWIMTVGAGTLDRDFPAYATLGNGKRFAGVSLYSGEGMGDEPVGLVYFSDRSNSSSSICMPGSLDPETVRGKVVVCDRGLNARVEKGTVVRDAGGVGMILANTAMSGEGLVADSHLVAAVAVGENEGDEIREYASMDPNPTAVLSFGGTVLNVRPSPVVAAFSSRGPNGVTAQILKPDVIGPGVNILAGWSGAVGPSGSEDTRKTRFNIMSGTSMSCPHISGLAALLKAAHPDWSPSAIKSALMTTAYTHDNTESPLRDATGEEALSTPWAYGAGHVNPQKALSPGLVYDASTQDYIAFLCSLNYSLDHLRLVVKHPDANCSTKFADPGDLNYPSFSVVFGTNKVVRYTRTLTNVGEAPSVYDVAVSAPSSVEITVNPNRVSFTEVGERQTYTVSFVSNRSVNDSAASAFGSIMWSNEEHQVRSPVAFTWTYF >Vigun01g030500.1.v1.2 pep primary_assembly:ASM411807v1:1:3786081:3789553:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun01g030500.4.v1.2 pep primary_assembly:ASM411807v1:1:3785872:3788038:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKEVKANVTNFRSKRERVTHQWHVTEKCEKQKRQIISFAFAFATHLNFAASTMECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun01g030500.3.v1.2 pep primary_assembly:ASM411807v1:1:3785872:3789553:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKEVKANVTNFRSKRERVTHQWHVTEKCEKQKRQIISFAFAFATHLNFAASTMECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun01g030500.2.v1.2 pep primary_assembly:ASM411807v1:1:3785872:3788080:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun01g030500.6.v1.2 pep primary_assembly:ASM411807v1:1:3786080:3789553:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun01g030500.5.v1.2 pep primary_assembly:ASM411807v1:1:3785872:3790076:1 gene:Vigun01g030500.v1.2 transcript:Vigun01g030500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDGGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLRPKYEILTDEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLEKGQVVKITHSGPMVDSHATYRCVA >Vigun11g001000.1.v1.2 pep primary_assembly:ASM411807v1:11:161806:167082:1 gene:Vigun11g001000.v1.2 transcript:Vigun11g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSHQRLSGADRKKLRRSIKDKFQRVSDSDLDALLPPKAEIAVAKFQNRVHVYAVEGGFPVFFDVDGRGSDIFPTVYALWIVPELLPAFVLKGGEVSRFVIGGADLMFPGITVPPEGLPSFRAGEPWAVKVPGNPAPIAVGSTTMSSDEALKAGLRGKALRITHYYRDLLWESAEGCYVPNAGFFEDVVFEDPSSLQASCDSDSTDVTGETSTDQQNNQKSDGVVESLDVNELQRDSVSGLVPPNDGENDAVDGVTEGLSDLKLPDTASGNDPNDQQTLSTADIDSLLDKCLLQALHTTVKDKDLPLPGSTLWSNHVLPCRPSGITLDIKKSSYKKLSKWLQAKSTSGLISVKEDKHKKEVMLSSVNRNHADYSSFKPEKRRVEKSEQPSVQSTNEVRTSKTLEVTEIYKPSVHVNAIFSAVGADPGKLFSASEATDIVFTYVEKENLVKPTNKSIVILDALLCDSLYKGAIKKGTTYPTEIHKKDLGSTFVSRMQPHHVVTRGNESVVRKGALKTIQLLTERRQGNKKVTKLSGMESFLIDAEALASELQKKFACSTSVAELPGKKGHEVLVQGGVIDDLARHLIEQYGVPKRYIEVLDKTKK >Vigun02g203900.2.v1.2 pep primary_assembly:ASM411807v1:2:33750924:33751391:1 gene:Vigun02g203900.v1.2 transcript:Vigun02g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEELRPDLLKNTPSNIARLEDVIEHSKARHKYLAQTCSPSDGGDVRWYFCKIPLAPNELAASVPRTEMVGKSDYFRFGMRDSLAIEASFLQVIL >Vigun02g203900.1.v1.2 pep primary_assembly:ASM411807v1:2:33750924:33751527:1 gene:Vigun02g203900.v1.2 transcript:Vigun02g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEELRPDLLKNTPSNIARLEDVIEHSKARHKYLAQTCSPSDGGDVRWYFCKIPLAPNELAASVPRTEMVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWR >Vigun03g251700.2.v1.2 pep primary_assembly:ASM411807v1:3:41781521:41785686:1 gene:Vigun03g251700.v1.2 transcript:Vigun03g251700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLPFLKDLLSRSSPSRITTRSVTYMHRPGDGTPRPVTLIPGDGIGPMVTGAVEQVMEAMHAPVYFEKFEVHGNMKAVPQEVLESIRKNQVCLKGGLITPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLTMRHNNVDIVVIRENTEGEYSGLEHEVIPGVVESLKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVATNYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLIANTAAGIAGGTGVMPGGNVGAEHAVFEQGASAGNVGSEKVLEQKIANPVALLLSSAMMLRHLQFPAFADRLETAVARVILEGKYRTKDLGGSSTTQEVVDAVIDALD >Vigun03g251700.1.v1.2 pep primary_assembly:ASM411807v1:3:41781521:41785686:1 gene:Vigun03g251700.v1.2 transcript:Vigun03g251700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLPFLKDLLSRSSPSRITTRSVTYMHRPGDGTPRPVTLIPGDGIGPMVTGAVEQVMEAMHAPVYFEKFEVHGNMKAVPQEVLESIRKNQVCLKGGLITPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLTMRHNNVDIVVIRENTEGEYSGLEHEVIPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVATNYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLIANTAAGIAGGTGVMPGGNVGAEHAVFEQGASAGNVGSEKVLEQKIANPVALLLSSAMMLRHLQFPAFADRLETAVARVILEGKYRTKDLGGSSTTQEVVDAVIDALD >Vigun10g034500.2.v1.2 pep primary_assembly:ASM411807v1:10:4616946:4619339:1 gene:Vigun10g034500.v1.2 transcript:Vigun10g034500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSLLKSEPHFIYDVFINFGGEEIGKKFVSHLHSVLLQAEFETLINEENVQEGMKVEEHMRSIAGSKISIIIFSKTYTESTCCLLELEKIVECYQTFGQIVLPVFYEIDPLDVHHQKGDFGKALEEAAHKSYSGEQVEHALFRWSRALATAAGITCWDARYFRHDAELVEVIVSRVQTLLDYSELSITRFPVGLESHMEKLIGCIENHSAEVCMIGIWGTGGSGKTTIAKAIYNRIYRQFIGKSFIENIAEVRNRVYRTDVDLQKNLIYDVLKSTLKVESHGMGRTMIETELSLKKLLIVVDDVNEFSQLENLCGNREWFGQGSVIIITARDVRLLNRLKVDYVYKMDGMNENDSFELFSCHAFGEAKPRKDVKELARNIVAQCGGLPLALQVLGSFLCDRTIEEWESVLSKLKIIPTGVVQKKLKISFDGLSDMEQNIFLDVCFFFIGRERGYVTEMLNNWEEHADIGITVLIKCGLIQVDRNNKLEMHPLLQDMGREIIRQRWPKESAKRSLLWFQGDVKDVLTKNIETSYPGVVLETAFNQQSSLQTSYAFDGNEELT >Vigun10g034500.1.v1.2 pep primary_assembly:ASM411807v1:10:4616464:4619339:1 gene:Vigun10g034500.v1.2 transcript:Vigun10g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSLLKSEPHFIYDVFINFGGEEIGKKFVSHLHSVLLQAEFETLINEENVQEGMKVEEHMRSIAGSKISIIIFSKTYTESTCCLLELEKIVECYQTFGQIVLPVFYEIDPLDVHHQKGDFGKALEEAAHKSYSGEQVEHALFRWSRALATAAGITCWDARYFRHDAELVEVIVSRVQTLLDYSELSITRFPVGLESHMEKLIGCIENHSAEVCMIGIWGTGGSGKTTIAKAIYNRIYRQFIGKSFIENIAEVRNRVYRTDVDLQKNLIYDVLKSTLKVESHGMGRTMIETELSLKKLLIVVDDVNEFSQLENLCGNREWFGQGSVIIITARDVRLLNRLKVDYVYKMDGMNENDSFELFSCHAFGEAKPRKDVKELARNIVAQCGGLPLALQVLGSFLCDRTIEEWESVLSKLKIIPTGVVQKKLKISFDGLSDMEQNIFLDVCFFFIGRERGYVTEMLNNWEEHADIGITVLIKCGLIQVDRNNKLEMHPLLQDMGREIIRQRWPKESAKRSLLWFQGDVKDVLTKNIETSYPGVVLETAFNQQSSLQTSYAFDGNEELT >Vigun07g128000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23567970:23568209:1 gene:Vigun07g128000.v1.2 transcript:Vigun07g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLLLKALLRCCHHELQPESRRWKDTETKELISTIKGKKAGKSSSGLDNLEHFWNFFNGHNDETRPWKLAECCIWP >Vigun10g159400.4.v1.2 pep primary_assembly:ASM411807v1:10:37854090:37856764:1 gene:Vigun10g159400.v1.2 transcript:Vigun10g159400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFFLMLVLFLLSFIFNIHHSHSQNNTCLSENQKPLFVFGDSLFDSGNNNYIKTTTFFQGNYHPYGKTFFKYPSGRISDGRVISDFIDLPFSPPVYFNPGNLRQYSYGVNFASSGAGALPETNQGLVIDLKTQGLYFKRVSKQLRQKHGEDRAKKLFSTAVYIFSVGGNDYASPFYTNPINATVVLPYPPQNFVDFVTHNITTVIKEIYNEGGRKFGFLNVAPLTCSPFLRTLASGTSIEACLKAQGSALARLHNDALPKSLRKLERQLKGFKYSVFNFYDVLLELIKYPSKYGFKEGSVACCGGGPYRGDYSCGGKRGIQEYELCNNVDEYVFFDSPHPSERAAQHFAQLMWNGNQDVIESYNLKQLFHL >Vigun10g159400.1.v1.2 pep primary_assembly:ASM411807v1:10:37854090:37856764:1 gene:Vigun10g159400.v1.2 transcript:Vigun10g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFFLMLVLFLLSFIFNIHHSHSQNNTCLSENQKPLFVFGDSLFDSGNNNYIKTTTFFQGNYHPYGKTFFKYPSGRISDGRVISDFIAEYADLPFSPPVYFNPGNLRQYSYGVNFASSGAGALPETNQGLVIDLKTQGLYFKRVSKQLRQKHGEDRAKKLFSTAVYIFSVGGNDYASPFYTNPINATVVLPYPPQNFVDFVTHNITTVIKEIYNEGGRKFGFLNVAPLTCSPFLRTLASGTSIEACLKAQGSALARLHNDALPKSLRKLERQLKGFKYSVFNFYDVLLELIKYPSKYGFKEGSVACCGGGPYRGDYSCGGKRGIQEYELCNNVDEYVFFDSPHPSERAAQHFAQLMWNGNQDVIESYNLKQLFHL >Vigun04g118450.1.v1.2 pep primary_assembly:ASM411807v1:4:30189648:30190924:1 gene:Vigun04g118450.v1.2 transcript:Vigun04g118450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRIKGFLLHILLGVSKKGFSLLLYDTSTKGKRLCKQTVTDTTGTTNKKTLPNLTFPTARRKSMQRLGQS >Vigun10g064000.2.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13841769:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWRYVLKIWMKALYGISKDAVYTPSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVFMDCPRLSEVSYTIGHLTKLLLINFQDCISLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADNTAIARLPFSIVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPVNSLSSRVHTFVDMSSLVSLDVQNSSSNQLSYISEEFPKLRSLWIECGSDLQLSRDTTSILDALNATNYEESESSATASQMQNVFTLIECNSRSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDDGHCLLPGDCYPDWLTFNSEGSSVTFDIPQVNGRNLKTMMCHIHYSSSDNITSDGLKNLLVINHTKSTIQLYKRHALASFDDEEWQRVLSNIEAGNKVQFVVVFWSRLTVIKTSIYLIYEAINEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRLLNKFFSCKGKVEKKKNEG >Vigun10g064000.5.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13836047:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWRYVLKIWMKALYGISKDAVYTPSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKFIEGLTLKLPRSNTKSLSTKAFMNMKKLRLLQLSGVELVGDFEYLSKDLRWLCWDGFPFSFIPASFYQGSLVSIELENSKITMVWKGTQVLI >Vigun10g064000.4.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13841668:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWRYVLKIWMKALYGISKDAVYTPSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKFIEGLTLKLPRSNTKSLSTKAFMNMKKLRLLQLSGVELVGDFEYLSKDLRWLCWDGFPFSFIPASFYQGSLVSIELENSKITMVWKGTQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVFMDCPRLSEVSYTIGHLTKLLLINFQDCISLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADNTAIARLPFSIVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPVNSLSSRVHTFVDMSSLVSLDVQNSSSNQLSYISEEFPKLRSLWIECGSDLQLSRDTTSILDALNATNYEESESSATASQMQNVFTLIECNSRSKLFEKTLLIQMGRSWEITHILKQRILQIG >Vigun10g064000.1.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13841668:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWRYVLKIWMKALYGISKDAVYTPSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKFIEGLTLKLPRSNTKSLSTKAFMNMKKLRLLQLSGVELVGDFEYLSKDLRWLCWDGFPFSFIPASFYQGSLVSIELENSKITMVWKGTQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVFMDCPRLSEVSYTIGHLTKLLLINFQDCISLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADNTAIARLPFSIVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPVNSLSSRVHTFVDMSSLVSLDVQNSSSNQLSYISEEFPKLRSLWIECGSDLQLSRDTTSILDALNATNYEESESSATASQMQNVFTLIECNSRSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDDGHCLLPGDCYPDWLTFNSEGSSVTFDIPQVNGRNLKTMMCHIHYSSSDNITSDGLKNLLVINHTKSTIQLYKRHALASFDDEEWQRVLSNIEAGNKVQFVVVFWSRLTVIKTSIYLIYEAINEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRLLNKFFSCKGKVEKKKNEG >Vigun10g064000.3.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13841668:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWRYVLKIWMKALYGISKDAVYTPSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKFIEGLTLKLPRSNTKSLSTKAFMNMKKLRLLQLSGVELVGDFEYLSKDLRWLCWDGFPFSFIPASFYQGSLVSIELENSKITMVWKGTQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVFMDCPRLSEVSYTIGHLTKLLLINFQDCISLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADNTAIARLPFSIVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPVNSLSSRVHTFVDMSSLVSLDVQNSSSNQLSYISEEFPKLRSLWIECGSDLQLSRDTTSILDALNATNYEESESSATASQMQNVFTLIECNSRSKLFEKTLLIQMGRSWEITHILKQRILQILLQNMTTSDDGHCLLPGDCYPDWLTFNSEGSSVTFDIPQVNGRNLKTMMCHIHYSSSDNITSDGLKNLLVINHTKSTIQLYKRHALASFDDEEWQRVLSNIEAGNKVQFVVVFWSRLTVIKTSIYLIYEAINEKEEHYHAPNMTIPSYESSCAVGSISPPVESMEDLRGASVKSLTKRLLNKFFSCKGKVEKKKNEG >Vigun10g064000.6.v1.2 pep primary_assembly:ASM411807v1:10:13811912:13836047:1 gene:Vigun10g064000.v1.2 transcript:Vigun10g064000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLNKVSIEEEEKVLDWKLRWRTTLGETSGISAVEILNFSFERVDEHVERWKEALSEVAQISGVVDLDPRINFLVKQWVEGLCKGAEIPIREMEIDDKMDFLLKQWRVFCKPVCMLEVAFFDPSGDMEISDVIEFNVKRCREALFKAAGISGGAILNSCEEMEISDAIKLHIKYWSEAFSEKAGAIDYFSFDEGTIDCEISNLENHWREKLCEAYGISMDKDLQWSVQKLKAYNRIESLVKHWRIALSEAVSISRLVVQHYRGITDNEINYIEKRARDTFREAAGISAVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEMVQLLEQKQSNNVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGPICLQERLLFDIHQENNTKIHNIESGKIILRERLHRKRILLILDDVNKLQQLNALCGNHEWFGSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVDESIELFSWHAFKQASPKEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVKEWKIVLEKLRKIPNDEVQEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRIGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKFIEGLTLKLPRSNTKSLSTKAFMNMKKLRLLQLSGVELVGDFEYLSKDLRWLCWDGFPFSFIPASFYQGSLVSIELENSKITMVWKGTQVLI >Vigun09g033700.2.v1.2 pep primary_assembly:ASM411807v1:9:2952229:2956806:1 gene:Vigun09g033700.v1.2 transcript:Vigun09g033700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCFLDGIYNVTNDQPGSSLGTVAQQLFRQFRTLTFDVNDDRVPGLAFRNQRPYLELQRLELLRLASTEIQTQFFQEARIKSAVFMGCNKGEIELGFLNMSQVDIQTALRSLFPGEFSTGQSQQIDQNPPTSSSSSLRSISTGSPEYSSLLFSIPGTSQSHFPETLGGARVLPMQPVPNTATGPHQQAIEALAQAPLTQFPTPETEHYAIMRAILHVISPTSHHHQNLPFAPVVHPDASAFQRYRSDIGPNMASNIRKQSFMKRSLTFFRNLNFMRMRERIQATSRPTNTQLHHMISERRRREKLNENFQALRALLPPGTKIKRLY >Vigun09g033700.5.v1.2 pep primary_assembly:ASM411807v1:9:2953576:2956806:1 gene:Vigun09g033700.v1.2 transcript:Vigun09g033700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPYSSQSAVFMGCNKGEIELGFLNMSQVDIQTALRSLFPGEFSTGQSQQIDQNPPTSSSSSLRSISTGSPEYSSLLFSIPGTSQSHFPETLGGARVLPMQPVPNTATGPHQQAIEALAQAPLTQFPTPETEHYAIMRAILHVISPTSHHHQNLPFAPVVHPDASAFQRYRSDIGPNMASNIRKQSFMKRSLTFFRNLNFMRMRERIQATSRPTNTQLHHMISERRRREKLNENFQALRALLPPGTKKDKASILIAAKDTLSSLMAEVDQLSKRNEGLTSFLPSKEPTTEESKARLSPNERLSVRVSHVPESSSSQERMVELQVNVRAQVSQIDLSICLLEFLKQCLSVSLVSMDANTHIAEGNNNVIHQLTFRLRIIQGSDWDECTFEEAVRRVVGDLAQYQEDQ >Vigun09g033700.3.v1.2 pep primary_assembly:ASM411807v1:9:2952229:2956806:1 gene:Vigun09g033700.v1.2 transcript:Vigun09g033700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCFLDGIYNVTNDQPGSSLGTVAQQLFRQFRTLTFDVNDDRVPGLAFRNQRPYLELQRLELLRLASTEIQTQFFQEARIKSAVFMGCNKGEIELGFLNMSQVDIQTALRSLFPGEFSTGQSQQIDQNPPTSSSSSLRSISTGSPEYSSLLFSIPGTSQSHFPETLGGARVLPMQPVPNTATGPHQQAIEALAQAPLTQFPTPETEHYAIMRAILHVISPTSHHHQNLPFAPVVHPDASAFQRYRSDIGPNMASNIRKQSFMKRSLTFFRNLNFMRMRERIQATSRPTNTQLHHMISERRRREKLNENFQALRALLPPGTKKDKASILIAAKDTLSSLMAEVDQLSKRNEGLTSFLPSKEPTTEESKARLSPNERLSVRVSHVPESSSSQERMVELQVNVRAQVSQIDLSICLLEFLKQCLSVSLVSMDANTHIAEGNNNVIHQLTFRLRIIQGSDWDECTFEEAVRRVVGDLAQYQEDQ >Vigun09g033700.4.v1.2 pep primary_assembly:ASM411807v1:9:2952229:2956806:1 gene:Vigun09g033700.v1.2 transcript:Vigun09g033700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMENVFSLPVAARADFIQFLLQSFGCSYICLWAYDSISPNRLCFLDGIYNVTNDQPGSSLGTVAQQLFRQFRTLTFDVNDDRVPGLAFRNQRPYLELQRLELLRLASTEIQTQFFQEARIKSAVFMGCNKGEIELGFLNMSQVDIQTALRSLFPGEFSTGQSQQIDQNPPTSSSSSLRSISTGSPEYSSLLFSIPGTSQSHFPETLGGARVLPMQPVPNTATGPHQQAIEALAQAPLTQFPTPETEHYAIMRAILHVISPTSHHHQNLPFAPVVHPDASAFQRYRSDIGPNMASNIRKQSFMKRSLTFFRNLNFMRMRERIQATSRPTNTQLHHMISERRRREKLNENFQALRALLPPGTKIKRLY >Vigun09g033700.1.v1.2 pep primary_assembly:ASM411807v1:9:2952229:2956806:1 gene:Vigun09g033700.v1.2 transcript:Vigun09g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMENVFSLPVAARADFIQFLLQSFGCSYICLWAYDSISPNRLCFLDGIYNVTNDQPGSSLGTVAQQLFRQFRTLTFDVNDDRVPGLAFRNQRPYLELQRLELLRLASTEIQTQFFQEARIKSAVFMGCNKGEIELGFLNMSQVDIQTALRSLFPGEFSTGQSQQIDQNPPTSSSSSLRSISTGSPEYSSLLFSIPGTSQSHFPETLGGARVLPMQPVPNTATGPHQQAIEALAQAPLTQFPTPETEHYAIMRAILHVISPTSHHHQNLPFAPVVHPDASAFQRYRSDIGPNMASNIRKQSFMKRSLTFFRNLNFMRMRERIQATSRPTNTQLHHMISERRRREKLNENFQALRALLPPGTKKDKASILIAAKDTLSSLMAEVDQLSKRNEGLTSFLPSKEPTTEESKARLSPNERLSVRVSHVPESSSSQERMVELQVNVRAQVSQIDLSICLLEFLKQCLSVSLVSMDANTHIAEGNNNVIHQLTFRLRIIQGSDWDECTFEEAVRRVVGDLAQYQEDQ >Vigun10g198000.2.v1.2 pep primary_assembly:ASM411807v1:10:41090324:41097252:1 gene:Vigun10g198000.v1.2 transcript:Vigun10g198000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPINNAPSRTGSFAGASHSGPIMQNAAARSVYITSGNVSAGGMSASASMKKTNSGPLNKHGEPVKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLDSTGSMKSHASFAVHNPAVTNLSLDDEFSFRKNFPKPILWSVILIFVMGFIAGGFILGAVRNAILLIVVVVLFAAVSALFTWNSCFGRKAIVGFISRYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRSAEHFWS >Vigun10g198000.1.v1.2 pep primary_assembly:ASM411807v1:10:41090324:41097252:1 gene:Vigun10g198000.v1.2 transcript:Vigun10g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPINNAPSRTGSFAGASHSGPIMQNAAARSVYITSGNVSAGGMSASASMKKTNSGPLNKHGEPVKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLDSTGSMKSHASFAVHNPAVTNLSLDDEFSFRKNFPKPILWSVILIFVMGFIAGGFILGAVRNAILLIVVVVLFAAVSALFTWNSCFGRKAIVGFISRYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRSAERHVVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVNPANKDMSPEFLRWLGERKLSSDDRIMQLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWGKCIFPASLEGIVLRCEDTSKIDVIPV >Vigun10g198000.3.v1.2 pep primary_assembly:ASM411807v1:10:41090324:41097252:1 gene:Vigun10g198000.v1.2 transcript:Vigun10g198000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPINNAPSRTGSFAGASHSGPIMQNAAARSVYITSGNVSAGGMSASASMKKTNSGPLNKHGEPVKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLDSTGSMKSHASFAVHNPAVTNLSLDDEFSFRKNFPKPILWSVILIFVMGFIAGGFILGAVRNAILLIVVVVLFAAVSALFTWNSCFGRKAIVGFISRYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRSAEHFWS >Vigun01g174300.1.v1.2 pep primary_assembly:ASM411807v1:1:35587519:35593634:1 gene:Vigun01g174300.v1.2 transcript:Vigun01g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVCNVSPLSLQPGMVIPIPGKPTGQSSFLSVTNSASSGERISTRPSTISPFESRISLVFALASQANSLTQRRKFLADVAAETAKYLFPKRFESRNLEEALMSVPDLETVQFKVLSRRDQYEIREVEPYFVAETTMPGKRGFDFNGASRSFNVLAEYLFGKNIKNEKMEMTTPVFTSENQSDGVKMDMTTPVLTTKIEDQDKWRMSFVMPSKYGANLPLPKDSSVTIKEVPRKIVAVVSFSGFVNDEEIKQRELKLREALKSDSQFKIKEGTSVEVAQYNPPFTLPFQRRNEIALEVEWNNK >Vigun11g069150.1.v1.2 pep primary_assembly:ASM411807v1:11:20163006:20166400:1 gene:Vigun11g069150.v1.2 transcript:Vigun11g069150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEFYGLQPNVTTQTLFSSKSPTFFLSSNSAIHSFRFFFKSCEVKSVMAIKSCFNDLNQLWQPLGKEKVEYFTLQDLWDCYYEWNAHQQNPLCNQLPY >Vigun03g044100.1.v1.2 pep primary_assembly:ASM411807v1:3:3538096:3540868:-1 gene:Vigun03g044100.v1.2 transcript:Vigun03g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNVKSDLVLILDYGSQYTHLITRRIRSLSVFSLCISGTSSLSTITDLNPSVVILSGGPHSVHTPDSPSFPDGFLPWARSHGVVVLGICYGLQLLVQRLGGDVRVGHKQEYGRMEICVDKPSALFPSGKVGKRQVVWMSHGDEAVTLPDGFHVIARSDQGAVAAIENPSAKLYGLQYHPEVTHTEEGMETLRHFLFDVCGVEAGWNMEDVMEEEIKVINDTVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMETFEKDLHLPVVCVDAVDQFLTKLKGVTDPETKRKIIGKEFICIFDAFAQELEAKLGKKPAYLVQGTLYPDVIESCPPPGTGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILKVPEAFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNGVRGVNRVVQDITSKPPSTIEWE >Vigun02g052000.2.v1.2 pep primary_assembly:ASM411807v1:2:19389152:19399418:1 gene:Vigun02g052000.v1.2 transcript:Vigun02g052000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSLMASLPENATEEHSQQQTQPDSAFASVPQCPPTKSSSEKYAPLDWSQYFDKEDDVAIPASNDVFHIYTAGTEGPVVFCLHGGGYSGLSFAVSASKIKEKARVVAMDLRGHGKSSTENDIDLSVETMCNDVFAVIKELYGNSPPAMILVGHSMGGSIAVHVAARKSLSTLAGLVVVDVVEGTAMASLIHMQKILSNRMQHFSSIEKAIEWSVRAGSVRNIDSARVSIPPTLKYDESKKCYLYRTELEKTEQYWKGWYEGLSDKFLSSPVAKLLLLAGTDRLDRSLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLIVNFISRNRIGPHGVEGRRIGETIIHLRLFSNVMSCRGKMRKGIDLRTQLSEVSMVIGTILSEFYEYEEEMLMQCDFRFSSHIIMYQFILQPFYLFLSYKNNQLTNKTRSSYFSQKLQLR >Vigun04g123700.1.v1.2 pep primary_assembly:ASM411807v1:4:31493334:31497134:-1 gene:Vigun04g123700.v1.2 transcript:Vigun04g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNKSRNDGMATSNVKDSSIVPSSQHGNQMEGQPNNLENELTKMLQNVPLPNIQNVDEQCIYRVPPNTRKRYPVSYIPRIVGIGPYHRKGSYHRKESDHLNHLKVSYHPEDAENRLKLKYVQAFLSRTKLSVGELVGKIEHMRTNIESIRSCYAQTFEYKDDVFFRIILVDALFIIELFLRWHEDTEEKKKDDTKSEGHTKSKDHTVLKPWKRQLIRHDLVLLENQLPFSVLQQLYDNCVPNIKKPVHHATGEPVPDTVDEPLPGTPCFFKICVNCICTGTNCFNRIPTPSNGTPAATNQIISFLQLSFNCLHSTSFQTECPRETPRHFTDLLRSSIISSSTIDIQNPIKGQKDVNHVYTASQLMEAGLKFKVSPNKSFLDLTYIDGVLSMPVLNINGSTEIFFRNMMAYEYYHHSATKVLIQYVTILNFLIHTEKDVNILIDNKIILNWTGDAKTVVTIINHLNSSFSMPDFIPHYFSICNSLNKFYENPCNKLKASLRQGYFNTPWKKLSTCTAVLLVFLALAQTTFSAISLAKKL >Vigun10g044550.1.v1.2 pep primary_assembly:ASM411807v1:10:6454478:6455435:1 gene:Vigun10g044550.v1.2 transcript:Vigun10g044550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNFNEEVINRMSRYQFSIIHLQDEVVGFVDRAFAILYDHDLDRQWTLTDEEGNRHVVTYNKNLQKPMLIGGWNDLRELYQLHDNHTIYFGYVGHSCFHIIVFPSKCKPLCIGRFLKRLQADEPLFNGPKLHFCIFLNPNQCHASHLDLPADFGNYLRQGRFKNILLHGPRKIVKCKLLLRNHPKKSNKIGSGWKEFCTAHGFDQSIDLVFEVDQMKSNQNVKVLTYCNL >Vigun03g331100.2.v1.2 pep primary_assembly:ASM411807v1:3:52852393:52854956:-1 gene:Vigun03g331100.v1.2 transcript:Vigun03g331100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHPSQHRNLEAPKSKPTTPNPDLIQTYKPSFLVKHMSSLNLAQTHKTRPPHKHSPIVDTHLQGKPTTTSAMVVPEKESDVVVAVKRTHKEKSQKLSLELERRKLGDSNDKGVVEEVKVEKKKPGLGLEKHDGVERMSVSLAPGGGRRRSFCGTQADLRDVFAINGAKMVSVDMPPFMQIHAVNCARNALDSMEKFTSKTLAFSLKKCKKQVEGGEFCNGRKNFWIHLCYREKA >Vigun03g331100.1.v1.2 pep primary_assembly:ASM411807v1:3:52852393:52854956:-1 gene:Vigun03g331100.v1.2 transcript:Vigun03g331100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHPSQHRNLEAPKSKPTTPNPDLIQTYKPSFLVKHMSSLNLAQTHKTRPPHKHSPIVDTHLQGKPTTTSAMVVPEKESDVVVAVKRTHKEKSQKLSLELERRKLGDSNDKGVVEEVKVEKKKPGLGLEKHDGVERMSVSLAPGGGRRRSFCGTQADLRDVFAINGAKMVSVDMPPFMQIHAVNCARNALDSMEKFTSKTLAFSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYILLFKTAVQKAG >Vigun10g057100.1.v1.2 pep primary_assembly:ASM411807v1:10:10652175:10653936:-1 gene:Vigun10g057100.v1.2 transcript:Vigun10g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISFSYMVHMRTISRGAVILFSIMMLLFDTTHSVVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDIPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPNPFAVELGNPTKIKHAFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEQQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVFYPKPGSIMIEDGEILTLESVYENKFRTGAMGHFYIYLADQIPNKYLKEI >Vigun01g113500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28833924:28836071:-1 gene:Vigun01g113500.v1.2 transcript:Vigun01g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFESSPMASNYKSNKKRHNNGMFHPPTPVVVVVVPFPAQGHLNQLLHLSRLILDHNIPLHFVGSPTHNRQAMVRAQGWDPNSFSHIHIHDFNVPPFLSPPPNPNAQTKFPSHLLPSFEASASLRGPVFTLLQSLSLVAKRVVVIYDSLMASVVQDAIHVSNCETYTFHSVSAFTMFLYFWDVMGRPPVNKMSHLVPEVPSLEGCFTTQFLDFITSQYVFHKFSKGIIYNTTRALEGPYMELIERMIGSKSHWALGPFNPLSIEKSEKRKHFCIEWLDRQEARSVMYVSFGTTTSFSEEQIKEVAGGLEKSKQKFIWVLRDADKGDVFKHGGGDELVLPKGFEERVKGIGLVVREWAPQLEILSHGSTGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNRVLVTEVLKVGVVVKEWDHRDELVTASDVENAVRKLMATKEGNEMRERAMDLKNDILKSRDEGGVSRMEFDDFIAHVTR >Vigun06g128100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25480708:25481255:-1 gene:Vigun06g128100.v1.2 transcript:Vigun06g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRWRQRKLMFDNDGTSDSFNNHGSGNQNFANAKINSGANSGDRNRYDNSNHYGERVIHNSGTFNGNGNGGYVEGGFNSSTNNYY >Vigun09g205900.1.v1.2 pep primary_assembly:ASM411807v1:9:38041219:38043675:-1 gene:Vigun09g205900.v1.2 transcript:Vigun09g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKGPEGEEVKCVWVHGPIIVGAGPSGLAVAACLSHHGLPYVILERSHCINSLWQHRTYDRLKLHLPKHFCQLPFMPFPHHFPKYPSKNHFISYLQSYAHRFNIRPRFNQSVHTAHFDAASQFWVVRTNDFQYISPWLVVATGENADPVIPSILGMDSFHGPIVHTSAYKSGSHYKNKRVLVIGCGNSGMEVSLDLCRHNATPYMVARNTVHVLPREMFGFSTFGIGMALLKWLPIKLVDKLVLALARLMLGDTARYGVRRPKTGPIELKLVTGKTPVLDVGQVAQIRSGNIKVMEGVKEITRNGAKFMDGQEKEFSAIILATGYKSNVPTWLKSRESFTKDGMPKTPFPMGWKGENGLYTVGFTRRGLLGSASDAVKIAEDIADQWMSVKDKSYRNSHMILLKST >Vigun09g205900.2.v1.2 pep primary_assembly:ASM411807v1:9:38041219:38043675:-1 gene:Vigun09g205900.v1.2 transcript:Vigun09g205900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKGPEGEEVKCVWVHGPIIVGAGPSGLAVAACLSHHGLPYVILERSHCINSLWQHRTYDRLKLHLPKHFCQLPFMPFPHHFPKYPSKNHFISYLQSYAHRFNIRPRFNQSVHTAHFDAASQFWVVRTNDFQYISPWLVVATGENADPVIPSILGMDSFHGPIVHTSAYKSGSHYKNKRVLVIGCGNSGMEVSLDLCRHNATPYMVARNTVHVLPREMFGFSTFGIGMALLKWLPIKLVDKLVLALARLMLGDTARYGVRRPKTGPIELKLVTGKTPVLDVGQVAQIRSGNIKGLFQVMEGVKEITRNGAKFMDGQEKEFSAIILATGYKSNVPTWLKSRESFTKDGMPKTPFPMGWKGENGLYTVGFTRRGLLGSASDAVKIAEDIADQWMSVKDKSYRNSHMILLKST >Vigun09g205900.3.v1.2 pep primary_assembly:ASM411807v1:9:38041676:38043675:-1 gene:Vigun09g205900.v1.2 transcript:Vigun09g205900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKGPEGEEVKCVWVHGPIIVGAGPSGLAVAACLSHHGLPYVILERSHCINSLWQHRTYDRLKLHLPKHFCQLPFMPFPHHFPKYPSKNHFISYLQSYAHRFNIRPRFNQSVHTAHFDAASQFWVVRTNDFQYISPWLVVATGENADPVIPSILGMDSFHGPIVHTSAYKSGSHYKNKRVLVIGCGNSGMEVSLDLCRHNATPYMVARNTVHVLPREMFGFSTFGIGMALLKWLPIKLVDKLVLALARLMLGDTARYGVRRPKTGPIELKLVTGKTPVLDVGQVAQIRSGNIKVMEGVKEITRNGAKFMDGQEKEFSAIILATGYKSNVPTWLKLCTA >Vigun01g097500.3.v1.2 pep primary_assembly:ASM411807v1:1:26385808:26391728:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSPDQESVGSGTKRSSVSSGGRPRNQQFFYKFVDSGSLTAKLVDWFGSVTEDSALKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun01g097500.5.v1.2 pep primary_assembly:ASM411807v1:1:26385812:26391552:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFVFLDTEELGRSPDQESVGSGTKRSSVSSGGRPRNQQFFYKFVDSGSLTAKLVDWFGSVTEDSALKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun01g097500.2.v1.2 pep primary_assembly:ASM411807v1:1:26385809:26391572:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFVFLDTEELGRSPDQESVGSGTKRSSVSSGGRPRNQQFFYKFVDSGSLTAKLVDWFGSVTEDSALKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun01g097500.4.v1.2 pep primary_assembly:ASM411807v1:1:26385807:26391728:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSPDQESVGSGTKRSSVSSGGRPRNQQFFYKFVDSGSLTAKLVDWFGSVTEDSALKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun01g097500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26385812:26391552:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun01g097500.1.v1.2 pep primary_assembly:ASM411807v1:1:26385809:26391572:-1 gene:Vigun01g097500.v1.2 transcript:Vigun01g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFVFLDTEELGRSPDQESVGSGTKRSSVSSGGRPRNQQFFYKFVDSGSLTAKLVDWFGSVTEDSALKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSDALEATAYLAIEDFLHASIKGLWEAFWSQDEPMPFSVACLYNSNMKFYQAEQAIANGRLGGLCGTGILLNNSRHPHGKWDHVLELALLRPDIGGHAVGSDRQPSPSVLGEALFYALRMLLARSLSRLSFFPDPCTVFVLLVDSQYGGVVKVEGDVNKLNFDLNNVYECAAEWVKNHSRISVSPIDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGMPKHSVEDLAADHSSRLQTRRVERQFGDTSVNGNGVFRYQQRSVSPEIVEVEDDSVKVDSKESMISEGTILWVEDSDWQKGFQIKEVINTGELTYFIASHVEESGKNLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGHCRRPSSGGNCDHPWCGTPILVTSPVGETVAEMVRSGQFGSEEAIRCCHDCLSALSAVASAGIRHGDIRPENVICIKSGVRHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVFPDLDSVEGALLWRETSWSRRLIQQKLGDISTVLKAFADYVDSLCGTPYPMDYEIWLRRLRRNIHEDDHGKEIDATG >Vigun03g443100.1.v1.2 pep primary_assembly:ASM411807v1:3:64535806:64537569:-1 gene:Vigun03g443100.v1.2 transcript:Vigun03g443100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVVDMGNLNNEERGATMEKIKDACENWGFFELVNHGISVELMDTVERVTKEHYERCMEQRFKEMVESKGLECAESEINDMDWESTFFLRHLPESNISEIPDLGEEQRKVMKEFAVELEKLAEQVLELVCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPKPELIKGLRAHTDAGGIILLFQDHKVSGLQLLKDGHWIDVPPMRHSIVINLGDQLEVITNGKYKSVMHRVITQSDGNRMSIASFYNPGNDAVIAPAEALVKEDENTKVYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAIQSSTVNFGPIATV >Vigun11g057799.1.v1.2 pep primary_assembly:ASM411807v1:11:12084093:12084677:-1 gene:Vigun11g057799.v1.2 transcript:Vigun11g057799.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKISSDQNNPPHVPVPPRRAKCSNLGPMRNPYVHYRAILHRCDHTSTNAPDPIRTPQLSVLGRARVVLGWVTSWEVLVLHLFLRYF >Vigun10g195600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40913456:40914220:-1 gene:Vigun10g195600.v1.2 transcript:Vigun10g195600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLGVVKARLVGATRGHALLKKKSDALTVQFRQILKKIVTAKESMGDIMKTSSFALTEAKYVAGDNIKHVVLENVREASLRVRSRQENVAGVKLPKFEYTSDADANKNDLTGLARGGQQVQQCRAAYIKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMLLKKVESNLPVRKAVSYNSANLLAVGDKDEDIIF >Vigun05g122150.1.v1.2 pep primary_assembly:ASM411807v1:5:13507249:13510588:-1 gene:Vigun05g122150.v1.2 transcript:Vigun05g122150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVEWWETCPACNQSSFSHSLLVWQHLVYFCGNDKSSIWDFSFKNQPFTSVIWLGNLLCLHALWIVTDNLRPTRAEATDVANGVLDAK >Vigun08g198000.1.v1.2 pep primary_assembly:ASM411807v1:8:36362993:36364934:1 gene:Vigun08g198000.v1.2 transcript:Vigun08g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNTSLTVTNWFSEMVETVAASFKHGSAVGLFMKALERVLFALFTCILALGGSIVGTIAGGIKGQTTETGFLDGAGKGAITGAIAALELINFDYVDEPLSKFALLRSLFNGKVFMEWICPAVAKLYQLHVTINTLETIYQEVSDIYDMRGARGVNQNVIMKIPFQPFNSVKMSKLYNMSCCSICFQDFEDGELVRVLPKCGHLFHLECIDKWLVQQGSCPMCRTYVPDHILQ >Vigun07g159700.1.v1.2 pep primary_assembly:ASM411807v1:7:27159308:27160447:1 gene:Vigun07g159700.v1.2 transcript:Vigun07g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTPRSSSELTITVEHNPSKSRLAELGINSWPKWGCPPGKYMLKFDAQETCYFLRGKVKVYPKGSSESVKFGVGDLVTIPKGLSCTWEVSVAVDKHYKFESSSTSSKCITDI >Vigun06g230900.1.v1.2 pep primary_assembly:ASM411807v1:6:33742513:33748127:-1 gene:Vigun06g230900.v1.2 transcript:Vigun06g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSICSSDAHHLLHANLKPSSPPLRPRAQFLTFASNFSPPQPQGGVEDDATAKKSFAVATGELFLGLASRLIKSRNKGSSLVGDSDSVAFVNSSGKVYAEERIGAVVEDEIDPDVVWEQRMEDVEAERNRFRRVVTTPGFSFSAAGLLFPYHLGVAQFLIQNGYIKETTPLAGSSAGAIVCAVIASGASMEEALTATKILAEDCRNRGTAFRLGAVLRDILDKFLPHDVHIRSNGRVRVAVTQLLWRPRGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATVFRNRLCIDGGLTLFMPPTSAAQTVRVCAFPASRLGLEGIGISPDCNPENACSPRQLFNWALEPAEDAVLDQLFEFGYLDAAVWAKENPVEAVVQDGSPAFGNSTASSAK >Vigun11g219600.1.v1.2 pep primary_assembly:ASM411807v1:11:41231588:41235264:-1 gene:Vigun11g219600.v1.2 transcript:Vigun11g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQGSSRSRKHKYTPRPPRARAPKTQLDNKQDEDTTPARLLSRRYENSARREPKVERKSSVEVAFSPGISSTSLRTYGTSKAIDNGTNSGSPSKYLAKEPIRSRRSSAATEDQDDTSMVDVIDNTINASARKFKREYKEPWDYNNSNYPITLPLRKPNSGNPEILDEEEFGEAATNLEYDENTVNSAAELELLEKSEQHKMFLFQFPKNMPFNEGKEKEQIGTTTVSGKARALEELPSGYMGKMQVYKSGAIKLKLGEALFDLSPGTKCGFAQDIVAVNIAQKQICNLGELSNKVVVVPDLDSIDLRNTGGKK >Vigun03g406700.1.v1.2 pep primary_assembly:ASM411807v1:3:61385923:61391806:-1 gene:Vigun03g406700.v1.2 transcript:Vigun03g406700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNSLNEVTNNNQESKSRKSKDEPVKTVPLYKLFSFADSLDHLLMLVGTVGAVGNGISMPLMTLIFGSMINAFGGSSTTAEVVDEVSKVSLKFVYLAVGTFAASLLQLACWMITGERQAARIRGLYLQTILRQDVTFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGQFIQLMATFIGGFVVAFIKGWLLTVVMLSCIPLLVLSGALMSLVITKASSRGQTAYSKASSVVEQTIGSIRTVASFTGEKLAIAKYNQSLIKAYINGVQEAVASGLGFGMLYFVFICSYALGIWFGAKMIIEKGYTGGEVVTVIFAVLTGSMSLGQASPSLSAFAAGKAAAFKMFETIERKPLIDAYDTNGRKLEDIRGDIELREVCFSYPTRPDELIFNGFSLSIPSGTTAALVGQSGSGKSTVVSLIERFYDPHSGAVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDGATDEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVIVAHRLSTIRNADTIAVIHQGKIVERGSHDELTKDPEGAYSQLIRLQEIKKSEHNAEEREKQESISHSGRHSSKRSSLLRSISLEGVGNSGRRSLSASFGLPTSAGFIEPVVEGPEDHPTTSPSPPEVPLRRLGYLNKPEFPVLLMGTVAAVIAGAILPVFGLLLSKMIDIFYEPHDELRKNSKIWALVFVAVGVLSLLVYPARFYLFGVAGGKLIQRIRRACFEKVVHMEVSWFDEAENSSGAIGARLSTDAASVRALVGDALGLLVQNIASAITGLVIAFESSWQLALIILAMVPLLGLNGFLQVKFLKGFSADAKRLYEEASQVANDAVGSIRTVASFCAEEKVMELYRGKCEGPIKTGIRQGIISGVSFGISFFVLYAVYAASFYAGARLVDGGKTSFTDVFRVFFALSFAAIGISQSGSLVPDSAKAKTAAASIFAIIDRKSQIDSSDDSGITLEEVKGEIELKHVSFKYPTRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGKEIQSMQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKGDATETEIIAAAELANAHKFISSLQKGYDTVVGERGVQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALDRVMVDRTTIIVAHRLSTIKGADLIAVVKNGVIAEKGKHEALLKKGGDYASLVALHTNASTS >Vigun05g143000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18794463:18794816:-1 gene:Vigun05g143000.v1.2 transcript:Vigun05g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNTIFYNENKVQNCFKVLTKKRNEKEKKKLMNFKIFLWPKYRLEDLACINRYWFNTHNGSRFSIVRIHMYPRVKIR >Vigun07g116400.1.v1.2 pep primary_assembly:ASM411807v1:7:21488178:21491295:1 gene:Vigun07g116400.v1.2 transcript:Vigun07g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLAYSFPEEVLEHVFSFIECDKDRGSISLVCKSWYEIERWCRRRVFVGNCYAVSPATVVNRFPKVRSITIKGKPHFADFNLVPEGWGAYVGPWIKAMAAAYPWLQEIRLKRMVVTDECLELIAKSFKNFGVLVLTSCEGFTTDGLAAIAAHCRNLRELELRESEVEDICGHWLSHFPDSYTSLVSLNISCLGNEVNLSALERLVSRCPNLQTLRLNRAVPLDKLANLLRGAPQLVELGTGAYTSEMRPEVFSNLAEAFAGCKQLKSLSGFWDVLPSYLPAVYPICSNMTSLNMSYATIQSPDLIKLVSQCENLQRLWVLDYIEDAGLEVIAASCKDLRELRVFPSDPFGLEPNVALTEQGLVSVSEGCSKLQSVLYFCRQMSNVALLTIARNRPNMTRFRLCIIEPQVPDYLTQDPLDAGFGAIVEHCKDLRRLSLSGLLTDRVFEYIGTYGKKLEMLSVAFAGDSDLGLHHVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSACLVSYGACKLLGQKLPMLNVEVIDERGPPDSRPDSSPVEKLYIYRTVSGPRSDMPGYVWRMEDDSALRIS >VigunL068400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000480.1:25541:29385:1 gene:VigunL068400.v1.2 transcript:VigunL068400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGEEALLRFKASIVDHHGMLSSWTTPDCCQWKGICCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSYNSFSHIPEFLGSLRNLRYLDLSCCYFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLRGNSFQGNLPTELQSLSKLEYLDLAFNSFEGNIPSQLGNLSNLSNLQQLYLGGEDYNGDLEFNDRGKLLSNFISLSHLYLWSIHGLNSCHSCLQNIAKLPKLRELSLIDCSLSDHFILSLRPFKFNFSTSLLAFDLSENAFTSPVILQWVSNITSNLVELDLSYNNLEDSVSKHFGMAMNSLEILDLSSNSFKGEVLKSFMNICTLHSLYMHQNNLTEDLSSILQSLSSDYLNLQENQINGTIPDLSAFSALNSLDLSANRLIGMLKEGSKLPFQLEFLSLSSNLLEGGIPKLFGNACALRSLDMMNNNLSDELSTIIHHLSGCAKYTLEHLSLQENQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPKSFGNACALHSLRMEDNKLSVEYSLEVLYLHMNEINGTLIDLSMFTSLRVLSIGENKLSGKILKNIQFPPQLEELDIQSNSLNGVFTDYHFVNVTKLSYLDLSNNPLTLTFTQNWIPPFQLSSIRLKSCLYFKHSLHGIIPNIFGKNIVDFLILASNQFEGPIPPFLRGSIFLDLSNNNLLDSHSFLCASGPEKILYQLDLAHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLGLQALLLRNNNLTHEIPFSLRRCTKLVMLDMSNNNLSGQIPAWIGSEMQELQILSLGSNNFNGILPLQICYLKSIQIFDLSLNNLSGKIPTCINNFTSMVNKTFSSDYGRHGYFINISDFRTYHLYDLNAFLMWKGSEQIFKTTDNNFSEEIPVEIENLVELISLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIDLSHNHLSGVIPTSTQLQSFDASSYEDNLNLCGPPLKKLCIDDGLSQDPIFEIDEYSLSVMILHQYDTGITITFWITFGSILFLKT >Vigun07g160600.2.v1.2 pep primary_assembly:ASM411807v1:7:27250987:27252152:-1 gene:Vigun07g160600.v1.2 transcript:Vigun07g160600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQFLVVLLALASSVAFAYDPSPLQDFCVAINDTKTGVFVNGKFCKDPKLAYADDFFYRGLGAGDTANPLGSKVTAVTVNEILGLNTLGISLARIDFAPKGLNPPHTHPRGTEILVVLEGTLYVGFVASNQNDNRLFTKVLNKGDVFVFPIGLIHFQQNVGYGNAVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVDVKIIDYLQKQFWYNNS >Vigun07g160600.1.v1.2 pep primary_assembly:ASM411807v1:7:27250987:27252152:-1 gene:Vigun07g160600.v1.2 transcript:Vigun07g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQFLVVLLALASSVAFAYDPSPLQDFCVAINDTKTGGIYGVFVNGKFCKDPKLAYADDFFYRGLGAGDTANPLGSKVTAVTVNEILGLNTLGISLARIDFAPKGLNPPHTHPRGTEILVVLEGTLYVGFVASNQNDNRLFTKVLNKGDVFVFPIGLIHFQQNVGYGNAVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVDVKIIDYLQKQFWYNNS >Vigun01g050200.1.v1.2 pep primary_assembly:ASM411807v1:1:7876299:7877494:-1 gene:Vigun01g050200.v1.2 transcript:Vigun01g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDTWASMFGMRIRIALAEKGVKYEYKEEDLRNKSPLLLQMNPVHKKIPVLIHNGKPICESAIILQYIDEIWNHNPQLMPSDPYERAQARFWIDYIDKKVYDTWRKMWLSSGEEHETWKKEFISIFKQLEETLGDKPFFGGDMFGFVDVGLIPFYSWFYTYETYGNFKMDTECPKLVAWAKRCMEREAVSKTLPDEKKVYAYIDGIKKALFSK >Vigun05g176300.1.v1.2 pep primary_assembly:ASM411807v1:5:32645396:32650023:-1 gene:Vigun05g176300.v1.2 transcript:Vigun05g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFNKSGTSVLVPSVQELSKESVSNVPQRYFQPQHRQQKLLISQQSHATLQIPVIDMHNLLSQESGSSELPKLHLACKEWGFFQIINHGVSSSLVDKIKLDIKEFFNLPMSEKKKFWQTSENMEGFGQLFVVSEDQKLDWNDMFYMTTLPTKSRMPHLFPQLPLPFRENLELYSQKMKDLAMIIIGQMGKALEIEEREMRELFEDGIQMMRINYYPPFPQPEKVIGLTNHSDGVALTILLHVNQVEGLQIRKDGTWIPVKPLPNAFVVNVGDTMEIITNGTYRSVEHRVTVNSEMERISFATFYSPREDAVIGPSPCLITDQTPPQFKSIRVNQYFKEYFARKLEGKSNRDNMRIEHPN >Vigun05g176300.3.v1.2 pep primary_assembly:ASM411807v1:5:32645396:32650023:-1 gene:Vigun05g176300.v1.2 transcript:Vigun05g176300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFNKSGTSVLVPSVQELSKESVSNVPQRYFQPQHRQQKLLISQQSHATLQIPVIDMHNLLSQESGSSELPKLHLACKEWGFFQKKFWQTSENMEGFGQLFVVSEDQKLDWNDMFYMTTLPTKSRMPHLFPQLPLPFRENLELYSQKMKDLAMIIIGQMGKALEIEEREMRELFEDGIQMMRINYYPPFPQPEKVIGLTNHSDGVALTILLHVNQVEGLQIRKDGTWIPVKPLPNAFVVNVGDTMEIITNGTYRSVEHRVTVNSEMERISFATFYSPREDAVIGPSPCLITDQTPPQFKSIRVNQYFKEYFARKLEGKSNRDNMRIEHPN >Vigun06g118500.1.v1.2 pep primary_assembly:ASM411807v1:6:24658062:24658951:1 gene:Vigun06g118500.v1.2 transcript:Vigun06g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKQRNKGGSRRSRISALIVDDDAVVRKIHMMMLERLFNMDAKTVSDGNEAVDMHRCGANFDIIFMDKEMPIMDGHEATKELRGMGVKCLIVGITTRANGKDGEEFLAAGSNYCFEKPLDRTKIERVLQDHPNFTTT >Vigun03g077000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6378837:6380304:1 gene:Vigun03g077000.v1.2 transcript:Vigun03g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETEKQKKHLAMVERLSKRPQSRSTNPESSTESTSSVLSHFSELKTSIEFQLQQSQSLSSDPPNLKLHFQNISESISDLEKLVAQNSYLLPSYDVRTSLKTVSDLRQSLQNLTNQLIPKTRFSFKNKPTKKDPKDTAIPQPKQPPQPSIPDTRLAARESPGFRNKVGEVLVAEFRGSEVGEFAVSDLDSCEVRIIGSVRALFVHRLKNCRVYVGPVTGSVLIEEAEGCVFVMASHQIRIHSARKSDFYLRVRSKPIIEDSNGVRFAPYCLSYPGIEEDLRGAGLDAETGNWENVDDFRWLRAVQSPNWSVLPESERVGIIDVSNLKNGDQEI >Vigun03g052850.1.v1.2 pep primary_assembly:ASM411807v1:3:4337506:4337917:-1 gene:Vigun03g052850.v1.2 transcript:Vigun03g052850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFEQVLPEPWRFKQKLPKMFWSHSVLHAYKRILSHVLQNKSSYEHLYGTYPDLSTLWVLGSMSYGSMLQSQGSKFDTS >Vigun03g254201.1.v1.2 pep primary_assembly:ASM411807v1:3:42066270:42067262:1 gene:Vigun03g254201.v1.2 transcript:Vigun03g254201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFGENWCEEISRIAEFKDTILDHWSIDVKCEEEPCNLQGHSLPQQNLIDHPPTQQHSANVNNVEMSLISLPNNLPNLQSPNGDPPTKYRQRKPRITWSSEEHMLFLLGLQMYGMSWKRISQDFVRTKTPSQLASHAQKYFERQKVLPSQRKRKSIHDITLPPNFYPPPIQVTTPIQDFSIQDLQVPTPIQDFSMQDLQVPTPIQDFSMQDIQGLNVHPPVYTPVQHSYMQGIPVLNVNQHAYTTVQDYQIPFVNQLFYPPTEDHTHVQDLYMQDIQGQQQIYHPTEDHTRIQDLYM >Vigun07g295300.1.v1.2 pep primary_assembly:ASM411807v1:7:40572016:40577834:-1 gene:Vigun07g295300.v1.2 transcript:Vigun07g295300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKRGRSEAAFNVNGAAKKFRPETDSFPTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGFKAVSQLINVGSDPAIPHVGRNPIPPSFPDGSSPPVVKTRLCNKFNTAEGCKFGDKCHFAHGEWELGRPTAPSYEDPRVMGQMPSSRIGGRVEPPLPAHGAAASFGASATAKISINAALAGAVIGKGGVNSKQICRVTGAKLAVRDHDTDSNLRNIELEGSLDQIKQASAMVHELILNLSSASGPPMKTFTTQTSAPTSNFKTKLCENFAKGSCTFGERCHFAHGTDDLRKTGI >Vigun04g134900.1.v1.2 pep primary_assembly:ASM411807v1:4:33836696:33841133:1 gene:Vigun04g134900.v1.2 transcript:Vigun04g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFVGKPPATVDLERMPERGSHHRRSHSDTSFRFAANFDDLLLFDASDLDISTLPSPLPLPSPGASGAVPIAVDSDESGGRPRPAGAVAGGHLRSLSVGSDFFDGIGFGGGEERGGGGKGGGERRMGHHRHSNSMDGSSTTSFEADSAMMIDGVKKAMAPDKLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATNLSAQLTMLQRDTTDLTAENKELKLRLEALEQEAQLREDLNEALKEELQRLRAQSTRLGAIAGNPSFGGIFNQLASQLAMQQLSNSAPQQPQHQPQNHPPPPPSGQNHPNFMDFNQQK >Vigun09g030550.1.v1.2 pep primary_assembly:ASM411807v1:9:2547762:2548388:-1 gene:Vigun09g030550.v1.2 transcript:Vigun09g030550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREKERKKETSFPLKFEEGVPDTNPSLWLAFSSSLQHGFSLQCQTHHFSQEIGLDSTFTLFPPFVISSFKPHHFFFFPLTSS >Vigun09g101800.3.v1.2 pep primary_assembly:ASM411807v1:9:17402225:17417170:1 gene:Vigun09g101800.v1.2 transcript:Vigun09g101800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILVIPALDSNTPIFSSSFTKELINKRLKEHGIFVPSRLKVFKMRKKFVAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGNVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALLRHISASNGRVITTQFASNLHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDLVLYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHAYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVTHLRNRKVLSNGFISLGKENLQLKYSDGDRAFGTSNELFIDERLRIALDGIIVSSMEIFRPKVLGSLASNTLKGKIRITTRCLWLDKGKLMDALYKSAHAALSSCPVNSPLAHIERTVSEVLRKMVRKYSGKRPEVIAIAIENPASTITDEINAKLSGKTHAGLGISTAKRAVDRHEKGNQPTSLQITDDSIDNASGAEGYLSEEDNTASGAEGDLSESEDSDEFWKPFVTPLPVKKPLGADNAYDAQKEHESNLKKDDSKIIDEAKSEEISTSEPKSSKSVKRNKWKTDEVKKLIGMREELRDRFQVVRGRMALWEEISQKLLADGISRSPGQCKSLWTSLVLKYEGIKNEKDSKKSWPYIEDMERIMSDKEAPATK >Vigun09g101800.2.v1.2 pep primary_assembly:ASM411807v1:9:17402225:17417170:1 gene:Vigun09g101800.v1.2 transcript:Vigun09g101800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSLSLSLRLSLRRKPTATTSASLSSLPGSTHGSTTKAPRRRTRRIEGPRKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWSHKIEALVITHGHEDHIGALPWVIPALDSNTPIFSSSFTKELINKRLKEHGIFVPSRLKVFKMRKKFVAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGNVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALLRHISASNGRVITTQFASNLHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDLVLYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHAYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVTHLRNRKVLSNGFISLGKENLQLKYSDGDRAFGTSNELFIDERLRIALDGIIVSSMEIFRPKVLGSLASNTLKGKIRITTRCLWLDKGKLMDALYKSAHAALSSCPVNSPLAHIERTVSEVLRKMVRKYSGKRPEVIAIAIENPASTITDEINAKLSGKTHAGLGISTAKRAVDRHEKGNQPTSLQITVLIMQVVLRVIYLRKTTLQAELRVIYPNQRIQMSFGNHSLHHYLSRSLWVLTMLMMHKRSMSPI >Vigun09g101800.1.v1.2 pep primary_assembly:ASM411807v1:9:17402225:17417170:1 gene:Vigun09g101800.v1.2 transcript:Vigun09g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSLSLSLRLSLRRKPTATTSASLSSLPGSTHGSTTKAPRRRTRRIEGPRKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIRKWSHKIEALVITHGHEDHIGALPWVIPALDSNTPIFSSSFTKELINKRLKEHGIFVPSRLKVFKMRKKFVAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGNVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALLRHISASNGRVITTQFASNLHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDLVLYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHAYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVTHLRNRKVLSNGFISLGKENLQLKYSDGDRAFGTSNELFIDERLRIALDGIIVSSMEIFRPKVLGSLASNTLKGKIRITTRCLWLDKGKLMDALYKSAHAALSSCPVNSPLAHIERTVSEVLRKMVRKYSGKRPEVIAIAIENPASTITDEINAKLSGKTHAGLGISTAKRAVDRHEKGNQPTSLQITDDSIDNASGAEGYLSEEDNTASGAEGDLSESEDSDEFWKPFVTPLPVKKPLGADNAYDAQKEHESNLKKDDSKIIDEAKSEEISTSEPKSSKSVKRNKWKTDEVKKLIGMREELRDRFQVVRGRMALWEEISQKLLADGISRSPGQCKSLWTSLVLKYEGIKNEKDSKKSWPYIEDMERIMSDKEAPATK >Vigun04g156300.1.v1.2 pep primary_assembly:ASM411807v1:4:37798411:37801182:1 gene:Vigun04g156300.v1.2 transcript:Vigun04g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMIILFFCFITFSFDLVQSENLQISQFGGKQNTNIAKALISAWTQACASTSAVKIVIPKGTYQMTHVKLKGPCKAPIELYVDGTIKAPVKPQDVGGDEILRIDYVNALTISGNGVFDGQGAYAWKQNDCSKSFNCKLLGMNFAFNYINNSIVRGITSKDSKHFHVNVLGCNNFTFDGFKVSAPHDSANTDGIHIGRSRGVNVLNTNIATGDDCVSLGDGSKQVLVQNVKCGPGHGISVGSLGKYKEEEPVDGITIKGCTLKGTDNGVRIKTWPSEPGTITVTNMKFEDITMDNVKNPIIIDQEYCPWNQCTKKYPSKIKISKVTIKNIKGTSATKEGVIIACSSGVPCEGVEISNVDLKFKGAPAIAVCSNVKPKITGKAPTCTAPSNKKE >Vigun07g062701.1.v1.2 pep primary_assembly:ASM411807v1:7:7197925:7212256:1 gene:Vigun07g062701.v1.2 transcript:Vigun07g062701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCGVEWRPIFTSATGTLPNFWVTLFHLRTYPPHGDPFFWQDPFPLLSSLAPPTTSHVGIPTPNPFDPEISTPTAPEVRNGKNKNKGMGGRDAGGLMRKKNSWKMKKKFLVEFWKKPLMVSGFCSHQTQIVFLFSALIKFTGCARIIFFPGNIFKSYGWTLPVILASWLLSSGPKAFLMALALPLGQSALALAFDKLWRQPETKPKRKYRTRRKSRRANNTRVEEEPAENQRTKNGYQSWVVEDDGSVDKGSQEAAQSFGGWDHLERSRPMKKSSFAMDGSPTIPTDGGRLSRRERKSDTPLLFRLLIAIFPFLGIWTKML >Vigun06g043900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16789369:16791051:1 gene:Vigun06g043900.v1.2 transcript:Vigun06g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVASYSVFPSKETPKGHLWLSDNDHVWRSGHTPTIYVYKAKHSDDTIQRLRNSLAEILVHYYPLAGRLTLRETGRMEVDCNAKGVTLLQAETTKSLADYGDFSPSDSVRELVPKIDYTQPIEEIPLLLVQSTRFHGDEGFVIGVAFCHPLGDGLAGTRFINSWAKVARGETLEPHELPYLDRTILKFQHSSTSPGFDHPELKPLPLKLGSSDINVEQSKKTSGVLLKLTPEQVQKLKMEANEEPLEDGVRAYSRFEAIAGHVWRCACKARELDEKQPTVVRFNGDVRSRLVPPLPRNYFGNALAETVSPECYVGEIVSKPLSYAAQKIREATEKLRNEYIRSQMEIVLGEEQLDGIRGFFSGQGERNSFPFAGNPNLHIISWMSMPMYEADFGWGKPVFFGLAYVCAHDRAMILLGPDGDGSIIVSMHFQEPHLELFKKFFYQSL >Vigun11g005100.1.v1.2 pep primary_assembly:ASM411807v1:11:548607:549594:-1 gene:Vigun11g005100.v1.2 transcript:Vigun11g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIQEPILSRIDRLDNLLRQLEEIRGCNRSPKSSCASTPTSGSDGRVSSVDFSPRSLEKQCRPMESVMMETEAKGTVIERLNLVEDRLLKLCLQVEEEWLTKRSAMSKSPKSPKIGFKQLVKKCVRRRHNNKEQTN >Vigun11g005100.2.v1.2 pep primary_assembly:ASM411807v1:11:548607:549594:-1 gene:Vigun11g005100.v1.2 transcript:Vigun11g005100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIQEPILSRIDRLDNLLRQLEEIRGCNRSPKSSCASTPTSGSDGRVSSVDFSPRSLEKQCRPMESVMMETEAKGTVIERLNLVEDRLLKVEEEWLTKRSAMSKSPKSPKIGFKQLVKKCVRRRHNNKEQTN >Vigun01g239675.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41017413:41017745:-1 gene:Vigun01g239675.v1.2 transcript:Vigun01g239675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun06g067800.2.v1.2 pep primary_assembly:ASM411807v1:6:19697070:19703835:-1 gene:Vigun06g067800.v1.2 transcript:Vigun06g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEKLSALGVKDDDLLMMVSGAAAGSAASSGSTNDLSLNTDGSAVNPGAFQQHIRRDSNLMGQLFQSDPELAQAILGNDLNRLQEVLRLRHRQRDELKRQKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIAHGVGQSEILGRIHVAPIKIGSIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKESVLRVGGGEVSVPFLQEKDIPSRFLDEEKYAKEASGSGGPITSGSNNPSTGGHPSGGASSDRAKDSEFEAKVAKLVELGFERNAVVEALQIFNGNEEQAAGFLFGG >Vigun06g067800.1.v1.2 pep primary_assembly:ASM411807v1:6:19697070:19704223:-1 gene:Vigun06g067800.v1.2 transcript:Vigun06g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTADEQILTLDVDPHESVENVKALLEVETSVPLQQQQLLFNGKEMRNSEKLSALGVKDDDLLMMVSGAAAGSAASSGSTNDLSLNTDGSAVNPGAFQQHIRRDSNLMGQLFQSDPELAQAILGNDLNRLQEVLRLRHRQRDELKRQKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIAHGVGQSEILGRIHVAPIKIGSIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKESVLRVGGGEVSVPFLQEKDIPSRFLDEEKYAKEASGSGGPITSGSNNPSTGGHPSGGASSDRAKDSEFEAKVAKLVELGFERNAVVEALQIFNGNEEQAAGFLFGG >Vigun07g153000.8.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNARLVNRLVVITHHFEF >Vigun07g153000.7.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNARNITFLNPRKHNHIPKLPDGVVIPNKVSVLTDYS >Vigun07g153000.3.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNASGGMNGYFWLCERNVFSSVVSSPIRGLQDVEFNQVLNITFLNPRKHNHIPKLPDGVVIPNKVLKATDIKPFPVLWHEDNIGQRLQARERPRVPGAIVGYQLGEAAHRLVKNTLNIKSNNTSHGLLEQPPHHHKTNRLRSAGYGKYHGENANGYNGQYNHHGMMTTPKYGGHNNDRQNFKIRDRLQREEQFHNVKTEFSALSMEERERPRSLRFPSSRPIANLQPRFVQNTDPSIPPTKWMTKPQPTNSMPTRNQEAALGPTTYDKQTKKVYQVRIRQLDTPESAKQ >Vigun07g153000.4.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNASSGGMNGYFWLCERNVFSSVVSSPIRGLQDVEFNQVLNITFLNPRKHNHIPKLPDGVVIPNKVLKATDIKPFPVLWHEDNIGQRLQARERPRVPGAIVGYQLGEAAHRLVKNTLNIKSNNTSHGLLEQPPHHHKTNRLRSAGYGKYHGENANGYNGQYNHHGMMTTPKYGGHNNDRQNFKIRDRLQREEQFHNVKTEFSALSMEERERPRSLRFPSSRPIANLQPRFVQNTDPSIPPTKWMTKPQPTNSMPTRNQEAALGPTTYDKQTKKVYQVRIRQLDTPESAKQ >Vigun07g153000.6.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNASGGMNGYFWLCERNVFSSVVSSPIRGLQDVEFNQVLNITFLNPRKHNHIPKLPDGVVIPNKVSVLTDYS >Vigun07g153000.1.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNASGGMNGYFWLCERNVFSSVVSSPIRGLQDVEFNQVLNITFLNPRKHNHIPKLPDGVVIPNKVLKATDIKPFPVLWHEDNIGQRLQARERPRVPGAIVGYQLGEAAHRLVKNTLNIKSNNTSHGLLEQPPHHHKTNRLRSAGYGKYHGENANGYNGQYNHHGMMTTPKYGGHNNDRQNFKIRDRLQREEQFHNVKTEFSALSMEERERPRSLRFPSSRPIANLQPRFVQNTDPSIPPTKWMTKPQPTNSMPTRNQEAALGPTTYDKQTKKVYQVRIRQLDTPESAKQ >Vigun07g153000.2.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431012:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNASGGMNGYFWLCERNVFSSVVSSPIRGLQDVEFNQVLNITFLNPRKHNHIPKLPDGVVIPNKVLKATDIKPFPVLWHEDNIGQRLQARERPRVPGAIVGYQLGEAAHRLVKNTLNIKSNNTSHGLLEQPPHHHKTNRLRSAGYGKYHGENANGYNGQYNHHGMMTTPKYGGHNNDRQNFKIRDRLQREEQFHNVKTEFSALSMEERERPRSLRFPSSRPIANLQPRFVQNTDPSIPPTKWMTKPQPTNSMPTRNQEAALGPTTYDKQTKKVYQVRIRQLDTPESAKQ >Vigun07g153000.5.v1.2 pep primary_assembly:ASM411807v1:7:26410490:26431011:1 gene:Vigun07g153000.v1.2 transcript:Vigun07g153000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIADVVEDEPAVGDDGVPLPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSVFEYIDHLYSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFEGEANILSSKDKRETSDSNVITPGTQFMAALSVALQYYIQTRLNHNPSWRNTKVILSDSNSPGEGEHKIMEYIRLQRNLPGFNPDTRHCLYGLDADLIMLSLATHEVHFSILREVVTLPGQQDKCFLCGQVGHFAADCQVEDFDTPDDSPIHRKKYQFLNIWVLREYLQFELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKDFSVIGGYLTDAGEVFLDRVERFIQFIAIHEDQIFQKRVYIQLAAENNEEIRARARGEMPREPRASLVDKVKLGDPGYKERYYAEKFGVSNLEEIEKIRKDTVLKYVEGLCWICQYYYQGVSSWKWYYPYHYAPFASDFKDLADQEITFLPGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKIEDTLTEEEQFRNSVMLDLLYVNRAHDLASHILSYYHVSSQLAPHKRCVRPIDTNARNITFLNPRKHNHIPKLPDGVVIPNKVLKATDIKPFPVLWHEDNIGQRLQARERPRVPGAIVGYQLGEAAHRLVKNTLNIKSNNTSHGLLEQPPHHHKTNRLRSAGYGKYHGENANGYNGQYNHHGMMTTPKYGGHNNDRQNFKIRDRLQREEQFHNVKTEFSALSMEERERPRSLRFPSSRPIANLQPRFVQNTDPSIPPTKWMTKPQPTNSMPTRNQEAALGPTTYDKQTKKVYQVRIRQLDTPESAKQ >Vigun11g135500.1.v1.2 pep primary_assembly:ASM411807v1:11:34490625:34493975:-1 gene:Vigun11g135500.v1.2 transcript:Vigun11g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPAEKMAKKSIGSLMRKKLSDITNNANNANNAHSQQLHSFPSDNNSIQQLLKERTALIQLLAERNKMIEVSGAELQRLRGDVKKLQLQNWNLAQSNSHMLAELNMGRERIKTLQYEILWRAALIKGKNMNVQNKGEINCVENPTLTKLQEEDEKTVQLSPEDSNDEQHKCMNRRRIRSKSTGSSTASKKTGKDKAKENRRRLRRHSTTFKTHEHEPLENLFELEDAYLVTQSGPNTMRSPTSKTERGESSDERNKAPRCSFGRPLRRAVQKVHSYKEVPVNVKLRRLD >Vigun11g147566.2.v1.2 pep primary_assembly:ASM411807v1:11:35641641:35645303:-1 gene:Vigun11g147566.v1.2 transcript:Vigun11g147566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRREFATAEVMESDLEFAFRLQLQEALEVSLAVNPSSSSAVLEQPIVEVEDAVNLTSLQNEELARVECELKDREQSEREMQKMRDDLSRRFHDQKVARDLLLISEADWQEWGDHFEKPFGEGSSSSRKTGTEEGMVRVYLKGLVSEETVRGKKVLLSGIGVAICDGRNNLLFEVSKSVIGNGTSKVAVEMKALIEAFKMALAMNLKRITYYTDYLPLLQFVRGKWSARQRKIAMLVDQIRVLQLKFTYCDSRLLARNDVKFAFKLARDAIVSQSMRPTEPGSTRSLNETCAICLEDTDASQIFSVDGCQHRYCFSCMKQHVEVKLLHGIAPKCPHEGCKSELLVDSCQKFLTQNLIDTMRQRNLEASIPVTEKVYCPYPRCSALMTKTQVFEYSKNLIGGSVLSGAKKCLNCHGLFCFNCKVPWHSGMPCFIYKMLNPNPPAEDLKLKSLASTSLWRQCVKCNHMIELAEGCYHMTCRCGFEFCYNCGAEWKNKRATCSCPLWVEENIWLEERDLDEDDDEDDDPFG >Vigun11g147566.1.v1.2 pep primary_assembly:ASM411807v1:11:35635285:35645303:-1 gene:Vigun11g147566.v1.2 transcript:Vigun11g147566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRREFATAEVMESDLEFAFRLQLQEALEVSLAVNPSSSSAVLEQPIVEVEDAVNLTSLQNEELARVECELKDREQSEREMQKMRDDLSRRFHDQKVARDLLLISEADWQEWGDHFEKPFGEGSSSSRKTGTEEGMVRVYLKGLVSEETVRGKKVLLSGIGVAICDGRNNLLFEVSKSVIGNGTSKVAVEMKALIEAFKMALAMNLKRITYYTDYLPLLQFVRGKWSARQRKIAMLVDQIRVLQLKFTYCDSRLLARNDVKFAFKLARDAIVSQSMRPTEPGSTRSLNETCAICLEDTDASQIFSVDGCQHRYCFSCMKQHVEVKLLHGIAPKCPHEGCKSELLVDSCQKFLTQNLIDTMRQRNLEASIPVTEKVYCPYPRCSALMTKTQVFEYSKNLIGGSVLSGAKKCLNCHGLFCFNCKVPWHSGMPCFIYKMLNPNPPAEDLKLKSLASTSLWRQCVKCNHMIELAEGCYHMTCRCGFEFCYNCGAEWKNKRATCSCPLWVEENIWLEERDLDEDDDEDDDPFG >Vigun03g319600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51398753:51400675:1 gene:Vigun03g319600.v1.2 transcript:Vigun03g319600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAAAAAATSKLAYPHVYPSPSPSSNSLFLKSHTPSTTHLSSSFIHPSTVLHLAPVPAALRRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASLGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNIVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIVSGSALLALEALMANPSIKRGENQWVDKIYELMEAVDNYIPIPQRQTELPFLLAIEDVFTITGRGTVATGRVERGTIKVGETVDIVGVKETRNTTVTGVEMFQKILDEALAGDNVGLLLRGIQKTDIQRGMVLSKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >Vigun09g265500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42961865:42964176:1 gene:Vigun09g265500.v1.2 transcript:Vigun09g265500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTNTNTDTTATTSKESKTRLPDFSQSVKLKYVKLGYHYLMSHLVTLCLLPLMSVILIQASQMEAQEIQELWAHLQYNLLSVIAISAILALGSTLYIMTRPTSIYLLDFSCYRPPHHLTVHFQKFIHHSTLTGDFLPSSIDFQRKILLRSGLGEETYLPEAMHSIPPRPSMAAAREEAEQVMFGALDNLFANTRVKPKDIGILVVNCSLFNPTPSLSAMIVNRYKLRGNVKSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNNKAMLIPNCLFRVGGAAILLSNKSSDRRRAKYKLLHVVRTHKGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFLTLVVKKLFNAKMKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPLHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWEALRHVNPSPKSPWEDCIHKYPVQIA >Vigun04g178700.1.v1.2 pep primary_assembly:ASM411807v1:4:40220152:40226366:-1 gene:Vigun04g178700.v1.2 transcript:Vigun04g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEKVVAVIMVGGPTKGTRFRPLSFNIPKPLFPLAGQPMVHHPISACKRIPNLAHIYLVGFYEEREFALYVSSISNELKLPVRYLKEDKPRGSAGGLYYFRDIIMDDSPSHIFLLNCDVCCSFPLPAMLDAHKRYGGMGTMLVIKVSAESANQFGELVADPTTNELLHYTEKPETFVSNLINCGVYVFTPNIFTAIDDVYIGREGIANLRRLSNFETLQSATRNIPADFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSELYLAQFRYTSPDLLACGDGNKSATVSGDVYIHPSAKVHPSAKIGPNVSISANVRVGAGVRLSGCIILDDVEIMENAFVTNSIIGWKSSLGRWSHVQADGNYNARLGTTILGEAVTVEDEVVVFNCIVLPNKTLNVSVQEEIIL >Vigun04g178700.2.v1.2 pep primary_assembly:ASM411807v1:4:40220152:40226366:-1 gene:Vigun04g178700.v1.2 transcript:Vigun04g178700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHPISACKRIPNLAHIYLVGFYEEREFALYVSSISNELKLPVRYLKEDKPRGSAGGLYYFRDIIMDDSPSHIFLLNCDVCCSFPLPAMLDAHKRYGGMGTMLVIKVSAESANQFGELVADPTTNELLHYTEKPETFVSNLINCGVYVFTPNIFTAIDDVYIGREGIANLRRLSNFETLQSATRNIPADFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSELYLAQFRYTSPDLLACGDGNKSATVSGDVYIHPSAKVHPSAKIGPNVSISANVRVGAGVRLSGCIILDDVEIMENAFVTNSIIGWKSSLGRWSHVQADGNYNARLGTTILGEAVTVEDEVVVFNCIVLPNKTLNVSVQEEIIL >Vigun03g378300.1.v1.2 pep primary_assembly:ASM411807v1:3:58215528:58219051:-1 gene:Vigun03g378300.v1.2 transcript:Vigun03g378300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSSIQGPVKEEFTYQAGSAPFKSCHASTIVEVDKDHFLVAYFGGSFEGAPDVKIWVQTYKNGIWQAPVIADQQPNVPMWNPVLFKLPSDVILLFYKIGQEVQKWSGFVKRSYDKGVTWTSREQLPPGILGPIKNKPILLENGDLICGSSVESWNSWGAWVEVTADLGRSWSKHGPIYIENEPLSVIQPVPYQTANGKLRVLLRSFDGIGTVCMSESSDGGKTWGYAKPTQLPNPNSGIDGVKLRDGRLLLAYNTKSRGVLKVALSEDDGDSWCEILTLEDTLGMEFSYPAVIQDSDERIHITYTYDRTQIKHVVLLPS >Vigun09g065000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6867168:6870510:-1 gene:Vigun09g065000.v1.2 transcript:Vigun09g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHVSKQFSSMRLSNHYCELLKHCSDTKKVHCNIIKAFRNPETFLLNNLVNAYAKNGNIIYARLVFDQMPQRNLYSWNTLLSTYSKLGRLPEMKRVFDAMPNRDMVSWNSLISGYAGRGFLGQSVKAYNMMLYDGSFNLTRIALSTMLILASNQDNVRLGRQIHGHVVKFGFQSYVFVGSPLVDMYSKTGLIFCARQVFDEMPQKNVVMYNTLIAGLMRCGRIEDSRQLFYEMREKDSISWTAMIAGFTQNGFEIEAIDLLREMRLENLEMDQYTFGSVLTACGGVAALQEGKQVHAYIIRTDYQDNIFVGSALVDMYCKCKSIKSAESFFRKMTCKNVVSWTAMLVGYGQNGYCEEAVKIFCDMQKYGIEPDDFTLGSVISSCANLASLEEGAQFHGKALVSGLISFITVSNALVTLYGKCGSIEDSHRLFSEMIFLDEVSWTALVSGYAQFGKANETIRLFESMLAHGFKPDKVTFIGVLSACSRAGLVEKGNQIFESMIKEHRIIPIHDHYTCMIDLFSRAGRLEEARNFINKMPFGPDAIGWASLLSACRFYKNMEIGKWAAESLIKLEPHNTASYILLSSIYAAKGKWEEVSILRKGMRDKGLRKEPGCSWIKYKNQVHIFSADDRSNPFSDQIYSELEKLNYKMVQEGYVPDMNSVLHDVEDTEKIKMLNHHSEKLAIAFGLIFIPPGLPIRVVKNLRVCGDCHNATKYISKITQREILVRDAARFHLFKDGTCSCGDFW >Vigun07g059150.1.v1.2 pep primary_assembly:ASM411807v1:7:6608112:6609543:1 gene:Vigun07g059150.v1.2 transcript:Vigun07g059150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELVKKEGKEELFTIARGIGTPLSLDDCMLARSKGFLARILVDVDLQYNLPLQFMMERKGFVLVVDLEYERVPDFRHYDWMLHSIQPEKEVQDAQLSDAQEIDNATDCMHDHNTINPVQDFGTSEEHQRKEYDTEESLSDFESERGQQTKTLESKEEQLNIQSTEIARDIRIMSKFWGDS >Vigun10g001200.6.v1.2 pep primary_assembly:ASM411807v1:10:155632:160142:1 gene:Vigun10g001200.v1.2 transcript:Vigun10g001200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNQGFGDFDRLRHRSPSPMASSNLMSSVSGTGLGGWNSLQQERLRGTPGMTMDWQVAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun10g001200.2.v1.2 pep primary_assembly:ASM411807v1:10:154293:160142:1 gene:Vigun10g001200.v1.2 transcript:Vigun10g001200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNSNFSPVRAASPQIRTNPEVDSQYLTELLAEHQKLGPFMQALPICTRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSSVSGTGLGGWNSLQQERLRGTPGMTMDWQVAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun10g001200.5.v1.2 pep primary_assembly:ASM411807v1:10:154275:160142:1 gene:Vigun10g001200.v1.2 transcript:Vigun10g001200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNSNFSPVRAASPQIRTNPEVDSQYLTELLAEHQKLGPFMQALPICTRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSSVSGTGLGGWNSLQQERLRGTPGMTMDWQVAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun10g001200.4.v1.2 pep primary_assembly:ASM411807v1:10:154253:160142:1 gene:Vigun10g001200.v1.2 transcript:Vigun10g001200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNSNFSPVRAASPQIRTNPEVDSQYLTELLAEHQKLGPFMQALPICTRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSSVSGTGLGGWNSLQQERLRGTPGMTMDWQVAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun10g001200.3.v1.2 pep primary_assembly:ASM411807v1:10:154443:160150:1 gene:Vigun10g001200.v1.2 transcript:Vigun10g001200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNSNFSPVRAASPQIRTNPEVDSQYLTELLAEHQKLGPFMQALPICTRLLNQEILRVSGMLSNQGFGDFDRLRHRSPSPMASSNLMSSVSGTGLGGWNSLQQERLRGTPGMTMDWQVAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >Vigun09g012300.1.v1.2 pep primary_assembly:ASM411807v1:9:908643:915554:-1 gene:Vigun09g012300.v1.2 transcript:Vigun09g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQKMQICTLTLISLCALSFFSVYCSQYLTSDTSRIPLFAFSWWDDKGTFEAGDTATIKVKVLENADKIDKKVFNPTLTVNGKEGNSSYVSTVLSDFEGDPNEWKIFFTPIRVGLFNVLLTEERYKVDDSSLHFQVEPGNMYPSVCVASWKGVRHEYEAGSKATLMVLLKDAFGNGISKTTQVSYLPDFKLSVLHENGSVASAPDIFNMGWTEFDYIVIEFIVTKAGKFSLSLEGGNQTLKGSPLPLKVNPGAIDVSNCIAKWNIESHAWQLSSKMEIFIHQLDKYGNLVSGLYPFDFEVVETDTNLSIPISDLHFQGVDAGIQLFSFSNFEPGNFLLTIYDSKHNKSISNMPYGYTVFIGYCDGVKSVVNGSGLNDSVAGVKEEFSVYLNDIYQYPSPVQANILQVQILRENDSYRVMPFIYAVLDSNGSRIASGVRYDGIGRMETVPSPSIVLRNNSNGSGSFIMASDFRVNYIPEKSGLYDINVYCGNILLNEGHSFRKEVKAGEVNVSLSSVVRFSPKVPKLFKNEIIVQLVDSYLNPVLSQQSRLKLEIASANSSGFSSLDITDNKDGSYSCSYMVKDVGTYDICASFDGKRFLSCPLSINVYSSEYFPKANNDTISIWEDQSIAFDVLANDYFAGDDASMVEFSKSGHGSLIQNGRIFRYTPYKGYYGNDSFWYTISDINGNLASATVCIFVLNVPPQFVSAPNQLQATEDLISPRFGGFTGFEITYSNPMENISVNLSAQSGIVLLSPMAMQFGQVMWSELTVDMGNETATSLIIEGSVEVINFALQSIQYLGNENFYGGDTIQVSTRNKNGVNSVGVPIFVDPINDPPFIRAPYFIILRSNEDETLIFDQEKDKFDFSIGDPDLLTFPGGEAHFFVTFSMEVNDGWLVANLPVHLINTTELKHRINYQWQPLQTYVTISEHFMVKAHGIRFQGTINDCNSVMQQLFYHGGEHGAVLTMTLNDMGNYGCYPDCADGMSMPLYAEVTVNLMRKQPMSSFLAHTLGSIIVIEFVIIFSLGALLLYFTCKCAILLAHERRNREKRSSELSTSQSFPTETVNVHI >Vigun03g075400.4.v1.2 pep primary_assembly:ASM411807v1:3:6262401:6266458:1 gene:Vigun03g075400.v1.2 transcript:Vigun03g075400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEGNPSKRRASVLKFFRELPIQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGKLTWVEQRVAVRALGHLATYASTFPAIASNGEILELSIQLAMSSLEIVYSYFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKHEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIDALCNIARSSDDWQYMAIDCLLWLLQDLNTCHKVIDKVVPVLVDLAEITTLGDHKKLGDSIVSVLQECIQSQGSGRSSISSRTKEQIEDILNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSRKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHKPVNRHAKSLWRRAQANDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHSQGDGGDMYGPETDDSEWETASESDIGNDGRDDMGDDDDGDWNNDDDRKDYDKPSMKDIKHGYNVQLAEEEP >Vigun03g075400.2.v1.2 pep primary_assembly:ASM411807v1:3:6261603:6266478:1 gene:Vigun03g075400.v1.2 transcript:Vigun03g075400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEGNPSKRRASVLKFFRELPIQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGKLTWVEQRVAVRALGHLATYASTFPAIASNGEILELSIQLAMSSLEIVYSYFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKHEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIDALCNIARSSDDWQYMAIDCLLWLLQDLNTCHKVIDKVVPVLVDLAEITTLGDHKKLGDSIVSVLQECIQSQGSGRSSISSRTKEQIEDILNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSRKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHKPVNRHAKSLWRRAQANDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHSQGDGGDMYGPETDDSEWETASESDIGNDGRDDMGDDDDGDWNNDDDRKDYDKPSMKDIKHGYNVQLAEEEP >Vigun03g075400.1.v1.2 pep primary_assembly:ASM411807v1:3:6261612:6266458:1 gene:Vigun03g075400.v1.2 transcript:Vigun03g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEGNPSKRRASVLKFFRELPIQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGKLTWVEQRVAVRALGHLATYASTFPAIASNGEILELSIQLAMSSLEIVYSYFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKHEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIDALCNIARSSDDWQYMAIDCLLWLLQDLNTCHKVIDKVVPVLVDLAEITTLGDHKKLGDSIVSVLQECIQSQGSGRSSISSRTKEQIEDILNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSRKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHKPVNRHAKSLWRRAQANDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHSQGDGGDMYGPETDDSEWETASESDIGNDGRDDMGDDDDGDWNNDDDRKDYDKPSMKDIKHGYNVQLAEEEP >Vigun03g075400.5.v1.2 pep primary_assembly:ASM411807v1:3:6262401:6266458:1 gene:Vigun03g075400.v1.2 transcript:Vigun03g075400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEGNPSKRRASVLKFFRELPIQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGKLTWVEQRVAVRALGHLATYASTFPAIASNGEILELSIQLAMSSLEIVYSYFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKHEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIDALCNIARSSDDWQYMAIDCLLWLLQDLNTCHKVIDKVVPVLVDLAEITTLGDHKKLGDSIVSVLQECIQSQGSGRSSISSRTKEQIEDILNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSRKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHKPVNRHAKSLWRRAQANDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHSQGDGGDMYGPETDDSEWETASESDIGNDGRDDMGDDDDGDWNNDDDRKDYDKPSMKDIKHGYNVQLAEEEP >Vigun03g075400.3.v1.2 pep primary_assembly:ASM411807v1:3:6262401:6266458:1 gene:Vigun03g075400.v1.2 transcript:Vigun03g075400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSSDCPYPGCFFCVMKEGNPSKRRASVLKFFRELPIQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGKLTWVEQRVAVRALGHLATYASTFPAIASNGEILELSIQLAMSSLEIVYSYFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKHEFLPTICKPEFLIKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIDALCNIARSSDDWQYMAIDCLLWLLQDLNTCHKVIDKVVPVLVDLAEITTLGDHKKLGDSIVSVLQECIQSQGSGRSSISSRTKEQIEDILNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSRKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHKPVNRHAKSLWRRAQANDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHSQGDGGDMYGPETDDSEWETASESDIGNDGRDDMGDDDDGDWNNDDDRKDYDKPSMKDIKHGYNVQLAEEEP >Vigun06g072700.2.v1.2 pep primary_assembly:ASM411807v1:6:20373231:20377115:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEMSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDSNMWNTFTFASRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYVIHADVVKLPMFWVVATASGLLGLSISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGLFAGVLFARAKISS >Vigun06g072700.1.v1.2 pep primary_assembly:ASM411807v1:6:20373177:20377278:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYVIHADVVKLPMFWVVATASGLLGLSISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGLFAGVLFARAKISS >Vigun06g072700.3.v1.2 pep primary_assembly:ASM411807v1:6:20373231:20377115:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDSNMWNTFTFASRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYVIHADVVKLPMFWVVATASGLLGLSISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGLFAGVLFARAKISS >Vigun06g072700.5.v1.2 pep primary_assembly:ASM411807v1:6:20373232:20377115:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEMSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYRCR >Vigun06g072700.6.v1.2 pep primary_assembly:ASM411807v1:6:20373177:20377279:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYRCR >Vigun06g072700.4.v1.2 pep primary_assembly:ASM411807v1:6:20373232:20377115:1 gene:Vigun06g072700.v1.2 transcript:Vigun06g072700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEMSSDFKLDIIDQHDPEEPGLGRGMISEKLLDERTNAMHTVRKQGNLTINNFATNAERRALNDRFLLKANKATISDNVSLDEEERHHLLGSAKKSVPLISGAAYCISSCSMIMLNKIVLSGYNFNAGVSLMLYQNFISTLVVVLLALSGRVAVEKLSWRLIRVWIPVNVVFIGMLVSGMYSLKYINVAMVTILKNVTNILTAIGELYLFRKRQNPKVWTAMFMMIISAVTAGITDLSFDTVGYAWQIINCVLTASYSLTLRWVMDEAKKSTKSGSLKEVSMVLLNNLLSLPFAIILILLFGEWHYVIHADVVKLPMFWVVATASGLLGLSISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGLFAGVLFARAKISS >Vigun08g178700.1.v1.2 pep primary_assembly:ASM411807v1:8:34846529:34850355:-1 gene:Vigun08g178700.v1.2 transcript:Vigun08g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNNIMSLRGVTLWCVWLCLLEVSVGTVRKYKFDVQYMYGEPDCVEQVVIGINGHFPGPTITAEEGDTLHIILTNKLFTEGTVLHWHGIRQYGTPWADGTASISQCAIAPGESFHYRFTVDRAGTYFYHGHYGLQRTAGLYGFLIVDLAKGKKEAFGYDGEFNLLLSDWWHKTTREQELGLSSIPFRWVNEPQSLLMNGRGQYNCSMAANVMNTSLPQCKFRGNEKCAPQIFYVHPNKTYRIRIASTTSLASLNLAIGNHKLVVVEADGNYVKPFTVDDMDIYSGETYSVLVTTNQDPNNNYWISIGVRGRKPNTPQGLTILNYKTNPATVFPTSPPPITPQWDDYNHSKTFTYKIFSLKGTEKPPKYYDRRLLLLNTQNLVRGYTKWAINNVSLALPSTPYLGSIKFNFNGSFDTKNPPNTFSEDYDIYNPPLNPNANTGSGVYMFQLNQVVDVILQNANVMKGKGSEIHPWHLHGHDFWVLGYGDGRFEEGDERKFNLKNPPLRNNVVVFPYGWTALRFRADNPGVWPFHCHIEPHLFMGMGVIFAEALLNVKRIPSEALACGLLRNMSITKKHN >Vigun03g177600.1.v1.2 pep primary_assembly:ASM411807v1:3:22110834:22113925:1 gene:Vigun03g177600.v1.2 transcript:Vigun03g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHGLTVHGSNHRGFCVPTVSPVFLRPTRPVTRIAFPKATLKNEGLVMDLSFYELLGIPESGSLMEIKNAYKQLARKYHPDVSPPGRVEEYTKRFIQVQEAYETLSDPSRRAMYDHDMAKGINFAFNARRRRNYHDQVVEQKTEWKSRWQSQLSELKRRSSSKDGGGNMSWAARMRQQRDESSNES >Vigun01g170800.1.v1.2 pep primary_assembly:ASM411807v1:1:35269142:35272632:-1 gene:Vigun01g170800.v1.2 transcript:Vigun01g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKYLLHELLQEDQEPFLLNKYISDRRSQMKRPSPNNTLQVKKRKSTNPTTSFPGNLCKSACLFSLTDTPDFRKSPLFEFASAAKSPCKSPNAIFLHIPSRTASLLLEAAVRIQKHSSPTSRPKTKAQSFGLLGSLYKRLTQRSQKKREIQGGGSVKVSVKDILKWDSSVGRKKGSNKKEDEETVNVNANACEVGGFVCSYNGRTSSAVWSETNEDKSLDMETSSSGYSDEVHFITNYKNPTCFCESPFRFVLQTSSPSSGRHTPELTSPSCHATEEKESNEGESVKKFESGEEEEEDNEQCSPVCVLDPPFEDDEEGHGNGDEGVEGGFDLECSYAIVQRAKQQLLYKLRRFEKLAELDPVELERRIMHDEEDEEEDESFIENEDKETSRKGNDCREVILKGVWQSRVHDRQHIPDEFKKLVSDLIMEEERAVDGFEDRDMVIRRICKRLELWKEVESNTIDMMIEDDFCREDGGWKKNAEQIRNMAGELECAIFGFLVEEFSEELVC >Vigun09g191200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36580111:36580518:1 gene:Vigun09g191200.v1.2 transcript:Vigun09g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNQFSCPTSSDMNVGFQGTACDVSLEGNNEVATENAKCECCGMCEECSEEYIGRVREMFCGNMICGLCAEAVDVEMEKNGGRREKALEEHMNDCVRFNWLGRSYPALYQAEDVKEILKKTLRCSRAMSTTSKD >Vigun05g213300.1.v1.2 pep primary_assembly:ASM411807v1:5:40402817:40405076:-1 gene:Vigun05g213300.v1.2 transcript:Vigun05g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDLNASSISVGGIYDMLLDSVNNEDTRPVIRLGRVDPTDNPLFRTTNVAHDAVTTSVQSFNFNCYPPTLGLLEAKRVIADHVSVHLPHKLSAENVFLTIGGTQAIDIILPSIARPGANILLPKPGYPQYELRASRCHLEVRYFDLLPDRGWEVDLDSLENLADENTVALVIISPSSPCGNVFAYEHLKKVAEIASKLGIFVISDEVYAHVVFGSKPFVPMGEFASIVPVITIGSFSKRWIIPGWRIGWIAICDPQGILQESGIVSKIIEYIEITDNPPTIVQQAAIPDILEKTPNDFHSNNLGILREVADIFYDGCKEIPCLTCPLKPEGAMVVMVEINLSQLEDIVDDVQFCSKLAKEESVILFPGVIVGLKNWIRVSLAVDPSDLKEGLERIKAFGLRHAKLS >Vigun05g213300.2.v1.2 pep primary_assembly:ASM411807v1:5:40402817:40405076:-1 gene:Vigun05g213300.v1.2 transcript:Vigun05g213300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDLNASSISVGGIYDMLLDSVNNEDTRPVIRLGRVDPTDNPLFRTTNVAHDAVTTSVQSFNFNCYPPTLGLLEAKRVIADHVSVHLPHKLSAENVFLTIGGTQAIDIILPSIARPGANILLPKPGYPQYELRASRCHLEVRYFDLLPDRGWEVDLDSLENLADENTVALVIISPSSPCGNVFAYEHLKKVAEIASKLGIFVISDEVYAHVVFGSKPFVPMGEFASIVPVITIGSFSKRWIIPGWRIGWIAICDPQGILQESGIVSKIIEYIEITDNPPTIVQAAIPDILEKTPNDFHSNNLGILREVADIFYDGCKEIPCLTCPLKPEGAMVVMVEINLSQLEDIVDDVQFCSKLAKEESVILFPGVIVGLKNWIRVSLAVDPSDLKEGLERIKAFGLRHAKLS >Vigun08g083900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:18176250:18176883:1 gene:Vigun08g083900.v1.2 transcript:Vigun08g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCAKQPTQMPTLFRPLPNYRRHGRRQLRPPSSCHYQRRLPLLPCQLRARQSILTIALKVVLLPHSTSTCFVQHHSPSRKSFTISPSLVTVMHSSSLVTRPTVQTLILPEP >Vigun03g351700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55352085:55352775:1 gene:Vigun03g351700.v1.2 transcript:Vigun03g351700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIPIRVAGIQACATSGHRRSDADRRKNSSANWWIPLFGWSSEPDYIESSSKASSTQPAKLEAVVKDSKRPKPRFAGGFTEEKAKQFRMMTAESFHDTMYHSAIASRLASDFKARTDL >Vigun07g189400.1.v1.2 pep primary_assembly:ASM411807v1:7:30740363:30746135:-1 gene:Vigun07g189400.v1.2 transcript:Vigun07g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHFNPTSSKPRLHSSQLSFLSRTLPRHRHCSFAPLHRTQHARISCSVAPNEVQVPAVKTLDPKSKAECYGVFCLTYDLRAEEETRSWKKLINIAVSGAAGMIANHLLFKIASGEVFGPDQPVALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERADLLDINGQIYAAQGRALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGLPVKEVVKDHRWLEEEFTEKVQKRGGALIKKWGRSSAASTSVSIVDAIRSLVTLTPEGDWFSSGVYSNGNPYGIAEGIVFSMPCRSKGDGDYELVKDVIIDDYLWQRIKKTEAELLAEKRCVAHLTGEGIAVCDLPGDTMLPGEM >Vigun10g176300.1.v1.2 pep primary_assembly:ASM411807v1:10:39485383:39490361:-1 gene:Vigun10g176300.v1.2 transcript:Vigun10g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFKQEQDMEIEALEAILMDEFKEIHSGESGLNTSNRCFQIKVVAQDDDTDGPITNPAQLALIFSHTERYPDEPPLLNLKSLQGIHVEDLRILKEKLLQEASENLGMAMIYTLVTSAKEWLSDRFCQDSVDGSTEVEEAAKDDIVIPHGEPVTVETFLAWRERFEAELALERAKLMPESVLTAPKEKKLSGRQWFESGRAKGVAAVNEESDLEDEEDIDFDEDDFEDDDEEDMLEHYLAEKSDSSAHSSRRAS >Vigun03g133400.1.v1.2 pep primary_assembly:ASM411807v1:3:13074649:13082167:-1 gene:Vigun03g133400.v1.2 transcript:Vigun03g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVISSFRCFFLLSAFLVLDFMVKVSGNQEGDALSALKGNMIDPNNVLQSWDSTLVNPCTWFHVTCNNDNSVIRVDLGNANLSGELAAQLGQLSNLQYLELYSNNITGKIPIELGSLTNLVSLDLYSNKITGLIPDELANLKKLRFLRLNNNSLSGKIPVSLTNVDKLQVLDLSINNLTGDVPTNGSFSYFTPISFRGNPLLKNVTIQSPPTAEPPPSPSGNSNRVIGIIAGGVAVGAALLFAAPVITLVYCKRRKPHDFFFDVAAEEDPEVHLGQLRRFSLRELQVATDSFNNKNILGRGGFGKVYKGRLTNGDLVAVKRLKEERTQGGEMQFQTEVEMISMAVHRNLLRLHGFCMTPTERLLVYPFMVNGSVASCLRDRPEAQAALEWSKRKGIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKRLETLVDGDLDGNYEEEEVEELIQVALLCTQSSPFERPKMSEVVRMLEGEGLAEKWEKWWQKEDIIQQNFDPSRLHHAYWPLLDSSSNITPDELSGPR >Vigun03g133400.2.v1.2 pep primary_assembly:ASM411807v1:3:13074649:13082167:-1 gene:Vigun03g133400.v1.2 transcript:Vigun03g133400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVISSFRCFFLLSAFLVLDFMVKVSGNQEGDALSALKGNMIDPNNVLQSWDSTLVNPCTWFHVTCNNDNSVIRVDLGNANLSGELAAQLGQLSNLQYLELYSNNITGKIPIELGSLTNLVSLDLYSNKITGLIPDELANLKKLRFLRLNNNSLSGKIPVSLTNVDKLQVLDLSINNLTGDVPTNGSFSYFTPISFRGNPLLKNVTIQSPPTAEPPPSPSGNSNRVIGIIAGGVAVGAALLFAAPVITLVYCKRRKPHDFFFDVAAEEDPEVHLGQLRRFSLRELQVATDSFNNKNILGRGGFGKVYKGRLTNGDLVAVKRLKEERTQGGEMQFQTEVEMISMAVHRNLLRLHGFCMTPTERLLVYPFMVNGSVASCLRGAARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKRLETLVDGDLDGNYEEEEVEELIQVALLCTQSSPFERPKMSEVVRMLEGEGLAEKWEKWWQKEDIIQQNFDPSRLHHAYWPLLDSSSNITPDELSGPR >Vigun06g093800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22537619:22538611:1 gene:Vigun06g093800.v1.2 transcript:Vigun06g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAIAVVFVAAVILTLSATSVTARPCRTFIISSYSFRNPNSNTFATITEIRSFTPVFITDKPSSYDVFFPHALPQQERVNPRAPLGFASAYDFSSLRDRTKDILSVALALLFGVGCGALTAATMYLVWSVFPMRHHAAAYDDFSSDEEIESPKKLGYVKIPAPETVAAAPTPAKGSV >Vigun03g097200.4.v1.2 pep primary_assembly:ASM411807v1:3:8229411:8238951:1 gene:Vigun03g097200.v1.2 transcript:Vigun03g097200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDLVLNIPLQHCNYGSPRKLPLKQVKVSTIKVVCNSKSSFLAAADDNGEVKIIDIRQQCLYKTLRAGHTSICSTVEFLPWRSWEVISGGLDSMLMLWDFSKGRPYKVVDFATFDVSSSAAGRCVNPAFVHAIAVPEVDMLDKLDKICAVARGDGAINVINVETEMASTKSKSSSNSRKVSHSRSKDGSSSSNTDADQNEKKRLHLDYSLGGHTAAISSLAFSMFGERGKFLISGGNDKLVKVWNWSLCLDAGLSEDGNNDILHLNIEVPRKVNWLCTTSADTDNLVVCDTSKVVKVYSIA >Vigun03g097200.2.v1.2 pep primary_assembly:ASM411807v1:3:8228873:8238951:1 gene:Vigun03g097200.v1.2 transcript:Vigun03g097200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVAKLKLKPRRLKGHDDSTTCCISSRERSHFLVTSGDDGRVCWFDLRCPDVPQLVMDVSVEPVSSICFKSGMEDTIYASSGKEIKGFDVRLAAAQWKPLESYNYNKEEINKVVCNSKSSFLAAADDNGEVKIIDIRQQCLYKTLRAGHTSICSTVEFLPWRSWEVISGGLDSMLMLWDFSKGRPYKVVDFATFDVSSSAAGRCVNPAFVHAIAVPEVDMLDKLDKICAVARGDGAINVINVETEMASTKSKSSSNSRKVSHSRSKDGSSSSNTDADQNEKKRLHLDYSLGGHTAAISSLAFSMFGERGKFLISGGNDKLVKVWNWSLCLDAGLSEDGNNDILHLNIEVPRKVNWLCTTSADTDNLVVCDTSKVVKVYSIA >Vigun03g097200.5.v1.2 pep primary_assembly:ASM411807v1:3:8230673:8238951:1 gene:Vigun03g097200.v1.2 transcript:Vigun03g097200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVDLVLNIPLQHCNYGSPRKLPLKQVKVSTIKVVCNSKSSFLAAADDNGEVKIIDIRQQCLYKTLRAGHTSICSTVEFLPWRSWEVISGGLDSMLMLWDFSKGRPYKVVDFATFDVSSSAAGRCVNPAFVHAIAVPEVDMLDKLDKICAVARGDGAINVINVETEMASTKSKSSSNSRKVSHSRSKDGSSSSNTDADQNEKKRLHLDYSLGGHTAAISSLAFSMFGERGKFLISGGNDKLVKVWNWSLCLDAGLSEDGNNDILHLNIEVPRKVNWLCTTSADTDNLVVCDTSKVVKVYSIA >Vigun03g097200.3.v1.2 pep primary_assembly:ASM411807v1:3:8229411:8238951:1 gene:Vigun03g097200.v1.2 transcript:Vigun03g097200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTQDGRVCWFDLRCPDVPQLVMDVSVEPVSSICFKSGMEDTIYASSGKEIKGFDVRLAAAQWKPLESYNYNKEEINKVVCNSKSSFLAAADDNGEVKIIDIRQQCLYKTLRAGHTSICSTVEFLPWRSWEVISGGLDSMLMLWDFSKGRPYKVVDFATFDVSSSAAGRCVNPAFVHAIAVPEVDMLDKLDKICAVARGDGAINVINVETEMASTKSKSSSNSRKVSHSRSKDGSSSSNTDADQNEKKRLHLDYSLGGHTAAISSLAFSMFGERGKFLISGGNDKLVKVWNWSLCLDAGLSEDGNNDILHLNIEVPRKVNWLCTTSADTDNLVVCDTSKVVKVYSIA >Vigun03g097200.1.v1.2 pep primary_assembly:ASM411807v1:3:8228873:8238951:1 gene:Vigun03g097200.v1.2 transcript:Vigun03g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVAKLKLKPRRLKGHDDSTTCCISSRERSHFLVTSGDDGRVCWFDLRCPDVPQLVMDVSVEPVSSICFKSGMEDTIYASSGKEIKGFDVRLAAAQWKPLESYNYNKEEINKVVCNSKSSFLAAADDNGEVKIIDIRQQCLYKTLRAGHTSICSTVEFLPWRSWEVISGGLDSMLMLWDFSKGRPYKVVDFATFDVSSSAAGRCVNPAFVHAIAVPEVDMLDKLDKICAVARGDGAINVINVETEMASTKSKSSSNSRKVSHSRSKDGSSSSNTDADQNEKKRLHLDYSLGGHTAAISSLAFSMFGERGKFLISGGNDKLVKVWNWSLCLDAGLSEDGNNDILHLNIEVPRKVNWLCTTSADTDNLVVCDTSKVVKVYSIA >Vigun10g144200.1.v1.2 pep primary_assembly:ASM411807v1:10:36144994:36147735:-1 gene:Vigun10g144200.v1.2 transcript:Vigun10g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAKCEQVSVIDELLLGKELTKKLCDQLSSSSSSSSSCDTNEVLIEKIISTMEKALAKVNCMANVGENKAMMDSHCSFTNGSPKSEVVEPEVEHKHVSKKRKTMPRRREQVKVCLGTEGNKEDGYNWRKYGQKDILGAKFPRGYFRCTYRNIQGCLATKQVQKSDEDPMIYEITYIGRHTCTQAATVVPPSKTKVRIQENQHQNNEKNQPQEKIEQPPETIFSFGPQGEVKVEDLDLDLDHKHQIFPSFCFSSPSIGSENEETNNIFSYSMIENNLVENFSPSFMSPTTSESDMFCHWESTGLGQNVHNSSSDITDIVSTPTSVTYSPIMDLDFFLDKIDFDIDFPMNTRELCI >Vigun03g432200.3.v1.2 pep primary_assembly:ASM411807v1:3:63621935:63625160:-1 gene:Vigun03g432200.v1.2 transcript:Vigun03g432200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVLLNSCPPQHVSLQRFRILKPSIWVTKPNIVSSPSVSTSAPLRCVSFCCNSSATSSVESNLPSSAKIFVKGLPHSTSEGRLMKVFSEFGVVNLVQLPIDEESGKSLGFAFIWFVKEESAQLAVQEMNGKVFLMADLFMLQLQSLDHQTIRRGQQPTNFNHMSSC >Vigun03g432200.2.v1.2 pep primary_assembly:ASM411807v1:3:63621935:63625160:-1 gene:Vigun03g432200.v1.2 transcript:Vigun03g432200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVLLNSCPPQHVSLQRFRILKPSIWVTKPNIVSSPSVSTSAPLRCVSFCCNSSATSSVESNLPSSAKIFVKGLPHSTSEGRLMKVFSEFGVVNLVQLPIDEESGKSLGFAFIWFVKEESAQLAVQEMNGKIYLCYNCKAWTIKQFEEDNSLQILII >Vigun03g432200.1.v1.2 pep primary_assembly:ASM411807v1:3:63621935:63625160:-1 gene:Vigun03g432200.v1.2 transcript:Vigun03g432200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVLLNSCPPQHVSLQRFRILKPSIWVTKPNIVSSPSVSTSAPLRCVSFCCNSSATSSVESNLPSSAKIFVKGLPHSTSEGRLMKVFSEFGVVNLVQLPIDEESGKSLGFAFIWFVKEESAQLAVQEMNGKFFDGRFIYVTIAKPGPSNNSKRTTAYKF >Vigun08g112300.1.v1.2 pep primary_assembly:ASM411807v1:8:27834460:27842520:-1 gene:Vigun08g112300.v1.2 transcript:Vigun08g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQAKQNEESKDQKKTSRKTEATTSGFQKMKTNLCPESESVSAQKKRELMEDLVKGHEAATELRLLLQNPFGTQPSLSSSHHLIAKVLRSFTQALTIINSSPFAPVSGQNGPSVPRSGNRPRSGDCSEKRSKNGGRGRYNRRKSVLTWTELSYNTDDNHAWRKYGQKKILNSEFPRSYFRCSHRYDKGCKATKQVQRDEQYPQMYQTTYIGSHTCNEISEVVTNSTTDSSTYWESYLLNSDHDSDHVHETLVCSPKM >Vigun08g215000.1.v1.2 pep primary_assembly:ASM411807v1:8:37548370:37552966:1 gene:Vigun08g215000.v1.2 transcript:Vigun08g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSSSSSSSSSSSTSAPYDAVAAPDIPGPSTRRTAQFEGPSSSRQRAMNEVLPEPFLEALANQLAIDAANYNGRLAAAPALANLFQVCSTWREVSRSDLLWEHLTRRIWRRTFRVRDTWHSDYINWHRTATNFETGRLSFLTPRFDPSEHRRGLICRCLSLSDTRLACGFIDGTVRVFDLETYDHVSTYLSDHGPLFGPYSRSVSGIVISDSDITFARLDGDIYVDAINVHGQSQARRAVSGFVMNNGVLVGFAGTRRRWVGLFAGIAGGAFQVWNAESGERVFVGGSLTDPETVMGWHMLTELVDPVGRVRVAEGEFVVACTGLRLICFNAWNPEVLVRDEISATGFVVSSMDVSPEAFVVVERAGVGTVWRVGTMELMSRFMLRGSWVRGLWGCMNLGYVVTFSPHPSPFLRVWNIEQEDGRLCVRVFLRAGEVNSMVGDDRHVAISSNNINLLDFGVQDDP >Vigun03g221300.1.v1.2 pep primary_assembly:ASM411807v1:3:36795253:36798755:1 gene:Vigun03g221300.v1.2 transcript:Vigun03g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSSPSPSPAPASFTTAPPPQLSSPIVPYNSTSLPPLPSPLPSQPLPPASLPPPPLSPQSSSLPPASISSPPPPPQSSSPSPAASATPGARPPPSRTSPLDSHSTPSHTSALAPPPPSAKDSGPGSSDGGTSAVAFVGIVTAIVLFGFIGITIWCLRRQKKRISKSGGYEFPSPLGSTSESDLSFLKIRSSAHRLQRASGANTPSGMGNSRSFFAYEELMKATNNFSTQNLLGEGGFGCVYKGSLPDGREVAVKQLQIAGSQGKREFKAEVEIISRIHHRHLVSLVGYCISENKRLLVYDYVPNSTLYFHLHGEGMPVLEWTNRVKIAAGAAKGIAYLHEDCNPRIIHRDIKSANILLDYNFEARVSDFGLARLAADANSHVTTHVVGTFGYVAPEYVSSGKFTEKSDVYSFGVMLLELITGRKPVDVSQPAGEESLVEWARPLLNDALESEEFEILKDPKLGKRYVESEMICMVEVAAACVRHSSARRPRMGQVVRAFDGLATSDLSNGMRVGDSTQQSAEIRLLRRMAFGYNSEFLSHTSFNA >Vigun03g221300.2.v1.2 pep primary_assembly:ASM411807v1:3:36795253:36798755:1 gene:Vigun03g221300.v1.2 transcript:Vigun03g221300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSSPSPSPAPASFTTAPPPQLSSPIVPYNSTSLPPLPSPLPSQPLPPASLPPPPLSPQSSSLPPASISSPPPPPQSSSPSPAASATPGARPPPSRTSPLDSHSTPSHTSALAPPPPSAKDSGPGSSDGGTSAVAFVGIVTAIVLFGFIGITIWCLRRQKKRISKSGGYEFPSPLGSTSESDLSFLKIRSSAHRLQRASGANTPSGMGNSRSFFAYEELMKATNNFSTQNLLGEGGFGCVYKGSLPDGREVAVKQLQIAGSQGKREFKAEVEIISRIHHRHLVSLVGYCISENKRLLVYDYVPNSTLYFHLHGEGMPVLEWTNRVKIAAGAAKGIAYLHEDCNPRIIHRDIKSANILLDYNFEARVSDFGLARLAADANSHVTTHVVGTFGEVRCILLWSHAFGAHYWKETSGCISTSR >Vigun10g200100.1.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239286:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQKGALMSNQSTKDLSVMVLKQQQYQKKQSKLSSTLEGTHNESHCLICRGGNSCQTVVSRTKLMNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.8.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239094:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.3.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239286:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.4.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239094:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.7.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239094:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.2.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239287:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQKGALMSNQSTKDLSVLKQQQYQKKQSKLSSTLEGTHNESHCLICRGGNSCQTVVSRTKLMNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.6.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41238614:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQKGALMSNQSTKDLSVLKQQQYQKKQSKLSSTLEGTHNESHCLICRGGNSCQTVVSRTKLMNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun10g200100.5.v1.2 pep primary_assembly:ASM411807v1:10:41235833:41239094:-1 gene:Vigun10g200100.v1.2 transcript:Vigun10g200100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQKGALMSNQSTKDLSVMVLKQQQYQKKQSKLSSTLEGTHNESHCLICRGGNSCQTVVSRTKLMNSLIGKGKPHEAQTVFNNLTEEGHRPTLITYTTLVAALTRQKRFKSIPALLSKVADNGMKPDSILINAMINAFSDSGKVDEAMKIFKKMKEYGCKPTTSTYNTLIKGFGIAGRPYEAMKLSEMMGHDENVKPNDRTYNILIQAWCTKKKLDEAWNVMHKMVASGIQPDVVTYNTMARAYAQIGETEKAERLILKMQYSKVKPNERTCGIIISGYCKEGNMTEALRFLHGRMKELGVHPNPAIFNSLIKGYLDIKDTNGVDEALALMEEFGIKPDVVTFSTIMNAWSCAGLMDNCEEIFNNMVKADIEPDIHAYSILAKGYARAGQSQKAEALLTSMSKYGVEPNVVIFTTIISGWCAEGKMDRAIRLYEKMHDVGIPPNLKTYETLIWGYGEAKLPWKAEELLTTMEESGVAPETSTVQLVADAWRSIGLFKEANRILNDSEEEVCELDKKFDIDNKTPVQSLERIYTRQKFSASHPERTTNGNINMRNISTSMFLVRTTNSYGVQAHIVSKQQIQNQVVRPFPDYCGVVSILC >Vigun05g124200.1.v1.2 pep primary_assembly:ASM411807v1:5:13834179:13834701:1 gene:Vigun05g124200.v1.2 transcript:Vigun05g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFSHPFLFSLLFAALVLIAGTRTVAGTRTLAGTADKGPLLFCFELCYPGCHDECLKRGYKEGFCFQENADYSCCCRGK >Vigun03g015300.1.v1.2 pep primary_assembly:ASM411807v1:3:1067765:1071629:-1 gene:Vigun03g015300.v1.2 transcript:Vigun03g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSFLASILALAFFLATLPQSYSQQNNTYSICSQSFSCGTLTNVSYPFWGGNRPQFCGRNGFKLTCMDNQNTSLQVGSMNFHVLHINQTASTMRMVRTDLVYDRCSSNFTNTSLSSTPFSFLRTVQNVSVFYDCPSQDSVGGNSFTCQNDTNNHAFYAVNGTQLRQFPGLQSCGVSVLVQVSEGVVWDSGNGIEPLKEALDQGFDVKFDAEWSSQCTACRDSGGACGTKENDSDQFSCYCSGGTHGSVCSTHDSGRKSRVLKLVLGFVGTGFALPLIAVIICRNKARIWKFILIQLGKIKKNDRVIEAFLESQGSMGIKRYSFSEVKKMTNSFKIKLGEGGYGSVYKGKLLNGCSVAVKILNESKENGEEFINEVASISKTSHVNIVSLLGFCLEGSRKALVYEFMSNGSLEKYIHKKAAAEKNKTTTPPLGWDRLNKIAIGIARGLEYLHKGCNTRILHFDIKPHNILLDETYRPKISDFGLAKLSTRDESIISMSNARGTIGYVAPEVFNKSFGGVSHKSDVYSYGMMLLEMVGGQKNINVEASRSSELYFPHLVIYKKLEQGTDLGVEGILSGEENEIAKRMTMVALWCIQTIPSHRPTISRVIDMLLGSVDSMEMPPKPFLSSPPRSTEFSTAVSESFDSVSCSS >Vigun04g067500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7671941:7675049:1 gene:Vigun04g067500.v1.2 transcript:Vigun04g067500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTNLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAQQEGKLPVYGYHDPKSFVQSIQKPRVIIMLVKAGVPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMSELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKNIEDILLKVAAQVPDSGPCVTYIGQGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIEASLDARFLSGLKEERVEAAKVFKSSGLDSILNEQHQHEPVDKKKLVDDVRKALYAAKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAKEIVDRQSAWRRVVCLSINYGISTPGMAASLAYFDTYRRESVPANLVQAQRDYFGAHTYERIDMEGSFHTEWFKIAKQSRI >Vigun04g067500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7671993:7674993:1 gene:Vigun04g067500.v1.2 transcript:Vigun04g067500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTNLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAQQEGKLPVYGYHDPKSFVQSIQKPRVIIMLVKAGVPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMSELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKNIEDILLKVAAQVPDSGPCVTYIGQGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIEASLDARFLSGLKEERVEAAKVFKSSGLDSILNEQHQHEPVDKKKLVDDVRKALYAAKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAKEIVDRQSAWRRVVCLSINYGISTPGMAASLAYFDTYRRESVPANLVQAQRDYFGAHTYERIDMEGSFHTEWFKIAKQSRI >Vigun04g067500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7671975:7675045:1 gene:Vigun04g067500.v1.2 transcript:Vigun04g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTNLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAQQEGKLPVYGYHDPKSFVQSIQKPRVIIMLVKAGVPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMSELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKNIEDILLKVAAQVPDSGPCVTYIGQGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIEASLDARFLSGLKEERVEAAKVFKSSGLDSILNEQHQHEPVDKKKLVDDVRKALYAAKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAKEIVDRQSAWRRVVCLSINYGISTPGMAASLAYFDTYRRESVPANLVQAQRDYFGAHTYERIDMEGSFHTEWFKIAKQSRI >Vigun04g147900.1.v1.2 pep primary_assembly:ASM411807v1:4:36351555:36358899:1 gene:Vigun04g147900.v1.2 transcript:Vigun04g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPPEGFYLDPTGMALPGMPPFASVSAAAAAAVSASNSSEDAAKKIRKPYTITKSRENWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGASEHLPPPRPKRKAAHPYPQKASRNAPVLPQVSGSFQSSSALLEPGYILKNDSPAMLKIPMNTVVSSWSNNTLQTVNLSPVTKVNNPCSSGESTPKVRPAGESNGQTPHQGNKIHPLRVLPDFTQVYGFIGSVFDPNATEHLQKLKKMDRIDVETVLLLMRNLSINLTSPDFEDHRKLLSSYEVEPERNNYQCG >Vigun04g147900.2.v1.2 pep primary_assembly:ASM411807v1:4:36354199:36358899:1 gene:Vigun04g147900.v1.2 transcript:Vigun04g147900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQPIFSPVFLGKIRSHAQKYFLKVQKSGASEHLPPPRPKRKAAHPYPQKASRNAPVLPQVSGSFQSSSALLEPGYILKNDSPAMLKIPMNTVVSSWSNNTLQTVNLSPVTKVNNPCSSGESTPKVRPAGESNGQTPHQGNKIHPLRVLPDFTQVYGFIGSVFDPNATEHLQKLKKMDRIDVETVLLLMRNLSINLTSPDFEDHRKLLSSYEVEPERNNYQCG >Vigun04g098528.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:22419742:22420146:1 gene:Vigun04g098528.v1.2 transcript:Vigun04g098528.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAIPMPKIFTIFTTLSMASLALPDMSGFVAEFIVLLRIITNQKYLFITKILITFVTAIGMILTPIYLLSILGQMFYGYKLFNKQNSYFFIRGHENIYFNSILIPVISIGIYPDFIFSFSADKVEAILSHFL >Vigun04g163650.1.v1.2 pep primary_assembly:ASM411807v1:4:38774650:38779683:1 gene:Vigun04g163650.v1.2 transcript:Vigun04g163650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGLRTEVLSFLFIFLHLHSLCSSPPFSFFSSRTRTSSSPSSPFFIFHYSIHNFPFVLLLGNLKGDFEAFASLLF >VigunL076801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:84414:85139:-1 gene:VigunL076801.v1.2 transcript:VigunL076801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKIGDLYFSFTNPSLFMLLTLSLVLLLFHFVTKNGGGKSVPNAWQSLVELIYDFVPNLVNEQIAGNVKQKFFPCILVTFTFSLFCNPQGMIPYSFTVTSHFLITLGLSFSIFIGITIVGFQRNGLHFLSFSLPAGVPLPLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGSAWTMLCMNDLFYFIGDLGPLFIVLALTGPELGVAISQAHVSTISICIYLNDATNLHQTG >Vigun05g206000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39496064:39497449:-1 gene:Vigun05g206000.v1.2 transcript:Vigun05g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARAAPLHIAIFPWFAMGHLTPNLHLSNKLAERGHKISFIVPHRTQTKLHHLNLHPHLITFVPIKLSHVHGLPHHAETTSDVPFSLAPLIATAMDRTQNHIELLLRNLNPQIVFFDFQHWLPSLLRRLGIKSLMYLILHPLSSAYLGDGPRRSQGRELSELDLMEPPLGFPDSCIKFQPHEVRFLVAERKLEFGSGVLLYDRLYNSFCSADALGFKGCREIDGPYADYLETVFEKPVLLSGPLLPEPPNTTLDEKWVAWLGQFNPGSVVFCTYGSESALPQNQFQELLLGLELTGFPFLAAFKPFDGFECSEEALPEGFRERVKGRGIAYEGWVPQQLMLEHPSIGCFITHCGAASLTEGLVNKCQLVLLPGHRGGHIINARMLSRKLMVGVEVEKGEEDGCFSKESVCEAVKSVMDDESEVAREVKQNHAKLRNFLLSDNLESSCVDLFCQQLQNLL >Vigun02g106400.2.v1.2 pep primary_assembly:ASM411807v1:2:26039003:26044351:1 gene:Vigun02g106400.v1.2 transcript:Vigun02g106400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKARSSSSSSGSFRKGRSKGHRGFPSYCLGTSSGSRDIDSDDQVCDQNKVNGDDVTYTSGNEIDSDEGKTESFRKVKPGKSDEVPSNVDLEEWRHSASRTGSSSAHSSSNHSLNPSSRFLSRFSLVPGNISFRLSRTTSLGSSRPCPVSSTNLSIFNDEDELSLHPRPPGSLITRNETQHRNDLLNASFVSQVPMQCHEEASNNLRPNAPALVSPGNLASNRPVSSVQDVGRDGNGAREVPDVNIFSPRIHTDTENIETRHTDRRNGAREPVERNVRFSRTLSVGRLRDRVLRRSTLSDFTFCPMQREREVRDAGQENGRRVGDREARVSPTGRNASNSSTPRYPLPSTPSSLFGIQDYEVETSRSRETRYQDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGRCACRTNTRDTNSNDDTNARASISRIVMLAEALFEQSVVLSSRPSVSSIGSIPAPNEVVESLPVKLYTKLHKHQEEPVQCYICLVEYEDGDSMRVLPCHHEFHTTCIDKWLKEIHRVCPLCRGDICVSDSLPREN >Vigun02g106400.1.v1.2 pep primary_assembly:ASM411807v1:2:26039003:26044351:1 gene:Vigun02g106400.v1.2 transcript:Vigun02g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKARSSSSSSGSFRKGRSKGHRGFPSYCLGTSSGSRDIDSDDQVCDQNKVNGDDVTYTSGNEIDSDEGKTESFRKVKPGKSDEVPSNVDLEEWRHSASRTGSSSAHSSSNHSLNPSSRFLSRFSLVPGNISFRLSRTTSLGSSRPCPVSSTNLSIFNDEDELSLHPRPPGSLITRNETQHRNDLLNASFVSQVPMQCHEEASNNLRPNAPALVSPGNLASNRPVSSVQDVGRDGNGAREVPDVNIFSPRIHTDTENIETRHTDRRNGAREPVERNVRFSRTLSVGRLRDRVLRRSTLSDFTFCPMQREREVRDAGQENGRRVGDREARVSPTGRNASNSSTPRYPLPSTPSSLFGIQDYEVETSRSRETRYQDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGRCACRTNTRDTNSNDDTNARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSIPAPNEVVESLPVKLYTKLHKHQEEPVQCYICLVEYEDGDSMRVLPCHHEFHTTCIDKWLKEIHRVCPLCRGDICVSDSLPREN >Vigun03g088500.1.v1.2 pep primary_assembly:ASM411807v1:3:7436164:7440230:1 gene:Vigun03g088500.v1.2 transcript:Vigun03g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNLVVLPAVLAFFVLCLHFMRRMVRLRNLNLPPGRLGWPVVGETFEFMHAMVEGNVLRFLQERVEKYDSRVFKTSMFGNPVVVFCGPAGNKFLFSNENKNVQVWWPSSVRKLLRLSLVTKVGDEAKMVRKLLMNFLNAETLRNYLPKMDRIAQHHIDTYWKGKEKVFVHPIVKLYTFELACCLFLSIEDSAQISKLSLKFDAFLKGIVGFSLNIPGTRFHRAIKAADEIRKEIKLILEKRKVDLEEKRASPTQDLLSHMLLTSDPSGRFMTEMEILDNILLLLFAGHDTARSVLSLVMKYLGQLPQVYEHVLKEQLEIIQGKEVGELLQWEDVQKMKYSWNVVCEVMRLSPPVSGAYREAIKDFTYADYNIPKGWKLHWNTGSSHKDPTIFSNPETFDASRFEGAGPTPFAHVPFGGGPRMCLGQEFARLEILVFMHNIVKRFKWELVIPDEKLKYDPMLEPVKGLEIRLHPSSF >Vigun03g320100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51428097:51428655:-1 gene:Vigun03g320100.v1.2 transcript:Vigun03g320100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEDYHAHISKVHRSQSVLTDVPRYPNAHMAFNNAHHNSHSNERSEMVDYDQTEDDEVMFQERVQSKPGKNKPRFELQKWKTYRP >Vigun03g085300.1.v1.2 pep primary_assembly:ASM411807v1:3:7079311:7082726:-1 gene:Vigun03g085300.v1.2 transcript:Vigun03g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRGVSTLLLLFFFFFFSINLVPSALAIWLTVPATGTKCVSEEIQHNVVVLADYVVIPSDHSQNPTLAVKVTSPYGNNLHHKENTTHGNIAFTTQEAGNYLACFWVDSHNQIVGEVNVNLDWRIGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIHENLLYLKGREAEMRIVSEKTNARVAWFSIMSLGICITVSGLQLWYLKRFFQKKKLI >Vigun05g083300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7865995:7868517:-1 gene:Vigun05g083300.v1.2 transcript:Vigun05g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTSKISVLLLLMLSAMALPKGNCSTHSCNENDESALLIFKQHVIDPFGYLSSWFNEDCCAWKGVQCDNVTGRVTGLDLNNQHLQGGINLSLFQIQFLTYLNLSANSFTGFSLSENFNQSRANISSLKYLDLSFNEDFQLDNLQWLSHLSSLQCLDLSAINLESQTNWLQTMVMLPSLLELRLSMCYLNNINPSVKFVNFTSLLTLDLSQNNFYSNLPYWLFNVSSDISHIDLSSNGLRGQIPKTLLNLRKLKSLRLDDNALTGPIPDWLGKHQHLQHLDLSRNNFYGSFPSSLGNLSSLTEFSVSSDSLSGSLPKSIGQLFNLRSLYIGGSFSGVLSENHFRNLSNLELLVLNSHFAFDLDPNWIPPFQLKEIDLAKTILGPFFPEWIYTQRTLETLDVSSSGISTINPDRFWSHLANVRSITLSNNKISADLSNVTLNSEYIIMNNNNFTGGLPLISTNVSCLDLSYNFLSGPISHFLCSKLGREMNTLYYLDVSNNLLSGAIPDCWENWRGLAFLMMSNNKLGGEIPPSIGLLNELIVIDLHKNNLSGNSPDIANIESLKLLDLEENNFSGVIPTKIPKSIQMMILRGNQFSGNIPAELCTLPSLKLLDLSQNTLSGFIPSCICKYTPLDVPRTISHHRQISFEISWWLLRSLDLSANNLSGEIPAALFDLTHLIALNLSRNHLVGKISSNIGGMGYLESLDLSNNHLSGEIPVAISNVSFLGLLNLSYNDFTGEIPSGEQLQSFYSWSYVGNPKLCGPPLPKNCSEEVNRDEAQQGGSNDSLKDSLYIGIGVGYVAGFCGVWYSLLLNRPWRRKYFQFLDDILDFLYVRSSQVK >Vigun03g264300.3.v1.2 pep primary_assembly:ASM411807v1:3:43335558:43341356:1 gene:Vigun03g264300.v1.2 transcript:Vigun03g264300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLMREEVLLVVQNCYHIILVALLSIGVTYLASKAWKRATTNNREEIPGRLGLPFVGETFSFLSATNSTRGCYDFVRLRRLWNGRWFKTRLFGKIHIFVPNPEGARTIFANDFVLFNKGYVKSMADAVGKKSLLCVPVESHKRIRRLLSEPFSMTSLSAFVTKFDKLLCERLQKLEERGKSFKVLDFCMKMTFDAMCDMLMSITEDSLLQQIEEDCNAVSDAMLSIPIMIPGTRYYKGITARKRLMETFREIIGRRRRGEETREDFLQSMLQRDSLPPSEKLDDSEIMDNLLTLIIAGQTTTAAAMMWSVKFLHDNREAQDILRD >Vigun03g264300.2.v1.2 pep primary_assembly:ASM411807v1:3:43335558:43341356:1 gene:Vigun03g264300.v1.2 transcript:Vigun03g264300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLMREEVLLVVQNCYHIILVALLSIGVTYLASKAWKRATTNNREEIPGRLGLPFVGETFSFLSATNSTRGCYDFVRLRRLWNGRWFKTRLFGKIHIFVPNPEGARTIFANDFVLFNKGYVKSMADAVGKKSLLCVPVESHKRIRRLLSEPFSMTSLSAFVTKFDKLLCERLQKLEERGKSFKVLDFCMKMTFDAMCDMLMSITEDSLLQQIEEDCNAVSDAMLSIPIMIPGTRYYKGITARKRLMETFREIIGRRRRGEETREDFLQSMLQRDSLPPSEKLDDSEIMDNLLTLIIAGQTTTAAAMMWSVKFLHDNREAQDILREEQLSITNIKPDGASLSHEDLNNIRYGLKVRVYTFRNDAHAMK >Vigun03g264300.1.v1.2 pep primary_assembly:ASM411807v1:3:43335558:43341333:1 gene:Vigun03g264300.v1.2 transcript:Vigun03g264300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLMREEVLLVVQNCYHIILVALLSIGVTYLASKAWKRATTNNREEIPGRLGLPFVGETFSFLSATNSTRGCYDFVRLRRLWNGRWFKTRLFGKIHIFVPNPEGARTIFANDFVLFNKGYVKSMADAVGKKSLLCVPVESHKRIRRLLSEPFSMTSLSAFVTKFDKLLCERLQKLEERGKSFKVLDFCMKMTFDAMCDMLMSITEDSLLQQIEEDCNAVSDAMLSIPIMIPGTRYYKGITARKRLMETFREIIGRRRRGEETREDFLQSMLQRDSLPPSEKLDDSEIMDNLLTLIIAGQTTTAAAMMWSVKFLHDNREAQDILREEQLSITNIKPDGASLSHEDLNNIRYGLKVVKETLRMSNVLLWFPRVALQDCTIEGYDIKKGWHVNIDATYIHHDSDLYNDPLKFNPKRFDEHQKPYSFIPFGSGPRTCLGINMAKVTMLVFLHRLAGGYTWTLDDLDTCLEKKAHIPRLRSGCPITLKSLSKTMLEA >Vigun09g055700.2.v1.2 pep primary_assembly:ASM411807v1:9:5566456:5567386:-1 gene:Vigun09g055700.v1.2 transcript:Vigun09g055700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Vigun07g175400.1.v1.2 pep primary_assembly:ASM411807v1:7:29060546:29061130:-1 gene:Vigun07g175400.v1.2 transcript:Vigun07g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCEGKSSWPELVGVEGSVAVATIERENPYVDAHTVLKGSIVTADFRCDRVRIWVTKEGIVYQAPTIG >Vigun02g143100.1.v1.2 pep primary_assembly:ASM411807v1:2:29153146:29154489:-1 gene:Vigun02g143100.v1.2 transcript:Vigun02g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDMYNNSDHNRDENNHHHHHPHHHHHHHQCAPMSPRISFSNDFVDVQQAMKQQEQRGSRSDAPAVSSDFEFSVTNYSMMSADELFFKGRLMSYKDNCNNHVMQQRATTTTTTTTLKEELLINDDEYDQGFSLRPPKASSTRWKGLLGLRKTHIGSKKPHKSTEPSSEPRSALLNEAPPINVASSQEVLDEGGSNYGAYGVGDSVEYL >Vigun07g282700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39682726:39686306:1 gene:Vigun07g282700.v1.2 transcript:Vigun07g282700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPSQTPPQAADAPPPPYWCYHCEKRVSVETLANLPDVVCGECKNGFVETIPTPSRSRTPSASSDDPYFGSQFLQVLRLIAQSARDDDASPPPPPSRSPENDFLRIELGGWDNDEENEIENDDDNGDEEFEEHEEAEDRSGNEDPQGDDEDLRRRRRELLRLRIRDLATRTRSMRNRILDWAEILMGLEDNSIEFRLRVPDSDRYVGNPEDYVDAAEYEALLQTLAETDGGGRRGAPPASKSAVEALPTVKIASESETVVCAVCKDLLGVGDAAKRLPCGHQYHGDCIVPWLSSRNSCPVCRFELPTDDREYEEERGVNAASSNSNGASSSGGGGRTIV >Vigun07g282700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39682726:39686306:1 gene:Vigun07g282700.v1.2 transcript:Vigun07g282700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPSQTPPQAADAPPPPYWCYHCEKRVSVETLANLPDVVCGECKNGFVETIPTPSRSRTPSASSDDPYFGSQFLQVLRLIAQSARDDDASPPPPPSRSPENDFLRIELGGWDNDEENEIENDDDNGDEEFEEHEEAEDRSGNEDPQGDDEDLRRRRRELLRLRIRDLATRTRSMRNRILDWAEILMGLEDNSIEFRLRVPDSDRYVGNPEDYVDAAEYEALLQTLAETDGGGRRGAPPASKSAVEALPTVKIASESETVVCAVCKDLLGVGDAAKRLPCGHQYHGDCIVPWLSSRNSCPVCRFELPTDDREYEEERGVNAASSNSNGASSSGGGGRTIV >VigunL053400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000350.1:49423:50714:-1 gene:VigunL053400.v1.2 transcript:VigunL053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKQISSERCRKTTLARRKRGLMKKMWEFSKRCGGEQCLIVYDDDGDVEAVTSPQNPIEIHSMIQKYYETQLKNGRPHKTYGIQEFFENRKNMIEAEISKVHKEISSIKYPTWDPSFVNMEEDELRAFCAHVDAKIQACDEGIKLLKNKNVPNLMQNFDENSYC >Vigun11g060700.1.v1.2 pep primary_assembly:ASM411807v1:11:13326233:13329858:1 gene:Vigun11g060700.v1.2 transcript:Vigun11g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSLYAWLVALFCFLVLMIVTPAIPQSQEYHNFADHRKFLGIPNALNVISNFPFLVIGLVGLVLCYRGNYFRLSLEGEIWGWTCFYVGVAAVAVGSSYYHLKPDDARLVWDRLPMTIAFTSIIAIFIIERIDERKGTVSIIPLLLAGIISIVYWRFFDDLRPYALVQFVPCIAIPLMAILLPPMYTHSTYWLWAAGFYLLAKVLEATDEVIYGWTHHIVSGHTLKHLAAAMVPVFLTFMLAKRDVEPERQNLLKTWRVSWMKFREGNSNVESYSYSYSTVPVVEK >Vigun08g193900.2.v1.2 pep primary_assembly:ASM411807v1:8:36060241:36066256:1 gene:Vigun08g193900.v1.2 transcript:Vigun08g193900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEMEFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHVSDTARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSRYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPIPFAQKFPNADPLALRLLEKLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIGELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFKKQFAHLEETSGKSSPVLPPERKHVSLPRSTIIHSNIVPTKEQSNIASSKIRQTTEEHSNNSRDTENPAPRSIQGLQKFSHAKPGKVVGPVIPYEYASVVKGSYEPRTFMRASVPPSQPTPRQIIIKD >Vigun08g193900.3.v1.2 pep primary_assembly:ASM411807v1:8:36060267:36066193:1 gene:Vigun08g193900.v1.2 transcript:Vigun08g193900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHRKKGSMEMEFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHVSDTARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSRYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPIPFAQKFPNADPLALRLLEKLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIGELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFKKQFAHLEETSGKSSPVLPPERKHVSLPRSTIIHSNIVPTKEQSNIASSKIRQTTEEHSNNSRDTENPAPRSIQGLQKFSHAKPGKVVGPVIPYEYASVVKGSYEPRTFMRASVPPSQPTPRQIIIKD >Vigun08g193900.4.v1.2 pep primary_assembly:ASM411807v1:8:36060267:36066193:1 gene:Vigun08g193900.v1.2 transcript:Vigun08g193900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEMEFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHVSDTARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSRYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPIPFAQKFPNADPLALRLLEKLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIGELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFKKQFAHLEETSGKSSPVLPPERKHVSLPRSTIIHSNIVPTKEQSNIASSKIRQTTEEHSNNSRDTENPAPRSIQGLQKFSHAKPGKVVGPVIPYEYASVVKGSYEPRTFMRASVPPSQPTPRQIIIKD >Vigun08g193900.1.v1.2 pep primary_assembly:ASM411807v1:8:36060225:36066256:1 gene:Vigun08g193900.v1.2 transcript:Vigun08g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHRKKGSMEMEFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHVSDTARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSRYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPIPFAQKFPNADPLALRLLEKLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIGELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFKKQFAHLEETSGKSSPVLPPERKHVSLPRSTIIHSNIVPTKEQSNIASSKIRQTTEEHSNNSRDTENPAPRSIQGLQKFSHAKPGKVVGPVIPYEYASVVKGSYEPRTFMRASVPPSQPTPRQIIIKD >Vigun05g249350.1.v1.2 pep primary_assembly:ASM411807v1:5:44315449:44317156:-1 gene:Vigun05g249350.v1.2 transcript:Vigun05g249350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYQRVTQLYSSTIIWPRISIVQSTKKKKKKRGGNREPTKMGNIGSRRMRRRHQQGKDENNYVETRRHYRHPGSSPPPPPYVYRAKTVTVKNDAINIRKESLCVEPDVTNPHRFFLTFILDATAPGWYVMPPPTSFLQPNFSFFTYPTFKSCAAKSSMFSSFFPCHVSITLMFYAKEASDGKLIASKTRWVRQISMFFWEGLNQNFRQAFGAGTEISTLEEEGVTENGDEDEEVYPLVLKAETQPPLNSNENDRNRSFQTTFARFEKKEMGEYKIHVMKQVMWDNSAKYELLEIYGMGGDQHKSGGKCVVSLSKP >Vigun05g249350.2.v1.2 pep primary_assembly:ASM411807v1:5:44315449:44317156:-1 gene:Vigun05g249350.v1.2 transcript:Vigun05g249350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYQRVTQLYSSTIIWPRISIVQSTKKKKKKRGGNREPTKMGNIGSRRMRRRHQQGKDENNYVETRRHYRHPGSSPPPPPYVYRAKTVTVKNDAINIRKESLCVEPDVTNPHRFFLTFILDATAPGCITLMFYAKEASDGKLIASKTRWVRQISMFFWEGLNQNFRQAFGAGTEISTLEEEGVTENGDEDEEVYPLVLKAETQPPLNSNENDRNRSFQTTFARFEKKEMGEYKIHVMKQVMWDNSAKYELLEIYGMGGDQHKSGGKCVVSLSKP >Vigun05g218200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41023468:41024841:-1 gene:Vigun05g218200.v1.2 transcript:Vigun05g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVKHALVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >Vigun04g202800.1.v1.2 pep primary_assembly:ASM411807v1:4:42563102:42564578:1 gene:Vigun04g202800.v1.2 transcript:Vigun04g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHKMGALDYLSNFCTVTTTRTKHKPMQTVEIKVRMDCDGCERRVRNAVTSLKGVKSVEVNRKQSRVVVSGYVDPNKVLKRVKSTGKVRAKFWPYVEQHLVYYPYATGAYDRRAPSGYVRNVVQAFPDPPQDNFLSFFSDDNVHACSIM >Vigun03g178000.13.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198045:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRGECYLR >Vigun03g178000.4.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198045:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRGECYLR >Vigun03g178000.12.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198054:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRGECYLR >Vigun03g178000.2.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198056:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRVDDSFPNKFPKLSSSHSFTDNGRILISTPDSSYRPQEDNCVKVENKECKINGVIEAKPFSASSKKTHTAIVSTDLLTEAHLKPPHPDTKYLDQLYSVPKVDHWTDFDEEWLFGGNLPERKSMIKCSDVGDTPQVWAEALLIEQADAFALPYVIPY >Vigun03g178000.10.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198045:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRVDDSFPNKFPKLSSSHSFTDNGRILISTPDSSYRPQEDNCVKVENKECKINGVIEAKPFSASSKKTHTAIVSTDLLTEAHLKPPHPDTKYLDQLYSVPKVDHWTDFDEEWLFGGNLPERKSMIKCSDVGDTPQVWAEALLIEQADAFALPYVIPY >Vigun03g178000.3.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198056:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRGECYLR >Vigun03g178000.8.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198054:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRVDDSFPNKFPKLSSSHSFTDNGRILISTPDSSYRPQEDNCVKVENKECKINGVIEAKPFSASSKKTHTAIVSTDLLTEAHLKPPHPDTKYLDQLYSVPKVDHWTDFDEEWLFGGNLPERKSMIKCSDVGDTPQVWAEALLIEQADAFALPYVIPY >Vigun03g178000.9.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198045:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRVDDSFPNKFPKLSSSHSFTDNGRILISTPDSSYRPQEDNCVKVENKECKINGVIEAKPFSASSKKTHTAIVSTDLLTEAHLKPPHPDTKYLDQLYSVPKVDHWTDFDEEWLFGGNLPERKSMIKCSDVGDTPQVWAEALLIEQADAFALPYVIPY >Vigun03g178000.7.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198054:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRVDDSFPNKFPKLSSSHSFTDNGRILISTPDSSYRPQEDNCVKVENKECKINGVIEAKPFSASSKKTHTAIVSTDLLTEAHLKPPHPDTKYLDQLYSVPKVDHWTDFDEEWLFGGNLPERKSMIKCSDVGDTPQVWAEALLIEQADAFALPYVIPY >Vigun03g178000.11.v1.2 pep primary_assembly:ASM411807v1:3:22191518:22198054:-1 gene:Vigun03g178000.v1.2 transcript:Vigun03g178000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYLKKTITDEVGLLKKEKQKERKHKKDKKDKEKRESKEKRDKEGRDGKHKEKKDKKEKHREKKKDKDKDKNKDRDKSKISTADDKGFPRQAEVLNGNLHQKAIKQDDKKDIMFENRLTKQCTGSNGEKAGEKNHLVEDNQTSKFLLELNRRIRDDNGGADNQFVHKSTNTDNRKDEETFRLVAKAGGTFSDGNEKLVDKGLDVKKIDGREIQPEVRPTGNTPVPNHAGNFHPRVDGIPKHLGKPFERNLEATVEGNERVKEKKDKDEDKEMARRKTKEGKVKERKDEGNEKVKDKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAREHSEHKTADQNKSKESNKIGVNDFAQLSRNSLEVPVRGENLKKRKDIESNGVPRGECYLR >Vigun06g044200.1.v1.2 pep primary_assembly:ASM411807v1:6:16806811:16814210:-1 gene:Vigun06g044200.v1.2 transcript:Vigun06g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSFSISVVLSLTLLVQIHGNGIRFQKNGCNLFEGSWVYDDSYPLYHSSTCPFIEPAFDCEKNGRPDKFYLNYRWQPTGCNLTRFNGEDFLRRLKGKSLMFVGDSLSLNQWQSLTCMLHSALPSAPYSSVKNGGLSIFTFPSYDVKLMFSRNAFLVDIVGEKNGRVLKLDSIEGGQTWKGIDVLIFDSWHWWLHTGRKQPWDFIEDGNQTVRDMDRLVAYEKAMRTWAKWVDTNVNPAQTRVFFQGVSPDHEDAQKWGANAGNTCLRESRPVLGSKYPGGAVPAELSVEKVIRSMRKAVELLDFTTLSQLRKDGHPSIYGNGGHRGLDCSHWCLPGVPDAWNVLLYNALIQK >Vigun10g163500.1.v1.2 pep primary_assembly:ASM411807v1:10:38253816:38256529:1 gene:Vigun10g163500.v1.2 transcript:Vigun10g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLTSLTCVAAFLVVLLFLRRLRRRRYNLPPGPKPWPIIGNLNLIGSLPHRSIHGLTQKYGPIIHVWFGSNPVVVGSSVEMAKAILKTHDATIAGRPKFSAGKYTTYNYSNITWSQYGPYWRQARRMCLMELFSAKRLEEYEYIRKQELRGLVNELFNSANKTVLLKDHLSSLSLNVISRMVLGKKYLEESENAVVSPDEFKKMLDELFLLNGVLNIGDFIPWINFLDLQGYIKRMKTLSKKFDRFMEHVLDEHIERKKGVKDYVAKDMVDVLLQLAEDPTLEVKLERHGVKAFTQDLIAGGTESSAVTVEWAISELLKKPEIFKKATEELDRVIGRERWVEEKDIVNLPYVNAIAKEVMRLHPVAPMLVPRIAREDCHIGGYDIPKGTQVLVNVWTIGRDPAIWDNPNEFQPERFLGKEIDVKGHDYELLPFGAGRRMCPGYPLGLKVIQASLANLLHGFNWNLPQNMKKEDLNMEEIFGLSTPKKIPLETVLQPRLPHHLYSL >Vigun01g029700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3671260:3674657:1 gene:Vigun01g029700.v1.2 transcript:Vigun01g029700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKTLTIDNCTTSEGWTVVLPRRSRQRRKATQSGVLEEKQEPWTPTDSLTDPSKKATLVQKMERCMNKIDKSEFYHTFRDQIQTSIADYFSKVLASEIKMQMVIYGIGSIELYEPPRLQLSLAILLKRDFSWIGNVEVFDPILSATESQVLETLGCSVMSINEHGRREALKPTMFFMPHCEAELYNNLLQANWKLNLLKNMVLFGNSFETYEQHVSLCKNSPILNSVGHILAARGFTNEFRIQTVSDDYYNAFHDSSWHIFSPLLESELQFINS >Vigun01g029700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3671276:3674592:1 gene:Vigun01g029700.v1.2 transcript:Vigun01g029700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKTLTIDNCTTSEGWTVVLPRRSRQRRKATQSGVLEEKQEPWTPTDSLTDPSKKATLVQKMERCMNKIDKSEFYHTFRDQIQTSIADYFSKVLASEIKMQMVIYGIGSIELYEPPRLQLSLAILLKRDFSWIGNVEVFDPILSATESQVLETLGCSVMSINEHGRREALKPTMFFMPHCEAELYNNLLQANWKLNLLKNMVLFGNSFETYEQHVSLCKNSPILNSVGHILAARGFTNEFRIQTVSDDYYNAFHDSSWHIFSPLLESELQFINS >Vigun11g020200.1.v1.2 pep primary_assembly:ASM411807v1:11:2518422:2528044:1 gene:Vigun11g020200.v1.2 transcript:Vigun11g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSWMMTSNTNDNKLSICSIVGMGGMGKTTLAQHVFNDPKIEEVGFDEKAWVCVSDEFDVLKVSKAIIGAFTKSKDDSEDIEIVHGKLKEKLTGRKFFLVLDDVWNEDQHLWKSLQTPLKYGAMGSKILVTTRNSNVASIMESSKIHQLEQLREDHSWQVFAKHSVKDNSSTLNSELKEIGMKIVEKCKGLPLALQTVGSLLHSKSSVSDWEGVLRSNIWDLPIKDSKIIPALLLSYFHLPSHLKRCFAYCALFPKGHEFDKESLILLWMAQNFLHCSEQSKSQEEVGEQYFNDLLSRSLFQKSIIFNERYFIMHDLLNDLAKYVSGEVCFRLGVDKEERIPKTTRHFSTKENPVIYHEFKSLCEAKGLRTFLSINGGIGMSMQELISNFKFLRNLSVSYTNDTEEVHDSIEVPESIGNLIHLHLLDLSNSNIEEVPDTIGDLVHLCLLNLSATKLKEVPDTISNLIHLRSLDLSGTYIDILPVSTCLLCNLQVLKLNHCYLLEELPSNLHELTDLRRLELMGTYLRKVPPLLGKLKNLQVWMNSFEFGIQQLRELDLHGELSITNLENIVNPCDALAANFKNKTHLHGIHLEWRRNNDDSIKEREVLENLQPSIHLKDLSIQGYNGTQFPRWLSDNCLLNMVSLTLKRCKYCLWLPSLGLLKFLKHLTVEGLNWMRSIDADFYGKSSHAFASLETLSFTNMKEWEEWQCMTGAFPSLQRLSVEHCPKLKGHLPEQHSHLKELTIKCCKQLVASIPRAVEIEDVKMKPSSVDMIESLVSDTPLECLIIAFCPGMTIPINHCYHSLAQLVITHGCDSLTTLPLDLFPKLRMLTLHECRNLQMISQGHLHRHLESLTIRNCSEFESFPTEGLLAPQLQRFWIEGSEKLKSMPKHMNSLLPSLNNLSIFNCPEVEFPEGCLPSNLMEMRLQNCSKLVAALKGVWGTNPSLEILSIGKVDVEFFPGEGLLPLSLTHLIIDNCQNVEKLDYKGLCHLSSLEKLDLFDCPLLQCLPEKGLPKSISDLEIRNCPVLKQRCKKLEGEDWEKIAHIKTIWVDHEDVNINDEAMMYLE >Vigun01g058900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12269984:12271201:1 gene:Vigun01g058900.v1.2 transcript:Vigun01g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSNYTLLRSDSYSSSRGCRRRHRSESFRASKIRRSSAVVPEGHVPIYVGDEMERFVVCAELLNHPVFVKLLNESAQEYGYEQKGVLRLPCRVFDFERVLHALRLGLYAPDVSELLSFLPEELS >Vigun08g173700.1.v1.2 pep primary_assembly:ASM411807v1:8:34431956:34435632:1 gene:Vigun08g173700.v1.2 transcript:Vigun08g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTQPHKKHHFGTSYMRFLVQHCCLVITLLISTFFTTTTAFDYADALSKSLLYFEAQRSGRIPYNQRVTWRDHSGLTDGLEEGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSAIEYRQQIEEAGELQHTLEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDLAGETAAAMAAASIVFRKTNAHYSHLLLHHALQLFEFGDKYRGNYDASVGVVKSYYASVSGYMDELLWAATWLYKATDNKMYFEYVLSNAHSFGGTGWSISEFSWDVKYAGLQLMLSKLMREEKEKKHMAILEEYRSKAEYYICSCLNKNNDSKDNVERTPGGLLYIREWNNMQYVSTAAFLLSTYSDFLENTNEKLNCETGTVDHEEIHSFAKSQVDYILGSNPVNMSYLVGYGPKYPKRVHHRGASIVSYKRNKGFIGCTQGYDNWYSSQEPNPNVLVGALVGGPDGKDNFEDRRNNFMQTEACTYNTAPLVGVFAKFLHIENHKTVHDCNSLLVASFK >Vigun08g197000.1.v1.2 pep primary_assembly:ASM411807v1:8:36278827:36281959:-1 gene:Vigun08g197000.v1.2 transcript:Vigun08g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCCTSSEKINKNAFRGSSRDFNHAKSMPSCGRMCFKHDHSKRKFIQQEIAKMGRGNITSQTFPYHELCVATGNFNPENMIGEGGFGRVYKGRIKNINQVVAVKKLNRNGFQGNREFLVEVLILSLLHHPNLVNLVGYCADGEQRILVYEYMANGSLEDHLLELPEDRKPLDWHTRMNIAAGAAKGLEYLHEVANPPVIYRDFKSSNILLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYASTGQLTIKSDIYSFGVVFLEMITGRRVLDQSRPSEEQNLVIWAQPLFKDRTKYTSMVDPLLKGNYPAKGLHQALAVAAMCIQEEAHTRPLISDVVTAIDVLAKTQNTTNTFLEDKECS >Vigun03g014600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:992602:993828:1 gene:Vigun03g014600.v1.2 transcript:Vigun03g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQHLRLHHLLLTLFLFAAALSLASSAADEASAPVPDDGDTEFIRSSCNTTLYPDVCFTSLSRYANAVQQNPGQLARVAIGVSLSKAHRVASYISNLTRDADYGTSGTRAAMAVHDCFSNLGDAVDEIRGSLKQMRQIGAAGEGSGSFLFQMSNVQTWMSAALTDEETCTDGFQDVAECAVKADVCNRVTNVKKFTSNALALVNSYASKGSP >VigunL045100.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:466777:468838:-1 gene:VigunL045100.v1.2 transcript:VigunL045100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPRVPFRTPGDKDASWVDIYNRLYRERLLFLGQDVDSEISNQLISIMIYLSIEKENKELSLFINSPGGWVIPGIAIYDTMQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >VigunL006201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:28398:28601:-1 gene:VigunL006201.v1.2 transcript:VigunL006201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun07g289300.1.v1.2 pep primary_assembly:ASM411807v1:7:40164413:40165554:-1 gene:Vigun07g289300.v1.2 transcript:Vigun07g289300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSSTMPTHFIDNLRRHRLGQRLGSAPDGTDHATHLHRHSFEEVKKEFDLVPILPEASLVRQKYTNEYEVVVNEQIKFFKESSEEEREHVDKLMEYQQGNLLCLLEKLTNEKLLNLHTVASKNNDV >Vigun05g271400.1.v1.2 pep primary_assembly:ASM411807v1:5:46268620:46276437:1 gene:Vigun05g271400.v1.2 transcript:Vigun05g271400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQPGQPKSSNGYGRRKTEREGTIKSENKILSGKLNASRLTNTGAVTGSKGGNYESPSHDRLVYVTTCLIGHQVEVHLKNGSTYSGVFHATSAEKDFGIILKMARLTKDGSSRGQKSGAEFVSKPSLKILIIPAKELVQVTAQDVAIAWDGLPNESHHDMHQEIMVDSLISQSRHVEPGRELKPWVPDEDDPQCPELENIFDGHWNRGWDQFETNEALFGVKSTFNEELYTTKLEKGPQTRELEKQALRIAREIEGEETQDLHLAEERGFHLHEDFDIDEETRFSSVYRGKRADDSGFDEDVLFETRSSDTFGGVFGSVVKRSSEISGGKGNDGARALANSSVDHTQSSQSSTSVDLSRSGSYDHAKQLASELPAMSYSSVDGESRILENSISNQHGDNDTTKEASVIQAEDVQLSKSEDSQGPLYLKKDGSDKGVLSPNASSYAPSIHTSLKAHEKMGSHGDLVEGSATGKVNGETKSVNSRGTPYVSDSVGVPAASSGPGLSPSSSVGSLSSEKSTLNPNAKEFKLNPNAKSFIPSPARPPTPVSDGSFYFPTNVTTVPSMPGMPMGIGIGPTFAGPQPMVYNPQVAPMPSQAYFHPNAPQYGQLLGHPRQALYMPSYLPEMPYKGRDY >Vigun05g271400.2.v1.2 pep primary_assembly:ASM411807v1:5:46268620:46276437:1 gene:Vigun05g271400.v1.2 transcript:Vigun05g271400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQPGQPKSSNGYGRRKTEREGTIKSENKILSGKLNASRLTNTGAVTGSKGGNYESPSHDRLVYVTTCLIGHQVEVHLKNGSTYSGVFHATSAEKDFGIILKMARLTKDGSSRGQKSGAEFVSKPSLKILIIPAKELVQVTAQDVAIAWDGLPNESHHDMHQEIMVDSLISQSRHVEPGRELKPWVPDEDDPQCPELENIFDGHWNRGWDQFETNEALFGVKSTFNEELYTTKLEKGPQTRELEKQALRIAREIEGEETQDLHLAEERGFHLHEDFDIDEETRFSSVYRGKRADDSGFDEDVLFETRSSDTFGGVFGSVVKRSSEISGGKGNDGARALANSSVDHTQSSQSSTSVDLSRSGSYDHAKQLASELPAMSYSSVDGESRILENSISNQHGDNDTTKEASVIQAEDVQLSKSEDSQGPLYLKKDGSDKGVLSPNASSYAPSIHTSLKAHEKMGSHGDLVEGSATGKVNGETKSVNSRGTPYVSDSVGVPAASSGPGLSPSSSVGSLSSEKSTLNPNAKEFKLNPNAKSFIPSPARPPTPVSDGSFYFPTNVTTVPSMPGMPMGIGIGPTFAGPQPMVYNPQVAPMPSQAYFHPNAPQEMPYKGRDY >Vigun06g009400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4476543:4477909:-1 gene:Vigun06g009400.v1.2 transcript:Vigun06g009400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSCFCILRKLTRSWRMAPLALLLLFSSYRLVGVIFLSLIFTSLTLLCSTIVFMWKHGRENVVPTRMHNHETVRHVKESDDVSDADHTPDCSDGTISDEDSLIEISLPSGHFVGHNQRPDSNFCTLQQKKRELSAHETLMELLAEFNEEENLIEIDIAMGSIKCSSF >Vigun06g009400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4476536:4477908:-1 gene:Vigun06g009400.v1.2 transcript:Vigun06g009400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWKSKVAYEMHLLSCFCILRKLTRSWRMAPLALLLLFSSYRLVGVIFLSLIFTSLTLLCSTIVFMWKHGRENVVPTRMHNHETVRHVKESDDVSDADHTPDCSDGTISDEDSLIEISLPSGHFVGHNQRPDSNFCTLQQKKRELSAHETLMELLAEFNEEENLIEIDIAMGSIKCSSF >Vigun06g009400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4476529:4477909:-1 gene:Vigun06g009400.v1.2 transcript:Vigun06g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSCFCILRKLTRSWRMAPLALLLLFSSYRLVGVIFLSLIFTSLTLLCSTIVFMWKHGRENVVPTRMHNHETVRHVKESDDVSDADHTPDCSDGTISDEDSLIEISLPSGHFVGHNQRPDSNFCTLQQKKRELSAHETLMELLAEFNEEENLIEIDIAMGSIKCSSF >Vigun05g289400.1.v1.2 pep primary_assembly:ASM411807v1:5:47594685:47598440:-1 gene:Vigun05g289400.v1.2 transcript:Vigun05g289400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLQTRGLLSLPTNPINKVSLLHPSQGLRLRLSSPKPKILAASSASFNGFQKHSPKPNVFAQRDKNKNLFLCRAEAAAAAASFGETESEKPKFFGVDVATLKKIVPLGMMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKQALFYSVILPFIAFFGAFGFVLYPLSNYIHPEAFADKLLNILGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANVALVFSGRTVKYFSNLRKNLGPGVDGWAVSLKGMMSIVVGMGLAICVIYWWVNNYVPLPTRSKKKKEKPKMGTMESIKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIAPVIANFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGVLLVIVLAWLSAAKSLDSQFSTLRREEELEKEMERAAAVKIPVVAKDEDGNGSLASVPLLNPTTGGDSSTLN >Vigun09g075600.1.v1.2 pep primary_assembly:ASM411807v1:9:8593439:8596377:1 gene:Vigun09g075600.v1.2 transcript:Vigun09g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTNNCVWHQVSTGMFMPHAVERRKTAFSSRMLKQPSNTTYSSTCFHTSTTTLFSQPIDPKKLIITNISSSNSHEPFIKNSETKMGLLDLEKHFAFYGAYHSNPINVAIHILFVWPILFTAQIILYFTPTLLSLGFLPPVLVLNWGFFATVFYALFYMALDIKAGSFTAFLTFFCWVASSFVANTLGYSLAWKVVLAAQVFCWTGQFIGHGVFEKRAPALLDNLTQAFLMAPFFVVLEVLQSSIGYEPYPGFKTRVKTRIDSDIKQWQDKKQKKHS >Vigun09g180600.1.v1.2 pep primary_assembly:ASM411807v1:9:35325204:35328352:-1 gene:Vigun09g180600.v1.2 transcript:Vigun09g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSANHLDEDVSKKEESPQLAALLKEMKEGLDTVRRKVQSLTAKVKEGEYPTADGFSYLEAKNLLLLNYCQSLVYYLLRKAKGLSIEDHPVVRSVVEIRLFLEKIRPIDKKQQYQIQKLVQASENAAKSDIQSKDPVASNKSEDASKYRPNPDMLISKVDLTSQDGHDTYQPVKFAPTSMDIEKPSKHERNALRREKEILKQAKQSDYIRTLMNDMEERPEEVRDFEGASKEVDRFVSKMEKRAQQEEEMFTRVPLTKQERKREKYLKKSRNGLQGLTESFYDEIKTLPFEDRTEEQVMGSGNGSSRKSRVHKRKRKH >Vigun09g222500.2.v1.2 pep primary_assembly:ASM411807v1:9:39558688:39559777:1 gene:Vigun09g222500.v1.2 transcript:Vigun09g222500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKVQRIINFGFCFLRKERVKHCCVLEPTRSMGIKWRTSSKFLLLFFVCRREEGKKMDIRLMELDSPLFSTLHRMMDMTEDAEKNLSAPTRTYVRDAKAMAATPVDVKEYPNSYVFVIDMPGLKSGDIKVQVEEDNVLIISGERKREEEKEGAKYLRMERRVGKFMRKFTLPDNANTDAISAVCQDGVLTVTVNKLPPPEPKKPKTIEVKIG >Vigun07g087600.1.v1.2 pep primary_assembly:ASM411807v1:7:13561635:13567281:1 gene:Vigun07g087600.v1.2 transcript:Vigun07g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNTCHVSLAFLLKFLNFLQSFVGISILLYSIWMFNEWEHRLPPPPLPHAFDFYLNLYSIVLPAPWFIYAFLGVGILVLCISFLGCIAAEMINGCCLCFYTLLIAVLLLLEASLVGFIALDSDWEKDIPLDPTGRLDELRIFIEDNIDICTWVGITVLAVQALALLLALILRATVSTRGTDFDYEDECDVRGRTWEPLLNPQSGQPSGSGKVDNRGNHSDIWSSRMREKYGLNHGDKSTYQP >Vigun07g087600.2.v1.2 pep primary_assembly:ASM411807v1:7:13561630:13568252:1 gene:Vigun07g087600.v1.2 transcript:Vigun07g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNTCHVSLAFLLKFLNFLQSFVGISILLYSIWMFNEWEHRLPPPPLPHAFDFYLNLYSIVLPAPWFIYAFLGVGILVLCISFLGCIAAEMINGCCLCFYTLLIAVLLLLEASLVGFIALDSDWEKDIPLDPTGRLDELRIFIEDNIDICTWVGITVLAVQALALLLALILRATVSTRGTDFDYEDECDVRGRTWEPLLNPQSGQPSGSGKVDNRGNHSDIWSSRMREKYGLNHGDKSTYQP >Vigun03g237900.1.v1.2 pep primary_assembly:ASM411807v1:3:39638388:39643233:1 gene:Vigun03g237900.v1.2 transcript:Vigun03g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGILQNSSKGKESWKTVLTLAYQSLGVVYGEISTSPLYVYRNTFAEDIGHSETNEEIYGVLSLVFWTLTLVPLVKYVFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNCQLADEELSEYKNHSCGVAAERSLASSLKTLLERHKVLQRILLVLALLGTCMVIGVGVLKPAISVFSAVSGLELSMSKEHHRYVEVPGACIILIGLFALQRYGTNRVGFLFAPIVCVWLFCISAIGLYNIFYWNPHVYKALSPYYVFQFLKKTRRGGWMALGGILLCITGSEAMFAGLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYFSRHHDVEQEYHFGFYVSVPEKLRWPVLVIAILAAVVGSQSIITGTFSIIRQCSALSCFPRVKVVHTSSKIHGQVYIPEINWLLMLLCLAVTIGFRDTKLMGNASGLAVVSVMLVTSCLMSLVIVICWHKNVVLAVGFVLFFGSIEALFFSASVMKFFEGAWVPVALAFVFLSVMCVWHYGTLKKYEFDVQNKVSISWLLSLGPSLGFARVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKHVPVPHVKPEERFLVGRVGPRDFRIYRCIVRYGYHDVHKDDNEFEKDLVCSIAKFIQAGSGGCNSSNDDPEKCGGNMTVVGSCSTSHPVDAELGESSESERVMKAKKRVRFIVPESPKIDTGAMEELKELMEAREVGVAYILGQSYMRVKPGSSMLKKLVINFGYEFLRKNSREPSSVLSAPHASSLEVGMMYHV >Vigun03g237900.5.v1.2 pep primary_assembly:ASM411807v1:3:39638388:39643227:1 gene:Vigun03g237900.v1.2 transcript:Vigun03g237900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGILQNSSKGKESWKTVLTLAYQSLGVVYGEISTSPLYVYRNTFAEDIGHSETNEEIYGVLSLVFWTLTLVPLVKYVFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNCQLADEELSEYKNHSCGVAAERSLASSLKTLLERHKVLQRILLVLALLGTCMVIGVGVLKPAISVFSAVSGLELSMSKEHHRYVEVPGACIILIGLFALQRYGTNRVGFLFAPIVCVWLFCISAIGLYNIFYWNPHVYKALSPYYVFQFLKKTRRGGWMALGGILLCITGSEAMFAGLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYFSRHHDVEQEYHFGFYVSVPEKLRWPVLVIAILAAVVGSQSIITGTFSIIRQCSALSCFPRVKVVHTSSKIHGQVYIPEINWLLMLLCLAVTIGFRDTKLMGNASGLAVVSVMLVTSCLMSLVIVICWHKNVVLAVGFVLFFGSIEALFFSASVMKFFEGAWVPVALAFVFLSVMCVWHYGTLKKYEFDVQNKVSISWLLSLGPSLGFARVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKHVPVPHVKPEERFLVGRVGPRDFRIYRCIVRYGYHDVHKDDNEFEKDLVCSIAKFIQAGSGGCNSSNDDPEKCGGNMTVVGSCSTSHPVDAELGESSESERVMKAKKRVRFIVPESPKIDTGAMEELKELMEAREVGVAYILGQSYMRVKPGSSMLKKLVINFGYEFLRKNSREPSSVLSAPHASSLEVGMMYHV >Vigun03g237900.4.v1.2 pep primary_assembly:ASM411807v1:3:39638267:39643234:1 gene:Vigun03g237900.v1.2 transcript:Vigun03g237900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGILQNSSKGKESWKTVLTLAYQSLGVVYGEISTSPLYVYRNTFAEDIGHSETNEEIYGVLSLVFWTLTLVPLVKYVFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNCQLADEELSEYKNHSCGVAAERSLASSLKTLLERHKVLQRILLVLALLGTCMVIGVGVLKPAISVFSAVSGLELSMSKEHHRYVEVPGACIILIGLFALQRYGTNRVGFLFAPIVCVWLFCISAIGLYNIFYWNPHVYKALSPYYVFQFLKKTRRGGWMALGGILLCITGSEAMFAGLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYFSRHHDVEQEYHFGFYVSVPEKLRWPVLVIAILAAVVGSQSIITGTFSIIRQCSALSCFPRVKVVHTSSKIHGQVYIPEINWLLMLLCLAVTIGFRDTKLMGNASGLAVVSVMLVTSCLMSLVIVICWHKNVVLAVGFVLFFGSIEALFFSASVMKFFEGAWVPVALAFVFLSVMCVWHYGTLKKYEFDVQNKVSISWLLSLGPSLGFARVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKHVPVPHVKPEERFLVGRVGPRDFRIYRCIVRYGYHDVHKDDNEFEKDLVCSIAKFIQAGSGGCNSSNDDPEKCGGNMTVVGSCSTSHPVDAELGESSESERVMKAKKRVRFIVPESPKIDTGAMEELKELMEAREVGVAYILGQSYMRVKPGSSMLKKLVINFGYEFLRKNSREPSSVLSAPHASSLEVGMMYHV >Vigun02g184300.1.v1.2 pep primary_assembly:ASM411807v1:2:32409724:32412757:-1 gene:Vigun02g184300.v1.2 transcript:Vigun02g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEREYFDSSGPLHLTCVDWDNAYHRKSVAASLVQGVYVLEKDRQDRREGPNALAQPWWAFFHFQLLRTLVDDVDSSIFGAIYEFKPPTSICNDTSHRSPCYVIAFRGTITKADSVSRDIELDIHFVRNGLHQTSRFEIAIQAVRNMVATVGGSNIWLAGHSLGSAMAMLIGKTMAKTGVFIQSLLFNPPYASAPIERIKDKKLKHGLRFAGSVVTAGLAIAMKAKQKKSLSFDPFSALSAWVPCLFVNPSDPICCEYVGYFEHRTKMEEIGAGTIEKLATQTSLGCLLMGALGKESDEPLHLIPSASLTVNHTPSQDFREAHGIHQWWKPDLCLESKLYQY >Vigun01g206000.1.v1.2 pep primary_assembly:ASM411807v1:1:38191417:38195554:-1 gene:Vigun01g206000.v1.2 transcript:Vigun01g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTYAADQSSAPNNDLFELVWEKGQISVQGQSCKARKTPNCKTLPSHCSPSHTPLGGYANDNNATITRMGKFGSLGAELNEIKRVVPSGEVYFSEEEEEDMVMPWLNYGIDDSLQHGYSSDFFNELSGVTMNEPSASNGFSLLDRRNSCSKVFRDTHKNTPFASVRSKIIDIAENNTNNVPSPSSGFSSLKMEKQGPVACSSSSTMMNFSLFAKPAAIVKANLENIGLASRSRSVGIKIKGAAATVAANPSESTKVELFGECPKKTVTQSHHHVTEPKHLEQDGGVSTKCDPSCKDVCRVDDTSNVVVGESVNKGKEAVEKNAEPAVVSSSVCSGNGAERGLKDPNQILKTKSTDTDDFESQSEDVEEESVGVEKEVPAPRIGAKRHRSAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQLQIMSMGSGFYMPGMMLPPGIQQMHAPHMGPFSPVGVGMQMRLGVGCGMGMVNGNDECSGFPMLHVPQMQGTKLPTAHAPASTALHGMPNAQVFGMPMPMQMPHAPIFSFPGESFMKASTLGPNASGTSALTENVGSVSACNLKDPMPHVNSQDAQNTDKPDVNTV >Vigun01g206000.2.v1.2 pep primary_assembly:ASM411807v1:1:38191417:38194904:-1 gene:Vigun01g206000.v1.2 transcript:Vigun01g206000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTYAADQSSAPNNDLFELVWEKGQISVQGQSCKARKTPNCKTLPSHCSPSHTPLGGYANDNNATITRMGKFGSLGAELNEIKRVVPSGEVYFSEEEEEDMVMPWLNYGIDDSLQHGYSSDFFNELSGVTMNEPSASNGFSLLDRRNSCSKVFRDTHKNTPFASVRSKIIDIAENNTNNVPSPSSGFSSLKMEKQGPVACSSSSTMMNFSLFAKPAAIVKANLENIGLASRSRSVGIKIKGAAATVAANPSESTKVELFGECPKKTVTQSHHHVTEPKHLEQDGGVSTKCDPSCKDVCRVDDTSNVVVGESVNKGKEAVEKNAEPAVVSSSVCSGNGAERGLKDPNQILKTKSTDTDDFESQSEDVEEESVGVEKEVPAPRIGAKRHRSAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQLQIMSMGSGFYMPGMMLPPGIQQMHAPHMGPFSPVGVGMQMRLGVGCGMGMVNGNDECSGFPMLHVPQMQGTKLPTAHAPASTALHGMPNAQVFGMPMPMQMPHAPIFSFPGESFMKASTLGPNASGTSALTENVGSVSACNLKDPMPHVNSQDAQNTDKPDVNTV >Vigun09g010700.1.v1.2 pep primary_assembly:ASM411807v1:9:801386:813152:-1 gene:Vigun09g010700.v1.2 transcript:Vigun09g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLMSSGGPRYVQMKSSPPPSPPAATAEEMSSAPSFRHSGAEANRIFEELPKASIVSVSRPDASDISPMQLSYTIQVQYRQFKWELMKKAHQVFILHFALKKRAIIEEIHEKQEQVKEWLQNLGIGEHNAMEQDDDEGDDETIPLHTDETHESAKDRDVPSSAALPIIRPALGRQQSIADRAKRAMQGYLNHFLGNISIVNSHEVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPKILKDEDSRKCCLSDCFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLASEMKERNPLRHSFKVACGIRSIRIRVKSRSKVKDWVAAINDAGLRPPEGWCHPHRYGSFAPPRGLIEDGSQAQWFIDGRAAFEAIASAIEAAKSEIFICGWWLCPELYLRRPFHTHASSRLDNLLEGKAKQGVQIYILLYKEVALALKINSVYSKKKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIIDNHICFIGGLDLCFGRYDTSEHKVVDFPPLIWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEEAIPLLMPQHHMVIPHYLGRSREIQIESGNVDNQTVLKREDSFSSSSQDQDIPLLLPQESDGLDTHEGDQKCNGVISSPHYQEKPRRMSTGLPFSFRKAKIVALGSDTPMKGFVDDLDSEYDREKMPLDRMAHSDLQNTDPEWWETQERGDQGGFPEESGQVGPLASCRCQVIRSVSQWSAGTSQTEESIHSAYCSLIEKAEYFIYIENQFFISGLSGDEMIRNRVLEALYRRIMRAYNDKKTFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGQNSILHNLYELLGPKIHDYISFYGLRSYGRLNGGPVATSQVYVHSKIMIVDDCITLIGSANINDRSLLGSRDSEIAIVIEDRELIGSYMDGKPWKAGKFSSTLRLSLWSEHLGIPTGEDNQIMDPVVESTYKDIWVATAKTNTAIYQDVFSCVPNDLIHTRHAFRQSVLFWKERIGHTTIDLGIAPEKLESYRDGGIKNTDPLERLASVKGHLVSFPLEFMCQESLRPAFNESEYYATQVFH >Vigun09g010700.2.v1.2 pep primary_assembly:ASM411807v1:9:801386:813152:-1 gene:Vigun09g010700.v1.2 transcript:Vigun09g010700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDDDEGDDETIPLHTDETHESAKDRDVPSSAALPIIRPALGRQQSIADRAKRAMQGYLNHFLGNISIVNSHEVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPKILKDEDSRKCCLSDCFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLASEMKERNPLRHSFKVACGIRSIRIRVKSRSKVKDWVAAINDAGLRPPEGWCHPHRYGSFAPPRGLIEDGSQAQWFIDGRAAFEAIASAIEAAKSEIFICGWWLCPELYLRRPFHTHASSRLDNLLEGKAKQGVQIYILLYKEVALALKINSVYSKKKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIIDNHICFIGGLDLCFGRYDTSEHKVVDFPPLIWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEEAIPLLMPQHHMVIPHYLGRSREIQIESGNVDNQTVLKREDSFSSSSQDQDIPLLLPQESDGLDTHEGDQKCNGVISSPHYQEKPRRMSTGLPFSFRKAKIVALGSDTPMKGFVDDLDSEYDREKMPLDRMAHSDLQNTDPEWWETQERGDQGGFPEESGQVGPLASCRCQVIRSVSQWSAGTSQTEESIHSAYCSLIEKAEYFIYIENQFFISGLSGDEMIRNRVLEALYRRIMRAYNDKKTFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGQNSILHNLYELLGPKIHDYISFYGLRSYGRLNGGPVATSQVYVHSKIMIVDDCITLIGSANINDRSLLGSRDSEIAIVIEDRELIGSYMDGKPWKAGKFSSTLRLSLWSEHLGIPTGEDNQIMDPVVESTYKDIWVATAKTNTAIYQDVFSCVPNDLIHTRHAFRQSVLFWKERIGHTTIDLGIAPEKLESYRDGGIKNTDPLERLASVKGHLVSFPLEFMCQESLRPAFNESEYYATQVFH >Vigun09g010700.3.v1.2 pep primary_assembly:ASM411807v1:9:801386:813152:-1 gene:Vigun09g010700.v1.2 transcript:Vigun09g010700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAHQVFILHFALKKRAIIEEIHEKQEQVKEWLQNLGIGEHNAMEQDDDEGDDETIPLHTDETHESAKDRDVPSSAALPIIRPALGRQQSIADRAKRAMQGYLNHFLGNISIVNSHEVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPKILKDEDSRKCCLSDCFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLASEMKERNPLRHSFKVACGIRSIRIRVKSRSKVKDWVAAINDAGLRPPEGWCHPHRYGSFAPPRGLIEDGSQAQWFIDGRAAFEAIASAIEAAKSEIFICGWWLCPELYLRRPFHTHASSRLDNLLEGKAKQGVQIYILLYKEVALALKINSVYSKKKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIIDNHICFIGGLDLCFGRYDTSEHKVVDFPPLIWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEEAIPLLMPQHHMVIPHYLGRSREIQIESGNVDNQTVLKREDSFSSSSQDQDIPLLLPQESDGLDTHEGDQKCNGVISSPHYQEKPRRMSTGLPFSFRKAKIVALGSDTPMKGFVDDLDSEYDREKMPLDRMAHSDLQNTDPEWWETQERGDQGGFPEESGQVGPLASCRCQVIRSVSQWSAGTSQTEESIHSAYCSLIEKAEYFIYIENQFFISGLSGDEMIRNRVLEALYRRIMRAYNDKKTFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGQNSILHNLYELLGPKIHDYISFYGLRSYGRLNGGPVATSQVYVHSKIMIVDDCITLIGSANINDRSLLGSRDSEIAIVIEDRELIGSYMDGKPWKAGKFSSTLRLSLWSEHLGIPTGEDNQIMDPVVESTYKDIWVATAKTNTAIYQDVFSCVPNDLIHTRHAFRQSVLFWKERIGHTTIDLGIAPEKLESYRDGGIKNTDPLERLASVKGHLVSFPLEFMCQESLRPAFNESEYYATQVFH >Vigun04g059900.1.v1.2 pep primary_assembly:ASM411807v1:4:6060680:6062060:-1 gene:Vigun04g059900.v1.2 transcript:Vigun04g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILQSRPFNVGVPPLCYTESKYVQNSIICTSLFGQIRHVSSSIHQRQPQFTGECRRKTKVFAARRRKRANERTETYVLLEPGKDERFVSEEELKATLKELLENWPGKVLPPDLSRYEDIDEAVSFLVRYVCELEIDGEVGSVQWYEVRLE >VigunL010800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:2405:6670:-1 gene:VigunL010800.v1.2 transcript:VigunL010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDIWRASGITNELQLYCTAIGALVFAALMLFADVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPLSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIANIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGNPSVESLCSNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHILGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun03g233900.1.v1.2 pep primary_assembly:ASM411807v1:3:38951995:38954574:1 gene:Vigun03g233900.v1.2 transcript:Vigun03g233900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MEVEIPGAAVKESEQQKFALPVDSEHKATEFRLFSIAKPHMRSFHLSWISFFSCFVSSFAAPPLLPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLVGPRLASASLILLTAPFVYFTSIINSATSYLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGSANGFAGGWGNLGGGATQLIMPLVFSLIRDIGATKFTAWRIAFFVPAMFQMLTAFSILIFGQDMPDGNFQRLKKSGEKAKDEFSRVVYHGITNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFNLKLHTAGIIAASFGLANLFSRPGGGYISDVMGKRFGMRGRLWALWLCQTLAGVFCIILGLVGSLGVSVLVMIIFSLFVQAACGMTFGIVPFVSRRSLGVISGMTGGGGNVGAVVTQLIFFKGSKFSKERGITLMGVMIIICTLPMCLIYFPQWGGMFCGPSSKKVTEEDYYLAEWNSKEKEKGSHHASLKFADNSRRERGKKLNASTEPSEEITPPHV >Vigun03g233900.2.v1.2 pep primary_assembly:ASM411807v1:3:38951843:38954539:1 gene:Vigun03g233900.v1.2 transcript:Vigun03g233900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MEVEIPGAAVKESEQQKFALPVDSEHKATEFRLFSIAKPHMRSFHLSWISFFSCFVSSFAAPPLLPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLVGPRLASASLILLTAPFVYFTSIINSATSYLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGSANGFAGGWGNLGGGATQLIMPLVFSLIRDIGATKFTAWRIAFFVPAMFQMLTAFSILIFGQDMPDGNFQRLKKSGEKAKDEFSRVVYHGITNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFNLKLHTAGIIAASFGLANLFSRPGGGYISDVMGKRFGMRGRLWALWLCQTLAGVFCIILGLVGSLGVSVLVMIIFSLFVQAACGMTFGIVPFVSRRSLGVISGMTGGGGNVGAVVTQLIFFKGSKFSKERGITLMGVMIIICTLPMCLIYFPQWGGMFCGPSSKKVTEEDYYLAEWNSKEKEKGSHHASLKFADNSRRERGKKLNASTEPSEEITPPHV >Vigun03g395750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60230847:60230957:-1 gene:Vigun03g395750.v1.2 transcript:Vigun03g395750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVGIVFVCVCTGACVGVGTTSVRTPFGEHKQIN >Vigun07g163200.2.v1.2 pep primary_assembly:ASM411807v1:7:27473637:27477297:-1 gene:Vigun07g163200.v1.2 transcript:Vigun07g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENLEDNNNCCDWSNRFKENLSWQAHRGPVLSLTFTSYDHHHHHRHRKDVRRNFNMPKKGRPLSLQTVELKVRMCCTGCERVVKNAIYKLKGIDSVEVDLKMEKVTVGGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTTADHYFKDTTHEFKESYNYYRHGYNLPQKHGTIHVSHRGDDNVSNMFNDDNVNACSVM >Vigun07g163200.3.v1.2 pep primary_assembly:ASM411807v1:7:27473637:27477297:-1 gene:Vigun07g163200.v1.2 transcript:Vigun07g163200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGRPLSLQTVELKVRMCCTGCERVVKNAIYKLKGIDSVEVDLKMEKVTVGGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTTADHYFKDTTHEFKESYNYYRHGYNLPQKHGTIHVSHRGDDNVSNMFNDDNVNACSVM >Vigun07g163200.1.v1.2 pep primary_assembly:ASM411807v1:7:27473637:27476402:-1 gene:Vigun07g163200.v1.2 transcript:Vigun07g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDKAFRFDISSFIYRFFIYHHPQDHHHHHRHRKDVRRNFNMPKKGRPLSLQTVELKVRMCCTGCERVVKNAIYKLKGIDSVEVDLKMEKVTVGGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTTADHYFKDTTHEFKESYNYYRHGYNLPQKHGTIHVSHRGDDNVSNMFNDDNVNACSVM >Vigun02g074200.2.v1.2 pep primary_assembly:ASM411807v1:2:22579983:22582993:1 gene:Vigun02g074200.v1.2 transcript:Vigun02g074200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSPFKDQSLSITKRLLPWTLYALLPIALLRLYFYPLPFPASPETELPSSTPITIISHSSLSASAPSSPEKEKTYETPCDFFDGKWIRDKRGPLYNGTTCGTIKEGQNCIAHGRPDSGYLYWRWKPSQCKLPRFEPQTFLQLIKNKHVAFVGDSMARNQLESLLCMLATASTPNLVYRNGEDNKFRKWHFPSHNVSVSLYWSPFLVQGVEKSNTGPNHNKLYLDHVDERWARDMDQMDLIVLSIGHWFLHPAVYYEGSSVLGCHYCPGLNHTEIGFYDVLRKALRTTLNSIIDRRGSKGYGTDIIVTTFSPAHFEGEWDKAGACPKTKPYRKGEKQVEGMDSDMRRIEIEEVEDAKTKANNFGGVIRLEALDVTKLALLRPDGHPGPYMYPFPFANGHQERVQNDCVHWCLPGPIDTWNEIFLEFMKKWGEEPRIEE >Vigun02g074200.1.v1.2 pep primary_assembly:ASM411807v1:2:22579970:22582998:1 gene:Vigun02g074200.v1.2 transcript:Vigun02g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSPFKDQSLSITKRLLPWTLYALLPIALLRLYFYPLPFPASPETELPSSTPITIISHSSLSASAPSSPEKEKTYETPCDFFDGKWIRDKRGPLYNGTTCGTIKEGQNCIAHGRPDSGYLYWRWKPSQCKLPRFEPQTFLQLIKNKHVAFVGDSMARNQLESLLCMLATASTPNLVYRNGEDNKFRKWHFPSHNVSVSLYWSPFLVQGVEKSNTGPNHNKLYLDHVDERWARDMDQMDLIVLSIGHWFLHPAVYYEGSSVLGCHYCPGLNHTEIGFYDVLRKALRTTLNSIIDRRGSKGYGTDIIVTTFSPAHFEGEWDKAGACPKTKPYRKGEKQVEGMDSDMRRIEIEEVEDAKTKANNFGGVIRLEALDVTKLALLRPDGHPGPYMYPFPFANGHQERVQNDCVHWCLPGPIDTWNEIFLEFMKKWGEEPRIEE >Vigun10g033201.1.v1.2 pep primary_assembly:ASM411807v1:10:4422902:4424791:-1 gene:Vigun10g033201.v1.2 transcript:Vigun10g033201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKNTKKMNQLFEEYNKSWLFFCHEGRLMIYLKNTTKVIFQMNDVDVQVSRWMKCLKNIAKMNQLFEEYNKCWFFFFCHEG >Vigun03g329300.1.v1.2 pep primary_assembly:ASM411807v1:3:52633102:52639026:1 gene:Vigun03g329300.v1.2 transcript:Vigun03g329300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSPPFSVSNPSPFSPTLLNPTTTLRQLFFATSPKRFFQFQSRATKFKELIPINPSLTVEKGKYSYDVETLINRLTALPPRGSIARCLDPFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQLWCKPNEHIYTIMITLLGRESLLDKCREVFDEMPSNGVARTVYAYTAIINAYGRNGQFQASLELLDTMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLCACANRGLGDEAEMVFRTMNESGIVPDINTYSYLVQTFGKLNRLEKVSDLLREMESGGNLPDITSYNVLLEAYAELGSIKEAMGVFRQMQAAGCVANAATYSILLNLYGKHGRYDDVRELFLEMKVSNTDPDVGTYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGIVPTSKAYTGVIEAFGQAALYEESLVAFNTMKEVGSNPTLETYNSFVHAYARGDCLKRDVHSFNGLIEAFRQAGQYEEAVKAHVEMEKANCEPNELTLEAVLSVYCTAGLVDESEEQFQEIKASGLLPSVMSYCMMLALYAKNDRLNDAYNLIDEMIKIRVSDIHQVIGQMIKGDFDDESNWQIVEYVFDKLSSEGCGLGVRFYNALLEALWWMFQRERAARVLNEASKRGLFPELFRRSKLVWSVDVHRMSEGAALTALSVWLNNMQEMFLNSEDLPVIATVVVVRGEMEKTTDAQDFPVAKAAMSFLQDNVPSSSFTFPEWNKGRIVCQQSQLRQILTGTESSSSKKKMDKLISLSNTPLTTAGAVASKPDGKANDVDSRTDSTRTELLTSAV >VigunL027500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:108981:109667:-1 gene:VigunL027500.v1.2 transcript:VigunL027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA MKKKKSIPLLYLTSIVFLPWCISFTFKKSLESWFINWWNTSQSEIFLNDIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDRMNTILHFSTNIICFFILSGYSILGNQELVLINSLVREFIYNLSDTIKAFSILLLTDLCIGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHSMND >Vigun01g218400.1.v1.2 pep primary_assembly:ASM411807v1:1:39221311:39230726:1 gene:Vigun01g218400.v1.2 transcript:Vigun01g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLHSNDALRQSSALLQALQQSAAGRDIAVIAKTAVEEIVAAPASAVCKKLAFDLIRSTRLTPDLWETVCSGIRNDLHFPDPDVAAAAVSILAAIPFYRLAKLISDCNKEISECFDSPSDNLRFSVTETLGCILARDDLVTLCENNVNLLDRVSAWWARVAANMLDRADAVAKVAFESVGRLFQEFSSKRMSKLAGDKLVDSENSLAIRSNWVSSMVDFVWRKRRALMARSLILPVENFRATVFPVVYSVKAVASGSVEVIRKLSKAFSAASGNDEVDSHAEKLVGVSDVVTHLAPFLVSSLEPALIYEVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFASARESIVRAVVTNLHLLDLHMQVSLFKRLLLMVKNLRAESDRMYALACICRTALCVHLFAKESVRRGQKPLPGTDIASLFEDARVNDDLNSITSKSIFREELVASLVESCFQLSLPLPEQKNTGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAFDCYLKLLVRLCYVYDTRGGVKRVKDGASQDQILNETRLQNLQRELVKDLREVNTPRILARLIWAIAEHIDIEGLDPLLADDPDDPLNVIISNIHKVLFNVDATAETTNRVQDVQAVLISAQRLGSRHPRAGQLLTKELEEFRNNPLADSVSKHQCRLILQRIQYASSHQDTRWAGVTEARGDYPFSHHKLTVLFFEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGVDSTLLKVPPTAITLTGSSDPCYVEGYHLADSSDGRITLHLKVLNLTELELNRVDIRVGLSGALYYMNGSSQAVRQLRGLVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGAVGDYEGDYAEEDPQIMRQRRSLRPELGEPVILRCQPYKIPLTELLLPHQISPVEFFRLWPSMPAIVEYTGTYTYEGSGFKATAAQQYGASPFLSGLKSLSSKPFHKVCSHIIRTVAGFEMCYAAKTWHGGFLGMMIFGASEVSRNVDLGDETTTMMCKFVVRASDSSITKEIGSDLQGWLDDLTDGGVEYMPEDEVKVAAAERLRISMERIALLKAAQPRPKTPKSDDEDEEDEDNKNEQNNDEDEKRKGPSTLSKLTAEEAEHQALQAAVLQEWHMICKDRTTQVN >Vigun11g059400.1.v1.2 pep primary_assembly:ASM411807v1:11:12933168:12936216:-1 gene:Vigun11g059400.v1.2 transcript:Vigun11g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSCSWLTLPSQMKNLSLTSSSHSISNSTSLSFSRSLSLPLFSQGNCLPLSTVQRRASVVCEAAPKKKVDSAVKRARQAENRRIYNKSRKSEIKTRTKKVLEALEVLKKKQDAASEEILPIEKLIGEAYSTIDKAVRAGTLHRNTGANRKARLARRKKAVEIHHGWYTPVPEATA >Vigun06g098500.1.v1.2 pep primary_assembly:ASM411807v1:6:22922350:22924860:1 gene:Vigun06g098500.v1.2 transcript:Vigun06g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAHRRVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSQGYHAVAPDLRGYGDTEAPASISSYTCFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPERVKAYVCLSVPLLHRDPNVRTVDGMRAMYGDDYYVCRFQKPGEMEAQMAEVGTEYVLKNILTTRKPGPPIFPKGEYGTGFNPDLPNSLPSWLTEDDLAYYVSKFEKTGFTGPLNYYRNMNLNWELTAPWSGAKIQVPVKFITGELDMVYTSLNMKEYIHGGAFKQDVPNLEEVIVQKDVAHFNNQETAKEINNYIYEFIKKF >Vigun10g017700.2.v1.2 pep primary_assembly:ASM411807v1:10:1974847:1981297:-1 gene:Vigun10g017700.v1.2 transcript:Vigun10g017700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYIYFPCSVTTLSTYLYMVKLDEETSCEYKDLPQPVQVPGCVPFHGRDLCSVAQDRSALPYKLFLQRVKCIPLVDGVLVNSFLEMETGPIRAFEDEERGYPPLYPVGPIVQTGTVTAASTTGLECLTWLDKQQDGSVLYVCFGSGGTLSQEQMKELAYGLELSKHKFLWAVRAPNDVVNAGYLVGERDAEPLEFLPSGFLERTKEQGMVVPSWVPQSEILGHGSVGGFLSHCGWNSTLESVVHGVPLITWPLFAEQRMNAVLMSEGLKVGVRRRVSENGLVERVEVVEMIKCLMEEEEGREMRKRMKELKEDAANAIKEDGSSTKTLSQLAFM >Vigun11g152300.1.v1.2 pep primary_assembly:ASM411807v1:11:36216566:36223605:-1 gene:Vigun11g152300.v1.2 transcript:Vigun11g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSHHFFLLQLLLAFFFLSLAFGATLPEDEVEALKDIGESLGKKDWDFSVDPCSGEHNWTSQTKVRGTENNVTCDCSFENATICHVTNILLKVQNLRGTLPVAMVRLPYLQEIDLSRNYLNGTIPPEWGLLNLVHISLSANRLTGSIPPELANISTLQSLTLEFNQLSGNLPSELGNLPNIQRLLLTSNNFTGELPATFARLTTLQEVRLGDNKFSGRIPDFIQSWTSVQKLVIQGTGLSGPIPSGISLLESLTDLRISDIEGSDYFPFPQLDNLANLEILILRSCNINGTIPEYLGNMSNLQTLDLSFNRLSGQIPSSFEYGLRKTDYIYLTGNILTGPVPAWAEKEKNVDLSYNNFTMENSGQQPCQRGNVNLFASYSVDNSSESVSCLRSVACPKKSHSLHINCGGKQVTVDGNETYDEDTDNAGPARFHLSGKNWGFSSTGHFMDNDRAEYYTWLNQSQLFLADAEIYMDARVSPISLTYYGFCLGNGNYTVNLHFAEVMFTEDQTFNSLGRRIFDVYIQGNMVLKNFNIAEEAGGVNKAVTKSFTIVISSNTLEIRLYWTGKGTIGIPFKSVYGPLISAISVNPNFIPPSENGSRISAGAVVAIVAGAVVFLVLVFGILWWRGFLGQKSSLAKELKGLKLQMGIFTLRQIKAATNNFNKANKIGEGGFGPVYKGNLSDGTIIAVKQLSSKSRQGNREFINELGMISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLARALFGPEEHQIKLDWPTRQNICVGIAKGLAYLHEESRLKIVHRDIKTTNVLLDKDLKPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIISGRSNIIQRHKEASLHLLDWAHMLKESGNLMELIDGRLGLDFNKKEVITMINVALLCTNATASLRPTMSSVVSMLEGRSVVQELISESSETLDEKKLEVMRQHYQEIEENNLSESNNQSLSVDDTLATSSISATDLYPVNMNSSYWEKRGW >Vigun04g056400.1.v1.2 pep primary_assembly:ASM411807v1:4:5522255:5524855:1 gene:Vigun04g056400.v1.2 transcript:Vigun04g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFVTDNGVNLLERRFLPALEKTGKSCHLYLTRDHALFLHNLLNGDGVHSVAQFRKEALFHDYRISSNNDDRIAFAVDLSLLLRALRSAVAIASEYSASSSTATRLEIKLVKKLSPNSTQSMPFLTLETRGYKSAVIQDIPISKPLSRAQVTELQSALDSAQNLPPTLVQVPDSNQLLNLVDRMKQVGDVVNVFISKHGDLSVQVSTTLISLGAEFRKLAVIGEQTNAPSEDQNLSAQTRSSRSISRGDGQSVQVSVKHFAKSLQCHLARPDCAFYGIGPQGSCLTVIFQFFIPGTRETDKSISLHCRLPVLDSGSG >Vigun09g140400.1.v1.2 pep primary_assembly:ASM411807v1:9:30243262:30245783:1 gene:Vigun09g140400.v1.2 transcript:Vigun09g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLTLAPHYHPLPSASPKILHLHSPSSTLFPMATLFSFHTTLRPSCYLPSSPSSLPHPFSNTLSTTFFGNTVSLKYAATSTTNSARSLSARMSWDGPLSSVKLIIQGKNLELTDPVKEHVEDKVGKAVQKHSHLVREVDVRLSTRGGGEFGRGPRTRRCEVTLFTKRHGVLRAEEDAESTYGSIDLVSSIIQRKLRKIKEKESDHGRHMKGFNRLKVREPVEQLPVTEDEILSPEEEEESIDEVVRTKYFDMPPLTVSEAIEQLVNVDHDFYGFRNEETGEINIVYKRKEGGYGLIIPKGDGEAEKLEPVVLEPAAEPSLKE >Vigun11g001750.1.v1.2 pep primary_assembly:ASM411807v1:11:203108:205302:1 gene:Vigun11g001750.v1.2 transcript:Vigun11g001750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPAAGPGPEFNSKEEAFAFYQAHAKSVGFSAIIKASRRSRISGNFIDAKFACTRYGAPPSHKPKRAPARAKTDCKASMHVKRTPHGTWIISSFIKHHNHQISPIHSNPKPNNNVNNNASPSRKLKVKKTLQHLPFAQGDLQFLLNAFMSMQNENPNFFFAVDFNEEQRLRSVFWVDAKARLDYRHFSDVVLLDTTHVKNECRLPFVPFVGVNHHLQFLLLGLAFVSDGSESTYVWLMRNWLRAMGGRAPKVMLTDCDEVLKRAVAQVVPESCHCFCLWHVLSKVPEKLGRRIHGHGGEFMSGFSNCVLRSRTKEQFEKRWGRCWLCEIFEDRKRWVPAFMNGRILAGMSTVQRSEAMNCMFDKYVQRKTTLKEFLEQYRVVLRDKCEEEAKADFETLCRMPVLKSPSPFGKQMVELYTNAVFKKFQGEVLGAVACHPRKESEDGAMKMFKVRDFEDNQDFVVTWNESTSEASCSCYSIEFNGYLCRHVMIVLQISGVHSIPPRYILKRWTKDAKKRDLSVADVVVSDSRAERYSNLCQRAFGLGDEGSLSYETYIAAVKALEEALRKCENLNGSIQRVREPNLPCFGSQEVSPEPDTIAMGVDSSWQQVENPNAQAAGLPCSHKSQHSIQKMV >Vigun03g288500.1.v1.2 pep primary_assembly:ASM411807v1:3:47077556:47079994:1 gene:Vigun03g288500.v1.2 transcript:Vigun03g288500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGAVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKEMKDTTESKNQYCKFDNELLTLFTSSLYLAALIASFFASIITRMLGRKVSMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFQMMITIGILVANLINYGTAKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGNYEKAKKMLKKIRGTEKIDEEYQDLVDASEEAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNEASLMSAVITGVVNVVATLVSIFTVDKFGRRVLFLEGGVQMFICQVVVGIMIGLKFGLNGQGAFSKSEADILLFFICAYVAAFAWSWGPLGWLVPSEICSLEIRPAGQATNVAVNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIALLLPETKNVPIEEMNLLWTSHWFWNKIVPKDADSNSKNNKSVV >Vigun03g288500.2.v1.2 pep primary_assembly:ASM411807v1:3:47077556:47079994:1 gene:Vigun03g288500.v1.2 transcript:Vigun03g288500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGAVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKEMKDTTESKNQYCKFDNELLTLFTSSLYLAALIASFFASIITRMLGRKVSMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFQMMITIGILVANLINYGTAKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGNYEKAKKMLKKIRGTEKIDEEYQDLVDASEEAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNEASLMSAVITGVVNVVATLVSIFTVDKFGRRVLFLEGGVQMFICQDLWLS >Vigun09g220300.1.v1.2 pep primary_assembly:ASM411807v1:9:39410581:39419022:-1 gene:Vigun09g220300.v1.2 transcript:Vigun09g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLFRFPLTLQPKLCFNYTPNHAVQFICPTRRRRNRHRHEIFRPSFAVSSSFRTEISSPESVLIGVQREKKDSPVLLDVTGMMCGACVSRVKSILSADERVDSVVVNMLTETAAVNLRRIEEEPASVAESLSRRLSDCGFPTKRRASSSGVTENVRKWKELVKKKEELVAKSRSRVAFAWTLVALCCGSHASHIFHSLGIHIGHGSLLDILHSSYVKGGLALGALLGPGRELLFDGLNAFKKGSPNMNSLVGFGSIAAFIISSIPLLNPGLAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTQSRLVITSTEGSPSTDTVLCSDAICVEVPTDDIRVGDSVLVLPGETIPIDGKVISGRSVVDESMLTGESLPVFKEKGLTVSAGTINWDGPLRIEASSTGSNTVISKIVRMVEEAQSREAPVQRLADSIAGPFVYSVMTLSAATFAFWYFVGSQIFPDVLLNDIAGPEGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGARKGLLIRGGDVLERLARINYIALDKTGTLTRGKPVVSAIGSIHYEESEILRIAAAVEKTASHPIAKAIINKAESLELILPVTKRQLVEPGFGTLAEVDGHLIAVGSLEWVHERFQTIVNPSDLTNLEHSLVSHSSNTTSSKYSKSVVYVGREGEGIIGAIAIADTVREDAESTVMRLNQKGIKTVLLSGDREEAVATVADTVGIENDFVKASLSPQQKSRFISSLKAAGHHVAMVGDGINDAPSLAVADVGIALQNEAQENAASDAASIILLGNKISQVVDALDLAQATMAKVYQNLSWAVAYNVVAIPIAGGVLLPQFDFAMTPSLSGGLMALSSIFVVGNSLLLQLHGSQIPRKG >Vigun05g298200.1.v1.2 pep primary_assembly:ASM411807v1:5:48271588:48276755:1 gene:Vigun05g298200.v1.2 transcript:Vigun05g298200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDDDWVNLAVADDSLVVNLLLRLRRTPPLPPPPPSLHLHWTVRQRRSRSARRHAAAASHKKQDSTRASPTTPLSWSGATSASGGNLDGYEESSRLTTLPQTSRSKVTNPSETATTRKSRRKKTLAELKDEEDLLLKERRSLKKELASLRLTVEKHRTTNESLKRIKLDFVSRQNSSAAVSGPSQFATSECHSSNSASPDTVTHDVSPVSAANASPKAQDNGNQESTFVLPDLNLPVDDDFSANAMH >Vigun01g026900.3.v1.2 pep primary_assembly:ASM411807v1:1:3157691:3159485:-1 gene:Vigun01g026900.v1.2 transcript:Vigun01g026900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNIKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASASEVKVAV >Vigun01g026900.1.v1.2 pep primary_assembly:ASM411807v1:1:3158728:3159388:-1 gene:Vigun01g026900.v1.2 transcript:Vigun01g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNIKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASASEVKVAV >Vigun01g026900.2.v1.2 pep primary_assembly:ASM411807v1:1:3157691:3164472:-1 gene:Vigun01g026900.v1.2 transcript:Vigun01g026900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNIKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASASEVKVAV >Vigun11g033200.1.v1.2 pep primary_assembly:ASM411807v1:11:4370315:4373228:-1 gene:Vigun11g033200.v1.2 transcript:Vigun11g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQAI >Vigun03g237200.9.v1.2 pep primary_assembly:ASM411807v1:3:39479667:39497883:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.7.v1.2 pep primary_assembly:ASM411807v1:3:39478925:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVSLFTRYPHGRKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMNVSRI >Vigun03g237200.3.v1.2 pep primary_assembly:ASM411807v1:3:39478925:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.4.v1.2 pep primary_assembly:ASM411807v1:3:39479311:39497882:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.5.v1.2 pep primary_assembly:ASM411807v1:3:39479311:39497880:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.10.v1.2 pep primary_assembly:ASM411807v1:3:39478933:39497882:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVSLFTRYPHGRKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMNVSRI >Vigun03g237200.8.v1.2 pep primary_assembly:ASM411807v1:3:39478925:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMNVSRI >Vigun03g237200.1.v1.2 pep primary_assembly:ASM411807v1:3:39479311:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVSLFTRYPHGRKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.2.v1.2 pep primary_assembly:ASM411807v1:3:39478925:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQQPQAPLSIDWNRQFQQDSPPREVVIVPSSTMASDQDDLSAIPDHKLKESIQSKKRTLDVTGKNLPDNGAKLRATIDRYQQELSRRESMRLSKEVDGDQKPQPEQTTSSSAITKGVSNDMREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVSLFTRYPHGRKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.6.v1.2 pep primary_assembly:ASM411807v1:3:39479310:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVSLFTRYPHGRKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMFGKRWFKPEEASNLRVKIRKLLVEKLENSMMDNCDLESSSPSSSGGLATECVESAKDSMA >Vigun03g237200.11.v1.2 pep primary_assembly:ASM411807v1:3:39478925:39497876:-1 gene:Vigun03g237200.v1.2 transcript:Vigun03g237200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEALSSQVQPLSTFTSCFFKKMEDSTSCTAAEAFKKEKSQFKHCENQKIQENAASDAFGKETSHVNHSDNRKITNRGTPRRRKRHRSSSRQLPFQCPSKLSKHDTFSDDKTCRATSTFSLRNIGRNLSRCYPKVKDVSEAIQLDGSRSKKGQPIVLDVDDDDDEAHIVEKTENQFPEYLKDAKIYFPSRDDPECVEICYKDTDCLAPEGYLTSTIMNFYIQYLQQQVLLTTDYHFFNTYFYKKLKEAVSYKQSDRDVIFAKFRRWWKGVNIFQKAYVLIPIHEDLHWSLIIICIPDKEDESGPIILHLDSLGLHSSKSVFDNIKSYLIEEKNYMDQEDVSSNVSIADRIWKCLPRRIECQIIAVPQQKNEYDCGLFVLYFIERFMEEAPERLKKKDLAMNVSRI >Vigun08g079350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:16275224:16275802:1 gene:Vigun08g079350.v1.2 transcript:Vigun08g079350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPTTEPSKGKRRRRGSSSSPERPISNRFRDPKREERYEKIKNWVFIKERKVVLLPDEYDPFLDGLIRKNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRATISEFLGNPLPLEPGQRCDFTRKRRSHEPYDENEVALLICAANRSYQVRPTGNPLRILRGDMKTLAQV >Vigun11g210200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40551544:40552836:-1 gene:Vigun11g210200.v1.2 transcript:Vigun11g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQYHLQGSKGMCDEEEGLKIIDHLNECATFTESGNFIDADTALYHLSHLASPHGDSMQRVATYFTEALAHCQLAKNMRGVPKVLRLVRALSTPEQQLVKKLFFDFYPFLKISHTITNQTIIEAMQGETAINVLDLSPSSNAEQWISLMQGLRERLTNTPYLKITLTAIHEKREVLEQMELHLKVEAERLNFHFKFNPVVSTLESLDPETLPIKKGEPLAISCVLQLHSLLAANDDEMVKIRVQRDFAEMLINQKNKKVNRSPDSALSPFSPCPSQKMESFLNGLWRLQPRVMVITEQESNVNGASLRERVCKALSFYGTLFDCLDASASRTLLGRSLLEKMLLGEEIKNIVACEGVERKERHEKLETWIPRLELAGFGTAPVSSNGIWLATKLLQSYVPGYHIHQKNKFLFICWEKIPLFSISAWKF >VigunL059089.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000563.1:87001:87204:1 gene:VigunL059089.v1.2 transcript:VigunL059089.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPHVVVDGFTYEAEAIQGWLDGGNDNSPMTNDKLDPHNLVPIMLFVLQSMTGFKTTYLVLFSHFN >Vigun11g030900.1.v1.2 pep primary_assembly:ASM411807v1:11:3976537:3980560:-1 gene:Vigun11g030900.v1.2 transcript:Vigun11g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKISTELPVHATADKWFHILTKKLHHVQHVAGKVHGAKLHEGDDWHTNDSVKHWTYTLDGKTVTCLETIESVDEQKKTIVFKLFGEAVDGKYKPLKLIFEAIEKDGGRTAIRWSIEYEKLREDVHPPYAYLELYDHVIKDVDAHIVEAEKNATK >Vigun03g021500.1.v1.2 pep primary_assembly:ASM411807v1:3:1589739:1590852:1 gene:Vigun03g021500.v1.2 transcript:Vigun03g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRALVKETDMSPKMQIHAMACASHALDLYDVSDCISVAAHIKKEFDSVYGNGWQCVVGSSFGCYFTHSSGTFIYFALETLNFLIFKGTSS >Vigun09g122250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27297828:27298142:1 gene:Vigun09g122250.v1.2 transcript:Vigun09g122250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLKNDEDFSNEKVVNDYFQDDGSMGVTNIALDDEEIQLFDDNAPMEEVNMDDVNYPIHNVHNDQFFNDNDELMDEDIKLNDLDEELDDSNKDLDNSDDEWL >Vigun08g078200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15477244:15478230:-1 gene:Vigun08g078200.v1.2 transcript:Vigun08g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASMGFLIIWICYLGINLLGIGLHSYGSFTPN >VigunL005466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:73745:74074:-1 gene:VigunL005466.v1.2 transcript:VigunL005466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQY >Vigun09g039700.2.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVSSLHNHIICLNHHC >Vigun09g039700.1.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVVEDVVLAINQAIGPVEKGVTRIQQTFHHRTVVSSDEDEEKESDIQVERDVTES >Vigun09g039700.15.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.8.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVVEDVVLAINQAIGPVEKGVTRIQQTFHHRTVVSSDEDEEKESDIQVERDVTES >Vigun09g039700.7.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVVEDVVLAINQAIGPVEKGVTRIQQTFHHRTVVSSDEDEEKESDIQVERDVTES >Vigun09g039700.13.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.9.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGQSNLQLCSMMMVFQILKPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVVEDVVLAINQAIGPVEKGVTRIQQTFHHRTVVSSDEDEEKESDIQVERDVTES >Vigun09g039700.12.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.3.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.10.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVSSLHNHIICLNHHC >Vigun09g039700.11.v1.2 pep primary_assembly:ASM411807v1:9:3542906:3545364:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.14.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEKG >Vigun09g039700.6.v1.2 pep primary_assembly:ASM411807v1:9:3541586:3545345:-1 gene:Vigun09g039700.v1.2 transcript:Vigun09g039700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNHFSNFEGTYKTLRPPAAIIAACSVLEALVLSFLLILQHLQSYNNPAEQKWIVGVVSMVPIYATESIISLWNPKLSLACDILRNCYEAFALYSFGRYLIAALGGEGKVVELLEDEYAEHLGKSLLDGVDENHDMDDRSFCNFFWHPAKLGKDLLTIEKFGLVQYMILKTVCALLAFILEQFGVYGDGEFKWYYGYPYIAVVMNFSQMWALYCLVQFYNVTHERLQPIQPLAKFISFKAIVFATWWQGVGIAVLCTFGVLPNEGKFQTGLQDFLICIEVYLHLLLAILGKWDMKQLKIKMAVAAVAHVFVFSAKPYHFLPSAAYGELRKETKEAAMEIDDENRQKTALLKETSTRVESPRTSVTESVQDIVVEGGQRVVEDVVLAINQAIGPVEKGVTRIQQTFHHRTVVSSDEDEEKESDIQVERDVTES >Vigun08g022800.1.v1.2 pep primary_assembly:ASM411807v1:8:1964268:1968078:-1 gene:Vigun08g022800.v1.2 transcript:Vigun08g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEWRLQLHHRGNWNCSCTKITLLVCFFNIVVALYTLRSLYASLYIYSDRVARNIAVYKPDQIRKMEESNRIRKDYKPAELVKLVEELGGEFSREDVVVELPRHLKQKIIDEVMQKLGSLKGNSENVSHSQFMAKEREAVENWRKQKLEEVKLAVVKGTSNSIIPHDEEGMLLRALESDWAVVSEEIGLWIPIEVANEEHNDKPEDESEIEEEVLPGRPLPPECNNELHTDYGGAAVRWGLTHHKDSAADCCKACLDQAKRAKEGEKKCNIWVYCPSEYGCHSPDIYQHKYQECWLKYAEKPKLNFKDEYPEWYRNSHPTAPLVVPWASGVVST >Vigun09g105400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:19487017:19491287:1 gene:Vigun09g105400.v1.2 transcript:Vigun09g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKKDGALRAKELAEKMFLQKDFGGARMLAMKALELYPNLDDLPRFLATIEVYISADKKVNGEVDWYKILGVQPLADEETIRRRYRKLALTLHPDKNKSVGADGAFNLISQAWSLLSDKDKRITYDQKYNLWRTYHGNPGGKPSVPVTQNVLYSSFFSPMLLKPTFWTICNSCKTKFEYHNVYINSDLVCTCCHKPFVASETSPPPVYRNGSSSSRISQMKQHNFNLSRMERSNGNHVPGRTSMSAVNSAHGSGPFSMPSGSFRVPTSVSSAAETLGAFRMPSENLKRMHEDSTVSLENAQIGKIHAAERTGAGSVFQSSGFGSYSIMKGDKPRKRRSTDEHKVCRDGRDVESKTVSQTEGTNLANEFGSGRANAAGNYKRNGVRDVSQLQMKHLLMEKARKEILKNLGELKASFASKILNKSKNTDTEISERGKSCEINGLKPGALKFVDSKAAGKKCFSVHPDPELPGSLSMNVPDPDFHDFDGDRIENAFGENQVWAAYDNDDGMPRFYCLIHDVISKNPFNMRISWLNTKSNDELAPIKWISSGFLKTSGDFRIGKRVSYSTLNSFSHRVKWTKGSRGVVHIYPKKGDVWALYRNWSLDWNEFTKDEIIQKYDMVEVLEDYSEEQGVSVAPLVKLAGFKTVFRQNVDPIKVRNISKAQMFRFSHQVPSYLLTGEEGPNAPKGCLELDPAATPMELLQVLAEDLEQEIIMTTEKSAEDELKHGENSREEGSLKGCQTTKEEGSDAGLGEKRSKPEILFVYKRRRLGEKRAVKC >Vigun03g434700.2.v1.2 pep primary_assembly:ASM411807v1:3:63870794:63877588:-1 gene:Vigun03g434700.v1.2 transcript:Vigun03g434700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMRVSELHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAWNRDSGSRRFSTNSQLANNDKEKEKEREKKVFLYNWKNYKSSSEKYNEEEDDDDGDDGGSSSLLGDRDRDSLSDARNGCDSKSDTYLAAGGTGGGGGTRSSIFRCGDANLVSRRTVPVKKKSKKNNPHFDFLAKYQQHRQTNPGRNFVSSSKAMLEGHSSLPFFSRDDSVEFSDDTEDYTNSEGVRPISGASPLLFKLRQKNWSRSSSKFLRRSRKEDSSYSYSTPALSTSSYNPYGHRYPSTLGSWDGTTTSVNDGDGDDEIDDHLDLPGRQGCGIPCYWSKRTPKHKGMCGSCYSPSLSDTLRRKGSSMLCGSQTIYPRHRRSVSASQKRRLSQRSARGVIPLLTNSGDAREGSSVGTGRSDDELSTNFGELDLEGLSRLDGRRWSASCRSQEGLEIVALNGEGEEEGTPENSRCFSQKYRPMFFGELIGQNIVVQSLINAVSRGRIAPVYLFQGPRGTGKTSTARIFAAALNCASPDENKPCGYCTECTDLISGKSSDLLEVDGTNKRGIDKARYLLKRLSTGSSSASPQYTVLVIDECHLLPSKTWLGFLKFLEEPPQRVVFIFITSDLDNVPRTIQSRCQKYLFNKIKDGDIVTRLRKISTQENLDVEADALDLIAMNADGSLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTVETVKRARELMDSGVDPMVLMSQLAGLIMDIIAGSYAVVDTRPDDSFFGGRSLNESELERLKNALKLLSEAEKQLRTSSERCTWFTATLLQLGSTPSPDFTQSSSSRRQSCKTTEDDPSSVSRDVTSGTHKSDPQYVPRKSPFSGSQQKAVNDDSNHQKDSKIEAFGLKSKPSNSPILDDGSTVVSSDDLMVGNTMYRCIDSGKLCDIWVHCIEKCHSKTLRQLLHHHGKLVSVCEVEGVLVAYIAFGDADIKVRAERFLRSITNSMEMVLRRNVEVRIIHLAGGEGENQVNLTGVKQGESIVGSEKEQRQGHVKGTESYSSLPPLLDRNLQSRTASSDILGEGNGGRERRQDNPMHRIESIIREQRLETAWLQAVEKGSPGSLSRLRPEKNQVLPQNGADPMESMESTRFSSHQHWEDEPNNELKVLSLKNGRVPQKDQTGRKTDRYPMSPSLLHDTSLATISGKDHPGYESGSGAGGCGFLCWNKSKPRRVKGGTPVRARRGASFALFGDCGKTKKRERKR >Vigun03g434700.1.v1.2 pep primary_assembly:ASM411807v1:3:63870794:63877588:-1 gene:Vigun03g434700.v1.2 transcript:Vigun03g434700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMRVSELHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAWNRDSGSRRFSTNSQLANNDKEKEKEREKKVFLYNWKNYKSSSEKYNEEEDDDDGDDGGSSSLLGDRDRDSLSDARNGCDSKSDTYLAAGGTGGGGGTRSSIFRCGDANLVSRRTVPVKKKSKKNNPHFDFLAKYQQHRQTNPGRNFVSSSKAMLEGHSSLPFFSRDDSVEFSDDTEDYTNSEGVRPISGASPLLFKLRQKNWSRSSSKFLRRSRKEDSSYSYSTPALSTSSYNPYGHRYPSTLGSWDGTTTSVNDGDGDDEIDDHLDLPGRQGCGIPCYWSKRTPKHKGMCGSCYSPSLSDTLRRKGSSMLCGSQTIYPRHRRSVSASQKRRLSQRSARGVIPLLTNSGDAREGSSVGTGRSDDELSTNFGELDLEGLSRLDGRRWSASCRSQEGLEIVALNGEGEEEGTPENSRCFSQKYRPMFFGELIGQNIVVQSLINAVSRGRIAPVYLFQGPRGTGKTSTARIFAAALNCASPDENKPCGYCTECTDLISGKSSDLLEVDGTNKRGIDKARYLLKRLSTGSSSASPQYTVLVIDECHLLPSKTWLGFLKFLEEPPQRVVFIFITSDLDNVPRTIQSRCQKYLFNKIKDGDIVTRLRKISTQENLDVEADALDLIAMNADGSLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTVETVKRARELMDSGVDPMVLMSQLAGLIMDIIAGSYAVVDTRPDDSFFGGRSLNESELERLKNALKLLSEAEKQLRTSSERCTWFTATLLQLGSTPSPDFTQSSSSRRQSCKTTEDDPSSVSRDVTSGTHKSDPQYVPRKSPFSGSQQKAVNDDSNHQKDSKIEAFGLKSKPSNSPILDDGSTVVSSDDLMVGNTMYRCIDSGKLCDIWVHCIEKCHSKTLRQLLHHHGKLVSVCEVEGVLVAYIAFGDADIKVRAERFLRSITNSMEMVLRRNVEVRIIHLAGGEGENQVNLTGVKQGESIVGSEKEQRQGHVKGTESYSSLPPLLDRNLQSRTASSDILGEGNGGRERRQDNPMHRIESIIREQRLETAWLQAVEKGSPGSLSRLRPEKNQVLPQNGADPMESMESTRFSSHQHWEDEPNNELKVLSLKNGRVPQKDQTGRKTDRYPMSPSLLHDTSLATISGKDHPGYESGSGAGGCGFLCWNKSKPRRVIKVKGGTPVRARRGASFALFGDCGKTKKRERKR >Vigun03g242400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40470843:40474590:-1 gene:Vigun03g242400.v1.2 transcript:Vigun03g242400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPFSSIPTQLLLSRIQKCIPKSWKQTPQGPLFNPLLCSNASMVGVLIASLKDFVINGHLPNAFKTFVQIQHHASPSSSSHLLLHPISSLLFACADFKSLSQGKQLHAQIISLGLQQNPVLVARLIGFYTNVSLIGDAQFVTESSCTLDPLHWNMLISSYVRNGLCWEALSVYKKMLSKQIEPDEYTYPSVLKACGGLLDINTGVEVHRSIEASSMKWCLFVHNALVSMYGRFGNLEVARHLFDNMPVRDVVSWNTIISCYACRGMWEEAFQLFGTLQDEGVERNVIIWNTIAGGCLHLGNFGVALKLISQMRTSVHLDTVALVIGLNACSHVGALKLGKEMHGHAVRTCFDVFENVKNALITMYSRCRDLGHAFMVFHRMEEKGLISWNAILSGYAHVDRTEEVSFLFREMLQKGVEPNYVTIASVLPLCARIANLQHGREFHCYIMKREHFKDYLLLWNALVDMYARSGKVLEARKVFDLLSTRDKVTYTSMILGYGMKGDGGTALKLFEQMCELEIKPDIVTMVAVLTACSHSGLVAQGQFLFKKMIDVYGIVPRLEHYACMADLFGRAGLLNKAKEVITGMPYKATLSMWATLIGACRIHGNTVMGEWAAGKLLEMKPDHSGYYVLIANMYAAAGCWSKLAEVRTYMRNLGVRKAPGCAWVDVGTEFSPFLVGDTSNPHSYEIYPLMDGLNELMKDVGYVRREEFVSSEEEFEEMNIVGNVY >Vigun03g243200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40538523:40538875:1 gene:Vigun03g243200.v1.2 transcript:Vigun03g243200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRKPKSLPYFGEVAPIILHSHYRRRSSRFMKLETILEEEMQESISLCRAAHVSFPLLLSGLLYILMCRGVV >Vigun05g099200.4.v1.2 pep primary_assembly:ASM411807v1:5:9708598:9713552:-1 gene:Vigun05g099200.v1.2 transcript:Vigun05g099200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNANLYAGDGDVACDHYHKYKEDVKLMANMGLEGYRFSISWSRLIPDGRGQVNPKGLQFYNNLIDELISNGIEAHATLYHWDLPQALEDEYGGWVSRRVVKDFTAYAEVCFREFGDRVRYWTTLNEANVHAVFGYDIGLLPPQRCSPSPIMNCSRGNSSTEPYLVGHHMLLAHASAARLYRKKYQGMQHGLIGFSHLLPGFLPQTNSTEDLMAVQRVQDFLIGWFMNPIIFGDYPDIMKKNAGSRLPSFTQKESNLVKGSIDFLGINFYYSLYVKDSPDYLKKENRDYLADLSAKLQTDYCSLWLQYTFQTIQQSLRCQLLPRFS >Vigun05g099200.1.v1.2 pep primary_assembly:ASM411807v1:5:9708598:9713552:-1 gene:Vigun05g099200.v1.2 transcript:Vigun05g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNANLYAGDGDVACDHYHKYKEDVKLMANMGLEGYRFSISWSRLIPDGRGQVNPKGLQFYNNLIDELISNGIEAHATLYHWDLPQALEDEYGGWVSRRVVKDFTAYAEVCFREFGDRVRYWTTLNEANVHAVFGYDIGLLPPQRCSPSPIMNCSRGNSSTEPYLVGHHMLLAHASAARLYRKKYQGMQHGLIGFSHLLPGFLPQTNSTEDLMAVQRVQDFLIGWFMNPIIFGDYPDIMKKNAGSRLPSFTQKESNLVKGSIDFLGINFYYSLYVKDSPDYLKKENRDYLADLSAKLQIYIPNNTTKSEVPITPKILLRMLDSLRNAYGNIPIFIHENGQETPHNSSLVDWSRVNYLHAYIGSMVDALRSGLNVKGYFVWSFMDAFELLEGYERSYGLYYVDMNDPNLRRQPKLSAEWYSSFLKGKSMDPRIRKETQNNAKYNPSLHSATYTNQNMFKIQSE >Vigun05g099200.3.v1.2 pep primary_assembly:ASM411807v1:5:9708598:9713552:-1 gene:Vigun05g099200.v1.2 transcript:Vigun05g099200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVGSQAYGTPSLMLEMEDVKLMANMGLEGYRFSISWSRLIPDGRGQVNPKGLQFYNNLIDELISNGIEAHATLYHWDLPQALEDEYGGWVSRRVVKDFTAYAEVCFREFGDRVRYWTTLNEANVHAVFGYDIGLLPPQRCSPSPIMNCSRGNSSTEPYLVGHHMLLAHASAARLYRKKYQGMQHGLIGFSHLLPGFLPQTNSTEDLMAVQRVQDFLIGWFMNPIIFGDYPDIMKKNAGSRLPSFTQKESNLVKGSIDFLGINFYYSLYVKDSPDYLKKENRDYLADLSAKLQIYIPNNTTKSEVPITPKILLRMLDSLRNAYGNIPIFIHENGQETPHNSSLVDWSRVNYLHAYIGSMVDALRSGLNVKGYFVWSFMDAFELLEGYERSYGLYYVDMNDPNLRRQPKLSAEWYSSFLKGKSMDPRIRKETQNNAKYNPSLHSATYTNQNMFKIQSE >Vigun05g099200.2.v1.2 pep primary_assembly:ASM411807v1:5:9708598:9713552:-1 gene:Vigun05g099200.v1.2 transcript:Vigun05g099200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNGDGDVACDHYHKYKEDVKLMANMGLEGYRFSISWSRLIPDGRGQVNPKGLQFYNNLIDELISNGIEAHATLYHWDLPQALEDEYGGWVSRRVVKDFTAYAEVCFREFGDRVRYWTTLNEANVHAVFGYDIGLLPPQRCSPSPIMNCSRGNSSTEPYLVGHHMLLAHASAARLYRKKYQGMQHGLIGFSHLLPGFLPQTNSTEDLMAVQRVQDFLIGWFMNPIIFGDYPDIMKKNAGSRLPSFTQKESNLVKGSIDFLGINFYYSLYVKDSPDYLKKENRDYLADLSAKLQIYIPNNTTKSEVPITPKILLRMLDSLRNAYGNIPIFIHENGQETPHNSSLVDWSRVNYLHAYIGSMVDALRSGLNVKGYFVWSFMDAFELLEGYERSYGLYYVDMNDPNLRRQPKLSAEWYSSFLKGKSMDPRIRKETQNNAKYNPSLHSATYTNQNMFKIQSE >Vigun09g104450.1.v1.2 pep primary_assembly:ASM411807v1:9:18933637:18934078:-1 gene:Vigun09g104450.v1.2 transcript:Vigun09g104450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENITASEVADLGVGALLLFATLAAIKVDAFFFSPQRSNASLFDSMPLCSTFHKPLNDEKYDEDLLETIGNSESKVKQIACVKCQTKCYFSCSNCSEL >Vigun03g372900.1.v1.2 pep primary_assembly:ASM411807v1:3:57534619:57538178:-1 gene:Vigun03g372900.v1.2 transcript:Vigun03g372900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEDARGNDESLKLAVAISLLRSKILKNGNDSGALSPSQSNALLRWKRKAKERKQEILKLREDVKNAQDASHCDLFPESAACKCYFFDNFGEVNPKHHGTGCDSRFSDVLRRRFLRQVRFKERRRKIGSPSSSQQRLSLGLIKEDEAEQLRASVDFLVDLCSSPSPVDDSKFANLAHQAVDFILVTLKNLLSTGRNHELVEGIVNSLVTRLIRQMCTSLAENGSQYTGTNAQFCVQHLIRKLGSEPYIGQRAILSVCQRLLVLAERLLFCDPFDDDFPEMHECMFIMIQLIEFLVVDYLLEWSKAEDFDNVLLEDWVTSIVQARKALELLERRNGLYALYMDRVAGELAKQLAGVSSFLKLKPDIINCLFR >Vigun03g372900.2.v1.2 pep primary_assembly:ASM411807v1:3:57534619:57538178:-1 gene:Vigun03g372900.v1.2 transcript:Vigun03g372900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEDARGNDESLKLAVAISLLRSKILKNGNDSGALSPSQSNALLRWKRKAKERKQEILKLREDVKNAQDASHCDLFPESAACKCYFFDNFGEVNPKHHGTGCDSRFSDVLRRRFLRQGLIKEDEAEQLRASVDFLVDLCSSPSPVDDSKFANLAHQAVDFILVTLKNLLSTGRNHELVEGIVNSLVTRLIRQMCTSLAENGSQYTGTNAQFCVQHLIRKLGSEPYIGQRAILSVCQRLLVLAERLLFCDPFDDDFPEMHECMFIMIQLIEFLVVDYLLEWSKAEDFDNVLLEDWVTSIVQARKALELLERRNGLYALYMDRVAGELAKQLAGVSSFLKLKPDIINCLFR >Vigun03g372900.3.v1.2 pep primary_assembly:ASM411807v1:3:57534619:57538178:-1 gene:Vigun03g372900.v1.2 transcript:Vigun03g372900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEDARGNDESLKLAVAISLLRSKILKNGNDSGALSPSQSNALLRWKRKAKERKQEILKLREDVKNAQDASHCDLFPESAACKCYFFDNFGEVNPKHHGTGCDSRFSDVLRRRFLRQVRFKERRRKIGSPSSSQQRLSLGLIKEDEAEQLRASVDFLVDLCSSPSPVDDSKFANLAHQAVDFILVTLKNLLSTGRNHELVEGIVNSLVTRLIRQMCTSLAENGSQYTGTNAQFCVQHLIRKLGSEPYIGQRAILSVCQRLLVLAERLLFCDPFDDDFPEMHE >Vigun08g105766.1.v1.2 pep primary_assembly:ASM411807v1:8:26273376:26277189:1 gene:Vigun08g105766.v1.2 transcript:Vigun08g105766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPCSEHVAAGILKEVMVVVKYCHDMGVVHRDIKPENVLITGTGKIKLADFGLAIRICEGQNLKGVAGSPAYVAPEVLLGSYSEKVDIWSSGVLLHALLVGFLPFKGDSQEAVFEEIKNFKLHFQTGVWESISKPARDLVGRMLTRDVSARITAEEVLSHPWILFYTAQTLNTLPVKSKLKPENAATFHKFVTAPESGFGGNRMDSCFLNGSSSFSSSESCNSEYDDDCEWIDALTTAVSHVTISEAKRTKLWGPTGPIDPQGSSNLKTNLCKAF >Vigun08g105766.2.v1.2 pep primary_assembly:ASM411807v1:8:26273376:26277183:1 gene:Vigun08g105766.v1.2 transcript:Vigun08g105766.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGPCSEHVAAGILKEVMVVVKYCHDMGVVHRDIKPENVLITGTGKIKLADFGLAIRICEGQNLKGVAGSPAYVAPEVLLGSYSEKVDIWSSGVLLHALLVGFLPFKGDSQEAVFEEIKNFKLHFQTGVWESISKPARDLVGRMLTRDVSARITAEEVLSHPWILFYTAQTLNTLPVKSKLKPENAATFHKFVTAPESGFGGNRMDSCFLNGSSSFSSSESCNSEYDDDCEWIDALTTAVSHVTISEAKRTKLWGPTGPIDPQGSSNLKTNLCKAF >VigunL074775.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:193830:194408:-1 gene:VigunL074775.v1.2 transcript:VigunL074775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIYHHYGPYGQIDVVRIRVCPFSKDECPTHVISAKGFVSDNFSPISPSGFNLLKRSAGIIEKRKALYVLVLGAPVKVNSLLVFTFGHQESRTDSLALLCKGLTSYVWKYVVEVNSSEMQPEGNLSFDPVWYVFCASFLSYWLRFSCLGHLILWFQHMAMVQIQTKDFLSCFDLSWCQSSGYCSLPFHSK >Vigun04g056300.2.v1.2 pep primary_assembly:ASM411807v1:4:5505827:5509376:-1 gene:Vigun04g056300.v1.2 transcript:Vigun04g056300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRKDVTVAAATVASVVVMGWLSLVVMGNPMLHKVGGSKGWINHDVNYTQWSAQQHVYVGDWLLFKFDKRYFNVLEVNETSYENCIDRDFISNVTRGGRDVVQMTEARTYYYLSGTGTRIWFL >Vigun04g056300.1.v1.2 pep primary_assembly:ASM411807v1:4:5505827:5509376:-1 gene:Vigun04g056300.v1.2 transcript:Vigun04g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRKDVTVAAATVASVVVMGWLSLVVMGNPMLHKVGGSKGWINHDVNYTQWSAQQHVYVGDWLLFKFDKRYFNVLEVNETSYENCIDRDFISNVTRGGRDVVQMTEARTYYYLSGGGYCFHGMKVAVNVQQLPAMAPAPSSMAVSSSLLPSLMCSCIWTILVNVLCFLNLVFIDGF >Vigun11g030000.1.v1.2 pep primary_assembly:ASM411807v1:11:3902263:3903729:1 gene:Vigun11g030000.v1.2 transcript:Vigun11g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAASAGDLGFVQVLLERNPLLVFGEGEYGITDILYAAARSKNCEVFRLLFDFAVSPRFISGKGGVVVEEHVGDIPSVYRWEMTNRAVHAAARGGNLKILEELLANCSDVLVYRDADGSTVLHAAAGRGQVEVVKYLISSFDMINSTDHQGNTSLHVAASRGQLATAETLVSAFPTLISLRNNSGESFLHKAVSGFQSHAFRRLDKQVDLLRNMLSGNNFHVGDIINDKNNDGRTVLHMAIIGNIHSDLVQLLMTAPSINVNISDVDGMTPLDYLRQHPNSASSDILIKKLISAGGMFGCQGHIVQEKP >Vigun05g265300.1.v1.2 pep primary_assembly:ASM411807v1:5:45736711:45740246:-1 gene:Vigun05g265300.v1.2 transcript:Vigun05g265300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSECISNHPILNVSGGVGYVTDIAGVNTYVTGSRLAILAIVFISDIYGFKPPLLRKVADKVAQHGYFVAVPDFFHGEPYNDGNVSRPFDPWFKDHDSAKGIETAKPIIEALKRKGASAVGVAGFCWGARTLTDLGKAKLVQASVILHPSFLTVDDIRGVEVPIAILGAEHDTISPPKLINQFQQVLNAKPEIDSYVKIFPNVSHGWTVRYDPNDPKAVEAAGEAHQTMINWFDKHLKKN >Vigun07g082400.1.v1.2 pep primary_assembly:ASM411807v1:7:11876165:11885462:1 gene:Vigun07g082400.v1.2 transcript:Vigun07g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKYVKINVNGVEANFHMCLDNSGEAYFLKEVDDDKVIDSIEVVQDSIDKKNGFLGNVHRLDHSISDSGVLKLKDECDSSVVRASQIQRSESDIDRRFYEFPDDRSSLEDSVELSEYDSNYGSLEGDNFAESQGAHPEMVLVSVDGHILMAPISETETQENVQLKTPQFHLGPGEETDLCEGNGEIGENAWATDYISQRDAQTTDVDPRCCNINGDDNTFKLSHEVCQREEVHISQTQESLEIKNPEDRVKTDSEVAASGINRESVFKSCLELHHFGQQAGNAADLQDTGSPLGIQNSADQSNGSCFVVNEDEQGRIVQPRSGDDLSPPGYSISSNGYGYPKSELEIQEVEKNASGEVETDPGYRSIITETEQNNEHVVKSVSNNSVDESQQAPSLKDVRATCEVVEPQTETSNKGDQSHLGLGFEISLCGHELKLGMGSVAAAEVFEAHRISSVDFTSSAPSIIKNPNLVIKFKERYMTWEKASPLVLGMAVYGLDLPVEPKDTVPVEQDQALKSRDNDLGSSSAGRRWRLWPIPFRKVKTFDHTNSNTSNEEVFLDSESGSFANQTTPTSSSQGSPGKQFLRTNVPTNEQIASLNLKDGQNLVTFSFSTRVLGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFCAIKENGYQLLFLSARAIVQAYLTRNFLFNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRIGAKSYTSLHTLVNDMFPPTSLVEQEDYNSWNYWKTPLPDLD >Vigun05g151300.1.v1.2 pep primary_assembly:ASM411807v1:5:22928084:22933106:-1 gene:Vigun05g151300.v1.2 transcript:Vigun05g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLSSVSHDPEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKQLPGRTDNEIKNFWRTRIQKHMKQSESFQQQSNSEANDHQACTSQVSTIAEPMETYSPSCYQGMLEPFSTQFQTNPDQSSCCTNDTTNYWSMEDILSMQLANY >Vigun11g054300.2.v1.2 pep primary_assembly:ASM411807v1:11:9782690:9789615:1 gene:Vigun11g054300.v1.2 transcript:Vigun11g054300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTVADSDTPPSLTSTPSKTPSSKMKSRRSQAESKSRFEAYNRLQAAAVAFSETMPIPEIVAVGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDASALEPRCRFQEEDSEEYGSPIVLASAIADVIKSRTEALLKKTKAAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTYRRTVIVVSKFDNRLKEFGDRWEVDRYLSASGYLGDSTHPFFVALPKDRGNVSNDEFRRQISQVDSEVLRHLREGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPATLALLEQRCSEVTSELARMDSKIQATSDVSHLRKFAMMHVASISNHVGALIDGAADPSPEQWGKTTVEERSQSGIGVWPGVIASVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPSVSREKVANILLAHAGRGGGRARTEAAAEIARAAAKSWLSPLLDTACDRLAFVLGSLFDLALERNRIQDLEWGDMDGYVGFHAALRCAYNRFIGNLAKHCKQLVRHHLDSATSPYSQVCYFNDYAPSYNKFSQASASSFFLELSDTGSASHDARRDQENIPPENNAQETTPGDAVYGVVKKELGICNDVGPRKRASRMGGNSKNSDYVALQNGGALFGNGERSSSAYADICISAAQHFARIREVLVERGVTSTLNSGFLTPCRDRIFVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLMKRQKMLQSCLNEFKSVAQAL >Vigun11g054300.4.v1.2 pep primary_assembly:ASM411807v1:11:9782690:9789615:1 gene:Vigun11g054300.v1.2 transcript:Vigun11g054300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTVADSDTPPSLTSTPSKTPSSKMKSRRSQAESKSRFEAYNRLQAAAVAFSETMPIPEIVAVGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDASALEPRCRFQEEDSEEYGSPIVLASAIADVIKSRTEALLKKTKAAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTYRRTVIVVSKFDNRLKEFGDRWEVDRYLSASGYLGDSTHPFFVALPKDRGNVSNDEFRRQISQVDSEVLRHLREGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPATLALLEQRCSEVTSELARMDSKIQATSDVSHLRKFAMMHVASISNHVGALIDGAADPSPEQWGKTTVEERSQSGIGVWPGVIASVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPSVSREKVANILLAHAGRGGGRARTEAAAEIARAAAKSWLSPLLDTACDRLAFVLGSLFDLALERNRIQDLEWGDMDGYVGFHAALRCAYNRFIGNLAKHCKQLVRHHLDSATSPYSQVCYFNDYAPSYNKFSQASASSFFLELSDTGSASHDARRDQENIPPENNAQETTPGKAAETRDPLRESHITIPETPSPDQPGDAVYGVVKKELGICNDVGPRKRASRMGGNSKNSDYVALQNGGALFGNGERSSSAYADICISAAQHFARIREVLVERGVTSTLNSGFLTPCRDRIFVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLMKRQKMLQSCLNEFKSVAQAL >Vigun11g054300.3.v1.2 pep primary_assembly:ASM411807v1:11:9782690:9789615:1 gene:Vigun11g054300.v1.2 transcript:Vigun11g054300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTVADSDTPPSLTSTPSKTPSSKMKSRRSQAESKSRFEAYNRLQAAAVAFSETMPIPEIVAVGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDASALEPRCRFQEEDSEEYGSPIVLASAIADVIKSRTEALLKKTKAAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTYRRTVIVVSKFDNRLKEFGDRWEVDRYLSASGYLGDSTHPFFVALPKDRGNVSNDEFRRQISQVDSEVLRHLREGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPATLALLEQRCSEVTSELARMDSKIQATSDVSHLRKFAMMHVASISNHVGALIDGAADPSPEQWGKTTVEERSQSGIGVWPGVIASVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPSVSREKVANILLAHAGRGGGRARTEAAAEIARAAAKSWLSPLLDTACDRLAFVLGSLFDLALERNRIQDLECEIKGGDMDGYVGFHAALRCAYNRFIGNLAKHCKQLVRHHLDSATSPYSQVCYFNDYAPSYNKFSQASASSFFLELSDTGSASHDARRDQENIPPENNAQETTPGDAVYGVVKKELGICNDVGPRKRASRMGGNSKNSDYVALQNGGALFGNGERSSSAYADICISAAQHFARIREVLVERGVTSTLNSGFLTPCRDRIFVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLMKRQKMLQSCLNEFKSVAQAL >Vigun11g054300.1.v1.2 pep primary_assembly:ASM411807v1:11:9782690:9789615:1 gene:Vigun11g054300.v1.2 transcript:Vigun11g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTVADSDTPPSLTSTPSKTPSSKMKSRRSQAESKSRFEAYNRLQAAAVAFSETMPIPEIVAVGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDASALEPRCRFQEEDSEEYGSPIVLASAIADVIKSRTEALLKKTKAAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTYRRTVIVVSKFDNRLKEFGDRWEVDRYLSASGYLGDSTHPFFVALPKDRGNVSNDEFRRQISQVDSEVLRHLREGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPATLALLEQRCSEVTSELARMDSKIQATSDVSHLRKFAMMHVASISNHVGALIDGAADPSPEQWGKTTVEERSQSGIGVWPGVIASVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPSVSREKVANILLAHAGRGGGRARTEAAAEIARAAAKSWLSPLLDTACDRLAFVLGSLFDLALERNRIQDLECEIKGGDMDGYVGFHAALRCAYNRFIGNLAKHCKQLVRHHLDSATSPYSQVCYFNDYAPSYNKFSQASASSFFLELSDTGSASHDARRDQENIPPENNAQETTPGKAAETRDPLRESHITIPETPSPDQPGDAVYGVVKKELGICNDVGPRKRASRMGGNSKNSDYVALQNGGALFGNGERSSSAYADICISAAQHFARIREVLVERGVTSTLNSGFLTPCRDRIFVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLMKRQKMLQSCLNEFKSVAQAL >Vigun03g247900.2.v1.2 pep primary_assembly:ASM411807v1:3:41219743:41223880:-1 gene:Vigun03g247900.v1.2 transcript:Vigun03g247900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRFLPASGDRVKTQKLNNNDTLNNSHAPSQTLLPLYLTNALFFGVFFSVAYFLLHRWRDKIRTATPLHAVTPTETAAIVSLVASAVYLLGFFGFASRASLDELSDEETILREDSRAPGPCPAALLDSPKKQNQIPLPLSTSVDKSMELSNPPPLKAVDEAAQAPAPSPISLSPEDKEVVEAVVSGSVPSYALESRLGDCGRAASIRREAVQRLTGRSLEGLPVQGFDYESILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYVSGGASSVLLRDGMTRAPVVSLPSAQRAAQLKFFLEDPLNYDSLSVVFNKYSLLLSLSPSLFFFLFQVSSLCSDCDALHCYFRNHGPMSLARMRLTINDCHVLEDVSQTSYARCQADLRGCRVFSVLLRARICT >Vigun03g247900.1.v1.2 pep primary_assembly:ASM411807v1:3:41219743:41223738:-1 gene:Vigun03g247900.v1.2 transcript:Vigun03g247900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRFLPASGDRVKTQKLNNNDTLNNSHAPSQTLLPLYLTNALFFGVFFSVAYFLLHRWRDKIRTATPLHAVTPTETAAIVSLVASAVYLLGFFGFASRASLDELSDEETILREDSRAPGPCPAALLDSPKKQNQIPLPLSTSVDKSMELSNPPPLKAVDEAAQAPAPSPISLSPEDKEVVEAVVSGSVPSYALESRLGDCGRAASIRREAVQRLTGRSLEGLPVQGFDYESILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYVSGGASSVLLRDGMTRAPVVSLPSAQRAAQLKFFLEDPLNYDSLSVVFNKSSRFARLQSIQCAIAGKNLYMRFRCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAIIKEEVVNKVLKSSVEALVELNMLKNLTGSAVAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKECPGANSRLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNRDMSKILP >Vigun08g007900.1.v1.2 pep primary_assembly:ASM411807v1:8:679934:682452:1 gene:Vigun08g007900.v1.2 transcript:Vigun08g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPSLSLPNFHSSFLCCPLKSKPSATTFIQPKPSSYPRIRALELDQNTVVAISVGLVSVAVGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQKCRFCLGSGNVTVELGGGENEVSRCINCDGVGSLTCTTCQGSGIQPRYLDRREFKDDD >Vigun02g171300.6.v1.2 pep primary_assembly:ASM411807v1:2:31417990:31422083:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHYMLQNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.11.v1.2 pep primary_assembly:ASM411807v1:2:31418014:31422049:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.9.v1.2 pep primary_assembly:ASM411807v1:2:31418014:31422049:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHYMLQNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.7.v1.2 pep primary_assembly:ASM411807v1:2:31418014:31422049:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.3.v1.2 pep primary_assembly:ASM411807v1:2:31417914:31422083:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.2.v1.2 pep primary_assembly:ASM411807v1:2:31417914:31422092:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.5.v1.2 pep primary_assembly:ASM411807v1:2:31417914:31422083:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.4.v1.2 pep primary_assembly:ASM411807v1:2:31417914:31422092:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.8.v1.2 pep primary_assembly:ASM411807v1:2:31417928:31422092:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHYMLQNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun02g171300.10.v1.2 pep primary_assembly:ASM411807v1:2:31418014:31422049:-1 gene:Vigun02g171300.v1.2 transcript:Vigun02g171300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAKENVSVVDSSVTEWRNDIGNSDDPESSCYKFNENNNPIRTDIAEHSYSLIGHPTGIGVEKWNDIKYFIIKSLNRQNIDLSIKKGIWATQIMNERILEEAFHNSGCVILIFSVNMSGSFQGYAQMMSSIGKGRDNVWSEGIGKSNPWGRSFKVQWLRFNDLPFHKTLHLKNPLNDYKPVKISRDCQELSPDVGLALCELLDGKNDTNDLLTSSLRDDYSFKGRYVSTPSSMGNEDYKFSPFHMSWSMPLPYSSPFYQNQPVVNESRSTKQRVSGTMLTETLPITSSVSPQLSGIKRAHYSGHIPELPTKNDVSSQLDFWGVSPGCPLAGSTLTEDDFLDMSYEEYLEEVHSRGRKQLRTSEHRRMC >Vigun01g068400.2.v1.2 pep primary_assembly:ASM411807v1:1:18351618:18354983:1 gene:Vigun01g068400.v1.2 transcript:Vigun01g068400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRATMPDRTVILTMVDESLASPGSLLQILLKSFKSGEGTQRLVNHLVIIAMDLQAFQYCSSLHPYCIHPSIFPRHFATKTQSIMTTSADKLFTWTKKDVLFEVIRLGYNIIFTDPDVLWLRSPLINFNPINELTISCNVLSNGERGSYLYDEGIFFLKANTISFEFFNYWKLTKILYPNDPVEESLCTTIKERQDIADTYGFRIQHVNTSSFGGFCQLNKDMFREVYTIQANCCDDLKSKVHDLNIVLDDWIRFRKGASEDNALDKMALRWPQKCSRRNHT >Vigun01g068400.1.v1.2 pep primary_assembly:ASM411807v1:1:18351618:18354983:1 gene:Vigun01g068400.v1.2 transcript:Vigun01g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLRNSLYLSLSAFFILLACLLLYHYPGSNESSFRKMVLFKHSSTYDPKNEKLENMLKRATMPDRTVILTMVDESLASPGSLLQILLKSFKSGEGTQRLVNHLVIIAMDLQAFQYCSSLHPYCIHPSIFPRHFATKTQSIMTTSADKLFTWTKKDVLFEVIRLGYNIIFTDPDVLWLRSPLINFNPINELTISCNVLSNGERGSYLYDEGIFFLKANTISFEFFNYWKLTKILYPNDPVEESLCTTIKERQDIADTYGFRIQHVNTSSFGGFCQLNKDMFREVYTIQANCCDDLKSKVHDLNIVLDDWIRFRKGASEDNALDKMALRWPQKCSRRNHT >Vigun03g261400.3.v1.2 pep primary_assembly:ASM411807v1:3:42812904:42817112:1 gene:Vigun03g261400.v1.2 transcript:Vigun03g261400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKRMWQLSGIGAFGASKSKVSSSFERAIFHPFPVSGTRHVSQLVKSNGKHLFLVDTLALVRKLEGEGLPSKQAEAITAAITEVLNDSLENVSQSLVSKGEMQRTEMMQESNLSKFKAEVQSSQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >Vigun03g261400.2.v1.2 pep primary_assembly:ASM411807v1:3:42812654:42817112:1 gene:Vigun03g261400.v1.2 transcript:Vigun03g261400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKRMWQLSGIGAFGASKSKVSSSFERAIFHPFPVSGTRHVSQLVKSNGKHLFLVDTLALVRKLEGEGLPSKQAEAITAAITEVLNDSLENVSQSLVSKGEMQRTEMMQESNLSKFKAEVQSSQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >Vigun03g261400.1.v1.2 pep primary_assembly:ASM411807v1:3:42812520:42817173:1 gene:Vigun03g261400.v1.2 transcript:Vigun03g261400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKRMWQLSGIGAFGASKSKVSSSFERAIFHPFPVSGTRHVSQLVKSNGKHLFLVDTLALVRKLEGEGLPSKQAEAITAAITEVLNDSLENVSQSLVSKGEMQRTEMMQESNLSKFKAEVQSSQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >Vigun04g157500.1.v1.2 pep primary_assembly:ASM411807v1:4:37952138:37953531:1 gene:Vigun04g157500.v1.2 transcript:Vigun04g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKQSEGELVMKRKRQKRRCRKDEKDRISELPDCVLLHIMSFLSTKSAIQTCVLSKRWKNLCKCLTSLTFCARYTGDICFRKFVSWVLSSRDHSHSLLNLVVISPNESEVLDLIMKYAIVHDVQHLTMRIDLTCKPAFRSLPFIFCCKSLTSLKICNVYDPNVVVIPNSVNLPFLKSLHLERVKFTATTADAECVEPFSHCRVLESLYLRNCSMQNDSQALCISNSTLFSIKIYSYNIFAERKAYNIALCTPNLRSFTIKGVNSHQLSSVCNLPLLGAVSIGLFMVKSSIIIKLMQALANARILTLGSFTLQAILDDLSDGSTRFQPPCFVRLESLRVKSKLIDLFDGQISKVVKYLLQNSPRTRVEVTRN >Vigun10g111100.2.v1.2 pep primary_assembly:ASM411807v1:10:31149067:31151522:-1 gene:Vigun10g111100.v1.2 transcript:Vigun10g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GVNAASNSSSTNEEQKAFFRVDGEAQSVTEISTKKYFYIPSTKAHIQHFNVAAFPDLISLELSGSGLKGNIPSEISSLKKLLHLDLSSNFLQGVIPSTLGQLKNLILLSLDSNQINGHIPSELGSLRGLEQLTLSNNSLNGSIPSTLEHLIHLKVLDLSHNKISGVIPEGISALTELTSVQLSWNQITGSIPPGIGKILRLQSLDISNNHLQGHVPDDVLEHCQYLQLRNNSLNGSIPSQVGNISYLDLSYNDLTGNIPEALHSVSHLNLSYNSFNASDHSFCGFPKDSLIGNKGMKDSCSFNVDVSDADISLVMILVFSTFNGMIFSMVLVCWGIHVFCPLHNEFGNEQRRKNGDMLSIWNYDGKIAFEDIIKATEDFDIRYCIGTGAYGSVYKAELPSGRIVALKKLHEAESENPSSYKSFCNETKILTEIRHRNIIKLYGFCLHNKCMFLVYEYMERGSLFCNLSYDVEAQELNWSKRINVVKGIAYGLAHMHHDCTPPIVHRDISSNNILLNSELQAFISDFGTARLLDLHSSNQTLPAGTYGYVAPELAYTLSVTTKCDVYSFGVVVLETMMGRHPAELISCLSEPSIQKKKLKDILDSR >Vigun06g227100.2.v1.2 pep primary_assembly:ASM411807v1:6:33491801:33496980:1 gene:Vigun06g227100.v1.2 transcript:Vigun06g227100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNEIIKKHTAPKISYQELVLILHLQISVFWTSHFCFIPKHMDTDAIEDLVDKLKVMQNDDVEDQEEYDDVDDDEEEEEHEPITLGFVDKPKNKWSLQRQYFPSKAGGVPAWLDPMNIPSGGSFGCDICGDPLQFLLQVYAPTEQETAFHRMLYVFMCPSMKCLLRDQHEQWKHHPEKPSRSVKVFRCQLRRVNPFYSEECPQYNESHKPAGCGAVLCDWCGTWKGDKLCSSC >Vigun01g151400.3.v1.2 pep primary_assembly:ASM411807v1:1:33402383:33405659:-1 gene:Vigun01g151400.v1.2 transcript:Vigun01g151400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHGTLDVTIYEVDALPTLNDCDFNLCCKGTNRSVRKRFLSQLKSCLFCQCQGQPGSTQTGLYATVDLDKARVGRTKVVNDEPSNPTWNDTFRIYCAHLISHVIFTVKQKDPIDATLIGRAYVPVEQVVKGNIVDTWVPILDEDRNPIPSDSKIHVKMQFSNVLNDINWSGGIRSPRFQGVPHTFFSQRTGCNVTLYQDAHVSHGFQPWIPLSGRNYEFRKCWEDIYSAIVEARYFIYITGWSVYTEISLIRDPMQPKRITLGELLKRKAEEGVKVLMLVWDDRTSVPDFKKDGLMATHDQETAAYFKNTKVNCVLCPRNPDVGRSIVQDLETSTMFTHHQKSVVVDTQFVGAPVGQRQKRTITSFIGGIDLCDGRYDTQEHPLFSTLDTLHKDDFHQPNFPGASIKKGGPREPWHDIHCKLEGYVAWDVLSNFQQRWEKQVGNQHLFPSSMLNEYFVPRTTAATTNDNETWNVQLFRSIDGGAASGFPPDPEEAAELGLVSGNNNIIERSIQDAYINAIRRAQNFIYIENQYFLGSSYAWQASDIVVEDIGALHLIPKELSLKIVSKIEAGERFSVYVVIPMWPEGIPESGSVQAILDWQRRTMEMMYADIAQAIQRTGIEAHPRDYLTFFCLGNREAQKSSEYTPTEAPEPDTDYCRAQKSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAFQPRHLTCNGPPRGQIYGFRRALWYEHLGDVDDRSIFDDPESEECMKLVNNLAETNWGVYSEQTFDENREFHHLMRYPVEVTKHGAITNLPGLQYFPDTKARILGSKSDYLPPILTT >Vigun01g151400.2.v1.2 pep primary_assembly:ASM411807v1:1:33402383:33405659:-1 gene:Vigun01g151400.v1.2 transcript:Vigun01g151400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHGTLDVTIYEVDALPTLNDCDFNLCCKGTNRSVRKRFLSQLKSCLFCQCQGQPGSTQTGLYATVDLDKARVGRTKVVNDEPSNPTWNDTFRIYCAHLISHVIFTVKQKDPIDATLIGRAYVPVEQVVKGNIVDTWVPILDEDRNPIPSDSKIHVKMQFSNVLNDINWSGGIRSPRFQGVPHTFFSQRTGCNVTLYQDAHVSHGFQPWIPLSGRNYEFRKCWEDIYSAIVEARYFIYITGWSVYTEISLIRDPMQPKRITLGELLKRKAEEGVKVLMLVWDDRTSVPDFKKDGLMATHDQETAAYFKNTKVNCVLCPRNPDVGRSIVQDLETSTMFTHHQKSVVVDTQFVGAPVGQRQKRTITSFIGGIDLCDGRYDTQEHPLFSTLDTLHKDDFHQPNFPGASIKKGGPREPWHDIHCKLEGYVAWDVLSNFQQRWEKQVGNQHLFPSSMLNEYFVPRTTAATTNDNETWNVQLFRSIDGGAASGFPPDPEEAAELGLVSGNNNIIERSIQDAYINAIRRAQNFIYIENQYFLGSSYAWQASDIVVEDIGALHLIPKELSLKIVSKIEAGERFSVYVVIPMWPEGIPESGSVQAILDWQRRTMEMMYADIAQAIQRTGIEAHPRDYLTFFCLGNREAQKSSEYTPTEAPEPDTDYCRAQKSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAFQPRHLTCNGPPRGQIYGFRRALWYEHLGDVDDRSIFDDPESEECMKLVNNLAETNWGVYSEQTFDENREFHHLMRYPVEVTKHGAITNLPGLQYFPDTKARILGSKSDYLPPILTT >Vigun06g165900.1.v1.2 pep primary_assembly:ASM411807v1:6:28786242:28789713:-1 gene:Vigun06g165900.v1.2 transcript:Vigun06g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISWSGRRRNNFIQNTPPPLPPPPYYYPPQSNHPPPPPPQGYFLPSTTSYSPHNNNYAAAPPLPPPPQPPPPPQTHSISFYYPNQNAYPNYANSAPNRLHYQPFYHPQPSMLPAATRASASTPPPYVDHQAAKKIRNYVNVHKDTLRLEVDQENPDHHLISFVFDAVYDGSITVSYFAKEEEKCRFVPLFPDAFQPVTIPFQKGVGQTFNQPSGTGIDLGFFELDDLSKPSPGEDVFPLVICAETSLKTSSEDETPGDSVLDASPHMQITQGVLEKSNGAGPFQIKVVRQILWIDGVRYELRELYGIASSSAADFDDNDPGKECVVCMTEPKDTAVLPCRHMCLCGDCAKALRLQYNKCPICRQSIEELIEIKVNNDNQ >Vigun03g291000.1.v1.2 pep primary_assembly:ASM411807v1:3:47415906:47418660:-1 gene:Vigun03g291000.v1.2 transcript:Vigun03g291000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVELCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAHHEQLSVGEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGIIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEAADGEDDEGEEY >Vigun04g032550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2671106:2672302:-1 gene:Vigun04g032550.v1.2 transcript:Vigun04g032550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGLSSSIPKFHPFLSNPSSLSSFRSHTQTPLLHDYYVPHTVFQLDRMISTRPISPIYEFNKILGSVTKMKRYPTVISLSKQMEIKGIHHDLFTLNILINCFCHLSQMTFVFSIFGKIFKLGYHPNVVTLTTLMRGLCTNGEVKKALNFHYKMVAQGFRFNEVGYGTLIQGLCHIGETEAAIQLLRIIEVRSIKPNVVMYNTIIHHLFIDGLANEAFDLYSEMVAKGISPNIVTCTTLVYGFCILDHLQEAIDFINEMISNYIRPNIYTYSILIDALCKEGRIKQAKNVLAMMVRVYVKPNIVTLNTLMDGYYLVNEVKNAKHVFNLITKLGVTPTVNSYNIMINGLCKSKKVDEAMNIFQDMHKRNTERNTVSDLQFSYRWFLQIWKNSLCLGSY >Vigun10g103800.1.v1.2 pep primary_assembly:ASM411807v1:10:29710407:29715111:1 gene:Vigun10g103800.v1.2 transcript:Vigun10g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIATMLSSSLSGPPFCSSFSNPTPSFTFKTITVKRPRPRARTSTSFTWLNPQPRGVLVEATPPSSPPSPSPRDGSIKVLALPENRADDIQAETRALARAANASVYSPQLVASKYGSQPMKVVGRALQVLTAVGLFGLKLLVDQKSGVLDQNKRIRAIELRDTFTRLGPTFVKLGQGLSTRPDICPPEYLEELSELQDGLPTFPDEQAFECIERELGLSIDSIFSSISPRAVAAASLGQVYKGTLKYSGKLVAIKVQRPDIEEAIGLDFYLIRGLGFLINKYVNFITSDVVALVDEFARRVFQELNYVQEGQNARRFKKLYADKENIFVPDVFWDYTSVKVLTMEWVDGVKLSEQEAIERQGLKVLDLVNAGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARYAIIGHVVHLVNRDYEAMARDYYDLDFLSRDVDVSPIVPALRNFFDDALNYTVSELNFKTLVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLTQGKKDRDFSAKEALQPVLKVLLSADGEDLRNLVIKEAARVSEAFTLGTMSETYQYIPHFVRSLVFNGNANGPFMMSETEMQNMIELRDQVLRIWGLLQSSHDFDPAILLPILQVLEQPEARRLGGRVVSGITQRLAARFLQQILRVPSTASE >VigunL015364.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:813452:814278:1 gene:VigunL015364.v1.2 transcript:VigunL015364.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTKTKMGCQERREAPFDIFRRVALWRAQYDESCELCSGGFDLWYEFSFMDVDNILPSRSTLGWHNLQVKGETRKGIVSDEMLRGVENNRRSGDSRYRSTFRTAVESTGRQETTW >Vigun06g139800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26520130:26523842:-1 gene:Vigun06g139800.v1.2 transcript:Vigun06g139800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNSGRNATSFFLVKEYILTVNGDMLVIEFVPASSSFGFINAIEIVPVVGELFAGSVSKVGGGNFNLSGHGMETMYRLNVGGPEVQSNEDRDLWRTWEVDSGYMIIENAGSGIKNSSNITYSSVNDTSVAPLLVYETARAMSNTEVLDKRFNMSWKFEVDPDFDYLIRLHFCELVYDRANERIFRIYINNRTAADNFDVFVRAGGMNRAYHLDYFDPVSSKIDTVWVQLGPDTAAGAAGTDALLNGLEVFKLSRNGNLARVERFDIGGNPGSKSKAMVVWVGVGAGVALLAIVALIVLVFCFCKSRKKESSDTKNNPQGWRPLFLYGGAAVNNSVGGKGSAGTQKLYGSVASVRVGKRFTLAEINAATNNFDESLVIGVGGFGKVYKGEIEDGVLAAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGFCEENNEMILVYEYMANGTLRSHLFGSDLPPLPWKQRLEVCIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPAFEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPTLPKDQINLAEWAMRWQRQRSLDTIIDPHLRGNYCPESLSKFGEIAEKCLADDGKSRPTMGEVLWHLEYVLQLHEAWLNRDTTESSFSGSNNSLRGPKDEGLEMVQESSSQDEVGFDHKHKAESD >Vigun02g001050.1.v1.2 pep primary_assembly:ASM411807v1:2:789869:792234:-1 gene:Vigun02g001050.v1.2 transcript:Vigun02g001050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHSPLMLHRSPSMFEVQVPNVYPMDLFEHTWIFDRLDRLGISRYFQSGIKDCVTYVSRPPEDEENINQMEKQMTQIKIDTEESY >Vigun08g096550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23196528:23196965:-1 gene:Vigun08g096550.v1.2 transcript:Vigun08g096550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding YYYYYYSYYFYFYFILFYLLIYCYYYHFFILFMILLSLLVFYYYCFILLLLLLFYYCFNLLVLLFYYYRFILFHFIIVFIIISFIFIIIFFLFLLLFFYSCFNLLVLLFYYYCFILVHFIIVFIIISFVFIVLFYFYFYYYYCCC >Vigun04g194500.1.v1.2 pep primary_assembly:ASM411807v1:4:41905323:41909967:-1 gene:Vigun04g194500.v1.2 transcript:Vigun04g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMNTKMNMNPKIIALIIAIAFSVWSSSCTATRVSHWRKLKAASSTFNVLDYGAKGDGHADDTKAFEGAWEAACKVEGSTMVVPSGSVFLVKPVSFSGPNCEPNIVFQLDGKIIAPTSSAAWGSGTLQWLEFSKLKKITIRGKGVIDGQGSVWWNDSPTSTQVMLESSGRLPSTKPTALRFYGSDGVTVTGITIQNSQQTHLKFDSSTNVQVFDIKVSSPGDSPNTDGIHLQNSQNVVIYSTTLACGDDCISIQTGCSDVYVHNVNCGPGHGISIGSLGKENTKACVRNITVRDVTIQNTLTGVRIKTWQGGSGSVQNIMFSNVQVSGVETPISIDQYYCDGGKCRNESSAVAVSGIHYMNIKGTYTKEPIYFACSDSLPCTGITLDTIQLQSSQNPNNLPFCWEAFGELKTKTVPPVQCLQRGNPSKSGFASNIDSC >Vigun03g283900.1.v1.2 pep primary_assembly:ASM411807v1:3:46441726:46458030:-1 gene:Vigun03g283900.v1.2 transcript:Vigun03g283900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVSSAERVDLARLCASKDWSKAIRILDSLISQSAAVQDLCNRAFCYSKLELHKHVIKDCDRALQLDPSLLRAYILKGSALSVLGRKENALLVWEQGYEHALHQSADLKQMLELEELIATARQENNALCESETHGPFMLKTKSGSPINGNSSEAFKIQDTLCTKAELCNNATSDRSETCLKSADSFDLKSESHDEDRECNKCDGQVNGSPDVLDTLSYNSESCNDSSDASESSEKVSSTKSGDSVNVTEIFRNPISKFIFSDERKGEAKKNKKFCVARISNTNSISVDFRLSRGIAEVNEGKYANAISIFDQILKKDPAYPEALIGRGTAHAFQRELDAAIVDFTKAIQFNPLAGEAWKRRGQARAALGEFVEAIEDLTKALEFEPDTADILHERGIVNFKFKEFDAAVEDLSACVKLDKDNTSAYTYLGLALSSIGEYKKAEEAHLKSLQLDKNFLEAWAHLTQFYQDLAMPTKAQECLSNMLQIDGRFTRAYHLRGLLFHAMGEHRKAIKDLTMGLSIDGSNVECLYLRGSCYHAVGQFKEAVKDYDAALDMELDTMDKFVLQCLSFYQKELALYTASKFNSDFCWFDIDGDIDALFKEYWCKKLHPKNVCEKVFRQPPLRESLRKGKLKKQEFTITKQKAALLLASDSIGMKIQYACPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSLRAEWKYSSKGNSKNGKRARRRERINMTSQNRGGAGCSTSSTSLTSSNGIVDERSSSRKLSWHDVYSLAVRWRQISEPCDPVVWVNKLSDEFAAGFGSHTPMILGQAKVVRYFPNYERTLEVAKAVIKERTFVRSKTDKIIHLSEDGKLEEIMHAKSCSDLYKVVGEDFWLATWCNSTAVEGKQLEGTRITVVKMGEHGFDFAIRTPSTPARWEDFDEEMAVAWDTICKAYCGENYGSTDFDMLEDVRDAILRMTYYWYNFMPLTRGSAAVGFIVMLGLLLAANMEFTGSIPQGLQVDWEAILNLDPNSFVDSVKTWLYPSLKVTTSWKDYHDVASTFATTGSVITALSFSSDD >Vigun11g032700.1.v1.2 pep primary_assembly:ASM411807v1:11:4329707:4330884:1 gene:Vigun11g032700.v1.2 transcript:Vigun11g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKVSPLALYLLATSLMFSMKIEALGGFCENGICISLKHASVGKMMEQHSKLCQSHEECKTKGSGNFCAHFNPRVDYGLCFHT >Vigun07g225000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34705155:34705304:1 gene:Vigun07g225000.v1.2 transcript:Vigun07g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAFLIEIECFRLILFCFGCVFDSSGNVKALTSTQCLCALLLILSESY >Vigun02g192800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32958816:32960979:-1 gene:Vigun02g192800.v1.2 transcript:Vigun02g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKFGMRNLLAHRFSPRLTAANASHRFLYGNPLCTTVESPDLPPWLKFSDTPIPTDAESDDNFVIPSLANWVDTHILTSKPKLPAQPSKQNSLDHVDAVSTLLKQRYSSPELVGEALNRRGFQPSSDLVSQVLKRFSNDWVSALGFFKWAKAQTGYRHSPEVYNLMVDILGKCRTFDSMWDLVKEMSQLEGYVTLDTMAKVMRRLAKAREHEDAIEAFRRMGEFGVKKDTAALNVLMDALVKGGSVEHAHKVVLEFKSRIPLSSHSFNILIHGWCRARNFDNARKAMGDMKEQGFEPDVFSYTNFIETYCHEKDFRKVDQVLEEMRANGCTPNAVTYTTVMINLGKAGQVSKALEVYEQMKSDGCVADTPFYSCLIFILGRAGRLKDARDVFEDMPKQGVVRDAVTYNTMISTACAHSREETALRLLKEMEDGSCKPNVETYHSLLKMCCRKKRMKVLKFLLDHMLKNDISLDLATYSLMVSGLCKSGRVEDAYSFLEEMVSQGMTPNPNTLKQLIAELESKSMSEEKQRVEKLMDRFLQKRNV >Vigun03g124800.2.v1.2 pep primary_assembly:ASM411807v1:3:11764207:11771152:-1 gene:Vigun03g124800.v1.2 transcript:Vigun03g124800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMADSNPPNEHSSRARFYQTYVTFRLLCHASRVGAIIGKSGVLIKTLQEATAAKIRIEDAPPESPDRVILVTASAAASADGGVSKAQEALLKVFERVLDVAAETVDTEVGNRVVSCRLLADNVQVGAVIGKAGKVVQNIRIDTGCKIRVLKDGLPAGTAPSDEIIEIEGQLTSVKKALVAVSQRLQDCPPPDRTKVMGSRHYEVVQSEPFSIPLKSLTNLHIDHHLQRSSTLSYLSNRSNGNASGAHKLSTEVNRASALDPKVLQQEVTFRILCSSDRVGFVIGKGGNTVRALQNESGAIISFAPSVVDCEDRLVTITALENPESYYSPAQKAVVLVFSKSVEAGVGKGLDLKTKNGTSVSARLVVSSTQVGCLLGKGGAIVSEMRKATGTHIRIIGHGLAPKCVSENDQLVQISGEFLNVQSAIYNATSRLRHHLFVSTQNGGGGRSFSSVLAGGQPTVAFSHGLNRHSFPGLQAPLYLE >Vigun03g124800.1.v1.2 pep primary_assembly:ASM411807v1:3:11764207:11771151:-1 gene:Vigun03g124800.v1.2 transcript:Vigun03g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMADSNPPNEHSSRARFYQTYVTFRLLCHASRVGAIIGKSGVLIKTLQEATAAKIRIEDAPPESPDRVILVTASAAASADGGVSKAQEALLKVFERVLDVAAETVDTEVGNRVVSCRLLADNVQVGAVIGKAGKVVQNIRIDTGCKIRVLKDGLPAGTAPSDEIIEIEGQLTSVKKALVAVSQRLQDCPPPDRTKVMGSRHYEVVQSEPFSIPLKSLTNLHIDHHLQRSSTLSYLSNRSNGNASGAHKLSTEVNRASALDPKVLQQEVTFRILCSSDRVGFVIGKGGNTVRALQNESGAIISFAPSVVDCEDRLVTITALENPESYYSPAQKAVVLVFSKSVEAGVGKGLDLKTKNGTSVSARLVVSSTQVGCLLGKGGAIVSEMRKATGTHIRIIGHGLAPKCVSENDQLVQISGEFLNVQSAIYNATSRLRHHLFVSTQNGGGGRSFSSVLAGGQPTVAFSHGLNRHSFPGLQAPLTVSGINSSGTNGVSRGLISQKGGLELVGGSKTAIVTNTTVQIVVPEDIICSVFGENGSNLVRLRQISGANVIVHEPLPGTSDRTIVISGTPDETQAAQSLLQAFILTGSP >Vigun07g086100.1.v1.2 pep primary_assembly:ASM411807v1:7:13029458:13032760:1 gene:Vigun07g086100.v1.2 transcript:Vigun07g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDASVQSDIKLWPFKVFSGPGDKPMIQVNYKGEDKQFSAEEISSMVLMKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGGKLDPADKKKIEDAVEQTIQWLDSNQLGEADEFEDKMKELESLCNPIIAKMYQGGAGPDMGGAMDDDVPAGGSGAGPKIEEVD >Vigun11g170300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37633609:37635328:1 gene:Vigun11g170300.v1.2 transcript:Vigun11g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAQGGSMLVEPNTHNALHRPKFGALLHSEPNNDDDNFQYNPHNTHRNYTDSSNDVSPNSMSPWNYPNHSASPLNKSPWVLPASPAVNPFHHHQDDLPGNSLIGSLVREEGHIYSLAVSGDLLYTGSDSKNIRVWKHLKDYTGFKSSSGLVKTIIIAGGKIFTGHQDGKIRVWKVSSKNPSKHKRVGSLPTFKEYMKCSINPKNYVEVRRNRNAVKVKHFDAVSTLSLDKEEGLLYSGSWDKTVKVWRVADFKCLESINAHDDAVNAVVAMSGGCVLTGSADGTVKMWRRVSDEKGKKVKHVLDRVLLKQENAVTALAVNRAGTVLYCGSSDGIVNFWERDEKFGFTHVGVLRGHKQAVLCLAVAGNLLFSGSADKKVCVWKRDESGFHTCHSVLTGHVGPVKCIAVEEDQAQPEKPRDEKGDQRWIVYTGSLDKSVKVWRVSEQSPELSTFQTWVTPGPFTT >Vigun05g021000.1.v1.2 pep primary_assembly:ASM411807v1:5:1760972:1764139:1 gene:Vigun05g021000.v1.2 transcript:Vigun05g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALSQVMGTSNRNPPMSQSTSFPFSNFDTKDQPQPQPQPQPSSDKGVTKKAHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEDAALAYDRAALKFKGTKAKLNFPERVHHNVSFMHQNHESSSNTNTFPSHATSNAVSSAHDVAAPPSFSTHDQHGHQLFPNLLQYAQILSSDDAQFPYYTSHLFNNQQQHQQHQQQQQQDPFSSQFSTTFSSTSSQASYFYNHQQQFDEQGHGHSDFSPIIHQSGRR >Vigun05g021000.2.v1.2 pep primary_assembly:ASM411807v1:5:1760967:1764139:1 gene:Vigun05g021000.v1.2 transcript:Vigun05g021000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALSQVMGTSNRNPPMSQSTSFPFSNFDTKDQPQPQPQPQPSSDKGVTKKAHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEDAALAYDRAALKFKGTKAKLNFPERVHHNVSFMHQNHESSSNTNTFPSHATSNAVSSAHDVAAPPSFSTHDQHGHQLFPNLLQYAQILSSDDAQFPYYTSHLFNNQQQHQQHQQQQQQDPFSSQFSTTFSSTSSQASYFYNHQQQFDEQGHGHSGQ >VigunL059314.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:18912:19220:-1 gene:VigunL059314.v1.2 transcript:VigunL059314.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g082500.5.v1.2 pep primary_assembly:ASM411807v1:7:11898752:11921153:1 gene:Vigun07g082500.v1.2 transcript:Vigun07g082500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSCCSRDRPCYTKILSMIINAPNEEEVSLMSEIYGLCMKGGKEEHTALLSSIQDLAKAFSRYEDEVLAKREELLQYVQNAISGLKVNADLMRIEVEACKLKENIENMKARNQDGNVNSPKETTAEEIELVDEDMVQVIQMCSKLEELLLKKKYFSSGDSPQLHAEKVDKLKVLSESLANSATKAETRITENRTQKEEALHFRAAKSDEVSLVEKELSLEIEELERQRDELEEKLRKVNNLLTCARIRFHNAREEREQFDEASNEILALLKTKEEEMLRAITSYTVEANVVDTWIKFLESTWVFQTSVTKRKDEQVNAELERYGYHFANLVVHLLRYYKGKLGSYVTQIRILVDSLRFKPGLAMSSMTTNEGLKLANPRKRLEEEYLDIETKFLAILNVVDTMNKQFHIQKEGIFRQRQGDTTV >Vigun07g082500.3.v1.2 pep primary_assembly:ASM411807v1:7:11896202:11921153:1 gene:Vigun07g082500.v1.2 transcript:Vigun07g082500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKNFRDVFLSSLALEGITLSMIINAPNEEEVSLMSEIYGLCMKGGKEEHTALLSSIQDLAKAFSRYEDEVLAKREELLQYVQNAISGLKVNADLMRIEVEACKLKENIENMKARNQDGNVNSPKETTAEEIELVDEDMVQVIQMCSKLEELLLKKKYFSSGDSPQLHAEKVDKLKVLSESLANSATKAETRITENRTQKEEALHFRAAKSDEVSLVEKELSLEIEELERQRDELEEKLRKVNNLLTCARIRFHNAREEREQFDEASNEILALLKTKEEEMLRAITSYTVEANVVDTWIKFLESTWVFQTSVTKRKDEQVNAELERYGYHFANLVVHLLRYYKGKLGSYVTQIRILVDSLRFKPGLAMSSMTTNEGLKLANPRKRLEEEYLDIETKFLAILNVVDTMNKQFHIQKEGIFRKDSDKVTQLFDDIEKIKEEFESIERPKLELEIPTERSETPSSQIISRTPSPPSAKKPKQNGTRHSFSLPGRSQIEIELDKLSEDDSSEEISEWEFDDLDKDRHSRS >Vigun07g082500.2.v1.2 pep primary_assembly:ASM411807v1:7:11896204:11921153:1 gene:Vigun07g082500.v1.2 transcript:Vigun07g082500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVRSAVNKAVEGGQTNIRRAVRTYTDSVVLHASNAVAGGAKIIQDRIVTRNMQSFRHTVKRLEEVSISCRGIERVQLLRRWLVALKEVERLTANFIDTDEKDTDNQLLHDEFKDSPTMIYYVDPDAMDDLKNFRDVFLSSLALEGITLSMIINAPNEEEVSLMSEIYGLCMKGGKEEHTALLSSIQDLAKAFSRYEDEVLAKREELLQYVQNAISGLKVNADLMRIEVEACKLKENIENMKARNQDGNVNSPKETTAEEIELVDEDMVQVIQMCSKLEELLLKKKYFSSGDSPQLHAEKVDKLKVLSESLANSATKAETRITENRTQKEEALHFRAAKSDEVSLVEKELSLEIEELERQRDELEEKLRKVNNLLTCARIRFHNAREEREQFDEASNEILALLKTKEEEMLRAITSYTVEANVVDTWIKFLESTWVFQTSVTKRKDEQVNAELERYGYHFANLVVHLLRYYKGKLGSYVTQIRILVDSLRFKPGLAMSSMTTNEGLKLANPRKRLEEEYLDIETKFLAILNVVDTMNKQFHIQKEGIFRKDSDKVTQLFDDIEKIKEEFESIERPKLELEIPTERSETPSSQIISRTPSPPSAKKPKQNGTRHSFSLPGRSQIEIELDKLSEDDSSEEISEWEFDDLDKDRHSRS >Vigun07g082500.1.v1.2 pep primary_assembly:ASM411807v1:7:11896202:11921153:1 gene:Vigun07g082500.v1.2 transcript:Vigun07g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVRSAVNKAVEGGQTNIRRAVRTYTDSVVLHASNAVAGGAKIIQDRIVTRNMQSFRHTVKRLEEVSISCRGIERVQLLRRWLVALKEVERLTANFIDTDEKDTDNQLLHDEFKDSPTMIYYVDPDAMDDLKNFRDVFLSSLALEGITLSMIINAPNEEEVSLMSEIYGLCMKGGKEEHTALLSSIQDLAKAFSRYEDEVLAKREELLQYVQNAISGLKVNADLMRIEVEACKLKENIENMKARNQDGNVNSPKETTAEEIELVDEDMVQVIQMCSKLEELLLKKKYFSSGDSPQLHAEKVDKLKVLSESLANSATKAETRITENRTQKEEALHFRAAKSDEVSLVEKELSLEIEELERQRDELEEKLRKVNNLLTCARIRFHNAREEREQFDEASNEILALLKTKEEEMLRAITSYTVEANVVDTWIKFLESTWVFQTSVTKRKDEQVNAELERYGYHFANLVVHLLRYYKGKLGSYVTQIRILVDSLRFKPGLAMSSMTTNEGLKLANPRKRLEEEYLDIETKFLAILNVVDTMNKQFHIQKEGIFRKDSDKVTQLFDDIEKIKEEFESIERPKLELEIPTERSETPSSQIISRTPSPPSAKKPKQNGTRHSFSLPGRSQIEIELDKLSEDDSSEEISEWEFDDLDKDRHSRS >Vigun07g082500.4.v1.2 pep primary_assembly:ASM411807v1:7:11898752:11921153:1 gene:Vigun07g082500.v1.2 transcript:Vigun07g082500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSCCSRDRPCYTKILSMIINAPNEEEVSLMSEIYGLCMKGGKEEHTALLSSIQDLAKAFSRYEDEVLAKREELLQYVQNAISGLKVNADLMRIEVEACKLKENIENMKARNQDGNVNSPKETTAEEIELVDEDMVQVIQMCSKLEELLLKKKYFSSGDSPQLHAEKVDKLKVLSESLANSATKAETRITENRTQKEEALHFRAAKSDEVSLVEKELSLEIEELERQRDELEEKLRKVNNLLTCARIRFHNAREEREQFDEASNEILALLKTKEEEMLRAITSYTVEANVVDTWIKFLESTWVFQTSVTKRKDEQVNAELERYGYHFANLVVHLLRYYKGKLGSYVTQIRILVDSLRFKPGLAMSSMTTNEGLKLANPRKRLEEEYLDIETKFLAILNVVDTMNKQFHIQKEGIFRKDSDKVTQLFDDIEKIKEEFESIERPKLELEIPTERSETPSSQIISRTPSPPSAKKPKQNGTRHSFSLPGRSQIEIELDKLSEDDSSEEISEWEFDDLDKDRHSRS >Vigun03g162000.1.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065555:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g162000.3.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065564:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g162000.2.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065564:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g162000.4.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065552:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g162000.6.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065552:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g162000.5.v1.2 pep primary_assembly:ASM411807v1:3:18056094:18065552:1 gene:Vigun03g162000.v1.2 transcript:Vigun03g162000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILVDVSRIDLTATILGFKVSMPIMIAPTAMQKMAHPEGELATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVFKDRNVVAQLVRRAERAGFKAIALTVDTPILGRREADIKNRFTLPPHLILKNFEGLDLGKLDKTSDSGLASYVAGQIDRSLNWKDIKWLQTITSLPILVKGVLTAEDTRIALKAGASGIIVSNHGARQLDYVPATITALEEVVTAAEGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLFSLAADGEAGVRKVLQMLRDEFELTMALTGCRSLKEISRDHVITDWDRPRIAPKL >Vigun03g152000.1.v1.2 pep primary_assembly:ASM411807v1:3:16036721:16053010:1 gene:Vigun03g152000.v1.2 transcript:Vigun03g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRKRALEVPPKIRCFIDRVTSVPLEKIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKYIKPRKDLLIDDDFLNLDPPFPREAILQILRVIRTILDNCTNKHFYSSYEQHLSALLASTDPDVVEASLDTLATFLKKTVGKYSIRDTSLNSKLYALAQGWGGKEEGLGLIASAVPDGCDHIAFELGCTLHFEFYAVNEPENDIKVAEPLVQGLQIIHLSGIDKRVETDLELLHKLVTEYKVPLSLRFSLLSRLRFARAFGSLASRQQYTCIRLYAFIVLIQACADADDLVSFFNAEPGFINELVSLLSYEDAVLEKIRILCLHALAALCQDRSRQQSVQTAVTSGGHRGILSSLMQKAIDSVTSDTSKWSVHFAEALLSLVTVLVSTSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVEKAVRILEAFMDYSNPAAALFRDLGGLDDTISRLKIEVSYVENGGKQPEEKSESSARSVNMARSSSTGLDDLQKPLYSEPLISYHRRLLMKALLRAISLGTYAPGNTARIYGSEENVLPHCLCIIFRRAKDFGGGVFSLAATVMSDLIQKDPTCYPVLDAAGLPSAFLDAIMDDVLNSSEAITCIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSKTYLRALAGDTPASLSSGLDELMRHASSLRGPGVEMLVEILETISKIGSVVESSSSSSDPSSSSLVPMEMDGEDKNVILANNESSKADDTEHISEPSPDVSIVNVESFLPDCVNNIARLLETILQNADTCRIFVEKKGIEAILQLVTLPLMPASVSVGHSISVAFKNFSPQHYVSLARAVCSFLREHLKSTNELLDLVGGTQLALVESAKQTKVLKYLASLEAVLTLSVFLLKGTSTVVSELSTSDADVLKDLGKTYKEIIWQISLCNDSKAEEKKNADQEPEVSQVPPSTTIERESDDDSNIQTVRYTNPVFARNGSHSLWSGEREFLSVVRAGESLHRRSRHGISRIRGGRAGRHLEALNIDSETPPSALEAPSSQDLKKKSPDVIVSEILNKLASTLRSFFTALVKGFTSPNRRRADSGSLSSASKTLGAVLATNFLEALSFSGHSTYASGLEMSLSVKCRYLGKVVDDMVALTFDSRRRSCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPCSLPSSDIDVGKKGEGGKLSHNTWLLDTLQSYCRLLEYFVNSSLLLSPTSASQAELLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFCSCSPGFVASIISLVTHVYSGVGDVKRSRSTIVGSTNQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGNSSESTKAESAEKTIDVLTEEGHVKKPPVDDILAASVKLFQTSDSVSFQLTDLLVTLCSQNKGDDRPKVISYLMQQLKLCPLDFSQDNCALSVLAHILALLLFEDVSTREIAAQNGIISTIIDILTNFKGRQELGKELPVPKCISALLLILDQMVQSRPKVENVEGTQTGSLPDSSVEHGSLQFSDTVLPKEKNSNGNEKEPSMAFESILGKSTGFATVEESHKLLDVACDLIKQHVPAVVMQAVLQLCARLTKTHALALQFLENGGLAALFNLPRICFFPGYDSVVSAIVRHLLEDPQTLQTAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPNVFMKAAAAVCQLETSGGRTVVVLSKEKEKEKSKSSSIEVGLSSNECVRISESKSHDGSGKCLKSHKKVPVNLTQVIDQLLEIVLKYPPLKGQEESERDSTFMDIDEPAMKVKGKSKVDEAGSLEPESERSTGLVKVTFVLKLLSDILLMYGHAVGVILRRDSEMCQFRGSNQPSGHSGIIHHILHRLLPLSVDKSAGPDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNELVKELVSFSNFESHSMRSSLLPDKRLFTFVDLVYSILSKNSSSGSLPGSGYSPDIAKSMIDGGIIQCLTSILQVVDLDHPDAPKIVNLILKGLEGLTRAANASEQIFKSDGTEKKRSTGLNDRSDDQITAPSATEAVAHDQNVGSQEAIIDTMDNAHDQGTSQGDDCADNPNQSVEQDMRVEEDGTLSQNPPMELGMDFMREEMGEGGVLHNPDQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDDDDGEDEDEDIAEDGGGMMSLADTDVEDHDDVGFGDDYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQILGQPGFIDVAAEPFEGVNVDDLFRLQSFERRRQTGRSSFERPATEVNGFQHPLLVRPPPSGDFVSMWSSAGNSTSRDSEAMSSGNLDVAHFYMFDAPILPYDHVPSSLFGDRLGGAAPPPLTDYSVGMGSLHLPGRRVLGNGRWTDDGQPQGSAQAASIAQAVEEQFLAQLNSIAPASSPVEPQLQNSGEQENRSDTLASHDGPILTAGTDSTCQQIESQEQENGNGEEINVDSVARDTGEDLPANEPMSVQPVSLNIMPNDIDCTVIEGNATPDENVEIFVNSSVNSNAAIQCERAADVPTSIQDVPVESMECNGSSTADGQHINHDLGGCGFETPNSGDCHAASIYASADVDMGGTDTEGNQSEQPAVSEDRRDELLSAQNTEVAPDASQADQVSANNEASGANTIDPTFLEALPEDLRAEVLANQQAQSVQPPAYAPPSAEDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQILRDRAMSHYQARSLFGSSHRLNNRRNGLGFDRRPVMDRGVGVTIGRRSALTDSLKVKEIEGEPLLDATALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTMATLIYLLLDMIEPEAEGSVSRSATLNSQRLFGCHSNTVYGQSQLLDGLPPLVFRRILEILTYLATNHSAVAKMLFHFDQSIIPDSSRPVNVHTNEKGKEKVIEGGPSLNPSRSQTGVIPLVLFLRLLSRPLFLRSNAHLEQVMGLIQVIVDTAASRLESQSQSEKEMADTQKLSASEVPSNTEKDAALVEPDSNQQDKRADMHVCHSEGKKSTDMYNIFLQLPQSDLRNLCSLLGREGLSDKMYMLAGEVLKKLAFIVPSHRKFFTLELSESAHALTGSAISELVTLQKTNMLGLSAGSMAGAAILRVLQALSSLTSLSTVGEMDMDNGVDQHDDQATIWNLNTALEPLWQELSNCISAAEMQLGQSSFSPSMSNINVAENLQGSSTSPPLPPGTQRLLPFIEAFFVLCEKLQANESFMQQDHGNVTAREVKESAGCSASTSVKGGDSQRKLDGAITFTRFAEKHRRLSNAFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHDQHLSGPLRISVRRAYILEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDVPDLTFSMDADEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVADHLLTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASNVVQWFWEVVKTFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Vigun06g232300.1.v1.2 pep primary_assembly:ASM411807v1:6:33849389:33854369:1 gene:Vigun06g232300.v1.2 transcript:Vigun06g232300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPPYRRRRSPSPVGHRSSRRSRRDRSRSPYSSHSYSRRKSRSISPRSYRSPSTTPRRRRSRSPSAKRYRRHRSRSSSLSPARKSSSSSLGSVEHRTATEKQKKEEEKKRRQLEAELKLIEEETAKRVEEAIRRRVEESLNSEEVQVEIQRRLEEGRKRLNDEVAAQLEKEKEAAIIEAKLKEEQARKEKQDLERMLEENRKKVEEAQRKEALEQQRREEERYKELEEMQRQKEEAMRRKKQEEEQERLNQIKLLGKNKSRPKLSFALGSK >Vigun07g225200.2.v1.2 pep primary_assembly:ASM411807v1:7:34739170:34742016:-1 gene:Vigun07g225200.v1.2 transcript:Vigun07g225200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVMAKRWGKPSVFRTDRDPMFGSGLVMVEGNEWVRHRHIVAPAFNPINLKDMANMMVDSTNQMIDRWFTRINSGNPEIDVEREIIATAGEIIARTSFGMKDDNARDVFDKLRALQMTLFKTNRYVGVPFGKYFNVRKTLEAKRLGKEIDKLLLSIIESRRKSPTKNGQQDLLGLLLQGNHEVDGRSGKTLTSREVVDECKTFFFGGHETTALAITWTLLLLAMHPDWQNQLRDEIREVVGNTQELDISMLAGLKKMKCVMNEVLRLYPPAPNVQRQAREDIKVDDVTVPNGTNMWIDVVAMHHDPELWGKDANEFRPERFMDDVNGGCKHKMGFLPFGFGGRMCVGRNLTFMEYKIVLTLLLSRFTFKISPGYNHSPAIMLSLRPTYGLPLIVHPL >Vigun07g225200.1.v1.2 pep primary_assembly:ASM411807v1:7:34739170:34742016:-1 gene:Vigun07g225200.v1.2 transcript:Vigun07g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGLAGILLAFSAALCLFLLLILTFSWWVFPNQTLNKLKKCGLGGPIPSFPLGNINEMKKKNNISVASSNLTHDIHSNVFPYFSSWQKSHGKVFVYWLGTEPFLYVAEPEFLKKMSTVVMAKRWGKPSVFRTDRDPMFGSGLVMVEGNEWVRHRHIVAPAFNPINLKDMANMMVDSTNQMIDRWFTRINSGNPEIDVEREIIATAGEIIARTSFGMKDDNARDVFDKLRALQMTLFKTNRYVGVPFGKYFNVRKTLEAKRLGKEIDKLLLSIIESRRKSPTKNGQQDLLGLLLQGNHEVDGRSGKTLTSREVVDECKTFFFGGHETTALAITWTLLLLAMHPDWQNQLRDEIREVVGNTQELDISMLAGLKKMKCVMNEVLRLYPPAPNVQRQAREDIKVDDVTVPNGTNMWIDVVAMHHDPELWGKDANEFRPERFMDDVNGGCKHKMGFLPFGFGGRMCVGRNLTFMEYKIVLTLLLSRFTFKISPGYNHSPAIMLSLRPTYGLPLIVHPL >Vigun05g049900.2.v1.2 pep primary_assembly:ASM411807v1:5:4297338:4300059:-1 gene:Vigun05g049900.v1.2 transcript:Vigun05g049900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSLSISSVTEQARENSVSKNFLLPRKPARQPNPIIYRKLRRGSAGRIPEKQIEYPTNKELLRTIAYLRTAIYYEDDKILPNNKACITEEEDEEEWSTQSDQEKGQKQLEKSIQKPNNNDSTYTLTKNQRKKRSYIDNFCSTEIICPQEHRAALAVKLYGVQVALLSGLGNPFQNISQSITSSTNISKTPILSLWQASSGFLPAIIWSGMFIVSFLYTIVVVWSLAFRVPIISMLCIALLYSLSVLVAAASGFDVACGTFRLSGILFACLGVLGFALILLILLLLVLFAS >Vigun05g049900.1.v1.2 pep primary_assembly:ASM411807v1:5:4297339:4300059:-1 gene:Vigun05g049900.v1.2 transcript:Vigun05g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSLSISSVTEQARENSVSKNFLLPRKPARQPNPIIYRKLRRGSAGRIPEKQIEYPTNKELLRTIAYLRTAIYYEDDKILPNNKACITEEEDEEEWSTQSDQEKGQKQLEKSIQKPNNNDSTYTLTKNQRKKRSYIDNTNKKCALSSSSSHVINIKNICKTSQRGGLGLTKNDSHTSASMCKAFIQFCSTEIICPQEHRAALAVKLYGVQVALLSGLGNPFQNISQSITSSTNISKTPILSLWQASSGFLPAIIWSGMFIVSFLYTIVVVWSLAFRVPIISMLCIALLYSLSVLVAAASGFDVACGTFRLSGILFACLGVLGFALILLILLLLVLFAS >Vigun11g208100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40414507:40415895:1 gene:Vigun11g208100.v1.2 transcript:Vigun11g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGDVLKPFKIFVGYDPREDVAYEVCCHSILKKASIPVEITPIKQSELRKNGLYWREKDQYESTEFSFSRFLTPKLANYEGWAMFVDCDFLYLADIRELRDLIDDKYAVMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNSVLSPEIVNTQTGAFLHRFQWLQDDEIGSIPFVWNFLEGHNKVVESDPTTFPKAVHYTRGGPWFEAWKNCAFADLWLKEKDDYQNEAKKAITN >Vigun08g131300.1.v1.2 pep primary_assembly:ASM411807v1:8:30175694:30177571:1 gene:Vigun08g131300.v1.2 transcript:Vigun08g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMESHDAKVLKAQTHVWNHIFSFINSMSLKCVVELGIADIIHSHAQPISLSTLISSLPIHSSKTHFIPRLMRIMVHSGFFSQHNHTENEQEVTYALTDASLLLLKSNPMSVAPYLKAMLDPVLTNPWNQFSSWFKNGNPTPFELAHGKLLWEYAASDPRINNLFNDAMASDAQLITSVVIEKCKGVFMGSESLVDVGGGTGTMAKAIAESFPQLECIVFDLPHVVSGLQGTHNLKYVEGDMFEAIPPTDAILLKCILHDWSDEECVKILKKCKEAIWKKGKEGKVIIIDMVMDNETKDEESVETQLFFDMLMMVLVKGKERNQKEWVKLFSSAGFNNYNITPVLGSRSLIQIYP >Vigun05g021300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1774105:1775268:-1 gene:Vigun05g021300.v1.2 transcript:Vigun05g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLILILTTIFTSHSPIIMPISSPKQPFLVIITITIFFLQSPGPVPIIARDAHVINFRSSNLYPESLAWDPIMQHFLLGSLRQRVITAVSDSGIVETYISDTDLPEDVSILGLAVDTPRNRLLAVVHSYPPLPPFNALAAYDLQSRRRLFLSPLPSEGLDAANDVAVDYSGNAFVTNSGGNFIWKVTADGSASIFSRSPKYLEETNNPADDDGSRHDYLGLNGIAYVNKGYLLVVQSSTGKVFKVDAEDGTARKVLVKEELVGAEDIAVRSDGVAAVVAPMKKLWLLKSMDSWSEGAVFDKVEVNVRRFPTSVVVGHKDRVYVLYGHVDEGKMGDSGRESFGIAELRSKGEDESVWIFVLIGLGCVYFFFWKYQMARLVRKMTKVN >Vigun01g119600.1.v1.2 pep primary_assembly:ASM411807v1:1:29595395:29599152:1 gene:Vigun01g119600.v1.2 transcript:Vigun01g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFCTYLFLLSVSLSIFDLSSSSSEGDTLLSFKASIEDSKKALSTWSNTSSNHYCNWTGITCSTTPLLSVISINLQSLNLSGDISSSICDLPHLSYLNLADNIFNQPIPLHLSECASLETLNLSTNLIWGTIPSQISQFASLRVLDLGRNHIEGKIPESLGSLKNLQVLNMGSNLLSGTVPAVFGNLTKLEVLDLSQNPYLVSEIPEDIGDLENLQQLMLQSSSFQGKIPDSLVGLVSLTHLDLSENNLTGGVPQALPSSLKNLVSLDVSQNKLLGPFPSGICKGEGLVNLCLHSNAFNGSIPSSIDECKSLERFQVQNNAFSGDFPAALWSLPKIKLIRVENNRFSGQIPESISGAVQLEQVQLDNNSFAGKIPQGLGFVKSLYRFSASLNRFDGELPPNFCDSPVMSIVNLSHNSLSGQIPALKKCRKLVSLSLADNSLTGEIPSSLAELPVLTYLDLSDNNLTGLIPQGLQNLKLALFNVSYNQLSGKVPYSLISGLPASFLDGNPGLCGPGLPNSCSDDMPRRHIGGITTLACALISLAFVVGTGIVVGGFILYRRYSKGNQVGVWRSVFFYPLRITEHDLLVGMNEKSSMGNGGFFGRVYVVSLPSGELIAVKKLVNFGSQSSKSLKAEVKTLAKIRHKNVVKILGFCHSDESVFLIYEYLHGGSLGDLISGQNFQLQWVVRLKIAIGVAQGLAYLHKDYVPHLLHRNVKSSNILLDANFEPKLTDFALDRVVGEASFQSILNSEAASSCYIAPENGYSKKASEQLDIYSFGVVLLELVSGRQAEETESSDSVDIVKWVRRKVNIANGVHQVLDPKISNTFHQEMIGALDIALRCTSVVPEKRPSMVEVVRSLQSLESRSCIANLHEPNEESSPV >Vigun06g064550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19307217:19307957:1 gene:Vigun06g064550.v1.2 transcript:Vigun06g064550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYLLYGSLLKKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASMGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun05g212700.1.v1.2 pep primary_assembly:ASM411807v1:5:40362811:40366594:1 gene:Vigun05g212700.v1.2 transcript:Vigun05g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPLRSTRDEEQGEMESFSIGTDDFADLSEGNLLESINFDDLFMGINDDEDVLPDLEMDPEMLAEFSLSEESEIVSSVSVANNKSDDRNENTREKQDEIIAANFSSDSGSNSGSSRGEEIASKSDESTHSVVVNPSPKESEKGRKSSTHSRNNPQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAARWSQRKQFIGGASVGRGGGGGGKRDVNPWLAPTMGFPPMTPMHHFRPFHVWGHHTMDQSFMHMWPKHLPYSPSPPAWPPRTAPSPPPPDPLYWHQHQRAPNAPTPGTPCFPQPLTSTRFGSQTVPGIPPHHAMFQIVDPGIGIPATTHGQPPPRPLLDFHPSKESIDAAISDVLSKPWLPLPLGLKGPALDGVMGELQRQGIPKIPPSCA >Vigun05g212700.2.v1.2 pep primary_assembly:ASM411807v1:5:40362811:40366594:1 gene:Vigun05g212700.v1.2 transcript:Vigun05g212700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPLRSTRDEEQGEMESFSIGTDDFADLSEGNLLESINFDDLFMGINDDEDVLPDLEMDPEMLAEFSLSEESEIVSSVSVANNKSDDRNENTREKQDEIIAANFSSDSGSNSGSSRGEEIASKSDESTHSVVVNPSPKESEKGRKSSTHSRNNPQGKRKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAARWSQRKQFIGGASVGRGGGGGGKRDVNPWLAPTMGFPPMTPMHHFRPFHVWGHHTMDQSFMHMWPKHLPYSPSPPAWPPRTAPSPPPPDPLYWHQHQRAPNAPTPGTPCFPQPLTSTRFGSQTVPGIPPHHAMFQIVDPGIGIPATTHGQPPPRPLLDFHPSKESIDAAISDVLSKPWLPLPLGLKGPALDGVMGELQRQGIPKIPPSCA >Vigun10g081000.1.v1.2 pep primary_assembly:ASM411807v1:10:22570090:22572397:-1 gene:Vigun10g081000.v1.2 transcript:Vigun10g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARVSLLLGILFLASLSVSFGIVHRQQHHESQEESDSRTQNNPFYFSSDRFHTLFSNKYGHLRVLHRFDQRSKQIQNLENYRVVEFKSKPNTLLLPKHADADFLLVVLNGKAILTLVNPDGRDSFILEQGHAQKIPAGTTFFLVNPNENENLRIIKLAIPVNNPHRFQDFFLSSTEAQQSYLQGFSKNILEASFDTDFKEINRVLFGEDETQEETEEEGVIVELKREQIRELMKHAKSSSSRKDLSSKDEPFNLRNRKPIYSNKFGRWYEITPEKNPQLKDLDVFISNVDMKEGGLLVPHYNSKAMVILVINKGEANIELVGLREQQQQEQQEEQEERLEVQRYRAELSENDVFVIPAAYPVAINAISDLNFFAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPESGERVEKLINEQSESYFVDAQPEQQQREERHKGRKVSLSSILGSFY >Vigun08g047000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5040038:5040901:-1 gene:Vigun08g047000.v1.2 transcript:Vigun08g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPIPNNTKTTTLAPTTSTIETVTATKTTTSSTSSPLASPWHSPVPYLFGGLAIIMALISMALFILACSYWRLTRSTQQRDMDNINTNKEGDDDPQQKEQPLVYQEKILVIMAGDHKPTFLATPSANTSFLKHLGNSEAFDISQMEISDNKRVVVDDVSDENGSSGGERNQQPS >Vigun05g136400.1.v1.2 pep primary_assembly:ASM411807v1:5:16416072:16418928:-1 gene:Vigun05g136400.v1.2 transcript:Vigun05g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVSNNHHLHLRTMLTPSQPPPSSPSSFKTRLRSFPKGLTVRASAGRRHCEFSSLNAPLEPRSLVGKFLGGVLQNRRQMFHVVTKEELKMLSEDRDSAIARMVISQHSDEALLHRRIAKVKEDECMVAISDVMYLLILYKFSEIRVHLVPKLSNCLYNGRLEILPSKDWDLESIHSMEVLDIIRQHVSTVTGLKSNPSVRESWETTAIRRSWLARVYVASILYGYFLKSVSLRYTLEQSLSSSNHALHPGQKSCPSFPDMYRFGSEDVMLGNKNNDMQSVWHDLMRQEEGIEDIKCYVMNFHPGSFQRCAKLRSKEAVQLVESHSNALFGNGKSGLSQHDDVIVTSFSSLRRLVLEAIAFGSFLWETEDYIDNVYKLKDHEVK >Vigun01g026300.1.v1.2 pep primary_assembly:ASM411807v1:1:3046112:3055872:1 gene:Vigun01g026300.v1.2 transcript:Vigun01g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANDYFPPLFSVAPMMDWTDHHYRTLARLLSKHTWLYTEMLAAETIVYQKGNLDRFLAYSPDQHPIVLQIGGSNLDNLAKATELANAYCYDEINFNCGCPSPRVAGRGCFGARLMLDPKFVAEAISLIFANTNVPVTVKCRIGVDDHDSYNELCDFIYKVSSLSPAKHFIIHSRKALLKGLTPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGITSIDEADGALKAGAHGVMVGRAAYHNPWHILGHVDAAIYGAPSSDLTRRQVLEKYQVYGDSVLGQYGLGPTVRDIVKPLLGFFHSEPGNGLWKRKADSAVQTCTTIKQFFEETLVAIPDSVLDSPVAEPQYGRGDLFADIHNLLPPPYTREENVI >Vigun03g042700.2.v1.2 pep primary_assembly:ASM411807v1:3:3387533:3394961:-1 gene:Vigun03g042700.v1.2 transcript:Vigun03g042700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEKGGRPPFKKFKASSKFDDPRKGFVEDEEIYGGDDAYDDDEGKTKDFSKLELKQDHTNRPLWACGNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETVISVLNKLSKTKLPKEMIKFIHDSTANYGKVKLVLKKNRYFIESPFPEVLKTLLRDDTISRARIISENVNGDGFTISKAAGEIEGTHDELLNEAEVAAAAEEKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILQAFKTSKDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPADEGPSLSYYHIEDQLALLGKVLSAGDDAVGLEQLEEDSDEIALHNARRTHGSMSAMSGAKGMVYMEYSTGRNKGQGQIKSKPKDPAKRHFLFKRRYGAT >Vigun03g042700.1.v1.2 pep primary_assembly:ASM411807v1:3:3387533:3394961:-1 gene:Vigun03g042700.v1.2 transcript:Vigun03g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEKGGRPPFKKFKASSKFQDDPRKGFVEDEEIYGGDDAYDDDEGKTKDFSKLELKQDHTNRPLWACGNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETVISVLNKLSKTKLPKEMIKFIHDSTANYGKVKLVLKKNRYFIESPFPEVLKTLLRDDTISRARIISENVNGDGFTISKAAGEIEGTHDELLNEAEVAAAAEEKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILQAFKTSKDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPADEGPSLSYYHIEDQLALLGKVLSAGDDAVGLEQLEEDSDEIALHNARRTHGSMSAMSGAKGMVYMEYSTGRNKGQGQIKSKPKDPAKRHFLFKRRYGAT >Vigun01g077800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21936076:21936978:-1 gene:Vigun01g077800.v1.2 transcript:Vigun01g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKFNSHILFLLLILLHLYLFPSSNIHNTTFCTLLFAPMATVMKLFFSIAIFVILVSKGASSTTTEPTISASPGVLPYVSAPDISSFFPTPSGYEPESYDASSEAPAPAPSSGEFEGKKSSASPRFHSAGAIVAFAFISGIVIV >Vigun01g185100.1.v1.2 pep primary_assembly:ASM411807v1:1:36535889:36537131:-1 gene:Vigun01g185100.v1.2 transcript:Vigun01g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRPFRKKGTIALTTYLRTYHIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVMPSRCTEEFRLRKIKNDQLKADAKASGEKISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Vigun10g059300.2.v1.2 pep primary_assembly:ASM411807v1:10:11553526:11559439:1 gene:Vigun10g059300.v1.2 transcript:Vigun10g059300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEAYHVPQQSRRDKLRVVVTQNQHGLVEPSTLLPLYDPSSFISSDLLTSFHSSHKHNLGCGVKEERANLMMGFAGRGGEGGGVMNNGSSSSLCCSSNSSSVSYLDPESSLPLNQATIQVINNNNNMFLYQAQNLGEFDQGYNNNNGSEIMVFKPEPLSLSLSSHSNGVNLQRYGSVVCGDKVGGVGGGGGCVVYGGSGLNEVSRCTVPMGPFTGYASILKGSRFLKPAQQLLEELCDVGGVCAEKMVADASLMEPVPLPPPENSSEDLQGDHGGDQGRKKSRLLTMLDEVYRRYRQYYQQMHAVVTSFEYVSGLSNAAPYASLAIKAMSKHFRCLKNAITEQLQFANKAHFHISNRKDESSRFGNSDRGPYSQRPGFLDHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQGQKNSQKEEHSRNKSSDQLPSDNSIVSENPSGSTEKFQDTPYKRVTEELPNIPVRTQEQLNLPCTSNQPGGVGVSMGGSASNNVSLTLGLYQNHGIGLAEPFTLNAAQRFGVALETNNEGYVMSGYESQNRHFGRDVIGGQLVHDFAG >Vigun10g059300.1.v1.2 pep primary_assembly:ASM411807v1:10:11553399:11559511:1 gene:Vigun10g059300.v1.2 transcript:Vigun10g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEAYHVPQQSRRDKLRVVVTQNQHGLVEPSTLLPLYDPSSFISSDLLTSFHSSHKHNLGCGVKEERANLMMGFAGRGGEGGGVMNNGSSSSLCCSSNSSSVSYLDPESSLPLNQATIQVINNNNNMFLYQAQNLGEFDQGYNNNNGSEIMVFKPEPLSLSLSSHSNGVNLQRYGSVVCGDKVGGVGGGGGCVVYGGSGLNEVSRCTVPMGPFTGYASILKGSRFLKPAQQLLEELCDVGGVCAEKMVADASLMEPVPLPPPENSSEDLQGDHGGDQGRKKSRLLTMLDEVYRRYRQYYQQMHAVVTSFEYVSGLSNAAPYASLAIKAMSKHFRCLKNAITEQLQFANKAHFHISNRKDESSRFGNSDRGPYSQRPGFLDHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQGQKNSQKEEHSRNKSSDQLPSDNSIVSENPSGSTEKFQDTPYKRVTEELPNIPVRTQEQLNLPCTSNQPGGVGVSMGGSASNNVSLTLGLYQNHGIGLAEPFTLNAAQRFGVALETNNEGYVMSGYESQNRHFGRDVIGGQLVHDFAG >Vigun06g230300.1.v1.2 pep primary_assembly:ASM411807v1:6:33709665:33713160:1 gene:Vigun06g230300.v1.2 transcript:Vigun06g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAIRRIDAAIQSSSDSILGKGEYRVPGFDSTVNSAIRSRTSSNSKIFSVNGFGWTVENAIKSTTAPNFQTHDSVHSFGWAVENAMRSGVGPNPPPFHGFTSAVDKAICSKVGAEAEVEGETEELPNREGYAVSWQKGYMLGKGSFGTVYEAFTDDGNFFAVKEVSLLDHRSQGKQSIFQLRQEISLLSQFRHDNIVQYLGTDKDNDKLYIFLEIVTKGSLAVLYKKYHLMDSQVSAYTRQILSGLKYLHDRNVVHRDIKCANILVDATGSVKLADFGLAKVTKLNDVKSIGGSLNWMAPEVVNLRSGGYGLAADIWSLGCTVLEMLTRQPPYYHLEEMQVIFQIGGGEPPPVPGSLSINARDFILKCLQADPNKRPTVAQLLDHPFVNFCTTVNYGDQFQVPH >Vigun01g050350.1.v1.2 pep primary_assembly:ASM411807v1:1:7988430:7990098:1 gene:Vigun01g050350.v1.2 transcript:Vigun01g050350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLNSWASPFGMRERITIAEKGIKYEPRDEDLKTKSSLLLQMNPDWFHTNPLLPSDPYQRAEARFWADYVDKKIYGVGKKIIFPSNGEENEVAKKEFIEALRLLEEQLGEKTYFGGNDLGFVDIALIPFYTSFKDFETFGSLNVESECPKFIAWVNRCLQKESVAESLPDQHKVHEFIAGIRKKLGIELSRFRFELNGHSKVPLVILNTGWVDRNLYKLGWPDDLDKLNSPYLPVVPVKFINLTRHDLA >Vigun02g203600.7.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGGS >Vigun02g203600.4.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGKVVVDNGKSDRITVVDNSASLSSQHAITEYRVLASSSQASSALC >Vigun02g203600.6.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGGS >Vigun02g203600.1.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQGGINIKHSLDVVAAACLNYEYSEPPRLVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGKVVVDNGKSDRITVVDNSASLSSQHAITEYRVLASSSQGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRKWGGFDLSNVEDSCEELLKEETLPFGLNLNNGSISENHPRLHLHCKQIVLPNISQALQNVQSFSNCDLSLVEGLELVADLPPYMQRSWDVTNG >Vigun02g203600.5.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQGGINIKHSLDVVAAACLNYEYSEPPRLVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGGS >Vigun02g203600.3.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQGGINIKHSLDVVAAACLNYEYSEPPRLVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGKVVVDNGKSDRITVVDNSASLSSQHAITEYRVLASSSQASSALC >Vigun02g203600.2.v1.2 pep primary_assembly:ASM411807v1:2:33729039:33733587:1 gene:Vigun02g203600.v1.2 transcript:Vigun02g203600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFKFGLVVICFVKKGKTKRRGCKLKPVMRLAMAAQTEWMFRALSSGRRHLSAGRQWEEKWLTLPPVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHKLFRLRQVRLLCPPDMDTQHQTLKRVAAKYTLNAGDRILLPQSVQQKPAPTHKPHSPLTPQQINFIRTLVIYKDPAILVLNKPPGMPVQVHRLDRDCSGILVMGRTQTSTTVLHSIFREKTSRALDNIGEEKRILQRRYWALVLGCPRRSKGLVTASLGKVVVDNGKSDRITVVDNSASLSSQHAITEYRVLASSSQGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRKWGGFDLSNVEDSCEELLKEETLPFGLNLNNGSISENHPRLHLHCKQIVLPNISQALQNVQSFSNCDLSLVEGLELVADLPPYMQRSWDVTNG >Vigun07g287950.1.v1.2 pep primary_assembly:ASM411807v1:7:40074310:40075011:-1 gene:Vigun07g287950.v1.2 transcript:Vigun07g287950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAAREGAGENKETFTTRMSTSEGEIPVFLKRLSSVSNMISSISWYESLRDEHSSLPSRTVLGQTIFTAEAEEQKIHLWLYQEALNKVMRYMFAVQMHVYIYDRHFSSSYCEKPYINHMYSFVKQIFEGK >Vigun02g022500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7616985:7617188:1 gene:Vigun02g022500.v1.2 transcript:Vigun02g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLPFSFSIFIHYLFSSFLPTHEAYYQRNLPYTIVARNPKRSVGLGSVLKFGRLHFPCECCFVV >Vigun03g343600.1.v1.2 pep primary_assembly:ASM411807v1:3:54280959:54282119:1 gene:Vigun03g343600.v1.2 transcript:Vigun03g343600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRIRLCFGLATPRVRACSASTFRSKSPSSASIKFANRTEFSGHHSNGAEAGNKIMVVVDSSFEAKGALDWALSHTVQSQDTLVLVHVTRPNMEDTESGGKFNVKAYQLLLDMKSMCERKRAGVVVNVVMLEGEEKGVAIVEEAKQQRVSLLVVGQRKRSFLWCMLRTWVRKGTRPGFVEYCIQNSPCMTIAIRRKNKKHGGYLITTKRHKNFWLLA >Vigun09g113200.1.v1.2 pep primary_assembly:ASM411807v1:9:24784592:24786887:-1 gene:Vigun09g113200.v1.2 transcript:Vigun09g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLINYIKLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGIDPQTHRPLNAASATSATAAVSPPSPAAVPVATSSSSKKINSDSISSSNTLAHDVVITNNNNINNNNSNGFQLVGNSGYANATTKVGTDEDSNSSSGVTVEESLPHHQLNLDLSIGLPSPQQVSSSVNPEKLKAQEHDQKPHVLYKWYGNITGQGVCLCYSLGLQSDQACYCKGMGATATATTVTTTSTDSNLYRFYRPMNI >Vigun05g260900.2.v1.2 pep primary_assembly:ASM411807v1:5:45393795:45396661:1 gene:Vigun05g260900.v1.2 transcript:Vigun05g260900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTYKHIDIARSPTSSIASVEHFLRSAGGDSSRMSPTCSSPSSPMRPQDSEDDQGLYQKKSVLTKVKQKARKLCNSLSKKRIEDENVTPSNGARFVDEEDVRFADEEEEEEDVEEEEEKEEDAEYLGAPMYESAQAPETYKENARQHPRANPVISEKHVLNNAIKLGMQEDQEKTSADVNPKFTSMTQPDTTTIAASSTNASATLAQKMIPAYAEGSLAANSIPSQNSSVSSSRLQHSFSSPASKSRKNTSQNVAPVNDYLKNTSGKEYDVQIESPEQDLSSSSASKSGNITQKTFSLKDSMNRSEQGNDATNMIPFQKSSSFSAASMSGKNTSQKSVSAKDYSTRKSRLRDDEKTTSHLQVTSGENDPSRTSSNAGMMDKVRGAVNSFLGNEEPSEEYGVKIPTTRTSSQTQQVVEDENRGRILQTN >Vigun05g260900.1.v1.2 pep primary_assembly:ASM411807v1:5:45393795:45396661:1 gene:Vigun05g260900.v1.2 transcript:Vigun05g260900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTYKHIDIARSPTSSIASVEHFLRSAGGDSSRMSPTCSSPSSPMRPQDSEDDQGLYQKKSVLTKVKQKARKLCNSLSKKRIEDENVTPSNGARFVDEEDVRFADEEEEEEDVEEEEEKEEDAEYLGAPMYESAQAPETYKENARQHPRANPVISEKHVLNNAIKLGMQEDQEKTSADVNPKFTSMTQPDTTTIAASSTNASATLAQKMIPAYAEGSLAANSIPSQNSSVSSSRLQHSFSSPASKSRKNTSQNVAPVNDYLKNTSGKEYDVQIESPEQDLSSSSASKSGNITQKTFSLKDSMNRSEQGNDATNMIPFQKSSSFSAASMSGKNTSQKSVSAKDYSTRKSRLRDDEKTTSHLQVTSGENDPSRTSSNAGMMDKVRGAVNSFLGNEEPSEEYGVKIPTTRTSSQTQQVYFAVVEDENRGRILQTN >Vigun09g080500.1.v1.2 pep primary_assembly:ASM411807v1:9:9535857:9540678:-1 gene:Vigun09g080500.v1.2 transcript:Vigun09g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATKQTQNTKQRVLTCLTKLSDRDTQAAGATELESIARSLDPHSVPVFLSCIHSTDASDKTPVRKQCVHIVATLSHAHGDALSPFLSRILANLVRRLRDPDSSVRAACADSVGALSARVTRQPFSSFLKPLAEALFTEQDPNSQAAAALCLASAIDGAPDPDPARLARLLPRFEKLLKSKVFRAKPALLALVGSVAEAGGASSRGALRNLVPCLVEALGSVDWATRKGAAEALRRLAVAERDLLSEFKGECFRVLEDRRFDKVKLVREVVNQMLEAWKQIPDVSDEFSPPPHSQSSLKENASDGRYPPVSQNSCSPGSVMSKLRKKSSPISKSTPPDRSLARNAKKLSALSGDRMNSGVLQKLNHDHWDVRIAVSNVPDRGECQPRDENVLESSKKDKSRFFKSDTKRSLFEKNSDDKMHKFGGSKAGSRVVPCSEENQDSGPVCNVPKDVPRNEKESEELSLIRAQLVQIEKQQSSLFDLLQKFIGSSENGMRSLETRVHGLELALDDISYDLAVTSGRMTKSDAPKNTCCLLPGAEFLSSKFWKKTQIRYSSNRFSRTGSTPLLASNHYRANRNTESSTASHRFRVRGDGSFITNPLAEIKINSREISGSARSQLA >Vigun03g012500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:839465:840418:-1 gene:Vigun03g012500.v1.2 transcript:Vigun03g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATAACTSATFFNEKREGYMGYAVHSQVIKIKQEIEKTKHPSLQLHIRRALLRDVNRLRSRSPLGLAESAILGC >Vigun08g138600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31084265:31085805:1 gene:Vigun08g138600.v1.2 transcript:Vigun08g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPQKIHPVYDVEAPNHPSAPLVPRSMSKSDAGDPERAVLQQQQQQQQQQHPPQHTIPVMRPPKKRRSCCCRFFCWLISILLILIVAIGITVGILYLVFRPKVPKYSVDELIVTQFDISDNNSLSATFNLTITARNPNKKIGIYYRGGSHISAWYDDTKLCEGSLPKFYQGHRNTTVLSIPLTGQTQDATGLQTSLQQQLQETANVPLNLKVNQPVRIKLGKLKLFTIKFRVRCKIVVDNLSANSSIRIQSSSCKFRIRL >Vigun06g220600.1.v1.2 pep primary_assembly:ASM411807v1:6:33037852:33040377:-1 gene:Vigun06g220600.v1.2 transcript:Vigun06g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGNMINVNNNNTCNNKVVCFPTEGGNKRSIGHHIARRLVEIGVKDVFSVPGDFNLGLLDYLVAEADLNLIGCCNELNAGYGADGYARCKGVGACVVTFNVGGLSILNAIAGAYSEDLPVICIVGAPNSNDYGSQRIIHHSIGLSDFSEELRCFQPVTCHQAVVNNLEDAHELIDTAIATALRESKPVYISIASNLVSIPHHSFTDDPVPFYLTPKLTDESCLEIAVEATSQFLNKAVKPVMVGGPKLRMAKASDAFMRMVDASGYPFAVLPSAKGMVEEHHPNFIGTYWGQISTPFCAEILHSADAYLFAGPVFNDVITLGFSIPVQKEKAIVVLPNRVMIPNGPTIGCVSMKSFFEALATRLKRNTTAFDNYKRIHVRNGLPSNPNPKEKLRVNVLFMHIQNMLSRDTAVIAETGDTWFTCQKLKLPQGCGYECQMQYGSIGWSVGASLGYAQAAPHKRVIACVGDGSFQMTVQEVSTMLRCGQNCIIFLINNGGYCTETEIHDGPYNVIKNWDYAGLVEKIDNGEGKCWTTKVHCEEELTEAIKTAMERKKSCLCFIEVIVESNDTSKELIVLGTRLSAFNGRPPKDN >Vigun11g197900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39669129:39670007:-1 gene:Vigun11g197900.v1.2 transcript:Vigun11g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYYTYKPRNGDARTPNVVLILVLALFLLSAPKLFSGQPEEEEETNYTSPFVALILLVFILLLLSLLGTSRTKVVSFKPPYCHCTYACYCYR >Vigun04g141650.1.v1.2 pep primary_assembly:ASM411807v1:4:35181303:35187989:-1 gene:Vigun04g141650.v1.2 transcript:Vigun04g141650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPGAVHSRFEHSLGMYWLAGQCVEKLHTYQDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPTFKLGENAPTVSWADPKNVDSSAASQVVFKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRSLLRQIEAMQETNAKRAEAWAAVERTLNSRLQEVEAKATTVEEREHM >Vigun06g101800.1.v1.2 pep primary_assembly:ASM411807v1:6:23203183:23209465:1 gene:Vigun06g101800.v1.2 transcript:Vigun06g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGSVRKSLVFRGSPESDETSLGVGGSLVDKISYCIRTSRVFSKPSPPSPSIHEDAAPPIRWRKGELIGCGAFGQVYVGMNIDSGELLAVKQVLIAASSASKEKAQAHIKELEEEVKLLKDLSHPNIVRYLGTVTEEDTLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAVLFHIGTTKSHPPIPDHLSAAAKDFLLKCLQKEPVLRSSASELLQHPFVTGEHMNSLTLSSNVTENFIASSPSCSPNDESILCSSTVNPLDSGNKQLWGMSNDDDDMCVIDDKEEFSHNDVKYKSMMSTDIESFNPMSDPSDDWGCKFDASSELETREVNFVTDESYMPPDQSGDGKQPDFSFPGVPSLSEEDDELTESKIKAFLDEKALELKKLQTPLYEEFYNSLNTSCSPNGESTSDDTASRKFLKLPPKSRSPNRVPISTPSKAIDSTGSPGSNGQSSSTVGHVNNHTSQDIPASPLNEWKGVIVDSQQQPSSPSLSFSERQRKWKEELDQELERKREMMRQAGMGGKTSSPKDRALHRQREGTRFASQQIRNSLEPSYCVNY >Vigun01g208200.1.v1.2 pep primary_assembly:ASM411807v1:1:38386100:38389649:1 gene:Vigun01g208200.v1.2 transcript:Vigun01g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMATEFLCRVADVLSEYLGGLNEDLIKDNFIIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGSSSNVSDTLPGATASLVPWRTADAKYANNEIYVDLVEEMDATINRDGVLVKCEINGEVQVNSRITGLPDLTLSFSNPAILDDVRFHPCVRYRPWESHQFLSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLTSDAGTCRLSILVGIRNDPGKTIDSVTVQFKLPSCILSADLTSNYGIVNILSDKTCSWSIGRIPKDKAPSMTGTLVLETGLERLHVFPTFQVGFKIMGVALSGLQIDKLDMKSVPYRFYKGFRALTRAGEFEVRS >Vigun01g051800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:8569523:8569816:1 gene:Vigun01g051800.v1.2 transcript:Vigun01g051800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSSISPPSIPTSPFILSCSSFHFHCPMAKLRTARVTTEVAPPRFVAVTRCRVKKMLDTIMEEENDFVADKRFSSPSCGSSSISLIERPILVNKF >Vigun03g072000.1.v1.2 pep primary_assembly:ASM411807v1:3:5919917:5923498:1 gene:Vigun03g072000.v1.2 transcript:Vigun03g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMQRSLKTTIAVASHRASFSSLQRSAADAAADTLVTPPQHLPPFDHKPAPYNGPSADEVFAKRKKFLGPSLFHYYQKPLNIVEGKMQYLYDDSGRRYLDAFAGIVTVSCGHCHPEILNAITEQSKLLQHATTIYLNHTIGDFAEALASKMPGNLKVVYFVNSGSEANELAMMMARLYTGSLSMISLRNAYHGGSSGTLGATALNTWKYPIPEGHVHHVMNPDPYRGVFGADASSYAKDVQDHIDYGTSGKVAGFIAETIQGVGGTVELAPGYLKLVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHSAEVGSHLLQRLRELQQRHEIIGNVRGRGLMVGIEMVTDRKDKTPAKAETAVVFEKLRELGVLVGKGGIHGNVFRIKPPMCFTKDDADFLVDALDYSLSKL >Vigun08g168150.1.v1.2 pep primary_assembly:ASM411807v1:8:33933582:33934589:-1 gene:Vigun08g168150.v1.2 transcript:Vigun08g168150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKPFILLCFLYAVVLIAAVVADDPPQEEGKASGIDENFTGSDDEQGLFLSGRKLVLDYVVDAQEEVVEAEEEEVVVVEEEEEVGEVGEVVEVEEVEVGEAVEVVEVVGVEEAGEVVEVGEVLEVVEVGEGVEAKGEEAVAVVAVEAEVVEAMEEEVVVPVVAVEADVVKEEKVAEKEEEDIERDNEMQNKGECI >Vigun05g283400.2.v1.2 pep primary_assembly:ASM411807v1:5:47168631:47171410:-1 gene:Vigun05g283400.v1.2 transcript:Vigun05g283400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSDKLSSSSSSSSSVDGKTSSMNLKETELRLGLPGCKSPERKSGSGLCLFGKELQSKHNVCSVATPLKAGAKRGFSDAIDTSSGKRGSSVTDGSQGPALFSPRGGIVIGLDTQTNTPLANTSIKEIGAVPQSAKPVQEKNDQVAATNGHATASDAKAQVVGWPPIRSFRKNTMASNLAKNNDEGEGKPGFGCLYVKVSMDGAPYLRKVDLKTYNNYMELSSALEKMFSCFTIGQCNSPGFPGKDGLSESSLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRAMEKSRSQN >Vigun05g283400.1.v1.2 pep primary_assembly:ASM411807v1:5:47168631:47171410:-1 gene:Vigun05g283400.v1.2 transcript:Vigun05g283400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALEQDYIGLAEKPSMDGGSDKLSSSSSSSSSVDGKTSSMNLKETELRLGLPGCKSPERKSGSGLCLFGKELQSKHNVCSVATPLKAGAKRGFSDAIDTSSGKRGSSVTDGSQGPALFSPRGGIVIGLDTQTNTPLANTSIKEIGAVPQSAKPVQEKNDQVAATNGHATASDAKAQVVGWPPIRSFRKNTMASNLAKNNDEGEGKPGFGCLYVKVSMDGAPYLRKVDLKTYNNYMELSSALEKMFSCFTIGQCNSPGFPGKDGLSESSLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRAMEKSRSQN >Vigun04g010500.3.v1.2 pep primary_assembly:ASM411807v1:4:796850:803468:1 gene:Vigun04g010500.v1.2 transcript:Vigun04g010500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIPCSIGNVSIIPGFTYSTKKSNTITRLNLSRSTAKPGSSSWRFLLPSFVASGTFPQNKSIRSFHKKSRTSISATETDVAVEEAGPPVADEDSGEISSNEIGISEDSSSKSDANPDAAKAKRSRPARKSEMPPVKNEDLIPGASFTGKVKSIQPFGAFVDFGAFTDGLVHISMLSDSFVKDVASVVSIGQEVKVKLIEVNNETRRISLSMRENADTGKQRKDAPIKTEKAGSGKRSNSKPSSRKDNVTKSTKLVIGQLLVGSVKNLARSGAFISLPEGEEGFLPVSEEPDDGFDNVMGNTRLEVGQEINVRVLRINRGQVTLTMKTEEDATDSTTTFNQGVVHTATNPFVLAFRKNKDISSFLDEREKPQSEVQKPSPGTTLEEIKETVKQGETVPDVPGVQGEPVSSKLTDDVPPAVKQNAEGDISAIEENVGISSTVGSSTAIIDTPLEKEEDAVSGSLTPEEDIPTVNPTIEEAIQTEVTTSDLKTDSPVETATENVIESGVDVIVTEDEKQSQTLNAVEEFAAAVLTDTDVKESGVDEIVTEDEKQSQTLNAVEEFAAAVLTDTDAVGASPDGNGTITESDTASTAPALQETAADDVGAVPEVNDADTSLSDETEEKDQVPSPESSATEVVKTSNDNPEEELQKQTTVTENENSFTSQVEEKEIAVASEENISLSSSDGQTVATSGEGSSKATISPALVKQLREETGAGMMDCKKALSETDGDIIKAQEYLRKKGLSSAEKKASRVTAEGRIGSYIHDNRIGVLVEVNCETDFVSRGEIFKDLVDDIAMQVAACPQIEYLVTEDVPEEIVKKEKEIEMQKEDLLSKPEQIRSKIVEGRINKRLEELALLEQPYIKNDKVAVKDLVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPAPAPAAPANEQPAVAEAKETEPKKSTVAISASLVKQLREETGAGMMDCKKALAETEGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGEKFKELVEDLAMQVVASPQVQFVSIEEIPETVVNKEKELERQREDLLSKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETTEKETAVEA >Vigun04g010500.2.v1.2 pep primary_assembly:ASM411807v1:4:796516:803468:1 gene:Vigun04g010500.v1.2 transcript:Vigun04g010500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIPCSIGNVSIIPGFTYSTKKSNTITRLNLSRSTAKPGSSSWRFLLPSFVASGTFPQNKSIRSFHKKSRTSISATETDVAVEEAGPPVADEDSGEISSNEIGISEDSSSKSDANPDAAKAKRSRPARKSEMPPVKNEDLIPGASFTGKVKSIQPFGAFVDFGAFTDGLVHISMLSDSFVKDVASVVSIGQEVKVKLIEVNNETRRISLSMRENADTGKQRKDAPIKTEKAGSGKRSNSKPSSRKDNVTKSTKLVIGQLLVGSVKNLARSGAFISLPEGEEGFLPVSEEPDDGFDNVMGNTRLEVGQEINVRVLRINRGQVTLTMKTEEDATDSTTTFNQGVVHTATNPFVLAFRKNKDISSFLDEREKPQSEVQKPSPGTTLEEIKETVKQGETVPDVPGVQGEPVSSKLTDDVPPAVKQNAEGDISAIEENVGISSTVGSSTAIIDTPLEKEEDAVSGSLTPEEDIPTVNPTIEEAIQTEVTTSDLKTDSPVETATENVIESGVDVIVTEDEKQSQTLNAVEEFAAAVLTDTDVKESGVDEIVTEDEKQSQTLNAVEEFAAAVLTDTDAVGASPDGNGTITESDTASTAPALQETAADDVGAVPEVNDADTSLSDETEEKDQVPSPESSATEVVKTSNDNPEEELQKQTTVTENENSFTSQVEEKEIAVASEENISLSSSDGQTVATSGEGSSKATISPALVKQLREETGAGMMDCKKALSETDGDIIKAQEYLRKKGLSSAEKKASRVTAEGRIGSYIHDNRIGVLVEVNCETDFVSRGEIFKDLVDDIAMQVAACPQIEYLVTEDVPEEIVKKEKEIEMQKEDLLSKPEQIRSKIVEGRINKRLEELALLEQPYIKNDKVAVKDLVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPAPAPAAPANEQPAVAEAKETEPKKSTVAISASLVKQLREETGAGMMDCKKALAETEGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGEKFKELVEDLAMQVVASPQVQFVSIEEIPETVVNKEKELERQREDLLSKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETTEKETAVEA >Vigun04g010500.1.v1.2 pep primary_assembly:ASM411807v1:4:796516:803468:1 gene:Vigun04g010500.v1.2 transcript:Vigun04g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIPCSIGNVSIIPGFTYSTKKSNTITRLNLSRSTAKPGSSSWRFLLPSFVASGTFPQNKSIRSFHKKSRTSISATETDVAVEEAGPPVADEDSGEISSNEIGISEDSSSKSDANPDAAKAKRSRPARKSEMPPVKNEDLIPGASFTGKVKSIQPFGAFVDFGAFTDGLVHISMLSDSFVKDVASVVSIGQEVKVKLIEVNNETRRISLSMRENADTGKQRKDAPIKTEKAGSGKRSNSKPSSRKDNVTKSTKLVIGQLLVGSVKNLARSGAFISLPEGEEGFLPVSEEPDDGFDNVMGNTRLEVGQEINVRVLRINRGQVTLTMKTEEDATDSTTTFNQGVVHTATNPFVLAFRKNKDISSFLDEREKPQSEVQKPSPGTTLEEIKETVKQGETVPDVPGVQGEPVSSKLTDDVPPAVKQNAEGDISAIEENVGISSTVGSSTAIIDTPLEKEEDAVSGSLTPEEDIPTVNPTIEEAIQTEVTTSDLKTDSPVETATENVIESGVDVIVTEDEKQSQTLNAVEEFAAAVLTDTDVKESGVDEIVTEDEKQSQTLNAVEEFAAAVLTDTDAVGASPDGNGTITESDTASTAPALQETAAADDVGAVPEVNDADTSLSDETEEKDQVPSPESSATEVVKTSNDNPEEELQKQTTVTENENSFTSQVEEKEIAVASEENISLSSSDGQTVATSGEGSSKATISPALVKQLREETGAGMMDCKKALSETDGDIIKAQEYLRKKGLSSAEKKASRVTAEGRIGSYIHDNRIGVLVEVNCETDFVSRGEIFKDLVDDIAMQVAACPQIEYLVTEDVPEEIVKKEKEIEMQKEDLLSKPEQIRSKIVEGRINKRLEELALLEQPYIKNDKVAVKDLVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPAPAPAAPANEQPAVAEAKETEPKKSTVAISASLVKQLREETGAGMMDCKKALAETEGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGEKFKELVEDLAMQVVASPQVQFVSIEEIPETVVNKEKELERQREDLLSKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETTEKETAVEA >Vigun04g010500.4.v1.2 pep primary_assembly:ASM411807v1:4:796850:803468:1 gene:Vigun04g010500.v1.2 transcript:Vigun04g010500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVIPCSIGNVSIIPGFTYSTKKSNTITRLNLSRSTAKPGSSSWRFLLPSFVASGTFPQNKSIRSFHKKSRTSISATETDVAVEEAGPPVADEDSGEISSNEIGISEDSSSKSDANPDAAKAKRSRPARKSEMPPVKNEDLIPGASFTGKVKSIQPFGAFVDFGAFTDGLVHISMLSDSFVKDVASVVSIGQEVKVKLIEVNNETRRISLSMRENADTGKQRKDAPIKTEKAGSGKRSNSKPSSRKDNVTKSTKLVIGQLLVGSVKNLARSGAFISLPEGEEGFLPVSEEPDDGFDNVMGNTRLEVGQEINVRVLRINRGQVTLTMKTEEDATDSTTTFNQGVVHTATNPFVLAFRKNKDISSFLDEREKPQSEVQKPSPGTTLEEIKETVKQGETVPDVPGVQGEPVSSKLTDDVPPAVKQNAEGDISAIEENVGISSTVGSSTAIIDTPLEKEEDAVSGSLTPEEDIPTVNPTIEEAIQTEVTTSDLKTDSPVETATENVIESGVDVIVTEDEKQSQTLNAVEEFAAAVLTDTDVKESGVDEIVTEDEKQSQTLNAVEEFAAAVLTDTDAVGASPDGNGTITESDTASTAPALQETAAADDVGAVPEVNDADTSLSDETEEKDQVPSPESSATEVVKTSNDNPEEELQKQTTVTENENSFTSQVEEKEIAVASEENISLSSSDGQTVATSGEGSSKATISPALVKQLREETGAGMMDCKKALSETDGDIIKAQEYLRKKGLSSAEKKASRVTAEGRIGSYIHDNRIGVLVEVNCETDFVSRGEIFKDLVDDIAMQVAACPQIEYLVTEDVPEEIVKKEKEIEMQKEDLLSKPEQIRSKIVEGRINKRLEELALLEQPYIKNDKVAVKDLVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPAPAPAAPANEQPAVAEAKETEPKKSTVAISASLVKQLREETGAGMMDCKKALAETEGDLEKAQEYLRKKGLSSADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGEKFKELVEDLAMQVVASPQVQFVSIEEIPETVVNKEKELERQREDLLSKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETTEKETAVEA >Vigun03g293300.2.v1.2 pep primary_assembly:ASM411807v1:3:47847737:47850784:-1 gene:Vigun03g293300.v1.2 transcript:Vigun03g293300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATLPPGFRFHPTDEELVGYYLKRKVEGLEIELEVIPVIDFYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSNPSNVTGYRKTLVFYAGRAPLGDRTDWVMHEYRLCDDLGQPTPSFQGGFALCRVIKKNEKASATKGENKGKRAGSSSINGSDTSVRFSGEPFSNSGDSSSHASQLNNESRYSSPITSPYNVAPMGEINQASLETNPSNFWISPDMILDSSKHAVAEYFPRYDLPSMGTQWQSVEHSETPSGFSYSNVNGEVEFADTLSQIGGMSPYSREWNSMDFYGNGGDVPYEDYDQINSISYP >Vigun03g293300.1.v1.2 pep primary_assembly:ASM411807v1:3:47847737:47850784:-1 gene:Vigun03g293300.v1.2 transcript:Vigun03g293300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATLPPGFRFHPTDEELVGYYLKRKVEGLEIELEVIPVIDFYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSNPSNVTGYRKTLVFYAGRAPLGDRTDWVMHEYRLCDDLGQPTPSFQGGFALCRVIKKNEKASATKGENKGKRAGSSSINGSDTSVRFSGEPFSNSGDSSSHASQLNNESRYSSPITSPYNVAPMGEINQASLETNPSNFWISPDMILDSSKDYPQLQHAVAEYFPRYDLPSMGTQWQSVEHSETPSGFSYSNVNGEVEFADTLSQIGGMSPYSREWNSMDFYGNGGDVPYEDYDQINSISYP >Vigun10g122933.1.v1.2 pep primary_assembly:ASM411807v1:10:33091900:33094571:1 gene:Vigun10g122933.v1.2 transcript:Vigun10g122933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNSPLLNLASPPSSLTLILPQLYRRTRFNPLIFSFFSSCSSNIYDAKISTVQMHLVGNALGDASPNVHINILLLSIRIKLHSLIAMEK >Vigun06g143500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908918:26912129:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908775:26912129:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908575:26912380:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908575:26912380:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908575:26912260:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908575:26912260:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26908775:26912129:1 gene:Vigun06g143500.v1.2 transcript:Vigun06g143500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSKFFTCTMNSVTSKCMVLLVTVLILRALLFPSFPGFDGIEWSNLVYIRTPLLNFDFGIRQDKFLVVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTVERDLSQLKEHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVGRKITDNNEAVEMQSIITDDGPSFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDNWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun02g163600.4.v1.2 pep primary_assembly:ASM411807v1:2:30834710:30837798:1 gene:Vigun02g163600.v1.2 transcript:Vigun02g163600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKMKLARKQFRGYTPLDPALGIQGDSKESYYIGPIADSANAKLNQWPSEESLGNWRSSMESFYWKLFEAGKKLFSLIALSLNLDQHFFEKIGAIDKPSAFLRLLRYPGEMGGHEEICSAHSDCGALTLLITDGVPGLQICRDKSKEPRVWEDVSYMEGAFIVNIGDLMERWTNCLYKSTMHRVKRTGKERYSMAFFLDPHPDCVVECIETCCSQSSPPRFSPIRSGDYMHELFTISPV >Vigun02g163600.3.v1.2 pep primary_assembly:ASM411807v1:2:30834710:30837798:1 gene:Vigun02g163600.v1.2 transcript:Vigun02g163600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNYIYINLPLLELLELRRRKKKISAAMKLPVIDLSSRDSVSTAIAIRQACIEYGFFYLVNHGVEKELGKAFDESRSFFSLPMNQKMKLARKQFRGYTPLDPALGIQGDSKESYYIGPIADSANAKLNQWPSEESLGNWRSSMESFYWKLFEAGKKLFSLIALSLNLDQHFFEKIGAIDKPSAFLRLLRYPGEMGGHEEICSAHSDCGALTLLITDGVPGLQICRDKSKEPRVWEDVSYMEGAFIVNIGDLMERWTNCLYKSTMHRVKRTGKERYSVIH >Vigun02g163600.2.v1.2 pep primary_assembly:ASM411807v1:2:30834710:30837798:1 gene:Vigun02g163600.v1.2 transcript:Vigun02g163600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNYIYINLPLLELLELRRRKKKISAAMKLPVIDLSSRDSVSTAIAIRQACIEYGFFYLVNHGVEKELGKAFDESRSFFSLPMNQKMKLARKQFRGDSKESYYIGPIADSANAKLNQWPSEESLGNWRSSMESFYWKLFEAGKKLFSLIALSLNLDQHFFEKIGAIDKPSAFLRLLRYPGEMGGHEEICSAHSDCGALTLLITDGVPGLQICRDKSKEPRVWEDVSYMEGAFIVNIGDLMERWTNCLYKSTMHRVKRTGKERYSMAFFLDPHPDCVVECIETCCSQSSPPRFSPIRSGDYMHELFTISPV >Vigun02g163600.5.v1.2 pep primary_assembly:ASM411807v1:2:30836057:30837798:1 gene:Vigun02g163600.v1.2 transcript:Vigun02g163600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILEVINVVFLRHSEAGKKLFSLIALSLNLDQHFFEKIGAIDKPSAFLRLLRYPGEMGGHEEICSAHSDCGALTLLITDGVPGLQICRDKSKEPRVWEDVSYMEGAFIVNIGDLMERWTNCLYKSTMHRVKRTGKERYSMAFFLDPHPDCVVECIETCCSQSSPPRFSPIRSGDYMHELFTISPV >Vigun02g163600.1.v1.2 pep primary_assembly:ASM411807v1:2:30834710:30837798:1 gene:Vigun02g163600.v1.2 transcript:Vigun02g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNYIYINLPLLELLELRRRKKKISAAMKLPVIDLSSRDSVSTAIAIRQACIEYGFFYLVNHGVEKELGKAFDESRSFFSLPMNQKMKLARKQFRGYTPLDPALGIQGDSKESYYIGPIADSANAKLNQWPSEESLGNWRSSMESFYWKLFEAGKKLFSLIALSLNLDQHFFEKIGAIDKPSAFLRLLRYPGEMGGHEEICSAHSDCGALTLLITDGVPGLQICRDKSKEPRVWEDVSYMEGAFIVNIGDLMERWTNCLYKSTMHRVKRTGKERYSMAFFLDPHPDCVVECIETCCSQSSPPRFSPIRSGDYMHELFTISPV >Vigun09g079600.1.v1.2 pep primary_assembly:ASM411807v1:9:9375168:9384738:1 gene:Vigun09g079600.v1.2 transcript:Vigun09g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSPPQDSKEPSPDLHKEEATTNTAATAAADAAPPAPTRNRPSRACTIRTAARLYSASQPARPKAAKREPRREESPQPPSPQPQQCSKIVTPLVEPPTPAQLPRYNLRCMWELASILNFFNLFRHLLNISVEFSAEEFETALLTPNDTLSDIHMPLLKAIPPITRMALSRDTWITVLCRKLRDWWHWVADGDLPIVASHGAEIEVYKSLDPGVRVVILKALCDIRVEQEDIRSYIDSSIKHGIPLSTFRKERIGGDSHGVSYWYEDDQNIGQRLYREIRKTEVVQMKKGKPRGSQVFSNTTYQWETVATNFDEFLDVSEKLFTSKNRTEVSVGKKVKIDMLPEIEKVHKRKEKLMKKQHRQALLLDNFLGVDGLALGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPEAIPRREPGSKPEALSNGKWSGPSPSHDPQDLNFGTLSPKSPDFDDMEEDNQADILDLDRSNRRRQKPKRYSEKEFVEALSDNEADYDSDDDIVGEAVYSEEYLQKRKQRRKASSSSGSEGDEEYNWNEDNVEDEEEDDEDSMSVSEESDKPRKFKRLAGRTRRETKLRSVGEIQSGLRRSKRATQKRINYRQLEMSDSETEFVKPDKSNASDDHSDPSEENGDYMMESEDSDGNDDEEQETKDVEPVTYHPAEGNNNQYPAVEENDEQSKIQPPEKSSSPGQEEVEGTTKRRFLDLNELAPSSGFDDAPNTTTTKDEGNDDL >Vigun01g220100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39393402:39397649:1 gene:Vigun01g220100.v1.2 transcript:Vigun01g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSLSHESSRASPYPSSSSRVGRSALRESEENVKEWEDARCPVCMEHPHNAVLLICSSHEKGCRPYMCNTSYRHSNCLDQFRKSFSDTTPTIAQVESQISNTVDSIIEVPEDRNEEVTVQSGINVPEDISEVTVQSRIDVPEDRSEGLVTMQALSCDNEAKSKLVCPLCRGQIKEWKVVEAARHFMNEKSRSCSCETCNFSGTYPDLRKHARLEHPLERPSAVDPERQRNWRRLERQRDLGDLLSTLQTSFGVDDPIDDGGLLAVFFLILQPASVSRGTTLQMRIRRPATLWGKIMMDSLDLMMQTNLQMEALIIDLIVVGDTGLLRRDLQIIHHTGLLFSHPFLPFQMLINTRRILIVYQYI >Vigun05g102500.1.v1.2 pep primary_assembly:ASM411807v1:5:10258052:10261712:1 gene:Vigun05g102500.v1.2 transcript:Vigun05g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLKRRKKRGEAEEEERMRKSFKDSLKALEADIQFANTLASEYRSGGASFQMRLSYSPAAQFFLFLVQWTDCHLAGALGLLRILIYKAYEDGKTTISIYERKASLKEFYGVVFPSLLQLHRGITDVEDRKQKHLCATKYKLKDLTSKGKLSEADIEREEECGICLEMNSMVVLPNCYHSMCMECYQDWHERSQSCPFCRDSLKRVNPDDLWIYISSNEINDLASINKENLKRLVMYIESLPLIARSYIHHLISSPLVIAN >Vigun05g102500.2.v1.2 pep primary_assembly:ASM411807v1:5:10258052:10261712:1 gene:Vigun05g102500.v1.2 transcript:Vigun05g102500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLKRRKKRGEAEEEERMRKSFKDSLKALEADIQFANTLASEYRSGGASFQMRLSYSPAAQFFLFLVQWTDCHLAGALGLLRILIYKAYEDGKTTISIYERKASLKEFYGVVFPSLLQLHRGITDVEDRKQKHLCATKYKLKDLTSKGKLSEADIEREEECGICLEMNSMVVLPNCYHSMCMECYQDCLKRVNPDDLWIYISSNEINDLASINKENLKRLVMYIESLPLIARSYIHHLISSPLVIAN >Vigun10g146100.2.v1.2 pep primary_assembly:ASM411807v1:10:36410829:36427496:1 gene:Vigun10g146100.v1.2 transcript:Vigun10g146100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSRGKAKGEKKKKEEKVLPVVIDITVKLLDETHVLKGISTDRIIDVRRLLSVNTDTCYVTNFSLSHEVRGPRLKDTVDVSALKPCLLTLVEEEYNEERAVEHVRRLLDIVACTTSFGPSPPPKNAAGTVTKSGKSEIPPAKDAAVTVADVDGEISHSCPKLENFYEFFSLSHLTAPIQYVKKGSRRRVEEISEADYLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAFDDLLKAFSERNKFGNLPYGFRANTWLVPPVAAQSPSSFPPLPVEDETWGGNGGSLAKDGKYDLIPWAKEFSVIASMPCKTAEERQVRDRKAFLLHSLFVDVSIFRAIRAVKHVMEEPNFSCSVVENNIVYTERVGDLSIKVLENGSVASSKIDTKIDGVEATGVNQKDLIERNLLKGITADENTAAHDITTLGVVNVRYCGYVVVVKVEGGVRENVDSPSQQTIELFDQPEGGANALNINSLRLLLHNTTLPENNKPMIQIKTFESEETGASHSFVEKLIKESLAKLEEEEPGMDYFVRWELGACWMQHLQDQNNNTEKDKKPSLEKAKNEMKVEGLGKPLKALKNFKKKSDSSNITSASEYPKFSRESESPPLPSIESQHETTEAENELVLKRMLSEEAFTRFKETGTGLHCKSMHDLIDLSQKYYKDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISSVNKEKMASSIAGALNFLLGVPGKRDSDKSHEVHPLVWKWLEVFLKKRFDWDLHRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPIPFHKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRSKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDAKGRDAATKRRGQITKVRATSYLNLGMSSSDESSKEIPKEASDEEVQIPVAEGSADSEQESNSGPDSEHTILKQIPDEKPQIYDEILSEAHAEGEDGWQPVQRPRSTGLYGRRLKQRRATLGKVYSYQKNVEVGTESPFVRNASPNSRYYFMKKRTISHGGYTDDHTGNITQGPKFGRKVVKAVTYRVKSIPSTSKASANETLETGDKLFTSLSEPDPIDVNPVKNSIVSLGKSPSYKEVALAPPGTISKFQVYNPPSEISVSSEHDSGKAEEEDIEANRDANPTPAEANDMNKEKNNNSVSDSVDDSQDDTGVTTEKKEETQLIVAVQDDCMSADGKLGDVEAQGATDNSILIQAVDDHVDSTKKELDASNSSGSLEPSDSTNPISQGGEDLRVNVSSSNQSHTGGIPYKKLSASAAPFNPSPAIARAPPIAINMTLPSGPSAVPAIGPWPVNMNVHPGPTTVLPAVTPMCSSPHHAYPSPPTTPNMMQPLPFMYPPYTQPQSVPPSNFPVSSNAFHANHFTWQCNLNPAVSKFGPGAVWPGCHPMEFPLPLPIVEPIPDPISESQVLCHGSESPSSASVLPEDIDNVGDSNQLVKTLTSDTSEDEAVRAGSESLKENDDMNLHGTENSGNKQNQNIGSNGNSGSTETNVDGEKTFSILIRGRRNRKQTLRMPISLLTRPNGSQSFKVIYNRVVRGSHASKSINLSSSKDCTATA >Vigun10g146100.3.v1.2 pep primary_assembly:ASM411807v1:10:36417444:36427483:1 gene:Vigun10g146100.v1.2 transcript:Vigun10g146100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIKTFESEETGASHSFVEKLIKESLAKLEEEEPGMDYFVRWELGACWMQHLQDQNNNTEKDKKPSLEKAKNEMKVEGLGKPLKALKNFKKKSDSSNITSASEYPKFSRESESPPLPSIESQHETTEAENELVLKRMLSEEAFTRFKETGTGLHCKSMHDLIDLSQKYYKDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISSVNKEKMASSIAGALNFLLGVPGKRDSDKSHEVHPLVWKWLEVFLKKRFDWDLHRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPIPFHKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRSKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDAKGRDAATKRRGQITKVRATSYLNLGMSSSDESSKEIPKEASDEEVQIPVAEGSADSEQESNSGPDSEHTILKQIPDEKPQIYDEILSEAHAEGEDGWQPVQRPRSTGLYGRRLKQRRATLGKVYSYQKNVEVGTESPFVRNASPNSRYYFMKKRTISHGGYTDDHTGNITQGPKFGRKVVKAVTYRVKSIPSTSKASANETLETGDKLFTSLSEPDPIDVNPVKNSIVSLGKSPSYKEVALAPPGTISKFQVYNPPSEISVSSEHDSGKAEEEDIEANRDANPTPAEANDMNKEKNNNSVSDSVDDSQDDTGVTTEKKEETQLIVAVQDDCMSADGKLGDVEAQGATDNSILIQAVDDHVDSTKKELDASNSSGSLEPSDSTNPISQGGEDLRVNVSSSNQSHTGGIPYKKLSASAAPFNPSPAIARAPPIAINMTLPSGPSAVPAIGPWPVNMNVHPGPTTVLPAVTPMCSSPHHAYPSPPTTPNMMQPLPFMYPPYTQPQSVPPSNFPVSSNAFHANHFTWQCNLNPAVSKFGPGAVWPGCHPMEFPLPLPIVEPIPDPISESQVLCHGSESPSSASVLPEDIDNVGDSNQLVKTLTSDTSEDEAVRAGSESLKENDDMNLHGTENSGNKQNQNIGSNGNSGSTETNVDGEKTFSILIRGRRNRKQTLRMPISLLTRPNGSQSFKVIYNRVVRGSHASKSINLSSSKDCTATA >Vigun10g146100.1.v1.2 pep primary_assembly:ASM411807v1:10:36410915:36427483:1 gene:Vigun10g146100.v1.2 transcript:Vigun10g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSRGKAKGEKKKKEEKVLPVVIDITVKLLDETHVLKGISTDRIIDVRRLLSVNTDTCYVTNFSLSHEVRGPRLKDTVDVSALKPCLLTLVEEEYNEERAVEHVRRLLDIVACTTSFGPSPPPKNAAGTVTKSGKSEIPPAKDAAVTVADVDGEISHSCPKLENFYEFFSLSHLTAPIQYVKKGSRRRVEEISEADYLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAFDDLLKAFSERNKFGNLPYGFRANTWLVPPVAAQSPSSFPPLPVEDETWGGNGGSLAKDGKYDLIPWAKEFSVIASMPCKTAEERQVRDRKAFLLHSLFVDVSIFRAIRAVKHVMEEPNFSCSVVENNIVYTERVGDLSIKVLENGSVASSKIDTKIDGVEATGVNQKDLIERNLLKGITADENTAAHDITTLGVVNVRYCGYVVVVKVEGGVRENVDSPSQQTIELFDQPEGGANALNINSLRLLLHNTTLPENNKPMIQIKTFESEETGASHSFVEKLIKESLAKLEEEEPGMDYFVRWELGACWMQHLQDQNNNTEKDKKPSLEKAKNEMKVEGLGKPLKALKNFKKKSDSSNITSASEYPKFSRESESPPLPSIESQHETTEAENELVLKRMLSEEAFTRFKETGTGLHCKSMHDLIDLSQKYYKDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISSVNKEKMASSIAGALNFLLGVPGKRDSDKSHEVHPLVWKWLEVFLKKRFDWDLHRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPIPFHKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRSKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDAKGRDAATKRRGQITKVRATSYLNLGMSSSDESSKEIPKEASDEEVQIPVAEGSADSEQESNSGPDSEHTILKQIPDEKPQIYDEILSEAHAEGEDGWQPVQRPRSTGLYGRRLKQRRATLGKVYSYQKNVEVGTESPFVRNASPNSRYYFMKKRTISHGGYTDDHTGNITQGPKFGRKVVKAVTYRVKSIPSTSKASANETLETGDKLFTSLSEPDPIDVNPVKNSIVSLGKSPSYKEVALAPPGTISKFQVYNPPSEISVSSEHDSGKAEEEDIEANRDANPTPAEANDMNKEKNNNSVSDSVDDSQDDTGVTTEKKEETQLIVAVQDDCMSADGKLGDVEAQGATDNSILIQAVDDHVDSTKKELDASNSSGSLEPSDSTNPISQGGEDLRVNVSSSNQSHTGGIPYKKLSASAAPFNPSPAIARAPPIAINMTLPSGPSAVPAIGPWPVNMNVHPGPTTVLPAVTPMCSSPHHAYPSPPTTPNMMQPLPFMYPPYTQPQSVPPSNFPVSSNAFHANHFTWQCNLNPAVSKFGPGAVWPGCHPMEFPLPLPIVEPIPDPISESQVLCHGSESPSSASVLPEDIDNVGDSNQLVKTLTSDTSEDEAVRAGSESLKENDDMNLHGTENSGNKQNQNIGSNGNSGSTETNVDGEKTFSILIRGRRNRKQTLRMPISLLTRPNGSQSFKVIYNRVVRGSHASKSINLSSSKDCTATA >Vigun10g146100.4.v1.2 pep primary_assembly:ASM411807v1:10:36410915:36428214:1 gene:Vigun10g146100.v1.2 transcript:Vigun10g146100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSRGKAKGEKKKKEEKVLPVVIDITVKLLDETHVLKGISTDRIIDVRRLLSVNTDTCYVTNFSLSHEVRGPRLKDTVDVSALKPCLLTLVEEEYNEERAVEHVRRLLDIVACTTSFGPSPPPKNAAGTVTKSGKSEIPPAKDAAVTVADVDGEISHSCPKLENFYEFFSLSHLTAPIQYVKKGSRRRVEEISEADYLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAFDDLLKAFSERNKFGNLPYGFRANTWLVPPVAAQSPSSFPPLPVEDETWGGNGGSLAKDGKYDLIPWAKEFSVIASMPCKTAEERQVRDRKAFLLHSLFVDVSIFRAIRAVKHVMEEPNFSCSVVENNIVYTERVGDLSIKVLENGSVASSKIDTKIDGVEATGVNQKDLIERNLLKGITADENTAAHDITTLGVVNVRYCGYVVVVKVEGGVRENVDSPSQQTIELFDQPEGGANALNINSLRLLLHNTTLPENNKPMIQIKTFESEETGASHSFVEKLIKESLAKLEEEEPGMDYFVRWELGACWMQHLQDQNNNTEKDKKPSLEKAKNEMKVEGLGKPLKALKNFKKKSDSSNITSASEYPKFSRESESPPLPSIESQHETTEAENELVLKRMLSEEAFTRFKETGTGLHCKSMHDLIDLSQKYYKDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISSVNKEKMASSIAGALNFLLGVPGKRDSDKSHEVHPLVWKWLEVFLKKRFDWDLHRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPIPFHKSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRSKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDAKGRDAATKRRGQITKVRATSYLNLGMSSSDESSKEIPKEASDEEVQIPVAEGSADSEQESNSGPDSEHTILKQIPDEKPQIYDEILSEAHAEGEDGWQPVQRPRSTGLYGRRLKQRRATLGKVYSYQKNVEVGTESPFVRNASPNSRYYFMKKRTISHGGYTDDHTGNITQGPKFGRKVVKAVTYRVKSIPSTSKASANETLETGDKLFTSLSEPDPIDVNPVKNSIVSLGKSPSYKEVALAPPGTISKFQVYNPPSEISVSSEHDSGKAEEEDIEANRDANPTPAEANDMNKEKNNNSVSDSVDDSQDDTGVTTEKKEETQLIVAVQDDCMSADGKLGDVEAQGATDNSILIQAVDDHVDSTKKELDASNSSGSLEPSDSTNPISQGGEDLRVNVSSSNQSHTGGIPYKKLSASAAPFNPSPAIARAPPIAINMTLPSGPSAVPAIGPWPVNMNVHPGPTTVLPAVTPMCSSPHHAYPSPPTTPNMMQPLPFMYPPYTQPQSVPPSNFPVSSNAFHANHFTWQCNLNPAVSKFGPGAVWPGCHPMEFPLPLPIVEPIPDPISESQVLCHGSESPSSASVLPEDIDNVGDSNQLVKTLTSDTSEDEAVRAGSESLKENDDMNLHGTENSGNKQNQNIGSNGNSGSTETNVDGEKTFSILIRGRRNRKQTLRMPISLLTRPNGSQSFKVIYNRVVRGSHASKSINLSSSKDCTATA >VigunL059641.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:36122:36552:-1 gene:VigunL059641.v1.2 transcript:VigunL059641.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun01g202200.1.v1.2 pep primary_assembly:ASM411807v1:1:37835879:37837702:1 gene:Vigun01g202200.v1.2 transcript:Vigun01g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVLRTKRGLVKPWKETPLTTLDLSVIDRLPVLRCNAQTLHVFKHGPQATRVIKEALSKALVPYYPLAGRLKESQLGCLQIECSGDGVWYVEASSDCTLHSLNFFDDVHSIPYHHLLPDAVPETQPLVQMQVTQFACGGFVIGLIFCHSICDGLGAAQFLNAVGELARNLEKPSIEPVWHRNFFPSSQITALPPTPLAPPEMPEYKLHHANIDMPLDQINRLKREFQQVTGLNCSTFEIVAAACWASRTRAVRFEPNTELKLVFFANCRQLLDPALPNGFYGNCFFPVTMTASCESLRNATTVGVVKLIQEAKAKLGVEFDRYLKGEHLKNGEDPFAPPLTYTTLFVSEWGRLGFNHVDYQWGPPVHVVPIQGSSIIPVAIVGSMPLPSKGIRLMTWCVEEPHRVPFLHEMHGVMNEGLSM >Vigun04g181100.1.v1.2 pep primary_assembly:ASM411807v1:4:40517591:40519090:-1 gene:Vigun04g181100.v1.2 transcript:Vigun04g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNETFPDLGKNCQHRDCNRLDFLPYICDGCQRVFCSEHRSYKSHACPKSDLSRKVIVCQTCSMSIETTGYVGQDEDAILEKHHKSGNCDPTRKKKPICPVKGCKEILSISNTSTCKNCHLKVCLKHRFPSDHACTRGVSASSSSAANGGGKSFLSCFCFKNRTEKA >Vigun07g264500.2.v1.2 pep primary_assembly:ASM411807v1:7:38019033:38022903:-1 gene:Vigun07g264500.v1.2 transcript:Vigun07g264500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDACSPSSNGGFGPASASGQLPSNGGYGSLYSALSNDNLPSLSANCSNGGGVSLYPYSESATQYDSCVIQKHQDMVNRQSMCLNRLVETSKEVDALRQENGQLRAANKELQKQLHLVIQASLETQFAAAGSGSSGQTQPTLFDVLHGFRSLNIGEGKENYADWNNNSNHNHNNIMNNNNNKDLQEVSDESPTSVMENNVVEVERFSLPKSISVRSNGYLKMAQSAALATNNNATRNKGATRPRATATPPEAVKVYVRGGQKEEEPLEMVVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKAVVSQPKPRTMKLER >Vigun07g264500.1.v1.2 pep primary_assembly:ASM411807v1:7:38019033:38022903:-1 gene:Vigun07g264500.v1.2 transcript:Vigun07g264500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDACSPSSNGGFGPASASGQLPSNGGYGSLYSALSNDNLPSLSANCSNGGGVSLYPYSESATQYDSCVIQKHQDMVNRQSMCLNRLVETSKEVDALRQENGQLRAANKELQKQLHLVIQASLETQFAAAGSGSSGQTQPTLFDVLHGFRSLNIGEGKENYADWNNNSNHNHNNIMNNNNNKDLQEVSDESPTSVMENNVVEVERFSLPKSISVRSNGYLKMAQSAALATNNNATRNKGATRPRATATPPEAVQKVYVRGGQKEEEPLEMVVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKAVVSQPKPRTMKLER >Vigun07g073400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9345953:9346677:1 gene:Vigun07g073400.v1.2 transcript:Vigun07g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYFVGRIQKGLSVFVGRRPAFSYFSEDGDPNEAPGGDVMEGYFAVLAMKGKERKRFIVGLDYLSDPAFLGLLDRAQEEYGFRHQGALALPCRPQELQKILDAPKP >Vigun09g107900.2.v1.2 pep primary_assembly:ASM411807v1:9:22340433:22343178:-1 gene:Vigun09g107900.v1.2 transcript:Vigun09g107900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFARVQKELVECSKDSEGSGIKVSQKSDDLVQLIGTIPGPIGTPYDGGTFQIDITLPEGYPFEPPKMQFKTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLLCKHFSLPSA >Vigun09g107900.1.v1.2 pep primary_assembly:ASM411807v1:9:22340433:22343607:-1 gene:Vigun09g107900.v1.2 transcript:Vigun09g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFARVQKELVECSKDSEGSGIKVSQKSDDLVQLIGTIPGPIGTPYDGGTFQIDITLPEGYPFEPPKMQFKTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLLCKHFSLPSA >Vigun03g430400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63473212:63474627:1 gene:Vigun03g430400.v1.2 transcript:Vigun03g430400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISEEDIQLNVMEKTMPLKLYFIHFLAAGHMIPLCDIATLFASRGHHVTIITTPFNALTLRKSLPSHPFLRLHTVQFPSLEVALPDGIENISSVTDADQLANVFHATTTLQTPIQNFVEENPPDCIVADFLFPWVDDLAKNLKIPRLAFNGFSLFTICVLHSSHYSNSLLPHPITLNASPPKELTQFLHKMLETELRSYGLIVNNFAELDGEEYIRYYEKTTGHKAWHLGPVSLISRTPEEKAERGMKSVVSIHECVSWLDSKAENSVVYICFGSLCHFPDKQLYEIACGIEASGHGFIWVVPEKKGKEKERQEEKEKWMPEGFEERNAEKGMVIRGWAPQLVILNHRAIGAFLSHCGWNSIVEAVSGGVPMITYCPNPFRFDRESSY >Vigun01g237800.1.v1.2 pep primary_assembly:ASM411807v1:1:40919147:40925674:-1 gene:Vigun01g237800.v1.2 transcript:Vigun01g237800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKGGKKSRTQRKHFQESRENVWNSKRPRSDSDPFPFSDRFATQNLAFDHYYKEQGIVSSQEWDEFEQLLRTPLPAAFRINSTSRFCADIRSQLENDFVHSLQAEVVEGDDTEAILPLPWYPDNLAWHSNFSRKQLRKNQTLGRFHEFLKIENEIGNITRQEAVSMVPPLFLDVHSNHFVLDMCAAPGSKTFQLLEILHHSTKVGSLPDGMVIANDLDIQRCNLLIHQTKRMCTANLIVTNHEAQHFPGCLLEKHYDKMELDQEGQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNTGTGNGLHNLQVLIAMRGVSLLKVGGRMIYSTCSMNPIENEAVVAEVLRRCGGSIELVDVSSELSQLTRRPGLKRWKVCDRGKWFISSKDVPKLRRNVVLPSMFPNGGSYQDVGDSNSNVIIEGDINTDDVKGHSEDDIQAMENPAMLEFTEEDSDFPLERCMRFVPHDQNTGAFFIAVLQKVSALPAIQVKPRKEVGKQVDTANQGNEDSHELHVNPLESIPEGISEANIDENEPNETDLKVNSVACEEADFKEAQEPCSVEIITKNTPGKRKLQFQGKWRGIDPVVFFKDEGVIDSIKAFYGIDDQFPFNGHLVTRNSDTSHVKRIYYISKSVKDVLELNFSVGQQLKITSVGLKVFERQTSCEGRSAQCSFRITSEGLPLILPHISKQILCASAIDFKHLLQYRAVKFADFVDAKFGENAANLMPGCCVIVLGEGSRAGTGTLQVDESTVAIGCWKGRASLTVMVGALECQELLQRLLMRLDTQTEKDSSMHEDQPSGTKGDEAQVENGKIEDVGVTGC >Vigun08g026400.1.v1.2 pep primary_assembly:ASM411807v1:8:2337536:2347860:1 gene:Vigun08g026400.v1.2 transcript:Vigun08g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAHPLSIFTQPHYWRPLLCCHYFKRTLTAGTNFSSLTTLARTDKSQEGGTTTVKLSIKARRKAFYESPEGVLLRKLNQCSNEGDVVQALCHYDEARKLGVVLNLDHYNNLLYLCSIENADVAQMGLKRGFEIFQQMLNDRVQPNEATFTNAARLAAAKEDPDMAFELLKQMKNVAIAPRLRSYEPALFGFCKRGDAEKAYLVDADMIESGIVAEEPELSALLEVSVKTKKEDRVYEILHRLRATVRQVSESTSLIVQDWFNSEYAAKIGKEKWDVNKVREGILQGGGGWHGQGWLGSGRWKMVETQVNEDGVCVSCGEKLVSIDIDPKETETFAASLSKLACQKEAKENFVHFQKWLERHGPFDAVVDGANVGLSNGHNFSFSRLNTVVKQLRQISPSKRLPLIILHASRVYGGPAQNPKNRRLIENWKKNGALYATPQGSNDDWYWLYAAVSCKCLLLTNDEMRDHLFQLLGSSFFPRWKEKHQVRALTSTSGGSLIMPPCYSIVIQESANGSWHVPTVTSDDPEIPRKWLCATRSKNNSLHRYGHPPPSLTDCT >Vigun06g048500.3.v1.2 pep primary_assembly:ASM411807v1:6:17273876:17275772:-1 gene:Vigun06g048500.v1.2 transcript:Vigun06g048500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHGPKPSEVYGFLGSIITTVVATVIFFIWACVPESWQQSAGISYYPRVPTYVMVAILLMLGFYIGHNFISTPSPAHLNAVFDEVSRNPSSSECSLEDEKPIDPISDIGLDRINDIIFINPMLSRWELLPNKCC >Vigun06g048500.6.v1.2 pep primary_assembly:ASM411807v1:6:17273876:17275711:-1 gene:Vigun06g048500.v1.2 transcript:Vigun06g048500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHGPKPSEVYGFLGSIITTVVATVIFFIWACVPESWQQSAGISYYPRVPTYVMVAILLMLGFYIGHNFISTPSPAHLNAVFDEVSRNPSSSECSLEDEKPIDPISDIGLDRINDIIFINPMLSRWELLPNKCC >Vigun06g048500.4.v1.2 pep primary_assembly:ASM411807v1:6:17273126:17275772:-1 gene:Vigun06g048500.v1.2 transcript:Vigun06g048500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHGPKPSEVYGFLGSIITTVVATVIFFIWACVPESWQQSAGISYYPRVPTYVMVAILLMLGFYIGHNFISTPSPAHLNAVFDEVSRNPSSSECSLEDEKPIDPISDIGLDRINDIIFINPMLSRWELLPNKCC >Vigun06g048500.2.v1.2 pep primary_assembly:ASM411807v1:6:17273126:17275721:-1 gene:Vigun06g048500.v1.2 transcript:Vigun06g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHGPKPSEVYGFLGSIITTVVATVIFFIWACVPESWQQSAGISYYPRVPTYVMVAILLMLGFYIGHNFISTPSPAHLNAVFDEVSRNPSSSECSLEDEKPIDPISDIGLDRINDIIFINPMLSRWELLPNKCC >Vigun06g048500.5.v1.2 pep primary_assembly:ASM411807v1:6:17273126:17275738:-1 gene:Vigun06g048500.v1.2 transcript:Vigun06g048500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHGPKPSEVYGFLGSIITTVVATVIFFIWACVPESWQQSAGISYYPRVPTYVMVAILLMLGFYIGHNFISTPSPAHLNAVFDEVSRNPSSSECSLEDEKPIDPISDIGLDRINDIIFINPMLSRWELLPNKCC >Vigun05g155866.1.v1.2 pep primary_assembly:ASM411807v1:5:25277939:25279707:1 gene:Vigun05g155866.v1.2 transcript:Vigun05g155866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFIGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKTALC >Vigun06g042800.1.v1.2 pep primary_assembly:ASM411807v1:6:16642242:16643546:1 gene:Vigun06g042800.v1.2 transcript:Vigun06g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLHNWSSSEYKELVLIYSEGSKSNILGLRHNQNRVLLRLRNPFCDSGATRELLVEVTAKKGNTREGFYFWAVKVTTGRLDDTRNHNGFNVCYNKYWSELCGKESCFYEVIRKVGNRNSEEKVNWEFSHWYSAGGGTRIAYSVIIECDKTSGLKAELKGPFKCLNLEKEPESEAALTLQKMEKEPRKEAERKFVESKLEGREHILLAQGSEQRATVVNNIVNSGTFTGSGNGSKYEDCKIDMRSDFKSSP >Vigun05g148800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21435649:21437412:-1 gene:Vigun05g148800.v1.2 transcript:Vigun05g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIHVAIVTSPGYTHLVPIIEFSKRLINHHPNFHVTCIVPSLGPPPESSKAYLETLPSNIQTILLPPISKDQLPQDVHPVFLIQLTTTLSLPSIYKVLKSLCSKASLTALVVDVFAFKALEFAKEFNTLSYLYFPSSAMTLSLLAHAPKLDEEVSGEFRDLSEPIKFPGCVPIMGVDLPDPAQNRASEIYKHFLERAKAIVTADGVLINTFLEMEPGAVRALEEFGNGRFKLYPVGPITQKGSVNEVDESNKCLRWLDKQPPWSVLYVSFGSGGTLSQHQMNELALGLELSGQRFLWVLRAPNNSASAAYLEAAKEDPLQFLPSGFLERTKEKGLVVASWAPQVQILGHSSVGGFLSHCGWNSTLESVQEGVPLITWPLFAEQKMTAVMLTDGLKVALRPKFNEDGIAEKEEIAKVIKCLMEGEEGAGMRGRMRNLRDSAANALKDGSSTQTLSQLAKHWESFSGI >Vigun07g201000.1.v1.2 pep primary_assembly:ASM411807v1:7:32196102:32200793:1 gene:Vigun07g201000.v1.2 transcript:Vigun07g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKWKKAKVALGLNLCMFVPRTLDNDSPPHTVVSERLSDAALLSPASWNTSSSRPTTPLSSFHGLKLSKSGSKSSKQTCSICLTKMKQGSGHAIFTAECSHSFHFHCIASNVKHGNQICPVCRAKWKEIPLSGPSLDPIPGRVSPSPINWPQNDALMAVVHRLPLPHPHRDLNRRHVVPLYQASEPGIFDDDESLNHQHVFSERSPCIENTEDTEAARAIEIKTFPEVSAAPGSKTHSNFTVLVHLKAATAADTAAVKRQNLSRNQANLAQISQTPRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLCRMTDSGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRKEKNPVASIILLSDGQDNYTVNGSGTNQPRPNYQFLLPTSISGGDSSGFQIPVHAFGFGADHDASLMHSISEISGGTFSFIETEAVLQDAFAQCIGGLLSVVVQELQVGIECIHPNLSLVSLKAGSYPTRVVGDGRKGSIEVGDLYADEERDFLVSVNVPAASGNETSLIKVKCVYKDPLTQETATIESEEVKIKRSEISGQVVMSLEVDRQRNRLQAAEAMAQARVAAERGDLSGAILILENFRKMLSETVSAKSNDRLCVALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDRSSLVQAYQTPSMAEMLTRSQATLLGSPSGQRLLQPLLSVRAQPSPR >Vigun05g193900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37591706:37594872:1 gene:Vigun05g193900.v1.2 transcript:Vigun05g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSLLTILFSLFTFFSFNLVPSFASPPSYQDHCASTVPHSTPTTKLSNNPFPLSDHHYGFYKGGDTIIDVGASWNSFSFHLSKRSTRATQNPNLFKLQGTVSFRSANTFSDGSYYVGQRRYRKGYVSFKLEGFWHEPSGKVCMVGIGSGYSKKGDSLNVNAVFKLNDVFNVSNITTLVSGSLENLSSEKGDDNSFEPISVLMLPNEKYNYTLDSAEVVNEFSDGSDADADQGLALNLDSLSFCKSPITWVLRNLQLQYSLECHDSKNCSISGSSGKLPPLMSLTTIGCSQTTKKHRLRVLVEFQDINYYLINQSFDPKTMLVGEGWWDEKNNMLSVVACHFMGKSSSLVETHVGDCSVRLRLRFPSIWSIKNTSTIVGQIWSNKSAIDSGYFKMVTFRNDDGRGVGIHGLKYEFSELERVNKSCPRPTHKPNDKGKRYPEAYSYNMRFDMSVKESKKRVAWGYSEPIAVDDEISEFSQYTSESFIISNEVPDGTIDINNGSLFNISYKISLSVLTPSVNKNSVFNLSSERVKINAEGVYDAGAGTLCMVGCRELNPKNETPVAHSTDCDILLNIQFPPLDSSDRSYIKGSIESMRKESDPLYFKRLDISAVSYYRQAARRNLWRMDMEVIMALISTTLACVFVGLQLYKVKREPNVLPFISLIMMSILTLGHMVPLVLNFEALLTQNPNNNRRWIFGNNPWLEVNEVSVRLVTMVAFLLQFRLLYLTWSAKKSAESKIAERNTAYVTLLLYAAGFLVAFLLKLKKNGDKDSVYVPMYNQPSSWENIKSYGGFVLDGFLLPQIILNLFSNMSGNVLSCSFYFGTTFVRLLPHAYDLYRTHTDDPLGNGSYYYADPSEDFYSTAWDIAIPLGGFFFAVIIFLQQRFGAHYVLPQRFKRVYQKVPVVTDSEAEVETTNK >Vigun05g253200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44778867:44780035:-1 gene:Vigun05g253200.v1.2 transcript:Vigun05g253200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFRQYTFDDSFEQVFVSNKFLKEYQIALTFATDYDDDDRPTNGIFRPTWDLQKVTPEAIERFKEKARSMDVDVKVFISIGNRGTHQPFKSLDNNTWIANATESLAHLVQSEELNLHVDGIDVLYEEIDASPGDFIQCVGTLIRNLKENGVITEASISPTFNLNTEFYSRLYSSVPFFVDFVDYQFQKEVNFVMNPSVLLQRYNELTKVYPRRKLLAGYSAENEDWATLSPIVFFLGGMDILKKRRAPGISIHYHNFSAQSSPPQSTP >Vigun04g182500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40674118:40676356:-1 gene:Vigun04g182500.v1.2 transcript:Vigun04g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFAIELYLDPALENQVLKAWNILARRQITTHLIEMESRPHITLFSAPFLEPSKLESLLRTFASKHDPLFLSFSSVGTFPNHADNLLFLAPTPSLSLLQFQSHLCDAIRKEGIEISDDFAFNSWIPYCSVAHHVPKNRMPEAFSLLRELKLPVSGYATDIALVQFSPVRELFSSVLGNNVES >Vigun11g225700.2.v1.2 pep primary_assembly:ASM411807v1:11:41594371:41604484:-1 gene:Vigun11g225700.v1.2 transcript:Vigun11g225700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNGNSRRPNVEDQDLGLYFLEACRGREMKEKEKEKEEEEEEEEEAPRELNTINSSGGFVVVSTDKLSVKYTSVNLHGHDVGVIQANKPAPTKRLIYYFEIHVKDAGVKGQISIGFTSETFKMRRQPGWEANSCGYHGDDGLLYRGHGKGEAFGPTYTSGDVVGAGINYAAQEFFFTKNGQVVGSVYKDLKGPLFPTIAVHSQNEEVNVNFGQKPFTFDLKEFEAQERMKQQLKIEEISVPPNVSYGIVRSYLLHYGYEDTLNSFDVASKSTVPPIYIAQESGIDEQEITYALNHRKTLRQLIRNGDIDVAFGKLREWYPQIVEDNTSATCFLLHCQKFIELVRVGALEEAVRYGRIELSSFYDLPVFKDLVQDCVALLAYERPLESSVGYLLKDSQREVVADTVNAMILSTNPNMKESKHCLQSYLERLLRQLTACCLERRALNGEQGEAFQLQRLLSSSRRG >Vigun11g225700.1.v1.2 pep primary_assembly:ASM411807v1:11:41594372:41604484:-1 gene:Vigun11g225700.v1.2 transcript:Vigun11g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNGNSRRPNVEDQDLGLYFLEACRGREMKEKEKEKEEEEEEEEEAPRELNTINSSGGFVVVSTDKLSVKYTSVNLHGHDVGVIQANKPAPTKRLIYYFEIHVKDAGVKGQISIGFTSETFKMRRQPGWEANSCGYHGDDGLLYRGHGKGEAFGPTYTSGDVVGAGINYAAQEFFFTKNGQVVGSVYKDLKGPLFPTIAVHSQNEEVNVNFGQKPFTFDLKEFEAQERMKQQLKIEEISVPPNVSYGRIVRSYLLHYGYEDTLNSFDVASKSTVPPIYIAQESGIDEQEITYALNHRKTLRQLIRNGDIDVAFGKLREWYPQIVEDNTSATCFLLHCQKFIELVRVGALEEAVRYGRIELSSFYDLPVFKDLVQDCVALLAYERPLESSVGYLLKDSQREVVADTVNAMILSTNPNMKESKHCLQSYLERLLRQLTACCLERRALNGEQGEAFQLQRLLSSSRRG >Vigun07g010900.1.v1.2 pep primary_assembly:ASM411807v1:7:949300:951110:1 gene:Vigun07g010900.v1.2 transcript:Vigun07g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSATGCVGSSFYGSWGTSIVGEDYTVLAKSVPSQVRLGRRKPVRLQPMMKNVNEGKGIFAPLVVITRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADGKQRQGLIRLAKKNGEWLGFLA >Vigun02g148700.5.v1.2 pep primary_assembly:ASM411807v1:2:29607154:29622027:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRFSRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERTLNIAKTVIKEKSHVYSKTDHIIRLSKDGKLDEVAHANSVSDLYNAIGEDFWSSTWCNSAAFEGKQLEGTRITLVKTGQHVFDFAIRTPCTPARWDDYDAEMAMAWEALCNAYCGENHGSTDFDALANVRDAILRMTYYW >Vigun02g148700.3.v1.2 pep primary_assembly:ASM411807v1:2:29607477:29622027:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRFSRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERTLNIAKTVIKEKSHVYSKTDHIIRLSKDGKLDEVAHANSVSDLYNAIGEDFWSSTWCNSAAFEGKQLEGTRITLVKTGQHVFDFAIRTPCTPARWDDYDAEMAMAWEALCNAYCGENHGSTDFDALANVRDAILRMTYYWYNFMPLSRGSAVVGFAVMLGLFLAANMEFTGSIPQGCQVDWEAILSLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVAALSSSDDCTP >Vigun02g148700.1.v1.2 pep primary_assembly:ASM411807v1:2:29607154:29622079:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRFSRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERTLNIAKTVIKEKSHVYSKTDHIIRLSKDGKLDEVAHANSVSDLYNAIGEDFWSSTWCNSAAFEGKQLEGTRITLVKTGQHVFDFAIRTPCTPARWDDYDAEMAMAWEALCNAYCGENHGSTDFDALANVRDAILRMTYYWYNFMPLSRGSAVVGFAVMLGLFLAANMEFTGSIPQGCQVDWEAILSLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVAALSSSDDCTP >Vigun02g148700.6.v1.2 pep primary_assembly:ASM411807v1:2:29607154:29622027:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRFSRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERSRMQILSLIFIMLLVRISGHLRGATVLHLKGNNLREQG >Vigun02g148700.2.v1.2 pep primary_assembly:ASM411807v1:2:29607154:29622027:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRFSRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERTLNIAKTVIKEKSHVYSKTDHIIRLSKDGKLDEVAHANSVSDLYNAIGEDFWSSTWCNSAAFEGKQLEGTRITLVKTGQHVFDFAIRTPCTPARWDDYDAEMAMAWEALCNAYCGENHGSTDFDALANVRDAILRMTYYWYNFMPLSRGSAVVGFAVMLGLFLAANMEFTGSIPQGCQVDWEAILSLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVAALSSSDDCTP >Vigun02g148700.4.v1.2 pep primary_assembly:ASM411807v1:2:29607154:29622027:-1 gene:Vigun02g148700.v1.2 transcript:Vigun02g148700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSQRAQLARLCSSKDWSRAIRILDSIVSQSGAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPARLQAYILKGRAVSALGRQADALLVWEQGYEHAQHQSADLKLLLELEELLTTAKQGNIALCETNGSPTSQSESDALINGSLTEFGENQDRLSAEAELCDNTSDKSAILPKSADDFDLRNEFCSKDRESNKSDSQVNGSPDVIDKLSYNSESCNDSSDTSESCDKDRVFTSRGESSSDSSEIAEILRKPSSKFIFPHEKNGDARKNKKFCVARISKTKSISVDFGLSRGIAEVNEGKYARAITIFDQILKEEPAYPEALIGRGTAYAFKRELDAAIDDFSKAIQFNPSAGEAWKRRGQARAALGEFVEAVEDLTKALEFETNSADILHERGIVNFKFKEFNAAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEDAHLKSLQIDRNFLEAWAHLTQFYQDISEPTKAQECLSQMLQIDGRAYHLRGLLFHAMGQHRKAINDLSMGLSVDGANVECLYLRASCYHALGQYKEAVKDYDAALDLELESMDKFVLQCLAFYQKEIALYTASKFNGEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLRKGKLRKQELVLTKQKGALIQAADFIGKKIQYDCPGFLPNRRQHRMAGFAAIEIAQKVSKAWRALQTEWKYSNKNNSNSKNRRRARRRERINMPSQNRGGAGCSTSSTSETSPSHGIVDDRSSSCSISWQDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFANCERTLNIAKTVIKEKSHVYSKTDHIIRLSKDGKLDEVAHANSVSDLYNAIGEDFWSSTWCNSAAFEGKQLEGTRITLVKTGQHVFDFAIRTPCTPARWDDYDAEMAMAWEALCNAYCGENHGSTDFDALANVRDAILRMTYYWYNFMPLSRGSAVVGFAVMLGLFLAANMEFTGSIPQGCQVDWEAILSLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVAALSSSDDCTP >Vigun09g217000.2.v1.2 pep primary_assembly:ASM411807v1:9:39087811:39089867:1 gene:Vigun09g217000.v1.2 transcript:Vigun09g217000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRTRTLSVTISSLFMFSFVCFVKGSLSFNFYAASCPSAELIIRDTVSSFSSTDPSIPGKLLRMVFHDCFVEGCDASLMLQGNNTEQSDPGNRSVGGFSVIDSAKTVLEKFCPGTVSCADIIAIAARDAVAIAGGPRIMIPTGRRDGMVSLASNVRPNIVDTSFSMDEMLQLFATKGLSLLDLVVLSGAHTIGTAHCSSFRDRFEEDSMGKLRLSDKTLDSDYAEVLMEQCPAGVQPSAKVNNDPQTSLLFDNIYYQNLLAHKGLFQSDSVLISNDSTRMFVEDFANDQDLFFQNWGQSFLKLTSVGVKSGDVGEIRLSCASTNA >Vigun09g217000.1.v1.2 pep primary_assembly:ASM411807v1:9:39087908:39089760:1 gene:Vigun09g217000.v1.2 transcript:Vigun09g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLYHYQVEDSANSMHCPRSTKNWFYGDKNKNSFPSCPSAELIIRDTVSSFSSTDPSIPGKLLRMVFHDCFVEGCDASLMLQGNNTEQSDPGNRSVGGFSVIDSAKTVLEKFCPGTVSCADIIAIAARDAVAIAGGPRIMIPTGRRDGMVSLASNVRPNIVDTSFSMDEMLQLFATKGLSLLDLVVLSGAHTIGTAHCSSFRDRFEEDSMGKLRLSDKTLDSDYAEVLMEQCPAGVQPSAKVNNDPQTSLLFDNIYYQNLLAHKGLFQSDSVLISNDSTRMFVEDFANDQDLFFQNWGQSFLKLTSVGVKSGDVGEIRLSCASTNA >Vigun11g128000.1.v1.2 pep primary_assembly:ASM411807v1:11:33537365:33542327:1 gene:Vigun11g128000.v1.2 transcript:Vigun11g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVDEDNKGNYAKAFQLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGPSGPPSSGDAAVATRPKTKPKDGAGGDGEDPEQAKLRAGLNSAIVREKPDVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTEVLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTESDFEHLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFFKNPEGMWIPCGPKQQSAIQITMQELAAKGLASQILPPPISRTDFDKVLARQRPTVSKTDLDVHERFTKEFGEEG >Vigun03g297500.1.v1.2 pep primary_assembly:ASM411807v1:3:48430796:48450596:-1 gene:Vigun03g297500.v1.2 transcript:Vigun03g297500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFALLFILGLFKHAFSEEGYCSAPSGLSTEAKSKPLYWKVDNPTLSPVHLIDLPGFTRSVYKTTHALISPESHVYGPLPDWSDTTGAYLISPEMGSHFLMYLAKLKDNSRSGLPLPGVERFIFVLQGTVTITNATGVSQLLQVDSYAYFPPNFEHSIESDALGTIVVFERRYSPLANHIPEPLVGSTDKHPLLETPGEIFELRKLIPTSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFIPQWYAALGKTRTRYLIYKDANRSPL >Vigun05g155900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25333074:25333574:-1 gene:Vigun05g155900.v1.2 transcript:Vigun05g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRGFNLRKRLIRVSKWVFRKIRVRSGPGYHRLGNSPRSSIAKLLSWGRKLTAAAKSFFPVSVGSGYAQLGSGSVVGSDRDPTVPKGHLAVYVGQKDGELHRVLVPVIYFNHPLFGELLKEAEEEFGFHHDGGITIPCRFTEFERVKTRIASGSRRSNRPKRFA >Vigun04g057600.1.v1.2 pep primary_assembly:ASM411807v1:4:5670400:5672763:-1 gene:Vigun04g057600.v1.2 transcript:Vigun04g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQDQLEIRFRLSDDSDIGPKRYAAATSIATLKESVLAQWPKDKDYGPRTVKDLKLISAGKILENNRTVGECQSPLCDLPGGVTTMHVVVQPPSVEKDRKVASEAQQNKCVCVIL >Vigun09g144500.1.v1.2 pep primary_assembly:ASM411807v1:9:30729870:30733470:-1 gene:Vigun09g144500.v1.2 transcript:Vigun09g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRKIHTFAEVANHNKTKDCWLVISGKVYDVTPFMEEHPGGDEVLLSATGKDATNDFEDVGHSDTARDMMAKYYIGEIDSSTVPLKRTYAPPQQVLYSSDKTSDVVIKILQFLVPLLILGLAFVVRHYTKKE >Vigun09g144500.2.v1.2 pep primary_assembly:ASM411807v1:9:30729870:30733139:-1 gene:Vigun09g144500.v1.2 transcript:Vigun09g144500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRKIHTFAEVANHNKTKDCWLVISGKVYDVTPFMEEHPGGDEVLLSATGKDATNDFEDVGHSDTARDMMAKYYIGEIDSSTVPLKRTYAPPQQVLYSSDKTSDVVIKILQFLVPLLILGLAFVVRHYTKKE >Vigun03g257000.1.v1.2 pep primary_assembly:ASM411807v1:3:42312454:42317021:-1 gene:Vigun03g257000.v1.2 transcript:Vigun03g257000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKMLEMIGRKLKKNSVALDIVNFGEEDEGKNEKLEALLSAVNNNDTSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASKQEKGDEQKASPQDTTMTEGASAGASEANNKKTDLTDDENALLQQALAMSMDDPAISHDVRDTDMSEAAADDPELALALQLSVEDSSKDSASQSDVSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEPQQKNEDKPPNEEEKK >Vigun09g192600.3.v1.2 pep primary_assembly:ASM411807v1:9:36759873:36763824:-1 gene:Vigun09g192600.v1.2 transcript:Vigun09g192600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIKNTMMRVKSSGQDVSSKPKIDSLALKKKIMSSSKHPLVSKMKSVTTVTKSEVKSKPITPSSASSKTITTSSTSSKTIRKTTTSKVREKKVYSLPGQKHDPPEQKEPLRIFYESLSKQIPTSEMAEFWLMEHGLLSPDKAKKAFEKKQRKQKELRTGTPVKISKPPTKTATSQKQQQQQISKNGDIKAKKRIVNESDDDDDFILSHKRRKW >Vigun09g192600.2.v1.2 pep primary_assembly:ASM411807v1:9:36759873:36763824:-1 gene:Vigun09g192600.v1.2 transcript:Vigun09g192600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIKNTMMRVKSSGQDVSSKPKIDSLALKKKIMSSSKHPLVSKMKSVTTVTKSEVKSKPITPSSASSKTITTSSTSSKTIRKTTTSKVREKKVYSLPGQKHDPPEQKEPLRIFYESLSKQIPTSEMAEFWLMEHGLLSPDKAKKAFEKKQRKQKELRTGTPVKISKPPTKTATSQKQQQQQISKNGDIKAKKRIVNESDDDDDFILSHKRRKW >Vigun04g110000.6.v1.2 pep primary_assembly:ASM411807v1:4:27505427:27510413:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun04g110000.3.v1.2 pep primary_assembly:ASM411807v1:4:27505580:27510436:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun04g110000.1.v1.2 pep primary_assembly:ASM411807v1:4:27504623:27510499:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun04g110000.4.v1.2 pep primary_assembly:ASM411807v1:4:27504053:27510435:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun04g110000.2.v1.2 pep primary_assembly:ASM411807v1:4:27503745:27510656:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun04g110000.5.v1.2 pep primary_assembly:ASM411807v1:4:27505427:27510413:1 gene:Vigun04g110000.v1.2 transcript:Vigun04g110000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGEKNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEERLHMTEKEYKILKDRVMNRLRNLKIPLLKQWKMWIQEIRP >Vigun02g056600.2.v1.2 pep primary_assembly:ASM411807v1:2:20050867:20055309:1 gene:Vigun02g056600.v1.2 transcript:Vigun02g056600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFIPGSFTCTCLSCNQRGNYTSNGFLRVSCNGGLNQMRAAICDMVTVARFLNLTLVVPELDKTSFWADPSNFEDIFDVKHFIDSLRDEVRIVKRVPKKFSGKHGYSTLEMPPVSWSNEKYYLEQILPLFGKHKVLHFNKTDSRLANNGLPLDLQKLRCRVNYQALKFTPQIENLGHKLIQMLHEKGPFVALHLRYEMDMLAFSGCTHGCTDKEAEELKQLRYAFPWWKEKEIISEERRAQGLCPLTPEEAALVLRALGFGRETQIYIAAGEIYGSERRLAQLRSVYPRIVKKETLLTWDELRQFQNHSSQMAALDFMVSVASNTFVPTYDGNMAKLVEGHRRYSGFKKTILLDRKKVVELVDMHQNGTLSWIQFADAVRVVHERRIGQPTRRRVILDKPKEEDYFYANPHECLCEQTNCDDLLGPHNSSKVSS >Vigun02g056600.3.v1.2 pep primary_assembly:ASM411807v1:2:20049559:20055309:1 gene:Vigun02g056600.v1.2 transcript:Vigun02g056600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFIPGSFTCTCLSCNQRGNYTSNGFLRVSCNGGLNQMRAAICDMVTVARFLNLTLVVPELDKTSFWADPSNFEDIFDVKHFIDSLRDEVRIVKRVPKKFSGKHGYSTLEMPPVSWSNEKYYLEQILPLFGKHKVLHFNKTDSRLANNGLPLDLQKLRCRVNYQALKFTPQIENLGHKLIQMLHEKGPFVALHLRYEMDMLAFSGCTHGCTDKEAEELKQLRYAFPWWKEKEIISEERRAQGLCPLTPEEAALVLRALGFGRETQIYIAAGEIYGSERRLAQLRSVYPRIVKKETLLTWDELRQFQNHSSQMAALDFMVSVASNTFVPTYDGNMAKLVEGHRRYSGFKKTILLDRKKVVELVDMHQNGTLSWIQFADAVRVVHERRIGQPTRRRVILDKPKEEDYFYANPHECLCEQTNCDDLLGPHNSSKVSS >Vigun02g056600.1.v1.2 pep primary_assembly:ASM411807v1:2:20049559:20055309:1 gene:Vigun02g056600.v1.2 transcript:Vigun02g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGIQVRCDKFPGPVIPRTRLRIWFIRVCSSIVLWTCLVQLVTVSELWHSHLISGITNGIYHIAQVQLPVEGDNGVAQSPPAFLPRRNYTSNGFLRVSCNGGLNQMRAAICDMVTVARFLNLTLVVPELDKTSFWADPSNFEDIFDVKHFIDSLRDEVRIVKRVPKKFSGKHGYSTLEMPPVSWSNEKYYLEQILPLFGKHKVLHFNKTDSRLANNGLPLDLQKLRCRVNYQALKFTPQIENLGHKLIQMLHEKGPFVALHLRYEMDMLAFSGCTHGCTDKEAEELKQLRYAFPWWKEKEIISEERRAQGLCPLTPEEAALVLRALGFGRETQIYIAAGEIYGSERRLAQLRSVYPRIVKKETLLTWDELRQFQNHSSQMAALDFMVSVASNTFVPTYDGNMAKLVEGHRRYSGFKKTILLDRKKVVELVDMHQNGTLSWIQFADAVRVVHERRIGQPTRRRVILDKPKEEDYFYANPHECLCEQTNCDDLLGPHNSSKVSS >Vigun03g153400.9.v1.2 pep primary_assembly:ASM411807v1:3:16215731:16220608:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.5.v1.2 pep primary_assembly:ASM411807v1:3:16212498:16220567:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQASYVK >Vigun03g153400.6.v1.2 pep primary_assembly:ASM411807v1:3:16215650:16220608:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.7.v1.2 pep primary_assembly:ASM411807v1:3:16212498:16220567:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVLIQYKDPEQRSSVAIATGIMDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.8.v1.2 pep primary_assembly:ASM411807v1:3:16212441:16220782:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.2.v1.2 pep primary_assembly:ASM411807v1:3:16212441:16220782:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.10.v1.2 pep primary_assembly:ASM411807v1:3:16212498:16220567:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSDDSFLVSALPQSIRNQIRMKEGVKEKLWETGRALNDTRSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAVNASRYLTKKVSKAWKSWR >Vigun03g153400.11.v1.2 pep primary_assembly:ASM411807v1:3:16215650:16220567:1 gene:Vigun03g153400.v1.2 transcript:Vigun03g153400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNATFRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGVGVHFNPFVSWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSYTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQMILFWFLHFLNQSETKSE >Vigun05g008800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:737460:738357:-1 gene:Vigun05g008800.v1.2 transcript:Vigun05g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKMSVVCAVVVLALFLIDVGPVAEAVTCTPTELSPCAAAISSSSPPSGACCTKLKEQKPCLCGYIKNPSLRQYVNSPGAIKVLSSCGVTKPKC >Vigun09g093966.1.v1.2 pep primary_assembly:ASM411807v1:9:13694626:13695295:1 gene:Vigun09g093966.v1.2 transcript:Vigun09g093966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCFMPLPFPSFIRKSRTMSVALLWCAPCKFPEEEIHA >Vigun02g196200.1.v1.2 pep primary_assembly:ASM411807v1:2:33149917:33154926:1 gene:Vigun02g196200.v1.2 transcript:Vigun02g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNIVSSSSGRPDLSISELREKHELEIENLTLTAQPFKTLKFFTLALIQCIKKTTLYLLAKGGWVMLFSVAVGTFGIVLMTLGGLQEKHLEELVEYFRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKSAPYDTIQLKRGPSWLDKDCSEFGPPLFQSVYGSQVPLSSILPQVQLEAILWGMGTAIGELPPYFISRAASLSGSRVDAIEEIDSDDKGVLNRIKCWFLSHSQHLNFVTILILASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAIIKTHIQTLFIISVCNNQLLNWIENEFIWVLSHIPGFASVLPRVTASLRAMKDKYLKAPNPVSPNKQGKKWDFSFSSVWNTIVWLMLMNFFVKIVNATAQSHLKKQQEKHISELTEKSTPTDSGVQ >Vigun03g047900.2.v1.2 pep primary_assembly:ASM411807v1:3:3812104:3819321:-1 gene:Vigun03g047900.v1.2 transcript:Vigun03g047900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGVSSRRLASLTNSPSQNKKKASEYSSLDLTPRKTFPSPRTVGERTVKSLRLSKALTVPETTTVYEACRRMAARKVDALLLTDSNALLCGILTDKDITTRVIAREVNLEETTVSKVMTRNPVFVLSDTLAVEALQKMVQGRFRHLPVVDNGEVVAILDIAKCLYDAIARMERAAEKGRAIAAAVEGTNSTFFESLREQIFKPSLSTIIPENSKVVTVSPTDSVLAATKKMLELRASCAVVTVNDKPCGILTSKDILMRVIAQSLPPEFTLVEKAMTPNPECAIIDTPIVDALHTMHDGKFLHLPIVDRDGTVVATVDVIHITHAAVATASQVGNSAGFNNEAANTMIQKFWDSAMALVPNGEDDDSQSEASLKMVSEGGEIGRSLSYIGSSMTNAFSFKLQDRKGRLHRFTCDTRSMTDVITCIIHRIGDDIDPTNLPQILYEDEENDKIVLASDSDLAAAVDHAKAAGLKGLRLHLDYSGTRDYGNDSSSMSWKYGNSESWATAYSTFAAGAAIVAALGLMAFVRRS >Vigun03g047900.1.v1.2 pep primary_assembly:ASM411807v1:3:3812104:3819321:-1 gene:Vigun03g047900.v1.2 transcript:Vigun03g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGVSSRRLASLTNSPSQNKKKASEYSSLDLTPRKTFPSPRTVGERTVKSLRLSKALTVPETTTVYEACRRMAARKVDALLLTDSNALLCGILTDKDITTRVIAREVNLEETTVSKVMTRNPVFVLSDTLAVEALQKMVQGRFRHLPVVDNGEVVAILDIAKCLYDAIARMERAAEKGRAIAAAVEGIEKHWGTPTSGTNSTFFESLREQIFKPSLSTIIPENSKVVTVSPTDSVLAATKKMLELRASCAVVTVNDKPCGILTSKDILMRVIAQSLPPEFTLVEKAMTPNPECAIIDTPIVDALHTMHDGKFLHLPIVDRDGTVVATVDVIHITHAAVATASQVGNSAGFNNEAANTMIQKFWDSAMALVPNGEDDDSQSEASLKMVSEGGEIGRSLSYIGSSMTNAFSFKLQDRKGRLHRFTCDTRSMTDVITCIIHRIGDDIDPTNLPQILYEDEENDKIVLASDSDLAAAVDHAKAAGLKGLRLHLDYSGTRDYGNDSSSMSWKYGNSESWATAYSTFAAGAAIVAALGLMAFVRRS >Vigun03g047900.3.v1.2 pep primary_assembly:ASM411807v1:3:3812104:3819321:-1 gene:Vigun03g047900.v1.2 transcript:Vigun03g047900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGVSSRRLASLTNSPSQNKKKASEYSSLDLTPRKTFPSPRTVGERTVKSLRLSKALTVPETTTVYEACRRMAARKVDALLLTDSNALLCGILTDKDITTRVIAREVNLEETTVSKVMTRNPVFVLSDTLAVEALQKMVQGWQNTERGRFRHLPVVDNGEVVAILDIAKCLYDAIARMERAAEKGRAIAAAVEGIEKHWGTPTSGTNSTFFESLREQIFKPSLSTIIPENSKVVTVSPTDSVLAATKKMLELRASCAVVTVNDKPCGILTSKDILMRVIAQSLPPEFTLVEKAMTPNPECAIIDTPIVDALHTMHDGKFLHLPIVDRDGTVVATVDVIHITHAAVATASQVGNSAGFNNEAANTMIQKFWDSAMALVPNGEDDDSQSEASLKMVSEGGEIGRSLSYIGSSMTNAFSFKLQDRKGRLHRFTCDTRSMTDVITCIIHRIGDDIDPTNLPQILYEDEENDKIVLASDSDLAAAVDHAKAAGLKGLRLHLDYSGTRDYGNDSSSMSWKYGNSESWATAYSTFAAGAAIVAALGLMAFVRRS >Vigun11g203700.1.v1.2 pep primary_assembly:ASM411807v1:11:40111882:40115895:1 gene:Vigun11g203700.v1.2 transcript:Vigun11g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCGVGSIVWVRRRNGSWWPGQILGPDDLSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESAQGGPLKKREKYARREDAILHALELEKQILKKQGRSGGRPSNAFKKGVVASPPETLGNDNENHASSFMYCESESAGGFFPPEMAKDGNQLRGEVDYSETTPRMRDLQDFGLRIAPAKKKLPSFVEPNMYQKRTVDDGARALASGGIRAGSNLHINGAGQIGASRAKRSRCVYFPTESSDSLDYRETLPRVEISPSQRRREFPYHGSMVGETEYTFMDEVESDSSETACSDSDSDSSETEPDLDEEMTIFSETGHDAEEHESTSSEELDELANSSDMPHLYPRDLITNNEAVSKWQLKGKRNNRNLVKRSVGASDGKCNMYGAGADVEGKSSHLRHNINSPSLHRYKFDFGDNFDDDDQNFGLEDEYPQSSRSISRSQSKVHRGVAWNDVAWDDHLPSKRHWDGKAYSPLYADRYQFGGRVRPMLVDVDLKVQASYRKECVPFISLMSKLDGRAIVGHPIQVEALRDGSSDILFPTIDDFSNDVTGIEGSSVLPPAWRTARRTANFRIPRPHAPSSNGAEGAAEFPSSDQEQSFEYKSVNAGSSSHQASLQKRSGLKSHRSSADKKSLKKMPKKLSLSSCQKTRTLSSLSTEHNFSRKPLHDSSSYQTDRLTKPDISGSTTVACIPVQLVFSRLLEKINRPPLKTPSNLALLNTGVDRNS >Vigun11g203700.2.v1.2 pep primary_assembly:ASM411807v1:11:40112387:40115895:1 gene:Vigun11g203700.v1.2 transcript:Vigun11g203700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCESESAGGFFPPEMAKDGNQLRGEVDYSETTPRMRDLQDFGLRIAPAKKKLPSFVEPNMYQKRTVDDGARALASGGIRAGSNLHINGAGQIGASRAKRSRCVYFPTESSDSLDYRETLPRVEISPSQRRREFPYHGSMVGETEYTFMDEVESDSSETACSDSDSDSSETEPDLDEEMTIFSETGHDAEEHESTSSEELDELANSSDMPHLYPRDLITNNEAVSKWQLKGKRNNRNLVKRSVGASDGKCNMYGAGADVEGKSSHLRHNINSPSLHRYKFDFGDNFDDDDQNFGLEDEYPQSSRSISRSQSKVHRGVAWNDVAWDDHLPSKRHWDGKAYSPLYADRYQFGGRVRPMLVDVDLKVQASYRKECVPFISLMSKLDGRAIVGHPIQVEALRDGSSDILFPTIDDFSNDVTGIEGSSVLPPAWRTARRTANFRIPRPHAPSSNGAEGAAEFPSSDQEQSFEYKSVNAGSSSHQASLQKRSGLKSHRSSADKKSLKKMPKKLSLSSCQKTRTLSSLSTEHNFSRKPLHDSSSYQTDRLTKPDISGSTTVACIPVQLVFSRLLEKINRPPLKTPSNLALLNTGVDRNS >Vigun05g112700.2.v1.2 pep primary_assembly:ASM411807v1:5:11840394:11843026:1 gene:Vigun05g112700.v1.2 transcript:Vigun05g112700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDLKTAAKNVNYWSGVTTLMPLFGGFIADSYMGRYNTVLASSIFYLMGLILLTLSWFLPSLKPCDDTDLCTKPRRIHEVVFFLAIYLVSFGTGGHKPSLESFGADQFDEDHDEERRQKMSFFNWWNCALCTGLILGVTLIVYIQDNINWGAADIIFTVIMVFSLIVFILGRPFYRYRVPTGSPLTPMLQVLVASFSKRKLPHPSDPAQLYEVPKSIGNNKRFLCHTNKLKFLDKAAILVNDGSFGEKQSPWNLATVTKVEEVKLIINMIPIWVSTIPFGICVAQTATFFVKQGTTLNRKIGNGFEIPPASIFTVSALGMVVSVAIYDKILVPVLRRVTQNERGINILQRIGFGMLFCIGTMIVAALVERKRLEAVERDPLKGSLTMSIFWLAPQFLIIGFGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAASFLSSVLITVVDHMTEKNGKSWFGKDLNSSRLDKFYWVLAAMSTLNLFLFAFLASRYSYKRVQKVAVADCYEDKSDYESVETKV >Vigun05g112700.1.v1.2 pep primary_assembly:ASM411807v1:5:11835163:11843026:1 gene:Vigun05g112700.v1.2 transcript:Vigun05g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDNVEVKSEEGDEKKWVNDSSVDHKGKVPLRASTGSWKAAFFIIAIETSERLSFFGIATSLVLYLTKVMHQDLKTAAKNVNYWSGVTTLMPLFGGFIADSYMGRYNTVLASSIFYLMGLILLTLSWFLPSLKPCDDTDLCTKPRRIHEVVFFLAIYLVSFGTGGHKPSLESFGADQFDEDHDEERRQKMSFFNWWNCALCTGLILGVTLIVYIQDNINWGAADIIFTVIMVFSLIVFILGRPFYRYRVPTGSPLTPMLQVLVASFSKRKLPHPSDPAQLYEVPKSIGNNKRFLCHTNKLKFLDKAAILVNDGSFGEKQSPWNLATVTKVEEVKLIINMIPIWVSTIPFGICVAQTATFFVKQGTTLNRKIGNGFEIPPASIFTVSALGMVVSVAIYDKILVPVLRRVTQNERGINILQRIGFGMLFCIGTMIVAALVERKRLEAVERDPLKGSLTMSIFWLAPQFLIIGFGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAASFLSSVLITVVDHMTEKNGKSWFGKDLNSSRLDKFYWVLAAMSTLNLFLFAFLASRYSYKRVQKVAVADCYEDKSDYESVETKV >VigunL059067.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000453.1:3500:4816:-1 gene:VigunL059067.v1.2 transcript:VigunL059067.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPAVKISARSNGERIRSADSTEGSPIPNSLHDLILLENQLPFFVLEQLYNLTGMNEKLLDITFDYFESGNVCPIESPKHFTDLIRSSIISSSELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTVMLIKWLQ >Vigun05g031201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2483183:2484700:1 gene:Vigun05g031201.v1.2 transcript:Vigun05g031201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGSINMGFNLTWQLPIDSGFTYMLRLHFCQLDPTVKYPGDLIFNIIIADQLASNRADVLVWTDNQKGVPVVKDYVVFIQGNLNKTNLSVKLHPRPTSRIKDAFLNAIEVFKISDPTGSLAGQNPNHPPQKPKVPLNISNNKSSVITKSREAILGAVAGVLLLCFTVVFFIIKCKKNIAVDSGSSKKGGTSRGGGSLSLPTNLCRYFSIAEIRAATNNFDELLVIGVGGFGNVYKGYIDDGSTCVAVKRLKRGSRQGINEFMNEIEMLSQLRHLHLVSLIGYCYESNEMILVYDFMERGTLRDHLYGTDNRSLPWEQRVEICIGVARGLHYLHTGVKQVIIHRDVKSTNILLDEKWVAKVSDFGLSRIGPTGISMSHVNTKVKGSIGYLDPEYYKRQRLTEKSDVYSFGVVLLEVLCGRQPLIHWEEKQRISVVKWAKHCYEKGCLCEIVDPTVKGRTAAQCLQKFSEIALSCLQEDGTERPSMKDVVGMLQFVLQLRFFFFF >VigunL059009.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000127.1:8637:9709:1 gene:VigunL059009.v1.2 transcript:VigunL059009.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCHVIIFISQNPNPNFPSTLHGSRRRQPRRPPLKLAGSSSNPASHLLRSATIAAPQRHHRDAIFFFPRAFSHDHRVALHSQLTPPPLPAITSRRASLQPPFSRQRDLREFVFFSPPPFAQPPLQQRTPPRRKRNATSAAPRRLHLHRIALHRSLLHHHANAGNTRWAPPQFAPRICTASKRTRLAANTTSSEKKPEQPPSAQPGRRV >Vigun08g223600.1.v1.2 pep primary_assembly:ASM411807v1:8:38118405:38121175:1 gene:Vigun08g223600.v1.2 transcript:Vigun08g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGLVQNCGFGSLWECGSASEEDSIEEVLAEGCWVETSVGGCEAQYMGSSEAEMEVEVMRKRWWIGPNKANSSVKDRLVVAVCCLRECTKNSNLMIQVWVPLRRGLVAGPEYLARNLDEWVAAEMNMNVNVNSARDVSVRFYRSQEYPRLPYYVELPGSLALPVFERGTDTCLGVIQILTPPCDTINYCPQLLNNNLLCNPFQAFEEVYEAAVNEIVEVMRCVCRAQNVALALTWAPCCIQQGGKCGGYGHSTENHLSTVERACFVGDAQVLGFKDASSLHHLLRGQGIVGTAFTTAKPCFAIDVAAFTRAQYPLSHHANIYGLHAAVAIPLRSLYTDFVVEFFLPKDCHEREHQNHFLNSISLLLQQSCRTLHVVMGDEFTLPPPPPPLPQPQQPYINNKEEMVMSEKSESQWQAEACGSWIAHMMEAQAQEQKAKGVCVSLEYLEEAKEEFKVTGKCRWESGSVGAYDAVELQEQQVFGDESQTQTQTQNFGGRRGRKSGEKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYNSFPELSSATSQSKNNNSSNNNSSSLYGHSPPSSSSPSTVPPQQQHLYFSTPNVSQTGLRVKATFGDEKIRFSLQPKWGFRDLEVEIGRRFNVKDVGKLVVKYLDDEGEWVVLACDGDLEECKDLHTTYESRTIRLALFQASP >Vigun10g178450.1.v1.2 pep primary_assembly:ASM411807v1:10:39671574:39672243:1 gene:Vigun10g178450.v1.2 transcript:Vigun10g178450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLPHLEMLVKIKSMKNDKKNLYVIDEEEEERKCCQDKLYFFLFLFILENIIIFN >Vigun04g158800.2.v1.2 pep primary_assembly:ASM411807v1:4:38077665:38079372:-1 gene:Vigun04g158800.v1.2 transcript:Vigun04g158800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHENSSIWFFLPIATLIILFLRTVINLLAKCNHNFSSSMARKTSPPSPPKLPIIGNLHQLGTLTHRTLHSFAQTYGPLMLLHFGKVPVLVVSTAEAAREVMKTHDLVFSNRPHRKIHGISAPYGKYWRQIRSICVLHLLSAKKVQSFGAVREEEISIMMEKVKQCCCSEMPVNLTELFCTVTNDIVCRAALGRRYSGREGRKFWGPMNEMTKLLGAPFIGDYITWLDWVGRVNGMYGRAKKAAKQLDEFFDEVVDEHVNRRGHDVHGDDDDDEEQNDLVDILLRIQKTNSMGFQIDKTIIKAIILDMFVAGTETSSTILGWIMTELLRNPSVMQKLKDEIRDVVGGRSHITEEDIGSMHYLKAVIKESFRLHTPVPLLLPRESMEDAKVMNYEIAAGTQIIVNAWAIARDPCHWEKAEEFEAERFLKSSIDVKRHDFEVIPFGAGRRGCPGIMFAMNVIELVIANLVHQFNWEVPSGVVGDQTLDITESAGLTSQRKFPLIAIASYHA >Vigun08g197800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36343352:36344257:-1 gene:Vigun08g197800.v1.2 transcript:Vigun08g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMLKAVGKKKVGNGDDRTSTMSDFLAKEENHSLYGEEFSMKMGESSLKRKEKEAESNDKPSKRIKTEEGCSSSRSNNDDLFRFRSTKRVSHFSHFQQSDDNPPKIMKKEKGCSDKKSKFEYLLDAVSLFSAYEEEQQKNKHDLRNNESVENEEEGHESDDALLPREFQEKIEELNGSEVKFVIEKTLFSTDVNPKHARLSIPPSKIANKFLSETEESSLNESIKENGRLVGLPVTVLDPSLNEYKMCLKKWNMEKTCIYNLTKGWNQIVRQNHLELHHTLHLWSFRVSSRLCFAIVKI >Vigun06g093000.3.v1.2 pep primary_assembly:ASM411807v1:6:22510110:22513474:1 gene:Vigun06g093000.v1.2 transcript:Vigun06g093000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVCIKKGYLPLMGVKFMGRLEHPTRFPMCVRSKTTSAQYVASRFRDPTFEKLMDNYKNLVKVISIQDLILANPKNQSVSIDFLSKLSQKLHLNRGATAFLRKFPHIFHIYYDHSKLKPFCRLTDAALGVTRQEAVAINASLPVVVERLVRILSMSASRMVPLRAVFKLCDGHEQNTHLLKLVDGVSRNGIRAAVEDWRVVECCKEDCSVDRTEMQFSFKQGYPPGMRLSKNFKAKVKEWQRLPYVGPYEVPGEKKKSKAGMMAMEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGRLIEPNPVYDARRRLLDLVVLGRRGLPAVNSKLEDSSSCHQARQEENQQRHDLSPS >Vigun06g093000.4.v1.2 pep primary_assembly:ASM411807v1:6:22510110:22513474:1 gene:Vigun06g093000.v1.2 transcript:Vigun06g093000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVCIKKGYLPLMGVKFMGRLEHPTRFPMCVRSKTTSAQYVASRFRDPTFEKLMDNYKNLVKVISIQDLILANPKNQSVSIDFLSKLSQKLHLNRGATAFLRKFPHIFHIYYDHSKLKPFCRLTDAALGVTRQEAVAINASLPVVVERLVRILSMSASRMVPLRAVFKLCDGHEQNTHLLKLVDGVSRNGIRAAVEDWRVVECCKEDCSVDRTEMQFSFKQGYPPGMRLSKNFKAKVKEWQRLPYVGPYEVPGEKKKSKAGMMAMEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGRLIEPNPVYDARRRLLDLVVLGRRGLPAVNSKLEDSSSCHQARQEENQQRHDLSPS >Vigun06g093000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22510110:22513474:1 gene:Vigun06g093000.v1.2 transcript:Vigun06g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVCIKKGYLPLMGVKFMGRLEHPTRFPMCVRSKTTSAQYVASRFRDPTFEKLMDNYKNLVKVISIQDLILANPKNQSVSIDFLSKLSQKLHLNRGATAFLRKFPHIFHIYYDHSKLKPFCRLTDAALGVTRQEAVAINASLPVVVERLVRILSMSASRMVPLRAVFKVWKELGLPDDFEDSVISANSGVFQLCDGHEQNTHLLKLVDGVSRNGIRAAVEDWRVVECCKEDCSVDRTEMQFSFKQGYPPGMRLSKNFKAKVKEWQRLPYVGPYEVPGEKKKSKAGMMAMEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGRLIEPNPVYDARRRLLDLVVLGRRGLPAVNSKLEDSSSCHQARQEENQQRHDLSPS >Vigun06g093000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22510110:22513474:1 gene:Vigun06g093000.v1.2 transcript:Vigun06g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVCIKKGYLPLMGVKFMGRLEHPTRFPMCVRSKTTSAQYVASRFRDPTFEKLMDNYKNLVKVISIQDLILANPKNQSVSIDFLSKLSQKLHLNRGATAFLRKFPHIFHIYYDHSKLKPFCRLTDAALGVTRQEAVAINASLPVVVERLVRILSMSASRMVPLRAVFKVWKELGLPDDFEDSVISANSGVFQLCDGHEQNTHLLKLVDGVSRNGIRAAVEDWRVVECCKEDCSVDRTEMQFSFKQGYPPGMRLSKNFKAKVKEWQRLPYVGPYEVPGEKKKSKAGMMAMEKRAVSIVHEFLSLTVEKMVEVEKISQFRKWFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGRLIEPNPVYDARRRLLDLVVLGRRGLPAVNSKLEDSSSCHQARQEENQQRHDLSPS >Vigun04g128400.1.v1.2 pep primary_assembly:ASM411807v1:4:32322669:32327364:1 gene:Vigun04g128400.v1.2 transcript:Vigun04g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVVGGGILARAVVQAYRQALNNAAKNGVAQETIQNTIRRASKGMTEQEARQILGVSAETPWEEVIKRYDSLFESNAKSGSFYLQSKVQRAKECLEEVQQGKSQDTPS >Vigun04g185700.2.v1.2 pep primary_assembly:ASM411807v1:4:41066021:41072602:1 gene:Vigun04g185700.v1.2 transcript:Vigun04g185700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISNIPDDILLYILSSLPTKEVVATSVLSKRWNPLWRSVPSFDFSICYENREETCDHFHSVYSFLRSRDRDQPLLRFRLNYFINCFNPTVHYSYRAIRDTESMIKTRIEDAVSGNTRVQHLDLCLDLYIVMPSVVFTFKTLVFLKLANIKVENIPFVDFPMLKILHLNRVLFSEGIDISQLLSGCPNLEDLEVKCILINAEIKVSSLTNLGNMAAKFLPFEIVKNVKVLSIDLFRSHDWIYEFQNLVQLKLDYLYIQNNWVEFLETLRHCPMLQTLAIGCVGKVSFGSSGQGHEEAVLPDPQSVPACISSHLKTCTLGCYRGEKKLEDYKIIPVRLGADWILCYKNYIEKVVSYVTKSTSSRLYPALQKASSSRLSESSSTLPMSFARILVQASELCFERKTISSGEMASPKRAFAKLPRPSIAVSPKREPAA >Vigun11g136600.2.v1.2 pep primary_assembly:ASM411807v1:11:34583809:34589673:-1 gene:Vigun11g136600.v1.2 transcript:Vigun11g136600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPKESPANNPGLHTTPDEATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDTSKAPSNPVDKTVWTFSQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGITVDDTVKACERFQNLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKRIGTVTQSSS >Vigun11g136600.1.v1.2 pep primary_assembly:ASM411807v1:11:34583809:34589659:-1 gene:Vigun11g136600.v1.2 transcript:Vigun11g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLLRLSRLRFIANSQPLLSPFSIPSYFPLTPKTKKPTRLRFFSMAAEPKESPANNPGLHTTPDEATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDTSKAPSNPVDKTVWTFSQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGITVDDTVKACERFQNLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKRIGTVTQSSS >Vigun06g031500.2.v1.2 pep primary_assembly:ASM411807v1:6:13720879:13721456:-1 gene:Vigun06g031500.v1.2 transcript:Vigun06g031500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTLFLLFLMVIQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKCL >Vigun07g133800.1.v1.2 pep primary_assembly:ASM411807v1:7:24350022:24354272:1 gene:Vigun07g133800.v1.2 transcript:Vigun07g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTFAFAASSPPLPVIAAARLAGISPSIDTSLSPDSAPTFLFSDGLKLRGTFALLRYIGRVASLPNFYGQNALESSQIDEWLEYAPILSSGPAFENACKYIDEYLEKRTFLVGYSLSIADIAIWAGLAGTGKRWESLRKSTKYTNLVRWFNSIVTEHGTALNEVTSAYVGKKGLGEPTANKSKDQSVITDRAKNVNGAVSEIQKGVSKPSAEIDLPDAEVGKVRVRFAPEPSGYLHIGHSKAALLNKYFAERYQGQVIVRFDDTNPAKESNEFVDNLLKDIDTLGIKYEEITYTSDYFPELMEMAEKLIRQGKAYVDDTPREQMQKERMDGIESKCRNNSVEENLKLWKEMIAGTERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPMPHHRIGSKYKVYPTYDFACPYVDAREGITHALRSSEYHDRNAQYYRIQEDMGLRKVLIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDARFPTVQGIVRRGLKIEALIQFIVEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIADKRVLLTLTDGPEKPFVRIIPRHKKYEAAGDKATTYTKRIWIDHVDAESISAGEEVTLMDWGNAIVKEIEKDQDGNITGLSGVLHLEGSVKTTKLKLTWLPEIDELVSLTLVEFDYLITKKKLEEGEDFIDVLNPCTKKETLAYGDSNMRNLQRGDVLQLERKGYFRCDVPFIRPSQPIVLYGIPDGRQQTGSK >Vigun01g233800.2.v1.2 pep primary_assembly:ASM411807v1:1:40550977:40555955:-1 gene:Vigun01g233800.v1.2 transcript:Vigun01g233800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPQFVSSRRMSVYDPIHQISMWGEGFKSNGNLSASMPLIDETDMKLDSQSEDASHGILGAPNKYDQEANKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELERARQQGMYIGGGLDSNHLGFAGSVNSGITTFETEYGHWVNEQNRQITELRSALNAHIGDIELRILVDGMMNHYAEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPLIEPLTEQQRLDIYNLGQSCQQAEDALSQGMDKLRQTLADSVAAGQFMEGTYIPQMTSAMEKLEALVSFVNQADHLRQGTLQQMSRILTIRQAARCLLALGEYFQRLRALSSLWSNRPREPA >Vigun01g233800.3.v1.2 pep primary_assembly:ASM411807v1:1:40551256:40555494:-1 gene:Vigun01g233800.v1.2 transcript:Vigun01g233800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPQFVSSRRMSVYDPIHQISMWGEGFKSNGNLSASMPLIDETDMKLDSQSEDASHGILGAPNKYDQEANKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELERARQQGMYIGGGLDSNHLGFAGSVNSGITTFETEYGHWVNEQNRQITELRSALNAHIGDIELRILVDGMMNHYAEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPLIEPLTEQQRLDIYNLGQSCQQAEDALSQGMDKLRQTLADSVAAGQFMEGTYIPQMTSAMEKLEALVSFVNQADHLRQGTLQQMSRILTIRQAARCLLALGEYFQRLRALSSLWSNRPREPA >Vigun01g233800.4.v1.2 pep primary_assembly:ASM411807v1:1:40551666:40555494:-1 gene:Vigun01g233800.v1.2 transcript:Vigun01g233800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPQFVSSRRMSVYDPIHQISMWGEGFKSNGNLSASMPLIDETDMKLDSQSEDASHGILGAPNKYDQEANKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELERARQQGMYIGGGLDSNHLGFAGSVNSGITTFETEYGHWVNEQNRQITELRSALNAHIGDIELRILVDGMMNHYAEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPLIEPLTEQQRLDIYNLGQSCQQAEDALSQGMDKLRQTLADSVAAGQFMEGTYIPQMTSAMEKLEALVSFVNQADHLRQGTLQQMSRILTIRQAARCLLALGEYFQRLRALSSLWSNRPREPA >Vigun01g233800.6.v1.2 pep primary_assembly:ASM411807v1:1:40549614:40555777:-1 gene:Vigun01g233800.v1.2 transcript:Vigun01g233800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPQFVSSRRMSVYDPIHQISMWGEGFKSNGNLSASMPLIDETDMKLDSQSEDASHGILGAPNKYDQEANKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELERARQQGMYIGGGLDSNHLGFAGSVNSGITTFETEYGHWVNEQNRQITELRSALNAHIGDIELRILVDGMMNHYAEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPLIEPLTEQQRLDIYNLGQSCQQAEDALSQGMDKLRQTLADSVAAGQFMEGTYIPQMTSAMEKLEALVSFVNQADHLRQGTLQQMSRILTIRQAARCLLALGEYFQRLRALSSLWSNRPREPA >Vigun01g233800.5.v1.2 pep primary_assembly:ASM411807v1:1:40549614:40555890:-1 gene:Vigun01g233800.v1.2 transcript:Vigun01g233800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPQFVSSRRMSVYDPIHQISMWGEGFKSNGNLSASMPLIDETDMKLDSQSEDASHGILGAPNKYDQEANKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLMQLEQELERARQQGMYIGGGLDSNHLGFAGSVNSGITTFETEYGHWVNEQNRQITELRSALNAHIGDIELRILVDGMMNHYAEIFRMKSAAAKADVFYVMSGMWKTTAERFFLWIGGFRPSELLKVLGPLIEPLTEQQRLDIYNLGQSCQQAEDALSQGMDKLRQTLADSVAAGQFMEGTYIPQMTSAMEKLEALVSFVNQADHLRQGTLQQMSRILTIRQAARCLLALGEYFQRLRALSSLWSNRPREPA >Vigun01g075500.1.v1.2 pep primary_assembly:ASM411807v1:1:21238994:21241442:-1 gene:Vigun01g075500.v1.2 transcript:Vigun01g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWEGSCFLLHCVRELFSNNITGKIPIELGSLRNLVSLELNLNKITGPIPEEIGNLKNLRFLRLNNNNLSGKIPMPLTNLYKLQVLFMNNPLLKFTSLQSPPAAASLPTPSEICLFLEIPGNAPMEQSINDLRAEMGVESSPSLGPTAKPTEEETKEGGSA >Vigun02g068400.1.v1.2 pep primary_assembly:ASM411807v1:2:21843798:21849542:-1 gene:Vigun02g068400.v1.2 transcript:Vigun02g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTPQGCVGGRLSSSKKKTRKRGRREGLRRRVTSRLCKGSLDKVDVAGLPDCSFANPTFQGTGSIEEAWFDSVAVFDSDCDDDYQSVPDDVVSLNGIEGGSALSFPSSRNANHGVSTDQVQKQELQTGHFANISEASRSSDVQYSGVDVIDSPREPVFLDEISSVDANSNKDDGLLDNCGILPNNCLPCLASTISSVEKRRSSSSSPPNARKKTATKVSFKWKEGHGNATLFSSKTLLQRPIAGSQVPFCPIEKKMLDCWSQIDAGTFKVRGVNYFKDKKKDFAPNYSAYYPFGVDVFLSPRKVDHIARFVELPVMSSSGKFPPILVVNVQVPLYPATLFQGETDGEGMSIVLYFKLSESYSKELPLPFQESIRRLMDDEVEKVKGFPVDTIAPFRERLKILGRVVNLEDLHLSAAERKLMQAYNEKPVLSRPQHEFFTGENYFEIDLDMHRFSYISRKGFEAFLDRLKICTLDVGLTIQGNKQEELPEHVLCCIRLNGIDYMNYQQLGLTQDPL >Vigun01g223100.1.v1.2 pep primary_assembly:ASM411807v1:1:39654318:39656982:1 gene:Vigun01g223100.v1.2 transcript:Vigun01g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKKKHRLLLMPSPLQGHITPLLHLAQILFSNGFSITILHTLFNSPDPTSYPHFSFHAIPDRLSESEAATLDAVHLTDLINIRCAQPLKEYLASLLSNSPQPLPCFISDAALHFTRPVCDEFKLPRLVLRTGGASSFHIFASFPLLREKGYLPVQESRLEEPVVDFPPLKVKDLPKFQSQDPEAFYKLVCRFVEECKASSGVIWNTFEELESSALTKLRQDFPIPIYPIGPFHKRLLTGSASSASLLTPDKSCMSWLDKQEHKSVVYVSFGSIASISEGEFLEIAWGLANSQQPFLWVIRPGLIRDSEWLEALPSGFLENLGGRGYIVKWAPQEQVLSHPAVGAFWTHNGWNSTLESICEGVPMICMPCFADQKVNAKFASSVWKVGVQIENKLERGEVEKTIKKIMVGDGAKEIKENALNLKEKASACLREGGSSHCFLDSLVSHILSVASSASRPH >Vigun04g180150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40419968:40420198:1 gene:Vigun04g180150.v1.2 transcript:Vigun04g180150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYVLVLCGCFSLFLSLNRQWQGTCSFYLFLFYLWQFSSVPLTKRGCEDCLRVWTRCIGRRQTSLAGGEATLSLV >Vigun03g303100.1.v1.2 pep primary_assembly:ASM411807v1:3:49278618:49282972:-1 gene:Vigun03g303100.v1.2 transcript:Vigun03g303100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIFQMFLLFSSCILLLHATTVTCTTYRVITVDINGGGHFRSVQAAVNAVPDNNTMNVVIQISPGYYIEKVVVPVTKPYVTFEGAGRDVTVIEWHDRASDPGPNGQQLRTYRTASVTVFANFFSAKNISFKNTSPAPMPGMEGWQAAAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCRLHSIATRFGSIAAQDRRSPHEKTGFAFVRCKVTGTGPIYVGRAMGQYSRIVYAYTYFDDIVAHGGWDDWNTSYNNKTVFFGVYKCWGPGAAAIRGVPLAQELDFESAHPFLVKSFVNGRHWIAPSDA >Vigun08g095000.2.v1.2 pep primary_assembly:ASM411807v1:8:22573348:22579611:-1 gene:Vigun08g095000.v1.2 transcript:Vigun08g095000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNSCLYIVVPLRRFSFLCRTMNPNCSKMRTSSFVQKKEKLGNNETLVFDFEGTLLRPNSLFPYFMLVAFEAGGILRSVILFLSYPLVWLVGEDQLGLNIMVFLCFFGIRKDTFRAGSAVLPKFFLEDIRGEGIEALMCYERKVATTQFPRIMVENFLKDYLGVEAVMAKELKCFSGFFMGVYENKNQNKVPSCEVNGGTTGKNINNSVDTVDRNHVEFIDQRQLHQESKEVCITDEKRNCDILSREKYPKPLIFHDGRLAFRPTLASSLVLFIWLPFGLFLCLLRFTIGITLPLNVSAPILAFTGTRTTFSRPQNTKSLIQNEEKEKGMLYVCNHKTLLDPLYVSIVLGKPLSTVTYSLSRLSETVSPIKTIRLTRDREKDREKMDKLLSLGNLVVCPEGTTCREPYLLRFSPLFAEITANIIPVAIDVKVSMFYGTTASGYKCLDPFFHFLNPNPTYTIKFLGMLPQSETCQGGGKSKIEVANFVQKEICKSLGFACTNLTRKDKYLVLADDRVRGTREQLMLM >Vigun08g095000.1.v1.2 pep primary_assembly:ASM411807v1:8:22577165:22579611:-1 gene:Vigun08g095000.v1.2 transcript:Vigun08g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNSCLYIVVPLRRFSFLCRTMNPNCSKMRTSSFVQKKEKLGNNETLVFDFEGTLLRPNSLFPYFMLVAFEAGGILRSVILFLSYPLVWLVGEDQLGLNIMVFLCFFGIRKDTFRAGSAVLPKFFLEDIRGEGIEALMCYERKVATTQFPRIMVENFLKDYLGVEAVMAKELKCFSGFFMGVYENKNQNKVPSCEVNGGTTGKNINNSVDTVDRNHVEFIDQRQLHQESKEVCITDEKRNCDILSREKYPKPLIFHDGRLAFRPTLASSLVLFIWLPFGLFLCLLRFTIGITLPLNVSAPILAFTGTRTTFSRPQNTKSLIQNEEKEKGMLYVCNHKTLLDPLYVSIVLGKPLSTVTYSLSRLSETVSPIKTIRLTRDREKDREKMDKLLSLGNLVVCPEGTTCREPYLLRFSPLFAEITANIIPVAIDVKVSMFYGTTASGYKCLDPFFHFLNPNPTYTIKFLGMLPQSETCQGGGKSKIEVANFVQKEICKSLGFACTNLTRKDKYLVLAGNEGISPRNNCHH >Vigun02g124400.1.v1.2 pep primary_assembly:ASM411807v1:2:27642607:27644750:1 gene:Vigun02g124400.v1.2 transcript:Vigun02g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun05g198150.1.v1.2 pep primary_assembly:ASM411807v1:5:38356198:38361483:1 gene:Vigun05g198150.v1.2 transcript:Vigun05g198150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSYTSYDKSGDQYKWLERDLASVDREVTPWLVATWHAPWYSTYKAHDREAECMRVEMEDLLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAITHADEPSTTTPDDFMGGFCAFNFTSGPAAGNFCWDYSAFRESSFGHGILEMKNETRALWIWHRNQYFYDSAGDEIYVVQSFLKRSNP >Vigun08g068301.1.v1.2 pep primary_assembly:ASM411807v1:8:10579989:10584070:-1 gene:Vigun08g068301.v1.2 transcript:Vigun08g068301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCDACGYRNSELKPGGRIPEKGKKITLSVKNVNDLSRDVIKSDTASVKVPELDLELASGTLGGIVTTVEGLITRIGESLERVHGFTFGDSFDENSRSKWIDFKTRLNKFLSLEEAWTLILDDALVNSFIAPATDDMKEDKQLAFEEYERSWEQNEELGLNDMDTSSAEVGSKTE >Vigun05g101700.1.v1.2 pep primary_assembly:ASM411807v1:5:10091715:10093487:1 gene:Vigun05g101700.v1.2 transcript:Vigun05g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILMKGHQRPLTFLSYNRDDNLLFSCAKDHNPTYCLVLRQRRTPRHLPWPHRCRLVLQRDFDRLITGRGDVVAAQYVFDEIPRLNAIHFCFPALALTVVSIGVVVATMTDLQFHFFGACVALEWIVPSAINKILWSRLQQLENWTALA >Vigun05g135640.1.v1.2 pep primary_assembly:ASM411807v1:5:16206795:16210689:1 gene:Vigun05g135640.v1.2 transcript:Vigun05g135640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTCGDGDCWSIVPSFKIFPLISLPSIYDWSSLECVPRCISLNLKTCLLKNYTGTNWEFEFAKYIMENAKFLKDMIICFDIQKVKLDMIEGLSSCSKLSPSCNLSFKQF >Vigun02g015500.1.v1.2 pep primary_assembly:ASM411807v1:2:5645199:5646808:1 gene:Vigun02g015500.v1.2 transcript:Vigun02g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAHLFGIIAFILLLIWLLHYREGIEYDSHNGFRVFNVHPFLMYSFIFLAGEAILAFQIIPSERKTRKIVHMTLHLVALVVGIIGLSAVFKFHDMGHIPNLYSLHSWIGIATFCLFGLQWVFGLVVFMLQAASASTRAKVLPWHKVGGRALMFMAVCAAETGLMEKTSFLTSKGLLKPHHRESNLINFTGLAILLFGVFVNFSIGF >Vigun02g150200.4.v1.2 pep primary_assembly:ASM411807v1:2:29717042:29719487:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.7.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719492:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGEKTVPAGGDLSLKKKELLSSAMKRTSEWIFSQEIPSDVNVRVGEDSFSLHKFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.5.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719487:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGEKTVPAGGDLSLKKKELLSSAMKRTSEWIFSQEIPSDVNVRVGEDSFSLHKVANQSKHDSLFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.9.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719520:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.10.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719520:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.1.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719519:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGEKTVPAGGDLSLKKKELLSSAMKRTSEWIFSQEIPSDVNVRVGEDSFSLHKFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.6.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719519:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGEKTVPAGGDLSLKKKELLSSAMKRTSEWIFSQEIPSDVNVRVGEDSFSLHKFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.8.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719520:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVSKCGYIRKLVSESNDADVSFIELSDVPGGAEAFELAAKFCYGINFEINVENIATLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKTIAGAVSVLHISETLLPIAERAKLVSRCIDAIAYIACKESQFCSSARSESGTEGVVSSVASNQKPIVDWWAEDMTVLRIDIFQRVIIAMMARGFKQYAIGPILMLYAQKSLRGLDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >Vigun02g150200.11.v1.2 pep primary_assembly:ASM411807v1:2:29716121:29719487:1 gene:Vigun02g150200.v1.2 transcript:Vigun02g150200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLYEDVFGKARKKIEPRQEHEKRVVLETIVSLLPREKNVISVSFLSMLLRAAIYLETTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRITTNYLESQTGNHLVHNVEDEYFSSPQSDIERVGKLMENYLAEIATDRNLPVPKFTSLAESIPEQSRPTEDGMYRAIDIYLKAHPAVSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGNGSGESSVDSKLNVYSSDLHPVSNELSTLRRENEDLKLELVKLKMRLKEIETKTLKSAVNSPAVSVSPSADKPPLPRRSFMSSVSKKLGRLSPFVRADGVAPFGKARTKPDKNRRHSIS >VigunL060337.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:19617:19778:1 gene:VigunL060337.v1.2 transcript:VigunL060337.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun11g202700.1.v1.2 pep primary_assembly:ASM411807v1:11:40053133:40055170:1 gene:Vigun11g202700.v1.2 transcript:Vigun11g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGKLVCVTGASGYIASWIVKFLLQRGYTVRATVRNPNDHRKVEHLLKLEGAEERLHLLKADLLTENSFDSIVEGCDGVFHTASPFFHNVKDPQAELIDPAVKGTLNVLKSCAKSSSVKRVVLTSSVAAVAYNERPKTPEVVVDETWFSNPDYCRELKLWYLLSKTLAEDAAWKFSKENNLDLVAVNPAMVVGPLLQAELNTSASVILNLINGSETFPNNTYGWINVKDVANAHIQAYEIASASGRYCLVERVAHFSELANILKDQYPTYQVPEKAEDDEPYVPTFQVSKEKAKSLGLEFIPLEVSLKETVESLKEKNFANF >Vigun05g230100.3.v1.2 pep primary_assembly:ASM411807v1:5:42341241:42345542:1 gene:Vigun05g230100.v1.2 transcript:Vigun05g230100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEAEQKRVTRKVRDSSKRFVGNATCRENREWWTKTELAKGGGMEGNNISDDSNKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERNFRINPPRNATIFHHPTLGDFELQHLPVGASDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQGRPHFLVFSTHPNSPPMAPTSSSPSQRGDDEATPTIAVANLSPTPATGEQSSQLTLVAPVQADQVSASGSGSSALGTEHQGPSYNNRRSPNQSSPSSQDRAGPSEFQSFSESLKSKLNAVSSRYKESISKSTRGWKERWFSRNNAMSDIGSDVRREVNAGIATVSRMMERLETRDSNRSNINSAPSNLEDDSVQGPNDQALTGTEGGGLLGDNNTKASCAAGSSSS >Vigun05g230100.1.v1.2 pep primary_assembly:ASM411807v1:5:42341365:42345659:1 gene:Vigun05g230100.v1.2 transcript:Vigun05g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGMEGNNISDDSNKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERNFRINPPRNATIFHHPTLGDFELQHLPVGASDADLEERIIQHLAAAAAMGRARHIARREGQRNRSSAQGRPHFLVFSTHPNSPPMAPTSSSPSQRGDDEATPTIAVANLSPTPATGEQSSQLTLVAPVQADQVSASGSGSSALGTEHQGPSYNNRRSPNQSSPSSQDRAGPSEFQSFSESLKSKLNAVSSRYKESISKSTRGWKERWFSRNNAMSDIGSDVRREVNAGIATVSRMMERLETRDSNRSNINSAPSNLEDDSVQGPNDQALTGTEGGGLLGDNNTKASCAAGSSSS >Vigun05g109250.1.v1.2 pep primary_assembly:ASM411807v1:5:11204633:11205845:1 gene:Vigun05g109250.v1.2 transcript:Vigun05g109250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYINYKLIRKINTSSQAPNKTLGSTQIFKFSEPSRRREIGTLETSSISISFESSNLRFKRVIPSFRFQSPCWVSVLRASVMTNSNMLSLHYDCQ >VigunL059458.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:15778:16380:1 gene:VigunL059458.v1.2 transcript:VigunL059458.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRDSFSGFRFRVSGLGFGFGVSSFGLQVTGFGSHVSGVVFQVSGFGFRISAFEFRVSDLGIRVWVFGFWGLRVTDFGFRVSTFRLSFGFGILSFGFRVSGLEFRITSFLFRVSNLGFMVLAFRDSIFGFQISGFGFGFWVWGFEFRVTSYKLRVLGLMFRVSYFRFLVSGFGFLVSSFGFLVSSFAIGFGVSGLGF >Vigun09g204100.2.v1.2 pep primary_assembly:ASM411807v1:9:37869893:37871649:1 gene:Vigun09g204100.v1.2 transcript:Vigun09g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPNLNVPVSRTHEELDHKNHEEEGSKNPEEGSENQVEEGSNIREEENPKSREEYSKNLEEKDSKNHEEEHSKKHKESPSKKKGKKDMKKKPKPEIDETLIQMRVRKLTESLRQGEIERAEWVSSQMTKLLEEEAEVDIEYLKRSFPDISRESLRDIYNFNCGDLEDAMDMLSMLESNEMPDMDNPVAADDASTSPKEKEAEK >Vigun09g204100.3.v1.2 pep primary_assembly:ASM411807v1:9:37869893:37871649:1 gene:Vigun09g204100.v1.2 transcript:Vigun09g204100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLARQHNTNSYKSLSLFEISLRTSSHSLISQFKVSRSTAPRITSTMSGKPNLNVPVSRTHEELDHKNHEEEGSKNPEEGSENQVEEGSNIREEENPKSREEYSKNLEEKDSKNHEEEHSKKHKESPSKKKGKKDMKKKPKPEIDETLIQMRVRKLTESLRQGEIERAEWVSSQMTKLLEEEAEVDIEYLKRSFPDISRESLRDIYNFNCGDLEDAMDMLSMLESNEMPDMDNPVAADDASTSPKEKEAEK >Vigun02g028700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:11951496:11952872:-1 gene:Vigun02g028700.v1.2 transcript:Vigun02g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIGFEGYEKRLEICFSQPGMFADPEGRGLRVLTKSQLDEILTPAACTIVSSLSNDDVDSYVLSESSLFVYAYKIIIKTCGTTKLLLAIPPILKFAGMLSLKVRSVTYTRGSFIFPGAQPYPHRCFTEEVAILNDYFGKLGLGSMAYIMGSPDKKQKWHIFSAYTDSLMSSDNNDIYSLEMCMTGLDREKAQIFYKEQSASAAMMTVNSGIRKILPNSEICDFDFEPCGYSMNSVEGGAVSTIHITPEDGFSYASFETVGYDFKVVNLNELVGRVLSCFLPNEFSLAIHVDGASKPLENMFFVDVKGYYREEWSHEGLGMGGFVVFQRFVKIGDCVSPRSTLKCWKDEVEEE >Vigun02g089600.1.v1.2 pep primary_assembly:ASM411807v1:2:24429949:24433414:1 gene:Vigun02g089600.v1.2 transcript:Vigun02g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGWRRAFCTSIPKDTEPKVLTERKQHCHNNNNDSNHSPKITSKFGFFSNPSTPRCESQPPPTPTLRCRTTSSVPNSPKIQCKTPRLFHNSNPSSPKSPSSFSLFKATLRLSKSRCGICTQSVRSGQGTAIFTAECSHTFHFPCIVKKHPILTCPVCNTSWKELPVLSIHHNDDNNKKTFKVYNDDEPLMSPTSLSRFNPIPESDNEEEEDNNKTEFQGFNVAPLPNLSSSPVIRRNLELSLLPEAAIVAANRNYETYVVVLKLKPPHAPKPPRRAPIDLVAVLDVGGAMSGNKLRLMKNSMRQVISSLRPTDRLSIVAFSAGSKRLLPLRRMTGGGQRSARRIVDALAAIDQSREGTPVKNDAVKKAAKVLEDRREKNGVASIIVLSDIPESRAGNSIHKPSLVSTTRLSHLEVPVHAVRLGDSPHALSDDALAKFVGGLLSVVAQDVRIQLEVVSRSRAVEIAGVYSLAGRPVSLGSGWIRIGDLYAEEERELLVELKVPAASAGSHHVLTVRSSYRDPLTRESLNPVEQAMLVPRPHTVRSSCPKIERLRNLHVTARAVAESTRLAEHNDLSGAHHLLSSARALLIQSSKPEEEFLRWLEAEQAELQRRRQRPMRINSRAEEKVEPLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFENARF >Vigun05g230600.1.v1.2 pep primary_assembly:ASM411807v1:5:42417507:42420558:1 gene:Vigun05g230600.v1.2 transcript:Vigun05g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFVHKLRRHLRAWLHRSRSGAVFFVRRISYKDVRRATDGFHRIVYSNSDVSAYAARFEDSGGLCLVREVKGFDEGSDHFYRHVQFLGRLRHRHLLSLKGFSVGRNRKRLLIFDNIENGSLKDHLNDPLKTPLDWRTRLQIANGVVAALEYLFLFSEPPVCHVSITSSNIMLDENFTAKLSDFGLLPSGGNSDMMPYSEDCLKQKSCRIIFQLGVLILELVTGQSSEMEGSDLIEWIQESRFYSSIDKMIDPDLGNNYDCTELKSLLAVAKLCIKSWDKPSFTIPQLFRHLQRKIDITHHQ >Vigun07g038400.1.v1.2 pep primary_assembly:ASM411807v1:7:3712527:3717785:-1 gene:Vigun07g038400.v1.2 transcript:Vigun07g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLVLKRTVALTRHHSHSVFLRRFSAAPEPASSADAETRKYAGYAALLLFCGAATYYTFPLPDDAKHKKAQIFRYAPLPEDLHTVSNWSGTHEVQTRNFFQPENVEQLESVVREANEKRTRIRPVGSGLSPNGIGLSRAGMVNLALMDGILEVDKQRKTVRVQAGIRVQGLVDGLKDHGLTLQNFASIREQQIGGIIQVGAHGTGAKLPPIDEQVIAMKLVTPAKGTIEISKDKDPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTFVSTMKEIKRNHKKLLSDNKHVKYLYIPYTDTVVVVRCNPVSKWKGPPKFKPQYTQDEAIQHVRDLYRESLQKYGAEGSRGKSAEEQNIDELSFTELRDKLIALDPLNKKHIISINQAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGKLANPSMKDLEYIEELKQLIENEEIPAPAPIEQRWTASSRSPLSPASSPSEDDIFSWVGIIMYLPTMDARQRKDITEEFFHYRHLTQAKLWDRYSAFEHWAKIEVPKDKEELAALQARLRKRFPVDSYNKARKELDPNRILSNNMLEKLFAQSDTI >Vigun10g032066.1.v1.2 pep primary_assembly:ASM411807v1:10:4194944:4195909:1 gene:Vigun10g032066.v1.2 transcript:Vigun10g032066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNFNQEQVVNRMSRYQFCIIHLEDEVVGFVDRAFAILYDHDLQRQWTLTDEEGNRHVVTYNKNLQKPMVIGGWNDLREFYELHDNHSIYFGYVGHSCFHITVFPSKYKPLSIGRFLKRLEADEPLFNGPKLHFCIFLNPNQCHASHLDLPSDFGNYLRQGRFKCILLHRPREIVKCKLLFRNHPKKSNKIGSGWKEFCTAHGFDQPIDLVFEVDEMKNNQNVKVLTYCNL >Vigun03g228500.1.v1.2 pep primary_assembly:ASM411807v1:3:38023403:38027872:1 gene:Vigun03g228500.v1.2 transcript:Vigun03g228500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCASILYPHTHKIWCFKPSLSVTPPPQQQQQREEGTSHDDKAKLITSASNPFVKHCLKLRNSSSYRRAHASALVVGATPIREIRRFQELLQDESVSMDCLILPDKAEIPDGLDKSTASIVRVSSTVMRKLSGLQTTDSLDAIALMKIPASFFNVDDDQKNYQKVFSSVHRILVLDGIQDPGNLGTLLRSAVAFRWDGVFLLPGCCDPFNEKALRASRGASFQIPVVSGCWNHLESLKEEFQMKLMAGHPELEELVKPVCSLSPTLCDSLSDTALCLVLGSEGNGLSENPCRLVNL >Vigun04g079600.1.v1.2 pep primary_assembly:ASM411807v1:4:11218837:11220926:1 gene:Vigun04g079600.v1.2 transcript:Vigun04g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLHLRNGGSLPSVPVLALQCSSTLGFMGHVGGTESESSGMPFKNLNSSVIQHQEKEEESSGVEAMSLSLKPGEELEANSYSDTGKLRHAKLCARGHWRPVEDVKLKELVAQLGPQNWNSIAEHLPGRSGKSCRLRWFNQLDPRINRKGFSEEEEERLLNAHKVYGNKWAMISRLFPGRTDNAVKNHWHVIMARRQREKSCVYRRRKPTIQILPPKNLDLILSMNGGSESTISSTIIDESASIGTNLSFTPSSATPTPLPFLNHCPVQNLQPFGGALLGLSGDSREPVREVNFGMYFGGWRSSFEAGHMRRLMGVDQSHYSESNSSEVSVSESVATNNTTNLSISGENENIMGNNKVNNMAFIDFLGVGAA >Vigun09g134700.6.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29454821:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.5.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29455599:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.2.v1.2 pep primary_assembly:ASM411807v1:9:29450768:29455599:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.4.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29454821:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.1.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29455599:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.3.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29455599:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.7.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29454821:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g134700.8.v1.2 pep primary_assembly:ASM411807v1:9:29450772:29454821:-1 gene:Vigun09g134700.v1.2 transcript:Vigun09g134700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFSIVTLVLFGSTLSLCGLIVADLNSDKQALLEFASSVLHAPRLNWKNDSASICMSWVGVTCNSNGTRVIGLHLPGMGLTGTIQENSIGKLDALRVLSLHSNGLRGNLPSNILSIPSLQFVYLQHNNFSGAIPSPVSLKLFAFDISFNSFSGSIPPTFQNLRRLTWLYLQNNSISGAVPDFNLPSLKHLNLSYNNFNGSIPNSIKTFPYSSFVGNSLLCGPPLNDCSTISPSPSPSTDDYQPLTPPTTQNQKGTNHKKSFGLAPILALVIGVFAFLSLLVVVICVFCLKRKKNSKSSGILKGKASCAGKTEVSKSFGSGVQGAEKNKLFFFEGSSSSFDLEDLLKASAEVLGKGSYGTAYKAVLEEGTTVVVKRLKEVVVGKKEFEQHLEIVGKFGSHPNVMPLRAYYYSKDEKLLVYNYMPGGSLFFLLHGNRGAGRTPLDWDSRVKILHGAAKGIAFIHSEGGPKFTHGNIKSNNVLITQELEGCISDVGLPPLMNTPATMSRGNGYRAPEVTDSKKITQKSDVYSFGVLLLEMLTGKTPLRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKGSENRPRMDEVARMIEEIKHPELKNRPSSESESNAHTP >Vigun09g127500.1.v1.2 pep primary_assembly:ASM411807v1:9:28297877:28298887:-1 gene:Vigun09g127500.v1.2 transcript:Vigun09g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHNNRILLLAFVILCLFSNQIIARTLKEKTNITSGDASTVQHSKESHAEVFKPKDEDVNVGGDVFAADYTPASRKPPIHN >Vigun07g181100.1.v1.2 pep primary_assembly:ASM411807v1:7:29791034:29795273:-1 gene:Vigun07g181100.v1.2 transcript:Vigun07g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGLWIQKEGHRRKCSLLGILNDREMSEEDSSKTQLKKLIKRSNSQRAIAANAIQSRISKFFFKDLFFRRVHGLDSRIPKHVVSVDEKYVRRCLEFIHNTALRATNMETLSESLNTAKFFGGSGHFVFECPMARETGRVVIGADTGDRWTLGTIMGTKSMINILNSTLLQQFGAAHRSDNLNRMNFSDPEGLVCYDFMDSPTDLPITSLYKLEKEKPSHKYGSISVHKRLVSTSSTTSTCSDRLSSASSTLSQGMIQCTWKHGIPHFVFSADDQKEVYVARSMKVNSADNKALDNVYEFHLNKGGQKGHQIPDSDLPYVGKMHVSTSFTLCPNNCRIRETEFTLFLKVEVNDREMSTSEHGHRKNKGLSKKMSQVFRTSPSSKRRTVSKFGGSSSMTESCPWEPNALGGANLSETDTANCEMAAIVVKSHVPCKRAEKVRGGWGLKFLNKSGGDQVTVASESCDKNNGECSTSMSILIPAGFHGGPRTRNGGPSSLIERWRSGGHCDCGGWDEGCPLTVLERRSNKAEVLSKIDTQYECKSVDLVTQGSNDFGPTLRMVNVHDGLYYIHFHPPLSALQSFSIAVAIIHAQSPTLQPNLAQELS >Vigun08g172750.1.v1.2 pep primary_assembly:ASM411807v1:8:34346601:34355422:1 gene:Vigun08g172750.v1.2 transcript:Vigun08g172750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGESSSSSPDASSRWSYHVYLSFGMEGTHVDFANTLCASLQRKGISTFTYDNQVERDVMLKRVQKAMEEYLVAIVLLSENYASSTWCLDELQKILAVGKPVIPVFYEVAPSDVRYQINCFAKAFEEHKRSEEDQSKVQQWRESLKEVADFSGWESKDRRREELIEDIIKSVWTKIRHKLPSYEEEWVGIDSRVEKISSLLKLELKDMVRFIGIWGMGGIGKTTLARVVFKKICSQFDISCFLENVREISGKTHDMLTLQITLLSHMEVKEFTIQNLDEGKIVIGGILRNNRVLLVLDDVDDARQLDSLGVNDQGKFGPGSRIIVTTRDMEVLKPHGKFEICKIGLLNSDESLQLFCQKAFRIEKPSEQLLQLSKVAVQQAGGLPLALEIMGSSFCGRNESQWKEFLGKKEYSKKDIVMEKLIISYHGLPESYQILFLDIACFFNGWVKEHVEQILTICCRCPANGIDVLIDKSLATCDGSRLGMHDLLQEMGRKIVVEKCLIDAGKRSRLWSPHDIDQALKRKKKKESIEGIVLKSSTEPYIANWDPEAFSKMYNLKFLIINFHNIQFPRGLKCLSSSLKVLRWTKCTLEALPLGVKLENLVMLKMRYSKIKKIWSDSQHFRRLKFIDLSHSEDLIETPIVSEVPCLESLLLEGCKNLVKVHQSVGLHTKLVVLNLKDCINLQILPTKFKMDSLEELILSGCSKLRKRTKFGKNVQCLSLLNLHKSENLICQPKSVCNVSGTKVHSENLKELSFCGGKELTSKSTWNLHQWSSICGKKLVSKELNLPPLSSLSSLKFLNLSYCDLNDESIPDDLGSLSSLLGLDLSGNNFVRPNYCISNLHALKSLTLIDCPRLESLPMLPPNVQCLCTANSTEMKPLNSDAHLLWKIFELHMNQASMLSGESSSNSSRWTYHVFLSFRGEDTRLGFTDHLYAALVRKGMITFRDDKNLEKGDKIDKELFKAIKESLGAIVILSENYASSSWCLDELNKILESNRVLGREVFPVFCGVSPSEVQHQTTTSFEEAFQKHERRFEKDTEKVRQWRDSLKEVSQIPGWESKNYQHQTELIENIVESVWTKLRPKMPSFNDGLVGIGSRVKKMDSLLSMESKDHARFIGIWGMGGIGKTTLARVVVKKIQHQFDISCFLDNVREISKESDGRIRLQGKLLSHLAIKGLEIRDLDEGKNTIRELLFKKKVLLVIDDVDDTSQLECLAERLEWFGPGSRVIITTRDTQVLISHGIIENYKINLLNSDESLQLLSQKAFKRDKPEEHYLELSKAVAKYAGGLPLALELLGSFLCGRRESQWKEVVDMIREVPPSHIAMQSLRISYNGLPLPYKTLFLDIACFFRGRIKELVIQALEICERYPPVGIDLLVEKSLATYDGFTIGMHDLLQESAREIVTEESYVDPAKRSRLWTLEDTNEVLKYNKANESIEGIVLNSPEKEEAIWDPEAFSRMYNLQLLIINYHVNLPTSLKCLCSSLKFLQWMKYPLESLPLGVQLDELVELKMHSSRIKRIWNGNQDFAKLKFIDLSYSEDLIQTPIVSGAPSLERLLLIGCVNLVEVHPSVGQHKRLDLLMLKDCKNLQIMPRKLEMDSLEELILSGCSKLEKLPEFGENMKSLSLLNVEHCINLLCLPNSICNLKSLRKLYVSGCSRISTLPDGMNENESLEELDVSRTEITEISSSKVRLEILRELSFGGRKETTPKSQNLLQWISKFMGQSDMHESIVPPLSSLLALESLDLSYRDLTDESIPSDFGPLSLLKRLDLSGNNFVNPPAQCIISLSMLHTLSFDHCPRLESLPMLPPNLQALYATNCPKLKPFHLDEDTLWKIFESHSHEDPIEGPELWFIIPGNEIPSWFNNQNSLAIDSSDQTYQKLCCDSVTSIIVDVPEDCQLSEWWGIAVCLVLEPLNTDVPSSSNARPTSTVNEEIGIYYWVCKTPDKDPDPNFPIAPKFGNLLYKFNDPYIHIIFFNADHVYIQHYLSGEQTQLEVVFFVENLSESCKARIKKCGCRVICKEKIEEWRKHSDGLNISRITETNEDEERHELEVEEPTSPTLGK >Vigun10g158300.2.v1.2 pep primary_assembly:ASM411807v1:10:37756578:37758254:-1 gene:Vigun10g158300.v1.2 transcript:Vigun10g158300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPDTFGSSGPPDTSRTFGSPNPCGSSGLLDPFVLSGFPEFLVIFQPSHSSIFPFRYIAKSGQYRPPLEICDSCKSGIAIPDISFQPTTEATEAAVMGIIRSSFLFIAGTTFGIYLVQNYQIPNLRNLADTALLHAKQVEEKYRKSKKKSDDD >Vigun06g023800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11142282:11144873:-1 gene:Vigun06g023800.v1.2 transcript:Vigun06g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAEFEYDQHKFTSEAAARRFLEIMHVGILPERHVNLKVGEFDDFRLELERRQWHRVLGNLPNEVDEVLVKEFYANAYNSDGSLPRQAKVRGKMIKFDRKALNTFLKTPIFPADRNTPYGDFLNEDKDFEAIAARLCLPGESYVIGVSGTPVRILRKHLNSLAQMWSVFSYNNISPNTHTSDINLERSYLVFAIMTGIEIDIGAVISQEIALIASNATKLGFSALITTLCKAKGVVSDTPVLLRLQPPINSRFISKHCMNPAVDHVPAPRPVPRPRPPSVPRASSSVSEATFQAAMSKMFARQEDIWDSQQAVRRGTRCIMDNLHKLSLAVPDTPDDYLMTGAQFDEYISWPQGRPESQWGGGTAADSDDGDDGVDGADDADEAENAVEEEVPPDDDA >Vigun07g056500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6222231:6222416:-1 gene:Vigun07g056500.v1.2 transcript:Vigun07g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMELFGEMDEQVSSMAMDVDDVDPLETFAEGVITADLKLADVNFFNNFQDDFDDADIN >Vigun10g028333.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3480056:3480445:-1 gene:Vigun10g028333.v1.2 transcript:Vigun10g028333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRNGDVRVYLIYGLILACTAAGGVFLCMYLIYSDSRLDYFYLIAGMTLVTIPWCFWLLIYIYRCFIKPMHGQSGNGEVAPASENCALTNIVTKPAPDESDPDEGDGGGGGGGRHVRFGGVVEIENKG >Vigun06g081800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21349201:21350665:1 gene:Vigun06g081800.v1.2 transcript:Vigun06g081800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICHIIVFFGAIYPEFHGFFLLCLSSKMHVVVVAREESLKTILYICFFLLHSLSECYLNCRFGSDYGDSEVKIFKDMMTIGSVRILPSPPLFFLCILTQLLSPAEVFYFLFYFFNSSHLRFYNIMHVVSLFFVLNFLCLFLIYLGQTKWFVLFSCFLQLTVFVVWCFVFFHCLNFFKKLASFSVAVEELTCRKEFANYLH >Vigun07g126150.1.v1.2 pep primary_assembly:ASM411807v1:7:23303483:23304116:1 gene:Vigun07g126150.v1.2 transcript:Vigun07g126150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYDKNTNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQY >Vigun02g186200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32534043:32535265:1 gene:Vigun02g186200.v1.2 transcript:Vigun02g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEPKTSTMQQCGTAPTTTSSSYRGVRQRKWGKWVSEIREPGKKSRIWLGSYESPEMAAAAYDVAALHLRGRAARLNFPELAETLPRPASSKAEDVQVAAQQAALRFTRSPTMSHDQICVSETSDSNSNRNKNRNSVVPLQVGLSATQIQAINESPLESPKMWMMQMAETLRFGFGDEYSMMMVSCDGDGDDDYAMELSGWEEMHHESLWDSPEYM >Vigun01g067600.3.v1.2 pep primary_assembly:ASM411807v1:1:18164524:18169247:-1 gene:Vigun01g067600.v1.2 transcript:Vigun01g067600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGSISFTALTLFLVLTTLPHEPHASSRGSFGRNIHPPVSGLCSSSVIVHGYECQEHQVTTNDGFILSLQRIPEGRGKSSGGRTRKQPVIIQHGVLVDGMTWLLNCPEQDLPLILADNGFDVWIANSRGTRFSRRHISLDPSSPAFWNWSWDELVSYDLPAIFSYVFSQTGQKINYVGHSLGTLIALASFSEGTLVNQLKSAALLSPIAYLSHMNTALGVIAAKSFVGEVTTLFGLAEFNPKGLPVDAFLKSLCAYPGIDCYDLMTALTGKNCCLNSSTVDLFLMNEPQSTSTKNMVHLAQTCITMFCSCKTWGVDKI >Vigun01g067600.1.v1.2 pep primary_assembly:ASM411807v1:1:18164524:18169247:-1 gene:Vigun01g067600.v1.2 transcript:Vigun01g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGSISFTALTLFLVLTTLPHEPHASSRGSFGRNIHPPVSGLCSSSVIVHGYECQEHQVTTNDGFILSLQRIPEGRGKSSGGRTRKQPVIIQHGVLVDGMTWLLNCPEQDLPLILADNGFDVWIANSRGTRFSRRHISLDPSSPAFWNWSWDELVSYDLPAIFSYVFSQTGQKINYVGHSLGTLIALASFSEGTLVNQLKSAALLSPIAYLSHMNTALGVIAAKSFVGEVTTLFGLAEFNPKGLPVDAFLKSLCAYPGIDCYDLMTALTGKNCCLNSSTVDLFLMNEPQSTSTKNMVHLAQTVRRGVLTKFNYVRPDYNIMHYGEIFPPIYNLSNIPHDLPLFISYGGRDALSDARDVENLLDKLKFHDEDKLSVQFIEDYAHADYVMGFNAKDLVYNTVVSFFNHQG >Vigun01g067600.2.v1.2 pep primary_assembly:ASM411807v1:1:18164524:18169247:-1 gene:Vigun01g067600.v1.2 transcript:Vigun01g067600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGSISFTALTLFLVLTTLPHEPHASSRGSFGRNIHPPVSGLCSSSVIVHGYECQEHQVTTNDGFILSLQRIPEGRGKSSGGRTRKQPVIIQHGVLVDGMTWLLNCPEQDLPLILADNGFDVWIANSRGTRFSRRHISLDPSSPAFWNWSWDELVSYDLPAIFSYVFSQTGQKINYVGHSLGTLIALASFSEGTLVNQLKSAALLSPIAYLSHMNTALGVIAAKSFVGEVTTLFGLAEFNPKGLPVDAFLKSLCAYPGIDCYDLMTALTGKNCCLNSSTVDLFLMNEPQSTSTKNMVHLAQKACITMFCSCKTWGVDKI >Vigun03g419900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62592184:62592402:1 gene:Vigun03g419900.v1.2 transcript:Vigun03g419900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQAEIGSFGQQKSFWNLSSEPQQLCQYKFYPTYLTAKAIQMKVEDIKCNEAASRFTVENRTELQQRGLVG >VigunL056800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000430.1:18069:19526:-1 gene:VigunL056800.v1.2 transcript:VigunL056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun05g009900.2.v1.2 pep primary_assembly:ASM411807v1:5:806351:809839:1 gene:Vigun05g009900.v1.2 transcript:Vigun05g009900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKLDHGDKDVSDPSSSSSDSELEAEAEATEEESSEDDATVEAKPNGDEAVSTSSGYASEDSSANDVDVDSTGVLFSEDDGGAINERDMLFNKEFLSKPDTEKSNVIAEKETVQADMPDYVLKHKSVFKCKICPRITCLSEGTLRDHLQSKRHARSVKLLSEGRLKVMLNSDGEIENQEIADVPSIDSEDNAEKNHEAQKQQKKRFRKKKSGIAKTKKFLSTEGPVKRRQKKRQV >Vigun05g009900.1.v1.2 pep primary_assembly:ASM411807v1:5:806351:809839:1 gene:Vigun05g009900.v1.2 transcript:Vigun05g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKLDHGDKDVSDPSSSSSDSELEAEAEATEEESSEDDATVEAKPNGDEAVSTSSGYASEDSSANDVDVDSTGVLFSEDDGGAINERDMLFNKEFLSKPDTEKSNVIAEKETVQADMPDYVLKHKSVFKCKICPRITCLSEGTLRDHLQSKRHARSVKLLSEGRLKVMLNSDGEIENQEIADVPSIDSEDNAEKNHEAQKQQKKRFRKKKSGIAKTKKFLSTEGPVKRRQKKRQV >Vigun08g163000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33566982:33569214:1 gene:Vigun08g163000.v1.2 transcript:Vigun08g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVLSAMMVSQGFLPSGSLLDSLIHISKEVDSTEKFPFVHIRNVSSMIRRIKLLSSLFEEIQESGTPLPPSSILCLTELFSVIRRVKVLIQDCKDGSSLWSLIQLEFISNQFYVLVKEMGRALDILPLSLLNVTSDIREQVELLHKQAKRAELLIDPRELQRREQLKQAMANNSSMKKKNKGFVDFGKVEEILSSIGLRTPSDYDGEISKLEAEAQNQAGTGGLIIVSNINNLISLVSCSKSMIFRDGESGRNEEHCKPLSSFLHNKVHDSSSSSQSMTPNVPDEFRCPITLDLMRDPVIVSSGHTYDRTSIAQWINSGHHTCPKSGQRLIHTALIPNYALKSLVQQWCYDNNVPVNEATTEGNNHTKKKKNTKEEAIDHISANKAAADAVKMTAEFLVGKLATGSADIQRQAAYELRLLAKTGMVNRSVIAEVGAIPFLVTLLGSQDSRIQEHAVTTLFNLSIFDNNKILIMAAGAVDSIVEVLESGKTMEARENAAAAIYSLSMVDELKVQIGGKARAIPALVRLLKEGTSIGKKDAASALFNLAVYNPNKVSVVKGGAVAVLVELLMDDKAGITDDALAVLASLLGCSEGLEEIRNSRALVPLLIDLLRFGSVKGKENSITLLLGLCKEEGEVVARRLLANPRSVPSLQSLVCDGSLRARRKADALLRLLNRCCSQPHHSV >Vigun09g075950.1.v1.2 pep primary_assembly:ASM411807v1:9:8688260:8689646:1 gene:Vigun09g075950.v1.2 transcript:Vigun09g075950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHSCKAKYIGVLNDKLSVQQKKYTTGTPFWCLPMLKESVKISRNVLSQLLVEFNLLDVCLGLGLRVVGEKIALNEKGVESDTWNIFGRERVNVKLIYDLLVKFDDDVGDLWSFDHVLVCNSKFKCRMNNFPQLLHWMNVSVGDTVIKSSFDNDMAIVDVVVSKEELDHAIEKEEVEHLLHDHEGEIVDLEHSISELEELVAKRKGERQKDEVPDDVGDDGFVDDEMVNEPSIESVDDVTNVENDDDGEHNNMYDRMKAQPQRRYKSCAIRTPFSVYGKKKLKLLTMG >Vigun03g342200.1.v1.2 pep primary_assembly:ASM411807v1:3:54088640:54090645:-1 gene:Vigun03g342200.v1.2 transcript:Vigun03g342200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSIQYLKDFVNSQLYDEEKWAFNVKLLRAAGLFAGSIVLMRNYGDLMAI >Vigun06g195300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31128387:31129130:1 gene:Vigun06g195300.v1.2 transcript:Vigun06g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSNNQGEGILENVWAKIMSSDKADERKGGDDGAESCNSWEELPDLDGREGSMEILQRLPSLGRWISMGADFWEEVLDGIVVPNRSNRENCSSKNKENGRGGECNKKVEDGVKEVRKHYRGVRRRPWGKYAAEIRDSSKKGARVWLGTFETAEEAALAYDKAALRIRGPKAYLNFPLERVAKALGCDNKENLIKSRKRGSIDDEIDMMNEEPARKKLECMVENELGVFVFQDLGSDYLDSLLSSF >Vigun09g057000.2.v1.2 pep primary_assembly:ASM411807v1:9:5718705:5725780:-1 gene:Vigun09g057000.v1.2 transcript:Vigun09g057000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKGKLVRFYSDGKKHKKPVWGASEPPALKPSNLLPLGKNRIVETFRISGSKVFPEDHEPWRKRILDPGSEIVLKWNRVFIVSCLVALFVDPLYFYLPSVTESTASSCVRTDLTLRIVVTFLRTIADLFYLLHLIIKFRTAYVAPSSRVFGRGELVMDPRKIARRYFRSDFFIDFIATLPLPQMVIWFIIPATRSPQTDHKNNALALIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVDRYTTCLKSFCKKEQNPENCFGYLDCSSLNVDLRKLWANSTNVFSSCDPSNKDINFKFGIFENAVKKHVVSSAFIPKYLYCLWWGLQQLSSYGQNLETSTFIGETSFAIVIAILGLVLFSHLIGNMQTYLQSITIRLEEWRLKRRDTEEWMKHRQLPEDLRSRVRRFVQYKWLATRGVDEETILRALPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLDSCTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTINLPSSTRTVKAISEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKKRISMKDLGLRESIPSDETVASEREQEDYSASSNSTQAKLNLGATILASRFAANTRRGALKIKDDMPKLPKPEEPDFSAEADDD >Vigun09g057000.1.v1.2 pep primary_assembly:ASM411807v1:9:5718705:5725780:-1 gene:Vigun09g057000.v1.2 transcript:Vigun09g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLRVYNFRFYSDGKKHKKPVWGASEPPALKPSNLLPLGKNRIVETFRISGSKVFPEDHEPWRKRILDPGSEIVLKWNRVFIVSCLVALFVDPLYFYLPSVTESTASSCVRTDLTLRIVVTFLRTIADLFYLLHLIIKFRTAYVAPSSRVFGRGELVMDPRKIARRYFRSDFFIDFIATLPLPQMVIWFIIPATRSPQTDHKNNALALIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVDRYTTCLKSFCKKEQNPENCFGYLDCSSLNVDLRKLWANSTNVFSSCDPSNKDINFKFGIFENAVKKHVVSSAFIPKYLYCLWWGLQQLSSYGQNLETSTFIGETSFAIVIAILGLVLFSHLIGNMQTYLQSITIRLEEWRLKRRDTEEWMKHRQLPEDLRSRVRRFVQYKWLATRGVDEETILRALPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLDSCTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTINLPSSTRTVKAISEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKKRISMKDLGLRESIPSDETVASEREQEDYSASSNSTQAKLNLGATILASRFAANTRRGALKIKDDMPKLPKPEEPDFSAEADDD >Vigun04g019720.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1498628:1499314:1 gene:Vigun04g019720.v1.2 transcript:Vigun04g019720.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPNDIQNHHDIIDRTNVKAVILDIIIASSEASSKTIDWAMSLLLRHPSVMKRLQEELKHVIGMNKHVGENDLKKLSYLNMVVKETLRLHPIGPLLIPRECREDVIIDGYFIKKKTRVIINAWAIGRDPKVWDKAEIFDPARFENDNVDLHGNDFRILPFGSGRRGCPGMHLGLTTISLVLAELVHCFDWMLPLGMHCDELDMEEIFGLSTPRKKHLLTRPIYQLAT >VigunL035850.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000509.1:43420:43781:1 gene:VigunL035850.v1.2 transcript:VigunL035850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEMVSASAINFKVPYQILRHDGPLSDDGEMRGLRGVPLRRRLRVKVGSWRKVWMMKKVMLLCSKVKRRFKEGHGHFGDLFAGNYVFTQINPTSLKYLQNKLSQAKIV >Vigun05g253300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44784801:44785911:-1 gene:Vigun05g253300.v1.2 transcript:Vigun05g253300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFRQYTFDNSFEQVYFSNTVLKEYQIALTFATDYDELDQPTNGIFRPVWNLAKVTPEAIKQFKEKAGSMDVEVELFISIGNRGNRHPFKSPDREAWIVNATDSLTRLIQEVNLQVDGIDVQYETIDASPADFIYCVRALIKNLKDNGVITVASISPTFNLNTDFYSTLYTSMPSLFDYVDYQFQTELDRVPDPTALAQRYDELTQIYPIRKLLAGYSAENADWSTVSPIVFFLGAMDILQQKNAPGASIYYHNFSAPQ >Vigun07g036100.2.v1.2 pep primary_assembly:ASM411807v1:7:3461775:3465626:1 gene:Vigun07g036100.v1.2 transcript:Vigun07g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNQPPGYGYGYGAPPPSQYGAPPTSQSYGAPPPGQSYGAPPSGQSYSASPYGQPSAPYAAPYSKPPKEGSHSQSSSGGGYPPAASYGSPFASLVPSAFPPGTDPSIVACFQIADQDGSGFIDDKELQRALSSYNQSFSLRTVHLLMYHFTNSNVKKIGPKEFTSLFYSLQNWRSIFERFDKDRSGKIDSNELRDALLSLGYAVSPVVLDLLVSKFDKTGGKSKAIEYDNFIECCLTVKGLTDKFKEKDTAYTGTATFSYEAFMLTVLPFLTA >Vigun07g036100.1.v1.2 pep primary_assembly:ASM411807v1:7:3461775:3465626:1 gene:Vigun07g036100.v1.2 transcript:Vigun07g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNQPPGYGYGYGAPPPSQYGAPPTSQSYGAPPPGQSYGAPPSGQSYSASPYGQPSAPYAAPYSKPPKEGSHSQSSSGGGYPPAASYGSPFASLVPSAFPPGTDPSIVACFQIADQDGSGFIDDKELQRALSSYNQSFSLRTVHLLMYHFTNSNVKKIGPKEFTSLFYSLQNWRRYLLQSIFERFDKDRSGKIDSNELRDALLSLGYAVSPVVLDLLVSKFDKTGGKSKAIEYDNFIECCLTVKGLTDKFKEKDTAYTGTATFSYEAFMLTVLPFLTA >Vigun11g030100.1.v1.2 pep primary_assembly:ASM411807v1:11:3910364:3916065:1 gene:Vigun11g030100.v1.2 transcript:Vigun11g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGTKRPQISLPVLPTPRTINVQKYAESRALELQSLQSIIENRVNNDYRSQRNKRRRTSAFDNQIARKRCRRKRQKVGTVGKALAESGLEEDQLKKLSRRVRRRYELKNNPENGFCTSGDGTKRLRTHVWHAKRFTMTKLWGYHLPLCLQGSGKGSRAVLKRLKEGVLVHDASYYTAIQLEGPEDSLTSVLRSVLEPSPTTTHPENLENSSISGVTYGSAMLHQVGDLICPPIAPVTYMWQPTSQQNISTQLDEQNHYASFGQNGISNDSNKHRVELCEKLDKMKHGSSFRHLWVWIHASAFEEGFDNLKIACRKEMEKTGILINCFSLEGQLAKLELIGLGAFQLLQKILHPVGGISENKNSTTLKNGDSFSSCAMLPLNVKDPRELPWKRNVVPVEHISTKTSSDASETQGKELAERGGILEENKDLSSLSWSKLEDCQTNVDDLWYATTRRLRPPVEDSVISKEKHHERMVNFCLGDTDSGDAISSTKVQCSRSCPILLLKNDVKELSIGWSVILPLSWVKVFWIPLISNGAHAIGLQEKHWITCEMGLPIFPSDFPDCKAYSCLMEDKETAFNEKEELRPPSIRHLRVPIQPPWGIVRVTFDKMISSMKTPDLSTREDLTNSNSLPNPYPGSFKISNSDSWSSSFDGTVVRTGSMLTTFLHETKVGQLLLFPYAADGEARISKFINGELKLDPRYKSSDIYDHKPCFLRVHLRPFKEGCFEEGAVICAPNPSDIFLWTSSSERSEEGLQMSQSAMRLYFKEHSSGRWEMQIPDDSIASKSHRWPIGFVTTGSVQGSKSLVAEGFCEAVLLSHLREEQWKETPMKKRREIYVLVRNLRSTAYRLALASIVLEYKENDIGFL >Vigun05g252900.1.v1.2 pep primary_assembly:ASM411807v1:5:44742013:44755388:1 gene:Vigun05g252900.v1.2 transcript:Vigun05g252900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEKSPKKISSVRSSFPRDPRGSLEVFNPNTSIATNSRVRSQPLWKPWTESEEPRNEITATSWMAINPAPQADSECGAAAQRAAEWGLVLRTDTETGKPQGVAVRNSGGEEPNVAKLAAAAASSSRKNSQNSARTSGDSNSDGEIGVVGGIPRISEDVMGALSAFQQTFVVSDATRPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGADTDPVDVAKIREALEAGKIYCGRLLNYKKDGTPFWNLLTISPIKDEDGKVLKFIGMLVEVSKHTEGLKEKTLRPNGLPESLIRYDARQKEKATNSLSELVQIMKRPRALSESASRPSIRKSGFAEEEKQSLHEEEEEEEEEEEKEKAEKILRRRSESGVSFGRKAEGGNRISMQRISEVAENKLKKSQRRSFMGFRRKSQSNDESVENEVMEDVSSDSEDGERPDSFDVDEKEKQREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLQNCIAEDTAKEGEQMVKQTAENVDVAVRDLPDANTKPDDLWTNHSKAVYPKPHRKDNPAWKSIQKVLQSGEQIGLKHFRPIKPLGSGDTGSVHLVELRGTGQYFAMKAMDKSVMLNRNKVHRACAEREILDKLDHPFLPALYASFQTKTHVCLITDYCPGGELFMVLDQQPTKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSSKPQLIIPASNSKKKKKKKKHRSQEVPVFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPKSKPVSLQGKQLIYWLLQRDPKDRLGSREGANEIKRHSFFKGVNWALVRCMKPPELDAPLLSKTEEEKEDKDMEDLQTNVF >Vigun04g107300.1.v1.2 pep primary_assembly:ASM411807v1:4:25822556:25823834:-1 gene:Vigun04g107300.v1.2 transcript:Vigun04g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEKDEEEEDADIKFSKRVMILISKSNQGSIPKSSVIDAERESSKEFGPIWFFLIGAEENKGIVPLSQLSSCFLRLKDGSVTVSFIKRHTVRRSCSETEVEIRLQGRPVLSSWKLNSLVEMWVQTLPKNETLVV >Vigun06g194300.1.v1.2 pep primary_assembly:ASM411807v1:6:31054493:31056033:-1 gene:Vigun06g194300.v1.2 transcript:Vigun06g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSNSNGRSKRLLDLAQRLRLYKPPIFPEDILDDVMEDKVVSEVSYSESTTSMPQNTEEFRYKRAAVLICIFEGDAGDLRVLLTKRSSMLSTYSGEVALPGGKAEEGDKDDKDTAKREAMEEIGLDPELVDVVTVLEPFFSKYLMRVVPVIGILHDKKAFKPVLNPAEVEAVFDAPLEMFLKDQKRSEEKMQWMGENYLIHLFDYEMEMEHKKFLIWGLTAGILIRAASVVYQRPPAFMEQNPKFKLPRDLTV >Vigun08g104800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26039490:26040662:1 gene:Vigun08g104800.v1.2 transcript:Vigun08g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKESPLIRLHSFDQGHAWLALLHLLIHMSLPVTGQPVTPTIEPGSNKSVIVIMVIIALMFLISAFISLYSRKCSDGQAHERGTLDLAASTGAIGNPSSQSEPNGLSKATIETFPTFLFSDVKSFKIGKDTLACAVCLSEFQDHDTLRMIPKCCHVYHPSCIDVWLASHSTCPVCRANLVPQPEDVDTNTNMLPMLSIQVPDEHEHEDESGEHEHESVISEEGKRGHGELESPKVDLLRRIHSLHQSRPSRSRSTGFLSSLLFPRSNSMGQLAQQVENYDRFTLRLPEEVQNQMMNSKLKRANSCACFTRMSSGTWGYRTRSVGSGRGRGCVQYERFNGEEQWGFTLTSPNLVKSGSNRSSRKSLVQCSGVVLDNINNPNERSSEFLRLG >Vigun06g212300.1.v1.2 pep primary_assembly:ASM411807v1:6:32467511:32470130:1 gene:Vigun06g212300.v1.2 transcript:Vigun06g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHQRRHQHQDRNFGLFLVSEALFITPPSSLHQFILSLSVLSLQFFSIISSLLSYHLIRTNHLQFNATFQSGGVPNMTTVPTADLTDANTAHVGNGDLRVLHPVFRVYGQITSFSGPIVTVKVFEDNVLVREVLETKGEGRVLVVDGGGSLRCALVGGNLAQIAYSNGWAGIVVNGCVRDVDEINACGIGVRALASNPLKSNKKRTGEKNVPVYVGGTFIREGEWLYADNDGILISRFELSN >Vigun08g047200.1.v1.2 pep primary_assembly:ASM411807v1:8:5105578:5112435:1 gene:Vigun08g047200.v1.2 transcript:Vigun08g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVGVSWDLNWFLQLFLSVIVVAIGVHFFVKSTASKYFEVDANFEGDQHHALADAMPGVLSSTDDSLCAVCATPSSKKCSRCKAVLYCSQECQQSHWRSGHKIVCKDFHQAGARSPAQNGVINRGFKSSAAGGKSSSAIALIPARGTIYRPIKQPKDVLFPYDEFVKYFNWNKLGFPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECSCNDWCFLCEFENHVERTMQSSQVFSPTNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLDEFGGEKSVPPNLQETTLIQHIFGGRLQSEVVCTKCEKISKQYENMMDLTVEIHGDAASLEECLDQFTAREPLDGENMYKCEGCKDYVKAWKRLTVKCAPNILTVALKRFQSGRFGKLNKRIAFPETLNLSPYMSEAGDGSDVYKLYGVVVHIDMLNASFFGHYICYIKDFLGNWYRIDDWKVTTVELEEVLSEGAYMLLYSRCSARPSGLQIQTSESSGIAEVQTTEVEVESGQTQQDDCLLSEMKTLTCRGSEVLPSDYSPESKVSSTYDCGESCAGLNSEAKREQFEDTGMTNVDSTGIGNEISCSAVESTSVPISQAVIDLGDVDITDRSFEDTSGEQDNTDMVRSSGSCPGSPEDSSFGKHSSVSRDHRNVEDLEHKAVAKDKLIIAKDNTYYGNGYVSANESSIPVEDEGKQFSGIGSSH >Vigun08g047200.3.v1.2 pep primary_assembly:ASM411807v1:8:5105578:5112423:1 gene:Vigun08g047200.v1.2 transcript:Vigun08g047200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVGVSWDLNWFLQLFLSVIVVAIGVHFFVKSTASKYFEVDANFEGDQHHALADAMPGVLSSTDDSLCAVCATPSSKKCSRCKAVLYCSQECQQSHWRSGHKIVCKDFHQAGARSPAQNGVINRGFKSSAAGGKSSSAIALIPARGTIYRPIKQPKDVLFPYDEFVKYFNWNKLGFPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECSCNDWCFLCEFENHVERTMQSSQVFSPTNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLDEFGGEKSVPPNLQETTLIQHIFGGRLQSEVVCTKCEKISKQYENMMDLTVEIHGDAASLEECLDQFTAREPLDGENMYKCEGCKDYVKAWKRLTVKCAPNILTVALKRFQSGRFGKLNKRIAFPETLNLSPYMSEAGDGSDVYKLYGVVVHIDMLNASFFGHYICYIKDFLGNWYRIDDWKVTTVELEEVLSEGAYMLLYSRCSARPSGLQIQTSESSGIAEVQTTEVEVESGQTQQDDCLLSEMKTLTCRGSEVLPSDYSPESKVSSTYDCGESCAGLNSEAKREQFEDTGMTNVDSTGIGNEISCSAVESTSVPISQAVIDLGDVDITDRSFEDTSGEQDNTDMVRSSGSCPGSPEDSSFGKHSSVSRDHRNVEDLEHKAVAKDKLIIAKDNTYYGNGYVSANESSIPVEDEGKQFSGIGSSH >Vigun08g047200.2.v1.2 pep primary_assembly:ASM411807v1:8:5105545:5112461:1 gene:Vigun08g047200.v1.2 transcript:Vigun08g047200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVGVSWDLNWFLQLFLSVIVVAIGVHFFVKSTASKYFEVDANFEGDQHHALADAMPGVLSSTDDSLCAVCATPSSKKCSRCKAVLYCSQECQQSHWRSGHKIVCKDFHQAGARSPAQNGVINRGFKSSAAGGKSSSAIALIPARGTIYRPIKQPKDVLFPYDEFVKYFNWNKLGFPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRRECSCNDWCFLCEFENHVERTMQSSQVFSPTNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLDEFGGEKSVPPNLQETTLIQHIFGGRLQSEVVCTKCEKISKQYENMMDLTVEIHGDAASLEECLDQFTAREPLDGENMYKCEGCKDYVKAWKRLTVKCAPNILTVALKRFQSGRFGKLNKRIAFPETLNLSPYMSEAGDGSDVYKLYGVVVHIDMLNASFFGHYICYIKDFLGNWYRIDDWKVTTVELEEVLSEGAYMLLYSRCSARPSGLQIQTSESSGIAEVQTTEVEVESGQTQQDDCLLSEMKTLTCRGSEVLPSDYSPESKVSSTYDCGESCAGLNSEAKREQFEDTGMTNVDSTGIGNEISCSAVESTSVPISQAVIDLGDVDITDRSFEDTSGEQDNTDMVRSSGSCPGSPEDSSFGKHSSVSRDHRNVEDLEHKAVAKDKLIIAKDNTYYGNGYVSANESSIPVEDEGKQFSGIGSSH >Vigun11g103200.2.v1.2 pep primary_assembly:ASM411807v1:11:30053099:30055473:1 gene:Vigun11g103200.v1.2 transcript:Vigun11g103200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLVSSLLLTTNLILLASVSSTEFIYNTNFNSTNTLLYGNATIESSILTLTNSSTFSVGRAFYPSKIPTKSSNSSTPLPFSTSFIFSIAPFKGLLPGHGFVFILTPSAGTTGVSSAQHLGLFNLTNNGDPNNHVFGIEFDVFDNQEFNDIDENHVGVDVNSLVSFTSHTAGFWGGNGGEKFEDLKLNNGQNRPNKPLISELIDLSEVLLDEMYVGFCGATGQLVESHKILAWSFSNSNVSIGITIGVLFVIGCAVVMFVYFLKRKRRKKKEEEENIEDWEVEYWPHRVRYEDIYAATEGFSDQNVIGFGGNGKVYKGLLQGVQVAVKRIPYDSEHGMREFLSEISSLGRLKHRNVVPLRGWCKKEKGSLILIYDYMDNGSLDKRIFDGDENTTFGWEERVKVLKDVAHGILYLHDGWEVKVLHRDIKSSNVLLDKDMNARLGDFGLATMHHHEQIGQTSQVIGTVGFMAPELVHTGRASTQSDVFSFGMLVLEVVCGRRPNEENKPTLVVWLMRLMERGEECSGIDERLKGRSECECKIDEVKRVLHLGLLCTHPDPHVRPSMRQVVKVLEGEDLDAGLVEKINSAAQYVGSFGNSIYPTIQDIFASRCSYSTVCDTEGR >Vigun11g103200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30052940:30055484:1 gene:Vigun11g103200.v1.2 transcript:Vigun11g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLVSSLLLTTNLILLASVSSTEFIYNTNFNSTNTLLYGNATIESSILTLTNSSTFSVGRAFYPSKIPTKSSNSSTPLPFSTSFIFSIAPFKGLLPGHGFVFILTPSAGTTGVSSAQHLGLFNLTNNGDPNNHVFGIEFDVFDNQEFNDIDENHVGVDVNSLVSFTSHTAGFWGGNGGEKFEDLKLNNGENYQVWIDYLDSRVNVTMALAGQNRPNKPLISELIDLSEVLLDEMYVGFCGATGQLVESHKILAWSFSNSNVSIGDALVTTNLPSFVLPRESILRSKGFVAGITIGVLFVIGCAVVMFVYFLKRKRRKKKEEEENIEDWEVEYWPHRVRYEDIYAATEGFSDQNVIGFGGNGKVYKGLLQGVQVAVKRIPYDSEHGMREFLSEISSLGRLKHRNVVPLRGWCKKEKGSLILIYDYMDNGSLDKRIFDGDENTTFGWEERVKVLKDVAHGILYLHDGWEVKVLHRDIKSSNVLLDKDMNARLGDFGLATMHHHEQIGQTSQVIGTVGFMAPELVHTGRASTQSDVFSFGMLVLEVVCGRRPNEENKPTLVVWLMRLMERGEECSGIDERLKGRSECECKIDEVKRVLHLGLLCTHPDPHVRPSMRQVVKVLEGEDLDAGLVEKINSAAQYVGSFGNSIYPTIQDIFASRCSYSTVCDTEGR >Vigun10g038300.1.v1.2 pep primary_assembly:ASM411807v1:10:5257067:5261062:1 gene:Vigun10g038300.v1.2 transcript:Vigun10g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSSKSQPMYDVLINFTGDDIRRKFVSHLDSALSSVGFTTFLHHNNAVPVTPKHIQEPILNNCRVAIVVFTTTYSQSAWCLHQLQQIIKWHETYCRQFLPVYYEIQPSDVRLQKGHFGKSFKATAQQTFSAQQLEHGMSRWSHALRKAADFFGWDESNYRSDAELVEEIVKSVLNLPVLSATKFPVGLQSQVEDVIQTIKNKSAEVCTIAICGMEGSGKTTIAKAIYHQIHDRFKQKSFVEDIAQVSQTRGYVHLQEQLLSEVLKTKVEIHSVEMGTSMIRARLLRKRVLIVLDNINDYDPLLDLWENRAWFDKGTVIIITTRHEHLLGIRRVDSIFRINLLNSNQSLELLSWHAFREAKPDEEYNFLARRIVAYCGGLPLVLEVIGSCLFERTKEEWNNVLFKLAKIPQNDVQQKLKISYDYLHNHLEKDLFLDVCCLFVGKGRAYAVKILYGCGVDADSGIRVLIQRNLIKVKKNNKFGMHPLLHEMGRKIVRDFEIGKNSRRGIEEEAEYVLLSDNRRTEAIEGYCLKLCSARRDCFEQLAVNSEYLSPKLRWISLHGFSSEYLHNFYLHEVVAIDLKRSLLRLVWKEPQVLRSLKVLNLSHSVYLTETPDFSRLPNLEQLILKDCSRLHEIHHSIGCLCNLTLLNLKDCISLSNLPEEIYKLKSLRTLILSGCSKIDLRETDIVQMKSLITLISENIAVKQVPLSIVSSESMGYISLLGFERLTDNIFPSILRYWMVPTMNPISYIHSFCMDMEHNSWDDIVPLLSSLENLRSVLVQCDTEFQLSKQVKNIMVDYFANITESGISKEHLRFSLIGVGRYNEFFNAANDILSEVSSELCDVSLPGDNDPYWLAHMGEGRSVSFTVPQNRDLKGMALCVFYLSTPKIIEPEFTTVLIVNYTKCTLHMHKHDTVISFNDEDWHGIMSNLGSGDKVEIFVSFGHGLLIKNTAIYLIFDESNNMKKMLEKKKFSLFRFIKKNCNSSSSKILSRIFV >Vigun01g201300.9.v1.2 pep primary_assembly:ASM411807v1:1:37763306:37770318:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGLAFLLVRVFKVVSPIKMTFNMFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun01g201300.8.v1.2 pep primary_assembly:ASM411807v1:1:37763306:37770318:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGLAFLLVRVFKVVSPIKMTFNIYATCVVPISAFFSASLWFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun01g201300.6.v1.2 pep primary_assembly:ASM411807v1:1:37763306:37770318:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGLAFLLVRVFKVVSPIKMTFNIYATCVVPISAFFSASLWFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun01g201300.7.v1.2 pep primary_assembly:ASM411807v1:1:37763196:37770386:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGLAFLLVRVFKVVSPIKMTFNIYATCVVPISAFFSASLWFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun01g201300.4.v1.2 pep primary_assembly:ASM411807v1:1:37763306:37770318:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGLAFLLVRVFKVVSPIKMTFNMFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun01g201300.10.v1.2 pep primary_assembly:ASM411807v1:1:37763260:37770333:1 gene:Vigun01g201300.v1.2 transcript:Vigun01g201300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGILTKQHLLTYIYLLVYITLSSGVILYNKVVSPIKMTFNIYATCVVPISAFFSASLWFGNTAYLFISVAFIQMLKALMPVATFLVAVTCGTEKLRCDVFWNMVLVSVGVVISSYGEIQFNVLGTVYQVTGIVAEALRLVLTQVLLQKKGLNLNPITSLYYIAPCSFVFLFIPWYILEKPEMEDPHMQFNFWIFFSNAVCAFTLNLSTFLVIGRTGAVTIRVAGVLKDWLLITLSTVLFPESKITGLNIIGYAIALIGVVIYNYLKLSDVRTSQPQSSQDGSTKELQTEKKAVVDLDNREETLWNDSVSDTNFDEEVPLMASSRTSHLGVGRKPA >Vigun10g067600.1.v1.2 pep primary_assembly:ASM411807v1:10:15776556:15777669:1 gene:Vigun10g067600.v1.2 transcript:Vigun10g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHFINYLEEIQEHTKIVTNLVISESGDRLHNCSIDQLQREHINMIVRVYSRGMKPCITNATT >Vigun05g090700.1.v1.2 pep primary_assembly:ASM411807v1:5:8760639:8761671:1 gene:Vigun05g090700.v1.2 transcript:Vigun05g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLYFHQTPYVSPMADKVSVINPFYCAPYSITLQINTEKGVTYSENGDRLFYVDDTFFTLHNRRVLYDDTQKPIVTFYKKAVTLHERCKVFKGESTDLSQLLFSVKKINKSISPGITKLNVFLPNNQDKKKSDFRVIICGSKNSCTVYAGESPNILAKMENNGGFNVLVNPNVDYAFIVALLMIVKDVKVGTEIAPGIKLISSVLSVALGN >Vigun02g146300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29436093:29438472:1 gene:Vigun02g146300.v1.2 transcript:Vigun02g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNGNTKFILLHPYIQKQGSSNRLWLLAFISFFTLAFLATLIYTRDTTSSITTTTTSSTTLSTFTTTPLPSSVINTLLHYASKSNDTYRMSHSDLKTISDVLRKCPSPCNFLVFGLTPETLLWKALNHNGRTVFIDENRYYAAYFEEKHPEIDAYDVQYTTRRSEMKELIASAKEQSGNECRPVQNLLFSECKLGLNDLPNHVYEVDWDVILVDGPRGDWPDAPGRMSPIFTAGVLARSKKSGNPKTHVFVHDFSGKVERVCGNEFLCKENLVETTHSLGHYILEKMEESSVKYCKNHNHSSGSASSSS >Vigun07g197000.2.v1.2 pep primary_assembly:ASM411807v1:7:31697067:31700361:1 gene:Vigun07g197000.v1.2 transcript:Vigun07g197000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAGNTVATSVTPLNWWYLQPNSISSWNDTNTTWNNQPNPNSSSSCEEDISVSTSFTNASNHSTLTVESSRRLIDPPAPSSNNDFMGEHASDNQLWTHVLSGVGSNGQEIGENFLGALSSKSMTSTMFQPVCDYLKKLDHSSWEYSGSTSLNSLEKHLNGFSEAMMENNERLTKLSNLVSTCSIAPPDPEVNSHFDPQTNNMSLNNSSMDHFPQSDHFKQPFGESLGGVANRNPGVFPSYDHDMKIKQEFHASEVQGSLNANGYQNGFNGFSVGDSCKLYHGMPNLPPCTRNFSDVISFNSRFGRPVIGIHAQKPGMKYLNVSEPKKQGLQAPSPIRSNINGKGEGTTREVKKKRSEESSDAMLKKPKQDTSTASSSKVQAPKVKLGDKITALQQIVSPFGKILHLCCLKQ >Vigun07g197000.1.v1.2 pep primary_assembly:ASM411807v1:7:31697067:31700361:1 gene:Vigun07g197000.v1.2 transcript:Vigun07g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAGNTVATSVTPLNWWYLQPNSISSWNDTNTTWNNQPNPNSSSSCEEDISVSTSFTNASNHSTLTVESSRRLIDPPAPSSNNDFMGEHASDNQLWTHVLSGVGSNGQEIGENFLGALSSKSMTSTMFQPVCDYLKKLDHSSWEYSGSTSLNSLEKHLNGFSEAMMENNERLTKLSNLVSTCSIAPPDPEVNSHFDPQTNNMSLNNSSMDHFPQSDHFKQPFGESLGGVANRNPGVFPSYDHDMKIKQEFHASEVQGSLNANGYQNGFNGFSVGDSCKLYHGMPNLPPCTRNFSDVISFNSRFGRPVIGIHAQKPGMKYLNVSEPKKQGLQAPSPIRSNINGKGEGTTREVKKKRSEESSDAMLKKPKQDTSTASSSKVQAPKVKLGDKITALQQIVSPFGKTDTASVLFEAIGYIKFLQEQVQLLSNPYLKANCHKDPWGSLDRKDKDDTKVDLRSRGLCLVPTSCTPLVYRESSGPDYWTPAYRGCLYR >Vigun07g197000.3.v1.2 pep primary_assembly:ASM411807v1:7:31697067:31700361:1 gene:Vigun07g197000.v1.2 transcript:Vigun07g197000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESAGNTVATSVTPLNWWYLQPNSISSWNDTNTTWNNQPNPNSSSSCEEDISVSTSFTNASNHSTLTVESSRRLIDPPAPSSNNDFMGEHASDNQLWTHVLSGVGSNGQEIGENFLGALSSKSMTSTMFQPVCDYLKKLDHSSWEYSGSTSLNSLEKHLNGFSEAMMENNERLTKLSNLVSTCSIAPPDPEVNSHFDPQTNNMSLNNSSMDHFPQSDHFKQPFGESLGGVANRNPGVFPSYDHDMKIKQEFHASEVQGSLNANGYQNGFNGFSVGDSCKLYHGMPNLPPCTRNFSDVISFNSRFGRPVIGIHAQKPGMKYLNVSEPKKQGLQAPSPIRSNINGKGEGTTREVKKKRSEESSDAMLKKPKQDTSTASSSKAPKVKLGDKITALQQIVSPFGKTDTASVLFEAIGYIKFLQEQVQLLSNPYLKANCHKDPWGSLDRKDKDDTKVDLRSRGLCLVPTSCTPLVYRESSGPDYWTPAYRGCLYR >Vigun03g395200.1.v1.2 pep primary_assembly:ASM411807v1:3:60165948:60170031:-1 gene:Vigun03g395200.v1.2 transcript:Vigun03g395200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEDRADAADYPNGESPPKLPKRFRDRSKEMLSKKAVHTKQMLSKQAVKIAKQAEEHERFINKVTHLVGVLGFGGFCFLLGARPQDIRYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLFYSKDEKFFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIVSVLIHLLPGLVFFTIRWWDPATFEAMHPEGTARRPTWPYIEDKSFLWTWLFLVPLVAYTLWQVLYFLIVNVLRRQRFLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRMLMYIFLQGIFTVATMALAVPIFLSYELHVVFQILKVSAAIWNGGSFLLEVMPRQAILKEKKKSEMQPVEALSNHQ >Vigun03g395200.2.v1.2 pep primary_assembly:ASM411807v1:3:60165948:60170038:-1 gene:Vigun03g395200.v1.2 transcript:Vigun03g395200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAADYPNGESPPKLPKRFRDRSKEMLSKKAVHTKQMLSKQAVKIAKQAEEHERFINKVTHLVGVLGFGGFCFLLGARPQDIRYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLFYSKDEKFFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIVSVLIHLLPGLVFFTIRWWDPATFEAMHPEGTARRPTWPYIEDKSFLWTWLFLVPLVAYTLWQVLYFLIVNVLRRQRFLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRMLMYIFLQGIFTVATMALAVPIFLSYELHVVFQILKVSAAIWNGGSFLLEVMPRQAILKEKKKSEMQPVEALSNHQ >Vigun08g134200.2.v1.2 pep primary_assembly:ASM411807v1:8:30552980:30557122:-1 gene:Vigun08g134200.v1.2 transcript:Vigun08g134200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAPLKICRGFTQRYRKKYERVSIQAIKNWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEEEYNELADVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPMAFFRIEDMEAQRFIGKCLLPAEKRPSAKELLVDPFLASDDPSSTTKFAIQKPFLNATEMEKLQLNDDLPRTGMKVIGKLNPEDDTIFLKVQISDKNGSVRNVFFPFDIFHDTPIDVATEMVKELEIADWEPFEIANMIDREISALLPQRRQTSCSDAFHTFSYIDDDCDDNDAPHHHFRSFSSSSSFQESMADFVSKAEEISSGYYWLHDDSHDDTSSRCSSQGTYSNLNYSSVDDHQDQYNVHSLRKDKLAIMKSHSKGKKVSPGEDLSNFNQCKLMAGSLVPFTSKSKMMMNNQRLTRNRSLIDIRSQLLHRSLVEEVNKRRLFKTVGAVENIGFQAPCDVTTKRSHHVSSARNENCSRRGKGEKNRR >Vigun08g134200.1.v1.2 pep primary_assembly:ASM411807v1:8:30552980:30557122:-1 gene:Vigun08g134200.v1.2 transcript:Vigun08g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHCYVETDPTGRYGRFGDVLGKGAMKTVYKAIDEVLGIEVAWNQVRLNEALRTPEDLQRLYSEVHLLSTLKHQSIIRFYTSWIDVDNRAFNFITELFTSGSLREYRKKYERVSIQAIKNWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEEEYNELADVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPMAFFRIEDMEAQRFIGKCLLPAEKRPSAKELLVDPFLASDDPSSTTKFAIQKPFLNATEMEKLQLNDDLPRTGMKVIGKLNPEDDTIFLKVQISDKNGSVRNVFFPFDIFHDTPIDVATEMVKELEIADWEPFEIANMIDREISALLPQRRQTSCSDAFHTFSYIDDDCDDNDAPHHHFRSFSSSSSFQESMADFVSKAEEISSGYYWLHDDSHDDTSSRCSSQGTYSNLNYSSVDDHQDQYNVHSLRKDKLAIMKSHSKGKKVSPGEDLSNFNQCKLMAGSLVPFTSKSKMMMNNQRLTRNRSLIDIRSQLLHRSLVEEVNKRRLFKTVGAVENIGFQAPCDVTTKRSHHVSSARNENCSRRGKGEKNRR >Vigun02g025050.1.v1.2 pep primary_assembly:ASM411807v1:2:8341451:8344174:-1 gene:Vigun02g025050.v1.2 transcript:Vigun02g025050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSRSAVKKGSKFRKFLDAFFIDRNFFPAIRLILPNLASATLTASRNSSSPPPSSTPLASPVTPSMPSDSSIGVKVAPPPTLQSEISASSPLRCCTTGKGPLQEG >Vigun05g036500.1.v1.2 pep primary_assembly:ASM411807v1:5:2968178:2975988:1 gene:Vigun05g036500.v1.2 transcript:Vigun05g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSNNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVRDFYKILNEVKAGEIPPDLKLPDSFDQLVSDMKNNQYDAKTFAIMLRGMMEKLEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSHHHFILSTDNILAASVVVTSAVQSSLKPEKIVFHVITDKKTYAGMHSWFALNPVNPAVIEIKGIHQFDWLTRENVPVLEAVENQNGIRNYYHGNHLAGANLSDTSPRKFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLEGKVNGAVETCRGEDDWVMSKHFRNYFNFSHPLIARNLDPDECAWAYGMNIFDLRAWRRTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGLVHPIDPSWHMLGLGYQNNTNIESVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFLRNCHILES >Vigun01g041500.1.v1.2 pep primary_assembly:ASM411807v1:1:5808724:5812356:1 gene:Vigun01g041500.v1.2 transcript:Vigun01g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSSLLLPPNLLSLTTPSSSPTHILFNLRSSTTHLRPLFTTRRRFRRPFAVAEQATVTEAARRLYVGNIPRTVNNDELAKIVQEHGVVEKAEVMYDKYSGRSRRFAFVTMKTVEDANAVIEKLNGTEIGGREIKVNVTEKPLSGSDLPSFQAEESQFIDSPHKVYVGNLAKTVTTDTLKKFFSEKGKVLSAKVSRVPGTSKSSGYGFVTFSSEEDVEAAISSLNNSSLEGQKIRVNKA >Vigun06g137800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26333515:26338254:-1 gene:Vigun06g137800.v1.2 transcript:Vigun06g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWKKNRVPRISQIVADLQSPKRGGSLVVETGFPTSLIDLFVKNRSRFRKHRTKKPPPPEVPDPPPPPPSPANSPPPSQPDLRLPNPRTGQNVVASVSDQVAECSLNVILVVKILMVLIVVASVKRLTVGITVSTFALLLLEYAGRRVVSGSVVESWFQKVRVLKKERVRGSGFPDLSQLAFSESFLIDEIEVVSDVGICCEMRSVSGDVATEIVEDCSSHVLEVSEYKIKGSRSGRFGSRMVKKLVPKKFRGHRKEKKDKGNKEIEDESGSEVSSAVEDDKLPMLEIEGEDKNENTEQDEVDCGITCSYNGKRVARVGNSGSSTVMVLVMLVLVGLLLGRFPALILSISWCCTVKIVKTLRRSRNVSLKKCSVSNS >Vigun09g270100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43257079:43258173:-1 gene:Vigun09g270100.v1.2 transcript:Vigun09g270100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTMATNGVSMMQRCSVAARWFMKAESAAAPQLCGRGDKKTKKGKRFKGSYGNARPKKEKMIERIKDKVEVPRSTPWPLPFKLI >Vigun02g107100.2.v1.2 pep primary_assembly:ASM411807v1:2:26097081:26100911:-1 gene:Vigun02g107100.v1.2 transcript:Vigun02g107100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTMISATQNQLSGSLPSNMFHTLPNLQELYIGDNQISGRIPPSITNASIFFLALEASRNSLTGQIPSMGKFQYLDIISLSWNHLGNNSNTDFDFVESLANSSRLQQLSISYNNFGGHLPNSLGNLSPQLSGLFLGGNHISGKIPATIGNLTNLALLTMENNSLSGNIPITFGKFQKLRELNLGANKLSGELGAYIGNFTELFHLELEDNLFEGNIPPSIGNCQNLQYLDLSHNNLTGTIPLELFKLSSLTILLDLSLNSLSGTIPEEVRSLKNLGLIDMSENHMSGEIPPTIGECIMLEYLYLQGNSLQGTIPSSLASLKGLQRLDLSRNQLSGSIPNDLQKISSLKYFNVSFNTLEGEVPTKGVFGNARTILVIGNNKLCGGTSELHLPPCAVKGKKLEKHYKFKLIAVIVSVIAFLLILSITITIYWTRKRSKKEPLVSPSETDHQLATVSFQSLHKATDGFSATNLIGSGNFSSVYKGTLEELEEKVVAIKVLDLQKKGAHKSFVSECNALRNIKHRNLIQVLTCCSSIDYNGQEFKALIFPYMTNESLEQWLHPKTPSAENPRKLSLDQRLNIMVDVASALHYLHHEGEQPIIHCDLKPSNVLLDKEMVAHVSDFGIAKLLSNINDTTSEQTSTIAIKGTIGYVPPEYGVSSEVSTYGDIYSFGILMLEMLTGKGPTDEMFEDGQNLHNFVTVSFPDNLLQILDPLLVPTEEAPPLKGNNWNLDPNVEMCLVSLFRIGLSCSMKSPKDRMKIADVTRELNRISEAFLVGVDTPK >Vigun02g107100.1.v1.2 pep primary_assembly:ASM411807v1:2:26097081:26100910:-1 gene:Vigun02g107100.v1.2 transcript:Vigun02g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLYLCMVIAEGTTCISSMITSQSCSLQFLIKMKHFSLLFLGFWAIYVQLCYIPTVTAFAPGNETDHLALLEFKKSISGDPYGILLSWNTSTHFCNWPGIACNLKLQRVTQLVLNRYKLEGSISPHIGNLSYMINFNLAINNIHGKIPQQLGRLSLLQQLSVGNNLLVGEIPSNLTACNDLRFLYLQKNNLTGKIPVGIGSLEKLEVLSFSRNRFTGEIPSSIGNLTSLTTLDVGSNICEGHIPEEICHLRNLTFASFGENKFTGTFPSCLYNMSSLTMISATQNQLSGSLPSNMFHTLPNLQELYIGDNQISGRIPPSITNASIFFLALEASRNSLTGQIPSMGKFQYLDIISLSWNHLGNNSNTDFDFVESLANSSRLQQLSISYNNFGGHLPNSLGNLSPQLSGLFLGGNHISGKIPATIGNLTNLALLTMENNSLSGNIPITFGKFQKLRELNLGANKLSGELGAYIGNFTELFHLELEDNLFEGNIPPSIGNCQNLQYLDLSHNNLTGTIPLELFKLSSLTILLDLSLNSLSGTIPEEVRSLKNLGLIDMSENHMSGEIPPTIGECIMLEYLYLQGNSLQGTIPSSLASLKGLQRLDLSRNQLSGSIPNDLQKISSLKYFNVSFNTLEGEVPTKGVFGNARTILVIGNNKLCGGTSELHLPPCAVKGKKLEKHYKFKLIAVIVSVIAFLLILSITITIYWTRKRSKKEPLVSPSETDHQLATVSFQSLHKATDGFSATNLIGSGNFSSVYKGTLEELEEKVVAIKVLDLQKKGAHKSFVSECNALRNIKHRNLIQVLTCCSSIDYNGQEFKALIFPYMTNESLEQWLHPKTPSAENPRKLSLDQRLNIMVDVASALHYLHHEGEQPIIHCDLKPSNVLLDKEMVAHVSDFGIAKLLSNINDTTSEQTSTIAIKGTIGYVPPEYGVSSEVSTYGDIYSFGILMLEMLTGKGPTDEMFEDGQNLHNFVTVSFPDNLLQILDPLLVPTEEAPPLKGNNWNLDPNVEMCLVSLFRIGLSCSMKSPKDRMKIADVTRELNRISEAFLVGVDTPK >Vigun11g103300.1.v1.2 pep primary_assembly:ASM411807v1:11:30074313:30080125:-1 gene:Vigun11g103300.v1.2 transcript:Vigun11g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKSSAVEDSKVGVTKKLQSYSTRPSELNVLRLNSTRRVDEGGAKDVIIEGGRVKGSLIDKKANGSGQLYVDHDGKKRTEKPEVTVVDHIGPGRIPKAIEGEQVAAGWPEWLSSVAGEAIKGWIPRRANNFEKLDKIGQGTYSTVYKARDVINRKFVALKKVRFDNLDPESVKFMAREIHVLRRLDHPNIIKLEGLVTSHMSRSLYLVFEYMEHDLTGLASNPEIKFSEAQLKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGILKIADFGLASFFDPRHSVPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSDEYWLKLRLSHSTVFRPPHHYRRCVAETFKDYPSTAVKLIETLLSVEPAQRGTAAAALKSEFFTSEPVPCDPSSLPKYAPSKEIDAKLRDEARRQGAIGGRDQKVASGVRQEKGNRASFTAKDNTDPAVSVQQGRYSSSKNRSELYNPHRGAVSGILVFPHKQSEDAKAMENNFSGHIYKKPSHSGPLVAGSVWAKGAKEVDDVPPASIRGNLSKLSGLVASRTLLPEDQEDKLHHRKPSEVRKSMESTNGSESRRRQEQKRIVDHNQIESRRVPTEKSTPGGRESMGNKIYLSGPLMVSSSNMDQMLKEHDRKIQEFSRRARIDKSRARGEKVRAQRKW >Vigun01g053800.1.v1.2 pep primary_assembly:ASM411807v1:1:10166375:10173973:-1 gene:Vigun01g053800.v1.2 transcript:Vigun01g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSKMLSGHRLIHHSSFLTQQPQFLSSLFSKRLFCIQTVSVPVSTSKNSKTTGWVPCMASSSVGRRAAYSTQSVSTNEPVVSVDWLYDNLKMPDIKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGLENKDDLVVYDGKGLFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYKGQTVGPLTFETKFQPHLVWNLDQVKKNIEDKTHQHIDARSKPRFDGAVPEPRKGIRSGHVPGSKCIPFGQMLDSSYTLLPTNELKKRFEQEGISLDSPAVTSCGTGVTACILALGLHRLGKSDVAVYDGSWTEWGAQADTPVEIS >Vigun01g053800.2.v1.2 pep primary_assembly:ASM411807v1:1:10166375:10173973:-1 gene:Vigun01g053800.v1.2 transcript:Vigun01g053800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSKMLSGHRLIHHSSFLTQQPQFLSSLFSKRLFCIQTVSVPVSTSKNSKTTGWVPCMASSSVGRRAAYSTQSVSTNEPVVSVDWLYDNLKMPDIKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGLENKDDLVVYDGKGLFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYKGQTVGPLTFETKFQPHLVWNLDQVKKNIEDKTHQHIDARSKPRFDGAVPEPRKGIRSGHVPGSKCIPFGQVQHMLT >Vigun07g263900.1.v1.2 pep primary_assembly:ASM411807v1:7:37959638:37964381:1 gene:Vigun07g263900.v1.2 transcript:Vigun07g263900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNKNVSLERSAPQQPRPSNVYVGVGGSDSLCISPKRDHKSENYEDLQLEFNPLVFSSLEQYLPPHMLNLSREVKVQYMRNILLRYLPESERIRIQKHKEYRQKIMLNYPPLHREIYSMDAEKFFTPSFLRAIKENTEESFRSIMTEPCKGIYTFEMLQPRFCEMLVSEVDHFERWVHATKFRIMRPNIMNQYGAVLDDFGLEAMLDRLMSDFIRPMSRVFYSEIGGSSLDSHHGFVVEYGINRDVELGFHVDDSEVSLNICLGGKFSGGELFFRGVRCDEHVNSDIQPGEIFDYYHVPGHAILHPGRHRHGARPTTSGNRINLILWCRSSAFRELKKYRRDFSSWCEECKRKKKEKERLLVMATQQELMKREMQSAS >Vigun07g263900.2.v1.2 pep primary_assembly:ASM411807v1:7:37960227:37964381:1 gene:Vigun07g263900.v1.2 transcript:Vigun07g263900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYPPLHREIYSMDAEKFFTPSFLRAIKENTEESFRSIMTEPCKGIYTFEMLQPRFCEMLVSEVDHFERWVHATKFRIMRPNIMNQYGAVLDDFGLEAMLDRLMSDFIRPMSRVFYSEIGGSSLDSHHGFVVEYGINRDVELGFHVDDSEVSLNICLGGKFSGGELFFRGVRCDEHVNSDIQPGEIFDYYHVPGHAILHPGRHRHGARPTTSGNRINLILWCRSSAFRELKKYRRDFSSWCEECKRKKKEKERLLVMATQQELMKREMQSAS >Vigun06g073600.1.v1.2 pep primary_assembly:ASM411807v1:6:20461295:20467091:1 gene:Vigun06g073600.v1.2 transcript:Vigun06g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCSSFACCQHKRHTQQQTMACVYKNPNEAIEVRVKDLLSRMTLREKIGQMTQIERTVANDYAIRDLSIGSILSSGGSSPFENAQSSDWADMVDGFQKLALQSRLGIPLLYGIDAVHGNNSVYGATIFPHNVGLGATRDCDLVRRIGAATALEVKASGIHYTFAPCVAVLKDPRWGRCYESYSEDTEIVRKMTSIVSGLQGQPPEGHKHGYPFVAGKNNVVACAKHFVGDGGTHKGVNEGNTIIPYEDLERIHMAPYLDCISQGVSTIMVSYSSWNGRKLHADRFLITEILKDKLGFKGFVISDWEGLDRLCQPHGSDYRFCISSAVNAGIDMVMVALRYKLFIEELTSLVKTGEVPLSRIDDAVERILRVKFAAGLFEFPFSDRSLLDTVGSKPHRDLAREAVQKSLVLLKNGKYHNKPFLPLNKNAKRILVAGTHADDLGYQCGGWTKTWYGMSGRITVGTTILDAVKASVGAETEVIYEKHPSKATIELNEFSFAIVAVGEPTYAETLGDNSELRIPLNGADIISLVADRIPTLVILISGRPLLLEPWLLEKIDALVAAWLPGSEGEGITDVIFGSHDFKGKLPVNWLRRVEQLDQPSDGVNSCEPLFPLGFGLTYH >VigunL005101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:65281:67104:-1 gene:VigunL005101.v1.2 transcript:VigunL005101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGFDERTSIQILDFRIERDIERNQEFSLFLRFMDPIQFSGIFHSYFFPSREFYKTLGLPNLEYPTFTQFTGFNKQSIFHDQASSYTYEFHWTQNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun08g046300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4930372:4933114:-1 gene:Vigun08g046300.v1.2 transcript:Vigun08g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFEAGDKFWNLVSRVDEVQLRDNLIDGNMSNVLLNSTFIDLASNGLKGCLPQLSPNVVFVSLVNNSLSGDMSPLLCDHKMLNGKNNLLFLDISMNNLSGGLTNCWKNWKSLVVVRLGSNNLSGKIPPSMGFLSNLTSLHLDENKLHGDIPPSLQHCHSLLVFNVRNNQLSGNIPYWISHSVMTLQLRSNHFTGKIPPQICQFSSLIILDIANNTISGHIPNCLSNIKSLLFNNASLSKLSFYFPSYDGYFYSDEYLELVIKGQVLVYENNLHFMTLIDMSSNNLSGTIPPQIFSLIGLSSLNLSHNKLAGKIPNEIGNMKNLESLDFSLNQLGGQIPQSLSSLSFLGYLNLSFNNLTGKIPSGTQLQGFTTLSYMGNHDLCGPPLTKMCIQDDNHKDTKLVDEDGNQSIFLAWFYIGIESGFTTGFLGVCCAIFLNRKLRHAYFKFLYDLRDRLYVMVIINMNPFR >Vigun04g043300.6.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTGTSRIEILCLDISVSEKEETLHCNEDAFEKMKNLKILIIRNGKVSGAPSCLPESLRVLEWHGYPSNCLPSSFDPNKLVTCKLLKSHFTSFGFLGKAGLKNITFNLLIDF >Vigun04g043300.3.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTGTSRIEILCLDISVSEKEETLHCNEDAFEKMKNLKILIIRNGKVSGAPSCLPESLRVLEWHGYPSNCLPSSFDPNKLVTCKLLKSHFTSFGFLGKDWKWVKSKDGEEVGSTVFSDLRYFELNSCNLNDDFFSAAFMQLATVTSLHFREVNITFLPECIKEFHCLNYLNVTRCKRLEEIRGVPPNLKIFRAIHCTSLTSSGSSMLLNQQLHEVGETDFIFRGGSIPSWFDKQSRGPSISFWFRHKFPPKVLCLTVAPLLDLVAEMIRPMVLINDKVQEHTFKPIDEVRLELDHMHLFGVRELHFDDGLMEMPLEKEWKHVEVTYEGLVDTSLIKAIGIHVVKEESMGMKDIRFDDPYTSTKVWPCNFFIAFLPFFFLFSLALVLFTSFMAYPTQL >Vigun04g043300.2.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTGTSRIEILCLDISVSEKEETLHCNEDAFEKMKNLKILIIRNGKVSGAPSCLPESLRVLEWHGYPSNCLPSSFDPNKLVTCKLLKSHFTSFGFLGKFENLTVLNFDNCQLLTRIPDVSDLSNLKKLSFEGCKGLIALDDSIGFLNKLIILKAEGCTKLRRFPPLNLPSLEKLELSYCSSLKNFPEILGKMGNIGELSLSKLAIKELPVSFQNLTELHRLHISCDFYRLNSIVLTPKLVAIIVINCKDWKWVKSKDGEEVGSTVFSDLRYFELNSCNLNDDFFSAAFMQLATVTSLHFREVNITFLPECIKEFHCLNYLNVTRCKRLEEIRGVPPNLKIFRAIHCTSLTSSGSSMLLNQQLHEVGETDFIFRGGSIPSWFDKQSRGPSISFWFRHKFPPKVLCLTVAPLLDLVAEMIRPMVLINDKVQEHTFKPIDEVRLELDHMHLFGVRELHFDDGLMEMPLEKEWKHVEVTYEGLVDTSLIKAIGIHVVKEESMGMKDIRFDDPYTSTKVWPCNFFIAFLPFFFLFSLALVLFTSFMAYPTQL >Vigun04g043300.5.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTDWKWVKSKDGEEVGSTVFSDLRYFELNSCNLNDDFFSAAFMQLATVTSLHFREVNITFLPECIKEFHCLNYLNVTRCKRLEEIRGVPPNLKIFRAIHCTSLTSSGSSMLLNQQLHEVGETDFIFRGGSIPSWFDKQSRGPSISFWFRHKFPPKVLCLTVAPLLDLVAEMIRPMVLINDKVQEHTFKPIDEKKSGNMWRLHTKVWLIPHSSKQLESM >Vigun04g043300.4.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTDWKWVKSKDGEEVGSTVFSDLRYFELNSCNLNDDFFSAAFMQLATVTSLHFREVNITFLPECIKEFHCLNYLNVTRCKRLEEIRGVPPNLKIFRAIHCTSLTSSGSSMLLNQQLHEVGETDFIFRGGSIPSWFDKQSRGPSISFWFRHKFPPKVLCLTVAPLLDLVAEMIRPMVLINDKVQEHTFKPIDEVRLELDHMHLFGVRELHFDDGLMEMPLEKEWKHVEVTYEGLVDTSLIKAIGIHVVKEESMGMKDIRFDDPYTSTKVWPCNFFIAFLPFFFLFSLALVLFTSFMAYPTQL >Vigun04g043300.1.v1.2 pep primary_assembly:ASM411807v1:4:3734808:3738579:1 gene:Vigun04g043300.v1.2 transcript:Vigun04g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFRVERKSHQHLRRQLKSLGLPSLCSLTTMLLPPSAWMNLQPSFTATPKDCWFYRSSIRFTLLIDGYEYKFMGSVVDWVCHKINPGHLHVADYPVGLGWPVVELRKLLNVESDDGFHMIGIHGMGGVGKTTLALAIYNMIAICFEGSCFLQNVREKSNKHGLEHLQSILLSKILGEKDINLASEHEGISMIRQRLQRKKVLLILDDVDKCEQLRALAGSPDWFGPSSRVIITTRDTHLLASHQVKRTYEFNPLNEKDALQLLTWKAFKTEQVDPSYIEVLNHAVTCASGLPLALEVIGSNLFAKSLEQCKSAVNQYKRIPSSQILDKLKVSFDALEGEEKSVFLDIACCFRGYALAEVEIMLRALYDDCMKHHIGVLIDKSLIKIGWSSTIEMHDLIEDMGRQIDRKESSKEPGKCRRLWLPKEIIQVLKNNTGTSRIEILCLDISVSEKEETLHCNEDAFEKMKNLKILIIRNGKVSGAPSCLPESLRVLEWHGYPSNCLPSSFDPNKLVTCKLLKSHFTSFGFLGKKFENLTVLNFDNCQLLTRIPDVSDLSNLKKLSFEGCKGLIALDDSIGFLNKLIILKAEGCTKLRRFPPLNLPSLEKLELSYCSSLKNFPEILGKMGNIGELSLSKLAIKELPVSFQNLTELHRLHISCDFYRLNSIVLTPKLVAIIVINCKDWKWVKSKDGEEVGSTVFSDLRYFELNSCNLNDDFFSAAFMQLATVTSLHFREVNITFLPECIKEFHCLNYLNVTRCKRLEEIRGVPPNLKIFRAIHCTSLTSSGSSMLLNQQLHEVGETDFIFRGGSIPSWFDKQSRGPSISFWFRHKFPPKVLCLTVAPLLDLVAEMIRPMVLINDKVQEHTFKPIDEVRLELDHMHLFGVRELHFDDGLMEMPLEKEWKHVEVTYEGLVDTSLIKAIGIHVVKEESMGMKDIRFDDPYTSTKVWPCNFFIAFLPFFFLFSLALVLFTSFMAYPTQL >Vigun03g165200.1.v1.2 pep primary_assembly:ASM411807v1:3:18861314:18863345:-1 gene:Vigun03g165200.v1.2 transcript:Vigun03g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSATKDVPFNSQLEEDLKNKGTKNKVVGEADRVPYTEDAEGTESEAEEDPNLKLDLGPLFSLKEQIEKDKDDESLRKWKEQLLGSIDVSAVGENKEPEAKIVSLTIICPGRPDLILPIPFTSDAKKSIFILKEGSQYRLKFSFTVSNNIVSGLKYSNVVWKTGVRVDNTKKMLGTYSPRQEPYTYELEEETTPSGLFARGTYSARTKFVDDDRKCYLDTSYYFEIQKSWPTTI >Vigun08g111500.1.v1.2 pep primary_assembly:ASM411807v1:8:27661989:27664228:1 gene:Vigun08g111500.v1.2 transcript:Vigun08g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSTILSQGSISKTISHHTTREREREREREKMELYDNDFLEESMGLRREPWDTNPCSQENQLFSNAWGFDCFDQNQAFPSNSFSFQEVPQSYNFDYAFNEIYSSLFDEFSAPQIVDSSYNTLEASHSIPSFVPHEDFPLSLMEEEEDPGLLGEELHCLDLQASCKMEPSHSPEMPVFNTDSCVERKNRAKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTAILGDTIDYMKELLEKINTLKQEIELDSNTASIFKDFKPDEILVRNSPKFDVERRNGNTRVEICCAGKPGMLLSTVNTLETLGMEIQQCVISCFNDFTVQASCSEEVQQKKILSAEDIKQALFRSAGYGGRCL >Vigun11g124900.1.v1.2 pep primary_assembly:ASM411807v1:11:33231137:33235517:1 gene:Vigun11g124900.v1.2 transcript:Vigun11g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSRFTLPPTCSSFQFPKISVLSRFSNAFSTTTPLVSVLRVRAGPPSVSGADEDVLQIFFKERELNGDFISRTSDLLWRRNFKNSGDYDINALTDNTSQQTEQITETDNDGGFLKLTRTQEWLTGDNSPPINKKVTAKMLQDSSARRKKLNMLKYESLKRELLLLSVGIGLACSGYCLVIFSVQAAISYGIGVLFSCLYLQLLYQHADNISNENVPQIFKKKKSKKIGIRSEDLEDFLERSIKGSSISLSSPRLVIPATIYGLWILFHQYFANDFFDFQLVPAMFGMFVYKAAALVQVYRDNEGLRFVFPENEDGSSD >Vigun11g124900.2.v1.2 pep primary_assembly:ASM411807v1:11:33231137:33235517:1 gene:Vigun11g124900.v1.2 transcript:Vigun11g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSRFTLPPTCSSFQFPKISVLSRFSNAFSTTTPLVSVLRVRAGPPSVSGADEDVLQIFFKERELNGDFISRTSDLLWRRNFKNSGDYDINALTDNTSQQTEQITETDNDGGFLKLTRTQEWLTGDNSPPINKKVTAKMLQDSSARRKKLNMLKYESLKRELLLLSVGIGLACSGYCLVIFSVQAAISYGIGVLFSCLYLQLLYQHADNISNENVPQIFKKKKSKKYT >Vigun11g148500.2.v1.2 pep primary_assembly:ASM411807v1:11:35775967:35778945:-1 gene:Vigun11g148500.v1.2 transcript:Vigun11g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPTVSADYQKAIEKAKKKLRGFIAEKRCAPLMLRLAWHSAGTFDVSTKTGGPFGTIKHPAELAHGANNGLDIAVRLLEPIKAEFPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAAVCIFHNYLNIGDMIDESSASNLGFLMISGRRCLLR >Vigun11g148500.3.v1.2 pep primary_assembly:ASM411807v1:11:35775967:35778945:-1 gene:Vigun11g148500.v1.2 transcript:Vigun11g148500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPTVSADYQKAIEKAKKKLRGFIAEKRCAPLMLRLAWHSAGTFDVSTKTGGPFGTIKHPAELAHGANNGLDIAVRLLEPIKAEFPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFADYAVAHQKLSELG >Vigun11g148500.1.v1.2 pep primary_assembly:ASM411807v1:11:35775967:35778945:-1 gene:Vigun11g148500.v1.2 transcript:Vigun11g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPTVSADYQKAIEKAKKKLRGFIAEKRCAPLMLRLAWHSAGTFDVSTKTGGPFGTIKHPAELAHGANNGLDIAVRLLEPIKAEFPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFADYAVAHQKLSELGFADA >Vigun08g006000.1.v1.2 pep primary_assembly:ASM411807v1:8:523659:524833:1 gene:Vigun08g006000.v1.2 transcript:Vigun08g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGILEVLVVNAKGIIHTNFVGTPSYYVIIECGTQTRITKVSSGKHEKPRWNEKFIFDLSEFDCKNSTYLKCRIMDTELFRNGGFVGEAKIFIGGIILEGSDQGYIEIQPAPYNVVLQDHTYKGQIKIGFKFIADNNEEYLMEKPDEFKGYEKKESPNSIFGWIWRISLWKILFFY >Vigun03g200960.1.v1.2 pep primary_assembly:ASM411807v1:3:30422594:30425735:-1 gene:Vigun03g200960.v1.2 transcript:Vigun03g200960.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding WGGGDGGFGGGGGGRGGGGGGGVRGGGGDGGVGGGSDGRGGASGGGKGDGGGFGGGGCFGGGFRGGGGGVRGGGGDGGVGGGSDGRVVVVVVVVVVVVVVVLVVVVVMVMVVVAVVLVVMVLVLVGGSGGCSGGSGGGCGVGGGGGYGCRGSGDGG >Vigun01g108900.1.v1.2 pep primary_assembly:ASM411807v1:1:28151719:28153814:1 gene:Vigun01g108900.v1.2 transcript:Vigun01g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGLLAAATLLALLAITFSFFNPQALFSPPHLPGSKDHLHAARILHVAGAVGPESLVFDAHGGGPYTGVADGRILKWEGEQQGWTDFAFTSSNRSNCVRPFAPELEHVCGRPLGLKFDKKTGDLYIADAYLGLKVVGSEGGLASEVVTEVEGQPLHFTNDMDISEDEDVIYFTDSSTIFRRRQFMLVLLSGDRSGRLMKYDKSTKEVKVLLRDIAFPNGVALSKDGSFVLVAETTTCKILQLWLRGPKAGEVDTFVELPGFPDNIRRNSEGHFWVALHAKGSPFAKWVSSNPWAGKAMLKIGFNFKQLHSSVAGWKPHAAAVKLSDRGEILEVLEDCEGKTLKFISEVEEKDGKLWIASVLMPFIGVYSL >Vigun07g136600.1.v1.2 pep primary_assembly:ASM411807v1:7:24682315:24692491:1 gene:Vigun07g136600.v1.2 transcript:Vigun07g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRSAFLSRPPFDATQGGMAPFSSTATMVGPEPPSFRPTPPAPPLASTPFSLSAPPGQFNGPSVPPPSNSPPASGPFQRFPMTQFSSNTQSPPLFPPPMGQPTFQPSADQTQPFPASLPPQSHTPFVSMGSAPPALPGSTVPPPVFQPSFPGYPQKQVGSEMQASPVHSSNPSNQGNYGPVPAPPLPFQTHQGVYVSQPPMAALSGVQPMQQPGSGQPTVAINGLAEEFNSLSIQTRPGLMEPSIDSKELPRPLEGDEEPKSLADMYPMNCNPRYLRLTTSGMPDSQSLISRWHLPLGAIVCPLAEPPDGEEVPIVNFAATGVVRCRRCRTYINPYVKFTEGGRKFRCNACSLLNDVPSEYYAQIDATGRRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFATFDSAIHFYNMKSSLTQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVETFLDGLPSMFQDNVNLESAFGPSLKAAFMIMSQLGGKLLVFQNTLPSLGVGRLKLRGDDSKVYGTDKEHVLRLPEDPFYKQMAAEFSKYQISVNVYAFSDKYTDIASLGTLAKYTAGQLYYYPAFQSEIHGEKLRNELRRDLTRETGWEAVLRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETSLTNQTMYFQVALLYTASCGERRIRVHTAAAPVVKDLVEMYRLADTGAILSLFSRLAIEKTLSHKLEEARYAVQFGIVKGLRDYRNIYAGQRRLVNRMIYPESLKFLPLYGLALCRSAPLRGGYGDVSLDERCAAGHTMMTLPVKRMLKLLYPTLHRLDEYLLNADDLKSIDRRLPLTRESLDSRGLYIYDDGFQFILWFGRVISPDIAKNLLGSNFAAELSKVTLNENDNEMSRRLIKVLEKLRSSDRAYYQLCRLVRQGEHPREGFLLLSNLFEDQLGANNGYTDWMIQISRQVQQT >Vigun04g148900.1.v1.2 pep primary_assembly:ASM411807v1:4:36453571:36458278:1 gene:Vigun04g148900.v1.2 transcript:Vigun04g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCTGEGSDPVILANASDVTHFGYFQRHSVREFIVFVGRTVAKRTPQGQRQSVQHEEYKVHTYNRNGLCALGFMDDHYPIRSAFSLLNQVVDEYQKVFGESWRTVQEDSTQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >Vigun03g055300.1.v1.2 pep primary_assembly:ASM411807v1:3:4513565:4519176:-1 gene:Vigun03g055300.v1.2 transcript:Vigun03g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSSSSTNVTVKAAWVLPHRTQNIREVYEVGRKLGQGQFGTTFLCTHRTTGGKFACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHANVVRIEGAYEDSSAVHLVMELCEGGELFDRIVQKGHYSERQAARLIKTIVEVVEACHSLGVMHRDLKPENFLFDTVDEDAKLKATDFGLSVFYKPGESFCDVVGSPYYVAPEVLRKLYGPESDVWSAGVILYILLSGVPPFWAETEPGIFKQILLGKLDFQSEPWPSISDSAKDLIRKMLDQNPKTRLTAHEVLRHPWIVDDSIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGSITFDELKDGLKRVGSELMESEIKDLMDAADIDKSGTIDYGEFIAATVHLNKLEREENLVSAFSYFDKDGSGYITLDEIQQACKDFGLDDVHIDDMIKEIDQDNDGQIDYGEFTAMMRKGNGGIGRRTMRKTLNLRDAFGLVDNGSNQVIEGYFK >Vigun02g196100.1.v1.2 pep primary_assembly:ASM411807v1:2:33144361:33147313:1 gene:Vigun02g196100.v1.2 transcript:Vigun02g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIFLPCIAALLSLSNLKPLHAIQSNTIITAGSNSTWKSSSTDFEFGFYTLPNGLFLVGIWFGRIPERTLVWYLAPPVEPNSQIQFTSSGQLVVVHPNGTTAQTIYSGGNGGAATSATMQDDGNFVMKNSNLRPVWESFSFPADTILPGQTLQTNQSLYSKGRGPSNYSLGNFMLQMQGDGNLLLKAHQWADPAYCGGGNIYSITNTTPTPVEDYYHRAAIDENGNFQQYAYHKRNGSGWSRVWRAVEDPCRVNVVCGVYGLCSSPDNESVKCECIPGYIPFDDQDVSKGCHPPAVTNFCAETNFSLQVFDDTDFQFNTDFVRLSGFDLESCKKAVIDDCNIGAATYSQSTSTCVKKRLPLLNARNSTSSKGLKALLKVADRVDSGTPEVSKKRSFNVRVLLKVLVAVTATLACFLGALAVYYHPFTRRLTRRKKHMNATAIGINFREFTFQELHEATDGFTRILGRGGSGKVYRGALIIDGAEIGIAVKKLEKKIEKSEREFMTELRIIGRTHHRNLVRLLGFCIESSHRILVYELMPHGALSSYLFGEGERPEWGQRIEMALGVARGLLYLHEECNTQIIHCDIKPENVLLDANYTPKIADFGLSKLLNKDQTRTNTNLRGTMGYMAPEWLRSAPITAKVDIYSFGVMLLEIICCRRHIECCEDGKNSEDDDLVLSNFVLRCVVCRQLELVVRDDTEVLNDFKKFEEMALVGLWCVHPNPALRPSMKHVMQMLDGTVEVGVPPLVYDMMMSDQTYIV >Vigun02g196100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33144361:33147312:1 gene:Vigun02g196100.v1.2 transcript:Vigun02g196100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIFLPCIAALLSLSNLKPLHAIQSNTIITAGSNSTWKSSSTDFEFGFYTLPNGLFLVGIWFGRIPERTLVWYLAPPVEPNSQIQFTSSGQLVVVHPNGTTAQTIYSGGNGGAATSATMQDDGNFVMKNSNLRPVWESFSFPADTILPGQTLQTNQSLYSKGRGPSNYSLGNFMLQMQGDGNLLLKAHQWADPAYWYTSTTTPNVTLVFNSTTALMYLVGDGSGGGNIYSITNTTPTPVEDYYHRAAIDENGNFQQYAYHKRNGSGWSRVWRAVEDPCRVNVVCGVYGLCSSPDNESVKCECIPGYIPFDDQDVSKGCHPPAVTNFCAETNFSLQVFDDTDFQFNTDFVRLSGFDLESCKKAVIDDCNIGAATYSQSTSTCVKKRLPLLNARNSTSSKGLKALLKVADRVDSGTPEVSKKRSFNVRVLLKVLVAVTATLACFLGALAVYYHPFTRRLTRRKKHMNATAIGINFREFTFQELHEATDGFTRILGRGGSGKVYRGALIIDGAEIGIAVKKLEKKIEKSEREFMTELRIIGRTHHRNLVRLLGFCIESSHRILVYELMPHGALSSYLFGEGERPEWGQRIEMALGVARGLLYLHEECNTQIIHCDIKPENVLLDANYTPKIADFGLSKLLNKDQTRTNTNLRGTMGYMAPEWLRSAPITAKVDIYSFGVMLLEIICCRRHIECCEDGKNSEDDDLVLSNFVLRCVVCRQLELVVRDDTEVLNDFKKFEEMALVGLWCVHPNPALRPSMKHVMQMLDGTVEVGVPPLVYDMMMSDQTYIV >Vigun01g126800.6.v1.2 pep primary_assembly:ASM411807v1:1:30395473:30417347:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.2.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417352:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.8.v1.2 pep primary_assembly:ASM411807v1:1:30395428:30417347:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.16.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417346:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.11.v1.2 pep primary_assembly:ASM411807v1:1:30395473:30417224:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.7.v1.2 pep primary_assembly:ASM411807v1:1:30395428:30417347:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.9.v1.2 pep primary_assembly:ASM411807v1:1:30395473:30417224:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNPSPSLPRFEEEWQWHRNKVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.15.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417346:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.1.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417352:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.3.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417352:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.5.v1.2 pep primary_assembly:ASM411807v1:1:30395429:30417352:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.12.v1.2 pep primary_assembly:ASM411807v1:1:30395473:30417224:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGVKSRRFGLSGLKGANSPSSSTTSSSKQVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun01g126800.10.v1.2 pep primary_assembly:ASM411807v1:1:30395473:30417224:-1 gene:Vigun01g126800.v1.2 transcript:Vigun01g126800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNPSPSLPRFEEEWQWHRNKVLETSVDGQSSPASTSARSKQRHFNSETAAATPPLEAQRMKENVTVTVRFRPLNPREIRQGEEIAWYADGDTIVRNEYNPSIAYAYDRVFGPTTTTRQVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAITLSQLNLIDLAGSESSKAETTGMRRREGSYINKSLLTLGTVISKLTEDKASHIPYRDSKLTRVLQSSLSGHGRVSLVCTVTPSSSSTEETHNTLKFAHRAKYIEIRASQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDTGDDDIELLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASPSSRFPNRPGPRRRHSFGEEELAYLPYKRRDLILDEENVDLYVNLEGNAAIADDSFKGEKKMKKHGLLNWLKLRKRDSALTGTSDKSSGAKSTSTPSTPQAESGNHVESRLSHSQPAESSPSADLASEAREDKYIHEDSLLGQDTPLTSIKSVDQIDLLREQHKILSGEVALHSSALKRLSDEATRNPQNGQIHVEMENLKDEITAKSEQIDLLEKQISNSFIASDKIEQSGALQTVAELMAQLNEKSFELEVKAADNRIIQEQLNQKICECESQQETIASLKQQLADAELRNSIPVVNRSLDFSVTKDYHGEIHLDKGNKMINNSNEGIHLQVQTSEIEELKQRVAQLTELKEQLEFRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAAELGASKNSTTERRGSGTVQNGRRESHVRVRRNDQGGSNTNIKRELALSKERELSYEAALLEKDHKEAELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAETETDVSGSTRESLQMDGFDV >Vigun02g193900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33026516:33029514:-1 gene:Vigun02g193900.v1.2 transcript:Vigun02g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIGVNMSMSMSMSICSSRMVGREKGVLESCKTLRHVKQVHAQILRSKLDHSNSLHLKLFLCCCTLTSSSSSPSALHYALSLFTQIPNPHTRFSHQLFRQFSRGPTPEGTLLLYRLLRRKGTLLDRFSFPPLLKAVSKLSALDMGLEVHGLVSKLGFHDDPFIQTALIAMYAACGRITDARLVFDKMSNRDVVTWNIMIDGYSQSGHYDHVLRLYEEMKISGMEPDSIILCTVLSACGHAGNLSYGKAIHEFIKDNGFRVDSHLQTALVNMYASCGAVYLAREVYDQLPSKHLVVSTAMLSGYAKIGLVEDARFIFDQIVEKDLVCWSAMISGYAESDQPQEALELFNEMQRQRIVPDQITMLSVISACAHVGALVKARWVHSYADKNGFGRALPINNALVDMYAKCGNLAGAREVFKNMPRKNVISWSSMINAFAIHGDADSAIALFHRMKVQNIKPNAVTFIGILYACSHAGLVEEGEKFFSSMINDHDISPQREHYGCMVDLYCRAKLLRKALELIETMPFPPNVVIWGSLMSACQNHGELELGEFAAKRLLELEPDHDGALVVLSNIYAKEKRWDDVGLVRKLMRHRGILKEKACSRIELNNEVHVFMMADRYHKQSDEIYKKLDAIVSQLKLVGYTPSTSGILVDLKEEEKKEVVLWHSEKLALCYGLISERKESSCIRIVKNLRICEDCHSFMKLVSKVYRIEIVMRDRTRFHHFNGGTCSCRDYW >Vigun04g071500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8321048:8322437:1 gene:Vigun04g071500.v1.2 transcript:Vigun04g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFPSAHTLPAPPPARGHAVDASHRRQRRRREPNLLSRFSSLLLLPVTAHHTVRADTARPATGERPRRRRRPPSAMSPARTKPPESLLFLASSSRVRDGDYDHRRERHWQRL >Vigun01g100600.2.v1.2 pep primary_assembly:ASM411807v1:1:26810651:26814755:1 gene:Vigun01g100600.v1.2 transcript:Vigun01g100600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSKASLHVMFYFLFAIYFLSFEHCCVDANSTLIVHNATTVSSKTIPIPDTFLGVFVEEINHACAGGLWAELVRNKGFEVRGPNNTLNVWSIIGNESFISVSINDSSCFERNKAALQMEVHCNDKNPCPSGGVGISNPGYWGMNIEQGKRYKVVYHIKSEGEEGDFNFQLSFTGVGVIEVSSNITQVPEDGKWRKVETEVEAKATNHYSSLQITTSKGGSYLFDQISVMPLDTYMGHGFRKDLFQMVADLKPKFMRFPGGTYVEGYHLQNKYQWKDTIGAWEERPGHNNDIWNYWSDDGIGYLEYLQLAEDLGALPIWVFNAGFSRNEQINPSDLGPYVQDALDGIEFAKGSPESKWGSVRAGLGHPKPFDLRYVAIGNEDCEFSNYQANYLKIHDAIRSAYPDIKLISNCDASVTPLKHPADLFDFHTYTTSKDLFSMSTQFDRTARSGPKAFVSEFAVWRKDAGNGSLLAAVAEAAFLIGLEKNSDIVDMVAYAPLFSNINDRKWIPDAIVFDSYQMYGTPSYWVQKLFVESSGATFLPSTLNTTSSNQLIASAISWKDSTENKNYIRIKVVNFGRGTETIDISIDGFPVGQQFGCTKTVLTSDNVMDENSFAQPTKVVPQTSSAVNVESKIQAILSPFSVTSFDFLIL >Vigun10g141300.1.v1.2 pep primary_assembly:ASM411807v1:10:35823209:35824221:1 gene:Vigun10g141300.v1.2 transcript:Vigun10g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDCDIAMIGTSKRLSGSKGCLVILYTLVVASLIQSHGRDMREKHGRDIIKANSFSLHGYNTFNSSFSQTMGNSGNGRPSSGNETKNNEVLGKRCRRKKWVVKKMLAWVWRKITFNNIKRDAAAPPTYTK >Vigun01g124100.1.v1.2 pep primary_assembly:ASM411807v1:1:30102538:30103312:-1 gene:Vigun01g124100.v1.2 transcript:Vigun01g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKNAGESMKEKASNIGASAKAGMEKTKATVQEKAERMTTRDPLQKDLATQKKESKVDQAELDKRAARDQNAAAREDNAAAKQGAPYSTTGEHGHTTGFHHGTGGTYS >Vigun01g124100.2.v1.2 pep primary_assembly:ASM411807v1:1:30100062:30103307:-1 gene:Vigun01g124100.v1.2 transcript:Vigun01g124100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKNAGESMKEKASNIGASAKAGMEKTKATVQEKAERMTTRDPLQKDLATQKKESKVDQAELDKRAARDQNAAAREDNAAAKQGAPYSTTGEHGHTTGFHHGTGAKSFGRAQRSFQLRAS >Vigun04g132900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33323037:33327569:-1 gene:Vigun04g132900.v1.2 transcript:Vigun04g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSLKILYAALLLFHASGFSLGFTTPIKPSPDAKCREKEREALLNFKQSLVDDYGILSTWTYNQNNTDCCNWKGIQCNHRTGHVILLHLSDTQLKGAINLTSLVPLQYIQHLDLSDSNFVLNYIPEAIGSFTNLKYLNLSSCEFDGRIPFQLGNLSLLRCLDLGNNILQGPIPFQIGNLRHLQYLDLRWNFLSGEIPFQLTNLKQLQYLNLGENSLSGAIPFELRNLKRLHFLNLAGNTLSGAIPFRNENLPNLQTLGLGGDFNIEAEDSEWLSNLHSLTTLELSSLHNLSTSPKWLQAINALIPNLVELRLVHCSLSDTNIKTLFLSHSNFSTSLTILDLSSNVLTSSTFQLLSNFSLNLQELYLTENHIALSSFLFSNFPSIDILDLSLNNLSASVFQGNFSFGSKLRKLRLCNCSLTDRSFPIAYASTINSSSSLVILDLSSNLLKSSTMFYWLLNCTNNLQTLYLSDNMLEGPIPDGFGKVMNSLKHFYVSDNRLQGKIPSFFGNMCRLQTLYLGNNKLNGEFSQFFQNSSWCNRHVFQNLDLSYNQITGKIPKSITLLSELENLYLDGNSLEGDVTESHLSNFSKLQSLSLSYNTLSVRFVSSWVPPFQATTLSLASCKLGPSFPGWLQTQNSLIWLDISDNELNDSVPVWFWNKLQTLSGLNMSHNNLNGKIPDIPLKLLYRPSIILNANQFEGALPSFLLQASGLFISKNKFSDLYSFLCYKIMVPYLATLDLSNNQIKGQIPDCWQSLNQLLFLDLSNNELSGNIPISMGNLFKLEALVLRNNKLTGELPSSLKNCTNLFMLDVGENKLSGPIPSWLGESMQQLIILNMQGNHLSEHFPIQLCYLRHIQLLNLSRNKLSKAIPACLKNFTVMSEKSINRSETQRLIYEYNTATYSEIYGFFVSLAYRLDITWVWKGVEQVFTDPQLNLKSIDLSSNNFTGEIPKEVVCLVGLVSLNLSRNNLSGEIPSEIGNLSSLESLDLSRNHLHGKIPSSLSQLDFLGKLDLSYNSLSGRIPLGRHLQTFEGSSFEGNIDLCGEEINKSCPGENRTAKAEAATEKDGDDYDFFEALYMSMGVGFFVGFWGLLGPILIWKPWRIAYLSFLNKFTN >Vigun11g153000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36265607:36266460:1 gene:Vigun11g153000.v1.2 transcript:Vigun11g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAYAGKILCLYLLLYTLLNSSSLLLFHQSSIQNPNFPDRVVLCCNFKMLIRIETEACNKSEINDDGEDEEDDDTTLLNS >Vigun07g261400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37757748:37760992:1 gene:Vigun07g261400.v1.2 transcript:Vigun07g261400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKPFFCSWAFLLVVVFAQVTNGFYLPGSYMHTYSNKDAIFAKVNSLTSIETELPFSYYSLPYCPPVGGIKKSAENLGELLMGDQIDNSPYRFRMNVNETVYLCTASPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRFTVQNGVKIQWTGFPVGYTPPDGGADYIINHLKFTVFVHEYEGSGVQIVGTGEEGLGVISESDKTKASGYEIVGFQVAPCSIKYDPEVMVKHKMYDTLSPIHCPAELDKYQVIREQERISFTYEVEFVKSNIRWPSRWDAYLKMEGSRVHWFSILNSVMVISFLAGIVFVIFLRTVRRDLTRYEELDKETQAQMNEELSGWKLVVGDVFREPYCSKLLCVMVGDGVQILGMGGVTIVFAALGFMSPASRGMLLTGMILLYLILGIAAGYVSVRVWRTVKGTTEGWRSVSWSAACFFPGIAFIILTVLNFILWSSNSTGAIPISLYFEMFILWFCISVPLTLIGGFMGTKAQPIEYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIIVCAEVSVVLTYMHLCVEDWQWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSATLYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Vigun01g139900.1.v1.2 pep primary_assembly:ASM411807v1:1:32058566:32065000:1 gene:Vigun01g139900.v1.2 transcript:Vigun01g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGFDGHDEGYVPEDPNFPHNHSDEHDVGTVIDGSGFPHLLPDEHDAVDLVEDTDFPQNHFDEHEHDAGGLPGDTDSPQQEHVEEDHGAGEMSENFDSLPVEGSEAVLKGSEIKKWPGWPGENVFRMLVPVQKVGSIIGRKGEFIKKITEETKARIKILDGPPGISERAVMVSAKEEPDRPIPPAVDGLLRVHKQVINVDRELTDSALTAGRSVVTRLLVADTQAGSLIGKQGSTIKSIQDGSGCTIRVLGSEHLPVFALRDDSIVEIQGDSTGVHKAIELIAVHLRKFLVDRSIVGVFETQMQTSDVRANQNGPPHQNWGPPPQGFPAGGGGGGPAFAPNQQYMPPTHHYDSYYPPTTELPPMDKHLHQGPPPAYARDASVGIHSSSAQPQQSLVTKVTQHMQIPLSYADAVIGASGTNISYIRRASGASITIQETRGVPGEMTVEMSGTSSQIQAAQQLVQNFMAEAANATQDPLGGSVSQGYSAYPTNAPVYASPPSSTGAHTGHVPSADYGPVYGTNYGY >Vigun07g203900.2.v1.2 pep primary_assembly:ASM411807v1:7:32561761:32565607:-1 gene:Vigun07g203900.v1.2 transcript:Vigun07g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKPNRVGLVISTTPSIRVFLSGASKDATLSDDLRQASSDLLLQSELPYAPLRAVWMASDPSTRPDLTRLFSGTRLIFSSPKPREKSEELKARLRKLEDLAERKAYQELVKDIAPPKDVPEPFSSYKDQLGFGLHVVVTMFTGYLLGYAAFRALFNHSPAMNAAGGILGLVGAMLVETFLFIIRSSNADTNKTKKFSQKSRSSFSTPSSTKKNQ >Vigun07g203900.1.v1.2 pep primary_assembly:ASM411807v1:7:32561761:32565607:-1 gene:Vigun07g203900.v1.2 transcript:Vigun07g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKPNRVGLVISTTPSIRVFLSGASKDATLSDDLRQASSDLLLQSELPYAPLRAVWMASDPSTRPDLTRLFSGTRLIFSSPKPREKSEELKARLRKLEDLAERKAYQELVKDIAPPKDVPEPFSSYKDQLGFGLHVVVTMFTGYLLGYAAFRALFNHSPAMNAAGGILGLVGAMLVETFLFIIRSSNADTNKTKKFSQKSRSSFSTPSSTKKNQ >Vigun07g203900.3.v1.2 pep primary_assembly:ASM411807v1:7:32562568:32565607:-1 gene:Vigun07g203900.v1.2 transcript:Vigun07g203900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKPNRVGLVISTTPSIRVFLSGASKDATLSDDLRQASSDLLLQSELPYAPLRAVWMASDPSTRPDLTRLFSGTRLIFSSPKPREKSEELKARLRKLEDLAERKAYQELVKDIAPPKDVPEPFSSYKDQLGFGLHVVVTMFTGYLLGYAAFRALFNHSPAMNAAGGILGLVGAMLVETFLFIIRSSNADTNKTKKFSQKSRSSFSTPSSTKKNQ >Vigun08g132800.1.v1.2 pep primary_assembly:ASM411807v1:8:30386979:30389981:1 gene:Vigun08g132800.v1.2 transcript:Vigun08g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSASSSTTTFAIALFTLFLIGSSSAQLSENFYAKKCPKVLSAVKSVVQSAVAKEPRMGGSLLRLFFHDCFVNGCDGSVLLDGPSSEKTAPPNNNSLRGYEVIDAIKSKVEAICPGIVSCADITAIAARDSVNILGGPFWKVKLGRRDSKTGFFQLASSGVLPGPSSSLSTLISNFKDQGLSTKDMVALSGAHTIGKARCATYGSRIYTEKNIDSLFAKARQKNCPSNSNGTPKDNNVAPLDFKTPNHFDNSYYKNLINKKGLLHSDQVLFDGGSTDSLVTAYSNNQKAFESDFVTAMIKMGNIKPLTGSNGEIRRQCRSPN >Vigun03g062100.2.v1.2 pep primary_assembly:ASM411807v1:3:5115002:5120132:1 gene:Vigun03g062100.v1.2 transcript:Vigun03g062100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGFDPIFSKPKVEWTCRSSSPLCPFFVHVYAPDSSHLVIHVTNICEAWEARLSLSMLEDIRDIVGIGGSWSEFANYFITSLKSQDLKLVLEANSNSDASVSHAKLVAQKSKGMPLITIPLTKLVDSTATEVKLNFCSSLFDAFKMKSMECSLVKEQEHSARTNMLASEKERIETIQLKQRQKFQKISDLDNGVSTEGLQNSPDKKAARDGGSTKVKNRKVPAYRRTKVRGALLHDNGE >Vigun03g062100.3.v1.2 pep primary_assembly:ASM411807v1:3:5114994:5120260:1 gene:Vigun03g062100.v1.2 transcript:Vigun03g062100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGFDPIFSKPKVEWTCRSSSPLCPFFVHVYAPDSSHLVIHVTNICEAWEARLSLSMLEDIRDIVGIGGSWSEFANYFITSLKSQDLKLVLEANSNSDASVSHAKLVAQKSKGMPLITIPLTKLVDSTATEVKLNFCSSLFDAFKMKSMECSLVKEQEHSARTNMLASEKERIETIQLKQRQKFQKISDLDNGVSTEGLQNSPDKKAARDGGSTKVKNRKVPAYRRTKVRGALLHDNGE >Vigun09g030100.1.v1.2 pep primary_assembly:ASM411807v1:9:2481737:2487104:1 gene:Vigun09g030100.v1.2 transcript:Vigun09g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQTKFSVLCSLFTWTQRSKSAAKKRSKFRKFLDVFCIDRNFFPAIRLILPNLDRERGSYGLKESVLATSLIDALGISRDSPDALRLINWRKGGAATGATAGNFALVAAEVLHSRQGTASGGLTIKELNELLDRLASGENRAEKILVLSTLIQKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAKRVANATEAWKKLHGKEVVVECKFDGDRIQIHKNGTEIHFFSRNFIDHSEYAHAMSEIIMQNILVDRCILDGEMLVWDTSLKRFAEFGSNQEIGMYFNIA >Vigun02g101300.1.v1.2 pep primary_assembly:ASM411807v1:2:25601806:25609187:1 gene:Vigun02g101300.v1.2 transcript:Vigun02g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVESVIENLGSLVKDQLAIYWGVDEQTKKLSSNLKAIRAVLRDAERKQITSYAVKDWLQKLTDAAYVLDDILDECSIHSTKMHSVDGHTSRLSRLHPKDILFRFHIGKRMRDITQRFHSIHEERLTFELRESVTEKQAVDDDDWRKTSSDITEPIVYGRDQGREQILKFLLEDASDSEDLSIYPIVGMGGLGKTTLAKQVFNDDRVCKHFDLTIWVCVSDDFNTKAILQSIIECITGQNPNLNSLEAMRKKVEEALHNKRYLLVLDDVWNEDQEKWKELKGKLQCARGAKGATILVTTRLEEVVSIMGTHSAYRLTALSEDDSWSLFKHHAFGPNREESEELVTIGKEIMRKCVGSPLAIKTLASCLRDESEVSQWENVKKSEIWNIREESSSVTGDENSIMRVLKLSYSNLKSSVKRCFSFCAIFPKDWEIKKEEVIHLWMANGFIKCEGDVEVEDVGNKVWKKLYSRSFFQEAKYDEFGMITTFKMHDLFHDLAQSIMGEECVVIVEGRLTPLSTRVHYSSLLNSGVSVDVSDFRQRFMTVLKKVESLRTFLDIGGIGRVPTNHCLQALQTSSSLLSPLKDLTHLRYLSLSWNSEASLNNSICHLPKLQILKLQHLRHLYGLPKDLTQLQDLRHIVIIECPSIVEMPPKISKLRHLRTLSIFVVGSKPGCGLAELHSLKLGGTLRIRGLENVSNEWDAKEANLKSKKDLNRLHLSWDGSANSKGNNVSAEIILEALEPPSTLKSFGMNGYEGRRLSNWMRSVVVLKDLVEVKLFNCDNCEELPPLGKLPHLKRLVVSGMKNVKWIDGETYDGVEEKAFPSLEKLSVENLPNLERLLRDEGVEMLPRLSQLTIFGVSNLKFPRLPSVEILLARSIDEVTMEGVVGNMTCLKTLDIQIINGAVVLPDQLSGLDALQDLRVGYWNDLEYFPEHVLEGLTSLRTLSISYCHKLKSLSEGVGHLARLESLSIRYCPELMDLPSNMSQLTTLWKVSIAGSSSLPYGLQRAPSLRTLNITFCKCTSLPDWLGDITSLQQLSIDYCMELRSLPSSIQRLTNLSSLRIYNCSHLEKRCKRETGEDWQYINHIPKIELHFPKKPTFCA >Vigun02g110700.3.v1.2 pep primary_assembly:ASM411807v1:2:26431408:26436466:-1 gene:Vigun02g110700.v1.2 transcript:Vigun02g110700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYARSRSTFFCLFLAVFVAKSSFCFSAKVYVVYMGSKTGEDPDDILKQNHQMLAAVHSGSIEQAKASHVYSYRHGFRGFAAKLTNEQAHQISKMPGVVSVFPNSKRKLHTTHSWDFMGLLRNESMEIHGYSTKNQENVIIGFIDTGIWPESPSFRDTDMPPVPRGWKGYCQLGEAFNASSCNRKVIGARYYMSGHEAEEGSDRKVSFRSARDSSGHGSHTASTAAGRYVANMNYKGLANGGARGGAPMARIAVYKVCWDSGCYDVDLLAAFDDAIRDGVHIISLSLGPEAPQGDYFSDAVSVGSFHAAKRGVLVVASVGNEGNPGSATNLAPWIITVGASSTDRDFISDITLGNSVNITGESLSLLGMNASTRLMDASEAFAGYFTPYQSSYCVDSSLNKTKATGKVLVCRHAEYSSESKLEKSRIVKEAGAVGMILIDEANQGVATPFVIPSAIVGTKTGELILSYINNTRMPVSRISKAKTVLGVQPAPRVAAFSSKAGCYSSWIEHPCSMVSNCCWNEVQYFIRNFYGLSSCNWNCNSGQSCASFMVSFCYKICDHDNCNNSG >Vigun02g110700.1.v1.2 pep primary_assembly:ASM411807v1:2:26431408:26436466:-1 gene:Vigun02g110700.v1.2 transcript:Vigun02g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYARSRSTFFCLFLAVFVAKSSFCFSAKVYVVYMGSKTGEDPDDILKQNHQMLAAVHSGSIEQAKASHVYSYRHGFRGFAAKLTNEQAHQISKMPGVVSVFPNSKRKLHTTHSWDFMGLLRNESMEIHGYSTKNQENVIIGFIDTGIWPESPSFRDTDMPPVPRGWKGYCQLGEAFNASSCNRKVIGARYYMSGHEAEEGSDRKVSFRSARDSSGHGSHTASTAAGRYVANMNYKGLANGGARGGAPMARIAVYKVCWDSGCYDVDLLAAFDDAIRDGVHIISLSLGPEAPQGDYFSDAVSVGSFHAAKRGVLVVASVGNEGNPGSATNLAPWIITVGASSTDRDFISDITLGNSVNITGESLSLLGMNASTRLMDASEAFAGYFTPYQSSYCVDSSLNKTKATGKVLVCRHAEYSSESKLEKSRIVKEAGAVGMILIDEANQGVATPFVIPSAIVGTKTGELILSYINNTRMPVSRISKAKTVLGVQPAPRVAAFSSKGPNALTPEILKPDVTAPGLNILAAWSPTAAGMKFNILSGTSMACPHVTGIATLVKAVHPSWSPSAIKSAIMTTATILDKHHQHIRADPDKRRANAFDFGSGFVNPTRVLDPGLVYDSHSNDFVAFLCSLGYDERSLRLVTRDNSTCERAFKTPSELNYPSIAVPNLEDTFSVTRVVTNVGKARSIYRSVVLSPAGINVTVVPNRLVFTSVGQKIKFSVNFKVAAPSKGYAFGFLLWKNRISQVTSPLVVRVAPPSLGLVR >Vigun02g110700.2.v1.2 pep primary_assembly:ASM411807v1:2:26431408:26436466:-1 gene:Vigun02g110700.v1.2 transcript:Vigun02g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTGEDPDDILKQNHQMLAAVHSGSIEQAKASHVYSYRHGFRGFAAKLTNEQAHQISKMPGVVSVFPNSKRKLHTTHSWDFMGLLRNESMEIHGYSTKNQENVIIGFIDTGIWPESPSFRDTDMPPVPRGWKGYCQLGEAFNASSCNRKVIGARYYMSGHEAEEGSDRKVSFRSARDSSGHGSHTASTAAGRYVANMNYKGLANGGARGGAPMARIAVYKVCWDSGCYDVDLLAAFDDAIRDGVHIISLSLGPEAPQGDYFSDAVSVGSFHAAKRGVLVVASVGNEGNPGSATNLAPWIITVGASSTDRDFISDITLGNSVNITGESLSLLGMNASTRLMDASEAFAGYFTPYQSSYCVDSSLNKTKATGKVLVCRHAEYSSESKLEKSRIVKEAGAVGMILIDEANQGVATPFVIPSAIVGTKTGELILSYINNTRMPVSRISKAKTVLGVQPAPRVAAFSSKGPNALTPEILKPDVTAPGLNILAAWSPTAAGMKFNILSGTSMACPHVTGIATLVKAVHPSWSPSAIKSAIMTTATILDKHHQHIRADPDKRRANAFDFGSGFVNPTRVLDPGLVYDSHSNDFVAFLCSLGYDERSLRLVTRDNSTCERAFKTPSELNYPSIAVPNLEDTFSVTRVVTNVGKARSIYRSVVLSPAGINVTVVPNRLVFTSVGQKIKFSVNFKVAAPSKGYAFGFLLWKNRISQVTSPLVVRVAPPSLGLVR >Vigun02g075000.1.v1.2 pep primary_assembly:ASM411807v1:2:22654179:22662064:-1 gene:Vigun02g075000.v1.2 transcript:Vigun02g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPALYSAIEDLLPAFTFFIAIVFRMEKLDLKLRSCQAKTIGTVVSIAGALIVTFYKGLPMTNGAMPNNLSLSSQQSQWLLGGFLLSTGTFCCSVSLVIQTWTIKDYPEELMLMTVATSFTVILSFVVAFVAEQNPEAWILKPDMELVCILYSAIVVLATRSVVYAWACRKKGAVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIVVGFYAVIWGQAQEENICEKHGTCNIISSSSSCSEVTLLLNKNKDTMIV >Vigun02g075000.2.v1.2 pep primary_assembly:ASM411807v1:2:22654179:22662064:-1 gene:Vigun02g075000.v1.2 transcript:Vigun02g075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSSPALYSAIEDLLPAFTFFIAIVFRMEKLDLKLRSCQAKTIGTVVSIAGALIVTFYKGLPMTNGAMPNNLSLSSQQSQWLLGGFLLSTGTFCCSVSLVIQTWTIKDYPEELMLMTVATSFTVILSFVVAFVAEQNPEAWILKPDMELVCILYSAIVVLATRSVVYAWACRKKGAVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIVVGFYAVIWGQAQEENICEKHGTCNIISSSSSCSEVTLLLNKNKDTMIV >Vigun02g075000.3.v1.2 pep primary_assembly:ASM411807v1:2:22654179:22662064:-1 gene:Vigun02g075000.v1.2 transcript:Vigun02g075000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPALYSAIEDLLPAFTFFIAIVFRMEKLDLKLRSCQAKTIGTVVSIAGALIVTFYKGLPMTNGAMPNNLSLSSQQSQWLLGGFLLSTGTFCCSVSLVIQTWTIKDYPEELMLMTVATSFTVILSFVVAFVAEQNPEAWILKPDMELVCILYS >Vigun02g194400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33049684:33050873:1 gene:Vigun02g194400.v1.2 transcript:Vigun02g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAFHHLRSPLTSSSHFPWFPPHSLRPTLTASVLFKPRPLTSIGISLLPERRRVPVAGVVEESQEGSEPEPEAESKAELASELKKAMAERKEKEEDSLLSGVAREIDEIEWPTFGKVLGTSGVVLGVIFGSSVVLLTVNAILAELSDRVFAGKGIQDFFS >Vigun11g118300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32437778:32438647:1 gene:Vigun11g118300.v1.2 transcript:Vigun11g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATSFHPKGVSVSVLLLCILLHFGVATLVVGDEKLDKQIFRDGLGRRGGGGGGLGGGGGFGGGGGGGLGGGAGRGGGFGAGGGVGSGVGGGIGGGGGGGGRGGGGGGGGLGGGSGHGGGFGAGGGVGGSIGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGGGVGVGGGVGGGIGGGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGGIGGGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGGGGGRGGGGGIGIGIGIGVGIGVGGGKGSGSGVGVGVGGGGGGKH >Vigun03g322450.1.v1.2 pep primary_assembly:ASM411807v1:3:51832331:51835906:1 gene:Vigun03g322450.v1.2 transcript:Vigun03g322450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRDVKVVGHDGNLINIQETVGYYDPLQYPLLFPFGTYGWDTNTKNHNGQSISCREYYSFMLQIRPNDQFVILQAGRLLQQYVVDNYVKIETGRLRWIRNHQNNYVKIEYQGLQDALHEGQTHADTVGKRTILPSLFIDGMVIVAHNGKPDIFLTMTCNPSWSEISSELQNQQTPQDRPDLLTRIFRAKFEQLKEDVVNKGVLGKVISYMYVTEFQKRGLPHVHMLLILDNNDKLRDPQDYDSIVRAEIPNKAEEPQLHEVVLKHMIHGPCGTLNPRSPCNDSYPQYRRRFDEPISINRNVTVDNRWVVPYNPWLLLKYDCHINVEVCSSIKSIKYLYKYVYKGPDRVAMEIHRGPIIDEVQQYLDARWICAPEALWKIFRFTIYRMNPAVERLQIHLPNRQQVRFYKHQNINDVLNDDNNSKTMLTQFFALNQRDPQSRTFLYREIPEHYCWNNRHKEWYPRRSNKKVIGRIYTVSPSEGDKFFLRVLLSHIRGPTSWEYLLSPNETYCHTFKKAVEKWGFLESDNSIHECLVEASTLKMPYALRRLFVTILLLCEPTDVRSLWNHFHTYMLEDYTSTNTYVNKNLIPMLLRDLNDLLIQHGKTIKDFDLSPLSYDALPTTSVPRIIQEELSIQIPNEDVDNVHRVNHDQLIAFNTILDVINRNQSQVFFVDGPGGTGKTFLYRTLIAHCRSNGQIILATASSGIAATLLPGGRTAHSRFKIPINVEAAPMINRYVLEALDRTLKDILDSDAPFGGKVIILGGDFRQVLPVVQKGTKAQMISACIINSHLWSNTKILHLQQNMRSLQDHNFAEYLMRIGNGIEPTQVDDMVKIPQQLAISWEGETSIQHLIHQTFPQLQFHTWDASYMAERAILTPKNEDEKNVEVEGDTYHLYQHEYLHTICPGGLPPHNLKVKKGSPLMLLRNIDPKSGLCNGTRLLCREFYMNILDVEILTGHHAGKRAFLPRIKHKTTESAGLPFVLIRKQFPVRLSFAITINKSQGQTIPTVGIYLPRHVFSHGHLEGQEGIFTKNVVYKEILLSQN >Vigun08g188000.1.v1.2 pep primary_assembly:ASM411807v1:8:35656907:35657549:1 gene:Vigun08g188000.v1.2 transcript:Vigun08g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKIVFVTFFTLLVVFAAAQESSNGLTCDQEKSLFAPCFDFLTKKTDAPATSCCQGLKQIISSASTKEEKQAACSCLKDAASKFLPNIDKDRANHICQTCKSSTDLGCQKLH >Vigun11g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:13697693:13700886:1 gene:Vigun11g061700.v1.2 transcript:Vigun11g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSVLLWNMFPFGCGFARICGSAFGVVCDSVFGLRVVGCGFYILLLLILILELFIYTICNCFKCSTVSLLSILFHFCSVYTNGYGFLFWISVWLECVPCFYFISFFCSFLFWFSISTIPMEKNFVLWVFYFFTFGFVWSVVWLEEILVFVSGYGWNVCFTVWIVNLRCVGVLAFSIQYLWFDVLTFTIWFMGGFRWFDVDFFL >Vigun03g280850.1.v1.2 pep primary_assembly:ASM411807v1:3:46015736:46018450:-1 gene:Vigun03g280850.v1.2 transcript:Vigun03g280850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKERVPSLICFYILFWTILVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL059708.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:28744:28902:1 gene:VigunL059708.v1.2 transcript:VigunL059708.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun09g129500.1.v1.2 pep primary_assembly:ASM411807v1:9:28656617:28658958:-1 gene:Vigun09g129500.v1.2 transcript:Vigun09g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALQFQASWPRTVAPLTKHTFAANVHSHRSLSFTKLSSLPQPFCSFTVQPTHHTSAVGSPSLQHWNLTSRHVTVLNVFACATAVCATWLFFSAIPTLLAFKKAAESMEKLMDATREDLPNTMAAIRLSGMEISDLTTELSDIGQEITQGVRSSTRAVRLAEERLRRLTTMPSSASLQGKINSKVEDSDEPAVARTARGVREGLVKGRAMLQMFFTLTQFSRFALKFISGRRKLSS >Vigun05g059100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5071845:5072966:1 gene:Vigun05g059100.v1.2 transcript:Vigun05g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIPSPHFTHLGLGYSIAIALAFLFLLSTLLLSSYLCCRATRHRTQTTAVVTAAANPSDGVVLPRVIFVAEDDNEEEEASVALGLDQSVINSYPRFQFDRDNARNSNGNNNTCSICLCEYKDSEMLRMMPECRHFFHLCCLDSWLRLRGTCPVCRNSPLPTPLSTPLQEVVPLSQYAADRRRSR >Vigun05g127850.1.v1.2 pep primary_assembly:ASM411807v1:5:14605477:14607687:1 gene:Vigun05g127850.v1.2 transcript:Vigun05g127850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCNLNFSISPTTIFFSSKGDFLFFWKSSSVLLLLVVFFTSCNFLFRKGFSYSVLLLLVVFVTSCNFLFHKSSGGRDMKKDLACGLEGTIVCSYLVQHFKKFWANEHEPMKGLLNVIKKSLILDLSNPSSQFECTTEEAYA >Vigun05g127850.2.v1.2 pep primary_assembly:ASM411807v1:5:14605477:14607687:1 gene:Vigun05g127850.v1.2 transcript:Vigun05g127850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCNLNFSISPTTIFFSSKGDFLFFWKSSSVLLLLVVFFTSCNFLFHKSSGGRDMKKDLACGLEGTIVCSYLVQHFKKFWANEHEPMKGLLNVIKKSLILDLSNPSSQFECTTEEAYA >Vigun07g092400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14466178:14467200:1 gene:Vigun07g092400.v1.2 transcript:Vigun07g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGVYSFQRDQFMKFHRKTRVTSSVTLGSVQRRAPHRCFPPSCIFRQVYRKLKNRLKQALGWKRTSPQYSYDFRSYSLNFDDGLSKEHMPPRFSS >Vigun02g203000.1.v1.2 pep primary_assembly:ASM411807v1:2:33689062:33692161:-1 gene:Vigun02g203000.v1.2 transcript:Vigun02g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISVDSLSCYISRLCFIATPPSIPLNCSNAHCCHCCGQFLQHHTSTALQDVKAQLISVAQMPPQQLGKPEVMENILNQLKDHLCDLMMDQHCVLSILAIFQASTVHHITRILDLVIQNQHKLKEVCMHNHGSLVIQKLLEHLKTPEQISAAVFAVKKITVRLTKSINGGHVLHHCFKLFSPALTTFIIDEVAENCVEIATDKSGCSILQKCLHHAKDNNMKRLIEEIVSHASLLTEHPFGNYAVQHVVKMKIPRVNAEIMLQLQGRYAQLSMNKHASNVVEHLLEFSEEKDAANIIQELMYTQNFLRIMQDPYGNYVVQRALQNCKGGVYKMLSAIVLLNYPHLHTHPYGKRVLTFVQRRKNYEQRHI >Vigun07g273100.1.v1.2 pep primary_assembly:ASM411807v1:7:38852771:38857865:-1 gene:Vigun07g273100.v1.2 transcript:Vigun07g273100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITCILLMCLLSSFSPPIEALLNLTVLPHQHPHPEAVVHELQRKVNVSLWRRQTLSKDQQTACLTGNPIDDCWRCDPNWAANRQKLAECGIGFGKDSMGGKGGQIYVVTDSSDRDPANPVPGTLRHAVIQDEPLWIVFAADMTINLKHELIFNSFKTVDGRGANIHVTGHGCITLQYVSNIIIHNIHVHHCTPSGNTNIRASPTHVGWRGRSDGDGISIFSSRKIWIDHCSLSYCTDGLIDAIMGSTAITISNNHFAHHDEVMLLGHNDKYSPDRGMQVTIAFNHFGEGLVQRMPRCRLGYIHVVNNDFTQWQMYAIGGSANPTINSQGNRYTAPSDPDAKEVTKRVDTDDREWSGWNWRTEGDIMVNGAFFVPSGAGLSAQYAEATSVQPKSAVQIDQLTMYSGVFGDPRDNGDLYPGFNGGGTVTGATSKGNSAAGSTSDDGDFFGMIFRGSSSRAAPPSSPSRSIAFVTTFLSLVIILVLDTSTNHPIL >Vigun07g273100.2.v1.2 pep primary_assembly:ASM411807v1:7:38852771:38857865:-1 gene:Vigun07g273100.v1.2 transcript:Vigun07g273100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGQIYVVTDSSDRDPANPVPGTLRHAVIQDEPLWIVFAADMTINLKHELIFNSFKTVDGRGANIHVTGHGCITLQYVSNIIIHNIHVHHCTPSGNTNIRASPTHVGWRGRSDGDGISIFSSRKIWIDHCSLSYCTDGLIDAIMGSTAITISNNHFAHHDEVMLLGHNDKYSPDRGMQVTIAFNHFGEGLVQRMPRCRLGYIHVVNNDFTQWQMYAIGGSANPTINSQGNRYTAPSDPDAKEVTKRVDTDDREWSGWNWRTEGDIMVNGAFFVPSGAGLSAQYAEATSVQPKSAVQIDQLTMYSGVFGDPRDNGDLYPGFNGGGTVTGATSKGNSAAGSTSDDGDFFGMIFRGSSSRAAPPSSPSRSIAFVTTFLSLVIILVLDTSTNHPIL >Vigun03g154700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16431834:16433198:-1 gene:Vigun03g154700.v1.2 transcript:Vigun03g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSASSSIFFLIPTPKPSHSSRFTVRAHSKSPTLPNLKALAGAAVFAAVALQFPSARACPPPPPPLQTDHRHEESLSPLSDLLETNAEALAALKSLLQQKLELGEDDEALAILKRLIAAQPDAVEWKFLAARLAAEMGDADGARAYYEEVLAANPLSFEALFENALLMDRSGEGEAAIRRLEEALRVAEEDNKKKEARDVRLIIAQIMFLQKNVDEALGIYDELTKEDSKDFRPYFCRGMIYSLLDRNEEAKEQFAKYRELSPKKFEVDGYLRTPLSRMKLFSTDES >Vigun09g000050.1.v1.2 pep primary_assembly:ASM411807v1:9:2302:4871:-1 gene:Vigun09g000050.v1.2 transcript:Vigun09g000050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSGFGFRGFVFGFSGVGCRGFRFWDLGFGGFGFRVSCFGVGFWVSCVGFGVSGFRFRVTGFGVSGFGIWGLGFGFRVSGVGIRVLGFRFRVLGFVVSGFGIWGLGFGFRISGVGFRVSGLGCQVSGLGFRVWGFVFGVLGFGVWGLGLRFRVSCLGFQVFGFEVWGLGFGVSGFGFWVSGVGFRVSGFEIWGWGWGLGFRV >Vigun05g021900.1.v1.2 pep primary_assembly:ASM411807v1:5:1825006:1827017:1 gene:Vigun05g021900.v1.2 transcript:Vigun05g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNYTSSGSYPRFPSSSSSSASPYPSFTFLHPENSSASTTFLHDPLSVTFIPTNYHAPMPETLANWAVADCAAAAMLNQDLGGALYGITKKPARKTAKKDRHSKIHTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLDWLFTKSKKAIKELTRSKHSADSFEFSSSSDGEVVSVIHQQQQGVDLEEGKLKEPAYCVKAKMKESREKARARARERTSCKILCSGEGKVQESKKKCPATENHQILNQLRSPLQLHPQDLDGGVPRDDDFNVIEESIVIKRKLKHSLMSSIHHQNAVIPKEASVNNSDYHSFPNLSPNWEANNSAANGRSTFCAIASMNLSAGLQIFGKSWEECTNPHPT >Vigun09g249200.1.v1.2 pep primary_assembly:ASM411807v1:9:41654239:41655617:-1 gene:Vigun09g249200.v1.2 transcript:Vigun09g249200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGQVIGVHSVSAWEEHLKKGQESKKLIVVDFTASWCGPCRFIAPILAEIAKKLPHVTFLKVDVDELESVSQEWKVEAMPTFLFLKEGKVVDKVVGAKKDELQQAIVKHSEPAAAASSI >VigunL059627.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:26435:27027:1 gene:VigunL059627.v1.2 transcript:VigunL059627.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun09g074500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8386236:8387426:-1 gene:Vigun09g074500.v1.2 transcript:Vigun09g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSLRGFFVLICVGILASQPGMVSGLRSKDLALRWDQRQSPLAQIARALKAVAMEDLQSQLDLAPAPSLTFDPNQSNKRTVRKGSDPIHNRC >Vigun11g039650.1.v1.2 pep primary_assembly:ASM411807v1:11:5566931:5568208:1 gene:Vigun11g039650.v1.2 transcript:Vigun11g039650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHCDALANNPRLFNLSMGHSQQSANIIYILLRGRFRNACSVCPVDFSFVVNSYDAAMGFSKYFVQLFYFEEWRSRLEFKGL >Vigun06g029300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13137527:13138309:1 gene:Vigun06g029300.v1.2 transcript:Vigun06g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKIMSVVPSSSESSTKECDVFISYQGKDTRRNFSSHLYEALMQKQVETCEDEDIEKCDEISAALMKAIEFSCIYCCVFKELWFLKVVLE >Vigun11g170900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37682668:37684707:1 gene:Vigun11g170900.v1.2 transcript:Vigun11g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPDKAAALKQLKSHVAMFGTLVVVLRVTPYVLHFLTAEKEELKLEL >Vigun01g077400.1.v1.2 pep primary_assembly:ASM411807v1:1:21849602:21851840:1 gene:Vigun01g077400.v1.2 transcript:Vigun01g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDPELWSSAPIYQSQWLQTTISDLDEKLDAMKTILDGDNSPKELMHCEWREDLIEMLEDFGQSYRVLAIAYNQLKLKTSQGTFQSGSLSSSDTSKTICSICNNRATGKLKDKNLKDDRNHQPKYSLEHSSIKFDRRNLGFDLSNNQGDECSLEHSNIKFDRSILGFDLFNEQGDECHELLSAGTCSTKLKLERRDIQMEDRMTKFSTTEDAFKKIDDSELNQRTEDPPMIHFKCDKLWSTLMYQTKLIQDNLHQLVELAQRNDEKRETIRRLRLKVETLKTENRALQISLTHSNADSECDQPQISRAGSKLFKI >Vigun09g081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9730778:9733277:-1 gene:Vigun09g081500.v1.2 transcript:Vigun09g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYESSWADQWDNGPDPVSGSNESKKKSNNNALGKTKAVASNGVKKLKEGTSVGLQWIKTKYHKTTQKH >Vigun11g078500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23096822:23097745:-1 gene:Vigun11g078500.v1.2 transcript:Vigun11g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQNYRPTKKNILVVGPVPGQKYNEITFPILSSDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATVACIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSE >Vigun10g007100.2.v1.2 pep primary_assembly:ASM411807v1:10:677018:680376:-1 gene:Vigun10g007100.v1.2 transcript:Vigun10g007100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRHVCRTSKLSKIPWKYKQFAIAQGQKTVTDYLHATRCIPYAFADQISKNSNTALINLITKLSAFSPPHYPHNLNKFLRYNPINEFEFFFESIGIHPSKFPSLFPQNKFFFSEDETLLDAACVLSEFGFPWDKLGVLYVESGWVFKWAASELKDRLCEFKRYGFCNVQVVGICLAFPFVFDGQKGAEVEALFCDLRLLFGKFGLAGCVEEKGNGVDDWIGLCRKIRLFYDLNGGKKNIGELIGGNNGVGVGVVVEHGEEELVQVIEYFCRFGAKKEDVARLIVNGPELLELVLKMRMVNVLKLLKHFGMSSNEVDIFRKDYAHVLGTVKLCNLPNVMRALGLHEWFFEKIKDGNHSLLVSFVAKNPKVVRDKGYRSFLKGIEVSRTPTHSMSKLNFLHGIGFGENALTMYIFSYMHGTSDELQKRFDCLLHLGIEFSKVCKMITVHPKILSQNPESLEQKINFLCQEMGQSLENLVTFPSFLCFDLENRIKPSESKELRTNPSFIREGQKSYLLKYVTPGKTDQKINKTLCGRPSHHS >Vigun10g007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:677018:680308:-1 gene:Vigun10g007100.v1.2 transcript:Vigun10g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRHVCRTSKLSKIPWKYKQFAIAQGQKTVTDYLHATRCIPYAFADQISKNSNTALINLITKLSAFSPPHYPHNLNKFLRYNPINEFEFFFESIGIHPSKFPSLFPQNKFFFSEDETLLDAACVLSEFGFPWDKLGVLYVESGWVFKWAASELKDRLCEFKRYGFCNVQVVGICLAFPFVFDGQKGAEVEALFCDLRLLFGKFGLAGCVEEKGNGVDDWIGLCRKIRLFYDLNGGKKNIGELIGGNNGVGVGVVVEHGEEELVQVIEYFCRFGAKKEDVARLIVNGPELLELVLKMRMVNVLKLLKHFGMSSNEVDIFRKDYAHVLGTVKLCNLPNVMRALGLHEWFFEKIKDGNHSLLVSFVAKNPKVVRDKGYRSFLKGIEVSRTPTHSMSKLNFLHGIGFGENALTMYIFSYMHGTSDELQKRFDCLLHLGIEFSKVCKMITVHPKILSQNPESLEQKINFLCQEMGQSLENLVTFPSFLCFDLENRIKPRYRFHLWIIEKGLSSKIYSIASMVATSNKNFVSRAFKIHPAAPKHWFEQFYP >Vigun08g220500.5.v1.2 pep primary_assembly:ASM411807v1:8:37926746:37930615:1 gene:Vigun08g220500.v1.2 transcript:Vigun08g220500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKVPVVALQGAAAVAQPLPQNGYVESVIYERLKRVRITWNHAATSVAVAGSWDNWETTEPLQRVGQNFVLVKTLPIGIYHYRFIVDGYLTHAPEFPSASDDSGYGYNILDLQDYIPEIVANLSDFEDPPSPPSSYDNSHLNEEEFNKPPPELPPQLPLAMRHEPSSTSGNRHVHRPTHLELNHLFIHKTESGQFVALRSTYKFQHKYITAELYKSLRRET >Vigun08g220500.1.v1.2 pep primary_assembly:ASM411807v1:8:37926746:37930615:1 gene:Vigun08g220500.v1.2 transcript:Vigun08g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSNSRGKDGEGSSGVKKDEEENYEYEQDMKFVRPEALFRNTNGFTDPLVQSPPLLIQPQVPVVALQGAAAVAQPLPQNGYVESVIYERLKRVRITWNHAATSVAVAGSWDNWETTEPLQRVGQNFVLVKTLPIGIYHYRFIVDGYLTHAPEFPSASDDSGYGYNILDLQDYIPEIVANLSDFEDPPSPPSSYDNSHLNEEEFNKPPPELPPQLPLAMRHEPSSTSGNRHVHRPTHLELNHLFIHKTESGQFVALRSTYKFQHKYITAELYKSLRRET >Vigun08g220500.4.v1.2 pep primary_assembly:ASM411807v1:8:37926746:37930615:1 gene:Vigun08g220500.v1.2 transcript:Vigun08g220500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRGKDGEGSSGVKKDEEENYEYEQDMKFVRPEALFRNTNGFTDPLVQSPPLLIQPQVPVVALQGAAAVAQPLPQNGYVESVIYERLKRVRITWNHAATSVAVAGSWDNWETTEPLQRVGQNFVLVKTLPIGIYHYRFIVDGYLTHAPEFPSASDDSGYGYNILDLQDYIPEIVANLSDFEDPPSPPSSYDNSHLNEEEFNKPPPELPPQLPLAMRHEPSSTSGNRHVHRPTHLELNHLFIHKTESGQFVALRSTYKFQHKYITAELYKSLRRET >Vigun08g220500.3.v1.2 pep primary_assembly:ASM411807v1:8:37926746:37930615:1 gene:Vigun08g220500.v1.2 transcript:Vigun08g220500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRGKDGEGSSGVKKDEEENYEYEQDMKFVRPEALFRNTNGFTDPLVQSPPLLIQPQVPVVALQGAAAVAQPLPQNGYVESVIYERLKRVRITWNHAATSVAVAGSWDNWETTEPLQRVGQNFVLVKTLPIGIYHYRFIVDGYLTHAPEFPSASDDSGYGYNILDLQDYIPEIVANLSDFEDPPSPPSSYDNSHLNEEEFNKPPPELPPQLPLAMRHEPSSTSGNRHVHRPTHLELNHLFIHKTESGQFVALRSTYKFQHKYITAELYKSLRRET >Vigun08g220500.2.v1.2 pep primary_assembly:ASM411807v1:8:37926746:37930615:1 gene:Vigun08g220500.v1.2 transcript:Vigun08g220500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSRGKDGEGSSGVKKDEEENYEYEQDMKFVRPEALFRNTNGFTDPLVQSPPLLIQPQVPVVALQGAAAVAQPLPQNGYVESVIYERLKRVRITWNHAATSVAVAGSWDNWETTEPLQRVGQNFVLVKTLPIGIYHYRFIVDGYLTHAPEFPSASDDSGYGYNILDLQDYIPEIVANLSDFEDPPSPPSSYDNSHLNEEEFNKPPPELPPQLPLAMRHEPSSTSGNRHVHRPTHLELNHLFIHKTESGQFVALRSTYKFQHKYITAELYKSLRRET >Vigun05g258600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45239226:45239627:-1 gene:Vigun05g258600.v1.2 transcript:Vigun05g258600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFDKNGVARLITNPTKESFEQKDPKHPGTATAPGARPRVLVYLPSNQVIRSYTELEQRLTELGWTRYHDSDPDSDCSELIQFHRSDTSSHLISLPRSFSNFKHFHLYDIVVKNRAFFQVRDPTTLYQA >Vigun08g087100.1.v1.2 pep primary_assembly:ASM411807v1:8:19572618:19577123:-1 gene:Vigun08g087100.v1.2 transcript:Vigun08g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >Vigun02g152900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29943058:29943995:1 gene:Vigun02g152900.v1.2 transcript:Vigun02g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSSYPAASKSNSLLHSVRKAQAKPWKKAPVAPVAPTPIRVYKVDAINFRDLVQRLTGAPEFNPELHNQLFQRVSPIATAPPSRDNIVAPPSTVSSTNWYQGQKPQTLELNLSSSSPYNWCSVPRTTQGTMATLEPGRVL >Vigun06g025100.1.v1.2 pep primary_assembly:ASM411807v1:6:11647924:11650151:1 gene:Vigun06g025100.v1.2 transcript:Vigun06g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Vigun02g084300.4.v1.2 pep primary_assembly:ASM411807v1:2:23822176:23830488:-1 gene:Vigun02g084300.v1.2 transcript:Vigun02g084300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSLQLSHGLGLCRNLGCNKHSRATGHGKLHLFRAGLSCSISFMRQECGGFQHLRHINKPTHTLSGKGRTFKCHCLLVPGQPNDLPAVKAAATVLARSSNLLQNSPIIVKLIPAIGVIIFAIWGVGPLLFQTRKILFQRSDNSWKKSTTHYIVTSYLRPLLLWTGAILICRALEPLILPSEPGQVVKDRLLNFVRSLSTVLAFAYCLSSVIQQSQKFFAEATDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPVRTLQKVYRDADLDNIPFADSTFGGGPVTVPNRPLLVIEPSYKINGDDKMKSRSARPVVDQDNKTSNSDANGNSKTPVTSNSDTNGKTVVTPKPDPEVGENKPLKLDSNKENVEVPESSKSKVSGLAVENSVQKDIESKQSKGQTTKNIKPNIESENAVPSSSNSADKTGGVNTNIASKPQGEKKPAAQPHASRNVLEENIVLGVALEGSKRTLPIDEEIDNVTSRESKEVAAFQGGNGPPKALDGND >Vigun02g084300.5.v1.2 pep primary_assembly:ASM411807v1:2:23822176:23830210:-1 gene:Vigun02g084300.v1.2 transcript:Vigun02g084300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSLQLSHGLGLCRNLGCNKHSRATGHGKLHLFRAGLSCSISFMRQECGGFQHLRHINKPTHTLSGKGRTFKCHCLLVPGQPNDLPAVKAAATVLARSSNLLQNSPIIVKLIPAIGVIIFAIWGVGPLLFQTRKILFQRSDNSWKKSTTHYIVTSYLRPLLLWTGAILICRALEPLILPSEPGQVVKDRLLNFVRSLSTVLAFAYCLSSVIQQSQKFFAEATDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPVRTLQKVYRDADLDNIPFADSTFGGGPVTVPNRPLLVIEPSYKINGDDKMKSRSARPVVDQDNKTSNSDANGNSKTPVTSNSDTNGKTVVTPKPDPEVGENKPLKLDSNKENVEVPESSKSKVSGLAVENSVQKDIESKQSKGQTTKNIKPNIESENAVPSSSNSADKTGGVNTNIASKPQGEKKPAAQPHASRNVLEENIVLGVALEGSKRTLPIDEEIDNVTSRESKEVAAFQGGNGPPKALDGND >Vigun02g084300.1.v1.2 pep primary_assembly:ASM411807v1:2:23822175:23830508:-1 gene:Vigun02g084300.v1.2 transcript:Vigun02g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSLQLSHGLGLCRNLGCNKHSRATGHGKLHLFRAGLSCSISFMRQECGGFQHLRHINKPTHTLSGKGRTFKCHCLLVPGQPNDLPAVKAAATVLARSSNLLQNSPIIVKLIPAIGVIIFAIWGVGPLLFQTRKILFQRSDNSWKKSTTHYIVTSYLRPLLLWTGAILICRALEPLILPSEPGQVVKDRLLNFVRSLSTVLAFAYCLSSVIQQSQKFFAEATDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPVRTLQKVYRDADLDNIPFADSTFGGGPVTVPNRPLLVIEPSYKINGDDKMKSRSARPVVDQDNKTSNSDANGNSKTPVTSNSDTNGKTVVTPKPDPEVGENKPLKLDSNKENVEVPESSKSKVSGLAVENSVQKDIESKQSKGQTTKNIKPNIESENAVPSSSNSADKTGGVNTNIASKPQGEKKPAAQPHASRNVLEENIVLGVALEGSKRTLPIDEEIDNVTSRESKEVAAFQGGNGPPKALDGND >Vigun02g084300.3.v1.2 pep primary_assembly:ASM411807v1:2:23822176:23830488:-1 gene:Vigun02g084300.v1.2 transcript:Vigun02g084300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDFAGTWAAINIRGQRDMASYIYLGQVFRVLFRSSNLLQNSPIIVKLIPAIGVIIFAIWGVGPLLFQTRKILFQRSDNSWKKSTTHYIVTSYLRPLLLWTGAILICRALEPLILPSEPGQVVKDRLLNFVRSLSTVLAFAYCLSSVIQQSQKFFAEATDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPVRTLQKVYRDADLDNIPFADSTFGGGPVTVPNRPLLVIEPSYKINGDDKMKSRSARPVVDQDNKTSNSDANGNSKTPVTSNSDTNGKTVVTPKPDPEVGENKPLKLDSNKENVEVPESSKSKVSGLAVENSVQKDIESKQSKGQTTKNIKPNIESENAVPSSSNSADKTGGVNTNIASKPQGEKKPAAQPHASRNVLEENIVLGVALEGSKRTLPIDEEIDNVTSRESKEVAAFQGGNGPPKALDGND >Vigun02g084300.2.v1.2 pep primary_assembly:ASM411807v1:2:23822147:23830507:-1 gene:Vigun02g084300.v1.2 transcript:Vigun02g084300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSLQLSHGLGLCRNLGCNKHSRATGHGKLHLFRAGLSCSISFMRQECGGFQHLRHINKPTHTLSGKGRTFKCHCLLVPGQPNDLPAVKAAATVLARSSNLLQNSPIIVKLIPAIGVIIFAIWGVGPLLFQTRKILFQRSDNSWKKSTTHYIVTSYLRPLLLWTGAILICRALEPLILPSEPGQVVKDRLLNFVRSLSTVLAFAYCLSSVIQQSQKFFAEATDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVIGHHRARLATPVRTLQKVYRDADLDNIPFADSTFGGGPVTVPNRPLLVIEPSYKINGDDKMKSRSARPVVDQDNKTSNSDANGNSKTPVTSNSDTNGKTVVTPKPDPEVGENKPLKLDSNKENVEVPESSKSKVSGLAVENSVQKDIESKQSKGQTTKNIKPNIESENAVPSSSNSADKTGGVNTNIASKPQGEKKPAAQPHASRNVLEENIVLGVALEGSKRTLPIDEEIDNVTSRESKEVAAFQGGNGPPKALDGND >Vigun07g206800.1.v1.2 pep primary_assembly:ASM411807v1:7:32821714:32823666:1 gene:Vigun07g206800.v1.2 transcript:Vigun07g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGGDVVVTTTPREGDDEAFVSAFLLCTSQVFPSVLNAAIELKLFEIIAKATPPNAAMSASEIASKLPTLPTQHVDRLQRMLRLLANYSLLTCSTHINEDGSTDTTYGISCVGKYFVNDETRGSFGPFTTLCQHPTVLELWPNFKDVIVDSEDDLCKKVHGMSFYQCTERDPQLNYIFNKSMANICNLEMNKILEEYKGFEGVSTLVDVGGCTGQTLHMIISKYSTIKGINFDLSHVVEKAPPYPGIQHVGGDMHHYVPKGDAMILKAVLHNWSDEDCLSILKNCHNALPHNGKVVVIEFIAPEEASESNVSKLVSTLDNAMYITSGGKERSEKEYEKLCKLSGFSRFQVVCRAFSVLGTMEFHK >Vigun03g005800.1.v1.2 pep primary_assembly:ASM411807v1:3:406090:408222:-1 gene:Vigun03g005800.v1.2 transcript:Vigun03g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLRGTMRAHTDVVTAIATPIDNSDMIVTASRDKSIILWHLTKEDKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLAAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDSDAHSDWVSCVRFSPSTLQPTIVSASWDRTVKVWNLTNCKLRNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGSIIHALCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDLKTEADGTTGGNTNKKKVIYCTSLNWSADGSTLFSGYTDGVVRVWAIGRY >Vigun04g080500.1.v1.2 pep primary_assembly:ASM411807v1:4:11514061:11527869:1 gene:Vigun04g080500.v1.2 transcript:Vigun04g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGTQNKFHCPELAFVRSFSLHCFPNLLPGDQGIKIETAGVLWKMTCIVRAALRSNCSSSVCAFVQRSLGHPVRCFSTEAEQPPLNSTTPPPFFDVEHSGVTYGRLFGIRKHVLKTDIINFLEGCNLTLEDVKLDYNRSLIPVSTLLQFPSRSSYDNAIRVIVRNGRLYKLDRADRSQWDIVKPYDGKTILIQGMPRAATYEDLVRTLSGCEYDSSSVNLFLRPGEGGTAEPIKLATVRFHSRTQAMNAYIAKNGTFCQNSRISIQVLQ >Vigun04g080500.2.v1.2 pep primary_assembly:ASM411807v1:4:11514061:11527869:1 gene:Vigun04g080500.v1.2 transcript:Vigun04g080500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGTQNKFHCPELAFVRSFSLHCFPNLLPGDQGIKIETGVLWKMTCIVRAALRSNCSSSVCAFVQRSLGHPVRCFSTEAEQPPLNSTTPPPFFDVEHSGVTYGRLFGIRKHVLKTDIINFLEGCNLTLEDVKLDYNRSLIPVSTLLQFPSRSSYDNAIRVIVRNGRLYKLDRADRSQWDIVKPYDGKTILIQGMPRAATYEDLVRTLSGCEYDSSSVNLFLRPGEGGTAEPIKLATVRFHSRTQAMNAYIAKNGTFCQNSRISIQVLQ >Vigun11g046200.1.v1.2 pep primary_assembly:ASM411807v1:11:7042652:7043941:-1 gene:Vigun11g046200.v1.2 transcript:Vigun11g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVALMIFLLSLFTLSSSRPDNAGIAVYWGRNLQEGDLVSACDTGNYKIVLLAFLNNFGAGRTSAWDFAAHCDNGAAEKCTELESEIKYCQEQRVKVFLSIGGDPDDSDYSLSSRDDAKEVAKYLYDNFLSGQYGPLGSVKLDGIDFHIEQTENYWDDLAWELDFFRQTTSRRFYLSAAPKCLTYPIPYLGKAIATKLFDYIFVQFYNNPSCSNTTGTEALLSSWNKWVGLVASNNSLFLGLPAGPTAGEGYISPDLLKRRVLPQAKKAHNYGGVMLWDRFRDFQTGYSDQILLNVNDHVSSNSVSDAIYRCVSKAFNRVIDY >Vigun05g087000.1.v1.2 pep primary_assembly:ASM411807v1:5:8247722:8248551:1 gene:Vigun05g087000.v1.2 transcript:Vigun05g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTAPTGTPIPAPCCNGAKRVIAQAKTVPDLQGVCTCLKNTVYRVPGLNPSLLAALPSSCGINLPYKITPSMDCTKIP >Vigun08g075000.2.v1.2 pep primary_assembly:ASM411807v1:8:12927437:12938676:-1 gene:Vigun08g075000.v1.2 transcript:Vigun08g075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGVSRTYQEAFAASKHQIQLPDSDFFDDDGRPKRTGTVWTSSAHIITAVIGSGVLSLAWAIAQLGWVAGPAIMILFSAVTYYTSILLAACYRTGDQVNGKRNYTYTQAVRSYLGGMNVKFCALVQYANLFGVAIGYTIAASISMMAVKRSNCYHNSGGKDPCKMNSNVYMISFGIAEIILSQIPDFNELWWLSIVAAVMSFTYSIIGLGLGIAKVIGNGVIKGSLTGVSIGVVSESQKIWRTFQALGNIAFAYSYSMILIEIQDTIKSPPEESKTMSKATLVSVLVTSIFYLLCGGFGYAAFGDESPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFQFIENNASQKFPDNDFMTKEFEVPIPGSKPFKLNLFRCVWRTIFVVCCTVMAMLLPFFNDIVGLIGAIGFWPLTVYFPVEMYIAQAKIPKWSTKWICLQMLSAACCIITILAAVGSIAGVLDDLKVYKPFTTTY >Vigun08g075000.1.v1.2 pep primary_assembly:ASM411807v1:8:12927437:12930977:-1 gene:Vigun08g075000.v1.2 transcript:Vigun08g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGVSRTYQEAFAASKHQIQLPDSDFFDDDGRPKRTGTVWTSSAHIITAVIGSGVLSLAWAIAQLGWVAGPAIMILFSAVTYYTSILLAACYRTGDQVNGKRNYTYTQAVRSYLGGMNVKFCALVQYANLFGVAIGYTIAASISMMAVKRSNCYHNSGGKDPCKMNSNVYMISFGIAEIILSQIPDFNELWWLSIVAAVMSFTYSIIGLGLGIAKVIGNGVIKGSLTGVSIGVVSESQKIWRTFQALGNIAFAYSYSMILIEIQDTIKSPPEESKTMSKATLVSVLVTSIFYLLCGGFGYAAFGDESPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFQFIENNASQKFPDNDFMTKEFEVPIPGSKPFKLNLFRCVWRTIFVVCCTVMAMLLPFFNDIVGLIGAIGFWPLTVYFPVEMYIAQAKIPKWSTKWICLQMLSAACCIITILAAVGSIAGVLDDLKVYKPFTTTY >Vigun10g155000.2.v1.2 pep primary_assembly:ASM411807v1:10:37499429:37503289:-1 gene:Vigun10g155000.v1.2 transcript:Vigun10g155000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGAKFNLRRGNSSSHNGINGYSGDAELSRISRGGRFTPVTEVERDPSCVSFTTFNILAPIYKRMDPQNQGLRESNCRSLWLPRNEMILDCLLSESSSIMCLQEFWVGNEELVHMYEERLGDAGYNLFKLGRTNNRGDGLLTAIHKECLRVLDYRELLFNDCGDRVAQLLHVQSVSPSQNQKGGVPQEFLIVNTHLLFPHDSSLCLVRLNQVYQILQYVELYQRENRLKPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDIANEYSDSYADAHKWVSHRNHRGNICGVDFIWLCNPNQARKPLKTSWAEAVFSILKFQLRKGSENDAFAFLKGDNYADSVTYFSFSEALRQVKLIGVPYGLCFQQLQDLWNHADVDGNGVIDFEEFKKIWNSACPNHVLESVKGCMEDGNTELEQQEAIGFMIKNAMLYPREVEKGLWPEDYSLSDHARLTAVFSPARMRCSATQN >Vigun10g155000.1.v1.2 pep primary_assembly:ASM411807v1:10:37499429:37503289:-1 gene:Vigun10g155000.v1.2 transcript:Vigun10g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGAKFNLRRGNSSSHNGINGYSGDAELSRISRGGRFTPVTEVERDPSCVSFTTFNILAPIYKRMDPQNQGLRESNCRSLWLPRNEMILDCLLSESSSIMCLQEFWVGNEELVHMYEERLGDAGYNLFKLGRTNNRGDGLLTAIHKECLRVLDYRELLFNDCGDRVAQLLHVQSVSPSQNQKGGVPQEFLIVNTHLLFPHDSSLCLVRLNQVYQILQYVELYQRENRLKPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDIANEYSDSYADAHKWVSHRNHRGNICGVDFIWLCNPNQARKPLKTSWAEAVFSILKFQLRKGSENDAFAFLKGDNYADSVTYFSFSEALRQVKLIGVPYGLCFQQLQDLWNHADVDGNGVIDFEEFKQKIWNSACPNHVLESVKGCMEDGNTELEQQEAIGFMIKNAMLYPREVEKGLWPEDYSLSDHARLTAVFSPARMRCSATQN >Vigun08g196000.1.v1.2 pep primary_assembly:ASM411807v1:8:36219153:36222067:-1 gene:Vigun08g196000.v1.2 transcript:Vigun08g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METELVKRRIHMIAAHFAPKDDTSATHVLPMNCSGSLNSVLRRCDNKVYFARQASSSLGYFMRQTSIEEGGSTFAPKTHHGAGAASEFASNARAPCFARPAMAESLSKNPLVQSLTPEQGFDTLEPPTFSRPNRQIRGDQLHSEKKTSYSEIGGIEWSPRMDVAESESKYVITVEVPGVSISDIRVEVDDLKLCVKGRRSTSSWTIAGCPKASFSSYHRREILYGPYEVVWQLPSGVNKDRISAEFLDGLLQIIVPKILV >Vigun10g004800.1.v1.2 pep primary_assembly:ASM411807v1:10:473667:477158:-1 gene:Vigun10g004800.v1.2 transcript:Vigun10g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKAKRDLHTGEKKKKRNREQNDEVEIQATVEDVEVDNEIHVSDTKKQKASVNKKRKNKDKSLVRKRKPKGEEVDLEEQSDEVVDNCHSSAEEIQDIGGHRDLDTGAVTKPCRSKKDKKKRKQEDRNSQDKGEGYNNLKEVYTISSVDDDCSKGMKKWIMEYHQSRPGLEVLQHQIDDFIIAHEEKLEEERKEKEALAAEGGWTVVVHHKGRKKTTDSETGIAVGSVAQAAVENKMTKKKHKEVGLDFYRFQKREAQRNEIMTLQSKFEEDKKRLQQMRAARKFRPY >Vigun06g128800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25543268:25543897:-1 gene:Vigun06g128800.v1.2 transcript:Vigun06g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNINFITLFSITLVLCFAICNARVSPSVEKSQSSSEEPLVDPTSANPTFVDPSLPDPSTEDTTSEESTSVDPTEASVESSSDSPAMKDPEELYPELTSAYKKSQPTPP >Vigun08g017900.1.v1.2 pep primary_assembly:ASM411807v1:8:1500787:1504528:-1 gene:Vigun08g017900.v1.2 transcript:Vigun08g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHNSQKLSLFYESGVYSFDDSNAVFVDSVRVLNRFYHRFSVSPSVYYSRFFKTQTTPNAVSSTVTPSLRKRKRRESRPLNERELIALQRHQEARPLLLAAHECLLKSAEVLNALKTLKSESSCSTKECDGGQRSFVDLGHVAPHLEVTLSLRVSDNDADPHPLPKDLDDFPGGQCCVQKVVRAFNNLVANDTQDDAVAEILSNSYVMPRESCFYMSDLGQIRNLIPAHADSGFNLIMVDPPWENASAHQKSRYQTLPNRYFLSLPIKQLTHTEGALVALWVTNREKLRCFIERELFPAWGVSYAATFYWLKVKENGSLICDLDLFHHRPYECLILGYSPGKVNNTDNLSEFKPVKNDRVIMSIPGDYSRKPQIADLLLEHVPGPKPPRCIELFAREILAGWVAWGNEPLYFQDSKYFVKKMVQ >Vigun03g021350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1563292:1563528:-1 gene:Vigun03g021350.v1.2 transcript:Vigun03g021350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSFLGCLRPHSDCLIVFDDCSLVISIICYDYMNDLWCVENLVFLQFSIDSMMTNSKACFSKHSQWPPKSFRLRQA >Vigun05g126900.1.v1.2 pep primary_assembly:ASM411807v1:5:14355216:14358452:1 gene:Vigun05g126900.v1.2 transcript:Vigun05g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVCNKASKGKKDDLYHVIHKVPYGDTPYVKAKHAQLVDKDPEAAIVWFWKAINAGDKVDSALKDMAVVMKQLDRSEEAIEAIKSFRGLCSKHSQESLDNVLLDLYKKCGKIEEQIELLKRKLRLIYQGEAFNGRTTRTARSHGKKFQVSIKQETARLLGNLGWAYMQKENYMMAEVVFKKAQMVDADANKACNLGLCLMRQSRYSEAYYILEEVLEGKVAGCDEIKSRKRAEELLEELNANLPQPEFLGSLGSLGFDDEFVKGIDDMVNEWNTNRPRRLPIFEEISSFRDQLAC >Vigun04g193600.1.v1.2 pep primary_assembly:ASM411807v1:4:41772725:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun04g193600.6.v1.2 pep primary_assembly:ASM411807v1:4:41775800:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun04g193600.4.v1.2 pep primary_assembly:ASM411807v1:4:41774315:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun04g193600.5.v1.2 pep primary_assembly:ASM411807v1:4:41775152:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun04g193600.3.v1.2 pep primary_assembly:ASM411807v1:4:41772241:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun04g193600.2.v1.2 pep primary_assembly:ASM411807v1:4:41772237:41786275:1 gene:Vigun04g193600.v1.2 transcript:Vigun04g193600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLEKRFPLNAEDYKLYEEVGEGVSASVYRALCVPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLQAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILLDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKELVATCLVKDPKKRPSSEKLLKHHFFKHARASKYLARTILEGLAPLGDRFRSLKAKEADLLVQNKALYEDKDQLSQKEYIRGISAWNFNLEDLKSQAALIQDDDIPNVDEPQKDKKEKVRSDDFRDSAETLSSVTANHSDDAPIQNKEDGFNNLQDLEGSLASFPSKPLQALKGCFDMCEDDVNNNSPRDLDQDYANESSGPSSSLQQNANNQQKKFPSGSLLPDNFLFPKKVVTDGDRDYLQTKYSSERNHSGPLQYRQKRDTNNLPLVDDPSDGAFVQRRGRFKVTSADLNPMGPSNNASGPVVSPTSPPNQNFMAGAILPSLQCILQQNGLQREEIIKLIKYAEQSSGKNAEPVEAGAVDSLQVPATNREKELHFQVVQLQQSIGSLVEELQRQKMKNVQLEKQLSSMINKMEK >Vigun02g087100.1.v1.2 pep primary_assembly:ASM411807v1:2:24183369:24185213:-1 gene:Vigun02g087100.v1.2 transcript:Vigun02g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLAGSENEKVAAYGWAARDDSGILSPFHFTRRENGDDDITLEILYCGICHTDLHFVRNDLGMSIYPMVPGHEIVGKVTKVGSKVTKFSVGDIAGVGGSVGSCGSCSECGEGFYVYCPKMILTYSARYHDGTVTQGGYSDNLVVDQNFAVLIPKSMPLASTAPLLCAGITVYSPLKYHGLTKPGLHLGVVGLGGLGHVAVKFAKAFGLRVTVISTSPSKKKEALETFGADAFLVSRDQQQLQDAVGTMDAIFDTVSANHSIQPLIGLLKTRGKLILLGGPANPLEVLAMPLLLGRKMIAGSAGGGREEIQEMINFAAKHNITADVEVISMEYVNTALERLEKNDVKYRFVIDVANTI >Vigun08g017400.2.v1.2 pep primary_assembly:ASM411807v1:8:1462012:1467224:-1 gene:Vigun08g017400.v1.2 transcript:Vigun08g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLSRLGASIQRSVVGKERGIFESAEILIGQGKLWFGSSKFFHSVHSSYCVELSLLVRPGVALASSSELGGKRRTLSVVDTLSRTFSVPSVSGPSFQVCGYHIGSALAGPDQFSSGGTGFRIKAMAAHLPGIVVGESYVDNLSLKGVRRSVSTKRVGSICLNTSLRNGGKVSMSLKKHQQPDNSAIYGYFIYSAAKTWYNSHPYMQSGSGAFHSLSTSCYSVGPAHDVPFDTSVREEQSSSSADSSEQKTPSGKTLKLISASCYLPHPDKEETGGEDAHFICSEEQAIGVADGVGGWADLGVNAGYYSRELMSKSVEAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHAMRAGLSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYVTGSADA >Vigun08g017400.5.v1.2 pep primary_assembly:ASM411807v1:8:1462011:1467224:-1 gene:Vigun08g017400.v1.2 transcript:Vigun08g017400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLSRLGASIQRSVVGKERGIFESAEILIGQGKLWFGSSKFFHSVHSSYCVELSLLVRPGVALASSSELGGKRRTLSVVDTLSRTFSVPSVSGPSFQVCGYHIGSALAGPDQFSSGGTGFRIKAMAAHLPGIVVGESYVDNLSLKGVRRSVSTKRVGSICLNTSLRNGGKVSMSLKKHQQPDNSAIYGYFIYSAAKTWYNSHPYMQSGSGAFHSLSTSCYSVGPAHDVPFDTSVREEQSSSSADSSEQKTPSGKTLKLISASCYLPHPDKEETGGEDAHFICSEEQAIGVADGVGGWADLGVNAGYYSRELMSKSVEAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHAMRAGLSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYVTGSADA >Vigun08g017400.3.v1.2 pep primary_assembly:ASM411807v1:8:1462012:1467224:-1 gene:Vigun08g017400.v1.2 transcript:Vigun08g017400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLSRLGASIQRSVVGKERGIFESAEILIGQGKLWFGSSKFFHSVHSSYCVELSLLVRPGVALASSSELGGKRRTLSVVDTLSRTFSVPSVSGPSFQVCGYHIGSALAGPDQFSSGGTGFRIKAMAAHLPGIVVGESYVDNLSLKGVRRSVSTKRVGSICLNTSLRNGGKVSMSLKKHQQPDNSAIYGYFIYSAAKTWYNSHPYMQSGSGAFHSLSTSCYSVGPAHDVPFDTSVREEQSSSSADSSEQKTPSGKTLKLISASCYLPHPDKEETGGEDAHFICSEEQAIGVADGVGGWADLGVNAGYYSRELMSKSVEAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHAMRAGLSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYVTGSADA >Vigun08g017400.1.v1.2 pep primary_assembly:ASM411807v1:8:1462012:1467224:-1 gene:Vigun08g017400.v1.2 transcript:Vigun08g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLSRLGASIQRSVVGKERGIFESAEILIGQGKLWFGSSKFFHSVHSSYCVELSLLVRPGVALASSSELGGKRRTLSVVDTLSRTFSVPSVSGPSFQVCGYHIGSALAGPDQFSSGGTGFRIKAMAAHLPGIVVGESYVDNLSLKGVRRSVSTKRVGSICLNTSLRNGGKVSMSLKKHQQPDNSAIYGYFIYSAAKTWYNSHPYMQSGSGAFHSLSTSCYSVGPAHDVPFDTSVREEQSSSSADSSEQKTPSGKTLKLISASCYLPHPDKEETGGEDAHFICSEEQAIGVADGVGGWADLGVNAGYYSRELMSKSVEAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHAMRAGLSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYVTGSADA >Vigun08g017400.4.v1.2 pep primary_assembly:ASM411807v1:8:1462012:1467224:-1 gene:Vigun08g017400.v1.2 transcript:Vigun08g017400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLSRLGASIQRSVVGKERGIFESAEILIGQGKLWFGSSKFFHSVHSSYCVELSLLVRPGVALASSSELGGKRRTLSVVDTLSRTFSVPSVSGPSFQVCGYHIGSALAGPDQFSSGGTGFRIKAMAAHLPGIVVGESYVDNLSLKGVRRSVSTKRVGSICLNTSLRNGGKVSMSLKKHQQPDNSAIYGYFIYSAAKTWYNSHPYMQSGSGAFHSLSTSCYSVGPAHDVPFDTSVREEQSSSSADSSEQKTPSGKTLKLISASCYLPHPDKEETGGEDAHFICSEEQAIGVADGVGGWADLGVNAGYYSRELMSKSVEAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHAMRAGLSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYVTGSADA >Vigun04g028800.1.v1.2 pep primary_assembly:ASM411807v1:4:2231840:2234372:1 gene:Vigun04g028800.v1.2 transcript:Vigun04g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVTEKVKLLVALLTLQLCFAGYHIVSRLALNIGVSQVVYPVYRNLIALLLLSPFAYVLEKNQRPPLTLSLLVQFFLLALLGITANQGFYLLGLYYASPTFASALQNSVPAITFVLALALRLEEVNITRRDGLAKVLGTIASVGGATVITLYKGPPLLHLQMDLTQGDTLEVDQSTKTQNWTWGCIYLLGHCLSWAGWIVFQAPVVKNYPAKLTLTSFTCFFGLIQFLIIAAFAEDDLENWKIQSLEELFIILYAGIIASGVVISLQTWCIQKGGPVFVAVFQPVQTILVAVMAALILGDQLYSGGLIGAVLIVLGLYLVLWGKTNEKKVTESSSSLTNPLLKAEEENKETDAASKDIP >Vigun10g059566.1.v1.2 pep primary_assembly:ASM411807v1:10:11699610:11700627:-1 gene:Vigun10g059566.v1.2 transcript:Vigun10g059566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDLINDIDGKRGTLKLGVRITDLWIVQNRSSTKHIRMILVHHKCNVIPAFVKKEDVRLWEDKLVEGQTYVMHDFKILKNQGQYRVCEYPYKLMFIGAKTIKPQEIANVPIRVYNFKSIKEIVDGTYSTTDILIAMNHNHSNNHGIKTEASLHTSETVAVEKLNTARIITIPDIL >Vigun10g121200.3.v1.2 pep primary_assembly:ASM411807v1:10:32790867:32824398:-1 gene:Vigun10g121200.v1.2 transcript:Vigun10g121200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSAPRAKEWVKKKLIQLNLYEARVGEVENVVEKLKKKRDTIQHTVEEEERRHGRIIHVDVKEWIESVDKLILAYKDFHEDEICHKCAVFDFLDNGYLPKPGIRYRRSRKANDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDIVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIVDMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPCELEKDDGITNVKGKSVDVDILKNVSDGKSPVLDGSTSFRKGTLQGVDGSKNVNKGKSPILEGSISFRKGTLHGADDSKNINKGKSLSDADSVNVKKGEFFGGGLRNVNEGKSAIDGSDRVKIEKVVPQYKGCKVLMISEIKQVLLSQMEGKEECIFPVEVLKEKEAETLFKKKAGIGGKNSEYEKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESKNLAGAPEFSTKLSYELLEDEELKHTFLLCARMDHDALIMDLVKYSIGFGFLQGINTARQTRDKVYTLVAKLKESGLLSDSYSSDHFTMPDVVRAAALSIAYKENHLFTMTKGKVDEWPDKLERYAAISLHHCDFIEEFPGRVNYPRLRVLQIVNNIPRPKIPKNFFKGVKELRVLVLIGIHLPLFDSSISSLHKLRMLCLEQCCMLDEELSIIGELKRLRILSFSGSDIKSLPNELNELKMLQIFDISNCSKLNKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSQIALLSDLKHLNQLTTLDIHIPNVSYLPNKLYFDKLFSYKIVIGDLSSILEIDFKMPEKYETLKFLAVQLKNGYDIHSLKGIKMLFEGVENLFLELNTVPEKQNSVHEARNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQHPEKAFPKLESLCFYNLKMDEICSCKLSEPSFGKLKVIRIYLCGELKNVFPISVVGLLKVLETIEVSECNSLKEIINVGRQSNPENPELLTLPDLRYLKLQSLYEFIGFDVIPQVEGEERKLFYGKVGVSKLERLELSSIHIDIIWSVDQSSKRSSFENLTHLDVNGCWNLKYLMSSTMAKGLVNLQSLYVSECEKMSDIFLLEQDREKDIMGNIFPKLKNMKLRSMKSLSKIWNLKHPSDSFKKLDTLIIEECDKLENAMEGIFGSLCNLRVTNCRYMQAIFNISEQVGDVASNLQDVHLETLPKLELVWRMNNKDLVGIPKFKNLKRILVQDCDSLEYIFPFYVAKNLDNLESLVVCDCYELTKIVAESEVTNTDKARFNFPKLSTIKFSNMPTLTSFYPTVYDLSCPLNELSIELCNYLEPFNKRTEHAQRNHVHVFFPEEVINNLKSMQIESWHTKSPSSYMGKRNHRRDNLEELSLFRSMDTEILYSFLHRNPNLKSLSLNNCFFQKITSPKEDTEIENLGVVPSLKSLKLIDLPNLEEIGFEDIILDRLEFLILRNCSCMITVAPSSVSFTRLTHLEVVNCERLQSLMSASTAQSLVQLSTMKVVKCESLMEIVRKDGEKSDRVVFQQLKVLELVSLKKIKSFSVSDCDFEFPSLEKLVVSACYNMAKFSETVPSSPILQNIHVVHGKENKRFCWEGDINATIQKIFKEMKFFEGTEEMNLSEHQKLQETWQCRVGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNGEEGIGSTFHLQKLILEKLPKLKDVWERNGKGTESFQNLKLVDVSECDNLEIVFPLSLAKNLKKLDELKIISCESLHEIVRKQEETIAMFVFPCLTTLTLGYLPDLIYFYPEPFTLECSTLNKLSVWNCPELELFGSGNRQSFFFDLKDIYNLEVLVLDWEHTLVLRTKLGEPMDNLKYLNHIQLYFDDEKEIPDLPIQILQKMPNLTKMTISECSCLEVFQTQIPEIVEKRVLTHLKILKLDNVSKLQSIGSEDSPWLNVICDSEKLQQLYVINCPDLKTLVHSTPSVTFTYVKEMYIDNCNELTYLFTLSSVNKLENLEHIEVTDCESMEAIVLKEEDDISEEIKLQKLKRVELNDLSSLECFYSGNDTLRLPSLMQVDIWICPKMEFFSRGDIYLNSSFKGIQASNVSSDDLVFHHDLNSSVQKVFLQQEFFQAVDKECFSNNLELQEELSWKIGLQNKWLANLETLKLQNCTLSYAIPSSILSLLKNLKELQVQDSDQVETIFDMNDDTEIMESESQLKILTLNGLSKLTRVWEKDSHRILIFRNLQEVVVSDCAKLQTLFPASLAKSLKDLKKLKIDSCENLQDFVEQEETTFATEKFVFPCLENLELNDLTHVTCPKNFILEFPSVKFLSVRDCDELGLFQSVYDPMGKGTSNNRLPLISDPKVISNLEKLTLDWKQILSLSLWFKSQKSSKGLTNLNSIDLFFGVDGNKMPMLPIEILKAPNLIELNINNCDSIQNFLAQNPKIGEEEMLRQLTILKLCNVSTTQFFELEHCSSLNIICERLHKLTVSQCPHLTTLGVHSMVSFSCLKEVNIYKCSNLKYIFTTSASKKLMNLEEIRVIECESLTEILAKEGEATFEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKIVAIWNCPNMEIFSQGIESLMGITLSMDQQADDLPPPQDLNTRIKGISQRKEFFKTVDKQCFPDYVELQENLHCEFGLHNQWFGDLVSLKLQNCTMPYAIPSDIIALLKSLRELEVRDSTTIEVLFFMNDSESMEIASRLRILTIEGLPKLTRVWEKNKNGVLIFPNLQQIFVSNCEKLETLFHASMAKNLKRLKRIEIEYCAELREIVQKEEDIEEKFLLPCLEKLYLWTLPQLTCFYPQTFALECPALNELYVVECEELELFQSENSMGEGNSVNKPPLFSSLEVISNLKELELDWKQSLPLRSRFKSEKFTGVFKSINKIGLCLGTDQCEMPIVLDEIVLKAPNLIEMCIQIPNCNNSEVFLAQNPKIGEDGVLIQLRILEIIQVSAIMSILSENSSWLNPICEKVHELNVLKCPDVEAVGVHSTMSFSFLKKLVVSHCPQLQYLFTTSVAKKLVNLEEIAVLKCESLKEIVAKEGDEEERKVKGEDKYENEIIFMKLEKLMLGLLGKLESFYTGSCTLNFPSLRNVVVIQCLNTKILRHRDKVPPKFRVVIDKIRCKGDKKALITQQFEEEAS >Vigun10g121200.4.v1.2 pep primary_assembly:ASM411807v1:10:32790867:32824398:-1 gene:Vigun10g121200.v1.2 transcript:Vigun10g121200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSAPRAKEWVKKKLIQLNLYEARVGEVENVVEKLKKKRDTIQHTVEEEERRHGRIIHVDVKEWIESVDKLILAYKDFHEDEICHKCAVFDFLDNGYLPKPGIRYRRSRKANDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDIVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIVDMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPCELEKDDGITNVKGKSVDVDILKNVSDGKSPVLDGSTSFRKGTLQGVDGSKNVNKGKSPILEGSISFRKGTLHGADDSKNINKGKSLSDADSVNVKKGEFFGGGLRNVNEGKSAIDGSDRVKIEKVVPQYKGCKVLMISEIKQVLLSQMEGKEECIFPVEVLKEKEAETLFKKKAGIGGKNSEYEKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESKNLAGAPEFSTKLSYELLEDEELKHTFLLCARMDHDALIMDLVKYSIGFGFLQGINTARQTRDKVYTLVAKLKESGLLSDSYSSDHFTMPDVVRAAALSIAYKENHLFTMTKGKVDEWPDKLERYAAISLHHCDFIEEFPGRVNYPRLRVLQIVNNIPRPKIPKNFFKGVKELRVLVLIGIHLPLFDSSISSLHKLRMLCLEQCCMLDEELSIIGELKRLRILSFSGSDIKSLPNELNELKMLQIFDISNCSKLNKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSQIALLSDLKHLNQLTTLDIHIPNVSYLPNKLYFDKLFSYKIVIGDLSSILEIDFKMPEKYETLKFLAVQLKNGYDIHSLKGIKMLFEGVENLFLELNTVPEKQNSVHEARNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQHPEKAFPKLESLCFYNLKMDEICSCKLSEPSFGKLKVIRIYLCGELKNVFPISVVGLLKVLETIEVSECNSLKEIINVGRQSNPENPELLTLPDLRYLKLQSLYEFIGFDVIPQVEGEERKLFYGKVGVSKLERLELSSIHIDIIWSVDQSSKRSSFENLTHLDVNGCWNLKYLMSSTMAKGLVNLQSLYVSECEKMSDIFLLEQDREKDIMVINNLKSMQIESWHTKSPSSYMGKRNHRRDNLEELSLFRSMDTEILYSFLHRNPNLKSLSLNNCFFQKITSPKEDTEIENLGVVPSLKSLKLIDLPNLEEIGFEDIILDRLEFLILRNCSCMITVAPSSVSFTRLTHLEVVNCERLQSLMSASTAQSLVQLSTMKVVKCESLMEIVRKDGEKSDRVVFQQLKVLELVSLKKIKSFSVSDCDFEFPSLEKLVVSACYNMAKFSETVPSSPILQNIHVVHGKENKRFCWEGDINATIQKIFKEMKFFEGTEEMNLSEHQKLQETWQCRVGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNGEEGIGSTFHLQKLILEKLPKLKDVWERNGKGTESFQNLKLVDVSECDNLEIVFPLSLAKNLKKLDELKIISCESLHEIVRKQEETIAMFVFPCLTTLTLGYLPDLIYFYPEPFTLECSTLNKLSVWNCPELELFGSGNRQSFFFDLKDIYNLEVLVLDWEHTLVLRTKLGEPMDNLKYLNHIQLYFDDEKEIPDLPIQILQKMPNLTKMTISECSCLEVFQTQIPEIVEKRVLTHLKILKLDNVSKLQSIGSEDSPWLNVICDSEKLQQLYVINCPDLKTLVHSTPSVTFTYVKEMYIDNCNELTYLFTLSSVNKLENLEHIEVTDCESMEAIVLKEEDDISEEIKLQKLKRVELNDLSSLECFYSGNDTLRLPSLMQVDIWICPKMEFFSRGDIYLNSSFKGIQASNVSSDDLVFHHDLNSSVQKVFLQQEFFQAVDKECFSNNLELQEELSWKIGLQNKWLANLETLKLQNCTLSYAIPSSILSLLKNLKELQVQDSDQVETIFDMNDDTEIMESESQLKILTLNGLSKLTRVWEKDSHRILIFRNLQEVVVSDCAKLQTLFPASLAKSLKDLKKLKIDSCENLQDFVEQEETTFATEKFVFPCLENLELNDLTHVTCPKNFILEFPSVKFLSVRDCDELGLFQSVYDPMGKGTSNNRLPLISDPKVISNLEKLTLDWKQILSLSLWFKSQKSSKGLTNLNSIDLFFGVDGNKMPMLPIEILKAPNLIELNINNCDSIQNFLAQNPKIGEEEMLRQLTILKLCNVSTTQFFELEHCSSLNIICERLHKLTVSQCPHLTTLGVHSMVSFSCLKEVNIYKCSNLKYIFTTSASKKLMNLEEIRVIECESLTEILAKEGEATFEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKIVAIWNCPNMEIFSQGIESLMGITLSMDQQADDLPPPQDLNTRIKGISQRKEFFKTVDKQCFPDYVELQENLHCEFGLHNQWFGDLVSLKLQNCTMPYAIPSDIIALLKSLRELEVRDSTTIEVLFFMNDSESMEIASRLRILTIEGLPKLTRVWEKNKNGVLIFPNLQQIFVSNCEKLETLFHASMAKNLKRLKRIEIEYCAELREIVQKEEDIEEKFLLPCLEKLYLWTLPQLTCFYPQTFALECPALNELYVVECEELELFQSENSMGEGNSVNKPPLFSSLEVISNLKELELDWKQSLPLRSRFKSEKFTGVFKSINKIGLCLGTDQCEMPIVLDEIVLKAPNLIEMCIQIPNCNNSEVFLAQNPKIGEDGVLIQLRILEIIQVSAIMSILSENSSWLNPICEKVHELNVLKCPDVEAVGVHSTMSFSFLKKLVVSHCPQLQYLFTTSVAKKLVNLEEIAVLKCESLKEIVAKEGDEEERKVKGEDKYENEIIFMKLEKLMLGLLGKLESFYTGSCTLNFPSLRNVVVIQCLNTKILRHRDKVPPKFRVVIDKIRCKGDKKALITQQFEEEAS >Vigun04g076400.1.v1.2 pep primary_assembly:ASM411807v1:4:10026531:10026808:1 gene:Vigun04g076400.v1.2 transcript:Vigun04g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSSDDEDFLPTMDKDFPGGVHGRVVCSSILKPTTHFLTVVVRIKPENITKKKGD >VigunL060500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:42680:46489:-1 gene:VigunL060500.v1.2 transcript:VigunL060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYRQLILLLVIIYMISFLFSGGTLTGMETICQVTYQAEKCISPTEPSLIEIEQDDDAYFRNENNNGRSPSEIMQIPYETMHTPCETIHTPYEIMQIPCETMQTLYETLQIPCETMQSSCESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTPFESMHTPCEPVQTPCETMQISCESMQNPCEPVQISCETMQISHETNQIPFEILQFPLETMQTPSQTTQIPFETIEVPFQTTQTPLETTQILPKLPNSLLADEYLVNQSKSLKRAYCESSYRDAEVVPELDTSFEDISTLYTEYLNSEEYHALKRFKTSYDVGHGDTHLLFSSQEASEEKQESIFQDDLWGWETSSCDSTTNKLVEINYSEISSFPCT >Vigun11g018500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2291375:2292373:-1 gene:Vigun11g018500.v1.2 transcript:Vigun11g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQKKLVDEVSGWLRLYDDGSVDRTWTGPPEVKFMVEPVPAHDEFLNGVAVRDTVTNNNVRVRLYLPEKVSNEEKLPIFLHFHGGGFCISEPDWFMYYQFYSELARLARVIVVSCFLRHAPENRLPAAIDDGFSALLWVHDVAGRETEEPWLEKHGDFRRVFLIGDSSGANIVHEVAARAGKTQLKQISVAGGIPIHPGVMRSTRSRSELEKPQSPFLTLEMVDKFMSLALPLGSTKDHPIACPMGDGAPPLSGLKLPPFLLCLAEMDLIFDTEMEYYEAMRKANKDVELFVNEGVTHSFYLNKIAVDMDPNTGAQTHALIARIKRFVEEH >Vigun11g116700.4.v1.2 pep primary_assembly:ASM411807v1:11:32188342:32189110:-1 gene:Vigun11g116700.v1.2 transcript:Vigun11g116700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPLTLRNAEGVLANMYKASGLDTTVLSGARYGTRVCASHNRILSGANTVIARSFARSMEAGTLGMIGHKRCMSDVPSKRSETNTSGFRPLSPHLPLYQPQLSSTLSIFNRIAGAFLAGVILLFYMIYIKIGSVSLTYDSFYQFIFYSSKLNLLVMEISALAVTYHLYSAIRHLRL >Vigun11g116700.3.v1.2 pep primary_assembly:ASM411807v1:11:32187069:32191310:-1 gene:Vigun11g116700.v1.2 transcript:Vigun11g116700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASGLDTTVLSGARYGTRVCASHNRILSGANTVIARSFARSMEAGTLGMIGHKRCMSDVPSKRSETNTSGFRPLSPHLPLYQPQLSSTLSIFNRIAGAFLAGVILLFYMIYIKIGSVSLTYDSFYQFIFYSSKLNLLVMEISALAVTYHLYSAIRHLRL >Vigun11g116700.1.v1.2 pep primary_assembly:ASM411807v1:11:32187069:32191310:-1 gene:Vigun11g116700.v1.2 transcript:Vigun11g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLRSTKSKVLSSSASSLSRTFPSLSGAVHRLPHNQIGALSPLTDLFHRNTSSTPLAKENASGGNLTGLPNVLANKLGCDSNAINSLMYKASGLDTTVLSGARYGTRVCASHNRILSGANTVIARSFARSMEAGTLGMIGHKRCMSDVPSKRSETNTSGFRPLSPHLPLYQPQLSSTLSIFNRIAGAFLAGVILLFYMIYIKIGSVSLTYDSFYQFIFYSSKLNLLVMEISALAVTYHLYSAIRHLRL >Vigun11g116700.5.v1.2 pep primary_assembly:ASM411807v1:11:32188342:32188899:-1 gene:Vigun11g116700.v1.2 transcript:Vigun11g116700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASGLDTTVLSGARYGTRVCASHNRILSGANTVIARSFARSMEAGTLGMIGHKRCMSDVPSKRSETNTSGFRPLSPHLPLYQPQLSSTLSIFNRIAGAFLAGVILLFYMIYIKIGSVSLTYDSFYQFIFYSSKLNLLVMEISALAVTYHLYSAIRHLRL >Vigun01g067700.1.v1.2 pep primary_assembly:ASM411807v1:1:18184871:18186850:-1 gene:Vigun01g067700.v1.2 transcript:Vigun01g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYDELREITKIQKFRRLVSYTGFYCFATLISYAYVSNTTRAGYSRADQFYASYPAGTELLTDTTKLYKAALGNCFKAEEWGPFEFCIMAKHFDRQGKSPYAYHAQYMAHLLSHGQLDGSG >Vigun07g165800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27793267:27795501:1 gene:Vigun07g165800.v1.2 transcript:Vigun07g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREESKGMAMSRTNSTKYTDGQWVVDICETLVALSATELDLKDSHPVCICEVPESLTATMREDYIPQFVGLGPYHHFREGLVFTDQHKLAAARRVLRHFLPRNSIDLFQNRISNFDTHIQTFFHPDVASTYNVPTLSYVLTVDGLFVLAFVTLLNGENRESGFSYFLTGKLGMPLFNAAGQELNVNALIREVFKLETQIPFYVFKQISGVNNNEIHSDSMSLALASNLQNFCKKECPFVNLKVLPDRVALEGYNHLLDLMYHLVVPNHESEPVSQPDSKVEAEDQPENEPQVPAEHPAQGQVEVPAETETKSEDNRVTCFSVCHRILLVLVATCIVIWFMITLVLKLFLWLITSIFHVIQRVLGFLFSVVLRALRPLWGVLVALGKHLNPALRWLHAALTKLEGKVNHPFLKPLTQAVERLEVITATIESSDSGVPRVVMIPSVKELHEAGILFRPAESGISSIDFDEQKCVFYLPCIRLDVNSEVIIRNLVAYETLIKSNTPLVFTRYVELMRAIIDTGEDVKILVDSEIIQSELRNEAMAEIFNSMNKPIRATKTPNLDKVIYKVNAMFDSKEKHKRVVSKYTSGSFLAAIGGLLFLAFTALQTYCTVLNCSGSSRLGQFPRHLDYGRNHYFISSA >Vigun01g046700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6956760:6959567:-1 gene:Vigun01g046700.v1.2 transcript:Vigun01g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEPEAKTYWCHECDMSVSLTLPPSPLLCPHCHTHFLELMDSPFSQNDAESPLFDVVFQDALLLLSPKPLPAKPRPLPSVNVTPSLLSALDPNGVVFCAVCKDEIALDAEAKQLPCKHLYHADCITPWLDLHASCPLCRLRLEDEAAAEEEEEGDGVATEIRRELIARLTELTEEDFYGLRTTLSHIASRHALIEENENRPQIGEPRGAQAPLL >Vigun08g040050.1.v1.2 pep primary_assembly:ASM411807v1:8:3944995:3945778:-1 gene:Vigun08g040050.v1.2 transcript:Vigun08g040050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQDDLKLDSKVELYDPLYKKFEFFVDINIDGCFVLFSFAEFFKYYGLNSNFYVHFSYIGNNVFLYKIFLAEGTQILYERVSSELLSNDGNTSTGNDTHTVVGNGLDNGNHNLTKKLTQYDVESSCLYLHSNFAKQFLDKDRKRYFITNETCRRWPCRIQWTGRTCYECYITCGWKRFCKDNLLVVGDIIQFRMDNEKKNVIRVVKV >Vigun04g119200.1.v1.2 pep primary_assembly:ASM411807v1:4:30462503:30468246:1 gene:Vigun04g119200.v1.2 transcript:Vigun04g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDSLFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQLKNMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEIIELFCELIAVRLPIIESQRECPLDLKEAISSVCFAAPRCADLSELLQVQMLFAGKYGKEFVSAATELRPDCGVNRQLIELLSIRAPTPEKKLNLLKEIAVEHNVEWDPAESETEFFKKHEDLLNGPTQFFSGSKLPLPDEKPHEEMYSAHETPNKEEPDSDSGSDTLEFPEVPKVSVRPNANVATAPEMVTHLTMEPLDVDLDSSSNSGDFEDVKQEQVEDRSTVHKDEPHTSITKENIQFVPFISPPALLSSGSFSARPSESSPTLSSAKPEPNVDLQDVLAAAHAAAETAERAAAAARTAASLAQVRINELTRKRSDERVPDSSSDNPFYAGGDNESPTKEKHFTGQNPADNSDVHNRKDLEHHQDHYTSPGSHSSSFPSFDTLKEDFDSSLPSNHVLTDNSSSHQPKRLPSMDDDSYFSYPNLFTSQNSNVGSHTHSDNSRPPHDL >Vigun09g110400.1.v1.2 pep primary_assembly:ASM411807v1:9:23795665:23798255:-1 gene:Vigun09g110400.v1.2 transcript:Vigun09g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSPEPSQKSPLDSSSKQVTTIEELKDSTDAELTKIRLMRAFVEARDPSSKEVDDLMIRRFLRARNLDVEKASAMFLKYLKWKRSIMPNGYISPSEIAEDIAHEKVFVQGLDKKGRPIVVAFAAKHFQSKNGADGFKRYVAFALEKLCSRMPPGQEKFLSIADIEGWGYANSDLRGYLNALSTLQDCYPERLGKMFIVHAPYLFMKIWKMIYPFIDDNTKKKIVFVENKKLKSTLLEEIEESQLPDIYGGQMPLVPIQDS >Vigun03g112100.1.v1.2 pep primary_assembly:ASM411807v1:3:10190747:10191870:1 gene:Vigun03g112100.v1.2 transcript:Vigun03g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLPATLCRKLARPACDVFINHRGIDTKRNIAGLLYDSLTRMEVRSFLDSMNMKPGDRLFDHIDRAILGCKVGVTVFSPRYCDSYFCLHELALLMESKKRVVPIFYDVKPSQLVVKDNGTCPSKHLQRFSLALEEAKYTVGLTFDSLKGDWSEILRDASDAVMMNLLEVEEERKNLKRKP >Vigun07g178000.1.v1.2 pep primary_assembly:ASM411807v1:7:29410174:29418745:-1 gene:Vigun07g178000.v1.2 transcript:Vigun07g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRAIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun02g138800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28819775:28821906:1 gene:Vigun02g138800.v1.2 transcript:Vigun02g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSEEGSSHGKKYGKLKKDEFDGYNSDNHPRKNSPVTLEAPLLDPQMATDHTAYDPSLSPLSKSPWSSHMNEGPSTSNNNEALIGSLVREEGHIYSLAATKDLLYTGSESKNIRVWKNQKEFTGFKCHSGLVKAIVIAGEKILTGHQDGRIRVWKVSGKNDHQHKRVATLPTLRNYIKCSVKPSNYVEVKRHRNVLWIKHYDAISCLGVTEDHCYIYSASWDRTFKVWRTSNFKCLESVIAHDDALNALVVGFDDLVFTGSADGSVKIWRREVHGKGTKHFFTHTLLKQECAVTALTMSGEGSLLYAGSSDGLVNFWVRETNLEHRGTLRGHELAILCLAAAGSLVFTGSADMSICVWKRSLSNEHTCLNVLSGHSGPVKCLAAERDLEGMCNERRWILYSGSLDKSVKMWKVSENATALHNPQPPRHSVDHFPIVSSLKKVGSKF >Vigun07g062900.1.v1.2 pep primary_assembly:ASM411807v1:7:7227755:7231290:-1 gene:Vigun07g062900.v1.2 transcript:Vigun07g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPNSRTVGDTERSWCRAVRGGTGIAVLALRTSKAPNISHLQASLRSLQTSHPILRSRLLHNNNTFSFLTSPTSSFTLTSLTLPTNVSDPLTQILELELNRNTWHDSTDDHVFFATVYTLPNANTWVVALRLHVSACDRTTALLLLRELLTLMEGDHGAGQDNDNHKEEPSLAIEDLVPRGKGKKPVWTRGLDMLAYSLNSLRLSNLKFVDAKNPRFSQVVRLQLNQNETKAVLAGCKLSGIKLCGAVVAAGLMATHGSKLRSKKYGVVTLTDCRSSLDSALTDNFGFYHSAILNSHEMKGGETLWELAKKTYQTLAHSKNCNKHFSDMADLNFLMCRAIENPSLTPAASLRTSLMSVFEETVVDNGGAKQRQIGVEDYMGCASVHGVGPSIAVFDTIRDGSLDCVCVYPAPLHSRELMQELVGKMKAILVEAANGYTG >Vigun04g102566.1.v1.2 pep primary_assembly:ASM411807v1:4:23675622:23677617:1 gene:Vigun04g102566.v1.2 transcript:Vigun04g102566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYITTCCASIFLKKKQSLPIDAIFKLPVPVANSSWPRGSGFASEIIDLDGLQVSQISTFNKVWSTYGGGLVDQGFTMFEPSRIPQGFFMLGCYSQPNNKPLSGWVLVGKDACANTRNPILKKPLDFILVWNSAPVGHVVTTTPGKPSLDKIRCVRLHFTDQCETNSLIWESRSFRVYDDRPRNRGIEAPGVRIGTFVVQNGSAKSPSISCLKNTKSISKYMPNLQQINALLQVYSPTMFLHPSDKYMPSSVDWARDHNNDGAYWLDLPTDAANKERVKKGNLQSAKSYVHVKPMLGGTFTGIAIAKVKFISFRLGEIGEHVGDWEYVTLRVSNFSGELWQVYFSQHSKGEDNIGIRDDTVKCNIFMDMAAFELVSAKYLDAVIEPFWLNYFRDWGSKIDYNKNAELKKIEKFVPKNKNCALEKILRSLPCEVLGEETPLDQRVFFFFGHSFLSSLFFE >Vigun09g204700.1.v1.2 pep primary_assembly:ASM411807v1:9:37919160:37924781:1 gene:Vigun09g204700.v1.2 transcript:Vigun09g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRMAQPHNGNTSNTLNHTLVLHDFLGMKPAADSPKTTDVRLSEPSASASSAGGRGPFSATSDIASEKQVGNHLEGVPFYGPRSDLSGAEISNRLVGSKRSNSDSAFTGSSREAFQMVPDSYQNSHLMKVLRNAAGDRSRRPNDEEVLLGMQSLKQSSPQIFQPPTSTKIDANKWERSILMNVGPSMQYPPRGGQLAPFVHQMASSKIRDTNAGPSFISQSAADEGSRTGIKGPGILSSINVAAAVAAEKTPSAIMLGSSRPKPGSNIIESSTPPSNQPTLTSASRQMTIFYGGQAHVFDDVHPHKADVIMALAGSNGGSWSTAFSPKSGVKMVNDGNLHSGENETGAVNNVAFPQDLHGKLSITASSSHAMVSGDRVTTTAGAHQGSVFAKDTRNPVQPSDPSSEDKRGQ >Vigun03g289500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47163988:47164984:-1 gene:Vigun03g289500.v1.2 transcript:Vigun03g289500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKSHSHGSIPFSWEDKPGVCKTPNNDFPLNIGMSPLPPPLGPNHLRRMVEIEEKKIPLPPCPLQGPRRSTSEKEKGFRWQEDPFLVAYKECTKSEKNCKVGRKNKKGVGSNFRLSRSIFSCRSANDVKDDIYVKLPPFPPLPAGTDRSLTLQDQQKRGFNYETWL >VigunL060216.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:69259:69399:1 gene:VigunL060216.v1.2 transcript:VigunL060216.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun01g115000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29141591:29144013:1 gene:Vigun01g115000.v1.2 transcript:Vigun01g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSTNVQPQVNSTLNIPPDPGNSFQNPTNFSSNSHATTNPQNHNLNQTRITFTHVLRYYWKGVNHNIAYPTPTQIWLAQNVYPLVTPMRVLCFIVSLISFMLACCFFMETELYKTHVSGGHNHASLVVFAVIVVHFLLVFFLLFFSFFTSAYERFKNHFFLKSIGEFIVMRVMSLLISGIEQKHQDGCSEWLTCAFLTIDSLCIIILLKPGHNYSLLDAFLTLTLHLGLEGEGIYAFTADAFVFVIMAIKNFLWLHIANMHGRDQEQLQLVWYDSHQIDHFSSV >Vigun11g005400.1.v1.2 pep primary_assembly:ASM411807v1:11:576605:581356:1 gene:Vigun11g005400.v1.2 transcript:Vigun11g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDTDDYGEQIQFHPWWRAVGENASKSSSADQLNGSPSLPGTDKTGGKEYQNIKHDLTSISFTMDKYLAPTPHTEFVGHSVVLTSPYSDAQYGQILTTYGQQVMINPPLYGMHQARMPLPLEMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIRNRKPYLHESRHLHAMRRARGNGGRFLNTKKLENKSSSVTSEKGNNSGANLSANSFNTLHRLTNNENLGSSNMVQDMHRVQSLNNGYHNGNGLTALYLSQVNGKKEGGDYFGKERDPLGAFK >Vigun09g031600.1.v1.2 pep primary_assembly:ASM411807v1:9:2693776:2697941:1 gene:Vigun09g031600.v1.2 transcript:Vigun09g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFFIRKGVRKILKRKDSDAGEKGRALEDLRASLFNQFRSSEGAKRQQQRICGPAVALSFNFLVAVGIIFMNKMVLQTVKFKFPILLTLIHYLVSWFFMALLKAFSLLPASPPSKSTPLSTLFTLGFVMSLSTGLANVSLKYNSIGFYQMAKIAVTPSIVLAEFVLYRKKVSFPKALALTVVSIGVAVATVTDLQFHVFGACVALAWIVPSAVNKILWSRLQQQENWTALSLMWKTTPITLIFLAAMLPCLDPPGVLSFDWNLSNSLIIFASAILGFLLQWSGALALGATSAISHVVLGQFKTCVLLLGNYYLFGSNPGKISICGAFTAIAGMSIYTYLNMRQQSNKTSPRQASILPKSKLSKENGSTHDGHYGAESV >Vigun08g165900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33797873:33801314:-1 gene:Vigun08g165900.v1.2 transcript:Vigun08g165900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTLPISSQSNASVGAASQSQPPIATPAFRAFISRMSSSLRQAFSQRRPWSEMVDRSAMSRPDTLAEAYSRIRKNFSYFRVNYVTLIAFALAVSLITHPFSLLVLFGLLASWSFLYLFRPSDQPLVLFGRTFADRETLGMLVVLTVFVIFLTSVGSLLISALMVGLGLVCAHGAFRVPEDLFLDDQESNSTGFLSFLGGAAASAAAPAVARV >Vigun08g165900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33797873:33801314:-1 gene:Vigun08g165900.v1.2 transcript:Vigun08g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTLPISSQSNASVGAASQSQPPIATPAFRAFISRMSSSLRQAFSQRRPWSEMVDRSAMSRPDTLAEAYSRIRKNFSYFRVNYVTLIAFALAVSLITHPFSLLVLFGLLASWSFLYLFRPSDQPLVLFGRTFADRETLGMLVVLTVFVIFLTSVGSLLISALMVGLGLVCAHGAFRVPEDLFLDDQESNSTGFLSFLGGAAASAAAPAVARV >Vigun08g165900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33797876:33801306:-1 gene:Vigun08g165900.v1.2 transcript:Vigun08g165900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTLPISSQSNASVGAASQSQPPIATPAFRAFISRMSSSLRQAFSQRRPWSEMVDRSAMSRPDTLAEAYSRIRKNFSYFRVNYVTLIAFALAVSLITHPFSLLVLFGLLASWSFLYLFRPSDQPLVLFGRTFADRETLGMLVVLTVFVIFLTSVGSLLISALMVGLGLVCAHGAFRVPEDLFLDDQESNSTGFLSFLGGAAASAAAPAVARV >Vigun02g077100.1.v1.2 pep primary_assembly:ASM411807v1:2:22955386:22965017:-1 gene:Vigun02g077100.v1.2 transcript:Vigun02g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFKKCMMLCCTGKTVSKEEMAGESFGEAIARLTKLLSEKAELGDVATLKISQLTAELEAAGAKRCVPEERIKTGFITFRNEKFLRNPSLYADLAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVTHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASEFIEQWVQICTPARSKTKTSELSFEEQCTNCEKEAVNVSLGNLLSYPFVRAGVVNKSLVLKGAHYDFVKGTFELWDLNYKLMPSISI >Vigun02g077100.3.v1.2 pep primary_assembly:ASM411807v1:2:22955386:22965017:-1 gene:Vigun02g077100.v1.2 transcript:Vigun02g077100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFKKCMMLCCTGKTVSKEEMAGESFGEAIARLTKLLSEKAELGDVATLKISQLTAELEAAGAKRCVPEERIKTGFITFRNEKFLRNPSLYADLAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVTHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASEFIEQWVQICTPARSKTKTSELSFEEQCTNCEKEAVNVSLGNLLSYPFVRAGVVNKSLVLKGAHYDFVKGTFELWDLNYKLMPSISI >Vigun02g077100.5.v1.2 pep primary_assembly:ASM411807v1:2:22955386:22960790:-1 gene:Vigun02g077100.v1.2 transcript:Vigun02g077100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESFGEAIARLTKLLSEKAELGDVATLKISQLTAELEAAGAKRCVPEERIKTGFITFRNEKFLRNPSLYADLAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVTHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASEFIEQWVQICTPARSKTKTSELSFEEQCTNCEKEAVNVSLGNLLSYPFVRAGVVNKSLVLKGAHYDFVKGTFELWDLNYKLMPSISI >Vigun02g077100.4.v1.2 pep primary_assembly:ASM411807v1:2:22955386:22964979:-1 gene:Vigun02g077100.v1.2 transcript:Vigun02g077100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFKKCMMLCCTGKTVSKEEMAGESFGEAIARLTKLLSEKAELGDVATLKISQLTAELEAAGAKRCVPEERIKTGFITFRNEKFLRNPSLYADLAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVTHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASEFIEQWVQICTPARSKTKTSELSFEEQCTNCEKEAVNVSLGNLLSYPFVRAGVVNKSLVLKGAHYDFVKGTFELWDLNYKLMPSISI >Vigun02g077100.2.v1.2 pep primary_assembly:ASM411807v1:2:22955385:22961056:-1 gene:Vigun02g077100.v1.2 transcript:Vigun02g077100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESFGEAIARLTKLLSEKAELGDVATLKISQLTAELEAAGAKRCVPEERIKTGFITFRNEKFLRNPSLYADLAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVTHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASEFIEQWVQICTPARSKTKTSELSFEEQCTNCEKEAVNVSLGNLLSYPFVRAGVVNKSLVLKGAHYDFVKGTFELWDLNYKLMPSISI >Vigun03g352600.5.v1.2 pep primary_assembly:ASM411807v1:3:55444426:55445574:1 gene:Vigun03g352600.v1.2 transcript:Vigun03g352600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPLAPLVRMAAVIFGGVVTLNLTSTVTIKLLRFASEKKREKVALPCRACRGKGFYICKLCNGNATIAWSPMFDPIAINPCVCPTCEGNRVQRCLNCLGKGYD >Vigun03g352600.3.v1.2 pep primary_assembly:ASM411807v1:3:55443819:55446266:1 gene:Vigun03g352600.v1.2 transcript:Vigun03g352600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASSITLRDLCVVVRISCLRKGVSIFIRRQDMNPRPLAPLVRMAAVIFGGVVTLNLTSTVTIKLLRFASEKKREKVALPCRACRGKGFYICKLCNGNATIAWSPMFDPIAINPCVCPTCEGNRVQRCLNCLGKGYD >Vigun03g352600.4.v1.2 pep primary_assembly:ASM411807v1:3:55443806:55446266:1 gene:Vigun03g352600.v1.2 transcript:Vigun03g352600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPLAPLVRMAAVIFGGVVTLNLTSTVTIKLLRFASEKKREKVALPCRACRGKGFYICKLCNGNATIAWSPMFDPIAINPCVCPTCEGNRVQRCLNCLGKGYD >Vigun07g133600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24331705:24332560:-1 gene:Vigun07g133600.v1.2 transcript:Vigun07g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACRKIWRSVPCTSRKSLRTDSRKDMEEILRAKLSTIKEEPELCEETLTSPMHVRVAKKQGKKVQAKDNSVRCLAPHVKLKHSYVLFITGFASKATFVG >Vigun02g099700.1.v1.2 pep primary_assembly:ASM411807v1:2:25479315:25484871:-1 gene:Vigun02g099700.v1.2 transcript:Vigun02g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGIGRNQRTFRPKKSTPSGSKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCRTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGSPFPANFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIETIIVPY >Vigun06g197600.1.v1.2 pep primary_assembly:ASM411807v1:6:31295839:31300349:-1 gene:Vigun06g197600.v1.2 transcript:Vigun06g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLFFLFTVLLLQQHFFISALNQDGLYLYQWKQSLDDPDSSLASWNNRDTTPCNWAGVTCGPNSSAVTSLDLSNFNVAGPFSATFLCRLPNLTSIILFNNSINQTLPLQISLCTPLLHLDLSQNLLTGTLPHTLPLLPSLRYLDLTGNNFSGPVPPSFGTFQNLQTLSLVFNLLDDVVPPSLFNITSLKTLNLSYNPFQPSPIPHSLGNLTNLETLWLSACNLVGPIPDSVGNLKNLRVLDLTFNNLYGPIPSSLTRITTLKQIELYNNSLSGELPRGISNLTSLRLFDVSMNHLSGSIPDELCRLPLESLNLYENGFTGELPPSIADSPNLYELRLFGNKLTGTLPDSLGKSGPLRWIDVSTNRFSGGIPASLCDHGELEEMLMLENQFSGEIPASLGSCRSLTRVRLGTNRLSGEVPAGMWGLPHVYLLELMGNSFSGSIARTIGGARNLSSLILTKNNFSGVIPEEIGWLENLQEFSAGDNRLSGSLPGSIVNLGQLGTLDLHNNMLSGELPKGIQLWKKLNDLNLANNQIGGEIPDGIGSLAVLNFLDLSNNQFSGNVPKALQNLKLNQFNLSYNRLSGKLPLLLAKDMYRASFIGNPGLCGDFKGLCGGKNGDKGNGFVWILRAIFIVATLVFVIGVVWFYLRYRNFKNAERSVDKSKWTLMSFHKLGFSEDEILNCLDEDNVIGSGSSGKVYKVVLTSGEVVAVKKIWGGAKKEIDSGDVERGQFRQDSAFEAEVETLGKIRHKNIVKLWCCCTTRDSKLLVYEYMPNGSLGDLLHSNKGGLLDWPTRYKIAVDAAEGLSYLHHDCVPSIVHRDVKSNNILLDGDFGARVADFGVAKVVDATGKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELITGRRPVDPEFGEKDLVMWACTTLDQKGVEQVIDSRLDSCFKEEICKVLNIGLMCTSPLPINRPSMRRVVKMLQEVGTENLTKPAKKDGKLSPYYYDDGSDHGSVA >Vigun05g199000.2.v1.2 pep primary_assembly:ASM411807v1:5:38483067:38494029:-1 gene:Vigun05g199000.v1.2 transcript:Vigun05g199000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTANMLRILVATDCHLGYMEKDEVRRHDSFHAFEEICAIAERQGVDFVLLGGDLFHENKPSRSTLVKAIEILRRYCLNDRPVPFQVVSDQTLNFQNAFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSVVDILSACNLVNYFGKTVLGGSGVGQITLHPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHISQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLMSVRPFEYTEVILKDEPDIDPNDQSSILEHLDKVVGKLIEKSSKHVVNRKELKLPLIRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKATKRTKVEGKIIDDSERLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQNFVNKDDKMAFYSCVQYNIQETRNKIAKDSDNQKFEEEDLIIKVGECLEERVKGRSAHSKEPTQLNDGAEPWKNFQGRSATGTGSTAASFSDDEDTIPVSSSKPSTRGRKGSSGATQTTTRGRGRGRGRGSSTMKQTTLDGALGFRISQRKMNPSYHQDGRGLLQGEEVEDLHSLLNVEGNQITLQSIECS >Vigun05g199000.1.v1.2 pep primary_assembly:ASM411807v1:5:38483067:38494029:-1 gene:Vigun05g199000.v1.2 transcript:Vigun05g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTANMLRILVATDCHLGYMEKDEVRRHDSFHAFEEICAIAERQGVDFVLLGGDLFHENKPSRSTLVKAIEILRRYCLNDRPVPFQVVSDQTLNFQNAFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSVVDILSACNLVNYFGKTVLGGSGVGQITLHPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHISQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLMSVRPFEYTEVILKDEPDIDPNDQSSILEHLDKVVGKLIEKSSKHVVNRKELKLPLIRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKATKRTKVEGKIIDDSERLRPEELNQQNIEALVAESNLKMEILPVNDLDIALQNFVNKDDKMAFYSCVQYNIQETRNKIAKDSDNQKFEEEDLIIKVGECLEERVKGRSAHSKEPTQLNDGAEPWKNFQGRSATGTGSTAASFSDDEDTIPVSSSKPSTRGRKGSSGATQTTTRGRGRGRGRGSSTMKQTTLDGALGFRISQRSASVAAASAIQSDANEDNLASALSDDAEKNDVEEIEDSSENESKLPPGRKRAAPRGRGRGSTQSSKRGRKSDNSSIHRMLMNDDDDDDEDIMKRLNKSQPRVTKSYGALRR >Vigun03g191300.1.v1.2 pep primary_assembly:ASM411807v1:3:26395445:26397396:1 gene:Vigun03g191300.v1.2 transcript:Vigun03g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGAKKSAKVMKIDGETFKLKTPVKVEEVLKDHPGLVLLDSEAVKHYGVRAKPLEGHKELHPKRLYFLVELPKETKPRRVRSGINMSAKDRLENLVLTRRSASDLSIMKQSNMGDAEQGSKDNTSNNGGVRLKMRLPKSEVEKLIQGSKDQAEAAERIVKLYMANGSRETEENGDRAKESTKKRVSFMPINEGGTPIAAAS >Vigun03g006700.1.v1.2 pep primary_assembly:ASM411807v1:3:457837:460641:-1 gene:Vigun03g006700.v1.2 transcript:Vigun03g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYLVSVSSNKAMDREDEKACIESPLIHITVEDRLKVDSIQLNKDKAIERAELYEEVRKQLWLAGPLVSVSILNYSLQIISVMFVGHLGQLPLSAASMATSFASVTGFSLLVGMASALDTLCGQSYGAKQHYMLGIHMQRAMLVLMIVSIHLAFIWANTGSILIAFGQDPEISAEAGQYARLMIPSLFAYGLLQCLNRFLQTQNIVFPMVLSSGVTTLLHIAICWTMVFKSGLGNRGAAIANAISYWINVLILILYVKFSPSCSKTWTGFSKEALHGIPSFLRLAIPSAVMVCLEMWSFEMMVLLSGLLPNPKLEASVLSICLNTSTTVWMIPFGLSGAVSTRVSNELGAGHPRAARLAVYFVFIMAIIEGTLIGAVMILLRNIWGYAYTNEVEVVQYVAIMLPILALSIFLDALQCVLSGTARGCGWQKKGAFINLGSYYLVGIPSAIFFSFVMHIGGKGLWLGIICALVVQVSCLFVITTRTDWEQEAKKAKERVYNSMTAGLIVS >Vigun04g075500.1.v1.2 pep primary_assembly:ASM411807v1:4:9342923:9344003:-1 gene:Vigun04g075500.v1.2 transcript:Vigun04g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIFTFIIFLLFHGSINGFDYFTIAQIYPRGLCHGNRLCTSSTIALSTKFTVHGLWPSTNAFPQPFNCRVDGLNLSVIQNIGPRLQQVWPNYYSTNYTKFWEHEWEKHGTCSNMQQFDFFRLTLDIYARNDLQAILINAGISRRKPYHINDIISAIRNSAIGVEPELHCRKSRKSRQSGKSGSGSGRRGLIFEIRICLNTDPIPQYINCASQGTCTSPVMFM >Vigun07g073700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9405744:9406058:-1 gene:Vigun07g073700.v1.2 transcript:Vigun07g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSFVGKVEKGVSHIVQRRPAVRYYSEGTSVGDDVREGYFAVLAMKGGESKRFIVGLHYLNDPAFLGLLRQAEQEFGFRQMGALAVPCQPQELQNILDASRP >Vigun03g363500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56711868:56714229:1 gene:Vigun03g363500.v1.2 transcript:Vigun03g363500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLADANAHRRVTSLLSPFHVVTHRQQNLFFDGAASELSERRAVLRLRFYGDDERCVVSLKARAVLVDGVSRVEEDEEDLDPRIGRECVAEPGKLGSVESRVLRRVKEEFGTEKGFVGLGGFGNVRNVYDWKGLKLEVDETKFGSGILYEIECESADPEGAKRLLEEFLKENKIDYSYSTASKFAIFRSGKLP >Vigun11g029900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3901987:3902226:1 gene:Vigun11g029900.v1.2 transcript:Vigun11g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRMDSNHLFKLTSLCRIRRLEVVWDDEEQFNDVAKFRSEVA >Vigun10g171732.1.v1.2 pep primary_assembly:ASM411807v1:10:39035058:39036129:1 gene:Vigun10g171732.v1.2 transcript:Vigun10g171732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNDKKCHKAYFFQLTLLKAHIGGTSLLCGSSKFWGMFSLREKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun03g368500.2.v1.2 pep primary_assembly:ASM411807v1:3:57152317:57157352:-1 gene:Vigun03g368500.v1.2 transcript:Vigun03g368500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHIPVEEVFKLLKCTKDGLTSAEGEKRLQIFGPNKLEEKTDSKFLKFLGFMWNPLSWVMEAAAVMAIALANGGDKPPDWQDFVGIVVLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAAILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGSEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIIVMFPIQERAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPTDMDKDTLVLYAARASRTENQDAIDASIVGMLSDPKEARAGINEVHFLPFNPVDKRTAITYIDAQGSWHRSSKGAPEQIIELCGLKGEILQKAHKVIDEYANRGLRSLGVSRQTVSEKSKESEGEPWEFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDSKDPTIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGAYMAVITVVFFFLVHDTDFFTRTFGVKPIADSEEQLNSALYLQVSIISQALIFVTRSRSWSYVELPGIFLITAFFAAQLVATVIAVYAHWDFARINGIGWGWAGAIWVFSIFTYIPLDILKFIIRLALSGKAWDNMLENKTAFTTKKDYGKGEREAQWAMAQRTLHGLQVGETMVNHEQSEIAEQARRRAEAARLKELHTLKGHVESVVKLKGLDIDTIQQHYTL >Vigun03g368500.1.v1.2 pep primary_assembly:ASM411807v1:3:57152317:57157352:-1 gene:Vigun03g368500.v1.2 transcript:Vigun03g368500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDISFEDLKNENVDLEHIPVEEVFKLLKCTKDGLTSAEGEKRLQIFGPNKLEEKTDSKFLKFLGFMWNPLSWVMEAAAVMAIALANGGDKPPDWQDFVGIVVLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEEAAILVPGDLISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGSEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIIVMFPIQERAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPTDMDKDTLVLYAARASRTENQDAIDASIVGMLSDPKEARAGINEVHFLPFNPVDKRTAITYIDAQGSWHRSSKGAPEQIIELCGLKGEILQKAHKVIDEYANRGLRSLGVSRQTVSEKSKESEGEPWEFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDSKDPTIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGAYMAVITVVFFFLVHDTDFFTRTFGVKPIADSEEQLNSALYLQVSIISQALIFVTRSRSWSYVELPGIFLITAFFAAQLVATVIAVYAHWDFARINGIGWGWAGAIWVFSIFTYIPLDILKFIIRLALSGKAWDNMLENKTAFTTKKDYGKGEREAQWAMAQRTLHGLQVGETMVNHEQSEIAEQARRRAEAARLKELHTLKGHVESVVKLKGLDIDTIQQHYTL >Vigun03g411200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61887601:61888612:1 gene:Vigun03g411200.v1.2 transcript:Vigun03g411200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKSLILCFFLQAIVVLVTIPGSHCRSFLPNNENLIESTCKRTPNYNLCVQTLKASPESSTADVSGLALIMVKVIKAKANDALKIIHDLQRAGAGTKQRRALSSCASKYNAVLIADVPQATEALQKGNPKFAEDGANDAANEATNCESGFSGNSPLTKQNNAMHDVAAITAAIVRLLL >Vigun05g107500.1.v1.2 pep primary_assembly:ASM411807v1:5:11005420:11007331:-1 gene:Vigun05g107500.v1.2 transcript:Vigun05g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGTEQPLTLKLMVIKGKKKVVIAEAGKEFVDILFSFLTLPLGTIARLVREESLVQPPEVALLSTLYQSVQNLDDGYLCTDTCREMLLRPRNSMEAYCRSLKINIDDTEPTEYFVCKNLVNCPEKSPVFISSFKNKKCRCGALLGKPISAETSCVFDGFIKSNVRFMITDDLKVIPNSLDEMVNVLKGSGIKTMSSVNVMSVHITKNQVVDLLKCCLCSKTVLTDLFLEKLPNERLLKKKRIAPSDFKAKGSGKITVKIMQRKSNGKIVFTEGKEDFADFLSSLLTIPLGGMAHLMEGCCCVGSVDELYKSVVDLNEDYFISKGVKYNFVHPVLAPQFKLGNLLPLRCNYVPNYFCYIKCYDLHGHRYGSRSIASCYLTSINKTVNSSLESCVPLKFVDPMSDTSNNGKGYLKGPTTYMVTDDLVVTPSSSISVMSLLTSMSISVDDLEEKVVSIGTEEGVRILQASLSSTSALTLGLSHLTKEKEEK >Vigun06g228600.2.v1.2 pep primary_assembly:ASM411807v1:6:33614814:33618616:1 gene:Vigun06g228600.v1.2 transcript:Vigun06g228600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSQGSVQDLHDQPLVIQNSRWASLPPELLFDIIRRLEESENTWPSRKHVVACAAVCQSWRNMCKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYSSAHICPPGTGKTSRRFYSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRKMHCVMHSIPASALDAGGTVPGQPELLPRSLEDSFRSISFSKSLDRAIEFSSSRFSDISESILDDDDGKLRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Vigun06g228600.1.v1.2 pep primary_assembly:ASM411807v1:6:33614814:33618616:1 gene:Vigun06g228600.v1.2 transcript:Vigun06g228600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSQGSVQDLHDQPLVIQNSRWASLPPELLFDIIRRLEESENTWPSRKHVVACAAVCQSWRNMCKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYSSAHICPPGTGKTSRRFYSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRKMHCVMHSIPASALDAGGTVPGQPELLPRSLEDSFRSISFSKSLDRAIEFSSSRFSDISESILDDDDGKLRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Vigun03g116200.1.v1.2 pep primary_assembly:ASM411807v1:3:10725134:10729792:-1 gene:Vigun03g116200.v1.2 transcript:Vigun03g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADPKPHSEPKVWNFFKLPFRHSTSATTTTSSSANLHLHHHHHHHNPNNLPLEGSTSHTSNSVSSVARSLLPTRRRLKLDPSNKLYLPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEQPENNEKPEKTGLKFKIMSLKVKGSIDYVPELFDEQKDQVAVEQILRVVFLDPERPCPALEKLNRQLADADAALEARKKPVEDAGPKIIGEGLVIDEWKERRERYLAKQQGEVVVDSV >Vigun11g043800.1.v1.2 pep primary_assembly:ASM411807v1:11:6444618:6454473:-1 gene:Vigun11g043800.v1.2 transcript:Vigun11g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMFPLKPHSVILKAPLFSSLSLPLPLRFRAVTASKHVNIQCELDGRPNGALSGDFDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDCALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLVFLNQIRYKIGVYYGNPEVTSGGIALKFFASLRLEVRPIGKIKSVKGDEEIGLKVRLRVQKSKVSRPYKIAEFEIIFGEGISKLGCILDCAEMTDIVSKKGSWYSYGDHRLGQGRDKAIQYLKENTYLLEEIEKVVRSSLVDGSNQASLAHMKNSPVLHQDDDVFEETQ >Vigun06g125400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25250630:25251959:1 gene:Vigun06g125400.v1.2 transcript:Vigun06g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQPCPDDDLTAATTATARSGTRHPVYRGVRKRRWGKWVSEIREPRKKNRIWLGSFPMPEMAARAYDVAAYCLKGRKAQLNFPDEVDSLPLPPSRTARDIQAAAAQAARMMKAAGSDVKSSIADDDGDSGGDDFWGEIELPELMDGECCWGCPGGSSWITSGDIADWTEEELSPQQPSFLACL >Vigun08g089450.1.v1.2 pep primary_assembly:ASM411807v1:8:20597435:20597909:-1 gene:Vigun08g089450.v1.2 transcript:Vigun08g089450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCYCGEQAFLRTARTLKNRGKQFWGCPKYKRGSEQLVGYNYFSWFSGDEEIVGSVMKNEERDVSVLNMEEMYGQRMKILSLEKSVMNLENRIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun07g253500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37218142:37220727:1 gene:Vigun07g253500.v1.2 transcript:Vigun07g253500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPKRSYSRIDTLELKALIVRKVGHQRADKYFDQLRRLLSSKISKSEFDKICIVIIGRENIPLHNRLIRAILKNACLVKVPPVRGSAKTGSAFSVKDSNGLQRGSIQSLFGDAFPPSLRRIGPSAARDRRSKGRPNSLGPLGKPQFGLASDEFVCKTLEQQSATELNSLGSRPPISVEEGEEVEQMAGSPSVQSRSPVTAPLGISMNFVRGGRRHSNVSLCSKGYPETCHTNGDLPDTRSLRSRLEQKLEKEGLTTTVDCVNLLNNALDSYLKRLIESFMDLGGPRCGNENLRQQNRQMATGSNVLLPGRCMQKATGSAGASLLDFRLAMELNPQVLGPDWPIQLEKICIRASED >Vigun11g084300.1.v1.2 pep primary_assembly:ASM411807v1:11:25198313:25200291:1 gene:Vigun11g084300.v1.2 transcript:Vigun11g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSQRKQNMFALFLLLQLGNSQVMSRKLHETSLRERHEQWMVRYGKVYEDAAEKEKRFQIFKDNVEFIESSNAAGNKPYQLGVNHFADLTLEEFKASRNGLKGPREFSTTPFKYENVRAIPQAVDWREEGAVTPVKDQGQCGSCWAFSAVGAIEGIHQMTTGNLVSLSEQELVSCDTKGEDQGCDGGYVEGAFEFIKNNGGITSEVNYPYKGVDGKCEVAARPVVQIKGYENVPPNNESALMIAVANQPVSVCLDANNEDFMFYGGGVYTGKCGTDLDHAVTAVGYGTENGTDYWLLKNSWSTNWGDKGYVKMQRGVAAKEGLCGIAMDSSYPTA >Vigun01g056700.1.v1.2 pep primary_assembly:ASM411807v1:1:11308155:11312614:-1 gene:Vigun01g056700.v1.2 transcript:Vigun01g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSLPPGFRFHPTDEELVAYYLERKITGRSIELDIIAEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDRKYPNGSRTNRATQAGYWKATGKDRPVHSQKKPVGMKKTLVYYRGRAPHGIRTNWVMHEYRLIESVPGAALSSLKDSYSLCRIFKKMIQMPAKPSKEEQQAEDAKKESMWISEEQMLGEDSSGTEFSREMETVEEKILNHEYPKFPCDASSSDLTQGTCTPTDTGIAEDFQPHFACDEANSATNSYTMGMGYPSNLFQDVEIPSFSTMHYQLPYTPLVMEDFPQITLPETKSTKPEMNEPCMLYDRYRDCMNGTLEEIISLCCTQDNNVPFPMLE >Vigun04g052000.2.v1.2 pep primary_assembly:ASM411807v1:4:4725704:4728825:1 gene:Vigun04g052000.v1.2 transcript:Vigun04g052000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNEMEKIDDVMPGFRFHPTDEELVDFYLKRKIQQKSLPIELIKQVDIYKHDPWDLPKLAGTGEKEWYFYCPRDRKYRNSARPNRVTRAGFWKATGTDRPIYSSEGKCIGLKKSLVFYRGRAAKGMKTDWMMHEFRLPCISDSSPSKKLSDKTLPPTDSWAICRIFKKTNSISMAQKALSHPWISQLSGSMVSDMFTQGAATNHHLGSPAIQFCEDKQELNQVSNNEINTNFTASDIPSYKPIDSITVPKPSPQIPVSDDFIFYTEHTKCTLDASSMLSPNPDYITFEEPNQQQYSGFSTNLPHFIQQQNMSTETVTKEQQDWETIGRTTGFPFTLLPPYDSWKSSSVVWESPSCPSDISTTYSTSKCYT >Vigun04g052000.1.v1.2 pep primary_assembly:ASM411807v1:4:4725665:4729290:1 gene:Vigun04g052000.v1.2 transcript:Vigun04g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNEMEKIDDVMPGFRFHPTDEELVDFYLKRKIQQKSLPIELIKQVDIYKHDPWDLPKLAGTGEKEWYFYCPRDRKYRNSARPNRVTRAGFWKATGTDRPIYSSEGKCIGLKKSLVFYRGRAAKGMKTDWMMHEFRLPCISDSSPSKKLSDKTLPPTDSWAICRIFKKTNSISMAQKALSHPWISQLSGSMVSDMFTQGAATNHHLGSPAIQFCEDKQELNQVSNNEINTNFTASDIPSYKPIDSITVPKPSPQIPVSDDFIFYTEHTKCTLDASSMLSPNPDYITFEEPNQQQYSGFSTNLPHFIQQQNMSTETVTKEQQDWETIGRTTGFPFTLLPPYDSWKSSSVVWESPSCPSDISTTYSTSKCYT >Vigun05g129500.1.v1.2 pep primary_assembly:ASM411807v1:5:14971954:14975757:-1 gene:Vigun05g129500.v1.2 transcript:Vigun05g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIELNPDGALVVRAQPISVVKDPVFLNRLKKAFQDQIKIANMKALQNLQHGDHPLVVPASPMVPSPKAFRRKRKNTTTKLLFEVPFSFQTPRFCLVENIDLDIVLLNRFKEGGSWGNHVPQFSLAERFQNWLLEKRERNRDNNNGILIKDWFYHHLPSQQTLRSQQEVFHFLITGDLYMKDISKKAKRSDAAVVDSGLAFCE >Vigun06g148800.1.v1.2 pep primary_assembly:ASM411807v1:6:27375256:27377102:-1 gene:Vigun06g148800.v1.2 transcript:Vigun06g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGETRHDCVHYESDDETFSCSCGFIGFGRNQVYDSSINGANNEDSEELFEINLKESLETISEDCESSVFSFDIHNDNDTDVVHVAVDHVGESSMEALLWTLNHAVTPSTTVYLIHVFPQIRLIPSPFGKFPRNRVNAEYVYFHLTQQKSKRILLLQEFIDLCLDSKVKVEVMVVEGDNVAKAITELVRDHDIRKLVIGITKSNLSKSVSRRRNGIADKILRNAAEICDVKMICDGNEVTDEMISSFGVAHEENESCGFVPLMRFVSNPIWLFRPRF >Vigun02g022900.1.v1.2 pep primary_assembly:ASM411807v1:2:7674288:7679372:-1 gene:Vigun02g022900.v1.2 transcript:Vigun02g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNTFFHNLIKPFKFSSSKEEHSEDDMQNLAAQENKVFSYETLVAATNKFSILNKLGEGGFGPVFKGKLSDGREIAVKRLSRRSNQGKTQFINEAKLLGRVQHRNVVNLIGYCAHASEKLLVYEYVPHKSLDKFLFKTGKKEELNWKCRFDIINGVGRGLLYLHEDSHNCIIHRDIKASNILLDEKWGPKIADFGLARLFPEDQTHVNTRVAGTNGYLAPEYLMYGHLSVKADVFSYGVLVLELVTGRRNSSFDANSSAQNLLDWAYWLYKKGRALEMVDPALGSSVITEQVEMCIKLGLLCTQGDPNQRPTMGRVVVMLSKKSNHMEEPTRPGMAGSRFRRVPHRPSATFSSGLDDDSHSHTGDSSTYGTNNNTTSATSSTTPSSSATAEVDPRGKRPMQG >Vigun02g022900.2.v1.2 pep primary_assembly:ASM411807v1:2:7673369:7679372:-1 gene:Vigun02g022900.v1.2 transcript:Vigun02g022900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNTFFHNLIKPFKFSSSKGKEEHSEDDMQNLAAQENKVFSYETLVAATNKFSILNKLGEGGFGPVFKGKLSDGREIAVKRLSRRSNQGKTQFINEAKLLGRVQHRNVVNLIGYCAHASEKLLVYEYVPHKSLDKFLFKTGKKEELNWKCRFDIINGVGRGLLYLHEDSHNCIIHRDIKASNILLDEKWGPKIADFGLARLFPEDQTHVNTRVAGTNGYLAPEYLMYGHLSVKADVFSYGVLVLELVTGRRNSSFDANSSAQNLLDWAYWLYKKGRALEMVDPALGSSVITEQVEMCIKLGLLCTQGDPNQRPTMGRVVVMLSKKSNHMEEPTRPGMAGSRFRRVPHRPSATFSSGLDDDSHSHTGDSSTYGTNNNTTSATSSTTPSSSATAEVDPRGKRPMQEVDRDITTLEWTLEATQKW >Vigun02g022900.3.v1.2 pep primary_assembly:ASM411807v1:2:7674288:7679372:-1 gene:Vigun02g022900.v1.2 transcript:Vigun02g022900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNTFFHNLIKPFKFSSSKGKEEHSEDDMQNLAAQENKVFSYETLVAATNKFSILNKLGEGGFGPVFKGKLSDGREIAVKRLSRRSNQGKTQFINEAKLLGRVQHRNVVNLIGYCAHASEKLLVYEYVPHKSLDKFLFKTGKKEELNWKCRFDIINGVGRGLLYLHEDSHNCIIHRDIKASNILLDEKWGPKIADFGLARLFPEDQTHVNTRVAGTNGYLAPEYLMYGHLSVKADVFSYGVLVLELVTGRRNSSFDANSSAQNLLDWAYWLYKKGRALEMVDPALGSSVITEQVEMCIKLGLLCTQGDPNQRPTMGRVVVMLSKKSNHMEEPTRPGMAGSRFRRVPHRPSATFSSGLDDDSHSHTGDSSTYGTNNNTTSATSSTTPSSSATAEVDPRGKRPMQG >Vigun11g079800.1.v1.2 pep primary_assembly:ASM411807v1:11:23467865:23496049:-1 gene:Vigun11g079800.v1.2 transcript:Vigun11g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTMTFYSGDSSPSAMAANKSQRISSSHTKNFDVFVSFRGEDTRNGFTNHLFAALQRKGVLAFRDDQTIQKGHFLESELLHAIEGSQVFVVVFSKDYASSTWCMKELTKIVDWVELTGRSLLPIFFDVTPSEVRNQSGEFSKAFAEHEERFKDDLEMVKKWREALKTSCDRCGWDVQNKQQYEEIENVVEEVINLLGRNQIWNFGDDLVDIHSRVKQLEELLDLSANDTVRLVGICGMGGIGKTTLATALFNKISPQFNACCYLDDLSKIYCNFGAASAQKQLLCQALNQGNMEIHNVSHGAMLIRTRLCHLKTLVVVDNVDKVEQLKKLGLQSEYLVAGSRIIIISRNCHILQNYGVNEVYKVQVLDDTQSLQLLCKKAFKSNDIGEEYKELTLDILKYVNGLPLALEVLGSFLLDRDVCEWRYALTRMEENPSKDIMDVLRISFDGLENLEKEIFLDIACFFSNKTHHSSQQTMKKLLHYRQFYPDIGMKVLIEKSLISCQDGYIGMHDLLKELGKSIVREKAPKEPRKWSRLWNYKDLQKVMKINKEAKNVEAIIIKQYPKEFLKAIRVDALSKMNQLELLILKNVQCFGTLDCISNELRYLKWNHFPCMSFPSTFHPDQLVELILRHSNIKQLWEGKKCLPNLRNMDLSHSKNLIEVPDLSEAPRLKNINLGGCIQLVQIHPSIGILRELQHLYLNNCKNLVLNLIILFGISSLKTLDLSGCSKLLNSKMLMEPRDTKHLEEVVKNRNIIQLPTSSVYEFLMLPFKFFYPPKAEASLGLVFSSLSSVPCLEYLDISFGNLLQIPDGIGNLRSLRGLNLGGNKFVTLPSTIKKLSNLQHLNLEHCKEMKYLPELPTMKEETIDGYYGQLLIFYCPKFRDMEHCYSTVFSWMTQNLQVYLQPRMEIVIPGSEIPKWFNKQNASTSIIMDPYDVIDDPNWIGVAICALFVTHQDPMNLGID >Vigun01g200100.2.v1.2 pep primary_assembly:ASM411807v1:1:37647335:37651852:1 gene:Vigun01g200100.v1.2 transcript:Vigun01g200100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFMFNFAKERPELGRDLFLAWTGWVCVWTAVLLFLLAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPQRENPKSIQFLPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYLPSGSVPQGIPRRLFSPNPWSPGAYENWTVVKDMVHVPVVYIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLMCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNKLVVAARKSMGKNASLGQLYGNMVEAYHQMQTPLIYQDPSARAQGLKELKESTIQAATSMGSMDAPVDETIFDVEKEIDDLLPVEVKEQRLSNLFQAIMVGGCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIATFTIFQTIYLLVCFGLTWVPIAGVMFPMMIMLLVPVRQYFLPKFFKGAHLQDLDAAAYEEQTALPFNLATQSEFGGGASHVGEGEILDEVITRSRGEFRHTSSPKITSSTPTPRTDPKSHLSPRLSFSSRVGELKTEQSPRSGARGPLSPKAGEVRLSNLGRTPLNPDSKQQDHN >Vigun01g200100.1.v1.2 pep primary_assembly:ASM411807v1:1:37647335:37651852:1 gene:Vigun01g200100.v1.2 transcript:Vigun01g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFMFNFAKERPELGRDLFLAWTGWVCVWTAVLLFLLAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPQRENPKSIQFLPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYLPSGSVPQGIPRRLFSPNPWSPGAYENWTVVKDMVHVPVVYIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLAIFFSGGKTLMCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNKLVVAARKSMGKNASLGQLYGNMVEAYHQMQTPLIYQDPSARAQGLKELKESTIQAATSMGSMDAPVDETIFDVEKEIDDLLPVEVKEQRLSNLFQAIMVGGCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIATFTIFQTIYLLVCFGLTWVPIAGVMFPMMIMLLVPVRQYFLPKFFKGAHLQDLDAAAYEEQTALPFNLATQSEFGGGASHVGEGEILDEVITRSRGEFRHTSSPKITSSTPTPRTDPKSHLSPRLSFSSRVGELKTEQSPRSGARGPLSPKAGEVRLSNLGRTPLNPDSKQQDHN >Vigun08g087800.2.v1.2 pep primary_assembly:ASM411807v1:8:19775388:19776235:1 gene:Vigun08g087800.v1.2 transcript:Vigun08g087800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFNFCPLSKTQHLSASFPTSSSTSRMLPTTTLQTLTTKPLTTRPTTTPTTTFTTVNGGACHYFAFLFQSHEIFNAQQPCCFASPPLTTTVQLHHY >Vigun05g109100.1.v1.2 pep primary_assembly:ASM411807v1:5:11179301:11181827:1 gene:Vigun05g109100.v1.2 transcript:Vigun05g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEATIPLKFWVDEEQNSVIVAEASGDFVDVLFSFLTLPMGTIIRLVSKNQPLAIGCIDNLYQSVEKFNTNVFWNRMCRQMLLSPRNPSEASCQRLKLKVDDTVPSKYFVCGYCLKGSDLLVSTFAGASCYCGKMMTKEMKVLEESKEESSWGNGVFVKGDAMFLIFDDLRVLNSSPGNTVQQLLQLGYKDFDKMKEISVNVGMKEIYSILKQALTSKSPLSDVFLANRESKPTLPSFSPDSGPSHHRGSLEVKITVSKSQNKILFAEAEGNFVDFLFSFLTIPLGSIINLMNDNLSFGSIDNLYKSVKDLNSSWFIGSATNSLLNPCVPHQFGCTSQPIHVPEEETPSYWFGTKVVNANIKREAISKNQDLLQDPVAMKIFEPRCSDGARGSAVGYMKRPCIFVVRDDLHVTPMTTTSSISFVQELHVPLDDFEEHLVEIKNSREALNLLRASLTSKAALTDSLFYLLKKRKREMCILRCIGWKEERDENKKHGNRKENY >Vigun03g102000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8771398:8776641:-1 gene:Vigun03g102000.v1.2 transcript:Vigun03g102000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRASSVTVSSVLKLCKQCSLQHIADCIKGLNTLRVMSTAVERTDFQFCGGHQNDDSLGYQQSHVGFYQESQMKADTNEHFNKQRPDYVAQANSSRSYWNNHVSLEQNSYGARQIADGGHMNIPINIKNNLVGHNGSANGCFGQDNMKMQQNVGVDNEWASGMHPNAFVDNHGWTQDPGQRMQSLNAYNSPRLLESEGNPRGGLNQNVDCFQQPSTVQYKGGNEMPQQYSGSGQFQQSLKDGQYSPNFNISQRSMAVGSHLSNNANPGGESNGASNDSPYRGTLEELDSFCTEGKVKEAVEVLELLEKLLIPVDLPRCLQLMRQCGDAKSLEEAKIVHRHALKHLSPLLGSTYNRILEMYFECGAVDDALNIFNTMPERNLTTWDTMITQLAKNGFAEDSIDLFTQFKNLGLKPDGQMFIGVLSACSVLGDIDEGMLHFESMIRDYGIIPSMAHFVSVVDMFGSIGHLDEAFEFIEKMTMEPSADIWETLMNLCRVHGNTGLGDRCAELLEQLDSSRLSDQSKAGLVPVKASDLSKEKEKKLASKNLLEVRSRVHEYRAGDTSHPENDKIYALLRGLKSQMKEAGYIPETKFVLHDIDQEGKEDALLAHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHTALKIISKLVGRELIIRDAKRFHHFKDGLCSCRDYW >Vigun03g102000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8772461:8778590:-1 gene:Vigun03g102000.v1.2 transcript:Vigun03g102000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRASSVTVSSVLKLCKQCSLQHIADCIKGLNTLRVMSTAVERTDFQFCGGHQNDDSLGYQQSHVGFYQESQMKADTNEHFNKQRPDYVAQANSSRSYWNNHVSLEQNSYGARQIADGGHMNIPINIKNNLVGHNGSANGCFGQDNMKMQQNVGVDNEWASGMHPNAFVDNHGWTQDPGQRMQSLNAYNSPRLLESEGNPRGGLNQNVDCFQQPSTVQYKGGNEMPQQYSGSGQFQQSLKDGQYSPNFNISQRSMAVGSHLSNNANPGGESNGASNDSPYRGTLEELDSFCTEGKVKEAVEVLELLEKLLIPVDLPRCLQLMRQCGDAKSLEEAKIVHRHALKHLSPLLGSTYNRILEMYFECGAVDDALNIFNTMPERNLTTWDTMITQLAKNGFAEDSIDLFTQFKNLGLKPDGQMFIGVLSACSVLGDIDEGMLHFESMIRDYGIIPSMAHFVSVVDMFGSIGHLDEAFEFIEKMTMEPSADIWETLMNLCRVHGNTGLGDRCAELLEQLDSSRLSDQSKAGLVPVKASDLSKEKEKKLASKNLLEVRSRVHEYRAGDTSHPENDKIYALLRGLKSQMKEAGYIPETKFVLHDIDQEGKEDALLAHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHTALKIISKLVGRELIIRDAKRFHHFKDGLCSCRDYW >Vigun03g102000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8772461:8778590:-1 gene:Vigun03g102000.v1.2 transcript:Vigun03g102000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRASSVTVSSVLKLCKQCSLQHIADCIKGLNTLRVMSTAVERTDFQFCGGHQNDDSLGYQQSHVGFYQESQMKADTNEHFNKQRPDYVAQANSSRSYWNNHVSLEQNSYGARQIADGGHMNIPINIKNNLVGHNGSANGCFGQDNMKMQQNVGVDNEWASGMHPNAFVDNHGWTQDPGQRMQSLNAYNSPRLLESEGNPRGGLNQNVDCFQQPSTVQYKGGNEMPQQYSGSGQFQQSLKDGQYSPNFNISQRSMAVGSHLSNNANPGGESNGASNDSPYRGTLEELDSFCTEGKVKEAVEVLELLEKLLIPVDLPRCLQLMRQCGDAKSLEEAKIVHRHALKHLSPLLGSTYNRILEMYFECGAVDDALNIFNTMPERNLTTWDTMITQLAKNGFAEDSIDLFTQFKNLGLKPDGQMFIGVLSACSVLGDIDEGMLHFESMIRDYGIIPSMAHFVSVVDMFGSIGHLDEAFEFIEKMTMEPSADIWETLMNLCRVHGNTGLGDRCAELLEQLDSSRLSDQSKAGLVPVKASDLSKEKEKKLASKNLLEVRSRVHEYRAGDTSHPENDKIYALLRGLKSQMKEAGYIPETKFVLHDIDQEGKEDALLAHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHTALKIISKLVGRELIIRDAKRFHHFKDGLCSCRDYW >Vigun03g102000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8771398:8778590:-1 gene:Vigun03g102000.v1.2 transcript:Vigun03g102000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRASSVTVSSVLKLCKQCSLQHIADCIKGLNTLRVMSTAVERTDFQFCGGHQNDDSLGYQQSHVGFYQESQMKADTNEHFNKQRPDYVAQANSSRSYWNNHVSLEQNSYGARQIADGGHMNIPINIKNNLVGHNGSANGCFGQDNMKMQQNVGVDNEWASGMHPNAFVDNHGWTQDPGQRMQSLNAYNSPRLLESEGNPRGGLNQNVDCFQQPSTVQYKGGNEMPQQYSGSGQFQQSLKDGQYSPNFNISQRSMAVGSHLSNNANPGGESNGASNDSPYRGTLEELDSFCTEGKVKEAVEVLELLEKLLIPVDLPRCLQLMRQCGDAKSLEEAKIVHRHALKHLSPLLGSTYNRILEMYFECGAVDDALNIFNTMPERNLTTWDTMITQLAKNGFAEDSIDLFTQFKNLGLKPDGQMFIGVLSACSVLGDIDEGMLHFESMIRDYGIIPSMAHFVSVVDMFGSIGHLDEAFEFIEKMTMEPSADIWETLMNLCRVHGNTGLGDRCAELLEQLDSSRLSDQSKAGLVPVKASDLSKEKEKKLASKNLLEVRSRVHEYRAGDTSHPENDKIYALLRGLKSQMKEAGYIPETKFVLHDIDQEGKEDALLAHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHTALKIISKLVGRELIIRDAKRFHHFKDGLCSCRDYW >Vigun03g102000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8771398:8778590:-1 gene:Vigun03g102000.v1.2 transcript:Vigun03g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRASSVTVSSVLKLCKQCSLQHIADCIKGLNTLRVMSTAVERTDFQFCGGHQNDDSLGYQQSHVGFYQESQMKADTNEHFNKQRPDYVAQANSSRSYWNNHVSLEQNSYGARQIADGGHMNIPINIKNNLVGHNGSANGCFGQDNMKMQQNVGVDNEWASGMHPNAFVDNHGWTQDPGQRMQSLNAYNSPRLLESEGNPRGGLNQNVDCFQQPSTVQYKGGNEMPQQYSGSGQFQQSLKDGQYSPNFNISQRSMAVGSHLSNNANPGGESNGASNDSPYRGTLEELDSFCTEGKVKEAVEVLELLEKLLIPVDLPRCLQLMRQCGDAKSLEEAKIVHRHALKHLSPLLGSTYNRILEMYFECGAVDDALNIFNTMPERNLTTWDTMITQLAKNGFAEDSIDLFTQFKNLGLKPDGQMFIGVLSACSVLGDIDEGMLHFESMIRDYGIIPSMAHFVSVVDMFGSIGHLDEAFEFIEKMTMEPSADIWETLMNLCRVHGNTGLGDRCAELLEQLDSSRLSDQSKAGLVPVKASDLSKEKEKKLASKNLLEVRSRVHEYRAGDTSHPENDKIYALLRGLKSQMKEAGYIPETKFVLHDIDQEGKEDALLAHSERLAVAYGLLSSSARSPIRVIKNLRVCGDCHTALKIISKLVGRELIIRDAKRFHHFKDGLCSCRDYW >Vigun01g211100.1.v1.2 pep primary_assembly:ASM411807v1:1:38550984:38552416:1 gene:Vigun01g211100.v1.2 transcript:Vigun01g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGGSNGGSCYYSVLGIRRDASFSDIRTAYRKLAMRWHPDKWTRNPATAGEAKRRFQQIQEAYSVLSDQSKRSMYDAGLYDPLEEEDQEFCDFMQEMISMMNNVKDEGDSMEDLQRMFVEMVGGDGIGIDLNQDQMAGKRGRVNGSRGNAAKRSNSRS >Vigun08g127500.3.v1.2 pep primary_assembly:ASM411807v1:8:29784474:29787600:-1 gene:Vigun08g127500.v1.2 transcript:Vigun08g127500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKFPCRGLDYGLYLLIFVVCLVLYGTVSRLNTANVSHSTVSKLRHLNPKHVVSKGKGYPPVLAYWILGTKGEKKKMLRLLKAVYHPRNQYLLQLDDRSSESERMDLALSVKSIKVFEEFGNVNVIGKSYAINRMGSSALSAPLHAASLLLKLNSDWDWFITLSASDYPLMTQDDILHAFTFLPRYVNFIHYTNKTIRNEQRDINQIVVDQSLHYEKNSPLFFAVESRDTPDAFKLFRGSPWMVLTRAFMEYCVTGWDNLPRKLLMFFTNVAYPVESYFHTVLCNSKEFQNTTVDNNLMYGLWDTDPSESQFLDMSHYDTMVETGAAFARPFGEGDVVMEKIDDLILNRSSNGLVQGEWCSNSETNNTTEVSESEEEEQEQELLCSESGNVDDVKPGPFGIKLKILLAEIVNTRRFRPNQCKTFERW >Vigun08g127500.1.v1.2 pep primary_assembly:ASM411807v1:8:29784443:29787472:-1 gene:Vigun08g127500.v1.2 transcript:Vigun08g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKFPCRGLDYGLYLLIFVVCLVLYGTVSRLNTANVSHSTVSKLRHLNPKHVVSKGKGYPPVLAYWILGTKGEKKKMLRLLKAVYHPRNQYLLQLDDRSSESERMDLALSVKSIKVFEEFGNVNVIGKSYAINRMGSSALSAPLHAASLLLKLNSDWDWFITLSASDYPLMTQDDILHAFTFLPRYVNFIHYTNKTIRNEQRDINQIVVDQSLHYEKNSPLFFAVESRDTPDAFKLFRGSPWMVLTRAFMEYCVTGWDNLPRKLLMFFTNVAYPVESYFHTVLCNSKEFQNTTVDNNLMYGLWDTDPSESQFLDMSHYDTMVETGAAFARPFGEGDVVMEKIDDLILNRSSNGLVQGEWCSNSETNNTTEVSESEEEEQEQELLCSESGNVDDVKPGPFGIKLKILLAEIVNTRRFRPNQCKTFERW >Vigun08g127500.4.v1.2 pep primary_assembly:ASM411807v1:8:29784474:29787472:-1 gene:Vigun08g127500.v1.2 transcript:Vigun08g127500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKFPFSKLRHLNPKHVVSKGKGYPPVLAYWILGTKGEKKKMLRLLKAVYHPRNQYLLQLDDRSSESERMDLALSVKSIKVFEEFGNVNVIGKSYAINRMGSSALSAPLHAASLLLKLNSDWDWFITLSASDYPLMTQDDILHAFTFLPRYVNFIHYTNKTIRNEQRDINQIVVDQSLHYEKNSPLFFAVESRDTPDAFKLFRGSPWMVLTRAFMEYCVTGWDNLPRKLLMFFTNVAYPVESYFHTVLCNSKEFQNTTVDNNLMYGLWDTDPSESQFLDMSHYDTMVETGAAFARPFGEGDVVMEKIDDLILNRSSNGLVQGEWCSNSETNNTTEVSESEEEEQEQELLCSESGNVDDVKPGPFGIKLKILLAEIVNTRRFRPNQCKTFERW >Vigun02g154200.1.v1.2 pep primary_assembly:ASM411807v1:2:30083803:30085766:-1 gene:Vigun02g154200.v1.2 transcript:Vigun02g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPIINTFGNIGLYVFLLSWFLVSAVCVWGRPATFVQDFQVAWSESHIKQIDQGRAIQLILDQNSGCGFASKSKYMFGRVTMKIKLIPGDSAGTVTAFYMNSDTDTVRDELDFEFLGNRSGEPYTVQTNIYAHGKGDREQRVNLWFDPSADFHSYTIMWNHHHIVFYVDDFPIRVYKNNEAKGIPYPKMQAMGVYSTLWEADNWATRGGLEKIDWSKAPFYAYYKDFDIEGCPSPGPANCASNQSNWWEGATYQALNAMEARRYRWVRLNHMIYDYCQDKPRYTVTPPECLAGI >Vigun03g390100.1.v1.2 pep primary_assembly:ASM411807v1:3:59653764:59659165:-1 gene:Vigun03g390100.v1.2 transcript:Vigun03g390100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLRRLLAAAPQQQNQAKLVHYVATLREQLEQLGEEKTPEGLPRISKATLNEYSEKVEAIASKLVNHVTDPQVSEKVVERSFVKENSSEIEEKKQIPLSSGLRRRPVPTSNTEDKAHELAETDNTSVKLDAGAHAHIEKHRMLQEDLTDEMVVLAKQLKEGSLMMSQSLQNTEKILDSTEKAIEHSLASTGRANVRATAIYSESSKTSCLTWLMMFVMTVVFVMVILLIRIT >Vigun05g145766.1.v1.2 pep primary_assembly:ASM411807v1:5:19924404:19924886:-1 gene:Vigun05g145766.v1.2 transcript:Vigun05g145766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYMR >Vigun11g048700.1.v1.2 pep primary_assembly:ASM411807v1:11:7704488:7705128:1 gene:Vigun11g048700.v1.2 transcript:Vigun11g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEIKALGVIITVMILLSFAEAESTDNFWCNAKCKIKCEEQPFPEHYAQCMKDCESHCTELSSNPVYNCITGCRLMKSIATKNGASDLVENAMNTCMQECKKRI >Vigun08g075900.1.v1.2 pep primary_assembly:ASM411807v1:8:13343644:13349560:-1 gene:Vigun08g075900.v1.2 transcript:Vigun08g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSFPSENRTKWRKKRKRESHKRHSKRHDEDDEDNDSDDDRDDNDSDDQFRSPNAPPNTDSRVEIEVVSRDGVQISRFPPAIRRTVTRPHAAVTAIAALESGRGHSQHGIPVLENVSHGQLQASSTVSADCLGGLSFVAALPPVMKGSGVVKRFGTRVLVVPMHSDWFSPASVHRLERQAVPHFFSGKSPDHTPEKYMECRNYIVARYMEDPGKRITVPSCQVLSVGVGNEDLTRIVRFLDQWGIINYCAENPRREYLDNDTCLTEDTSGALCVPSVALRSIDSLIEFDKPKCKFKADEVYSCRTMHNTDISDLDDTIREYLSENYCHYCSRSLPVVYYQSQKEVDILLCTDCFHDGRFVAGHSSIDFITVDSTTDYGDLDGDNWTDQETLLLLEAVEVYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGKLENINVSSLSLSSNMNNQEGNGRLHCCSNGDSAGSIHNNQDSDDRLPFANSGNPVMALVAFLASAVGPRVAATCAHAALAALSGNNSGSVTDIEALENDNRVNSESMNNRDGGHHGVAANSSQKNGDKSKVPGSCAQDEAGSTLLSAEKVKDAAKAGLSAAAMKAKLFADHEEREIQRLCANIVNTKLKRLELKLKQFAEIETQLMKECEQVEKLRLRFASERSHVVSARLGNGGTNPPMNVSGVGPSMINNNSNSRQQMISASSSQPSISGYGNSQPVHPHMSFVPRPSMFGLGQRLPLSMIQQSQAVSSNLMFNGPSNVQQPTPNHSLSRPVSRTNSGLG >Vigun08g075900.2.v1.2 pep primary_assembly:ASM411807v1:8:13343644:13349560:-1 gene:Vigun08g075900.v1.2 transcript:Vigun08g075900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSFPSENRTKWRKKRKRESHKRHSKRHDEDDEDNDSDDDRDDNDSDDQFRSPNAPPNTDSRVEIEVVSRDGVQISRFPPAIRRTVTRPHAAVTAIAALESGRGHSQHGIPVLENVSHGQLQASSTVSADCLGGLSFVAALPPVMKGSGVVKRFGTRVLVVPMHSDWFSPASVHRLERQAVPHFFSGKSPDHTPEKYMECRNYIVARYMEDPGKRITVPSCQVLSVGVGNEDLTRIVRFLDQWGIINYCAENPRREYLDNDTCLTEDTSGALCVPSVALRSIDSLIEFDKPKCKFKADEVYSCRTMHNTDISDLDDTIREYLSENYCHYCSRSLPVVYYQSQKEVDILLCTDCFHDGRFVAGHSSIDFITVDSTTDYGDLDGDNWTDQETLLLLEAVEVYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGKLENINVSSLSLSSNMNNQEGNGRLHCCSNGDSAGSIHNNQDSDDRLPFANSGNPVMALVAFLASAVGPRVAATCAHAALAALSGNNSGSVTDIEALENDNRVNSESMNNRDGGHHGVAANSSQKNGDKSKVPGSCAQDEAGSTLLSAEKVKDAAKAGLSAAAMKAKLFADHEEREIQRLCANIVNTKKLKHS >Vigun07g003200.1.v1.2 pep primary_assembly:ASM411807v1:7:253782:261378:1 gene:Vigun07g003200.v1.2 transcript:Vigun07g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQMRESSEQHLVIKPHLQNPMNGAKKVPRAVQNGKGPPPPLPQEPHNQTSPHARNKGRRRSRGGRKCDQVDVLMRPIVTSGVENGSTMCGEIEMGYPTSSKSLSFAPRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVSITPEVSSKAVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGPLPFSWREFKIKVVDDEDGVNGPKREREYRVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELSSKRFCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEYVGQLLGKDILSRQLSDADRIKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIKYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRESDILRTIQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDTVALSFCTELAQMCQVSGMEFNPEPVIPIYNAKPEHVEKALKHVYHVSSNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLVDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKMWHDPVRGLVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSSGGGGGGGGSKATRGGGECGVVKPLPALKENVKRVMFYC >Vigun07g003200.2.v1.2 pep primary_assembly:ASM411807v1:7:253877:261378:1 gene:Vigun07g003200.v1.2 transcript:Vigun07g003200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQMRESSEQHLVIKPHLQNPMNGAKKVPRAVQNGKGPPPPLPQEPHNQTSPHARNKGRRRSRGGRKCDQVDVLMRPIVTSGVENGSTMCGEIEMGYPTSSKSLSFAPRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVSITPEVSSKAVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGPLPFSWREFKIKVVDDEDGVNGPKREREYRVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELSSKRFCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEYVGQLLGKDILSRQLSDADRIKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIKYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRESDILRTIQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQDTVALSFCTELAQMCQVSGMEFNPEPVIPIYNAKPEHVEKALKHVYHVSSNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLVDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKMWHDPVRGLVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSSGGGGGGGGSKATRGGGECGVVKPLPALKENVKRVMFYC >Vigun10g095600.1.v1.2 pep primary_assembly:ASM411807v1:10:28142977:28144038:-1 gene:Vigun10g095600.v1.2 transcript:Vigun10g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFACVVVMLMVVVGAHSAEGMTCGQVQGNLAQCIGFLQNGGIVPPACCNGVKNILNSARTTADRRGICSCLKSAAGAVRGLNPSNAQALPGKCGVNIPWKISSSTNCNTIN >Vigun10g095600.2.v1.2 pep primary_assembly:ASM411807v1:10:28143011:28143912:-1 gene:Vigun10g095600.v1.2 transcript:Vigun10g095600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFACVVVMLMVVVGAHSAEGMTCGQVQGNLAQCIGFLQNGGIVPPACCNGVKNILNSARTTADRRGICSCLKSAAGAVRGLNPSNAQALPGKCGVNIPWKISSSTNCNTYHQLKGRKIWMIMAKGSHAYTTSY >Vigun06g129400.1.v1.2 pep primary_assembly:ASM411807v1:6:25587900:25589633:1 gene:Vigun06g129400.v1.2 transcript:Vigun06g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTITNSCPLIIFLCFTMPPFLFCVHSAGESTQILHSFQEDRNGSITVSVKAEHAASRKIWLHGSCTSKDISISQSTSSTSGIPQFIVQIVNTCVSGCAPRDIHLHCGWFASARIVNPRLFKRISYDDCLVNGGNPLTPSQIIRISYSNSFMYPLAFKSAKFCS >Vigun06g129400.3.v1.2 pep primary_assembly:ASM411807v1:6:25587388:25589633:1 gene:Vigun06g129400.v1.2 transcript:Vigun06g129400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTITNSCPLIIFLCFTMPPFLFCVHSGESTQILHSFQEDRNGSITVSVKAEHAASRKIWLHGSCTSKDISISQSTSSTSGIPQFIVQIVNTCVSGCAPRDIHLHCGWFASARIVNPRLFKRISYDDCLVNGGNPLTPSQIIRISYSNSFMYPLAFKSAKFCS >Vigun06g129400.4.v1.2 pep primary_assembly:ASM411807v1:6:25587856:25589633:1 gene:Vigun06g129400.v1.2 transcript:Vigun06g129400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTITNSCPLIIFLCFTMPPFLFCVHSGESTQILHSFQEDRNGSITVSVKAEHAASRKIWLHGSCTSKDISISQSTSSTSGIPQFIVQIVNTCVSGCAPRDIHLHCGWFASARIVNPRLFKRISYDDCLVNGGNPLTPSQIIRISYSNSFMYPLAFKSAKFCS >Vigun10g131900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34117025:34117537:-1 gene:Vigun10g131900.v1.2 transcript:Vigun10g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYAEVFFPNPFLHTLAFLGLLRNFVFFLFHLLGLSDFLETEVAWPDPRISAATAATAAVSRPPSVSALLIRDILPVAKFGESGENSCACAVCLFEFSEEEEIRCMRNCKHIFHRACVDRWIDHDQKTCPLCRTPFVPDDMLDDYNQRLWAASGINEFYSDYTSSF >Vigun01g238000.1.v1.2 pep primary_assembly:ASM411807v1:1:40932846:40935427:-1 gene:Vigun01g238000.v1.2 transcript:Vigun01g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQDFMKKYDKKMKQIGEQMRTLERERVQALNDPRQMKRIAEENKAMVDETLANKRLSVKESNNKLSEKDVLLDNMRNEMDNLRSSEDNATTLLSNYKRRIQELEIELDKTKESEANLFDTLVMQTKQLEQNKILLEESKLEIASLEEKWLAAETSADQLSKNHDTENDFPSKKSGEGKEIETEIKEVRCNGGEVSEKVKTMLEELSILKSELKLATEAEENSKKAMDDLAFALKEVATEANQVKAKLTLSQLELEHSKEEVERWKVMLKTTEERYKEILEATRKEADKNKNTVERLRSEAEESLIAWNEKEVELVNCIRRAEEERLIAKQECNDALEALKEAENKVRVSKEENQKLRDILKQALNEANVAKEGAEIAKAENARLQDSLNLLVHENEMLKIHEVASFENIKELKRMLSESSIKEFKNEDTEKPPVKESGKEESSNIKEGRRTRTHGNYSMDQREHKEPRSLNKTFSLNLKEMITPHSHKQQQQSKTGNEEVNKDVKDTKDKDADDDTLKGSIFDEVDSSDSESRQDMEIPDDFDHLDESHFDDPEGDRNSRKRRALLRRFGDLIRRRSYHNHRKDPSNEEHLQT >Vigun06g238100.1.v1.2 pep primary_assembly:ASM411807v1:6:34285577:34291236:1 gene:Vigun06g238100.v1.2 transcript:Vigun06g238100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGSGGVGSSRSVNAAFRGSSSSVDWLGREMLEMRLRDHDDDRDSEPDVIDGVGAETGHVIRTSIGGRNGQSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALRHCFFSTTDKEEVYLNLVLEYVPETVNRIARSYSRINQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKSQELSGIPPDVINLLIPEHARKQNLFMALHT >Vigun11g211933.5.v1.2 pep primary_assembly:ASM411807v1:11:40691723:40701600:-1 gene:Vigun11g211933.v1.2 transcript:Vigun11g211933.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETSIISGVDQFKRLFAHLEEHYGNSERSTPLHCRDNMSPYLGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCLPCLILILVLLRTFFHALIL >Vigun11g211933.3.v1.2 pep primary_assembly:ASM411807v1:11:40691723:40701600:-1 gene:Vigun11g211933.v1.2 transcript:Vigun11g211933.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETSIISGVDQFKRLFAHLEEHYGNSERSTPLHCRDNMSPYLGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCLPCLILILVLLRTFFHALIL >Vigun11g211933.4.v1.2 pep primary_assembly:ASM411807v1:11:40691723:40701600:-1 gene:Vigun11g211933.v1.2 transcript:Vigun11g211933.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETSIISGVDQFKRLFAHLEEHYGNSERSTPLHCRDNMSPYLGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCLPCLILILVLLRTFFHALIL >Vigun11g211933.2.v1.2 pep primary_assembly:ASM411807v1:11:40691723:40701600:-1 gene:Vigun11g211933.v1.2 transcript:Vigun11g211933.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETSIISGVDQFKRLFAHLEEHYGNSERSTPLHCRDNMSPYLGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCLPCLILILVLLRTFFHALIL >Vigun11g211933.1.v1.2 pep primary_assembly:ASM411807v1:11:40691723:40701600:-1 gene:Vigun11g211933.v1.2 transcript:Vigun11g211933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETSIISGVDQFKRLFAHLEEHYGNSERSTPLHCRDNMSPYLGSDATGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCLPCLILILVLLRTFFHALIL >Vigun03g226800.1.v1.2 pep primary_assembly:ASM411807v1:3:37725739:37726502:-1 gene:Vigun03g226800.v1.2 transcript:Vigun03g226800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEGIDEANLGYSYSIVGGVALPDTAEKITIDSKLSDAPNGGSVVKLSIKYHSKGDAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun06g045000.2.v1.2 pep primary_assembly:ASM411807v1:6:16952548:16961381:-1 gene:Vigun06g045000.v1.2 transcript:Vigun06g045000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSELDSENGVTEVDDVGRESLGLGIRRELSFSRWCDDDGNIHLDQELGNGDVSLDEGYDFELPIPQKNELQSRALDRERFFHPKFQQRSMQTNGIGTMDDDFVHRRGNAPEKYASFDIEDKSEGGTNGVDSYISVDVDESFDKSSQNPILLANILKTLFLILMWYTFSLFLTVYNKSLLGDHMGKFPAPFLMNTIHFTMQAVFSRFITWFWSHRFETKVVISWRDYVLRVVPTAFGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFALRLETPSFRLSGIILIISFGILLTVSKETEFDFWGFVLVMLAAVMSGFRWCMTQILLQKEAYGLKNPITLMSYVTPVMAVSTALLSLALDPWDEFRENQYFDSSVHITRSCLLMLLGGSIAFLMVLTEYVLISVTSAVTVTIAGVVKEAVTILVAVLYFHDQFTWLKAYGLLIIIVGVSLFNWYKYLKLRKGHAGGSDMAEPSIDSAAKYVILEEMDEDVI >Vigun06g045000.1.v1.2 pep primary_assembly:ASM411807v1:6:16952548:16961381:-1 gene:Vigun06g045000.v1.2 transcript:Vigun06g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSELDSENGVTEVDDVGRESLGLGIRRELSFSRWCDDDGNIHLDQELGNGDVSLDEGYDFELPIPQKNELQSRALDRERFFHPKFQQRSMQTNGIGTMDDDFVHRRGNAPEKYASFDIEDKSEGGTNGVDSYISVDVDESFDKSSQNPILLANILKTLFLILMWYTFSLFLTVYNKSLLGDHMGKFPAPFLMNTIHFTMQAVFSRFITWFWSHRFETKVVISWRDYVLRVVPTAFGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFALRLETPSFRLSGIILIISFGILLTVSKETEFDFWGFVLVMLAAVMSGFRWCMTQILLQKEAYGLKNPITLMSYVTPVMAVSTALLSLALDPWDEFRENQYFDSSVHITRSCLLMLLGGSIAFLMVLTEYVLISVTSAVTVTIAGVVKEAVTILVAVLYFHDQFTWLKAYGLLIIIVGVSLFNWYKYLKLRKGHAGGSDMAEPSIDSAAKYVILEEMDEDVI >Vigun06g045000.3.v1.2 pep primary_assembly:ASM411807v1:6:16952548:16961381:-1 gene:Vigun06g045000.v1.2 transcript:Vigun06g045000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSELDSENGVTEVDDVGRESLGLGIRRELSFSRWCDDDGNIHLDQELGNGDVSLDEGYDFELPIPQKNELQSRALDRERFFHPKFQQRSMQTNGIGTMDDDFVHRRGNAPEKYASFDIEDKSEGGTNGVDSYISVDVDESFDKSSQNPILLANILKTLFLILMWYTFSLFLTVYNKSLLGDHMGKFPAPFLMNTIHFTMQAVFSRFITWFWSHRFETKVVISWRDYVLRVVPTAFGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFALRLETPSFRLSGIILIISFGILLTVSKETEFDFWGFVLVMLAAVMSGFRWCMTQILLQKEAYGLKNPITLMSYVTPVMAVSTALLSLALDPWDEFRENQYFDSSVHITRSCLLMLLGGSIAFLMVLTEYVLISVTSAVTVTIAGVVKEAVTILIP >Vigun04g144600.1.v1.2 pep primary_assembly:ASM411807v1:4:35886380:35890369:1 gene:Vigun04g144600.v1.2 transcript:Vigun04g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLFVYGEEEDVRCIEREREALLRFKASIVDRYGMLSSWTTPHCCQWEGIRCSNLTGHILMLDLHGEVHLEITFNFYIEFSSERYMSGEIHKSLMELRQLQYLNLSSNYFPDTNIPEFIGSLTNLRYLDLSSCGFDGKIPTQFGSLSHLKYLNLASNYLEGSIPLQLGSLSQLQYLDLRFNYLEGNIPIQLGNLSQLQYLDLSFNGLQGNIPSQLGNLSNLHELYIGNDGLRIGDGGQWLSNLISLTHLYIFRISNLNSSHSCLQMIGKLPKLRELSLQDCSLSDHFFLSLRPSEFNISASLSVLDLSQNTFISPMIFQWVSNFTPSLVELDLSHNLLEGSVPNHFGLVMKSLEHLNLYSNRFKVEVFQSFMNICTLNSLHMGGNNLNQGLSSILHNLSTSCATYSLEELDLRFNQINGSFPDFSIFTTLKRLDLFGNQLSGKIPEGILPSRLESLSLPFNSLEGEIPKSFGNTCTLRSLDMSSNRLSGEFALIFQNLSGCAKNVLQDLKLDGNNINGTFPDLSGFSTLKILDLSENQLSGEIPEGNQLPSQLESLSVKSNTLEGGIPKSFGNACALRLLDLSNNSLSEEFPVIVHHLSGCTRNSLEILSLSMNQINGTLPDLSIFSSLEELYLQSNSLKGVLSDHLFSNMSKLNSLDLSGNSLLALTFSPNWVPPFQLWNVRLQSCILGPTFPNWLHTQNEFKGLDISNAGISDKVPKWFWTKLALRKWISLNISSNSLYGTIPYFPTKNPYSSLILGSNQFEGPIPPFLRSSTLLDLSKNKFSDFLSFLCVGNPIGILYQLDLSNNSLSGQIPDCWSHFKSLASLDLSQNQLSGKIPTSMGSLFDLQALILRNNNLTGDIPFSLSSCTNLVMIDVAENNLSGSIPTWVGSKLGNLQYLSLRRNHFQGNLPLQICYLRNIQLLDLSLNNLSGRIPKCIKNFTSMAQKESSRRGHWYEVETARFEGSQYYDLNTFLTWKGSEHMFMNNGLLLLKSIDLSSNYFSGEIPKEFEILIELVSLNLSRNNLSGKIPSNIGKLTSLEFLDISRNQLVGSIPMSLTQIDRLGMLDLSHNHLVGEIPRGTQLQSFNASSYEDNLNLCGPPLDKLCIFELSPEEKKNKIHKDNYSFFNREFYISMPFGFIISFWMVIGFMIFRSSSC >Vigun04g144600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35886477:35890369:1 gene:Vigun04g144600.v1.2 transcript:Vigun04g144600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPVGLKFMMFMFMMFMSCLVSQFVYGEEEDVRCIEREREALLRFKASIVDRYGMLSSWTTPHCCQWEGIRCSNLTGHILMLDLHGEVHLEITFNFYIEFSSERYMSGEIHKSLMELRQLQYLNLSSNYFPDTNIPEFIGSLTNLRYLDLSSCGFDGKIPTQFGSLSHLKYLNLASNYLEGSIPLQLGSLSQLQYLDLRFNYLEGNIPIQLGNLSQLQYLDLSFNGLQGNIPSQLGNLSNLHELYIGNDGLRIGDGGQWLSNLISLTHLYIFRISNLNSSHSCLQMIGKLPKLRELSLQDCSLSDHFFLSLRPSEFNISASLSVLDLSQNTFISPMIFQWVSNFTPSLVELDLSHNLLEGSVPNHFGLVMKSLEHLNLYSNRFKVEVFQSFMNICTLNSLHMGGNNLNQGLSSILHNLSTSCATYSLEELDLRFNQINGSFPDFSIFTTLKRLDLFGNQLSGKIPEGILPSRLESLSLPFNSLEGEIPKSFGNTCTLRSLDMSSNRLSGEFALIFQNLSGCAKNVLQDLKLDGNNINGTFPDLSGFSTLKILDLSENQLSGEIPEGNQLPSQLESLSVKSNTLEGGIPKSFGNACALRLLDLSNNSLSEEFPVIVHHLSGCTRNSLEILSLSMNQINGTLPDLSIFSSLEELYLQSNSLKGVLSDHLFSNMSKLNSLDLSGNSLLALTFSPNWVPPFQLWNVRLQSCILGPTFPNWLHTQNEFKGLDISNAGISDKVPKWFWTKLALRKWISLNISSNSLYGTIPYFPTKNPYSSLILGSNQFEGPIPPFLRSSTLLDLSKNKFSDFLSFLCVGNPIGILYQLDLSNNSLSGQIPDCWSHFKSLASLDLSQNQLSGKIPTSMGSLFDLQALILRNNNLTGDIPFSLSSCTNLVMIDVAENNLSGSIPTWVGSKLGNLQYLSLRRNHFQGNLPLQICYLRNIQLLDLSLNNLSGRIPKCIKNFTSMAQKESSRRGHWYEVETARFEGSQYYDLNTFLTWKGSEHMFMNNGLLLLKSIDLSSNYFSGEIPKEFEILIELVSLNLSRNNLSGKIPSNIGKLTSLEFLDISRNQLVGSIPMSLTQIDRLGMLDLSHNHLVGEIPRGTQLQSFNASSYEDNLNLCGPPLDKLCIFELSPEEKKNKIHKDNYSFFNREFYISMPFGFIISFWMVIGFMIFRSSSC >Vigun03g365900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56916672:56917947:1 gene:Vigun03g365900.v1.2 transcript:Vigun03g365900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEESTTPGSPHSFNNRLRFSLCFSCCFPHTRVRPKIVRSASLHNKPRTSDFPFPHLKEKCCNFINRIGARRHRRHSADFQYDALSYALNFEDDASDDRSVDDLKSFSARLPPSPPPKTSP >Vigun04g084800.1.v1.2 pep primary_assembly:ASM411807v1:4:13741193:13741878:-1 gene:Vigun04g084800.v1.2 transcript:Vigun04g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQHEASMQRQAALLEQQQAVMTTLKYLVFMFFLSLVTKGSSYCNLNNINIATTRSGRTILGKPEWNVVVTNNCNCAQSQIKLQCKGFQTTEIVSRSILSIQEDTCLLINGNPLKGFGSVSFSYAWDPPFLLMPLTSHTTC >VigunL059143.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000296.1:34140:34600:1 gene:VigunL059143.v1.2 transcript:VigunL059143.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDHDAEHESGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun09g171200.1.v1.2 pep primary_assembly:ASM411807v1:9:34085095:34089420:-1 gene:Vigun09g171200.v1.2 transcript:Vigun09g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTPTKISASWIPIFSVFSFILGMLITSRMWDPPESNGMLIAQHQQDQQLQVISGDCATKKMQPKDAVSELQKTHEAIQALDKQVSMLQMELAAARSSRENGISDSNTSATTSEDGSARKKAFIVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEEALHKDFLRLEHVEGYHELSAKTKIFFSTAVAIWDADFYVKVDDDVHVNLGVLATTLARYRSKPRVYIGCMKSGPVLSRKDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAIWSALF >Vigun09g038900.1.v1.2 pep primary_assembly:ASM411807v1:9:3488068:3493707:1 gene:Vigun09g038900.v1.2 transcript:Vigun09g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKSFDFLKEIGLGSSNIGSYVNGQWKASGSSVTSVNPSNNQTIAEVTEATLQDYEEGLQACSEAAKTWMTIPAPKRGEIVRQIGEALRAKLDPLGRLVSLEMGKILAEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPDHMMFEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAVTKLVAEVLEKNNLPGAIFTSFCGGADIGQAIAKDTRIPLVSFTGSTKVGLMVQQTVSERFGKCLLELSGNNAIIVMDDADIKLAVRSILFAAVGTAGQRCTTCRRLFLHESIYTNVLDQLVGLYKQVKIGNPLEKGTLVGPLHTRTSVENFQKGISTIKSQGGKILTGGSVLESEGNFVQPTIVEISADAPVVKEELFGPVLYVMKFQTLEEAIALNNSVPQGLSSSIFTQRPGTIFKWIGPRGSDCGIVNANIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >Vigun09g038900.2.v1.2 pep primary_assembly:ASM411807v1:9:3488068:3493707:1 gene:Vigun09g038900.v1.2 transcript:Vigun09g038900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKSFDFLKEIGLGSSNIGSYVNGQWKASGSSVTSVNPSNNQTIAEVTEATLQDYEEGLQACSEAAKTWMTIPAPKRGEIVRQIGEALRAKLDPLGRLVSLEMGKILAEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPDHMMFEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAVTKLVAEVLEKNNLPGAIFTSFCGGADIGQAIAKDTRIPLVSFTGSTKVGLMVQQTVSERFGKCLLELSGNNAIIVMDDADIKLAVRSILFAAVGTAGQRCTTCRRLFLHESIYTNVLDQLVGLYKQVKIGNPLEKGTLVGPLHTRTSVENFQKGISTIKSQGGKILTGGSVLESEGNFVQPTIVEISADAPVVKEELFGPVLYVMKFQTLEEAIALNNSVPQGLSSSIFTQRPGTIFKWIGPRGSDCGIVNANIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTW >Vigun01g229600.1.v1.2 pep primary_assembly:ASM411807v1:1:40220068:40224649:-1 gene:Vigun01g229600.v1.2 transcript:Vigun01g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDERNESLPFSPNPNNADDDEIDEQEDEEEEEEDDGHDDVVSHEPYNRNDRSSLSSLREQRSKLETLSRRLASELVPIRVHDVLIRGNTKTKDWVIEAELKLLQDAATVQELIRASEIALARLRSLEIFESTELTLQAGPPELPHTANVIVDVVETANKVSGEFGVYTKPSTSSWTAEGGLKYKNLLGYGDLWDASLAYGGNQATEVSVGVFAPRLKGLLTPLVARLSMLSQDWQEFSSYKEQLLGLSLGLISTRHHDLVYTLGWRTLTDPSQMSSRSIRRQLGHGLLSSLKYTFKIDRRNSPIRPTSGYAFLSTTHFGGLTPDHRSLRFLRQEFDVRCAIPFGFYNTALNLGISAGVVFPWGHDFMNKPSPLPERFYLGGDFSPVCTLGGPITLWGFKTRGLGPTEPRRRNRNEIIDDNDDSSKWDFVGGDVAVTAFADLSFDLPVRWLRNHGIHGHLFAGAGNTAKLTQNEYKRFSPQKFLESFRTSVGCGFVVPTKLFRLEGNFYYVLKQDEHDRGKTGFRFSFSAPS >Vigun03g344100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54313124:54316638:-1 gene:Vigun03g344100.v1.2 transcript:Vigun03g344100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIHSMAPGTPGGGGGTRFFSGTAAADRRLRPHHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKSKRSNKPAKTSDAAPPPPPPDPDHSSSESSSLTAAAAEPVSAPINSDSTNMQESKLLVPVDPVLETNPVEQGAGDCGGIFSEIGPFTSLIATSTNEPLGFGFGNALPDASSFQWQHSRVSGNQELKLPESSFLDHTVDLSTLHGKTNHGTFGSLDWQGGADQGLFDLPNTVDHAYWSHTHWSDHDNSSLFHLP >Vigun10g054600.6.v1.2 pep primary_assembly:ASM411807v1:10:9135552:9160416:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKFLMTKFLFRRRIATVKDDGTVEFEVPLDVEPEAIVTQSTQVNHVVDDALDATDFHYIPPLNIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun10g054600.1.v1.2 pep primary_assembly:ASM411807v1:10:9134240:9160377:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPENSRHSSNSSKDHGNGKGTEDSEHSAANGTSSSSASDIPGKVLSKVTTMPVDISHGDKLESSSSKFRMERSKTERLRHLSPEDAAQIFDDKIPVQEKLKLLNRIATVKDDGTVEFEVPLDVEPEAIVTQSTQVNHVVDDALDATDFHYIPPLNIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun10g054600.5.v1.2 pep primary_assembly:ASM411807v1:10:9141547:9160379:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun10g054600.3.v1.2 pep primary_assembly:ASM411807v1:10:9135552:9160416:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPENSRHSSNSSKDHGNGKGTEDSEHSAANGTSSSSASDIPGKVLSKVTTMPVDISHGDKLESSSSKFRMERSKTERLRHLSPEDAAQIFDDKIPVQEKLKLLNRIATVKDDGTVEFEVPLDVEPEAIVTQSTQVNHVVDDALDATDFHYIPPLNIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun10g054600.2.v1.2 pep primary_assembly:ASM411807v1:10:9134240:9160377:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKFLMTKFLFRRRIATVKDDGTVEFEVPLDVEPEAIVTQSTQVNHVVDDALDATDFHYIPPLNIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun10g054600.4.v1.2 pep primary_assembly:ASM411807v1:10:9141350:9160416:1 gene:Vigun10g054600.v1.2 transcript:Vigun10g054600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPLHIQHIFGYKIYMVKNKGFLPSGPSEIPIQRNQMKEIINSLLPACKEPDIDSGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTTEFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSETEIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLGDGDKPIYIGFGSLPVQEPKKMTEIIVDALETTGQRGIINKGWGGLGNLTEPKDSIYLLDNCPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDEFSLPKLIGAIEFMLDPKVKERAIELAKAMENEDGVTGAVKAFFKQLPQKKSDADADPQPSSFFSLRRCFGCS >Vigun01g062650.1.v1.2 pep primary_assembly:ASM411807v1:1:13822468:13823084:1 gene:Vigun01g062650.v1.2 transcript:Vigun01g062650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRVEVMQQFRNPRFNLDMVNSFPLSCNFNLAHILHWPSPEKAHPIFPCYRSSYHAIPSIGFPSHCLPLFITRKTPHAYLHQVKNTPQLSSLPSHRSSFDFDCHPTSSIFSLWSGLDSIDAGTDPRI >Vigun07g244800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36578912:36580323:-1 gene:Vigun07g244800.v1.2 transcript:Vigun07g244800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVLEDETESCIRVELSENFQEIKERIKISRNIPVDRQTLLFNGQVLQNEALFIDSHISQLSRVQLLVRPKENEYDLPHWIRRASKLDTQGMQEMLSSIPEPQNMSSTMDASVVLTENPDEIEEFVSSMIDEPPEGPVPQFPPPNKVMFTVKSLDMKRKPLRMEMYVNDTVLRLKEVFIRTKRPRRLKVKDMVVVNKVGDELHDHMSMLECGMLNMSHVYVCRRSERGALVAVDLKHGKMLKVMVVPNGGIQEIPIEVNSLSCLGDLRFELEKFHKHVLPESFGYSFTIKNGLLATETYSFDTLGITEGDTVVINPKQLSFPSS >Vigun02g063300.5.v1.2 pep primary_assembly:ASM411807v1:2:21109276:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQADLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.12.v1.2 pep primary_assembly:ASM411807v1:2:21108890:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQDLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.11.v1.2 pep primary_assembly:ASM411807v1:2:21108890:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQDLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.6.v1.2 pep primary_assembly:ASM411807v1:2:21109276:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQDLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.8.v1.2 pep primary_assembly:ASM411807v1:2:21108887:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQADLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.10.v1.2 pep primary_assembly:ASM411807v1:2:21108887:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQDLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.9.v1.2 pep primary_assembly:ASM411807v1:2:21108890:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQADLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun02g063300.7.v1.2 pep primary_assembly:ASM411807v1:2:21108890:21114863:1 gene:Vigun02g063300.v1.2 transcript:Vigun02g063300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDMKKQQKQKQLVNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSTSYINSNNKRTFLQHRCDTDVASESAVAIKKMRRSHIPDAQEKINFGDRSHKQNGIPVNQQSRAPFAVLAQNSRNVNLPDQDHVCNLKFSDYAQNKIQGTFLKKDDPKINALMQQAELLSSLALKVDAENVDQSLENAWKVLQEFLNRTKESDIPRYKIPDLQLVDLKDLLEDLKNSSEEIQPCWRQMELYEDSPGSSEYSTGSTLLPHSAGENLEHSLHQDIGTELNIQMGDPEELRGCHQGVLSSATLDQADLFPSCEEQINNDGIVSALSCSEEFSSPLQVTPLFRSLAAGIPSPQFSESERNFLMKTLGMESPSLNPGVKPSQPPLCKRVLLI >Vigun03g210400.1.v1.2 pep primary_assembly:ASM411807v1:3:34634142:34636197:1 gene:Vigun03g210400.v1.2 transcript:Vigun03g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNPQHLFFSLMVFFFISHLSFAKQSKINSLKINVIDRCWRPNPEWMRRRSQLATCSVGYAGKMINNIGNDLIHYKVTDSSDDPINPKPNTLRYGASIIQGKVWITFQKDMIITLEKPLLISSFTTIDGRGVNVHIANNACLMIFKATNIIIHNIRVHHCKPQAPGIVMGPKGKVIPLGHVDGDAIRLVTASKIWIDHNTLYDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVMYNHFGPNCNQRMPRIRHGYAHVANNLYQGWLQYAIGGSMEPSLKSEANLFVAPTIGNKEVTWRKNNDTNKDRWDFHSVRDIFENGASFTITRGGNVQKPNYRKEQNFKVVDAKFVRSLTRLSGVLRLNKKFIY >Vigun03g395100.1.v1.2 pep primary_assembly:ASM411807v1:3:60117491:60132838:1 gene:Vigun03g395100.v1.2 transcript:Vigun03g395100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVNIIVGSHVWIEDSAQAWVDGEVSKINGEEVHVRTTAGKIVVKNISKVLPKDNEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQISEPERNYHCFYLLCAAPAEEKEKYKLGSPSSFHYLNQSNCYALDGVDDAEEYLATRRAMDVVGISEEEQEAIFRVIAAILHLGNIEFAKGEEIDSSVIKDEKSRFHLNVTAELLKCDCKSLEDALIKRVMVTPEEVITRTLDPLAALGSRDALAKTVYSRLFDWLVEKINNSIGQDPNSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADMFLDKNKDYVVAEHQDLLIASKCSFVAGLFPPSPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENLNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGVLAPEVLDGNYDVKVACQMILDKMGMKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARVIQRQIRTHIARKEFIELRRAAICLQSNLRGVLSRKLYEKLRREAAAVKMQKNFKGYIARKSYLKARSSAIVLQTGLRAMKARDEFRFRKQTKAAIYVQAHLRRLIAYSYYKQLQKAAIVTQCGWRRRVARRELRMLKMAARETGALQEAKDKLEKRVEELTWRLQIEKRLRTDLEEEKAQEIAKLQDALHAMQIQVEEANAKVIKEREAARKAIEEAPPVIKETPVLIQDTEKITSLLAEVSSLKESLLLEKEAKEEARKAQVEAETRNKEMVKKVEDSDRKVDQLQELVQRLEEKISNAESENQVLRQQALAVSPTGKALSARPRTIIIQRTPENGSALNGEAKIESNMALAVSNVREPESEGKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVAACVIYKCLLHWRSFEVERTSVFDRIIQTIASSVEAQDNTDVLAYWLSNTSTLLLLLQRTLKATGAASLTPQRRRTASSSLFGRMSQGLRASPQTAGLSFLNGRSLNRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRQSLVKGRSQANAVAQQALIAHWQSIVKSLNNCLKIMKANYAPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWCVDATEEYTGSAWDELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVITNMRTMMSDDSNNAVSTSFLLDDDSSIPFSVDDISKSMQQVEVADVDPPPIIRENSGFGFLLARSE >Vigun11g101900.1.v1.2 pep primary_assembly:ASM411807v1:11:29857270:29863059:-1 gene:Vigun11g101900.v1.2 transcript:Vigun11g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQALKETLQPCSTQKPSSSSTITQTQSNSILRDYDSLFPIHRKPPKSSLSDQLRRLNDSLSPIHSKTLQQEEKKEELLEEEEEPEIERPKFASVKLPQFQFDHTGPFEPLLLSSHGEFPVVQVPASINCRLLEHQREGVRFLHGLYKNNHGGMLGDDMGLGKTIQAIAFLAAVFGKEHSTLNENQLEKRDPALIICPTSVIHNWESEFSKWSNFSVSIYHGANRDLIFDKLEANGVEILITSFDTYRIHGSLLSDVKWNVVIVDEAHRLKNEKSKLYKACLQIKTLRRYGLTGTIMQNKIMELFNLFDWVSPGSLGTREHFRDYYDEPLKHGQRSTAPDRFVQIANKRKQHLVEVLHKYMLRRTKEETIGHLMMGKEDNIVFCAMSDVQKRIYRRMLQLPDIQCLINKNLPCSCGSPLTQVECCKRIVPDGVIWPYLHRDNPDGCDSCPFCLVLPCLVKLQQISNHLELIKPNPKDDPEKQSKDAEFAAAVFGPDIDLVGGRTQNESFMGLSDVKHCGKMRALEKLLFSWFSQGDKVLLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQKRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGISNLFRDLSDKLFTSEIIELHKEHGRETEQLEEVNLSEQRGSSVSESETRLCHKSAGAATSKQDLEDLGIVYTHRNEDIVNFGPGIQGKINASIPSNDSLVKPNISLDHQRKIPEKSKVRLIDDRKRTQYKLLAQSMGMEELAFSKWLLSATPLEREKVLLDYKKKKKMPNG >Vigun04g155800.1.v1.2 pep primary_assembly:ASM411807v1:4:37752493:37753991:1 gene:Vigun04g155800.v1.2 transcript:Vigun04g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRAASPHMLLVLAITMVVVMHAMGDSAQEKQKCAESLTGVATCLPYLGGEAKAPTADCCSGLTQAMKSNKKCICVILKDRDDPDLGLKINITIAVGLPSLCKTPDNLSQCPALLHLDPKSPEAQAFNQKGQNNNGDSASPSPSPSARASSENGRNQGIDETVTGKNGASYKRKRLLERFVAAAVAGLVILLF >Vigun08g207100.3.v1.2 pep primary_assembly:ASM411807v1:8:37008957:37010065:-1 gene:Vigun08g207100.v1.2 transcript:Vigun08g207100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVAASSLSLLSLGSVKTRSRVSRISVVSGRQGCNVWVKNGRRRRVRVSAVNVEDITTVLDPAPVEVTWQIVVGAIAGVTPFVVAGIEFRKRIVSHSFG >Vigun08g207100.2.v1.2 pep primary_assembly:ASM411807v1:8:37007605:37010065:-1 gene:Vigun08g207100.v1.2 transcript:Vigun08g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVAASSLSLLSLGSVKTRSRVSRISVVSGRQGCNVWVKNGRRRRVRVSAVNVEDITTVLDPAPVEVTWQIVVGAIAGVTPFVVAGIEFRKRIIAQQRCEECGGSGLVLREKEYFRCPECGGFLPWQSWKRFFSG >Vigun08g207100.1.v1.2 pep primary_assembly:ASM411807v1:8:37007606:37010065:-1 gene:Vigun08g207100.v1.2 transcript:Vigun08g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVAASSLSLLSLGSVKTRSRVSRISVVSGRQGCNVWVKNGRRRRVRVSAVNVEDITTVLDPAPVEVTWQIVVGAIAGVTPFVVAGIEFRKRIIAQQRCEECGGSGLVLREKEYFRCPECGMIAFTSSRVLYSVQAIKYAGGFLPWQSWKRFFSG >Vigun06g089400.1.v1.2 pep primary_assembly:ASM411807v1:6:22166131:22178251:1 gene:Vigun06g089400.v1.2 transcript:Vigun06g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKSFKHEVVVILWFCCYLLHASGQNNITSYVEVEALRAIKSSLIDPNGNLSNWHHGDPCTSGWKGVLCFNETQEDGYLHVQELQLLSLQLSGTLAPDLGKLTYMQRLNFMWNNISGSIPKEVGNIKSLELLLLNGNNLTGPLPEEIGYLPNLDRIQIDQNHISGPIPTSFANLNKTKHFHMNNNSLSGQIPPELSQLPNLVHLLLDNNNLSGFLPPELYKMPKLLIIQLDNNNFEGNSIPDTYGNMSKLLKMSLRNCSLTGPVPDLSRIPHLLYLDLSFNQLNESIPANKLSENITTIDLSNNRLTGNIPSYFDDLPSLQKLSLANNSLNGSVSSSIWTNKTSNGTENFLVELQNNNLTVISGTTDLPPNVTVVLDGNPLCPNITLAKFCGSEGATVTNGSFTTNSISCQPQACPPPYEYSVDCFCALPLLVAYRLKSPGFSDFIPYLDDFETYMTTGLQLSTDQLEYTFYWQAGPRLRMDLKFFPLYVNNTSNHTFNRSELLRITSMFTGWLIQDSDLFGPYELLGFDLLGPYKDEIGKDSKSGISTGALVGIVVGAIACAVTLSAIVTLLILRIKMRGYHTVSKRRQASKISIKIDGVRDFTYGELSSATNNFSTSAQVGQGGYGKVYKGTLSDGTVVAIKRAQEGSLQGEKEFLTEISLLSRLHHRNLVSLLGYCDEEGEQMLVYEFMSNGTLRDHLSVTAKEPLSFAMRLKIALGAAKGLVYLHTEADPPIFHRDVKASNILLDSKLSAKVADFGLSRLAPVPDMEGVVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNIAYQSGVIFSIIDGRMGSYPSEHVEKFLTLALKCCEDEPEARPRMAEVVRELENIWSTMPESDTKKAEFMSSDSGKTDSHSIPSSSSSAMKTPFVSGDVSGSDLVSGVIPSIKPR >Vigun01g013300.1.v1.2 pep primary_assembly:ASM411807v1:1:1479417:1482363:-1 gene:Vigun01g013300.v1.2 transcript:Vigun01g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHTQVNQEKQYHEAHQRAAKSVCSVCHNRRPPFEMNRKKEFSYAELCAATQGFSPKNYLSEGGFGSVFKGELCGEKIAVKQHTYANQKGEKEFKSEVDVLSKAMHENVVMLLGSCAEGIHRLLVYEYVCNASLDQHLSQHSRKLLDWQDRVKVADGAAKGLLYLHENNIIHRDLTTNNILLTHDYEALLGDFGLARTVIEGSSYSTECVGNLAYMAPEYAESGKVSIKTDVYSFGVVLLQLITGMRTTDKRLGGKGLVEWARPLLREGKCQDLIDGRMMNSHDCHQLYWMSRLAGNCLQRDPQKRLHMNTVVKALSQIGKGCSCIVRKDHTLLISGPSRSKDDSEESSQSQEWK >Vigun08g116700.1.v1.2 pep primary_assembly:ASM411807v1:8:28402804:28417742:-1 gene:Vigun08g116700.v1.2 transcript:Vigun08g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAFTHPHHLFRPNNPFIIPPKRHICHHVPLHSVFRFIYGMKNHKSVRTLAALSTDKGKSATNVDELCKIIWTVEADLEDGHLLYLTGDLAVLGCWKPNMAVLLSPTEHANIWKAEFPIAFGLNFKYNYFIKGKVKSSNDIIWRPGPAFSLSVPLKVIEDNKIVVRDSWIRSYSQMSSTHSRSPFTEETYLLEQPSISFLSEDDGRIESLLENDILNFETLGLEDQLLYNNEDGLVIANDKDFQSTNILSENYQPVEEPWLHSFPFIPSKDKIESNKSETGDTVEEKVKLVDREKLLLEESSNILSKESIATIILINSSICTMQRIAVLEDEKLVELLLQPVKSNVQCDNVYVGVVTKLVPHMGGAFVNIGNSRFAFMDIKQNKKPFIFPPFRQRTKKQEIDLEGKYEHASHEGEDGYLKSALNDCDEHDGEDDFYISEVLKENVNGSMVDDEVEADFEDDIEGSDVQVEGEANNSPLAVGMNGSVNSLMLQTKDTKRGTHMASGENKWSQVRKGTKIIVQVVKEDLGTKGPTLTAYPKLRSRFWVLLACCDKVGVSKKISGVERTRLKVIAKTLQPEGFGLTVRTVAACQSFEELQKDLEGLLSTWKNIMEHAKSAALAADEGVDGAVPVLLHRAMGQTLSVVQDYFNENVKKMVVDSPRTFHEVTSYLQEIAPDLCDRVELYDKKIPLFDEFNIEGEIENILSKRVPLSNGGSLIIEQTEALVSIDVNGGHGMLGHGNSQQQAILDVNLAAAKQIARELRLRDIGGIIVVDFIDMTDEANKRLVYEEVKKAIERDKSMVKVSELSRHGLMEITRKRVRPSVTFMISEPCACCHATGRVEALETSFSKIEQQICRLLATMDHKPDPEKPKSWPKFILRVDHRMCEYLTSGKKTRLATLSSYLKVWILLKVARGLTRGSFEVKPFTDDKVEKNQHQVAISMLRSSEARTKKPGQNVTLVQVKKSKAKGK >Vigun08g116700.2.v1.2 pep primary_assembly:ASM411807v1:8:28402804:28417742:-1 gene:Vigun08g116700.v1.2 transcript:Vigun08g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAFTHPHHLFRPNNPFIIPPKRHICHHVPLHSVFRFIYGMKNHKSVRTLAALSTDKGKSATNVDELCKIIWTVEADLEDGHLLYLTGDLAVLGCWKPNMAVLLSPTEHANIWKAEFPIAFGLNFKYNYFIKGKVKSSNDIIWRPGPAFSLSVPLKVIEDNKIVVRDSWIRSYSQMSSTHSRSPFTEETYLLEQPSISFLSEDDGRIESLLENDILNFETLGLEDQLLYNNEDGLVIANDKDFQSTNILSENYQPVEEPWLHSFPFIPSKDKIESNKSETGDTVEEKVKLVDREKLLLEESSNILSKESIATIILINSSICTMQRIAVLEDEKLVELLLQPVKSNVQCDNVYVGVVTKLVPHMGGAFVNIGNSRFAFMDIKQNKKPFIFPPFRQRTKKQEIDLEGKYEHASHEGEDGYLKSALNDCDEHDGEDDFYISEVLKENVNGSMVDDEVEADFEDDIEGSDVQVEGEANNSPLAVGMNGSVNSLMLQTKDTKRGTHMASGENKWSQVRKGTKIIVQVVKEDLGTKGPTLTAYPKLRSRFWVLLACCDKVGVSKKISGVERTRLKVIAKTLQPEGFGLTVRTVAACQSFEELQKDLEGLLSTWKNIMEHAKSAALAADEGVDGAVPVLLHRAMGQTLSVVQDYFNENVKKMVVDSPRTFHEVTSYLQEIAPDLCDRVELYDKKIPLFDEFNIEGEIENILSKRVPLSNGGSLIIEQTEALVSIDVNGGHGMLGHGNSQQQAILDVNLAAAKQIARELRLRDIGGIIVVDFIDMTDEANKRLVYEEVKKAIERDKSMVKVSELSRHGLMEITRKRVRPSVTFMISEPCACCHATGRVEALETSFSKIEQQICRLLATMDHKPDPEKPKSWPKFILRVDHRMCEYLTSGKKTRLATLSSYLKVWILLK >Vigun09g132700.1.v1.2 pep primary_assembly:ASM411807v1:9:29133614:29136966:1 gene:Vigun09g132700.v1.2 transcript:Vigun09g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVPSSSNSCTKKYDVFISYRGKDTRKNFSTHLYEALMQKKVETYVDEDIEKGNEISAALMKAIEDSRVSIVVFSKNFASSKWCLNELIKIMDCKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCRNQCKGWWKTLHGKPSFKQLQMKKVPNAKQQRK >Vigun09g001400.1.v1.2 pep primary_assembly:ASM411807v1:9:134124:136857:-1 gene:Vigun09g001400.v1.2 transcript:Vigun09g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPAAVTARSRRITAGYLWSDLKKRTSEVIHSDDDFEADFRDFKDDSDIETDVDADHEVKPFAFSASSQRAVKSVAFNGGAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPEEASVKRSKVNPLGNRKIVQPNVHKFNAGNNQKDLPCPMDLMEQKPLVNQFADMGSFPGSGNGLRSLPSNDDVTLYFSSDQGSNSFDYADLGWSEKAPKTPEISSMLSAPLDRDSHFAQDANHQTNSQDVVSMQDDSSKTLSEELVDIESELKFFQMPYLGGSWDDTSLESLLSCDTTQDGGNLMNLWSFDDIPSMAGGVF >Vigun11g107400.1.v1.2 pep primary_assembly:ASM411807v1:11:30618059:30624945:1 gene:Vigun11g107400.v1.2 transcript:Vigun11g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEVLSSQLFLVIVWSFSTIITSLVSVVSLPNNESVPALFVFGDSIVDTGNNNYINTIAKCNFRPYGKDFGGGNQPTGRFSNGLTPSDFIAAKFEVKKLLPPYLDPNLKREDLLRGVSFASGASGYDPLTSELALVLSLSDQRDKFREYIKKIQNMVGETTTTTMISKSIYILCTGSNDITNTYSFRRLKYNISTYTDFMASQATKFLKELYGLGARRIGIVGLPILGCVPFQKTMRGGFKRACSDSENQAAILFNKKLSSQIDILGKNFPEARLVYLDIYNPLSDMIQNPSKYGFEVADKGCCGTGYFEVGFMCNALSKICSNRSNYIFWDSVHPTERAYNILCSEALDKNINKFF >Vigun01g253900.6.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKKQVPEWMNSSLWSSPSDHHRFSPPSPPPPPPPVSVREDPPTNHNASPSSSSVDSSASASSAADDISSQTQLLTELSRKMIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQVQFCSYFPYTILASLNFSFNKINGLIFNLMVRHTYRFNGKR >Vigun01g253900.1.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKKQVPEWMNSSLWSSPSDHHRFSPPSPPPPPPPVSVREDPPTNHNASPSSSSVDSSASASSAADDISSQTQLLTELSRKMIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQFIGSFLKGKMIRTRSKLLRCVSLERTRVCYSLQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSG >Vigun01g253900.3.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQFIGSFLKGKMIRTRSKLLRCVSLERTRVCYSLQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSG >Vigun01g253900.5.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQVQFCSYFPYTILASLNFSFNKINGLIFNLMVRHTYRFNGKR >Vigun01g253900.2.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSG >Vigun01g253900.4.v1.2 pep primary_assembly:ASM411807v1:1:41928263:41935275:-1 gene:Vigun01g253900.v1.2 transcript:Vigun01g253900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKKQVPEWMNSSLWSSPSDHHRFSPPSPPPPPPPVSVREDPPTNHNASPSSSSVDSSASASSAADDISSQTQLLTELSRKMIDMRELRRVASQGIPRAAAPALRPTLWKLLLGYLPSDRALWSSELTKKRSQYRHFKDDLLMNPSEITRRIYNSAAHDIDDAKSETRILLSRSQITHEDHPLSLGKTSIWNQFFQDTELIEQIDRDVKRTHPDIHFFSGDSQLAKSNQDALKSILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFAEADTFFCFVELLSGFQDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTEVNPQFYAFRWITLLLTQEFNFSDILHIWDVILSDPEGPQETLLRICCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSG >Vigun05g100000.1.v1.2 pep primary_assembly:ASM411807v1:5:9811023:9820541:1 gene:Vigun05g100000.v1.2 transcript:Vigun05g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLFDKALLHHSNHKLRHGGLQGNELDPRIVFHYGIPSTASVLAFDPIQRLLAIGTLDGRLKVIGGDNIEGLLVSPKQLPYKYLEFLQNQGHLVGVLNDNDIQVWNLESRSLVCSLQWESDITAFSVVSGSHFIYVGDQHGLFSVIKFEAEEGQLLKSSHNLSAKFLREAAGFPDPSVQPIIGILLQPSSSGNRILIAFEDGLLILWDVSEARIVFLGGGKDLQLKDEDGSPCTETGANLPANVTEQNLVDKEITALCWASSTGSILAVGYLDGDILLWNLSSAAPSKGQQTSSKNVIKLQLSTAERRLPVIVLQWSDSYKSQSDSAGQLFVYGGDEIGSEEVLTVLTLEWSSGMESVRCTNRADLTLSGSFADLTLLPSPGTKGLNSKDEVFVLTNPGQLHLYNSDSLSTLTSQQKRTPSVPAVEFPVLVPMADPSLTVAKLIRLPSKSNSSKVLTEVASALRTGLRPGSAPSDWPLTGGVPSQLSTTKGAEVECVYFVGYSNGSVLLCDATHAVLSYICKIEGEVNGTKVAGSDAPVTKLDFCSVSLLLAVGNECGLVCIYDLKVHSSRQNFHFVTQSKSEVHDVPQGKESRCSAVFSLLDSSVQALSFANSGTRLAIGFSSGRVAVCNMTSFSVLFLIDGAPGSTSPIISLVWKQEVCFQSYVNLKQSDTAAGNSLEEILFVLSQDSIINIVDGNSGKMISSRPLHVKESTAISMYVIEGSISTSEASNDKPQNGQSKNTADNSPDEQEQPLSARVNSSEADLSSAEASHSGDLMLDPLVLLCCENSLRLFSAKSLIEGHKKPIHKVKHSKSSYWTSILKKDDKVCGLLSLLQTGAFEIRSLPDLDLVAESSLLSLLRWNYKVNMDKTMCSDDYGHIMLANGSELALISLLAGESEFSNLEHLPCLHDKVLAAAADAAFRFSTNQKKKQTMVPGILGGIVKGLKGGKPSQTDVTKSPASNYGNLEDIFFKPLLPDPLPTVDIADNKVELDIDDIEIDEPNPPITKSSTSSPDVKSKQKDKLLRDREKLFEGGTNKDDVKPRLRTPEEIMAAYRKTGDASSAAAQARNKLMERQEKLERISQRTAELQSGAEDFASLANELVKTMERRKWWQI >Vigun06g163400.4.v1.2 pep primary_assembly:ASM411807v1:6:28556549:28562428:-1 gene:Vigun06g163400.v1.2 transcript:Vigun06g163400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCLSMPKVELHAHLNGSIRDSTLLELTEGLVGKGVIDISEVEHIIKKYNRSVAEVFKLFDLIHILTTDHETVTRITREVIEDFASENVVYLELRTTPKRNDSVGMSKRSYVEAVLKGLRSITSVDVDFIPHCEDSKSLFSSVPAIGHVRKRIYVRLLLSIDRRETAEAAMETVKLALEMRPFGVVGIDLSGNPSIGDWATYLPALKFAREQRLYVTLHCGEVPNSVEIKNMLDFRPQRIGHACHLEDEHWRQLKSSKIPVEICLTSNIRTLTIRSIEVHHFGDLYKAKHPLVLCTDDSGVFSTSLTEEYKFAADSFGLGRREMFELSRNAIEYTFADSKVKEDLRKFFNSVAKKYGSATKVLS >Vigun06g163400.3.v1.2 pep primary_assembly:ASM411807v1:6:28556549:28562428:-1 gene:Vigun06g163400.v1.2 transcript:Vigun06g163400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCLSMPKVELHAHLNGSIRDSTLLELTEGLVGKGVIDISEVEHIIKKYNRSVAEVFKLFDLIHILTTDHETVTRITREVIEDFASENVVYLELRTTPKRNDSVGMSKRSYVEAVLKGLRSITSVDVDFIPHCEDSKSLFSSVPAIGHVRKRIYVRLLLSIDRRETAEAAMETVKLALEMRPFGVVGIDLSGNPSIGDWATYLPALKFAREQRLYVTLHCGEVPNSVEIKNMLDFRPQRIGHACHLEDEHWRQLKSSKIPVEICLTSNIRTLTIRSIEVHHFGDLYKAKHPLVLCTDDSGVFSTSLTEEYKFAADSFGLGRREMFELSRNAIEYTFADSKVKEDLRKFFNSVAKKYGSATKVLS >Vigun09g219400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39338274:39340565:1 gene:Vigun09g219400.v1.2 transcript:Vigun09g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEKTAATVMEAEVCSVTCYCCGLTEDCTAHYIDRVRERYQGRWICGLCAEAVKEEGLKLKDDDVNTDEALKRHMKFRSFTSSPPKKPTQDLIHAMKHLLFRSLDSPRKDALTCSPQASSHTCFSAGSSKGDSQEIITSE >Vigun10g012466.1.v1.2 pep primary_assembly:ASM411807v1:10:1300983:1303132:1 gene:Vigun10g012466.v1.2 transcript:Vigun10g012466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFVLLFAGQVIGGFSIQKLPPSDNGNTLTVLSIDGGGIRGIVTATVLDHLDQALKGKDPNADLAHYFDVIGGSGTGALITAMLATPSHDDPNRGAFTPAQIVDFYKQNGPHVFNSSRPGDGPKYDGEYLHNITRAVLNDTRLSQTLTNVVIPTFDIKTQKPVIFSTYKVEKYPYFNALLSDICISASAAPTLLPPYYFKNDGVEFNMISGADLSMFEDSLVDGNPTGAAMAEILRYNKYSKILVLSLGTGTPQTREIYDVEKAATWTPQKWIVPLLIFLDRVSTAMAEYYEGSLAQKPTFNYLRIQEYSLDLRHSSMTNVGKLNMNSLETTGKNLLNEKVKEINLDTFNIKEGNGTNAAALDSIADILFGERQHRLKQKSMEKGGRPFHETLRVPSDKTEANWAFQKSKVM >Vigun03g312600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50542984:50543943:1 gene:Vigun03g312600.v1.2 transcript:Vigun03g312600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVLHFRPLLSPLVHFSPSLTSRAQENHTCCVHKYWQGRKGMNLTKKKLIPILVLILSIISILRLLSLNVKTSPFSTGLSALFPAPQHNCSSSPLSTCNKIASHTPNTTTTLTEKEFRVLSDLIALKSPCNLLIFGFQPQYLTLSNMNAAGSTIFLDDDPDKISKARINSNNTQIYRFEYNMPTKAGYKLLKHARQNPAACVPDPRFLQKSKCKLALGNLPLQVYEKKWDVMVVDGPSGDSPESPGRMGSIYTTSVLARAGNASDVIVHDVDRIIEKWFSWEFLCDENLLYSKGKLWHFRIRGLSNSTTFCPVQAGI >Vigun08g105200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26169463:26171169:1 gene:Vigun08g105200.v1.2 transcript:Vigun08g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKTLTNLLHTFNDKTAVIAASLSLKRRVSSVRVHVLRATTHRLAAPPSESQIAAVLSAGRSSYLLPRTCIEAIMDRLHRTQSATVALKCLFTLHNIVSEGPLTLKDNLSHYPSRGGHNALNLATFRDDTDVQSLELSSWVRWYANVLEHVLTVSRVLGYYLTNNGAERKFSGVSSVELLCEIRGLVDFVEQVSHAPESLHLQRIDLVYGVVRLVCDDYGRVQREISLRVEESERRVGDLGVDELRELVRCLKRLEECRERLVVLFVNRKKNDSFWDLIGRVKNEGVVVMEEMEGKWLTVVVKGRNDLTESTRFTNPFLEPGEILYSGPTGWRVATCQLTVPSLG >Vigun07g110500.1.v1.2 pep primary_assembly:ASM411807v1:7:20499221:20502293:1 gene:Vigun07g110500.v1.2 transcript:Vigun07g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWSKRGMLLRFLAVIRRFSTEAVPVRAAVAASSSGGGDTLGRRLLSLLYPKRSAVIAINKWKEEGHAPPRKYQLNRIVRELRRQKRYKHALEVCEWMTLQKDIKLVPGDYAVHLDLITKVRGLQSAEKFFEDLPDRMRGQQTCSSLLHSYVKNNLVDKAEALMLKMSECDFLRCPLPYNHMLTLYISNGKLEKVPKIIQELKLNTSPDVVTFNLWLTACASQNDVETAERVLLELKKAKIDPDWVTYSTLTNLYVKSACLEKAGSTVKEMENRTTRKTRDAFSSLLSLHTNMGNKDDVKRIWQKMKASFRKMNDNEYICMISSMVKLGDFAGAEDLYREWESVSGTNDVRVSNILLASYINQDQMELAESFCNQIVQKGVIPCYTTWELLTWGYLKRKDVEKFLDYFSKAISSVKKWSLDQRLVQEAFEIIEKQAHTEGADQLLVILRNAGHVNTDIYNLFLKVYATAGKMPLIVAERMRKDNVKFDDETQRLLDLTSKMCVSDVSGNLS >Vigun11g163100.1.v1.2 pep primary_assembly:ASM411807v1:11:37069539:37074478:-1 gene:Vigun11g163100.v1.2 transcript:Vigun11g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTASGDRAPSFHFDTPTLDRESAALLHSISEHGGYAYVSMAALAAGGDIRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLYVARHHSGNGEFREALRVLDLGIIMGGALLRKDLDSAVAKVSEQSRRSVRVSELGDSGRRLVDRDFNVTEVLQLLPMKSLSSTLVIKKSALSLDKFLKDHYLSRSPVIISDCMAHWPASAKWNDEDYLLRVAGDRTVPVEVGKNYLCAEWKQELITFSEFIQRIKSGACSPGGPTYLAQHPLFDQINELRKDIFIPDYCFAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYSSSLSEELSPHSGTMLSNSSQVDLDDLDERKFPKVQELEFVDCILEEGEMLYIPPKWWHYVRSLTTSFSVSFWWSEGDTSDAS >Vigun09g232700.1.v1.2 pep primary_assembly:ASM411807v1:9:40395788:40398022:-1 gene:Vigun09g232700.v1.2 transcript:Vigun09g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEEQLCEAAKKGDAERVKALIDSGADVTHFDGEGLNPLMHAAKEGHAPVLTLLLSAGAPWNALSSSGLSAGDYTMQEGHSEAFDLLLNAGIQAELILGTIARKENKSGDSGVDYLEDRVSFSEDKLMDSESKAVMMAWEKPLMEAHAKAVCSGGGHVLNIGFGMGLVDSAIQQYAPASHTIVEAHPEVYQRMLRSGWGQKENVKIVFGRWQDVLSQLETYDGIFFDTYGEYYDDLKEFHQHLPTLLKPGGIYSFFNGLCGSNAFFHVVYCHLVSLELENLGYSTQLIPLPVKDCLGEQVWEGVKQRYWQLDTYYLPVCQSVEDPE >Vigun04g060350.1.v1.2 pep primary_assembly:ASM411807v1:4:6138607:6140303:1 gene:Vigun04g060350.v1.2 transcript:Vigun04g060350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNRFSFNNFFQSSVMFMDPSEYLHSNFARQFLENGRRRYFITISTPKFWPCRIRWTRRSSFECYMTCGWKRFCKDNQLAVGDELKFVIDNQQKNVIHVLKV >Vigun01g174400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35596536:35597424:-1 gene:Vigun01g174400.v1.2 transcript:Vigun01g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLALQDKVVKIMKADGKILEYKTPIKVEEVLLHFSGHAVSESLTVVRYLEPQRKLVRGQLYHLVALPPPSPKSNKKVRFAEPEVEDVEKSNNVVRIKLVISKQELQNMLQNEGFSVTKMLSLVHENKGEDLSQKNDDVSQGWKPVLESIPEVK >Vigun09g110500.1.v1.2 pep primary_assembly:ASM411807v1:9:23859004:23864076:1 gene:Vigun09g110500.v1.2 transcript:Vigun09g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKVGFLCLLWASSVLSIGAVELGRHQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVTPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMHAHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVDEKDVPQLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRTVFLKPGEKALNWTAGPVGDLKPW >Vigun08g193200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36022295:36025866:1 gene:Vigun08g193200.v1.2 transcript:Vigun08g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAFFHMGKFESFRGRFLRYNGGEEHIFHGLDLDRWSYFEALSILKKEFKYNGAMKLWWKPKRGRMDRDLRPFVSDNDALQLCAYADKKKEEVQIYVEHVVSAAQPIEFIEWTQTTGEVGGEEGPDVNSVAEVQDVGKGDAKVEAEVIVEEVRVADKGDATVEEVQVANKEDAIMEDGRVENENSVGENRDAENAEAGDVEEQSDIDVEELDEVKKNVIEMTMMVLDPLTHHAETLHSYLRGGKIFIKKGEKGSLRLVQVQVLLKQMQ >Vigun03g106400.1.v1.2 pep primary_assembly:ASM411807v1:3:9458348:9464471:-1 gene:Vigun03g106400.v1.2 transcript:Vigun03g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRLPDEPPPEQPGEEVQSENEVESDLSNSSSDDDICMEIDLSDISEDLKCPICLGVIRKTKIVMECLHRFCGECIEKAIRLCKNECPVCREHFPGGCTLGDDRHYDHLISIIHPDVAQYEDESLQRQIEELERKHKVKATAVSFARRRRTIRNACKDEKGNEKNEVMNDKNAGKESSSDAQKTESEPNKDGESATLHADGTADENVPEK >Vigun04g075700.1.v1.2 pep primary_assembly:ASM411807v1:4:9512184:9514570:1 gene:Vigun04g075700.v1.2 transcript:Vigun04g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKTNILNNDELIVRLIIHKANKTLSIIDNGIGMTKADLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPSSSCFLTTDINDQRLPRGTKITLFLKDDQSEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINIWLHDQEMDNKFVAQNLGKHLPDHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFYNNFISNQNSYFDDSSLLLCLGPNYDQYNDESSLYSLFGMKFQNSTILNWPNPRIEGHSPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTAPSPVSDVQYDFDVVIEYEGFGYDIARDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIEFLNCQGINNRFYLEGMCHWLCNNGDEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLPSLSFPIGTSNMGNILFETNDGELAWFDLRTNLIEKLGVNVQNRDCQIILYKKSLSNRRINT >Vigun01g196700.1.v1.2 pep primary_assembly:ASM411807v1:1:37349353:37358149:-1 gene:Vigun01g196700.v1.2 transcript:Vigun01g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRRNSNGRSPLVNQQRQITSFFTKTPSPSPTLAKTNQKPNPNHDSDSNPSPSSTTLTPSPLNPKPNKSLLVIGASVSPPSASSSLYGQEVVGRRVKVYWPLDKAWYEGSVKSFDKSTSKHVVRYLDDEEESLILAQEKIEWLHESSTKKLKRLRRGFSDIRKMEIDEEELKEESNKGEEEQQDNVNDDDDSNDEDWGKNAASLEDAGDGEEDTDLEDEDEEDVAGSAKGKKVEAKKRKLSATDKLEPAKKSKSGVEVCKGSFKLSVMEPTTNLEIKKTSSGDDNVSFTETSERFASREAQKLRFLKVDRRDAKRRRPGDENYDSRTLYLPPDFLRSLSEGQKQWWEFKSRHMDKVLFFKMGKFYELFEMDAHVGVKELDLQYMKGDQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGSKDKVVRREICAVVTKGTLTDGELLSANPEAAYLMSLTEHHEKLPNEISDHNYGVCIVDVATSRVILGQFKDDLDCSALCSILSEIRPVEIVKPAKLLSAETERALLKHTRNPLVNELVPGVEFWDAGKTLDQLKKIYGNASDVSVEDNGLDCLPDVLQELVKTGDKSISALSALGGALYYLKQSFLDERLLRFAQFELLPQSGFEELASKHYMVLDVAAMENLEIFENSRNGDSSGTLYAQLNQCVTAFGKRLLKTWLARPLCHVESIKERQEAVAGLKGVNLPSALEFRRALSKLPDMERLLARIFCSSEASGRNANKVILYEDAAKKQVQEFIAALCGCEQMLEACSSLGVILNNVQSRQLHHLLTPGKGLPDVLMELNHFKDAFDWVEANSSGRIIPHEGVDTEYASACKAVKEIESNLLKHLKGQRELLGDTSIVYVTVGKDVYLLEVPENLSRNIPRDYELRSSRKGFFRYWTPDIKIYLKELSQAELERESLLKNTLQRLIGRFCENHTKWKQLVSATAELDLLISLAIAGDYYEGPTCTPTFVGTLCTKGAPYLHAKSLGHPVLRSDTLGKGAFVPNDITIGGSDHASFILLTGPNMGGKSTLLRQVCLTVILAQVGADVPAESFDLSPVDRIFVRMGAKDNIMAGQSTFLTELSETATMLSSATRNSLVALDELGRGTATSDGQAIAESVLEHFVRKVQCRGLFSTHYHRLAVDYINDPKVCLSHMACQVGDGIAGLDEVTFLYRLTPGACPKSYGVNVARIAGLPTSVLQKAAAKSREFEASYGKCRKGSSETNSPNKIWVDEIAAIIRKLTEVATNLSCQETLSVSSLRELQDKARESMQRC >Vigun07g066500.2.v1.2 pep primary_assembly:ASM411807v1:7:7838922:7847020:1 gene:Vigun07g066500.v1.2 transcript:Vigun07g066500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVDLGFLLHALMPSWNSVPLLSGFFTYLAIAGSVLPGKVVPGVALPDATRLHYRCNGLLSLLLLVALLGIGANMGFVSPTAISDRGLELLSTTFLFSFLVTLVLYFSGCESQSKGSSLKPHISGNLIHDWWFGIQLNPHFMGIDLKFFFVRAGMMGWLLINLSILMKSIQDGTLSQSMILYQLFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLKNSVELTTAAVVANCFVFLIGYMVFRGANKQKHVFKKNPKAPIWGKPPKVVGGKLLASGYWGVARHCNYLGDLLLALSFSLPCGISSPIPYFYPVYLLILLIWRERRDETRCAEKYKEIWAEYRKLVPWRILPYVY >Vigun07g066500.1.v1.2 pep primary_assembly:ASM411807v1:7:7838922:7847074:1 gene:Vigun07g066500.v1.2 transcript:Vigun07g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVDLGFLLHALMPSWNSVPLLSGFFTYLAIAGSVLPGKVVPGVALPDATRLHYRCNGLLSLLLLVALLGIGANMGFVSPTAISDRGLELLSTTFLFSFLVTLVLYFSGCESQSKGSSLKPHISGNLIHDWWFGIQLNPHFMGIDLKFFFVRAGMMGWLLINLSILMKSIQDGTLSQSMILYQLFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLKNSVELTTAAVVANCFVFLIGYMVFRGANKQKHVFKKNPKAPIWGKPPKVVGGKLLASGYWGVARHCNYLGDLLLALSFSLPCGISSPIPYFYPVYLLILLIWRERRDETRCAEKYKEIWAEYRKLVPWRILPYVY >Vigun07g257350.1.v1.2 pep primary_assembly:ASM411807v1:7:37466352:37466754:-1 gene:Vigun07g257350.v1.2 transcript:Vigun07g257350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLCVLTPNRIVWDSEVKEIILPTNSGQIGVLPNHAPIASAVDIGILRILNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun08g163400.3.v1.2 pep primary_assembly:ASM411807v1:8:33592209:33598932:-1 gene:Vigun08g163400.v1.2 transcript:Vigun08g163400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATMHAKINRRKLDKLNIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRFLVEINEAWKVKTAPDPTLLPKAKSKAKKEAVTLPGIRETNVADIEQSLQFSNTGTTTGFQHNAYFTMRLDSVDEPFISNGAREDQDPSEFLHQADVENITLFERFETFQANADPYNRFERFDIEGDEETLVNVTSGDQIPTALIPSPPHQDEPTRADMFEDLHPEQQDIRQPDEAMPPRQEPRRRGPNKRKRGQRLHIEMDYEQTIIPVHIYQHWLQNASDIVSRRGRKKQQTNVLSSTKIANLMKLPPVALSGDLFTAENNDIYYPAPILDLWIKSIQPPHDSPSERISAHHPPEPSFSSPPGVHSGDFMQFPSEDFDSRLDNQAPPVEKQRKNVLMDELTASLLRGHDSTPHVSSVKAGDSAHSFPRPASEHGPASLSDFDSGRFKNKRYSSSANSSGGLEPLVEDVNFKLARLYEDGPTPDQVSQVPFQNSSWKQDPLKLK >Vigun08g163400.2.v1.2 pep primary_assembly:ASM411807v1:8:33592208:33598932:-1 gene:Vigun08g163400.v1.2 transcript:Vigun08g163400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMHAKINRRKLDKLNIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRFLVEINEAWKVKTAPDPTLLPKAKSKAKKEAVTLPGIRETNVADIEQSLQFSNTGTTTGFQHNAYFTMRLDSVDEPFISNGAREDQDPSEFLHQADVENITLFERFETFQANADPYNRFERFDIEGDEETLVNVTSGDQIPTALIPSPPHQDEPTRADMFEDLHPEQQDIRQPDEAMPPRQEPRRRGPNKRKRGQRLHIEMDYEQTIIPVHIYQHWLQNASDIVSRRGRKKQQTNVLSSTKIANLMKLPPVALSGDLFTAENNDIYYPAPILDLWIKSIQPPHDSPSERISAHHPPEPSFSSPPGVHSGDFMQFPSEDFDSRLDNQAPPVEKQRKNVLMDELTASLLRGHDSTPHVSSVKAGDSAHSFPRPASEHGPASLSDFDSGRFKNKRYSSSANSSGGLEPLVEDVNFKLARLYEDGPTPDQELLVETGPTQTQVNINHPSDKITDSIQAQMKAHFDTPGAPPVESLHNLAAGMTRTSAAQLFYQICVLASRDALKVEQKVPYGEILFSRGLKM >Vigun08g163400.1.v1.2 pep primary_assembly:ASM411807v1:8:33592209:33598932:-1 gene:Vigun08g163400.v1.2 transcript:Vigun08g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATMHAKINRRKLDKLNIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRFLVEINEAWKVKTAPDPTLLPKAKSKAKKEAVTLPGIRETNVADIEQSLQFSNTGTTTGFQHNAYFTMRLDSVDEPFISNGAREDQDPSEFLHQADVENITLFERFETFQANADPYNRFERFDIEGDEETLVNVTSGDQIPTALIPSPPHQDEPTRADMFEDLHPEQQDIRQPDEAMPPRQEPRRRGPNKRKRGQRLHIEMDYEQTIIPVHIYQHWLQNASDIVSRRGRKKQQTNVLSSTKIANLMKLPPVALSGDLFTAENNDIYYPAPILDLWIKSIQPPHDSPSERISAHHPPEPSFSSPPGVHSGDFMQFPSEDFDSRLDNQAPPVEKQRKNVLMDELTASLLRGHDSTPHVSSVKAGDSAHSFPRPASEHGPASLSDFDSGRFKNKRYSSSANSSGGLEPLVEDVNFKLARLYEDGPTPDQELLVETGPTQTQVNINHPSDKITDSIQAQMKAHFDTPGAPPVESLHNLAAGMTRTSAAQLFYQICVLASRDALKVEQKVPYGEILFSRGLKM >Vigun03g295900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48237986:48239628:1 gene:Vigun03g295900.v1.2 transcript:Vigun03g295900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSHIVFLTLLIRIAPLFSICALLCLFIVSVHNVGAYTVNRTTTPLSSLVSFINPNASLDESIVSCFIFSTLSFPPPTAYLDSSIRPVALTIITRNCGNSCPQNNPSVDLIHTNNLVFTTQIIDASMLGASYGTHNPD >VigunL059217.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:31237:31596:1 gene:VigunL059217.v1.2 transcript:VigunL059217.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g031300.2.v1.2 pep primary_assembly:ASM411807v1:9:2646207:2666985:1 gene:Vigun09g031300.v1.2 transcript:Vigun09g031300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVNGASPMRQAVAERESDIEAGPATHRSTDLEDGDLSDPFDIARTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGVPGNEPIKPPPTPIAGEFSIGQEQLASISREHDTAALQQYGGVVGLSVLLKTNLEKGIHGDDADLLKRRNSFGSNNYPRKKGRGFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFRDLNEEKRNIHLEVIRSGRRVEISIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVVVLVVLLARYFSGHTENPDGSPQFKAGKTKVGDAVDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNEMTVVEAYAGGKKIDPPHKLENPMLRSLLIEGVALNTNGSVYAPEGASDVEVSGSPTEKAILHWGIQLGMNFTDARSRTSIIHVFPFNSEKKRGGVALQTADSNIHIHWKGAAEIVLACCTGYIDANDQLVGMDEEKMSFFKKAIEDMAADSLRCVAIAYRSYEKEKVPTNEELLAHWSLPEDDLILLAIVGLKDPCRPGVKDAVLLCQKAGVKVKMVTGDNVKTAKAIAVECGILGSFADATEPNIIEGKTFRGLSDAERDEIADRILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSTGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRSPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGRSILGLNHDNNVHAIKVKNTLIFNAFVLCQIFNEFNARKPDEYNIFKGVTRNYLFMGIIGLTLVLQIVIIEFLGKFTKTVRLNWKQWIICVIIGFISWPLAVIGKLIPVPTTPINNVFSKCGSSKKKEHDESQ >Vigun09g031300.6.v1.2 pep primary_assembly:ASM411807v1:9:2646207:2666985:1 gene:Vigun09g031300.v1.2 transcript:Vigun09g031300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVNGASPMRQAVAERESDIEAGPATHRSTDLEDGDLSDPFDIARTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGVPGNEPIKPPPTPIAGEFSIGQEQLASISREHDTAALQQYGGVVGLSVLLKTNLEKGIHGDDADLLKRRNSFGSNNYPRKKGRGFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFRDLNEEKRNIHLEVIRSGRRVEISIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVVVLVVLLARYFSGHTENPDGSPQFKAGKTKVGDAVDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNEMTVVEAYAGGKKIDPPHKLENPMLRSLLIEGVALNTNGSVYAPEGASDVEVSGSPTEKAILHWGIQLGMNFTDARSRTSIIHVFPFNSEKKRGGVALQTADSNIHIHWKGAAEIVLACCTGYIDANDQLVGMDEEKMSFFKKAIEDMAADSLRCVAIAYRSYEKEKVPTNEELLAHWSLPEDDLILLAIVGLKDPCRPGVKDAVLLCQKAGVKVKMVTGDNVKTAKAIAVECGILGSFADATEPNIIEGKTFRGLSDAERDEIADRILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSTGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRSPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGRSILGLNHDNNVHAIKVKNTLIFNAFVLCQIFNEFNARKPDEYNIFKGVTRNYLFMGIIGLTLVLQIVIIEFLGKFTKTVRLNWKQWIICVIIGFISWPLAVIGKLIPVPTTPINNVFSKCGSSKKKEHDESQ >Vigun09g031300.5.v1.2 pep primary_assembly:ASM411807v1:9:2646207:2666985:1 gene:Vigun09g031300.v1.2 transcript:Vigun09g031300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVNGASPMRQAVAERESDIEAGPATHRSTDLEDGDLSDPFDIARTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGVPGNEPIKPPPTPIAGEFSIGQEQLASISREHDTAALQQYGGVVGLSVLLKTNLEKGIHGDDADLLKRRNSFGSNNYPRKKGRGFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFRDLNEEKRNIHLEVIRSGRRVEISIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVVVLVVLLARYFSGHTENPDGSPQFKAGKTKVGDAVDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNEMTVVEAYAGGKKIDPPHKLENPMLRSLLIEGVALNTNGSVYAPEGASDVEVSGSPTEKAILHWGIQLGMNFTDARSRTSIIHVFPFNSEKKRGGVALQTADSNIHIHWKGAAEIVLACCTGYIDANDQLVGMDEEKMSFFKKAIEDMAADSLRCVAIAYRSYEKEKVPTNEELLAHWSLPEDDLILLAIVGLKDPCRPGVKDAVLLCQKAGVKVKMVTGDNVKTAKAIAVECGILGSFADATEPNIIEGKTFRGLSDAERDEIADRILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSTGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRSPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGRSILGLNHDNNVHAIKVKNTLIFNAFVLCQIFNEFNARKPDEYNIFKGVTRNYLFMGIIGLTLVLQIVIIEFLGKFTKTVRLNWKQWIICVIIGFISWPLAVIGKLIPVPTTPINNVFSKCGSSKKKEHDESQ >Vigun09g031300.1.v1.2 pep primary_assembly:ASM411807v1:9:2642568:2666985:1 gene:Vigun09g031300.v1.2 transcript:Vigun09g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVNGASPMRQAVAERESDIEAGPATHRSTDLEDGDLSDPFDIARTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGGVPGNEPIKPPPTPIAGEFSIGQEQLASISREHDTAALQQYGGVVGLSVLLKTNLEKGIHGDDADLLKRRNSFGSNNYPRKKGRGFLMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFRDLNEEKRNIHLEVIRSGRRVEISIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKDSKDPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVVVLVVLLARYFSGHTENPDGSPQFKAGKTKVGDAVDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNEMTVVEAYAGGKKIDPPHKLENPMLRSLLIEGVALNTNGSVYAPEGASDVEVSGSPTEKAILHWGIQLGMNFTDARSRTSIIHVFPFNSEKKRGGVALQTADSNIHIHWKGAAEIVLACCTGYIDANDQLVGMDEEKMSFFKKAIEDMAADSLRCVAIAYRSYEKEKVPTNEELLAHWSLPEDDLILLAIVGLKDPCRPGVKDAVLLCQKAGVKVKMVTGDNVKTAKAIAVECGILGSFADATEPNIIEGKTFRGLSDAERDEIADRILVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSTGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRSPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGRSILGLNHDNNVHAIKVKNTLIFNAFVLCQIFNEFNARKPDEYNIFKGVTRNYLFMGIIGLTLVLQIVIIEFLGKFTKTVRLNWKQWIICVIIGFISWPLAVIGKLIPVPTTPINNVFSKCGSSKKKEHDESQ >Vigun10g054200.1.v1.2 pep primary_assembly:ASM411807v1:10:9048874:9050482:1 gene:Vigun10g054200.v1.2 transcript:Vigun10g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLREMEVKGEIVSKETIKPSSTTPNELRHFKLSLIDQLAPPFYVPIILLYSASDATNTKTISQKLKASLSEVLTLYYPFCGTLRGNSTVECNDEGVVFTECRVPMELSSLLKDPDLHQINHLFPCDPFNPARETLTGNMAVQLNQFTCGGLALGVCFSHKIADASAAASFLTAWAASSRGEGNKLILPQMEEGALVFSRRKIEMDLTGRMMRKNIVTKRFVFNRSNISRLKQKLGSFDFTPTSVEAVTALIWKSSLEAAKANSEEGKIPASMISHAVNIRSRMAQTFSEHSMGNLWQQAVSPVVEVEGEVGLRDLGERVRETIRKVDGKYLSMVQGDEFYKVMECLKEARTMVAEKGVPCYIFSSWVRFGFYETDFGWGKPCYVRTIGVPIKNVVIFMATKAGDGIEAWITLTTRDMVHFEQNPELLQFVSFDSP >Vigun01g179600.1.v1.2 pep primary_assembly:ASM411807v1:1:36019813:36021612:-1 gene:Vigun01g179600.v1.2 transcript:Vigun01g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKITAFFTSSPASSSPNYDDDLSVWENQQHHIINTYTRRRPNPNAGTSDPKPATLVKNKKRSYAQFHLDFGQSDFLLRACSTCGVKFTPGDPQDEKSHNEFHKSYTQGIQFRGWTKENVISLPGLDSGRVVLFSELDPFSHRKKVEEVVRMMEIEIGSGWILHERCKVYLFVYLNRVAGCLVAEPIEKAFKVVSCSDAGPVHSAKKRGVTTRSTTLQFGNVIFQRETQRKVVNVSDSEKMEGAIFCDSEPTAAACGVRAIWITPSNRRKGIATQLLDAVRKSFCPGLELGRSQLAFSQPTSAGKALATSYTGTRSFLAY >Vigun03g264700.2.v1.2 pep primary_assembly:ASM411807v1:3:43431690:43432355:-1 gene:Vigun03g264700.v1.2 transcript:Vigun03g264700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLFKPEKANAIQTHNLFAAVSKTLRILELCLLFLLLSWLLTRLPFAFALSAQFLTRLLSFAASPLFVFALSNAIIAALLAQSRRLSGPHSAADTLYHDFLNSRAPVLHAPPPPAKYRRSKSENWKGDSVKTPRRRNLRRSETEKRLKTPPENLYPQDKLSNEEFQRAIEAFIAKQLRFLREESSAIVVQNPS >Vigun07g254300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37263001:37264463:1 gene:Vigun07g254300.v1.2 transcript:Vigun07g254300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVLVVQALSNGRYKSCMHGAAVNKYKERKSLAFFQCPKEYKVLRAPDEVVSMDGTKQYPDFTWSHFLHFTQNHYRADQATLPNFINWFLSFKTTN >Vigun06g156300.1.v1.2 pep primary_assembly:ASM411807v1:6:27971540:27975792:-1 gene:Vigun06g156300.v1.2 transcript:Vigun06g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSGSKEYQEWFNLADSDGDGRISGSEATKFFALSNLSRSQLKQLWALADVKRQGFLGFPEFVTAMQLVSLAQAGQELNSDILKTQVDKENIKPPILEGLDALVAQKSLTITTPPEVNGIAQPQGFPTNRWFGSKSSKKLPLNSVTSIIDGLKRLYIERLKPLEVTYRYNDFVSPLLTNTDFDAKPMVMLLGQYSTGKTTFIKHLLRCDYPGAHVGPEPTTDRFVVVMSGPDERSIPGNTIAVDADMPFSGLTTFGGSFLSKFQCSQMPHPLLDEITLVDTPGVLSGEKQRTQRSYDFTGVVSWFAAKCDLILLLFDPHKLDISDEFKRVITSLRGNDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPIDEDFVSPLGRDLFEKEQSDLLADLLDIPKKSCDRRINEFVKRARSAKIHAHIISHLKKEMPAIMGKAKAQQRLIDNLEEEFAKVQREFHLPAGDFPSVEHFREVLIGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKKFRNPYD >Vigun02g044200.1.v1.2 pep primary_assembly:ASM411807v1:2:17828209:17832782:1 gene:Vigun02g044200.v1.2 transcript:Vigun02g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSEVNMSFSHYMDDEYEKLFRRMNPPRVVIDNESCKNATVIRVDSANKHGILLEVVQILTDLNLIITKAYISSDGGWFMDVFNVTGQDGNKVTDEAILDYIRKSLGPESCVTSPIRSVGVEQTMDHTAIELMGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNTRAAAVMHVTDEETGSAITDPKRLSMIKELLCNVLGGGNKKRGAKTVVTDEVTHTERRLHQMMFADRDYERDNDDGFDEKQRPNVNVVNWSDNDYSVVTIQCKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAYQEYYIKHIDGSPVKSDAERQRVIQCLAAAIERRVSDGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVSTKGGKAVNTFYVRGASGFPVDLKTIESIRQTIGNTILKVKGSPSEMKSVPQDSPSRSLFSGLFKSRSFVNFGLVKSYS >Vigun06g075400.1.v1.2 pep primary_assembly:ASM411807v1:6:20606159:20606701:1 gene:Vigun06g075400.v1.2 transcript:Vigun06g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASVLFPYLMILFLVIFTISFVNGCYYPCHGLHACGNLHCPGCTKQCVNFCCLCTCSGNEKPQLNVTI >Vigun05g164800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26956961:26958258:1 gene:Vigun05g164800.v1.2 transcript:Vigun05g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRGFNLRKRLIRVSKWVFRKIRVRSGPGYHRLGNSPRSSIAKLLSWGRKLTAAAKSFFPVSVGSGYAQLGSGSVVGSDRDPTVPKGHLAVYVGQKDGELHRVLVPVIYFNHLAVYVGQRRSSGSTTTVESPSPAGSLSLNGSRPE >Vigun02g157500.1.v1.2 pep primary_assembly:ASM411807v1:2:30356701:30362123:-1 gene:Vigun02g157500.v1.2 transcript:Vigun02g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCWECLLKLLNFILSLTGLAIVGYGIYLFVEFSKASDDNSPDISPVSDDSALIQLGRPMLMAVSLSDSFLDNLPRAWFIFLFIGVGVVLFLISCFGCIGAATRNGCCLSCYSILVALLILIELGCAAFIFFDKNWKEEIPKDKTGDFDEIYGFLSDNWNIVRWVALGIGIFQVLLFLLALIVRAANRPADYDSDEEFINPRQQVRQPLLNRPTAGPTTGVPVTGTIDPRSSRSDAWSARMREKYGLDTSEFTYNPSESSRFQQVNPQPTEEKSRCTIM >Vigun05g056400.1.v1.2 pep primary_assembly:ASM411807v1:5:4815223:4819270:-1 gene:Vigun05g056400.v1.2 transcript:Vigun05g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFNKRRILPAFRIFLLLSLFFNLSSTFAQDSLLQNAKEGVGGSVDLGRRGKIVLDEIDNGSILSLGLESTGPGIFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFIMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSTDSKSSQKKEMEEVEEKLEGGQGKTSVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGASLGHTFCTSLAVVGGSMLASKISQRSVATVGGLLFLGFSISSYFYPPL >Vigun05g056400.2.v1.2 pep primary_assembly:ASM411807v1:5:4814402:4819270:-1 gene:Vigun05g056400.v1.2 transcript:Vigun05g056400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFNKRRILPAFRIFLLLSLFFNLSSTFAQDSLLQNAKEGVGGSVDLGRRGKIVLDEIDNGSILSLGLESTGPGIFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFIMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSTDSKSSQKKEMEEVEEKLEGGQGKTSVRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGASLGHTFCTSLAVVGGSMLASKISQRSVATVGGLLFLGFSISSYFYPPL >Vigun10g108700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30810726:30811394:-1 gene:Vigun10g108700.v1.2 transcript:Vigun10g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLTFLLVIFLSFFFSFLAAPVEPSSLTSHRNPNPKTMTYIESSCKGTLYPNLCVRCLSQYAKSPLNGPQHLAQYALSVSLSRALHTKGYLLEVAKELQAIKSNKREYLTVQDCVNQISDSVEQISQAMKELRSFNKQDSSMNDNMLWHISNVETWMSTALTDASSCVYSFPGNRMSKRVAAIKVKAKNVAEVTSNALFLFHRYASTYQKAATKISKKP >Vigun09g069700.1.v1.2 pep primary_assembly:ASM411807v1:9:7494679:7499232:1 gene:Vigun09g069700.v1.2 transcript:Vigun09g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKFTHKTNEALVSAHETAVSSGHAQFTPLHLASSLLSDKGGIFSQALSNAAGEESARAAERVFSQALKKLPSQSPPPDEVPASTSLIKAIRRAQSLQKTRGDTHLAVDQLILGLLEDSQIAELLREAGVAASKVKTEVEKLRGKEGRKVESATGDTNFQALTTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVKGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGKAEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTVSILRGLKERYEGHHGVRILDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVDVRKELDDLRDKLQPLMMKYRKEKERIDEIRRLKQKREELNFALLEAERRYDLARAADLRYGAIQEVESAIQELEGNTEGNVMLTETVGPEHIAEVVSRWTGIPVTRLGQNDKERLIGLADRLHQRVVGQDQAVNSVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLTGLSGKSSMQTAREKVMQEVRRHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVASRLAERGIAMAVTDAALDYILSESYDPVYGARPIRRWLERKVVTELSRMLVKEEIDENSTVYIDAGPAGGELNYRVEKNGGLVNAVTGQKSDILIQMPNGAVSRSDAAQAVKKLKIEQMDEDEDGEMEM >Vigun01g086900.4.v1.2 pep primary_assembly:ASM411807v1:1:24457590:24460854:-1 gene:Vigun01g086900.v1.2 transcript:Vigun01g086900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGREAATGRGVLFATEALLNEHGKSVSGQRFVIQGFGNVGSWAAQLISEKGGKVVAVSDISGAIKNSKGLDIPSLLEHSKKHKGVKGFHGGDPISADSILVEDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWNEEKVNNELGNYMTKGFKDVKEMCKTHDCDLRMGAFTLAVNRVARATVLRGWEA >Vigun01g086900.1.v1.2 pep primary_assembly:ASM411807v1:1:24457589:24464640:-1 gene:Vigun01g086900.v1.2 transcript:Vigun01g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGREAATGRGVLFATEALLNEHGKSVSGQRFVIQGFGNVGSWAAQLISEKGGKVVAVSDISGAIKNSKGLDIPSLLEHSKKHKGVKGFHGGDPISADSILVEDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWNEEKVNNELGNYMTKGFKDVKEMCKTHDCDLRMGAFTLAVNRVARATVLRGWEA >Vigun01g086900.3.v1.2 pep primary_assembly:ASM411807v1:1:24457590:24461904:-1 gene:Vigun01g086900.v1.2 transcript:Vigun01g086900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGREAATGRGVLFATEALLNEHGKSVSGQRFVIQGFGNVGSWAAQLISEKGGKVVAVSDISGAIKNSKGLDIPSLLEHSKKHKGVKGFHGGDPISADSILVEDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWNEEKVNNELGNYMTKGFKDVKEMCKTHDCDLRMGAFTLAVNRVARATVLRGWEA >Vigun01g086900.2.v1.2 pep primary_assembly:ASM411807v1:1:24457590:24461904:-1 gene:Vigun01g086900.v1.2 transcript:Vigun01g086900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPAELSISELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGREAATGRGVLFATEALLNEHGKSVSGQRFVIQGFGNVGSWAAQLISEKGGKVVAVSDISGAIKNSKGLDIPSLLEHSKKHKGVKGFHGGDPISADSILVEDCDVLVPAALGGVINRENANEIKAKFIVEAANHPTDPEADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWNEEKVNNELGNYMTKGFKDVKEMCKTHDCDLRMGAFTLAVNRVARATVLRGWEA >Vigun09g156200.1.v1.2 pep primary_assembly:ASM411807v1:9:32172758:32176134:1 gene:Vigun09g156200.v1.2 transcript:Vigun09g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHFLFGIFGNASALFLFLAPVITFKRIIQNRSTEKFSGIPYVMTLLNCLLSAWYGLPFVSPHNILVSTVNGTGSLIEIIYVLIFIVMAPKKQKAKILGLFTFVLSVFCAVVFVSLFALHGNSRKLFCGFAAAIFSIIMYGSPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGRDPFVAVPNGVGSALGAMQLILYFIYRDNKGGGGKKAPTEEEFMEMGDAKPQQGKQSNANGTQG >Vigun10g061000.1.v1.2 pep primary_assembly:ASM411807v1:10:12529000:12531955:-1 gene:Vigun10g061000.v1.2 transcript:Vigun10g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSSGINTIRVFGVTKHQSRFLHSSMLHLRSNRVCGTGIGVEEKKKRKQCFVMAASVGGSKVGHFENTLPSKEVLELWRKGDAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFNPSWSQLQNFLQQKPPRISPGIEELVQKLKANGIDVYLVSGGFRQMIIPVASILGIPEQNIFANNLLFKSSGEFLGFDEKEPTSRSGGKAVAVQQIKKTRGFKTLTMVGDGRF >Vigun05g039800.1.v1.2 pep primary_assembly:ASM411807v1:5:3206679:3207924:1 gene:Vigun05g039800.v1.2 transcript:Vigun05g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLSGLRKGAWSQTEDERLRECVQLYGEGKWHLVPQRSGLNRCRKSCRLRWLNYLKPNIKRGDFSEDEVDLIIRLHKLLGNRWSLIAGRIPGRTSNDVKNYWNTNMRRKVQSHSKDEENNNKNNVKESERSWKPHHQVIKPVPRALPKASPLVQRQFMSCSKVGVSEAVSAASENWWETLLDENEDNIAVNDDTCFPRAKDGSFELWNEELGSIASEFLAEGETWKDFLLN >Vigun05g039800.2.v1.2 pep primary_assembly:ASM411807v1:5:3206679:3207924:1 gene:Vigun05g039800.v1.2 transcript:Vigun05g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLWGRKMAPCSSKIRCRKSCRLRWLNYLKPNIKRGDFSEDEVDLIIRLHKLLGNRWSLIAGRIPGRTSNDVKNYWNTNMRRKVQSHSKDEENNNKNNVKESERSWKPHHQVIKPVPRALPKASPLVQRQFMSCSKVGVSEAVSAASENWWETLLDENEDNIAVNDDTCFPRAKDGSFELWNEELGSIASEFLAEGETWKDFLLN >Vigun03g271900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44663130:44667307:-1 gene:Vigun03g271900.v1.2 transcript:Vigun03g271900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALIMDKKSRRKDESNVDIKRNPSMLRKLQENKLREALEEASEDGSLSKSQDIDQPDSAANQDDGLGRSRSLARLHAQREFLRATALAAERIFESEEEIPSLQEAFSKFLTMYPKYQSSEKVDQLRSDEYSHLSPKVCLDYCGFGLFSFVQTIHYWESSTFSLSEITANLSNHALYGGAERGTVEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVAWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMQSLQNQSGCTGSGMVKITPEFPMYLSDSVDGLDKFVGIEDDEISGLGEKTTETRQGTQLPAFSGAFTSAQVRDVFETEMDQDSSERDGTSTIFEETESISVGEVIKSPVFSEDESSDNSFWIDLGQSPLGSDGAGQSNKHKIASPLPPFWFNGRRNQKQPSPKPTSRMYGSPMYDDREVNLGAHEDHRVLSFDAAVLMSQELDRVKEVPEEEQVEEVDHYSRNGNGSDHLHVDEILEEPGTSGAVNNGSWLNNSTSLARHQSLENGSTSEICADVKESAIRRETEGEFRLLGRREGNRYGGGRFFGLEENEATSRGRRVSFSMEDNRKEYLSQTLEPGDVSATSFDDEEATSDGDYGDGQDWGRREPEIACRHIDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPASDGGEKANLVHIYGPKIKYERGAAVAFNVRDRSRGLINPEIVQKLAEKEGISLGLGFLSHIQILDSSRHNRGAPNLEDTTLCRPMENGRRDGKGSFVRLEVVTASLGFLTNFEDVYKLWAFVAKFLNPTFIREGGLPTVQEGSET >Vigun08g015700.1.v1.2 pep primary_assembly:ASM411807v1:8:1322964:1329069:1 gene:Vigun08g015700.v1.2 transcript:Vigun08g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARLVRQAKRPHGLWVKMAAVTVMGLCFIFVWGVFSSSSSSVVSQRESFEDIAEPVSSSSSSSHKPQKLRDESKKGGESEKKSKSNGNGSSHSSATRPHSEQHKGKDSKKEKKHVQHKEDKEKGNHQGSEEPQPQHGQEEKEKENVKEEVEDEEEKVDRESDVDVDADGGSDLAEFVDKDDSSEVVEDVEEFRKKSKGKIKGPLFDPNASYSWKLCSSRSKHNYIPCIDIEVGGGKVTSYRHTERSCPRTPLMCLVPLPHEGYGSPLPWPESKLKILYKNVAHPKLAAYIKRHSWLMESEEYLTFPQNQSEFKGGIHHYLESIEEMVPDIEWGKNIRVVLDIGCTDSSFAAALLDKEVLTLSLGLKNDLVDLAQVALERGFPAVISPFNRRRLPFPSQVFDAIHCAGCSIPWHSNGGKLLLEMNRILRPGGYFIMSTKHDSIEEEEAMTTLTASICWNVLAHKSDDVGEVGVKIYQKPEGNDIYDLRRKKIPPLCKENENPDAVWYVPMKTCLHPIPSGIEQHGAEWPEEWPKRLETYPDWVNNKEKVIADTNHWNAVVNKSYISGLGINWTSIRNVMDMKSIYGGLAVALSQQKVWVMNVVPVHAPDTLPIIFERGLIGMYHDWCESFGTYPRTYDLLHADHLFSRLKNRCKQPVSIVVEMDRITRPGGWTIIRDKVEILNPLEEILKSMQWEIRMTFAQDKEGILCAQKTLWRP >Vigun03g286000.1.v1.2 pep primary_assembly:ASM411807v1:3:46769604:46772397:1 gene:Vigun03g286000.v1.2 transcript:Vigun03g286000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEELAFAVAPTMVVVTVREFDPDKDTERVEAVERLCEVGPSGKLSLLTDLHGDPICRVRNSPTFLMLVAEIGQETVGMIRGCIKTVTCGKKLQRHGKNTTEPASKQVPVYTKLAYILGLRVSPHHRRMGIGLKLVQRLEEWFRENGAEYAYMATEKDNVASVKLFTDKCGYSKFRTPSILVNPVFAHSLTPSPNVTIIQLAPNDAEFLYRTKFATTEFFPRDVDSVLNNNLTLGTFLAVPRGSFSPDTWPGSPLFLADPPSSWALLSVWNCKDVFTLQVRGASRVKKALAKTTRLLDRAFPWLRLPSIPNLFQPFGFHFLYGLGGEGPRAQKMVSALCAFAHNLAKDSGCQVVATEVSGQEPLRCAIPHWKMLSCEEDLWCIKRLGEDYSDGAVGDWTKSPPGFSIFVDPREF >Vigun09g000700.1.v1.2 pep primary_assembly:ASM411807v1:9:97193:101220:1 gene:Vigun09g000700.v1.2 transcript:Vigun09g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWMQTKIGGKQDNRKPDTYTTTTYDGKQEGREEFSDWPDGLLAIGTFGNNKEAKEKCITTKEEPSSSEQIPDFTPEEIGKLQKELTKLLRQKPKVEKEIAHLPLDRFLNCPSSLEVDRRISNVLCSDSENKDDEEEVGKQEREEEEDDDDDDEEEEDIEKTLGVILGKFKEICAKNSKKAIGKKSISFLLKKMFVCRSGFAPTPSLRDTLQLQESRMEKVGSPFGSVGLHVCALHELCEYNVAFSYEAFEDDSSQENKLPAFFSGTVSEEATGGQEEDADGGGSRG >Vigun09g000700.2.v1.2 pep primary_assembly:ASM411807v1:9:97193:101219:1 gene:Vigun09g000700.v1.2 transcript:Vigun09g000700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWMQTKIGGKQDNRKPDTYTTTTYDGKQEGREEFSDWPDGLLAIGTFGNNKEAKEKCITTKEEPSSSEQIPDFTPEEIGKLQKELTKLLRQKPKVEKEIAHLPLDRFLNCPSSLEVDRRISNVLCSDSENKDDEEEVGKQEREEEEDDDDDDEEEEDIEKTLGVILGKFKEICAKNSKKAIGKKSISFLLKKMFVCRSGFAPTPSLRDTLQLQESRMEKLLRTILHKKINSQHSSRALSLKKRLEDRKKMPMEEEAEAETDDGCKWVKTDSEYIVLEI >Vigun09g000700.4.v1.2 pep primary_assembly:ASM411807v1:9:97193:101219:1 gene:Vigun09g000700.v1.2 transcript:Vigun09g000700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWMQTKIGGKQDNRKPDTYTTTTYDGKQEGREEFSDWPDGLLAIGTFGNNKEAKEKCITTKEEPSSSEQIPDFTPEEIGKLQKELTKLLRQKPKVEKEIAHLPLDRFLNCPSSLEVDRRISNVLCSDSENKDDEEEVGKQEREEEEDDDDDDEEEEDIEKTLGVILGKFKEICAKNSKKAIGKKSISFLLKKMFVCRSGFAPTPSLRDTLQLQESRMEKLLRTILHKKINSQHSSRALSLKKRLEDRKKMPMEEEAEAETDDGCKWVKTDSEYIVLEI >Vigun08g195600.1.v1.2 pep primary_assembly:ASM411807v1:8:36175988:36180851:1 gene:Vigun08g195600.v1.2 transcript:Vigun08g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPEEAMTVVARGRLAMLAAYLRPSELADHDVLHPLALSAQFLPLNLAGTLTVVDDRTGKKYQLQVSKEGTVRASDLKKISAGKGDKGLKLYDPGYLNTAPVRSAISYIDGDEGILRYRGYPIEELAEKSTFTEVSYLIMYGNLPSESQLAEWEFAISQHSAVPQGVLDIIQSMPHDAHPMGVLVNAMSGLSVFHPDANPALRGLDIYDSKQIRDKQITRIIGKITTIAAAVYLRMAGRPPVLPSNTIPYTENFLYMLDSFGNRSYKPNPQLTRALDIIFILHAEHEMNCSTSAVRHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGTVQNIPEFIEGVKTRKKKLSGFGHRVYKNYDPRAKVLRKLAEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLSHWRESLDDPDTKIMRPQQVYVGEWLRNYMPINLRTPSNNADKLGEVTISNASKRRLAGSGV >Vigun08g195600.3.v1.2 pep primary_assembly:ASM411807v1:8:36176144:36181577:1 gene:Vigun08g195600.v1.2 transcript:Vigun08g195600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPEEAMTVVARGRLAMLAAYLRPSELADHDVLHPLALSAQFLPLNLAGTLTVVDDRTGKKYQLQVSKEGTVRASDLKKISAGKGDKGLKLYDPGYLNTAPVRSAISYIDGDEGILRYRGYPIEELAEKSTFTEVSYLIMYGNLPSESQLAEWEFAISQHSAVPQGVLDIIQSMPHDAHPMGVLVNAMSGLSVFHPDANPALRGLDIYDSKQIRDKQITRIIGKITTIAAAVYLRMAGRPPVLPSNTIPYTENFLYMLDSFGNRSYKPNPQLTRALDIIFILHAEHEMNCSTSAVRHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGTVQNIPEFIEGVKTRKKKLSGFGHRVYKNYDPRAKVLRKLAEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLSHWRESLDDPDTKIMRPQQVYVGEWLRNYMPINLRTPSNNADKLGEVTISNASKRRLAGSGV >Vigun08g195600.2.v1.2 pep primary_assembly:ASM411807v1:8:36175981:36181803:1 gene:Vigun08g195600.v1.2 transcript:Vigun08g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPEEAMTVVARGRLAMLAAYLRPSELADHDVLHPLALSAQFLPLNLAGTLTVVDDRTGKKYQLQVSKEGTVRASDLKKISAGKGDKGLKLYDPGYLNTAPVRSAISYIDGDEGILRYRGYPIEELAEKSTFTEVSYLIMYGNLPSESQLAEWEFAISQHSAVPQGVLDIIQSMPHDAHPMGVLVNAMSGLSVFHPDANPALRGLDIYDSKQIRDKQITRIIGKITTIAAAVYLRMAGRPPVLPSNTIPYTENFLYMLDSFGNRSYKPNPQLTRALDIIFILHAEHEMNCSTSAVRHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGTVQNIPEFIEGVKTRKKKLSGFGHRVYKNYDPRAKVLRKLAEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLSHWRESLDDPDTKIMRPQQVYVGEWLRNYMPINLRTPSNNADKLGEVTISNASKRRLAGSGV >Vigun09g236600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40723902:40725733:1 gene:Vigun09g236600.v1.2 transcript:Vigun09g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVVRRISECFVKPNDLTQVSNQICNLTPWDISMLSVHYIQKGLLFKKPATLVDEHDFIQNLLEKLKHSLSLTLSHFYPLSGRLVTHKTQNPPSYTVSVDCKNSDGARFIYATLDVTISELLSPVDTPPIFHSFFDHHKAVNHDGHTMPLLSIQVTELVDGVFIGGSMNHSVGDGTSYWNFFNTWSEIFEAQSQGQEYDVPILRQPIHNRWFPNDCSPPINLPFKHHDEFISRYEAPLVRERIFHFSAESIGKLKARANSESNSETTKISSFQSLSALVWRSIIRACSLAYEQKTRFNLTANNRGRMEPPLADEYFGNSVDKLSAETTVGELLENDLGWAAEKLNHAVTRHNDRKVLQLVQEWLQCPVIKKTSQPLEPYVVLISSSPRFNMYGSEFGMGKAVAVRSGYANKFDGKVTSFPGREGGGSIDLEICLLPHIMTSLESDMEFMNAVSVSNSLC >Vigun10g079000.1.v1.2 pep primary_assembly:ASM411807v1:10:21576098:21579723:-1 gene:Vigun10g079000.v1.2 transcript:Vigun10g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKERATLTWRKIPDLKSSRNDVVPPLRDGVAVLRLAPGSGARCNFASMSVAERKFEREFSPTAAQLLQHPLAFGALVPRDAALFFAGAIAGAAAKSVTAPLDRIKLLMQTHGVRVGQESAKRAIGFIEAITVIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYEIYKKIFEGKDGELSVVGRLAAGAFAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALSMLREEGFASFYYGLGPSLIAIAPYIAVNFCVFDLLKKSLPEKYQKRTETSLLTAVISSSLATLTCYPLDTVRRQMQLKGTPYKTVLDAISGIVARDGIVGLYRGFVPNALKTLPNSSIRLTTYDIAKRLIAASEKEFQTITEENRNKKKPQ >Vigun09g058100.1.v1.2 pep primary_assembly:ASM411807v1:9:5875932:5877052:-1 gene:Vigun09g058100.v1.2 transcript:Vigun09g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVYLYHPHCVTISINGKHAHKLSPTHYYTTPSSHNSSNENENHAILAFPLASSERCNPHDKKALLQLKNDLNNPYILASWDPNVDCCEWYAVKCDEETNRIYDLDISSSDPDPDVSGPIPPSVGDLPYLQFLTFHHLPNLLGPIQPTIAKLTKLRFLIITNTGISGPVPDFLSQLTNLELIKLSFNSLSGSIPISLYQLPKLTSLQLDRNQLTGPIPGSFGSFNKPGPDLILSHNQLSGPIPASLGNLDPERIDFSRNKLEGDASSVIWLDLNHNKIYGSIPVALTKVENLQHLNVSYNRLCGEIPQGGELQRFDEFSYLHNKCLCGTPLPPCNSKAYI >Vigun04g160100.1.v1.2 pep primary_assembly:ASM411807v1:4:38233149:38237865:1 gene:Vigun04g160100.v1.2 transcript:Vigun04g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRRLFGGAKKHHHTPPPSEGSAPKPAKDKKTWNFVKQSTRYKSTTLSSLNNFDPSTSSAPFSDSLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGNGPPAGRPAPAYHPRLAAENTAAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTTDMLRRMQTLVRLQSRARATRGNLSDNMHSFKSSLSHYPVPDDYQHSLYGYSTKFDGSVLKRCSSNANFRDVDLEKARFGSHWLDNWMEENSWSQTRDGSLKTCHLDDEKSDKILEVDTWKPHLNSHHYLSSDYNNNENFVAYESPSKRSSREGVLPFGSLKLHRGKEEASLRNVEDSPQAFSASSRHGSGSRRGPFTPTKSECAWSVFSGYSGHPNYMSNTESSRAKVRSHSAPRQRIEFERYGSTRRSLQGFWDAGPSSDRDSTTNTTSLNRIGSANLR >Vigun04g160100.2.v1.2 pep primary_assembly:ASM411807v1:4:38234068:38237865:1 gene:Vigun04g160100.v1.2 transcript:Vigun04g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFDELEFSPSSLSTMVGHADIGSFNEHTEAPIMHGFPLCIYVFSNDYTHHPPLSSCLFHFYILHLSDISHLFIRARRALRALKALVKLQALVRGHIVRKQTTDMLRRMQTLVRLQSRARATRGNLSDNMHSFKSSLSHYPVPDDYQHSLYGYSTKFDGSVLKRCSSNANFRDVDLEKARFGSHWLDNWMEENSWSQTRDGSLKTCHLDDEKSDKILEVDTWKPHLNSHHYLSSDYNNNENFVAYESPSKRSSREGVLPFGSLKLHRGKEEASLRNVEDSPQAFSASSRHGSGSRRGPFTPTKSECAWSVFSGYSGHPNYMSNTESSRAKVRSHSAPRQRIEFERYGSTRRSLQGFWDAGPSSDRDSTTNTTSLNRIGSANLR >Vigun04g160100.3.v1.2 pep primary_assembly:ASM411807v1:4:38234068:38237865:1 gene:Vigun04g160100.v1.2 transcript:Vigun04g160100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMQTLVRLQSRARATRGNLSDNMHSFKSSLSHYPVPDDYQHSLYGYSTKFDGSVLKRCSSNANFRDVDLEKARFGSHWLDNWMEENSWSQTRDGSLKTCHLDDEKSDKILEVDTWKPHLNSHHYLSSDYNNNENFVAYESPSKRSSREGVLPFGSLKLHRGKEEASLRNVEDSPQAFSASSRHGSGSRRGPFTPTKSECAWSVFSGYSGHPNYMSNTESSRAKVRSHSAPRQRIEFERYGSTRRSLQGFWDAGPSSDRDSTTNTTSLNRIGSANLR >Vigun04g021800.1.v1.2 pep primary_assembly:ASM411807v1:4:1656697:1658821:-1 gene:Vigun04g021800.v1.2 transcript:Vigun04g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGYVEFDESPPDDFDPANPYKDPVAMLEMREYIVREKWIQIEKAKIIREKLRWCYRIEGINHLQKCRHLVNQYLESTRGIGWGKDGRHPSLHAPKVEPVEE >Vigun04g031200.3.v1.2 pep primary_assembly:ASM411807v1:4:2499008:2504242:-1 gene:Vigun04g031200.v1.2 transcript:Vigun04g031200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFALYGIGSAIFRTRRTAPPVAVNSSFIFFKPIPFTPPQFLRRTSSSSAAAETLPSVDHPWSEWVSFVDRLNAKGYLSKPSSSDDTVSLYTDMNSLKDACLSFGRDRYDLIKLLRMSDIQAVVEGGCPNLLRKAVNSAKRLRAHLQLDEGDVCGACNLRSSCDRAYVILKNFETDARTVDIVRILLFYALDPLVLSGGDKPSGREVIESSARKLLCQLIELSESPTPAPAPAITRSKPTAQDAVGEGRSLSVKSNQLSKDVEMKKGDWICPKNIQCLNCNDDKPKDINPPMVQMKQGDWTCPECDFLNFARNTRCLKCKTEGPTKEANTITDEVERKKGDWTCPQCGFMNYARNTKCLRCPETRPKKHPGDWNCTKCGFMNFASKMKCLHCQEPNPSPKKYPGDWGCPKCDFYNYARNLSCLKCNTERPKEQPTTDYFSDHEWRWNSHGDKCLQTHSE >Vigun04g031200.1.v1.2 pep primary_assembly:ASM411807v1:4:2498859:2504336:-1 gene:Vigun04g031200.v1.2 transcript:Vigun04g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFALYGIGSAIFRTRRTAPPVAVNSSFIFFKPIPFTPPQFLRRTSSSSAAAETLPSVDHPWSEWVSFVDRLNAKGYLSKPSSSDDTVSLYTDMNSLKDACLSFGRDRYDLIKLLRMSDIQAVVEGGCPNLLRKAVNSAKRLRAHLQLDEGDVCGACNLRSSCDRAYVILKNFETDARTVDIVRILLFYALDPLVLSGGDKPSGREVIESSARKLLCQLIELSESPTPAPAPAITRSKPTAQDAVGEGRSLSVKSNQLSKDVEMKKGDWICPKCNFMNFSRNIQCLNCNDDKPKDINPPMVQMKQGDWTCPECDFLNFARNTRCLKCKTEGPTKEANTITDEVERKKGDWTCPQCGFMNYARNTKCLRCPETRPKKHPGDWNCTKCGFMNFASKMKCLHCQEPNPSPKKYPGDWGCPKCDFYNYARNLSCLKCNTERPKEQPTTDYFSDHEWR >Vigun04g031200.2.v1.2 pep primary_assembly:ASM411807v1:4:2499008:2504242:-1 gene:Vigun04g031200.v1.2 transcript:Vigun04g031200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFALYGIGSAIFRTRRTAPPVAVNSSFIFFKPIPFTPPQFLRRTSSSSAAAETLPSVDHPWSEWVSFVDRLNAKGYLSKPSSSDDTVSLYTDMNSLKDACLSFGRDRYDLIKLLRMSDIQAVVEGGCPNLLRKAVNSAKRLRAHLQLDEGDVCGACNLRSSCDRAYVILKNFETDARTVDIVRILLFYALDPLVLSGGDKPSGREVIESSARKLLCQLIELSESPTPAPAPAITRSKPTAQDAVGEGRSLSVKSNQLSKDVEMKKGDWICPKCNFMNFSRNIQCLNCNDDKPKDINPPMVQMKQGDWTCPECDFLNFARNTRCLKCKTEGPTKEANTITDEVERKKGDWTCPQCGFMNYARNTKCLRCPETRPKKHPGDWNCTKCGFMNFASKMKCLHCQEPNPSPKKYPGDWGCPKCDFYNYARNLSCLKCNTERPKEQPTTDYFSDHEWRWNSHGDKCLQTHSE >Vigun04g031200.4.v1.2 pep primary_assembly:ASM411807v1:4:2499134:2504242:-1 gene:Vigun04g031200.v1.2 transcript:Vigun04g031200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFALYGIGSAIFRTRRTAPPVAVNSSFIFFKPIPFTPPQFLRRTSSSSAAAETLPSVDHPWSEWVSFVDRLNAKGYLSKPSSSDDTVSLYTDMNSLKDACLSFGRDRYDLIKLLRMSDIQAVVEGGCPNLLRKAVNSAKRLRAHLQLDEGDVCGACNLRSSCDRAYVILKNFETDARTVDIVRILLFYALDPLVLSGGDKPSGREVIESSARKLLCQLIELSESPTPAPAPAITRSKPTAQDAVGEGRSLSVKSNQLSKDVEMKKGDWICPKNIQCLNCNDDKPKDINPPMVQMKQGDWTCPECDFLNFARNTRCLKCKTEGPTKEANTITDEVERKKGDWTCPQCGFMNYARNTKCLRCPETRPKKHPGDWNCTKCGFMNFASKMKCLHCQEPNPSPKKYPGDWGCPKCDFYNYARNLSCLKCNTERPKEQPTTDYFSDHEWR >Vigun01g186600.1.v1.2 pep primary_assembly:ASM411807v1:1:36668565:36669513:-1 gene:Vigun01g186600.v1.2 transcript:Vigun01g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGGPGPGGGPGWGPGPGGPGWGPGPGGPGWGPGGPGWGPGGPGFFGPGGFFGGCADGICGLLSSCFYCLCCCCLFRDCFGPAMPPPPF >Vigun01g122600.1.v1.2 pep primary_assembly:ASM411807v1:1:29947478:29950841:-1 gene:Vigun01g122600.v1.2 transcript:Vigun01g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFYTSCLSSTSNLGTCWLVLKTHNARALPGKLPGTGMRRLAIRAEVEFVTADKARELVEVNGYSVLDVRDKNQFERAHIKSCSHVPLFIENKDNDPGTIIKRQLHNNFSGLFYGLPFTKPNPDFVQSVKTQFPPESKLLVVCQEGLRSTAAAEKLEKAGYENIACIISGLQTVKPGTFESVGSTELENAGKAGLVTIQGKISTVLGTILVCAYLFVTFFPDQAEKLFQLAPTG >Vigun07g074450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9582181:9583232:1 gene:Vigun07g074450.v1.2 transcript:Vigun07g074450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIREFVNVWHKCDLVVHCSDERSLVALVPDLLTFTSAYCRFMFVVVLDSWCRCAHGISLRQWCFASINMVSNCCGFSWRGSLKEMVSFFVVSLSLVVRVDEGFGSDAASLGGSWWKKGFVGICI >Vigun09g191400.1.v1.2 pep primary_assembly:ASM411807v1:9:36602797:36607876:1 gene:Vigun09g191400.v1.2 transcript:Vigun09g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSKTKSMTFICLFLFMLNFHSSHGDPKEVQLLLSLKASIDDPLHFLSNWETSSATSCRWHGVTCDNNVNASHVNAVVLSGKNMTGEVSSIFQLPYVANIDLSNNQFVGEITFNYSLNSLSPLRYLNLSNNNFTGSLPQPLFSVLFSNLETLDLSNNMFSGNIPHRIGLLSSLRYLDLGGNVLVGKIPNSITNMTTLQYLTLASNQLVDKIPQEIGRMKSLKWIYLGYNNLSGEIPSSIGELLSLNHLDLVYNNLTGPIPQSLGHLTELQYLFLYQNKLSGPIPQSIFELKKLISLDLSDNSLSGLISERVVQLQRLEILHLFSNNFTGKIPKGVAFLPRLQVLQLWSNGLIGEIPEELGKHSNLTVLDLSTNNLAGKIPDNICHSGSLFKLILFSNYFEGEIPKSLTSCRSLRRVRLQNNKFSGKLPPELTTLPQVYFLDISGNQLSGRIDERKWDMPSLQMLSLANNNFSGELPSSFGTQILEDLDLSNNQFSGSIPLDYKSLSELVELKLSNNKLFGNIPEEICSCKKLVALDLSHNQLSGEIPMKFSGMPVLGLLDLSENQFSGEIPQDLGSTESLVQINISHNHFRGSLPSTGAFLAINASAVTGNNLCDRDDDSSSGLPLCKSNNQNPTWLFIMLCFLLALVAFAAASLLIFYVHKRKSLSEVRKVENEDGTWEVQFFCSKAARSMKVDNVFSAVKEGRVVSKGRNWVSYEGKCLENGMQFMVMEISDLNSLSTSMWEETVKGGKVRHPNIFNLMGTCRCGKRGYLVYEHEESKKLSEIVNSLSWKQRCKIAVGVSKAIKFLHSHASSMVLVGEVAREIVRVDSKGVPRLKVTPPIMTCLPYLAQETRERKNVTEKSEIYGLGVMLIELLTGRNAIDIEAGNGMQKTIVEWARYCYSDCHVDTWIDPVMKGGDALRYEKEMVEMMNVALHCTTTDPSARPCARDVVKALESFQRTSFC >Vigun07g180700.2.v1.2 pep primary_assembly:ASM411807v1:7:29767463:29769422:1 gene:Vigun07g180700.v1.2 transcript:Vigun07g180700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMACFGLSNKRKRRKTLYKVLAGNQRYGNYEVLAITEKSTLPDSDLRDKVKEQNSNKSRKKKVTFNLNVQVYEPNPTAYQVLNNEEDEDKNSTAESESSRALPVIYPTNHRYYNCEDGHGEEDEMAYEDSDIDDYDDDDEFDDDEYDWDDGGSHGSLENYEHEMYDENPRREELSESCYRSMAEDKVKNQMPVDPNHAELKPNLGGRERSMDMHSVLSPVENLTQWKAMKTKVAASSKHRRKENVPSNQQTSMPLVPEASLNFSPCRLESNALQSKPLLPDMAVDASLSNWVVSPNYHVSSTTIHCQ >Vigun07g180700.3.v1.2 pep primary_assembly:ASM411807v1:7:29767463:29769424:1 gene:Vigun07g180700.v1.2 transcript:Vigun07g180700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMACFGLSNKRKRRKTLYKVLAGNQRYGNYEVLAITEKSTLPDSDLRDKVKEQNSNKSRKKKVTFNLNVQVYEPNPTAYQVLNNEEDEDKNSTAESESSRALPVIYPTNHRYYNCEDGHGEEDEMAYEDSDIDDYDDDDEFDDDEYDWDDGGSHGSLENYEHEMYDENPRREELSESCYRSMAEDKVKNQMPVDPNHAELKPNLGGRERSMDMHSVLSPVENLTQWKAMKTKVAASSKHRRKENVPSNQQTSMPLVPEASLNFSPCRLESNALQSKPLLPDMAVDASLSNWVVSPNYHVSSTTIHCQ >Vigun07g180700.1.v1.2 pep primary_assembly:ASM411807v1:7:29767463:29769459:1 gene:Vigun07g180700.v1.2 transcript:Vigun07g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMACFGLSNKRKRRKTLYKVLAGNQRYGNYEVLAITEKSTLPDSDLRDRDKVKEQNSNKSRKKKVTFNLNVQVYEPNPTAYQVLNNEEDEDKNSTAESESSRALPVIYPTNHRYYNCEDGHGEEDEMAYEDSDIDDYDDDDEFDDDEYDWDDGGSHGSLENYEHEMYDENPRREELSESCYRSMAEDKVKNQMPVDPNHAELKPNLGGRERSMDMHSVLSPVENLTQWKAMKTKVAASSKHRRKENVPSNQQTSMPLVPEASLNFSPCRLESNALQSKPLLPDMAVDASLSNWVVSPNYHVSSTTIHCQ >Vigun07g180700.4.v1.2 pep primary_assembly:ASM411807v1:7:29767463:29769422:1 gene:Vigun07g180700.v1.2 transcript:Vigun07g180700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMACFGLSNKRKRRKTLYKVLAGNQRYGNYEVLAITEKSTLPDSDLRDRDKVKEQNSNKSRKKKVTFNLNVQVYEPNPTAYQVLNNEEDEDKNSTAESESSRALPVIYPTNHRYYNCEDGHGEEDEMAYEDSDIDDYDDDDEFDDDEYDWDDGGSHGSLENYEHEMYDENPRREELSESCYRSMAEDKVKNQMPVDPNHAELKPNLGGRERSMDMHSVLSPVENLTQWKAMKTKVAASSKHRRKENVPSNQQTSMPLVPEASLNFSPCRLESNALQSKPLLPDMAVDASLSNWVVSPNYHVSSTTIHCQ >Vigun10g146200.1.v1.2 pep primary_assembly:ASM411807v1:10:36428057:36431339:-1 gene:Vigun10g146200.v1.2 transcript:Vigun10g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSWTRIWPSFVRLCLLNLVWNFKITALADDTGNGGAATENTCKNTNYQTFLPPPYQNISHMICTPVWHTFELRYIQNGDTTTMIVSAPYTIGWVGIGFSKDGMMVGSSAMVGWISKHGHGKIKQFYLRGRRQSEVIIDKGELPLNNVPAAVATNGAEIHLAFQLQTTTPFQKQPILLAFGSKYPQNHHLSTHEDKTAIVFDFSAGSTSPVPHELNQKRTKHGILGIIGWGLILPVGAIIARYFRHKDPLWLYLHAIIQFVGFTFGLSTVILGLQLYSKMHAHIQAHRGIGIFVLVLSILQIFALILRPKKDSKIRKIWNWYHSWFGRLALIFAAINIVLGMQAAGAGSDWKIGYGFLFGIMVTAAIILEVLAYLKRSEIRSLPPNFQIDPVGGATFPRGM >Vigun10g146200.2.v1.2 pep primary_assembly:ASM411807v1:10:36428057:36431339:-1 gene:Vigun10g146200.v1.2 transcript:Vigun10g146200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSWTRIWPSFVRLCLLNLVWNFKITALADDTGNGGAATENTCKNTNYQTFLPPPYQNISHMICTPVWHTFELRYIQNGDTTTMIVSAPYTIGWVGIGFSKDGMMVGSSAMVGWISKHGHGKIKQFYLRGRRQSEVIIDKGELPLNNVPAAVATNGAEIHLAFQLQTTTPFQKQPILLAFGSKYPQNHHLSTHEDKTAIVFDFSAGSTSPVPHELNQKRTKHGILGIIGWGLILPVGAIIARYFRHKDPLWLYLHAIIQFVGFTFGLSTVILGLQLYSKMHAHIQAHRGIGIFVLVLSILQIFALILRPKKDSKIRKIWNWYHSWFGRLALIFAAINIVLGMQAAGAGSDWKIGYGFLFGIMVTAAIILEVLAYLKRSEIRSLPPNFQIDPVGGATFPRGM >Vigun10g156200.1.v1.2 pep primary_assembly:ASM411807v1:10:37591821:37596954:-1 gene:Vigun10g156200.v1.2 transcript:Vigun10g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLVVFPIRGRNWCFTRAIDHSVCATPVFSQSPSTLKDLWKNVATKPVNIKAELCVDFIANKMNKAWTSLEKSKEGSLKKKIHGLGLWLLSQVPPSEIFLKSISKEITGVEVIYPSSLKAQLVHRRLRHIALRGSIIHRKYFYCSVSLIPLTSALSILPLPNVPFFWVLFRTYSHWRAMQGSEKLIELSSKTSQTRTDRKETEHKDSTSQSHHKSHEQSWVLRPSRELDQLIHVGEDEGLSRHTIMKICKIYSLNTTDVIKYEKDKSYM >Vigun08g126300.1.v1.2 pep primary_assembly:ASM411807v1:8:29634132:29639251:-1 gene:Vigun08g126300.v1.2 transcript:Vigun08g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTAATLTLTPPRWRWRHHHRPIPRSFSCRMLPRSRGSGLFRNGVLGATCGAASRSNRLNVSPWDDKPFRILPSGKRTYLDEQDVVTFIDPPKDLIPLDPSSYNAAAYLWKKIEDIPEERRHRLLRLLKPRLVSMAWQIAGTRYEDPKLVKKSASTLLSSSNSDDVVVEYYNCRTSGGPTIISWINSFRKAIFTCKDGEAYGRIINGSLLAAFADSFSPLYFAVRQLNEVMSTEQPCDLAYEFGDGLYDIKELPSGFPRSAKHPYPFNDQIVVYVRHLGPGVSVGQAWQEGTKLEQVPRKLCGEILMVKDYTSLQEDQ >Vigun03g380000.1.v1.2 pep primary_assembly:ASM411807v1:3:58470679:58475768:1 gene:Vigun03g380000.v1.2 transcript:Vigun03g380000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDIFQTISFSNKLDSSQQNDIAGIQGEKNSKEPVSLARGAMGFEKKIGKYQLVRTIGEGSFSKVKLAVNGNNGQKVAIKVIDKHMILENNLKTQVKREIRTMKLLHHPNIVSIHEVIGTKTKIYIVMEYVTGGQLLDKLSYGEKLDEREARKLFQQLIDALEYCHNKGVYHRDLKPENLLLDSKGNLKVSDFGLSALQKCNDVLTTRCGSPCYVAPELLLSKGYNGAAADVWSCGVILFELLAGYLPFNDQNLMNLYGKIWKSEYKCPTWFTRSQKKLIAKILEPRAAKRITISDIIEDPWFQKDYKPVCASEFDQNINLEDVDVAFNSIQENVRETTVSKSSSFINAFQLIAMSQDLDLSGLFVEQEEKKQTKRMGSKHTINETMEKIEAAATDARLSVEKISNFKIKLQPKQTMATTRCSRSYLSAQVIEVAPTHCVVEISKSTGDIRTYNKFCESLSNLVKQKPGVPSQSEDSVDQCASERKKHDAGCYEEPKSEDRKLFRGYESS >Vigun11g076300.1.v1.2 pep primary_assembly:ASM411807v1:11:22489697:22491635:-1 gene:Vigun11g076300.v1.2 transcript:Vigun11g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAFPPSLLSPYTQKCKLSNCHTGPTSDLTSSRRRVDEDHFPLSLLGQVFVFVGDGKGTMWSLDTSKGIVEIGKLGDYVTMPIILTFANNPRNLSKFIRNVVVNKLNRNGFQGNEGISCRGN >Vigun11g076300.2.v1.2 pep primary_assembly:ASM411807v1:11:22489697:22491635:-1 gene:Vigun11g076300.v1.2 transcript:Vigun11g076300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAFPPSLLSPYTQKCKLSNCHTGPTSDLTSSRRRVDEDHFPLSLLGQVFVFVGDGKGTMWSLDTSKGIVEIGKLGDYVTMPIILTFANNPRNLSKFIRNDHWVAMVVVNKLNRNGFQGNEGISCRGN >Vigun03g203500.2.v1.2 pep primary_assembly:ASM411807v1:3:32709818:32711364:-1 gene:Vigun03g203500.v1.2 transcript:Vigun03g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNPAIHTTTHIVTSDSHGLSDSRTIRERVCAALMPLMVAVAGCFGYCSMQKHKRCSYTAEDFATLAAATRFTIKEVEALHVLFKRLSSSLIDDGFIHKVTSFPIFLLLLSNILLLSHNFPLPFVLSFIVNYFKNISI >Vigun03g203500.3.v1.2 pep primary_assembly:ASM411807v1:3:32702095:32711448:-1 gene:Vigun03g203500.v1.2 transcript:Vigun03g203500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNPAIHTTTHIVTSDSHGLSDSRTIRERVCAALMPLMVAVAGCFGYCSMQKHKRCSYTAEDFATLAAATRFTIKEVEALHVLFKRLSSSLIDDGFIHKKQT >Vigun03g203500.1.v1.2 pep primary_assembly:ASM411807v1:3:32702049:32711448:-1 gene:Vigun03g203500.v1.2 transcript:Vigun03g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISNPAIHTTTHIVTSDSHGLSDSRTIRERVCAALMPLMVAVAGCFGYCSMQKHKRCSYTAEDFATLAAATRFTIKEVEALHVLFKRLSSSLIDDGFIHKM >Vigun09g120900.1.v1.2 pep primary_assembly:ASM411807v1:9:26830350:26836023:-1 gene:Vigun09g120900.v1.2 transcript:Vigun09g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFMTSTQRAKWIFTSQDLIMKHREANQRARQILGEYGTTLMEVDGNGSLSYPEPRNTTKDNAEKHSGTKPLSIEQEKCVRASYEILVQNICKGFNLPRKVKATALIFFKRFYLKWSVMEHQPKHIMLACIYAACKIEENYVSAEELSKAEVSRDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFINDMEEFCNCYVGDDQLQRLKTLQEKADLEVDKIMLTDASLLFPPGQLALAALRNANAVHKVIDFDSYLTSIFSRQSSMHTMSELSESLNSIDDWVSKYECPSDKELKYIGRKLKSCWGLSSHDERKKREKKSKPKSEKSSKEAQSSMASPV >Vigun01g180500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36142751:36143512:-1 gene:Vigun01g180500.v1.2 transcript:Vigun01g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGWKANTVEISPNCPRCGSSNTKFCYYNNYSSTQPRYFCKGCRRYWTKGGSLRNVPIGGGCRKSRRGKYNKALRQAHSPVGLSDDLRPSSSVVSDGPNIDLAQVYASFLNVKPDEVQTVSPEYSSIVNTSALSEEHGRLHFHEQPRESHFGEDIEMYFCGLNSIQKHQEYSSSHDTRVTKTNFELPPLPGEVAETSHGNVLWSNSEMMVDHALQATQWPLLGPEAYDADFFMGNCWSHFDFPRDASFSTP >Vigun03g264600.2.v1.2 pep primary_assembly:ASM411807v1:3:43384199:43386137:-1 gene:Vigun03g264600.v1.2 transcript:Vigun03g264600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQVLHMNGGVGDASYANNSLVQAISLAKPIREEAITSLYCSRLPRSLAIADLGCSSGPNTLFVVSEVIKTVEQLCRKLNHKSPEYRVFLNDLPGNDFNNIFMSIHSFKEKLQTEVEGGIGPCYFSGVPGSFYARAFPNQSLHFVHSSYSLQWLSKVPEGVDNNKGNIYLSRTSPSNVVRAYYEQFQRDFSFFLKCRAEELVEGGRMVLTILGRRNEDPCSKDGCYIWELMATALNDMVSEGIIKEEQVDSFNIPQYTPSPSEVKLEVLKEGSFAMNRVEVSAVNWNGFDDWNALDFESERFESIGDGGYNVAQCMRAVAEPMLVSHFGEDIIEEVFSRYQQILADRMSKEKTQFFNVTVLLTRKE >Vigun03g264600.1.v1.2 pep primary_assembly:ASM411807v1:3:43384199:43386137:-1 gene:Vigun03g264600.v1.2 transcript:Vigun03g264600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQVLHMNGGVGDASYANNSLVQQKAISLAKPIREEAITSLYCSRLPRSLAIADLGCSSGPNTLFVVSEVIKTVEQLCRKLNHKSPEYRVFLNDLPGNDFNNIFMSIHSFKEKLQTEVEGGIGPCYFSGVPGSFYARAFPNQSLHFVHSSYSLQWLSKVPEGVDNNKGNIYLSRTSPSNVVRAYYEQFQRDFSFFLKCRAEELVEGGRMVLTILGRRNEDPCSKDGCYIWELMATALNDMVSEGIIKEEQVDSFNIPQYTPSPSEVKLEVLKEGSFAMNRVEVSAVNWNGFDDWNALDFESERFESIGDGGYNVAQCMRAVAEPMLVSHFGEDIIEEVFSRYQQILADRMSKEKTQFFNVTVLLTRKE >Vigun03g193200.1.v1.2 pep primary_assembly:ASM411807v1:3:26909169:26912104:-1 gene:Vigun03g193200.v1.2 transcript:Vigun03g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTNPRPDHQEGSRTTRVMAHLRDSLVSQLKNVDPSLSLHARHSSLIEHRLRDLFPAFITPTHPPYALMIRSAILGLEDEAGSTEEAISEFIKKEYSDLPWAHDRILGLQLEKLCEIGEIARVSGWRYVLKAEDEVKEQCEGSEKKKRGKRRSNKRGEAESAEEECKKGRVLELGKQSQQHQEVEPQRGFVESKNQDCSLQMDTTCSSPPPKELECGQLSVPDGTVSTEIKLPPTQMQHEVSSDIDLGRLSRRKASSTLVNVHDELQHYTEERSKGTQDEDTMSWDFEQRPPKRLRGRPRKLQTVANCPVVSLLPSDDGNLHEHKPNINEMKKQDQYGGGGGSRGLGRFGQGRGRGRPPTLSRKANQCDEQCDEQLQHGQSTHRNRGRGRGRGRGRRGRPPKLNENPIPYEKQDDQRQHKERHVRARGRGWLPKPNQHTNVCEDQLQSQADNQSHELASEEAKSPDELRQESEPKYGSGEVSRSKGEEKDNPWRLMPVQRKVQGIDGCWPLSQSQK >Vigun08g011500.1.v1.2 pep primary_assembly:ASM411807v1:8:1004746:1005999:1 gene:Vigun08g011500.v1.2 transcript:Vigun08g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGTVIAVHTVESWKEEFQKGKDSKKLVVVDFTASWCGPCRFIAPILAEIAKKMPEVTFLKVDVDELKSVAEEWSIEAMPTFLFFKEGEQVDKVLGAKKDPLVATITKHATAVA >Vigun07g017000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1450322:1450600:-1 gene:Vigun07g017000.v1.2 transcript:Vigun07g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTLSARNQASSKVVDAPKGYLAVYVGENMKRFVIPVSHLNQPLFQDLLCQAEEEFGYDHPMGGLTIPCSEDVFQHITSCLNAQ >Vigun09g085700.2.v1.2 pep primary_assembly:ASM411807v1:9:11186674:11190747:1 gene:Vigun09g085700.v1.2 transcript:Vigun09g085700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKMTGNVANGVHVEGEGNEMNVNPDSLSKETFSQGKGEGGTANFGVDGVVEPSIEQLYENVCDMQSSDQSPSRQSFGSDGDESRIDSELRHLVGGRMREVEIMEEEVGEEKGHDRSSSSEISSGLDGLTNDKKLDQVNEIQEVQPAATSSGSSVKSVKASSSRIGPDTSPKSTAKGKSPAPKPPIERKNGRPSRKQSSGVSGVTGVKNLKNSPLGNKSVSQNRVEKRAESVLDRPERAPILLKQARDMISFGDSPYKALDLALQAMKLFEKLGDEKPSLELVMCLHVISAIHCSLGQYGEAIPILERSIEIPVIGESQEHALAKFAGHMQLGDTYAMLGQLENSIKCYTTGLEVQKQVLGDTDPRVGETCRYVAEANVQALRFDEAERLCQMALDIHKANNSAPSLEEAADRRLMGLVCDTKGNHEAALEHLVLASMAMVANGQEGDVASVDCSIGDAYLSLSRYDEAIFAYQKALTAFKTNKGENHPAVGFVFVRLADLYNRIGKIRESKSYCESALKIYENPLPGVPLEEIASGLTNISTIYESMNELERALKLLQKALEIYNDVPGQQSTIAGIEAQMGVMYYMLGNFSESYETLKTAISKLRAIGEKKSSFFGIALNQMGLACVQRYALSEATELFEEAKSILEQEYGPYHPETLGVCSNLAGTYDAIGRMDDAIQILEYVVSAREEKLGTANPDVDDEKKRLGELLKEAGRVRSRKGRSLENLLDGNAHTVNNVVIRV >Vigun09g085700.3.v1.2 pep primary_assembly:ASM411807v1:9:11186675:11190690:1 gene:Vigun09g085700.v1.2 transcript:Vigun09g085700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIMEELKMTGNVANGVHVEGEGNEMNVNPDSLSKETFSQGKGEGGTANFGVDGVVEPSIEQLYENVCDMQSSDQSPSRQSFGSDGDESRIDSELRHLVGGRMREVEIMEEEVGEEKGHDRSSSSEISSGLDGLTNDKKLDQVNEIQEVQPAATSSGSSVKSVKASSSRIGPDTSPKSTAKGKSPAPKPPIERKNGRPSRKQSSGVSGVTGVKNLKNSPLGNKSVSQNRVEKRAESVLDRPERAPILLKQARDMISFGDSPYKALDLALQAMKLFEKLGDEKPSLELVMCLHVISAIHCSLGQYGEAIPILERSIEIPVIGESQEHALAKFAGHMQLGDTYAMLGQLENSIKCYTTGLEVQKQVLGDTDPRVGETCRYVAEANVQALRFDEAERLCQMALDIHKANNSAPSLEEAADRRLMGLVCDTKGNHEAALEHLVLASMAMVANGQEGDVASVDCSIGDAYLSLSRYDEAIFAYQKALTAFKTNKGENHPAVGFVFVRLADLYNRIGKIRESKSYCESALKIYENPLPGVPLEEIASGLTNISTIYESMNELERALKLLQKALEIYNDVPGQQSTIAGIEAQMGVMYYMLGNFSESYETLKTAISKLRAIGEKKSSFFGIALNQMGLACVQRYALSEATELFEEAKSILEQEYGPYHPETLGVCSNLAGTYDAIGRMDDAIQILEYVVSAREEKLGTANPDVDDEKKRLGELLKEAGRVRSRKGRSLENLLDGNAHTVNNVVIRV >Vigun09g085700.1.v1.2 pep primary_assembly:ASM411807v1:9:11186674:11190722:1 gene:Vigun09g085700.v1.2 transcript:Vigun09g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIMEELKMTGNVANGVHVEGEGNEMNVNPDSLSKETFSQGKGEGGTANFGVDGVVEPSIEQLYENVCDMQSSDQSPSRQSFGSDGDESRIDSELRHLVGGRMREVEIMEEEVGEEKGHDRSSSSEISSGLDGLTNDKKLDQVNEIQEVQPAATSSGSSVKSVKASSSRIGPDTSPKSTAKGKSPAPKPPIERKNGRPSRKQSSGVSGVTGVKNLKNSPLGNKSVSQNRVEKRAESVLDRPERAPILLKQARDMISFGDSPYKALDLALQAMKLFEKLGDEKPSLELVMCLHVISAIHCSLGQYGEAIPILERSIEIPVIGESQEHALAKFAGHMQLGDTYAMLGQLENSIKCYTTGLEVQKQVLGDTDPRVGETCRYVAEANVQALRFDEAERLCQMALDIHKANNSAPSLEEAADRRLMGLVCDTKGNHEAALEHLVLASMAMVANGQEGDVASVDCSIGDAYLSLSRYDEAIFAYQKALTAFKTNKGENHPAVGFVFVRLADLYNRIGKIRESKSYCESALKIYENPLPGVPLEEIASGLTNISTIYESMNELERALKLLQKALEIYNDVPGQQSTIAGIEAQMGVMYYMLGNFSESYETLKTAISKLRAIGEKKSSFFGIALNQMGLACVQRYALSEATELFEEAKSILEQEYGPYHPETLGVCSNLAGTYDAIGRMDDAIQILEYVVSAREEKLGTANPDVDDEKKRLGELLKEAGRVRSRKGRSLENLLDGNAHTVNNVVIRV >Vigun07g225100.1.v1.2 pep primary_assembly:ASM411807v1:7:34708549:34729229:1 gene:Vigun07g225100.v1.2 transcript:Vigun07g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQHTLLLRLPSLPSHSLTTIHLPITKTKVIGTRRKRNRSSSFRRSNWVVPNALTMHCTSRCGSDDNNNNHNGATFRNSKLNESTFLASLMPKTEIGADRFLHAHPHYDGRGSLIAIFDSGVDPAAAGLQVTSDGKPKILDILDCTGSGDVDTSKVVKADADGCILGASGASLVINTAWKNPSGDWHVGYKLVYELFTENLTSRLKKERKKKWDEKNQEEIAKAVKQLTDFDKEHVKVEEANLKRVREDIQNKLDLLRKQSESYDDRGPAIDAVVWYDGEVWRVAIDTQSLEDDPDCGKLANFVPLTNYRTEKKYGIFSKLDACTYVVNVYNNGNVLSIVTDCSPHGTHVAGIAAAFHPEEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTSLPDYGRFVDLVNEAVNKHRLIFVSSAGNSGPALSTVGAPGGTSSNIIGVGAYVSPAMAAGAHSVVAPPSDGLEYTWSSRGPTTDGDLGISISAPGCAVAPVPTWTLQRRMLMNGTSMASPSACGGIALLLSAMKAEGIPVSPYSVRKALENTSVPIGGSPEEKLSTGQGLMQVDKCYEYIKQSQNIPNVRYQIMVHKSGKTKPWSRGIYLREASDCQQPMEWTVQVDPKFHEDAKKLEELAMFEEFIELHSSDQTVVKAPEYLLLTHNGRTFNIIVDPTNLNDGLHYYELYGIDYKAPWRGPIFRIPVTVTKPMAVTDRHPLVSFSNMLFLPGHVERKYIEVPNGASWIEATMNASSFDTVRRFFVHTVQICPLRRPFARRNVITFSSPAAKSFTFRVVGGQTLELVIAQFWSSGIGSHETTRVDLEVMYHGIKVNQEEIVLDGSEAPIRIDAEALLASEKLAPVGILNKIRVPYRPIDATISSLSSDRDKLPSGKQILALTLTYKIKLDDGAEIKPQIPFLNDRIYDTKFESQFYIISDSNKKVYSSGDAYPNSTKLPKGEYNLQLYLRHDNVQILEKMKQLVLFIQRNLEEKEIIRLCFFSEPDGPVMGDSSFKSSTLVPGIKEGFYLGPPPKDKLPKNCLQGSVLVGSISYGKLSFAGLQDGKSPEKHPVSHRVSYIIPPNKVDEDKGKSSSLSSKKTVSERLEQEVRDTKLKVFGGLKQGSNEECLEWKELSASLKTEYPKHTPLLAKILEGLVSRSYIKDKVLHHEEIIDAANEVIDSVDKEELAKFFALKHDPEDEEAEKTKKEMDSTRDQLAEALYQKGLALAEIASLKEVEKSLASVATEGAKQDVEKTDEQSKDDDVHPDLFKENFNELKKLVDVKCRKYGILLVTNERRNQRLGTALKVLNDIIQDDAEPAQKKFYELKLSLLEEIGWTHFCTYEREWMLVRFPPSLPLF >Vigun08g153100.1.v1.2 pep primary_assembly:ASM411807v1:8:32542708:32545063:1 gene:Vigun08g153100.v1.2 transcript:Vigun08g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLIFVLCLVIGGASPTVHCIQDTLKGDKKLEEQLKLVDKSPIKTIHTKYGDIVDCIDINKQSAFDHPLLKDHELQREPSFQKPTEKSSTNNLPNRSIFGHDGNQCSKGTVPIRRTKIEDLIRQQSLLNSSIFVQGIPGVHLAEIALSSKYGPFYGVKGRNSVYKPFVTKGQISLSHLWVQNGPVETNDKISLGWHVCPELYGDYESHLYASWTRDNYHKTGCYNLVCPGFVQTHDAIYLGEPFTIVSSYGGPTFDFLTSITRDPLTKNWWLRVKNHYIGYYPAELFSNMTTANKVGWGGRTVTPHGTLSPPMGSGHFSVLDFNHASYFRLIAYRNTSKKSYGPKHYQLEKYVDKPDCYNLFYYDNLRENLQNSIFYGGPGGNCGD >Vigun11g127500.1.v1.2 pep primary_assembly:ASM411807v1:11:33489374:33492807:1 gene:Vigun11g127500.v1.2 transcript:Vigun11g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNVENKKNLYLHAPTFIEWLKPSSSSSSSSFSFTHETIQFLPILTEKASKDEEHHHLQSFEVKEEKLEQVTVALHIGLPDSAKRHHDQVVVDDDDDEKMIFHVKEEEEEEPSKRSFHGCSFNQERRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHNPHPPFQAFEDEKECITASDEDDANAHHHHHHHA >Vigun05g065500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5674336:5674722:-1 gene:Vigun05g065500.v1.2 transcript:Vigun05g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRSVSVVWVVMLILSMVKNGMGEKVVVRATNSLGENVNLDIECTVDEGPPITLIPGTSHQWNYFFDKEFICFFQWFGAQSSGYHSFDMFVKSRDKASNLSWFIKPDGPCRVAPDGSSLCFRWKT >Vigun03g186100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24666180:24666929:-1 gene:Vigun03g186100.v1.2 transcript:Vigun03g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAALASKLIAVWHATGREIARHCGTDAAQFLLIEGGSCALLLSLAVLAVTVLLPLNISGGTAVIDDGFSRTTITNIEKGSSLLWIHFLFAVVMVFLVHFGISVTEERLRGHPWPLTFTGRTWGRRGCR >Vigun11g075000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:21866320:21866568:1 gene:Vigun11g075000.v1.2 transcript:Vigun11g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYAEALHNYYEAMRLEIDPCDRSYILYNIGLIHTSNEEHTKALEYYFRALERNPFLPQAFNNMVVICHYVRLSPI >Vigun02g163200.1.v1.2 pep primary_assembly:ASM411807v1:2:30806058:30807600:1 gene:Vigun02g163200.v1.2 transcript:Vigun02g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFIILFVFCVLFLQSSVLEVTHARFVQPTKCGDSGPDIHYPYQIKDQHQHCESPSGFELLCRDNLTTIHFPSYGDLEVKSITYDTRNIHLLDPKKCVHRVFLNLNLSLTPFHYFYALKNYTYLNCSTTLPPPFLEIPCLSAASYHVYTVDPALPVPGSCAGLKTVAIPFAYSPYLSDSKLGLRLTWDMREPQDRGTTNGARGSHTSRNTVIGFSMFVFVMAMLLLISTKIRVAARNNHQKEGQLLHS >Vigun03g181200.3.v1.2 pep primary_assembly:ASM411807v1:3:23095263:23099688:1 gene:Vigun03g181200.v1.2 transcript:Vigun03g181200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLGFLNENAKRYIRQLPLYLRQSFQEKFPHVHPEAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Vigun03g181200.2.v1.2 pep primary_assembly:ASM411807v1:3:23095263:23099688:1 gene:Vigun03g181200.v1.2 transcript:Vigun03g181200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNFCLVFVLLCVNSSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLGFLNENAKRYIRQLPLYLRQSFQEKFPHVHPEAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Vigun03g181200.1.v1.2 pep primary_assembly:ASM411807v1:3:23095263:23099675:1 gene:Vigun03g181200.v1.2 transcript:Vigun03g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGAAPPADTVMSDAAPHPEPHQPPPAMGIDNIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEADLGFLNENAKRYIRQLPLYLRQSFQEKFPHVHPEAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Vigun03g046300.2.v1.2 pep primary_assembly:ASM411807v1:3:3703756:3708287:1 gene:Vigun03g046300.v1.2 transcript:Vigun03g046300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYPQLPTSPQNQCLTSKRETYTLWLKSLVFHSNGCTVYDSNGDIVYRVDNYDRKGRREVNLMDLRGKVLCTIKKRLLALGCWEGHRCISSDFGTQEQPWFQVKRCNQMMKGKVACQISVGCQKYSIVRISGKAEAFRIVNIDGQIVAEAKQKHSPSGVVLSNDVLTLDLAAGTDHSLIMALITVYGLICGIM >Vigun03g046300.1.v1.2 pep primary_assembly:ASM411807v1:3:3705908:3708287:1 gene:Vigun03g046300.v1.2 transcript:Vigun03g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYPQLPTSPQNQCLTSKRETYTLWLKSLVFHSNGCTVYDSNGDIVYRVDNYDRKGRREVNLMDLRGKVLCTIKKRLLALGCWEGHRCISSDFGTQEQPWFQVKRCNQMMKGKVACQISVGCQKYSIVRISGKAEAFRIVNIDGQIVAEAKQKHSPSGVVLSNDVLTLDLAAGTDHSLIMALITVYGLICGIM >Vigun05g291966.1.v1.2 pep primary_assembly:ASM411807v1:5:47817115:47821257:-1 gene:Vigun05g291966.v1.2 transcript:Vigun05g291966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSSGALKDRMTLSSSRTVYSKKEFPKKCAETSLANTIVNQIDYYFNDANLVRDEYLRFNMDEQGWVPISLIASFLV >Vigun05g046800.5.v1.2 pep primary_assembly:ASM411807v1:5:3911897:3922293:1 gene:Vigun05g046800.v1.2 transcript:Vigun05g046800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKVARKSKGNVVQSSSPEVQEEVLHLEPQGVVDWNCLPDDTVIQLLSCLSYRDRASLSSTCKTWRSLGSSPCLWTSLDLRSHRFDADMASSLAPRCVHLQKLRFRGEESANAIIHLRAKNLRELSGDYCRKISDATLSVIVARHESLESLQLGPDFCERISSDAIKAIAHCCPNLNKLRLSGIRDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVLSVRFLSVAGTSSMKWGVVSHLWHKLPYLIGLDVSRTDIGPSAVFRLLSLTQNLRVLIALNCPILEEDTSFSASKYKNKLLVSLRTDIFKGLASLFFDNTKRGKNVFLDWRTSKNNDKDLNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGGALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARKCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAASGGVQALVALAQACANASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNASNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEYALVGTPSESTSKSVSLDGARRMALKHIEAFVLMFSDPQAFAAAAASSAPAALTQVTEGARIPEAGHLRCSGAEIGRFIAMLRNSSSILKACAAFALLQFTIPGGRHAMHHANLMQNLGAPRVLRGAAAAATAPLEAKIFARIVLRNLEHHQIEHTV >Vigun05g046800.1.v1.2 pep primary_assembly:ASM411807v1:5:3911897:3922474:1 gene:Vigun05g046800.v1.2 transcript:Vigun05g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKVARKSKGNVVQSSSPEVQEEVLHLEPQGVVDWNCLPDDTVIQLLSCLSYRDRASLSSTCKTWRSLGSSPCLWTSLDLRSHRFDADMASSLAPRCVHLQKLRFRGEESANAIIHLRAKNLRELSGDYCRKISDATLSVIVARHESLESLQLGPDFCERISSDAIKAIAHCCPNLNKLRLSGIRDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVLSVRFLSVAGTSSMKWGVVSHLWHKLPYLIGLDVSRTDIGPSAVFRLLSLTQNLRVLIALNCPILEEDTSFSASKYKNKLLVSLRTDIFKGLASLFFDNTKRGKNVFLDWRTSKNNDKDLNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGGALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARKCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAASGGVQALVALAQACANASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNASNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEYALVGTPSESTSKSVSLDGARRMALKHIEAFVLMFSDPQAFAAAAASSAPAALTQVTEGARIPEAGHLRCSGAEIGRFIAMLRNSSSILKACAAFALLQFTIPGGRHAMHHANLMQNLGAPRVLRGAAAAATAPLEAKIFARIVLRNLEHHQIEHTV >Vigun05g046800.4.v1.2 pep primary_assembly:ASM411807v1:5:3911839:3922473:1 gene:Vigun05g046800.v1.2 transcript:Vigun05g046800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKVARKSKGNVVQSSSPEVQEEVLHLEPQGVVDWNCLPDDTVIQLLSCLSYRDRASLSSTCKTWRSLGSSPCLWTSLDLRSHRFDADMASSLAPRCVHLQKLRFRGEESANAIIHLRAKNLRELSGDYCRKISDATLSVIVARHESLESLQLGPDFCERISSDAIKAIAHCCPNLNKLRLSGIRDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVLSVRFLSVAGTSSMKWGVVSHLWHKLPYLIGLDVSRTDIGPSAVFRLLSLTQNLRVLIALNCPILEEDTSFSASKYKNKLLVSLRTDIFKGLASLFFDNTKRGKNVFLDWRTSKNNDKDLNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGGALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARKCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAASGGVQALVALAQACANASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNASNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEYALVGTPSESTSKSVSLDGARRMALKHIEAFVLMFSDPQAFAAAAASSAPAALTQVTEGARIPEAGHLRCSGAEIGRFIAMLRNSSSILKACAAFALLQFTIPGGRHAMHHANLMQNLGAPRVLRGAAAAATAPLEAKIFARIVLRNLEHHQIEHTV >Vigun05g046800.3.v1.2 pep primary_assembly:ASM411807v1:5:3911885:3922531:1 gene:Vigun05g046800.v1.2 transcript:Vigun05g046800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKVARKSKGNVVQSSSPEVQEEVLHLEPQGVVDWNCLPDDTVIQLLSCLSYRDRASLSSTCKTWRSLGSSPCLWTSLDLRSHRFDADMASSLAPRCVHLQKLRFRGEESANAIIHLRAKNLRELSGDYCRKISDATLSVIVARHESLESLQLGPDFCERISSDAIKAIAHCCPNLNKLRLSGIRDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVLSVRFLSVAGTSSMKWGVVSHLWHKLPYLIGLDVSRTDIGPSAVFRLLSLTQNLRVLIALNCPILEEDTSFSASKYKNKLLVSLRTDIFKGLASLFFDNTKRGKNVFLDWRTSKNNDKDLNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGGALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARKCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAASGGVQALVALAQACANASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNASNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEYALVGTPSESTSKSVSLDGARRMALKHIEAFVLMFSDPQAFAAAAASSAPAALTQVTEGARIPEAGHLRCSGAEIGRFIAMLRNSSSILKACAAFALLQFTIPGGRHAMHHANLMQNLGAPRVLRGAAAAATAPLEAKIFARIVLRNLEHHQIEHTV >Vigun05g046800.2.v1.2 pep primary_assembly:ASM411807v1:5:3911838:3922531:1 gene:Vigun05g046800.v1.2 transcript:Vigun05g046800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVRRKVARKSKGNVVQSSSPEVQEEVLHLEPQGVVDWNCLPDDTVIQLLSCLSYRDRASLSSTCKTWRSLGSSPCLWTSLDLRSHRFDADMASSLAPRCVHLQKLRFRGEESANAIIHLRAKNLRELSGDYCRKISDATLSVIVARHESLESLQLGPDFCERISSDAIKAIAHCCPNLNKLRLSGIRDVNADAINALAKYCPKLTDIGFIDCLNVDEVALGNVLSVRFLSVAGTSSMKWGVVSHLWHKLPYLIGLDVSRTDIGPSAVFRLLSLTQNLRVLIALNCPILEEDTSFSASKYKNKLLVSLRTDIFKGLASLFFDNTKRGKNVFLDWRTSKNNDKDLNEIIPWLEWMLSHTLLRSAESPQQGLDNFWVEQGGALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGIQALVDLIFKWSSSGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARKCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAASGGVQALVALAQACANASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNASNALRIVEEGGVSALVDLCSSSVSKMARFMAALALAYMFDGRMDEYALVGTPSESTSKSVSLDGARRMALKHIEAFVLMFSDPQAFAAAAASSAPAALTQVTEGARIPEAGHLRCSGAEIGRFIAMLRNSSSILKACAAFALLQFTIPGGRHAMHHANLMQNLGAPRVLRGAAAAATAPLEAKIFARIVLRNLEHHQIEHTV >Vigun08g186600.1.v1.2 pep primary_assembly:ASM411807v1:8:35584414:35589379:-1 gene:Vigun08g186600.v1.2 transcript:Vigun08g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPEKEKEKEKEQDQVVVDAGMKRYRNGEIWEFEDDLGVSDGDHGVLLGVDGGTTSTVCICMPMIPFSHSQLHSLPTLARAVAGCSNHNSVGDIAARETIEQVMADALSKCGSKRSSVRAVCLAVSGVNHPTDQQRILSWLRDIFPSHVRLYVLNDAVAALSSGTVGKLHGCVLISGTGSIAYGYTEDGKEARSAGAGPVLGDWGSAYGIAAKALTAVVRAYDGRGPSTMLASSILQKLGLSSAEEIIAWTYADPSWARIAALVPAVVICAEAGDEVANNILLESVQELASSVKAVVDRLGLCGQDGKSSFPLVMVGGVLTAHRGSWDIGKEVINCISKQFPGVIPIRPKVEPAVGAAWLAWNFIMKEYKELKNDEVNYERKM >Vigun10g135050.1.v1.2 pep primary_assembly:ASM411807v1:10:34633403:34635509:1 gene:Vigun10g135050.v1.2 transcript:Vigun10g135050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEVKILLKYWVDVKRNRVIVAEASGDLVDVLFSFLTLPLGTIIRLWNTSEQQVGHDNNSEQPGCIKKLCQKFKDILGLRSEQQVGLGCIKELYKSVNNLESDVFRNNNCQKMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCHNCLKEGSKLLVSSFSDVKCDCGSLMRKEIEMTEEPAGEDGVFVKGNAMFFIYDDLRVRRSSPSEFIKPPLKPGHKELKNYREVLLDREKILNILKQALTSKTPLSDVLFKKKSKRFVSFS >Vigun10g135050.2.v1.2 pep primary_assembly:ASM411807v1:10:34633403:34635509:1 gene:Vigun10g135050.v1.2 transcript:Vigun10g135050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEVKILLKYWVDVKRNRVIVAEASGDLVDVLFSFLTLPLGTIIRLWNTSEQQVGHDNNSEQPGCIKKLCQKFKDILGLRSEQQVGLGCIKELYKSVNNLESDVFRNNNCQKMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCHNCLKEGSKLLVSSFSDVKCDCGSLMRKEIEMTEEPAGEDGVFVKGNAMFFIYDDLRVRRSSPSEFIKPPLKPGHKELKNYREVLLDREKILNILKQALTSKTPLSDVLFKKKSKRFVSFS >Vigun07g007200.1.v1.2 pep primary_assembly:ASM411807v1:7:606125:615888:-1 gene:Vigun07g007200.v1.2 transcript:Vigun07g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRWFSKFKGNDKMKSAKETTGVTKEGPKPPTNEEPPSNVTKQKVEAAKQYIENHYKKQMQSLQERKERRNMLEKKLADAEVSEEEQHNLLQYLEKKEREYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSVGTNRSGALQSDGRPVAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRTNLKFPEEAKLSVEAKDLICRLLCNVEQRLGTKGADEIKAHPWFKGIEWDKLYKMKAAFIPEVNDELDTQNFEKFEEVDKQTVACSKAGPWRKMLPSKDVNFVGYTYKNFEIVNDHEIPGIAELKKKTTKPKRPSIKALFEDESAVAANQPVRGSFLNLLPPQMEVPEKSESQ >Vigun01g018700.2.v1.2 pep primary_assembly:ASM411807v1:1:1983738:1984356:-1 gene:Vigun01g018700.v1.2 transcript:Vigun01g018700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHHLLINRDSKIMFFNKMDTTKRCSVALLAFSLAFLIIASDMSMKSEARGPVVQTPCDNDQDCARRPCASCGCKCIKNCCHCHSKPYFSDFWC >Vigun06g177500.1.v1.2 pep primary_assembly:ASM411807v1:6:29768387:29772976:-1 gene:Vigun06g177500.v1.2 transcript:Vigun06g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLPYSIKDVDYDNAKFRHRSFSKVVTQNLLTGNLKRDCVSCSTGKFLFLILIFGVAYLLLGHSSSGGVVSNDKTIVNRVSYANSSIVDGAGRIKRFWRRPPRLPPRLSPDEKVSSNGAGQVLENPDVVRSMWIARQQKVKEAFLHAWSGYKKFAMGRDELMPLSRQGVDGLGGLGATVVDALDTAMIMGLDDVVAEAGSWVEKHLSERIRTKGQVNLFETTIRVLGGLLSAYHLRGGENGMNITQAGPKPEVYLATAKDLADRLLSAFTTSPTAIPFSDVILHDKSAQPAPGGLSSTSEVSTVQLEFNYLSSVSGDQKYGLEAMKVMEHMKTLPKFEGLVPIYISPHTGEFDGENIRLGSRGDSYYEYLIKVWLQSGGSSNSNTSYLHEMYKEATNGVRHRLVKKSIPNGLVFVGELPYGLNNVFSSKMDHLVCFLPGTLALGATKGLTKKQAMKNNMLNFEDLKNLELAEELTKTCFEMYAVTSTGLAPEIAYFHTEDFSEEGHDGGNKSSEFVNDIIIRPADRHNLLRPETVESLFVLYRITEDPKYREWGWQIFEAFEKHTKVDTGGYCSLDDVTSVPPNRRDKMETFFLGETLKYLYLLFADSSLIPLDKFVFNTEAHPIPINLKK >Vigun05g054300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4652635:4653716:-1 gene:Vigun05g054300.v1.2 transcript:Vigun05g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLLRPSCFLPTPTLKPSLPRHKPFLPLKLKPKNGAVGARLSNTSSPPPTERLISIAAYTLPFFNSLQYGRYLLAQYPKLAVLFDPIVPFLAFYRSVPYASFVAFFALYLGVVRNPTFPRYVRFNAMQAVTLDVLLVIPHLIARIFSPGRGPLMVWSSNAIFVFSILCFLYGVASCVLGRTPYLPFVADAASRQI >Vigun05g009400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:768887:769599:1 gene:Vigun05g009400.v1.2 transcript:Vigun05g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDSLLPKPTYGGIKRYFRRRRYQRLDSGGAATFTGERKTKMIRLRRSPHRQWRMKAVPKLTWMVRSPLKMFAKLKNAYMKFMLKSMNTDNMFGNKNTLKIDRQTSKVRKNDAFEARLIFEISKALVASHELYPMK >Vigun08g022100.2.v1.2 pep primary_assembly:ASM411807v1:8:1932569:1935178:-1 gene:Vigun08g022100.v1.2 transcript:Vigun08g022100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISESSVEQIQPPTYGNLVTILSIDGGGIRGIIPATIIDFLESQLQELDGPEARLADYFDVISGTSTGGLVTAMLTAPGKNNRPLFAAKDIRPFYLEHCPKIFPQQSGLGGTIIANLVRSLGGPKYDGKYLHQVVREKLGEIRLHQTLTNVVIPTFDIKSMQPIIFSSYQMKMSTCLDAKLSDICISTSAAPTYLPAHNFKNQDSEGNIHEFNLIDGGVCANNPTLVAMNEVTKQIMKQNSDFFPMKPMEYNRFLIISIGTGTAKNEEKFNAKIASKWGLLDWLTYDGSTPLTDVFTQSSADMVDFHLSAVTQALRSEDNYLRIQDDTLSGTDSSVDIATKENLEKLSRIGENLLKKAVSRVNLENGLFEPLKNGETNQQALTRLVQKQQHCS >Vigun08g022100.1.v1.2 pep primary_assembly:ASM411807v1:8:1932569:1935178:-1 gene:Vigun08g022100.v1.2 transcript:Vigun08g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISESSVEQIQPPTYGNLVTILSIDGGGIRGIIPATIIDFLESQLQELDGPEARLADYFDVISGTSTGGLVTAMLTAPGKNNRPLFAAKDIRPFYLEHCPKIFPQQSGLGGTIIANLVRSLGGPKYDGKYLHQVVREKLGEIRLHQTLTNVVIPTFDIKSMQPIIFSSYQMKMSTCLDAKLSDICISTSAAPTYLPAHNFKNQDSEGNIHEFNLIDGGVCANNPTLVAMNEVTKQIMKQNSDFFPMKPMEYNRFLIISIGTGTAKNEEKFNAKIASKWGLLDWLTYDGSTPLTDVFTQSSADMVDFHLSAVTQALRSEDNYLRIQDDTLSGTDSSVDIATKENLEKLSRIGENLLKKAVSRVNLENGLFEPLKNGETNQQALTRFSKILSQERRLRQMRSPHTKNPF >Vigun11g170700.1.v1.2 pep primary_assembly:ASM411807v1:11:37669067:37675431:-1 gene:Vigun11g170700.v1.2 transcript:Vigun11g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYTVKVEEARLESDGKPSAGPVYRCIYARDGLMEVPSHFESPWDFFRDTTKRCPNNPMLGRRQKTDFKAGPYEWMTYQEAYHAAIRIGSAMKGLGVNPGDRCGIYGSNCPEWILAMEACNSYAVTYVPLYDTLGPNAVEFIINHAEVSIAFVQDSKIPSVLSCLGRCSNLKTIVSFGNVLTAQKKEAEELGVSCFSWEEFLQLGDLDFDMPLKKKTDICTIMYTSGTTGEPKGVIIKNEAFMAQVLSIDQMLELTDKAGTEDDVYFSFLPLAHVYDQIMETYWVYKGSSIGFWQGDVRFLMEDVQVLKPTIFCGVPRIYDRVYASIKSKISSAGVLRSSLFQYAYSYKLGYMEKGLPQDKAAPLFDKLVFDKIKLALGGRVRLLLSGAAPLARHVEEFLRVTFGATMLQGYGLTESCGGCLTAISNVFSMMGTIGVPTTTIEARLESVPEMGYDALSTEARGEICLRGNTLFSGYHKRQDLTEEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVENIENKYLQCPLITSIWVYGNSFESFLVAVVVPESKALEDWAVKNNYTDDFKSLYENPKARKYILDELNSTGQKHQLRGFEMLKAVHLEPIPFDMERDLITPTFKLKRPQLLKHYKDCIDQLYKEAKA >Vigun10g074300.1.v1.2 pep primary_assembly:ASM411807v1:10:19784945:19789119:-1 gene:Vigun10g074300.v1.2 transcript:Vigun10g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITAPFAPITQCTTKDRSNQTVVSNLDGTLLVSRSAFPYYLLVALEAGSILRALCLLTLVPFIYFTNLFISENAANKTLIFVTFAGLKLRNVEVVARSVLSRFYAEDVHPDTWHVFNAFGKRYIVSGSPRVMVEPFAKTFLGADKVLGTELHVTSSGRLTGFTEEVGMLVGDQKRDVVIKEFGTNLPDVGLGDCVTDYHFMSICKEGYMVPRMKCEALPRNKLLSPIIFHEGRLVQRPTPLVALLTFLWMPIGIILSILRVYLNIPLPERIAWYNYKLLGIRIIRKGTPPPPPKKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALSRERERDAANIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPIAINTKQTVFYGTSVRGFKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSSFEVANYIQRVLAGTLGFECTNLTRKDKYAILAGTDGTVPSKKNT >Vigun11g102800.2.v1.2 pep primary_assembly:ASM411807v1:11:29994815:30000008:1 gene:Vigun11g102800.v1.2 transcript:Vigun11g102800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTITQPDDWHLHLRDGSLLEAVLPHSAKNFGRAIVMPNLKPPITTTSAAVTYRESILKAIPRDSNFTPLMTLYLTDLTTPDEIKLAKKSGLVYGVKLYPAGATTNSQDGVTDLFGNCFSVLEEMVEQNLPLLVHGEVTNSDVDIFDREKVFIETILKPLVQRLPQLKVVMEHITTADAVKFVESCKEGFVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRRRKECSCGCAGIYNSLVALSIYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNKSKIKLRKAPWKVPDYLSFPFGDIVPMSAGEILEWEALPC >Vigun11g102800.3.v1.2 pep primary_assembly:ASM411807v1:11:29996712:30000992:1 gene:Vigun11g102800.v1.2 transcript:Vigun11g102800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKPPITTTSAAVTYRESILKAIPRDSNFTPLMTLYLTDLTTPDEIKLAKKSGLVYGVKLYPAGATTNSQDGVTDLFGNCFSVLEEMVEQNLPLLVHGEVTNSDVDIFDREKVFIETILKPLVQRLPQLKVVMEHITTADAVKFVESCKEGFVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRRRKECSCGCAGIYNSLVALSIYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNKSKIKLRKAPWKVPDYLSFPFGDIVPMSAGEILEWEALPC >Vigun11g102800.1.v1.2 pep primary_assembly:ASM411807v1:11:29994656:30000008:1 gene:Vigun11g102800.v1.2 transcript:Vigun11g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTITQPDDWHLHLRDGSLLEAVLPHSAKNFGRAIVMPNLKPPITTTSAAVTYRESILKAIPRDSNFTPLMTLYLTDLTTPDEIKLAKKSGLVYGVKLYPAGATTNSQDGVTDLFGNCFSVLEEMVEQNLPLLVHGEVTNSDVDIFDREKVFIETILKPLVQRLPQLKVVMEHITTADAVKFVESCKEGFVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRRRKECSCGCAGIYNSLVALSIYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNKSKIKLRKAPWKVPDYLSFPFGDIVPMSAGEILEWEALPC >Vigun03g219000.1.v1.2 pep primary_assembly:ASM411807v1:3:36459411:36463269:-1 gene:Vigun03g219000.v1.2 transcript:Vigun03g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEWKNTKSHIVLMIIKGLFRRYERWNPVHPTHGAFWGMGVGVGCGVGWGPGFGPEVIGYVGAGCGIGFNVGITFAGVGVGLPAHFVCVAPYNGVSWMRNLPCISDLQREASGKLFEFRKRHLSIKGIDFFDSKKNLSLITSSACRSMQAFHNQLFRSHKGKNS >Vigun03g219000.4.v1.2 pep primary_assembly:ASM411807v1:3:36459518:36463244:-1 gene:Vigun03g219000.v1.2 transcript:Vigun03g219000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTFSNLFRFFAFIGMVAEWKNTKSHIVLMIIKGLFRRYERWNPVHPTHGAFWGMGVGVGCGVGWGPGFGPEVIGYVGAGCGIGFNVGITFAGVGVGLPAHFVCVAPYNGVSWMRNLPCISDLQREASGKLFEFRKRHLSIKGIDFFDSKKNLSLITSSACRSMQAFHNQLFRSHKGKNS >Vigun03g219000.3.v1.2 pep primary_assembly:ASM411807v1:3:36459411:36463269:-1 gene:Vigun03g219000.v1.2 transcript:Vigun03g219000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYERWNPVHPTHGAFWGMGVGVGCGVGWGPGFGPEVIGYVGAGCGIGFNVGITFAGVGVGLPAHFVCVAPYNGVSWMRNLPCISDLQREASGKLFEFRKRHLSIKGIDFFDSKKNLSLITSSACRSMQAFHNQLFRSHKGKNS >Vigun03g219000.2.v1.2 pep primary_assembly:ASM411807v1:3:36459413:36463270:-1 gene:Vigun03g219000.v1.2 transcript:Vigun03g219000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYERWNPVHPTHGAFWGMGVGVGCGVGWGPGFGPEVIGYVGAGCGIGFNVGITFAGVGVGLPAHFVCVAPYNGVSWMRNLPCISDLQREASGKLFEFRKRHLSIKGIDFFDSKKNLSLITSSACRSMQAFHNQLFRSHKGKNS >Vigun02g178900.3.v1.2 pep primary_assembly:ASM411807v1:2:32000239:32008244:-1 gene:Vigun02g178900.v1.2 transcript:Vigun02g178900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAGLPLPANSSPSVINMYRINRVTERLAWLAQPGNRGDPKEFYNHCLSLSRGIDYALANGEIPGNAHELPLLVKQICQLKNDELSQAAMMVLMISVKGACEIGWFQTKESQELLTIVDEIGKVYSSMGSINATRTQCNSEISTIMEKFYPNVKLGSILASIEAQPGYGASLVDFHITKSEFVKDKIFLLVAQLDNIEISACLISPPQVNFLLNGKGVANRTYVQMDPGPQMPTDVTGMLKFGTNLLQAVGQFNGRYIVLVAYMSFTPLQEDPVLQDYLQPVVTSIDSDIIEGASQISLNCPISFTRIKTPVKGRSCKHFQCFDFNNFISINSKRPSWRCPHCNQYVCYGDIRLDRNMVEILKNVGESITEVIVLADGSWKAVLEKDHDVDKMQKKAPNHEKEQTEPQEYTCSPATVDLTEDDDHVEAMDSSEIVDRKPPQASVQSQFVAPNSTSLGRDNNPAVNSAMRNQISAPNNLPMQMNHMNSVNEYGRSSSGARHINRSPVAVQALPVQSQALGPQQNPLNLKNSLLSSNSSSTSHISLPSSSTGDTMKAILSDTERQQRFSRSPMNLPQVSGVNSPAFRHHPATQNRVPLQSPPTSTPLQNTSRPGSLSDFRNQHLQHSLNRPRQHPTFRPSTTQWSHIQQGVPQSGNLPAAGRAAPPPARQGIFHARNVPPAATTAHSQQSRGLAANQPPPRRTAPLVSLQNQSGVAGTPFATDSFHGRGNTAQSVSRPDELFSTQAEQNWAPTGRMRGSLDLSQPFDESIAQRIITPTQTQSSRPPPLRRATGSTQQDVLTANNRNANAHNRPST >Vigun02g178900.2.v1.2 pep primary_assembly:ASM411807v1:2:32000239:32008244:-1 gene:Vigun02g178900.v1.2 transcript:Vigun02g178900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAGLPLPANSSPSVINMYRINRVTERLAWLAQPGNRGDPKEFYNHCLSLSRGIDYALANGEIPGNAHELPLLVKQICQLKNDELSQAAMMVLMISVKGACEIGWFQTKESQELLTIVDEIGKVYSSMGSINATRTQCNSEISTIMEKFYPNVKLGSILASIEAQPGYGASLVDFHITKSEFVKDKIFLLVAQLDNIEISACLISPPQVNFLLNGKGVANRTYVQMDPGPQMPTDVTGMLKFGTNLLQAVGQFNGRYIVLVAYMSFTPLQEDPVLQDYLQPVVTSIDSDSDIIEGASQISLNCPISFTRIKTPVKGRSCKHFQCFDFNNFISINSKRPSWRCPHCNQYVCYGDIRLDRNMVEILKNVGESITEVIVLADGSWKAVLEKDHDVDKMQKKAPNHEKEQTEPQEYTCSPATVDLTEDDDHVEAMDSSEIVDRKPPQASVQSQFVAPNSTSLGRDNNPAVNSAMRNQISAPNNLPMQMNHMNSVNEYGRSSSGARHINRSPVAVQALPVQSQALGPQQNPLNLKNSLLSSNSSSTSHISLPSSSTGDTMKAILSDTERQQRFSRSPMNLPQVSGVNSPAFRHHPATQNRVPLQSPPTSTPLQNTSRPGSLSDFRNQHLQHSLNRPRQHPTFRPSTTQWSHIQQGVPQSGNLPAAGRAAPPPARQGIFHARNVPPAATTAHSQQSRGLAANQPPPRRTAPLVSLQNQSGVAGTPFATDSFHGRGNTAQSVSRPDELFSTQAEQNWAPTGRMRGSLDLSQPFDESIAQRIITPTQTQSSRPPPLRRATGSTQQDVLTANNRNANAHNRPST >Vigun02g178900.4.v1.2 pep primary_assembly:ASM411807v1:2:32000239:32008218:-1 gene:Vigun02g178900.v1.2 transcript:Vigun02g178900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAGLPLPANSSPSVINMYRINRVTERLAWLAQPGNRGDPKEFYNHCLSLSRGIDYALANGEIPGNAHELPLLVKQICQLKNDELSQAAMMVLMISVKGACEIGWFQTKESQELLTIVDEIGKVYSSMGSINATRTQCNSEISTIMEKFYPNVKLGSILASIEAQPGYGASLVDFHITKSEFVKDKIFLLVAQLDNIEISACLISPPQVNFLLNGKGVANRTYVQMDPGPQMPTDVTGMLKFGTNLLQAVGQFNGRYIVLVAYMSFTPLQEDPVLQDYLQPVVTSIDSDIIEGASQISLNCPISFTRIKTPVKGRSCKHFQCFDFNNFISINSKRPSWRCPHCNQYVCYGDIRLDRNMVEILKNVGESITEVIVLADGSWKAVLEKDHDVDKMQKKAPNHEKEQTEPQEYTCSPATVDLTEDDDHVEAMDSSEIVDRKPPQASVQSQFVAPNSTSLGMNTPGVNRNVAAQLDDFLSGVYIARSRSDTPMVNTLELPVLPDSISPAFNQESAGRDNNPAVNSAMRNQISAPNNLPMQMNHMNSVNEYGRSSSGARHINRSPVAVQALPVQSQALGPQQNPLNLKNSLLSSNSSSTSHISLPSSSTGDTMKAILSDTERQQRFSRSPMNLPQVSGVNSPAFRHHPATQNRVPLQSPPTSTPLQNTSRPGSLSDFRNQHLQHSLNRPRQHPTFRPSTTQWSHIQQGVPQSGNLPAAGRAAPPPARQGIFHARNVPPAATTAHSQQSRGLAANQPPPRRTAPLVSLQNQSGVAGTPFATDSFHGRGNTAQSVSRPDELFSTQAEQNWAPTGRMRGSLDLSQPFDESIAQRIITPTQTQSSRPPPLRRATGSTQQDVLTANNRNANAHNRPST >Vigun02g178900.1.v1.2 pep primary_assembly:ASM411807v1:2:32000239:32008218:-1 gene:Vigun02g178900.v1.2 transcript:Vigun02g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAGLPLPANSSPSVINMYRINRVTERLAWLAQPGNRGDPKEFYNHCLSLSRGIDYALANGEIPGNAHELPLLVKQICQLKNDELSQAAMMVLMISVKGACEIGWFQTKESQELLTIVDEIGKVYSSMGSINATRTQCNSEISTIMEKFYPNVKLGSILASIEAQPGYGASLVDFHITKSEFVKDKIFLLVAQLDNIEISACLISPPQVNFLLNGKGVANRTYVQMDPGPQMPTDVTGMLKFGTNLLQAVGQFNGRYIVLVAYMSFTPLQEDPVLQDYLQPVVTSIDSDSDIIEGASQISLNCPISFTRIKTPVKGRSCKHFQCFDFNNFISINSKRPSWRCPHCNQYVCYGDIRLDRNMVEILKNVGESITEVIVLADGSWKAVLEKDHDVDKMQKKAPNHEKEQTEPQEYTCSPATVDLTEDDDHVEAMDSSEIVDRKPPQASVQSQFVAPNSTSLGMNTPGVNRNVAAQLDDFLSGVYIARSRSDTPMVNTLELPVLPDSISPAFNQESAGRDNNPAVNSAMRNQISAPNNLPMQMNHMNSVNEYGRSSSGARHINRSPVAVQALPVQSQALGPQQNPLNLKNSLLSSNSSSTSHISLPSSSTGDTMKAILSDTERQQRFSRSPMNLPQVSGVNSPAFRHHPATQNRVPLQSPPTSTPLQNTSRPGSLSDFRNQHLQHSLNRPRQHPTFRPSTTQWSHIQQGVPQSGNLPAAGRAAPPPARQGIFHARNVPPAATTAHSQQSRGLAANQPPPRRTAPLVSLQNQSGVAGTPFATDSFHGRGNTAQSVSRPDELFSTQAEQNWAPTGRMRGSLDLSQPFDESIAQRIITPTQTQSSRPPPLRRATGSTQQDVLTANNRNANAHNRPST >Vigun02g092000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24718129:24719022:-1 gene:Vigun02g092000.v1.2 transcript:Vigun02g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPNYVYTEIKAENSKVSPPPTLPSLSEITPSTLIFFTMAVAGFCFLGVAIVFFCRYCFRNISNTNTLVRLSPRDSPPRGLDPSLLTTFPTFLYATVKDLRTEKDYSLECAICLLEFDDDCMLRLLTACCHVFHQDCIDSWLRLHKTCPVCRTDLDTRASNADAQRPHDQNEENAEHERSDHVSVDVKEGDDGDERHDDGDEGGSMNVRMQRERFASARSHSTGHSIVMVNDHDDDDAKYTLRLPQDVALKIVRGHHYSKSCSSYKDIAGSSAAPCSNCGYVETLLDSSSNGANNS >Vigun11g074050.1.v1.2 pep primary_assembly:ASM411807v1:11:21397847:21398224:-1 gene:Vigun11g074050.v1.2 transcript:Vigun11g074050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VFGPQAITHKDTSKTDRSSLSPSPRRRRRRLCHRRRSHRRRRSRRRRRLPPSKGFLHQQLETSWEIWFEHILLGNLGNLGDFTVSQLGFYRVDTD >Vigun10g069100.1.v1.2 pep primary_assembly:ASM411807v1:10:16458269:16460009:-1 gene:Vigun10g069100.v1.2 transcript:Vigun10g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKMWGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKENDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDHVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun09g145600.1.v1.2 pep primary_assembly:ASM411807v1:9:30864517:30865546:1 gene:Vigun09g145600.v1.2 transcript:Vigun09g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATARYVDTAGIAANRGAAERGAAVLTTMAMRGGRKNLKRATEEKHVTLQDGQSIMQVVSLRGSNIIEVKDAFGNKSLALFPAKFRKSVWIKLGHWHQKCGQGHHKKVHHFIY >Vigun08g176000.2.v1.2 pep primary_assembly:ASM411807v1:8:34636500:34650235:-1 gene:Vigun08g176000.v1.2 transcript:Vigun08g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQEDEEMLVPHTDLAENNHQPMEVVAQPDAANTVESQPVEDPSSTRFTWKIDNFSRMNSKKLYSEIFVVGGYKWRVLIFPKGNNVDYLSMYLDVADSSSLPYGWSRYAQFSLAVINQIHNKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPTRGYLVNDTLVVEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCPDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPMQLDLDRENGKYLSPEADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIREADKDKVICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAEQIGKDIYFDLVDHDKVRSFRVQKQTSFNLFKEEVAKEFNIPVQFQRFWLWAKRQNHTYRPNRPLTTMEEAQSVGQLREVSNKVHNAELKLFLEVELGLDLRPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKNTGKPLEILTKLNKMAGYDPEEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQKAPAVYNEEHVRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLYKYDDVVERVAQQLGLDDPSIIRLTPHNCYSQQPKPQPVKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSSPEAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIREGETLTEIKVRIQKKLQVPDDEFAKWKFAFFSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAVNQNRHTFEKPVKIYN >Vigun08g176000.1.v1.2 pep primary_assembly:ASM411807v1:8:34636500:34650235:-1 gene:Vigun08g176000.v1.2 transcript:Vigun08g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQEDEEMLVPHTDLAENNHQPMEVVAQPDAANTVESQPVEDPSSTRFTWKIDNFSRMNSKKLYSEIFVVGGYKWRVLIFPKGNNVDYLSMYLDVADSSSLPYGWSRYAQFSLAVINQIHNKYSVRKDTQHQFNARESDWGFTSFMPLGELYDPTRGYLVNDTLVVEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCPDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPMQLDLDRENGKYLSPEADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIREADKDKVICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAEQIGKDIYFDLVDHDKVRSFRVQKQTSFNLFKEEVAKEFNIPVQFQRFWLWAKRQNHTYRPNRPLTTMEEAQSVGQLREVSNKVHNAELKLFLEVELGLDLRPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKNTGKPLEILTKLNKMAGYDPEEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQKAPAVYNEEHVRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLYKYDDVVERVAQQLGLDDPSIIRLTPHNCYSQQPKPQPVKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSSPEAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKDTAQNQMQIQNFGEPFFLVIREGETLTEIKVRIQKKLQVPDDEFAKWKFAFFSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAVNQNRHTFEKPVKIYN >Vigun03g212800.2.v1.2 pep primary_assembly:ASM411807v1:3:35257430:35261789:1 gene:Vigun03g212800.v1.2 transcript:Vigun03g212800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQSTALGGNGGSGGNGTLVSQTNDMTASAAGADDSMQKLSQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINSCIAKNQITKGKTDALKSLRKNLLEELEQNFPDEVETFRESRAAAAAELKRQAQAQSALPNGDVRVKSEH >Vigun03g212800.3.v1.2 pep primary_assembly:ASM411807v1:3:35257628:35261563:1 gene:Vigun03g212800.v1.2 transcript:Vigun03g212800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQSTALGGNGGSGGNGTLVSQTNDMTASAAGADDSMQKLSQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINSCIAKNQITKGKTDALKSLRKNLLEELEQNFPDEVETFRESRAAAAAELKRQAQAQSALPNGDVRVKSEH >Vigun03g212800.1.v1.2 pep primary_assembly:ASM411807v1:3:35257430:35261789:1 gene:Vigun03g212800.v1.2 transcript:Vigun03g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQSTALGGNGGSGGNGTLVSQTNDMTASAAGADDSMQKLSQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINSCIAKNQITKGKTDALKSLRKNLLEELEQNFPDEVETFRESRAAAAAELKRQAQAQSALPNGDVRVKSEH >Vigun02g156800.1.v1.2 pep primary_assembly:ASM411807v1:2:30300351:30306429:1 gene:Vigun02g156800.v1.2 transcript:Vigun02g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSFYFITFNLIFYKSACHTQFLYVCNMWIPTYWFTSSLHRSGQPSFIYIFNSHTTLLSCVTLLSLFSFTSVSVCNNTFLYLIFSTCLLLFISLMSCLESELCKERKFEEESEEVTLDGSVDLHGGPAIRAKSGRWVAGIIILLNQALATLAFFGMGVNLVLFLTRVVGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVIGLMSLSLSSYLFLLKPKGCGNEKIHCGKHSRLEMGMFYLSIYLVALGNGGYQPNIATFGADQFDEEHSKEGHSKVAFFSYFYLAFNIGQLFSNTILVYFEDEGMWALGFWLSAGSAFAALVLFLACTSRYRHFKPSGNPLSRFSQVVVAASRKSKVQMSSNGENLLHMNAKDTSTNGNRKILHTHGFKFLDRAAFISSRDLDDQKGTGYNPWRICPVSQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFRIPPASMSSFDILSVAVFIFFYRRVLDPFVGKLKKTDSKGLTELQRMGVGLVIAVLAMVSAGLVECYRLKYAKQGCLHCNDTSTLSIFWQIPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSVVMKISTEDHMPGWIPGNLNKGHLDRFYFLLAALTSIDLIAYIACAKWYKCIQLEEKSEENNESKV >Vigun03g427000.2.v1.2 pep primary_assembly:ASM411807v1:3:63211603:63233443:1 gene:Vigun03g427000.v1.2 transcript:Vigun03g427000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALKSSRLPLTGGPQIGSSSQPVNVTKDSRGGLVENEVSKMDPYASGRPPVAPSGGAPDYYQGSVAQRSSQSFDQGSPSSLDSRSANSQSQDRRDTANWDKQSNQKDGKKATTKRKRADTSSPVELHVDSPQLDPRNTGVSARKGKMTKAESSDGLPVKSGELTNFNMAPNSGQMENVSTLPGSMRTMLRANQEGHHLLAKQTDLTKIGNPMVRAPNSKYAEDSEVSSAHIASGKQPGAYAKVHGGMGFPASASSMAEAFSNSMQYGGAVEHDGGSSTNLADGHKISQVGRQNSGSEMTMLRQGVPPRDTGKSTVPVMPFKEQQLKQLRAQCLVFLAFRNGLAPKKLHLEIALGTAFSREDGSRKDLIDHKGKSQSFNESNNASGVMMPFGGPSNVRLTDKNPSGSSSAGKIVEADSLSKGTESPRTMEDKGNLHVTKRDVERRIQERVTTQASSVTSCQQQDSSCTRGAVVGNHFDDVDTSNMPVGRSNQSSVVGPNSWTGFSGANEASKGPPQISTIQHELPIERRENIPCQFQNVGNNCGSRNHNLSSFSLKEQWKSVPGTESDPHGATMMKDGNVMIKHVSPEQDGNERLVSGDLPSSPKYTMSERWIMDQQRKRLLVEQKWVQKQHKTKQRMATSFHKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITTEMDHLKSIKKHRHGRRVKPERFEQKMKEERQKRIRERQKEFFSEIEVHKEKLDDVFKIKRERWKGFNRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAAAGRLGQEVDDTGHVSFLENSETENEDESDQAKHYMESNEKYYKMAHSIKESIAEQPSSLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKSDRGPFLVVVPSSVLPGWDSEINFWAPGVHKIVYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESAGDSSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCEASSYQKLLMKRVEENLGSIGSSRARSVHNSVMELRNICNHPYLSQLHAEEVDNFIPKHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTLKQYRYLRLDGHTSGGDRGALIELFNQPDSPYFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLEALLRECKKEEAAPVLDDDALNDVLARSETELDIFEAVDKKRKEDELATWNKLVHGQTADGSDLIPPPPARLVTDEDLKQFYEAMKISDVPKVVVESSGVKRKGGYLGGLDTQQYGRGKRAREVRSYEEQWTEEEFEKMCQVEAPDSPKVKEVAEMSYPTNTSSSVVSTSNPQPVVAVSPVAPTLPSVESLPVQQAKEITPPAKRGRGRPKRITSDKSPAVMGPPVTSGTVEVDTQLQKGSGSGHLASSAADSVSHSAEVTSVNAPVQQSDTGVSPNAHPAIPVPTIPPNSQVAAVPVSVSTQARGAGRKNNGSEGTRRRGKKQVMVPPPVPGGSVGPDVKVNEKLDNKLVSPSSGQAISQSEVVPSLAPVAYPSSAAGTVLNSQAPNPLPSNTTLVQTIATNPSEQMPIKGQNQKSQTGVSRRRGKKQAPMLAPVPDVLHQDSHQTNLPISSGSAVGEKATELKSLQVNNVLQSKCVVQDQASQNLGDQDLKSLEGSDDSAKQTVMTSSCQDSMIKSPGNDLEKVKNPNVHDASVKIVKSSEITSSKVDEVCNNTRSETSVLTTVPEVTKDQLSGGKTHTQTVETTKSIPSVIDTPTNTDAINKSVDPVNPKIVPSTLSTINPSTLASESTLSGSIESMPSRRQGRKTQNRAEPPRRRGKKSASVLPVVPDAVTGQDPKLSHHAQNSSGDSLQGKATANINQSQSFEILLPSGVVSHDSKRKDRTTNSTQNKQLKVTRIDSAPISTDKISVHDVARVMKEVFSGTCLPKPKAHDSAGSEDKNSTVGHVMTKAAVYGSNNQTLEDKARSDITTSGAACLTSDAGLNVHEKQSEPASIMPNLEGKADLDMPTTGEHSVLSDVKEKAEQTQHCVENSITECKIALDTTVGAVEKTGGSSDRLPTSDLSIDSSSHQICSSSGAGSLVVIDHKLGNQSDISEECLRPSAIDSGTGCTLIPLEPTTSSNNPESTQTDIAEQTNKPLDIAEQISTEKLDPSEPSLASSLAYVDSSGLLVQTENLGDQPQVTSSSPATDPPPSAVIVSIVSKQNEVKNETEFASKASAELSSDEGIVGCNIPDSELLKPENPITIEHDSQKPLDPPVKQCSESASEMEDPVGAKAVKVEKHLDALEPDLDGTPLIESCSKPLSEEKKDVNFICEQLQSCVTKSINIDPVSKENIVLPNPIDNPKTSSEACHVEIDTSDRLVLPQSSGLEAVGNDLRGDSGVGSFVEGTISEGAVLSQSTLVEEQNRGSDPLEESMEKDVANNSGFQEEVKVDEVEADFLMNSSISETVLVKHDVVQENMNLSSHPMTKEENIDGSIARSLSVSISPSDGLKDSKPELSHKDISPVGNSQIGSEDNLLNSFDLVSSPSVRKEEGVSSTSDIDGPEGQSVSLRVPVSSNDLLDKSKVHQLITVPDAVEPSLSQLKEEEKIGVSSDSKLVVTSVSEKDIERSGLLPEDPALEINKMSSDSPIIVTDSAEAQVSLVKGDCAEVRMSDQMDVSEVSVNDSERLSSNGQNDPSCLQMERGNTNVLSDRGPLFSSFGPGERYPLIENYRDDIMEPIANPLLEHKSECSESEKAEMNTSDVGCVDPELMAKSTDLPSYSVEEDKADISCKSPLPGAEPLTGENCEDAEEPNPSEAEIGNQMDASDAGVNTEQLSSGDVIEPSSSLIIEDNKIVLSSDKDPHLTEEGSCKDTSEGPSTNPVLLQKLNNNSEAEMCDQGSTQVGGTLVDVVKASEVEREVKTLSDEGPQGIIETQVESRGLADREVRTDSKSCATEIENVSEVPNSSVSVEQVDGLSNEGIVGSQAKMQVSEDSEAVTGAEIDVIPDCLDPSVSIEKVDGLSNEGIVGSQARMQVSEDSEAIAGDGIDVTPDCLDPSVTVEKVEGLSKEGLLCIQAKVQVLEDCEAVTGDGIDITPGCLAVPEIVTIVEDSSICSSAVGSEDVDNSSKANIDTRESEAEVDTRESEAEVDTKESEAEVDTKESESGVCNQENQVPQEKASECTEHEKDLDGNTSAKLDTKESESGDCNQEDEEKTVKDIEKSTPVAEGSS >Vigun03g427000.1.v1.2 pep primary_assembly:ASM411807v1:3:63211603:63233443:1 gene:Vigun03g427000.v1.2 transcript:Vigun03g427000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALKSSRLPLTGGPQIGSSSQPVNVTKDSRGGLVENEVSKMDPYASGRPPVAPSGGAPDYYQGSVAQRSSQSFDQGSPSSLDSRSANSQSQDRRDTANWDKQSNQKDGKKATTKRKRADTSSPVELHVDSPQLDPRNTGVSARKGKMTKAESSDGLPVKSGELTNFNMAPNSGQMENVSTLPGSMRTMLRANQEGHHLLAKQTDLTKIGNPMVRAPNSKYAEDSEVSSAHIASGKQPGAYAKVHGGMGFPASASSMAEAFSNSMQYGGAVEHDGGSSTNLADGHKISQVGRQNSGSEMTMLRQGVPPRDTGKSTVPVMPFKEQQLKQLRAQCLVFLAFRNGLAPKKLHLEIALGTAFSREDGSRKDLIDHKGKSQSFNESNNASGVMMPFGGPSNVRLTDKNPSGSSSAGKIVEADSLSKGTESPRTMEDKGNLHVTKRDVERRIQERVTTQASSVTSCQQQDSSCTRGAVVGNHFDDVDTSNMPVGRSNQSSVVGPNSWTGFSGANEASKGPPQISTIQHELPIERRENIPCQFQNVGNNCGSRNHNLSSFSLKEQWKSVPGTESDPHGATMMKDGNVMIKHVSPDGFKTVPVDNALKHGISFATEQDGNERLVSGDLPSSPKYTMSERWIMDQQRKRLLVEQKWVQKQHKTKQRMATSFHKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITTEMDHLKSIKKHRHGRRVKPERFEQKMKEERQKRIRERQKEFFSEIEVHKEKLDDVFKIKRERWKGFNRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAAAGRLGQEVDDTGHVSFLENSETENEDESDQAKHYMESNEKYYKMAHSIKESIAEQPSSLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKSDRGPFLVVVPSSVLPGWDSEINFWAPGVHKIVYAGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESAGDSSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCEASSYQKLLMKRVEENLGSIGSSRARSVHNSVMELRNICNHPYLSQLHAEEVDNFIPKHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTLKQYRYLRLDGHTSGGDRGALIELFNQPDSPYFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLEALLRECKKEEAAPVLDDDALNDVLARSETELDIFEAVDKKRKEDELATWNKLVHGQTADGSDLIPPPPARLVTDEDLKQFYEAMKISDVPKVVVESSGVKRKGGYLGGLDTQQYGRGKRAREVRSYEEQWTEEEFEKMCQVEAPDSPKVKEVAEMSYPTNTSSSVVSTSNPQPVVAVSPVAPTLPSVESLPVQQAKEITPPAKRGRGRPKRITSDKSPAVMGPPVTSGTVEVDTQLQKGSGSGHLASSAADSVSHSAEVTSVNAPVQQSDTGVSPNAHPAIPVPTIPPNSQVAAVPVSVSTQARGAGRKNNGSEGTRRRGKKQVMVPPPVPGGSVGPDVKVNEKLDNKLVSPSSGQAISQSEVVPSLAPVAYPSSAAGTVLNSQAPNPLPSNTTLVQTIATNPSEQMPIKGQNQKSQTGVSRRRGKKQAPMLAPVPDVLHQDSHQTNLPISSGSAVGEKATELKSLQVNNVLQSKCVVQDQASQNLGDQDLKSLEGSDDSAKQTVMTSSCQDSMIKSPGNDLEKVKNPNVHDASVKIVKSSEITSSKVDEVCNNTRSETSVLTTVPEVTKDQLSGGKTHTQTVETTKSIPSVIDTPTNTDAINKSVDPVNPKIVPSTLSTINPSTLASESTLSGSIESMPSRRQGRKTQNRAEPPRRRGKKSASVLPVVPDAVTGQDPKLSHHAQNSSGDSLQGKATANINQSQSFEILLPSGVVSHDSKRKDRTTNSTQNKQLKVTRIDSAPISTDKISVHDVARVMKEVFSGTCLPKPKAHDSAGSEDKNSTVGHVMTKAAVYGSNNQTLEDKARSDITTSGAACLTSDAGLNVHEKQSEPASIMPNLEGKADLDMPTTGEHSVLSDVKEKAEQTQHCVENSITECKIALDTTVGAVEKTGGSSDRLPTSDLSIDSSSHQICSSSGAGSLVVIDHKLGNQSDISEECLRPSAIDSGTGCTLIPLEPTTSSNNPESTQTDIAEQTNKPLDIAEQISTEKLDPSEPSLASSLAYVDSSGLLVQTENLGDQPQVTSSSPATDPPPSAVIVSIVSKQNEVKNETEFASKASAELSSDEGIVGCNIPDSELLKPENPITIEHDSQKPLDPPVKQCSESASEMEDPVGAKAVKVEKHLDALEPDLDGTPLIESCSKPLSEEKKDVNFICEQLQSCVTKSINIDPVSKENIVLPNPIDNPKTSSEACHVEIDTSDRLVLPQSSGLEAVGNDLRGDSGVGSFVEGTISEGAVLSQSTLVEEQNRGSDPLEESMEKDVANNSGFQEEVKVDEVEADFLMNSSISETVLVKHDVVQENMNLSSHPMTKEENIDGSIARSLSVSISPSDGLKDSKPELSHKDISPVGNSQIGSEDNLLNSFDLVSSPSVRKEEGVSSTSDIDGPEGQSVSLRVPVSSNDLLDKSKVHQLITVPDAVEPSLSQLKEEEKIGVSSDSKLVVTSVSEKDIERSGLLPEDPALEINKMSSDSPIIVTDSAEAQVSLVKGDCAEVRMSDQMDVSEVSVNDSERLSSNGQNDPSCLQMERGNTNVLSDRGPLFSSFGPGERYPLIENYRDDIMEPIANPLLEHKSECSESEKAEMNTSDVGCVDPELMAKSTDLPSYSVEEDKADISCKSPLPGAEPLTGENCEDAEEPNPSEAEIGNQMDASDAGVNTEQLSSGDVIEPSSSLIIEDNKIVLSSDKDPHLTEEGSCKDTSEGPSTNPVLLQKLNNNSEAEMCDQGSTQVGGTLVDVVKASEVEREVKTLSDEGPQGIIETQVESRGLADREVRTDSKSCATEIENVSEVPNSSVSVEQVDGLSNEGIVGSQAKMQVSEDSEAVTGAEIDVIPDCLDPSVSIEKVDGLSNEGIVGSQARMQVSEDSEAIAGDGIDVTPDCLDPSVTVEKVEGLSKEGLLCIQAKVQVLEDCEAVTGDGIDITPGCLAVPEIVTIVEDSSICSSAVGSEDVDNSSKANIDTRESEAEVDTRESEAEVDTKESEAEVDTKESESGVCNQENQVPQEKASECTEHEKDLDGNTSAKLDTKESESGDCNQEDEEKTVKDIEKSTPVAEGSS >Vigun05g287100.1.v1.2 pep primary_assembly:ASM411807v1:5:47421136:47422899:1 gene:Vigun05g287100.v1.2 transcript:Vigun05g287100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAFNPQDPSTFVSASLDGTLKIWSLDSSVPKFTLEGHKKGVNCVDYFVSNGKQYLLSGSDDYTAKVWDYQSRNCVQTLEGHENNVTAICAHPELPIIITASEDSTVKIWDAVTHRLHTTLNFGLERVWTIAYKKGSSQLAFGCDKGLVVVKVNLVAAK >Vigun02g081600.1.v1.2 pep primary_assembly:ASM411807v1:2:23437524:23444944:1 gene:Vigun02g081600.v1.2 transcript:Vigun02g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNNIHTPLKLPPLSQPHLPLPTLFTTMPLLLARTPPSSLPPILRHHYNNNNNLLIAPSQKRASLTAGPILLPRRILASPTLKCASINGVSVHNTPEASTEQVYAGELLQRIRKWLDFLPSILPGGRWWDFSHDVDVQLVAQPVTVWRALGKMWELVARDRWVIIAAFSALIVAAVSEISIPHFLTASIFSAQGADLAVFHRNVRLLVLLCVTSGICSGIRGCCFGIANMILVKRMRETLYSSLLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNFLQGGGSLIYLLILSWPLGLCTLVICSILAAVMLRYGSYQKKAARLIQEVTASANDVAQETFSLIRTVRVYGTEEEEYGRYNWWLEKLADISLRQSAAYGVWNFNFNILYHSTQVIAVLFGGMSILAGHITAEKLTKFILYSEWLIYSTWWVGDNISNLMQSVGASEKVFHLMDLSPSSQFTERGIKLQRLMGRIEFLNVSFHYPSRPTVSVVQHVSFAVHPGEVVAIVGLSGSGKSTLVNLLLRLYEPTSGQILVDDTPIKDLDIMWWREKIGFVGQEPKLFRMDISSNIKYGCRDVKHEDIEWAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARALLRDPKILILDEATSALDAESEHNVKGVLRSVRSDSATRSVIVIAHRLSTIQAADRIVVMDGGQIVEIGSHRELLLRDGLYARLTRKQADAMA >Vigun02g081600.2.v1.2 pep primary_assembly:ASM411807v1:2:23437524:23444944:1 gene:Vigun02g081600.v1.2 transcript:Vigun02g081600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHRCFLCSHCCCCFGDFYSAFLNGVHLLGTGCGPCCFSSECALVGSVVRDFGNMQVKIFGIRGCCFGIANMILVKRMRETLYSSLLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNFLQGGGSLIYLLILSWPLGLCTLVICSILAAVMLRYGSYQKKAARLIQEVTASANDVAQETFSLIRTVRVYGTEEEEYGRYNWWLEKLADISLRQSAAYGVWNFNFNILYHSTQVIAVLFGGMSILAGHITAEKLTKFILYSEWLIYSTWWVGDNISNLMQSVGASEKVFHLMDLSPSSQFTERGIKLQRLMGRIEFLNVSFHYPSRPTVSVVQHVSFAVHPGEVVAIVGLSGSGKSTLVNLLLRLYEPTSGQILVDDTPIKDLDIMWWREKIGFVGQEPKLFRMDISSNIKYGCRDVKHEDIEWAAKQAYAHDFISALPNGYETLVDDDLLSGGQKQRIAIARALLRDPKILILDEATSALDAESEHNVKGVLRSVRSDSATRSVIVIAHRLSTIQAADRIVVMDGGQIVEIGSHRELLLRDGLYARLTRKQADAMA >Vigun07g253000.1.v1.2 pep primary_assembly:ASM411807v1:7:37190498:37192426:1 gene:Vigun07g253000.v1.2 transcript:Vigun07g253000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCMLRHCLQWIENPQAQAHATLFVAKFFGRAALMSFLSAVPANQRSALFQSLLYEAVGRTINPVNGAVGLLWTGNWHMCQMGVEKVLRGGAALTPLPHFLGSADVQYQHLVTQRVMIAGGTLCHQAKKQRVGTPSQESETSYVELKPPDSAPQSQRNLLTLFF >Vigun11g105600.1.v1.2 pep primary_assembly:ASM411807v1:11:30407266:30410619:1 gene:Vigun11g105600.v1.2 transcript:Vigun11g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHRASTAAKSLACFSFALKLVLLYAAEGQSQHQHRGPDLHWYSGTATWYGDPEGDGSTGGACGYGSMVDVKPFRARVGAVGPLLFMNGEGCGACYKVRCLDKSICSRRAVTVIITDECPGCPSDQTHFDLSGAAFGRMAIAGENGPLRDRGQIPVIYRRTPCKYPGRKIAFHVNEGSTPFWLSLLVEFEDAEGDIGSMHIREAGSTEWLQMNHLWGANWCIIGGPLRGPFSVKLSSSSGRSLSARDVIPGNWVSKATYTSRLNFYP >VigunL020201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000253.1:929349:929768:1 gene:VigunL020201.v1.2 transcript:VigunL020201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGELEHCLTEGQYNQRKTWLDHCLAEGRDTKRKPGLDHCLAEGRDTKRKPRLDHCLTEGRDTKRKPGLDHCLTEGRDTKRKPGLDHCLAEGRDTKRKPGLDHCLAEGRDTKRNLDSTIAAQRVEIPKENLGSTIAS >Vigun11g048400.1.v1.2 pep primary_assembly:ASM411807v1:11:7535504:7553860:1 gene:Vigun11g048400.v1.2 transcript:Vigun11g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTADPADIYMQAQALFLGRHYRRAFHLLNASKIVLSDLRFRYLAAKCLEELKEWDQCLSMLGEAKVDDEGNVSDTKDSNVMYLDKDCEDREINISSAICFLRGKAYEALENRAQARLWYKAAIKADPLCYEALECLIENHMLTCQEEANLISSLQFGSEDGWLSSFYSCLIKKYDKENVVEAKFRELENESCKSDQSDTSFLRTLKSNTDLLACKAEYYHQCGEYQKCFDLTYVLLEKDLFHLKCTLVHLAAAVELGHSNELYLMACNLVKDYPQMALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMECMRTHSYKLAEQFFIQAKSTCPSDPLVYNELGVVAYHMKEYKKAVWWFEKTLALIPTALSEMWESTVVNLAHAYRKLKMYQEAISYYEKALALSTRSVSTYAGLAYTYHLQDDFTTAITYYHKALWLKPDDQFCTEMLSWALIDEKSKGL >Vigun09g069500.1.v1.2 pep primary_assembly:ASM411807v1:9:7455726:7468126:-1 gene:Vigun09g069500.v1.2 transcript:Vigun09g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFGQENLSQGQYHSQAVDGNWPGLSNNLWAGSQRPIGGPFISNLKNFNQQQSDPEQGDTSSPHLRHGLNLSQTSFRHESGRNLLRNQQSTVNGYIQGQQVFQTRQNEANILGMETESDWHSLSRGIPVLESQGSGLELYKKNLARNDATESPVNFDFFGGQQQMTGRHGAMLQPLPRQQSGITEMHLLQQQAVFNQMHELQRQQQFHQIEAKQQNSMTPTSSISKQAVGSHSASLSGIPINETSNLIWQPEVLPTNANWLQHGASPILHGASNGLMLSPEQGQALRLMGLSPNQGDQSLYGVPISGSRGTPNLYSHVQADKPAAPQLSIPHQYSHVHGDKPALQHISAGDNSFSPHQYAAFSNQINTNDGTSVSRPDLQGKSMFGSTSSGISSGLNMENLQQMNSEQRIVPMQDFHGRQELAGSVEMSQDKMLVQTPTSQNVATLDPTEEKILFGSDDSLWDGFGRNSGAFNMLDSTDSFSGFPSIQSGSWSALMQSAVAESSSGDIGKQEESSGLSFQNTGRSYGNERPSTIDGSKGQSVWTDNNVPSAPNINSRPFLRPDDVNRPNASETYSGVSGFHQSGSDTLHEQHNRLPSNSSRSMPQFLERGKWLDCSPQQKRIAEGGHIYGNAANSSGFEKNQQTILSGNGSGDPFNKSNGWDIMKSPPFDRSSNFKVHENENSLQPHHEKAVHEEMGQVPAIWEPDSDANSSVGMEHVKSAGNMQVCGEDFGTNGIAGLPSSGTPWFSQHINKQLPNVDVWRDAESAGSYRRNEISGKYKHHMDKNPLVLESSKNGKVDGETHDLEDSNKKEKSADSLGSNPSHPRAGGMRENSSFDGNDLHSPKLSGQGNRRPLVTRKFQYHPMGDLGVEVEPYGNKRAINSQPMAHQPFGGLKGQDQSYLGQSNYGHSDRNYNEINKGDSISLDKNASKSILPGQMPKKITSFDRSVGNYASQKIISPRGPETESSDGSVAHHQQNQSLLSQGFGLQLAPPTQRLPVVSSRSSIEKDHTAPHMSETRDKDETWLGTNQTFTSRDPSHGELRSNISSTQGNIFDKASQYDVLGSIPQAFTSGFPFSRVHSQNQSLANFGGQVANTQSANVTFTASMNHTDEYCEKAQTSQSELAPAQDMSQLNGIDQDHPRDPGNQILTAEAATQSSVTFSASQQGTVSKVTHNAWTCFSSKQHPNASRFLSPPQQINDREMITGSQNPGDDGFEKDGNDVTDTGPCAAYSNSSGVNLLKEISVQQTLPENDVTAEEAAGASHLKEPAGKHTFDATQPSPGATPRDIEAFGRSLRANIVLNNNISLLDQVQPTRNTEADPSNRDVKRLKVSDNVVDEQLVDSNRGQQLLHGYDSVVKDGTGNNSMLSPNPNMLSFPTKPFDGQDTNASSQEEIGYGKKAEVADSNKATSVKSDYSLVNPQMAPSWFERYGTYKNGKMLPMYNVQKITAAKIMDQPFIVPNQSIEQTQNVSDSLISNPRESSMSLSVASKPVDSQLSTPASEPELLFVRPKKRKSATSEFIPWHKEILEGSERLRDISESELDWARSANRLIEKVEDSVEVVEDLSAVVKSKRRLVLTTQLMQQLLGPPPASVLIADVKLHHESMVYSVARLASGEACSSISWSRCDTLLAPGSKNLLHEKSKSSDKIDEYILKVTDFVGRTRKLEDDILRLNNKASILDLRLECQDLERYSVINRFAKFHGRGQNDGAEASSSDANTNAQKSFPLKYVTAVPLPRNLPDRFFPLQNPFDRDVTLPSTNLIVYR >Vigun07g049700.3.v1.2 pep primary_assembly:ASM411807v1:7:5176326:5182932:-1 gene:Vigun07g049700.v1.2 transcript:Vigun07g049700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETYLGVYEELLYARKLLPVEGAQWTGIVTTIAIEMLTSGMVEAVICVQSDPDDRFGPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASESPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADMVVGYMGVPKYSGISMPQHPQYVTVRNERGREMLSLVENLLEISPTISSGDRRPFVMETVKADDEAKLGRGPSQPAPKFVGNILAFILNLIGPKGLEFARYSLDYHTIRNYLHVNRLWGKERADRHMPTYAKKIVDLYNQNGQIEKMISNK >Vigun07g049700.2.v1.2 pep primary_assembly:ASM411807v1:7:5176326:5182932:-1 gene:Vigun07g049700.v1.2 transcript:Vigun07g049700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQFFHFNSAMSILSLHANLSSLPISLSICSSSSKDTDSSLRKDWRKKSKPIPPGGIYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRIERLEPVVHGRGRKTDNMDETYLGVYEELLYARKLLPVEGAQWTGIVTTIAIEMLTSGMVEAVICVQSDPDDRFGPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASESPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADMVVGYMGVPKYSGISMPQHPQYVTVRNERGREMLSLVENLLEISPTISSGDRRPFVMETVKADDEAKLGI >Vigun07g049700.1.v1.2 pep primary_assembly:ASM411807v1:7:5176326:5182932:-1 gene:Vigun07g049700.v1.2 transcript:Vigun07g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQFFHFNSAMSILSLHANLSSLPISLSICSSSSKDTDSSLRKDWRKKSKPIPPGGIYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRIERLEPVVHGRGRKTDNMDETYLGVYEELLYARKLLPVEGAQWTGIVTTIAIEMLTSGMVEAVICVQSDPDDRFGPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASESPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADMVVGYMGVPKYSGISMPQHPQYVTVRNERGREMLSLVENLLEISPTISSGDRRPFVMETVKADDEAKLGRGPSQPAPKFVGNILAFILNLIGPKGLEFARYSLDYHTIRNYLHVNRLWGKERADRHMPTYAKKIVDLYNQNGQIEKMISNK >Vigun05g094900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9206798:9208063:1 gene:Vigun05g094900.v1.2 transcript:Vigun05g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFREILSKLPVKSLMRFMCVSKYFQSLILDPHFVTMHFQNSRKNTKFLSRYLNERKIPCFIIPSPIHSLLEDSTPFYADDITHGSNLERNKYQVIGSCNGLVCLAIWKNRKGPSMFHLLNPATKKLLTCSHSSLKLAKEEIVVMLGFGYDDSRHTYKVVEIVRHMNFEYGHPFRSIVCSLNEGSGWRDIQNFPANPTTVEGEGIYLNNTLNWLGMPNYNYYNYDDLDISFDEVVIASLDLETETYTKMSLPHELDGVFIGDFCFPCGQLHCNDAPFIGILGGCLSLFLRNRTTEYLSIWQMKEFGNQRSWTLLLNTSLQDLGVHHTIRLPTNSRYHYYLQRILIQNQHFWSDDNNLIPLCMIENDRDIVIIQTSFQGRVKILIYNLIDKTVTSKKMIDTLSWIYPFDHVESLVSVAASSRT >Vigun03g145950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14898855:14899525:1 gene:Vigun03g145950.v1.2 transcript:Vigun03g145950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSPLSIYKRRVGLVANLKARREIKLREKVTRSLISSTMSGFFSSLFGWDANNGTEDAFKNSGGGQDFKEGEFNTGVQVAKGRYAPSRGNNGTKSAFNNSGSGKQDFGRAKFNTGARYE >Vigun08g009500.1.v1.2 pep primary_assembly:ASM411807v1:8:834411:834938:-1 gene:Vigun08g009500.v1.2 transcript:Vigun08g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGVSIMMMIMLGLIGSDYNEVESKNVSNDVNCKGNCVFDCFPLVPLPTLYLLCVQDCCKKCCKKHYKASNCNSICILSNSIDINTDTRDLKASVVNSGLQESEKKKRFP >Vigun11g055400.3.v1.2 pep primary_assembly:ASM411807v1:11:10374550:10379692:1 gene:Vigun11g055400.v1.2 transcript:Vigun11g055400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYDGKMENEVGFNSIVLSQDDHGNFREAFMKEVHTIISSVLVMGLFISYWSSNPREQQEISFQEFKNKLLEPGLVDHIAVSNKSVAKVYIRNSPRNQTDSEVVQGTLPAKEYGGQYKYYFNIGSVESFEEKLQEAQEALGIDPHDFVPVTYSAEMVWYQELMRFAPTLLLLGSLLYMGRRMQGGLGVGGGGSGKGARGIFNIGKAHVTKVDKNTKNKIYFKDVAGCDEAKLEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFLEARQCAPSIIFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQIAIDKPDIKGRDHIFQIYLKKIKLDQEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEVTQVTMDHFEAAIDRIIGGLEKKNKVISKVERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGSAALGFAQYVPSENLLVTKEQLFDMTCMTLGGRAAEQVLVGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPSRDDSFGMSKPYSSKTAAIIDSEVREWVNKAYERTVNLIEEHKEQVTQIAELLLEKEVLHQEDLRRILGERPFKAIEPTNYDRFKEGFKEEEEKVATESTIVDVPEEGGGSSPLEPQVVPR >Vigun11g055400.1.v1.2 pep primary_assembly:ASM411807v1:11:10373232:10379692:1 gene:Vigun11g055400.v1.2 transcript:Vigun11g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSKIARSVSRSSRNLLHGNGRLGTLAGIPRTNACSDGAGSVLGFVRSYVSSASASRRSVFSSLVDFKSVAANPRVRRLFSSEAPKKKNYEKFSPKEKKEGPKENDKKYDSKDNSNANTDDHGNFREAFMKEVHTIISSVLVMGLFISYWSSNPREQQEISFQEFKNKLLEPGLVDHIAVSNKSVAKVYIRNSPRNQTDSEVVQGTLPAKEYGGQYKYYFNIGSVESFEEKLQEAQEALGIDPHDFVPVTYSAEMVWYQELMRFAPTLLLLGSLLYMGRRMQGGLGVGGGGSGKGARGIFNIGKAHVTKVDKNTKNKIYFKDVAGCDEAKLEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFLEARQCAPSIIFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQIAIDKPDIKGRDHIFQIYLKKIKLDQEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEVTQVTMDHFEAAIDRIIGGLEKKNKVISKVERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGSAALGFAQYVPSENLLVTKEQLFDMTCMTLGGRAAEQVLVGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPSRDDSFGMSKPYSSKTAAIIDSEVREWVNKAYERTVNLIEEHKEQVTQIAELLLEKEVLHQEDLRRILGERPFKAIEPTNYDRFKEGFKEEEEKVATESTIVDVPEEGGGSSPLEPQVVPR >Vigun11g055400.2.v1.2 pep primary_assembly:ASM411807v1:11:10373232:10379692:1 gene:Vigun11g055400.v1.2 transcript:Vigun11g055400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSKIARSVSRSSRNLLHGNGRLGTLAGIPRTNACSDGAGSVLGFVRSYVSSASASRRSVFSSLVDFKSVAANPRVRRLFSSEAPKKKNYEKFSPKEKKEGPKENDKKYDSKDDHGNFREAFMKEVHTIISSVLVMGLFISYWSSNPREQQEISFQEFKNKLLEPGLVDHIAVSNKSVAKVYIRNSPRNQTDSEVVQGTLPAKEYGGQYKYYFNIGSVESFEEKLQEAQEALGIDPHDFVPVTYSAEMVWYQELMRFAPTLLLLGSLLYMGRRMQGGLGVGGGGSGKGARGIFNIGKAHVTKVDKNTKNKIYFKDVAGCDEAKLEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFLEARQCAPSIIFIDEIDAIGRARGRGGFSGANDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQIAIDKPDIKGRDHIFQIYLKKIKLDQEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEVTQVTMDHFEAAIDRIIGGLEKKNKVISKVERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGSAALGFAQYVPSENLLVTKEQLFDMTCMTLGGRAAEQVLVGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPSRDDSFGMSKPYSSKTAAIIDSEVREWVNKAYERTVNLIEEHKEQVTQIAELLLEKEVLHQEDLRRILGERPFKAIEPTNYDRFKEGFKEEEEKVATESTIVDVPEEGGGSSPLEPQVVPR >Vigun05g095600.2.v1.2 pep primary_assembly:ASM411807v1:5:9263081:9265349:-1 gene:Vigun05g095600.v1.2 transcript:Vigun05g095600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKTHQIVVEGSLWGIRNTFYSSLVILAALIVATTIYLRKDRGHLFREISVPSDSSTSQCNLFSGKWVFDNESYPLYKEKQCSFMSEEVACETFGRKDLSYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLAEEWGEANGNNCYNETDMITEEGYWGQDSDLKMMHVVENVVDDLKARGLNVEMLNITQLSEYRKEGHPSIYRKQWYPLTKEQIANPKSYADCIHWCLPGVPDVWNELLYAYIFHQ >Vigun05g095600.1.v1.2 pep primary_assembly:ASM411807v1:5:9263081:9265349:-1 gene:Vigun05g095600.v1.2 transcript:Vigun05g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKTHQIVVEGSLWGIRNTFYSSLVILAALIVATTIYLRKDRGHLFREISVPSDSSTSQCNLFSGKWVFDNESYPLYKEKQCSFMSEEVACETFGRKDLSYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGIYKKVKMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPIHKRAEEWGEANGNNCYNETDMITEEGYWGQDSDLKMMHVVENVVDDLKARGLNVEMLNITQLSEYRKEGHPSIYRKQWYPLTKEQIANPKSYADCIHWCLPGVPDVWNELLYAYIFHQ >Vigun05g095600.3.v1.2 pep primary_assembly:ASM411807v1:5:9263081:9265349:-1 gene:Vigun05g095600.v1.2 transcript:Vigun05g095600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIHYTKRSSAASCQKKWLVRRLEGKTLVTRIGDGNLTTVIFQALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGIYKKVKMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPIHKRAEEWGEANGNNCYNETDMITEEGYWGQDSDLKMMHVVENVVDDLKARGLNVEMLNITQLSEYRKEGHPSIYRKQWYPLTKEQIANPKSYADCIHWCLPGVPDVWNELLYAYIFHQ >Vigun05g095600.4.v1.2 pep primary_assembly:ASM411807v1:5:9263081:9265349:-1 gene:Vigun05g095600.v1.2 transcript:Vigun05g095600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIHYTKRSSAASCQKKWLVRRLEGKTLVTRIGDGNLTTVIFQALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLAEEWGEANGNNCYNETDMITEEGYWGQDSDLKMMHVVENVVDDLKARGLNVEMLNITQLSEYRKEGHPSIYRKQWYPLTKEQIANPKSYADCIHWCLPGVPDVWNELLYAYIFHQ >Vigun09g252000.1.v1.2 pep primary_assembly:ASM411807v1:9:41905558:41911078:1 gene:Vigun09g252000.v1.2 transcript:Vigun09g252000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQKSKRVSWASDLDLCQVRLFLSEDSPSQVGLNSQDHLQAKASLQLPAGGPGSDDILPPGFEGTHTSCQFEIKPSQIPVIKWIIPPKIVLDLTWQVVCGEESKEVEDQRQREMRVLEAIYPRTSSIPPNPSVSVDVEDSNYMDGQAALIPITAIEDEDAAPDALSDSMEPVDASQSLELPPGMLKNSNSATSTQYARGLTSDVAAASVALTNIVRSNEQGKLIDHELLNNILSNPEVIEKLVRDYGATNNSQYVHNVGSSSAVFSNPHIPVIQGETATPSSIAFPATSSYTPSTGGQVAPVSTQWPPRPAASSAVVSSPVEVPAAKDVNYYKSLIQQHGGDKQETLPYSSKRQIHQPVTSYEPTYNQRAKVSKPKIMKPCIFFNSSRGCRNGANCAYQHDASFQPRGNNVPGIQSSKRMKMDHEISS >Vigun01g013000.1.v1.2 pep primary_assembly:ASM411807v1:1:1446418:1452825:-1 gene:Vigun01g013000.v1.2 transcript:Vigun01g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTPVCPFVKAARPDDNNASKKSGENSMKHQVESESKVKKEANDSASASPKCPFGYDSQSFKIGPLSCTVCQALLFDTSKCVPCSHVFCKSCISRFKDCPLCGADIVKIEPDANLQGVVDRFIEGHARIKRSVSSDKGEEAAESKQVIYEDVSLERGSFLVQQAMRAFRAQNLESAKSRLNLCAEDIRDQLEKVGNTSELCSQLGAVLGMLGDCCRAMGDASSAVSYFEESVQFLSKLPKDDMEITHTLSVSLNKIGDLKYYDGDLQAARSFYFKSLDVRRDVVKQNSNVPSQVLDVAVSLAKVADVDRNLGEEKLATDGFQEAIDLLESLTLKSEASGLEQRRQSVLDFLRGQLPDKQEQAEATV >Vigun04g076650.2.v1.2 pep primary_assembly:ASM411807v1:4:10041690:10042787:-1 gene:Vigun04g076650.v1.2 transcript:Vigun04g076650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRDSDSCVEPLCQINCYSCKFASPLIHDRIYSMNDQLYILSRNLSSHIHLSCTYMYFLFQTSSFFHHIFKVQFSDKKIHIGGRQPSALCLTIISLLLIEQVPFVVKDLFV >Vigun04g076650.1.v1.2 pep primary_assembly:ASM411807v1:4:10041682:10042787:-1 gene:Vigun04g076650.v1.2 transcript:Vigun04g076650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSYRKWVLRSCTCSLMTTTSTTRLLSSCSKSHLAKYKRDSDSCVEPLCQINCYSCKFASPLIHDRIYSMNDQLYILSRNLSSHIHLSCTYMYFLFQTSSFFHHIFKVQFSDKKIHIGGRQPSALCLTIISLLLIEQVPFVVKDLFV >Vigun09g245100.1.v1.2 pep primary_assembly:ASM411807v1:9:41384684:41386582:1 gene:Vigun09g245100.v1.2 transcript:Vigun09g245100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNFDKEDGLETVLEVPIPDEMLTSMGSNGFNRWQNLRTLMNARFPDKSSLNNEFLVLLKIVGAPLIPVQLSSDRALTRPLKDCSIQDSTAKYIVQQYVAATGGVAALNSLENMYAIGRVRICGSEMRQGEIDEEVEARGKPEVGGFVLWQKNPDMWCLELVVSGYKVSAGCDGKVSWNHNSSQPFHAIKAPARPLRRIFQGLDPRCAANLFLDAECVGENIINNEVCFMLKLQTAQQLLLAQSTSNTEIVMHTIKGYFSQRTGLLVKFEDTKLVKMKPVKGKEYVFWETRLESSIEDYRYIDGINIAHGGTTIASLNRYGAEQNHKRLIEETWTIEEVDFNVVGLTMNCFLPPYDGEREQNEGTEGM >VigunL013800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:124216:128060:1 gene:VigunL013800.v1.2 transcript:VigunL013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMRPHHPSMHGVLRLIVTLDGEDVIDCEPILAENRTIIQYLPYVTRWDYLATMFTETITVNEPEQLGNIQVPKRASYIRVIMLELSCIASNLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATSMRMMHNFFCIRGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLIMRNPIFLERVKGVGVVDVKEVINWGLSRPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIKSIKIIQQALEGLPGEKEPEWNEFEYRFIKLYVRVEAPKGELGIFLIGDQNGFPWRWKIHSPGFINLHILPQLVKRMKLADIMTILEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQLIGPEYTGPFGVLQALADETKLLFKENLIPSRGDIRLFSFGPSISIISIIISYSIIPFSYNFVLSDLNIGVFLWIAISSIVPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLLLSNSLSTVDIVDAQSKYGFWGWNLWRQPMGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFDLFYVASYLNLFVSSLFVIVLYFGVFVTIIGIFITLVKTYLFIFVSITRRWTLPRLRIDQLLNLGWKFLLPISLAARYIGQGLMITLSHANRLPVTIQYPYEKIISLERFHCRIHFEFDKCIACEVCVRVCPIDLPIVD >Vigun03g060200.2.v1.2 pep primary_assembly:ASM411807v1:3:4935437:4941351:1 gene:Vigun03g060200.v1.2 transcript:Vigun03g060200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCLGPFLAVSDPVSSRAFGGHLTRKCFPKQVHFHSRSVVFSSILCSATLSVLPELEEKQIFDGEKANLLVRDLRNCFDSGRTKSYEWRVSQLEAIFKLLKENEKEITEALYKDLAKPQFEAFVSEVSQVKSSCSEALEGLKNWMKPERVSTSITTFPSTAEIVPEPLGVVLVISTWNFPFLLSMDPVIGAICAGNTVVLKPSEIAPATSSLLANLIEQYLDNSAIRVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKCPAVVESDVNLQVTARRIIAGKWGCNSGQACIAVDYIITRKEFAPKLISALKEELEQFFGKDPLESKDMSRIVSSNQFARLVKLLDEDKVSDKIVLGGQRDEKKLKISPTIILDVPEDALVMQEEIFGPILPIITVDNIEDCYSIIKSRPKPLAAYLFTNNEQLKKDYVDKISSGGILINDAILHVATRGLPFGGVEESGMGCYHGKFSFDSFSHRKSVLYRSFDADSSIRYPPYTTEKEKLLKAVISGNIVQIILALFGWS >Vigun03g060200.1.v1.2 pep primary_assembly:ASM411807v1:3:4935437:4941348:1 gene:Vigun03g060200.v1.2 transcript:Vigun03g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICMQVNSDFEILVLDITTKDFSASCPTMKSLCLGPFLAVSDPVSSRAFGGHLTRKCFPKQVHFHSRSVVFSSILCSATLSVLPELEEKQIFDGEKANLLVRDLRNCFDSGRTKSYEWRVSQLEAIFKLLKENEKEITEALYKDLAKPQFEAFVSEVSQVKSSCSEALEGLKNWMKPERVSTSITTFPSTAEIVPEPLGVVLVISTWNFPFLLSMDPVIGAICAGNTVVLKPSEIAPATSSLLANLIEQYLDNSAIRVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKCPAVVESDVNLQVTARRIIAGKWGCNSGQACIAVDYIITRKEFAPKLISALKEELEQFFGKDPLESKDMSRIVSSNQFARLVKLLDEDKVSDKIVLGGQRDEKKLKISPTIILDVPEDALVMQEEIFGPILPIITVDNIEDCYSIIKSRPKPLAAYLFTNNEQLKKDYVDKISSGGILINDAILHVATRGLPFGGVEESGMGCYHGKFSFDSFSHRKSVLYRSFDADSSIRYPPYTTEKEKLLKAVISGNIVQIILALFGWS >Vigun07g117400.1.v1.2 pep primary_assembly:ASM411807v1:7:21725590:21728498:-1 gene:Vigun07g117400.v1.2 transcript:Vigun07g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISGDEGSFSSANSVEEVDEESEQQQRQKRHGSTSGARNQHQLQKQPTKKKRNLPGTPDPNAEVIALSPTTLMARNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRASNEVKKRVYVCPEPSCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHHKTCGTREYKCDCGTIFARRDSFITHRAFCDALTEENNRVNPSLTSGTGTGTTPPSLQNEVQELMATMPLSNSPNNAVAELNNNYESSKRPLKSLSQEHVVPMALKSMNMRGCMSSTTSATLFGGPKNMSLPSSSTLQLSPNNPHTFNYFQDSKNAAASSAHMSATALLQKAAQMGAAATNNSINFPTMMHKSFVSAITGPSSYHPFHHQSHMAGISAAGAFTDHFFHKGHQQMSPILDSNTSDMGIFGAMFMGSDHVEQEVGGSSSSLIHRRDVSEGTSRFGGGDMTTVHDFLGVGGATSRAGSLHEAEKQQQQSLELEALTQQRLQMVNHFHHHLPHGDSAVEKSVWDI >Vigun06g098000.4.v1.2 pep primary_assembly:ASM411807v1:6:22888493:22890978:1 gene:Vigun06g098000.v1.2 transcript:Vigun06g098000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSSDVSSPHRRRRHHRHRRDRDKDSFKIRKKTKSQSRGKRRRRHHHHFSNSDSDSDSHSSSFLSDYSRSESSSDSEREASHRSKRHKRSDRPKKNKEKDRSKSHHHKRQKHKVKEVGHYFIPVYFFMCIIFFIFKVSNSSKWP >Vigun06g098000.1.v1.2 pep primary_assembly:ASM411807v1:6:22888493:22890978:1 gene:Vigun06g098000.v1.2 transcript:Vigun06g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSSDVSSPHRRRRHHRHRRDRDKDSFKIRKKTKSQSRGKRRRRHHHHFSNSDSDSDSHSSSFLSDYSRSESSSDSEREASHRSKRHKRSDRPKKNKEKDRSKSHHHKRQKHKVKEKQHDERSSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLEKTKEDKVAESKRNELLNFLNASFD >Vigun06g098000.3.v1.2 pep primary_assembly:ASM411807v1:6:22888493:22890997:1 gene:Vigun06g098000.v1.2 transcript:Vigun06g098000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSSDVSSPHRRRRHHRHRRDRDKDSFKIRKKTKSQSRGKRRRRHHHHFSNSDSDSDSHSSSFLSDYSRSESSSDSEREASHRSKRHKRSDRPKKNKEKDRSKSHHHKRQKHKVKEVGHYFIPVYFFMCIIFFIFKVSNSSKWP >Vigun06g098000.5.v1.2 pep primary_assembly:ASM411807v1:6:22888493:22890978:1 gene:Vigun06g098000.v1.2 transcript:Vigun06g098000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSSDVSSPHRRRRHHRHRRDRDKDSFKIRKKTKSQSRGKRRRRHHHHFSNSDSDSDSHSSSFLSDYSRSESSSDSEREASHRSKRHKRSDRPKKNKEKDRSKSHHHKRQKHKVKEVGHYFIPVYFFMCIIFFIFKVSNSSKWP >Vigun05g069766.1.v1.2 pep primary_assembly:ASM411807v1:5:6014354:6016288:-1 gene:Vigun05g069766.v1.2 transcript:Vigun05g069766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQSKPETIPASALVVAEVAPVVDEVAPVVDEVPSDDAVAKEASGAEESNDVLVKPENTVVANKPSWRRSLDRDIALAEVVRDKKLSYVKAWEDSEKTKADNRAEKHLSAIAAWENKKKAALEAELKKIEEQLEKKKAEQSEKMKNKMALLHRAAEEKRAVIEARRGEDILKTEEMAARYRATGTTPKKTMGCF >Vigun11g138100.1.v1.2 pep primary_assembly:ASM411807v1:11:34724272:34724933:1 gene:Vigun11g138100.v1.2 transcript:Vigun11g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTMKSTRVAILMIIMMSFVQILEANQLECVKYCSVACIPDQVHYLSCFTGCCKLCPPPSTTVASNNCAQSCGVNKTITVHIDADGKLTNVVDSCLENCLKLQ >Vigun03g165100.14.v1.2 pep primary_assembly:ASM411807v1:3:18848194:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQLACF >Vigun03g165100.16.v1.2 pep primary_assembly:ASM411807v1:3:18848194:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQLACF >Vigun03g165100.13.v1.2 pep primary_assembly:ASM411807v1:3:18848194:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQLACF >Vigun03g165100.17.v1.2 pep primary_assembly:ASM411807v1:3:18849033:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.6.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853417:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.9.v1.2 pep primary_assembly:ASM411807v1:3:18849048:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.12.v1.2 pep primary_assembly:ASM411807v1:3:18848194:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQLACF >Vigun03g165100.8.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853436:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.2.v1.2 pep primary_assembly:ASM411807v1:3:18848027:18853418:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.5.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.15.v1.2 pep primary_assembly:ASM411807v1:3:18848194:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQLACF >Vigun03g165100.11.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.7.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853436:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.4.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSVEMVALECLGKGFDMASDFRLRFAKGIRGERLVVLDEHNKRDILIPGTGGVTIKAVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKVPSGYFNALFDLTGDWFRDAADIKYLAFDGYFISLYYLHLTASPLILQEEVKKSVPAQWDPASLSRFIQTYGTHIIVGMAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun03g165100.10.v1.2 pep primary_assembly:ASM411807v1:3:18848035:18853407:1 gene:Vigun03g165100.v1.2 transcript:Vigun03g165100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGQDVICVKQKHSSKIPPGDLRRHLEDLGDFLFSDVRSPSLLQGKTADGKQKVPEVFNRVMQSNTMQFTSISETSSKDGLTIICSKRGGDMFKHSHSNWLQTVPSNPDAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSLDDLQYFLEFQIPRQWAPMFCELPLRHQRRKTASPSLQFSFLGPKLHIISTQVVSEQKPVVGMRLYLEGRKCDRLALHIHHLSSLPNKMVLSSRTSNSSMPSMWRGSDDNDSSDQFLERVRWKRFSNVCTAVVKHDPNWLNSSGGVYIVTGAQLLSKGSWPRNVLHLRLLFTHIPNCSIRKLEWSAAPEASRKTSFLTNLSTTFSFTQHGNTGPPKQAPTALNSGVYPDGPPVPVRSGKLLKYVETAEVVRGPHDAPGHWLVTAAKLVTDGGKIGLQVKFALLDYW >Vigun09g097433.1.v1.2 pep primary_assembly:ASM411807v1:9:14979964:14980380:1 gene:Vigun09g097433.v1.2 transcript:Vigun09g097433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYAGNGEFSREKQRVVNESTVDITEDLLVRFTKETIECASQSPDLIKDTATNEDGNTSLKRESAKKTLSLESIEEDTVPLKLLKRNIKKEKLVKQ >Vigun07g111500.3.v1.2 pep primary_assembly:ASM411807v1:7:20665437:20668183:1 gene:Vigun07g111500.v1.2 transcript:Vigun07g111500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMSDFFKNLDDFTTPREMLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGLSDVIRKVFLQTTHWRNILLVMSQCGWKNSTGEMIGCLGLQSVDGFEDRILPHFPKNAQTPAARGFVANSFFSGLTCTEFFLHCQANRVGLLDD >Vigun07g111500.4.v1.2 pep primary_assembly:ASM411807v1:7:20666155:20668224:1 gene:Vigun07g111500.v1.2 transcript:Vigun07g111500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGLSDVIRKVFLQTTHWRNILLVMSQCGWKNSTGEMIGCLGLQSVDGFEDRILPHFPKNAQTPAARGFVANSFFSGLTCTEFFLHCQANRVGLLDD >Vigun09g005100.1.v1.2 pep primary_assembly:ASM411807v1:9:365246:369360:1 gene:Vigun09g005100.v1.2 transcript:Vigun09g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPEVNGNNVADEETLGGSIHFGTAEAVEYVRALTDVGAMTRLLHECIAHQRAVDVELDELLSQRTDLDRHLLQLQRSSDILDIVNSDADYMLSNVASTSDLADQVSLKVRELDLAQSRVRNTLLRIDAIVERANSLEGVHRALEAEDYESAARYVQTFLQIDAQYKDSGSDQLQRDRLLAAKKQLEGIVRKKLSAAVDERDHPAILRFIRLFTPLGVEEEGLQLYVGYLKKVIAMRSRMEFDQLVETMDQRNVNFVGCLTNLFKDIVMAVEENSEILSGLCGEDGIVYAICELQEECDSRSSVILNKYMEYRKLAKLSSEINAHNTNLLAVGGGPEGPDPREVELYLEEILSLMQLGEDYTEFMISKIKGLTSVDPELLPRATRAFRSGSFSKVAQDLTGFYVILEGYFMLENVRKAIRIDEHEPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLSNEYSEALQQKLREPNLGAKLFFGGVGVQKTGTEIATALNNMDVSSEYVLKLKHEIEEQCAEVFPALADREKVKSCLTELADSSNAFKQALTAGIEQLVSTITPRIRPVLDSVGTISYELSEAEYANNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDTFVHLIIDFVVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFSVMTSRTVRDKFARLTQMATILNFEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAAVKL >Vigun06g123650.1.v1.2 pep primary_assembly:ASM411807v1:6:25094444:25095072:1 gene:Vigun06g123650.v1.2 transcript:Vigun06g123650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGRTYLIGFGRVFSFDEHKATK >Vigun07g016600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1443986:1444711:1 gene:Vigun07g016600.v1.2 transcript:Vigun07g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKAASTRNQASSKVLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFQHITSCLSAQ >Vigun09g118000.1.v1.2 pep primary_assembly:ASM411807v1:9:25986223:25996315:-1 gene:Vigun09g118000.v1.2 transcript:Vigun09g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADEASSFETSEMLATFLASTPLLSESWRLCTAAAAFAPRSFVTEQGAGGVVYVAFPGIEMVAADSSWRTLVPLVSIGDVTLFSARRDKDDDDPVMVHAGMLNLLSTSFDPFQNQMLALMEDSNTKTIVITGHSIGGATASLCALWLLSYLHNISCSSSVSVLCITFGSPMLGNASFSRAILKERWGGNFCHVVSKHDIMPRLLFAPITSYTAQLNLLLQFWRLSTAAPGFGKLAVPVSDQQQELFNVVMSCLDAATQDEEGFAILFHPFGSYLFVSSEGAVCVDSSTAVIKMMHLMFASGSPDCSIEDHLKYGDYVQTLSLQFLNHKISMHGNIPDSSYEAGLELAVQSSGLANQESAKECLKLTRRTGPSPTMNAAMLPIKLSKVVPYRTEIEWYKSWCDQQVDQMGYYDLFKRRRNTSKKMAMKVNMNRHKLARFWNDVIEMWERNELPHDLAVREKWVNASHFYKLLVEPLDIAEYYGKGTNTTKGHYMQHGRERRYEVFDRWWKDGIAAAAAEENNERRSKFASLTQDSCFWARVEEARDWLNSVRSESDSSKLSVLWDNIEKFEKYAVELINNKEVSEDVLAKNSSYSTWVEDLKGLRELRAKVKRFPHNFNPFLDGEVIP >Vigun08g068100.1.v1.2 pep primary_assembly:ASM411807v1:8:10364407:10381535:-1 gene:Vigun08g068100.v1.2 transcript:Vigun08g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQASLLSKPSLPVPFPFPFSCLSSTHSPFSLSFHSTRRLSSTLLCCTFRSEPNHNPSETGSGNVQPDPSAGIVYSTEEGAVQVSDSGEASLEGAETVLRSGVDLESEGNITSGRFSIVVLFVGLWVKARERVKKAFAEFLDWWPFWRQEKRVERLIAEADANPQDAAKQSALFVELNKHSPESVIKRFEQRDRPVDSRGVAEYLRALVITNAISEYLPDEDSGKSSSLPTLLQELKQRALGNSDETFLNPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTIAVGLVWFMGAAALQKYIGSLGGIGPSGVGSGSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPIAEDVDVKAIARGTPGFNGADLANLVNVAAIKAAVEGAEKVTAAQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDYVTTGASSDLHTATELAQYMVSNCGMSDAIGPIHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKHEKALHVLAHALLEYETLSAEEIRRILLPYREGRLSEQQEQEAAEGDLVLV >Vigun08g068100.2.v1.2 pep primary_assembly:ASM411807v1:8:10364521:10381494:-1 gene:Vigun08g068100.v1.2 transcript:Vigun08g068100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQASLLSKPSLPVPFPFPFSCLSSTHSPFSLSFHSTRRLSSTLLCCTFRSEPNHNPSETGSGNVQPDPSAGIVYSTEEGAVQVSDSGEASLEGAETVLRSGVDLESEGNITSGRFSIVVLFVGLWVKARERVKKAFAEFLDWWPFWRQEKRVERLIAEADANPQDAAKQSALFVELNKHSPESVIKRFEQRDRPVDSRGVAEYLRALVITNAISEYLPDEDSGKSSSLPTLLQELKQRALGNSDETFLNPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTIAVGLVWFMGAAALQKYIGSLGGIGPSGVGSGSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPIAEDVDVKAIARGTPGFNGADLANLVNVAAIKAAVEGAEKVTAAQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDYVTTGASSDLHTATELAQYMVSNCGMSDAIGPIHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKHEKALHVLAHALLEYETLSAEEIRRILLPYREGRLSEQQEQEAAEGDLVLV >Vigun11g098600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29034991:29035858:1 gene:Vigun11g098600.v1.2 transcript:Vigun11g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAIPYRSWQPLISSSPTTTHFTSSINNTNNTSFVSTKMVSNMVLENAVIVFARRGCCMSHVVKRLLLGLGVNPAMHEVEEKDEVAVIRELEAIVGSNENSMQFPAVFIGGKLFGGLDRLMATHISGELVPILKEARALWL >Vigun05g201300.1.v1.2 pep primary_assembly:ASM411807v1:5:38835028:38839951:-1 gene:Vigun05g201300.v1.2 transcript:Vigun05g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKVLVLTCFLSFLFYCINGVEVSHDGRAIKIDGKRRVLISGSIHYPRSTPGMWPDLIKKAKEGGLDTIETYVFWNAHEPSRREYDFSGRNDLIRFLKTIQDEGLYAVLRIGPYVCAEWNYGGIPVWVYNLPGVQIRTSNQVYMNEMQNFTTLIVDMVRKEKLFASQGGPIIISQIENEYGNVMSSYGDAGKAYINWCAKMAESFNIDVPWIMCQQSDAPQPMINTCNGWYCHDFKPNNPNSPKMWTENWVGWFKNWGGKDPHRTAEDVAYSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEFGNIAQPKWGHLKELHRVLKSMENSLTNYRNISEVDLDQSVKATVYVANDSSSCFLTNTNTTTDATVTFRGKKYNVPAWSVSLLPDCQTEEYNTAKVNVQTSIMVKVKNIAEDEPTTLNWVWRAENVDDALSGKGNFSANGLIDQKTVASDTSDYLWYMTRLDLDESDPILSDNMSLRINGSGHVIHAFVNGEHIGSHWATYGIRTDEFEPKIKLKQGKNIISLLSVTVGLQNYGPNYDKWSSGLVGSISVISRKDDETVVKDLSSKKWSYKVGLHGLDNKFFSEDSPYASASKWESEYLTTNRMLTWYKTTFKAPLGSEPVVVDLQGMGKGFAWVNGHNIGRIWPSYDASEEGCSDKACDYRGPYDDKKCVTNCGNPTQRWYHVPRSFIEDDVNTLVLFEEIGGNPSLVNFQTVVVGSACGNTEENNILELSCHGRPISDIKFSSFGNPEGECGAFKHGTCESKNDALSIVKNACVGKESCIIDVSEKTFGPTSCGDIGKRLAVEAIC >Vigun04g155700.2.v1.2 pep primary_assembly:ASM411807v1:4:37747082:37750650:-1 gene:Vigun04g155700.v1.2 transcript:Vigun04g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYATQVLLLIVLTTLHFRAIIVAGLNMSCSEKEKDALLSFKNGLQDPSKRLSSWSDEEDCCSWPGVRCNNITGQVMELNLNTSVGSPYRELSELHLENCQIDNLVPPKGKTNFTHLQVLDLSNNNLNQEIPSWLSNLSTTLVQLDLHSNLLHGEIPQILSSLQNIKILDLHGNQLSGALPNSLSQLKHLEVLDLSNNTIICPLPASFSNLSSLRTLNLAHNRLNGTIPKSFGLLNKLRILNLGANSLNGDMPVTLGTLSNLVTLDLSSNLLEGSIEEIHFVKLLRLKELRLSSTNLFLSVNSRWVPPFQLEYVLLSSFGIGPKFPEWLKDQSSVKVLTMSKSGISDLVPSWFWNWSLQLEFLDLSNNLLRGDVSNIFLNSSVINLSSNLFKGALPSVSANVEVLNIANNSISGTVSPLLCGKTNATNKLSVLDVSNNDLSGDLGQCWVHWQALVHLNLGSNHFSGEIPNSIGYLSQLESLLLNDNVLSEYIPSSLQNCSTMKFIDMGNNQLSDTIPTWMWEMQYLIVLRLRSNNFRGSITQKMCQLSSLIVLDLANNSLSGSIPNCLDQMKTMAGEDDFFANPLSYSYGSDFSYNNYKESLELVPKGDELEYRDNLILNSHKHTTSEL >Vigun04g155700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37747081:37750550:-1 gene:Vigun04g155700.v1.2 transcript:Vigun04g155700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYATQVLLLIVLTTLHFRAIIVAGLNMSCSEKEKDALLSFKNGLQDPSKRLSSWSDEEDCCSWPGVRCNNITGQVMELNLNTSVGSPYRELSGEISPSLLELKSLTRLDLSSNYFVLTPIPSFLGSMESLRYLDLSLSGFMGLIPHQLGNLSKLQHLNLGYNYALQIDNLNWISSLSSLEYLDLSYVDLQKESDWLQVLSALPSLSELHLENCQIDNLVPPKGKTNFTHLQVLDLSNNNLNQEIPSWLSNLSTTLVQLDLHSNLLHGEIPQILSSLQNIKILDLHGNQLSGALPNSLSQLKHLEVLDLSNNTIICPLPASFSNLSSLRTLNLAHNRLNGTIPKSFGLLNKLRILNLGANSLNGDMPVTLGTLSNLVTLDLSSNLLEGSIEEIHFVKLLRLKELRLSSTNLFLSVNSRWVPPFQLEYVLLSSFGIGPKFPEWLKDQSSVKVLTMSKSGISDLVPSWFWNWSLQLEFLDLSNNLLRGDVSNIFLNSSVINLSSNLFKGALPSVSANVEVLNIANNSISGTVSPLLCGKTNATNKLSVLDVSNNDLSGDLGQCWVHWQALVHLNLGSNHFSGEIPNSIGYLSQLESLLLNDNVLSEYIPSSLQNCSTMKFIDMGNNQLSDTIPTWMWEMQYLIVLRLRSNNFRGSITQKMCQLSSLIVLDLANNSLSGSIPNCLDQMKTMAGEDDFFANPLSYSYGSDFSYNNYKESLELVPKGDELEYRDNLILVRMIDLSSNKLSGAIPPEISKLSALRFLNLSRNILSGEIPKDMGKMKLLESLDLSLNHISGEIPQSLSDLSFLSFLNLSYNNLSGRIPTSTQLQSFETLSYTGNPELCGPPITNNCTSKEGISENASVKHGDGNFLGTSEFYMGMGVGFAAGFWGFCSVIFFNRTWRHAYFGFLDHLKDVIYVTIVLKVRRLLAKSRVYLLAANKRDITG >Vigun04g155700.1.v1.2 pep primary_assembly:ASM411807v1:4:37747092:37750650:-1 gene:Vigun04g155700.v1.2 transcript:Vigun04g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYATQVLLLIVLTTLHFRAIIVAGLNMSCSEKEKDALLSFKNGLQDPSKRLSSWSDEEDCCSWPGVRCNNITGQVMELNLNTSVGSPYRELSELHLENCQIDNLVPPKGKTNFTHLQVLDLSNNNLNQEIPSWLSNLSTTLVQLDLHSNLLHGEIPQILSSLQNIKILDLHGNQLSGALPNSLSQLKHLEVLDLSNNTIICPLPASFSNLSSLRTLNLAHNRLNGTIPKSFGLLNKLRILNLGANSLNGDMPVTLGTLSNLVTLDLSSNLLEGSIEEIHFVKLLRLKELRLSSTNLFLSVNSRWVPPFQLEYVLLSSFGIGPKFPEWLKDQSSVKVLTMSKSGISDLVPSWFWNWSLQLEFLDLSNNLLRGDVSNIFLNSSVINLSSNLFKGALPSVSANVEVLNIANNSISGTVSPLLCGKTNATNKLSVLDVSNNDLSGDLGQCWVHWQALVHLNLGSNHFSGEIPNSIGYLSQLESLLLNDNVLSEYIPSSLQNCSTMKFIDMGNNQLSDTIPTWMWEMQYLIVLRLRSNNFRGSITQKMCQLSSLIVLDLANNSLSGSIPNCLDQMKTMAGEDDFFANPLSYSYGSDFSYNNYKESLELVPKGDELEYRDNLILVRMIDLSSNKLSGAIPPEISKLSALRFLNLSRNILSGEIPKDMGKMKLLESLDLSLNHISGEIPQSLSDLSFLSFLNLSYNNLSGRIPTSTQLQSFETLSYTGNPELCGPPITNNCTSKEGISENASVKHGDGNFLGTSEFYMGMGVGFAAGFWGFCSVIFFNRTWRHAYFGFLDHLKDVIYVTIVLKVRRLLAKSRVYLLAANKRDITG >Vigun05g145100.1.v1.2 pep primary_assembly:ASM411807v1:5:19694099:19695080:1 gene:Vigun05g145100.v1.2 transcript:Vigun05g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIMSCIGKSSKNMCLDDKIERKIVEMRRYKFAQSKLKSVDSIVMMFPMFKERLKTLRGMFEQYDEDSNGSIEPNELKKFLEHLELHLKEQEIENLFEYCDIDGSKGIQFNEFIVLLCLIHLLAEPSSSVNSSKAGLEQFGEIFNSIVEAFVFFDQNGDGKLNKKDMVRTLNETNPRERSPARISKHRFQEMDWDKNGQVTFREFLFGFINWVGIEADE >Vigun08g199300.1.v1.2 pep primary_assembly:ASM411807v1:8:36425995:36429729:-1 gene:Vigun08g199300.v1.2 transcript:Vigun08g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKEEIQESIDKLQSTFRQWEKADDDGERSNLSKEVLTSCESIDWQVDELDKAISVASRDPSLYGIDEVELQNRRRWTSDARSQVSTAKKAVEAGTRSNITNNASLNGMRRELMRLTNSHQSGSDPYSTQDNDDFIESESDRQMLLIKRQDEELDELSISVQRIGDVGLTIHEELVAQEKIVDELGNEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILGLLALFIFLFILVFFT >Vigun08g199300.2.v1.2 pep primary_assembly:ASM411807v1:8:36425995:36429729:-1 gene:Vigun08g199300.v1.2 transcript:Vigun08g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASDLIFQRKFLLAVKVLIGRKVDELDKAISVASRDPSLYGIDEVELQNRRRWTSDARSQVSTAKKAVEAGTRSNITNNASLNGMRRELMRLTNSHQSGSDPYSTQDNDDFIESESDRQMLLIKRQDEELDELSISVQRIGDVGLTIHEELVAQEKIVDELGNEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILGLLALFIFLFILVFFT >Vigun05g185400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35786118:35788425:1 gene:Vigun05g185400.v1.2 transcript:Vigun05g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKEVAEGSSRGADDHHLDHHHDHHNQLQQQQQQQQQQQQLPLSRYESQKRRDWNTFGQYLRNQRPPVPLSQCSSSHVLEFLRYLDQFGKTKVHLQGCLFFGQSEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNILKPNGDTSSNLPLQ >Vigun05g185400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35786101:35788425:1 gene:Vigun05g185400.v1.2 transcript:Vigun05g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKEVAEGSSRGADDHHLDHHHDHHNQLQQQQQQQQQQQQLPLSRYESQKRRDWNTFGQYLRNQRPPVPLSQCSSSHVLEFLRYLDQFGKTKVHLQGCLFFGQSEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNILKPNGDTSSNLPLQ >Vigun06g012100.1.v1.2 pep primary_assembly:ASM411807v1:6:5346799:5351445:1 gene:Vigun06g012100.v1.2 transcript:Vigun06g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRRSSSAAAKASDALPLPLHLTNAFFFTLFFSVAYYLLNRWRDKIRASSPLHLLSLSDIAAVFALLASVIYLLGFFGIHFVQSFVTRPADDDVEPSECTSLDKVDGQENVMMADSVTKGASQPSPLHCTEPVKLVACGVDNGIMEPVLKEVVSSVDRVYHDEDDVVIDSVVNGNVPSYSLESRLGDCRRAAAIRREALQRTTGRSLGGLPLEGFDYNAILGQCCEMPVGYVQVPVGVAGPLLLDGAEYTVPMATTEGCLVASTNRGCKAIYASGGATSVVLRDGMSRAPVVRFATANRASELKFFLEDPLNFDTLAVIFNKSSRFGRLQTIKCSIAGRNVYLRFTCSTGDAMGMNMVSKGVQNVLDFLHSDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEATIKEHIVKKVLKTSVAALVELNMLKNLAGSAVAGALGGYNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGRDLHVSVTMPSIEVGTVGGGTQLPSQSACLNLLGLKGASKDSPGSNSRVLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDMSRVSS >Vigun08g156200.1.v1.2 pep primary_assembly:ASM411807v1:8:32861911:32867005:-1 gene:Vigun08g156200.v1.2 transcript:Vigun08g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pur8 MEFAALSSAAQLGSFNPLRTKLQSSAQHNPLQFSYASFPSSFPRVCSCKAILSTHTPTSTHKMFGLQSDDFELSALTALSPLDGRYWGKVKELSPYLSESALIYFRVLVEIKWLLQLSVIPEIVEVPSFSESAKTFLQSLIDDFSIDDALEVKNIERVTNHDVKAVEYFLKQKCQSNAEVAKVLEFFHFACTSEDINNLAHALMLKESINSVMFPVMDKIIKALCDMAKDNAHVPMLSRTHGQPASPTTLGKELAIFAVRLSRERKDLSQIEILGKFAGAVGNYNAHVVAYPNVNWPQVAEQFVHSLGLSFNPYVAQIETHDYMAKLFQSLIRFNNILIDFDRDVWGYISLSYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGVLSHLSMKLPISRWQRDLTDSTVIRNIPIGIGHSLLAYKSTLQGMGKLQVNEARLREDLNQCWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNKESIRDFIEGLDIPEEAKTNLLKLTPDTYVGAAVELARTVENVVNIVNGSNI >Vigun02g144800.1.v1.2 pep primary_assembly:ASM411807v1:2:29311108:29314620:-1 gene:Vigun02g144800.v1.2 transcript:Vigun02g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGAMNDQLSNPTSIFGLRLWVVLGVCVGAAIVLILFLISVWVAFKRSKANPVSIPDVSKEIQEIRVDHAPTPNPTHQPDPFPEPDPLPPTDEESNPLGYHRIQFEIGKNHRISYPERALLRSSSNDPAGAEPRLLDQVPTVIPEVSHLGWGHWYTLRELEDSTNAFAPENVIGEGGYGIVYHGILNDNTHVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPYSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLSKQWNAKVSDFGLAKLLGSDNSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVLTGRNPVDYSRPPEEVNLVDWLKKMVSNRNPEGVLDPKLPEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEDRRARRDAGQSPNDRVGDGLKEEAGVSVDDGKVENGMVVNETRTSPQIEKQ >Vigun02g144800.2.v1.2 pep primary_assembly:ASM411807v1:2:29311108:29314620:-1 gene:Vigun02g144800.v1.2 transcript:Vigun02g144800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGAMNDQLSNPTSIFGLRLWVVLGVCVGAAIVLILFLISVWVAFKRSKANPVSIPDVSKEIQEIRVDHAPTPNPTHQPDPFPEPDPLPPTDEESNPLGYHRIQFEIGKNHRISYPERALLRSSSNDPAGAEPRLLDQVPTVIPEVSHLGWGHWYTLRELEDSTNAFAPENVIGEGGYGIVYHGILNDNTHVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPYSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLSKQWNAKVSDFGLAKLLGSDNSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVLTGRNPVDYSRPPEEVNLVDWLKKMVSNRNPEGVLDPKLPEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEVTFTSSEVNSTSV >Vigun05g088500.1.v1.2 pep primary_assembly:ASM411807v1:5:8436892:8442970:1 gene:Vigun05g088500.v1.2 transcript:Vigun05g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPWLSAWRVRRNARIRERKRFEAIEMQKLRKTATRRCRNCLSPYRDQNPGGGRFMCFNCGHVSKRPVLDLPVPPGLGISNSSIVKDLVGKGGKILNSKVWSENGWMCGQEWLENGNWVGGSIPGNPNNWRTSESTGLFGGAEHCSTERSYCGLLFLVCKLLTSFFKSIRWLWRKAFRVSSREECSSDAEHRAFLAKRGENGVGLGESRGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRKLRDEKVEAEKDHSRSSNPCKDKERRKEAERKRQEKRKEKDKGSSKSNSDAEELERRAGKESERKRDFDKKSEMDRREQHKSGLESGKGQNMDNAPNKNVPANNYNRGGTGARYLDRMRGTFLSSSKAFGFSRSNNIPSTVVKENKFNSSVDHVHTAPSRREICPPERPAPKSNLNCDDRITHSVLPESQPWSTGPKKSWQQLFTRSSSVSQSSNSNVICRPNSKTQAETKSPQLSAQSPVTQTFTNPIQFGLPSPFNISTHASVPTSSSLGFSPAIEPLFSPVGNTSHVFRQDEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGTGFGTENAVTKPHSLKSISASSDVNKPSPIESPSSREKQSCSNWFPSTPMGQDRRGFPLDDAAANEKGTWQMWSSAPLVQDGLSLVGGPRSWLLSSQRNTPNKDDFVVSSSQNAMASFFNKEDSIISSIHSPHNVFLPNGHSGENFSPVTGSSGYDPWSQSALFPPLSGGLIGQETATKNDMIYGSPSESASSHGLDGSPANCWSKKEWHVDGSVESIGKSAVSRPYSGGQQPTSDVQSFWSFD >Vigun10g171400.2.v1.2 pep primary_assembly:ASM411807v1:10:39020400:39021935:-1 gene:Vigun10g171400.v1.2 transcript:Vigun10g171400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKLICSLQLSAAEVTLLENNIIIYKFVQDLHFFVTGGDDENELILASVLQGFFDAVTLLLRSNVDKREALENLDLILLCLDEIVDGGMILETNGTLIAEKVTSHSLDADAPLSEQTLTQAWATAREHLTRTLLK >Vigun10g171400.1.v1.2 pep primary_assembly:ASM411807v1:10:39020400:39024375:-1 gene:Vigun10g171400.v1.2 transcript:Vigun10g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGLCPLIKNILLLDSEGKRVAVKYYSDDWPTNNSKLAFEKFVFSKTVKTNARTEAEVTLLENNIIIYKFVQDLHFFVTGGDDENELILASVLQGFFDAVTLLLRSNVDKREALENLDLILLCLDEIVDGGMILETNGTLIAEKVTSHSLDADAPLSEQTLTQAWATAREHLTRTLLK >Vigun08g221400.1.v1.2 pep primary_assembly:ASM411807v1:8:37986447:37988250:-1 gene:Vigun08g221400.v1.2 transcript:Vigun08g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQALLSSSSLVLSAEAARQSLGPRSHQSPVTFSRKASFIVKAASTPPVKQGADRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEIINGRFAMLGAVGAIAPEILGKAGLIPEETALPWFRTGVFPPAGTYNYWADSYTLFVLEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKGLGGSGEPAYPGGPLFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQAPVTGVGPYQNLLDHLADPVHNNILTSLKFH >Vigun03g406800.1.v1.2 pep primary_assembly:ASM411807v1:3:61408650:61416557:1 gene:Vigun03g406800.v1.2 transcript:Vigun03g406800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFRSLCCKKLYPQLFLHSSLPVFPTNHILFSSLFPHFNHRKLMYATELFGVFAVHGSDASPAVASTSLTTNHNCFKIAAFTRPTSHNGVNLKSEKALKPFRCSADSNSDFFSVTSSNKSDVDYLGQSTRGDLNVKSEHLEASGFDGHAALEGPIEEVARSEARQAGDLLKDLGIPSLSSSRNSPRGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLRNMGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYIKRAMHGTKMLSTRAVSEMYGRELVDLRKENRWEFLNTLFSVSEAVAYMQMVDRLDDGTIPADLGPLDYKGLYKAVGKALFWAHVEGRLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMRHSFNRFLPNDMSWRDLFDIVIVSARKPEFFQTSHPMYEVVTDEGLMRPCFKAQTGGLYSGGSAQMVENSLGIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNALIGSRSYRESLVELINQKEVVGDLFNQLRLALQRRSKDRPAQTLAATNMNDEDLTDSMQKLLIVMQRLDKKIAPMLEADGELFNSRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLDYTPFMYFRSQEQNLAHDSYTYYCSQLNNEHSA >Vigun05g072400.2.v1.2 pep primary_assembly:ASM411807v1:5:6369828:6375015:-1 gene:Vigun05g072400.v1.2 transcript:Vigun05g072400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPRKILPVMLDVGTNNEKLLKDPLYLGVRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLNRYRQRFCMFNDDIQGTAGVALAGLLGAIRSQGRPLSDFLKQKIVVVGAGSAGLGVLKMAAQAVSRMSGGSGTATTANSPFFLIDKDGLVTTERSNLDPAAVPFAKNPRDLEGLTEGASIIEVVKKVKPHVLLGLSGVGGVFDTEVLKAMRESASTKPAIFAMSNPTVNAECTAIEAFSHAGKNIVFASGSPFDNVDLGNEVGHVNQANNMYLFPGVGLGTLLSGARHITDEMLRAAAECLASYMTDEEVQKGILFPSIDCIRNVTAEVGAAVIGAAVAENQAEGHGEVGLRELANMSKEDTVEYVRSNMWLPEYCPLVHEK >Vigun05g072400.1.v1.2 pep primary_assembly:ASM411807v1:5:6369828:6377644:-1 gene:Vigun05g072400.v1.2 transcript:Vigun05g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVVRFAASRSRRFSTAIPGPCKVHKRGTDILHDPWFNKDTGFPITERDRLGLRGLLPPRVISFENQYDRFMDSYRSLEKNTRCQSDKFVSLSKWRILNRLHDRNETLYYRVLIRNIKEFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPSDEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPRKILPVMLDVGTNNEKLLKDPLYLGVRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLNRYRQRFCMFNDDIQGTAGVALAGLLGAIRSQGRPLSDFLKQKIVVVGAGSAGLGVLKMAAQAVSRMSGGSGTATTANSPFFLIDKDGLVTTERSNLDPAAVPFAKNPRDLEGLTEGASIIEVVKKVKPHVLLGLSGVGGVFDTEVLKAMRESASTKPAIFAMSNPTVNAECTAIEAFSHAGKNIVFASGSPFDNVDLGNEVGHVNQANNMYLFPGVGLGTLLSGARHITDEMLRAAAECLASYMTDEEVQKGILFPSIDCIRNVTAEVGAAVIGAAVAENQAEGHGEVGLRELANMSKEDTVEYVRSNMWLPEYCPLVHEK >VigunL052666.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000338.1:42821:44441:1 gene:VigunL052666.v1.2 transcript:VigunL052666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSEGLADADIIIAGAGSAGCVLAARLSEDPSIKVLLIEAGPVGGGFLVDMPAGTFKLMGNPKADWSYRTEPDPSINNRVVQWAGGKMLGGSSAINGLVYIRGQRSDYDTGSRRAAKGWSFDEVLPYFKKSERFDGPPSQSHGAMGPLSVSAGRVKHPIVDVFVQACGEIGLPRNDDYCDGDPEGAFQVYNTTGNGQRASVAKAFLEPALGRPNLTVIVSAGAIGSPAILLRSGIGPVDAIKAHGLDVVHLRDGDGPQAVTDAQLRVRDVAGLRVIDASSMPNIISGNTNAPTIMIAEKGAELVAAALRRRVAA >Vigun04g185800.1.v1.2 pep primary_assembly:ASM411807v1:4:41079178:41080678:1 gene:Vigun04g185800.v1.2 transcript:Vigun04g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISSIPDDILLYILSSLPTKQVVATSVLSKRWNPLWRSVPSFDFCIGYENRKEAYDHFHSVSSFLRSRDRDQPLLRFRLNYFFNCFDPTVHYSYKAIRHTESIIKTRIENAVSGNARVQHLDLCLDLYIVMPSVVFTFKTLVFLKLANVTVENIPFVDFSMLKILHLNRVLFSEGIDISQLLSGCPNLEDLEVKCILINAELKFSRLSTLGNAKFFPLEIVKNVKVLSTDLFRQQDWIYDFQNLVQLKLDYLYIQNNWVEFLETLRHCPMLQTLAIGCIGKSSFGSSGQGHEEGMLPDPQSVPACISSHLQTCTFGCYRGSMDEFLFARYIMQNAKYLRTMKIKIYSYNGEKPDMIRELSSCKKSSDTFKLSFDN >Vigun03g012100.1.v1.2 pep primary_assembly:ASM411807v1:3:817254:820748:-1 gene:Vigun03g012100.v1.2 transcript:Vigun03g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKGVRFLAYQSIFSSVGSGDFDGVKKLVEKVKNEEGSSLSDVMSLQNDAGESALYIAAENNLQEIFSYLLNLCDFEAVKIRSKSDMNAFHVAAKRGHLDIVRELLSTWPEVCKLCDSSNTSPLYSAAVQDHLDVVNAILDVDVSSMFIVRKNGKTSLHNAARYGVVRIVKALIARDPGIVCIKDKKGQTALHMAVKGQCTSVVEEILLADPSILNERDKKGNTALHMATRKARSQIVILLLSYSAMDVNAINKQQETALDLADKLAYGDSALEIQEALAEYGAKHARHVGKVDEAMELKRTVSDIKHEVQSQLIQNETTRRRVSGIAKELKKLHREAVQNTINSVTVVAVLFASIAFLAIFNLPGQYQVKPGEEIGKANIADHLSFQVFCFLNSTSLFISLAVVVVQITLVAWDTRAQKQIVSVVNKLMWAACACTCGAFLAIAFEVVGGKTWMAVTITILGVPILVGTLLSMCYFVFRQHFGIFRSDSQRRIKRASGSKSFSWSYSANISDLDEYDSDIEKIYAL >Vigun06g015600.4.v1.2 pep primary_assembly:ASM411807v1:6:7236176:7243163:1 gene:Vigun06g015600.v1.2 transcript:Vigun06g015600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLFHTGEVKDAGINRSPSAYLQNPADERARYPSNVDTEMSVLRFVDGESGESIGAFTWFATHGTSMSNRNKLISGDNKGVAARLFEDWFASQNTSSNTNSTVPDVGQLMKMAQSIKATGGKGCSKQANEASKVRKNDGSLFVGAFCQSNVGDVSPNVLGAFCIDTGKPCDFNHSSCNGNDKLCVGRGPGYPDEILSTKIIGERQFKSAMELFESASEEVTGKIEYLHVYLNFTDIEVELDSNKVVKTCPAALGPGFAAGTTDGPGAFGFQQGDLKINPFWKNLRDFLKEPSQYQVDCQNPKPVLLSTGEMFDPYAWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKETLISSSNGEFNDKTHVVIAGLTNAYSQYIATFEEYQHQRYEAASTLYGPHTLSAYIQEFKKLAEAMAEGQNITIKGPSPPDLSSVQISLLDGPFGDSPPEGIEFGDIKEDIAFPERGYFRKGDTPSATFWSANPRYDLLTEGTFAAVERLQGKRWIATYDDDDLSLFFKWKVDNSSLHGLATIEWEIPDGAVSGVYRLRHFGATRITITSPISYFTGASSAFAVQ >Vigun06g015600.3.v1.2 pep primary_assembly:ASM411807v1:6:7236176:7243163:1 gene:Vigun06g015600.v1.2 transcript:Vigun06g015600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLFHTGEVKDAGINRSPSAYLQNPADERARYPSNVDTEMSVLRFVDGESGESIGAFTWFATHGTSMSNRNKLISGDNKGVAARLFEDWFASQNTSSNTNSTVPDVGQLMKMAQSIKATGGKGCSKQANEASKVRKNDGSLFVGAFCQSNVGDVSPNVLGAFCIDTGKPCDFNHSSCNGNDKLCVGRGPGKCSAYKFAWSRYPDEILSTKIIGERQFKSAMELFESASEEVTGKIEYLHVYLNFTDIEVELDSNKVVKTCPAALGPGFAAGTTDGPGAFGFQQGDLKINPFWKNLRDFLKEPSQYQVDCQNPKPVLLSTGEMFDPYAWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKETLISSSNGEFNDKTHVVIAGLTNAYSQYIATFEEYQHQRYEAASTLYGPHTLSAYIQEFKKLAEAMAEGQNITIKGPSPPDLSSVQISLLDGPFGDSPPEGIEFGDIKEDIAFPERGYFRKGDTPSATFWSANPRYDLLTEGTFAAVERLQGKRWIATYDDDDLSLFFKWKVDNSSLHGLATIEWEIPDGAVSGVYRLRHFGATRITITSPISYFTGASSAFAVQ >Vigun11g008150.1.v1.2 pep primary_assembly:ASM411807v1:11:887225:889186:1 gene:Vigun11g008150.v1.2 transcript:Vigun11g008150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSSLYSALLCFCLLLLANLGSVLSISQISGNEVNKDGKVVNEELGKVNVEGDDEESKFKGLFPKPIPFAKPIPKPVPLIKPIPIPFYKKPTPKPIPDIKPIPSEEAKFKGIFPKPIPIVKPVPKIIPVVKPIPILKPVPKIIPIVKPIPILKPVPKVVPIVKPIPIIKPVPKVVPIVKPIPILKPVPKPIVKPIPVLKPVPEPFVKPIPKPFAVKNPIPTIESEEFLKPKPFFKKSIPKLPFYPKFKKPFLPKLPIQKPIPSP >VigunL021400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:3946:4068:-1 gene:VigunL021400.v1.2 transcript:VigunL021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun01g023500.1.v1.2 pep primary_assembly:ASM411807v1:1:2548539:2554062:-1 gene:Vigun01g023500.v1.2 transcript:Vigun01g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPENVPSFIAAQLSHLLAHFRLTLKVDQMWSADKYNSGPFDRFSLLIPYCLDYIRWDVIFDAESPNAAPDVIFGPEDDHFHPFHMLPSPESNNNCLSDWNYKDPSRLLTLIQFLRDQYVLYQRKRVEEVDDERLKFEISTILSREGIEMYTGSNADKLEEVKFAVPLLDMNINKMVSCCPWRYSQKIYLQVVYPVGRKYTSVPSAPRLKLVSSPELKALFSIDDVKLPSWVDGMCLAEYLPNLEEYLGKQVLEAVSLIEVRRQFIEALASPFGRPIEADPIFCRKATFLCTSGVFTFLVHFMIPTQFPKQQPAVMLQSSQHFNSQMAPLKSRLISDYPWSPRWEPLQMAERICEFLADEALNFKRQCSEGQVQ >Vigun04g166400.6.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111493:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.10.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.7.v1.2 pep primary_assembly:ASM411807v1:4:39091386:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCQ >Vigun04g166400.3.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111493:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.5.v1.2 pep primary_assembly:ASM411807v1:4:39091386:39111493:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.15.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLFSSMMILFMDFELEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.18.v1.2 pep primary_assembly:ASM411807v1:4:39091386:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.9.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.13.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLFSSMMILFMDFELEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.1.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.19.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.17.v1.2 pep primary_assembly:ASM411807v1:4:39091386:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.4.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111493:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.11.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.16.v1.2 pep primary_assembly:ASM411807v1:4:39091408:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLFSSMMILFMDFELEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.2.v1.2 pep primary_assembly:ASM411807v1:4:39090273:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.8.v1.2 pep primary_assembly:ASM411807v1:4:39091386:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMQWSTGIENCAAGFLTHSRADSVPLQTDDLDTEWPSRPSRVGPLPNLVVTAANVLEVYAVRIQEDQPNKAADPRRGTLLDGIEGASLELVCHYRLHGNIETMAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCQ >Vigun04g166400.20.v1.2 pep primary_assembly:ASM411807v1:4:39091396:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGKELHPYWRHP >Vigun04g166400.12.v1.2 pep primary_assembly:ASM411807v1:4:39091327:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSIGGGDASRKRDSIILTFADAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun04g166400.14.v1.2 pep primary_assembly:ASM411807v1:4:39091408:39111470:-1 gene:Vigun04g166400.v1.2 transcript:Vigun04g166400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLFSSMMILFMDFELEWLHLKRGREQFARGPVVKVDPQGRCGGALIYDLQMIILKATQAGSGLVGDDDALGFSGAVAARIESSYMINLRDLDMRHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVIGANTVHYHSQSASCSLALNSYAVSPDNSQEMPRSSFNVELDSANATWLLSDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLSSGITTIGNCLFFLASRLGDSMLVQFSCGSGGSMLSSNLKEEVGDIEVDAPSKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSIRPEVITEVELPGCKGIWTVYHKSTRSHNTDSSKLADDDDEYHAYLIISLEARTMVLETADLLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVTFGASNSESGSASESAVALSVSIADPFVLLRMSDGSVRLLIGDPITCTISVTSPASFESTKGSVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAAQDHGDIYCVVCFDNGNLEIFDVPNFNCVFSVENFMSGKSHLVDALMKEVLKDSKMGDRDGVVSQGRKENVPDMKVEELAIQRWSGQHSRPFLFGILSDGTILCYHAYLYESPDGTSKVEDSASAGGSVGLGTTNVSRLRNLRFVRVPLDAYAREETSNGSPRQQITIFKNIGNYQGFFLSGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGVLKICQLPSGSNYDSYWPVQKIPLKATPHQVTYFAEKNLYPLIVSFPVLKPLNQVISLVDQDVNHQSEGQNMNSDEQNRFYPIDEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLLNTTSKENETLLAIGTAYVQGEDVAARGRILLFSLGKNTDNPQSLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGIAFFDAPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFATEFLIDGSTLSLMVSDDKKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRAGSAPGSDKTNRFALLFGTLDGSIGCIAPLDEITFRRLQSLQRKLVDAVAHVAGLNPRAFRQFQSNGKAHKPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLSDLSLGTSFL >Vigun02g010966.1.v1.2 pep primary_assembly:ASM411807v1:2:4309776:4312278:1 gene:Vigun02g010966.v1.2 transcript:Vigun02g010966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSEKSERGVTENTVEVVQEMVVSILWHCCRTKYIASLNKSLSGEQRSVIEDTPFGWLMMLKRSCVVERRGFIISNKVVRLSLLDICLGLGLRVASDSINLDDVFVESKCRKLLYNEIVDVEMLYDFLRCRESFLILSRKGTIFPMLFTIVDDLSGLGKYNWGGLIWAFEHFLIPKCDVGKSSTMFARILQWIDMKVAEEKKSTALNNNKVVMGVGVSKCELCHPFVKEGFDKYGEGFEDDEDEEEEHLSITIPKQEDDIMELRKAMKEFKAIINNMSNKILKQEVPAEPHNYGGHEDFDEYGQQDRFEVVERTPSRQHGEEVGANIHQDEAQSSNLYHRLKEELRHRFKSIVIRTPFMTYARRRKRNS >Vigun11g219100.3.v1.2 pep primary_assembly:ASM411807v1:11:41178082:41193525:-1 gene:Vigun11g219100.v1.2 transcript:Vigun11g219100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGESMGESSSRVSPTLQHPTAGIIKRLRLENFMCHTKHETEFGSHVNFITGQNGSGKSAILTALCVAFGCRAKGTQRATTLKDFIKTGASNAVIQVEIQNEGEDAFKPEIYGHVINVERRISESASSTTLKDHQGRKVVSRKAELQEIVEHFNIDVENPCVIMTQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESIFKEITVAYEVIKELEAAIRPIENELNELQVKIRNMEHVEQISIQVQQLKKKLAWSWVYDVDKQLEEQNIKIEKLKNRIPTCQAKIDQQLHLVDKLKERCSEKRDEIARMLEKTSQVKQMKENLNQSVSMAKKEAFQLERDCKSKTSNIQKMVRQLKMLKQQVQDIHEQHVKNTQAEDSNVEEKLKVLKDEVHAAELELKRLKEEDASLMESIRRQNDEIQNIADKIRDHERNHHAIMGRIRDLQQHQSNKQLTVFGGAKVINLLQIIERYHQRFKMPPIGPIGAHLKLLHGNKWALALEYAIGRLLNAFIVTDHADCRLLKQCAKEANYGHLQIIIYDFSIPRLKIPHHMLPETEHPSILSVLQCDNHTVINVLVDLGSVERQVLVKDYEVGKVVAFEQRIRNLKEVYAANGCRMFSRGQVQNVLPPNKRQRTGRLCGSFEDEIEKLRAEASDEQRIASDCKKNKRVAEVKLEELDKYMSSIKRQCVNAGKSFTSKKLALEEEMHLHTAENSATPLSSVDELVEEISEIQKKIEEEQVLLEGLEQKGLEAAGKADGLKVKFDKLCESANVEIAALEKAESELVEIEKEMGSARKAKDHYEGVMKNKVLSDIAEAEDHYQELTKKRKESVEKASIICPENELDSLGGCDGNTPEQISAQLGRLNQTLMRESQRYSESIDDLRMLYKKKERKIMKRQQVYKTLRQKLDHKKGWAWPPK >Vigun11g219100.4.v1.2 pep primary_assembly:ASM411807v1:11:41178082:41193525:-1 gene:Vigun11g219100.v1.2 transcript:Vigun11g219100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGESMGESSSRVSPTLQHPTAGIIKRLRLENFMCHTKHETEFGSHVNFITGQNGSGKSAILTALCVAFGCRAKGTQRATTLKDFIKTGASNAVIQVEIQNEGEDAFKPEIYGHVINVERRISESASSTTLKDHQGRKVVSRKAELQEIVEHFNIDVENPCVIMTQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESIFKEITVAYEVIKELEAAIRPIENELNELQVKIRNMEHVEQISIQVQQLKKKLAWSWVYDVDKQLEEQNIKIEKLKNRIPTCQAKIDQQLHLVDKLKERCSEKRDEIARMLEKTSQVKQMKENLNQSVSMAKKEAFQLERDCKSKTSNIQKMVRQLKMLKQQVQDIHEQHVKNTQAEDSNVEEKLKVLKDEVHAAELELKRLKEEDASLMESIRRQNDEIQNIADKIRDHERNHHAIMGRIRDLQQHQSNKLTVFGGAKVINLLQIIERYHQRFKMPPIGPIGAHLKLLHGNKWALALEYAIGRLLNAFIVTDHADCRLLKQCAKEANYGHLQIIIYDFSIPRLKIPHHMLPETEHPSILSVLQCDNHTVINVLVDLGSVERQVLVKDYEVGKVVAFEQRIRNLKEVYAANGCRMFSRGQVQNVLPPNKRQRTGRLCGSFEDEIEKLRAEASDEQRIASDCKKNKRVAEVKLEELDKYMSSIKRQCVNAGKSFTSKKLALEEEMHLHTAENSATPLSSVDELVEEISEIQKKIEEEQVLLEGLEQKGLEAAGKADGLKVKFDKLCESANVEIAALEKAESELVEIEKEMGSARKAKDHYEGVMKNKVLSDIAEAEDHYQELTKKRKESVEKASIICPENELDSLGGCDGNTPEQISAQLGRLNQTLMRESQRYSESIDDLRMLYKKKERKIMKRQQVYKTLRQKLDHKKGWAWPPK >Vigun11g219100.2.v1.2 pep primary_assembly:ASM411807v1:11:41175453:41193525:-1 gene:Vigun11g219100.v1.2 transcript:Vigun11g219100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGESMGESSSRVSPTLQHPTAGIIKRLRLENFMCHTKHETEFGSHVNFITGQNGSGKSAILTALCVAFGCRAKGTQRATTLKDFIKTGASNAVIQVEIQNEGEDAFKPEIYGHVINVERRISESASSTTLKDHQGRKVVSRKAELQEIVEHFNIDVENPCVIMTQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESIFKEITVAYEVIKELEAAIRPIENELNELQVKIRNMEHVEQISIQVQQLKKKLAWSWVYDVDKQLEEQNIKIEKLKNRIPTCQAKIDQQLHLVDKLKERCSEKRDEIARMLEKTSQVKQMKENLNQSVSMAKKEAFQLERDCKSKTSNIQKMVRQLKMLKQQVQDIHEQHVKNTQAEDSNVEEKLKVLKDEVHAAELELKRLKEEDASLMESIRRQNDEIQNIADKIRDHERNHHAIMGRIRDLQQHQSNKQLTVFGGAKVINLLQIIERYHQRFKMPPIGPIGAHLKLLHGNKWALALEYAIGRLLNAFIVTDHADCRLLKQCAKEANYGHLQIIIYDFSIPRLKIPHHMLPETEHPSILSVLQCDNHTVINVLVDLGSVERQVLVKDYEVGKVVAFEQRIRNLKEVYAANGCRMFSRGQVQNVLPPNKRQRTGRLCGSFEDEIEKLRAEASDEQRIASDCKKNKRVAEVKLEELDKYMSSIKRQCVNAGKSFTSKKLALEEEMHLHTAENSATPLSSVDELVEEISEIQKKIEEEQVLLEGLEQKGLEAAGKADGLKVKFDKLCESANVEIAALEKAESELVEIEKEMGSARKAKDHYEGVMKNKVLSDIAEAEDHYQELTKKRKESVEKASIICPENELDSLGGCDGNTPEQISAQLGRLNQTLMRESQRYSESIDDLRMLYKKKERKIMKRQQVYKTLRQKLDACQRALELRTRKFHRNATYLKHQLSWKFNGHLRKKGISGLIKVNYEQKTLMIEVQMPQDASNEAVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAEAHGSQWIFITPHDTSMVRTGDRIKKMQMAAPRS >Vigun11g219100.1.v1.2 pep primary_assembly:ASM411807v1:11:41175453:41193525:-1 gene:Vigun11g219100.v1.2 transcript:Vigun11g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRGESMGESSSRVSPTLQHPTAGIIKRLRLENFMCHTKHETEFGSHVNFITGQNGSGKSAILTALCVAFGCRAKGTQRATTLKDFIKTGASNAVIQVEIQNEGEDAFKPEIYGHVINVERRISESASSTTLKDHQGRKVVSRKAELQEIVEHFNIDVENPCVIMTQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESIFKEITVAYEVIKELEAAIRPIENELNELQVKIRNMEHVEQISIQVQQLKKKLAWSWVYDVDKQLEEQNIKIEKLKNRIPTCQAKIDQQLHLVDKLKERCSEKRDEIARMLEKTSQVKQMKENLNQSVSMAKKEAFQLERDCKSKTSNIQKMVRQLKMLKQQVQDIHEQHVKNTQAEDSNVEEKLKVLKDEVHAAELELKRLKEEDASLMESIRRQNDEIQNIADKIRDHERNHHAIMGRIRDLQQHQSNKLTVFGGAKVINLLQIIERYHQRFKMPPIGPIGAHLKLLHGNKWALALEYAIGRLLNAFIVTDHADCRLLKQCAKEANYGHLQIIIYDFSIPRLKIPHHMLPETEHPSILSVLQCDNHTVINVLVDLGSVERQVLVKDYEVGKVVAFEQRIRNLKEVYAANGCRMFSRGQVQNVLPPNKRQRTGRLCGSFEDEIEKLRAEASDEQRIASDCKKNKRVAEVKLEELDKYMSSIKRQCVNAGKSFTSKKLALEEEMHLHTAENSATPLSSVDELVEEISEIQKKIEEEQVLLEGLEQKGLEAAGKADGLKVKFDKLCESANVEIAALEKAESELVEIEKEMGSARKAKDHYEGVMKNKVLSDIAEAEDHYQELTKKRKESVEKASIICPENELDSLGGCDGNTPEQISAQLGRLNQTLMRESQRYSESIDDLRMLYKKKERKIMKRQQVYKTLRQKLDACQRALELRTRKFHRNATYLKHQLSWKFNGHLRKKGISGLIKVNYEQKTLMIEVQMPQDASNEAVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAEAHGSQWIFITPHDTSMVRTGDRIKKMQMAAPRS >Vigun07g155200.1.v1.2 pep primary_assembly:ASM411807v1:7:26632224:26639900:1 gene:Vigun07g155200.v1.2 transcript:Vigun07g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVDEISDHFWPSPPIPVSAADEASKMSRSASEWAFQRFLQEASAPSPPSPSSATKAAPAAAPDVVFVEIDDHPKPAPAPPQNAAVLPNAPVPVPLDSDEYQAFLKSKLNLACQAVAMTRASLAKSQEPTPFSDGGSQPIDPSQVGSQTTSKGSIPSGNDPSKLQDKDTKVPVGVPSIPSTQKKPVVAVRPSTSGSSRELSDDDDAEGETSMNDMDPADAKRVRRMLSNRESARRSRRRKQAHLTDLETQVSQLRGENSTLLKRLTDVSQKYSESAVDNRVLKADVETLRAKVKMAEETVKRITGLNPMVHAMSGMPLFDGRSPSDTSADAAVPVQDDHHHFYQPTSNTPIPSHDPIVNDGLGGMSSIENVQQQNSAAVAGGNKMGQTGSLQRVASLEHLQKRIRGGVDSCGPPSNGEQ >Vigun08g143000.4.v1.2 pep primary_assembly:ASM411807v1:8:31549939:31554155:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVKKKALSRVNFSFKKKGKYPVFPLVRYNEFRQPVCQVCGVVMLDSIRSHLASPEHNEEMKKRNTNTTASTDHNNNAKPLTGTNSPKANTEQPQDKERQLPECSQNVPQDQSPSTLPRDFSDDSGKIRTRSVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun08g143000.3.v1.2 pep primary_assembly:ASM411807v1:8:31549939:31554155:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVKKKALSRVNFSFKKKGKYPVFPLVRYNEFRQPVCQVCGVVMLDSIRSHLASPEHNEEMKKRNTNTTASTDHNNNAKPLTGTNSPKANTEQPQDKERQLPECSQNVPQDQSPSTLPRDFSDDSGKIRTRSVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKVKIIALLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun08g143000.2.v1.2 pep primary_assembly:ASM411807v1:8:31549893:31554155:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVKKKALSRVNFSFKKKGKYPVFPLVRYNEFRQPVCQVCGVVMLDSIRSHLASPEHNEEMKKRNTNTTASTDHNNNAKPLTGTNSPKANTEQPQDKERQLPECSQNVPQDQSPSTLPRDFSDDSGKIRTRSVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun08g143000.1.v1.2 pep primary_assembly:ASM411807v1:8:31549893:31554155:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVKKKALSRVNFSFKKKGKYPVFPLVRYNEFRQPVCQVCGVVMLDSIRSHLASPEHNEEMKKRNTNTTASTDHNNNAKPLTGTNSPKANTEQPQDKERQLPECSQNVPQDQSPSTLPRDFSDDSGKIRTRSVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKVKIIALLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun08g143000.6.v1.2 pep primary_assembly:ASM411807v1:8:31549928:31553833:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKPFLKSEKLILPNTPFTEAVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun08g143000.5.v1.2 pep primary_assembly:ASM411807v1:8:31549928:31553833:-1 gene:Vigun08g143000.v1.2 transcript:Vigun08g143000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKPFLKSEKLILPNTPFTEAVSKVTEMMDPPKDQEYVESNNSSVKMKSKISPSIHEALASSKRGRPRKTKPTKFIINQTPNPPSTPRRSKRIKHVADRTADNVPEASSSEELLKVKIIALLKRRTAESSLVNGKESSQVDGKESSPVDDKEASSDIDPLVMYKRKRLPRKRYSGQTSKTTKKSAPREPPIAAISSSTTDLPPYNDPPAAAPVNVDAPLVENTNAAEPILNGRLVEEVEKVNPAQANAQEQVASSKGEESPDQMDPKADEVSSGNTPLDVEAIDKMIEDDPLSAIENILTGKVSISSKTSQSATLSEPPESQSCPTDVLSKELKDLMQTFSLGDFVTDYEQLSKVLLILEELHKNENLLSLAQQTFMRTFRLFFNSAVAHHKESCTVGIKKVKLNRAKEDILHKLQETKHAQEQITTSISIANIRVNEISSSIEQLEEQLSKLKEERETFQLAINEGEKQRETLKNDSILWAHQTKDLVFDLAEIEAKEKALRQQLEADKDAYNQFKASFPF >Vigun04g004700.2.v1.2 pep primary_assembly:ASM411807v1:4:310918:314949:1 gene:Vigun04g004700.v1.2 transcript:Vigun04g004700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGLSLESKYRVTLLHLPSVFTVFMPGKVKRQHFVWDELLVVGVAVKDNTSYGILSGAEKYMTSSDEDIVESDVGVVATDDARCSAIGVSLIKQGGHAVDAAVAAALCIGVVLSVSSGIGGGGFMVVRSSSTSPTQAFDMRETAPAAASQNMYEKNLKDKSLGVLSMGIPGELAGLHAAWSKHGRFPWKTLFQPAIELAKRGFVVSPALGGFIVKEAKKILDDPGLRKLYAPEGTLLKAGDVCKNEELGRTLEVVAEQGPQAFYNGTIAEKLVKDVKEAGGILTMEDLRNYKLEIEDAMTLNVMGYTIYGMPPPSSGTLALSLVLNILDSYGDPDAARGNLGLHRLIEALRFMFAVRMNLGDPNFVENIDDTISKMLSPSFAKEIQQLIFDNTTFPPEYYMNRWSQLRDHGTSHLCVVDADRNAVSLTSTVNYHFGAGFRSTSTGILVNNEMDDFSAPTEISPDKLPPAPANFIEPNKRPLSSMTPLIVTKNNELVGVLGGSGGMNIAPAVIQVFVNHFILGMKPLDAVLSPRIYHKLVPNVVSYENLTALNGDHIQLSKETRIFLEERGHILRECEALAVTQLVVQNPETAADMNRKIGKNINLQSKHGTLIAVSDPRKGGCPAAV >Vigun04g004700.1.v1.2 pep primary_assembly:ASM411807v1:4:310238:314949:1 gene:Vigun04g004700.v1.2 transcript:Vigun04g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLLQSQVLPHRLKWVKIIFCVFVAATIVGVAVKDNTSYGILSGAEKYMTSSDEDIVESDVGVVATDDARCSAIGVSLIKQGGHAVDAAVAAALCIGVVLSVSSGIGGGGFMVVRSSSTSPTQAFDMRETAPAAASQNMYEKNLKDKSLGVLSMGIPGELAGLHAAWSKHGRFPWKTLFQPAIELAKRGFVVSPALGGFIVKEAKKILDDPGLRKLYAPEGTLLKAGDVCKNEELGRTLEVVAEQGPQAFYNGTIAEKLVKDVKEAGGILTMEDLRNYKLEIEDAMTLNVMGYTIYGMPPPSSGTLALSLVLNILDSYGDPDAARGNLGLHRLIEALRFMFAVRMNLGDPNFVENIDDTISKMLSPSFAKEIQQLIFDNTTFPPEYYMNRWSQLRDHGTSHLCVVDADRNAVSLTSTVNYHFGAGFRSTSTGILVNNEMDDFSAPTEISPDKLPPAPANFIEPNKRPLSSMTPLIVTKNNELVGVLGGSGGMNIAPAVIQVFVNHFILGMKPLDAVLSPRIYHKLVPNVVSYENLTALNGDHIQLSKETRIFLEERGHILRECEALAVTQLVVQNPETAADMNRKIGKNINLQSKHGTLIAVSDPRKGGCPAAV >Vigun03g083700.1.v1.2 pep primary_assembly:ASM411807v1:3:6956699:6957917:1 gene:Vigun03g083700.v1.2 transcript:Vigun03g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENAEKVDLSLNTVSDTKPKKEWINLSLRVLSFFATASATLIMALNKQTKTLVVATIGTTPLTITLTAKFLHTPAFIFFVIVNGIVSLYNLVVIAMDILGPHYDYKGLRLGLTAILDVMAMALAASGDGAATFMAEVGRNGNSHAKWEKICDKFEAYCNRSGVALATSFLGIILLLVVTVMSITKLSKLNRI >Vigun03g083700.2.v1.2 pep primary_assembly:ASM411807v1:3:6956541:6957845:1 gene:Vigun03g083700.v1.2 transcript:Vigun03g083700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENAEKVDLSLNTVSDTKPKKEWINLSLRVLSFFATASATLIMALNKQTKTLVVATIGTTPLTITLTAKFLHTPAFIFFVIVNGIVSLYNLVVIAMDILGPHYDYKGLRLGLTAILDVMAMALAASGDGAATFMAEVGRNGNSHAKWEKICDKFEAYCNRSGVALATSFLGIILLLVVTVMSITKLSKLNRI >VigunL009602.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:18734:19515:1 gene:VigunL009602.v1.2 transcript:VigunL009602.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFGLYINSHCDYDAGFPLCTIHSLSGLDTSVSLLTQAPLVDYRNLPRLALSATDRWPLSLKTNHLIRTFFGGKSFGDFRGVVFFRVGQPLGFLSSWPLFTLTHHLVMFYCADKVYPGQRFTRYAILGDDVCIADENVASLYRQTVNDLGLAIRKGVR >Vigun04g087900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15234847:15235479:-1 gene:Vigun04g087900.v1.2 transcript:Vigun04g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLVVLALVFVALSGIAMAEESPSASPESSTSPTSSPKSSPSPTSSPKSSPSPKSSSSSSSSPSPSSSAPESSSSSSAPESSSSSSSAPESSSSSTPESSPSSAPSSSPKSSSSPASSPSSSPKSSPAPSTASPLSSPPSPSPSSDETEVATPPAPGSNPIELYAPEPEPFDAYSPEADPADIANGAAARQISAAVLAAVAAAGFFAF >Vigun10g143000.5.v1.2 pep primary_assembly:ASM411807v1:10:35994438:36000474:-1 gene:Vigun10g143000.v1.2 transcript:Vigun10g143000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANGGTGAGTEEHKRKTNESFLKNGGQQNMSKSPRLCTPIKKEWSESLPNDNVIGNQDNGVNRYVISLAGSGLPRTSLCSGIDSEHIVEKLSVAIRNYKNKNPELVTHPHNSRQTRNQLTVESKYNDINREVVSEVEEQIPFRLSKVLKGKDFEVWDLKPLSGKSVNQTIISNNAHLISSITQSTSSAYNYPQLIVKQTRKGKEVICEDLEKSFSIVGAHKSLQHEKPFAATFQSDTLRRSNVDDDDDNKPLVEETVVSGSNEINLREWLKSECHNMKKLGKIHIFKQVLELVDSAHSQGLVLLDFTPSCFTLLSSSKIKYIGSYGQQRLGNEVMTCNVTRKRPLEQNTCACQSSSTKQQKLFEETGSFRKLHQCSSTHGFRRIVNQTDSDTIKPLESRSKDISNCQHTFTIENQFMSATIPLEEKWYCSPEMLNDGVCTLSSNIYSLGVLLFELLCNVESREAHSTAMLELCHRILPPKFLAENPKEAGFCLWLLHPEPSSRPNARIILESEFIRESEESSSIDDVGISDDEAETDKLLHFLTSLKEEKMIQASKLEEQLNLLNEDIQEIERRYPFGTDSVFPLAQMKNSEVSENNNFHFQDSSSSDMSRSIQRSFGDEERFMANISQLENSYFSSRIRVLPKDASSIPSNDKNLMEKHAANKEPRRISTSVGCLGSFFEGLCKFARCSKFEERGRLRNRDLLSSSNVMCALSFDRDEDYMAAAGVSKKIKIFDLNAISSDSVDIQYPLVEMTNKSKLSCVCWNTYIKNHLASTDYDGVVQMWDADTGQPLSQYMEHQKRAWSVHFSLSDPKMFASGSDDCSVKLWNISEKNSLGTIWNPANICCVQFSSYSTNLLFFGSADYKVYGYDLRHTRIPWCTLAGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSGLSSDACVLNYKGHSNEKNFVGLSVLDEYIACGSESNEVYCYHKSLPMPIASHKFESIDPISGHLNSGDNSGQFVSSVCWRKKCNMLVAANSVGIVKLLQMV >Vigun10g143000.1.v1.2 pep primary_assembly:ASM411807v1:10:35994336:36000650:-1 gene:Vigun10g143000.v1.2 transcript:Vigun10g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANGGTGAGTEEHKRKTNESFLKNGGQQNMSKSPRLCTPIKKEWSESLPNDNVIGNQDNGVNRNYKNKNPELVTHPHNSRQTRNQLTVESKYNDINREVVSEVEEQIPFRLSKVLKGKDFEVWDLKPLSGKSVNQTIISNNAHLISSITQSTSSAYNYPQLIVKQTRKGKEVICEDLEKSFSIVGAHKSLQHEKPFAATFQSDTLRRSNVDDDDDNKPLVEETVVSGSNEINLREWLKSECHNMKKLGKIHIFKQVLELVDSAHSQGLVLLDFTPSCFTLLSSSKIKYIGSYGQQRLGNEVMTCNVTRKRPLEQNTCACQSSSTKQQKLFEETGSFRKLHQCSSTHGFRRIVNQTDSDTIKPLESRSKDISNCQHTFTIENQFMSATIPLEEKWYCSPEMLNDGVCTLSSNIYSLGVLLFELLCNVESREAHSTAMLELCHRILPPKFLAENPKEAGFCLWLLHPEPSSRPNARIILESEFIRESEESSSIDDVGISDDEAETDKLLHFLTSLKEEKMIQASKLEEQLNLLNEDIQEIERRYPFGTDSVFPLAQMKNSEVSENNNFHFQDSSSSDMSRSIQRSFGDEERFMANISQLENSYFSSRIRVLPKDASSIPSNDKNLMEKHAANKEPRRISTSVGCLGSFFEGLCKFARCSKFEERGRLRNRDLLSSSNVMCALSFDRDEDYMAAAGVSKKIKIFDLNAISSDSVDIQYPLVEMTNKSKLSCVCWNTYIKNHLASTDYDGVVQMWDADTGQPLSQYMEHQKRAWSVHFSLSDPKMFASGSDDCSVKLWNISEKNSLGTIWNPANICCVQFSSYSTNLLFFGSADYKVYGYDLRHTRIPWCTLAGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSGLSSDACVLNYKGHSNEKNFVGLSVLDEYIACGSESNEVYCYHKSLPMPIASHKFESIDPISGHLNSGDNSGQFVSSVCWRKKCNMLVAANSVGIVKLLQMV >Vigun10g143000.4.v1.2 pep primary_assembly:ASM411807v1:10:35994438:36000572:-1 gene:Vigun10g143000.v1.2 transcript:Vigun10g143000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANGGTGAGTEEHKRKTNESFLKNGGQQNMSKSPRLCTPIKKEWSESLPNDNVIGNQDNGVNRYVISLAGSGLPRTSLCSGIDSEHIVEKLSVAIRNYKNKNPELVTHPHNSRQTRNQLTVESKYNDINREVVSEVEEQIPFRLSKVLKGKDFEVWDLKPLSGKSVNQTIISNNAHLISSITQSTSSAYNYPQLIVKQTRKGKEVICEDLEKSFSIVGAHKSLQHEKPFAATFQSDTLRRSNVDDDDDNKPLVEETVVSGSNEINLREWLKSECHNMKKLGKIHIFKQVLELVDSAHSQGLVLLDFTPSCFTLLSSSKIKYIGSYGQQRLGNEVMTCNVTRKRPLEQNTCACQSSSTKQQKLFEETGSFRKLHQCSSTHGFRRIVNQTDSDTIKPLESRSKDISNCQHTFTIENQFMSATIPLEEKWYCSPEMLNDGVCTLSSNIYSLGVLLFELLCNVESREAHSTAMLELCHRILPPKFLAENPKEAGFCLWLLHPEPSSRPNARIILESEFIRESEESSSIDDVGISDDEAETDKLLHFLTSLKEEKMIQASKLEEQLNLLNEDIQEIERRYPFGTDSVFPLAQMKNSEVSENNNFHFQDSSSSDMSRSIQRSFGDEERFMANISQLENSYFSSRIRVLPKDASSIPSNDKNLMEKHAANKEPRRISTSVGCLGSFFEGLCKFARCSKFEERGRLRNRDLLSSSNVMCALSFDRDEDYMAAAGVSKKIKIFDLNAISSDSVDIQYPLVEMTNKSKLSCVCWNTYIKNHLASTDYDGVVQMWDADTGQPLSQYMEHQKRAWSVHFSLSDPKMFASGSDDCSVKLWNISEKNSLGTIWNPANICCVQFSSYSTNLLFFGSADYKVYGYDLRHTRIPWCTLAGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSGLSSDACVLNYKGHSNEKNFVGLSVLDEYIACGSESNEVYCYHKSLPMPIASHKFESIDPISGHLNSGDNSGQFVSSVCWRKKCNMLVAANSVGIVKLLQMV >Vigun10g143000.3.v1.2 pep primary_assembly:ASM411807v1:10:35994438:36000472:-1 gene:Vigun10g143000.v1.2 transcript:Vigun10g143000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANGGTGAGTEEHKRKTNESFLKNGGQQNMSKSPRLCTPIKKEWSESLPNDNVIGNQDNGVNRYVISLAGSGLPRTSLCSGIDSEHIVEKLSVAIRNYKNKNPELVTHPHNSRQTRNQLTVESKYNDINREVVSEVEEQIPFRLSKVLKGKDFEVWDLKPLSGKSVNQTIISNNAHLISSITQSTSSAYNYPQLIVKQTRKGKEVICEDLEKSFSIVGAHKSLQHEKPFAATFQSDTLRRSNVDDDDDNKPLVEETVVSGSNEINLREWLKSECHNMKKLGKIHIFKQVLELVDSAHSQGLVLLDFTPSCFTLLSSSKIKYIGSYGQQRLGNEVMTCNVTRKRPLEQNTCACQSSSTKQQKLFEETGSFRKLHQCSSTHGFRRIVNQTDSDTIKPLESRSKDISNCQHTFTIENQFMSATIPLEEKWYCSPEMLNDGVCTLSSNIYSLGVLLFELLCNVESREAHSTAMLELCHRILPPKFLAENPKEAGFCLWLLHPEPSSRPNARIILESEFIRESEESSSIDDVGISDDEAETDKLLHFLTSLKEEKMIQASKLEEQLNLLNEDIQEIERRYPFGTDSVFPLAQMKNSEVSENNNFHFQDSSSSDMSRSIQRSFGDEERFMANISQLENSYFSSRIRVLPKDASSIPSNDKNLMEKHAANKEPRRISTSVGCLGSFFEGLCKFARCSKFEERGRLRNRDLLSSSNVMCALSFDRDEDYMAAAGVSKKIKIFDLNAISSDSVDIQYPLVEMTNKSKLSCVCWNTYIKNHLASTDYDGVVQMWDADTGQPLSQYMEHQKRAWSVHFSLSDPKMFASGSDDCSVKLWNISEKNSLGTIWNPANICCVQFSSYSTNLLFFGSADYKVYGYDLRHTRIPWCTLAGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSGLSSDACVLNYKGHSNEKNFVGLSVLDEYIACGSESNEVYCYHKSLPMPIASHKFESIDPISGHLNSGDNSGQFVSSVCWRKKCNMLVAANSVGIVKLLQMV >Vigun10g143000.2.v1.2 pep primary_assembly:ASM411807v1:10:35994391:36000628:-1 gene:Vigun10g143000.v1.2 transcript:Vigun10g143000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANGGTGAGTEEHKRKTNESFLKNGGQQNMSKSPRLCTPIKKEWSESLPNDNVIGNQDNGVNRNYKNKNPELVTHPHNSRQTRNQLTVESKYNDINREVVSEVEEQIPFRLSKVLKGKDFEVWDLKPLSGKSVNQTIISNNAHLISSITQSTSSAYNYPQLIVKQTRKGKEVICEDLEKSFSIVGAHKSLQHEKPFAATFQSDTLRRSNVDDDDDNKPLVEETVVSGSNEINLREWLKSECHNMKKLGKIHIFKQVLELVDSAHSQGLVLLDFTPSCFTLLSSSKIKYIGSYGQQRLGNEVMTCNVTRKRPLEQNTCACQSSSTKQQKLFEETGSFRKLHQCSSTHGFRRIVNQTDSDTIKPLESRSKDISNCQHTFTIENQFMSATIPLEEKWYCSPEMLNDGVCTLSSNIYSLGVLLFELLCNVESREAHSTAMLELCHRILPPKFLAENPKEAGFCLWLLHPEPSSRPNARIILESEFIRESEESSSIDDVGISDDEAETDKLLHFLTSLKEEKMIQASKLEEQLNLLNEDIQEIERRYPFGTDSVFPLAQMKNSEVSENNNFHFQDSSSSDMSRSIQRSFGDEERFMANISQLENSYFSSRIRVLPKDASSIPSNDKNLMEKHAANKEPRRISTSVGCLGSFFEGLCKFARCSKFEERGRLRNRDLLSSSNVMCALSFDRDEDYMAAAGVSKKIKIFDLNAISSDSVDIQYPLVEMTNKSKLSCVCWNTYIKNHLASTDYDGVVQMWDADTGQPLSQYMEHQKRAWSVHFSLSDPKMFASGSDDCSVKLWNISEKNSLGTIWNPANICCVQFSSYSTNLLFFGSADYKVYGYDLRHTRIPWCTLAGHGKAVSYVKFLDAETVVSASTDNSLKLWDLKKTSSSGLSSDACVLNYKGHSNEKNFVGLSVLDEYIACGSESNEVYCYHKSLPMPIASHKFESIDPISGHLNSGDNSGQFVSSVCWRKKCNMLVAANSVGIVKLLQMV >Vigun05g150500.1.v1.2 pep primary_assembly:ASM411807v1:5:22555826:22565183:-1 gene:Vigun05g150500.v1.2 transcript:Vigun05g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAESPESETHSAYDDDSKTNNPQIFNQTTPFIDYAAAQAQLYHRAFNDRVDSTIDAAKSRFAQIRSTSYVHFNQTLDSLDDLKSQYNAYEDLLFGKIKEGVLVAASHPLITCGAAAALGLVVLKRPRQVLYYNTLRLLVSEESMISRAHAEIKELRQSIQILKAEGEKLEKSAIHAEEQFLHGRTKLRQAGKQIRNVIHSAHKIERRAHGLKDILGELPKREASLFRSQVSQLASEAKKEKSTLTKEISKISNYGISV >Vigun11g033701.1.v1.2 pep primary_assembly:ASM411807v1:11:4501980:4506932:-1 gene:Vigun11g033701.v1.2 transcript:Vigun11g033701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAIERIVEQSPCGFVAGTDEEDMRSSHLKRHEKSDAHVDEDRSKKSPCLDKHVLLFPPASESTSAIGAWEHFKVGLFHEIDHSRLSPISPVQLKSVRIGMVSDIGEHLVSLRYPSLQSLRMHFSDQNFGKQDGKTIPALDEKYVMGMDFAIKALSRSIPTEEFAQRRNSWSFWVSPSKENIQDPENPALNDVARSLISKQGSCWTQLKFTGMMQWGKRRQVRFLGRHEEAKVQSLPEPCKGKVASVELREGTSEKKRKKGEEEVEATKEESFGDMRMTRQCRQNHQNVSSNGDKKSKKAKNDPKKQQIVLYNKNKRKISIGRWSAERYMMAEENMLKVMKEKRAVYGNPILRPDLRSEARKYIGDTGLLDHLLKHMAGKVAPGGVERFRRRHNAEGAMEYWLESADLVEMRKEAGVQDPYWTPSPGWKLGDSISQDYVTGSELREIKEEILKLKQDMRELAMKKGEETLAIETTPSSCLSSLNSEDYGSFAPKEEIYAELVSKKAKIEEQLKEISLTLSCMEEKLKMLKPTMVEEQIMSESETPQACFPGPTSVNIGGETRKEKKSYKNRVTNSADTQMQKNNSEAENKTAKIERLKSGFQICKPQGTFVWPNIGVSPHVVGNHDDQTVVPTPPSASSSTTSAPKLIFKPQPQHLPLQILTRPSSPVKPLAERRPVSTATLTHVTGPFSPHLSPPSGTPLSKITTTITINLNEAPLTLD >Vigun05g042000.1.v1.2 pep primary_assembly:ASM411807v1:5:3392536:3393880:-1 gene:Vigun05g042000.v1.2 transcript:Vigun05g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKHSNNSASNVPLLADHKTITSHPSAQDTTVSFFRTCLNGLNAITGVGILSVPYALASGGWLSLVLLFGIATAAFYTGTLIKRCMDMNTNIKTYPDIGELAFGKTGRLIVSVSMYTELYLVSIGFLILGGDNLSNLFPNAKVSIAALTIAGKQFFVILVSLIILPTVWLDNLSLLSYVSASGVFASLLIILSITWTATFDGVGFHHKGTLVHWNALPTAVSLYAFCYCAHPVFPTLYNSMTNKHHFTNVLLGCFLLTTAGYAYMAIIGYLMFGDEVESQVTLNLPLNKVSSN >Vigun02g034900.1.v1.2 pep primary_assembly:ASM411807v1:2:14592552:14598073:-1 gene:Vigun02g034900.v1.2 transcript:Vigun02g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAPPPSPFLFLLTAIFMFFHLSLLAHSVNPDFHPLMSFKAASDPSNRLAHWNSTTSDPCTWYGVSCLRNRVSRLVLQDLNLTGSILPLTSLTQLRILSLKRNRFNGPITSLANLTALRLLFLSHNNFSGPFPATLTSLYRLDLSHNTLSGEIPATLNRWTHLLTLRLDSNRLRGRIPSNINLSRLQDFNVSNNLLTGQIPESLSGFPGSAFSHNPSLCGAPLQECRAKGKSNGKSKGEAIIPALASPLKPRNDTVFARPRGREKIMGVLVLVGIVVGDVLVLALVSLLLYCYFWRNYSAAAQLRKGGKERSWNTVDEYKGGGMVFLEGVRRFELEELLRSSAELLGKGAFGSAYKAVLDDGTVVAVKRLKEVSVGGKKEFHQRMELLGRLRHSNVVSLRAYCFTKDDKFLVSDYMCNGSFSFLLHGNRGPGRTPVDWTTRLKFAAGAARGVAFIHKHNLTHGNIKATNVLIDVAGNARVADFGLSIFAGASILRSNGYRAPEVSDGRKQTQMSDVYSFGVLLIEMVTGKCPSTVGGGHETVVDLPRWVRSVGRAEWTAEVFDLELMSYKDIEEEMVALLQIAIACTETEPHQRLRMSHVVRMIEELRGVQMSPCDEGLESLSGSLSMPEASASQ >Vigun08g023800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2037570:2038100:-1 gene:Vigun08g023800.v1.2 transcript:Vigun08g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHSNSSLKIICVPRRKNFPESVTIHKVMNALKEADMNKDGHFNREELKHALKDLGSFFPGWRAQCAFKKIDANNDGQISGEEIDSLLEYLHSHGFGK >Vigun09g043800.1.v1.2 pep primary_assembly:ASM411807v1:9:4106420:4107383:-1 gene:Vigun09g043800.v1.2 transcript:Vigun09g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTIATPFKKACTFFNQQPPRDPKKSQTEQENRIMDLHGEVMACGYEDVQVMWSILDKSKSSACNITSS >Vigun03g081500.1.v1.2 pep primary_assembly:ASM411807v1:3:6783797:6788666:1 gene:Vigun03g081500.v1.2 transcript:Vigun03g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLTLLNCASTVENPHTTFSSSFTTLSRRSGYSTCSFAKKSLRKSIRDELEASGDILDDKFVQNDNLDTSLNPAAKNSNPFPSRSAVLQACIITSGLIAALGLVIRQLSHVASVEGLPVLDCSTEVSFGFELWHLELITGLVVLISSCRYLLLKTWPNFAESSEAANKQVLSSLQPLDYIVVAFLPGISEELLFRGAILPLLGTNWNSIGIAALIFGVLHLGNGRKYSFAIWATFVGLAYGYATILSSSVAVPMASHAVNNLIGGILWRYTSNTPNQKLNE >Vigun10g015000.1.v1.2 pep primary_assembly:ASM411807v1:10:1661179:1662320:1 gene:Vigun10g015000.v1.2 transcript:Vigun10g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSSVRCILKEIFIGWLKINKREKNAHDEKDKLSESLGRINSSSQDGTELEICGNVNCVEEVHSRKRINHFPNSYGQKRRTADMTGTAASRLAAFGFALCSLSLKYSKPVLQAQPPHSLWLSIIAMLSFTLFACAATVMLLVGARFTHMQIIVMLIAFFNMVLA >Vigun01g022300.1.v1.2 pep primary_assembly:ASM411807v1:1:2350756:2354692:1 gene:Vigun01g022300.v1.2 transcript:Vigun01g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNRVRSGISLFNKSIFLTSHRSNLQRSLVAPSVSLASRNYANVPGEKDNKVKVPLALFGGSGNYASALYIASVKANAIEKVESELLQFVEAVKGSSKFSQFIKDLSVAKDVRVKVIQEVCGEAKFSDVTKNFLVIVAENGRLKNIDTIAKRFRELAMAYKGEVKATVTTVVALPPEEEKALKETLQEIIGSGAKVHLEQKIDPSILGGLVLEFSQKVFDMSIKTRAQQMERILREPVSVANI >Vigun02g197900.1.v1.2 pep primary_assembly:ASM411807v1:2:33302568:33304778:-1 gene:Vigun02g197900.v1.2 transcript:Vigun02g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLQGTLIRCHSRSSKFIDQKERAASVVAQALVTHQTKLPQLNVTYVPPPTPSMDNNPFQTPQKKELPISTSGKFGRFGGKFVPETIIACLSQLEAEFKKAMADDAFQAELAEALRDYAGRETPLYHTKRLSEYYKSRNNGTGPDIYLKREDLNHGGSHKMNNALAQAMIAKRMGCKSVVTATGSGHHGLATAAACAKLALECTVFMAAKDIERQYSNVRLMKLLGAQVEAVDGGFRDAASDAFRCWVGDLENKYHLTGSAVGPHPCPSMVREFQSVIGKETRTQALEKWGGKPDVLVACVGTGSNALGLFHEFVDDEDVRLIGVEGGGLGLESGKHSSTLSKGEVGVYHGAISYLLQDQHGQIIHPHSIAAGMEYPGVGPELSFLKESGRAEFCSATDEEALDAYEKVCRLEGIFPSLEAAHALGILEKLAPTLCDGTKVVVNCSGRGDKDAAIVFNRRLLDPQ >Vigun09g099050.1.v1.2 pep primary_assembly:ASM411807v1:9:15849422:15850478:1 gene:Vigun09g099050.v1.2 transcript:Vigun09g099050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIVKFPKEICFSSKQAQHALLRNDTYFFIQPETPIEVTRWCNLLVEFHPLPREESSSRVSKNVTSNDLVLCFVASENEVNFYIINPITQSCSPIPTTDHIQNRSFYDHKIGFLCKLDAKQGFFSGSRSLRFDMLMHHEGAIHFISDCSAYLTRNNPYFRPYIMSYNFEDGKSRMLRVPKEVRKGSHDKSCEMRIFKWGKTIDLDQSICLVYLYGLSDNKIHKFWDYECEFNFLRFTAYTYTLRTCNIGTRNLSLPIHV >VigunL019600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000199.1:24357:24782:-1 gene:VigunL019600.v1.2 transcript:VigunL019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIALNDGSHGVDPTNAMFSPCRKDDRNNGLPQACCLELDHGLSLEREKRWFTDLFQVLAELQQDLVLDEVSFWYTLWLIVYESQASLADIYD >Vigun08g182000.2.v1.2 pep primary_assembly:ASM411807v1:8:35160164:35163301:-1 gene:Vigun08g182000.v1.2 transcript:Vigun08g182000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVAAASDVPDIKIIIPGDDESHASPPNLMDEIRNSKERGDMYMHAFLDEWDKMLPIVKEDPNSVRIPLTGLGDTALHVAAGAGNTTFVEELIKLMSPEDVLRPNSYGMLPVHLAALSSHHRIVQLLCSHHLLDKMTYKDIEKLFFMTINNNMFDVAIKLFEKRPVELTFARDEQRLTSLHILARKPYEVLKRKLQDDIETRRSTVTGMDLLRRIWTEVRKLKIKEILDLTTKPSAVLFDAIESGNHDIVIEYFMESVAILTNIKDSNGRNLVHLLFLYRRLEVFHPLVNDKKQHLLRAVDNEGNNVLHLAALLPLEFKSFSGLSAKIQMQRELGWFEGAERSVPHELRSMRNKKGKTPIDVFYDEHKQLSKDIKESAKGIADSGMVVATLVATVAFAAALTVPGNKNSAWFIVFIVTNAIALFTSSASILSFLSNFTSSRFADTEFVMSLHPSLTFGPELLIISVAAMVVAFIAASFLIFDCTTKWVSYVVTPMGVFPLLVFILFQSKFFDDSYWAKYYRPKLR >Vigun08g182000.1.v1.2 pep primary_assembly:ASM411807v1:8:35160164:35163301:-1 gene:Vigun08g182000.v1.2 transcript:Vigun08g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVAAASDVPDIKIIIPGDDESHASPPNLMDEIRNSKERGDMYMHAFLDEWDKMLPIVKEDPNSVRIPLTGLGDTALHVAAGAGNTTFVEELIKLMSPEDVLRPNSYGMLPVHLAALSSHHRIVQLLCSHHLLDKMTYKDIEKLFFMTINNNMFDVAIKLFEKRPVELTFARDEQRLTSLHILARKPYEVLKRKLQDDIETRRSTVTGMDLLRRIWTEVRKLKIKEILDLTTKPSAVLFDAIESGNHDIVIEYFMESVAILTNIKDSNGRNLVHLLFLYRRLEVFHPLVNDKKQHLLRAVDNEGNNVLHLAALLPLEFKSFSGLSAKIQMQRELGWFEGAERSVPHELRSMRNKKGKTPIDVFYDEHKQLSKDIKESAKGIADSGMVVATLVATVAFAAALTVPGNKNSAWFIVFIVTNAIALFTSSASILSFLSNFTSSRFADTEFVMSLHPSLTFGPELLIISVAAMVVAFIAASFLIFDCTTKWVSYVVTPMGVFPLLVFILFQSKFFDDSYWAKYYRPKLR >Vigun08g158200.1.v1.2 pep primary_assembly:ASM411807v1:8:33071767:33073905:1 gene:Vigun08g158200.v1.2 transcript:Vigun08g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRERKPRKRTTGTTRMDAALDAMRQFGFGTRLTRETVEELLDVYGGTQGWPFIEETSYKLLIETLLSKQEVAAEKDKDGERGDGVNNVTPCAGISDVGPSCAGISDVGSSGLVSEITVLHTGSDAFDLTTQSSDQGDALLDNLEIDVGDLALQAAVGGPEQGTNKSKNEQKSSDHVKGINDNSTIVNKVKSPSDKALMIQSSKAFNPLPSHKREGYYGWIGNGGDEDKEYVHFPIPPLSEQIEKMIGQYEAPRSGSSRRRRCRWDEKPECK >Vigun04g200100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42387949:42388526:1 gene:Vigun04g200100.v1.2 transcript:Vigun04g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKLGLLFSLLLTTLFPFQLRGSGSGFVASEAEDSLFPPSKVDVIIINYMAEKDLIFRCQDKHHDLGTQVLSYSEGFTFSFKPNKFVKITLYFCFFTWVGGKGHHFDIYDEDRDDCAECLWKIFETGPCIVRPKFNRCYVWNK >Vigun05g246800.1.v1.2 pep primary_assembly:ASM411807v1:5:44002427:44006845:1 gene:Vigun05g246800.v1.2 transcript:Vigun05g246800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMSIKAFAHSLFILIVLLFHPAMSEDFDYDPSSPNGPPNWGNTWPLCRDGTRQSPINLNTVTSNVVFSSGIQRNYQPANATLVTSEHDVMINWTENAGNIIINGTQYQLLQAHWHSPSEHIINGIRFDLELHMVHRSSDAKLAVIGIPFKKGDPDNFVSTIKDDLQLLAENQTRTVALGSVDPEDVAGITGNKYFRYNGSLTVPPCSENVLWTVFTGEGKTVSRAQNKLIRAAVDGAGDETFENARPVQPRNGRPVKRYYLSTN >Vigun09g036300.2.v1.2 pep primary_assembly:ASM411807v1:9:3210434:3216499:1 gene:Vigun09g036300.v1.2 transcript:Vigun09g036300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKKLDSASDDPSSRGAKSLTCQLKEMALKASGSYKQCGPCAPPQSRLSRGGESDSESSRRRWGKELEARLKGISSGEGTPSSSGRRTVVVLEEEAEPKEWVAQVEPGVLITFVSLPRGGNHLKRIRFSRDIFNKWQAQRWWAENYDKVMELYNVQRLNRQAIPLPTPPRSEDESSKRESIEEIPVTPPMGRERPPCNIFRGGGGGGVAMGYSSSDSFDQHSMQSRHYYEPSGVNSTPKVSTISAAAKTDISSSMDADASIRSSSSREADRSGDLSISNASDLDAEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEVYARLWWEENRARIHEQYL >Vigun09g036300.1.v1.2 pep primary_assembly:ASM411807v1:9:3210321:3216670:1 gene:Vigun09g036300.v1.2 transcript:Vigun09g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKKLDSASDDPSSRGAKSLTCQLKEMALKASGSYKQCGPCAPPQSRLSRGGESDSESSRRRWGKELEARLKGISSGEGTPSSSGRRTVVVLEEEAEPKEWVAQVEPGVLITFVSLPRGGNHLKRIRFSRDIFNKWQAQRWWAENYDKVMELYNVQRLNRQAIPLPTPPRSEDESSKRESIEEIPVTPPMGRERPPCNIFRGGGGGGVAMGYSSSDSFDQHSMQSRHYYEPSGVNSTPKVSTISAAAKTDISSSMDADASIRSSSSREADRSGDLSISNASDLDAEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEVYARLWWEENRARIHEQYL >Vigun10g021400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2567758:2569268:1 gene:Vigun10g021400.v1.2 transcript:Vigun10g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRLKIGVRVAEMFNLTPTQAKPFLKIKASPSLTMAAVSSSHHRTPQRPGRRCTSRRRATAPPRHHGQLAGGVNRRRRRRSRSRRLMICSRACVDPRRRLLAATIQRRRRRQRPRRPPQTSTSRTQRLSTFTLPLSGSALATPRVAAISVQSSEPPAMVSTPLSISVL >Vigun03g122350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11464930:11465358:-1 gene:Vigun03g122350.v1.2 transcript:Vigun03g122350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVQEISTPQLGRQPMSYIVKLSEWWCDYGEFQALHLPCTYVMAICSSFHLQPSTFVDPVYSLQNIFKAYEVQFQPVQNEDYWSTYTGPSLMPDPHMRHNKPGRRTKTRIHNEMDHSLPNKPKKSSFCRSIGHNRSNCPNK >Vigun08g085550.1.v1.2 pep primary_assembly:ASM411807v1:8:18808751:18809328:-1 gene:Vigun08g085550.v1.2 transcript:Vigun08g085550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKTFNIQFPPPYFKLCGKHAKYFKAEATISDDDLTIMWKHMKEEDKEHAMKQLQKQYRNRHHHLYQTDIQNKGRPNDVHLQDWNWLINNKK >Vigun03g020200.1.v1.2 pep primary_assembly:ASM411807v1:3:1477104:1481650:-1 gene:Vigun03g020200.v1.2 transcript:Vigun03g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSTTPSGKVQQFFEELEAKKTILAKCTNLFTTLSTHFSSLQHSVTDKSQFLDSKLQSLESLSRETLQSLHRRQTSIPERESASAARISDQKEAAIAELRAPPLPDPDLSTALKSLSRKMDAAALLRFVVSKRKESASLRAEIAEAMAEAVDPPRLVLEAVEEFLRSKAAKSGVTDKRWACGLLIQALMVSESREYSRKIMERAVAVVEMWKEHLDGESDSGAAEVVMFLQMVVCFGLRSRFDDEYLRKFVMEFASRRDMAKLAASLQFGDKIIDIIDELISSGKEIEAVYFASESGLTERFPPINLLKSYHRNYKKNVTTTSKKGNSNHATTDDSSTSELNSIKAIIKCVEDHKLESEFNLDHLRKRATLLEKAKAERKKSSTSGTKPQNKRGNRGNGSSSSRPAKSAKFSNAHSSSFGRRNLAPSLQPSPGARFSGPFNYPNQTIFDGATANPYAATYGTTHTQSPAGITQQHYSIPVDNLGPSGYRSSGSYSGQATYGIYDYRNGAPLTYPPPYTVDQPTYRG >Vigun03g055200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4503530:4505833:1 gene:Vigun03g055200.v1.2 transcript:Vigun03g055200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKATIHGAASDCRPLTTFVQTNSDAFREVVQRLTGPSEPKVGESAKVSTMKRTTSKLHERRKSMKPKLEIVKPILHFKTGACSSPSKSSKSCSFPPSPGSGSSSLLHSPTTPSTLFSHLSIMENEKKQESVMHELNTEEEEKAIRERRFYLHPSPRAKPGYSDPELLILFPLASAKPCEKLEF >Vigun03g055200.1.v1.2 pep primary_assembly:ASM411807v1:3:4503530:4505829:1 gene:Vigun03g055200.v1.2 transcript:Vigun03g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYDRYLKKVMRMEKATIHGAASDCRPLTTFVQTNSDAFREVVQRLTGPSEPKVGESAKVSTMKRTTSKLHERRKSMKPKLEIVKPILHFKTGACSSPSKSSKSCSFPPSPGSGSSSLLHSPTTPSTLFSHLSIMENEKKQESVMHELNTEEEEKAIRERRFYLHPSPRAKPGYSDPELLILFPLASAKPCEKLEF >Vigun03g055200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4503530:4505829:1 gene:Vigun03g055200.v1.2 transcript:Vigun03g055200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKATIHGAASDCRPLTTFVQTNSDAFREVVQRLTGPSEPKVGESAKVSTMKRTTSKLHERRKSMKPKLEIVKPILHFKTGACSSPSKSSKSCSFPPSPGSGSSSLLHSPTTPSTLFSHLSIMENEKKQESVMHELNTEEEEKAIRERRFYLHPSPRAKPGYSDPELLILFPLASAKPCEKLEF >Vigun03g055200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4503529:4505833:1 gene:Vigun03g055200.v1.2 transcript:Vigun03g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKATIHGAASDCRPLTTFVQTNSDAFREVVQRLTGPSEPKVGESAKVSTMKRTTSKLHERRKSMKPKLEIVKPILHFKTGACSSPSKSSKSCSFPPSPGSGSSSLLHSPTTPSTLFSHLSIMENEKKQESVMHELNTEEEEKAIRERRFYLHPSPRAKPGYSDPELLILFPLASAKPCEKLEF >Vigun03g055200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4503529:4505829:1 gene:Vigun03g055200.v1.2 transcript:Vigun03g055200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKATIHGAASDCRPLTTFVQTNSDAFREVVQRLTGPSEPKVGESAKVSTMKRTTSKLHERRKSMKPKLEIVKPILHFKTGACSSPSKSSKSCSFPPSPGSGSSSLLHSPTTPSTLFSHLSIMENEKKQESVMHELNTEEEEKAIRERRFYLHPSPRAKPGYSDPELLILFPLASAKPCEKLEF >Vigun03g139800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13818502:13820778:1 gene:Vigun03g139800.v1.2 transcript:Vigun03g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTFATAIAILFSFEPLSFDTHEKFVQCLYNYPHITNSISDAVYTQTNSSYFTVLDAIIQNRRFSNPNSKPQVIVTPLDVSHIRATVMCSQRHGLQIRTRSGGHDYEGLSYVAEVPFVIVDLLNLRQITVEIESRTAWVQAGATLGELYYTISQKSKTLGFPGGVCSTVGTGGHISGGGYGFLMRKYGLAADNVIDAHIIDVNGNLLDREAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVRKVNSGEHGKQTIEANFVSMFQGGVEELIPLMQKSLPELGLDRKDCTETSWIGSAVFTNGVLSGSSGHEAPEVLLNRTQIYLMKYKGKSDYVRKPIPVAGLRGLWRLLYDDEIEIAQVEFAPYGGRMDEISESEIPFAHRSGYIFHIHYAVDWREEGDEAAQRHMNWIRRVYKYMEPYVSKSPRAAYVNYRDLDIGVNNNGYTSYDQASIWGLKYFRNNFKRLAMVKTKVDPHNFFRNEQSIPTLFEEGK >Vigun06g185900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30526184:30526510:-1 gene:Vigun06g185900.v1.2 transcript:Vigun06g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLNQPFTLVLTFLLLLLLLNASLCESSHGFSRYRARISGDGSSRHLLESRNTQHPNNCGELVAQSQCSRNSKCSWCTSEDLDDMCFSKSEAWRLPQQVYSCALIR >Vigun10g040600.1.v1.2 pep primary_assembly:ASM411807v1:10:5587150:5589746:-1 gene:Vigun10g040600.v1.2 transcript:Vigun10g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTVAKKDSAEEGEAAAAEEAKKSNHVQRKLEKRQKDRKLDAHIEEQFGGGRLLACIASRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >Vigun11g194800.1.v1.2 pep primary_assembly:ASM411807v1:11:39394700:39395279:1 gene:Vigun11g194800.v1.2 transcript:Vigun11g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHFNQHLFLGILIMVLVLPSELIVAKPFPTESVGVDGGPNCNGLKCSLGTEFCTTCCNGQRFKQGKCVPKGAFFVCQCQ >Vigun05g138550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16820937:16821266:-1 gene:Vigun05g138550.v1.2 transcript:Vigun05g138550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSRRSHTDRVAGRHPGLYFWSSQNVCTEYDERGNSHGNNNRGEPFEKEKRLLALSTQGRTLWKRVHSFTLSQRAKATKREKRGLQRGTREIGDSKSNIIEKNCRRY >Vigun03g303000.1.v1.2 pep primary_assembly:ASM411807v1:3:49273752:49279640:1 gene:Vigun03g303000.v1.2 transcript:Vigun03g303000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQDLDQLLDSALDDFQSFNLNPSLPSGGAIASKNESPSLPSGVQGLGMGLPDLRTKKKGKQKVSKDSHVAEALNKLREQTREAVKGLESMTPPAADDLGKDALMEDWVKQFEQLAGSQDMESIVETMMQQLLSKEILHEPMKEIAEKYPKWLEDHKSSLSKEEYERYAHQYELIRNLNEVYDNDAGNFNRIVELMQKMQECGQPPNEIVQELAPDFDLASLGQISPEMLEGQQNCCIM >Vigun06g166200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28811063:28811536:-1 gene:Vigun06g166200.v1.2 transcript:Vigun06g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLETLMVKMRGLSLLCLGGCFDSCYDHTQTYGLGTRIWNLSDGPVELQIRVGSILKKVHTLKPGCCKRLKSKRIYKAYMPGKNGNDLKSLLYYYDETSHPYVWIHDKGGDSLRMVKQQYISLEDLRDSSEIKILRDHQRGCISVLKTTRPDFC >Vigun10g098700.1.v1.2 pep primary_assembly:ASM411807v1:10:28929517:28930948:-1 gene:Vigun10g098700.v1.2 transcript:Vigun10g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQLVSIVAKGVGIALAEFIKAIEEAMKNNPSMFKKRKSFDLVTLEFTLKSIEPAIREMERLNQKMRRSKEELESLITKMEEGTKLLKESSNVRWTSKSRYMAQLHEFDESFRKLLDTILKVQTARDQKEMLHLEHQKGFRRWLMRWFMCFGSK >Vigun01g118800.1.v1.2 pep primary_assembly:ASM411807v1:1:29490085:29493283:-1 gene:Vigun01g118800.v1.2 transcript:Vigun01g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MNSISSLTFDSHAICNPQRPFSSRRFHPSRNLVVCSAKPVAPPPTKLAAADTSAARIGSLSQVSGVLGCQWGDEGKGKLVDVLAQHFEIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFKEIDGLESSGVSCQGRILISDRAHLLFDFHQVVDGLREAELAKSFIGTTKRGIGPCYSSKVNRNGIRVGDLRHMDTLPQKLDVILSDAALRFKDFHYGPDVLREEVEKYKRYAERLEPFIADTVHVMNEAITQKRKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTTRVGSGPFPTEILGSGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKYSCQINGFSSLNLTKLDVLSDLEEIQLGISYKLDDGTLIKSFPSDLRLLEQLKVEYEVLPGWKSDISSIRNYSDLPKTARQYVERVEELVGVPIHYIGVGPGRDALIYK >Vigun06g008000.1.v1.2 pep primary_assembly:ASM411807v1:6:3919848:3920467:-1 gene:Vigun06g008000.v1.2 transcript:Vigun06g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding INGKSTTITYNMDSDNPRITEGWFNMRNLYQIQSDSHIQFQYLGNSLFHLTMFKGGCTTRSLITFMHRITHQNTRSIFSVKLTKYQSKASHLDLPSKFANFIQEVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQDWRQFCSENELKEGDIIVFQADNDFIESNVEVFVNGCCCD >Vigun06g008000.2.v1.2 pep primary_assembly:ASM411807v1:6:3919848:3922734:-1 gene:Vigun06g008000.v1.2 transcript:Vigun06g008000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKTAASNESAKDIKEFADWILKIGDGNMNLNESGEANLSIPTDLLIQESKTPLLSLVKFVYGGLIENIMTLGFFDDGAIFCPTIDSVEQVNDFILSLIPGGEQVYLSSDSPCQSDNDQEIQGEWFTQEFLIDIKCSGILNHRIKLKVGVLIMLLRNIDQANGLCNGTRLQVNDLGKNVISATVITSKNVGDKIFIPRMNLTPSDSSIPFKFQRRQFPISLCFAMTINKSQGQTLSKVGLYLPRPVFTHGQFDSHIQFQYLGNSLFHLTMFKGGCTTRSLITFMHRITHQNTRSIFSVKLTKYQSKASHLDLPSKFANFIQEVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQDWRQFCSENELKEGDIIVFQADNDFIESNVEVFVNGCCCD >Vigun04g000900.1.v1.2 pep primary_assembly:ASM411807v1:4:104007:113075:-1 gene:Vigun04g000900.v1.2 transcript:Vigun04g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPYRAIGCITTSVPFSVQRLGTETFVTVSVGKAFQIFNCAKLTLVLVGPQLPKKISALASYREYTFAAYGKNIAVFKRAHQVATWSSHNAKVKLLLLFGEHIVSVDVCGNMFLWAFKGVEDNLAPFAHIMLHEKFNPSCIMHPDTYLNKVLIGSEQGSMQLWNISTKKKIFEFNGWNSPISCCVSSPALDVVAIGCSDGRIHVHNIRYDEELVSFTHSTRGSVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLQSVIREAHDSVITSLHFFANEPVLMSSSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLKLKEEEIKLKPVIAFDCAEIRERDWCNVITCHMDTAKAYVWRLQNFVLGEHILNPCPENPTPVKACAISACGNFAILGTAGGWIERFNLQSGIRRGSYIDISESRSCAHDSEVVGVACDSTNTLMISAGYKGDIKVWDFKERDLKSRWEIGCSVVKIVYHRYNGLLATVADDLTIRLFDVVALRLVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILARQLDAIHVDIPITALSLSSNMDILATTHVDQNGVYLWVNQSMFSSTSNIDSYASGREVVSVKLPSISSVERSQDEHSEEPVNAPQPKDDLDFPTQDKQIPELVTLSLLPKSQWQNLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFESEKLSLKENDETEVRKQMKTLSDMPQSRFHYLLQCSKETDNYAAFTDYIKGLSPSTLDMELRMFQIIDDDDDDQQEAEKRSELVSIEWLLDYFIHELSCRNNFEFLQAVIRLFLKIHGETIRQQSCLQEKARKLLDIQCMVWQRVDKLFQSSRCVVGFLSNSQI >Vigun09g103366.1.v1.2 pep primary_assembly:ASM411807v1:9:18063055:18063923:-1 gene:Vigun09g103366.v1.2 transcript:Vigun09g103366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMREEISLRCHGGIDKGVQHGEGNDGDEVEVEVGHREHDEELATDGADVVQRNSADDVGKGLDMNRASRGGCSICCEYNDTHVLTDSRRMIVKRRQWALRDYVAYFRAGLIVLEDILAADFLFAPIVHDDHWWCYVVNCQEKKLYVLDSIGHSNKNRKRIDNAVAHILGLLFGMLMKCSEDDFPKFEVHCEITPIQPKL >Vigun06g224500.1.v1.2 pep primary_assembly:ASM411807v1:6:33294997:33296725:-1 gene:Vigun06g224500.v1.2 transcript:Vigun06g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSGAVLNGLGSSFLSGGSRSQTLLATAIGGKLGVAVSPRRLIVVAAAAPKKSWLPGVRGGGNLVDPEWLDGSLPGDYGFDPLGLGKDPSFLKWYREAELIHGRWAMAAVVGIFVGQAWSGVPWFLAGADPNAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGFAGTLKDGVYIPDTEKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >Vigun09g244000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41287723:41288501:-1 gene:Vigun09g244000.v1.2 transcript:Vigun09g244000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRVWIVFLLALSLSNSCVLVHSHHAAHKPDCYHPTPPKHRHRKTPPPPPLWLSPPPPAAFYFFSPPPPSPPYFHRSHRHVGQDPKHVAPPRLRVL >Vigun06g064500.6.v1.2 pep primary_assembly:ASM411807v1:6:19293381:19298300:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPEIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQEAR >Vigun06g064500.7.v1.2 pep primary_assembly:ASM411807v1:6:19293366:19298043:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQMSSPWFIPFAYVILGDSSYCLMEFLWSGGTIKGWWNELRIWLYKRTSSYVFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNPSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTGLALAACAFFISLS >Vigun06g064500.8.v1.2 pep primary_assembly:ASM411807v1:6:19293242:19298300:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQEAR >Vigun06g064500.3.v1.2 pep primary_assembly:ASM411807v1:6:19293242:19298043:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPEIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQEAR >Vigun06g064500.1.v1.2 pep primary_assembly:ASM411807v1:6:19293177:19298300:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPEIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQMSSPWFIPFAYVILGDSSYCLMEFLWSGGTIKGWWNELRIWLYKRTSSYVFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNPSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTGLALAACAFFISLS >Vigun06g064500.5.v1.2 pep primary_assembly:ASM411807v1:6:19293381:19298300:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQMSSPWFIPFAYVILGDSSYCLMEFLWSGGTIKGWWNELRIWLYKRTSSYVFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNPSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTGLALAACAFFISLS >Vigun06g064500.4.v1.2 pep primary_assembly:ASM411807v1:6:19293381:19298300:-1 gene:Vigun06g064500.v1.2 transcript:Vigun06g064500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPEIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASTFAKQWLPFCRNFKVDPTSPSAYFKTIHSSTHPNAHANELLTIKKLYQDMESRIENAAKMGRVPKEVHSKHKGFSQWDSYSSRRDHDTILQILLHEKDSIAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNREIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALRVVYEVDFHGLDSLGGPLYIGTGCFHRREILCGRKLSHRYKKDWNEYKNIGDMKEASLDEREEQSKALASCTFEKNTLWGKEMGLLYGCSLEDVMTGLSIKCRGWKSVFYNPQKRAFLGVAPNTLPQALVQHKRWSEGCFQILLSKHSPAWYAYGFISPGLQMAYCYYNLWVFLSWPTLYYCIIPSLYLLKGIPLFPQMSSPWFIPFAYVILGDSSYCLMEFLWSGGTIKGWWNELRIWLYKRTSSYVFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNPSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTGLALAACAFFISLS >Vigun10g005300.1.v1.2 pep primary_assembly:ASM411807v1:10:500293:504272:1 gene:Vigun10g005300.v1.2 transcript:Vigun10g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKSYLPVMGMVFNQFIYTGLSLSTRLVFSEGMNPRVFVVYRHLLATIVIAPIAYLSGRNSGSYYLNLKSFSWIFLVSFVGITLNQNLFCEGLYLTNSSVATAMVNLVPAVTFVIAVCAGMEKVSIGSLRSIAKIVGTVICVSGAVSIALLKGPKLLNAENLPSKSIIMASSATDNWFLGCLFLIGCCFSWSTWLILMVPASKSHPDPLSFSAWMCFIATVQSALVTLLLEPDPNTWKINSLLEVGSALYGGVMGSAVSFFLQAWCISITGPLFSAMFNPLMAVFVTILAPFLLQEEVYVGSLTGSIAVIIGLYIVLWGKAEEVVNVKRDSESMLNSTEEVKISINKDSSSVKECCKTNLEEPLLSPHSPSHN >Vigun03g212700.2.v1.2 pep primary_assembly:ASM411807v1:3:35250742:35252955:-1 gene:Vigun03g212700.v1.2 transcript:Vigun03g212700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALGCLQVEQSTVAIKEIFGKYDDVLQPGFHCVPWFFGTQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKADDAFYKLSNTREQIQAYVFDVIRACVPKMDLDASFEQKKEIARAVEEELEKAMSAYGYEIVQTLIVDIEPDERVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLAGLGIARQRQAIVDALRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFVPHGPGAVRDIASQFRDGLLQGSMTRS >Vigun03g212700.1.v1.2 pep primary_assembly:ASM411807v1:3:35250356:35253123:-1 gene:Vigun03g212700.v1.2 transcript:Vigun03g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALGCLQVEQSTVAIKEIFGKYDDVLQPGFHCVPWFFGTQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKADDAFYKLSNTREQIQAYVFDVIRACVPKMDLDASFEQKKEIARAVEEELEKAMSAYGYEIVQTLIVDIEPDERVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLAGLGIARQRQAIVDALRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFVPHGPGAVRDIASQFRDGLLQGSMTRS >Vigun03g212700.3.v1.2 pep primary_assembly:ASM411807v1:3:35250408:35253094:-1 gene:Vigun03g212700.v1.2 transcript:Vigun03g212700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALGCLQVEQSTVAIKEIFGKYDDVLQPGFHCVPWFFGTQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKADDAFYKLSNTREQIQAYVFDVIRACVPKMDLDASFEQKKEIARAVEEELEKAMSAYGYEIVQTLIVDIEPDERVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLAGLGIARQRQAIVDALRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFVPHGPGAVRDIASQFRDGLLQGSMTRS >VigunL071800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000509.1:102900:113772:1 gene:VigunL071800.v1.2 transcript:VigunL071800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGRFLNLPFTAYHTAFHAAADLKNVELKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >VigunL071800.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000509.1:102909:113606:1 gene:VigunL071800.v1.2 transcript:VigunL071800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVSQACPETQSLQQTKAQKRRQTKSKGFRKKQERDWNSPVLNSITLCSFFHSLGFSFFSLSVVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGRFLNLPFTAYHTAFHAAADLKNVELKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >VigunL071800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000509.1:102900:113772:1 gene:VigunL071800.v1.2 transcript:VigunL071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGRFLNLPFTAYHTAFHAAADLKNVEQLKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun11g056950.1.v1.2 pep primary_assembly:ASM411807v1:11:11362734:11365130:1 gene:Vigun11g056950.v1.2 transcript:Vigun11g056950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLFLFLKPYIFFGRIWKVVLRIQDVEEMSRGQSSSSCSHNSWVMRNQRLSCNSGGYRGLGEAPTCNCGVATVLRMARTIKNGGRQFRGCSNFKCESEVSYGCNFFKWCIEDGDDEKDATILRQRRKIYILEKRS >Vigun04g046400.1.v1.2 pep primary_assembly:ASM411807v1:4:3990423:3993966:-1 gene:Vigun04g046400.v1.2 transcript:Vigun04g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDSDCLCFLQNVREESNKHGLKHLQTILLSKTLGEKHINLASEHEGISMIQQRLQRKKVLLILDDVDKCKQSLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYNVKTLNKDDALQLLTWKAFKTEQVDPSYVEVLNDVVTYASGLPLALEVIGSNLFAKTVEQWKSAISQYKRIPNNEIIEILKVSFDALEEEEKSVFLDIACCFKGYELTEVEIMLRALYDDCMKNHIGVLVDKSLIKIGWSSTVEMHDLIEDMGRQIDMKESPKKPGKRKRLWLPKDIIQVLKDNRGTSRIEILCLDISVSEKEETLYWNEDAFENMENLKIVIIRNGKVSGAPNCLPESLRVLEWHGYPSNCLPSNFHPNKLVTCKLPNSHFTSFKFPCSSKKFESLTNLNFDRCELLTRIPEVSELPNLEKLSFEDCENLIVVDDSVGFMTKLKILNAKGCTKVMSFPPLNLPSLEELELSFCLSLENFPEILGKMGNIRVLDLLGLSIKDLPVSFHNLTGLHVLHVDCDFLHLNNIALTPALYDLSVGKCKEWKWVNSKDGEEVVSTVSSNLKWFCLPSCNLNDDFFSAGFTQLTTVISLDLSRSNITFLPECIKEFHHLDDINVSYCKLLEEIRGVPPNLKFFKAIECTSLTSSASRMLLNQKLHQTRGTKFMFRGGSIPRWMDKENKGPSTSFWFRNKFPSKVLCLLIAPVLDDITSELVRPLVLINGKVQEYRLNSMEREVKMLELDHIHLFDLHLLPFREGLMEMYSENEWKHVQITYQGLFDTSLIKAMGIHVVKEERRGMKDIRYDDPYTTTKMFITSLPFLFCFFLALILFTSLMAYPTQV >Vigun02g031000.1.v1.2 pep primary_assembly:ASM411807v1:2:13027973:13029145:-1 gene:Vigun02g031000.v1.2 transcript:Vigun02g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTAVHFFKIILRPSLALGILKLPKKFTRKHGDGMSNPVFLRSPDGIEWKIYWARRDGEIWFQNGWKELVTYYSLDHGHLLFFEYEETSHFDVHVFDNSALEIDYPSPTTHVRKDNLVHISDDSGETLLEQFSCQNTRVKPSLSSAQPCKKTKNSKATSVVGIKSSSSQEEKPIKQNL >Vigun03g279400.2.v1.2 pep primary_assembly:ASM411807v1:3:45722321:45729175:-1 gene:Vigun03g279400.v1.2 transcript:Vigun03g279400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSSEKTIIAADNTDLTSKENEEVQALVADKEELEKDLKRLNNKLDSALSDCNAKNELVKKQTKVAQEAMAGLKKAETEVLSMKQDLDEALQQRIVYEERVAHLDGALKECMQQLRFVREEQEQRIHDAVMKASREFEKERMVLEEQLSETSKRLAKAEIDNSHLNKSIFARENLIQELKRQLTQAEADHGALMSRLESMEKDNTSLTYEVRVLEKELEIRNEEREFSRRTAEASHKQQLESTKKIAKLELECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSFEIRRSKLSTNSLMVESSVDTSPETPIRRINTLTEQLCAVEEENKVLKESLNRKMNELQFSRVMLSRTASKLLHLESQTEELSKGLVSVEHHRSNLASQEFSVTSFSDAGSDDKASCAESWASALISELDHFRSGKQKEPLSCKSVGASDINLMDDFVEMEKLAVVSVEKATQSPSASLKAVTEINSFSETGTKESTSEEGKEIIPVPDHILSGTTETTSEVVGMEIIPVSDLISDLSKSNKNSCSIDIFKGIVPGWLQDVVKMVLEQNHVTHKGPDDILHDIRVALRHVNEFDLCDFDSSRGSVHIDTMNPPQCIHCISCSNTLVVNPSGGENNADILSIKRIEPHSQEDLSKSIGKIIEIVERILPAVDYDSSDSLQKGDRDILSYKNLGMPTGYMVRVFQWKTSELSKVIEQFLHVCYDLLGGKIDCGNFAKELTTSLDWIMNHCFSLQDVSSMRDAIKKQFDWDETRSEGETENELTSHLAEKDKLHLPRENLSSLPQVTTSCGHDLQKGEICYNEKEFTDIKDKLISAESQKEVLERKLQSATDRIESLMNQIQESEKTVDSLRLEIQSFKESNGKLENEIKDQKLISSNLDSQHTEAELKAAHNKVFALELELENKNSNCEELEAKCLELQLQLESMSKECSKHDIVDKDKPLRNDWEITAASEKLAECQETILNLGKQLKAMAAPKDASLFDSVVATQFNTVTDNAAATTVTTTNNVDPSPTHPKVIKVKTRSLLDQMLADDSKAQIPKASDGNSNLIIPGVIEPLEKILVLNGVKGHEDRSIDNSLAIVPAKKPGSGSLWRKLLRRKKRSASMKTSF >Vigun03g279400.4.v1.2 pep primary_assembly:ASM411807v1:3:45722321:45729175:-1 gene:Vigun03g279400.v1.2 transcript:Vigun03g279400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKAETEVLSMKQDLDEALQQRIVYEERVAHLDGALKECMQQLRFVREEQEQRIHDAVMKASREFEKERMVLEEQLSETSKRLAKAEIDNSHLNKSIFARENLIQELKRQLTQAEADHGALMSRLESMEKDNTSLTYEVRVLEKELEIRNEEREFSRRTAEASHKQQLESTKKIAKLELECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSFEIRRSKLSTNSLMVESSVDTSPETPIRRINTLTEQLCAVEEENKVLKESLNRKMNELQFSRVMLSRTASKLLHLESQTEELSKGLVSVEHHRSNLASQEFSVTSFSDAGSDDKASCAESWASALISELDHFRSGKQKEPLSCKSVGASDINLMDDFVEMEKLAVVSVEKATQSPSASLKAVTEINSFSETGTKESTSEEGKEIIPVPDHILSGTTETTSEVVGMEIIPVSDLISDLSKSNKNSCSIDIFKGIVPGWLQDVVKMVLEQNHVTHKGPDDILHDIRVALRHVNEFDLCDFDSSRGSVHIDTMNPPQCIHCISCSNTLVVNPSGGENNADILSIKRIEPHSQEDLSKSIGKIIEIVERILPAVDYDSSDSLQKGDRDILSYKNLGMPTGYMVRVFQWKTSELSKVIEQFLHVCYDLLGGKIDCGNFAKELTTSLDWIMNHCFSLQDVSSMRDAIKKQFDWDETRSEGETENELTSHLAEKDKLHLPRENLSSLPQVTTSCGHDLQKGEICYNEKEFTDIKDKLISAESQKEVLERKLQSATDRIESLMNQIQESEKTVDSLRLEIQSFKESNGKLENEIKDQKLISSNLDSQHTEAELKAAHNKVFALELELENKNSNCEELEAKCLELQLQLESMSKECSKHDIVDKDKPLRNDWEITAASEKLAECQETILNLGKQLKAMAAPKDASLFDSVVATQFNTVTDNAAATTVTTTNNVDPSPTHPKVIKVKTRSLLDQMLADDSKAQIPKASDGNSNLIIPGVIEPLEKILVLNGVKGHEDRSIDNSLAIVPAKKPGSGSLWRKLLRRKKRSASMKTSF >Vigun03g279400.1.v1.2 pep primary_assembly:ASM411807v1:3:45722321:45729175:-1 gene:Vigun03g279400.v1.2 transcript:Vigun03g279400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSSEKTIIAADNTDLTSKENEEVQALVADKEELEKDLKRLNNKLDSALSDCNAKNELVKKQTKVAQEAMAGLKKAETEVLSMKQDLDEALQQRIVYEERVAHLDGALKECMQQLRFVREEQEQRIHDAVMKASREFEKERMVLEEQLSETSKRLAKAEIDNSHLNKSIFARENLIQELKRQLTQAEADHGALMSRLESMEKDNTSLTYEVRVLEKELEIRNEEREFSRRTAEASHKQQLESTKKIAKLELECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSFEIRRSKLSTNSLMVESSVDTSPETPIRRINTLTEQLCAVEEENKVLKESLNRKMNELQFSRVMLSRTASKLLHLESQTEELSKGLVSVEHHRSNLASQEFSVTSFSDAGSDDKASCAESWASALISELDHFRSGKQKEPLSCKSVGASDINLMDDFVEMEKLAVVSVEKATQSPSASLKAVTEINSFSETGTKESTSEEGKEIIPVPDHILSGTTETTSEVVGMEIIPVSDLISDLSKSNKNSCSIDIFKGIVPGWLQDVVKMVLEQNHVTHKGPDDILHDIRVALRHVNEFDLCDFDSSRGSVHIDTMNPPQCIHCISCSNTLVVNPSGGENNADILSIKRIEPHSQEDLSKSIGKIIEIVERILPAVDYDSSDSLQKGDRDILSYKNLGMPTGYMVRVFQWKTSELSKVIEQFLHVCYDLLGGKIDCGNFAKELTTSLDWIMNHCFSLQDVSSMRDAIKKQFDWDETRSEGETENELTSHLAEKDKLHLPRENLSSLPQVTTSCGHDLQKGEICYNEKEFTDIKDKLISAESQKEVLERKLQSATDRIESLMNQIQESEKTVDSLRLEIQSFKESNGKLENEIKDQKLISSNLDSQHTEAELKAAHNKVFALELELENKNSNCEELEAKCLELQLQLESMSKECSKHDIVDKDKPLRNDWEITAASEKLAECQETILNLGKQLKAMAAPKDASLFDSVVATQFNTVTDNAAATTVTTTNNVDPSPTHPKVIKVKTRSLLDQMLADDSKAQIPKASDGNSNLIIPGVIEPLEKILVLNGVKGHEDRSIDNSLAIVPAKKPGSGSLWRKLLRRKKRSASMKTSF >Vigun03g279400.3.v1.2 pep primary_assembly:ASM411807v1:3:45722321:45728390:-1 gene:Vigun03g279400.v1.2 transcript:Vigun03g279400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSSEKTIIAADNTDLTSKENEEVQALVADKEELEKDLKRLNNKLDSALSDCNAKNELVKKQTKVAQEAMAGLKKAETEVLSMKQDLDEALQQRIVYEERVAHLDGALKECMQQLRFVREEQEQRIHDAVMKASREFEKERMVLEEQLSETSKRLAKAEIDNSHLNKSIFARENLIQELKRQLTQAEADHGALMSRLESMEKDNTSLTYEVRVLEKELEIRNEEREFSRRTAEASHKQQLESTKKIAKLELECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSFEIRRSKLSTNSLMVESSVDTSPETPIRRINTLTEQLCAVEEENKVLKESLNRKMNELQFSRVMLSRTASKLLHLESQTEELSKGLVSVEHHRSNLASQEFSVTSFSDAGSDDKASCAESWASALISELDHFRSGKQKEPLSCKSVGASDINLMDDFVEMEKLAVVSVEKATQSPSASLKAVTEINSFSETGTKESTSEEGKEIIPVPDHILSGTTETTSEVVGMEIIPVSDLISDLSKSNKNSCSIDIFKGIVPGWLQDVVKMVLEQNHVTHKGPDDILHDIRVALRHVNEFDLCDFDSSRGSVHIDTMNPPQCIHCISCSNTLVVNPSGGENNADILSIKRIEPHSQEDLSKSIGKIIEIVERILPAVDYDSSDSLQKGDRDILSYKNLGMPTGYMVRVFQWKTSELSKVIEQFLHVCYDLLGGKIDCGNFAKELTTSLDWIMNHCFSLQDVSSMRDAIKKQFDWDETRSEGETENELTSHLAEKDKLHLPRENLSSLPQVTTSCGHDLQKGEICYNEKEFTDIKDKLISAESQKEVLERKLQSATDRIESLMNQIQESEKTVDSLRLEIQSFKESNGKLENEIKDQKLISSNLDSQHTEAELKAAHNKVFALELELENKNSNCEELEAKCLELQLQLESMSKECSKHDIVDKDKPLRNDWEITAASEKLAECQETILNLGKQLKAMAAPKDASLFDSVVATQFNTVTDNAAATTVTTTNNVDPSPTHPKVIKVKTRSLLDQMLADDSKAQIPKASDGNSNLIIPGVIEPLEKILVLNGVKGHEDRSIDNSLAIVPAKKPGSGSLWRKLLRRKKRSASMKTSF >Vigun05g087950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8362403:8363022:1 gene:Vigun05g087950.v1.2 transcript:Vigun05g087950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLRRCMQFLLLISASNFVLDSVTLKYGLRSKIYTLNLTKILILLTCEHTLCEKQKLEKLV >Vigun05g250600.1.v1.2 pep primary_assembly:ASM411807v1:5:44429058:44448416:-1 gene:Vigun05g250600.v1.2 transcript:Vigun05g250600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASCKEKLQYFRLKELKDVLTQLGLSKQGKKQDLVDRILSILSEDQVSKLWIKKNAVGKQRVAKLVEDTYRKLQVSGAIDLSSKGQGSDSSNVKIKSEMEDSFLSDTKIRCLCGNVLDTDPLVKCADTRCDVSQHINCVVIPEKPTDGTPHVPDKFYCEICRVDRADPFCVSVTHLLFPVKLTTTNIPTDGTSPVQSVERTFQLTKANKELVSKPEYDIQAWCMLLNDKVSFRMQWPQHTDLKVNGLPVRAINRPGSQMLGANGRDSGSVITPYSKDGINRISLTVVDARIFCLGVRIIKRLTMPEILSMIPEEPDGERFEDALARVCCCVGGGNANDNADSDSDLEVVSDTFSINLRCPMSGSRMKIAGRFKPCVHMGCFDLEVFVEMNERSRKWQCPICLKNYALENIIIDPYFNRITTLMKNCGEEITEIEVKPDGFWRVKVKNESERRELGTLAHWHRPDGSLFVSTDEIKKMENLKLKQEGVSDGPIGLKLRKNSNGVWEVSKPENTNTSSGNNRLNEDLENIEHVIIPMSSSDTGSGRDEDDPSVNQGGGGHIDYSTTNGIEIDSVFNSNIDSAYGYSVHNASAPIGDAEVIVISDSEEDNDVLMSPTPITGYNNNQTSAAVDVYSVLEPGIIDPYTEDHNPGGNAGLGVFNSHPSEDDFGMSSLWPLQSGTPAVPGFQLFSSEVEDVSDTLVGLQSGNINCSSSLNGYMLAPDTALGSSTLTPDSSVVRPEDDLNGGLVDNPLAFPREDPSLQIFLPTKPAESSMQHELSDHADVSNGVFTEDWITLSLGGGASGSNGDTSTASGLNSRPQIASGEGATNSLTDTAPLLLGMNDVRSDKAIRKRSDSDSPFSFPRQKRSKLKKVEQHFWSSGNGR >Vigun01g186200.2.v1.2 pep primary_assembly:ASM411807v1:1:36624810:36632490:-1 gene:Vigun01g186200.v1.2 transcript:Vigun01g186200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRREMEAAPRDGLPHNRGGTDDLYTELWRACAGSSVYVPRVGERVFYFPQGHLEQVAAFTQHQQDGHMEIPVYDLPPKILCRVVCVVLKAETYTDEVFAQVTLVPEPRQNHFGLENEDNLVPSRTTTYSFSKVLTPSDTSTHGGFSIPKRHADECFRPLDMTHQTPAQEIVAKDLHGFEWHFRHIYRGQPKRHLLTSGWSTFVSAKKLVAGDSCIFVSGEYGEVRVGIRRASRHHSNASPSSSLISGHSMQLGILASASHAVATGAMFTVYYHPWTNPFEFIIPLQNYLKSTVPVYSIGTRVQMQCDVEESLRRYAGTIIGNEVIDSIRWPGSEWRCLKVQWDAIVDAFMHPERVCPWWIEPLESGMEKHIPILPNPKKAHVLNQRPLPGLNVFAMDDAGAQSSARAVADRDLQGQDYSGLSTSRALQRPPPKFSIGGSQFGKENLNQHPFLMQDILHKSLGRSMSLPHEDLSISSSNLSSICSESLGWPPSESRNENDAPLGHLGSYNKYKLFGVNLIERQPELPSPQFAGFSKTPSLLSSPPMCVTSGKTCKKCRSVNNRSCTKY >Vigun01g186200.1.v1.2 pep primary_assembly:ASM411807v1:1:36623601:36632490:-1 gene:Vigun01g186200.v1.2 transcript:Vigun01g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRREMEAAPRDGLPHNRGGTDDLYTELWRACAGSSVYVPRVGERVFYFPQGHLEQVAAFTQHQQDGHMEIPVYDLPPKILCRVVCVVLKAETYTDEVFAQVTLVPEPRQNHFGLENEDNLVPSRTTTYSFSKVLTPSDTSTHGGFSIPKRHADECFRPLDMTHQTPAQEIVAKDLHGFEWHFRHIYRGQPKRHLLTSGWSTFVSAKKLVAGDSCIFVSGEYGEVRVGIRRASRHHSNASPSSSLISGHSMQLGILASASHAVATGAMFTVYYHPWTNPFEFIIPLQNYLKSTVPVYSIGTRVQMQCDVEESLRRYAGTIIGNEVIDSIRWPGSEWRCLKVQWDAIVDAFMHPERVCPWWIEPLESGMEKHIPILPNPKKAHVLNQRPLPGLNVFAMDDAGAQSSARAVADRDLQGQDYSGLSTSRALQRPPPKFSIGGSQFGKENLNQHPFLMQDILHKSLGRSMSLPHEDLSISSSNLSSICSESLGWPPSESRNENDAPLGHLGSYNKYKLFGVNLIERQPELPSPQFAGFSKTPSLLSSPPMCVTSGKTCKKCRSVNNRSCTKVLKLGTALGRAVDLTRFRGYDELIAELDSMFDFGGSLVNGTSGWHVTCIDDDGDMMFLRDYPWQDFQCMVQKMIICQKDGINNLNPSSSADPPSL >Vigun07g038300.3.v1.2 pep primary_assembly:ASM411807v1:7:3692582:3697062:-1 gene:Vigun07g038300.v1.2 transcript:Vigun07g038300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFQVEGRLVHAHRCILAARSLFFRKFFCGPDPPPGLDPAAASRAGAASAARPPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIDDVMKVLIASRKQEMQQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSMLPLRHPHQHPDLGAADMEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVESCSREVVKALLELGAADVNFPAGPAGKTPLHVAAEMVSPEMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREEGNNNNNNVNNSNNDNTNNPNTSASATSIYPPMSEAHSSSSNLDSRLVYLNLGATPQIGDDDSSSQREAMNRHGSQGGGCDPSMYHHSHDF >Vigun07g038300.2.v1.2 pep primary_assembly:ASM411807v1:7:3692582:3697062:-1 gene:Vigun07g038300.v1.2 transcript:Vigun07g038300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFQVEGRLVHAHRCILAARSLFFRKFFCGPDPPPGLDPAAASRAGAASAARPPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIDDVMKVLIASRKQEMQQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSMLPLRHPHQHPDLGAADMEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVESCSREVVKALLELGAADVNFPAGPAGKTPLHVAAEMVSPEMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREEGNNNNNNVNNSNNDNTNNPNTSASATSIYPPMSEAHSSSSNLDSRLVYLNLGATPQIGDDDSSSQREAMNRHGSQGFSNGSIVKCDFMGDMRISATRRI >Vigun07g038300.1.v1.2 pep primary_assembly:ASM411807v1:7:3692232:3697180:-1 gene:Vigun07g038300.v1.2 transcript:Vigun07g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFQVEGRLVHAHRCILAARSLFFRKFFCGPDPPPGLDPAAASRAGAASAARPPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIDDVMKVLIASRKQEMQQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSMLPLRHPHQHPDLGAADMEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVESCSREVVKALLELGAADVNFPAGPAGKTPLHVAAEMVSPEMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREEGNNNNNNVNNSNNDNTNNPNTSASATSIYPPMSEAHSSSSNLDSRLVYLNLGATPQIGDDDSSSQREAMNRHGSQGGGCDPSMLFKW >Vigun06g214800.1.v1.2 pep primary_assembly:ASM411807v1:6:32609123:32609463:1 gene:Vigun06g214800.v1.2 transcript:Vigun06g214800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCLMQLHIYSVNLTLAVKLVQFSVYKPHFEQPQCTTSDPHYNEC >Vigun03g062050.1.v1.2 pep primary_assembly:ASM411807v1:3:5098637:5101651:1 gene:Vigun03g062050.v1.2 transcript:Vigun03g062050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGFGKVKDFSRKIVSTFKTRFTVTDSLSHCWKRRHREMVASAVRSNKKETKVEKGVET >Vigun10g164000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38321937:38323571:-1 gene:Vigun10g164000.v1.2 transcript:Vigun10g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEPNPTSDHSLDWFEASVSFFPLPPFLDEPYNSSDIQLWEQDISSQYQTDANTSSPNATNIATSTTPPEPCASNNLPLSDLPKKRSATTDDSSLRPPQNHHKYKKIKSKTMNSEADSGDAEGTTTVRKPGGNKRGTAKGVANNCNNKEGRWAEQLLNPCAAAITGGNLSRVQHLLYVLHELASPTGDANHRLAAHGLKALTLHLSSSLASTSSGSMTFASTEPRFLQKTLLKFYEVSPWFSFPNNIANASILQVLGEDNDNSRTLHILDIGASHGMQWPTFLEALSRRPGGPPPLVRLTVVTASSSEIDTPFSIGPPGDNFSSRLLGFAQLMNVNLQIKRLDNCPLHTLSAQSVDTSPDEIFVVCAQFRLHQLSHNSTDEKSEKSEFLKVLRNMEPKGVILSDNNMECCCNCCGDFATGFSRRVEYLWRFLDSTSSAFKGRDSDERRVMEGEAAKALTNQREMNEGKEKWCERMKEAGFVGEVLGEDAIDGGRALLRKYDSNWEMKVEDDNRSVGLWWKGQPVSFCSLWKLDGNDQSSTFTS >Vigun03g194800.1.v1.2 pep primary_assembly:ASM411807v1:3:27316958:27321271:-1 gene:Vigun03g194800.v1.2 transcript:Vigun03g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSQGSSYWEGYKEFWSERFSFLGNYSKFIKRDKPIPSWSSSDVEEFIASDPVHGPVLKSARDAVQFGIGGSALGALFTAGYAWKYSRSLHGAGLSFLAGGIFGWTFGHEIANHALQLYRVDTLAAEVKFLEWWNKKSGGY >Vigun10g145200.1.v1.2 pep primary_assembly:ASM411807v1:10:36314072:36320322:1 gene:Vigun10g145200.v1.2 transcript:Vigun10g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQSSEELQSSTQASHEPKSEQPNNHTTDAPVTDTGSASATSNDSKKVSRQDIEFVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKCPMPAKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPMQATGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNDMVMDHNAPDMAPVIPPNGTMSSVSEMPVSPTSVASSGHFPFTASEISGMGADASALDTAFTSDVASSVGLQLAPDGGNGISRSLDQIQWNFSLSDLTADLPNLGDLGALGNYPGSPFLPSDSDILLESPDQQDIVDDFFVNSEPPCSQSDEDKS >Vigun10g145200.2.v1.2 pep primary_assembly:ASM411807v1:10:36314559:36320322:1 gene:Vigun10g145200.v1.2 transcript:Vigun10g145200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQSSEELQSSTQASHEPKSEQPNNHTTDAPVTDTGSASATSNDSKKVSRQDIEFVQNLIERCLQLYMNKDEVVKTLLTRAKIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKCPMPAKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPMQATGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNDMVMDHNAPDMAPVIPPNGTMSSVSEMPVSPTSVASSGHFPFTASEISGMGADASALDTAFTSDVASSVGLQLAPDGGNGISRSLDQIQWNFSLSDLTADLPNLGDLGALGNYPGSPFLPSDSDILLESPDQQDIVDDFFVNSEPPCSQSDEDKS >Vigun07g219700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34246878:34250220:1 gene:Vigun07g219700.v1.2 transcript:Vigun07g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYKYFPWIVFLPITNTRTFQIMDASVFVKLKFLLLCSLFTIMSGSVMSNSKIHCNKKDMNTLLLFKQGLTDPSGMLSSWISNLDCCQWTGVKCHNITGKVTNLHLPCHTNHPKVVDFLDKDHKSHCLTGTLSFSLLELQSLSYLNLSNNNFKFMQYTSMLTPPIGNFSRLCRNSTNLQYLDLSENYDLFVDNLHWISHLTSLQYLNLGGVYLHKDIDWLQPVTMLPSLLELHLNCCELENMYPFLQHANFTKLQVLNLADNHFMFELPNWLFNLSCEISRIDLSQNQIHSQLPETQPNLKSLKSLVLCDNILKGPIPSWLGQLEQLQELDLSNNFFSGPIPTSLGNLSSLIKLMLESNDLNGNLPVELGQLFKLETLRVSENPLTGTVSEKNLRSLRNLKNFSLSSPFLIFDFDPKWVPPFQLLNIELGYLRDKLPAWLFTQSSLKYLTIRDSTASFEPLDMFWNFTAQLEYISLENNTINGDMSKVFLSSKVVWLVDNNLRGGIPRISPEVVVLHLRNNSLSGSISRLLCHNMRHENNLVYLDMSYNNLSGELTDCWNNWKSLVLINLRFNNLTGEIPHSMGSLSNLRFLYLKSNKFFGKVPFSLRNCKNLRILDLCRNNLSGVIPTWLGQKVEGLELRSNQFSGHIPTQLCQLRSLMIINLASNKLSGAIPNCLRNITAMVFSNSVTRRFKFTLNYPGLSVYISCSIQLLIKGNELPYFDVMNAIDLSSNNLSGSVPLGICNLTGLQSLNLSHNQFIGTIPDEIGNLKQLESIDLSSNNLSGKIPQSMSALHFLGVLNLSFNNFIGKIPAGTQLGSTNLSYIHNPGLCGPPLTKMCPPDENPNNKKSMRGDDRDDDKFQVHSWFDMGLGMGFAAGFWGLLGTVFFNRKCRHAYFKFLNRISDFVIQKTNSITGM >Vigun08g056500.1.v1.2 pep primary_assembly:ASM411807v1:8:7045899:7047322:1 gene:Vigun08g056500.v1.2 transcript:Vigun08g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGPATLQSTASSRTSPLFRSQFPPNRTPFQPLFETILEGGRETWIYVIYWEYSSATSLLGWGDGYYNGDYNGKACKATSSTEQAQRKSVIRLLNLLVSSRSATPGDYDEDVTDLEWFFLLSMAHTFLHGSGMSGQAFFNGALVWVTGPDRLSESACQRARQLREFGLQTMVCIPCPNGVVELASTEVVLPYSDLMSKVRDFIDFNYPVRDLTSHQIIPPREDKRLHEREYTEMQNHEDENLSKKFRGKEKISDSKCSLFMLSESSDGASRSTRSHSYDYSGPS >Vigun05g112900.2.v1.2 pep primary_assembly:ASM411807v1:5:11897588:11900588:1 gene:Vigun05g112900.v1.2 transcript:Vigun05g112900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEMAALSKPSIWGEASRIVNEEGFRAFWKGNLVTIAHRLPYSAVNFYAYERYKNLLQIFMGENHRGNTSADLFVHFVGGGLSGITSATATYPLDLVRTRLAAQRSSIYYRGISHAFSTICREEGFSGLYKGLGATLLGVGPNIAISFSVYEGLRSFWQSQRPDDSTVMVSLACGSLSGVASSTATFPLDLVRRRMQLEGAGGRARVYNTSLFGTFKHIVRNEGLRGLYRGILPEYYKVVPSVGIVFMTYETLKMFLSSIPS >Vigun05g112900.1.v1.2 pep primary_assembly:ASM411807v1:5:11896941:11900588:1 gene:Vigun05g112900.v1.2 transcript:Vigun05g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGVGVEGGNGSNVKLLQPKGQQVQVGTVSQLLAGGLAGAFAKTCTAPLARLTILFQVHGMHFEMAALSKPSIWGEASRIVNEEGFRAFWKGNLVTIAHRLPYSAVNFYAYERYKNLLQIFMGENHRGNTSADLFVHFVGGGLSGITSATATYPLDLVRTRLAAQRSSIYYRGISHAFSTICREEGFSGLYKGLGATLLGVGPNIAISFSVYEGLRSFWQSQRPDDSTVMVSLACGSLSGVASSTATFPLDLVRRRMQLEGAGGRARVYNTSLFGTFKHIVRNEGLRGLYRGILPEYYKVVPSVGIVFMTYETLKMFLSSIPS >Vigun02g194350.1.v1.2 pep primary_assembly:ASM411807v1:2:33047415:33049502:-1 gene:Vigun02g194350.v1.2 transcript:Vigun02g194350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAQAQIEKVKREGYSGQYIGIVTPLFVLTTVSSPLLLSRGECGGCWWLPWRLLSEAVMDVDVSWRGVSVDAAMDTAPREGETCYVLLWRGDSLCIRSHDIALFPPLCLTKPKSEPLPSKIR >Vigun05g026600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2157363:2160099:1 gene:Vigun05g026600.v1.2 transcript:Vigun05g026600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGHSSKSGSGVCVAQPVENGGFGGDGRCCKHASPPRSGGSRNNSPMGRGGSRNTSPSRQKVVKTKPRGLDEETLATFGKVVHTDVQMEDNIWAMLPEDLLHEILARVPPFLIFRLRLVCKRWNSLLQDSSFLKFHSSVPSHGPCLLTFWKNTQIPQCSVFSLPLKTWYRIPFTFLPPWAFWLVGSSGGLVCFSGHDGLMFKTLVCNPLTQTWRALPSMHYNQQRQLILVVDRVDRSFKVIATSDIYGDKSLPTEVYDSKIDSWTIHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGHWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKFTWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKIWSWIGGCALQSYNNQVCFYDPRFDASIY >Vigun05g026600.1.v1.2 pep primary_assembly:ASM411807v1:5:2157423:2160099:1 gene:Vigun05g026600.v1.2 transcript:Vigun05g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGHSSKSGSGVCVAQPVENGGFGGDGRCCKHASPPRSGGSRNNSPMGRGGSRNTSPSRQKVVKTKPRGLDEETLATFGKVVHTDVQMEDNIWAMLPEDLLHEILARVPPFLIFRLRLVCKRWNSLLQDSSFLKFHSSVPSHGPCLLTFWKNTQIPQCSVFSLPLKTWYRIPFTFLPPWAFWLVGSSGGLVCFSGHDGLMFKTLVCNPLTQTWRALPSMHYNQQRQLILVVDRVDRSFKVIATSDIYGDKSLPTEVYDSKIDSWTIHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGHWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKFTWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKIWSWIGGCALQSYNNQVCFYDPSMPDSEYRFSSIDRFL >Vigun05g211550.1.v1.2 pep primary_assembly:ASM411807v1:5:40241569:40241836:-1 gene:Vigun05g211550.v1.2 transcript:Vigun05g211550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKLFHKQILKNKNNSQEWSDICSKMTKVLWLLYSCVKKMLNDLLKRSCYYFVCGTTFLPFKPIHIYVKT >Vigun03g345000.1.v1.2 pep primary_assembly:ASM411807v1:3:54417638:54419155:1 gene:Vigun03g345000.v1.2 transcript:Vigun03g345000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDQNQPQANESKGSAPGPYQVPPPPPVIIMEDEVEETKFKGDGFWRGCCAGICCYCCLDICF >Vigun03g188200.2.v1.2 pep primary_assembly:ASM411807v1:3:25319019:25321555:1 gene:Vigun03g188200.v1.2 transcript:Vigun03g188200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEDSITDQLKNGVRGLMLDMNDYKDDIWLCQGMCSRYTAFQPAIHVLKEIRAFLATHPTQIITIFIEDHVTSPNGVNKVFNAAGLRKFWFPVSKMPKNGGDWPTVKEMIRRNHRLIVFTSNATKEDYQRIAFVWNYVVENHYGHDGMKGGSCSNRAESFPMNTTTKSLVLMNYFRNVQSSREACRDNSSPLITMMNMCFIAAGNRWPNYVAVDFYKRSDGGGAPEALDVANENLFQT >Vigun11g023800.1.v1.2 pep primary_assembly:ASM411807v1:11:2979239:2992930:1 gene:Vigun11g023800.v1.2 transcript:Vigun11g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQQFLNFLFLASFLISTTAISDQTPKHYVVYMGNSSPNNKRVQGQIPESDHLQLLSSVIPSEESERIGLIHQFSHAFSGFSAMLTESEASALSGHDGVVSVFLDPMLELHTTRSWDFLESDLGLKAPHYAPSKTLIQHSSIDSIIGVIDTGIWPESPSFRDEGIGEIPSRWKGVCMEGHDFKKSNCNRKLIGARYYNIEATPGSNQTQIEASKGSPRDSVGHGTHTASIAAGAYVKNASYYGLALGTARGGSPSTRIAAYRTCSDEGCSGATILKAIDDAVKDGVDVISISIGLSSLFQSDFLSDPIAIGAFHAEQMGVMVVCSAGNDGPDSFTVVNTAPWIFTIAASNIDRNFQSTIVLGNGKYFQGTGINFSNLTHSKMHNLVFGEQVAAKFAPASEARNCYPGSLDYNKIAGNIVVCANDDPTVARRIKKLVVQDGRAIGLIIIDEDNKDVPFDAGEFPFTEVGNVEGHQILQYINSTKNPTATILPTTEVVRYKPSPIVASFSSRGPSSLTENILKPDVMAPGIGILAAMIPKSTDPGSVPVGKKPSLFAIKSGTSMACPHVTGAAAFIKSVHQNWSPSMIKSALMTTATNYNNLRKPLTNSSNYIADPHEIGVGEINPVKALYPGLVFETNIEDYLRFLCYFGYSQKKVRSMFKTNFNCPKNSSEDLISNINYPSISISTLKREQKPKVITRTVTNVGSPNATYTAKVRSPEGLVVKVIPNKLVFSEGVQRITYKVSFYGKEANGGYNFGSLTWLDGHHYVHTVFAVKVQ >Vigun06g097800.1.v1.2 pep primary_assembly:ASM411807v1:6:22879552:22882111:1 gene:Vigun06g097800.v1.2 transcript:Vigun06g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLSLRLFLVSFLSIFCNSAFSVSSSVSSDTICNLTPYPSFCESNSPNGHGDIHEYGRFFVEKSLSSSKMFFSLLSQYLKSSSKFSNSTILALQDCHLLLDLNKRFLSKTLKTINSTDTLGGSEDENFHTLLSATLTNQDTCLNSLQETTSNPDKDLLTHLSNGTKLYSISLAIFKRGWKAKNNTEKKLGRNMWEQRLYELIRRRGRKLLQLGVDNNVVVNQSVVVNPDGSGNYTTINDAVAAAPNNSQGSNGFFVIHVVGGVYEEYVSIPREKQYLMMIGDGINQTIITGNHSVVDGWTTFNSATFAVIAKGFVAINITFRNTAGAIKHQAVALRSGADLSAFYNCSFEGYQDTLYTHSLRQFYRNCDIYGTVDFIFGNAAVVLQNCNMYPRLPMQNQFNAITAQGRTDVNQNTGTSIHNCTITAASDLAGSNGTTKTYLGRPWKQYSQTVYMQSFMDSLIDPLGWVAWSGDFALDTLYYAEFDNLGPGSDTSNRVTWPGYHVINATEAVNFTVSNFILGGIWLPATGVPYYPDLLTP >Vigun05g228900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42244937:42246531:1 gene:Vigun05g228900.v1.2 transcript:Vigun05g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFSNPPSLVYPLYINMTTISLLHQKLQSIFFSICLFSPSLPNSHHRRNKMETRAASKRKANAMVLVQKQHPKRHRVSFAQNPPKEKLQDVKNPNAKKPSPTTYHSSSSRDESLVSDFYEYLHEMEIQRKRRPMVDYIEKVQKLFTPTMRGILVDWLVEVGEEYKLLPDTLHLSVSYIDRFLSVNPVTKSRLQLLGVSSMLIASKYEEIDPPCVDDFCSITDHTYDKEEVVKMEADILQSLKFEMGNPTVNTFLRRFADVASDHEMTPNSQIEFLSHYLAELSLLDYDCLRFLPSVVAASAVFLSRFITSPEVHPWSYSLSECSGYKPAELKECVKILHDLYLLRKAASFKAVRDKYKQQKFKCVANLSSLPYIPNCYFEDL >Vigun04g140533.1.v1.2 pep primary_assembly:ASM411807v1:4:34840399:34842852:1 gene:Vigun04g140533.v1.2 transcript:Vigun04g140533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYQSADNIPFEEHLEINIPNAQPEQVHVKSGLLHNDSADDITPKVHMCFDSLDDVKQFYKNYGIRSGFGIRTRTSARGEDNEINYIKLVCSREGNYVSAIPPELKTVPTKAKQCKASITAAKKDGQWFIRSVVTDHSHDISPKKSRLIRGNRKVDMHSRQTVETNDDAGVRINKSFRSLVSEAGGYENVNFIERDVRNYIGQQRRSLCKDGDGQALLRHFSKMRELNNDFFFEIDMDEDNRICNVFWADARSRAASEDFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGHSILLGCGLVSAEDTRSFVWIFECWLRCMYNKPPKGIVTDQCKAMLNAIAQVFPSTKHRWCLWHIMKKVPEKLQGYTKYKSIKGELKRLVYDSVTVGEFELGWHAFIGQYDLSTNDWLTTLFDERHRWVPCYLKSQFWAGMSTTQRSEGLNAFFDGFINSGTTLQQFVVQYDNALRQKAEKEFEADFASSNTTVACGSQSLIERQFQVEYTHAKFAEVQNEFRGKINCFVKRVFEDGCLLKYAVKEEWLWEGKKYHRMHDVVLDTLITNIHCSCMLFEFRGIMCRHTLLTLRQEDIECVPEKYVLRRWSKNVRRRHSLIIAGYNRSNDDPRMQKYKVLCKRFYDLAEVACDSDASSTMLFNEMNSIAKGLGVPTKSNPFILSQEGDIEDNGGEHPCVTNCSNVRSPVHVKRKGRPRTNRLQSTVEKLSKKKKTSAGKNKSQRTSQEPIDVDVPLDSQCTQLTDEARIGPYIPNSGFMSLLTCMEAEIVNTQVSTTRLI >Vigun07g134700.3.v1.2 pep primary_assembly:ASM411807v1:7:24478861:24483632:-1 gene:Vigun07g134700.v1.2 transcript:Vigun07g134700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYELYRLAREKLGTENNSTRASDQCSSPENDFFELVWENGQISSQGQSSKVRRSPSCRSLPSHCLPSHSPKGRDKDVGYGANRMGKFRDLDSGLNEISMSVPSREVDFCQDEDVLPWFDDYTTMDGSLQHDYGSDFLPAPTSFTLLDKKSNSNMALRDSHKTSEEQGNVFKDSSAEQIGTAEPKASTSQLYPPSLHHCQTSFVSSRSRTSDVTENNNTSNGNQDATYGEITNFLSSSSDFSSPKGKKQEPPLPGNGSSSTVMNFSHFARPAAMVRANLQNIGLKSGLSSARSDSMEIKNKDAAATSSNPPESIVVDSSGECPKELTMRSQQVVDQSKTDLNTSLSKAVEQKSVLSKQSETACNESSTKIDQIADQVLGDSGAKGQTTAEKSMEAAVASSSVCSGNGADRGSDEPNKNLKRKRKDTDDSECHSEDAEEESGGVKKAAGGRGGTGSKRTRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPAGMQHMHAPHLAPFSPMSVGMHMGYGMGYGVGMPDMNGGPSRFPMIQVPQMQGSHIPVAHMSGPTALHGMAGSNLSGLGLPGQGHPMPMPRPTVLPFSGGPVMNSSALGLHACGSSGLVGTVDSASASGLKDQMSNGVPLVKQSSGGRDSTSQRPTQSESICCWI >Vigun07g134700.2.v1.2 pep primary_assembly:ASM411807v1:7:24478687:24483632:-1 gene:Vigun07g134700.v1.2 transcript:Vigun07g134700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYELYRLAREKLGTENNSTRASDQCSSPENDFFELVWENGQISSQGQSSKVRRSPSCRSLPSHCLPSHSPKGRDKDVGYGANRMGKFRDLDSGLNEISMSVPSREVDFCQDEDVLPWFDDYTTMDGSLQHDYGSDFLPAPTSFTLLDKKSNSNMALRDSHKTSEEQGNVFKDSSAEQIGTAEPKASTSQLYPPSLHHCQTSFVSSRSRTSDVTENNNTSNGNQDATYGEITNFLSSSSDFSSPKGKKQEPPLPGNGSSSTVMNFSHFARPAAMVRANLQNIGLKSGLSSARSDSMEIKNKDAAATSSNPPESIVVDSSGECPKELTMRSQQVVDQSKTDLNTSLSKAVEQKSVLSKQSETACNESSTKIDQIADQVLGDSGAKGQTTAEKSMEAAVASSSVCSGNGADRGSDEPNKNLKRKRKDTDDSECHSETFYMKDAEEESGGVKKAAGGRGGTGSKRTRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPAGMQHMHAPHLAPFSPMSVGMHMGYGMGYGVGMPDMNGGPSRFPMIQVPQMQGSHIPVAHMSGPTALHGMAGSNLSGLGLPGQGHPMPMPRPTVLPFSGGPVMNSSALGLHACGSSGLVGTVDSASASGLKDQMSNGVPLVKQSSGGRDSTSQRPTQSESICCWI >Vigun07g134700.1.v1.2 pep primary_assembly:ASM411807v1:7:24478685:24483720:-1 gene:Vigun07g134700.v1.2 transcript:Vigun07g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYELYRLAREKLGTENNSTRASDQCSSPENDFFELVWENGQISSQGQSSKVRRSPSCRSLPSHCLPSHSPKGRDKDVGYGANRMGKFRDLDSGLNEISMSVPSREVDFCQDEDVLPWFDDYTTMDGSLQHDYGSDFLPAPTSFTLLDKKSNSNMALRDSHKTSEEQGNVFKDSSAEQIGTAEPKASTSQLYPPSLHHCQTSFVSSRSRTSDVTENNNTSNGNQDATYGEITNFLSSSSDFSSPKGKKQEPPLPGNGSSSTVMNFSHFARPAAMVRANLQNIGLKSGLSSARSDSMEIKNKDAAATSSNPPESIVVDSSGECPKELTMRSQQVVDQSKTDLNTSLSKAVEQKSVLSKQSETACNESSTKIDQIADQVLGDSGAKGQTTAEKSMEAAVASSSVCSGNGADRGSDEPNKNLKRKRKDTDDSECHSEDAEEESGGVKKAAGGRGGTGSKRTRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPAGMQHMHAPHLAPFSPMSVGMHMGYGMGYGVGMPDMNGGPSRFPMIQVPQMQGSHIPVAHMSGPTALHGMAGSNLSGLGLPGQGHPMPMPRPTVLPFSGGPVMNSSALGLHACGSSGLVGTVDSASASGLKDQMSNGVPLVKQSSGGRDSTSQRPTQSESICCWI >Vigun08g209700.1.v1.2 pep primary_assembly:ASM411807v1:8:37210925:37213588:1 gene:Vigun08g209700.v1.2 transcript:Vigun08g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAARGAGAVGVGVAIFISVALTTYFICDRRSKKKNISRKNGLVEAIGNTPLIRINSLSAATGCQILGKCEFLNPGGSVKDRVALQIIQEALESGQLRPGGIVTEGSAGSTAISIATVAPAYGCKAHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRASEANEFALKPKNLQLNDKDTAKINGYESDGYHYSSLFPSDCQGGFFADQFENLANFRAHYQGTGPEIWEQTNGKLDAFVAAAGTGGTVAGVSTFLQEKNPEIKCFLVDPPGSGLYNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRITKNFAMVKLNGAFRGTDREAVEMARFLLKNDGLFLGSSSAMNCVGAVRVAQAIGPGHTIVTILCDSGMRHLSKFYNAEYLSELGLTPKAAGLEFLGIE >Vigun08g041400.1.v1.2 pep primary_assembly:ASM411807v1:8:4224943:4226676:-1 gene:Vigun08g041400.v1.2 transcript:Vigun08g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKEVGVMYKRRMERTQDYLRYCLQTAQENGMLDIILSCNGEFQPSPLSLHSITSPQAHAHHPNLAPIIHQAKTNGWYIHPNEIELEEKIGEGSTAEIHRGTWRGFEVAVKCISQEFFRTNENGVVYFSQELETLSRQRHRFVLQLMGACIQPPQHAWVVTEYLSTTLKEWLHGPGNRRRERMVPLPPLQERVGRALEIAQAMQYLHEQKPKLVHRDLKPSNIFLDDALHVRVADFGHARFLGDEEMALTGETGTYVYMAPEVIRCEPYNESCDVYSFGIILNELLTGNYPYVETEYGPTKIAMEVVEGKLRPKLPCDDDVDEVGELIDLICLCWHQNPTTRPSFATITLSLKTYVNNNLI >Vigun04g197300.2.v1.2 pep primary_assembly:ASM411807v1:4:42225051:42229233:1 gene:Vigun04g197300.v1.2 transcript:Vigun04g197300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVNGVDSLPEIQNSVSEVNQVNHDPLSSGSPLPSRPPLRASRTCDGGHQTKIIHHQNSHVINQKHSHEEGNNLNKEGLPAKLSTKKPPLDDSKGCESNGVLVVSESERKGTVDNHNHNHAKSHSQPVATFCPSPQNSFYAATVYSEAKGSFTNTELSECASVDKSCESEEVANSSDFNESRKTSICRASTGSDASDESSTSSLSSVLYKPHKANDIRWEAIQAVRIRDGVLEMRHFRLLKKLGCGDIGSVYLAELSGTRTSFAMKVMNKTELANRKKLLRAQTEREILQSLDHPFLPTLYTHFETETFSCLVMEFCPGGDLHALRQRQPGKYFSEHAVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNTLETKSSGYCVQPSCIEPTCVMQPDCIRPPSCFTPRFFSGKSKKDKKSKPKNDMHNQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVIGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCANPPEVPKQVMNLPQTEKDLGVKPSGNNYLDIDFF >Vigun04g197300.3.v1.2 pep primary_assembly:ASM411807v1:4:42225128:42229233:1 gene:Vigun04g197300.v1.2 transcript:Vigun04g197300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVNGVDSLPEIQNSVSEVNQVNHDPLSSGSPLPSRPPLRASRTCDGGHQTKIIHHQNSHVINQKHSHEEGNNLNKEGLPAKLSTKKPPLDDSKGCESNGVLVVSESERKGTVDNHNHNHAKSHSQPVATFCPSPQNSFYAATVYSEAKGSFTNTELSECASVDKSCESEEVANSSDFNESRKTSICRASTGSDASDESSTSSLSSVLYKPHKANDIRWEAIQAVRIRDGVLEMRHFRLLKKLGCGDIGSVYLAELSGTRTSFAMKVMNKTELANRKKLLRAQTEREILQSLDHPFLPTLYTHFETETFSCLVMEFCPGGDLHALRQRQPGKYFSEHAVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNTLETKSSGYCVQPSCIEPTCVMQPDCIRPPSCFTPRFFSGKSKKDKKSKPKNDMHNQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVIGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCANPPEVPKQVMNLPQTEKDLGVKPSGNNYLDIDFF >Vigun03g013200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:896501:899807:1 gene:Vigun03g013200.v1.2 transcript:Vigun03g013200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTMHHLLLRFLFLFSALATPSSAAIDTFIFGGCSQPKYTPGSPYESTVNSLLTSLISSASFANYNNFTLAAASSDTVYGLFQCRGDLNNDQCSRCVARAVTQLGTLCYASCGGALQLEGCFVKYDNATFVGVEDKTVVTKKCGPSVGLTSDALTRRDAVLAYLQTPDGTSKTFRTSGYGDFQGVAQCTGDLSPTECQDCLSAAIQRLKTDCGPSPWAEMYLAKCYARYSQGGSHSRPNNNGSVKTAPPRAVVWSSLSTFFPSV >Vigun03g013200.1.v1.2 pep primary_assembly:ASM411807v1:3:896501:899807:1 gene:Vigun03g013200.v1.2 transcript:Vigun03g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTMHHLLLRFLFLFSALATPSSAAIDTFIFGGCSQPKYTPGSPYESTVNSLLTSLISSASFANYNNFTLAAASSDTVYGLFQCRGDLNNDQCSRCVARAVTQLGTLCYASCGGALQLEGCFVKYDNATFVGVEDKTVVTKKCGPSVGLTSDALTRRDAVLAYLQTPDGTSKTFRTSGYGDFQGVAQCTGDLSPTECQDCLSAAIQRLKTDCGPSPWAEMYLAKCYARYSQGGSHSRPNNNDDSNHNDDEIEKTLAILIGLIAGVALIIVFLSFLSKVCEKQKGGK >Vigun02g176100.3.v1.2 pep primary_assembly:ASM411807v1:2:31805119:31823668:-1 gene:Vigun02g176100.v1.2 transcript:Vigun02g176100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKPRAVEKGVVGPSLSVASSSIPAGPVYYPTEDEFKDPLEYIYKIRPEAEPYGICKIVPPKSWKPRFALDLDAFTFPTKTQAIHKLQARPASCDSKTFDLEYSRFLKDHCSKKSRKRVVFEGAELDLCKLFNAVKRYGGYDKVVDGKKWGDVARFVRSTGKISDCAKHVLCQLYREHLYDYENFYNQMNQGTDQSCKKTLYEEHKSDCGVKPLVSKRIHKSVDCLKPKDSKGQGEEHDQICEQCKSGLHGELMLLCDRCDKGWHTYCLSPPLKQIPKGNWYCFNCLNSDRDSFGFVPGKHYSLEAFRRKADLSRRRWFGSGPVSRVQIEKKFWDIVEGLVGEVEVMYGNDLDTSVYGSGFPRVADKKPEWIDDKLWEEYSTNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEAKCWYSVPGSQSSAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSILQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGAFGADLYQRYHKTAVLSHEELLCVVAQYGEVDSRVSSYLRNELLRISVKEKSRREKLWKNGIIKSSRMAPRKCPQFVGTEEDPACVICQQYLYLSAVVCGCRPSAFVCLEHWEHLCECKTVKLRLLYRHSLAELYDLAHSMDKYTSEDKAECRSVKRQPSCLSALTKKVKGGSITFAQLATEWLLQSSSILQNVFLKDAFVTALRKAEQFLWAGSEMDSVRDMVRNLLQAQEWAEGIRDCVTKIEIWLCHRDSSVKKVHLESVDELLKFSPAPCNEPCYHKLKEYAEEARLFVQEIDTALSMSLNMSELELLYSKACGLPIYVKENKKLEGKISSTKAWLDSVRNCISARQPAALHVDVLYKLKAEFWDLQVQLPEIDVLQNLLNEAESCSAQCHDMLEGPMNLKNVSLLLKEWENFAVDVPELKLLRQYHSDTVSWVSHCNDVLGRVHMQEDQHNVVDKLNSIFEAGLSLKIQVDELPLVEVELKKAHCREKAVKAHDFKMPLEFIQQLLKEATMLQIEGEKQFVNLSCMLTAAIPWEERARGMLSHEAPILDFEDMIRASENIFVILPSLNDVKDALSEANSWLKNSKPYFVSSMQTSDSMQKVEDLQMLVSQSKHLKVSFEERGMLELVLKNCRTWEYEAFSVLDDARCLFELDSSLHQIDSGLMCNMEDLIVRIQSTIASGTSLGFDFGEISKLQASCSTLQWCKRALSFSNCSPSLEDVLEVAEGLSHSSISGALLKLLIGGLEWLKKALEAISGPYNSRRCKLTDIQAILTDYETINMTFTAVNIQLEDAIGKHKLWQEQVCQFFGLSFRERSWSSILQLKEYGDTIAFGCCELDLVLSEVKKVENWKKTCMDKLGASVKNENSLLHALEKMGQTLDRSLLMYDNLQDLKEPNQCICCFDDSEDQEFLTCSTCMDCYHAQCVGLTEEDLAIENYQCPYCEILRGEFRYQNGGALLRFEKKRVELKVLTELMSEAENLCLWRWCSTRVLDLHLWWKICK >Vigun02g176100.2.v1.2 pep primary_assembly:ASM411807v1:2:31802830:31823668:-1 gene:Vigun02g176100.v1.2 transcript:Vigun02g176100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKPRAVEKGVVGPSLSVASSSIPAGPVYYPTEDEFKDPLEYIYKIRPEAEPYGICKIVPPKSWKPRFALDLDAFTFPTKTQAIHKLQARPASCDSKTFDLEYSRFLKDHCSKKSRKRVVFEGAELDLCKLFNAVKRYGGYDKVVDGKKWGDVARFVRSTGKISDCAKHVLCQLYREHLYDYENFYNQMNQGTDQSCKKTLYEEHKSDCGVKPLVSKRIHKSVDCLKPKDSKGQGEEHDQICEQCKSGLHGELMLLCDRCDKGWHTYCLSPPLKQIPKGNWYCFNCLNSDRDSFGFVPGKHYSLEAFRRKADLSRRRWFGSGPVSRVQIEKKFWDIVEGLVGEVEVMYGNDLDTSVYGSGFPRVADKKPEWIDDKLWEEYSTNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEAKCWYSVPGSQSSAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSILQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGAFGADLYQRYHKTAVLSHEELLCVVAQYGEVDSRVSSYLRNELLRISVKEKSRREKLWKNGIIKSSRMAPRKCPQFVGTEEDPACVICQQYLYLSAVVCGCRPSAFVCLEHWEHLCECKTVKLRLLYRHSLAELYDLAHSMDKYTSEDKAECRSVKRQPSCLSALTKKVKGGSITFAQLATEWLLQSSSILQNVFLKDAFVTALRKAEQFLWAGSEMDSVRDMVRNLLQAQEWAEGIRDCVTKIEIWLCHRDSSVKKVHLESVDELLKFSPAPCNEPCYHKLKEYAEEARLFVQEIDTALSMSLNMSELELLYSKACGLPIYVKENKKLEGKISSTKAWLDSVRNCISARQPAALHVDVLYKLKAEFWDLQVQLPEIDVLQNLLNEAESCSAQCHDMLEGPMNLKNVSLLLKEWENFAVDVPELKLLRQYHSDTVSWVSHCNDVLGRVHMQEDQHNVVDKLNSIFEAGLSLKIQVDELPLVEVELKKAHCREKAVKAHDFKMPLEFIQQLLKEATMLQIEGEKQFVNLSCMLTAAIPWEERARGMLSHEAPILDFEDMIRASENIFVILPSLNDVKDALSEANSWLKNSKPYFVSSMQTSDSMQKVEDLQMLVSQSKHLKVSFEERGMLELVLKNCRTWEYEAFSVLDDARCLFELDSSLHQIDSGLMCNMEDLIVRIQSTIASGTSLGFDFGEISKLQASCSTLQWCKRALSFSNCSPSLEDVLEVAEGLSHSSISGALLKLLIGGLEWLKKALEAISGPYNSRRCKLTDIQAILTDYETINMTFTAVNIQLEDAIGKHKLWQEQVCQFFGLSFRERSWSSILQLKEYGDTIAFGCCELDLVLSEVKKVENWKKTCMDKLGASVKNENSLLHALEKMGQTLDRSLLMYDNLQDLKEPNQCICCFDDSEDQEFLTCSTCMDCYHAQCVGLTEEDLAIENYQCPYCEILRGEFRYQNGGALLRFEKKRVELKVLTELMSEAENLCLWIDERDVLSQLVEKALSCKSFLKEIVILASANVDQDTSVISEKLATAVKASNVAVVYDQHDTCDLELTLAKTLWKVQVNRILNGIPKPTVQRIQKHLKEVASDFGALGLDKVLELIVEGEKLPVDANEELSLLRARCMLYCICRKPFDPERMIACCRCNEWYHFDCMKLPCTREVYICPACAPCTEGLPPNHDRLTSGKFEEPKTPSPRHSNPRKKQKRDVHSLTCNTFATRDEDSECRYPSGIECLRWQNRKPFRRAAKKRVELRSLSPFLCIQR >Vigun02g176100.1.v1.2 pep primary_assembly:ASM411807v1:2:31802830:31823668:-1 gene:Vigun02g176100.v1.2 transcript:Vigun02g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKPRAVEKGVVGPSLSVASSSIPAGPVYYPTEDEFKDPLEYIYKIRPEAEPYGICKIVPPKSWKPRFALDLDAFTFPTKTQAIHKLQARPASCDSKTFDLEYSRFLKDHCSKKSRKRVVFEGAELDLCKLFNAVKRYGGYDKVVDGKKWGDVARFVRSTGKISDCAKHVLCQLYREHLYDYENFYNQMNQGTDQSCKKTLYEEHKSDCGVKPLVSKRIHKSVDCLKPKDSKGQGEEHDQICEQCKSGLHGELMLLCDRCDKGWHTYCLSPPLKQIPKGNWYCFNCLNSDRDSFGFVPGKHYSLEAFRRKADLSRRRWFGSGPVSRVQIEKKFWDIVEGLVGEVEVMYGNDLDTSVYGSGFPRVADKKPEWIDDKLWEEYSTNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEAKCWYSVPGSQSSAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSILQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGAFGADLYQRYHKTAVLSHEELLCVVAQYGEVDSRVSSYLRNELLRISVKEKSRREKLWKNGIIKSSRMAPRKCPQFVGTEEDPACVICQQYLYLSAVVCGCRPSAFVCLEHWEHLCECKTVKLRLLYRHSLAELYDLAHSMDKYTSEDKAECRSVKRQPSCLSALTKKVKGGSITFAQLATEWLLQSSSILQNVFLKDAFVTALRKAEQFLWAGSEMDSVRDMVRNLLQAQEWAEGIRDCVTKIEIWLCHRDSSVKKVHLESVDELLKFSPAPCNEPCYHKLKEYAEEARLFVQEIDTALSMSLNMSELELLYSKACGLPIYVKENKKLEGKISSTKAWLDSVRNCISARQPAALHVDVLYKLKAEFWDLQVQLPEIDVLQNLLNEAESCSAQCHDMLEGPMNLKNVSLLLKEWENFAVDVPELKLLRQYHSDTVSWVSHCNDVLGRVHMQEDQHNVVDKLNSIFEAGLSLKIQVDELPLVEVELKKAHCREKAVKAHDFKMPLEFIQQLLKEATMLQIEGEKQFVNLSCMLTAAIPWEERARGMLSHEAPILDFEDMIRASENIFVILPSLNDVKDALSEANSWLKNSKPYFVSSMQTSDSMQKVEDLQMLVSQSKHLKVSFEERGMLELVLKNCRTWEYEAFSVLDDARCLFELDSSLHQIDSGLMCNMEDLIVRIQSTIASGTSLGFDFGEISKLQASCSTLQWCKRALSFSNCSPSLEDVLEVAEGLSHSSISGALLKLLIGGLEWLKKALEAISGPYNSRRCKLTDIQAILTDYETINMTFTAVNIQLEDAIGKHKLWQEQVCQFFGLSFRERSWSSILQLKEYGDTIAFGCCELDLVLSEVKKVENWKKTCMDKLGASVKNENSLLHALEKMGQTLDRSLLMYDNLQDLKEPNQCICCFDDSEDQEFLTCSTCMDCYHAQCVGLTEEDLAIENYQCPYCEILRGEFRYQNGGALLRFEKKRVELKVLTELMSEAENLCLWIDERDVLSQLVEKALSCKSFLKEIVILASANVDQDTSVISEKLATAVKASNVAVVYDQHDTCDLELTLAKTLWKVQVNRILNGIPKPTVQRIQKHLKEGLAMGISPEDHYMLKISNVNSLGLQWTELAKKVASDFGALGLDKVLELIVEGEKLPVDANEELSLLRARCMLYCICRKPFDPERMIACCRCNEWYHFDCMKLPCTREVYICPACAPCTEGLPPNHDRLTSGKFEEPKTPSPRHSNPRKKQKRDVHSLTCNTFATRDEDSECRYPSGIECLRWQNRKPFRRAAKKRVELRSLSPFLCIQR >Vigun09g205500.1.v1.2 pep primary_assembly:ASM411807v1:9:37993081:37994506:-1 gene:Vigun09g205500.v1.2 transcript:Vigun09g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCFDFWKGGKTIEEGSEEWKEMSMKVREACESYGCFLLRCDEMNSNGAREELFKNMKTLFDLPQETKQKHTSPKPFKGFNSYNYLSTVYESFAIDDVLLSTSVNNLTNLMWPQGNPHFCETLKGTSLKMSDLSLVILKMIVEGYGLPQHHISDVENLKSSCNVRMNMYDTDKKNTVNRNIANDHIDKNTLTILSENEVPGLQVQSKTGEWVEVVIPKNCFVVIVGDALKAWSNARIHAAMHRVVTNEEKQRFTFGVFVAPREDMKIEVPHELVDEKIHPLRYRAFNYGEFLSFYASNFRNNNGNALEVFAGL >Vigun06g009600.1.v1.2 pep primary_assembly:ASM411807v1:6:4510423:4518065:-1 gene:Vigun06g009600.v1.2 transcript:Vigun06g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRAASASGPADDDPNHRLKRKRAASETLPTGQGITNSKVSLYHCNYCNKDISGRIRIKCAVCQDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWNEVAEYVGTKSKSQCIDHYNVVYMNSPCFPLPDLSHVMGKSRDELFAMVKGHEAKKEFSLTAELMLKEEAPFSDVVSYEETKKVEINNLEISRLTSVKNSSYLSQSNDDVKVEGMFSDAV >Vigun08g154300.1.v1.2 pep primary_assembly:ASM411807v1:8:32659264:32664067:-1 gene:Vigun08g154300.v1.2 transcript:Vigun08g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLCLLFILLCITIIEHAHSNNDRKTYIVYMGDHPKGVDLTSVPSLHSTMAQKVLGSDFKPEAVIHSYKNFNAFVMKLTEEEAKRMAEMENVVSVFPNGKNRLHTTRSWNFLNFPQNVNRETSESDIIVGVIDSGIWPESESFSDKGFGPPPAKWKGSCHNFTCNNKIIGAKYFNLENEFAKDDIISPRDAEGHGSHCSSTVAGNSVNSVSVFGLASGTARGGVPSARIAMYKVCWKTGSCGDADTLAAFDEAISDGVDVISISTGLGGIVHIPYFQDSNNIGSFHAMKKGILTSNSANNLGPGLYSMTNYPPWLLSVAASAFDRKIITKVKLGTGAVYEGVSINTFDLKGKAYPLVYGGDVPNVAGGHNSSTSRFCEKDSLDKHSVKGKIVLCESIQSSEDVGFLSGAAGVIFGNNYPKDLPGAYALPALEITQWDQRLIHSYLKSNRNATATIFKSEEVNDGLIPFIASFSSRGPNPITPNTLKPDIAAPGVEVIAAWSPIDPISDVKGDKRKVQYNVISGTSMACPHATAAAAYVKSFHPNWSPAMIKSALMTTATPMSRTLNPEAEFAYGAGLINPAKAANPGLVYDINEADYVKFLCGEGFTDKQLRVLTEDHSSCKGQASKKAVYELNLPSFSLFVNGSDFSSAYRRTVTNVGSKTSTYKARVIAPSLLNIQVKPRTLSFTSIGQKKSFYVIIQGRINVAILSASLIWDDGNHQVRSPIVVYGIVG >Vigun05g037000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2996715:2999837:-1 gene:Vigun05g037000.v1.2 transcript:Vigun05g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKLRRHSDGIARSGNDERKVVVPRYLRASIGSCHDFCKYGRMNVEEAKETLSMLKRAGRKSLSRSSDNSIGGITISVAKQKASLDSKPTQMSKVKISSSSEGEIPSKPTSIRVESSPAAISQRVKTHPKLTSKIVKASSKSMSTMKQASPKVSSSEDKEVQLSEKHATPMKTTSSMNSSEGLGGQRISKIKLRKRKNSPRSSPGGIGSVSARKHKGLKIVPHLMIMNQPAIEVEPEEHNKEAQEKTLYVIKMESANQSSQSDQNESQEIESPLSNSLSPSSISLTSPQEDQEESEYANTESEEDVPPQNHEFEYQANVDTLEAEENGRHQKDVEVAFSEDKDCQKLSGELAETQIDEDNLKSLERGKVLRDNATDESAARTGPEMVVLRPEDEKEKKDEEELYNNVIEATASKLVEEGKVKALIDAFENIISLEEKRTLANIFN >Vigun05g037000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2996715:2999837:-1 gene:Vigun05g037000.v1.2 transcript:Vigun05g037000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKLRRHSDGIARSGNDERKVVVPRYLRASIGSCHDFCKYGRMNVEEAKETLSMLKRAGRKSLSRSSDNSIGGITISVAKQKASLDSKPTQMSKVKISSSSEGEIPSKPTSIRVESSPAAISQRVKTHPKLTSKIVKASSKSMSTMKQASPKVSSSEDKEVQLSEKHATPMKTTSSMNSSEGLGGQRISKIKLRKRKNSPRSSPGGIGSVSARKHKGLKIVPHLMIMNQPAIEVEPEEHNKEAQEKTLYVIKMESANQSSQSDQNESQEIESPLSNSLSPSSISLTSPQEDQEESEYANTESEEDVPPQNHEFEYQANVDTLEAEENGRHQKDVEVAFSEDKDCQKLSGELAETQIDEDNLKSLERGKVLRDNATDESAARTGPEMVVLRPEDEKEKKDEEELYNNVIEATASKLVEEGKVKALIDAFENIISLEEKRTLANIFN >Vigun05g037000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2996715:2999837:-1 gene:Vigun05g037000.v1.2 transcript:Vigun05g037000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKLRRHSDGIARSGNDERKVVVPRYLRASIGSCHDFCKYGRMNVEEAKETLSMLKRAGRKSLSRSSDNSIGGITISVAKQKASLDSKPTQMSKVKISSSSEGEIPSKPTSIRVESSPAAISQRVKTHPKLTSKIVKASSKSMSTMKQASPKVSSSEDKEVQLSEKHATPMKTTSSMNSSEGLGGQRISKIKLRKRKNSPRSSPGGIGSVSARKHKGLKIVPHLMIMNQPAIEVEPEEHNKEAQEKTLYVIKMESANQSSQSDQNESQEIESPLSNSLSPSSISLTSPQEDQEESEYANTESEEDVPPQNHEFEYQANVDTLEAEENGRHQKDVEVAFSEDKDCQKLSGELAETQIDEDNLKSLERGKVLRDNATDESAARTGPEMVVLRPEDEKEKKDEEELYNNVIEATASKLVEEGKVKALIDAFENIISLEEKRTLANIFN >Vigun05g037000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2996715:2999837:-1 gene:Vigun05g037000.v1.2 transcript:Vigun05g037000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKLRRHSDGIARSGNDERKVVVPRYLRASIGSCHDFCKYGRMNVEEAKETLSMLKRAGRKSLSRSSDNSIGGITISVAKQKASLDSKPTQMSKVKISSSSEGEIPSKPTSIRVESSPAAISQRVKTHPKLTSKIVKASSKSMSTMKQASPKVSSSEDKEVQLSEKHATPMKTTSSMNSSEGLGGQRISKIKLRKRKNSPRSSPGGIGSVSARKHKGLKIVPHLMIMNQPAIEVEPEEHNKEAQEKTLYVIKMESANQSSQSDQNESQEIESPLSNSLSPSSISLTSPQEDQEESEYANTESEEDVPPQNHEFEYQANVDTLEAEENGRHQKDVEVAFSEDKDCQKLSGELAETQIDEDNLKSLERGKVLRDNATDESAARTGPEMVVLRPEDEKEKKDEEELYNNVIEATASKLVEEGKVKALIDAFENIISLEEKRTLANIFN >Vigun08g133500.2.v1.2 pep primary_assembly:ASM411807v1:8:30473909:30475049:-1 gene:Vigun08g133500.v1.2 transcript:Vigun08g133500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIYFSTVKSIPTFNLFSVFLNQLAPQESHTLIPLIYTHTNLCNSEETMCPLRFILVILSATLAGFFVLRNFRSQPHITDTELDANNENNEHTLTLKNATSKVRDALQSGFWTFLDMASGRYLWRHLVSSSSPKSE >Vigun11g133500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34310053:34310957:1 gene:Vigun11g133500.v1.2 transcript:Vigun11g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSTTQHHQLPQHSSPSKQGSEAMILRVVAAQEGSVEELEQCKTPTSSSNKIPMIETCPPAPRKRRRQQMQHFSSNIKRSSSNGFNYAVRHDQEVESFFDSTFELARVHKRCRSV >Vigun09g189900.1.v1.2 pep primary_assembly:ASM411807v1:9:36470957:36475501:-1 gene:Vigun09g189900.v1.2 transcript:Vigun09g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPARDPSRFDDDGRVKRTGNVFTATTHIVTVVIGAGVLALAWAMAQLGWIAGISVMIAFACISMCTYYFIADCYRFPDPVTGKRNYTYMQAVNSYLGGKMHVFCGAVLYAKLAGVTVGYAITSSISMVAIKKAICFHKHGHDAYCKFSNNPYMVGFGVLQVLLSQTPNFHKLTWLSTMAAATSFGYAFIGSGLSLAVVIQGKGQPTSLFGKKIGPDLTQEEKVWKVFSALGNIALASSFATVIYDIMDTLKSSPPENVQMKRANILGISAMTILFISCGGLGYAAFGNNTPGNILTGFGFYEPYWLVALGNVFIVLHMVGAYQVMAQPLFRVIEMGANIAWPHSDFINKGHPIKMGFLSCEVNFFRLIWRTAYVVIATVLAMAMPFFNEFLGLLGAIGFWPLIVFFPIQMHIAQRQIKTQSLKWYALQLLSLICFLVTAAAAIASIRGISKNIKKYKLFKYKQ >Vigun09g189900.2.v1.2 pep primary_assembly:ASM411807v1:9:36470957:36473483:-1 gene:Vigun09g189900.v1.2 transcript:Vigun09g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWIAGISVMIAFACISMCTYYFIADCYRFPDPVTGKRNYTYMQAVNSYLGGKMHVFCGAVLYAKLAGVTVGYAITSSISMVAIKKAICFHKHGHDAYCKFSNNPYMVGFGVLQVLLSQTPNFHKLTWLSTMAAATSFGYAFIGSGLSLAVVIQGKGQPTSLFGKKIGPDLTQEEKVWKVFSALGNIALASSFATVIYDIMDTLKSSPPENVQMKRANILGISAMTILFISCGGLGYAAFGNNTPGNILTGFGFYEPYWLVALGNVFIVLHMVGAYQVMAQPLFRVIEMGANIAWPHSDFINKGHPIKMGFLSCEVNFFRLIWRTAYVVIATVLAMAMPFFNEFLGLLGAIGFWPLIVFFPIQMHIAQRQIKTQSLKWYALQLLSLICFLVTAAAAIASIRGISKNIKKYKLFKYKQ >Vigun09g189900.3.v1.2 pep primary_assembly:ASM411807v1:9:36470957:36473452:-1 gene:Vigun09g189900.v1.2 transcript:Vigun09g189900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWIAGISVMIAFACISMCTYYFIADCYRFPDPVTGKRNYTYMQAVNSYLGGKMHVFCGAVLYAKLAGVTVGYAITSSISMVAIKKAICFHKHGHDAYCKFSNNPYMVGFGVLQVLLSQTPNFHKLTWLSTMAAATSFGYAFIGSGLSLAVVIQGKGQPTSLFGKKIGPDLTQEEKVWKVFSALGNIALASSFATVIYDIMDTLKSSPPENVQMKRANILGISAMTILFISCGGLGYAAFGNNTPGNILTGFGFYEPYWLVALGNVFIVLHMVGAYQVMAQPLFRVIEMGANIAWPHSDFINKGHPIKMGFLSCEVNFFRLIWRTAYVVIATVLAMAMPFFNEFLGLLGAIGFWPLIVFFPIQMHIAQRQIKTQSLKWYALQLLSLICFLVTAAAAIASIRGISKNIKKYKLFKYKQ >Vigun04g129800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32561005:32561757:1 gene:Vigun04g129800.v1.2 transcript:Vigun04g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYFHISAEASLLCGHLLKNINQIQCNYQFIQRALEIMDNDDDDSQEKLKLIIAELNSFILTNPFSNLEHHHFKLVSDENSSVLNRLNSMRKKLERNFKMKRYLKTLEFCVTVVCDMVAVTTHTLSSVVTQSLERELLHLRFSRRFLGKVCEQLDMATKGSYILKKDFDTMSRLVDRLYDDIEHVRAMVQLCLDKKIDKFCVQIVKELTKSDAGFGKKVEELKEHACLCLVTINRSRDLVTKEMAKMCA >Vigun09g194200.2.v1.2 pep primary_assembly:ASM411807v1:9:36894973:36898455:-1 gene:Vigun09g194200.v1.2 transcript:Vigun09g194200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHIVLAKFKDDVTPEKIEELIKGYANLVNLVPPMKSFHWGKDVSAENLHQGFTHVFESTFESTEAIAEYVAHPAHVEFGNLLLSNLEKIIVIDYKPTS >Vigun09g194200.1.v1.2 pep primary_assembly:ASM411807v1:9:36894973:36898455:-1 gene:Vigun09g194200.v1.2 transcript:Vigun09g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHIVLAKFKDDVTPEKIEELIKGYANLVNLVPPMKSFHWGKDVSAENLHQGFTHVFESTFESTEAIAEYVAHPAHVEFGNLLLSNLEKIIVIDYKPTS >Vigun02g006500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811492:2815051:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811347:2815065:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811319:2815079:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811468:2815065:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811492:2815051:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811492:2815051:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun02g006500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2811353:2815065:-1 gene:Vigun02g006500.v1.2 transcript:Vigun02g006500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSVPSSKSQSKPKPVNTFDDTSTAQNDAGGSKHLITEFDPSKPAPSLAPKTLIPPIENQWKPFKKMKNLHLPAADPESEPLTFEVHAADGQPDSDVTYGLNLRTDKKNEQNNGTALPPPPRRVPAESTMLQKLKDDMERLPEDQGFDEFKDVPVEGFGAALLAGYGWKEGMGIGKNAKEDVKVREIKRRTAKEGLGFVGDAPAALVRSSNDKDNKDREKNEKNEKNEKVVRIVGGRDAGRKGTVVSCIGDDYLVLELSGSEEKVKVKVGDVAELGSKEEDRCLRKLKESKSQREDRVPKKKHDRDEVENRVDVSRREERKGVDRRDVVEEKRVDGGRREERKVVDRRKVSWLTSHIRVRVISRDLKGGRLYLKKGEILDVVGPTTCDVSMDESREIVQGVSQDFLETAIPKRGGPVLVLAGKYKGAFGSLVERDLDREMAIVRDADTHELLNVKLEQIAEYIGDPSLLGH >Vigun06g217900.1.v1.2 pep primary_assembly:ASM411807v1:6:32860103:32862022:-1 gene:Vigun06g217900.v1.2 transcript:Vigun06g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSGGSDRSAPRPAPRTVNPAPPPAPAQNGNSGSLLGTVAEGMAFGGGVAVVNRALDSALGPRIIQHETVATGSSTVPAATANSFGSDACNLHLKAFHDCLNSYGSDISKCQFYMDSLAQCRRNSGATLSS >Vigun04g027900.1.v1.2 pep primary_assembly:ASM411807v1:4:2153512:2154138:1 gene:Vigun04g027900.v1.2 transcript:Vigun04g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFSLSSSISAHFLLLIIAFVFFMQLSVGSDLNMRKLGILPPPPPSPYINPALGPAR >Vigun11g064300.1.v1.2 pep primary_assembly:ASM411807v1:11:14794439:14795832:-1 gene:Vigun11g064300.v1.2 transcript:Vigun11g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQGLHLHFSSAFTREAALIPFMAARSRLLETTVSDSSHRQRTRQRSLALILDYPLPRVREVHEDSEARHHRARHRDWVYNHHAATLLCLELWTTDDLAAGEGE >Vigun03g290300.3.v1.2 pep primary_assembly:ASM411807v1:3:47299143:47305616:-1 gene:Vigun03g290300.v1.2 transcript:Vigun03g290300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTPNSSKPLSITPGSRVLKSPLTDEQIWKRLRDAGFDEESIKHKDKAALIAYIAKLEAEIYDHQHHMGLLILEKKDLASKYEQLKALAESSELMHKHDSAMNKSALTESRKREESLKKTVSVKDACIASLEKALHELRTESAETKVAAESKFAEASQLIDEAQKKITDAEAKVRAAESLQMEANRYHNAAERKLRDVEAREDNLRRQIMSFKADCDEKDKEMILERQSLSERQKALQQEQERLLQSQSLLNQREEHFLSRSQELNRLQKELEDAKAEAEKEHEALHDAKTTLKLKETTLMHREEELTKWKTELSKKEQELLEFQAELSNRESDETQKVIAGQEAALRTKEYNLEVELQMKRKLVEYDIETKRRAWELKEVDLKHYKDEILERQNDLEVLSRSLSEKEKDLKDLSSALEEKDQRLSAAEKEFELNKVLLQKEKDNIEQAKQDLQNSLASLENKIRQVDIDKERLEAVKSETGELSILEVKLKEEIDLVRSQKLELLAEADKLKAEKAKFEVEWELLDEKKEALQKEAEFIAKEREAVSSFIKNERDQLREEKENLRNQYTQDLGFLASERENFMNKMAHEHAELFGKMQQERADFLRDIEVQKQELNNLIEKRREEVESYLKEREKTFEEEKNTELHYINARKEKLAKELEQVSLEMKRLQTERAEINLDRERRNREWAELTKCIEELEVQRDKLQKQRELLHADRVEIFAQTEELKKLEDLKAVSDDIAITEMLKSDMESSQKKISSRKNSKRQTPLTHGGDKISNGFDTPFVVKSSACSPPSPVRFSWIKRCSELIFRNAPVASDTDNGSNRQKHLGIDIGKGQQTGFTFEEPKVIVEVPSRDDARRREIESEAKDVNGKSALLFPDGHLVGRRKRGRGNVTSKVGDPLVDLGQNKKSRAEEQTTENPIDQGTTRRVVSTQSEVLKVQQVLTSSNQTQGNTEETRVVMVDKVIHVSEVTSEKVDALPIHSQYGETIDIINSKTKQEDILPRVSRVSASTEEISKGNNGQVSENC >Vigun03g290300.4.v1.2 pep primary_assembly:ASM411807v1:3:47299870:47305725:-1 gene:Vigun03g290300.v1.2 transcript:Vigun03g290300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTPNSSKPLSITPGSRVLKSPLTDEQIWKRLRDAGFDEESIKHKDKAALIAYIAKLEAEIYDHQHHMGLLILEKKDLASKYEQLKALAESSELMHKHDSAMNKSALTESRKREESLKKTVSVKDACIASLEKALHELRTESAETKVAAESKFAEASQLIDEAQKKITDAEAKVRAAESLQMEANRYHNAAERKLRDVEAREDNLRRQIMSFKADCDEKDKEMILERQSLSERQKALQQEQERLLQSQSLLNQREEHFLSRSQELNRLQKELEDAKAEAEKEHEALHDAKTTLKLKETTLMHREEELTKWKTELSKKEQELLEFQAELSNRESDETQKVIAGQEAALRTKEYNLEVELQMKRKLVEYDIETKRRAWELKEVDLKHYKDEILERQNDLEVLSRSLSEKEKDLKDLSSALEEKDQRLSAAEKEFELNKVLLQKEKDNIEQAKQDLQNSLASLENKIRQVDIDKERLEAVKSETGELSILEVKLKEEIDLVRSQKLELLAEADKLKAEKAKFEVEWELLDEKKEALQKEAEFIAKEREAVSSFIKNERDQLREEKENLRNQYTQDLGFLASERENFMNKMAHEHAELFGKMQQERADFLRDIEVQKQELNNLIEKRREEVESYLKEREKTFEEEKNTELHYINARKEKLAKELEQVSLEMKRLQTERAEINLDRERRNREWAELTKCIEELEVQRDKLQKQRELLHADRVEIFAQTEELKKLEDLKAVSDDIAITEMLKSDMESSQKKISSRKNSKRQTPLTHGGDKISNGFDTPFVVKSSACSPPSPVRFSWIKRCSELIFRNAPVASDTDNGSNRQKHLGIDIGKGQQTGFTFEEPKVIVEVPSRDDARRREIESEAKDVNGKSALLFPDGHLVGRRKRGRGNVTSKVGDPLVDLGQNKKSRAEEQTTENPIDQGTTRRVVSTQSEVLKVQQVLTSSNQTQGNTEETRVVMVDKVIHVSEVTSEKVDALPIHSQYGETIDIINSKTKQEDILPRVSRVSASTEEISKGNNGQVSENC >Vigun03g290300.5.v1.2 pep primary_assembly:ASM411807v1:3:47299134:47305725:-1 gene:Vigun03g290300.v1.2 transcript:Vigun03g290300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTPNSSKPLSITPGSRVLKSPLTDEQIWKRLRDAGFDEESIKHKDKAALIAYIAKLEAEIYDHQHHMGLLILEKKDLASKYEQLKALAESSELMHKHDSAMNKSALTESRKREESLKKTVSVKDACIASLEKALHELRTESAETKVAAESKFAEASQLIDEAQKKITDAEAKVRAAESLQMEANRYHNAAERKLRDVEAREDNLRRQIMSFKADCDEKDKEMILERQSLSERQKALQQEQERLLQSQSLLNQREEHFLSRSQELNRLQKELEDAKAEAEKEHEALHDAKTTLKLKETTLMHREEELTKWKTELSKKEQELLEFQAELSNRESDETQKVIAGQEAALRTKEYNLEVELQMKRKLVEYDIETKRRAWELKEVDLKHYKDEILERQNDLEVLSRSLSEKEKDLKDLSSALEEKDQRLSAAEKEFELNKVLLQKEKDNIEQAKQDLQNSLASLENKIRQVDIDKERLEAVKSETGELSILEVKLKEEIDLVRSQKLELLAEADKLKAEKAKFEVEWELLDEKKEALQKEAEFIAKEREAVSSFIKNERDQLREEKENLRNQYTQDLGFLASERENFMNKMAHEHAELFGKMQQERADFLRDIEVQKQELNNLIEKRREEVESYLKEREKTFEEEKNTELHYINARKEKLAKELEQVSLEMKRLQTERAEINLDRERRNREWAELTKCIEELEVQRDKLQKQRELLHADRVEIFAQTEELKKLEDLKAVSDDIAITEMLKSDMESSQKKISSRKNSKRQTPLTHGGDKISNGFDTPFVVKSSACSPPSPVRFSWIKRCSELIFRNAPVASDTDNGSNRQKHLGIDIGKGQQTGFTFEEPKVIVEVPSRDDARRREIESEAKDVNGKSALLFPDGHLVGRRKRGRGNVTSKVGDPLVDLGQNKKSRAEEQTTENPIDQGTTRRVVSTQSEVLKVQQVLTSSNQTQGNTEETRVVMVDKVIHVSEVTSEKVDALPIHSQYGETIDIINSKTKQEDILPRVSRVSASTEEISKGNNGQVSENC >Vigun03g290300.6.v1.2 pep primary_assembly:ASM411807v1:3:47299143:47305725:-1 gene:Vigun03g290300.v1.2 transcript:Vigun03g290300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTPNSSKPLSITPGSRVLKSPLTDEQIWKRLRDAGFDEESIKHKDKAALIAYIAKLEAEIYDHQHHMGLLILEKKDLASKYEQLKALAESSELMHKHDSAMNKSALTESRKREESLKKTVSVKDACIASLEKALHELRTESAETKVAAESKFAEASQLIDEAQKKITDAEAKVRAAESLQMEANRYHNAAERKLRDVEAREDNLRRQIMSFKADCDEKDKEMILERQSLSERQKALQQEQERLLQSQSLLNQREEHFLSRSQELNRLQKELEDAKAEAEKEHEALHDAKTTLKLKETTLMHREEELTKWKTELSKKEQELLEFQAELSNRESDETQKVIAGQEAALRTKEYNLEVELQMKRKLVEYDIETKRRAWELKEVDLKHYKDEILERQNDLEVLSRSLSEKEKDLKDLSSALEEKDQRLSAAEKEFELNKVLLQKEKDNIEQAKQDLQNSLASLENKIRQVDIDKERLEAVKSETGELSILEVKLKEEIDLVRSQKLELLAEADKLKAEKAKFEVEWELLDEKKEALQKEAEFIAKEREAVSSFIKNERDQLREEKENLRNQYTQDLGFLASERENFMNKMAHEHAELFGKMQQERADFLRDIEVQKQELNNLIEKRREEVESYLKEREKTFEEEKNTELHYINARKEKLAKELEQVSLEMKRLQTERAEINLDRERRNREWAELTKCIEELEVQRDKLQKQRELLHADRVEIFAQTEELKKLEDLKAVSDDIAITEMLKSDMESSQKKISSRKNSKRQTPLTHGGDKISNGFDTPFVVKSSACSPPSPVRFSWIKRCSELIFRNAPVASDTDNGSNRQKHLGIDIGKGQQTGFTFEEPKVIVEVPSRDDARRREIESEAKDVNGKSALLFPDGHLVGRRKRGRGNVTSKVGDPLVDLGQNKKSRAEEQTTENPIDQGTTRRVVSTQSEVLKVQQVLTSSNQTQGNTEETRVVMVDKVIHVSEVTSEKVDALPIHSQYGETIDIINSKTKQEDILPRVSRVSASTEEISKGNNGQVSENC >Vigun10g105200.2.v1.2 pep primary_assembly:ASM411807v1:10:30258386:30262044:1 gene:Vigun10g105200.v1.2 transcript:Vigun10g105200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPSLLFSKIRSLWFFVCLTIILKSLIPSFVQKWSKKQKPKLPPGPKPWHVVGNLPEMLAYKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLREQDATFASRSLTTCTDLVSSGYLTTILVPFGDQWKKMKKILTTDLLSSQKHLWLHDRRTEEADNLMFYVYNKSKSVNNGVVGLVNIRSVARHYCGNLMRKIVFNTRYFGKGRKDGGPSFEEKEHVDSIFVLLRYVYAFSVSDYIPCLRRLDLDGHQKKVKEALKVIKKYHDPIVEERVKQWKDTRKIDEQDWLDILISLKDADNNPLLTLEEINAQIVVKIFFSEIMIAAVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGKERLVQESDIPKLNFVKACAREAFRLHPLAAFNVPHVSMSDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLKFKPERHLKSDNESNVTLTEPNLRFISFSTGKRGCPGVMLGTTMTVMLFARLLHGFTWTTPPSVSKINLVESNDDLNLAEPLMAVAKPRLAPQLYHL >Vigun10g105200.1.v1.2 pep primary_assembly:ASM411807v1:10:30258387:30262043:1 gene:Vigun10g105200.v1.2 transcript:Vigun10g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPSLLFSKIRSLWFFVCLTIILKSLIPSFVQKWSKKQKPKLPPGPKPWHVVGNLPEMLAYKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLREQDATFASRSLTTCTDLVSSGYLTTILVPFGDQWKKMKKILTTDLLSSQKHLWLHDRRTEEADNLMFYVYNKSKSVNNGVVGLVNIRSVARHYCGNLMRKIVFNTRYFGKGRKDGGPSFEEKEHVDSIFVLLRYVYAFSVSDYIPCLRRLDLDGHQKKVKEALKVIKKYHDPIVEERVKQWKDTRKIDEQDWLDILISLKDADNNPLLTLEEINAQIVEIMIAAVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGKERLVQESDIPKLNFVKACAREAFRLHPLAAFNVPHVSMSDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLKFKPERHLKSDNESNVTLTEPNLRFISFSTGKRGCPGVMLGTTMTVMLFARLLHGFTWTTPPSVSKINLVESNDDLNLAEPLMAVAKPRLAPQLYHL >Vigun05g090600.1.v1.2 pep primary_assembly:ASM411807v1:5:8755686:8759627:-1 gene:Vigun05g090600.v1.2 transcript:Vigun05g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPFPVINLYYCAPHTINLQINTDKGATYDGNGRRRFYIKSPRLTLHDRCLLCDDAGNPILTLYWKIMTMHRRCQVFRGESNDSSELLFSVKKSELLQSGVMRLDVFLANNKKESECDFRVNVVSGKRTCHVYAGESPTVVASMENNGGFNVFVYRNVDYAFIVALLMIVKDIRFFYDEARKFATGVTPVALGIMMS >Vigun01g054400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:10287461:10290702:-1 gene:Vigun01g054400.v1.2 transcript:Vigun01g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEGTPQRQQQQQQQHVMGVAAGANQITYSHYQTAPVVAAGTPAVAVPSPTQAPAAFSSSAHQLAFQQAQHFHHQQQQHQQQQLQMFWSNQMQEIDQTIDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRNDVFDFLVDIIPRDELKEEGLGITKATIPLVGSPADMPYYYVPPQHPAGPPGMIMGKPVDQAALYSTQQPRPTMAFMPWPHTQPQPQQPPQQQQQQQQQTDS >Vigun08g001800.23.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.24.v1.2 pep primary_assembly:ASM411807v1:8:208901:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.6.v1.2 pep primary_assembly:ASM411807v1:8:208774:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.20.v1.2 pep primary_assembly:ASM411807v1:8:208774:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.4.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.25.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.3.v1.2 pep primary_assembly:ASM411807v1:8:208894:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.16.v1.2 pep primary_assembly:ASM411807v1:8:208774:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.18.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.10.v1.2 pep primary_assembly:ASM411807v1:8:208774:221947:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.12.v1.2 pep primary_assembly:ASM411807v1:8:208894:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.15.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.7.v1.2 pep primary_assembly:ASM411807v1:8:208894:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.21.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.5.v1.2 pep primary_assembly:ASM411807v1:8:208896:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.9.v1.2 pep primary_assembly:ASM411807v1:8:208896:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.13.v1.2 pep primary_assembly:ASM411807v1:8:208896:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.22.v1.2 pep primary_assembly:ASM411807v1:8:208901:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESELQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.19.v1.2 pep primary_assembly:ASM411807v1:8:208901:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.1.v1.2 pep primary_assembly:ASM411807v1:8:208900:221932:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGIPSGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun08g001800.14.v1.2 pep primary_assembly:ASM411807v1:8:208894:221948:1 gene:Vigun08g001800.v1.2 transcript:Vigun08g001800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQCSNEGSSTGNISAECSDSTVQLNIKTLDSRIYSFQVNKNMPVSLFKEKIANEIGVPVSQQRLIFRGKVLKDEHVLSEYHVENGHTLHLVERQPNQPQASGTSADEPTGTSSNQGNDVGSGPPRNRVGQISHSVVLGTFNVGEQGEGIVHDLTRVIGHVLNSIGNGGQSTVNGPNAVQTPSAQPGNETEGMRAGNQNPAGNQAPSGQTFHGPTFQSVSHVVQIPVAAGSIPLPSLNAPIPDSLNTLIEFMNRMEQTLTQNGYESNFSPANPGDQREELPSNQQGMPTLEALSTVLRRAERLLGGQTVAALSHIAGRLERERASADPRVRGQIQSESVQIGLAMQHLGALLLELGRTMLTLRMGQSSAESVVNNGPAVYISPSGPNPIMAQPFPLQASSLFGGSLPSSTPANLGTIGIGSAPRNVNIHIHAGTSIAPLVSAIGSRPNNGEGTRGEQHNEPGSGGSGSTRVLPVRNVIAATIPSHPPGVGVSSSTQTGFSVSTSQPPDSASLSSALAEINARLMNVVGSMQGDNTAPSGEMESISRDLSSGSESRPSTLNAQQDTVEMNGFGASSASLVGSTPESEVQKLQTEAVQTSSNAERDVLVDKFVSSSKQDLQSCSSGETIVKPEKDGDVSAVSDRQDVTEPAKSAPLGLGMGGLERKKRTRPQPPVSKVADDGSSSSSVDKSQQTRTDGQHILQTLASHGSALNSRNANGPSQRPLPSSDRPIDVAGLMSQALQSPALNGLLEGVSQQTGVDSPDGLRNMLQQFTQSPQLMNTVNQIVQQVGSQDVGNMFAGAERGQGGGIDISRMFQQMMPIVSQALGGANPSSLFSVEEAVPGAPYQDGTVNRRDGYSDNPSLQLDLQPLAERIEHLSPSVDIFGAVAENAVQLSGLGSASNDLLDELCHNESLAREYVDMLRYDVSKLLEGRSKPDKS >Vigun11g026000.1.v1.2 pep primary_assembly:ASM411807v1:11:3340871:3341795:-1 gene:Vigun11g026000.v1.2 transcript:Vigun11g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLCSTMIAAIFAMTLILSYGVIMSQARVLPSSSLVSKVSQTSHSLSHSNFHTEEQKSSQKPVVAAKKPPIKQNPTVPEVAANLRRIPPSRPNPTQNKLKPRIRG >Vigun07g013850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1252665:1253460:-1 gene:Vigun07g013850.v1.2 transcript:Vigun07g013850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKTSSNLHHLSAATYVIIISLLAVLLWLAFTPHTPRFQLTSLIVTSLATNSAAELTAKMHVNAVLGNPNFALSVCYETLHLALLFDNLTLSATPVQPLPFSTAAQTDTPVRARFSVAHRLFPDGIARGIAEHRGDGSVSFGVTVLARFRFTCGALGTRIRTLRLECYPLQVAFPPHNHGTGTLVTPSDCYAV >Vigun01g104400.1.v1.2 pep primary_assembly:ASM411807v1:1:27501744:27504930:1 gene:Vigun01g104400.v1.2 transcript:Vigun01g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQPWIFIPAIIATSLLMSSLVKPFPEADKVKHLPQQSPVSFQQFAGYVPVDDNNQRALFYYFVEAQSNPSSKPLVLWLNGGPGCTSVGIGAFTEHGPFVTNQGEALQKNQYSWNKEANILYLDSPAGVGFSYSLNLSFYKTLNDEVTARDSLVFLQRWFAKFPEFKNRDFYIMGESYGGHYVPQLAELIIKTKLNFNLKGIAIGNPLLDFDTDMNSVDEYYWSHGIITDHAYKIKTSICNSSRLLREYFTGRQLSNDCLLAAQKVSEEYSFTSFIDPYYVIGDRCLSYNVSQAGFLKEMLSSGMFQFRNSHDVLQTQEPDQQVDECILKYSEKYLNRKDVQKALHARVVGSNNYRLCSKIVLANYDPLNREIPTINVVGFLVKSGLRVIVYSGDQDSVIPFMGTRRLVDKLAKKAGLKTTVPYSAWFVDKQVGGWAQVFGNHLTYAIVRGASHGTPTTQPKRSFVLFDAFLHGKPLPRA >Vigun03g412700.4.v1.2 pep primary_assembly:ASM411807v1:3:61993460:62002409:-1 gene:Vigun03g412700.v1.2 transcript:Vigun03g412700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLLLALLSLLLTQSNSAPQAFRRETGHPQWHHGAFHDVRDNVRSDVRRMLHSRAEVPFQVPLEVNVVLIGFNGDGGYRYNIDAHRLEQFLKNSFPAHRPSCLETGELLDIEHHMVYNAFHAGQPELIALEKELKEAMVPAGKARETEFGREVPLFEVEATGVEPVFQRLYSYIFDMDSVGSSVTEMDRPVPSAIFIVNFDKVRLDPRNKEIDLDGLMYGKIPDLTEEDMKKQEGDYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIEAEEGSVCSRSLPRLQNVIHRSSLSTTSQQSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIMEKGHNYSIDIERIEAEVKSMLHDGQELVIIGGAHSLHRHEKLAIAVSKATRGHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVADPSLSSKYFLRQNWMDESDKSTDSILKHKPLWASYNSKYGGKRKKKVKKQGDLQPTYGTRVIPVFVLSLADVDPNLMMEDESMVWTSNDVVIVLEHQNEKIPLSYVSETQRRHAVPSQAQRHILAGLASVVGGLSAPYEKASHVHERPVINWLWAAGCHPFGPFSNTSHISQMLQDVALRNSIYARVDSVLHKIRDTSETVQTFAAEYLKTPLGEPVKGKKEKSTTDLWLEKFYKKTTNLPEPFPHELVDRLEKYLDGLEEQLVDMSSLLYDHRLQDAYLNSSDILQSTMFTQQYVDHVLASERDNMKCCKIEYKYPVHSSQTYIYGGILIAGFVVYFVVIFFSNPVR >Vigun03g412700.3.v1.2 pep primary_assembly:ASM411807v1:3:61993459:62002409:-1 gene:Vigun03g412700.v1.2 transcript:Vigun03g412700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLLLALLSLLLTQSNSAPQAFRRETGHPQWHHGAFHDVRDNVRSDVRRMLHSRAEVPFQVPLEVNVVLIGFNGDGGYRYNIDAHRLEQFLKNSFPAHRPSCLETGELLDIEHHMVYNAFHAGQPELIALEKELKEAMVPAGKARETEFGREVPLFEVEATGVEPVFQRLYSYIFDMDSVGSSVTEMDRPVPSAIFIVNFDKVRLDPRNKEIDLDGLMYGKIPDLTEEDMKKQEGDYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIEAEEGSVCSRSLPRLQNVIHRSSLSTTSQQSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIMEKGHNYSIDIERIEAEVKSMLHDGQELVIIGGAHSLHRHEKLAIAVSKATRGHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVADPSLSSKYFLRQNWMDESDKSTDSILKHKPLWASYNSKYGGKRKKKVKKQGDLQPTYGTRVIPVFVLSLADVDPNLMMEDESMVWTSNDVVIVLEHQNEKIPLSYVSETQRRHAVPSQAQRHILAGLASVVGGLSAPYEKASHVHERPVINWLWAAGCHPFGPFSNTSHISQMLQDVALRNSIYARVDSVLHKIRDTSETVQTFAAEYLKTPLGEPVKGKKEKSTTDLWLEKFYKKTTNLPEPFPHELVDRLEKYLDGLEEQLVDMSSLLYDHRLQDAYLNSSDILQSTMFTQQYVDHVLASERDNMKCCKIEYKYPVHSSQTYIYGGILIAGFVVYFVVIFFSNPVR >Vigun03g412700.2.v1.2 pep primary_assembly:ASM411807v1:3:61993460:62002409:-1 gene:Vigun03g412700.v1.2 transcript:Vigun03g412700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNAFHAGQPELIALEKELKEAMVPAGKARETEFGREVPLFEVEATGVEPVFQRLYSYIFDMDSVGSSVTEMDRPVPSAIFIVNFDKVRLDPRNKEIDLDGLMYGKIPDLTEEDMKKQEGDYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIEAEEGSVCSRSLPRLQNVIHRSSLSTTSQQSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIMEKGHNYSIDIERIEAEVKSMLHDGQELVIIGGAHSLHRHEKLAIAVSKATRGHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVADPSLSSKYFLRQNWMDESDKSTDSILKHKPLWASYNSKYGGKRKKKVKKQGDLQPTYGTRVIPVFVLSLADVDPNLMMEDESMVWTSNDVVIVLEHQNEKIPLSYVSETQRRHAVPSQAQRHILAGLASVVGGLSAPYEKASHVHERPVINWLWAAGCHPFGPFSNTSHISQMLQDVALRNSIYARVDSVLHKIRDTSETVQTFAAEYLKTPLGEPVKGKKEKSTTDLWLEKFYKKTTNLPEPFPHELVDRLEKYLDGLEEQLVDMSSLLYDHRLQDAYLNSSDILQSTMFTQQYVDHVLASERDNMKCCKIEYKYPVHSSQTYIYGGILIAGFVVYFVVIFFSNPVR >Vigun11g188200.1.v1.2 pep primary_assembly:ASM411807v1:11:38818958:38820626:-1 gene:Vigun11g188200.v1.2 transcript:Vigun11g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQNSFYPQVDSTNPDADSPFSHHSSSSSSIYPSVETENLIREEPNATEAMENVLVTVPGAILHLIEKESSVHLASGNLTISSLGEGDKVVAVLARVGDKVQWPLAKDVAAVKLDESHYFFTLQVPQKQAENGFEVLNYGLTVAAKGQEKVLRELDGVLEKYSFLSKEKVKGVSGWEVLDGSVTTETSPEELKSEEKREVIEERSGAYWTTLAPNVEDYSGRFARWIAAGSGHVIRGILWAGDVTVERLKWGNDFMKKRLEPGSQSQISPQALENMKRVKKLTKMSEKVATGVLSGVVKVSGFFTSSVVNSKPGKKFFSLLPGEIVLATMDGFNKVVDAVEVAGRNVMSTSSSVTTELVSHRYGEQAAQVANEGLDAAGHAIGTAWAVFKLRKALNPKSVIKPTTLAKAAAEANSVKLKAKK >VigunL059165.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000447.1:33942:34450:-1 gene:VigunL059165.v1.2 transcript:VigunL059165.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPQRGWSERSFENSREGVEEPHQSIPNLVVKLYCGDDTVGEVLQKNSSAPG >Vigun10g040700.1.v1.2 pep primary_assembly:ASM411807v1:10:5593489:5598757:-1 gene:Vigun10g040700.v1.2 transcript:Vigun10g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDMPPPDSMNDDFDFAGADGGDIDPPVLKVGEEKEIGKQGLKKKLLKEGEGWNTPEVGDEVQVHYTGTLLDGTKFDSSRDRGTPFSFTLGQGQVIKGWDQGIATMKKGENALFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKIVTEGEKWENPKDLDEVLVNYEARLEDGTLVAKSDGVEFTVKDGYFCPALSKAVKTMKKGEKVILTVKPQYGFGEKGKPAHGDEGAVPPNASLEISLELVSWKTVSEVTDDKKVIKKIIKEGEGYERPNEGAVVKLKVIGKLQDGTVFLKKGHDNEGELVEFKTDEEQVIDGLDRAVLTMKKGEVALLTIAPEYGFGSTESKQELALVPANSTLYYEVELVSFEKDKESWDMNTPEKIEAAGKKKEEGNALFKAGKYARASKRYEKAVKFIEYDTSFSEEEKKSSKTLKVACNLNNAACKLKLKDYKQAEKLCTKVLELESTNVKALYRRAQAYIHLADLDLAEFDIKKALELDPDNREVKLEYRTLKEKMKEFNKKEAKFYGNIFNKLHKLDSLDNNKAESKDAQPMNVDSKA >Vigun01g015200.1.v1.2 pep primary_assembly:ASM411807v1:1:1647987:1656387:-1 gene:Vigun01g015200.v1.2 transcript:Vigun01g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNKRRLISNVTTIEVNGWKSKDRRNMMVKKIAEHFLKRDPLSSWTQNVPKFLKSVERFEEEVFDTAKDEAEYITRISTKMKTKPPFQSEECNILSSQVFGSDAEFGGEKEVSAEWQEQIYQKIQTAKCKYFTKLNILYKGLSKRYEQFQSLPPEPKTHDMIKCEHHMRALEFTFSVFKASKREITSDFESKVHKMEKYIQVIEQHKIVPSKSKIAQVGETSTNKVEETDIKKPITQVFSPHNSYFTVPIFQQVTNQFFTSYPEEEYIKQQGYGNVVQEQTSGEITPINSNSTILTPPLLEYCNKVKENFQNHGSICDDSSPAMQHLIKVLTSISAEALVASCGEIGMIFHSSDENSTLEPLNGPPIVVVDSKGVIVTDSQARYLTRSKFGQREMKMNRFINAMPIGDNVMQETNSEKHFSNSSIIQENHTLLEEIKDINKQLIDTEVALDKSKIFPTTIEERIALGGEGLTVKLFFNSVTINLNSISKHDHKKPTINPLWLHIPRSYPNCSTVILDETPSETSEDLEDLSIKAKLKLKFSLRMLSEPLSLKDIAMSWDHCVRETICEYAQLHGGGTFTSKYGGWENYLDDS >Vigun09g020200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1566769:1567260:1 gene:Vigun09g020200.v1.2 transcript:Vigun09g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETESESPLGAPIMSPIRTAKPCTPSEHSISLMVILAAIVCALLCALGLNTMLLCVFQCANRVLTEPFQWIASRRLNSGLKKREVVALPTSTYTHSGGSPCNCAICLSEFSDGDSIRFLPNCNHRFHVQCIDKWLLSHSSCPTCRNLLKSSHSLHSLHVLVS >VigunL085366.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:42322:42782:1 gene:VigunL085366.v1.2 transcript:VigunL085366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDHDAEHENGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun06g121200.3.v1.2 pep primary_assembly:ASM411807v1:6:24870202:24874317:1 gene:Vigun06g121200.v1.2 transcript:Vigun06g121200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPKIISPMSRPHIDTDSDTQLRIEERAFSAAGAAFLSAILVNPLDVAKTRLQAQAAGVPYQGDCRMTPFQANTRLQDVMCPVSGSQPSRPCTSGCYTYKGTLDVFYKVIRHEGFTRLWRGTSASLALAVPTVGIYMPCYDILRNMMEEFTTKNAPNLTPYVPLLAGSVARSFACISCYPVELARTRMQAFRITQSGKPPGVWKTLLGVVHPDKGTSIFQSFHRYRFWWTGLGAQLSRDVPFSAICWSTLEPEKHSGPSGG >Vigun06g121200.1.v1.2 pep primary_assembly:ASM411807v1:6:24870202:24874317:1 gene:Vigun06g121200.v1.2 transcript:Vigun06g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPKIISPMSRPHIDTDSDTQLRIEERAFSAAGAAFLSAILVNPLDVAKTRLQAQAAGVPYQGDCRMTPFQANTRLQDVMCPVSGSQPSRPCTSGCYTYKGTLDVFYKVIRHEGFTRLWRGTSASLALAVPTVGIYMPCYDILRNMMEEFTTKNAPNLTPYVPLLAGSVARSFACISCYPVELARTRMQAFRITQSGKPPGVWKTLLGVVHPDKGTSIFQSFHRYRFWWTGLGAQLSRDVPFSAICWSTLEPIRKNILGLVGDKESAATVLGANFSAGFVAGTLASAVTCPLDVAKTRRQIEKNHERALKMTTRTTLIEIWRDGGLRGLFTGVGPRVLRAGPSVGIVISFYEVVKYGLQHTRPTS >Vigun06g121200.2.v1.2 pep primary_assembly:ASM411807v1:6:24870202:24874317:1 gene:Vigun06g121200.v1.2 transcript:Vigun06g121200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPKIISPMSRPHIDTDSDTQLRIEERAFSAAGAAFLSAILVNPLDVAKTRLQAQAAGVPYQGDCRMTPFQANTRLQDVMCPVSGSQPSRPCTSGCYTYKGTLDVFYKVIRHEGFTRLWRGTSASLALAVPTVGIYMPCYDILRNMMEEFTTKNAPNLTPYVPLLAGSVARSFACISCYPVELARTRMQAFRITQSGKPPGVWKTLLGVVHPDKGTIHRYRFWWTGLGAQLSRDVPFSAICWSTLEPIRKNILGLVGDKESAATVLGANFSAGFVAGTLASAVTCPLDVAKTRRQIEKNHERALKMTTRTTLIEIWRDGGLRGLFTGVGPRVLRAGPSVGIVISFYEVVKYGLQHTRPTS >Vigun06g195700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31152683:31155141:-1 gene:Vigun06g195700.v1.2 transcript:Vigun06g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKPKTHYPSPSPPPPSSSGSSGGIPTPSDDGPKKFPGPKSYINPPEKEIPDAATLRDQWRYAIRQYSKWYSHAWGSAILAGTAFFALGWIIKGENPIPSFNTNTPSSSQNDKDNNTPP >Vigun06g195700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31152682:31155156:-1 gene:Vigun06g195700.v1.2 transcript:Vigun06g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKPKTHYPSPSPPPPSSSGSSGGIPTPSDDGPKKFPGPKSYINPPEKEIPDAATLRDQWRYAIRQYSKWYSHAWGSAILAGTAFFALGWIIKGENPIPSFNTNTPSSSQNDKDNNTPP >Vigun07g097000.1.v1.2 pep primary_assembly:ASM411807v1:7:16677354:16681075:-1 gene:Vigun07g097000.v1.2 transcript:Vigun07g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRDGRNNRVALFDGIEEGGIRASSLYSTSSHEIDEHDNEQALEGLQDRVNLLKRLSGDINEEVDSHNRMLDRMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFSLVASFVVLFLIIYYLTRKGNLSKA >Vigun10g031500.1.v1.2 pep primary_assembly:ASM411807v1:10:4118237:4120403:-1 gene:Vigun10g031500.v1.2 transcript:Vigun10g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSSSSSSSSFLKSGPHFIYDVFINFWGEDIGKKFISHLYYALLEAQVKTFINEESLPKELELKEHMRAIAASKIAIIVFSKTYAESSSCLLELEEIIECLQTFGQIVLHVFYDVDPLDVRDQVSDFGKALQETAEKSYSGEQVEHVLSRWSRALTTASDSTGWDVRYFEHDAQLVERIVSDVKTFLDYKDLLITPFPVGIDSRVEDVVKCIESQSTKVCMIGICGMRGSGKTTIAKAIYNRIYREFIGKSFTERIRLLSWDTAYKTNIRSQLLHNVLKFKVPDVRSRRTMVDHELSGRKLLIVFDDVNEFGQLEYLCKNREWFGQGTVIIITTRYVRLLNRFKVNYVYKMDVMNKNESLELFSWHAFREPKPRKEFGEVARNTVAYCGGLPLALEVLGSSLYDRIMVEWESISSQIPIDKVQEILKITFDDLTDMEKNIFLDVCCFFIGKERGYVTEILNDCGLLGNYPIEVLLRLGLVKVERNNKLQMHPLLHDIGKEIVRQSCPEEAEKRSRLWFQDDIKYVLKNNTGTEAIEGLSLKLHSTSRDCFETHAFKK >Vigun11g187900.1.v1.2 pep primary_assembly:ASM411807v1:11:38801409:38803016:1 gene:Vigun11g187900.v1.2 transcript:Vigun11g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLISYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEAASSATVVTVATASNISFGKQEQETSSSNGSVVKGTIFERCPDLNLELTISPPRHQQQHHQQQPQKNLCFVCSLGLHNSKDCSCNVASPVTANTTAPPSSAAAYDFLGLKTNGVWDCTSLEMK >Vigun04g178400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40192546:40194132:1 gene:Vigun04g178400.v1.2 transcript:Vigun04g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRVFVLVFSACFLLFPANGIRSFAGYETEVEVGSFLQFREAPEYRNQKKCTTLLDSSNVPMASSCDPSLVHIAMTIDWHYLRGSIAAVHSVLKHTSCPQNLFFHFIASDARLESRDEFERIVQTSFPSLRFEVHVFEESLVSNLISPSIREALDNPLNYARSYLADLLHPCIERVIYLDSDVIVVGDVLELWKVPLAGSRVIGAPEYCHANFTRYFSYEFWSSAEFSEVFEGKRPCYFNTGVMVMDLWRWREGDYTRKIEKWMEIQKERRIYKLGSLPPFLLVFGGDVEAIDHRWNQHGLGGDNVRNSCRTLHSGSVSLLHWSGKGKPWTRLDAMKPCSVDFLWEPYDLYIPSQHKRIASATMHSTF >Vigun03g203601.1.v1.2 pep primary_assembly:ASM411807v1:3:32734685:32735556:1 gene:Vigun03g203601.v1.2 transcript:Vigun03g203601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHMSPKSGQSVLKTKETKDKRGWCVVIKIKPRGRIEATNVDDDMCYQEDQVSHVNEIIEVEPILGFQDSQNGVEVEDIAIKEDTEEEEIQDFDLEEEDEGEFDDNESEEDNEEMELDDDSSE >Vigun08g027000.2.v1.2 pep primary_assembly:ASM411807v1:8:2404432:2406014:-1 gene:Vigun08g027000.v1.2 transcript:Vigun08g027000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPAKLLVTILYIFLVSLPVQMSPHEYDVNTKSLRRSSSSGHRSKDQRLEVVYPVIQKFKSSITSDPLGVTNTWVGSDICSYRGFFCDTPPDNSSATALASVDFNGFQLAASTLDGFIDNLPDIALFHANSNNFGGTISPQIAKLPYLYELDVSNNQLSGPFPSAVLGMSGLTFLDLRFNFFSGAVPPQIFIQNLQVLFINNNIFTQGLPDNLATTQILLLTLANNKFMGPIPRSLPKALATLSEVLLLNNQLTGCLPYEIGFLEEATVFDAGNNQLTGPLPLSLSCLQKVEVLNLGGNLLYGMVPEVVCAGLVNLVNFSLSDNYFTHVGPLCRMLIQRGVLDVSNNCIPDLPFQRSVIECAQFFATPRMCPFIWFYSLIPCKLPFQNPIP >Vigun07g092200.1.v1.2 pep primary_assembly:ASM411807v1:7:14353358:14357083:-1 gene:Vigun07g092200.v1.2 transcript:Vigun07g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSCSSSSSSLLLVNPPPRASRNDIRRSLRMSLNNDNTNSNFTSSSLLNSVTKLLWGQSLPPGILVATVRTAWNSAWGLMMSQLAPSDSSGGYSRPASKFRFQGSISPGSLHLYVGLACPWAHRTLIVRALKGLEEAVGVSVVSAGMDGSWEFKGVGGADSGSIGPSLDRANGCRTLKEVYGLRRGGYDGRSTVPMLWDKGSKEVVCNESYDIIELFNSGLNSVAGKPELDLSPPELKEQIEEWYRIIYPNVNNGVYRCGFAQSQEAYDRSVNELFCTLDKLEDHLANSRYLCGDQLTLVDVCLFTTLIRFDLAYNVLFKCTKKKLSEYANLHAYMRDIYQIPKVAATCNFTEIMDGYYKTLFPLNPGGIRPVMPSTSDHQILCMPHGRESLSSPTPVFLK >Vigun06g203832.1.v1.2 pep primary_assembly:ASM411807v1:6:31811367:31812423:-1 gene:Vigun06g203832.v1.2 transcript:Vigun06g203832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKVWKRVRFENFGGKVWRRRRKETTIEGTPWKLRSSLNGGDSNWMSMENVTIETVSRRRDRKAGKTLEDP >Vigun09g224300.1.v1.2 pep primary_assembly:ASM411807v1:9:39719014:39721940:1 gene:Vigun09g224300.v1.2 transcript:Vigun09g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGLKKPDKDEHVKEGGKSKKWRLWRSSSGDVGSWKAFKGNHHKAASEGSDSPPVVAPDAYTAAVATVVRAPPKDFRLVKQEWAAIRIQTAFRAFLARRALRALKGVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQNMLNERRSKLELLKQAEEGWCDSRGTLEDVKTKIQMRQEGAFKRERAIAYSLAQKQCRSTSSYNSRTNGSVSSLRSHEINKANWGWTWLERWMAAKPWESRLMEQSQAEGLLDKTPLPKKFEESYVNSNSKPCLVKVKKNNMTTRVSARPPLVGQATRSSSSPSSEFRYDESSASSSICTSTTPMSGNTCDRTDDSNGNAVARPNYMNLTQSTKAKLKTSSNHVYNRAQRQQSMDEFQFLKRVPVFSNGDSKSTAGSDPSINLSRPLHLDKSSVRPR >Vigun06g051000.1.v1.2 pep primary_assembly:ASM411807v1:6:17678788:17683772:-1 gene:Vigun06g051000.v1.2 transcript:Vigun06g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLGKISGLFGNRTKVGVDKAGNKYFTRNEELDGVMKEKRWVIFKGEDDPTSIPVEWICWLNGQRKKAPTPEEMMELEARRERVRQNVALLKKEEQERIAKEGSKGKRVSTDKASGPDLKSFIQQFPVPSEGNDVEESPSTTGGLRNPQERLAEKTKDESESSEASGSGASFRPGTWQPPT >Vigun06g021200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9933433:9936376:1 gene:Vigun06g021200.v1.2 transcript:Vigun06g021200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERDKVSWNTVIGLCSLYGFYKKALRFFKEMAVAVPRIQPDLVTVVSVLPVCAETEDEVMATNVHCYAMKVGLLSNVKVGNALVDVYGKCGNEKASRKVFDEIDERNVVSWNAIITSFSFRGKYIDAFDVFRLMIGAGVTPNSVTISSMLPVLGELGLFKLGMEVHGFSLRMNIDSDVFIANALIDMYAKSGYSRIASTIFNKMGGRNIVSWNVMIANFAQNKLEFEAVELVRQMQDKGEILNNVTFTNVLPACARLGFLNVGKEIHARIIRVGSSLDLFVSNALTDMYSKCGCLNLAQNVFNISVRDEVSYNILIIGYSRTNECSESISLFSEMILLGMAPDIVSFMGVISACANLASVRQGKEIHGLLVRKLFHSHLFAANSLLDLYTRCGRIDLATKVFDTIPNKDVASWNTMILGYGMRGELGTAMNLFEAMKEDGVEYDSVSFIAVLTVCSHGGLIEKGRKYFKMMSDLNIEPMHTHYACMVDLLGRAGLMQEALDLIRGLSIVPDTNIWGALLGACRIHGNIELGHLAAEHLFKLKPQHCGYYILLSNMYAEAERWEEANKVRELMRTRGAKKNPGCSWVQIGDQVHAFLVGEKIDSLDNDFWISDCC >Vigun06g021200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9933422:9936376:1 gene:Vigun06g021200.v1.2 transcript:Vigun06g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHSHVPLQFKHKLKLPSLTTLTKTTRFSSLVSTSLQSFNHTNLLQLCTLCHTLSQIKQVHAFAVFHGFLPRSVSLCASLILRYASFGHPTAAHILFQHSVAYSRSAFLWNTIIRANSIAGFFDGYSNYNAMVRAGVKPDECTYPFVLKVCSDFVEVHKGREVHGVVFKLGFDGDVFVGNTLSAFYGNCGLLDDATKVFDEMPERDKVSWNTVIGLCSLYGFYKKALRFFKEMAVAVPRIQPDLVTVVSVLPVCAETEDEVMATNVHCYAMKVGLLSNVKVGNALVDVYGKCGNEKASRKVFDEIDERNVVSWNAIITSFSFRGKYIDAFDVFRLMIGAGVTPNSVTISSMLPVLGELGLFKLGMEVHGFSLRMNIDSDVFIANALIDMYAKSGYSRIASTIFNKMGGRNIVSWNVMIANFAQNKLEFEAVELVRQMQDKGEILNNVTFTNVLPACARLGFLNVGKEIHARIIRVGSSLDLFVSNALTDMYSKCGCLNLAQNVFNISVRDEVSYNILIIGYSRTNECSESISLFSEMILLGMAPDIVSFMGVISACANLASVRQGKEIHGLLVRKLFHSHLFAANSLLDLYTRCGRIDLATKVFDTIPNKDVASWNTMILGYGMRGELGTAMNLFEAMKEDGVEYDSVSFIAVLTVCSHGGLIEKGRKYFKMMSDLNIEPMHTHYACMVDLLGRAGLMQEALDLIRGLSIVPDTNIWGALLGACRIHGNIELGHLAAEHLFKLKPQHCGYYILLSNMYAEAERWEEANKVRELMRTRGAKKNPGCSWVQIGDQVHAFLVGEKIDSLDNDFWISDCC >Vigun11g146400.2.v1.2 pep primary_assembly:ASM411807v1:11:35533715:35539921:-1 gene:Vigun11g146400.v1.2 transcript:Vigun11g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIVTARSFFSAVFRSKPQPHSAAFSTSLLFDETQIQFKESVSQFATENIAPHASKIDHTNYFPKEVNLWKSMGEFNLLGITAPEEYGGLGLGYLYHCIAMEEISRASASVGLSYGAHSNLCVNQLVRNGSPAQKEKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAETLVVYAKTDITAGSKGITAFIIEKGMSGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVMMSGLDLERLVLAAGPLGIMQSCLDVVLPYVRQREQFGRPIGEFQFIQGKIADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGAILCAAERATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >Vigun11g146400.1.v1.2 pep primary_assembly:ASM411807v1:11:35533698:35539921:-1 gene:Vigun11g146400.v1.2 transcript:Vigun11g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIVTARSFFSAVFRSKPQPHSAAFSTSLLFDETQIQFKESVSQFATENIAPHASKIDHTNYFPKEVNLWKSMGEFNLLGITAPEEYGGLGLGYLYHCIAMEEISRASASVGLSYGAHSNLCVNQLVRNGSPAQKEKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAETLVVYAKTDITAGSKGITAFIIEKGMSGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVMMSGLDLERLVLAAGPLGIMQSCLDVVLPYVRQREQFGRPIGEFQFIQGKIADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGAILCAAERATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >Vigun02g126300.1.v1.2 pep primary_assembly:ASM411807v1:2:27855750:27857433:-1 gene:Vigun02g126300.v1.2 transcript:Vigun02g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASEEGQVIGCHTIEAWTEQLQKGNESKKLIVVDFTASWCGPCRFISPFLAELAKKYTNAIFLKVDVDELKSVAQDFAVEAMPTFVFVKEGSLLGKVVGAKKEELQQTIEKHLSASTA >Vigun07g103800.1.v1.2 pep primary_assembly:ASM411807v1:7:18906062:18908246:1 gene:Vigun07g103800.v1.2 transcript:Vigun07g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSYGEIFLLLGATAALIGPKDLPIISRTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDTMAQLDAIRHEIRSISIINPGPLTRRFADNPDQTSTPNDCKKSEDSGENHPKPTDIKDSTSVSYNSCNMQNQATIYARLAESPSIKNELSASSPEVEKIDDKLQLTVLPISAENAGLLPNRGADVKGSDIVLEAILEAEVAHNAKEFFSQPQNQVK >Vigun03g376100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57884846:57885621:-1 gene:Vigun03g376100.v1.2 transcript:Vigun03g376100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMTTRVLALVALVVAINGIVCESRVARKDLGLDLGGLGIGVGAGVGIGLGGGGGSGAGAGSGSGSGSGSGSGSSSYSSSGSSSSSSGSGSGAGSEAGSYAGSRAGSGSSRAGSEAGSYAGSRAGSGSYGGNGK >Vigun11g138900.1.v1.2 pep primary_assembly:ASM411807v1:11:34801467:34803424:1 gene:Vigun11g138900.v1.2 transcript:Vigun11g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMIRYIEDLILWKSVCPHHCPTLGHIFSLLHHYEYVVYTVFARNSLPYFFVGRVVDHTCIRPTHLLLLSLHWEHMDHLNPCFQAQVAREDKKLWVLRQNGHNHMPLHSTIHTLLPLLSVRLYQKNKWNPFSLSAIFYSYDEGFICLK >Vigun01g099866.1.v1.2 pep primary_assembly:ASM411807v1:1:26673378:26676484:1 gene:Vigun01g099866.v1.2 transcript:Vigun01g099866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSRSSSQVSRLTFHIARPMFHVPCLTSNVPRRTSHVLPSTSHASRSTSHVPRSTSHVPRSTSHVSRLMSRISRSSSQVSRLTFHIAHPTFHVSRLTSNVSRRTSHVLPSTSHVSRSTSNVSRLTSRVSRPKSHVPRPMYQFSRLTFHLVLRRTSHVPCLTSHVSRLTSHISCFTFHVARLMSHVPRLRSDVPRPTFHVSRPTSHISSLMSHVPRFTSYVPQHISHVSRLMSHVSRLTSLVSRPLSHVSRFTPHVQRFPTYHVSRFLTYHVSRLKSRVRRRTSHISHPTSHVPLSTSSVPRITSLVSRFTVFHVASVTSHVPRFTSHVSRFMFLVSCFTSHVPHLSSHVSPCFMARLTSHVPRPTSHVRRPMLHFSGLKLHVPRLTSHFSLFTSHVSRLTSRFTSHVPHQMSHVPHQMSHISRPTSHVSCPCLTSLVSRLLAHVSCCTSQVTGSTSHVSLLNFHFPRPTSHVSSSTLHVPRSMSNVSRRTFHVSRSTSDVSHSTFTVPRSRSHIPRSTFHILHPTSHISCSTFHVSRPMFHVSRLTSHFSRPTLYIPCLMFCISRLTSHVSRLTFYVPRLTYHVTHLCLTSHVARLMSHVSYPKSHVHVSRLTSHISRLTSHVSRLSSLVSRLTSHISRRTSQVPHRTSHIVHCTSHVSCLTFHVARSHVSRLSCLSRSTSHVPCPTSTSHIYHVSDVPRLTSHVPRLASHVPRPTSHVPRLVSHVPRPTSHLSRSTFHVLCLTSHVSRLTSHVSRLTSLVSRLTSHVSRITSHVPRHTSHVPRFTSYVSRPTSHVSRLTSHVSLCTSHIPRLTSHVSRPHLMSWSHVLCLTSHVSRLTSFVSRLSSHVFRLTSHVPRPTSHVPCSTTHVLHPTSYILHPTSHVPRLTIETDLTEFGQILYKVTQLNQIRLNLG >Vigun03g193300.1.v1.2 pep primary_assembly:ASM411807v1:3:26932297:26950713:1 gene:Vigun03g193300.v1.2 transcript:Vigun03g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFHEGGRDYFQQQPSTSSSSILQSLPLHVSFDHGCYLLVKSIQELREKKDGLVTVGIGGPSGSGKTSLAEKVASVIGCTVISMGNYRHGVDEGNDVDSIDFDTLIKNLEDLTKGKDTLIPKFDYQQKKRVGYKAIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKVKCRSESTDGHSGSAFQGNEAQTDNFIEMYLRPPSSSEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTTVNNGKVTISFETIDVLGETFMVMRGTNRKTVGTEASRMGIDGPWITKSYLEMILERKGVPRLSTPPLVSNTTVAGSQETAIIAPKPIRVTPNVVTGLEDLPQPWTRSPTKSKMEPVVAAWQFISSDSSQPDNSVLDPSSFRDSIRLAPMPDSFDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIIGCEVVSLESYYKQVKDFKYDDFNALDLSLLSKNIDDIRNGQRTKVPIFDLESGARNGFKELEVSEDCGVIIFEGVFALHPDIRISLDLWIAVVGGVHSHLISRVQRDKSRVGCFISQNEIMMTVFPMFQQLIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKKVAYQDIVAILDSAKFCSSVQKFIDIYIRLPGIPSNGQLRDSDCIRVRICEGRFALLIREPIKEGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDVPGPYIQIKGVNKDAVAAAGSMLKLDNSYTTKSYLEIILERLPGIERTSGGIHSQQPARLLEIVEFIQSQGSSSASESSSGRVVSPIEGVIEEMQSRIRRLEKWLAINTVLWTVLMSALVGYSLYQRRKA >Vigun04g009400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:719872:720348:1 gene:Vigun04g009400.v1.2 transcript:Vigun04g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSNDAGKGKNIVEDKDSEREFLYKDEMQLEFVFNIGHVKDFELSMPYMAQLTNDKWNLFLRVPYFEEILLQFLKEEEDVKEGLPVTVYDKGGHEFPMMLKKFDKDSIAYYVLNRGWFNFCDEKRLQENDVVALRTFRHAITDELSFVVTFTKMR >Vigun10g188900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40423383:40425582:1 gene:Vigun10g188900.v1.2 transcript:Vigun10g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGLVWDDKLVSAELNNDQNQDQKAAADNLNAKTATPPPTAAIQRTRSNGGYRMGKVSPAIDPPSPKLSACGFCTAFSKTGEKGRRRAKPTAKHRSR >Vigun08g149900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32242375:32242623:1 gene:Vigun08g149900.v1.2 transcript:Vigun08g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSTTPTLYFDDKWKLSKKEGSTRSRSSTTTPFIKNSSSQRRCAFASKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >Vigun06g060200.8.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPSCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSR >Vigun06g060200.5.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPSCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSNQGLNLPDSPFSFPVDSGISAVI >Vigun06g060200.1.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPIPRGCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSNQGLNLPDSPFSFPVDSGISAVI >Vigun06g060200.6.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPSCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSNQGLNLPDSPFSFPVDSGISAVI >Vigun06g060200.3.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPSEHDIL >Vigun06g060200.2.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPIPRGCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSNQGLNLPDSPFSFPVDSGISAVI >Vigun06g060200.7.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPIPRGCRNPRSLRSLIIEQQEEAAFEFQRRRFAELQLAQKSFTTSPHLGFNAAKASNDHFNVHSAESLNHVLNDKSGYMDNNYTAEGSR >Vigun06g060200.4.v1.2 pep primary_assembly:ASM411807v1:6:18793141:18797061:-1 gene:Vigun06g060200.v1.2 transcript:Vigun06g060200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSEYTGIVLGKLQKFEPEKASKILGYLLVQEHGEEDMAKLASLPDDVLREVAFQARTKLQRSSSARSIIHPISPPMNFAQLSVITPTTPLTATSFQVQSPFWDTQINNANAEYMAQGYLDSISELQKQTPFFTLDNHMVIANGTSDTPGIANDYYGLDASPAPNLAGKSGRRFDHPVKTCHYFNKGFCKHGNSCRYYHGLDAFPHMYGNDGFNDDQVISPGSLAQLESEIVELLKLKKGGSISIASLPMAYFEKYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIDRPHGQHSVVLAEDAPKFNGKGEYGKYISASRQIYLTFPADSTFSEADVSNYFSTFGKVQDVRIPSQERRMFGFVTFDDSETVKAILDKGNPHYVCESRVLVKPYKEKPKLMLRKHSDRVEHQHPAYYSAHYVDVDTEPTPSEHDIL >Vigun07g122550.1.v1.2 pep primary_assembly:ASM411807v1:7:22622712:22622975:-1 gene:Vigun07g122550.v1.2 transcript:Vigun07g122550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENYPMSLWIALPTKLKAELAESLRKAVLRWLRRNTWYDKLVGRVSIRSAQVRY >Vigun06g217200.1.v1.2 pep primary_assembly:ASM411807v1:6:32798998:32801686:1 gene:Vigun06g217200.v1.2 transcript:Vigun06g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLGLVAPVLGFYHRFLRFFIRFILLMFMDIPSTFNFLTQLTELGCGFLLLGYVSRIFNILGLLLIFLSCLRFLRSPIGKPPREENLKEEIENNNNSSSGGSGSREENLEDEMFDVVSLRKMVKSERQRFNAACAEIEKERGAAASAAEEAMAMILRLQSEKSAVEIQATQFRRVVEQKQEYDLEVIESLQWTVEQVESQKNLLERQLGVLRERLRDFLNDHDIQQRVQEQDQEGEQDQEREQEKERDQEQEKERDQEQEKEREKEQEKEQEKEQDQEQEKEREKEQDEEREKEREEEREKEREKEQEKELEHEPEHEQGTASDVDLDLDLAVVDEDIERDEDADSGSGFLNFSVEYNDDLDAASSQNPSPTQTPQHLSSSQFQNSLRLQDAAYSHFNLLVLRFEEDIKH >Vigun06g217200.2.v1.2 pep primary_assembly:ASM411807v1:6:32798994:32801686:1 gene:Vigun06g217200.v1.2 transcript:Vigun06g217200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVVSLRKMVKSERQRFNAACAEIEKERGAAASAAEEAMAMILRLQSEKSAVEIQATQFRRVVEQKQEYDLEVIESLQWTVEQVESQKNLLERQLGVLRERLRDFLNDHDIQQRVQEQDQEGEQDQEREQEKERDQEQEKERDQEQEKEREKEQEKEQEKEQDQEQEKEREKEQDEEREKEREEEREKEREKEQEKELEHEPEHEQGTASDVDLDLDLAVVDEDIERDEDADSGSGFLNFSVEYNDDLDAASSQNPSPTQTPQHLSSSQFQNSLRLQDAAYSHFNLLVLRFEEDIKH >Vigun04g120900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31002209:31008925:1 gene:Vigun04g120900.v1.2 transcript:Vigun04g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEWERELTEMLQDMKVPSMEELQMQCIYRVPQTIREDNPKAYTPQILSIGPYHHNSFKTMENLKLIYLKEFLNRCQLSVEYFVQLLKSSEERIRSYYAAPINGDDFS >Vigun02g065300.3.v1.2 pep primary_assembly:ASM411807v1:2:21418407:21423023:1 gene:Vigun02g065300.v1.2 transcript:Vigun02g065300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDLCAQIQRSFDQLYTCHARHSLDELPDSFTITDPHIPGHPIVFASPGFLKLTGYARCEVLGQTAAVFQGPGTSRRSVMEIREAVREERTAQVVLLNYRKDGTPFWMLFRVSPVFSGDGGAVVHFVAVQVPLHKKDGSGVRDFGFGCCRKEVCTDSLSELGRVCSVEQVLERDVIELESEEPCEASEEEMRSAVTAMDNIFSVLTHFSEATGRLVCRKRCSIPDVGLLSTSLIISLGRIKQSFVLTNPHLPDMPIVYASDAFLELTDKGKH >Vigun02g065300.2.v1.2 pep primary_assembly:ASM411807v1:2:21418407:21423023:1 gene:Vigun02g065300.v1.2 transcript:Vigun02g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDLCAQIQRSFDQLYTCHARHSLDELPDSFTITDPHIPGHPIVFASPGFLKLTGYARCEVLGQTAAVFQGPGTSRRSVMEIREAVREERTAQVVLLNYRKDGTPFWMLFRVSPVFSGDGGAVVHFVAVQVPLHKKDGSGVRDFGFGCCRKEVCTDSLSELGRVCSVEQVLERDVIELESEEPCEASEEEMRSAVTAMDNIFSVLTHFSEATGRLVCRKRCSIPDVGLLSTSLIISLGRIKQSFVLTNPHLPDMPIVYASDAFLELTGYGRNEVLGRNCRFLGGTDTDTSTLYLIKESIKAEQPCTEGRKFILEFSSYLTRS >Vigun02g065300.1.v1.2 pep primary_assembly:ASM411807v1:2:21418407:21423023:1 gene:Vigun02g065300.v1.2 transcript:Vigun02g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDLCAQIQRSFDQLYTCHARHSLDELPDSFTITDPHIPGHPIVFASPGFLKLTGYARCEVLGQTAAVFQGPGTSRRSVMEIREAVREERTAQVVLLNYRKDGTPFWMLFRVSPVFSGDGGAVVHFVAVQVPLHKKDGSGVRDFGFGCCRKEVCTDSLSELGRVCSVEQVLERDVIELESEEPCEASEEEMRSAVTAMDNIFSVLTHFSEATGRLVCRKRCSIPDVGLLSTSLIISLGRIKQSFVLTNPHLPDMPIVYASDAFLELTGYGRNEVLGRNCRFLGGTDTDTSTLYLIKESIKAEQPCTVRILNYRKDESSFWNFLHISPVRDASGKVAYFVGVQIEESNKNDDRQCLSPEKRQLSVVGVVKVAVRSLSMSAGSSKS >Vigun09g234500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40506297:40507585:1 gene:Vigun09g234500.v1.2 transcript:Vigun09g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLPSATAHHDETKMKKAKAVLVEDEEEEVERVEGLGRFDDNLLFEVLKHVDARTLAMAGCVNKQWHKTAQDERLWELICTKQWANTGCGEQQLRSVVLALGGFRRLHALYLFPLSKPQTSSSSSSSSSSSPWGPTIPQVIRAKPLRLGKDEVHLSLSLLSIRYYEKMNFNNRTR >Vigun02g149900.1.v1.2 pep primary_assembly:ASM411807v1:2:29697286:29702286:-1 gene:Vigun02g149900.v1.2 transcript:Vigun02g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSFLLSPKPCNYSFSSSLSPFPIHLFIRNSKSRTPSFRILAVAVEPQQGSPESSPHRLLKELAARKKATSTKKGPPRRFILRPPIDDNKLAERFLNSPQLSLKSFPLLSSCLPSSRLNNADKLWIDEYLLEAKQALGYSLEPSESLGDDNPAKQFDTLLYLAFQHPSCERTKARHVRSGHSRLSFLGQYVLELALAEFFLQRYPRESPGPMRERVFGLIGKRNLDQWIKAASLQNLIFTYDDMDKIRKRERDGPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPDAEDCQPKLRRQLEDVDYVSAEFEGKLSWQDIVSYKPPADALFEHPRLFRACVPPGMHRFRGNIWDYDTRPHVMKILGYPLEVTDRIPEITEARNIELGLGLQLCYMHPSKYKFEHPRFCYERLEYIGQKIQDLVMAERLLTKHLDAPGLWLQQRHRGLLMNKYCGRYLRAKLLHRYIIFDEKIQDTYENNRRKRNPATTAVQQALHGLSYLVYGKRDVRRLMFEFFDFEQIQPKEVD >Vigun01g112100.1.v1.2 pep primary_assembly:ASM411807v1:1:28597867:28608912:1 gene:Vigun01g112100.v1.2 transcript:Vigun01g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRPKSKDSLPYSTLFNLESLVNFQLPQHDDDFDYYGNSSQDESRGSQGGGITNHSNGNVHGREASLLKKRRWSLNRDSEDRSGFYETHMTEDRYRSMLGEHIQKYKRRLKDTMSSPAQNQAAVPPVKSSTGLKARKSGNERRGALHAVETTSEWMNDSSSQKPGNYRDADFTPQYGTADRIMYEPASLDIGDGIIYKIPPIYDKLAAALNLPSFSDIHVEDFYLKGTLDLGSLAEMMAADKRFGNRNRAGMGEALPQYESLQARLKIMGASNSAHKFSLKVSDADLNSSIPEGAAGSIRRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKQKVKKDPALIEKEEIERCGKIWVNIVRRDIPKHHRNFTTFHRKQLIDAKRVSETCQREVRMKVSRSLKWTRAAGMRTRKLARDMLLFWKRIDKEMAEVRKREEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKSNLIPSETLPTVDEDANDQDAMIDDSSDAKPDEEEDPEEAELKKEALKAAQEAVFKQRSLTSAFDTECLRLRQAGETDSLPPDVAGASNIDLQTPSTMPVASTVRTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPELKRLPYWGGLSERTVLRKSINPKDLYRREAKFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSTSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVVSELTRKTEVTVHCKLSSRQQAFYQAIKNKISLSELFDSNRGQLNEKRILNLMNIVIQLRKVCNHPELFERSEGSTYLYFAEIPNSLPPPPFGELEDIYYSGGHNPISYEMPKLVYEEIVQSSEIFGSAVGRGVSRESFQKHFSIFRPENVFRSVFSEDTYSTSGNLGFTHLMDLSPQEVMFLATASFVERLLFSITRWERKFIDEAVNFLTETIDDDPECSYLGKEKVRTVTRMLLVPTKSEAQFLQERLQTGPSHAPFEALIVPHEDRLLSNARLVHSAYTYIPQSRAPPIGLHCSNRNFYYKMIEELHDPTVKRLFVGFARTSDYNGPRKPDAPHHLIQEIDSELPVSHPALQLTHSIFGTSPPMRNFDPSKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIQDRRDMVRDFQHRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDAQLEQKLKEIPLQVKDKQKKKQPMKGIRVNEDGDASLEDLTSSAAQGTSDYDAAVDPEGSKSSNKKRKAASDKPRPKNSQKMSEFSTSVMDSELDDVDPVGQKPKRPKRAKKNVNAEDAFTGTATTVSEQSQFPPPRDFSVGGSKPESGQDN >Vigun01g112100.2.v1.2 pep primary_assembly:ASM411807v1:1:28597944:28608912:1 gene:Vigun01g112100.v1.2 transcript:Vigun01g112100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRPKSKDSLPYSTLFNLESLVNFQLPQHDDDFDYYGNSSQDESRGSQGGGITNHSNGNVHGREASLLKKRRWSLNRDSEDRSGFYETHMTEDRYRSMLGEHIQKYKRRLKDTMSSPAQNQAAVPPVKSSTGLKARKSGNERRGALHAVETTSEWMNDSSSQKPGNYRDADFTPQYGTADRIMYEPASLDIGDGIIYKIPPIYDKLAAALNLPSFSDIHVEDFYLKGTLDLGSLAEMMAADKRFGNRNRAGMGEALPQYESLQARLKIMGASNSAHKFSLKVSDADLNSSIPEGAAGSIRRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKQKVKKDPALIEKEEIERCGKIWVNIVRRDIPKHHRNFTTFHRKQLIDAKRVSETCQREVRMKVSRSLKWTRAAGMRTRKLARDMLLFWKRIDKEMAEVRKREEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKSNLIPSETLPTVDEDANDQDAMIDDSSDAKPDEEEDPEEAELKKEALKAAQEAVFKQRSLTSAFDTECLRLRQAGETDSLPPDVAGASNIDLQTPSTMPVASTVRTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWNEELERFCPELKRLPYWGGLSERTVLRKSINPKDLYRREAKFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSTSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKKDVVSELTRKTEVTVHCKLSSRQQAFYQAIKNKISLSELFDSNRGQLNEKRILNLMNIVIQLRKVCNHPELFERSEGSTYLYFAEIPNSLPPPPFGELEDIYYSGGHNPISYEMPKLVYEEIVQSSEIFGSAVGRGVSRESFQKHFSIFRPENVFRSVFSEDTYSTSGNLGFTHLMDLSPQEVMFLATASFVERLLFSITRWERKFIDEAVNFLTETIDDDPECSYLGKEKVRTVTRMLLVPTKSEAQFLQERLQTGPSHAPFEALIVPHEDRLLSNARLVHSAYTYIPQSRAPPIGLHCSNRNFYYKMIEELHDPTVKRLFVGFARTSDYNGPRKPDAPHHLIQEIDSELPVSHPALQLTHSIFGTSPPMRNFDPSKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIQDRRDMVRDFQHRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDAQLEQKLKEIPLQVKDKQKKKQPMKGIRVNEDGDASLEDLTSSAAQGTSDYDAAVDPEGSKSSNKKRKAASDKPRPKNSQKMSEFSTSVMDSELDDVDPVGQKPKRPKRAKKNVNAEDAFTGTATTVSEQSQFPPPRDFSVGGSKPESGQDN >Vigun10g025000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3002885:3004796:1 gene:Vigun10g025000.v1.2 transcript:Vigun10g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQREKLHVVVFPWLAFGHIGPFFELAKLIAQKGHKISFISTPRNIHRLPKVPKNLQPLVDLIELPLPRVEKLPENAEATMDIPHHLIPYLKLAFDGLQQPLAKFLERCKPHWIVYDFAPYWLPPICSQLGISCILFSILSATGMHCFTEFSRGKTSESLRSIDIPEEYNETNQSGVSDVFRFLMTSDAAHVIASRSCMEIEGEPLKLFKSINTKPVIPVGLLPPSLQFSEDSNDENWDTILNWLDKQEKGSVVYVAFGSEVRLSDEDFTEITKGIEMSGFPFFWVLKKQNTSNVELQDLVVNNSGKGLVWRTWAPQMRILEHRSVGGFLTHCGWSSVIEGLQVGCPLVMLPFQNEQFIIAKHMEERRVGFQVQRSEHDEKFSRESLANALRAVMLEKSYRSEAEEMSKIVGDKELHQKYIDDFVEYMEIHRPAIKD >Vigun06g004100.1.v1.2 pep primary_assembly:ASM411807v1:6:1989156:1998879:1 gene:Vigun06g004100.v1.2 transcript:Vigun06g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSELQIGKESTGISSDFNPLHHHHHSHPVPNLTPNHNLETLTSTAPYKRPSLSKSQTLHRFPKPRHATAPSVTSAGATATWLSSAVSLRRRLRHRVRLFLFLSFPFFYFLVSHPTNSFLLDFLSAFFFSAALFFSLNLALPRIPSLRLFLKPKARPALKLPVFWARPALPEFQFSVVAYSNGDVYEGEFRGGKCCGSGVYYYSMSGRYEGDWVDGKYDGFGVETWAKGSRYRGQYHQGLRHGFGVYRFYTGDVYAGQWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQGKEVSAAKGNRYLQRNGDAYAGEYFADKMHGYGVYSFANGHCYEGSWHDGKRQGLGMYTFRSGETQSGHWQNGVLDIPSTQSATYPVSPVGVNHSRVLNAVQEARRAAEKAYDVAKVDERVNRAVAAANRAANAARVASVKAVQNQMHPISIV >Vigun06g004100.3.v1.2 pep primary_assembly:ASM411807v1:6:1989145:1996274:1 gene:Vigun06g004100.v1.2 transcript:Vigun06g004100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSELQIGKESTGISSDFNPLHHHHHSHPVPNLTPNHNLETLTSTAPYKRPSLSKSQTLHRFPKPRHATAPSVTSAGATATWLSSAVSLRRRLRHRVRLFLFLSFPFFYFLVSHPTNSFLLDFLSAFFFSAALFFSLNLALPRIPSLRLFLKPKARPALKLPVFWARPALPEFQFSVVAYSNGDVYEGEFRGGKCCGSGVYYYSMSGRYEGDWVDGKYDGFGVETWAKGSRYRGQYHQGLRHGFGVYRFYTGDVYAGQWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDAYAGEYFADKMHGYGVYSFANGHCYEGSWHDGKRQGLGMYTFRSGETQSGHWQNGVLDIPSTQSATYPVSPVGVNHSRVLNAVQDWSL >Vigun06g004100.2.v1.2 pep primary_assembly:ASM411807v1:6:1989145:1996725:1 gene:Vigun06g004100.v1.2 transcript:Vigun06g004100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSELQIGKESTGISSDFNPLHHHHHSHPVPNLTPNHNLETLTSTAPYKRPSLSKSQTLHRFPKPRHATAPSVTSAGATATWLSSAVSLRRRLRHRVRLFLFLSFPFFYFLVSHPTNSFLLDFLSAFFFSAALFFSLNLALPRIPSLRLFLKPKARPALKLPVFWARPALPEFQFSVVAYSNGDVYEGEFRGGKCCGSGVYYYSMSGRYEGDWVDGKYDGFGVETWAKGSRYRGQYHQGLRHGFGVYRFYTGDVYAGQWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQGKEVSAAKGNRNGDAYAGEYFADKMHGYGVYSFANGHCYEGSWHDGKRQGLGMYTFRSGETQSGHWQNGVLDIPSTQSATYPVSPVGVNHSRVLNAVQDWSL >Vigun09g105300.3.v1.2 pep primary_assembly:ASM411807v1:9:19418785:19421725:1 gene:Vigun09g105300.v1.2 transcript:Vigun09g105300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLPDQASLIFIIAVGPAMVSLALIFIIRPVQSYKQSRASDESGFMFIYSICLLLAAYLTGVLLLENVFHLNQTTISMFALVLIILILLPITVPILLVFFSGPQSADQEALLETPPLLQATKSKNVIGESSSTARVTKHVENDKSIPPKLEVLPLSEGPRDMFQIQARLWQALTNALRKNKLKNGPNIGEDFTLSQAMAKADFWLMFFSIVMGAGSGLTIINNMGQICQSLGDNKVNVYVSVISISNFLGRVGGGYFSEVLVRNFGYPRLVALAVIQTMMSLGLLYYVVGLVGQVYVVGILNGFGYGAHWSIALAAASELFGVKNFGTLYNFLTMASPAGSLFLSGFVASTIYDYYAEIQAKHRMIQTFGASNLAISYHVTANDSELLLCEGNICFSLTCVILALVCLFAASLSLVIAHRTRRFYAQLYEESRR >Vigun09g105300.2.v1.2 pep primary_assembly:ASM411807v1:9:19418785:19421725:1 gene:Vigun09g105300.v1.2 transcript:Vigun09g105300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVHEKVKELVRHRWVVFVCAMWDMSFAGTSYMFGSISPVIKSSMGFNQKQVAFLSVAKDLGDNVGLLAGKFSQLYPISSLILVGVFQNVLGYGLVWLVVTHRLPALPLWLLCIVIFVGQNGSTYYNTAALVSSVQSFPESRGHVVGILKGFVGLSGAIWTQIIAMIKLPDQASLIFIIAVGPAMVSLALIFIIRPVQSYKQSRASDESGFMFIYSICLLLAAYLTGVLLLENVFHLNQTTISMFALVLIILILLPITVPILLVFFSGPQSADQEALLETPPLLQATKSKNVIGESSSTARVTKHVENDKSIPPKLEVLPLSEGPRDMFQIQARLWQALTNALRKNKLKNGPNIGEDFTLSQAMAKADFWLMFFSIVMGAGSGLTIINNMGQICQSLGDNKVNVYVSVISISNFLGRVGGGYFSEVLVRNFGYPRLVALAVIQTMMSLGLLYYVVGLVGQVYVVGILNGFGYGAHWSIALAAASELFGVKNFGTLYNFLTMASPAGSLFLSGFVASTIYDYYAEIQAKHRMIQTFGASNLAISYHVTANDTSLSLVIAHRTRRFYAQLYEESRR >Vigun09g105300.1.v1.2 pep primary_assembly:ASM411807v1:9:19418785:19421724:1 gene:Vigun09g105300.v1.2 transcript:Vigun09g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVHEKVKELVRHRWVVFVCAMWDMSFAGTSYMFGSISPVIKSSMGFNQKQVAFLSVAKDLGDNVGLLAGKFSQLYPISSLILVGVFQNVLGYGLVWLVVTHRLPALPLWLLCIVIFVGQNGSTYYNTAALVSSVQSFPESRGHVVGILKGFVGLSGAIWTQIIAMIKLPDQASLIFIIAVGPAMVSLALIFIIRPVQSYKQSRASDESGFMFIYSICLLLAAYLTGVLLLENVFHLNQTTISMFALVLIILILLPITVPILLVFFSGPQSADQEALLETPPLLQATKSKNVIGESSSTARVTKHVENDKSIPPKLEVLPLSEGPRDMFQIQARLWQALTNALRKNKLKNGPNIGEDFTLSQAMAKADFWLMFFSIVMGAGSGLTIINNMGQICQSLGDNKVNVYVSVISISNFLGRVGGGYFSEVLVRNFGYPRLVALAVIQTMMSLGLLYYVVGLVGQVYVVGILNGFGYGAHWSIALAAASELFGVKNFGTLYNFLTMASPAGSLFLSGFVASTIYDYYAEIQAKHRMIQTFGASNLAISYHVTANDSELLLCEGNICFSLTCVILALVCLFAASLSLVIAHRTRRFYAQLYEESRR >Vigun05g225832.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41817395:41817577:-1 gene:Vigun05g225832.v1.2 transcript:Vigun05g225832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCNEQVTNATDHVRLGNDALQTAKSLQKKSRKCMMISIILLLAIAAIIVLAVLKPWKK >Vigun11g074166.1.v1.2 pep primary_assembly:ASM411807v1:11:21488436:21489862:-1 gene:Vigun11g074166.v1.2 transcript:Vigun11g074166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRIRNLLKSVPTTPEIQHISHNSVHATSESEQPSSQSSPNLDYVHATSKSQTQRVDPKKPRQSNHYWFVEAIDEHGVSQNLKMKVKDAHNFANGLHVVVNYDDKYQPIGEASGLLSEVCGLLATNHILFPISFERWSAMPDKYKDNVWESALKTRFCFKINKDLAKRDVMFKIGKLWREYKSKLWNEFYDPHMSRNELIKNCPENVSMDQWAVFVDYRLKPSTVELCNRNKYIRKKQIIPHTGDVMSLSRRRDHLKIETGRSIGRAEMWKITHKRKNGTYVNDEVMEIGLLYSVN >Vigun05g020400.2.v1.2 pep primary_assembly:ASM411807v1:5:1701472:1708317:1 gene:Vigun05g020400.v1.2 transcript:Vigun05g020400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSLLDCQKLGKLEKMVKGVEDVEEGEISDTASVEEISEADFNKQDVKVNNNNKPNGSDARVWAVRDLYSKYPTICRGYASGLYNLAWAQAVQNKPLNDIFVMELDSDANANGNNNSNRPSSVSVNPKEVVVVDMDKEEGELEEGEIDADADPETEAESAVAASVVSETVSDSEQFGVKKGVSDSEQLGVRDVLEGVTVANVAESFAQTSSRLLNTLPEVFSRPADSEKDDLMRLSFNAIEVVYSVFRSMDSSDKEQNKDSILRLLSSVNDQQQAQLFSPEHIKEGMMTAIDSVGALGNSEAIYIKTESQTPEIKSQENSALEVQTHGINIQENQAVEVSALISSIKPLHSDIIGGSRALKFGQNSIKGRGVLLPLLDLHKDHDADSLPSPTREAPSCFPVNKLLSVGEATVKSGSAAKMQPGKMEVDSEGCKFHLYETDALKAVSTYQQKFGRSSLFTNDELPSPTPSGDCDDMVVDTNEEVSSASTGGFLTSTKPTLIDQPPVSATSMDNSRMLGLINSRVDAAGPGSFPVKSSAKSRDPRRRLINSEASAVDNQSIVINNMPKVEYAGSTMSRKQKAVEEPFDVTVSKRLKSSLENIEHNSSQVRTIAGTGGWLEDNTGPGTQLVEKNNLMDKFAPEPKKTLNTVSSTSSGSVSFNATNIRNEQAPLASSNIPSSLPAILKDIVVNPTMLLGLIFEQQNRLRNAVNKSSDSATNMLLHPTSSNSATGTDSTVSIGSSIATALQTSVGMLPVSSQSASTAQSLQDDHSGKIRMKPRDPRRILHTNNSVQKSGNIVNELHKAIVSPVPNSQVTGNNVNTQKLEGRVDTKLVPTQSGAAPDITRQFTKNLKNIADIMSISQESSTHSTAAQSFSSASVPLNIDRGEQKSVVSNSQNLQAGIVGPAQEICAPGTSRSQNTWGDVEHLFEGYDEQQKAAIQRERARRIEEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPHRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDTDSVDGEERAPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPEAGTLASSLAVIERIHQNFFASQSLEEVDVRNILASEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEQDFAIKP >Vigun05g020400.1.v1.2 pep primary_assembly:ASM411807v1:5:1701472:1708317:1 gene:Vigun05g020400.v1.2 transcript:Vigun05g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSLLDCQKLGKLEKMVKGVEDVEEGEISDTASVEEISEADFNKQDVKVNNNNKPNGSDARVWAVRDLYSKYPTICRGYASGLYNLAWAQAVQNKPLNDIFVMELDSDANANGNNNSNRPSSVSVNPKEVVVVDMDKEEGELEEGEIDADADPETEAESAVAASVVSETVSDSEQFGVKKGVSDSEQLGVRDVLEGVTVANVAESFAQTSSRLLNTLPEVFSRPADSEKDDLMRLSFNAIEVVYSVFRSMDSSDKEQNKDSILRLLSSVNDQQQAQLFSPEHIKEIQGMMTAIDSVGALGNSEAIYIKTESQTPEIKSQENSALEVQTHGINIQENQAVEVSALISSIKPLHSDIIGGSRALKFGQNSIKGRGVLLPLLDLHKDHDADSLPSPTREAPSCFPVNKLLSVGEATVKSGSAAKMQPGKMEVDSEGCKFHLYETDALKAVSTYQQKFGRSSLFTNDELPSPTPSGDCDDMVVDTNEEVSSASTGGFLTSTKPTLIDQPPVSATSMDNSRMLGLINSRVDAAGPGSFPVKSSAKSRDPRRRLINSEASAVDNQSIVINNMPKVEYAGSTMSRKQKAVEEPFDVTVSKRLKSSLENIEHNSSQVRTIAGTGGWLEDNTGPGTQLVEKNNLMDKFAPEPKKTLNTVSSTSSGSVSFNATNIRNEQAPLASSNIPSSLPAILKDIVVNPTMLLGLIFEQQNRLRNAVNKSSDSATNMLLHPTSSNSATGTDSTVSIGSSIATALQTSVGMLPVSSQSASTAQSLQDDHSGKIRMKPRDPRRILHTNNSVQKSGNIVNELHKAIVSPVPNSQVTGNNVNTQKLEGRVDTKLVPTQSGAAPDITRQFTKNLKNIADIMSISQESSTHSTAAQSFSSASVPLNIDRGEQKSVVSNSQNLQAGIVGPAQEICAPGTSRSQNTWGDVEHLFEGYDEQQKAAIQRERARRIEEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPHRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDTDSVDGEERAPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPEAGTLASSLAVIERIHQNFFASQSLEEVDVRNILASEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEQDFAIKP >Vigun03g198700.1.v1.2 pep primary_assembly:ASM411807v1:3:28495254:28496416:1 gene:Vigun03g198700.v1.2 transcript:Vigun03g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSEEVRVLGKWASPFSNRVDLALKLKGVSYKYSEEDLRNKSQDLLRYNPVHKKVPILIHNGNPLAESLVIVEYIDQTWTNNPLLPQDPYERALAHFWAKTLDDKILPAIWNACWSDEKGREKAVEEAMEALEILQEALKEKRFFGGQSIGLVDIVANFVGYWVAILQEIAGLELLTHENFPKLYKWSQEFVNHPVIKEGLPPRDELFAFFKASAKK >VigunL028500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:123522:124485:-1 gene:VigunL028500.v1.2 transcript:VigunL028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps2 MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVGTKKKAADSVARAAIRARCHYVNKKWLGGMLTNWYTTKTRLQKFRDLRMQQKTGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICEGRSRYIRNS >Vigun06g157200.2.v1.2 pep primary_assembly:ASM411807v1:6:28068110:28075542:1 gene:Vigun06g157200.v1.2 transcript:Vigun06g157200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPNGTVPSAPVTGRLSAVYSEVQKKRVDHALPLPSVLKHPFTIIDGPPSSAAGNPDEIAKLFPHLFGQPSAALAPSDPRVAQTHHKLKIGVVLSGGQAPGGHNVISGIFDYLQERAQGSTLYGFRGGPAGIMKCKYVELTSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVVCKRAEINYNYGVILIPEGLIDFIPEVQHLIAELNEILAHDIVDEGGLWKKKLSDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRREEGKYKGEFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNRYVSPGPIQFSGPGSDVTNHTLILELEAQA >Vigun06g157200.1.v1.2 pep primary_assembly:ASM411807v1:6:28068110:28075533:1 gene:Vigun06g157200.v1.2 transcript:Vigun06g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPNGTVPSAPVTGRLSAVYSEVQKKRVDHALPLPSVLKHPFTIIDGPPSSAAGNPDEIAKLFPHLFGQPSAALAPSDPRVAQTHHKLKIGVVLSGGQAPGGHNVISGIFDYLQERAQGSTLYGFRGGPAGIMKCKYVELTSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVVCKRAEINYNYGVILIPEGLIDFIPEVQHLIAELNEILAHDIVDEGGLWKKKLSDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRREEGKYKGEFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNRYVSPGPIQFSGPGSDVTNHTLILELEAQA >Vigun03g061600.2.v1.2 pep primary_assembly:ASM411807v1:3:5058523:5064798:-1 gene:Vigun03g061600.v1.2 transcript:Vigun03g061600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAESNTSHRSSDGSSANTSHQYHQPPSFDGSSANASHQYHHPPSFDGSSANASHQYHHHPSYDESSVNTSYQYHQPVQPSSYAGSLVNTKHQHKQQSTYIADNFSSLDQVVSALREAGLESSNLIIGIDFTKSNEWTGKYSFNHKSLHHIGNTPNPYEQAISIIGRTLSSFDEDNLIPCFGFGDAYTHDQNVFSFYPDDRYCHGFEEVLARYREIVPHLKLAGPTSFAPVIDAAVDIVERSNGQYHVLVIIADGQVTRNSGTPHGKFSPQEQATINSIVAASHHPLSIILVGVGDGPWDEMQHFDDSITQRLFDNFQFVNFTKIMSENRDASKKEAAFALAALMEIPIQYRITQNLPLANEKSISYQRKRPFPPPKEVIDRDNAVLAVPKFESVEPSAPATVESACPICLTNPKDMAFGCGHTTCKECGVTLSSCPMCRQPITTRLRLFT >Vigun10g120332.1.v1.2 pep primary_assembly:ASM411807v1:10:32691685:32695577:-1 gene:Vigun10g120332.v1.2 transcript:Vigun10g120332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVLKEEDDISKEIKLQKLRRIDLTVLPKFECFYSGNDTLQLPCLTQVGIWMCPKMEFFSGGEIHLNSSFRGIQASSVSSDDLIFYHDLNSSVERVFLQQEFFQAVDRECFSDNLELQADPRYKIGLQNKWLANLKTLKLHKCTLSYAIPSSILTLLKNLKELEVRDSNRVEAIFDINDDTEIKETESQLEILFLSGLSELTNVWEKDTHKILIFRNLQEVFVSNCPKLQTLFPVSLAKSLKDLKKLKIDNCINLKDFVEQEETTFVTEKFVFPCLEDLELNDLPQITCPKMFTLEFPSVKFLSVRECDGLGLFQSAYDPMGEGTSSRTLPLISDPNVISNLEKLTLDWKQIMALSLLFKSQQSTEGLINLNSISLCFFGAKENEMPMLPVEILKAPNLIEMNIYNCESLENFLVQNPKISEEEVLEQLKTLWLCNVSITQFSEYSSSLNIICERLHKLLVTGCDHLSTLGVHCTSTVSFSCLKKVIISDCPNLKYLFTSSAAKMLMNLEEISVTRCESLTEIVVKDGDATSEAIKFERLHSIYLLYLTSLVCFYSGSDTLQLSSLKTVTICSCPNMKIFSQGIESLMGITLSMDLEPDDLPPPQDLNTRIKGISQRKEFIEAVDKECFSGYLELQEDPHCKFSLQNQWLGNLVSLKLENCTLPCAIPSAILTLLKSLKELEVRYSTTVEVLFYVNDTETTQIASQLKMLTLEGLWKMTRVWEKKKNGVLIFPNLQQVIVRGCENLQTLFPASLAKNLKSLKSLQIECCAKLHEIVEKEDTEAKFVLPCLEKLNLFSLPRITCFCPQTFTLECPTLNKISVVQCDKLELFQSSDSMGEGTTINRKPLISSLEVISNLRELELDWKHILALRTRLRSEKFTGVFKYVNNMKLVLDGDESEMPLVFNEIVHTTPNLIEMTMVMVNCNSTEIVLAQNPKIVEDGRLLQLRILTLVHVYAIMSIQSQNSSWLNTIFEKVHELHVIECPHVETIGVHSTSTMSFSFLKKLVVQGCPRLQYLFTSSVAKKLVNLKEILVEQCESLKEIVAKEEDEDENEMIMKLEYLTLISLDKFESFYTGSSTLSFPSLRRVWVEKCFSTKIFRRRDKVPPKFRVAIDEIRYKGDTKSLITQQFEEETS >Vigun03g359700.9.v1.2 pep primary_assembly:ASM411807v1:3:56253439:56259818:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.7.v1.2 pep primary_assembly:ASM411807v1:3:56251304:56259853:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.8.v1.2 pep primary_assembly:ASM411807v1:3:56253439:56259818:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.1.v1.2 pep primary_assembly:ASM411807v1:3:56253912:56259817:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.2.v1.2 pep primary_assembly:ASM411807v1:3:56251304:56259764:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHSIYTHTVSLYIGYVWTNKKWEKNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.3.v1.2 pep primary_assembly:ASM411807v1:3:56251270:56259877:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.5.v1.2 pep primary_assembly:ASM411807v1:3:56251259:56259876:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.6.v1.2 pep primary_assembly:ASM411807v1:3:56251247:56259866:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun03g359700.4.v1.2 pep primary_assembly:ASM411807v1:3:56251259:56259876:1 gene:Vigun03g359700.v1.2 transcript:Vigun03g359700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDIDYFKLDIDELIGEFTQNESTTLADMKRVWLSRKFSYIYEACPSTNLAFFMQSVYAHCIGYIVGTASLSHRLGGFYCLYCLYETQPFNPSFKVYLSLEELKKLRILVADAKANDIKVVPALVKRMLERNVFLFGSVDLIEGCVAETVNQLQQLQNARIQVAYEKLFESSSIDKYIQMDLGLEVELDLLKNKSLGYAAAKNMAIQEASSILDVENIKHIQEDKQLIGDVVEKVANDWHAQKQAFYKQTGLGEDDEYEKELEQLLLDHHPHNGDSNQD >Vigun09g221500.1.v1.2 pep primary_assembly:ASM411807v1:9:39492219:39496364:-1 gene:Vigun09g221500.v1.2 transcript:Vigun09g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANFKKEGLHGGEVSLGEKKREVTVAIPYEGGGESLMPKQQSRGNSPHRMLNDNEFAAKSPPLNCASPEIRFMPSPNKPPKIPVSSANLTRRKSLTRSVYSKPKSRFGEQPYPVDGTLLEENGTSTLQENLGVGSPYKASPINNKPGTVNRTVSILSVITPKTPLMASPGPAGGEDFDEIIYRKVELSKSKRSRRVTAKVLFEWFVFVCLTSSLVASLTVGKLKRTEIWGLGIWRWCVLVMVTFCGMLVTRWFMRIVVFLIETNFLLRKKVLYFVHGLKKCVKFFIWLGLVLLTWVLLINRGVDRSELATKILNGVTRTLASLLIGAFLWFMKTLLLKILASNFHVKSFFDRIQESLFHQYILQTLSGPPLVEEAEKIGKSVSVGHFSFRSTDGKGGMKKETIDIAKLHQMKQEKVSAWTMKVLVDAMTNSGLSTISSALDETFDDGENEQTDKEITNEMEATAAAYHIFRNVAAPGCTYIDEDELRRFMIKEEVRMVYPLLAEPETGQITRKSLTDWLLKVYQERKALAHALSDTKTAVRQLNKLVTVILIVVTVIVWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVVDGVELLVEEMNILNTVFLKLNNEKVYYPNSLLAMKPISNYYRSPDMGDRVEFSIDFTTPAEKIGALRERVKRYLERNPQYWHPNYGLVVKDIEDVNKIKMGIYVTHTMNFQEFGEKNKRRTELVMEIKKIFEELNIRYNLLPQGIHLRHMEPEPRVLNT >Vigun05g143800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19363440:19364253:1 gene:Vigun05g143800.v1.2 transcript:Vigun05g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLFSLFLLCGFTSYLPSATAIVVDTDGNPLRNGGTYFIRPVVITGNGGGVAFAATGNETCPLTVIQVPSPFSNGLPIEISTPLKILYIEEGRLVDIAFRFVAPCAATSSRWTAV >Vigun08g034250.1.v1.2 pep primary_assembly:ASM411807v1:8:3197644:3200894:1 gene:Vigun08g034250.v1.2 transcript:Vigun08g034250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFPLLCNIFQRLVLRLKEDALDSSLSFPYHTEVPRVLLFGFLGFTCSILAPLMLPFLLFYFFLAYLVYRNQIINVYITKYDSGGQFWPIAHNTTVFSLIFSQLIALGVFGLKRSSVASGFTIPLLIGTLLFHQYCRQRFLPIFKSNSAQIVTDMDLRDEHSGRMEEIYEHLPVAYNQPSLMEDDSSQPQCVKRHGDMDRGQSSSQDMEKGKELSQKDRPRPVQRSVSLNSDKSVLGLNL >Vigun11g087900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26297752:26297970:1 gene:Vigun11g087900.v1.2 transcript:Vigun11g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFVVVNGNEGEEGLVLHSAPIFLFLMIIASLSIISIIIFACGDDNKSTKNTEHRGAGCGVGCGAGCGGG >Vigun01g222900.1.v1.2 pep primary_assembly:ASM411807v1:1:39640345:39643086:1 gene:Vigun01g222900.v1.2 transcript:Vigun01g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAALWLPSHFLAAHDHHINNLHFAFPSEFPYDLGLSSPPLESLAGSTETETSDGEEDFFAGLTRRLSQSSLNETRKHLSALPIQPDSGTFSEIPRKTQGLVGSPQSTLTGFGSWSGRSAGSGDGSPNGYSRVPSPSTTPFDENNDPWEVIYAAAGQVARLKINNNHVSEYDFQKSRGLLTHSRPNQCFNQVARPKEQCGSVWGRPTKPNWVVQQQKVQVQNRAREFGHEGVNVKCTRPTTCLPQSAWSPCQVQQQNSRVQFGGSGSRVAVPGTGGSSVKRGCGGTGVFLPRHYETTPSEPRKKTGCAPVLLPSKMAHTLNLNIDDLNGANQQRLTNAFASDYDAILARRKALLMQQRLSVRREEAANCEIRLPQEWTY >Vigun09g246500.2.v1.2 pep primary_assembly:ASM411807v1:9:41484580:41487659:-1 gene:Vigun09g246500.v1.2 transcript:Vigun09g246500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGRTCDPRDPKTTLLVFSCHGCCKQQMLGVCRILRLRQNPLRGAIFQQFQGNAISNLNKKSSNFGCVTSSPRTQQKGLENVTVSEVLMTKGEENIGSWLWCRTDDAVINAMKNMADNNIGSLVVLKPQGQHIAGIVTERDCLKKIVAQGRSPLYTQVGQIMTDENNLITVTSGTNILQAMKIMTENRIRHVPVIDGKIVGMISIVDVVRAVMEQQSGELKRLNDYIRGEYY >Vigun09g246500.3.v1.2 pep primary_assembly:ASM411807v1:9:41484494:41487746:-1 gene:Vigun09g246500.v1.2 transcript:Vigun09g246500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVCRILRLRQNPLRGAIFQQFQGNAISNLNKKSSNFGCVTSSPRTQQKGLENVTVSEVLMTKGEENIGSWLWCRTDDAVINAMKNMADNNIGSLVVLKPQGQHIAGIVTERDCLKKIVAQGRSPLYTQVGQIMTDENNLITVTSGTNILQAMKIMTENRIRHVPVIDGKIVGMISIVDVVRAVMEQQSGELKRLNDYIRGEYY >Vigun09g246500.1.v1.2 pep primary_assembly:ASM411807v1:9:41484487:41485925:-1 gene:Vigun09g246500.v1.2 transcript:Vigun09g246500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVCRILRLRQNPLRGAIFQQFQGNAISNLNKKSSNFGCVTSSPRTQQKGLENVTVSEVLMTKGEENIGSWLWCRTDDAVINAMKNMADNNIGSLVVLKPQGQHIAGIVTERDCLKKIVAQGRSPLYTQVGQIMTDENNLITVTSGTNILQAMKIMTENRIRHVPVIDGKIVGMISIVDVVRAVMEQQSGELKRLNDYIRGEYY >Vigun10g108100.1.v1.2 pep primary_assembly:ASM411807v1:10:30730094:30733325:-1 gene:Vigun10g108100.v1.2 transcript:Vigun10g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHAHNICSNSVILSLCQEHLSMALSYPSIPISCQDEKGNDSPIAGNSCEDKAFDLHPVSPNSSGEGLPYAPEGWPNPGDIWGWKVLSRTNKAGYFLDRHLYPPKSLQTPSNKRHSLRTKPDIEKYIESNFPSMSIEAFFDLFSWQIPSTGKTPTKAVQSTPITPAVKPLEIEEDTTEEISTQRKLKRRTQICSQSSRKSSRLRVRVGQSLATDQDANNILDLCLLDDEAVETDATEIFYGNMDCEKGSGMQISGENYSIATTVFENFEDYLDNLENMLVMPNSQSSSEHVAPSTKTMDDQTIECCKKKLSSLLAGDFSSLVSCNNAVIEVAILASKICKDNTLSADQIAKLKLVEEIPLASVAFHEARENIEKADRFLGDLEAKKCKVLSLKSDYKDKVAQVHSEMEKNSLAVQEIDDQIQKLQSKRKEICDALEILQERKVELTCGEASVANSILKLVGEIQQGLSEKSEWELKKTFSVQRVAEIQEKFITLRGLTF >Vigun08g108550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27002664:27003539:-1 gene:Vigun08g108550.v1.2 transcript:Vigun08g108550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSSSPFHTLTRFFCIFTTTLTLLTPFIHGDDTVATSSRPNVSTTEPFKYSTGVIIGALVTTSSITLLFFLYIKHCADARTFAATAANSQPSHVRKNSGVDHALLDSLPVFQFGSLKGQGVIDCAVCLAVFEDSEALRLLPKCRHAFHVECVDAWLHAHSTCPLCRYKVEPDEVVVLVEEDAKKAKSAEGESSQNDAALRGENENAGTASLDTAERGLGRRFVGVQQRLSDFEARDALRLTSETATWEARGERGRRSHSCGNSVGEVMENDMMNLRGRRSFHGLLQFC >Vigun09g041000.1.v1.2 pep primary_assembly:ASM411807v1:9:3763429:3766412:1 gene:Vigun09g041000.v1.2 transcript:Vigun09g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEEVVEIESLEKSLLEENDGDAEAVLYAASFREMEQRFVKYQTVQWVLYSLLLILAWGIGFLMLLYLPVRRFILRKDIRSRTLYLTPNAIVYKVTRPVPFPCFGVLKKEKHVLLHSVADVVVEQGYMQSLFGVYSLRIENVGVRRPPSDDVKIEGVANPNAFRKAVMIRLSNMRNEVSRQVSTFEDVPHHLMMSSSKSLKHDYRPSREQVLMQKLEEVGSSVKRIQSLFEEQQSQTTESID >Vigun05g292000.1.v1.2 pep primary_assembly:ASM411807v1:5:47832056:47852458:-1 gene:Vigun05g292000.v1.2 transcript:Vigun05g292000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIHQVSPDKGHTLPILKKILDLDTKIQDMKKHHIALSDEVRAAFPVESFPGTAVLKSVQTRKYLDAWCVFFWATTPTLFSLSTFGLYALMGHQLDAAMVFSCLALFNTLISPLNSFPWVINGLIDAIISSGRLSRFLACPEHKFKRQQPDSDQGLGVFIKDACCTLSSSEEQALNMVLNHVTLSVSQGSFVAVIGEVGSGKSSLLYSILGEMQLVRGSVYSNESTAYVPQVPWILSGRVHDNILFGKIYDPERSRGFYLERCVTTYYLGKVMILKGSVNVDGYLLALSLGLTSIINSLMMQIEYPETVDLAKLRHRFMSSYEQKVQPFDKRYQYLLL >Vigun08g176700.1.v1.2 pep primary_assembly:ASM411807v1:8:34700511:34701915:1 gene:Vigun08g176700.v1.2 transcript:Vigun08g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFACKLFIITSSPITIKEPLGANNNNTQTYIKIRTKRGSNKGSNMASRTQPASDFVYEDFEPLYEWVRDERLVNVMLPGFRRDQLRVQVTSKPTLRVTGERLIYQNRYRRFSLELPIQSDYDTDDVTAKFEGSTLSIKFGKRSLNKPTESATTPPQKIEQQKQDTPKPETKTNGDEVSAADQKTPQKEEANTNGSSETKKEETPAPKARPVSRTKTRLIDFALGSGNQVDDEVAGDSDAGNNKRKKIVKWMVLIYAVVAIVALALYAKNAFISNGESDTESFFQEL >Vigun04g006500.1.v1.2 pep primary_assembly:ASM411807v1:4:447984:452573:1 gene:Vigun04g006500.v1.2 transcript:Vigun04g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHYLFIFLAVVLLFLQNPSRVHCHTKGIRPKRSVGKGLLTNMTRVQYSEEQFMKWVNFVGSLKHSVFKAVKNKLVASYTLHVDKNPSAGDFTSIQEAIDSLPFVNLVRVLIKVHAGVYTEKVNIPAFKSYITIEGDGADETIVKWSDTAQTPGSNGRPLGTYGSATFAVNSPYFIAKNITFQNTTPVPAPGAIGKQAVALRISADNAAFVGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNSLSLFEGCHVHAIAQNTGAVTAQGRSSILEDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNRETTVFYGQYKCTGPGANFAGRVSWSRELTDEEATPFLSLSFIDGTEWIQL >Vigun03g376600.1.v1.2 pep primary_assembly:ASM411807v1:3:57941230:57944593:-1 gene:Vigun03g376600.v1.2 transcript:Vigun03g376600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASHLPLIIMIGLQVHYAALAIFTRAALLDGLSTTIFVVYRQGIATLSLAPMFFSSKRRQSVKSCLGFRSVFLMFVTALVGVTANQNAYFRGLYYSSSTAATAMSNLIPALTFVIAAIAGFEKLELRSLRSMAKVLGTICCVGGALTMALVKGHKLLHTEFLPSIHLTGSSEGDDWLLGCLLLLASSVFWSCWMILQVPISSSCPDHLLSTFWMCLFSTVQSALFALLSEPDLKAWILNSPLQISCSLYAGIGIAVSFFIQSWCISERGPLYCAMFNPLATVITAFISATFLQEELYIGSLVGAVGVIAGLYIVLWGKAKEFSEIKQAAPQPNLQDDEISSRIDLEQPLLSDKAEHVTETDTKV >Vigun03g376600.2.v1.2 pep primary_assembly:ASM411807v1:3:57941230:57944593:-1 gene:Vigun03g376600.v1.2 transcript:Vigun03g376600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASHLPLIIMIGLQVHYAALAIFTRAALLDGLSTTIFVVYRQGIATLSLAPMFFSSKRRQSVKSCLGFRSVFLMFVTALVGVTANQNAYFRGLYYSSSTAATAMSNLIPALTFVIAAIAGFEKLELRSLRSMAKVLGTICCVGGALTMALVKGHKLLHTEFLPSIHLTGSSEGDDWLLGCLLLLASSVFWSCWMILQVPISSSCPDHLLSTFWMCLFSTVQSALFALLSEPDLKAWILNSPLQISCSLYAGIGIAVSFFIQSWCISERGPLYCAMFNPLATVITAFISATFLQEELYIGR >Vigun10g155800.1.v1.2 pep primary_assembly:ASM411807v1:10:37561987:37562514:-1 gene:Vigun10g155800.v1.2 transcript:Vigun10g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQSYKCKQRTKVPSKRKGYSSKCASLVKEQRARLYILRRCATMLLCWKLLAMHKGEFYGHPQCCFSTVYEVGKELIN >Vigun04g041700.1.v1.2 pep primary_assembly:ASM411807v1:4:3621482:3622792:1 gene:Vigun04g041700.v1.2 transcript:Vigun04g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAYHCSSLILFYLFLITSFIVPHAKGENYLVGDSYGWIDFVDFNNWCDGKEFHVGDVLVFNYDSSQHNVLQVDSRVYESCIKDSYMKRFTSGNDSIILKKGGAWFICGVDDHCENGQKLHINVTP >Vigun11g013750.1.v1.2 pep primary_assembly:ASM411807v1:11:1661916:1665052:-1 gene:Vigun11g013750.v1.2 transcript:Vigun11g013750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITLKQLEYVAILLESMMQLEAELITGALVSTFVEKTIDNLASRFGDIFRGNKSNKKMLSNLKVKLLAIDVVADDAEQKQFTNPRVRDWLLAAKDVVFDAEDLLEEIDDALSKSQAEAESQTAAKKVWNFLKSSFVSFFENEIESRMEKLIENLEDLATQNHVLGLKRNDDVEKEEDCWNLFAKHAFRAPPNQECREIGMKIVEKCKGLPLVLKTMGSLLYKISSVSEWETVFQSKIWEFSEDSCDIVPALALSYIHLPSHLKICFAYCGLFPKDYI >Vigun06g113400.1.v1.2 pep primary_assembly:ASM411807v1:6:24169853:24175285:-1 gene:Vigun06g113400.v1.2 transcript:Vigun06g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDASDALQVRKKVQLFLNAARTGSIDLLKKVALQLDEGKDLAKSVEAIKDANKRGALHFAAREGQTAVCEYLLTDLNLPVDSKDDDGETALIHAARQGHTATAKYLIDHGADPTIASNLGATALHHAAGIGDTELLKYLLSKGVSPDLESDSGTPLVWAAGHAQPDAVNVLLEHGANPNADTDDGITPLLSAVAAGSLACLEILIQAGAKVNISAGGATPLHIAADNGSLELINCLLKAGADPNISDEDGVKPIQVAAARGFVGAVEILFPLTSKVDSFPTWTVSGILEHMQSETNKQQDELVNAKETNQAKDAVLSEKNIPEVAPEAKKRAAEAKSRGDEAFRMKDFQMAMDSYTQAIDLDPSDATLLSNRSLCWIRLGQAEHALADAKACRALRPDWPKACYREGAALRVLQKFDEAANAFYEGVMLDPENKELVNAFREAVEAGKKFHGTAEKNSS >Vigun03g148200.1.v1.2 pep primary_assembly:ASM411807v1:3:15213419:15213896:-1 gene:Vigun03g148200.v1.2 transcript:Vigun03g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAITIKRYRAVKEVGQIKMSVGVIAYNQLMLVCQAEYFRQLLKPVT >Vigun03g313800.2.v1.2 pep primary_assembly:ASM411807v1:3:50675387:50687077:1 gene:Vigun03g313800.v1.2 transcript:Vigun03g313800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSANNSKRSSSSENKPPSPKRQKVDNGGSSEKPVPKPVPTPAENSKDLRSLEPVPDPGECGSADVQIAGGGSADGVSSGKVDATPAVPVTAPIADAACPSFSSWSVYQKQIPNIEGGPWCRFLSQSAQNPNVAVCIPNFTIGSNRSCNFALKDQTISGNLCKIKHTQRDGSAVAVLESTGSKGSVLVNGTHVKKNTSCVLNSGDEVVFGVLGNHSYIFQQLNTEVAIRGAEVPSGVGKYLQLERKSGDPSAVAGASILASLSIKQDLTRWKSPTHTSSKPHLGTDVSSHPIHDSTEIELDASESTPNHTDKAEDAQTNEKNSTMDCNPDAGAEVLEEKNGTLDMQAASTLGTSVRCAVFKEDVHAVILDGKEIDVSFDNFPYYLSESTKNVLVAACFMHLRHKEHEKFTTDLTTINPRILLSGPAGSEIYQEMLAKALAKYFGAKLLIFDSHLLLGGLSSKEAELLKDGLNTEKSFSSTKQSPTATKMTGSADPPATETEAPSSSNAPSLGFDSQPKLETDNMPSTSGTAKSCLFKLGDRVKFSCSSSCGVYQTSSRGPSNGGRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLESSAVEELDKLLIHSLFEVVFSESRNAPFILFMKDAEKSIVGNGDSYAFKSKLENLPNNVVVIGSHTQNDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNRTLTKLFPNKIIIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRAVLGRCGMECEGLETLCIKDQTLTNENAEKIIGWALSHHLMQNSEAKPDSKLVLSCESIQYGIGILQSVQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIDVTFDDIGALEKVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPFDLDEAVIRRMPRRLMVNLPDAPNRAKILKVILAKEELSPDVDLDAVATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDRVTALAEGQPAPALCSSGDVRSLNMEDFKYAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >Vigun03g313800.1.v1.2 pep primary_assembly:ASM411807v1:3:50675387:50687077:1 gene:Vigun03g313800.v1.2 transcript:Vigun03g313800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSANNSKRSSSSENKPPSPKRQKVDNGGSSEKPVPKPVPTPAENSKDLRSLEPVPDPGECGSADVQIAGGGSADGVSSGKVDATPAVPVTAPIADAACPSFSSWSVYQKQIPNIEGGPWCRFLSQSAQNPNVAVCIPNFTIGSNRSCNFALKDQTISGNLCKIKHTQRDGSAVAVLESTGSKGSVLVNGTHVKKNTSCVLNSGDEVVFGVLGNHSYIFQQLNTEVAIRGAEVPSGVGKYLQLERKSGDPSAVAGASILASLSIKQDLTRWKSPTHTSSKPHLGTDVSSHPIHDSTEIELDASESTPNHTDKAEDAQTNEKNSTMDCNPDAGAEAGNVKLSGVNDFLRPFFRILARPSCKLKLSKSICKQVLEEKNGTLDMQAASTLGTSVRCAVFKEDVHAVILDGKEIDVSFDNFPYYLSESTKNVLVAACFMHLRHKEHEKFTTDLTTINPRILLSGPAGSEIYQEMLAKALAKYFGAKLLIFDSHLLLGGLSSKEAELLKDGLNTEKSFSSTKQSPTATKMTGSADPPATETEAPSSSNAPSLGFDSQPKLETDNMPSTSGTAKSCLFKLGDRVKFSCSSSCGVYQTSSRGPSNGGRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLESSAVEELDKLLIHSLFEVVFSESRNAPFILFMKDAEKSIVGNGDSYAFKSKLENLPNNVVVIGSHTQNDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNRTLTKLFPNKIIIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRAVLGRCGMECEGLETLCIKDQTLTNENAEKIIGWALSHHLMQNSEAKPDSKLVLSCESIQYGIGILQSVQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIDVTFDDIGALEKVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPFDLDEAVIRRMPRRLMVNLPDAPNRAKILKVILAKEELSPDVDLDAVATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDRVTALAEGQPAPALCSSGDVRSLNMEDFKYAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >Vigun03g313800.3.v1.2 pep primary_assembly:ASM411807v1:3:50675359:50687125:1 gene:Vigun03g313800.v1.2 transcript:Vigun03g313800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSANNSKRSSSSENKPPSPKRQKVDNGGSSEKPVPKPVPTPAENSKDLRSLEPVPDPGECGSADVQIAGGGSADGVSSGKVDATPAVPVTAPIADAACPSFSSWSVYQKQIPNIEGGPWCRFLSQSAQNPNVAVCIPNFTIGSNRSCNFALKDQTISGNLCKIKHTQRDGSAVAVLESTGSKGSVLVNGTHVKKNTSCVLNSGDEVVFGVLGNHSYIFQQLNTEVAIRGAEVPSGVGKYLQLERKSGDPSAVAGASILASLSIKQDLTRWKSPTHTSSKPHLGTDVSSHPIHDSTEIELDASESTPNHTDKAEDAQTNEKNSTMDCNPDAGAEAGNVLEEKNGTLDMQAASTLGTSVRCAVFKEDVHAVILDGKEIDVSFDNFPYYLSESTKNVLVAACFMHLRHKEHEKFTTDLTTINPRILLSGPAGSEIYQEMLAKALAKYFGAKLLIFDSHLLLGGLSSKEAELLKDGLNTEKSFSSTKQSPTATKMTGSADPPATETEAPSSSNAPSLGFDSQPKLETDNMPSTSGTAKSCLFKLGDRVKFSCSSSCGVYQTSSRGPSNGGRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGACEGGQGFFCNVTDLRLESSAVEELDKLLIHSLFEVVFSESRNAPFILFMKDAEKSIVGNGDSYAFKSKLENLPNNVVVIGSHTQNDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNRTLTKLFPNKIIIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRAVLGRCGMECEGLETLCIKDQTLTNENAEKIIGWALSHHLMQNSEAKPDSKLVLSCESIQYGIGILQSVQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIDVTFDDIGALEKVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAIATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPFDLDEAVIRRMPRRLMVNLPDAPNRAKILKVILAKEELSPDVDLDAVATMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKDRVTALAEGQPAPALCSSGDVRSLNMEDFKYAHQQVCASVSSESVNMTELLQWNELYGEGGSRVKKALSYFM >Vigun08g153800.1.v1.2 pep primary_assembly:ASM411807v1:8:32604770:32610639:1 gene:Vigun08g153800.v1.2 transcript:Vigun08g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLGLWHLLQILTCILLLTPSFSKDDRKIYIVYMGEHPKGMEQTESLHTSMIQSVISRKLAPDTLVHSYKSFNGFVARLTKEESERMKGMDDVVSVIPNRVHSIQTSRSWNFIGFPENVQRSKVESNVIVGVLDTGIWPNSSSFTDGGFGPPPQKWKGTCQNITCNNKIIGAKYFGLGSEFGQDDTIDTIGHGSHTASTAAGNSVKNANMFGLGSGTARGGVPSARIAVYKVCWRSGCRGADILAGFDEAIMDGVDIISISLGPSVARFIDYFEDEFAIGAFHAMKRGILTSKAAGNGGPDRYTMTNPAPWIISVAATTIDRKFLTKLHLGNGRIFQGVSLNMFSPTQKSYPLIYAGDAAARRFGSSLSRFCFENTLDGALVKGKIVLCDGYTPPQYVGFASGAAGLIFTSTLTLVGLDVYTLPTIHINESDGNSVTSYLKSTSNPTATIFRSYEEKDSSAPYVAPFSSRGPNIVTPNILKPDIAAPGVDILAAWSPVSSISGVKGDKRASNFIIISGTSMACPHVTGAAAYVKSFHPNWSPAAIKSALMTTATPMNPARNPDAEFAYGAGQINPLKAANPGLVYDADENDYISFLCGQGYNSTSLQKVTGDNSTCTSANKGSVLDLNLPSFALSTPRSSHNNVTFGRTVTNVGSGTSKYKATVSAHPSSLNVEVVPNVLTFSSLGQKLSFTLRIEGSINADFVSFSLIWDDGTFKARSPVVVYVP >Vigun04g180300.1.v1.2 pep primary_assembly:ASM411807v1:4:40425259:40427520:-1 gene:Vigun04g180300.v1.2 transcript:Vigun04g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLANTLNTTVLALFSLTLLLAFSLFLFRPSKAGRNNLREPPMASRAWPILGHLMLLGGSPTPHKTLAAMADKYGPLFTIKLGSKRALVLSNWKMAKECYTTNDVVVSSRSKLVAIEHVAYNQASFGFAPYGPYWREIRKIVTVFLSDRKTELLSRVRVSEIRSSVKELFHVWSEKKGSDECVVVEMKQWFTELVFNIVFQTMAGKRLFGKSAVVSEKEAEKCVKALREFMHMLGVCTVADAVPVLRWMNLGVKAMKETAKELDVILDEWLVEHSKKREKGVESDDQDFMDMMLSVLEGATIDGFDAPTINKATTLALILGATDTSTVTLTWVICFLLKNPLILEKVKEEINTHIGEERFINESDMNKLVYLQAVVKETLRLYPPGPLSAPREFTQDCMLGGYHIKKGTRLITNLWKIQTDPTIWLDPLKFKPERFLTTHRDVDVKGQNFELLPFGSGRRICPGISFGLNMIHLTLANFLHSFEIFNKPNEGIDMSEALGMTNEKATPLEILVKPRLSSEYYESM >Vigun04g180300.2.v1.2 pep primary_assembly:ASM411807v1:4:40425259:40437020:-1 gene:Vigun04g180300.v1.2 transcript:Vigun04g180300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAWPILGHLMLLGGSPTPHKTLAAMADKYGPLFTIKLGSKRALVLSNWKMAKECYTTNDVVVSSRSKLVAIEHVAYNQASFGFAPYGPYWREIRKIVTVFLSDRKTELLSRVRVSEIRSSVKELFHVWSEKKGSDECVVVEMKQWFTELVFNIVFQTMAGKRLFGKSAVVSEKEAEKCVKALREFMHMLGVCTVADAVPVLRWMNLGVKAMKETAKELDVILDEWLVEHSKKREKGVESDDQDFMDMMLSVLEGATIDGFDAPTINKATTLALILGATDTSTVTLTWVICFLLKNPLILEKVKEEINTHIGEERFINESDMNKLVYLQAVVKETLRLYPPGPLSAPREFTQDCMLGGYHIKKGTRLITNLWKIQTDPTIWLDPLKFKPERFLTTHRDVDVKGQNFELLPFGSGRRICPGISFGLNMIHLTLANFLHSFEIFNKPNEGIDMSEALGMTNEKATPLEILVKPRLSSEYYESM >Vigun02g116700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26981623:26982315:-1 gene:Vigun02g116700.v1.2 transcript:Vigun02g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDGEKSNENHVEIRVGAIGVERKRKQEIEAKNIDYSERANWVRAAVLGANDGLVSVASLMMGVGAVKENVTAMVLAGFAGLIAGACSMAIGEFVSVYTQYDIEMGEIERERENKVVVVGDDDDDDGVSEEGKREKLPNPFHAAVASALAFSVGAVVPLLSAAFIRNHKIRLGVVVAVASITLVVFGWLGAVLGKTPITKSCLRVLIGGWMAMTLTFGLTKLFAFAEI >Vigun01g083100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23537430:23539624:1 gene:Vigun01g083100.v1.2 transcript:Vigun01g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVACCSPEINVPYLGTPRTRFGSEEAHVILQRCSNFKQLKQVHGRIIRFGLMYDQLLMRKLIQLSSSYGKLNYATLVFDQLNAPDTFTWNVMIRAFTISGSPKMALLLFKAMLCQGFAPDKFTYPFVISACVASNALDLGRVTHALATKMGFWSDLYVQTNLMNLYFKCNDVDNGWKVFDKMRVRNVFAWTSVIAGFIACGRLDTARKLFEKMPSKNVVSWTAMIDGYVKHAQPVKAFNLFERMMVDNVKPNEYTVVSLVRACTEMGSLKLGRRIHDFVLKNDFEIGPFLGTALIDMYSKCGSLDEARKVFDMMQVRTLATWNTMITSLGVHGFRNEAISLFEEMVQANEVPDAITFVGVLSACVYLNDLELAQKYFNLMTDHYGITPILEHYACMVEIYTRAVELDEIYTSGSTLEANHDVAELLHKSKLTNIDDIKKLVQKQYEDLDASELVIDHSSTSSQPQL >Vigun08g104200.1.v1.2 pep primary_assembly:ASM411807v1:8:25955691:25957158:-1 gene:Vigun08g104200.v1.2 transcript:Vigun08g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALVFSVFLALNMAMPTLAATHTVGDTSGWAIGGDYSTWASGLKFRVGDSLVFNYGPGHTVDEVKESDYKSCSTGNSLSTDSSGATTITLKTAGTHYFICAAPSHCQGGMKLAVKVKAKKVSDSAAAPSPAKDSPPSDSDNTKDTPTTSTTTTPTTPTSTTPSTSTSSSTTAAISSAIPGSPIGGAVFIGCWISYLVLRLL >Vigun03g191200.1.v1.2 pep primary_assembly:ASM411807v1:3:26385175:26387668:-1 gene:Vigun03g191200.v1.2 transcript:Vigun03g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSDQDTTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSHEEAELFRNYLRQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g115100.2.v1.2 pep primary_assembly:ASM411807v1:3:10523385:10530813:1 gene:Vigun03g115100.v1.2 transcript:Vigun03g115100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKLIRCVILDLDGTLLNTDGIVGNVLKVLLGKYGKEWDGREAHKIRGTTPFEAAAAVVQDYELSCSTTEFLSEISPLFSDQWCNIKALPGANRLIKHLKSHGVPMALASNSPRESVEAKISYHDGWKNSFSVIIGGDEVRTGKPSPEIFLEAARRLNIEPSSCLVIEDSVPGVTAGKTAEMEVVAVPSLPKQTHLYTAADEVINSLLDLQLEKWGLPPFEDWVEGTLPLDPWYIGGPVVKGFGRGSKVLGIPTANLSTKGYSDLLSEHPAGVYFGWAGLPSRGTMVTS >Vigun03g115100.1.v1.2 pep primary_assembly:ASM411807v1:3:10523385:10530813:1 gene:Vigun03g115100.v1.2 transcript:Vigun03g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKLIRCVILDLDGTLLNTDGIVGNVLKVLLGKYGKEWDGREAHKIRGTTPFEAAAAVVQDYELSCSTTEFLSEISPLFSDQWCNIKALPGANRLIKHLKSHGVPMALASNSPRESVEAKISYHDGWKNSFSVIIGGDEVRTGKPSPEIFLEAARRLNIEPSSCLVIEDSVPGVTAGKTAEMEVVAVPSLPKQTHLYTAADEVINSLLDLQLEKWGLPPFEDWVEGTLPLDPWYIGGPVVKGFGRGSKVLGIPTANLSTKGYSDLLSEHPAGVYFGWAGLPSRGIFKMVMSIGWNLYFDNKEKTIEPWLLHDFNEDFYGEELRLVIVGYIRPEANFPSLESLVAKIHEDRRVAERALDLPLYSSFKNDSYLRSS >Vigun02g060400.1.v1.2 pep primary_assembly:ASM411807v1:2:20583230:20590110:1 gene:Vigun02g060400.v1.2 transcript:Vigun02g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTARTRAGSNRNDEMDPIKTRSTNLFARNPKSAPSRSLSSVLLLILALSFLFLLSYCAFSHSEISFRYRIIVDGGSTGTRVHVFKYRSGSPLQFGKESLASMRVNPGLSAFAKDPDGAGVSVSELVEFAKGRIPRVSWGETEIRLMATAGLRMLDAEVQERILQSCRKVLRNSGFKFMDHWASVITGSDEGVYAWVVANYALGTLGGDPLDTTGIVELGGASAQVTFVSREPVLPSFSRTVKFGNITYKLYSHSFLHFGLNVAHDSWKEGLVSGKFGLASQSLQERLRIDPCTPTGYSYSAESLKLPPSSESEKVRSLFTVQTMGNFSECRSAALMLLQKGKESCSHQHCHMGSTFIPNLQGNFLATENFYHTSKFFGLRPKAYLSKLMTAGQEFCGEDWLRLKEKYVSHNEEDLLRYCFSSAYIVAMLHDSLGIALDDERVKVASEVGSIPLDWALGAFILQTTADADLQNHNWIATIFSDESPTLLSIIGFLLVLLTAWSISRWRKPQLKTIYDLEKGRYIITRIGR >Vigun01g008300.1.v1.2 pep primary_assembly:ASM411807v1:1:990861:993054:1 gene:Vigun01g008300.v1.2 transcript:Vigun01g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLIAKLCITLLFMSSILSVASSRREPASSSNVDWWCNLTPHPVPCKYYITTTQTKNHDFTLIKHRTIFRGMLVEHALNQALIMQKEALHSDQTSMPTKNHRTVHGDCLKLYGKTIFHLNRTLGCFHGNQNCSSVDAQTWLSTALTNIQTCQDGTIELGVEDFKAPNNNNNVTEMIRNSLAINMDFMKHDRHTETAHEEAFPSWFSKPERKLLQSTTIKAHAVVAKDGSGDFRTVQDALDSAAKRKVKTRFVIHVKKGVYKENIEVAVHNDNIMLVGDGIRNTVITSSRSVQQGYTTYSSATAGIDGLHFIARDITFQNTAGAQKGQAVALRSASDLSVFYRCAIMGFQDTLMAHAQRQFYRQCYIYGTVDFIFGNAAVVLQNCYIYARKPLEGQANMITAQGRGDPFQNSGISIHNSQIRAAPDLKPVVDKYNTFLGRPWQQYSRVVVMKTFIDSLVSPLGWSPWDSTNFAQDTLYYGEYQNYGPGASTTNRVKWPGFHVITSPAEASQFTVTRLLAGPTWLGSTTVPFTSGL >Vigun04g042100.1.v1.2 pep primary_assembly:ASM411807v1:4:3667647:3670865:-1 gene:Vigun04g042100.v1.2 transcript:Vigun04g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRPAWAVKAIIVIVFTSTLFRCVCGENHTVGGASGWDLSSNIQAWSSTTTFNIGDDLVFSYTPVHDVVEVNQLGYDTCTIANALATYDNGETVIHLGGAGTRYFVCGRMGHCQQGLKLQVQVLAQSNNGTNDDENLDPGTASSPAPRSSPPPPSPPPPPSPPPPHRVEHSPADEPSPCDSSGTDERFGVVPLITLVIVLAFAHAPFFFAFPRLCFHLMRLNF >Vigun06g089900.1.v1.2 pep primary_assembly:ASM411807v1:6:22210922:22219813:-1 gene:Vigun06g089900.v1.2 transcript:Vigun06g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQQQGFSRPSFSTFEDENTRNPNSFPPSNDFVESSASSSSSSSLAAKAIRASSARRDSSLSSLYGLSSTSSPTAPSEPIPTPPPSSKDSRPYEYTSMKNMNESKNGFWGVLARKAKSIIEDDNVPQQSEMAGVGRSQLPGAASRGKFQNPNHPEENNLRRDSPAFMKGLDAITSSLTHIGGTIGKSLEEGLTIVENRTSDIIHETRRHIRKKPGSFEGQNQERNHSNTVHQQSQLRNQMSPKQTDQELQLKASRDVSMAMAAKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRENRERGGNQDDDDDLIRLQLESLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYFDESTEEVTEVNPLNLPQVPNISLDSFTTPTTPSSQSPDPNLGISSELTRGIPSTISAKDVKIVKDSWNSEATRSISSITGHDAK >Vigun01g226400.1.v1.2 pep primary_assembly:ASM411807v1:1:39912955:39914891:-1 gene:Vigun01g226400.v1.2 transcript:Vigun01g226400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAATTSYFFGTNLYSPTTLNTGRFHAFFNFGTKKAPPPPPPKKKEVKVKPSSGGRQLWFPNAEAPEWLDGSMIGDRGFDPFGFSKPAEYLQFDLDSLDQNLAKNVAGEVIGTRVEVAEVKPTPFQPYTEVFGIQRFRECEVIHGRWAMLGALGALAVEALTGVAWQDAGKVELVEGSSYLGLPLPFSLTTLIWIEVIVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLANDPEEKARLQLAEIKHSRLAMLVFLIFAIQAAVTGKGPISFIAAFNK >Vigun03g006900.1.v1.2 pep primary_assembly:ASM411807v1:3:468958:471438:1 gene:Vigun03g006900.v1.2 transcript:Vigun03g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDTVLAKSFSRYEQKKLGYGAFLSCLFIVFSLCTVFKPYLGPVHALNLKLFIGVDTKMLITRSSSQIAQQVEGKETKKEELLCTSEERTEFCQAKGDIRIQGKSSTVYIASSEATTLEKNMSRSLKPYARRDDVDAMILVREWTLKAENVVNQKVPECTQNHSIPAVLFSTGGYTGNHFHEFTDIVIPLFLTARKFNGEVQFIIADKRPWWISKHKPFLKKLSHYEIMDMDEDEGVHCFPSVNVGLKRYHKELSIDPQKYSYSMKDFRAFLRSSYALKRFQAIKIRNGQHRKPRLMILSRKRSRSFINTDEIEKMAKSFGFDVIVKEAGKSMWGFAHVVNSCDVLLGVHGAGLTNILFLPENAVFIQVVPYGGYALDWLATNDFGKPSKDMNIKYLEYKISLEESTLVEQYPLDHMFLKDPPLIGKIGWQEFKSVYLDKQNVKLDVHRFKPTLQRALELLHK >Vigun01g232100.1.v1.2 pep primary_assembly:ASM411807v1:1:40424577:40427154:-1 gene:Vigun01g232100.v1.2 transcript:Vigun01g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLESAARLEELSRIVSSAKPNIRSKGTLPRSPGRVATPRSATPIGVKVEFAKKMEPLEECRTPLAKVVADCAKRWFQDTLKEAKAGETGMQVLVGQMYNSGYGVPRDPQKGHVWISKASRNRNSVWKVCGKRPGYRASDSDSCDQENRDKTCP >Vigun11g099400.1.v1.2 pep primary_assembly:ASM411807v1:11:29209031:29210879:-1 gene:Vigun11g099400.v1.2 transcript:Vigun11g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRKLWVISILIIQQFAFLADALHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKKLLILLAIRLGLPMPPPYLGVPKSQRHQVVTGLNYASGSCGILNSTRSGDCLSLDKQIKYFTLSVDNDLPRSIHSKTKLRHYLTNSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPIVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHANECFSGTQLCLPYNIQKLIHAH >Vigun09g237300.1.v1.2 pep primary_assembly:ASM411807v1:9:40785096:40786706:-1 gene:Vigun09g237300.v1.2 transcript:Vigun09g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVPHETLSMGIALSPFLRHQTLASSLTPPETRSSNPNFLFLDSVNPNWFTSILLSSNSFFGLFCFCRLFLFFCAPISLTPRQTLSIALWFA >Vigun01g039200.1.v1.2 pep primary_assembly:ASM411807v1:1:5355948:5359375:1 gene:Vigun01g039200.v1.2 transcript:Vigun01g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSYGSAPCCQVVNSKWKLVENSLNSRQSIVSSVGKLGVCYTNTTSTCGSLRFCDMGRVQLRGSKRFNFKVCSGSYDGYVIEEGARDISGVGELATKVLIPGLPDGSNGESGAEISSCFWRWKPKLNVHYEKAGCENVDSPGVLFLPGFGVGSFHYEKQLKDLGRDYRVWALDFLGQGMSLPFEDPAPLSKEEVASNEGVSSWGFGDETEPWAAKLVYSVDLWQDQVRCFVEEVIGEPVYIVGNSLGGLVALYFAACNPHLVKGVTLLNATPFWGFLPNPIKNPRLAKIFPWAGTFPLPSSIKKFTELLWEKISDPKSIAEVLGQVYADHSTNVDNVFSRIVETTRHPAAAASFASIMFAPQGELSFSETLSRCRGNNVPICLMYGKEDPWVGPLWGFQVQRQVPNAPYYQISPAGHCPHDEVPEIINFLLRGWIRNLESQGSVSLPLLEDLDSVKHRVIDRELEFPREGSRRSVMVRFFTSNVSLRDRIRSLIKFQSKFKNLLAAKSQ >Vigun03g058400.2.v1.2 pep primary_assembly:ASM411807v1:3:4757474:4761892:-1 gene:Vigun03g058400.v1.2 transcript:Vigun03g058400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKCYQHTVMLEHFNQEMCLGGRTASGHFSASFGLSSRGIKDLTSIKSLAYDGWFIKRYAIELEKYHGELLDHVKEAVPSSWDPEALARFIERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLKDTADTKFKDSADNHCQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTIDSEPDVISLFLLPLTSLLRSIRGSGFVSHAINLYLRYKPPIEDLHQFCEFQLPRQWAPVLSEIRLGSRSKNQENTCLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFSIKSGGILAFISREGQRGLPKPGDKTIGSNTYSSVRPAPVHTPKLQRFVDTTEMMRGPEDTPGYWVVSGARLSVEHGKIYLLVKYSLLSFVM >Vigun03g058400.4.v1.2 pep primary_assembly:ASM411807v1:3:4757474:4761893:-1 gene:Vigun03g058400.v1.2 transcript:Vigun03g058400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLIMSKKQCHRRGTLRPWQERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLKDTADTKFKDSADNHCQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTIDSEPDVISLFLLPLTSLLRSIRGSGFVSHAINLYLRYKPPIEDLHQFCEFQLPRQWAPVLSEIRLGSRSKNQENTCLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFSIKSGGILAFISREGQRGLPKPGDKTIGSNTYSSVRPAPVHTPKLQRFVDTTEMMRGPEDTPGYWVVSGARLSVEHGKIYLLVKYSLLSFVM >Vigun03g058400.1.v1.2 pep primary_assembly:ASM411807v1:3:4757474:4761892:-1 gene:Vigun03g058400.v1.2 transcript:Vigun03g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVSSLEAAQKAIDSIGLGFDITQDIGFDNFKKGSRLIFVDEKQCRLLEIPGGGISVPNVPNSIKCVRGESIRVFSEVLPLQQMLEHFNQEMCLGGRTASGHFSASFGLSSRGIKDLTSIKSLAYDGWFIKRYAIELEKYHGELLDHVKEAVPSSWDPEALARFIERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLKDTADTKFKDSADNHCQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTIDSEPDVISLFLLPLTSLLRSIRGSGFVSHAINLYLRYKPPIEDLHQFCEFQLPRQWAPVLSEIRLGSRSKNQENTCLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFSIKSGGILAFISREGQRGLPKPGDKTIGSNTYSSVRPAPVHTPKLQRFVDTTEMMRGPEDTPGYWVVSGARLSVEHGKIYLLVKYSLLSFVM >Vigun03g058400.3.v1.2 pep primary_assembly:ASM411807v1:3:4757474:4761892:-1 gene:Vigun03g058400.v1.2 transcript:Vigun03g058400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLIMSKKQCHRRGTLRPWQERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLKDTADTKFKDSADNHCQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTIDSEPDVISLFLLPLTSLLRSIRGSGFVSHAINLYLRYKPPIEDLHQFCEFQLPRQWAPVLSEIRLGSRSKNQENTCLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFSIKSGGILAFISREGQRGLPKPGDKTIGSNTYSSVRPAPVHTPKLQRFVDTTEMMRGPEDTPGYWVVSGARLSVEHGKIYLLVKYSLLSFVM >Vigun09g139350.1.v1.2 pep primary_assembly:ASM411807v1:9:30109329:30109945:-1 gene:Vigun09g139350.v1.2 transcript:Vigun09g139350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGLKPVTSICRVTIKRTKSILFFISKPDVFKSPNSETLVIFGEAKIEDLSSQLQTQAAQQFRMPDIGSVTAKQDKDVAAAATQPEEDEEIDETGIDLVMTQAGVSRTKAVKALKTHNGILLYAFFFFKYT >Vigun06g074500.1.v1.2 pep primary_assembly:ASM411807v1:6:20546777:20550255:1 gene:Vigun06g074500.v1.2 transcript:Vigun06g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKKTPVHSLHQSNQGKRNVGVQDRGAGNGTHKRSVENHSVLTYFNSVKNFFSFFLSGKRKFASESPQSEDGKTTHKVGGVSSSADVSSDSSRSSSKYVFSQSSTSSGTSSSHLGVGNFTFEEIYKATAKFSPDNKIGEGAFGTVYKGRLYDGSLVAVKRAKKDLQNKNLAEFKNEINTLSKIEHRNLVRWRGYLEHGDEKILVVEYVSNGTLREHLDGVRGNGLEIGERLDIAIDVAHGITYLHMYTDHPIIHRDIKASNILITDKLRAKVADFGFARLGSEDPAATHISTQIKGTAGYIDPDYMRTHHLSEKSDVYSFGVLLVELITGRHAVEPKRPLSERVTIKWAMQLLKQGEIVIAMDPRLKRNPVSNKAVQKVLKLAFQCLAPVRRSRPSMQSCAEVLWEIRKDYTDRSSSHTHNGSHHSANFPQRDGRKNRHKTYGIEDGKGYKFVSA >Vigun06g074500.2.v1.2 pep primary_assembly:ASM411807v1:6:20546011:20550255:1 gene:Vigun06g074500.v1.2 transcript:Vigun06g074500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKKTPVHSLHQSNQGKRNVGVQDRGAGNGTHKRSVENHSVLTYFNSVKNFFSFFLSGKRKFASESPQSEDGKTTHKVGGVSSSADVSSDSSRSSSKYVFSQSSTSSGTSSSHLGVGNFTFEEIYKATAKFSPDNKIGEGAFGTVYKGRLYDGSLVAVKRAKKDLQNKNLAEFKNEINTLSKIEHRNLVRWRGYLEHGDEKILVVEYVSNGTLREHLDGVRGNGLEIGERLDIAIDVAHGITYLHMYTDHPIIHRDIKASNILITDKLRAKVADFGFARLGSEDPAATHISTQIKGTAGYIDPDYMRTHHLSEKSDVYSFGVLLVELITGRHAVEPKRPLSERVTIKWAMQLLKQGEIVIAMDPRLKRNPVSNKAVQKVLKLAFQCLAPVRRSRPSMQSCAEVLWEIRKDYTDRSSSHTHNGSHHSANFPQRDGRKNRHKTYGIEDGKGYKFVSA >Vigun08g129200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29991397:29994538:1 gene:Vigun08g129200.v1.2 transcript:Vigun08g129200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQSWLHQPKVWRFVCFASSIVGLICYAFSSSFNHLIGNWSWWKIFLYILFSLLISLSTLFAKTWQYSNSRCLEAHTAFSTLLITSVYSFFLDKDVKQNPDVYSLVSSVAFAIMSLGLSRLSQLGFEVDLLYFFCGLLTVQLMKLKLWLVIVGGTFSYSLILLRSNLDPQPRSGYHGLQHQDHVVVEIGSHSQPQGTGDSVTQVDSPQTIMATSQPHQVMDLVWPSRETTRSASQVVSPQEAGGAPPESIDGTKECFMGCIETLKKENRSVISAISMHVDKYLKANVVGENQISVPELHGDNNMVVDTLSSGMMSKLRESVEKMVSDGFEEECLDVYSNWRREFLKESLWRLELQDQELNMEDINRKEKIERLIKAMNIAARILFPNERRLFSRVFSSSIHSRELFHFRKLCTELTTSLLNSALALETWSHFMRNALQGLIQEFESCTIRNIVVQLIRQRLNIYEALEDASPIPGGGIHPETLEVMYRIYSVHRDREITKVSQDLKEGKIPSSVYITKVRTLLVSSLEAKSSIRGNKLKVMHYTKSPIPRRKSELRKGSEDEMISPVYMDRMTELLENLLEVSSKNYKNPILGYVFIMNNRRFIEVEAKLNGLGPIFGDDWLHKNTRKLQENLELYLRSSWNKIVDLLKIDINNQLEPSVAIELMKDDLYWFNEHFDNTCNIQSAWSVCDEELREQIIKSIESMLLPAYGSFLGRFEELVGKHAYEYIKYGMFEVRDQLNNLFLVRE >Vigun08g129200.1.v1.2 pep primary_assembly:ASM411807v1:8:29991416:29994595:1 gene:Vigun08g129200.v1.2 transcript:Vigun08g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQSWLHQPKTWQYSNSRCLEAHTAFSTLLITSVYSFFLDKDVKQNPDVYSLVSSVAFAIMSLGLSRLSQLGFEVDLLYFFCGLLTVQLMKLKLWLVIVGGTFSYSLILLRSNLDPQPRSGYHGLQHQDHVVVEIGSHSQPQGTGDSVTQVDSPQTIMATSQPHQVMDLVWPSRETTRSASQVVSPQEAGGAPPESIDGTKECFMGCIETLKKENRSVISAISMHVDKYLKANVVGENQISVPELHGDNNMVVDTLSSGMMSKLRESVEKMVSDGFEEECLDVYSNWRREFLKESLWRLELQDQELNMEDINRKEKIERLIKAMNIAARILFPNERRLFSRVFSSSIHSRELFHFRKLCTELTTSLLNSALALETWSHFMRNALQGLIQEFESCTIRNIVVQLIRQRLNIYEALEDASPIPGGGIHPETLEVMYRIYSVHRDREITKVSQDLKEGKIPSSVYITKVRTLLVSSLEAKSSIRGNKLKVMHYTKSPIPRRKSELRKGSEDEMISPVYMDRMTELLENLLEVSSKNYKNPILGYVFIMNNRRFIEVEAKLNGLGPIFGDDWLHKNTRKLQENLELYLRSSWNKIVDLLKIDINNQLEPSVAIELMKDDLYWFNEHFDNTCNIQSAWSVCDEELREQIIKSIESMLLPAYGSFLGRFEELVGKHAYEYIKYGMFEVRDQLNNLFLVRE >Vigun03g151150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15905152:15905931:-1 gene:Vigun03g151150.v1.2 transcript:Vigun03g151150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLAIQGAASAGLKSMEHLIHLLSTTACNSSSFSSNNNNNFDCSEITDFTVFMFKQVINILNRTEHARFHSAPPQPKPQAPPQPHPTSQPQPQTKGFTLDFIKPTIVNSKPCNKDKNVTLSTTTTTSSSFLSSVTNGVNVSDGKIGHFLPSSIPKPPHSSSHRKKCHEVALSAKPSCHCSKKRSSPVLFKKNNN >Vigun04g055100.1.v1.2 pep primary_assembly:ASM411807v1:4:5370198:5373378:-1 gene:Vigun04g055100.v1.2 transcript:Vigun04g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSISRRAHCLVLIYPIQGHINPMLQFSKLLEHEGVRVTLVTTLSYCNNLQTVPPSIAIETISDGFENDTREGGELKGYLDRFWQVGPRSFAQLLQKLGEAEEDVVDCVVYDSCIPWALDVSKGFGIVASSYLTQSMSVNSIYYHVLLGNLKVPLTQDQIFLPSLPELHLRDMPSFFFDEDHTVLDFGVAQFSNIHKADWLLCNTFYELDPEVVDWAVKIWPNLKTIGPNIPSFFLDKRCENDEDYGVTQYKSEEHCMEWLDDKPKGSVVYVSFGSMVKLGEEQMEKIAWCLRECSSYFLWVVRASEETKLPKDFERKTEKGLVVKWCSQLKVLAHEAVGCFVTHCGWNSTLETLCLGVPTVAVPCWSDQNTNAKYVADVWKIGIRASTDENNVVDGESLKHCVEEIMERNNEMKNNAIRWKTLAVRAVSEGGSSYENIIQFTNSLLH >Vigun09g277400.1.v1.2 pep primary_assembly:ASM411807v1:9:43733562:43735693:1 gene:Vigun09g277400.v1.2 transcript:Vigun09g277400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGERGRKRGGRVCCVCNKERASVKRPKTLQHMCRECFFNAFESEIHQVIIQNSLFIAGDRVAIGASGGKDSTVLAYVLSKLNRLHNYGLNLFLLSVDEGITGYRDDSLQTVHRNHLQYQLPLQVVSYKDLYGWTMDQIVDVIGFKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNLLRGDVARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKRLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGENFRISTTTKMPEQGTCQRCGYISSQKWCKACVLLDGLNRGLPKLGIGRSRGGVGSENGKESKVDKSIQGKQCGTLDF >Vigun05g024400.1.v1.2 pep primary_assembly:ASM411807v1:5:2016102:2018861:1 gene:Vigun05g024400.v1.2 transcript:Vigun05g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGESAGTWARTCDTCRSAASTVFCRAHNAYLCASCDGRVHHASSSSWHERVWVCEACERAPAAFLCKADAASLCSSCDADIHSANPLASRHHRVPILPIAAGHHSHVEIDVADDDDGADVDDEDETASWLLLNPVKTGTVPNSNNNGFLYSGEVDEYLDLVDNCNSCGDNNHFAATTTTATTADHYGQHHHFDAVSQKSYAGDSVVPVQQHQHFQLGLDFENSKAAFSYNGSISQSISVSSMDIGVVPESPMRDVSISLTRPPKGRLTYFLDPPFRCLHTFLQWTGKPEF >Vigun09g042600.1.v1.2 pep primary_assembly:ASM411807v1:9:3960960:3967341:1 gene:Vigun09g042600.v1.2 transcript:Vigun09g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTIMAIPIVPYTITKLCRAASKKSKSIHCQCSECSRSGKYHKSIFKRISNVSTCSNMTLLLLWVIMIILVYYIKTMSREIEIFDPFSILGLEPGAAESEIKKKYRRLSIQYHPDKNPDPEAHKYFVEYIAKAYQALTDPIARENYEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLIWIVGVCILLPLVIAVVYLSRSSKYTGNYVMHQTLSTYYYLMKPSLAPSKVMDVFIKAAEYMEIPVRRTDDEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPPLVKTELLVQAQLTREFASLSPSLQSDFRRILETAPRLLEELMKMAVIPRNAQGHGWLRPAIGVVELSQCIIQAVPLSARKSTGGSPEGIAPFLQLPHVSETIIKKVARKKVRTFQELHDKDSQERADLLIQTGGLSSAEVQDIETVLDMMPSLTLEVTCETEGEEGIQEGDIVTIHAWINVKRGNGLIGALPHAPYYPFQKEENYWFLLADSTSNNVWFSQKVSFMDEAAALTAASKAIEESMEGSGANVKETSRAVAEAVEKVKGGSRLVLGKFQAPSEGNYSLTCYCLCDSWLGCDRRTNLKLKILKRTRAGTRGAVLADEGPITEDGVEEDEDNEDGEYDDDYESEYSEDEEDDQNTKNKHQAANGTVTKHGQGAESSGSDEE >Vigun02g030450.1.v1.2 pep primary_assembly:ASM411807v1:2:12684503:12685788:-1 gene:Vigun02g030450.v1.2 transcript:Vigun02g030450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLIFDNHRARIENTLFKWCLHIRTPLQICNPLLLKKLKHWLPAQQSFRVMQRSIPFSCADICMCLGLRVVGLDVDFDKNVCGVVGSLMKDKLITVENVIEMIKSVVESDSDDVDNIWAVERLSLCGPELELMFLRILAWPDVHLKSRRIEKLFQESQVKEWRLREEDKENKMICDALQLGEEPKSKTLHVDVKLASTKDLLKRLRNHGRCLRKMKEDMTVLREEIFSRCHGGDEEGGQDVEGEEGDEVEVEVELREHDDEVRHEAPTVVERMNEDDVGEAFDLNSASDVGHCGEVLALQVIVPYAAK >Vigun07g235800.1.v1.2 pep primary_assembly:ASM411807v1:7:35752806:35756849:-1 gene:Vigun07g235800.v1.2 transcript:Vigun07g235800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVILFLLLQTLSAATSDLLSPLISPLYEDVCREVECGKGTCKRSENDTMLFECDCDPGWGQSLSSPHEGLKFLPCIFPNCTMNYSCSNAPAPDPQKERKANESIFNACHWVDCGGGSCNRTSFFSYSCICDAGYYNILNITALPCFKECAFGLSCSNLGISLMNSSKAPPPSPPPPTLNGNDSLILRGSSSLWLLVLVLAISHHICLFSEKWVFLVI >Vigun07g295701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40611703:40611981:1 gene:Vigun07g295701.v1.2 transcript:Vigun07g295701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLFSITQSCWWTKHKSIACRFHSNFFMYPLIIHMLCICMPVSFGIQLIDFHRNTILLFRYSQTLCHFVVKPYGYSLHITCLEGKFSD >Vigun09g031400.1.v1.2 pep primary_assembly:ASM411807v1:9:2679694:2683172:1 gene:Vigun09g031400.v1.2 transcript:Vigun09g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHHLQQLHNHQPMTMKMDSMRKRERNKGMEKERLQVMDLSGMSLDSLPKPALDLATICKLDLSNNNLQDIPESLTARLLNMEVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNFIESLPKTIENCRALEELNANFNKLSKLPDTIGFELINLKKLSVNSNKLVFLPSSTSHLTSLKVLDARLNCLRSLPEDLENLINLETLNVSQNFQYLETLPYSIGLLLSLVELDVSYNKIKTLPESIGCLKNLKKLSVEGNPLVCPSMEVVEQGLHVVMEFMQHKMNSSGERPAKKRWWMMKMVKCGTFNKQVRGGKRPEHEGYNMLKHQKINGLASPGFMGMLSPLRFFSSPRHSFG >Vigun10g079350.4.v1.2 pep primary_assembly:ASM411807v1:10:21738035:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRASSDLSNLDFDIPYSITFVACVAVDGDNLYNCFSNLASCHCCHCCSSCIQICSGLPTFNFLYFSISYPCLTQKAIFLNLCSA >Vigun10g079350.3.v1.2 pep primary_assembly:ASM411807v1:10:21737557:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRASSDLSNLDFDIPYSITFVACVAVDGDNLYNCFSNLASCHCCHCCSSCIQICSGLPTFNFLYFSISYPCLTQKAIFLNLCSA >Vigun10g079350.1.v1.2 pep primary_assembly:ASM411807v1:10:21737557:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFETSTKNTEDCVMTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRASSDLSNLDFDIPYSITFVACVAVDGDNLYNCFSNLASCHCCHCCSSCIQICSGLPTFNFLYFSISYPCLTQKAIFLNLCSA >Vigun10g079350.5.v1.2 pep primary_assembly:ASM411807v1:10:21738335:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFETSTKNTEDCVMTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRVRFHIQWEKKSKYFPCV >Vigun10g079350.2.v1.2 pep primary_assembly:ASM411807v1:10:21738035:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFETSTKNTEDCVMTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRASSDLSNLDFDIPYSITFVACVAVDGDNLYNCFSNLASCHCCHCCSSCIQICSGLPTFNFLYFSISYPCLTQKAIFLNLCSA >Vigun10g079350.6.v1.2 pep primary_assembly:ASM411807v1:10:21738335:21740494:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRVRFHIQWEKKSKYFPCV >Vigun10g079350.7.v1.2 pep primary_assembly:ASM411807v1:10:21738361:21740449:-1 gene:Vigun10g079350.v1.2 transcript:Vigun10g079350.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTTFEQLVSAHKEAIIEVDQNNENKTYREEESENVYRTKNHNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLAQFAFVALQTASTVWLALAIEIPKINSVTLIQLYSLISFASAGFIYIRALLTSYLGLKASKAFFTIFNSVIFNAPMLFFDSTPVGRILMRVRFHIQWEKKSKYFPCV >Vigun11g092100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27401645:27401923:1 gene:Vigun11g092100.v1.2 transcript:Vigun11g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKVISAANHASSKAVDAQKGYLAVYVGEKMKRFMIPVSYLNKPSFQDLLSKAEEEFGYDHPMGGLTIPCSEEIFHRITSCLDGQ >Vigun03g324500.1.v1.2 pep primary_assembly:ASM411807v1:3:52031627:52036055:-1 gene:Vigun03g324500.v1.2 transcript:Vigun03g324500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNISSLAEVKSLFFSDSFKSNCLTAKFPGGFAFKRKDGGRRVNCSLQASPPPPPAWPGRAYPEQVGKTWEGPKPISIVGSTGSIGTQTLDIVAENPDKFKVVALAAGSNVTLLADQIKTFKPQLVAVRNESLIAELEEALHDVEQKPEIIPGEQGIVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDLPVEKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEVTWPRLDLCKLGSLTFKTPDNVKYPSMNLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKIQYLDIFKVVELTCEKHQNELLSSPSLEEIIHYDLWARKYAASLQDSSTFTPILA >Vigun01g074800.1.v1.2 pep primary_assembly:ASM411807v1:1:20999452:21006958:1 gene:Vigun01g074800.v1.2 transcript:Vigun01g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQIPPNESAENVALMGAALNSSPQFSDVSPSAISSMSSVTVNTGGLAACTLGSSFDDFPKNASLETSNVSDNTFYRGAMEVASNVYSLKIGSTDRNTPFASKTGRNVHIPASRVVGFESGRTNTSIDGLADVPATNLHSSVFTNFPANDTESASSLVRKRLLSPLSSMLSPSHFKGDHLDIGRRNNAADSLVRNENVSNSMAQDNKKANIGSKSTTMSSWSFRSCFEQKTEPHSTESLFQSDDPLKDNTGLLSHCSSPTAGIDHFSESSQIRPQSGIISVSPKSVSSPLSMSPLGPKFSERIKAIGGCRKVVEEIKNCNITARRSIEKSLDNSNSCLMLNHKDDDSGVACKSFDDVEFLCKDFCPSSLDDIGDMNWSLSRDSGPTSHSMRFTRSLSGLSVRRSLVGSFEESLLSGRFLSGNCSKKIDGFLAVLSITGGSFSPKSQKLPFSVTSVDGDCYLLYYASIDLAGNSSSSKFGGQFLKPGVRNDDSQLVKSRLRIPVKGRIQLVLSNPEKTPLHTFFCNYDLSDMPIGTKTFLRQKVTLESSGSISPQLKQRSPGFGSWIDKGIAPMQKNDDIACEGEVMHGDAVNVVNKTKSTNQGNGKGCSLLSLMNKEESSNTKMVGVPSAAKPDFEYIGDKCERNERKDCWDKTCDGSRKSPNSCSKVKDNCSSGGPLRYALHLRFICPFPKKTNRSAQKSKHASLKEKSGLNLEGERRFYLCNDLRVVFPQRHSDADEGKLNVEYHFPEDPRYFDIN >Vigun11g035600.3.v1.2 pep primary_assembly:ASM411807v1:11:4805866:4810141:-1 gene:Vigun11g035600.v1.2 transcript:Vigun11g035600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASALELQRNIGSSSAMIGRNMNEMQMEVQRRLHEQLEVQKNLQLRIEAQGKYMQSILEKAYHTLAGENMGTGMKGMGGGQGSSSDMGVMKEFCSLNYPSFQDLNLYGGGGGENLEGFMASNTESVFEGKKRICSYSGSGKSPLIWSDDLRLQDLGTTSSCISPEEEDPFKGDHNKVQISAPSMDSDPMSEIYDTKPLMVHGESVGNNSHKKFEGSMKLEKPSPQRSPLQPERNNSPMINATSMAQGF >Vigun11g035600.1.v1.2 pep primary_assembly:ASM411807v1:11:4805866:4810141:-1 gene:Vigun11g035600.v1.2 transcript:Vigun11g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNEHSIKEGMRASALELQRNIGSSSAMIGRNMNEMQMEVQRRLHEQLEVQKNLQLRIEAQGKYMQSILEKAYHTLAGENMGTGMKGMGGGQGSSSDMGVMKEFCSLNYPSFQDLNLYGGGGGENLEGFMASNTESVFEGKKRICSYSGSGKSPLIWSDDLRLQDLGTTSSCISPEEEDPFKGDHNKVQISAPSMDSDPMSEIYDTKPLMVHGESVGNNSHKKFEGSMKLEKPSPQRSPLQPERNNSPMINATSMAQGF >Vigun11g035600.2.v1.2 pep primary_assembly:ASM411807v1:11:4805866:4810176:-1 gene:Vigun11g035600.v1.2 transcript:Vigun11g035600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNEHSIKEGMRASALELQRNIGSSSAMIGRNMNEMQMEVQRRLHEQLEKNLQLRIEAQGKYMQSILEKAYHTLAGENMGTGMKGMGGGQGSSSDMGVMKEFCSLNYPSFQDLNLYGGGGGENLEGFMASNTESVFEGKKRICSYSGSGKSPLIWSDDLRLQDLGTTSSCISPEEEDPFKGDHNKVQISAPSMDSDPMSEIYDTKPLMVHGESVGNNSHKKFEGSMKLEKPSPQRSPLQPERNNSPMINATSMAQGF >Vigun07g076000.3.v1.2 pep primary_assembly:ASM411807v1:7:10066088:10068469:1 gene:Vigun07g076000.v1.2 transcript:Vigun07g076000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPTLTLRTHHPLSSPKCFSSSLSLTPNAKPISISTVFLKSTFSLPSRFLPRVAVSDYGQQEDTFSAGQSFSPDLKVFVGNLPFSVDSAQLAELFESVGVVEVVEVIYDKTTGRSRGFGFVTMSTAEEVEAAVQQFNGYELDGRALKVNAGPPPARNESSSRFRSSNRALESLFREQGNVLEARVVYDRDSGRSRGFGFVTYSSPDEVSNAIESLDGVDLNGRAIRVSLADSKPKRF >Vigun07g076000.2.v1.2 pep primary_assembly:ASM411807v1:7:10066088:10068469:1 gene:Vigun07g076000.v1.2 transcript:Vigun07g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPTLTLRTHHPLSSPKCFSSSLSLTPNAKPISISTVFLKSTFSLPSRFLPRVAVSDYGQQEDTFSAGQSFSPDLKVFVGNLPFSVDSAQLAELFESVGVVEVVEVIYDKTTGRSRGFGFVTMSTAEEVEAAVQQFNGYELDGRALKVNAGPPPARNESSSRFRSSNRGGGGDFSDSKHKVHVGNLAWGVDHAALESLFREQGNVLEARVVYDRDSGRSRGFGFVTYSSPDEVSNAIESLDGVDLNGRAIRVSLADSKPKRF >Vigun07g076000.1.v1.2 pep primary_assembly:ASM411807v1:7:10066088:10068469:1 gene:Vigun07g076000.v1.2 transcript:Vigun07g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPTLTLRTHHPLSSPKCFSSSLSLTPNAKPISISTVFLKSTFSLPSRFLPRVAVSDYGQQEDTFSAGQSFSPDLKVFVGNLPFSVDSAQLAELFESVGVVEVVEVIYDKTTGRSRGFGFVTMSTAEEVEAAVQQFNGYELDGRALKVNAGPPPARNESSSRFRSSNRGGGGDFSDSKHKVHVGNLAWGVDHAALESLFREQGNVLEARVVYDRDSGRSRGFGFVTYSSPDEVSNAIESLDGVDLNGRAIRVSLADSKPKRF >Vigun10g096900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28431394:28432395:-1 gene:Vigun10g096900.v1.2 transcript:Vigun10g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAKSELHQKSVLQIKQDDKFFCRLLSKESSMANPSFRMALAVPFVWESQPGTPKHTFSEDTLPPLTPPPSYHFNFSAYKKKEKKHSKSNLLLTLLPKLNLKKMILSSSYSSWSSSSNSSKVVPMAKFGKKKLLGYGSSNDNEGGGASSPSSKLCFTIPRASSS >Vigun06g108900.9.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.8.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.4.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.3.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.1.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.2.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun06g108900.7.v1.2 pep primary_assembly:ASM411807v1:6:23798271:23815954:1 gene:Vigun06g108900.v1.2 transcript:Vigun06g108900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNGNSSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSVASDVSDYLFTGSRDGRLKRWVLDVDRATCSATFESHVDWVNDTVLVGDSTLVSCSSDTTIKLWNASSFGTCTRTLRQHSDYVTCLAAAGKNSNVVASGGLGGEVFIWDIESALAPTSKSSDATGDESSNGINGSGNLLPLTSLRTNSNDNMSVHTTQSQGYSPISAKGHKDSVYALAMNESGTILVSGGTEKVVRVWDTRTGSKTLKLRGHTDNIRALLLDSSGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLVCTGEHPILQLALHDDSIWVASTDSSVRRWHAEGCNPEKIFQSGNSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTILGTPAIVQHEVLNNKRHVLTKDASGSVKLWEITKGAVIEDYGKVSFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAPWLRKRKQRSGSSAPANGELLSGKDTASRSSTHSRVEVDGSSDNDAMVYPPFEFSVTYPPSIITEGTHGGPWRKKTTDLEGTKDDKDFPWWCLDCVLNNRLPPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNAESSFSPGLTASQSQHQVVGDGSFRSGFQPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSDDLILNYRLVQGR >Vigun11g067165.1.v1.2 pep primary_assembly:ASM411807v1:11:18535920:18537811:1 gene:Vigun11g067165.v1.2 transcript:Vigun11g067165.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITITTTTTTITPIGIKTTTTTTTITTSTSTTTTTTTTTTSTTTPTTTTTITTTNITVTTTTTNTGTTTTTTTATTTSSTTTTTTNYTITTTTTTTITPTTMTSTTFTSSSTTTTTTITTTSTTTITLTSTASTTFTSTTTTTTATTNYTITTTITTMTTTTTTTTVTTTTATTTATRTATSTPSTTTTTTTTITTTTTTSTTITTTTITGTTTTTNTGTTTTTTTATTTTSTTTTITTTTSTTTCTTTTTDERAFAYNRINRHANTPHITSHRSSHTSCTSIFIIICGPDYVCIHDPIPAAHGSLNINPRLGRYLLGLPQTSEKPELTEHHLHSAAFPSLFARFNRLNTECERFSGNYRDGGASSKSALTRL >Vigun05g137400.1.v1.2 pep primary_assembly:ASM411807v1:5:16649147:16653841:1 gene:Vigun05g137400.v1.2 transcript:Vigun05g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRLLSAARRSDRRASALSSFRSLSASPAVVSSSASPPAPPPPTAMIYDRAAEAIKSKLRQLENPDPRFLKHGSPRPTLSDHTRILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTERRNARELEEEIENMGGHLNAYTSREQTTYYAKVTDSDVPKALDILADILQNSRFEENRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIRTITKAHLQSYIQTHYTAPRMVIAASGAVKHEDIVEQVKKLFTKLSTDATTTAQLVAKEPAIFTGSEVRMLDDDIPLAQFAVAFEGASWKDPDSIALMVMQAMLGSWNKTAGGGKHMGSELAQRVGINEVAESIMAFNTNYKDTGLFGVYAVAKPDSLDDLSYAIMYETTKLAYRVSEDDVTRACNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDKDVAIAAMGPIQRLPDYNWFRRRTYWNRY >Vigun10g141033.1.v1.2 pep primary_assembly:ASM411807v1:10:35796608:35798773:1 gene:Vigun10g141033.v1.2 transcript:Vigun10g141033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSALNFLRPDAHHHASPVHHASLRNTTDHYAQVTGDDDDVSHGRRRRRRTVTHERRTTIITKRAAINANNDLSHFASNLRRWKTTTTTW >Vigun09g013300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:984488:985864:1 gene:Vigun09g013300.v1.2 transcript:Vigun09g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHSLCCSLQILTQHINTITELIISPCHCFAVLLVACVATLYAACRRKAPIYLIDFNCYCPPSSYRLPLAMFEENQFYDGMDPEAVAFQCKIMAKSGFSELTSISPSLAQIPKIKALSFALEEAETIMCSVIENLFEKNKINPKTIDILITNSSVFCPTPSLSAMVVNKFRMRSNIMSFNLSGMGCSAGIISMSLAKDLLRVHRNSLALIVSTETLSLNWYTGKVPSMLLSNCLFRMGGAAILMSSRVQDRHKAKYKLQHIVRTITAQDDESHGCVYQQVDPEDKEGISISKSIVNVSGDALKKNIASLGPLVLPLREQFLYLFSIICRKMWSTGRISIYTPNFNHAFEHFCIHSGGRAIIQAVETNLRLRKQDVEPSTMTLYRFGNISSSSIWYELSYIEAKGRMKCGDRVWQIAFGSGFKCNSAVWKCVCDMKPDTATTWRDTIHSYPLDILRTN >Vigun03g085600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7102054:7103360:1 gene:Vigun03g085600.v1.2 transcript:Vigun03g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYPNPIMPSETRIGWVGIGVMGFAMASRLLSAGYSVTFYARNPSHPNALALQSRGATQAQSPAQLAQRSDVLFTMVGHPSDVRTIVLDSILPALRPNSVTVDTTSSHPELARTISSKARELGAWAIDAPVSGGDVGARDGTLAIFAAGEEKVVEWLHPMFSVLGKETYVGPAGCGQSCKIANQITIGANLVGLSEGLVFAKHAGLDLKQFVEGIREGAAGSKALEIFGERMIEGDFRPGGFAEYQVKDLGMGVDVVEGAEDDDVVVLPGASLWKQLFTSMVANGQGKLGTQGVISVIQNINGMNQ >Vigun02g197100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33217263:33219335:1 gene:Vigun02g197100.v1.2 transcript:Vigun02g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITMEIPNLVRVYEDGTIERLQGSPFVAPTLQDPTTNISSKDVVISYNPPISARLHLPNKIQSQEEENLNTNHKIPILVYFHGGGFFFESAFSQLHHNYFSTFLSVAHILVVSVEYRLAPETPLPAAYHDCWEGLKWVATKADPWLLKHGDFNRVFVGGDSAGANIAHNIAMRAGAEALPSGVEVLGALISHPYFLGSKRFESEAEESAGFRCWEWLQPCDGGGLDDPLINPLSSEAGCLSGLGCRKVLVCTAEMDELRERGVWYYEGVRKSGWEGEVELFEVEGEDHVFHVNDPRTQNALKMFKRFADFILH >VigunL033400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:249031:251674:-1 gene:VigunL033400.v1.2 transcript:VigunL033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MEQYQAYLELRRSRYQDILYPLFFRESIYGLAYAHESFFIENVDYNNKFSLLIVKRLSTRMYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFFERIFFYEKIKHLVEVSTKNCSYTFFFFKDTFIHYVRYQGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVRIYLKKLSSEKLLQEFFTEEDLFSLIFPRTSFTLRRFYRGRIWYLDILLRNDFVNYL >Vigun09g058800.1.v1.2 pep primary_assembly:ASM411807v1:9:5991685:5996650:1 gene:Vigun09g058800.v1.2 transcript:Vigun09g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSVKLREAHGAIGGRASFCSVLWDQQASHLVTASSSDISICIHDPISPSISPKILRHHRDGVTALALSPNSTCLASGSIDRSVKLYKFPGGEFERNITRFTLPIRSLAFNKSGSMVAAAGDDEGIKLINTFDGTITRVLKGHKGSVTGLAFDPNGEYLASMDSTGTVILWELQSGKIIHNLKGIAPDTGLDISAVNVLCWSPDGETLAVPGLKNDVVMYDRDTAEKLFSLRGDHIQPICFLCWSPNGKYIATSGLDRQVLIWDVNRKQDIDRQRFDERVCCMAWKPIGNSLAVVDVMGKYGIWDNVIPSSMKSPTEDIPVKHKSNGVLLFDEEDHENSASGSLSDIGENSNEEFEPTSRKRLRKHSLSEENLGEDGYEEIALYPKVDSHNKRNRSSKEKLDSGNMGFRGTMITSKAKRQEAFQPGSTPFQPGKRRFLCYNMLGCITSIEHDGYSHIEIDFHDTGSTPRVPSMTDHFGFTMAALSESGSVFANPCKGEKNMSTLMYRPFSSWANNSEWSMRFEGEEVKVVALGAAWVAAVTSLNYLRIFSESGLQKHVLSLDGPVLTASGFKEKLAVVTHVFDYLSSNDQMLEFIVFNIPQGTQLLQGRLPISPGSSLSWFGFSEEGQLCSYDSKGVLRSYTSQFGGRWVPLFSALKEKSNENYWVTGLNASKLFCVVCKKPEEFPQVMPKPVLTPLSLSFPLASSDLGSEVHENEFMMNNLHLFLIQRRMEEMASVGLDTTSLDDDAFNLEAAQDKCILRLIASCCNSNKLERATELVKLLTLEKSMRGAIKLVTALKLPNLAERFSSILEERLLEEAKKATETNIKENCVAPIKADALHNASKASTRTESLNAVTMSSPKLSSPSFIKKDKTQEGSKAGIIRSPMVNETMKGKQTGEETSDKVGKVGDMTPHPSKKNGLDKSETGLGQPNRPSNPFLKSTIK >Vigun07g052650.1.v1.2 pep primary_assembly:ASM411807v1:7:5542956:5546460:-1 gene:Vigun07g052650.v1.2 transcript:Vigun07g052650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEILSWCPVKDVTRCKTVSKDWNRLITNFVFVQLHLQRYSINTHILLTFDAEITHSYVVICPVQNIFDNPSSTLNRLYQEYNPMEHDYRVLGVCNGLVCIQQVRGCFGKKRELIEYNFHIYNPAIQVIFEDNPPHEKECTYQIVSLETHTNDYKKQHIRVCSLGGAWTTVTLCLLACPIFFRGDPRTIFKVKELRIFSYDLKKKTCRYLFMPDGASEVEHTYCRFEGLKGCLGFSYYHEGNFVVWLKKKFNDERKELYLPSCIISISEDDDVLLLANIDTSEVIRYNITNHTIEDRESYQDDNSLLSSYDYVESLELGSFN >Vigun03g115600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10596901:10598536:1 gene:Vigun03g115600.v1.2 transcript:Vigun03g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETNFLLEMKFVSSLCLHNFFNLLREETVSFLSVFSSDPLFHCVVTFCTLVFLYLPNLFLKVVLSPVLILTSILLLSILRLGAIQKSRHDTRENQRKHDEPPIVYQENRGSKCRGEKQSSSPVQPNETETTEQVHRRVHSETEVDSNVGFEPSSCFREWNVKAPLDVIYEEYGEGEEAGDDANENVGIVRYPSLSRFYPESDSDSESDSDFPAIGDWDSPEDVEFRWGQEEEEDEEEEEEDREGLIEIALDGFKRKRGMEFHFEEENLIEIDISPSRYRELSGDDEVFSGEISCN >Vigun05g131900.1.v1.2 pep primary_assembly:ASM411807v1:5:15387511:15392250:1 gene:Vigun05g131900.v1.2 transcript:Vigun05g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVALRFLHVHHAFLLLLNLLPGFAHCRVPSTLEGPFDPVTVPFDLSLRGNAVDLPSSDPRVRRRVQGFEPEQISLSLSTTHDSVWVSWITGEFQIGFDIKPLDPKTVSSVVQYGTSRFDLVHEARGQSLIYNQLYPFDGLQNYTSGIIHHVRLKGLEPSTLYYYQCGDPSLQAMSDIYYFRTMPISGPHSYPGKVAIVGDLGVTYNTTTTIGHLTNNEPDLLLLIGDVSYANLYLTNGTGADCYKCTFPQSPIHESYQPRWDYWGRIMQNLVSKVPLMVVEGNHETEIQADNKTFVAYSSRFAFPSEESRSLSTLYYSFNAGGIHFIMLGAYINYDRKGEQYNWLERDLASVDRSITPWLIAIWHPPWYSTYEAHYREAECMRVEMEDLLYLYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVHIAVGDGGNREKMAIKFADEPGHCPDPLSTPYPRHGRFCAANFTFGQESKFCWDHQPDYSAFREASFGYGILEVKNETWALWSWYRNQDSYKEVGDQIYIVRQPDICPVPQKVWGDFTASI >Vigun11g184000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38623063:38623341:-1 gene:Vigun11g184000.v1.2 transcript:Vigun11g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGMRNASFTTNQASSKGADVAKDYLAVYVGEKMKRFVIPVSYLNQSSFQDLLSQVVEEFGYDHPMGGLTFHCREDLFLDITSRLMSC >Vigun07g271000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38687229:38688485:1 gene:Vigun07g271000.v1.2 transcript:Vigun07g271000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDSGCKKRVRDDSDDSVLESPEAKRLRDDLLEFFDDADDAPSTQDLDSVMKSLQEEISGVTSDSGESQAQIGYLLEASDDELGLPPAGNSSVPEKKNEENELIRVASDSSGIGELWEFEDHSSRYDSFDLGMGFGYECDTATEYAAFEKLFDHSDVYYDSTDFSDTWRHETLPTQ >Vigun03g259300.4.v1.2 pep primary_assembly:ASM411807v1:3:42528739:42530808:1 gene:Vigun03g259300.v1.2 transcript:Vigun03g259300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAGDHIKFGFPMAFTATVLSWAILEYGDRMDAVKQLHYALDSLKWITDYLVNAHPFPEVLYIQGGDPEVDHNCWERPEDMDEERPLTQVNSSFPGTEVAAETAAALASASLVFKEIDFTYSRILLGHAQQLFIFADTYRVSYSVSIPQVRNYYNSSGFGDELLWAGVWLYHATKDPSYLNYVTEQNEKAFGGLGSVSWFSWDDKHAATQVLLSRISFFGARNIPDAENLDLQMYRESVEILICTLLPDSPTATTNRTESGLIWLVPWNALQHAVASAFLTILYSDYMMTSQTETLYCSEKLYKPVDLRKFAISQADYVLGENPMKMSYLVGYGTHNPSYIHHRGSSIPVNATTGCRDGFKWFHSPYPNPNVAYGALVGGPFLNETYNDFRNNSMQTEPTTYNSALFVALLSGLIASSTVPFSF >Vigun07g247000.1.v1.2 pep primary_assembly:ASM411807v1:7:36720703:36724072:1 gene:Vigun07g247000.v1.2 transcript:Vigun07g247000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSVLSFLFLLFTLTSLANANPEYPYAVCVAEGGEYAPDSTYQTNLHTVLSRIISDTQIDYGFYNSSYGQNSDRVYATALCRGDVTAHTCLTCLNNSRSLLLKQCPRQKRAVGGYGECMLHYSYRSVLGYYDSDFRVYLRSETNVTDWDKYSYVLKKLLPLLKVKAATTDSNLNRKYASGNATAPDSQTVYAAVQCAPDLTVAECNDCLGGAISELPKCCNSRSGGVVIKFRCNFRYENFSFYEPTADTQTLQLSPQGSPSPPPSPSPFTTTNSPESTYHGKSNKSQAVIAKYVVPIVVFFGLLIFMCIYLGVRKPTKHFQSDDGEVRQVESSLINFDTIRVCTNNFSDANKIGQGGFGRVYKGKLFNEQEVAIKRLCSISGQGDTEFKNEVLLMSRLQHRNLVKLLGFCFEREERLLVYEFLPNKSLDNFLFDPIKRAHLDWKTRYKIIEGIARGLLYLHEDSQQRIIHRDLKLSNILLDADLNPKISDFGFARLFNVDQTQVKASKIAGTYGYMAPEYARHGKLSMKLDVFSFGVILLEIVSGQKNGGFRNGENVEHLLSFAWTNWRNGRAANIIDPTLNNGLGDEIVRCIHIGLLCVQEKVADRPTMAEVVLMLDSHSFALPVPLQPAYFMKHSCLSVIQFSRCSSMETGSSEQKSYCADGSANEASISSLYPR >Vigun07g247000.2.v1.2 pep primary_assembly:ASM411807v1:7:36720703:36724072:1 gene:Vigun07g247000.v1.2 transcript:Vigun07g247000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSVLSFLFLLFTLTSLANANPEYPYAVCVAEGGEYAPDSTYQTNLHTVLSRIISDTQIDYGFYNSSYGQNSDRVYATALCRGDVTAHTCLTCLNNSRSLLLKQCPRQKRAVGGYGECMLHYSYRSVLGYYDSDFRVYLRSETNVTDWDKYSYVLKKLLPLLKVKAATTDSNLNRKYASGNATAPDSQTVYAAVQCAPDLTVAECNDCLGGAISELPKCCNSRSGGVVIKFRCNFRYENFSFYEPTADTQTLQLSPQGSPSPPPSPSPFTTTNSPESTYHGKSNKSQAVIAKYVVPIVVFFGLLIFMCIYLGVRKPTKHFQSDDGEVRQVESSLINFDTIRVCTNNFSDANKIGQGGFGRVYKGKLFNEQEVAIKRLCSISGQGDTEFKNEVLLMSRLQHRNLVKLLGFCFEREERLLVYEFLPNKSLDNFLFADTWHLSMQGMENSQ >Vigun07g247000.3.v1.2 pep primary_assembly:ASM411807v1:7:36720703:36724072:1 gene:Vigun07g247000.v1.2 transcript:Vigun07g247000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSVLSFLFLLFTLTSLANANPEYPYAVCVAEGGEYAPDSTYQTNLHTVLSRIISDTQIDYGFYNSSYGQNSDRVYATALCRGDVTAHTCLTCLNNSRSLLLKQCPRQKRAVGGYGECMLHYSYRSVLGYYDSDFRVYLRSETNVTDWDKYSYVLKKLLPLLKVKAATTDSNLNRKYASGNATAPDSQTVYAAVQCAPDLTVAECNDCLGGAISELPKCCNSRSGGVVIKFRCNFRYENFSFYEPTADTQTLQLSPQGSPSPPPSPSPFTTTNSPESTYHGKSNKSQAVIAKYVVPIVVFFGLLIFMCIYLGVRKPTKHFQSDDGEVRQVESSLINFDTIRVCTNNFSDANKIGQGGFGRVYKGKLFNEQEVAIKRLCSISGQGDTEFKNEVLLMSRLQHRNLVKLLGFCFEREERLLVYEFLPNKSLDNFLFDPIKRAHLDWKTRYKIIEGIARGLLYLHEDSQQRIIHRDLKLTDTWHLSMQGMENSQ >Vigun05g213500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40440980:40442834:-1 gene:Vigun05g213500.v1.2 transcript:Vigun05g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVCADQHKFHQFLSPNKTLREIDIPPRKLLTRRAAAACGASELFSDDTVLQKFLPSNSLDDSDEDDPYSSDHFRMFEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGTVCPDYRRGGCNRGDACEFAHGVFECWLHPARYRTEACKDGKNCKRKVCFFAHTPRQLRILPVTSPSSNDMSCKKNISNLFNHASRSTTTTNNCCLFCHCVSSSSSSSSTASPTSTLFNMSHYSPPLSPSSSSSPPPSPLKPRKGVSVSPISRYGAANVNPHHGVVSYKEAFAEFVKSLEGLSLNEGSPVSGAKSLNLPWVDVSLNCEEQQQKQQQRQPLIASSFGCEDQPQFIFSPSSTQTPTNVAFCGNRFMGNDNKVVGTDSNVPDLAWVNELLM >Vigun07g111200.1.v1.2 pep primary_assembly:ASM411807v1:7:20652375:20654419:-1 gene:Vigun07g111200.v1.2 transcript:Vigun07g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYFVVDAFTESAFKGNPAAVCLLEEEREESWMQSLATEFNLSETCYLTRIIDSDRSDISSNGSSNDRFHLRWFTPTTEVELCGHATLASAHVLFSCGLVKSNIIEFFTLSGVLTAKKVPGNNESGAPDDGFFVELDFPADTVAEFNDADISQISAALNGAHVIDVKRTTIGDNLLVELASGKAVAELQPDIGAIEKCPGGGILVTGTASPESGFDYYCRTFFPKFGINEDPITGSAQCALAPYWAKKLGRCDLSAYAASSRSGIVHVHFDKQRKRIFMRGNAVTVMDGNVLI >Vigun06g133600.3.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006099:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.1.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006128:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.8.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.4.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006128:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.12.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.6.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.5.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006099:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.10.v1.2 pep primary_assembly:ASM411807v1:6:26000259:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.9.v1.2 pep primary_assembly:ASM411807v1:6:26000259:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.2.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006128:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.7.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006128:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDISETRNHDAHMIEGTDLNSHFSSPNLERTEVMANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun06g133600.11.v1.2 pep primary_assembly:ASM411807v1:6:26000714:26006098:-1 gene:Vigun06g133600.v1.2 transcript:Vigun06g133600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGAPEFYVDQNMYYPIANNYGYYCTGFETPGEWEDHHRIFGVDGPNVQYTGAQNESLPYVYYSYGYAQSPYNPYNPYIPGAMIGADGSFGGGQHYYTLPNYQNPVSAPGYIPLVPPDNFYDSSADSFFGANASVSKPDGRGLKHKFNSASGNFSRNSSKFLSNQTSSLARVSEGPRGNDGRKQDLTHASVSGSSFLNLASPAVHQVSAVAKLRPKLHPGSKVPSGGGNGSSDILGEQNRGPRVGRSKNQLSVKAYTTVTGDGNEQGNIVIYTDQYNKEDFSLDYENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLENAYEDAQKIAAEKSGVCPIFLFFSVNASGQFCGVAEMVGTVDFNKNMDFWQQDKWNGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIVYLKGLEMLKIFKNHTLKTSLLDDFIYYESRQKIMQDEKAKLLGKNFDSPIFVPVMEAPPKLNFTSTGNYEKNLKPKDDSDGLKQIPVSSLEQIPSNSSGTSIKPVDEKADKTVAKDISSILKIGSVTIAPKQVEAKQSISIDNKEPVDVLTVGSMKVKVNGFGSSSGFLKVGSIPLDGRALQSGKGDASVKTGSQR >Vigun09g105700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:19691693:19694131:1 gene:Vigun09g105700.v1.2 transcript:Vigun09g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRTPFSASSSYSTFPKRIVRSTIPLSHPALTKPSHAHPLAISCSHPKPHAATPTAVVVSPPAAEPFLSRFAPGEPRKGADILVEALERQGVTTVFAYPGGASMEIHQALTRSPAIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMMDSVPVVAITGQVPRRMIGTDAFQETPIVEVSRSITKHNYLILDVDDIPRVVSEAFFVATSGRPGPVLIDVPKDVQQQLAVPNWDLPVKLPGYAARLPKLPSEAQLEQIVRLILEAQKPVLYVGGGSLNSSAELKRFVELAGIPVASTLMGLGTFPMSHEHSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADLKLALQGINRILEDMGVKGKLDLGAWRAEINVQKEKFPLSYKKFDDGISPQHAIEVLDELTNGDAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGALVVDIDGDGSFIMNIQELATIRVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSREDEIFPNMLKFADACGIPGVRVTKKEELRWAIQKMLDTPGPYLLEVIVPHQEHVLPMIPSNGSFNDVITEGDGRTRY >Vigun09g158100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32475106:32477184:-1 gene:Vigun09g158100.v1.2 transcript:Vigun09g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAASVLNQLLRKENLKPQQPQTNAVIQTILTYLKAGRIRKATSILFAFPKPFPYSLYALFFRLCPFHRAIVEARKVESHLLTFSPNPPIFLLNRAIESYAKCHCLRDARELFDEMPRRDGGTWNALITAYSQLGFPNQAFSLFVCMTRSGFWPSEVTFASVLASCAGDSQLLLSKQVHGLVTKFGFCGNVILGSSLVDVYAKCGVLVDARRMFHEIPQPNAVTWNVIVRRYLDAGDAREAVFMFSRMFSTAVQPMNFTFSNALVACSTLSALQEGMQIHGVVVKLGLQEDNVISSSLVNMYVKCEKLEDGSRVFDLLGSRDLVSWTSIVSAYAKSGKTLEARKLFDKMPERNVISWNAMLAGYVRCFEWSEALDFVYLMLDEIEYVDHVTLGLMLNVFAGVSDHETGKQIHGYIYRHGFHLDIRVSNALLDMYGKCGNLDSARVWFNQMSKWRDRVSWNALLASYGHHQLSEQALTMFSEMQWETKPTKYTFGTLLLACANTFALYHGKQIHGFIIRHGFEIDTIMRTALVYMYCKCRCLESAIEVLKGAVYRDVIIWNTIILGFCHNHRGKEALELFVMMEAEGVKPDHVTIKGILLACVEEGLVDFAIECFKSLSSKYNVLPRLEHYNCMIELYSQHGSMHELENFMRTMTIEPTLPMLERALDACQKNEWSRLGEWITEKINAFKH >Vigun11g031800.1.v1.2 pep primary_assembly:ASM411807v1:11:4179918:4181060:1 gene:Vigun11g031800.v1.2 transcript:Vigun11g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKISTEIGVHATAAKWFNLYTTKLHHVQNLTDRIHGVKLHHGHDWHRNESIKHWTYTIEGKVITCYESVESVDEANKRITYKLFNGDIDSQFKMFKLIFEVVEGDGGAIIKWSVEYERVNEEVDPPYGYIECLHKCTRDIDHNLLKE >Vigun03g360200.1.v1.2 pep primary_assembly:ASM411807v1:3:56323417:56325137:1 gene:Vigun03g360200.v1.2 transcript:Vigun03g360200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYGMVARGQVVLAEFSATQSNASVVAKQILGKINVGDDNNKDSNVSFSHDRYVFHVKTTDGLTLLCMADDAFGRMIPFAFLEDIHKKIVKTYGRAILSSPAYAMNEEFSRILSQQMDYYSNDPNADRLNRLKGEMTQVRTVMVDNIEKVLERGGRLELLVEKTSAMNNNSIRFRKHSRRYKNNLWWSNLRLTVALIIVFVIVSYIILAFLCRGPLLTNCLR >Vigun03g282600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46281881:46284421:-1 gene:Vigun03g282600.v1.2 transcript:Vigun03g282600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLHTIQHCFCVALLFLLQLSSLQFASLGYNVPDKYFINCGSDNSVTESGKLYVGESTPEAGFSSSNTERNQSSVPSPLYQTARIFRRESWYKFNIDTNGTYLVRLHFFPFTSPSNLSSARFNVSVPGFWLLQNTNGRNDSNNNSALVKEFFMEISTPSIKITFRPLESSFAFVNAIELFVLPLHLISDNNVRRFTPTRGTSSYSGGLYSRVLETKHRLNVGGQTMKDILLRNWIPDDIYLIYPENAKNRSPYQGQIVPRVGDDSDGPNAASYTAPSDVYGTAKETKNISTSAIDFGLFNITWALPVDNNTDHLLRLHFCDYVSSDVGLTLFELSIYDAHVKQLNNDPKVSNELPAPYYYDFVLNSDNSGHIKVSLTPNETADIKDAFLNGLEIMKLIESSNSVPPDLDSEYNRLPVLLGSVLGGVVLVSVVVVLGFLWRFKMNKEKSNENSHRLPILDNGGRSSHGRLTDTTNRGSPLPNLNLELKIPLVDLQLATKNFHASQLIGKGGFGNVYKGVLENGMTVAVKRSQPGSGQGLPEFQTEIMVLSKIRHKHLVSLIGYCDEKFEMILVYEYMEKGTLRDHLYNTKLPSLSWKVRLQICIDSARGLHYLHKGAAGGIIHRDVKSTNILLDENHVAKVADFGLSRSGPPDTQPHVTTGVKGTFGYLDPEYFRSQQLTEKSDVYSFGVVLLEVLCARAAIDPSLPTDQINLAEWGLLCKSKGTLQEIIDPSITEQIDQNSLRRFSETIEKCLQEDGCDRPTMGDVLWDLEYALQLQKGANAIQREPYEDSSSNVSASLQLPNVRRLPSLSTLSEADDTIVRGEESDSVVDSVFSQLKIDDAR >VigunL060800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000454.1:30367:50248:-1 gene:VigunL060800.v1.2 transcript:VigunL060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTNSSHVLHKNDGVPGQGSKILGRHNFETQLTQRNFKSNDAQNHIQDQDATPATELYSRARGQEEEILSLREQIAFACMKELQLLNEKCKLERQFSELRMAVDEKQSEAISSASNDLARRKGYLEENLKLAHDLKAVDDERYIFMSSMLGLLAEYSLWPRVMNASSISSCVKHLHDQLQWRIRSSHDRIGELSSVLETRADNGNHVVESPGSGNLTSHNHNDFMFQHNFSQQNLIGNEQTHQSTSNMAGYMHPALNADVNWSIKAFNYQQISKADREVFPHGSIDKVGVQDKNMERNFVNVNMYQPQPELDETASSVSEDGPGIENFQISGDAIPGEKLLGCGYPVRGTSLCMFQWVRHLEDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDKGRQGELVKLFANDQNKITCDSEMQHEIDTYLSKGEAIFSVLLLMDSSENWERATLYLRRSGYQIRINGTEATVVAEKFSKDLSIKVPSGLSVQFVLTCSDGSSHPLSTYSVRMRDTLVLTMRFFQSKALDDKRKGRA >Vigun05g231200.1.v1.2 pep primary_assembly:ASM411807v1:5:42474231:42487055:1 gene:Vigun05g231200.v1.2 transcript:Vigun05g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPETDHDPAVQNHAAAAPPSAETEGEQLEEPPSSGGGSPVPAAAPQSPSGGPRLAPTYTVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGIRLAGATADVHCYDVLTNKWSRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQWPRWHRVSVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNVQAARLPGRYGFVDDRTRQAVSEAAADGSVVLGNPVAPPMNGDIYTDISTENAMLQGPRRTAKGVEYLVEASAAEAEAISAALAAAKARQENGEAEVPDRDRGAEATPSGKQASSLIKPDSAGSNNVTPGGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIAELCDSAERIFSGEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINKLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPIPMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRGSLAWI >Vigun06g193900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31041099:31041338:-1 gene:Vigun06g193900.v1.2 transcript:Vigun06g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKPCFFCLMVLLLLLPSYKSRPLQTPPTFKRFPAQLSSYGAQAQARPGVSLLFSEFEESPRKPGRLAPEGPDPKHH >Vigun05g149400.1.v1.2 pep primary_assembly:ASM411807v1:5:21754807:21776162:1 gene:Vigun05g149400.v1.2 transcript:Vigun05g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSSSSATSGLTSEAEQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLCINLVTEKTVEEVIMRRAERKLLLSINVTGDNIIKNNDEETSEVGTGDLKSIIFGLHMLNPTEITDGNHRDMNLPEISVMADKVLALRDKQIRNTDDRKFEVNPRNILQGDDVKEGGTTFSHDLGLDEASYLSWVTKFEEVSKSSCNSMMDWRSKRNSEEEKSLKLESARKKAEEKKLSRWKALGYQSLSVKEPLTQTDNDITSATGSVHFLYGDCTTPSNVCSSEPAMIFSCVDTSGHWGHGGMFDALSKLSTSIGDAYERASEHGDLHLGDLHLIRLDDGCEEQDMNGNTPKMVALAVVQSYNLRRKIPRSEISLPHLESCLSKAAFSAAQNSASIHMPRIGYQDGSDRSEWYTIERLLRKYASIYNINIYVYYYRRSS >Vigun07g124900.1.v1.2 pep primary_assembly:ASM411807v1:7:23113623:23119191:1 gene:Vigun07g124900.v1.2 transcript:Vigun07g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os06g0183200)] MEKHVKLLNSKNSVWQLQSEIEELGEVLRPMKSSSTNMARFFSSNLDDTTNVSFSDIKNKVAPFLFAAFETVPYLAQISYIGVEGLFFSYYTHNHQLLAMYSNSSSISVASNKIFIQPVNPETGELHGEPTIMLSNPFINATWIGEALNVSTGFYSFGPNWANSESLFLTSARIMRRGLISLGFSATPITHSVTRIDQQHGTTSYLATKDGKVVVPGIQLTHFLISNDTVSFQSLNANGDPTVNRGTVSCKDEAAASSFNIRDIAYAIHCYTIDIMGIESVYVLGVPEEGYASIDRNYKEKGLMVFVATMVTIFIAFFSFLFVNCRAFRREMHLCASLIKQCEATQQAEKKNMNKSTAFASASHDVRASLAGITGLIEISAELVAPGSELETNLKQMNGCTQDLLGLLNSILDTSKIEAGKMQLEEEEFDLFHLLEDVVDFYHPVAAKKGVDLVLDPCNGSVVGYSRVKGDRGKLKQVLCNLLSNAVKFTDEGHIAVRAWTRKPKLLQNSTVTFNQFGFKQYLSCLFGNKTEARSDVESTRSIQEDPHSMDFIFEVDDTGKGIPKENYKSVFENYVQIKDTALGQGGTGLGLGIVQSLVRLMHGDIEIVEKDTGERGTCFKFNVLLTVCENEAVSDGSRREGIGCGSGDRIIQVQEQTMNASCSGSSRICSMSPKLRICSSSPKPEASLVVLLIVDEERQRTSQMFMERLGIKVKVVNTGKGLFYTLRKIKLKAKHSSAQNSPGSSDLSYWSTSHSSLDIATRVSLSSMDGTEHMPLVFKKTDVGASPGFILVVIDANAGPFSELYTIVSNFKKDLRNPCKVVWLDKPFMRRINFKALNQDDIVTSKPFHGTRLFQTIKLLPEFGGSWQSNSSRAKREMSNERATLKTYKDPGLSKYTSPLLDTSQVCSSTDESTFQTGEQILKGKRPSKAKITLVHQGETQEIGNSSGSHKPLRGKKFLVADDSAILRNIASATLISLGATVEQCENGEQAVRLVDEGLPREFPNPPYDYILMDCQMPIMDGLEATKWIRKIEKGYGVRIPIIALTAGTERITIESGMDYHMDKPINREDLLKAITYINGKM >Vigun02g174700.1.v1.2 pep primary_assembly:ASM411807v1:2:31697706:31699697:-1 gene:Vigun02g174700.v1.2 transcript:Vigun02g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVSNKTQPELLHHHPLLVDSVPPLQEKESDKNDPPNQNLDSPSPLLQKVEDHAAEKDSEDSVSKDAMLARVLTEKRLALIKAWEESEKTKAENRAYKKHSAVELWEDSKKTSIEAELKKIEENLERKKAEYVEKMKNKVAEIHRLAEEKRACVDAQKSEEFLEVEETAAKFRSRGVTPRKFFSCFSP >Vigun10g043000.5.v1.2 pep primary_assembly:ASM411807v1:10:6035434:6055479:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGSEVTNQHIKNILQETMDDLHEDPKTRESNQNEMTKVTEDFPSDTKPQVASGSELTSSQYLEERVEQRNEESPLSEKSLKSTLSSYPKIRETPREPVLTPPQKESKRKFEEGTTSNLAETITLSTDSESEHGAGQISLPSFSNVGVGDIQKASESTIALFETKNSPENLSQITEDSTFTSVIRRELEKLVSNKHLALENQPLLVDFLVKHPSVRLTDNTVSDRYKGFAYTCLAELLKFLQTHSVLDVLGSSHSEFVELLQDMRRFSFDRVWLDGVERRALFPGLLLSQDALQKLSHSKNTLIQHLEEVKDQLELSITEQEEQVLRVKATLSTPLGY >Vigun10g043000.4.v1.2 pep primary_assembly:ASM411807v1:10:6035434:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGSEVTNQHIKNILQETMDDLHEDPKTRESNQNEMTKVTEDFPSDTKPQVASGSELTSSQYLEERVEQRNEESPLSEKSLKSTLSSYPKIRETPREPVLTPPQKESKRKFEEGTTSNLAETITLSTDSESEHGAGQISLPSFSNVGVGDIQKASESTIALFETKNSPENLSQITEDSTFTSVIRRELEKLVSNKHLALENQPLLVDFLVKHPSVRLTDNTVSDRYKGFAYTCLAELLKFLQTHSVLDVLGSSHSEFVELLQDMRRFSFDRVWLDGVERRALFPGLLLSQDALQKLSHSKNTLIQHLEEVKDQLELSITEQEEQVLRVKATLSTPLGY >Vigun10g043000.3.v1.2 pep primary_assembly:ASM411807v1:10:6035415:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGSEVTNQHIKNILQETMDDLHEDPKTRESNQNEMTKVTEDFPSDTKPQVASGSELTSSQYLEERVEQRNEESPLSEKSLKSTLSSYPKIRETPREPVLTPPQKESKRKFEEGTTSNLAETITLSTDSESEHGAGQISLPSFSNVGVGDIQKASESTIALFETKNSPENLSQITEDSTFTSVIRRELEKLVSNKHLALENQPLLVDFLVKHPSVRLTDNTVSDRYKGFAYTCLAELLKFLQTHSVLDVLGSSHSEFVELLQDMRRFSFDRVWLDGVERRALFPGLLLSQDALQKLSHSKNTLIQHLEEVKDQLELSITEQEEQVLRVKATLSTPLGY >Vigun10g043000.7.v1.2 pep primary_assembly:ASM411807v1:10:6042162:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQPTCMSLNDKMANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGTIYDTIISFLIIIISLKIL >Vigun10g043000.6.v1.2 pep primary_assembly:ASM411807v1:10:6040968:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGYL >Vigun10g043000.2.v1.2 pep primary_assembly:ASM411807v1:10:6035434:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQPTCMSLNDKMANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGSEVTNQHIKNILQETMDDLHEDPKTRESNQNEMTKVTEDFPSDTKPQVASGSELTSSQYLEERVEQRNEESPLSEKSLKSTLSSYPKIRETPREPVLTPPQKESKRKFEEGTTSNLAETITLSTDSESEHGAGQISLPSFSNVGVGDIQKASESTIALFETKNSPENLSQITEDSTFTSVIRRELEKLVSNKHLALENQPLLVDFLVKHPSVRLTDNTVSDRYKGFAYTCLAELLKFLQTHSVLDVLGSSHSEFVELLQDMRRFSFDRVWLDGVERRALFPGLLLSQDALQKLSHSKNTLIQHLEEVKDQLELSITEQEEQVLRVKATLSTPLGY >Vigun10g043000.1.v1.2 pep primary_assembly:ASM411807v1:10:6035434:6056981:-1 gene:Vigun10g043000.v1.2 transcript:Vigun10g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQPTCMSLNDKMANIAPDLAKSILEKLINATLEQSRYIFCLTCITEDFEKERENLIAKEETVKERVRAANRRGDKIQRDVVLWQKQAKDLLEEDTKKKVTCFFGWCPNCKRQYSRGKELESKTKEIKRLIMESNFETVGIIRDVPGTEYHSSQNYISFKSRESKIEELCNALRDHNKYMIGLQGMGGTGKTTLAKEMGKKLKQSNIFDQVVDTTVSNTPNTKKIQDEIAGPLGLSLENCTESERPKRLWNRLTDGEKILVILDDVWGDISFEEIGIPYKDNHKGCRILVTTRDVNICHKMECEETIQLDILPEEDVWKLFQKHAGLNDSYPKSVLDTGRKISKECKGLPIAIVVIAGSLKGKRHLEQWDVALKSLQNFKSVAGDDENKRKIYSCLKYSYDNMQNKTAKKLFLLCSLFREDEEIYEELLVRLAIGGGLIEKNNDDYNYDAYRKEVIAAKYELIDSCLLLNCASRKVKMHDLVREVALWIANKEILAVNTSKKNEMTMVDKGKHIEYLLCEGRSMDVFSLKFDASKLDILIVYLNGNFHAEVPNSFLENMISLRVLYLSNMTYGASKATLSLPQSIQLLTNLKSLYLESFILGDISIFGNLHGLETLELVTCQLDKLPREIIKLVKLKLLMLSYCDVVAYDFFEIIVRCTSLEELYFVHRDFSETCHSVKLPNYQRFCISEYFNSSRSEWFLWSSIELSEADSVFSEETFKNLVQKAEIVSLKRLQGVWKNLIPEIVSPDDEGMTNLVEIGLKDISTMRCLIDNTDSLSQSVLSRLVNLELRTMENLKEICNGPLPFELLKNLEYFILYDCMHLEGSLFKSKISLCHLKQLYIEGCPMLTSLFEWSTTQSLLLLVELHISNCEQLKSIVRDENRRKDSIEEIVDDSIISRFPNLTTLEIWECPQLLFIFPMAFTRNIPKLERINVFRCDGLKYLFGPYQHKHEEEDLYEELKDVIFTNLELMILEDLPNFVDIFPKCGESKCLPVKRSTSKDETKAQIESNPMKCKILHWIDKCRIKRGTTKIPLGSKNQLQDSSLSPVNESNANDVIPQDMSLTLTNIGIDVSSNIFVTLQNFTELTIEGYVKAKVLFCASMLECFPYLHTLVISGCNELEQIIGEDTKNQRKPFFPRLKLLAINKCNKLKCIFPISTSKMLPNLEVLIIIQACMLEEVFKGNSDEKVEIPNLKIAVFAELPSLRQEIQFLTVKHCIVKNCPKLSLSSSLQSSHDFRTSIAGSLGGDIPFILDKVLGELDEMIRNENARTEDSASGIEVGTTLLEGSELTSSHNNDEDKKHHENGIESKELEDAVKQSRERVEEEQQILGKAPPFGISSMPISQENEEGQNMEPATNKDVNDGDFEGTSNTVVIHSESSNAPGSEVTNQHIKNILQETMDDLHEDPKTRESNQNEMTKVTEDFPSDTKPQVASGSELTSSQYLEERVEQRNEESPLSEKSLKSTLSSYPKIRETPREPVLTPPQKESKRKFEEGTTSNLAETITLSTDSESEHGAGQISLPSFSNVGVGDIQKASESTIALFETKNSPENLSQITEDSTFTSVIRRELEKLVSNKHLALENQPLLVDFLVKHPSVRLTDNTVSDRYKGFAYTCLAELLKFLQTHSVLDVLGSSHSEFVELLQDMRRFSFDRVWLDGVERRALFPGLLLSQDALQKLSHSKNTLIQHLEEVKDQLELSITEQEEQVLRVKATLSTPLGY >Vigun01g230500.1.v1.2 pep primary_assembly:ASM411807v1:1:40271463:40272668:1 gene:Vigun01g230500.v1.2 transcript:Vigun01g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSPCASCKLLRRRCTKECIFAPYFPSNDPQKFALVHKVFGASNVSKMLQELPIEQRADAVSSLVYEAHARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQQEPVMPNPEMENPDQKSYLLQNELPQFLNYASSSNVIYDAVSRDNIFGHDMVS >Vigun06g135400.1.v1.2 pep primary_assembly:ASM411807v1:6:26176056:26178492:-1 gene:Vigun06g135400.v1.2 transcript:Vigun06g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKKLKPLCNNNSLDKIKSLFGLKHFSLSPSLPLSLLIALFLKGYESERKMVTSDGGGGGKLKKVLGLGYWVQGFRCFPWLVVSFYLKDGLKVDPSTLQILQNSANLPMVGKPLYGLVSDSVYISGQHRVPYIAFGAFLQALSWIIIAICPSNMSIFTISIYLLLSNLGASIAEVANDAIVAEMAKQSPSSTKHPQPSSSGNLQSFVWIACSAGGVLGNLLAGIFIGRFHPQTMFLYFGLLLALQFFITISVPETSLGLPKTPSVGIRNQLSQLLVALRKPEIAYSISWFTASYAIIPALTGTMFFYQTQHLNINSSVLGISKVFGQATMLLWGIIYNKYFKSIPPRKLISSIQVTMAFFMVSDFLFVRGFYRQMGVPDSLYVVIFSGFLEVLFLFKILPFSVLIAQMCPPGCEGSIMAFLMSSVALAFIVSGYLGVALASYVKITGSDFSGFPLALLIQAACTLVPTFWSSCIPDKVESKAKRKDS >Vigun09g267700.1.v1.2 pep primary_assembly:ASM411807v1:9:43083813:43088856:1 gene:Vigun09g267700.v1.2 transcript:Vigun09g267700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACCVAARDKTIQSGSTSDILHRNVRCSPTWSFRWDHRGRVAGEDTSINWFSDGISRNDGSENKNESAYVSEDGSPLQNYQRKRFQQSPISEATAVRGRNSTSDQSFSGTVSTEVSVEQVNGLVESSTISCPSPTKPSLPSTSLSTSPLSSQCHIPPSNLTPVRWPCHSPGYRLSQQASDSRIPAVKSPSSFSLSEERPVFPSWNNDVGMRSHGGSSDGWSIPGFSELMGTPHRERWSFDSESFGFNRERLVRSGSWFSASSVDLQSCGICSKLLAEKSSWSTQKIIASNDLSVVSVLICGHVYHAECLERMTSDINKYDPACPVCTFGEKQTMKLSAKALKAEMDLKARNNKSKNRIVDSDIDDDSVVFDHFKGRGFRGKGPRNDSSSSRRSSFGKPFLRRHFSFGSKGPRSMLDNHPTRKKGFFWAKSSKE >Vigun03g278200.1.v1.2 pep primary_assembly:ASM411807v1:3:45571005:45573777:1 gene:Vigun03g278200.v1.2 transcript:Vigun03g278200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTSVNMKNQRQVTMVVLLALSLVNGFSCLKLGETCGSDKKCDGGLNCGTCAENGNTRSRCVRTQPIIPTSKVKGLAFNRYSWLTTHNSFAQSGVKSDSGSIIITTTTQEDSVAQQLANGVRGLMLDMYEFNDDIWLCHSFGGKCYEATSFKPAIKVLKDVKAFLEANPSEIVTIFIEDYVSSPQGISKVFNDAGLNNYLFPLSRMPKDGEEWPTVDDMVQKNQRLLLFTSKSSKESSEGIAYQWTYVVENQYGDGGMVNGSCPHRGESPAMNTKSRSLVLMNYFLSNANSSSTCAHNSAPLVNMLKTCHAAADNRWPNFIAIDFYMRSDGGGAPEAVDIANGHLTCGCDNIAYCKENAEYGKCDVPVLSPPPPAAAPPVAVEKKAEPPTEEELHVDTPLKLLKFLWNWLLSLYNNKD >Vigun03g319400.1.v1.2 pep primary_assembly:ASM411807v1:3:51383206:51387316:1 gene:Vigun03g319400.v1.2 transcript:Vigun03g319400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLDRESKGSLSLQKKMAETRRREKPAPDLTDFMNDMFFGVVDHVDNKTYDLTGSKGVGVEEEEEDDEGFDDSTRSNSARLTQEWLQEARLIVGSSPSRGGSPSRLSGSPRFAAPQPPSPLSSLDHRDSLSRTRSARRYRTGAGISDEILSRTARHTRNKSDTFSQPSSDGDGSPATAVHNWFSNILKPNNNNNNQHPPSSPPDSTLLTSLPSRQSHPRKSRFQTEPSAAPHPQGIQTLNSRRTFKASAPENPPSDSVPLSPPRNLVESAQRRTILSSTCSRERMAPRHVAVKGEQACLNGFLKEQRTLLHKISTGESHANVQIVLSGPSNGTASMVAAICHAWLLDYRQREKEGGVVVAPVMNVKRSSMWKLKQAAWLFHHAGLDATSLLFTDEVDMENLLMTGQLSVLVVGKDVLTTTGEVGSQCTVVTDNYCEDAYDLLENPVLKKLLLAGILLDTQNLKSSAAISMTRDAEAVQLLLAGSVPNYRYALFDQLIQDQKSASFVEALNHTYGKSPDESEENSEGKTKSKVRERKSSSVSDREPTISSSKTNSSDTRSSKACKVSPNPVKLVTPPLLSPSPAPTPQHAEKEAPRGKNKFFLARWFGFGSK >Vigun08g067800.3.v1.2 pep primary_assembly:ASM411807v1:8:10214568:10217667:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMQMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEGDASKERKKKKLSEEDKCKEYNEFENNEGEDGAQGRKRKKKKLSKESKSKEYNVFERNEVEDDAQGKKKKLSKESKSEEYNVFERNEGENDAKDKKKKRKKHGEEGKSKEYNEFENNEGEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun08g067800.2.v1.2 pep primary_assembly:ASM411807v1:8:10214563:10217667:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEGDASKERKKKKLSEEDKCKEYNEFENNEGEDGAQGRKRKKKKLSKESKSKEYNVFERNEGEDGVKDKKKKNNMSEEAKSKEYNELESNEVEDDAQGKKKKLSKESKSEEYNVFERNEGENDAKDKKKKRKKHGEEGKSKEYNEFENNEGEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun08g067800.5.v1.2 pep primary_assembly:ASM411807v1:8:10214568:10217671:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMQMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun08g067800.4.v1.2 pep primary_assembly:ASM411807v1:8:10214568:10217667:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEGDASKERKKKKLSEEDKCKEYNEFENNEGEDGAQGRKRKKKKLSKESKSKEYNVFERNEVEDDAQGKKKKLSKESKSEEYNVFERNEGENDAKDKKKKRKKHGEEGKSKEYNEFENNEGEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun08g067800.6.v1.2 pep primary_assembly:ASM411807v1:8:10214568:10217671:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun08g067800.1.v1.2 pep primary_assembly:ASM411807v1:8:10214568:10217667:-1 gene:Vigun08g067800.v1.2 transcript:Vigun08g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMQMMKKKKSDAERQYDDYNEFKSNEDKYDSQYKKEKERKKKKLSEEGKSKEYNEFERNEGEDDTQSKKRKKKLSTESKSKEYEVFEKNEAEGDASKERKKKKLSEEDKCKEYNEFENNEGEDGAQGRKRKKKKLSKESKSKEYNVFERNEGEDGVKDKKKKNNMSEEAKSKEYNELESNEVEDDAQGKKKKLSKESKSEEYNVFERNEGENDAKDKKKKRKKHGEEGKSKEYNEFENNEGEDDAQGKKRKKRKLSKENRSKEYNVFQRNGYEDNVEGRKSKKEKMIEDSKSKDKNMMTKKAKADNGDSPNPAHSGLSKPKRVTFSDEVEVCCDGLVRGKRFTQEEDEQIKLAVYNFIESRGLGDEGLDMVLHCRDHREVRCCWKEIAAALPHRPMYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWKQVADALGKNRMHVKDAWRRVKLRNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVTRNSVSCCHKWYDKLRSPMVATGAWSDTDDYRLVDALYNLDACCMEEVNWDDLLEHRTGEACRKRWNQIVRYIGEHGGKSFAEQVEILAKRYCPNLLEERETFDAKPLVC >Vigun03g415900.1.v1.2 pep primary_assembly:ASM411807v1:3:62276610:62285064:1 gene:Vigun03g415900.v1.2 transcript:Vigun03g415900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLSLSFSATSSSAAAAVSRSRQSSHFPEVTPLNLRFCGLRREAFDSGLSASLNRRHSHLPRSSHSAAVSAALSTNGSPPKSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELKSDHHLKSFGLQVSAAGYDRQGVADHANNLASKIRNNLTNSMKALGVDILTGFGTILGPQKVKVGSSNKVVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFATKITPARDGKPVSIELIDAKTKEPKETLEVDAALIATGRAPFTQNLGLENIDVVTQRGFVPVDERMRVIDANGKLVPHLFCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAQASSPVSEPVAV >Vigun11g073900.1.v1.2 pep primary_assembly:ASM411807v1:11:21248341:21251061:1 gene:Vigun11g073900.v1.2 transcript:Vigun11g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLVDFGRLLVPCARTYAALLHLPLFYRSLDRCGSLVWLNEKISSVSIISSFTTESLKEEIGSSVDSSSRWSWINEPVGISKADSFSKFGLVEQVNTIGDRMLWLLSRMR >Vigun11g073900.2.v1.2 pep primary_assembly:ASM411807v1:11:21248341:21251061:1 gene:Vigun11g073900.v1.2 transcript:Vigun11g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLVDFGRLLVPCARTYAALLHLPLFYRSLDRCGSLISSVSIISSFTTESLKEEIGSSVDSSSRWSWINEPVGISKADSFSKFGLVEQVNTIGDRMLWLLSRMR >Vigun08g086066.1.v1.2 pep primary_assembly:ASM411807v1:8:19068386:19071394:-1 gene:Vigun08g086066.v1.2 transcript:Vigun08g086066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFGIGMGVPFGGLVGRRLEKVNVGMQVSNEDENESGSGSMQEDEKWGLRGIDDGFMRPIVKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRSALKRHRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESALKRHESAHNKDLTYISDLRTNRLHEGGYDGNPSRELQHEPWLGEWKKKKDFKELF >Vigun05g066900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5771872:5772276:-1 gene:Vigun05g066900.v1.2 transcript:Vigun05g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVRSVFLVLWLRLLSSTNGLEIRSHKSHVSVTNSLEEGLILTLHCKSADDDLGLQILSPNSSFVWSFAVNFFSSTLFHCSFQWKKDVIHKFAIYEANRDEFRCMRCNWTIKQDGPCLFLYNHFNSCYPWKD >Vigun09g149000.3.v1.2 pep primary_assembly:ASM411807v1:9:31240477:31246067:1 gene:Vigun09g149000.v1.2 transcript:Vigun09g149000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVDLNEDTVDAKEMQNGEILLAQSIMRNTQKLEDELRKLGERIKEHENKINHLNSEKSKYDDSILHLQVIIGKSESSSMATAGNMDNPHSTNDEEVNKQILQHEKSAAGILCELKIHHGAQTSHLTSTKDVVGVVATLGKVEDDNLSRLFSEYLGVETMLAIVCKTYEGVQAIEMYDTEGCINKNYGLHGLGASIGRALDGRFLVICLESLRPYAGNHVVDDAQRKLDILNPRLPNGECPAGFLGFAVNMINIDSSNLFFVTPNGYGLRETLFYNLFSRLQVYKTRAEMIQALPCISEGALSLDGGIIRSCGVFTLGNREDIDVRFPRPERSKELDNHHGEIARQLMEVKQKKENIMGDVKRVQALLDEARLNFNKTKGDYLKYLAESSSNATQAQTASDRFVSR >Vigun09g149000.1.v1.2 pep primary_assembly:ASM411807v1:9:31240465:31246222:1 gene:Vigun09g149000.v1.2 transcript:Vigun09g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPPTPTASKKLSARTNALSIKGASSAMMQVDLNEDTVDAKEMQNGEILLAQSIMRNTQKLEDELRKLGERIKEHENKINHLNSEKSKYDDSILHLQVIIGKSESSSMATAGNMDNPHSTNDEEVNKQILQHEKSAAGILCELKIHHGAQTSHLTSTKDVVGVVATLGKVEDDNLSRLFSEYLGVETMLAIVCKTYEGVQAIEMYDTEGCINKNYGLHGLGASIGRALDGRFLVICLESLRPYAGNHVVDDAQRKLDILNPRLPNGECPAGFLGFAVNMINIDSSNLFFVTPNGYGLRETLFYNLFSRLQVYKTRAEMIQALPCISEGALSLDGGIIRSCGVFTLGNREDIDVRFPRPERSKELDNHHGEIARQLMEVKQKKENIMGDVKRVQALLDEARLNFNKTKGDYLKYLAESSSNATQAQTASDRFVSR >Vigun09g149000.4.v1.2 pep primary_assembly:ASM411807v1:9:31240991:31246067:1 gene:Vigun09g149000.v1.2 transcript:Vigun09g149000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIVGGDDFATEKIEILSARTNALSIKGASSAMMQVDLNEDTVDAKEMQNGEILLAQSIMRNTQKLEDELRKLGERIKEHENKINHLNSEKSKYDDSILHLQVIIGKSESSSMATAGNMDNPHSTNDEEVNKQILQHEKSAAGILCELKIHHGAQTSHLTSTKDVVGVVATLGKVEDDNLSRLFSEYLGVETMLAIVCKTYEGVQAIEMYDTEGCINKNYGLHGLGASIGRALDGRFLVICLESLRPYAGNHVVDDAQRKLDILNPRLPNGECPAGFLGFAVNMINIDSSNLFFVTPNGYGLRETLFYNLFSRLQVYKTRAEMIQALPCISEGALSLDGGIIRSCGVFTLGNREDIDVRFPRPERSKELDNHHGEIARQLMEVKQKKENIMGDVKRVQALLDEARLNFNKTKGDYLKYLAESSSNATQAQTASDRFVSR >Vigun09g149000.2.v1.2 pep primary_assembly:ASM411807v1:9:31240477:31246067:1 gene:Vigun09g149000.v1.2 transcript:Vigun09g149000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVDLNEDTVDAKEMQNGEILLAQSIMRNTQKLEDELRKLGERIKEHENKINHLNSEKSKYDDSILHLQVIIGKSESSSMATAGNMDNPHSTNDEEVNKQILQHEKSAAGILCELKIHHGAQTSHLTSTKDVVGVVATLGKVEDDNLSRLFSEYLGVETMLAIVCKTYEGVQAIEMYDTEGCINKNYGLHGLGASIGRALDGRFLVICLESLRPYAGNHVVDDAQRKLDILNPRLPNGECPAGFLGFAVNMINIDSSNLFFVTPNGYGLRETLFYNLFSRLQVYKTRAEMIQALPCISEGALSLDGGIIRSCGVFTLGNREDIDVRFPRPERSKELDNHHGEIARQLMEVKQKKENIMGDVKRVQALLDEARLNFNKTKGDYLKYLAESSSNATQAQTASDRFVSR >VigunL044100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:458770:459453:1 gene:VigunL044100.v1.2 transcript:VigunL044100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EKKKSIPLLYLTSIVFLPWCISFTFKKSLESWFINWWNTSQSEIFLNDIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDRMNTILHFSTNIICFFILSGYSILGNQELVLINSLVREFIYNLSDTIKAFSILLLTDLCIGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHSMND >Vigun05g254100.19.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871173:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.25.v1.2 pep primary_assembly:ASM411807v1:5:44862521:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.10.v1.2 pep primary_assembly:ASM411807v1:5:44862521:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.20.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871173:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.5.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.18.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871174:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.15.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.13.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.22.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.16.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871174:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.8.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.21.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871174:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.2.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.14.v1.2 pep primary_assembly:ASM411807v1:5:44862521:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.23.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.9.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.4.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.24.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.17.v1.2 pep primary_assembly:ASM411807v1:5:44862868:44871173:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSQMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.11.v1.2 pep primary_assembly:ASM411807v1:5:44862521:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun05g254100.12.v1.2 pep primary_assembly:ASM411807v1:5:44862471:44871171:-1 gene:Vigun05g254100.v1.2 transcript:Vigun05g254100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNSSLNGSASNLPEGAAGRSFATSFSGQSGVASPVFHHSGSIQGLHNIHGSYNVPNIPSSLTSRNSTLNSVPTGGGVQQPSASLSSGRFASNNLPVALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGAGNIGRISSGGLSVPGLASRINLGGNAGSGGLGVQGQNRLISGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQTVNNLNSMGMLNDVNSGDSSPFDINDFPQLSTHPSSAGGPQGQLGSLRKQGIGVSPIVQQNQEFSIQKEDFPALPGFKGGNADFAMDMYQKEQLHDNAVSMMQSQHFSMGRSSGFSLGGSYPSHRTQQHTPSVSSNGVSFSSVNNQDLLHLHGTDMFPSSHSTYHSQTSGPPGIGLRPLNSPNTGMGSYDQLIQQYQQQQNQSQFRLQQMSAANQSFRDQGMKSMQSAQSSQDPFGALGLFSVVHISDPDLKYLAHGIDLTTLGLNLNSSENLYKTFRSPWSDEPAKGDPEFSVLQCYYAKQPPALHQGYFLKFSVETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRFWFIRVANMEPLVKTNTYERGSYHCFDPNTFETVRKDNFVLHYELVEKRPSLPQR >Vigun07g215500.1.v1.2 pep primary_assembly:ASM411807v1:7:33713935:33716106:-1 gene:Vigun07g215500.v1.2 transcript:Vigun07g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLELDLHLLFYLLFAVLCFGALICLYNITRPRTRGIYGAFINDDEGEQMAVEAEIHAEEISNAFNNKRKGKQNFTKARINSDDLSGAANSEVQHIKNVLLWLIAYLFGGGKNGKFANYGDKRN >Vigun07g215500.2.v1.2 pep primary_assembly:ASM411807v1:7:33714344:33716106:-1 gene:Vigun07g215500.v1.2 transcript:Vigun07g215500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLELDLHLLFYLLFAVLCFGALICLYNITRPRTRGIYGAFINDDEGEQMAVEAEIHAEEISNAFNNKRKGKQNFTKARINRNTSVSLSLTLTRNEKV >Vigun08g113200.1.v1.2 pep primary_assembly:ASM411807v1:8:27972541:27975682:1 gene:Vigun08g113200.v1.2 transcript:Vigun08g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFIYSLTIFILPTAKLKRGEMLVKEEKAMAVSEESGDPRGTIPLLKPHFVLVHGIGGGAWCWYKIRCLMENSGYKVSCIDLKSAGIDHSHADSLLSFHDYNQPLMDFLSALPEKEQVILVGHSAGGLSVTEACHKFAKKIRLAVYVAATMLKLGFCTDEDLKHGVPDLSEFGDVYELGFGLGEDKPPTSALVKKEFQRKIIYPLSPHEDSTLACMLLRPGPIEALRSARFVEDGEVEKVPRMYIRTSHDHVMKAEQQEAMIKRWPPLSVCELDSDHSPFFSTPFLLFGLLVKAATLHFGCNFSNPAS >Vigun08g113200.2.v1.2 pep primary_assembly:ASM411807v1:8:27972541:27975682:1 gene:Vigun08g113200.v1.2 transcript:Vigun08g113200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVFIYSLTIFILPTAKLKRGEMLVKEEKAMAVSEESGDPRGTIPLLKPHFVLVHGIGGGAWCWYKIRCLMENSGYKVSCIDLKSAGIDHSHADSLLSFHDYNQPLMDFLSALPEKEQVILVGHSAGGLSVTEACHKFAKKIRLAVYVAATMLKLGFCTDEDLKHQGVPDLSEFGDVYELGFGLGEDKPPTSALVKKEFQRKIIYPLSPHEDSTLACMLLRPGPIEALRSARFVEDGEVEKVPRMYIRTSHDHVMKAEQQEAMIKRWPPLSVCELDSDHSPFFSTPFLLFGLLVKAATLHFGCNFSNPAS >Vigun11g040900.2.v1.2 pep primary_assembly:ASM411807v1:11:5850722:5854261:-1 gene:Vigun11g040900.v1.2 transcript:Vigun11g040900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGWVCAFLLVLSLSWSAEVIDASAGDADPRYRGCVTQCQQSGCVGQRCFPNCKFSSDGELFDRPWYMQQGPLYLQWKKWDCQCDCRYYCMVDREKERESQNLGPVKYHGKWPFRRLYGMQEPASVAFSALNLAMHFHGWVSFLILIYYKLPLKDGNKAYYEYAGLWHVYGLLSLNSWFWSGVFHSRDVDLTEKLDYSSAVVLLGYSLILAILRTFSVRDEATRVMVAAPLIAFVTTHVMYINFYLLDYGWNMIVCVVMAVAQLSMWAVWAGFSKHPSRWKLWFVVFSGGLAMLLEIYDFPPYLGLFDAHAIWHATTIPLTYIWWSFIRDDAVFRTSNLVKKAK >Vigun11g040900.1.v1.2 pep primary_assembly:ASM411807v1:11:5850722:5854261:-1 gene:Vigun11g040900.v1.2 transcript:Vigun11g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGWVCAFLLVLSLSWSAEVIDASAGDADPRYRGCVTQCQQSGCVGQRCFPNCKFSSDGELFDRPWYMQQGPLYLQWKKWDCQCDCRYYCMVDREKERESQNLGPVKYHGKWPFRRLYGMQEPASVAFSALNLAMHFHGWVSFLILIYYKLPLKDGNKAYYEYAGLWHVYGLLSLNSWFWSGVFHSRDVDLTEKLDYSSAVVLLGYSLILAILRTFSVRDEATRVMVAAPLIAFVTTHVMYINFYLLDYGWNMIVCVVMAVAQLSMWAVWAGFSKHPSRWKLWFVVFSGGLAMLLEIYDFPPYLGLFDAHAIWHATTIPLTYIWWSFIRDDAVFRTSNLVKKAK >VigunL059441.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:24179:24301:-1 gene:VigunL059441.v1.2 transcript:VigunL059441.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun01g230100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40251391:40252089:1 gene:Vigun01g230100.v1.2 transcript:Vigun01g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDGWICNTVEEIKPLGLQLLRKYVQRPVWTVGPLLPSAKGSKHRAGKESGIALEACMEWLDLKDESFVVYVSFGSQNTISASQMMALAEGLEKSGRSFVWVIRPPVGFDIDGEFREEWSPKGFEERMRDTKKGLLVQKWGPQLEILSHKST >Vigun02g020200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7110026:7112195:1 gene:Vigun02g020200.v1.2 transcript:Vigun02g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAGTVVFNTVGRTQYGFDVFTVDLRHHHPPPHAASDHRLTDGLSINFNAQFISHRNDVVFVSERTGSPRFYITRPDNKPQPLPFLPNALFLDRPIIRNGNLYFVSTHDQRDEVFTSWSAVYSSALEEGATATVTRLTPPGEVDYSPAVSLTGKFLAVASYRSRRWQTNDFRELQTEIVVFPEADPGKRVTVSERGGWPTWSGDSTIFFHKIAEDGWWSIFCVNLTDSDDLSVSENSHSPIRVTPPGLHCFTPAAFHDGKRIAVATRRKETGFRHVEVFDIETQTFERITGDINPNFHHYNPFVSLDSRHLGYHRFRGESTQGELTYPHLDKVLSPVNDLQLLRLNGSFPSFSPDGDFIAFNHSFDLTGGVKIIRSNGSKRWTLLKGRTCFHNAWSPTEKNVIYTSIGPIFESVSKTVQIARIEFDPVHLTNDREEVPFKLTILTREETGNNAFPSCSPDGKSVVFRSGRSGHKNLYIVDGVEGEFNGGLRRLTEGAWIDTMPCWSPKGDWIAFSSNRHEAQNSEVFGIYLVRPDGSGLRRVEVAKGVEGKRERLNHVCFSGDGEWLLFTANLGGVTAEPIGLPNQFQPYGDLYVVRVDGSGLRRLTCNAYENGTPTWHHGDLVLCGGSHGEDWDKLKGEFAEPLWITCDL >Vigun04g168100.1.v1.2 pep primary_assembly:ASM411807v1:4:39265577:39267628:1 gene:Vigun04g168100.v1.2 transcript:Vigun04g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVLRLSLLVLVPLLLSLFFLALMPKETAMKTYEVFGVKIEKNPPQSKLAELGVSTWNKWEGGPTKIPWSFKEEETMYLLEGKVRVTVEGSVGSFEIGGGDLVVFPKGMNITWEVIEAVKKHYSLKK >Vigun05g081300.1.v1.2 pep primary_assembly:ASM411807v1:5:7657915:7662373:1 gene:Vigun05g081300.v1.2 transcript:Vigun05g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLKMNRSMAWNPFKLILLFITFFHFATRKATGAGYLTRSCSEERSSDNSPYQTNLKTLLSSLSSNATANANGFYNNTVPPTNSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSSSKSSVIWYEDCMVRYSNSSFFSTVNTSPAYWIWNSANISSNSSNFMSLLEKTMRETTREAANSSNRYSAKQQNLTEFRTLYCLTQCTQDLSPQQCSNCLDSAMSDIPSCCDGKQGGRVLYPSCNIRYELFPFYRVTDEGPKGLVPETNYANTDSEYSEDPGYISHNCSNDKTNAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLARVANMTGDTDNKFLTDESLRLNDEQRVYILGQCSSDLSSSGCSGCLSDVIGTAIPWSSLGSLGGRVLYPSCVLRFELFQFYNLTPTTPSTPPTSSVGQESSAIKSLQFNMSIIEAATSNFSQENKIGKGGFGEVYKGTLFDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFCLNEQEKILIYEYVPNKSLDYFLFDTKLEKVLRWTERHKIIGGIARGILYLHEHSRLKVIHRDLKPSNVLLDENMNPKISDFGLARIVEIDQEDGSTNRIIGTYGYMSPEYAMFGQFSEKSDVYSFGVMILEIISGKKNISSHESHCVADGLLKFVWRHWRDGTTLNTLDPKLEENYSNVEVTRCIQIGLLCVQENPDVRPTMVTIVSYLTSHSIELPSPEEPIFFLNHRMNPIIAHESSSSQDVNSIPSSINDMSKSEFYPR >Vigun07g138100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24792392:24793439:-1 gene:Vigun07g138100.v1.2 transcript:Vigun07g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSAEMKAKSEVYHGDEVCQEKTKLLLKEVGLPNGLLPLKDIKECGYEKESGFVWLKQKKSINHKFEKIGKLVSYATEITAYVELGKIKKLTGVKAKELLVWVTLSEIFVDDPPSGKITFKTPSALFRTFPVSAFVIEEPVKEVKNKEEKEEEKEVKQVGNAVEVQEV >Vigun09g150200.2.v1.2 pep primary_assembly:ASM411807v1:9:31413008:31417642:1 gene:Vigun09g150200.v1.2 transcript:Vigun09g150200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYDLAAEGDLFKAPEPIIEEPIMDLDPMTISMMSCGEDVSSQGLKSTDIDILQNDQFLSEVFYECKKDLLEKAAIESPLSEILEIKVPLLNIDENSIQENKSLPDMQLGKSVSSGSLSSMEWIRGAAMKPAFIDIPAMDFNAVYGMRRSFSEGDIKTLGNGNMNIVQSPVERPFLICNCTSEERFQKLSRYRNKRTKRNFGRKIKYACRKALADSQPRIRGRFARSEECESKRE >Vigun09g150200.1.v1.2 pep primary_assembly:ASM411807v1:9:31413008:31417642:1 gene:Vigun09g150200.v1.2 transcript:Vigun09g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETGLLFPYLHNLSQELHQLEEYCKTQKYNAPMDELVQCSAMSEYDLAAEGDLFKAPEPIIEEPIMDLDPMTISMMSCGEDVSSQGLKSTDIDILQNDQFLSEVFYECKKDLLEKAAIESPLSEILEIKVPLLNIDENSIQENKSLPDMQLGKSVSSGSLSSMEWIRGAAMKPAFIDIPAMDFNAVYGMRRSFSEGDIKTLGNGNMNIVQSPVERPFLICNCTSEERFQKLSRYRNKRTKRNFGRKIKYACRKALADSQPRIRGRFARSEECESKRE >Vigun01g003550.1.v1.2 pep primary_assembly:ASM411807v1:1:399938:401048:-1 gene:Vigun01g003550.v1.2 transcript:Vigun01g003550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVITCNIMKIYSVDLFAIKMKSNCRNWLMAGAVLTILVVPFPCHIQ >Vigun07g193000.1.v1.2 pep primary_assembly:ASM411807v1:7:31202757:31212157:1 gene:Vigun07g193000.v1.2 transcript:Vigun07g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSPSAGASSPHGDDHRISNIGSRDAESLFRTKPIAEIRKTEAATRRQIEDKKEELRQLVGNRYRDLIDSADSIVRMKASCNGISSNIAAVHGRIRSLSLSQSQSQAKLHSQSRAWTYGAACRVKYLADTPENIWGCLDEGMFLEAASRYVRAKNVHHHLFVDSDDQKKKFLSNFAMLQHQWQIVESFRAQISQRSRDRLLDRGLAIAAYSDALAAVAVIDELEPKQVLGLFLESRKSWIVQVLGNAGPGDASSLVVTVLCDVLGIIQVTVGQVGELFLQVLNDMPLFYKVILGSPPASQLFGGIPNPDEEVRLWKSFRDRLESIMVMLDKRYIADTCFAWLRECVSKISGRNLIDAIGTGQDLASAEKSVRETMESKQVLQGSLEWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFESAFLGRMKAIIDLRFRELTGAVDVVNSISAVGELFTKLDDVQVYFSRPSTAGGVWFLESNARKTGVASGLKVHPEESEFHSCLNAYFGPEVSRIRDAVDVSCQSILEDLLSFIESPKASQRLKDLAPYLQSKCYECVSSVLMTLKKELDSLYAPGQNSESPSAVTVEKSLFIGRILFAFQNHSKHMPLILGSPRYWAGGNASAVAKLPSLVKQSRFGSDSTICDSPGKPASLGSKRQNSSSIAALLGVREGASPELEELNKTIGDLIIRAYNLWILWLSDELSATLSRDLKQDDALSLSTPWRGWEDIVIKQDQSDENQSEMKISLPSMPSLYIISFLFRACDEVHRVGGHVLDKKILHKLASRLLEKVIGIFEEFLSTEESGVHQVSEKGVLQVLLDVKFATDILSGGDLNAVGVPSSQTKAKLPVRRKQDQSSAISVIKERLDQLLTRLSQKLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNILRCSTVPRFKYLPISAPALSSRGTKKTFTPSSNEISSRSSWNSITNGELSQKINLDDSSSLGVAAPLLKSFMQVGSRFGESTFKLGSILTDGQVGIFKDRSAAAMSSFGDILPAHAAGLLSSFTAPRSDS >Vigun10g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12837479:12852578:-1 gene:Vigun10g061700.v1.2 transcript:Vigun10g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLDTTNTTPGLDARPSFSRHQRPPPEASPATPSPFTPLSREIVLWPTKNQLPRDLRQPKSSPATSFTTGVVTVDAGSQPFSPSLSRDRRPRSEPLGCVYSSLRCQELPYPWPLHRVTAAGDITSVILFLGRRRREPWSARPLLSLRISSRTASVRHPRSLPLHVFRLKLRIADGEGFYSFAHLSDMIGYG >Vigun11g029700.1.v1.2 pep primary_assembly:ASM411807v1:11:3884705:3886427:1 gene:Vigun11g029700.v1.2 transcript:Vigun11g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEGLKIILRRTKVAVWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun05g108000.1.v1.2 pep primary_assembly:ASM411807v1:5:11073805:11075777:1 gene:Vigun05g108000.v1.2 transcript:Vigun05g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAPSNEEVYKVPLKVLVDRNENKVLFAEARKDFVDVLVSFLALPLGTITRLVGKESNIPPLKIGSLSSLYEGVSHLEEEHLWTQKCKEMLLHPRTSMESYFQPLKLNIDDTHPTEYFLCEDLGCSRKTNGSLLSIFSNQRCSCGKLMNRIVSPENITLENGFVKETASFIICDDLSVLPNVVVTSVNLLQRLGIRDMDAIEEQTLDISKREVVDLLKLSFISKNPLTDFVFKKEPRVDDINPINQSWLERGDGSSDEGRKIVVRALVRKSNEKIMLVDAEEDFADFLFSFLTLPLGGVLHMLEGNSSLSCIDKLYKSISELGPDRYLRSQRVKGELANPKCAPLFTISDQILPIGEVSLPVYYCNTFFDGREYRFSLSISARPRYYGYYGYDENARLEITNPKSCIGDSRSGKGFVKGPSMFMVTDDLVVTPMSSISAVSYLNRSLVPLSDLEERVISVGVKEGLAILKASLTSTSALTQGLQQFTKIIKLEI >Vigun05g092600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8959880:8961921:-1 gene:Vigun05g092600.v1.2 transcript:Vigun05g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESHQLNVIFLPYLSPGHLNPMVDTARLFARYGVSVTIITTHANALTFQNAIDSDFNSGYHIRTQVVPFPTAQLGLPDGAENLKDGTSPDIIGKIFYGVSMLQGPFELLFQDLQPDCLVTDLLYPWTVESAAKLGIPRLYFYSASHFASCATHFITKHKPHERLVSATQKFSIPGLPHNIEMTNLQLEQWVKTKDEFSDLMNEAYESQIRSYGTLCNSFHELEGDYELLYKSTKGIKCWSVGPVSAWANKGGEEKVTRGHKEDFAQDSEWLHWLNSKQNESVLYVSFGSLTRLPHAQIVEIAHGLEISGCNFIWVARKKDENDNGHIFLQEFEEKMKRSKKGYIIWNWAPQLLILNHPAIGGIVTHCGWNSILESVSAGVPMITWPMFAEQFYNEKLLVDVLKIGVPVGAKENKFWTSLGEDAMVGREEIAKAVVELMGKEESTEIRRRARELAGASKKSIEEGGSSYNSLMQLLDELKSLKESKAAEKTNTKI >Vigun08g137000.7.v1.2 pep primary_assembly:ASM411807v1:8:30903703:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCCKCEEVLLLFLCLYCYFLLATGLITDPTEVDALRIIKGSLIDINGNLSNWDRRDPCTSNWTGVMCSDTTLVDGYLHVKQLHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.4.v1.2 pep primary_assembly:ASM411807v1:8:30903913:30915782:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSVYSEKPLTFSMRLKIALGSAKGLVYLHTEVDPPIFHRDVKATNILLDSKFTAKVADFGLSRLAPVQDTQGNVPGHVSTVIKGTPGYIDPEYGLTHKLTDKSDVYSLGVVFLELVTGRPPIFHGENIIRQVNMAYEYGGLFSVIDKRIGSYPSEYMEKFLTLALKCCKDAPDERPKMAEVARELENMCSMLPETNAVEAEHGTSGYGRIISSSQPSSSTSRTPFVSEDVSGSDLVSGKIPTIRPR >Vigun08g137000.11.v1.2 pep primary_assembly:ASM411807v1:8:30903955:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.1.v1.2 pep primary_assembly:ASM411807v1:8:30903913:30915782:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCCKCEEVLLLFLCLYCYFLLATGLITDPTEVDALRIIKGSLIDINGNLSNWDRRDPCTSNWTGVMCSDTTLVDGYLHVKQLHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSVYSEKPLTFSMRLKIALGSAKGLVYLHTEVDPPIFHRDVKATNILLDSKFTAKVADFGLSRLAPVQDTQGNVPGHVSTVIKGTPGYIDPEYGLTHKLTDKSDVYSLGVVFLELVTGRPPIFHGENIIRQVNMAYEYGGLFSVIDKRIGSYPSEYMEKFLTLALKCCKDAPDERPKMAEVARELENMCSMLPETNAVEAEHGTSGYGRIISSSQPSSSTSRTPFVSEDVSGSDLVSGKIPTIRPR >Vigun08g137000.12.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.10.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.6.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCCKCEEVLLLFLCLYCYFLLATGLITDPTEVDALRIIKGSLIDINGNLSNWDRRDPCTSNWTGVMCSDTTLVDGYLHVKQLHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.3.v1.2 pep primary_assembly:ASM411807v1:8:30903703:30915782:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCCKCEEVLLLFLCLYCYFLLATGLITDPTEVDALRIIKGSLIDINGNLSNWDRRDPCTSNWTGVMCSDTTLVDGYLHVKQLHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSVYSEKPLTFSMRLKIALGSAKGLVYLHTEVDPPIFHRDVKATNILLDSKFTAKVADFGLSRLAPVQDTQGNVPGHVSTVIKGTPGYIDPEYGLTHKLTDKSDVYSLGVVFLELVTGRPPIFHGENIIRQVNMAYEYGGLFSVIDKRIGSYPSEYMEKFLTLALKCCKDAPDERPKMAEVARELENMCSMLPETNAVEAEHGTSGYGRIISSSQPSSSTSRTPFVSEDVSGSDLVSGKIPTIRPR >Vigun08g137000.14.v1.2 pep primary_assembly:ASM411807v1:8:30903955:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.13.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.8.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKGCCKCEEVLLLFLCLYCYFLLATGLITDPTEVDALRIIKGSLIDINGNLSNWDRRDPCTSNWTGVMCSDTTLVDGYLHVKQLHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.5.v1.2 pep primary_assembly:ASM411807v1:8:30903913:30915782:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSVYSEKPLTFSMRLKIALGSAKGLVYLHTEVDPPIFHRDVKATNILLDSKFTAKVADFGLSRLAPVQDTQGNVPGHVSTVIKGTPGYIDPEYGLTHKLTDKSDVYSLGVVFLELVTGRPPIFHGENIIRQVNMAYEYGGLFSVIDKRIGSYPSEYMEKFLTLALKCCKDAPDERPKMAEVARELENMCSMLPETNAVEAEHGTSGYGRIISSSQPSSSTSRTPFVSEDVSGSDLVSGKIPTIRPR >Vigun08g137000.15.v1.2 pep primary_assembly:ASM411807v1:8:30904004:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >Vigun08g137000.9.v1.2 pep primary_assembly:ASM411807v1:8:30903955:30912138:1 gene:Vigun08g137000.v1.2 transcript:Vigun08g137000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMHLLNMNLSGTLAPEIGRLSYLEVLDFMWNHITGSIPKEIGFINPLKLLLLNGNQLTGELPEELGFLPFLIRLQIDQNNITGPIPLSFAKLNTTIHFHMNNNSLSGQIPAQLSSLGSLLHLLLDNNNFTGHLPSELSEMPSLKILQLDNNNFGGNSIPRSYANMSKLIKLSLRNCNLQGPIPDLSTIPRLTYLDLSLNQLNESIPTNKLSDNITTIDLSNNNLIGTIPSYFSSLPRLQKLSIANNSLNGSVPSTIWQDRVLNGSEMLRLDMENNQLTSISGSTNLPPNVTLWLEGNPMCSNNNTLAQFCGSESDISVNGNFSVSCPTQACPPPYEYTVDCFCAAPLVVNYRLKSPGFSDFRTYTNAFQSMMSRGLKIRIDQFYIHKFEWEEGPRLGMNLKLFPMYVDNNSSHLFNTSEVLRLRNLFLDFDLPTNDLFGPFELLDFILLDPYRDVIVTSPSSGISKGALVGIVLGAIAFAVTFSAIVTMLVLRIRLRDHRTPSKRTKASRISIKIEGIRSFNYEEMAGATNNFSDSARIGQGGYGKVFKGLLPDGTVVAIKRAQEGSLQGEKEFLAEIELLSRLHHRNLVSLIGYCDEKGEQILVYEYMPNGTLKDHLSGKSCTCISNSLRCLIT >VigunL036600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:309368:309490:-1 gene:VigunL036600.v1.2 transcript:VigunL036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun08g093600.1.v1.2 pep primary_assembly:ASM411807v1:8:21995881:22003627:1 gene:Vigun08g093600.v1.2 transcript:Vigun08g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSAGSVAGTGSSVQHFPSSSTQNDSPSVAHHARNRSSKNGSDIDSARSLFLERTSSSNSRRSSINGSAKHAYSSFNRSHRDKDRDREKDRLSFGDIWDSDGPDPLANLFSGRMERETLRRSHSMVSRKQSEVIPRRVAVDTKSGGSSHHSNSNGILSGSNVSSSIQKAVFDKDFPSLGTEEKQGTTEVVRVSSPGLGGATSQSLPVGSSALIGGEGWTSALAEVPTLIGSSSAGSLSGQHTVNTSGSVSSSTTASLNMAEALAQTPSRARSAPQVQVKTQRLEELAIKQSRQLIPVTPSMPKALVLNSEKSKPKTAIRNTEMNVVTKTVPQQPSALHIASQSVRSVNAKVDTPKTSGKFTDLKSVVWENGASPTSKDVSHPTNYSNSKPGNHHASASASASAPLRNPNNLKSSTERKSASLDLKLGSTLDKKHSISQVQSRNDFFNLIKKKTLMNSSSVLPDSGPMVSSPLVEKSDEVNSEMVNESANPQSLGNGTELTSNGNTHAHEEVQRLSDNEEKETIPCATIYPDEEEAAFLRSLGWEENSDEDEGLTEEEINAFYQECKNLGPTTFKICQGMQPKLSKLFESYASNLHGSSAELSSTDPGSDA >Vigun09g017100.1.v1.2 pep primary_assembly:ASM411807v1:9:1273944:1275519:-1 gene:Vigun09g017100.v1.2 transcript:Vigun09g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKSKVLPKIKKVFEKNTSKKAAAAEATKSFDDSKEEYNKAFEENKTELQTKVVEIYEASPTEIKSLVKERKEGGLKKNTTEVHKFLEELVKIDFPGSKAASEASSKFGPALASSSVFFVFEKVSTFVVTEEKEEKGEAPSAETKTEVVQEREIVVEEEKKEDEKAQLVETSGEKKVEERPAEAATKEEEKPTEAAEKEEAPKP >Vigun04g036900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3073878:3076468:-1 gene:Vigun04g036900.v1.2 transcript:Vigun04g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNGLNIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMEYTRDAWISSYRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >Vigun05g023600.1.v1.2 pep primary_assembly:ASM411807v1:5:1968775:1971834:1 gene:Vigun05g023600.v1.2 transcript:Vigun05g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVIPPRILRNLRYNTATKPLKSSHAPLSPTLSPSPCLPPPTSAVLRPAATADLNLHDVEKLFCHVRTPSLLRSATVLHATAVGPFVDFGTWLMQSNLLQVPGLRELILASVRSTFYDHFCAGEDAPTAAYKIRALNHAGLRGMLVYGVEDAHDNDACDRNYRAFLHTIDVSRSLPPSSVSFMIVKITAICPMSLLERMSDLLRWQHKDPSFSLPWKQDCFPIFSESSPLYHTRNRPESLTREEEKDLELAIQRFHDLCQKCTQANIPLLVDAEHTAVQPAIDYFTYSSAILNNKDDNAIVYGTIQTYLKDAKERLLLTVTAAEKMGIPMGFKLVRGAYMSTEAKLAESLGYRSPIHDTIDDTHKCFNECSSFMLEKIADGPGGVVLATHNVESGKLAAAKAHELGIGKVNHKLEFAQLHGMSEALSFGLSNAGFQVSKYMPFGPVETVMPYLLRRAEENRGMLAASGFDRQLMRKELGRRLKAAVF >Vigun10g155900.1.v1.2 pep primary_assembly:ASM411807v1:10:37566689:37568877:1 gene:Vigun10g155900.v1.2 transcript:Vigun10g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTNTTVKNNNVGEFGDTTLTKVFVGGLAWETPKDALRDHFEKYGEILEAVIISDKLTGKSKGYGFVTFKEAEAAKKACEDSATLVINGRRANCNLAFLGARRPRSSSTASPPPHPQGGSNSGVVKNNNAAGNHVQPYYHPLRTTAIPFHSHPLPFYGYTPTYIVTDINYNYNQKLSYGNGGAYQQLSHVYPRQGIVGGNTVMPVYPLYQYHHPTETIGLPAHNFYPTAPWAPFTIISKPSSIIPHTGTVGTGECFKRVV >Vigun02g112200.1.v1.2 pep primary_assembly:ASM411807v1:2:26638644:26642623:1 gene:Vigun02g112200.v1.2 transcript:Vigun02g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSFIALPKNPKTHFLSGSSLTPMDKCLLKINSGEHFPGSSFRAKTSRNQPLVIRAGGDGGRSISGSIFVGGFVLGGLIVGALGCIYAPQISKAIAGADRKDLMRKLPKFVYDEEKALEKTRKVLTEKIAQLNSAIDGVSAQLRSDVVPNEDSDELAVNSEEMEASVQ >Vigun10g059700.1.v1.2 pep primary_assembly:ASM411807v1:10:11950074:11953339:1 gene:Vigun10g059700.v1.2 transcript:Vigun10g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGMVMEGGQRTLNADGVAAKFLVQNTHQLGTLHQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSEVAALRNPSIWYEASRIINEEGFRAFWKGNMVTIAHRLPYSAVNFYAYERYKNLLHSLMGEDVTGNSSANPLVHFVGGGLAGITAASATYPLDLVRTRLAAQRNTMYYRGISHAFSTICRDEGFLGLYKGLGATLLGVGPSIAISFSVYEWLRSVWQSQRPDDSTAVVGLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRARVYNTGLFGAFGRIIQTEGVRGLYRGILPEYYKVVPSVGIVFMTYETLKMLLSGISNY >Vigun09g179200.2.v1.2 pep primary_assembly:ASM411807v1:9:35146384:35149239:-1 gene:Vigun09g179200.v1.2 transcript:Vigun09g179200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQEVDNCIKQTIDDSLGIPVPAETLVAKLRASQDSQWRLRECLRSLKPQLERKTRLIECIKSEATMNAQALKKFVEENHRLALKCDKLVAQRCRLENECALYDKDREALMEFGNDADERAREAQSQVLNLQQDLLLMQNEVQKYKHHHELSSACPPGETNLLGSALASLITRDDDSTYAFLQANSENESCKKLLNVWKGLSPSALSVLSLVAKVKSLEKDKEHLRTNLHKAEEEVKVLFDENNVLEKENKRLLMLCKERNHPDSAEKHTNTPSPKSNKRKSVSPRTNSPMKKFDLDDKDLARQPLSPLRQNSPDCRMRKK >Vigun09g179200.3.v1.2 pep primary_assembly:ASM411807v1:9:35146384:35149239:-1 gene:Vigun09g179200.v1.2 transcript:Vigun09g179200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQEVDNCIKQTIDDSLGIPVPAETLVAKLRASQDSQWRLRECLRSLKPQLERKTRLIECIKSEATMNAQALKKFVEENHRLALKCDKLVAQRCRLENECALYDKDREALMEFGNDADERAREAQSQVLNLQQDLLLMQNEVQKYKHHHELIQSSACPPGETNLLGSALASLITRDDDSTYAFLQANSENESCKKLLNVWKGLSPSALSVLSLVAKVKSLEKDKEHLRTNLHKAEEEVKVLFDENNVLEKENKRLLMLCKERNHPDSAEKHTNTPSPKVSFFYNSKEK >Vigun09g179200.1.v1.2 pep primary_assembly:ASM411807v1:9:35146384:35149239:-1 gene:Vigun09g179200.v1.2 transcript:Vigun09g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQEVDNCIKQTIDDSLGIPVPAETLVAKLRASQDSQWRLRECLRSLKPQLERKTRLIECIKSEATMNAQALKKFVEENHRLALKCDKLVAQRCRLENECALYDKDREALMEFGNDADERAREAQSQVLNLQQDLLLMQNEVQKYKHHHELIQSSACPPGETNLLGSALASLITRDDDSTYAFLQANSENESCKKLLNVWKGLSPSALSVLSLVAKVKSLEKDKEHLRTNLHKAEEEVKVLFDENNVLEKENKRLLMLCKERNHPDSAEKHTNTPSPKSNKRKSVSPRTNSPMKKFDLDDKDLARQPLSPLRQNSPDCRMRKK >Vigun07g172800.1.v1.2 pep primary_assembly:ASM411807v1:7:28810784:28812662:1 gene:Vigun07g172800.v1.2 transcript:Vigun07g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFLEQIKKQTTTFLQEKYKSARMTFTDVTEAELLAEEATNKDDCSPDAKTMTRIAEASFDIDEYWRIVDILHHRLYNVDWEQWRQAYKTMVLLEFLLTHGPQEFALEFQCDIEIIEELGGFTHIDEKGFNWGSRMQKLSDEIVKLLQNAEALTEARLKALKITNGIQGFGCAVKCPSPTSPSSSGSSSFYSFSTTSTPDNNIFHSNDNLNKQKSQKSGSIGNNSNINIMLPPRDLKHVTKNHLWKGFAGEEKNILIDSTEDEYVAKPKGFVGEMYEKISAVSPLNGEKRGKVDFRCLSDVGKKVSEKKFDRQYSFWF >Vigun07g060400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6832667:6833631:1 gene:Vigun07g060400.v1.2 transcript:Vigun07g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCSSTAMAEKISWNCAVFVALMLVFSSCESNTKDFRNQMFEESVKNRNYKVCDEIYVVGEGETLQTIGEKCGDPYIVEENPHIHDPDDVFPGLVIKINPFNYRP >Vigun07g140600.1.v1.2 pep primary_assembly:ASM411807v1:7:25086596:25087743:1 gene:Vigun07g140600.v1.2 transcript:Vigun07g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALNPNQNFTILIILVISMFFLRSEAAEGELQGQSVVKALSCFNNKHIYVGCDEAFRLNPSGNINIPVEATDFFCSGPCLTEAQLVLNCIDDILSNFLFYNKATVQQMRYALNAGCSFSRQRGNFNLAEYIGGETSNAPKSTILSKYYVFILAAVTASAAAGAVYLI >Vigun04g116550.1.v1.2 pep primary_assembly:ASM411807v1:4:29270503:29272126:-1 gene:Vigun04g116550.v1.2 transcript:Vigun04g116550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENASETVPITEGSDSSLSSSCNSNGSVYGKDNSFSLLRNCLPLKFATPFAQKVSNFNLHLPLQPYTFLVSLIPFLIL >Vigun06g062151.1.v1.2 pep primary_assembly:ASM411807v1:6:18996783:19012942:-1 gene:Vigun06g062151.v1.2 transcript:Vigun06g062151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIWSLDLEIELYKWSSAEYPQEFAVIYTCVNDKSKFSIFRLSVRPEKVLLRLADCLCDDHPIRVHMEVIAERDNNYGYFNYRLVRVVEAGTTYDPSSTNDDKVSFITILCHKRASSYSVTRPGENKMTWKVEHSSCCQGFLAELSFHVNIECHKVNGLSVKFRGPFKFKAPRIITESLLERSTPHIQRQVNGEPPNSINAFVESAFTGNEYILFQAEGRGNSGGTEASAQGTRVTVNNTAAQFHGNET >Vigun06g054000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18004486:18005966:1 gene:Vigun06g054000.v1.2 transcript:Vigun06g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAAGESGSRPVFFKEQKYRGVRKRPWGRFAAEIRDPLKKARVWLGTFDSAEDAARAYDAAARTLRGPKAKTNFPLPPPFYHPDPFTDHRYFAGPGEPFHDHRQPTSSGMSSTVESFSGPRAAAATANPVTVARRYPRTPPVVPEDCRSDCDSSSSVVEDGDGDNAASSFRREPLPFDLNVLPLEDSDVGNGVDNDMFCTVLCL >Vigun01g043500.1.v1.2 pep primary_assembly:ASM411807v1:1:6226466:6231975:1 gene:Vigun01g043500.v1.2 transcript:Vigun01g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPTHQNRIPRGAHPARNGRSVGASYPMTYGEIDMETQIHQLEKEAYSSVLRAFKAQDDAITWEKESLITELRKELGLSNEEHRELLGHVNADDVIQNIRDWRKAGGHLPAVLNIGQAIHDSIPSPTVSVSRKKQKIMASTPLESFGGPSPFHPQPPLQPSLVANPGSVSGSKGKKHKPGQVLLGVSSIKKYPSSGLSGRNQIPNRAPSGIETGELAKEASMVSLVGRKVRTRWPDDNNFYEAVISDYNRADGRYALVYDMGTANETWEWVNLSEISPEDIQWVGEDPGINHGGGRHGSGNGMSRSVGRSSVPGAVRGRGTTKGQSKMDFFPSQNGIGKKTVDDIHILHTDTLVKKVERVFNANHPDALEIEQVKKVLKDHEQALIDAIAKLADLSDGESDEDDHHFANAQPMER >Vigun01g043500.2.v1.2 pep primary_assembly:ASM411807v1:1:6226466:6231975:1 gene:Vigun01g043500.v1.2 transcript:Vigun01g043500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIWKLKSTNLRRRHTVQFYEPLKLKMMPLLGDWRKAGGHLPAVLNIGQAIHDSIPSPTVSVSRKKQKIMASTPLESFGGPSPFHPQPPLQPSLVANPGSVSGSKGKKHKPGQVLLGVSSIKKYPSSGLSGRNQIPNRAPSGIETGELAKEASMVSLVGRKVRTRWPDDNNFYEAVISDYNRADGRYALVYDMGTANETWEWVNLSEISPEDIQWVGEDPGINHGGGRHGSGNGMSRSVGRSSVPGAVRGRGTTKGQSKMDFFPSQNGIGKKTVDDIHILHTDTLVKKVERVFNANHPDALEIEQVKKVLKDHEQALIDAIAKLADLSDGESDEDDHHFANAQPMER >VigunL007133.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:20437:21536:1 gene:VigunL007133.v1.2 transcript:VigunL007133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDTTDLIGLSFGMETYQVIIFKFRETLEYTMGNPEPNPMRIKIESYSTSSRMVGITQLVEQRTENPRVTSSNLVPDTRFI >Vigun03g007900.1.v1.2 pep primary_assembly:ASM411807v1:3:528402:529483:-1 gene:Vigun03g007900.v1.2 transcript:Vigun03g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLFFFFFHTLIVLLLILSFSLLMLSTQVSADISRKLGELSLAPPPPCPNTGHIPC >Vigun07g130000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23895505:23895825:1 gene:Vigun07g130000.v1.2 transcript:Vigun07g130000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTSDVDDFPKGLCGWSWKENKLFELALAVVDENHPERWEIVAAMVGGEKSAGEVQEQYVFLLEDLNLIESGKLDHELGVEEAHTCVLVNSIDSLCLSHRDSTM >Vigun07g285400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39851470:39852647:1 gene:Vigun07g285400.v1.2 transcript:Vigun07g285400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKREREVDSVTMANYLMLLSAGGEFENRNYLNYSSGHRVFECKTCKRQFPSFQALGGHRASHKKPRLTMGESEHSHVLDASPPKPKTHECSICGLEFAIGQALGGHMRRHRTSSSSSSSNGNMNNATTVHKTSSTKMNSSNERVLFPDLNLTPLENDLEFLKIRQPAPLVHCFN >Vigun10g077316.1.v1.2 pep primary_assembly:ASM411807v1:10:20945565:20946262:-1 gene:Vigun10g077316.v1.2 transcript:Vigun10g077316.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHSILLFYFPTKRLCVSFERPREKGLRRRDKPVGAILSRVMSKGLGRRSSSLAQSSKKGLGRIGKEGNFS >Vigun04g138700.1.v1.2 pep primary_assembly:ASM411807v1:4:34493839:34495469:-1 gene:Vigun04g138700.v1.2 transcript:Vigun04g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLSMFCAEADCPGTGGKQPFCFDLKTLKDPSSCFNQFLIFCFDVSVLVILAFVLIQKYLFRPFQGMFQVERYSNLQLISSITNASLGLLYLCFGIWGLEENLRKSHTLLPLNWWLLELFGGFRWLIVGLSVSLKLKQLPRSWLWLFSLVTLFLSSVLCVLSMSYAISNRELTFKEALDVLSFPGAVLLLLCTYKTPKCEDTATSIDEDFYDSLNNDFHEVDPYNYVTPFAKAGFLSRMSFWWLNPLMKIGQEKTLQDEDIPKLPELDRAEFCYLSFIEQLNTQKGKESLSQSSILWAIVFCHWKDILMSGLFALLKSPYHKGNGIFALGLLG >Vigun11g090800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27082179:27082961:1 gene:Vigun11g090800.v1.2 transcript:Vigun11g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLHGIRKALFAANQASSKAGDVPKGYLAVYVGEKMKRFVIPVSYLNQPQFQDLLSQTAEEFGYDHPIGGLTIPCSEDVFQNITCCFN >Vigun10g132400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34218604:34219959:1 gene:Vigun10g132400.v1.2 transcript:Vigun10g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVEIISREDIKPSSPTPSHLRVFRLSLLDQLIPSPYAPIILFYTSPKSNTTYLSEVPERLELLKKSLSETLTKFYPLGGKIKEDLSIECNDEGANFVLVRVKCPLDEFLVQPQLTVLNKFLPVGLVSEGSNSGTYVTNIQVNIFECGGIAIGMCISHRILDGAALSTFIKGWTERAKGCNQSTQPNFIAPSLFPANSPWFRDLSMWMWGSLFKQGKWVTRRFLFRNSAIATLKAQTPGTENSTRLQMVSAMLWKSLMGVSKARFGTKRPSFVTHLVNLRRKMDEALCPEHGMGNVLWLMAAESVDDNEMGLEELVGKLRTAISRVDKEFVEELRGDKGRSIIQESLRAIGEMGSKSEVDYFGFSSWCNFGFYEADFGWGKPTWVSGGGSIDSVSMFMNLIILVDTRLGDGIEAWVTLEEEDMIHLKANPELLTCAILDPSPLAMSSVA >Vigun10g147300.1.v1.2 pep primary_assembly:ASM411807v1:10:36561690:36566311:-1 gene:Vigun10g147300.v1.2 transcript:Vigun10g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQMQPFADFLRPAPDSGEGRTPPLNRTVKKTLVVEESSLDNPDLGPFLLKMARETIASGESPVKALDFAIRASKSFERCAGPGLELATCLHVVAAIHSSLGRLDEAVEALERSILLLEGENESGHAMAQFSGYMQLGDTYSMIGQLDRAISCYESGLKIQMDVLGESDPRIAETCRYLAEAHVQAMQFEQAEKFCKKTLEIHREHCSPASLTEATDRRLMALICEAKGDYEPALEHLVLASMSMIANAQENEVAAIDVSIGDIYMSLCRFDEAVFAYQKALTVFKSTKGENHSSVALVFIRLADLYYRTGKLRESKSYCENALRIYSKPVAGTTAGEIASGLTEISGIYEALNEPEEALKLLQKAVKLLQDIPGQYRTVAGIEAQMGVMFYMVGRYMEAWKSFENAITKLRASGEKKGTFFGVVLNQMGLACVQLYKIGDAAKHFEEARTILERECGTYHSDTLGVYSNLAATYDALGRVEEAIEILEYILKMREEKLGTANPDVDDEKKRLFELLKEAGRVRNRKGKKSLENLIDSNSLKMKKEGKKRWSAFGFRT >Vigun03g058700.1.v1.2 pep primary_assembly:ASM411807v1:3:4800738:4805666:1 gene:Vigun03g058700.v1.2 transcript:Vigun03g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLTGFVLAVVSSAFIGSSFIIKKKGLQLASANGPRASVGGYGYLLQPLWWVGMITMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMDVLGCLLCIVGSTVVVLHAPEEKSLTSVLEIWEFAIQPAFLSYTASVIAVTLFLVLYCAPRYGQTNIFVYIGICSIIGSLTVMSVKAIGIAIKLTIEGSNQAIYFQTWIFVMVLVTCVIVQLNFLNMALDNFNTAVVSPIYYALFTAFTILASAIMFKDYSGQSISSIVSELCGLITILSGTTLLHSTKEPDSPILTDLYTPLSPKMSWYIQGNGDPWKQKEEDAPPPFSLITVIRQDHFK >Vigun03g058700.2.v1.2 pep primary_assembly:ASM411807v1:3:4800738:4805666:1 gene:Vigun03g058700.v1.2 transcript:Vigun03g058700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVQALVAMVTCFNPSVIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMDVLGCLLCIVGSTVVVLHAPEEKSLTSVLEIWEFAIQPAFLSYTASVIAVTLFLVLYCAPRYGQTNIFVYIGICSIIGSLTVMSVKAIGIAIKLTIEGSNQAIYFQTWIFVMVLVTCVIVQLNFLNMALDNFNTAVVSPIYYALFTAFTILASAIMFKDYSGQSISSIVSELCGLITILSGTTLLHSTKEPDSPILTDLYTPLSPKMSWYIQGNGDPWKQKEEDAPPPFSLITVIRQDHFK >Vigun04g114800.1.v1.2 pep primary_assembly:ASM411807v1:4:28771269:28773353:-1 gene:Vigun04g114800.v1.2 transcript:Vigun04g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIPLNFSVSSTLTKFSPFNITSKKPNSFPSMVSPSSNGSSLLCLSNSGICRASEVADLFPAVSPEIVVREARLEDYWEVVETHCKRFFPEYSFPLDFVLRIDRLVIDMLLAMLAATLSGLFKPRHCRKMCLVAVIGTPLDESVSFGTEGHKIGYFDGKFSLNKDYVVGILTVDNIADFLPRKGPQRHRRTGIAYISNLAVRENFKRKGIATKLVEKAESHARSWNCRAMALHCDIENRVATMFYKGHGYKCVKVPEGAKWPEPMTSTDMRFNFMMKLLKNSPP >Vigun08g125700.1.v1.2 pep primary_assembly:ASM411807v1:8:29593555:29597563:1 gene:Vigun08g125700.v1.2 transcript:Vigun08g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACTKPIFPSSFTLSSSSSSESKSKLSHVSFTNAIPIYRKLSHANPSKRSLAVNNALKTVDPTATSVGSSNSEDVGFLGEKPTILVSEKLGEAGLNVLRSVGHVECAYELSQEELCTKISCCDALIVRSGTKVTREVFEAGKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLAAMARNIAQADASTKAGKWQRSKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFAQAIATADFISLHMPLTSTTNKIFNDDTFAKMKKGVRIVNVARGGVIDEDALVRALDNGIVAQAALDVFTEEPPSKDSKLVQHENVTVTPHLGASTKEAQEGVAIEIAEAVLGALKGELSATAVNAPMVAPEVLSELAPYVVLAEKLGRLAVQLVCGGSGIKSVKVVYRSARGPDDLDTRLLRAMVTKGIIEPISNTIVNLVNADFIAKQRGLRISEERVVVDSSPELPVDSIQVQISSVDSKFASAIAEGGHISIDGKVKFGEPHLTCVGSFDVDVSLQGNLILCRQVDQPGMIGRVGNILGEQNVNVSFMSVGRTSRRKKAIMAIGVDEEPNKQALDNIGAVPAIEEFVFLKL >Vigun10g147100.2.v1.2 pep primary_assembly:ASM411807v1:10:36536386:36539797:-1 gene:Vigun10g147100.v1.2 transcript:Vigun10g147100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVRAVWQRAVNRCFVQEDAKRAPKLACCQSSRATSKLFDFGVASAADESDHGDVNVTLFNHKYSFSNVSPDSRWWLQLQPNYGIQKGLTCEQLNALEDGVEILKARNGNQKSKDACRFGDENHGYIYSMAGVAEECIEKSPENSEQVDMMAKHERMDIDSVSCSVSKEANDFSMDSEYSWIGVEKAQPWWQTRDIDELACFVSHKSLNHMENCDLPPPRKYLSGQPGNDISDIKIRTSFDREAFSNFNAQAKGSVESGLVQRKLGPSTIKRNLHSDCDKYSSYPTIYGGLTEQIFEEDPSKVQLMEALCHSQTRARKAEEIAKQACAEKRHILALFFMQASQLFAYKQWFQVLQIESLKNQLENKDEPSTLFPGRKLGKRKQKIGNAKQEMLEKAKGDITTYAVAFALGLSLVGAGLLLGWTVGCMLPCS >Vigun10g147100.1.v1.2 pep primary_assembly:ASM411807v1:10:36536390:36539797:-1 gene:Vigun10g147100.v1.2 transcript:Vigun10g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVRAVWQRAVNRCFVQEDAKRAPKLACCQSSRATSKLFDFGVASAADESDHGDVNVTLFNHKYSFSNVSPDSRWWLQLQPNYGIQKGLTCEQLNALEDGVEILKARNGNQKSKDACRFGDENHGYIYSMAGVAEECIEKSPENSEQVDMMAKHERMDIDSVSCSVSKEANDFSMDSEYSWIGVEKAQPWWQTRDIDELACFVSHKSLNHMENCDLPPPRKYLSGQPGNDISDIKIRTSFDREAFSNFNAQAKGSVESGLVQRKLGPSTIKRNLHSDCDKYSSYPTIYGGLTEQIFEEDPSKVQLMEALCHSQTRARKAEEIAKQACAEKRHILALFFMQASQLFAYKQWFQVLQIESLKNQLENKDEPSTLFPGRKLGKRKQKIGNAKQEMLEKAKGDITTYAVAFALGLSLVGAGLLLGWTVGCMLPCS >Vigun05g205000.1.v1.2 pep primary_assembly:ASM411807v1:5:39376254:39380553:-1 gene:Vigun05g205000.v1.2 transcript:Vigun05g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPPFLEAYKSLVQKDVGDSRNNNDYCSSTVERCDIPLIDLGRLSVEREECMREIAEAAREWGFFQVVNHGIPQELLKSMQIEQKKVFYQPFVNKSTQQVIFSTLSAKAYRWGNPFATNLRQLSWSEAFHFYVTDVSRMDQHQTLRSSLEGFATRMFSLAQSLSEILACKLNAKSNYFREHCWPKSSFIRLNRYPPCPVSKMHGLIPHCDTSFLTIVHQDHVGGLQLMKDGQWVGVKPNPHALVVNIGDLFQAWSNGVYKSIKHRVVAAENAERFSMAFFYCPMEEAIIKSHMKPAMYKKFTFKEYKEQSEKDVKQTGGKVGLSRFLL >Vigun03g353100.1.v1.2 pep primary_assembly:ASM411807v1:3:55480106:55481153:1 gene:Vigun03g353100.v1.2 transcript:Vigun03g353100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNVAANSSFERTSLASLDQKLALAKRCSHEGVMAGAKAAVVATIATAIPTLASVKMLPWAKANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFNPPSNP >Vigun11g073400.4.v1.2 pep primary_assembly:ASM411807v1:11:20923471:20929104:-1 gene:Vigun11g073400.v1.2 transcript:Vigun11g073400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYEATQLRCHGEDVLEEAHKFSLEQLTKSVTTQLSSSLAARVEHSLRQSLRRGLPRLEATYYMSFYEEDPSHDEKLLTFAKLDFNKLQEIHLEEVSSLTKWWAKDLDVSTNLPFTRDRITECCFWNIGVYFEPQYCRWITTKLTALASIIDDIYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQSHMAEARWCHSNHTPTLEEYMQVRRTSGGYPLLITASFLGMEDSTEQDLIWATNEPVIIAASAVVARISDDIVGDEIEQERQHVVSSIQCYMKDHKISRKCAIEELFKLVENAWKDINDACLAPTQVPMKILMRAVNFARVIDVLYKDEDIYTNAGGIMKDHIETLLVKKMSV >Vigun11g073400.3.v1.2 pep primary_assembly:ASM411807v1:11:20923471:20929344:-1 gene:Vigun11g073400.v1.2 transcript:Vigun11g073400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYEATQLRCHGEDVLEEAHKFSLEQLTKSVTTQLSSSLAARVEHSLRQSLRRGLPRLEATYYMSFYEEDPSHDEKLLTFAKLDFNKLQEIHLEEVSSLTKWWAKDLDVSTNLPFTRDRITECCFWNIGVYFEPQYCRWITTKLTALASIIDDIYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQSHMAEARWCHSNHTPTLEEYMQVRRTSGGYPLLITASFLGMEDSTEQDLIWATNEPVIIAASAVVARISDDIVGDEIEQERQHVVSSIQCYMKDHKISRKCAIEELFKLVENAWKDINDACLAPTQVPMKILMRAVNFARVIDVLYKDEDIYTNAGGIMKDHIETLLVKKMSV >Vigun11g073400.1.v1.2 pep primary_assembly:ASM411807v1:11:20923471:20929344:-1 gene:Vigun11g073400.v1.2 transcript:Vigun11g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQQTMSNGGLSLPTSVSGEKPPFTRPTANFHPSVWGDRFLSYVPSSAESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSIFCKFEDQTGKFKGSLTDDIEGMLSLYEATQLRCHGEDVLEEAHKFSLEQLTKSVTTQLSSSLAARVEHSLRQSLRRGLPRLEATYYMSFYEEDPSHDEKLLTFAKLDFNKLQEIHLEEVSSLTKWWAKDLDVSTNLPFTRDRITECCFWNIGVYFEPQYCRWITTKLTALASIIDDIYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQSHMAEARWCHSNHTPTLEEYMQVRRTSGGYPLLITASFLGMEDSTEQDLIWATNEPVIIAASAVVARISDDIVGDEIEQERQHVVSSIQCYMKDHKISRKCAIEELFKLVENAWKDINDACLAPTQVPMKILMRAVNFARVIDVLYKDEDIYTNAGGIMKDHIETLLVKKMSV >Vigun11g073400.2.v1.2 pep primary_assembly:ASM411807v1:11:20923471:20929104:-1 gene:Vigun11g073400.v1.2 transcript:Vigun11g073400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSIFCKFEDQTGKFKGSLTDDIEGMLSLYEATQLRCHGEDVLEEAHKFSLEQLTKSVTTQLSSSLAARVEHSLRQSLRRGLPRLEATYYMSFYEEDPSHDEKLLTFAKLDFNKLQEIHLEEVSSLTKWWAKDLDVSTNLPFTRDRITECCFWNIGVYFEPQYCRWITTKLTALASIIDDIYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQSHMAEARWCHSNHTPTLEEYMQVRRTSGGYPLLITASFLGMEDSTEQDLIWATNEPVIIAASAVVARISDDIVGDEIEQERQHVVSSIQCYMKDHKISRKCAIEELFKLVENAWKDINDACLAPTQVPMKILMRAVNFARVIDVLYKDEDIYTNAGGIMKDHIETLLVKKMSV >Vigun08g160700.1.v1.2 pep primary_assembly:ASM411807v1:8:33312867:33317990:1 gene:Vigun08g160700.v1.2 transcript:Vigun08g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNAAKDNIDVLHGRTLTAPVELIRCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVANTFGDILFTPNTESVKEFPSPESLKRRIIISTKPPKEYLGAKEKDKGDDSQNEKGKGDDSLRGKGSGDDEAWGKEVPSLKGGTIEDYKDNNVDEEDLNDEEEFDESDKLPHNEAPEYRHLIAIHAGKPKGGLEECLKVDPDKVRRLSLSEQQLEKAAINHGKQIVRFTQRNILRVYPKGTRIDSSNYNPLIGWMHGAQMVAFNMQGHGRSLWLMHGMFRANGGCGYVKKPDFLLENGPDNEVFDPKAKLPVKTTLKVTVYMGEGWYYDFKHTHFDQYSPPDFYTRVGIAGVPSDTVMKKTKAIEDNWLPTWNETFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPIRELRSGIRAIPLNSQKGEKYPSVKLLMRFEFIN >Vigun07g221500.1.v1.2 pep primary_assembly:ASM411807v1:7:34412215:34414592:-1 gene:Vigun07g221500.v1.2 transcript:Vigun07g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWDLFAIVRSCQSNTSTAAIPETTTNTTSSSLLTSIVEEDRYDAFSFPNTVEPITNDFHELHQLFSPFNPTTTLSAPGINPNSPYFAQQESQQISDHLPLWPHFVPEPSTPSFNRFPHHQQHQHNQLHSLHKHEFHTPHNNSPTLSPNTQPQTPKSRKRKSQQKKMVCQVTADNLSADLWAWRKYGQKPIKGSPYPRNYYRCSSSKGCMARKQVERSNTETDMFIVTYTGDHSHPRPTHRNSLAGSTRSKNPTTNPPALPGSLSFQAAPFSSSSSPPLSPTSPSEDPQEAGDVEPDPDMETDMDDDGDIR >Vigun07g221500.2.v1.2 pep primary_assembly:ASM411807v1:7:34412215:34414592:-1 gene:Vigun07g221500.v1.2 transcript:Vigun07g221500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWDLFAIVRSCQSNTSTAAIPETTTNTTSSSLLTSIVEEDRYDAFSFPNTVEPITNDFHELHQLFSPFNPTTTLSAPGINPNSPYFAQQESQQISDHLPLWPHFVPEPSTPSFNRFPHHQQHQHNQLHSLHKHEFHTPHNNSPTLSPNTQPQTPKSRKRKSQQKKMVCQVTADNLSADLWAWRKYGQKPIKGSPYPRCSSSKGCMARKQVERSNTETDMFIVTYTGDHSHPRPTHRNSLAGSTRSKNPTTNPPALPGSLSFQAAPFSSSSSPPLSPTSPSEDPQEAGDVEPDPDMETDMDDDGDIR >Vigun03g320200.1.v1.2 pep primary_assembly:ASM411807v1:3:51436899:51441872:-1 gene:Vigun03g320200.v1.2 transcript:Vigun03g320200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVIKVKYGDTLRRFTARVDEINRLDLDMVGLRQKICSIFGFSADANLVLRYVDEDGDLVTLVDDDDLRDVMRQQLKFLKIEVLMSNDGGAKSTAWSTGSTTPLKSPSVTNPRLGRNTILHEALSKLSLSKAASSTPVVDNIANSILKIGQSVLNSHFQPRDAADTISKTGVPEEHTTSKAKGSQSSYVDSASNSTVHGESANPLRFPVSNPSLSPNADKVDISSPVPDPVRELVSNLLYPNAASSSQLPVNLADLISLVGHSVLNSYCQPHVSTGPFSKNGVPEEPLSGEVRDLQMPSVDLASNATQHVEAENLIRDTASASNANPHCETRTLRMPSVDLASNATQQVETENIIRDVASQHLEAGNVISATTAAEVATVDLNIPPCDPYSSQSTNVNKGPLSSEVPDGDRRKGKMSTVYSSAGKVDSSGTSSSLPGPSNDSSTQNTSLKAGVFFECPFSGSYINSWTPHFGNSQMPPFKRSHPHTEAMTGMFHKGVRCDGCGVYPITGPRFKSKVKENYDLCHICYNEMGNGTDYIRMDRPASARFPRCVYEQSKNFPTLPPHTFKKSSILKHGRPKLDSRFILDVNVLDGTMMAPSTAFTKIWRMRNNGTVAWPKGTKLVWIGGDKFSDSHSVDLEDGVPIEKELDIAVDFIAPRIPGRYTSYWRLATSSGHKFGQRVWVLVQVDASLKDSFYDNSQGLNLNIPLDVSGSEGPLLIDINVRPAEDDTFLQTRIPNAPIQPAEQVDEEPRLELEKEFPINEATFVGPSASAPAASAPVATSLAPSSISYPIIDLSETTPAVPSNQQSSTVDVPSSSVVGTGGINPVEESLLKELEAMGFKQVDLNKEVLRMNEYDLERSIDDLCSDVYGVSEWDPILVELQEMGFRDEEMNKRLLKKNNGSIKRVVMDLIQGE >Vigun10g088300.1.v1.2 pep primary_assembly:ASM411807v1:10:25405947:25409589:-1 gene:Vigun10g088300.v1.2 transcript:Vigun10g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNPLCSSSYDNLDNEVKLAVRIKKLQPLTHSTHDHHLIFHLSLFILKPHQHLSSLTCLFQNCSSSLFTLHFLVLVFRSSSLFFIVLVILQLLLLSELSLSERCEHKESGSGTCLCLSYFLCSAIVCSKFPLTTFMGRNSCHVKDFYTDPFQLNLPFASYGHRRRNHKGGGGGGFICLAFFVFLLQALASAIQPVSGQLWDGVVVTQADFQALRAIKNELIDFKGVLKSWNDSGLGACSGWAGIKCVNGEVIAIQLPWRGLGGRISEKIGQLQSLRKLSLHDNVLAGPVPFSLGLLPNLRGVYLFNNKLSGSIPPSLGNCPMLQSLDISNNSLSGKIPPSLARSTRMLRINLSFNSLSGSIPSSLTMSPSLTILALQHNNLSGSIPDSWGGAGKKKASQLQVLTLDHNLISGIIPVSLGKLALLENVSLSHNLIVGPIPSELGALSRLQILDLSNNAINGSIPASFSNLSSLVSLNLDSNQLANHIPDSLDRLHNLSVLNLKNNKLDGEIPPTIGNISSISQIDFSENKLAGGIPDSFTKLANLSSFNVSYNNLSGPVPSLLSKRFNASSFVGNLELCGYISSKPCPSPPPHNLPAQSPQPLSKPHHRKLSTKDIILIVAGVLLLILLLLCCFLLCCLIRRRTASSRKSGKAAKAAASARSLEKGASAGGEVESGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSAYGTAYKATLEDGNQVAVKRLREKTTKGQKEFETEVAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMTKGSLASFLHARGPEIVIEWPTRMKIMIGVTRGLSNLHSQENIVHGNLTSSNILLDEQTEAHITDFGLSRLMTTSANTNIIATAGSLGYNAPELSKTKKPNTKTDVYSLGVIMLELLTGKPPGEPTNGMDLPQWVASIVKEEWTNEVFDLELMRDAPAIGDELLNTLKLALHCVDPSPAARPEVHLVLQQLEEIKPDLAAGDDGATKVQTTE >Vigun07g118500.1.v1.2 pep primary_assembly:ASM411807v1:7:22010167:22011940:1 gene:Vigun07g118500.v1.2 transcript:Vigun07g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYKQSRTKVRVALPKKNPRLFKPSFSVPPKLLQSLDDEPQWDDKGTVNHNYQSFGVLSDPNSISDPSPASESIDSGSDLEEDDLKSALGKKRRDGKSAPPQPLTAMQRNHIGRLVDKYGYDYQSMLMDIKLNPMQHSIGTLQKLCIRYHMYQNKNPLILSK >Vigun07g118500.2.v1.2 pep primary_assembly:ASM411807v1:7:22010167:22011940:1 gene:Vigun07g118500.v1.2 transcript:Vigun07g118500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYKQSRTKVRVALPKKNPRLFKPSFSVPPKLLQSLDDEPQWDDKGTVNHNYQSFGVLSDPNSISDPSPASESIDSGSDLEEDDLKSALGKKRRDGKSAPPQPLTAMQRNHIGRLVDKYGYDYQSMLMDIKLNPMQHSIGTLQKLCIRYHMYQNKNPLILSK >Vigun02g194900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33072748:33074294:-1 gene:Vigun02g194900.v1.2 transcript:Vigun02g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQARKGATLCNQHFLSRTFNLCFKTLTTLPTKDIDAASSSATVPPSEYSTSLHLSPIFSDFNHPSTGFDVELVDHDTWGVSSGVAQAWRGPASRAGSFGSQETDEAIDDHVEGELDFEDIDNMRVRGNLFYKLERSSKEFEEYNFDFHRKKSSKKKEEVKKAKTTPNLVSKDHKVPRVDDLARSKSVVPRVDEINDGPSVNKRQRTPTFNQLTGPYHEPFCLDIFISKASVRACVVHRVTSKVVAVAHSISKDFKFDLASTKNKATCAAVGAILAQRALGDDIHDVIYTPRKGERVEGKLQIVLQSIIDNGINVKVKIKQRPKKPFNRIT >Vigun01g182600.1.v1.2 pep primary_assembly:ASM411807v1:1:36319969:36321509:-1 gene:Vigun01g182600.v1.2 transcript:Vigun01g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMRLGLMAVVAVSGSMAILVQQVHKRMLSNFMKKFEYQMGGILYAHGQKNLCGPEKHEANKKVRISKEALEDWSYGRGDRMITTRVGRIKAEQFWEMENVERDEPKLEKMMPTNRKVLYRGIMKYRNSNIHGRLPF >VigunL000202.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000103.1:5872:7376:1 gene:VigunL000202.v1.2 transcript:VigunL000202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAVTIDGAVDARVTVSTSFFPLRERYFVLVFCVRSQPRLAATVLTTAIGTREHHGNLTTDLRDPNHLCCAPSFSFFFLRESPRFGNRITEPHHNAPNHTRSYHHVHLGTKPTQIMKAQTIPLEAPGVPIPPSVLFLSYLYISAFSNFLFFLWSECLEPRKIVFAPL >Vigun06g077900.1.v1.2 pep primary_assembly:ASM411807v1:6:20921958:20924762:1 gene:Vigun06g077900.v1.2 transcript:Vigun06g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVAVPNSPVFSLFNNNTNNNNSSSMAEPLMLSLPIPSPTPSCSSPPSSPQLKRRRPAKLDIPVASLAFTLSTAPAPSPAMDAVVEVDGSGFSVFCRRGRRPHMEDRYSAAVDLRGEPKQAFFGIFDGHGGTKASEFAAHNLEKNVMDEVVRRDESDIEEAVKHGYLNTDSEFLKEDLHGGSCCVTALIWNGNLVVSNVGDCRAVISRGGVAEALTSDHRPSREDERDRIETQGGYVDVCRGVWRIQGSLAVSRGIGDRNLKQWVIAEPETKVLKIEPLHDLLILASDGLWEKVSNQEAVDIAGPFCVGNNRQQPLQACKKLVDLSVSRGSVDDISVMIIKLQNYV >Vigun08g122800.1.v1.2 pep primary_assembly:ASM411807v1:8:29241586:29249045:-1 gene:Vigun08g122800.v1.2 transcript:Vigun08g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRASEGGVVVEGESDAATNSNTSKKARIGCFAACSGAEESAVNDSGRGFSTSGSGGDNIDGGNSIEGMAFGVSHPAEIDEDLHSRQLAVYGRETMRRLFASSVLVSGMKGLGVEIAKNLILAGVKSVTLHDEGDVELWDLSSNFVFSENDVGKNRAVASVSKLQELNNAVVVLSLTTKLTKEQLANFQAVVFTEISLEKAIEFDDYCHSHKPPIAFIKTEVRGLFGSLFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVTFSEVHGMKELNDGKPRRIKNARAYSFTLEEDTTNYGRYEKGGIVTQVKQPKVLNFKPLREALNDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRYPIAGSEDDAQKLVSIASDINGSLGDRKLEDVNPKLLQQFASGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPNDLKPLNSRYDAQISVFGQKLQKKLEDAEVFVVGSGALGCEFLKNLALMGVSCGQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVASSAAASINPRLNIEALQNRVGPETENVFHDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMRNAGDAQARDNLERVLECLDEDKCLTFEDCISWARLKFEDYFANRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLEFSSSDPAHLQFLMAGAILRAETFGIPIPDWGKNPKKLAEAVDSVIVPDFKPKKDAKIVTDEKATSLSSASIDDAAVINDLIAKLEVCRTKLQPDFRMKPVQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDMSWTVWDRWIVKDNPTLRELLDWLKAKGLNAYSISCGSCLLYNSMFPKHKERMDRKMVDLAREVAKVDIPSYRRHLDVVVACEDDEDNDIDIPQVSIYFR >Vigun08g122800.2.v1.2 pep primary_assembly:ASM411807v1:8:29241586:29249045:-1 gene:Vigun08g122800.v1.2 transcript:Vigun08g122800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRASEGGVVVEGESDAATNSNTSKKARIGCFAACSGAEESAVNDSGRGFSTSGSGGDNIDGGNSIEGMAFGVSHPAEIDEDLHSRQLAVYGRETMRRLFASSVLVSGMKGLGVEIAKNLILAGVKSVTLHDEGDVELWDLSSNFVFSENDVGKNRAVASVSKLQELNNAVVVLSLTTKLTKEQLANFQAVVFTEISLEKAIEFDDYCHSHKPPIAFIKTEVRGLFGSLFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVTFSEVHGMKELNDGKPRRIKNARAYSFTLEEDTTNYGRYEKGGIVTQVKQPKVLNFKPLREALNDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRYPIAGSEDDAQKLVSIASDINGSLGDRKLEDVNPKLLQQFASGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPNDLKPLNSRYDAQISVFGQKLQKKLEDAEVFVVGSGALGCEFLKNLALMGVSCGQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVASSAAASINPRLNIEALQNRVGPETENVFHDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMRNAGDAQARDNLERVLECLDEDKCLTFEDCISWARLKFEDYFANRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLEFSSSDPAHLQFLMAGAILRAETFGIPIPDWGKNPKKLAEAVDSVIVPDFKPKKDAKIVTDEKATSLSSASIDDAAVINDLIAKLEVCRTKLQPDFRMKPVQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDMSWTVWDRWIVKDNPTLRELLDWLKAKGLNAYSISCGSCLLYNSMFPKHKERMDRKMVDLAREVAKVDIPSYRRHLDVVVACEDDEDNDIDIPQVSIYFR >Vigun07g181500.1.v1.2 pep primary_assembly:ASM411807v1:7:29827202:29829337:1 gene:Vigun07g181500.v1.2 transcript:Vigun07g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLKSLFLFLFLILSSELAESASDYTTLVYKGCSKEPFTDPNGVYSQALSALFGSLVSQSTKTKFFKATTGSGQASMTGLFQCRGDLSNSDCYNCVSRLPVLSDKLCGKTTAARVQLLGCYMLYEVTGFAQISGMQILYKTCGATNAAGRGFEERRDTAFSVMENGVVSAHGFYATSYQSLYVMGQCEGDVGDSDCGECIKNAVQRAEVECGSSISGQVYLYKCFISYSYYPNGVPGRHSSSAASSSSGSFSSSPSGQNPGKTVAIILGGAAGVAFVVICLLFARSLKKKHDDY >Vigun07g058900.1.v1.2 pep primary_assembly:ASM411807v1:7:6554124:6555855:-1 gene:Vigun07g058900.v1.2 transcript:Vigun07g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNQSPSAAVIKDILGSVGAEADSDRIEQFLSEIKGKDIVEVIAAGREKLASVPSGGGGAVAVSAAPGGGGAAAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Vigun06g100400.1.v1.2 pep primary_assembly:ASM411807v1:6:23060780:23062780:-1 gene:Vigun06g100400.v1.2 transcript:Vigun06g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETRVEVREPEEGLEHLTCKSCVLKVSIHCQACTRKVKKILQSIDGVYCTTIDLKEQKVVVKGNVDSDTLIKKLTETGKRAELWPDQPELKKKKKKKKKKKKKASTENKERPSEQESSEESNPSGDEKQNINNEKEAVKVVVQDTGKIMEGGNMIRSSEGFFNVNRMGEGSATGLAGLQFQEPRMELRQAMTLPPGHQSSMGERRVTINVPGIDDNGGANEGGSGGKKSKSKGQKGNVVINGNEGGVTVVEHPGGDWNPNRNQMPGGHGHGPGPGLVTILGPPNESPTRHQFPPHYHAPASPVYGGTYHPTTYPSVTRYGAAYYTSSQPYSYSHVYRCAGSESDSETYPSPSPPSYSFELFSDENPNACFIM >Vigun01g036300.1.v1.2 pep primary_assembly:ASM411807v1:1:4693759:4696673:1 gene:Vigun01g036300.v1.2 transcript:Vigun01g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSVSHPISQPSAFASFRAAPFFNSPPLRIHTGGRKRRGTAVVARAGPSTKSILFAIALPSSLLAVTIFSALRMGDKLDQDWREEMAKMEAAKELDEYDDSDDGSEDDSMETVQEEPALQEEPTLPHARNRPKREA >Vigun03g415600.1.v1.2 pep primary_assembly:ASM411807v1:3:62236895:62240917:-1 gene:Vigun03g415600.v1.2 transcript:Vigun03g415600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKFCRGCNNVLFPTEDKENKVLLHTCRNCNHEERADTNVVYRNKLHHSVQRRTRELENVAADPTLPRTKSVRCSQCNHGEAVFFKATAKGEEGSALNFVCCNLTCGNRWRE >Vigun03g415600.2.v1.2 pep primary_assembly:ASM411807v1:3:62238594:62240917:-1 gene:Vigun03g415600.v1.2 transcript:Vigun03g415600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKFCRGCNNVLFPTEDKENKVLLHTCRNCNHEERADTNVVYRNKLHHSVQRRTRELENVAADPTLPRTKSVRCSQCNHGEAVFFKRPVCILVQ >Vigun04g064700.1.v1.2 pep primary_assembly:ASM411807v1:4:6962761:6968520:1 gene:Vigun04g064700.v1.2 transcript:Vigun04g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVQNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSRYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYIY >Vigun04g011900.1.v1.2 pep primary_assembly:ASM411807v1:4:886896:891110:1 gene:Vigun04g011900.v1.2 transcript:Vigun04g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVVQSSPVQQMMAGNPNWWNININTMPPQQPSPFFSSPSNFPIPYAAPSSLPFPSWNENQDLPESWSQLLMSGVVDEQGKVGMGQFQTKKMENWEDQMLGQAQNASLVDVKQENSVNSYVYGHGNEELQSSKPSWSPKSSCATSFSSNMLDFSNSNTDARHPPPDRSSECNSSAGGGALKKARVQPSATTQATFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLSGGPGSGRQQNSVQGEKNCIFPEDPGQLLNNENSLKRKAAGEPVNVLTKIEFGISVEFFFLLIILLCLQNTLIITDFEVLKYIKRKF >Vigun04g011900.2.v1.2 pep primary_assembly:ASM411807v1:4:886896:891110:1 gene:Vigun04g011900.v1.2 transcript:Vigun04g011900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVVQSSPVQQMMAGNPNWWNININTMPPQQPSPFFSSPSNFPIPYAAPSSLPFPSWNENQDLPESWSQLLMSGVVDEQGKVGMGQFQTKKMENWEDQMLGQAQNASLVDVKQENSVNSYVYGHGNEELQSSKPSWSPKSSCATSFSSNMLDFSNSNTDARHPPPDRSSECNSSAGGGALKKARVQPSATTQATFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLSGGPGSGRQQNSVQGEKNCIFPEDPGQLLNNENSLKRKAAGEPETEEEQKKGLRSRGLCLVPVSCTLQVGSDNGADYWAPALGGGFR >Vigun05g016000.1.v1.2 pep primary_assembly:ASM411807v1:5:1273642:1278280:-1 gene:Vigun05g016000.v1.2 transcript:Vigun05g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDDAGHISASRKMFWRSASWSSSRTAAGNASSGEAGKGFADSGSAEGPHRENRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDIGEWPQPPTTPGGRGNGSNGERLKLDLSSIQHSNPDHSRSNNGGLVKRDKIAFFDKECSKVADHVYLGGDAVAKDRDILKHNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRIYRIAPHSPYDPLHLVPKMLMDPSSAALDSRGAFIVHIPSAIYVWIGKNCEAIMERDARGAVGQIVRYEKVQGPIVMIKEGEEPAYFWNAFSNFLPLMDKSGNRVEDGNLTGKVSPGERRVEAYDVDYEVFKKAITGGFVPPFASSENEHETHLPARESSWSALRRKVASANMKEFVSTPRLSFPRVYSDSMLCIHTSANLSPSSSLSSSSSSISSSSSPSYVSPDSISSDSSTHSKFLSEVSPDSSSVVLTPIPVFSSLSSFSNLSISSSSTSKAVSNSTDIIGVNLPHPWSQSASLPLKKPSTSLAERRGSLSKSLKLPLKSDKKQVMDKPPTFHNSQEFDIVVNGNVSYLQPSDSKDYFCEPNNHAKDGGVNSFQKCELALCPSIVDSTHLKESSLVRNSVEPLVHTSSVEDAVLGQSAALKETNESGSLQFNVAQPSVYHWPSLEKVETFGADHLDSKAAFVIFSPSMHVHAGNMLYIWLGRSFNCDTSQVHLDVDIQLDCLGAVDWNQIGCDLLDRFSLPKSTVIKIVKENEEPSEFLALLSSL >Vigun06g222600.1.v1.2 pep primary_assembly:ASM411807v1:6:33157134:33159322:-1 gene:Vigun06g222600.v1.2 transcript:Vigun06g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETLKWELVLARAHSFLFMERSNMSCFAERKAHAVFTPYPLQGHINPLFKLAKLLHLKGFHITFVHTEYNHKRYLKSRGSNALQGLPDFRFETIPDGLPPLDDDGDGDVSQHIPSLCDSIRKNFLQPFRQLLARLNHSATTGLNPPVTCLVSDCFVSFPIQAAQELGIPVLLLSPLSAAAFWSFMHYRTLVERGIVPLKDESYLTNGYLNNKVDCIPGLENYRLKDLPDFMRTTDPNDVMLNFFIEETAKIPSGSAVVFNSFDELERDAMNAIYSSSIFPSVYTIGPFPLLLNQSPQKHLASLGSSLWKENTECLEWLESRESGSVVYVNFGSITVMTAEQMLEFAWGLANSKKPFLWIIRPDLVSGGSVILSSEYVNETRDRSLIASWCPQEKVLNHPSVGGFLTHCGWNSTTESICAGVPMLCWPFFADQATNCRYMCSEWEIGIEIDNSAKREEVEKVVNELMVGEKGKKMREKTMQLKKKAEEVTRPGGSSYVNLDKIIKEVLLKHNTSFD >Vigun10g169700.1.v1.2 pep primary_assembly:ASM411807v1:10:38891162:38894519:1 gene:Vigun10g169700.v1.2 transcript:Vigun10g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVEKKKLVKGRVVLIRKSVVESINNVKGLFATGIKIVEHSLELDITKSVTFKLISRTKSENPSVVAGKVAKDTYLANKVSVLRTSGGRAEEFDIYFEWDDKSMGIPGAFYVKSFMNDEFFLVSVTLEYPSQTSEHDVNNNHFDCNSWVHNHKGYKTDRIFFANTPYLPGKTPVQLQTYRKEELKNLRGDGTGKREKWDRIYDYDVYNDLGFLNSDGAEDHPVLGGLKYPYPRRVRTGRNLIRNNKNGDQYEKSDEVYVPRDENFSHEKTSEFIQIGTKTLAGRVEPLLLSMYLNTTSNEFNSLEEVLKMYEGGVNLPISITGNATPSALNFPTPDVIKESKFAWMTDEEFAREMIAGVNPLVIRLLKKEELESPSKLVCNCTQPSTVTKEKLEANMDGVKVDEAFAGRRLFILDYYDVFITYLMKINELPSAKAYATRTFLFLKDDGTLKPLAIELSKPHRLVLPADQGVENTIWQLAKAHVTVNDTNYHQLISHWLHTHAVIEPFAIATHRNLSVLHPIYKLLHPHFRDTININALARKSLISAGSIIEQTFLPGPYSMEMSSAVYKNWVFTDQALPKDLIKRGMAVEDKSSPYGLSLAIKDYPYAVDGLEIWNATKLWVKEYVALYYSDDKAVQGDSELQAWWDEVVKKGHGDLKSKWPKMQSSQDLIETCTTIIWIASALHAAVNFGQYPYGGYIMNRPTQSRRLIPEPGTQEYEEMTNNPHEAFLKTVTPKYQTVIDLTVMELLSTHAKDEVYLGQRNSLNWTAHEEAKDLFKRFRDELGKIEKEISDRNNNKGLKNRTGPVKMPYTVLLPSSEPGLTFRGIPNSISI >Vigun07g235300.1.v1.2 pep primary_assembly:ASM411807v1:7:35685898:35690097:-1 gene:Vigun07g235300.v1.2 transcript:Vigun07g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAWLMDDSNEDPRLPHRRNPNESVSLDQLAELGVLYWKLNPTIYENDEELNKIREARGYNYMDMLDLCPEKVENYEEKLKNFYTEHIHQDEEIRYCLEGSGYFDVRDKEDRWIRILIKAGDLIILPAGIYHRFTLDPSNYVKLMRLFKGEPVWTAYNRPQEDNPSRKEYIKVLNEKFGVPLAAH >VigunL059317.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:34557:34865:-1 gene:VigunL059317.v1.2 transcript:VigunL059317.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun11g106800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30545211:30545513:1 gene:Vigun11g106800.v1.2 transcript:Vigun11g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLLFSIAESLIAKLASWTYDETSHVLRLYHHLQEVSQTLLLVKAVLLDAEEKQQENYELREWLRQIKHVFSDAKNMLDEFECETLRKQVVQAHGSTR >Vigun06g001501.1.v1.2 pep primary_assembly:ASM411807v1:6:834024:834948:1 gene:Vigun06g001501.v1.2 transcript:Vigun06g001501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNFGVVLIISGGNEVFKGCNYFKWLNGDNGDEKDATIGRQRRKIYSLEKALVISDKWVKILSVIIMFLLFVNVILVFYVFMFWAWAFL >Vigun06g112500.1.v1.2 pep primary_assembly:ASM411807v1:6:24101537:24105073:1 gene:Vigun06g112500.v1.2 transcript:Vigun06g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAALQVLDGTQLRGLDLSLGDGSFTGADIIDIAHSRASSSLFRLSLPDSLKDSALTRLRTPDADAFRSAVYAADKASDVLRDYITAIADELKDNPIVVSILDGSTLRLLLKDEDDFAMLAESLFTELDDEDEGKISKSEIRNALVQMGAEMGVPPFSEFPKLNDLLRKHGADGEEKLGQAQFAQLLQSVMQDLEEELSKENVVVIRNIQVVNGSKLRQLLANEQELNNVVEKVFGEKVDARDGLRSTEIIRSYLEKNAKELGLPLVQAEVAAVLLYDAVFDDIVTKEKDGTELDKEELGNLVKDILQKFAEQLEANPLQQDLSYIEDE >Vigun07g197700.8.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFCAESSHSNGKQTLKHSKPADEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIIRRW >Vigun07g197700.7.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFCAESSHSNGKQTLKHSKPADEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIIRCPRAVIMIVEGDHLCFPR >Vigun07g197700.4.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAITVRKLGDEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIISWSTSYEELLSTNEPFAEPIPLPEMVDFLVDIWHDDEGFFD >Vigun07g197700.5.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFCAESSHSNGKQTLKHSKPADEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIISWSTSYEELLSTNEPFAEPIPLPEMVDFLVDIWHDDEGFFD >Vigun07g197700.1.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFCAESSHSNGKQTLKHSKPADEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIISWSTSYEELLSTNEPFAEPIPLPVSACSDHDRRGRPSMFSTLTSASNPFICRNG >Vigun07g197700.3.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAITVRKLGDEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIISWSTSYEELLSTNEPFAEPIPLPVSACSDHDRRGRPSMFSTLTSASNPFICRNG >Vigun07g197700.9.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAITVRKLGDEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIIRRW >Vigun07g197700.6.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFCAESSHSNGKQTLKHSKPADEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHGELVIVMFIIYWSTSYEELLSTNEPFAEPIPLPEMVDFLVDIWHDDEGFFD >Vigun07g197700.2.v1.2 pep primary_assembly:ASM411807v1:7:31777567:31783592:1 gene:Vigun07g197700.v1.2 transcript:Vigun07g197700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAITVRKLGDEVKRSSEKSHRSSHSNGKQNLKQTSNFVNHAAIAWHEDRRKWVGDRSQHPPRIAKDQIIRCPRAVIMIVEGDHLCFPR >Vigun09g127600.1.v1.2 pep primary_assembly:ASM411807v1:9:28307673:28309069:-1 gene:Vigun09g127600.v1.2 transcript:Vigun09g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPTKRFFLLALLFLCFLSINATARSLRETKDELAPVSAEKSHENQVKVNQGGEQEQDTGDLTTMDYTPAKKNPPIHN >Vigun05g296550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48136415:48137358:1 gene:Vigun05g296550.v1.2 transcript:Vigun05g296550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVSPSSRTQTSPRSYSSAFASTSATNFSPGIYSSANSRVQRHLPSSSSSSSVRFLAVEQSAESSVRRSMTVATTSQTKKEAASSSSSSSSKSESEKRKCMCSPTMHPGSFRCAYHKQLAERQQQQQQQQEKQQEKQQHQRQQQEKQQYQRQQQQTTSLSSRKLNLLRSAMKNSVVRRIGGVDGEIVRRALTTLVRPSSHYLHRRVAFQPRPTRLSLMSKAQDQSILNNKQ >Vigun04g114200.1.v1.2 pep primary_assembly:ASM411807v1:4:28614701:28616994:-1 gene:Vigun04g114200.v1.2 transcript:Vigun04g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2 MAKHDVEGGSFSAKDYHDPPPAPLIDGEELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKAGGDLCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKGYYNRYGGGANELSEGYSTGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDHWMFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSNPTI >Vigun09g106100.1.v1.2 pep primary_assembly:ASM411807v1:9:19921638:19925030:1 gene:Vigun09g106100.v1.2 transcript:Vigun09g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEDPNVTTAQEPEPEAEAEQEQEQQSEEWETMARAWLCSFPEAKEVSMAEVEAWIDSNLASLPEGLRSMPRPDLSNRLISFQNCIRLPNQEQEASQLDLPHARFQRTDQWIPVYSWLETLDKDEVVKSKEISDWLTENPKVQEQLCSRHSRYHLMHYIKKCHLKILKRRDKKKGVEQPDKDSPLKVQKDVVMKHSAPLPSSSVSNLPKDSDLFMAKKSEAYRKYEILVELEKLLSPTFSKQP >VigunL074750.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:189781:190151:-1 gene:VigunL074750.v1.2 transcript:VigunL074750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLAAELGYGLPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCYTSGTLKTNPISMRISTRNYISRFKGPVSGRFVIRVL >Vigun05g179700.1.v1.2 pep primary_assembly:ASM411807v1:5:33995083:34001991:-1 gene:Vigun05g179700.v1.2 transcript:Vigun05g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWNVTMEKDKANYVSCNCSIPTDSYCHVVSIFLKTQNLTGTLPPELIRLPYLEEIDLSRNYLNGTIPVAWGSSKLRIISLLANRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAQITSLEELRLSDNNFSGRIPSFIQRWTNLKLLNSAAVTFSFRTRALLGFLPKSLELAPSQFLLHLLCVLELLCSLVPR >Vigun05g179700.2.v1.2 pep primary_assembly:ASM411807v1:5:33995083:34002087:-1 gene:Vigun05g179700.v1.2 transcript:Vigun05g179700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NEHEEDDSLSASLPFTASAMLHMLAFGQNTTDPAEVEALKDIGNTLGNKNWDTTIDPCSNKPPWNVTMEKDKANYVSCNCSIPTDSYCHVVSIFLKTQNLTGTLPPELIRLPYLEEIDLSRNYLNGTIPVAWGSSKLRIISLLANRLTGPIPKEIGNITTLESLVLEFNQFTGNITPELGNLSHLQRLHLTSNNFTGELPETLAQITSLEELRLSDNNFSGRIPSFIQRWTNLKLLNSAAVTFSFRTRALLGFLPKSLELAPSQFLLHLLCVLELLCSLVPR >Vigun08g010800.1.v1.2 pep primary_assembly:ASM411807v1:8:945396:947947:-1 gene:Vigun08g010800.v1.2 transcript:Vigun08g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSLCALLLFAAVAAAAGANTDADDILIRQVVPEGEVEDHLLNAEHHFSNFKAKFGKTYATKEEHDHRFGVFKSNLRRARLHAQLDPSAVHGVTKFSDLTPAEFHRQFLGLKLLRLPANAQKAPILPTNNLPKDFDWRDKGAVTNVKDQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHVCDPEEYGACDSGCNGGLMNNAFEYILGAGGVQKEKDYPYTGKDGSCKFDKSKIAASVANYSVVSLDEDQIAANLVKNGPLAVAINAVYMQTYIGGVSCPYICGKHLDHGVLLVGYGEGAYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGRNVCGVDSMVSTVGAIHTSSQ >Vigun06g063700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19232879:19233964:-1 gene:Vigun06g063700.v1.2 transcript:Vigun06g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTKFLSILITLCLYLSNHGFKASGDDVTESPITCLNCSKCEEEPCQEQPSPPPPPPYGYSIYGAPPPPKEKKPSKCPPPPPPAADVECCTPAAPFSPPNPYTPVPYGEGQRSASMFLQVLVPLMMLFSSFIFLF >Vigun10g062300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:13149330:13150296:-1 gene:Vigun10g062300.v1.2 transcript:Vigun10g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSLEDFWAFYMNQHSKASTRRWHFAGTLFSILFFFCSVFFSWWFLFLVPFSGYGCAFYSHLFVERNFPETLRHPFWSLLCDFKMFGFMLTGNMDREIKRLGKRPVLQVL >Vigun05g165700.1.v1.2 pep primary_assembly:ASM411807v1:5:27459953:27481567:1 gene:Vigun05g165700.v1.2 transcript:Vigun05g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLTELDDDLVRSMSIGAVFSEFGGKIHSIDFHRKDDLLVTASEDDSVRLYDIANAKLLKTTSHKKHGTDRICFTHHPSSVICSSKYNLESTGESLRYLSMYDNRCIRYFKGHKQRVVSLCMSPINDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPAVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYILDAYGGEKRCGFSLEASPGTPIEATFTPDGKYMVAGSGGGTMHAWSIETKNEVACWSSHIGVPSCLKWAPRRAMFAAASSVLTFWIPNNDSKLKTDNGGNDAEAGSQPQTLLH >Vigun05g165700.2.v1.2 pep primary_assembly:ASM411807v1:5:27459980:27481567:1 gene:Vigun05g165700.v1.2 transcript:Vigun05g165700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLTELDDDLVRSMSIGAVFSEFGGKIHSIDFHRKDDLLVTASEDDSVRLYDIANAKLLKTTSHKKHGTDRICFTHHPSSVICSSKYNLESTGESLRYLSMYDNRCIRYFKGHKQRVVSLCMSPINDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPAVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYILDAYGGEKRCGFSLEASPGTPIEATFTPDGKYMVAGSGGGTMHAWSIETKNEVACWSSHIGVPSCLKWAPRRAMFAAASSVLTFWIPNNDSKLKTDNGGNDAEAGSQPQTLLH >Vigun11g193100.1.v1.2 pep primary_assembly:ASM411807v1:11:39244153:39245750:-1 gene:Vigun11g193100.v1.2 transcript:Vigun11g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRNPSITIGFVVTTLVLLATVCDAQLSSKFYDSTCPNALSTIRTVIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDSSSIESEKTALQNVNSVRGYNVIDQAKTEVEKVCPGVVSCADIVAVAARDASFAVGGPSWTVNLGRRDSTTASKSEATSDLPLFTDDLDTLISRFQKKGLTARDMVTLSGAHTIGQAQCFTFRGRIYNNASDIDAGFASTRRRGCPSLNNNENDKKLAALDLVTPNSFDNNYFKNLIQKKGLLHSDQVLFSGGSTDSIVSEYSENPTTFKSDFAAAMIKMGDIQPLTGSAGIIRKICTSIN >Vigun02g158900.1.v1.2 pep primary_assembly:ASM411807v1:2:30430092:30434344:-1 gene:Vigun02g158900.v1.2 transcript:Vigun02g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTAKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSTTGNAQSQPQSSGCAC >VigunL019700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:35263:40038:1 gene:VigunL019700.v1.2 transcript:VigunL019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEHFHFERLIFSREAKLERIEQMVQLHNFLFFITSMVVPRGTAAPLLLKWFVSRDVPTGAPFSNGTIIPILIPSFPLLVYLHSRKFIRSMDGAKSGVLVRASRPILLPDIIGRSSSETRAGNASFRFVPVLHFLLIESKGDFSYLESFCGVLCLLFFRTLFSLPRDRSAKRERARRRKRQTLRPKPNGNEQQRNDKMGCSGHPHLERRVEGFWPVAFPVPPSSGGACVGGVPPEPEIGLEALALPTSRQLMAVGHDYHQKAPMKMNISHFGVCICMLGVLLSCDPAAYVRPVAHASYLFRAGGVNSDSIRVFNPAAEMLS >Vigun04g142300.1.v1.2 pep primary_assembly:ASM411807v1:4:35447873:35451714:-1 gene:Vigun04g142300.v1.2 transcript:Vigun04g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTINIEIVEQGSPEVPSLIKYISSSEVAGFDTSDFQFPSPSSKGSEATSQGTQHDAPVVINHQRKYSISMPLSSEEVELPPLDAKTDGIPISSSQSATATSNHPQQSKCYSQPMPKGFHVLPEAANGDKVNNNPGIKAFKDKRFDSFKTWSGTLERQLSILRGKSPRATAQDGNNTPRNIERPLPVDRYFDALEGPELETLRASEETVLPQDKKWPFLLRFPISCFGVCLGVSSQAILWKALATSPSTQFLHISLKVNLVLWIISIALVAIIFTTYLLKIILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSVTKNLHHALWYILMVPIFCLEIKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFFAIGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFTFSLAWWAYTFPMTGAAIATIRYSNKVTNGVTKTMCVVLSLISTLIVVALLVSTILHAFVFKNLFPNDLAIAISDRRRKPQRKWLGLRYRSHESKEIENYLKFVNPDTTDLEASTTPPNGTEDSPSI >Vigun07g021950.1.v1.2 pep primary_assembly:ASM411807v1:7:1862208:1864008:1 gene:Vigun07g021950.v1.2 transcript:Vigun07g021950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTSMITQGWNQLRSFYGDHQLSAEDHLELFFEVESERTSRDIKVLYPFFWY >Vigun02g155400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30191123:30192910:-1 gene:Vigun02g155400.v1.2 transcript:Vigun02g155400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIGLVSMTQIQKLSQSQHHKKQQPQPNPNTTTTTTTPSSSWMWNPTQQLHHQEDDDDSWEVRAFAEDTRNIMGTTWPPRSYTCTYCRREFRSAQALGGHMNVHRRDRARLNQPPPSSATATSSLPTSSPYINIPPQDLVANAGLCLLYHLPPSPTAPPFPNSPSTNALSSSPSPSTLLSISSYPSSNFLMQTSFNFPGAPSTGINTATVSSFYSSKVDQSATSSSFATGHLEELDLELRLGHKPTQTS >Vigun02g155400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30191123:30192910:-1 gene:Vigun02g155400.v1.2 transcript:Vigun02g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIGLVSMTQIQKLSQSQHHKKQQPQPNPNTTTTTTTPSSSWMWNPTQQLHHQEDDDDSWEVRAFAEDTRNIMGTTWPPRSYTCTYCRREFRSAQALGGHMNVHRRDRARLNQPPPSSATATSSLPTSSPYINIPPQDLVANAGLCLLYHLPPSPTAPPFPNSPSTNALSSSPSPSTLLSISSYPSSNFLMQTSFNFPGAPSTGINTATVSSFYSSKVDQSATSSSFATGHLEELDLELRLGHKPTQTS >Vigun03g375800.2.v1.2 pep primary_assembly:ASM411807v1:3:57834937:57843572:-1 gene:Vigun03g375800.v1.2 transcript:Vigun03g375800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMNPKGTYVNRNLRLDKLQVYGFDYDYTLAHYSSHLQTLIYDLAKEYLVGELRYPEVCLSFKYDPTFPIRGLYYDKVKGCLMKLDFFGSIELDECFYGRRKLDQREIHDIYGTRHIGRDQARSLVGLMDFFCFSEACLLADIVQYFVDAKLEFDPSYVYEDVVRAIEHVHRSGLVHRGILSDPRRYMVKNGKILHFLKMLRERGKKLFLLTNSPYYFVDGGMRFMLEDSMDCRDSWTELFDVVIANANKPQFYTSEHPFRCYDTEKDTLTFTKVDKFLPGKIYYHGCLKSFLKITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIQMQNEDTYRSELAKFHIIQELLGKLHATACSSLRTPAFNSLLEELNGERQKARSKMKTMFNRCFGATFQTSTGQESAFAYHIHQYADVYTSKPENFLLHSPEAWLYVPFDVKILPHHVKVPSTFLKTG >Vigun03g375800.1.v1.2 pep primary_assembly:ASM411807v1:3:57834937:57844087:-1 gene:Vigun03g375800.v1.2 transcript:Vigun03g375800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRIRTRLPLCFSIHGTLLSRSPLHQGFSGSSRSYSVVESSQHCLLKSDEEEISALRREFEAVKQSFLSIPDALRRMPKMNPKGTYVNRNLRLDKLQVYGFDYDYTLAHYSSHLQTLIYDLAKEYLVGELRYPEVCLSFKYDPTFPIRGLYYDKVKGCLMKLDFFGSIELDECFYGRRKLDQREIHDIYGTRHIGRDQARSLVGLMDFFCFSEACLLADIVQYFVDAKLEFDPSYVYEDVVRAIEHVHRSGLVHRGILSDPRRYMVKNGKILHFLKMLRERGKKLFLLTNSPYYFVDGGMRFMLEDSMDCRDSWTELFDVVIANANKPQFYTSEHPFRCYDTEKDTLTFTKVDKFLPGKIYYHGCLKSFLKITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIQMQNEDTYRSELAKFHIIQELLGKLHATACSSLRTPAFNSLLEELNGERQKARSKMKTMFNRCFGATFQTSTGQESAFAYHIHQYADVYTSKPENFLLHSPEAWLYVPFDVKILPHHVKVPSTFLKTG >Vigun06g210700.1.v1.2 pep primary_assembly:ASM411807v1:6:32366080:32370262:-1 gene:Vigun06g210700.v1.2 transcript:Vigun06g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLCAISNWLPNMTKRRVRWNKLDIIMFAYACISILHNTSYADDALTPTSSIADGQELISAGQNFSLGFFTPGASKSRYIGIWYKNIKPQTIVWVANRDNPLNDSRGNLTIAADGNIVLFDGAGNRIWFTNSSRSIQEPIAKLLDSGNFVLMDGKKSDSKGYIWQSFDNPTDTMIPGLMLGWDKNLGIDRYLTSWKSANDPSPGNFTFRFDQIEFPELVIRQGMNITFRSGIWDGIRFNSDDWLSFIGITAFKPQLSVNSTVAVYWDEPGDRLSRYVMKDDGFLQRYIWDNKNLKWTLMHEGRKDFCDNYGACGVNGICNMKDIPAYCDCLKGFIPNSPEDWDSFNWSGGCIRRTPLNCTQSDRFQKLSWVKLPMLLQFWTNESMNLEECKLECLKNCSCTAYANSALNEGPHGCLLWFGDLTDIRQLINEEGVQLDLYLRLAASEIESTANARKRRNIAVIISVPVALLLCCIIFYLFKKYIKRRTSTGLVTITSHRNHNEDQASPIFDIDTILAATNNFSIENKIGEGGFGPVYRGKLANGQEIAVKRLSKTSKQGISEFMNEVGLVAKLQHRNLVSVLGGCTQREERMLIYEYMPNSSLDHFIFDPIQGKFLNWRKRYEIIIGIARGLLYLHQDSKLTIIHRDLKTSNILLDSQLNPKISDFGVARIVEGDHSSITTEEIAGTIGYMPHEYAVNGILSLKSDVYSFGVIVLEILSGIRSSHYKVKHPDHDHNLLGQAWTLWKDGRAVGFMDVNLDLTVVPSELLRCLQVGLLCVQKLPEDRPTMSSVVFMLSNESIALPLPKKTGFFEEESEYHHAYSENESFSNNAMTITLLEARS >Vigun06g210700.4.v1.2 pep primary_assembly:ASM411807v1:6:32365340:32370262:-1 gene:Vigun06g210700.v1.2 transcript:Vigun06g210700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKSDSKGYIWQSFDNPTDTMIPGLMLGWDKNLGIDRYLTSWKSANDPSPGNFTFRFDQIEFPELVIRQGMNITFRSGIWDGIRFNSDDWLSFIGITAFKPQLSVNSTVAVYWDEPGDRLSRYVMKDDGFLQRYIWDNKNLKWTLMHEGRKDFCDNYGACGVNGICNMKDIPAYCDCLKGFIPNSPEDWDSFNWSGGCIRRTPLNCTQSDRFQKLSWVKLPMLLQFWTNESMNLEECKLECLKNCSCTAYANSALNEGPHGCLLWFGDLTDIRQLINEEGVQLDLYLRLAASEIESTANARKRRNIAVIISVPVALLLCCIIFYLFKKYIKRRTSTGLVTITSHRNHNEDQASPIFDIDTILAATNNFSIENKIGEGGFGPVYRGKLANGQEIAVKRLSKTSKQGISEFMNEVGLVAKLQHRNLVSVLGGCTQREERMLIYEYMPNSSLDHFIFDPIQGKFLNWRKRYEIIIGIARGLLYLHQDSKLTIIHRDLKTSNILLDSQLNPKISDFGVARIVEGDHSSITTEEIAGTIGYMPHEYAVNGILSLKSDVYSFGVIVLEILSGIRSSHYKVKHPDHDHNLLGQDSSLRKIQGFWVVALMKIHYSASTSLKTLLAYLLHVLGHTIVQRVCI >Vigun06g210700.2.v1.2 pep primary_assembly:ASM411807v1:6:32365975:32370317:-1 gene:Vigun06g210700.v1.2 transcript:Vigun06g210700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKSDSKGYIWQSFDNPTDTMIPGLMLGWDKNLGIDRYLTSWKSANDPSPGNFTFRFDQIEFPELVIRQGMNITFRSGIWDGIRFNSDDWLSFIGITAFKPQLSVNSTVAVYWDEPGDRLSRYVMKDDGFLQRYIWDNKNLKWTLMHEGRKDFCDNYGACGVNGICNMKDIPAYCDCLKGFIPNSPEDWDSFNWSGGCIRRTPLNCTQSDRFQKLSWVKLPMLLQFWTNESMNLEECKLECLKNCSCTAYANSALNEGPHGCLLWFGDLTDIRQLINEEGVQLDLYLRLAASEIESTANARKRRNIAVIISVPVALLLCCIIFYLFKKYIKRRTSTGLVTITSHRNHNEDQASPIFDIDTILAATNNFSIENKIGEGGFGPVYRGKLANGQEIAVKRLSKTSKQGISEFMNEVGLVAKLQHRNLVSVLGGCTQREERMLIYEYMPNSSLDHFIFDPIQGKFLNWRKRYEIIIGIARGLLYLHQDSKLTIIHRDLKTSNILLDSQLNPKISDFGVARIVEGDHSSITTEEIAGTIGYMPHEYAVNGILSLKSDVYSFGVIVLEILSGIRSSHYKVKHPDHDHNLLGQAWTLWKDGRAVGFMDVNLDLTVVPSELLRCLQVGLLCVQKLPEDRPTMSSVVFMLSNESIALPLPKKTGFFEEESEYHHAYSENESFSNNAMTITLLEARS >Vigun06g210700.3.v1.2 pep primary_assembly:ASM411807v1:6:32366080:32370318:-1 gene:Vigun06g210700.v1.2 transcript:Vigun06g210700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKSDSKGYIWQSFDNPTDTMIPGLMLGWDKNLGIDRYLTSWKSANDPSPGNFTFRFDQIEFPELVIRQGMNITFRSGIWDGIRFNSDDWLSFIGITAFKPQLSVNSTVAVYWDEPGDRLSRYVMKDDGFLQRYIWDNKNLKWTLMHEGRKDFCDNYGACGVNGICNMKDIPAYCDCLKGFIPNSPEDWDSFNWSGGCIRRTPLNCTQSDRFQKLSWVKLPMLLQFWTNESMNLEECKLECLKNCSCTAYANSALNEGPHGCLLWFGDLTDIRQLINEEGVQLDLYLRLAASEIESTANARKRRNIAVIISVPVALLLCCIIFYLFKKYIKRRTSTGLDTILAATNNFSIENKIGEGGFGPVYRGKLANGQEIAVKRLSKTSKQGISEFMNEVGLVAKLQHRNLVSVLGGCTQREERMLIYEYMPNSSLDHFIFDPIQGKFLNWRKRYEIIIGIARGLLYLHQDSKLTIIHRDLKTSNILLDSQLNPKISDFGVARIVEGDHSSITTEEIAGTIGYMPHEYAVNGILSLKSDVYSFGVIVLEILSGIRSSHYKVKHPDHDHNLLGQAWTLWKDGRAVGFMDVNLDLTVVPSELLRCLQVGLLCVQKLPEDRPTMSSVVFMLSNESIALPLPKKTGFFEEESEYHHAYSENESFSNNAMTITLLEARS >Vigun11g166600.3.v1.2 pep primary_assembly:ASM411807v1:11:37383066:37384655:-1 gene:Vigun11g166600.v1.2 transcript:Vigun11g166600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAVLCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKAAFIFCVEDRALLCKDCDEPIHVAGSLSANHQRFLATGIRVALGSNCTKGNEKGHMEPSNPNAQEVPVKVPSQQVPSFTSSWAVDDLLELTDFESPDKVSQLCFTTVVTCIS >Vigun11g166600.1.v1.2 pep primary_assembly:ASM411807v1:11:37381369:37384655:-1 gene:Vigun11g166600.v1.2 transcript:Vigun11g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAVLCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKAAFIFCVEDRALLCKDCDEPIHVAGSLSANHQRFLATGIRVALGSNCTKGNEKGHMEPSNPNAQEVPVKVPSQQVPSFTSSWAVDDLLELTDFESPDKAQKQSLEFGELEWLADAGLFGEQFPQEPLAAAEVPQLPVTHTSNVTSQKASSKSFMSYKKPRIEVLDEDDEEHFTVPDLG >Vigun11g166600.2.v1.2 pep primary_assembly:ASM411807v1:11:37381369:37384655:-1 gene:Vigun11g166600.v1.2 transcript:Vigun11g166600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAVLCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKAAFIFCVEDRALLCKDCDEPIHVAGSLSANHQRFLATGIRVALGSNCTKGNEKGHMEPSNPNAQEVPVKVPSQQVPSFTSSWAVDDLLELTDFESPDKKQSLEFGELEWLADAGLFGEQFPQEPLAAAEVPQLPVTHTSNVTSQKASSKSFMSYKKPRIEVLDEDDEEHFTVPDLG >Vigun05g115900.1.v1.2 pep primary_assembly:ASM411807v1:5:12314039:12316728:1 gene:Vigun05g115900.v1.2 transcript:Vigun05g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVDSVRDPFKKSWKTLRFPNIKPTELLFFFFKAGLAASTFFLITLVFHLTLSYNQSHYHNNNHTSFKFMLQQQKTTHQQSPTNISHLFFGIGGSVATWDTRRRYSDMWWRRGVTRGFVWLDSHPSGNATWPETSPPYKVSGDTSTFRYTCSYGSRSAIRVARIVKESFELGLENVRWFVMGDDDTVFFTDNLVMVLSKYDHNEMYYVGGNSESVEQDVIHFYTMAFGGGGFAISYPLAKELVRILDGCIDRYAEFYGSDQKIQSCISEIGVQVTKEPGFHQVDIRGNPYGLLASHPVAPLVSLHHLDYVDPIFPGMTRVDAVKKLVTAYKTDTGRALQKSFCYDLRRNWSVSVSWGYSVEIYPSLRTAKELETAFRTFRTWRSWSDGPFTFNTRTLSSDPCEKPVVYMLDRVLSLDGDMTRSIYRRLENSPGNVCTRDDYAQALDVQYVDVFASRFTPDVWKKAPRRQCCEVMKGSDGVNNNSVVGVKMRGCRRFESVSPL >Vigun02g055700.2.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19915778:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g055700.6.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19915715:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g055700.3.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19914201:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHVVSYTDSAPKELQQQSKGSLKGNDGKKLIPARKPQSVLVAKRPDSGGQEGSVISLLANHFLVQFDPSQNIYHYNVEITPHPSKDIARAIKHKLVNNNSAVLSGATPAYDGRKNLFSPVEFQNDKLEFYISLPIPSAKLASPYGEISDLREKHEKLKLFRINIKLVSKINGKELTNYLSKEGDDWIPLPQDYLHALDVVLRESPTEKCIPVGRSFYSSSMGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g055700.1.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19915778:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEECTNANQKFTTRRMSFRNGVNSHEHHRYHHHHHHHFQHHHHLQLQQLPFTPPFPQNHIIKSKTHFHKPPCKLSSSPSLAPPPLAPAPKELQQQSKGSLKGNDGKKLIPARKPQSVLVAKRPDSGGQEGSVISLLANHFLVQFDPSQNIYHYNVEITPHPSKDIARAIKHKLVNNNSAVLSGATPAYDGRKNLFSPVEFQNDKLEFYISLPIPSAKLASPYGEISDLREKHEKLKLFRINIKLVSKINGKELTNYLSKEGDDWIPLPQDYLHALDVVLRESPTEKCIPVGRSFYSSSMGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g055700.4.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19915741:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g055700.5.v1.2 pep primary_assembly:ASM411807v1:2:19910435:19915741:-1 gene:Vigun02g055700.v1.2 transcript:Vigun02g055700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFNLQVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSSVPRFINQLSQRCEQLGIFLNKNTVITPQFESSQILNNVTLLESKLKRIQRTASNNLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIHDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun02g013800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5117022:5118746:1 gene:Vigun02g013800.v1.2 transcript:Vigun02g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTVKDFPKSKFLFFQKPNTPKVSPFPLSREHNLFAQIHHYCTSMATHDRLRPCHHCRRCRWSSSSSTLHQLPPSTSSQTITTPVAGVAPARATAGERRCRRSHSSPECPSMLPNQPLLLHLFSNWKPPRQDNVRPFLHLRNEASLSYAFLFLS >Vigun03g297100.1.v1.2 pep primary_assembly:ASM411807v1:3:48343000:48346251:-1 gene:Vigun03g297100.v1.2 transcript:Vigun03g297100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNETTRTLSEEAKERISERLSCLENLYFPRALESTVSLPSQRKAIFHDLLSRDVALFLERYGSQLTCNELTEFDSMKDDYEINWHMKRLRSIMSPSEEELKMRSVTVKNRRRAYLDRLVCGGHYFSEDAMREREPYLHHEYVGKFQDQSSRNMARPGERWSETLMRRCEEAALVAKIRGEQQRMGVPERDWVGNERFQEEGEEEEEEEEEEEEEVDEERVGGSNGQLAERHLNGADVNNDTLSNPGRVNLEASISAEELEDRMDQFTYIMQQKFLLGEDQEHLDYSKIDNDETLDDHWQREANIDAEERYFADD >Vigun03g297100.2.v1.2 pep primary_assembly:ASM411807v1:3:48343790:48346251:-1 gene:Vigun03g297100.v1.2 transcript:Vigun03g297100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNETTRTLSEEAKERISERLSCLENLYFPRALESTVSLPSQRKAIFHDLLSRDVALFLERYGSQLTCNELTEFDSMKDDYEINWHMKRLRSIMSPSEEELKMRSVTVKNRRRAYLDRLVCGGHYFSEDAMREREPYLHHEYVGKFQDQSSRNMARPGERWSETLMRRCEEAALVAKIRGEQQRMGVPERDWVGNERFQEEGEEEEEEEEEEEEEVDEERVGGSNGQLAEP >Vigun05g142166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18085155:18085948:-1 gene:Vigun05g142166.v1.2 transcript:Vigun05g142166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMLFALFLFSALTFYPPSTTAQPVTDGNGNIVKNGGRFYILPSLIGAPGGGIRRIQTDNESVPLSVVQSPFQDDRGLPIIISSPILTEFLPEGLVFLSFQHTNISEWTVVEGLPEGTLVKVHGYPHTVKGSFFIKKAEPETNWYKLLFCKGVFCGNVAVVKNGNWLLAITQDEPYVFHLEQVLPTSADA >Vigun08g206900.2.v1.2 pep primary_assembly:ASM411807v1:8:36999826:37001350:1 gene:Vigun08g206900.v1.2 transcript:Vigun08g206900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLFSLFLNFVHVTETTNLFTRNHFFCGHIFHSAQRRRGAKNEMHALWVSLKDNVKCGNKINDVIKQQTKCSKGSGCVSEWERTNAYNRPLMQTPTSLVVSRPSNTLGRLHELSVGDPSRKIVEMIFQKAWLNTSKPLKKVRTVLRVSYSEEVLERFEKYRENVKKVASEQYPRHPRSTVDGNELLRFYGTTMRCFHGKSTKRVHDLCKDPSCYLCQIIQFNFKTQYADIHPNTSDKELSNRGIATARVHSVKKAAIICRIIAGTRVNEVDGEYEGPGSTGLGEMQFSLEKFVVKNPSSVLPCFVIIFS >Vigun01g107100.2.v1.2 pep primary_assembly:ASM411807v1:1:27899296:27900927:1 gene:Vigun01g107100.v1.2 transcript:Vigun01g107100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCFFVCVYICVYLYLCHTYLIVLTQISFKPKLKKKMGLSLHKLAPGADQKKLKELEPIIEEGYEKLTEEKDAMEFPVFYRAVCEIVEKLNEKLGNTQIKLPATKDLETEFNREKSKDGKNKALTKTEFQEIMKNLVKSSGFTGIGAKEAILCIFGVPLAALLIKQKVMPEAIRDEFFIPGVTSATVFTLAALNKI >Vigun01g107100.1.v1.2 pep primary_assembly:ASM411807v1:1:27899296:27900927:1 gene:Vigun01g107100.v1.2 transcript:Vigun01g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCFFVCVYICVYLYLCHTYLIVLTQISFKPKLKKKMGLSLHKLAPGADQKKLKELEPIIEEGYEKLTEEKDAMEFPVFYRAVCEIVEKLNEKLGNTQIKLPATKDLETEFNKREKSKDGKNKALTKTEFQEIMKNLVKSSGFTGIGAKEAILCIFGVPLAALLIKQKVMPEAIRDEFFIPGVTSATVFTLAALNKI >Vigun09g273900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43467449:43469300:1 gene:Vigun09g273900.v1.2 transcript:Vigun09g273900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQQQSRELEAVVAMVPSPGMGHFIPMIHFAKRLCRHHNLRIAFFMPTNAPPSEAQTTILTALPPLISHTFLPPVKLTDIPPNAKMETIISVTVLRSLPSLRHALLSLSATHRVTALLLDFFGTDALDLARELNAPPYLFFPSTAMVLSFALNFPRLDQDLHFRDFSKPVHIPGSTPVQRKDLGDAFEDRDDDAYKWLLHHSKRYWLAEGFVENSFRDLEPDTIDLLLREEPGRPPVYLVGPLVEEDEVNMVEGCWCLKWLDAQPHGSVILVCFGSGGTLSRDQTEELALGLEMSEERFVWVVKSPNEEVANASYFSEDNGAHPFDFLPQGFVERTKGRGLVVASWVPQAQVLRHPSIGGFVSHCGWNSILESVVNGVPLVAWPLYAEQKMNAVLVSEGMRVGVGVVSGENGVVERGEIARVVKMVMEGEEGKKLLHRIKELKEAAATALSDGGSSSTQISELALKWKAQTKSVKVK >Vigun06g102600.1.v1.2 pep primary_assembly:ASM411807v1:6:23259909:23263314:-1 gene:Vigun06g102600.v1.2 transcript:Vigun06g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKSLSSRSHSRPKRFLICILLVSLPFSVFIVSEKHKRMMNKTTEVDPKPRPTTWFDVIAKGLNKKKKVKVGVVNIDAREELLSSHEAEMESVVVDFDHVDGSLKWEEIFPAWIDEDGKWSEAKCPNIPMPKFENFEDLNVVVAKVPCGVRDVFRLQVNLVVANLAVRSGWLNKMEKHHRNVYVVFVGSCGPMEEIFSCDNLLTHEPEFRVYKPDLWSLKQKTLMPVGSCQIASGYAKTGKEVWRNFLSVPKVAYVSVLHSSEAYVCGAIALAQSILQSNTNFPNDLLLLVDKSIAPKSITALKAAGWKIQRIKRITSPFAKPGAYNKWNYSKLRIWQLTTYDKIIFLDSDLVLLNNLDHLFVYPQLTAAPNNKFLFNSGLMVIEPSQCMFENMMNKTLKVRSYNGGDQGFLNEIFTWWHRLPRKVNLLKSFQDDEDAKREVPEDVYAVHYLGLKPWMCYRDYDCNWDMQDRRVFASDSAHKRWWQVYDAMPEKLQSYCGLTQKMNERIVKWRRIARNSSFSDGHWKIKVEDPRKGSYHSD >VigunL059359.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000422.1:22120:22479:1 gene:VigunL059359.v1.2 transcript:VigunL059359.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g160200.1.v1.2 pep primary_assembly:ASM411807v1:9:32762069:32765060:1 gene:Vigun09g160200.v1.2 transcript:Vigun09g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYEMREKQRARGPATILAIATATPSNCIYQSDFTDYYFRVTKSDHMTELKAKLKRICEKSMIEKRHIYLTEKMLKENPNISTYEEPSLDARQDILVEEVPKLGEKAAFKALKEWGRAKSDITHLIFCSTSGVDMPGADYQLLRLLGLKPSTKRFMLYHQGCFAGGTVLRLAKDLAENNVGARVLVVCSELTVVTFRGPCDTHLDSLVGQALFGDGASSVIVGSDLDTSIERPLFHLVSTSETILPNSEGAIEAHLREVGLTFHLKDNVPQLIRENIEKSLEEAFHPLGIIDWNSLFWVAHPGGAAILKQIEEMLGLNPDKLRASKQILKEYGNMSSACVLFILDEMRRWSLKEGKSSTGEGLKWGVLYGFGPGLTMETIVLHSATIDPNN >Vigun05g262800.1.v1.2 pep primary_assembly:ASM411807v1:5:45532786:45537566:-1 gene:Vigun05g262800.v1.2 transcript:Vigun05g262800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRYSNMSYPYSTAGSFIEYVEGLTYEHVNFIFSGASHAQESSYPSSSTTSFYKSGLFEPDSTSYHQDSNGYEVNYHEQLMDEYRRHSENSATINEQMATVRIEREGVNNVTLDSSTECPRRHHNDFNDYEVSWEDNIDPDNMTYEELLELGETVGTECRGLTQEQISSIPVSKYKCGRFFFFRKKSREERCVICQMEYRRGDKRMTLPCKHAYHASCGNKWLSINKACPMCYRDVIVDVSKHK >Vigun11g220200.1.v1.2 pep primary_assembly:ASM411807v1:11:41254042:41259997:-1 gene:Vigun11g220200.v1.2 transcript:Vigun11g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAITDQRQKIEQYKSILAAVISSNDIAQSRKFIDHMLSDDVPLVVSRQLLQTFAQELGRLEPEIQKEIAHYTLAQIQPRVVSFEEQVLVIREKLAELYESEKQWSKAAQMLSGIDLDSGMRVIDDAFRLSKCVQIACLYLEDDDAVNAEAFINKASFLVSSSQHEVLNLKYKVCYARILDLKRKFLEAALRYYDISQIEKRKIGDEEINEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFGELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQRWDQQIVGLCQALNDVLDSMAKKGFPVPV >VigunL046001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:478616:478963:1 gene:VigunL046001.v1.2 transcript:VigunL046001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSPPPCGWSTGFITTPLTIGRLPSQHLDPALPKFFWFTPTFPTCPTVAEQFLDIKRTSPEGNFNVADFPSFAISFATAPAALANCPPFPSVISMLCMAVPKGISVEVDSSF >Vigun11g208600.1.v1.2 pep primary_assembly:ASM411807v1:11:40446435:40451850:-1 gene:Vigun11g208600.v1.2 transcript:Vigun11g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKALQQQHQQLLLQQQQQQHQQNFLLLQQLQKQQHQQQQAAAISRFPSNIDAHLRPIRPLNLQQNPNPNPNHPPNPNPNPIINLHQNPNSNHLPPQQPQQPPQQQQQPQQQQQKIIRPGNQMELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEEEEDDRILDSDTTGQMLSRSQQWDNNIAAKIAEFTATFEKQALAFNIITQKRGLGEFRSEERLMIEQALLQEEKRANYELRAELESREKAGREAHEAKLRMAAMFQAEQARADLQSHAEMMSRAPMRGSALGSQGNDIVIGHDMGEQDQGGNPAEMINGWGNNTQRDEKEPSEDFLNDEAENGDTGTQDGWREVGEFDLNAR >Vigun09g056900.2.v1.2 pep primary_assembly:ASM411807v1:9:5714939:5718712:1 gene:Vigun09g056900.v1.2 transcript:Vigun09g056900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREASCSSPLNFWLNRFKPKPFKPLKTSICQASFSVQRTPTHSWKTPHLSTSDEAHFDPLGINSDLPSALNSTWENLLCLFTQPFESTSSTEKGKPNNSQGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGLLALSRLGVYIPLGGVNRDAFVGNLDQNSLLSTLDSFSGGGIGRLGVCSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYVNDFSVEWVISSVILLTLGSVFTTYIGERITDLKLGNGTSLLIFTNIISYLPASFGRTIAQAFNDANYVGLATIIVSFVFLVVGIVYVQEAERKIPINYASRYASKSTGLEKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLTALKKAAVALNPGGSFYLPFNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGRSTATFIKTVLSRISVLGSTFLAILAAGPAVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDFDKY >Vigun09g056900.1.v1.2 pep primary_assembly:ASM411807v1:9:5714939:5718712:1 gene:Vigun09g056900.v1.2 transcript:Vigun09g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREASCSSPLNFWLNRFKPKPFKPLKTSICQASFSVQRTPTHSWKTPHLSTSSDEAHFDPLGINSDLPSALNSTWENLLCLFTQPFESTSSTEKGKPNNSQGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGLLALSRLGVYIPLGGVNRDAFVGNLDQNSLLSTLDSFSGGGIGRLGVCSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYVNDFSVEWVISSVILLTLGSVFTTYIGERITDLKLGNGTSLLIFTNIISYLPASFGRTIAQAFNDANYVGLATIIVSFVFLVVGIVYVQEAERKIPINYASRYASKSTGLEKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLTALKKAAVALNPGGSFYLPFNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGRSTATFIKTVLSRISVLGSTFLAILAAGPAVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDFDKY >Vigun09g207000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38167271:38168526:1 gene:Vigun09g207000.v1.2 transcript:Vigun09g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVISTSSSRVFDAINECLFLLQSDSVEAQEKALQMLASITKVSSQNRTSLAQTEGAIPTIATLTNSSSTIIQTLSLLTLFNLSLNPDLKQSLADMETIYYLNSLITNSSFSLDSSKLASSLICSLAMHDKNKAKFGVAGTVQLLVKAVEGSHGSDAHHLLSSLAELVHFHGNCTLAVRAGAVAVLLKVVKGTDNEDLAGTSLAVLSLLARFDEGLNGLKKRDDIIRVMLNVLKGRSLLSKEGAADILIRLFDDSEDCVTEALMLPEFSTVLADLCVRGSVRVRDKADLLMKKMAQMSLDSDMDVSSLHG >Vigun04g152700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36964494:36966923:-1 gene:Vigun04g152700.v1.2 transcript:Vigun04g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIGATACDISLPKEEEIDYKEEEDKPAKTFNKSTPQNKVGFLSFTQLNSLAVVIILSASGMVSPEDFAFVFFSLFYMYFISKVAFPTLNPSKDPQVFNPQSKLLQLYALTGATIGLFTPIAYILEGVFEGDKEGIKAATPHVFLLASQVFMEGVATSQKFSAPIRALVPAFYNARRIFTIVDWVRSEVYKMNQEHSGSAWRVTVGRALAVTNMAFWSFNLFGFMLPLYIPKVLKAYYTQNNDKEQ >Vigun07g292001.1.v1.2 pep primary_assembly:ASM411807v1:7:40336537:40337337:-1 gene:Vigun07g292001.v1.2 transcript:Vigun07g292001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPDRSTCFDVATVTTWTSVMHTMVLAKSLSVQCCSYLEEFSATFKEIMKLNLGDTNMCDDLLF >Vigun04g078800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10985628:10993089:-1 gene:Vigun04g078800.v1.2 transcript:Vigun04g078800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKQPPRPAKSAEPALKQQQQEQPQLNVESEYKEEEQYEEEEEEEESQEIEEELAPSNETSKPLTTSSSSPSDDEEPIQNLLEPLGKNEIAKFLIEAASKHRDVADRIRRTADADPAHRKIFVHGLGWDTTADTLTSAFSPYGDIEDCKAVVDKATGKSKGYGFVLFKTRRAARNALREPQKRIGNRTAACQLASVGPVQSSPATISTAPGASDFSQRKIYVSNVSAELDPQRLLSFFAQFGEIEEGPLGLDKVTGKPKGFCLFVYKSVEGAKRALEVPHKKFEGHILHCQKAIDGTKQQRVGVVQGSSQSGGFVGMGAVPMPGHLMAPAGPAVGGGVAGAAVQPVNPAVGQALTALLASRNAGLQLNGFLGIGSPATNTGSYVGQGSVNPGAVGVGGYGNQVALPSSYASQQQVGIGGSGRVQQPHGVGQYGGVVPYMGH >Vigun04g078800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10985628:10993089:-1 gene:Vigun04g078800.v1.2 transcript:Vigun04g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKQPPRPAKSAEPALKQQQQEQPQLNVESEYKEEEQYEEEEEEEESQEIEEELAPSNETSKPLTTSSSSPSDDEEPIQNLLEPLGKNEIAKFLIEAASKHRDVADRIRRTADADPAHRKIFVHGLGWDTTADTLTSAFSPYGDIEDCKAVVDKATGKSKGYGFVLFKTRRAARNALREPQKRIGNRTAACQLASVGPVQSSPATISTAPGASDFSQRKIYVSNVSAELDPQRLLSFFAQFGEIEEGPLGLDKVTGKPKGFCLFVYKSVEGAKRALEVPHKKFEGHILHCQKAIDGTKQQRVGVVQGSSQSGGFVGMGAVPMPGHLMAPAGPAVGGGVAGAAVQPVNPAVGQALTALLASRNAGLQLNGFLGIGSPATNTGSYVGQGSVNPGAVGVGGYGNQVALPSSYASQQQVGIGGSGRVQQPHGVGQYGGVVPYMGH >Vigun04g078800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10983734:10993089:-1 gene:Vigun04g078800.v1.2 transcript:Vigun04g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKQPPRPAKSAEPALKQQQQEQPQLNVESEYKEEEQYEEEEEEEESQEIEEELAPSNETSKPLTTSSSSPSDDEEPIQNLLEPLGKNEIAKFLIEAASKHRDVADRIRRTADADPAHRKIFVHGLGWDTTADTLTSAFSPYGDIEDCKAVVDKATGKSKGYGFVLFKTRRAARNALREPQKRIGNRTAACQLASVGPVQSSPATISTAPGASDFSQRKIYVSNVSAELDPQRLLSFFAQFGEIEEGPLGLDKVTGKPKGFCLFVYKSVEGAKRALEVPHKKFEGHILHCQKAIDGTKQQRVGVVQGSSQSGGFVGMGAVPMPGHLMAPAGPAVGGGVAGAAVQPVNPAVGQALTALLASRNAGLQLNGFLGIGSPATNTGSYVGQGSVNPGAVGVGGYGNQVALPSSYASQQQVGIGGSGRVQQPHGVGQYGGVVPYMGH >Vigun04g078800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10989337:10993089:-1 gene:Vigun04g078800.v1.2 transcript:Vigun04g078800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKQPPRPAKSAEPALKQQQQEQPQLNVESEYKEEEQYEEEEEEEESQEIEEELAPSNETSKPLTTSSSSPSDDEEPIQNLLEPLGKNEIAKFLIEAASKHRDVADRIRRTADADPAHRKIFVHGLGWDTTADTLTSAFSPYGDIEDCKAVVDKATGKSKGYGFVLFKTRRAARNALREPQKRIGNRTAACQLASVGPVQSSPATISTAPGASDFSQRKIYVSNVSAELDPQRLLSFFAQFGEIEEGPLGLDKVTGKPKGFCLFVYKSVEGAKRALEVPHKKFEGHILHCQKAIDGTKQQRVGVVQGSSQSGGFVGMGAVPMPGHLMAPAGPAVGGGVAGAAVQPVNPAVGQALTALLASRNAGLQLNGFLGIGSPATNTGSYVGQGSVNPGAVGVGGYGNQVALPSSYASQQQVGIGGSGRVQQPHGVGQYGGVVPYMGH >Vigun09g043200.1.v1.2 pep primary_assembly:ASM411807v1:9:4023575:4025985:-1 gene:Vigun09g043200.v1.2 transcript:Vigun09g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSRKLVFLLLAIFYSHTCSATSSNASATLHSNLSSLRSFCTTTPYPEVCFDSLKLSISINISPNIISYLLQSLQVAISEATKLSNIFHNVGHSNIIEKQRGAVQDCRELHQSTLASLKRSLSGIRSSNSMSIVDARAYLSAALTNKNTCLEGLDSASGTMKPALVKSVINTYKHVSNSLSVLPKPETGTPTGQKNKQPLMNAPKWLSSRKQGTFQDREEYNPNEVLVVAADGTGNFSTITEAINFAPNNSMDRTVIYVKEGIYEENVEIPSYKTNIVMLGDGSDVTVITGNRSVGDGWTTFRSATLAVSGDGFLARDIAIENSAGPEKHQAVALRVNADLTAFYRCAIYGYQDTLYVHSFRQFYRECDIYGTIDFIFGNAAVIFQDCNIISRKPLPGQFTVITAQSRDSPDEDTGISIQNCSIIATLDLYSNSSSFKSFLGRPWRVYSRTVYLESYIDNFIDPKGWTKWSGEQPLDTLYYGEYDNYGPGSTIDNRVQWLGFHLMDYGDAYNFTVSQFINGDGWLDTTLVPYDNGI >Vigun02g126500.2.v1.2 pep primary_assembly:ASM411807v1:2:27865427:27868056:-1 gene:Vigun02g126500.v1.2 transcript:Vigun02g126500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFICIFFSFDCPQVRSIYFPPIPLPSLPCFPGQTVPKNLNTPYSSSSYSASIVDKNYHYQKTIQKRPRNMMPQRANLHIALTFLQFCYAGNHIFLRIALDGGVSKLIFPVYRNITALVLLAPLAYFSEKKDRPSITTYCVVHFFLLGLVGITMKEGFYLLGLEKTSPTFAAAMQNSVPALTFLMAALLRYESVHFNRIDGVAKVLGVLASVGGATIITLYKGPVIYSPRLAFHQEQYLSMMGDTPGKDWNLGGIYLFGHSLCWSGWIVMQAFVLKKYSAPLTVSAFTCFFGVVQFLTLAAFFEKDSKAWHFNSSGEIYSVLFSGVVTSGLASAIQIWTIGKGGPVLASIYLPLQTLLVAVMASIIFGEEFFLGGAGLLEHS >Vigun02g126500.3.v1.2 pep primary_assembly:ASM411807v1:2:27865427:27868056:-1 gene:Vigun02g126500.v1.2 transcript:Vigun02g126500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFICIFFSFDCPQVRSIYFPPIPLPSLPCFPGQTVPKNLNTPYSSSSYSASIVDKNYHYQKTIQKRPRNMMPQRANLHIALTFLQFCYAGNHIFLRIALDGGVSKLIFPVYRNITALVLLAPLAYFSEKKDRPSITTYCVVHFFLLGLVGITMKEGFYLLGLEKTSPTFAAAMQNSVPALTFLMAALLRYESVHFNRIDGVAKVLGVLASVGGATIITLYKGPVIYSPRLAFHQEQYLSMMGDTPGKDWNLGGIYLFGHSLCWSGWIVMQAFVLKKYSAPLTVSAFTCFFGVVQFLTLAAFFEKDSKAWHFNSSGEIYSVLFSGVVTSGLASAIQIWTIGKGGPVLASIYLPLQTLLVAVMASIIFGLLEHS >Vigun02g126500.1.v1.2 pep primary_assembly:ASM411807v1:2:27865427:27868056:-1 gene:Vigun02g126500.v1.2 transcript:Vigun02g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFICIFFSFDCPQVRSIYFPPIPLPSLPCFPGQTVPKNLNTPYSSSSYSASIVDKNYHYQKTIQKRPRNMMPQRANLHIALTFLQFCYAGNHIFLRIALDGGVSKLIFPVYRNITALVLLAPLAYFSEKKDRPSITTYCVVHFFLLGLVGITMKEGFYLLGLEKTSPTFAAAMQNSVPALTFLMAALLRYESVHFNRIDGVAKVLGVLASVGGATIITLYKGPVIYSPRLAFHQEQYLSMMGDTPGKDWNLGGIYLFGHSLCWSGWIVMQAFVLKKYSAPLTVSAFTCFFGVVQFLTLAAFFEKDSKAWHFNSSGEIYSVLFSGVVTSGLASAIQIWTIGKGGPVLASIYLPLQTLLVAVMASIIFGEEFFLGGIIGAFLIIFGLYLVVWGRSQETKTMKEVIVPIDSKNHWEERSEAFSLNQPLITAQSS >Vigun02g169800.1.v1.2 pep primary_assembly:ASM411807v1:2:31304618:31307289:1 gene:Vigun02g169800.v1.2 transcript:Vigun02g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTSSPVGSVRRNKPRQPLPSPRRRTNNKPKPVKVLKRCSSAPLLTRRENGDAHGHYWNSGTFFRPKTFSDAFLSSPSPLSSPRIHTKQIIKGYDKEAKVVVNVTVEGSPGPVRAMVKLGSSVDDTIKLVVDKYREEGRSPNINPSMTSSFELHHSHFSLQSLDKSEVIADVGSRSFYLRKNSDASSILSSFHSGSAPLLVSRASTPSLPNPPSFFIPSFFARKISKIVRRAQRLWNIVVCSQ >Vigun10g046900.3.v1.2 pep primary_assembly:ASM411807v1:10:6949781:6958129:1 gene:Vigun10g046900.v1.2 transcript:Vigun10g046900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWVWMIVATFLACYIFVKKIVRKSNEWYYDMKLGNKHGLLPPGDMGWPLIGNIIPFIKDFNSGHPDSFINNLHSKYGESGIYKTHLIGKPSIIICTPEMCKRVLNDDENFKHGYPKSTTELLRNKPLINTCNAEHKGLRELVSSGILGHKVLAMNLELVEKIVINSLEELSRMKQPIQVFKEMEKVSFEVIVHILMGSYTHSNIGKLRELFHQFSKCNPIYSLPINFPGFAFHQGLKVRKKLLIVIESIVGERRSTMKMVNNGENKKDLIELILEAKWENDEELKDKDVADMLLMLLFAGHETTAMAFTSSILYLTRHPQVFAKAKKEQEEIVKERPSSQKQLSRTEFKKMLYLSQENNVRAATFLPFGAGSRLCPGNNIFKYEISIFLHYFLLNYKLEETSPEGPEAVFPFSQPKDLAKLIKLSK >Vigun10g046900.2.v1.2 pep primary_assembly:ASM411807v1:10:6949782:6958129:1 gene:Vigun10g046900.v1.2 transcript:Vigun10g046900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWVWMIVATFLACYIFVKKIVRKSNEWYYDMKLGNKHGLLPPGDMGWPLIGNIIPFIKDFNSGHPDSFINNLHSKYGESGIYKTHLIGKPSIIICTPEMCKRVLNDDENFKHGYPKSTTELLRNKPLINTCNAEHKGLRELVSSGILGHKVLAMNLELVEKIVINSLEELSRMKQPIQVFKEMEKVSFEVIVHILMGSYTHSNIGKLRELFHQFSKCNPIYSLPINFPGFAFHQGLKVRKKLLIVIESIVGERRSTMKMVNNGENKKDLIELILEAKWENDEELKDKDVADMLLMLLFAGHETTAMAFTSSILYLTRHPQVFAKAKVIDEMLRRTNIAFSLFREATKDVNINGYIIPKGWRVLVWLRAIHMNPKYYPNPEEFNPSRWDENNVRAATFLPFGAGSRLCPGNNIFKYEISIFLHYFLLNYKLEETSPEGPEAVFPFSQPKDLAKLIKLSK >Vigun10g046900.1.v1.2 pep primary_assembly:ASM411807v1:10:6949782:6958129:1 gene:Vigun10g046900.v1.2 transcript:Vigun10g046900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWVWMIVATFLACYIFVKKIVRKSNEWYYDMKLGNKHGLLPPGDMGWPLIGNIIPFIKDFNSGHPDSFINNLHSKYGESGIYKTHLIGKPSIIICTPEMCKRVLNDDENFKHGYPKSTTELLRNKPLINTCNAEHKGLRELVSSGILGHKVLAMNLELVEKIVINSLEELSRMKQPIQVFKEMEKVSFEVIVHILMGSYTHSNIGKLRELFHQFSKCNPIYSLPINFPGFAFHQGLKVRKKLLIVIESIVGERRSTMKMVNNGENKKDLIELILEAKWENDEELKDKDVADMLLMLLFAGHETTAMAFTSSILYLTRHPQVFAKAKKEQEEIVKERPSSQKQLSRTEFKKMLYLSQVIDEMLRRTNIAFSLFREATKDVNINGYIIPKGWRVLVWLRAIHMNPKYYPNPEEFNPSRWDENNVRAATFLPFGAGSRLCPGNNIFKYEISIFLHYFLLNYKLEETSPEGPEAVFPFSQPKDLAKLIKLSK >Vigun07g216500.1.v1.2 pep primary_assembly:ASM411807v1:7:33827393:33830238:1 gene:Vigun07g216500.v1.2 transcript:Vigun07g216500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVSASSAISSLSSERSTRLPPSFTSASSSAKQSSLQFPSRTHLLRISTPRAPTSTRPRFLPQVQAKKQTFNSFDDLLVNSEKPVLVDFYATWCGPCQFMVPILNEVSTRLKDKIQVVKIDTEKYPSIADKYRIEALPTFIMFKDGKPYDRFEGALNVNQLIERIEAGLKVEQ >Vigun03g006000.1.v1.2 pep primary_assembly:ASM411807v1:3:417198:421941:1 gene:Vigun03g006000.v1.2 transcript:Vigun03g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSNGEVLMLEAPPSYGKPTSSDAEIIDALPYIDDDYADPRVKLEVDRLVEDEMRRSSKKPTDFLKDFPPLPSSNFENYPMIAREYERVRAGRPPVALDRSRYELEMPPANKRNDETAWKQALLRAQRLLQYQMMRMENLDLMLKYGPDTWKLHNQRLEVYLSRMQKLAQEQNEKIEKVNRERKYHQQNTAYELNALSMQWKELCHKNIDINAACASVVNSINELKSEAAERGWNLEAMTENGQLANSEL >Vigun11g192600.2.v1.2 pep primary_assembly:ASM411807v1:11:39181656:39198124:-1 gene:Vigun11g192600.v1.2 transcript:Vigun11g192600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRIQCRRDRVWALVLQPSKYFFRPRLSGHQYFQSLSPKTAVEEYASHGRIIPERLLGSYSCKHTSSTISFAAQDKRPSLCHNSTQLRAYSSESDGRNASEDKQVHGSDGTNFDKRQNQQEKFGKEVEYCNAHARLGEQEQEEWLNNERLTIENKRRESPFLTRRDKFKNEFIRRILPWEKIHISWDTFPYHIHENTKNLLVECAASHLRHNKFASTFGIGLSSSSGRILLQSIPGTELYRERLVRALAQDLQVPLLVLDNSILAPYDIDDDLSSDYESDDDNAESGEEGSLESENDDDNEASNEEEWASSTEAKSDASDNEDAVASAEAHLKKVKAAVLRKLVPYNVEEFEKIVAGESESSESSKSNSNDTKSSDKSGCQLKKGDRVKYIGPSVQVTDEDRPLTNGQRGEVYEVNGDRVAVILDINEDKVNEGEVENLSDDHTKPPIYWIHVKDIENDLDAQSQDCYIAVEALCEVLRRKQPLIVYFPDSSHWLHKSVPKSNRNEFFQRVEEMFDQLSGPIILICGQNKVQSGSKEKEQFTMILPNFGRVAKLRWTEGIKGDKTSEDDEINKLFSNVLSIHPPKDENQLATFKKQLEEDKKIVTSRSNLSILRKVLEEHQLSCIDLLHLNTDGIVLTKLKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRESLEIAVSRLTSQETMSRKSSQSLKNLAKDEFESNFISSVVPPGEIGVKFDDVGALEDVKKALQELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILSIFLAQENLDSEFQLDKLANMTDGYSGSDLKNLCIAAAYRPVQELLENEKKEASSGTTSILRPLNLDDFVQSKTKVGPSVAHDATSMTELRKWNEMYGEGGNRTKSPFGFGS >Vigun11g192600.4.v1.2 pep primary_assembly:ASM411807v1:11:39181656:39198124:-1 gene:Vigun11g192600.v1.2 transcript:Vigun11g192600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRIQCRRDRVWALVLQPSKYFFRPRLSGHQYFQSLSPKTAVEEYASHGRIIPERLLGSYSCKHTSSTISFAAQDKRPSLCHNSTQLRAYSSESDGRNASEDKQVHGSDGTNFDKRQNQQEKFGKEVEYCNAHARLGEQEQEEWLNNERLTIENKRRESPFLTRRDKFKNEFIRRILPWEKIHISWDTFPYHIHENTKNLLVECAASHLRHNKFASTFGIGLSSSSGRILLQSIPGTELYRERLVRALAQDLQVPLLVLDNSILAPYDIDDDLSSDYESDDDNAESGEEGSLESENDDDNEASNEEEWASSTEAKSDASDNEDAVASAEAHLKKVKAAVLRKLVPYNVEEFEKIVAGESESSESSKSNSNDTKSSDKSGCQLKKGDRVKYIGPSVQVTDEDRVILGKIPTSDGPTNAYTIIHGRPLTNGQRGEVYEVNGDRVAVILDINEDKVNEGEVENLSDDHTKPPIYWIHVKDIENDLDAQSQDCYIAVEALCEVLRRKQPLIVYFPDSSHWLHKSVPKSNRNEFFQRVEEMFDQLSGPIILICGQNKVQSGSKEKEQFTMILPNFGRVAKLRWTEGIKGDKTSEDDEINKLFSNVLSIHPPKDENQLATFKKQLEEDKKIVTSRSNLSILRKVLEEHQLSCIDLLHLNTDGIVLTKLKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRESLEIAVSRLTSQETMSRKSSQSLKNLAKDEFESNFISSVVPPGEIGVKFDDVGALEDVKKALQELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILSIFLAQENLDSEFQLDKLANMTDGYSGSDLKNLCIAAAYRPVQELLENEKKEASSGTTSILRPLNLDDFVQSKTKVGPSVAHDATSMTELRKWNEMYGEGGNRTKSPFGFGS >Vigun11g192600.3.v1.2 pep primary_assembly:ASM411807v1:11:39181656:39198124:-1 gene:Vigun11g192600.v1.2 transcript:Vigun11g192600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRIQCRRDRVWALVLQPSKYFFRPRLSGHQYFQSLSPKTAVEEYASHGRIIPERLLGSYSCKHTSSTISFAAQDKRPSLCHNSTQLRAYSSESDGRNASEDKQVHGSDGTNFDKRQNQQEKFGKEVEYCNAHARLGEQEQEEWLNNERLTIENKRRESPFLTRRDKFKNEFIRRILPWEKIHISWDTFPYHIHENTKNLLVECAASHLRHNKFASTFGIGLSSSSGRILLQSIPGTELYRERLVRALAQDLQVPLLVLDNSILAPYDIDDDLSSDYESDDDNAESGEEGSLESENDDDNEASNEEEWASSTEAKSDASDNEDAVASAEAHLKKVKAAVLRKLVPYNVEEFEKIVAGESESSESSKSNSNDTKSSDKSGCQLKKGDRVKYIGPSVQVTDEDRPLTNGQRGEVYEVNGDRVAVILDINEDKVNEGEVENLSDDHTKPPIYWIHVKDIENDLDAQSQDCYIAVEALCEVLRRKQPLIVYFPDSSHWLHKSVPKSNRNEFFQRVEEMFDQLSGPIILICGQNKVQSGSKEKEQFTMILPNFGRVAKLPLSLKRWTEGIKGDKTSEDDEINKLFSNVLSIHPPKDENQLATFKKQLEEDKKIVTSRSNLSILRKVLEEHQLSCIDLLHLNTDGIVLTKLKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRESLEIAVSRLTSQETMSRKSSQSLKNLAKDEFESNFISSVVPPGEIGVKFDDVGALEDVKKALQELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILSIFLAQENLDSEFQLDKLANMTDGYSGSDLKNLCIAAAYRPVQELLENEKKEASSGTTSILRPLNLDDFVQSKTKVGPSVAHDATSMTELRKWNEMYGEGGNRTKSPFGFGS >Vigun11g192600.1.v1.2 pep primary_assembly:ASM411807v1:11:39181656:39198124:-1 gene:Vigun11g192600.v1.2 transcript:Vigun11g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRIQCRRDRVWALVLQPSKYFFRPRLSGHQYFQSLSPKTAVEEYASHGRIIPERLLGSYSCKHTSSTISFAAQDKRPSLCHNSTQLRAYSSESDGRNASEDKQVHGSDGTNFDKRQNQQEKFGKEVEYCNAHARLGEQEQEEWLNNERLTIENKRRESPFLTRRDKFKNEFIRRILPWEKIHISWDTFPYHIHENTKNLLVECAASHLRHNKFASTFGIGLSSSSGRILLQSIPGTELYRERLVRALAQDLQVPLLVLDNSILAPYDIDDDLSSDYESDDDNAESGEEGSLESENDDDNEASNEEEWASSTEAKSDASDNEDAVASAEAHLKKVKAAVLRKLVPYNVEEFEKIVAGESESSESSKSNSNDTKSSDKSGCQLKKGDRVKYIGPSVQVTDEDRVILGKIPTSDGPTNAYTIIHGRPLTNGQRGEVYEVNGDRVAVILDINEDKVNEGEVENLSDDHTKPPIYWIHVKDIENDLDAQSQDCYIAVEALCEVLRRKQPLIVYFPDSSHWLHKSVPKSNRNEFFQRVEEMFDQLSGPIILICGQNKVQSGSKEKEQFTMILPNFGRVAKLPLSLKRWTEGIKGDKTSEDDEINKLFSNVLSIHPPKDENQLATFKKQLEEDKKIVTSRSNLSILRKVLEEHQLSCIDLLHLNTDGIVLTKLKAEKVVGWAKNHYLSSCLLPSVKGERLCLPRESLEIAVSRLTSQETMSRKSSQSLKNLAKDEFESNFISSVVPPGEIGVKFDDVGALEDVKKALQELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILSIFLAQENLDSEFQLDKLANMTDGYSGSDLKNLCIAAAYRPVQELLENEKKEASSGTTSILRPLNLDDFVQSKTKVGPSVAHDATSMTELRKWNEMYGEGGNRTKSPFGFGS >Vigun04g099580.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23336460:23336999:-1 gene:Vigun04g099580.v1.2 transcript:Vigun04g099580.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLCQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPHRQMIDLPIQSNLREGLSLTKYIISCYGARKGVVDTVVRTSDAGYLTRRLVEVV >Vigun04g146900.11.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.24.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.10.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.16.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.14.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.1.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFVGRKNKEAHALRLRRLRLLSYEEDVFSFESIVLSEIRNSSFGMVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.21.v1.2 pep primary_assembly:ASM411807v1:4:36237240:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEGLFRCNSRVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.13.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.22.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFVGRKNKEAHALRLRNSSFGMVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTDGF >Vigun04g146900.26.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFSLSSPSFSLKLVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.9.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.5.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFVGRKNKEAHALRLRNSSFGMVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.17.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.25.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFSLSSPSFSLKLVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.7.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.2.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFLFLGGSINYFCSGRRKKVFVGRKNKEAHALRLRNSSFGMVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.23.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.18.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEVYTRGAIMVHSEKEFQELCGFLNNPNCIITSSTGRWLLNYMVCLPAMSIQ >Vigun04g146900.12.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun04g146900.6.v1.2 pep primary_assembly:ASM411807v1:4:36236620:36240137:1 gene:Vigun04g146900.v1.2 transcript:Vigun04g146900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYRGNRSNSTRQITYFGRMIKETQCARRSIVSAYSPEVSCQDQRMEDRDLGGTKNVCMIMITNMDKKLSSTVAEFLRRYTSLSVRVFIFPNLSMEMAFELYGMLAGNVNPMIGENVKPAYGGGE >Vigun11g166124.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37348389:37348541:-1 gene:Vigun11g166124.v1.2 transcript:Vigun11g166124.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTMNQENQSAIREFLMGQAKIYPFRTCTMY >Vigun03g237100.1.v1.2 pep primary_assembly:ASM411807v1:3:39466923:39477477:1 gene:Vigun03g237100.v1.2 transcript:Vigun03g237100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVANSTLLLSSKPNHFSFSLTKTSPFLSLLSPRLRRFLSVSSLTADHHNLSDFGDGDGVGISSQGSKTLLKGMTYPDLEKWVYSHGYRPGQAMMLWKRMYGNNIWAQHVDELEGLNKDFKKMLNENAAFKALALKEIHTASDGTRKILFTLDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLTAAEIAEQAVSARRLLTDEVGSITNVVFMGMGEPFHNIDNVIKAANIMVDEQGLQFSPRKVTVSTSGLVPQLKRFLHESNCALAVSLNATTDEVRNWIMPINRKYKLDLLLQTLREELRLKKNYKVLFEYVMLEGINDSDGDAERLIELVKGIPCKINLISFNPHSGSLFRPTKEERMIEFRNTIARAGLTVFLRLSRGDDQMAACGQLGKPGTIQAPLLRVPQQFQMAIGGSS >Vigun11g048800.1.v1.2 pep primary_assembly:ASM411807v1:11:7735813:7736462:1 gene:Vigun11g048800.v1.2 transcript:Vigun11g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEIKSIGVIITVMILLNFAQAETKVSYCNFKCRMTCFVDSSPEYFEKCVNECRSLHCTKLSSDLVHNCITGCRLMKSNPINNDDRDLMNNVMNTCIQECKE >Vigun08g028600.2.v1.2 pep primary_assembly:ASM411807v1:8:2599246:2601128:-1 gene:Vigun08g028600.v1.2 transcript:Vigun08g028600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSAMLLLCLLLSSSFFFELSSAGFCASKCAQRCSKAGMKDRCMKFCGICCSKCKCVPSGTYGNKHECPCYRDLKNSKGKGKCP >Vigun08g028600.1.v1.2 pep primary_assembly:ASM411807v1:8:2599246:2601128:-1 gene:Vigun08g028600.v1.2 transcript:Vigun08g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSAMLLLCLLLSSSFFFELSSAGSGFCASKCAQRCSKAGMKDRCMKFCGICCSKCKCVPSGTYGNKHECPCYRDLKNSKGKGKCP >Vigun10g128800.1.v1.2 pep primary_assembly:ASM411807v1:10:33670082:33671288:1 gene:Vigun10g128800.v1.2 transcript:Vigun10g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNKRSKLNDNKRCKLMFEKYNWRIDNFSTLDCESYSDNFIIDDLTWFIVICPPHGIEDYLEIYLEVDPGCANLTEGRKKTENFNLALIDQVNDERTIRKGFEYEFSVHNSFEGNKFVSYDELKNPENGFIVNDSCVIEAGIFVTKYVYENEEYEPICKIDENPVKDNDNPLFDEMFRRSFKNVDPTFVPLLEEVCLQHPALVESQENRGCMFTEWAFTALGRVLHFLITKKLEDMDDEACDHLQSLWDEVKIFEFDLDWLECDVAFALGVKEKAAKVKKLKEDVDGLEIKIEILKTEVERARKELVQAKEEFEEHTQDHKLGYGGSTSTFIN >Vigun06g058400.1.v1.2 pep primary_assembly:ASM411807v1:6:18478565:18483080:1 gene:Vigun06g058400.v1.2 transcript:Vigun06g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFASQHVLPKFLEAVKMLRDLPKEVAEVTDELESFQDFIRDANKVAEAEEDINRRDRIRKRLMRLREAAFRMEDVIDDYVICDEKQPEDDPRCAALLSEAIEFIKTQILRLQIAYQIQDVKSLVRAERDGFQNHFPIEPRLHSCIGNEHFTWHKLRMDPLFIQKDEVVGLDGPIQTLKKWLTEGREERTVISVVGMAGLGKTTLSKQVFDRVHTDFECHALITVSRSYTVEGLLRDLTNKLCKERLEDPPRDVATMNQMSLIEEVRNRLNNKRYVVLFDDVWNKTFWDDIELALIDNKNGSRILITTRDEKVVDLCKKCLFFEVHKLQPLSKEKSLELLCKKAFGYGFDGSCPKDYEEVGLEIVRKCECLPLSIVAIGSLLNRKCKSPSEWGLFSQNLSLELESNSELDSVKKILGLSYDDLPQNLRSCLLYFGMYPENYEVKCDRLIQMWIAEGFVKHESGRNLEEVAQQQLIELISRSLVLVSSFTIDNRAKLCRVHDSIHEMIRGKTKNTGFCEYIDVNNHLESSGPIRRLTIATSSNDLSGTIEGSHHIRSIIIFGRREVLSEQFTSHLLSKYTRLKVLDFGYAPLYDVPENLGSLIHLKYLSFWSTFIRSLPKSIGKLQNLETLDVRANREIEVPKEITKLRKLRCLLGNRMSAIAVKDSIGSMTSLQMMHILIDPDGVVIRELGKLKQLRDMRLSNVMGHHSDTLSSSINQMQLLERLDIFVQHLSEPIDLHITSSLSKLRKLHLYANLKEFPNWIPRLQNLVKLSLVQSRLTNIPLISIGNMANLLILSFGSTSYEGETLHFENGGFQKLKELELQRLHQLSSIFIDSGALQSLEKLQIMNIPELKAVPSGIQNLKKLQVLDILYMPTEFLQRIDPNGGEDYFMIKHVPHLHFVTKNRAFLLAERAANILCGVIE >Vigun05g123750.1.v1.2 pep primary_assembly:ASM411807v1:5:13768025:13770034:-1 gene:Vigun05g123750.v1.2 transcript:Vigun05g123750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREREKSLFLRNFLFPNTKLFCFHVSFSLFRPFIYIVLPQRFLYFHLYYFHFHCFTVGVGQHRSHGKTYTKHPWLKCTCPSQPTQVHTERPYHVYFEVMGKPTPDTLC >Vigun10g025100.1.v1.2 pep primary_assembly:ASM411807v1:10:3009886:3019042:1 gene:Vigun10g025100.v1.2 transcript:Vigun10g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIICGLILAFVSPCICVVFNSTTNAYNVIDFGAKGNGKTDDSQAFLRAWESTCGAEEMGTLIIPSNYEFLLSPLILKGPCKASSVQIQIQGKLVAPAKKKWRSYYFTWILITNVNGLIVDGSEGSLHGSGSTWWSCKHCRRPSVISFNACNDLSVSYLNIMNSPRSHINVNDCDNATFSSITIHSPPNTPNTDGIDVYASKNIWIKDSNIACGDDCIAISGNSSYVNVTGVACGPGHGISIGSLGRGNAMTAEQIYVQNCSFTRTTNGARIKTFPNGPGYARAITFEQITLIKAGNPIVINQFYNDPSTWGEVEVSEITFRDFNGTSAYDKAITLNCDPQGCFNITLDQINIVSSKGGKRVYCSGRNVHGTATSTYPNCSCLSS >Vigun07g089000.5.v1.2 pep primary_assembly:ASM411807v1:7:13850450:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSFMFILALLHLMLLSFQVDSVSMNVPFASSERAKMLLLKPSKQSPSQGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMRVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGETSLPIRHGNRSGPLRTARGFLKVV >Vigun07g089000.3.v1.2 pep primary_assembly:ASM411807v1:7:13850195:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSFMFILALLHLMLLSFQVDSVSMNVPFASSERAKMLLLKPSKQSPSQGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMREHEKLTLKTILIISLCSAVLFLVLVGAFFIFLKWWKIRSSSSAISSSLTSYLNKRSGVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGETSLPIRHGNRSGPLRTARDH >Vigun07g089000.1.v1.2 pep primary_assembly:ASM411807v1:7:13850662:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSFMFILALLHLMLLSFQVDSVSMNVPFASSERAKMLLLKPSKQSPSQGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMREHEKLTLKTILIISLCSAVLFLVLVGAFFIFLKWWKIRSSSSAISSSLTSYLNKRSGVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGETSLPIRHGNRSGPLRTARGKPSFAKLTRSRSDHVVPFQACL >Vigun07g089000.6.v1.2 pep primary_assembly:ASM411807v1:7:13850450:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLHLNELKCCYLNLLSNLRLKFSYVGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMRVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGETSLPIRHGNRSGPLRTARGFLKVV >Vigun07g089000.2.v1.2 pep primary_assembly:ASM411807v1:7:13850125:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSFMFILALLHLMLLSFQVDSVSMNVPFASSERAKMLLLKPSKQSPSQGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMREHEKLTLKTILIISLCSAVLFLVLVGAFFIFLKWWKIRSSSSAISSSLTSYLNKRSGVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGETSLPIRHGNRSGPLRTARGFLKVV >Vigun07g089000.4.v1.2 pep primary_assembly:ASM411807v1:7:13850124:13857957:-1 gene:Vigun07g089000.v1.2 transcript:Vigun07g089000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSFMFILALLHLMLLSFQVDSVSMNVPFASSERAKMLLLKPSKQSPSQGPILTPRPKLPHYDHHHHSGKPYPVVPSPYKDPACSQICTDPFTATPFGSPCGCIFPMKVRLVLGVAPMVVFPVTTELEIEVASGTYLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGENFENTTALLLYERFCHKKVHLNRSLFGDYSTLYVAYPGIPSALPPGYLIGSSPLPSDTGGFLPITASLMREHEKLTLKTILIISLCSAVLFLVLVGAFFIFLKWWKIRSSSSAISSSLTSYLNKRSGVEFILSGRIMSSRSMSLVSTIAASILSVKTFSFSELEKATDRFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRNDQNRDREFITEVEMLSRLHHRNLVKLFGICIEGRKRCLVYEFVCNGSVESHLHGDDKKSCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREVGEGNGHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPEGEENLVTWARPLLRCREGLEKLVDPFLAGKCDLDEMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDDTNESEKESLWHESDFGGELVFSDSSWLDGEWVAPRLTYGPESSLITMEYSSGVLEMEKGTSSLNAGFLKVV >Vigun05g020900.5.v1.2 pep primary_assembly:ASM411807v1:5:1747866:1755298:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQASLWKSDNMPKCYDFDQGIKTSLSVQALSHLPDRSKVNIVAPQNESSVFSSSLSELFSRKLRSSANNALYGHSVDTVASHYEEERLFDSLEELEAQIIGNLLPSDDDFLSGMTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun05g020900.2.v1.2 pep primary_assembly:ASM411807v1:5:1748810:1755324:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETIDYNCFSSSLYSSEDICSSNEASLWKSDNMPKCYDFDQGIKTSLSVQALSHLPDRSKVNIVAPQNESSVFSSSLSELFSRKLRSSANNALYGHSVDTVASHYEEERLFDSLEELEAQIIGNLLPSDDDFLSGMTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun05g020900.1.v1.2 pep primary_assembly:ASM411807v1:5:1747837:1755323:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETIDYNCFSSSLYSSEDICSSNEASLWKSDNMPKCYDFDQGIKTSLSVQALSHLPDRSKVNIVAPQNESSVFSSSLSELFSRKLRSSANNALYGHSVDTVASHYEEERLFDSLEELEAQIIGNLLPSDDDFLSGMTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun05g020900.3.v1.2 pep primary_assembly:ASM411807v1:5:1749541:1755298:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun05g020900.6.v1.2 pep primary_assembly:ASM411807v1:5:1749126:1755325:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCYDFDQGIKTSLSVQALSHLPDRSKVNIVAPQNESSVFSSSLSELFSRKLRSSANNALYGHSVDTVASHYEEERLFDSLEELEAQIIGNLLPSDDDFLSGMTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun05g020900.4.v1.2 pep primary_assembly:ASM411807v1:5:1747919:1755298:1 gene:Vigun05g020900.v1.2 transcript:Vigun05g020900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFCKFPPCLMPYFIRFLSHTSSSSSSSSFQILSLFNSFSPLPSTSVFSSSNSHKASLWKSDNMPKCYDFDQGIKTSLSVQALSHLPDRSKVNIVAPQNESSVFSSSLSELFSRKLRSSANNALYGHSVDTVASHYEEERLFDSLEELEAQIIGNLLPSDDDFLSGMTNELELDHIIQDSSGDDMDELDLFSSVGGMDLGDDNTPSSGQKNSKILDGACNSQLGLCSALIDGEQPYDKHPRTLVVRNINSDVEDSELRSPFEDTPSKKDMNQRTLVVFLYDSSVSDNELHRIFNVYGEIKEIGKSPHDLQHHKLIEYYDSRAAEAALHALNRDDTIRKRLKVEPYLCEDSKQSMAQQIHPELEQKESSLYPGLHGISKPGKMDGGRILGIEPASCTPSVKTAGIHGVSSSVPNSLPSLVRVKSFDNGREITEYDSPGQFNFDIQDASSASHPHFLPEFHDGLANSARRIPPEVAANINLKTQERIESMQFCQVNSNGRFMEFNEFFKSSGNGSFPLPPGHHYKCGNFYQPPEMVWPNSPLYFDGVCPSPTLPRLHGLPRSPSHMMTTVLPINSQHVPSAPFWDRRHTYAGESLGNMQFSGNTTSNCVDFVSCNFFPHFGGNCVDFRILPKNLGLHFHNQKDLMFPGRNHMINSFETHKQRTRSRRTEGVSNLADKKQYELDIDRIKTGEDIRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFVYLPIDFRNKCNVGYAFINIINPDLIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVGINIRNKGGRVRSSTQEDIHSLESD >Vigun01g146100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32784038:32784349:-1 gene:Vigun01g146100.v1.2 transcript:Vigun01g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSQFYTSQRTQFLKLQFTAKMRVSPLVTLGNDPRKRLLGGGTSSRVLRQLISKLKHSWKKTTGWHKRGPQFSYDFRSYCLNFSDDHGPSNDHIHTPFPPLA >Vigun02g012000.1.v1.2 pep primary_assembly:ASM411807v1:2:4596434:4602339:1 gene:Vigun02g012000.v1.2 transcript:Vigun02g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIQRQRVLLDHLQPSSSSSNFSNQNHQSTDVSASSCFAGNSSHGQRGGSEDDVVIVAAYRTAICKAKRGSFKDTLPDDLLASVLKAVIEKTNVDPAEVGDIVVGTVLAPGSDRGIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGLESMSHDTVNRLSNINPKVETFAQARDCLLPMGITSENVAQRYGVTRLEQDQAAVESHKRAAAATASGKFKEEIIPVSTKFVDPKTGVEKKITVSVDDGIRPNTNIVDLAKLKPAFQKDGSTTAGNASQISDGAGAVLLMKRRVAVQKGLPILGIFRSFAAVGVDPAVMGVGPAAAIPAAVKSAGLELGNIELYEINEAFASQYVYCCKKLGLDPRKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRYGVISMCIGSGMGAAAVFERGDY >Vigun09g147000.2.v1.2 pep primary_assembly:ASM411807v1:9:31071845:31073926:1 gene:Vigun09g147000.v1.2 transcript:Vigun09g147000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERYVEVPSSKTEVKSEKKTTMANVSIPLPRNGFCIPNYATKRPSFTPPFTISCSAELDGSHQNKGGLFSFNGMKGVACGILAACAVTSSAFPVPAATQRLPPLSTEPNRCERAFIGNTIGQANGVYDKPLDLRQCDFTNEKSNLKGKSLSAALMSDAKFDGADMSEVIMSKAYAAGASFKGVDFSNAVLDRVNFEKADLEGAVFRNTVLSGSTFDEAKLDKAVFEDTIIGYIDLQKLCTNKSIGDEGRAELGCR >Vigun09g147000.1.v1.2 pep primary_assembly:ASM411807v1:9:31071845:31073926:1 gene:Vigun09g147000.v1.2 transcript:Vigun09g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERYVEVPSSKTEVKSEKKTTMANVSIPLPRNGFCIPNYATKRPSFTPPFTISCSGVAELDGSHQNKGGLFSFNGMKGVACGILAACAVTSSAFPVPAATQRLPPLSTEPNRCERAFIGNTIGQANGVYDKPLDLRQCDFTNEKSNLKGKSLSAALMSDAKFDGADMSEVIMSKAYAAGASFKGVDFSNAVLDRVNFEKADLEGAVFRNTVLSGSTFDEAKLDKAVFEDTIIGYIDLQKLCTNKSIGDEGRAELGCR >VigunL006301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:28757:28918:-1 gene:VigunL006301.v1.2 transcript:VigunL006301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun03g352400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55428575:55430505:1 gene:Vigun03g352400.v1.2 transcript:Vigun03g352400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKANTISAIAVIALIIFIIVARVSLKLSHAFFLICGASIAVIIAVFSCAFIRHRYHRRRRLLESQLKTEGRELRIEYSFLRKVAGVPTKFRYKELEEATDGFQSLLGRGSSASVFKGILSDGTSVAVKRIDGEERGEKEFRSEVAAIASVHHVNLVRMFGYCNAPTSPRYLVYEYIPNGSLDCWIFPSREKRARKSGCLPWKSRYKVAIDVARGLSYLHHDCRKRVLHLDVKPENILLDEDYKALVSDFGLSTLVGNDVSQVMTTMRGTRGYLAPEWLLERGVSEKTDIYSYGMVLLEIIGGRRNVLRVEDPRDSTKKKWEFFPKIVNEKVREGKFMEIVDHRLIESGGVDESEVIRLVYIALWCIQEKPRLRPTMAHVIDMLEQRVRVDEPPGSRMILVDLLAVDEDPVDHRNLARLLTSVSSNVDCTSTYSLGTTILSGR >Vigun07g105000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19214173:19216274:-1 gene:Vigun07g105000.v1.2 transcript:Vigun07g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINFSPLSSGYNSIPSMSRATAPTGFSTRRPWEEFVALSSFTLPYSLGEATLRLRRNLGHFRVNYAMIALFVLFLSLLWQPISLIVFIAVFIAWFFLYFFRDGPVVVLHRTLDDRLVLATLAALTVAALVLTGVWLNVVSAVLVAAAVVALHAAFRNTDDLYMDELEVSDGGLVSVVGGSPTKRTGQTRI >Vigun08g127100.1.v1.2 pep primary_assembly:ASM411807v1:8:29727434:29736169:-1 gene:Vigun08g127100.v1.2 transcript:Vigun08g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRSVTSSSWRYCHPSYYLKRPKRLALLFIGFVCVSFVVWDRQTLVREHQVEVSELRKEVTDLQHLLGELNNKQDTSGKIDLSTKVTKSIKEVLDDPIDIQRREKVKEAMLHAWGSYEKYAWGQDELQPQSKSGVNSFGGLGATLVDSLDTLYIMGLNEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKVFLDKAREIADRLLPAWNTPTGIPYNIINLSHGRAHNPSWTGGESILADSGTEQLEFIALSQRTGDPKYQQKVENVITRLNKTFPDDGLLPIYINPHSGAAGYSPVTFGAMGDSFYEYLLKVWIQGNKTSVVKHYRDMWEKSMKGLLSLIRRSTPSSFAYICEKNGGSLTDKMDELACFAPGMIALGSFGYSAAEDSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNSGVKDNMMQSFFLAETLKYFYLLFSPSSVIPLDEWVFNTEAHPLRIVTRNEGGFDKNLNEKQKPFSRIGGRKEGRSG >Vigun11g020700.1.v1.2 pep primary_assembly:ASM411807v1:11:2570626:2572615:1 gene:Vigun11g020700.v1.2 transcript:Vigun11g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFRNLMPNLNFNVVSPHRSKSLSFVICPHLLRTPPLHCFLASVVDLLSAAADQLPAADMFFTASDVFFTVADVFFTAAGLAQQQTRFFDILMRKPKSWTRFGCVNQDLLAGT >Vigun02g153600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29997876:30001193:1 gene:Vigun02g153600.v1.2 transcript:Vigun02g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGCVLLLCCLTLIPGILSDPSDEACLTHLSRSLQDSPSLPHNWKEENYAKPCNDSTSILQGAICNNGRIYKLSLNNLSLRGTISPFLSNCTNLQALDLSSNSLTGPIPPELLSLVNLAVLNLSSNRLEGDIPPQLAYCAYLNIIDLHDNLLTGPIPQQFGLLARLSAFDVSNNRLTGPIPVSLSNRSGNLPRFNASSFLGNKDLYGYPLPPLRNRGLSILAIVGIGLGSGLASLVLSFTGVCVWLKITERKMALEEGKISHLMPDY >Vigun05g139500.3.v1.2 pep primary_assembly:ASM411807v1:5:17030528:17043037:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKTPGSAIWDLSQRSHQLWKMLAHSIEEQGLDPMVELGWKKCGSLLIGRTEEESDMLKGWVKQLCEAGLKAEYLSSSELIRKEPDLLVDKYSAAAFVPDDCQLDARRTVAYIEKANRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.4.v1.2 pep primary_assembly:ASM411807v1:5:17030452:17038922:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGSLLIGRTEEESDMLKGWVKQLCEAGLKAEYLSSSELIRKEPDLLVDKYSAAAFVPDDCQLDARRTVAYIEKANRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.2.v1.2 pep primary_assembly:ASM411807v1:5:17030436:17043120:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESASNEVVAMADAYDVVIVGAGIIGLTIARHFLISSDLSVAIIDKEVPCSGSTGAGQGYLWMIYKTPGSAIWDLSQRSHQLWKMLAHSIEEQGLDPMVELGWKKCGSLLIGRTEEESDMLKGWVKQLCEAGLKAEYLSSSELIRKEPDLLVDKYSAAAFVPDDCQLDARRTVAYIEKANRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.1.v1.2 pep primary_assembly:ASM411807v1:5:17030542:17043029:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESASNEVVAMADAYDVVIVGAGIIGLTIARHFLISSDLSVAIIDKEVPCSGSTGAGQGYLWMIYKTPGSAIWDLSQRSHQLWKMLAHSIEEQGLDPMVELGWKKCGSLLIGRTEEESDMLKGWVKQLCEAGLKAEYLSSSELIRKEPDLLVDKYSAAAFVPDDCQLDARRTVAYIEKANRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMKLLQALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.5.v1.2 pep primary_assembly:ASM411807v1:5:17030470:17043065:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGWVKQLCEAGLKAEYLSSSELIRKEPDLLVDKYSAAAFVPDDCQLDARRTVAYIEKANRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.7.v1.2 pep primary_assembly:ASM411807v1:5:17030452:17038922:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWMLVGLLHILKRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun05g139500.6.v1.2 pep primary_assembly:ASM411807v1:5:17030452:17043065:-1 gene:Vigun05g139500.v1.2 transcript:Vigun05g139500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWMLVGLLHILKRSYAAKGRYTEFYNDPVKRFIRSDINGEVKAVQTSNNIIYSKKAVIVAAGCWTGSLMQDLFRNWEMDLHVPVKPRKGHLLVLENFNFLKLNHGLMEAAYLKHSTISGTESSDPEQNLSVSMTANIDAAGNLQLGSSREFVGFNTDLDESVVSHIWKKAGEFFPKLKTLSLSDLSASRKVRTGLRPYMPDGKPVIGPVPGLSNVFLAAGHEGCGLSMALGTSEMIVEMVLGYPGKVDSTAFALHKVG >Vigun10g004600.2.v1.2 pep primary_assembly:ASM411807v1:10:458182:461496:-1 gene:Vigun10g004600.v1.2 transcript:Vigun10g004600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSEPTWMIFPGTDLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun10g004600.1.v1.2 pep primary_assembly:ASM411807v1:10:458117:461496:-1 gene:Vigun10g004600.v1.2 transcript:Vigun10g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSEPTWMIFPGTDLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun04g102300.1.v1.2 pep primary_assembly:ASM411807v1:4:23587439:23602753:-1 gene:Vigun04g102300.v1.2 transcript:Vigun04g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSCIIAWGSGEDGQLGIGNNEEKEWVCLVEALQPHRFRSVVAGSRNSLAIGEDGKLFTWGWNQRSTLGHPAESKSENKIENIPSQVKALSSVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIDIPQRCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLESVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLTLVDIAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDSDKSSKMVPQKVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPMEVPINLPPPHNLTATEAEGHWIAKLVACGGRHTLAIVQWNNRSS >Vigun04g102300.2.v1.2 pep primary_assembly:ASM411807v1:4:23588760:23602778:-1 gene:Vigun04g102300.v1.2 transcript:Vigun04g102300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSCIIAWGSGEDGQLGIGNNEEKEWVCLVEALQPHRFRSVVAGSRNSLAIGEDGKLFTWGWNQRSTLGHPAESKSENKIENIPSQVKALSSVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIDIPQRCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLESVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLTLVDIAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDSDKSSKMVPQKVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPMEVPINLPPPHNLTATEAEGHWIAKLVACGGRHTLAIVQWNNRSS >Vigun05g158900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25581908:25582159:-1 gene:Vigun05g158900.v1.2 transcript:Vigun05g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >Vigun11g085600.1.v1.2 pep primary_assembly:ASM411807v1:11:25683416:25685126:1 gene:Vigun11g085600.v1.2 transcript:Vigun11g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGQNNYVLVFFLVFTSWNCHVVCRKLPEALLSERHEKWMAQHGKVYKDATEREKRFQIFKNNLQFIESFNAAGDKSFNLSINRFADLHNEEFKALLINGQKKEHSVWSPTETSFRYDSVTKIPATVDWRKKGAVTPIKDQGTCHSCWAFSAVATIEGLHHIKKGELVSLSEQELVDCVRGDSEGCNGGYVEDAFEFLAKKGGIASETNYPYKGVNKSCKVKKESDGVAIRIKGYEKVPANSEKALLKALAHQPVSAYVEAGGSSFQFYSSGTFTGKCGTEIDHSVAVVGYGKGGDGTKYWLVKNSWGTEWGEKGYIRMKRDIHAKEGLCGIATGASYPTA >Vigun03g407100.3.v1.2 pep primary_assembly:ASM411807v1:3:61450242:61453367:1 gene:Vigun03g407100.v1.2 transcript:Vigun03g407100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVNGGELFGIIASKGKRSESECRKLFQQLIDGVSYCHTKGVFHRDLKLENVLVDNKGNLKITDFGLSALPQHLRGDGLLHTTCGSPNYVAPEVLANKGYDGATSDTWSCGVLLYVILTGCLPFDDRNMVVLYQKIFKGDFRIPKGLSPGAQDLIKKILDPNPEKRITMAGIKEDPWFKKGYVPANAEDEDVYVDNEAFSMHEAEQKNSGSSPNLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNLSVKDLIERIEDTVTDMEFIVQRKNGKLKVIWENKVHRTSGCLSVAVEVFEVSSSLNVVELRKSCGDASVYKQLCSKLLNDLSVPPSERL >Vigun03g407100.2.v1.2 pep primary_assembly:ASM411807v1:3:61451106:61453367:1 gene:Vigun03g407100.v1.2 transcript:Vigun03g407100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVLASKTKIYMVLEYVNGGELFGIIASKGKRSESECRKLFQQLIDGVSYCHTKGVFHRDLKLENVLVDNKGNLKITDFGLSALPQHLRGDGLLHTTCGSPNYVAPEVLANKGYDGATSDTWSCGVLLYVILTGCLPFDDRNMVVLYQKIFKGDFRIPKGLSPGAQDLIKKILDPNPEKRITMAGIKEDPWFKKGYVPANAEDEDVYVDNEAFSMHEAEQKNSGSSPNLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNLSVKDLIERIEDTVTDMEFIVQRKNGKLKVIWENKVHRTSGCLSVAVEVFEVSSSLNVVELRKSCGDASVYKQLCSKLLNDLSVPPSERL >Vigun03g407100.1.v1.2 pep primary_assembly:ASM411807v1:3:61448998:61453367:1 gene:Vigun03g407100.v1.2 transcript:Vigun03g407100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKYELGRTLGEGNFGKVKFAKNTDSGQPFAVKIIEKNNIIDLNITNQIKREIAALKLLRHPNVVRLYEVLASKTKIYMVLEYVNGGELFGIIASKGKRSESECRKLFQQLIDGVSYCHTKGVFHRDLKLENVLVDNKGNLKITDFGLSALPQHLRGDGLLHTTCGSPNYVAPEVLANKGYDGATSDTWSCGVLLYVILTGCLPFDDRNMVVLYQKIFKGDFRIPKGLSPGAQDLIKKILDPNPEKRITMAGIKEDPWFKKGYVPANAEDEDVYVDNEAFSMHEAEQKNSGSSPNLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNLSVKDLIERIEDTVTDMEFIVQRKNGKLKVIWENKVHRTSGCLSVAVEVFEVSSSLNVVELRKSCGDASVYKQLCSKLLNDLSVPPSERL >Vigun09g276200.1.v1.2 pep primary_assembly:ASM411807v1:9:43648455:43650487:-1 gene:Vigun09g276200.v1.2 transcript:Vigun09g276200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCANRSNPYHQCTQACSQGTNQTKITTTHANKNKINSGYGRSVTDGQLGTKVNKQKRTYSGCPKASNPYHECDDNCYIRLSDSGAPPPLKLDRKIRVGSKPEPPVLDTVPASKVGAIYLSEKKKVFTEKNEHVPSEPISGHKHDPVVKPSYHKDQPRDIDNVANPTPTNHDEKTTSHKVVHVDHTEELGRNVSAGASSFPGGNEENLNDEAETESVTSEARVQVGRYKVKESFVSILQSIFDRYGDIGASCHLESVAMRSYYVECVCFVVQELHSSSLIQLSNSKVKEFLAILKDVESAELDVAWLRSALDELAENIELINKQQVVEAEKDYSGREVETVKEELRQELETLAQKEQEVADIKARIPEIRGRLRELEQKSEELNKNMLSIKSNVDNLPIKSLVDELLC >Vigun07g107100.1.v1.2 pep primary_assembly:ASM411807v1:7:19696409:19698604:1 gene:Vigun07g107100.v1.2 transcript:Vigun07g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQPYGKTDLESGARPLYPMMLESPELRWSFIRKVYSIIAIQLLVTIVIGAVVVSVRPIGVFFATTGAGLALYIVLIFVPFITLCPLYYYYQKHPVNYLLLGVFTISLSFVVGLSCAFTSEKVILEAVILTAVVVIALTLYTFWAARRGQDFNFLGPFLFGAVLVLMVFALIQVLFPLGKLSVMIYGCLAALIFCGYIVYDTDNLIKRYSYDEFIWASVSLYLDIINLFLSLLTIFRAADN >Vigun09g136800.5.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGMWKCHHCAWTKQFDSPWNLRHGNLNGYSELLMNVKTMIQHGPCFVCETKGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPSH >Vigun09g136800.2.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPLNIIALGLANIIGGLFVIGHNLIDLKNGHSERNPSQDRYEELLGRRENFTLHAFLAVLSFIIFGSVPLGVYGLFISKHYYDEDNIAAVTATSVVCIILLAMGKAYTTTQPKSYVKTVLHYVGLALATSGMSYIAGDLVKDLLDKIIGSESGYVLAMPLSDTTTMEPA >Vigun09g136800.3.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDVIADIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPLNIIALGLANIIGGLFVIGHNLIDLKNGHSERNPSQDRYEELLGRRENFTLHAFLAVLSFIIFGSVPLGVYGLFISKHYYDEDNIAAVTATSVVCIILLAMGKAYTTTQPKSYVKTVLHYVGLALATSGMSYIAGDLVKDLLDKIIGSESGYVLAMPLSDTTTMEPA >Vigun09g136800.4.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGMWKCHHCAWTKQFDSPWNLRHGNLNGYSELLMNVKTMIQHGPCFVCETKGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPLNIIALGLANIIGGLFVIGHNLIDLKNGHSERNPSQDRYEELLGRRENFTLHAFLAVLSFIIFGSVPLGVYGLFISKHYYDEDNIAAVTATSVVCIILLAMGKAYTTTQPKSYVKTVLHYVGLALATSGMSYIAGDLVKDLLDKIIGSESGYVLAMPLSDTTTMEPA >Vigun09g136800.1.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGMWKCHHCAWTKQFDSPWNLRHGNLNGYSELLMNVKTMIQHGPCFVCETKGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDVIADIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPLNIIALGLANIIGGLFVIGHNLIDLKNGHSERNPSQDRYEELLGRRENFTLHAFLAVLSFIIFGSVPLGVYGLFISKHYYDEDNIAAVTATSVVCIILLAMGKAYTTTQPKSYVKTVLHYVGLALATSGMSYIAGDLVKDLLDKIIGSESGYVLAMPLSDTTTMEPA >Vigun09g136800.6.v1.2 pep primary_assembly:ASM411807v1:9:29786679:29792720:-1 gene:Vigun09g136800.v1.2 transcript:Vigun09g136800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYCAVREDEEEEEEQLQDGAALKGRQSLQQGKDGSITNAEAPSFFSSVKEQPFPQKEEVLHTHKELRVQPAQKVEEKHNGVAENGKNFNGDSEKVGIGIIADIVENAINGEVLSSATINADASHHENSVYFDKQQGMWKCHHCAWTKQFDSPWNLRHGNLNGYSELLMNVKTMIQHGPCFVCETKGNNIDGAKSALPKSLEEEDFGILVENSDIQCSPYNGINNMEIVEERVPSSVAELPSPNSSEIQGAATVDNFGDESSAKTYPNLTEEIDQQLKEFDVEAVLAKQETHDLFCPNCKSCITKRVILRKRKRTTPIPNLDTKAKRDKSAIEIVDSSVDEGNLGDHAIATPDDAGRVEPPAENFEPEREPEVFRCLSCFSFFVPMRNGFKLFPSFGGKPEASQKLPVIPASNVENPSIVAASNSNWFFTLFTSIKGRKASAQGDASIEDSRTDPASIEDSRIDNPESSPANTPISHGGVNSSIPSIVKSVVKIESWIEKGKKSNVALQNETLVEQNDSHTQNSSDVEDINRDSVVVIKTDAVADITKRDSVLLATVATTENVREKNHDPVDVIKTDVIADIPKPDGVLVATVATTEVLFNAAGKPSKDAILKPYEGSSIFDKTLETAQDSYSSLMKEAQSPEQSFGSEVVANDVARDKQNFIVNGSIPSIQDFKKVETGIEDEIKPSVAKEKEEAFETSTSQATDVPTEGAIVTETLTHTEIYIGEQPRAEVGEHQEWEILKSIVYGGLVESITSLGVVSSAASSGAAPSH >Vigun09g183700.2.v1.2 pep primary_assembly:ASM411807v1:9:35744717:35747841:-1 gene:Vigun09g183700.v1.2 transcript:Vigun09g183700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCVSSLLCSEDSTVFDESHYGGTMMGVCEDTWRPRRHRFDDDDDEPDELPLLSDECLAMMVERECQLWPGLRYFNSLQTGILDSGARKEAIDWIHKVRSHFGFGPLCGYLSINYLDRFLSAYELPKGRVWTMQLLAVACLSLAAKVDETEVPVSLDLQVGESKFVFEAKTIQRMELLVLSTLKWRMQSITPFTFIDYFLCKINGDQSPLKSAIMRSIQLISSTAIGIDFLEFKPSEIAAAVAMNVMGETQTVDTGKAISVLIQHVEKERLLKCIKMMKELSSNSGSAKDSSGSVTCLPQSPIGVLDALCFSHKSDDTNAASCANSSHSTPDAKRRKLNKTCGAELL >Vigun09g183700.1.v1.2 pep primary_assembly:ASM411807v1:9:35744717:35747841:-1 gene:Vigun09g183700.v1.2 transcript:Vigun09g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCVSSLLCSEDSTVFDESHYGGTMMGVCEDTWRPRRHRFDDDDDEPDELPLLSDECLAMMVERECQLWPGLRYFNSLQTGILDSGARKEAIDWIHKVRSHFGFGPLCGYLSINYLDRFLSAYELPKGRVWTMQLLAVACLSLAAKVDETEVPVSLDLQVGESKFVFEAKTIQRMELLVLSTLKWRMQSITPFTFIDYFLCKINGDQSPLKSAIMRSIQLISSTAIGIDFLEFKPSEIAAAVAMNVMGETQTVDTGKAISVLIQHVEKERLLKCIKMMKELSSNSGSAKDSSGSVTCLPQSPIGVLDALCFSHKSDDTNAASCANSSHSTPDAKRRKLNKTCGAELL >Vigun10g126600.1.v1.2 pep primary_assembly:ASM411807v1:10:33471116:33475253:1 gene:Vigun10g126600.v1.2 transcript:Vigun10g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQTKAEPASVPAPAAVEPQPPPAETPSLDAADKKAVVAAAPAPAAETKALAVVEKEKEKESEKTPPEPVKKKASGGSLDRDIALAEIEKEKRLSNVKAWEESEKSKAENKAQKQLSAVAAWENSKKAALEAQLRKIEEQLEKKKAEYAEKMKNKIALVHKQAEEKRAMVEAKRGEEILNAEETAAKYRATGTTPKKAFGCF >Vigun10g126600.2.v1.2 pep primary_assembly:ASM411807v1:10:33471028:33475241:1 gene:Vigun10g126600.v1.2 transcript:Vigun10g126600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQTKAEPASVPAPAAVEPQPPPAETPSLDAADKKAVVAAAPAPAAETKALAVVEKEKEKESEKTPPEPVKKKASGGSLDRDIALAEIEKEKRLSNVKAWEESEKSKAENKAQKQLSAVAAWENSKKAALEAQLRKIEEQLEKKKAEYAEKMKNKIALVHKQAEEKRAMVEAKRGEEILNAEETAAKYRATGTTPKKAFGCF >Vigun10g008000.2.v1.2 pep primary_assembly:ASM411807v1:10:745605:748648:-1 gene:Vigun10g008000.v1.2 transcript:Vigun10g008000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSILGTYIVGTFLGWLLIKTVRVPPHLHGLVLGCCAAGNLASLPLTLVPAICKEKNNPFGEEAICHRNGLAYASLSMAVGYTYAWSFTFNIVRIYSPMISNAAKVEESSANPKSAIATDPENLLKSSCGALIMDVDITKPNGGMNPPEFECKVPNGQTKVPEKPKFMKQLKLLAEKIKNMKILIAPSTMAAIMGITIGIVPQFRKILVGEKALLHVVQDTLTLLGDASVPAMVLLLGANLLNGLKGLGQQVPLIVGIIVVKFVALPAIGVGIVKSAVHFNLIHHDPLYQFVLLLQYALPPAIVVSTITQMFGVGEGECSAIMLATYSCSAVLLTLWCTLFMWLVL >Vigun10g008000.3.v1.2 pep primary_assembly:ASM411807v1:10:745631:749957:-1 gene:Vigun10g008000.v1.2 transcript:Vigun10g008000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFKLFSVALASIMKLLLITVLGAFLAHDRFDILRENARKHVNAMVYFVFTPALIYSSMSNSLTLKSLVMLWFMPLSILGTYIVGTFLGWLLIKTVRVPPHLHGLVLGCCAAGNLASLPLTLVPAICKEKNNPFGEEAICHRNGLAYASLSMAVGYTYAWSFTFNIVRIYSPMISNAAKVEESSANPKSAIATDPENLLKSSCGALIMDVDITKPNGGMNPPEFECKVPNGQTKVPEKPKFMKQLKLLAEKIKNMKILIAPSTMAAIMGITIGIVPQFRKILVGEKALLHVVQDTLTLLGDASVPAMVLLLGANLLNGLKGLGQQVPLIVGIIVVKFVALPAIGVGIVKSAVHFNLIHHDPLYQFVLLLQYALPPAIVVSTITQMFGVGEGECSAIMLATYSCSAVLLTLWCTLFMWLVL >Vigun02g052500.1.v1.2 pep primary_assembly:ASM411807v1:2:19463207:19466282:-1 gene:Vigun02g052500.v1.2 transcript:Vigun02g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTSIFCVLLLLFSVSSAEDVNLRVKGATHIATTDENFICATLDWWPSNKCDYDQCPWGEAGIMNLDLFNNILINAVKAFNPLRIRLGGSLEDQIIYQFGEQQECSLMEKQSDGLFGFSKGCLTRKRWDEVNDFLNKTGVKFTFGLNALNGKENSIEDKENWVGDWDPTNALSLMEYSISKGYKIDSYELGNELCSGGVAAKINSVQYAKDITQLRSLVNLLYRNANTKPKVLGPAGFYDKEWFDSFLDNVGHGVVDGVTHHIYNLGPGNDKDLINKVQDPFYLSKIAQTFKDVSESVKVFTPRAGAWVGESGGAFNSGGKNVSNTFVNGFWYLDQLGMTTTFDHKVYCRQALAGGNYAMLNTTTFIPNPDYYGALLWHRLMGRNVLSVSHEGSSLLRAYAHCSKKGHGITVLLINMSNSTTFRVSLVNEMHPDKERGSSETDTMREEYHLTPKDGNIQSEVVLLNGTPLKLTQSLDIPEMNPMLVDPSSTVKVKPNSIVFVHSKSFRAPACS >Vigun03g037066.1.v1.2 pep primary_assembly:ASM411807v1:3:2851399:2852676:1 gene:Vigun03g037066.v1.2 transcript:Vigun03g037066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILAKYREEASRIYWETVLPRITHTQTPTTNPLVERFQNMQITTVLPEEPARRVPQFIGATGINDFQDDHFATRAAMRFHQLDPSEICRLGETLFLNLAAGISKYDIGLIFMLAFQLQAPGTGQTQRLFPPIDVIPNPNDTEAYSYMACSLLKLFTRSVQNYSNSLNHTRASYRKFLYNANTSERVSPVQSFLYDKHLAYTGMHSVPFAFTICETFRCTPFRLLSTVYATRFEQEIEALHCIFDMVDRADDKYKRQMWKYARIFGTSFFSALQTKKCPKFAFILASILKRENPAANSHILEIKQFEEIPVEGRQKIEDGCKVLVQVLRKERPLLLSLNKFYVSVSISYSFRGDFIS >Vigun07g199400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31987865:31988029:-1 gene:Vigun07g199400.v1.2 transcript:Vigun07g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDNNSFSKTKNICVPFKSFGRRCSRLTSEHRARFYILRRCVTMLICWQDCE >Vigun10g190500.2.v1.2 pep primary_assembly:ASM411807v1:10:40515404:40518589:1 gene:Vigun10g190500.v1.2 transcript:Vigun10g190500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPQSAGKDEVLSVELSAPSAWKKLFFPKKVGTPRKSEIVFIAPTGEEITSKKQLEQYLRAHPGNPAISEFDWGTGETPRRSARISEKDNKENEPAADETDNEKKPDTEEIDNSNAEVQNAAAAAAEKPEETLLVESEEKVAGEEEALNTEEVTEKPQQEKEEVTEKAEEEQEGTEKPQENGTVDDDSKQEMADSVIPDANGGAEEEKVNVEEKNSAKEIPVSDGKNSIQAEEQVNKMVDNGGQVIWSCAQ >Vigun10g190500.1.v1.2 pep primary_assembly:ASM411807v1:10:40515404:40518589:1 gene:Vigun10g190500.v1.2 transcript:Vigun10g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPQSAGKDEVLSVELSAPSAWKKLFFPKKVGTPRKSEIVFIAPTGEEITSKKQLEQYLRAHPGNPAISEFDWGTGETPRRSARISEKVKSTPPAETEPPRKRSRKSSGSAKKDNKENEPAADETDNEKKPDTEEIDNSNAEVQNAAAAAAEKPEETLLVESEEKVAGEEEALNTEEVTEKPQQEKEEVTEKAEEEQEGTEKPQENGTVDDDSKQEMADSVIPDANGGAEEEKVNVEEKNSAKEIPVSDGKNSIQAEEQVNKMVDNGGQVIWSCAQ >Vigun11g066950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:16954052:16955216:1 gene:Vigun11g066950.v1.2 transcript:Vigun11g066950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAKEAGKTDVPNLQESMVEVHVHGGTKRKAEFPPRPGKGKDVKKVRAALLGAGSASGAGSASGVKGPESGLIELPKISVRKDIAMNLPDTIINSIDGMKADHIVRTMVEFGSKALILSRCVRSLYRQEVKEEGRERVEEL >Vigun07g054600.1.v1.2 pep primary_assembly:ASM411807v1:7:5909556:5909984:-1 gene:Vigun07g054600.v1.2 transcript:Vigun07g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIINNEVGGFVVEAVVAVGAPAPAPAPAPAPAAASECCNVMELVPCANAFTTSTPPSLECCERLKEQERPCICRYITDPALGGLINTPNAKMVSDSCGSPMPNNC >Vigun01g105900.1.v1.2 pep primary_assembly:ASM411807v1:1:27716212:27718484:-1 gene:Vigun01g105900.v1.2 transcript:Vigun01g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVKSFQTISGYFLSFQQTKMSPEKKCVSKLEKQKDCKVYSLLDLPEWTLLCILECLSAQDLFIVSQVCTSLRDKTRSDALWEKLIKQKWGRLLGEAAYQEWQWHTTKIANTHSIFSLQQNQSGSCGSFSGVWPFLSFHSYLENFIDFITLFKHCSNMALYICLETGRFWFPVQMYKTSKLFCYDVIVSYDSKRDTFKARSQTGGWRMSEQNIEWDRLRSPPVDTSPREVHMSNCMNDLKPGDQIEIQMKKRKESPFYWCYAVIGHLETCNQNVNHCRCQYSDNLVVEFKQYLAGSINKRSVLNRNRYEEQVSMLSWFGGIRKLDKEEEIEKWNNLFASR >Vigun02g105600.2.v1.2 pep primary_assembly:ASM411807v1:2:25989655:25993035:1 gene:Vigun02g105600.v1.2 transcript:Vigun02g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLHCKLLLHRGPNGFQELQQNFSSNFLLGEGGFGTVHKGYVDDHLRLGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRLTSLPWGTRLKIAIGAAKGLSFLHGAEKPVIYRDFKTSNVLLDSEFTAKLSDFGLAKMGPEGSNTHVSTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRATDKTRPKTEQNLVDWSKPYLNSSRRLRYIVDPRLAGQYSVKGAKEIARLALQCISLNPKDRPRMPMIVETLEALQHYKDMAVTSGHWPVSQKSTRNKVSNNSKMNVNTRAGANHKQPSPVLSKKT >Vigun02g105600.1.v1.2 pep primary_assembly:ASM411807v1:2:25989655:25993035:1 gene:Vigun02g105600.v1.2 transcript:Vigun02g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSKAWRPFTANCCSTEDQTVFKNFSRCKPSRSDLSKNIAPLPSFRRLSFSDLSRSSSVRINEDLAQSFGSDLFDFQLSELRAITQNFSSNFLLGEGGFGTVHKGYVDDHLRLGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRLTSLPWGTRLKIAIGAAKGLSFLHGAEKPVIYRDFKTSNVLLDSEFTAKLSDFGLAKMGPEGSNTHVSTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRATDKTRPKTEQNLVDWSKPYLNSSRRLRYIVDPRLAGQYSVKGAKEIARLALQCISLNPKDRPRMPMIVETLEALQHYKDMAVTSGHWPVSQKSTRNKVSNNSKMNVNTRAGANHKQPSPVLSKKT >Vigun06g190500.1.v1.2 pep primary_assembly:ASM411807v1:6:30825152:30830018:1 gene:Vigun06g190500.v1.2 transcript:Vigun06g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPEIAKTQEERKRMEQQLASLNSVTFDTDLYGGSDKDSYLTSIPANEDDENLDAMDNEVARKLASYTAPKSLLKDMPSAPESDSDIGFRKPQRIIDREDDYRRRRLNQIISPERHDPFAAGEKTPDPSVRTYGDIMREEALKREKEETLKAISKKKKEEEAAKAAPPQQQQKRRNRWDQSQDDGGAAAAPVKKAKTSDWDMPDTTPGRWDATPTPGRVSDATPGRRNRWDETPTPGRVADSDATPAGGVTPGATPAGMTWDATPKLSGMATPTPKRQRSRWDETPATMGSATPLPGATPAAAYTPGVTPVGGIELATPTPGALQGSITPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPLGTPLYQIPEENRGQQFDVPKEVPGGLPFMKPEDYQYFGALLNEENEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMLILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVTNLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKSYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCHVENLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALEDEHSNVYSRPELMMFV >Vigun07g024000.4.v1.2 pep primary_assembly:ASM411807v1:7:2124128:2127785:1 gene:Vigun07g024000.v1.2 transcript:Vigun07g024000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKYIPINSDPKSLFSIPKTRKTLTFAYAFTFVFVAFTVFLAFCPSPNTSSPFFTNIFSSTASSTSSTSAYKSQLSSIFSYFFNTTTSSSSSSSSNSSTYVTTTASRSTNTTFQSHSTTKKPFKATPFENQTQTTPTTSNIPTNHTPKPHDHLVNKKPSSASNHTRVSGGGAEKGVVRSNYTASLVKKKKDGNKETDSRVEDEHIEALIKCDFFDGEWIKDDSYPLYQPGSCNLIDEQFSCIQNGRPDKDYQKYRWKPKRCSLPRLDGHRMLEMLRGKRLIFVGDSLNRNMWESLICILRNSVKDKSKVYEANGRVHFKGEASYSFIFKDYNFSAELFVSPFLVQEWQFQVKNGTKKETLRLDLVGKSSVQYKHADIIIFNTGHWWTHDKTSKGKDYYQEGSHVYNELNVLEAFRRAITTWSRWIDANIDSSKSMIFFRGYSASHFSGGQWNSGGQCDSETVPIKNEKYLKEYPPKMRVLEKVLKYMKTKVTYLNVTKMTDFRKDGHPSIYRKQNLSAEERKSPLSFQDCSHWCLPGVPDAWNEILYAELLLRQYQNQHLKKRT >Vigun07g024000.1.v1.2 pep primary_assembly:ASM411807v1:7:2124128:2127785:1 gene:Vigun07g024000.v1.2 transcript:Vigun07g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKYIPINSDPKSLFSIPKTRKTLTFAYAFTFVFVAFTVFLAFCPSPNTSSPFFTNIFSSTASSTSSTSAYKSQLSSIFSYFFNTTTSSSSSSSSNSSTYVTTTASRSTNTTFQSHSTTKKPFKATPFENQTQTTPTTSNIPTNHTPKPHDHLVNKKPSSASNHTRVSGGGAEKGVVRSNYTASLVKKKKDGNKETDSRVEDEHIEALIKCDFFDGEWIKDDSYPLYQPGSCNLIDEQFSCIQNGRPDKDYQKYRWKPKRCSLPRLDGHRMLEMLRGKRLIFVGDSLNRNMWESLICILRNSVKDKSKVYEANGRVHFKGEASYSFIFKDYNFSAELFVSPFLVQEWQFQVKNGTKKETLRLDLVGKSSVQYKHADIIIFNTGHWWTHDKTSKGKDYYQEGSHVYNELNVLEAFRRAITTWSRWIDANIDSSKSMIFFRGYSASHFSGGQWNSGGQCDSETVPIKNEKYLKEYPPKMRVLEKVLKYMKTKVTYLNVTKMTDFRKDGHPSIYRKQNLSAEERKSPLSFQDCSHWCLPGVPDAWNEILYAELLLRQYQNQHLKKRT >Vigun07g024000.3.v1.2 pep primary_assembly:ASM411807v1:7:2124116:2127786:1 gene:Vigun07g024000.v1.2 transcript:Vigun07g024000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKYIPINSDPKSLFSIPKTRKTLTFAYAFTFVFVAFTVFLAFCPSPNTSSPFFTNIFSSTASSTSSTSAYKSQLSSIFSYFFNTTTSSSSSSSSNSSTYVTTTASRSTNTTFQSHSTTKKPFKATPFENQTQTTPTTSNIPTNHTPKPHDHLVNKKPSSASNHTRVSGGGAEKGVVRSNYTASLVKKKKDGNKETDSRVEDEHIEALIKCDFFDGEWIKDDSYPLYQPGSCNLIDEQFSCIQNGRPDKDYQKYRWKPKRCSLPRLDGHRMLEMLRGKRLIFVGDSLNRNMWESLICILRNSVKDKSKVYEANGRVHFKGEASYSFIFKDYNFSAELFVSPFLVQEWQFQVKNGTKKETLRLDLVGKSSVQYKHADIIIFNTGHWWTHDKTSKGKDYYQEGSHVYNELNVLEAFRRAITTWSRWIDANIDSSKSMIFFRGYSASHFSGGQWNSGGQCDSETVPIKNEKYLKEYPPKMRVLEKVLKYMKTKVTYLNVTKMTDFRKDGHPSIYRKQNLSAEERKSPLSFQDCSHWCLPGVPDAWNEILYAELLLRQYQNQHLKKRT >Vigun07g024000.2.v1.2 pep primary_assembly:ASM411807v1:7:2124128:2127785:1 gene:Vigun07g024000.v1.2 transcript:Vigun07g024000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKYIPINSDPKSLFSIPKTRKTLTFAYAFTFVFVAFTVFLAFCPSPNTSSPFFTNIFSSTASSTSSTSAYKSQLSSIFSYFFNTTTSSSSSSSSNSSTYVTTTASRSTNTTFQSHSTTKKPFKATPFENQTQTTPTTSNIPTNHTPKPHDHLVNKKPSSASNHTRVSGGGAEKGVVRSNYTASLVKKKKDGNKETDSRVEDEHIEALIKCDFFDGEWIKDDSYPLYQPGSCNLIDEQFSCIQNGRPDKDYQKYRWKPKRCSLPRLDGHRMLEMLRGKRLIFVGDSLNRNMWESLICILRNSVKDKSKVYEANGRVHFKGEASYSFIFKDYNFSAELFVSPFLVQEWQFQVKNGTKKETLRLDLVGKSSVQYKHADIIIFNTGHWWTHDKTSKGKDYYQEGSHVYNELNVLEAFRRAITTWSRWIDANIDSSKSMIFFRGYSASHFSGGQWNSGGQCDSETVPIKNEKYLKEYPPKMRVLEKVLKYMKTKVTYLNVTKMTDFRKDGHPSIYRKQNLSAEERKSPLSFQDCSHWCLPGVPDAWNEILYAELLLRQYQNQHLKKRT >Vigun02g036200.4.v1.2 pep primary_assembly:ASM411807v1:2:14946289:14951042:-1 gene:Vigun02g036200.v1.2 transcript:Vigun02g036200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRRWHDVFWLGTFVIHLVGLGLVLGVLGLNRFKQKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGIGTALGWSWLLLLGSRATQMMKVSVHILTTYLAVISVLCFWAEQFFWGVAFAIGATLQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVAYAFMLVVLLWMALWSFGAAGVVASSIGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGTVVRVTIHGGREAESNPANSLMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHLVETLVRFFNKLQFMVKALIDLLEMHGSYSSQLELKLLWRMIVQVLCC >Vigun02g036200.3.v1.2 pep primary_assembly:ASM411807v1:2:14946419:14951042:-1 gene:Vigun02g036200.v1.2 transcript:Vigun02g036200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRRWHDVFWLGTFVIHLVGLGLVLGVLGLNRFKQKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGIGTALGWSWLLLLGSRATQMMKVSVHILTTYLAVISVLCFWAEQFFWGVAFAIGATLQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVAYAFMLVVLLWMALWSFGAAGVVASSIGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGTVVRVTIHGGREAESNPANSLMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHLVETLVRFFNKLQFMVKALIDLLEMHGSYSSQLELKLLWRMIVQVLCC >Vigun02g036200.2.v1.2 pep primary_assembly:ASM411807v1:2:14946419:14951042:-1 gene:Vigun02g036200.v1.2 transcript:Vigun02g036200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRRWHDVFWLGTFVIHLVGLGLVLGVLGLNRFKQKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGIGTALGWSWLLLLGSRATQMMKVSVHILTTYLAVISVLCFWAEQFFWGVAFAIGATLQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVAYAFMLVVLLWMALWSFGAAGVVASSIGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGTVVRVTIHGGREAESNPANSLMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNRSARDAWELFQSTGVEAIVAYDCSGAVLLMGTIFGGLITGTCSGVWAWMKWTDRAFMIGLTSMLMGMVLVGIAMVVVESAVTSIYICYAEDPLLIQRWDTEFFNHMSETLHQRLQHRSSRAREVLTHNQLDSRIGELSSI >Vigun02g036200.1.v1.2 pep primary_assembly:ASM411807v1:2:14946289:14951042:-1 gene:Vigun02g036200.v1.2 transcript:Vigun02g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRRWHDVFWLGTFVIHLVGLGLVLGVLGLNRFKQKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGIGTALGWSWLLLLGSRATQMMKVSVHILTTYLAVISVLCFWAEQFFWGVAFAIGATLQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVAYAFMLVVLLWMALWSFGAAGVVASSIGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGTVVRVTIHGGREAESNPANSLMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNRSARDAWELFQSTGVEAIVAYDCSGAVLLMGTIFGGLITGTCSGVWAWMKWTDRAFMIGLTSMLMGMVLVGIAMVVVESAVTSIYICYAEDPLLIQRWDTEFFNHMSETLHQRLQHRSSRAREVLTHNQLDSRIGELSSI >Vigun05g280600.1.v1.2 pep primary_assembly:ASM411807v1:5:46987504:46989636:-1 gene:Vigun05g280600.v1.2 transcript:Vigun05g280600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAFVEIHVPGQKFVNGVPFPAVLSPSASAISLTDSVKANKLFLQSLLLQSGAVLFRGFPLSTASHFNDVVEAFGYDELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEVEPGSGGETPIVLSHVVYERMKDKYPEFVERLEKHGLLYIRVLGEDDNPSSPIGRGWKSTFLTTDKAIAQQRAAKLGMKLEWLEDGVKTIMGPIPGVKYDESRQRKIWFNSMVAAYTGWEDERNDPVKAVTFGDGEPLPPDIVYDCLHILEEESVAIPWQKGDVLFIDNWAVLHSRRSFHPPRRVLASLVK >Vigun10g041200.1.v1.2 pep primary_assembly:ASM411807v1:10:5693305:5698993:1 gene:Vigun10g041200.v1.2 transcript:Vigun10g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLIHHHHHTLLTLPSSSHKPHFRFFTRPDFGGGGGAFPVSSSCLSHHRRRPFIRASAGATPCEFSSLNSPLEPRSMVGKFLSGVLQNHPQMFHVAVGEELKLLAEDRDAAHARMVLGSVTDEALLHRRIAQLKENQCQIAVEDVMYLLIFYKFSEIRVPLVPKLSSCLYNGRLEILPSKDWELESIHSLEVLDMIREHITTVTGLKAKSSVTECWATTQVRQFLLARVYVASILYGYFLKSVSLRYHLERNLSLADHDIHLGHRTSLMCSYGLKDAIFGHLSNMPSLGQGLIRPEEEVEDLKCYVMSFHPGSLQRCARLRSKEAVNLVGSYSCALFNNNESGSFENDDVILTSFSSLKRLVLEAVAFGSFLWETEDYIDNVYKLKED >Vigun04g187200.6.v1.2 pep primary_assembly:ASM411807v1:4:41184204:41188294:-1 gene:Vigun04g187200.v1.2 transcript:Vigun04g187200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTQEYLVEVQTTTTQETVLNPLNNVVTPLDNTSSENVSGEKKKIVGVFYKGNEYAKLNPDFVGCVEGALGIRQWLESQGHQYIVTDDKEGPDSELERNIPDAHVIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLKAAAAAGITVAEVTGSNVVSVAEDELMRILILIRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYFDRIRMDPGLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEQTRGLFDKDKISKCKKGVLIVNNARGAIMDTQAVADACSNGHVAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHVSGTTIDAQLRYAAGVKDMLDRHFKGEDFPEQNYIVKEGQLASQYR >Vigun04g187200.5.v1.2 pep primary_assembly:ASM411807v1:4:41184204:41187001:-1 gene:Vigun04g187200.v1.2 transcript:Vigun04g187200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTQEYLVEVQTTTTQETVLNPLNNVVTPLDNTSSENVSGEKKKIVGVFYKGNEYAKLNPDFVGCVEGALGIRQWLESQGHQYIVTDDKEGPDSELERNIPDAHVIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLKAAAAAGITVAEVTGSNVVSVAEDELMRILILIRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYFDRIRMDPGLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEQTRGLFDKDKISKCKKGVLIVNNARGAIMDTQAVADACSNGHVAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHVSGTTIDAQLRYAAGVKDMLDRHFKGEDFPEQNYIVKEGQLASQYR >Vigun04g187200.2.v1.2 pep primary_assembly:ASM411807v1:4:41184204:41188487:-1 gene:Vigun04g187200.v1.2 transcript:Vigun04g187200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRAAGSSAIRSLFSSTFSRNLHVSGEKKKIVGVFYKGNEYAKLNPDFVGCVEGALGIRQWLESQGHQYIVTDDKEGPDSELERNIPDAHVIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLKAAAAAGITVAEVTGSNVVSVAEDELMRILILIRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYFDRIRMDPGLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEQTRGLFDKDKISKCKKGVLIVNNARGAIMDTQAVADACSNGHVAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHVSGTTIDAQLRYAAGVKDMLDRHFKGEDFPEQNYIVKEGQLASQYR >Vigun04g187200.1.v1.2 pep primary_assembly:ASM411807v1:4:41184204:41188294:-1 gene:Vigun04g187200.v1.2 transcript:Vigun04g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTQEYLVEVQTTTTQETVLNPLNNVVTPLDNTSSENVSGEKKKIVGVFYKGNEYAKLNPDFVGCVEGALGIRQWLESQGHQYIVTDDKEGPDSELERNIPDAHVIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLKAAAAAGITVAEVTGSNVVSVAEDELMRILILIRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYFDRIRMDPGLEKEIGAKFEEDLDAMLPKCDVIVINTPLTEQTRGLFDKDKISKCKKGVLIVNNARGAIMDTQAVADACSNGHVAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHVSGTTIDAQLRYAAGVKDMLDRHFKGEDFPEQNYIVKEGQLASQYR >Vigun02g007600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3363496:3366657:-1 gene:Vigun02g007600.v1.2 transcript:Vigun02g007600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDGPTNGVTTNDANAVVDSNQRSATTAAATTTQAAALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSTVSDHKPLLPPPTPFILGKRIRPDDTDAVSKDEAVSLVAPPTPPALWALPPRPDFSQVWSFAAAAAAAAPPELVSVSSQNSLFHHHQHQHHHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRRDEEPR >Vigun02g007600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3363173:3366669:-1 gene:Vigun02g007600.v1.2 transcript:Vigun02g007600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDGPTNGVTTNDANAVVDSNQRSATTAAATTTQAAALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSTVSDHKPLLPPPTPFILGKRIRPDDTDAVSKDEAVSLVAPPTPPALWALPPRPDFSQVWSFAAAAAAAAPPELVSVSSQNSLFHHHQHQHHHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRRDEEPR >Vigun02g007600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3363496:3366657:-1 gene:Vigun02g007600.v1.2 transcript:Vigun02g007600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDGPTNGVTTNDANAVVDSNQRSATTAAATTTQAAALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSTVSDHKPLLPPPTPFILGKRIRPDDTDAVSKDEAVSLVAPPTPPALWALPPRPDFSQVWSFAAAAAAAAPPELVSVSSQNSLFHHHQHQHHHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRRDEEPR >Vigun02g007600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3353167:3366657:-1 gene:Vigun02g007600.v1.2 transcript:Vigun02g007600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDGPTNGVTTNDANAVVDSNQRSATTAAATTTQAAALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSTVSDHKPLLPPPTPFILGKRIRPDDTDAVSKDEAVSLVAPPTPPALWALPPRPDFSQVWSFAAAAAAAAPPELVSVSSQNSLFHHHQHQHHHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRRDEEPR >Vigun02g007600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3353167:3366657:-1 gene:Vigun02g007600.v1.2 transcript:Vigun02g007600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDGPTNGVTTNDANAVVDSNQRSATTAAATTTQAAALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPATFSTVSDHKPLLPPPTPFILGKRIRPDDTDAVSKDEAVSLVAPPTPPALWALPPRPDFSQVWSFAAAAAAAAPPELVSVSSQNSLFHHHQHQHHHQQQQAAMGEASAARLGNYLPGHLNLLASLSGGHGNSGRRDEEPR >Vigun04g117900.1.v1.2 pep primary_assembly:ASM411807v1:4:29995564:29997820:1 gene:Vigun04g117900.v1.2 transcript:Vigun04g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPKRKPSPLRKIVAVSSIAAGIQFGWALQLSLLTPYVQTLGVPHAWASFIWLCGPISGLLVQPIVGYSSDHCKSPFGRRRPFILAGALAVAVAIILIGYAADIGHLAGDDITQKTRPRAVAIFVIGFWILDVANNMLQGPCRAFLGDLAAGDQQKTRIANALFSFFMAVGNVLGYAAGSYDNLHKIFPFTETEACNVFCANLKSCFFFSIILLLALCIIVLACVNDPQFTPPYEETNAEEAENSHFSCFCGELCIAFKGLKKPMWMLMLVTAVTWIAWFLYVLFDTDWMGREVYGGEVGEKAYNAGVHAGSLGLMLNSVVLAVASLGVEPLGRLVGGVKWLWAIVNVILAVCMAMTVPIAKIAQHQRALNPALIGNPSMDVKVGALAFFCVLGIPLAVTYSVPFALASIYSSNSGAGQGLSLGLLNVAIVVPQMIVSAISGPWDAWFGGGNLPAFVLGAVAAAISAILAVVVLPNPKKKDEARIPSLSMGSFH >Vigun04g145700.2.v1.2 pep primary_assembly:ASM411807v1:4:36094535:36096644:-1 gene:Vigun04g145700.v1.2 transcript:Vigun04g145700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEDWDLFAIVRSCKAATFTATTTTQNPPTVTSTAASISPNTTSCFDSGHENGSFSFPNLVQPPTNESQELHQLLINFNPTTTTSTISSTITSAIGINPNSTFSEVAGFIGQQHIQQGHHHHLVPAPTNHTSIGAPSTTAFDRFQHQHHQQQHQAQTPEQQLQKQNLPQLRASQTSPILSPTTQSQTPRSRKRKNHQKKIVRHVTADNLSADSWAWRKYGQKPIKGSPYPRCSSCKGCAARKQVERSTTEPNSFIVTYTGEHKHAKPVHRNSLAGSTRTKPSPTRLPEANETVSGIKENACSSTSELSTTSLKSGTPENEETVAAGEPDFPEMEIDPDALSDNDYDLLIPNTGAMSDAVLLGLTNGAETKISDPNSESGLTQSKSDPSSNPL >Vigun04g145700.1.v1.2 pep primary_assembly:ASM411807v1:4:36094535:36096644:-1 gene:Vigun04g145700.v1.2 transcript:Vigun04g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEDWDLFAIVRSCKAATFTATTTTQNPPTVTSTAASISPNTTSCFDSGHENGSFSFPNLVQPPTNESQELHQLLINFNPTTTTSTISSTITSAIGINPNSTFSEVAGFIGQQHIQQGHHHHLVPAPTNHTSIGAPSTTAFDRFQHQHHQQQHQAQTPEQQLQKQNLPQLRASQTSPILSPTTQSQTPRSRKRKNHQKKIVRHVTADNLSADSWAWRKYGQKPIKGSPYPRNYYRCSSCKGCAARKQVERSTTEPNSFIVTYTGEHKHAKPVHRNSLAGSTRTKPSPTRLPEANETVSGIKENACSSTSELSTTSLKSGTPENEETVAAGEPDFPEMEIDPDALSDNDYDLLIPNTGAMSDAVLLGLTNGAETKISDPNSESGLTQSKSDPSSNPL >Vigun07g125000.1.v1.2 pep primary_assembly:ASM411807v1:7:23124597:23147939:-1 gene:Vigun07g125000.v1.2 transcript:Vigun07g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKGRSSCGASSLSVAHLSVSNKVDQMVAPPGDVGVVQNDLDIDLREVYFLIMHFLSAGPCRRTFLHFKEELLEHQLLPRRYHAWFSRSGDVGGDDVEEDDDGFSLPLDYSNLVGRYPHITKDHLVKLLKQLMLSTVHPLHGKLGGSSPNAADVPTLLGHGSFSLLDSDRKTADKFVKTPPLYMRWPHMKANQVQGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMQNIKKLRGHRVAVYCAIFDGSGRFVISGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFVIRVWRLPDGMPISVLRGHTGAVNTITFSPSVVYQLLSSSDDGTCRIWDARNSHNPRIYVPRPPDAIHGKINAPPASLPSSSNGQQSYQVLCCAYNANGTVFVTGSSDTFARVWSALKPNTDDPEQPIHEMDLLSGHENDVNYVQFSGCSVASKILTSDPWKEEHTLKFRNFWYCHDNIVTCSRDGSAIIWVPRSRKSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRLLPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPIRTYEIGRFKLVDGKFSPDGTSIVLSDDVGQIYFLNTGQGESQKDAKYDQFFLGDYRPLIQDTQGNVLDQETQLPPHRRNIQEPLCDSSMVPYPEPYQSQFQQRRLGALGIEWRPSMIKYAVGPDFSVGQGQDYPVIPLVDLDGMVEPQLDFLDAPLWEPEYEIIVSEDTDSEYNVNDDTSSAAAQGSVISSSDLECSEDDSSNRDGLRRSRRKKHNVGVEVTTSSGRRVRKRNLDECNGNTSGSNRPSKKSKGSSKSSKRKSSKAKTSRPQRVAAHNARHMFSQIDETSTDEEDNDSNDESSDSFQDPDDFSDPEREMDVKHDEFKKSQLKKFANVSKPPVCSESQSNVETRPRLVLKFSLRDSKKSVPTEDTKPTCETEDNMVCQSSRPQPQESHHKTFPDSKYLDSVLSSMTATNSELPQRHNGDENDDKIQTENATNNLDPSRYVEENTDQCRKVETHTYEPSRSRDALLTDAENDDHLEHDANGRSEHMIGNLETARSMINKGLTGFEDALKFSSPEPLLSGNAQPNADAFWTSGYEKFNGVNKCQSGSGKRAEDSLENNEAVHSSHSGDLKMKAPVKSTKLIIKKKQISSETEGPCKLKFVSSKADSTGARGIVISGNSSITGPNLLPEGEEDRKFSTTQLLHSYSDKRRDFVHERDKSHKGKVNQDGFESFDCDIEEHNSVFSNQHGLGIVLSDVLSDPIRRSRSVRIKSTSEEPSTSNRRFKIHGGQSSRGKSDWEDCSTKVSDQLHRRTRTSRHRRDEHISSNPGGSLTRRVSNHHVKNSSWLMLLMHDDSYRYIPQLGDEVVYFRQGHQEYLESCASSESGPWRSFLGLGFSEICKVEELEYAELPGSGESCCKLKLKFVDPSSHVHGKMFKLTLPELNDISDFVVEKTWYDTAMKRNWSSRDKCKVWWRNGDGDGGNWWDGRIISVQAKSHNFPDSPWERYQIQYKNDPTENHLHSPWELRDPDPENQWKHPHIDHTIRDKLLSYFTKLDRREKFDIHALNKVAEKLEFANRFPVPLYPELIQSRLENDYYRTVEGVKHDIMVMLSNAEDYFTITKNFQLLSKIRRLSEWFRKKLEKV >Vigun07g125000.3.v1.2 pep primary_assembly:ASM411807v1:7:23124597:23147939:-1 gene:Vigun07g125000.v1.2 transcript:Vigun07g125000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKGRSSCGASSLSVAHLSVSNKVDQMVAPPGDVGVVQNDLDIDLREVYFLIMHFLSAGPCRRTFLHFKEELLEHQLLPRRYHAWFSRSGDVGGDDVEEDDDGFSLPLDYSNLVGRYPHITKDHLVKLLKQLMLSTVHPLHGKLGGSSPNAADVPTLLGHGSFSLLDSDRKTADKFVKTPPLYMRWPHMKANQVQGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMQNIKKLRGHRVAVYCAIFDGSGRFVISGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFVIRVWRLPDGMPISVLRGHTGAVNTITFSPSVVYQLLSSSDDGTCRIWDARNSHNPRIYVPRPPDAIHGKINAPPASLPSSSNGQQSYQVLCCAYNANGTVFVTGSSDTFARVWSALKPNTDDPEQPIHEMDLLSGHENDVNYVQFSGCSVASKILTSDPWKEEHTLKFRNFWYCHDNIVTCSRDGSAIIWVPRSRKSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRLLPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPIRTYEIGRFKLVDGKFSPDGTSIVLSDDVGQIYFLNTGQGESQKDAKYDQFFLGDYRPLIQDTQGNVLDQETQLPPHRRNIQEPLCDSSMVPYPEPYQSQFQQRRLGALGIEWRPSMIKYAVGPDFSVGQGQDYPVIPLVDLDGMVEPQLDFLDAPLWEPEYEIIVSEDTDSEYNVNDDTSSAAAQGSVISSSDLECSEDDSSNRDGLRRSRRKKHNVGVEVTTSSGRRVRKRNLDECNGNTSGSNRPSKKSKGSSKSSKRKSSKAKTSRPQRVAAHNARHMFSQIDETSTDEEDNDSNDESSDSFQDPDDFSDPEREMDVKHDEFKKSQLKKFANVSKPPVCSESQSNVETRPRLVLKFSLRDSKKSVPTEDTKPTCETEDNMVCQSSRPQPQESHHKTFPDSKYLDSVLSSMTATNSELPQRHNGDENDDKIQTENATNNLDPSRYVEENTDQCRKVETHTYEPSRSRDALLTDAENDDHLEHDANGRSEHMIGNLETARSMINKGLTGFEDALKFSSPEPLLSGNAQPNADAFWTSGYEKFNGVNKCQSGSGKRAEDSLENNEAVHSSHSGDLKMKAPVKSTKLIIKKKQISSETEGPCKLKFVSSKADSTGARGIVISGNSSITGPNLLPEGEEDRKFSTTQLLHSYSDKRRDFVHERDKSHKGKVNQDGFESFDCDIEEHNSVFSNQHGLGIVLSDVLSDPIRRSRSVRIKSTSEEPSTSNRRFKIHGGQSSRGKSDWEDCSTKVSDQLHRRTRTSRHRRDEHISSNPGGSLTRRVSNHHVKNSSWLMLLMHDDSYRYIPQLGDEVVYFRQGHQEYLESCASSESGPWRSFLGLGFSEICKVEELEYAELPGSGESCCKLKLKFVDPSSHVHGKMFKLTLPELNDISDFVVEKTWYDTAMKRNWSSRDKCKVWWRNGDGDGGNWWDGRIISVQAKSHNFPDSPWERYQIQYKNDPTENHLHSPWELRDPDPENQWKHPHIDHTIRDKLLSYFTKLDRREKFDIHALNKVAEKLEFANRFPVPLYPELIQSRLENDYYRTVEGVKHDIMVMLSNAEDYFTITKNFQLLSKIRRLSEWFRKKLEKV >Vigun07g125000.2.v1.2 pep primary_assembly:ASM411807v1:7:23124597:23147939:-1 gene:Vigun07g125000.v1.2 transcript:Vigun07g125000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKGRSSCGASSLSVAHLSVSNKVDQMVAPPGDVGVVQNDLDIDLREVYFLIMHFLSAGPCRRTFLHFKEELLEHQLLPRRYHAWFSRSGDVGGDDVEEDDDGFSLPLDYSNLVGRYPHITKDHLVKLLKQLMLSTVHPLHGKLGGSSPNAADVPTLLGHGSFSLLDSDRKTADKFVKTPPLYMRWPHMKANQVQGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMQNIKKLRGHRVAVYCAIFDGSGRFVISGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDFVIRVWRLPDGMPISVLRGHTGAVNTITFSPSVVYQLLSSSDDGTCRIWDARNSHNPRIYVPRPPDAIHGKINAPPASLPSSSNGQQSYQVLCCAYNANGTVFVTGSSDTFARVWSALKPNTDDPEQPIHEMDLLSGHENDVNYVQFSGCSVASKILTSDPWKEEHTLKFRNFWYCHDNIVTCSRDGSAIIWVPRSRKSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRLLPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPIRTYEIGRFKLVDGKFSPDGTSIVLSDDVGQIYFLNTGQGESQKDAKYDQFFLGDYRPLIQDTQGNVLDQETQLPPHRRNIQEPLCDSSMVPYPEPYQSQFQQRRLGALGIEWRPSMIKYAVGPDFSVGQGQDYPVIPLVDLDGMVEPQLDFLDAPLWEPEYEIIVSEDTDSEYNVNDDTSSAAAQGSVISSSDLECSEDDSSNRDGLRRSRRKKHNVGVEVTTSSGRRVRKRNLDECNGNTSGSNRPSKKSKGSSKSSKRKSSKAKTSRPQRVAAHNARHMFSQIDETSTDEEDNDSNDESSDSFQDPDDFSDPEREMDVKHDEFKKSQLKKFANVSKPPVCSESQSNVETRPRLVLKFSLRDSKKSVPTEDTKPTCETEDNMVCQSSRPQPQESHHKTFPDSKYLDSVLSSMTATNSELPQRHNGDENDDKIQTENATNNLDPSRYVEENTDQCRKVETHTYEPSRSRDALLTDAENDDHLEHDANGRSEHMIGNLETARSMINKGLTGFEDALKFSSPEPLLSGNAQPNADAFWTSGYEKFNGVNKCQSGSGKRAEDSLENNEAVHSSHSGDLKMKAPVKSTKLIIKKKQISSETEGPNLLPEGEEDRKFSTTQLLHSYSDKRRDFVHERDKSHKGKVNQDGFESFDCDIEEHNSVFSNQHGLGIVLSDVLSDPIRRSRSVRIKSTSEEPSTSNRRFKIHGGQSSRGKSDWEDCSTKVSDQLHRRTRTSRHRRDEHISSNPGGSLTRRVSNHHVKNSSWLMLLMHDDSYRYIPQLGDEVVYFRQGHQEYLESCASSESGPWRSFLGLGFSEICKVEELEYAELPGSGESCCKLKLKFVDPSSHVHGKMFKLTLPELNDISDFVVEKTWYDTAMKRNWSSRDKCKVWWRNGDGDGGNWWDGRIISVQAKSHNFPDSPWERYQIQYKNDPTENHLHSPWELRDPDPENQWKHPHIDHTIRDKLLSYFTKLDRREKFDIHALNKVAEKLEFANRFPVPLYPELIQSRLENDYYRTVEGVKHDIMVMLSNAEDYFTITKNFQLLSKIRRLSEWFRKKLEKV >Vigun01g249000.2.v1.2 pep primary_assembly:ASM411807v1:1:41609990:41611676:1 gene:Vigun01g249000.v1.2 transcript:Vigun01g249000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYSSHILGTCLRKNPKLRSFMDIGKHAFGSKGRLVAATIIYMEIFMSLVSYTISLHDNLITVFLGTNLKHHFPRFSSSQFLTAVAVLIALPSLWIRDLSSISFLSTLGILMSLLIFLCVAATAVLGHVSNNHAIPVLQLHNIPSVSGLYVFGYGGHIVFPELYTAMKDPSKFTKVSVVSFAVVTAIYTTLGFMGARMFGKDVKSQITLSMPAESIVTKVALWATVVAPMTKYALEFTPLAIQLEHALPSTMSGRTKMIIRGCVGSFSLLVILTLALSVPYFEHVLSLTGSLVSVAVCLILPCSFYLKTCWDQISNPVLLLNLFLITFGFLLALMGTISSSKLLLKSFQLHHSS >Vigun01g249000.1.v1.2 pep primary_assembly:ASM411807v1:1:41609479:41611676:1 gene:Vigun01g249000.v1.2 transcript:Vigun01g249000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKMLKSLWKSFQHKCVERQKSEVDESVSVHVHGEDLVVLPVQCCNSCIEETKQCNCDHIHAAEDANLHAQRHANSNSSFTHAVINMVGMLVGLGQLSTPYAVVSGGWVSAFLLVGLGVMCAYSSHILGTCLRKNPKLRSFMDIGKHAFGSKGRLVAATIIYMEIFMSLVSYTISLHDNLITVFLGTNLKHHFPRFSSSQFLTAVAVLIALPSLWIRDLSSISFLSTLGILMSLLIFLCVAATAVLGHVSNNHAIPVLQLHNIPSVSGLYVFGYGGHIVFPELYTAMKDPSKFTKVSVVSFAVVTAIYTTLGFMGARMFGKDVKSQITLSMPAESIVTKVALWATVVAPMTKYALEFTPLAIQLEHALPSTMSGRTKMIIRGCVGSFSLLVILTLALSVPYFEHVLSLTGSLVSVAVCLILPCSFYLKTCWDQISNPVLLLNLFLITFGFLLALMGTISSSKLLLKSFQLHHSS >Vigun09g241400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41115559:41117415:-1 gene:Vigun09g241400.v1.2 transcript:Vigun09g241400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFFLLLSLYLLSSLSSSSYGLSDVEASFIARRQMMHLEENEKLPENYVNTYKTNLKFSHTRLKAAYIALEAWKKAIYSDPTNFTANWSGQDVCSYNGVFCAPALDDKNIEVVAGIDLNHADIAGYIPPEVGLLTDLALLHINSNRFCGIVPNSFTNLKILYELDFSNNRFVGRFPEHVLELTELRYIDLRFNNFEGELPPALFNKSFDAIFMNNNRFSSNIPENIGSTPATVVVIANNNFSGCVPSSIGEVKSLTEFVMINNNLTGCLPEEIGKLEKVSVFDISYNNFVGVLPRNFNGLKNVEYLSISHNKFTGFVPRNVCTLPNLVNFTFSNNYFNGEEEGCAPQKKEPLFEDENNCIPNRPKQKQSNVCNEVISKPVDCGKAKCGHGGHTPSTPSKHTPSPPKTEKQTPTPVPQAPPTPQQQQPQPPPTPTPQQQQSTPPPTPPTPTPQQPTPSPPQEEPHGESPKNRERTPPTPVYSPPPPPVHSPPPPVHSPPPPVYSPPPPVYSPPPPVHSPPPPVQSPPPPVYSPPPPVFSPPPPVFSPPPPVHSPPPPVYSPPPPVHSPPPPVYSPPPPVQSPPPPSPTPSPPMEDVFLPPHFGSSYASPPPPTIAGY >Vigun10g055700.1.v1.2 pep primary_assembly:ASM411807v1:10:9957953:9965307:-1 gene:Vigun10g055700.v1.2 transcript:Vigun10g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKTMTMDWDGLGDDDDDDAFFESYSRLSTAAPLDLASSSDDDDDFDDPRLSFASAISSSPSRQSSVSPTKDAAPDYGIWMAGPVSIDERRKRLLHGMGLDEDKEFSKTTPVELGQSVSKPTNPVSSQKSTLPSTCANPVTVSTTTVTDSTTPVSETTTPAAVSTEKISSGHASHQLVLVRSRSEGDIELFSLEGSRREDFIGKCSKQRLTRTRTEVFVQRAPPGTRNRVVLRDSDEAPQSKPKSTKVGDREVRAFFLIKNLDTGKEFIVNECTESGAWNRLSDLQTGKQLTMDEFETSVGKSRVVNEYMRRGTRSESLSRKLTSSSYISRSLRMSKRRGVAFLKNIKGVASGFIGEREAAAANAAAASTAAEKGQWVRVRQSGKSHKEVSALHLCQELQGHEGSIWTIRFSLDGRFLASAGEDKVIHVWEVQECEVMSLKLDEGSFTPLHPSSLASNDGSPFSPAAADKKKKGKFGSKKGPAIPDFVHVPETVFSLSDKPFCTFQGHSDDVLDLSWSRSKQLLSSSMDKTVRLWDLETKTCLYKFPHSDYVTCIQFNPLNDDIFISGSLDAKVRIWSIPERHVVDWIDINEMVTALAFTPDGQGAFVGTHKGGCRTYSTKDCKLTQTGTIEIRNKKSLLRKVTGFQFVPGKPSEILVTSADSRIRILEGSEVVQKYKGFRNANSQIAASFTPDGRYIISASEDSEVYVWKREEHRTAGSGKFKSVLVTRAHEHFQCKDVSVAIPWNCPVKGDPLLGPVASKRHSKRYLGGSIGGEETPSPSSANGSKKTLPPLPRKSNSLNFEGASNSPREEAAVIFHAESGLGDSFGNCKRTMPRSPMKNCHTAEEDELEPFSRTDSGFSDSFRTASSSSRCSDALSSTASFSSAYSSLDGGHVSSTAWGLVIVTAGLGGEIKCYQNFGLPRRIRQPHLFGSYNSFTNI >Vigun03g179400.6.v1.2 pep primary_assembly:ASM411807v1:3:22536979:22539269:-1 gene:Vigun03g179400.v1.2 transcript:Vigun03g179400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGWFPLFLLFHIFKFLYWVSSSLDLHCMEDENESKGGVGFNDRFDNMLLDSSKTHHGRINYQSSWICT >Vigun03g179400.3.v1.2 pep primary_assembly:ASM411807v1:3:22536979:22539269:-1 gene:Vigun03g179400.v1.2 transcript:Vigun03g179400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDQKSFFFIKVGMVQRVLYRMDTKEMINGWFPLFLLFHIFKFLYWVSSSLDLHCMEDENESKGGVGFNDRFDNMLLDSSKTHHGRINYQSSWICT >Vigun03g179400.2.v1.2 pep primary_assembly:ASM411807v1:3:22536979:22539269:-1 gene:Vigun03g179400.v1.2 transcript:Vigun03g179400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDQKSFFFIKVGMVQRVLYRMDTKEMINGWFPLFLLFHIFKFLYWVSSSLDLHCMEDENESKGGVGFNDRFDNMLLDSSKTHHGRINYQSSWICT >Vigun03g179400.4.v1.2 pep primary_assembly:ASM411807v1:3:22536664:22539269:-1 gene:Vigun03g179400.v1.2 transcript:Vigun03g179400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILVDQKSFFFIKVGMVQRVLYRMDTKEMINGWFPLFLLFHIFKFLYWVSSSLDLHCMEDENESKGGVGFNDSKKKILP >Vigun03g179400.5.v1.2 pep primary_assembly:ASM411807v1:3:22537537:22539269:-1 gene:Vigun03g179400.v1.2 transcript:Vigun03g179400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILVDQKSFFFIKVGMVQRVLYRMDTKEMINGWFPLFLLFHIFKFLYWVSSSLDLHCMEDENESKGGVGFNDSKKKILP >Vigun07g207800.1.v1.2 pep primary_assembly:ASM411807v1:7:32913073:32916342:-1 gene:Vigun07g207800.v1.2 transcript:Vigun07g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHGFSAKRSVLPYQTPRLRDHYVLGKKLGQGQFGTTYLCTHKTSGKLYACKSIPKRKLLCQEDYEDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDRIIQKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPGEDALMKATDFGLSVFHKPGQAFHDVVGSPYYVAPEVLCKHYGPEVDVWSAGVILYILLSGVPPFWAESEAGIFRQIINGELDFVSEPWPNISESAKELVKQMLDRDPKKRVSAHEVLCNPWIVDDIAPDRPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKEGLRSVGSNLMESEIKSLMEAADIDNSGSIDYGEFLAATLHLNKMEREENLVAAFSYFDKDGSGYITFDELQQATKDFGLGDVHLDEMIREIDQDNDGRIDYSEFAAMMKRGDPDVGRSRTMKGNLNFNLADAFGVKDSSS >Vigun05g233101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42703157:42703300:1 gene:Vigun05g233101.v1.2 transcript:Vigun05g233101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILVVCGVVFGFCLGSLEGDEGKMMVARKIEIEGEVIFQHSLGQQ >Vigun03g287200.2.v1.2 pep primary_assembly:ASM411807v1:3:46909675:46913549:1 gene:Vigun03g287200.v1.2 transcript:Vigun03g287200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWESFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADQDNLSISKSELHDLLSKPSLNGIPLLVLGNKIDKPGALSKEALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Vigun03g287200.1.v1.2 pep primary_assembly:ASM411807v1:3:46909675:46913549:1 gene:Vigun03g287200.v1.2 transcript:Vigun03g287200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWESFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADQDNLSISKSELHDLLSKPSLNGIPLLVLGNKIDKPGALSKEALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Vigun05g010700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:863966:864555:-1 gene:Vigun05g010700.v1.2 transcript:Vigun05g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSYLSSLRPTLPKGIVQSRRKGHKIRVVRGSYGRNEEGKVVDESMIVLRLRIKKMKMLEMNREAPATWMNWEKQCYDHYDEDVCNAIGLLQSFLMETRPSFALGMVTLVALSLPISSATLLFSAFKIGKTIFSIFG >Vigun06g213000.1.v1.2 pep primary_assembly:ASM411807v1:6:32496136:32498476:-1 gene:Vigun06g213000.v1.2 transcript:Vigun06g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKPISRNYYPLVLFGSLFCLFMSSFGAESDIYCLQTIKNMDTSNLLSSWDFESNTEGGICRFSGVECSSNYVEDRVISLWLFNMGLKGQFPGCVEDFTWLQTLILSHNELSGYIPNDISAKLPYLTTLDLSNNYFSGEIPKGIANLSGLITLLLDGNQLTGQIPQDLGLLPRLRNFSVANNLLEGSVPVFVSNLNVSLSYANNSGLCGGILGNCDESLFGRSFWYSFIVGFACSATSVLVSVMYYYPTWTEFKKRRRSNAIHPLIKKQNTEHEAELLPLALQEQGSKELPILLERFITRMSFMELCKATDYFCTDNVLGIGKTGIMYKAKVSKSCFLAVKRLYDGDKYRWRFLLEIMIPGRHRHRNIVPLHGFCIQKHERVLVYKYISNGRLSDWLQSDEGHQPIKLEWPERIHIALGLARGLSWLHKTCNVVHLNLDSECVLLDKNFEPKISNFGKAKFVNQTVEDHVRMKLFLVDGVGVKGDAEKDVYDFGIILFELLTGKRLSPSTDSYDSINGHLMKYISKNLFTDPADFYDAIDDSIIGKGFDDKILGLLEVACDCVKTSLKQRPRMVDMHQTIRAMWEGYKPCFHSQSLKLSMDCADHITCISKIE >Vigun06g149300.1.v1.2 pep primary_assembly:ASM411807v1:6:27405874:27411631:1 gene:Vigun06g149300.v1.2 transcript:Vigun06g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLVYHFMILILWFIHVDVSAQGDLYVAKPGCDSQCGDLQIPFPFGMKSSECYAGKWFEIECRNTSTYQTPYLKSIGVEVTSIDVEGGTVTINNPINRDNCGTKHSPAVNQSLEGSPFVYSQEHNKFVAAGCNIIVFLQVNGTESSGCVSICDEDLKVDDIGKMELKNSDCNGKNCCQNSLPPYLKEYSTQVKGLKENVTDGECSYAMVIQQNLNSYDYLSYPYYAPKSYYFPVNGEMKDLDVVPVVLEWEILNNMNLNLPTLSQCYDTNVTSSRYKRSGQRCSCLGGSSFGSNPYLEGGCSADYSVYQNSPSHLTISVIKGISISFGSIILLLILWRVFKVTKKTIVKKWREKFFKKNGGLLLQQRLSSGEINVDKVKLFSLKELQKATDNFNANRVLGKGGQATVYKGMLIDGTIIAVKKFKVQGKIEEFINEFVILSQINHRNVVKLLGSCLETKIPLLVYEFIPNGNLFEYLHQQNEDLPMTWEMRLRIATEVAGALFYLHSAASQPIYHKDIKSTNILLDEKYRAKVADFGTSRMISIDVTHLTTVVQGTFGYLDPEFFQTSQFTEKSDVYSFGVVLVELLTGQKPITLLSQEEAKSLASYFIMCVEENCVFDIIDERVMKEGEKDHIMKVVNLASQCLKLNGKRRPTMREITLELEGIRKLVKESNAQELHNEFVRSEDCQSWDDNSIISEIISTFDLSSRTTILKDVHIVTI >Vigun03g371500.3.v1.2 pep primary_assembly:ASM411807v1:3:57431818:57436505:1 gene:Vigun03g371500.v1.2 transcript:Vigun03g371500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRLRSVNVGDSEAARPVFGPGGNKTGSWSSRKPASKPLRKAEKLHNEAKEKKSQEVSAILTSPQSHSASVLRRHEQLLYSNLSLNASCSSDASTDSFRSRASTGRLTRSTSLGCTRKRSVSKPRSVASDGVLESPPHGSQSKKRCAWITPNTEPCYATFHDEEWGVPVHDDKKLFELLVLSSALSELTWPAILSQRHIFSSLQGSFCGF >Vigun03g371500.2.v1.2 pep primary_assembly:ASM411807v1:3:57431818:57436505:1 gene:Vigun03g371500.v1.2 transcript:Vigun03g371500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRLRSVNVGDSEAARPVFGPGGNKTGSWSSRKPASKPLRKAEKLHNEAKEKKSQEVSAILTSPQSHSASVLRRHEQLLYSNLSLNASCSSDASTDSFRSRASTGRLTRSTSLGCTRKRSVSKPRSVASDGVLESPPHGSQSKKRCAWITPNTEPCYATFHDEEWGVPVHDDKKLFELLVLSSALSELTWPAILSQRHIFREVFADFDPVAVSKFNDKKIMAPGTAASSLLSDLKLRAIIENARQISKVLLMPGNRRVRVI >Vigun03g371500.1.v1.2 pep primary_assembly:ASM411807v1:3:57431818:57436505:1 gene:Vigun03g371500.v1.2 transcript:Vigun03g371500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRLRSVNVGDSEAARPVFGPGGNKTGSWSSRKPASKPLRKAEKLHNEAKEKKSQEVSAILTSPQSHSASVLRRHEQLLYSNLSLNASCSSDASTDSFRSRASTGRLTRSTSLGCTRKRSVSKPRSVASDGVLESPPHGSQSKKRCAWITPNTEPCYATFHDEEWGVPVHDDKKLFELLVLSSALSELTWPAILSQRHIFREVFADFDPVAVSKFNDKKIMAPGTAASSLLSDLKLRAIIENARQISKVIEEFGSFDKYIWSFVNHKPIISRFRYPRQVPVKTPKADVISKDLVRRGFRGVGPTVIYSFMQVVGLTNDHLISCFRFQDCMAAAEGKEENVTKDDSQQKQCDHVMESDLSIAIDNLSLSSE >Vigun09g008900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:694072:695009:-1 gene:Vigun09g008900.v1.2 transcript:Vigun09g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVLWCCFITFLSLTALSTTMAAAADHTLQTSSKDDIKCTPCGQVVPSPPPPSPPPPAPTTTYCPPPPSPPSSPGGGSGTYYYSSPPPPSQYTYSSPPPPASSGGGVYYPPPTKYYPTPPPPNPIVPYFPFYYHTPPPPSTAAPPAAKSWLVCATSLLPFLAALLW >Vigun08g089600.1.v1.2 pep primary_assembly:ASM411807v1:8:20712304:20719772:-1 gene:Vigun08g089600.v1.2 transcript:Vigun08g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEVAKTVLEVADVAWTAVEHTHHFRRHSPSVPPATSADDCPSDHDLEALRSENRRLRNLLDQNLKLLQNLSESPCIDKCPPDLNDRLAATMRSDEYLSRLKFLQQEAASGGNQFPFKEATEADYQSADILVNVDSQEPSWWVWVKDETDPINIEEMSGIDDESYLVISEEHVVDGVANFMAKCILSNPKALNFSPEELQKAISKALQGTSKLETIVDIWQAGKLFYTLATWGLALAGLYQSRALLRVAAKGVHSGSKLALRAL >Vigun02g045900.2.v1.2 pep primary_assembly:ASM411807v1:2:18340839:18341342:-1 gene:Vigun02g045900.v1.2 transcript:Vigun02g045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFIATLLLALVSQGYSQCCLSDIHVTQSATGHKVNGKPEWIVSITNRCACVQTNVQLNCKGFQTVEAVNPSLLKVSGDACLVSSGQPLFKGVIVFNYAWDTQFPLNPISSNISC >Vigun10g166300.1.v1.2 pep primary_assembly:ASM411807v1:10:38536074:38538415:1 gene:Vigun10g166300.v1.2 transcript:Vigun10g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIACFFYGQQLQVKYIDFLLKDRDYSVVAGLERLKDKAFISISQENTVSMHDIIRETAWQIAGQESIEDPRSQVRLFDPDDIYRVLTYNKGNEAIRSIVVNLLRIKQLHLKPHVFTKMSKLHFLNIYTAGTRDIRFYEPWGLYLHQGLESLPNELRYLGWAHYPLESLPSNFSAENLVELHLPYSRLKKLWQEVPVNNIRIPFNLKLTLIQAKS >Vigun04g126800.1.v1.2 pep primary_assembly:ASM411807v1:4:32055614:32057737:1 gene:Vigun04g126800.v1.2 transcript:Vigun04g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAAELDNLMTVVANPRQFKVPDWFLNRKKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Vigun03g371200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57385813:57389355:1 gene:Vigun03g371200.v1.2 transcript:Vigun03g371200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVFGSVLVLVLLFKHLASQQPNTDDFFVSAFLKHMSLTSSQAYNFSASVCSWQGVSCDANREHVVSLVFSGMNLSGTVPDNTIGKLGKLQTLDLSHNKITGLPSDFWSLSSLKNLNLSWNHISGSLTSNIGNFGLLESIDLSSNNFSEEIPEAVCSLLSLRILKLNHNRFAHNIPSGILKCQTLVSIDLSSNQLNGTLPEGFGASFPKLRMLNLAGNNFNGRVSDISGLKSIANLNISGNSFQGSIVDMFQGNLEVLDISRNQFQGHIPQVLYNFSGYNWSHLVYLDLSENKLSGEFFQNLNESLNLKHINLAHNRFTIQKLPQIEMLLNLEYLNLSKTSLVGEIPGKISQLIKLSALDLSLNHLSGKIPSLRNEHLRVLDLSNNNLTGAVPQSVLEKLHFMEKYNFSYNNLTLCASEIKPEILQKAFFGSLNSCPLAANPRLFKRRDSGHTGMKLALALTFSMIFVLAGLLFLAFGCRRKTKMWEFKQSSYKEEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLAATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVVGSTLTDEEAARELEFLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLYDLPLGVQSTDDWSTDTWEETDNNGIQNAGSEGLLTSWRFRHKIALGTARALAFLHHGCSPPIIHRAVKASSVYLDYDLEPRLSDFGLAKIFGSGLDDEIARGSPGYVPPEFSQPEYDTPTPKSDVYCFGVVLFELVTGKKPVGDDYPDDKDATLVSWVRGLVRKNQSSNAIDPKIRDTGPDEQMEEALKIAYLCTADHPFKRPSMQQIVGLLKDIEPSAD >Vigun07g054100.1.v1.2 pep primary_assembly:ASM411807v1:7:5780616:5784636:-1 gene:Vigun07g054100.v1.2 transcript:Vigun07g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEVVEGFKFEQRHGKERVRVARVWKTPQGRHFVVEWRVGISLFSDCVNSYLRDDNSDIVATDTMKNTVYAKAKECSDILSVEDFAILLAKHFVSFYQKVTGAIVNIVEKPWERVTVDGQPHQHGFKLGSEKHTAEAIVQKSGSLQLTSGIEGLSVLKTTQSGFVNFIRDKYTALPDTRERILATEVTALWRYSYESLYTLPQKPLYFTDKYLEVKTVLADTFFGPPNTGVYSPSVQNTLYLMAKATLNRFPDIAYVHLKMPNLHFLPVNISNKDGPIVKFEDDVYLPTDEPHGSIQASLSRLWSKL >Vigun11g205100.2.v1.2 pep primary_assembly:ASM411807v1:11:40220792:40221500:1 gene:Vigun11g205100.v1.2 transcript:Vigun11g205100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRKFVSIGGNFDLETQAGAEKMEKSAKSEAALRRCAKAALLLYSFNSSNSNHQEKWLREIHDLKIQLAKERLVKKKMKLCAMAEVLLRLLLCLSVWTFFLFYFL >Vigun05g242900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43603680:43604579:1 gene:Vigun05g242900.v1.2 transcript:Vigun05g242900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESSEREGSPQSEGVITSPKEGEKEDYLKQKLIIQPIVEEIEECKTPTWSSRNKVATILECPPAPRKIRPSNSSISSQMMRALTIDDHNQLNFCEEVEPMEVELFFQSINDFNRINK >Vigun04g186500.1.v1.2 pep primary_assembly:ASM411807v1:4:41147851:41149277:-1 gene:Vigun04g186500.v1.2 transcript:Vigun04g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISSIPDEILLYILSFLPTKQVVATCVLSKRWNPLWRSVPSFDFSIGYENRKEAYDHFHSVSSFLRSRDRDQPLLRFRLNYFFNCFDPTIRYSYRTIRHTESIIKTRIEDAVSGSARVQHLDLSLDLYIVMPSVVFTFKTLVFLKLANMTVENIPFVDFPMLKILHLNRVLFSEGIDLSQLLSGCPNLEDLEVKSILINAELKFSRLSTLGNMAAKFVPFEIVKNVKVLCTDLFRQQDWIYEFQNLVQLKLDYLYIKNNWVEFLETLRRCPKLQTLAIGCIGKISFGSSAQGHEEAVLPDPQSVPACILSHLKTCSLGCYRGSIDEFLFAGYIMENAKYLRTMKIKISSYNDREKLNMIRDLSSCKRSSDTCKLSFDN >Vigun01g067250.1.v1.2 pep primary_assembly:ASM411807v1:1:18063934:18064956:-1 gene:Vigun01g067250.v1.2 transcript:Vigun01g067250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLKEEERRFLNGLNFLQLLVSTSSNCCLSHLLIHVEIHFEERGRTLTPPYRRISTLPFIHQFTLHLFILFPKKYMNSGFSSPRIHPNTTSRITLCTHSSNHSSKHIANDTTSEP >Vigun10g153850.1.v1.2 pep primary_assembly:ASM411807v1:10:37416745:37418340:-1 gene:Vigun10g153850.v1.2 transcript:Vigun10g153850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIGFGFRPTEEELVDYYLRHRLLGNDPQGHVILDIDLCQVEPWDVPMYFEESDAQFDFPEWFFFSPVDFKYPNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGGDEGESSRIMGDNTMNISTVCSKYPNSDEYHSSKEFDSELSNVDVHEGVCSEEFSHCESEEE >Vigun03g093200.3.v1.2 pep primary_assembly:ASM411807v1:3:7865219:7875038:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNETGKKGIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.4.v1.2 pep primary_assembly:ASM411807v1:3:7865219:7874750:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNETGKKGIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.14.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7874564:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun03g093200.1.v1.2 pep primary_assembly:ASM411807v1:3:7865203:7875475:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.9.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7874924:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNETGKKGIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun03g093200.12.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7875173:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun03g093200.10.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7875038:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun03g093200.11.v1.2 pep primary_assembly:ASM411807v1:3:7865217:7875172:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun03g093200.5.v1.2 pep primary_assembly:ASM411807v1:3:7865219:7875038:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.2.v1.2 pep primary_assembly:ASM411807v1:3:7865219:7875038:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNETGKKGIKQLKRLDVFESKRMQPNNHNCVPLTPRSRLERLLREREIRKSFKNQPSDEARDGNKEGEQCYGDSSISENDISGFHKEEEASETNRQDERGSKQRLLVVANRLPVSAVRDGVESYHLEISVGGLVSALLGVKEFDARWIGWAGVNVPDDVGRRALTKALAEMRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.6.v1.2 pep primary_assembly:ASM411807v1:3:7865219:7875173:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.8.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7874564:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.7.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7875159:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKYADVEFGKIQARDLLQHLWTGPISNASLEVVQGGRSVEVRTVGVSKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLSKDEDVYKFFEPELPCESPSVPRAMLSKSKSYRPSSLLKFATNKAGNKAAQYKKQRSLSSLEKREIELRGTYRDKISQNEGSSVLDLKGDNYFSCVVGRKRSSARYHLKTSDDVVNLLSDLAEHSSSTT >Vigun03g093200.13.v1.2 pep primary_assembly:ASM411807v1:3:7865218:7875159:-1 gene:Vigun03g093200.v1.2 transcript:Vigun03g093200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVFLDEEIVNQYYNGYCNNILWPLFHYLGLPQEDRLATTRTFQSQFDAYKKANQIFADVVNMHYEEGDVVWCHDYHLMFLPKCLKERNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLEADLVGFHTYDYARHFVSACTRILGLEGTPDGVEDRGKLTRVAAFPIGIDSERFIQALELPEVQAHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRKDVPDYQRLASQVHEIVGRINGRFGTLTAVPLHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFRHVKTHTSQEWAATFVSELNDTIVEAQLRTRQDPPLLPNKVAVDCYKKSSNRLIILGFNATLTEPVDALGRAGQIRELELKLHPNLKGPLKKLSDDPLTTIVLLSGSNRAVLDKNFSEFNMWLAAENGMFLRPTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSVVWNYKC >Vigun07g279500.3.v1.2 pep primary_assembly:ASM411807v1:7:39441183:39458119:1 gene:Vigun07g279500.v1.2 transcript:Vigun07g279500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSVEVRQAAGLYLKNNLRNAYKSMQPAYQQYVKSELLPCLGAADKHIRSTTGTIISVVVEIGGVVGWPELLQALVTCLDSNDLNHMEGAMDALSKICEDIPQYLDSDVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALYVSMDQYLQGLFILSNDPSAEVRKLVCAAFVQLIEVRPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMAYADDDESLIEAEEDGSQPDRDQDLKPRFHASRFHGSDEVEDDDDDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSAGGDDAWKEREAAVLALGAIGEGCINGLYPHLLEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMTAFGKYQRRNLRIVYDAIGTLAEAVGGELNQPAYLEILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFRRCIDIIQTQQFAKADSTTTGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVAQCSLRDLLLHCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVRQEISPVVMTVISSLVPILQHAEGLNKSLIENSAITLGRLAWVCPELVSPHMEHFMQSWCTALSMIRDDVEKEDAFRGLCAMVKANPSGALSSLVYMCKAIASWHEIRSEDLHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKEKLSKYQV >Vigun07g279500.4.v1.2 pep primary_assembly:ASM411807v1:7:39440499:39458119:1 gene:Vigun07g279500.v1.2 transcript:Vigun07g279500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPSWQPQEQGFKEICGLLEQQISHSSSADKAQIWQHLQRYSHLPDFNNYLAFIFSRAEGKSVEVRQAAGLYLKNNLRNAYKSMQPAYQQYVKSELLPCLGAADKHIRSTTGTIISVVVEIGGVVGWPELLQALVTCLDSNDLNHMEGAMDALSKICEDIPQYLDSDVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALYVSMDQYLQGLFILSNDPSAEVRKLVCAAFVQLIEVRPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMAYADDDESLIEAEEDGSQPDRDQDLKPRFHASRFHGSDEVEDDDDDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSAGGDDAWKEREAAVLALGAIGEGCINGLYPHLLEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMTAFGKYQRRNLRIVYDAIGTLAEAVGGELNQPAYLEILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFRRCIDIIQTQQFAKADSTTTGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVAQCSLRDLLLHCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVCHFNVAF >Vigun07g279500.1.v1.2 pep primary_assembly:ASM411807v1:7:39440499:39458119:1 gene:Vigun07g279500.v1.2 transcript:Vigun07g279500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPSWQPQEQGFKEICGLLEQQISHSSSADKAQIWQHLQRYSHLPDFNNYLAFIFSRAEGKSVEVRQAAGLYLKNNLRNAYKSMQPAYQQYVKSELLPCLGAADKHIRSTTGTIISVVVEIGGVVGWPELLQALVTCLDSNDLNHMEGAMDALSKICEDIPQYLDSDVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALYVSMDQYLQGLFILSNDPSAEVRKLVCAAFVQLIEVRPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMAYADDDESLIEAEEDGSQPDRDQDLKPRFHASRFHGSDEVEDDDDDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSAGGDDAWKEREAAVLALGAIGEGCINGLYPHLLEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMTAFGKYQRRNLRIVYDAIGTLAEAVGGELNQPAYLEILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFRRCIDIIQTQQFAKADSTTTGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVAQCSLRDLLLHCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVRQEISPVVMTVISSLVPILQHAEGLNKSLIENSAITLGRLAWVCPELVSPHMEHFMQSWCTALSMIRDDVEKEDAFRGLCAMVKANPSGALSSLVYMCKAIASWHEIRSEDLHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKEKLSKYQV >Vigun07g279500.2.v1.2 pep primary_assembly:ASM411807v1:7:39440499:39458119:1 gene:Vigun07g279500.v1.2 transcript:Vigun07g279500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPSWQPQEQGFKEICGLLEQQISHSSSADKAQIWQHLQRYSHLPDFNNYLAFIFSRAEGKSVEVRQAAGLYLKNNLRNAYKSMQPAYQQYVKSELLPCLGAADKHIRSTTGTIISVVVEIGGVVGWPELLQALVTCLDSNDLNHMEGAMDALSKICEDIPQYLDSDVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALYVSMDQYLQGLFILSNDPSAEVRKLVCAAFVQLIEVRPSFLEPHLRNVIEYMLQVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMAYADDDESLIEAEEDGSQPDRDQDLKPRFHASRFHGSDEVEDDDDDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSAGGDDAWKEREAAVLALGAIGEGCINGLYPHLLEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMTAFGKYQRRNLRIVYDAIGTLAEAVGGELNQPAYLEILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGTGFTQFAEPVFRRCIDIIQTQQFAKADSTTTGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVAQCSLRDLLLHCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLEAAAKQLEISKVKEAISVANNACWAIGELAVKVRQEISPVVMTVISSLVPILQHAEGLNKSLIENSAITLGRLAWVCPELVSPHMEHFMQSWCTALSMIRDDVEKEDAFRGLCAMVISYSH >Vigun08g218200.1.v1.2 pep primary_assembly:ASM411807v1:8:37795324:37799342:-1 gene:Vigun08g218200.v1.2 transcript:Vigun08g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALFKQKPKTPVELVRHARELILFVESKTCTRESKREEKLAELNKTILEIRTALYGNGESEPNADACSQITREFFTDDTFRIFILYLGKLKLGARQDATHIIANLQRQRVCSTIVASQYLEKNLDLVGMLICGYDQEGDVALTFGAVARECIRHQSVAKYVLESENMKKFFEYIQIPNFEIASDAVATFKELLTRHKPTVAEFLSKNYDWFFQEYNSQLLESTSYFTRRYAIKLLGDMLLDRSNSSVMVRYVSSLDNMRILMNLLRDSNKTIQLDTFHVFKLFVANQNKPPEVVSILVTNKHKLLQFLENFNCEKADDHFQADKQQVISEIIALQLKDQPCKSLDNCEVPC >Vigun06g134500.1.v1.2 pep primary_assembly:ASM411807v1:6:26069542:26077390:1 gene:Vigun06g134500.v1.2 transcript:Vigun06g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CDC2 description:cell division control [Source:NCBI gene (formerly Entrezgene);Acc:114188673] MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFVKDPRQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNRRPLFPGDSEIDELFKIFRILGTPNEETWPGVTALPDFKSTFPKWPPKDLATMVPNLDAAGLNLLSSMLCLDPSKRITARIAVEHEYFKDIKFVP >Vigun10g153100.1.v1.2 pep primary_assembly:ASM411807v1:10:37301977:37303943:-1 gene:Vigun10g153100.v1.2 transcript:Vigun10g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSFTYAIQIVNTSVLSMAMYTVIELGIFDIIAKEGEGAKLSAKDIAEKLPCKNSEAATMLDRILRLLACHSVIHCTVVADEHGSPPQLKRLYGMNPVAKYFTSVHGAGSLGPLMMLAQDKASLNSWYELKDAILEGGIPFDRAHGKHVFEYSDINSSFNHLFMAAMANRATLIMTKIVESYKGFEHMNSVVDVGGGHGVSLNIITSKYPHIKGINFDLPHVIQHASPYPAVEHVGGDMFESVPKADAVFMMCVVHDWSDEWCVKVLKNCYDAVPSDGKVIVVEGVLPFEPKITSAAKSISQFDVLMMTTNPGGKERSEEEFMALAKGAGFTGIRYTCFVCDLWVMEFLK >Vigun10g158600.1.v1.2 pep primary_assembly:ASM411807v1:10:37772333:37775103:1 gene:Vigun10g158600.v1.2 transcript:Vigun10g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFFSSGGMEGASTSSEGLHMVDDFYHSVLYGDEEIISISDEKYAEDLQLQEALYYSTVSSARVENKVADDDEPLKKLKRKQKEKGETSKTSSRAFCGICMDAKPGEQMFRNQNCSHLYCDHCIQGHVAAKIQENISMVKCPEPICKAVIEPENCRSIIPKEVFDRWENALCENMVLASQKFYCPFKDCSAMMICDASEVVTSSECPHCNRLFCAQCKVSWHAGLDCKEFKRSKREKRENGDSLVTNLAKKKGWRRCSKCKIYVERIHGCTRISCRCGHEFCYACGSSWTGKHYLCVGS >Vigun10g158600.2.v1.2 pep primary_assembly:ASM411807v1:10:37772333:37775103:1 gene:Vigun10g158600.v1.2 transcript:Vigun10g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFFSSGGMEGASTSSEGLHMVDDFYHSVLYGDEEIISISDEKYAEDLQLQEALYYSTVSSARVENKVADDDEPLKKLKRKQKEKGETSKTSSRAFCGICMDAKPGEQMFRNQNCSHLYCDHCIQGHVAAKIQENISMVKCPEPICKAVIEPENCRSIIPKEVFDRWENALCENMVLASQKFYCPFKDCSAMMICDASEVVTSSECPHCNRLFCAQCKVSWHAGLDCKEFKRSKREKRENGDSLVTNLAKKKGWRRCSKCKIYVERIHGCTRISCRSVSMHGRSEVINPYSETDVAMNFAMLVDRLGLVNIICV >Vigun10g096350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28311749:28311973:-1 gene:Vigun10g096350.v1.2 transcript:Vigun10g096350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHYLTCFRLYDKVLHKVGAERASVTTIIVDSLGANYRIVWALSKYFPNVKTFGKGWSYRCNIFLLTLVLLTL >VigunL056700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000429.1:16605:16967:1 gene:VigunL056700.v1.2 transcript:VigunL056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFNVLGVSVFLEAFLFVLILIVGSVYAWRKGTLEWS >Vigun08g208400.5.v1.2 pep primary_assembly:ASM411807v1:8:37123450:37125960:1 gene:Vigun08g208400.v1.2 transcript:Vigun08g208400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPKTEPGSLPWSVSTSNKKEYGEMSISELVSVLRIAYKIVDFDKIDKIEQELVKREAKHRAEIGPLREKIELERLNRIEVEERLKIREEQCEEGKRAQENYEQLLEKVKTGGLVEKHATEELRKKNVALEREVCELKEFRKIMLDEMKSVAELRDTIRVLKEEKVGDKNALDALNMKNIELEEAVEKNLKLEKRYRKLRARVMKLEENIMLLMCENHTEVELNPAVSFAVKDEKDVQSPKKGNKEDAVGALENEHLQRVGRIKRKRASDIEASTSTFTSSADLFEKENFPVKRSVMDTCLQSPAKKIKTGNQADRTQSVNGSSEPLIHEVKQGSRWGRVYVRKK >Vigun08g208400.3.v1.2 pep primary_assembly:ASM411807v1:8:37123450:37126782:1 gene:Vigun08g208400.v1.2 transcript:Vigun08g208400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPKTEPGSLPWSVSTSNKKEYGEMSISELVSVLRIAYKIVDFDKIDKIEQELVKREAKHRAEIGPLREKIELERLNRIEVEERLKIREEQCEEGKRAQENYEQLLEKVKTGGLVEKHATEELRKKNVALEREVCELKEFRKIMLDEMKSVAELRDTIRVLKEEKVGDKNALDALNMKNIELEEAVEKNLKLEKRYRKLRARVMKLEENIMLLMCENHTEVELNPAVSFAVKDEKDVQSPKKGNKEDAVGALENEHLQRVGRIKRKRASDIEASTSTFTSSADLFEKENFPVKRSVMDTCLQSPAKKIKTGNQADRTQSVNGSSEPLIHEVKQGSRWGRVYVRKK >Vigun08g208400.4.v1.2 pep primary_assembly:ASM411807v1:8:37123450:37126782:1 gene:Vigun08g208400.v1.2 transcript:Vigun08g208400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPKTEPGSLPWSVSTSNKKEYGEMSISELVSVLRIAYKIVDFDKIDKIEQELVKREAKHRAEIGPLREKIELERLNRIEVEERLKIREEQCEEGKRAQENYEQLLEKVKTGGLVEKHATEELRKKNVALEREVCELKEFRKIMLDEMKSVAELRDTIRVLKEEKVGDKNALDALNMKNIELEEAVEKNLKLEKRYRKLRARVMKLEENIMLLMCENHTEVELNPAVSFAVKDEKDVQSPKKGNKEDAVGALENEHLQRVGRIKRKRASDIEASTSTFTSSADLFEKENFPVKRSVMDTCLQSPAKKIKTGNQADRTQSVNGSSEPLIHEVKQGSRWGRVYVRKK >Vigun03g104600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9066053:9067331:-1 gene:Vigun03g104600.v1.2 transcript:Vigun03g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPRLFGGRRSNVFDPFSLDVWDPFKDFPFPESGRENSAFVSTRVDWKETPEAHVFRADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDRWHRVERSSGKFLRRFRLPENAKVEKVKASMENGVLTVTVPKEEVKKAEVKAIEISG >Vigun11g075875.1.v1.2 pep primary_assembly:ASM411807v1:11:22201589:22202680:-1 gene:Vigun11g075875.v1.2 transcript:Vigun11g075875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACVEGCGKIWGCAVFMDIGSRCSLGSRRHVHGVQGDLGVSFGICYFPSSMGNFMGWFSFLHFAGGEWVITILCSFFLISFFHLSCVKEIEVAGGKLYAR >Vigun11g125100.2.v1.2 pep primary_assembly:ASM411807v1:11:33249135:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun11g125100.3.v1.2 pep primary_assembly:ASM411807v1:11:33249135:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun11g125100.6.v1.2 pep primary_assembly:ASM411807v1:11:33249135:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun11g125100.5.v1.2 pep primary_assembly:ASM411807v1:11:33249134:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun11g125100.4.v1.2 pep primary_assembly:ASM411807v1:11:33249134:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun11g125100.1.v1.2 pep primary_assembly:ASM411807v1:11:33249135:33255077:-1 gene:Vigun11g125100.v1.2 transcript:Vigun11g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLVQRGSSGGGSSSNANRTGGSSTNPSARAEAQVFVSPENTDEVVVVVPDDVLESGDKGKSEESVHFEQGEGSCDDDVTTAAAAVVESDVREEFVKGLSGLRVGERVVAESEGANGDSSSLPVGGGSCPPPPPVPPPKPSLAGNLNARRSVAGSLISASVGSSRRTSAWPVVPARTSPAGSRPSSPRSHNESEGYNSADEQKPCLVSSYDDFERERQFEIDIRRAKGYEVKKMMEDGNCLFRAVADQVYGDSELYDLVRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDEQINNALLAEGRFYSDLELTEKEIERMVIEVSRAEYLSDSSTFKQQLGDRESSTSNAEPSSSGARSSGTGRKTTEDGKEHGCDLSSGMQILLSMGFTYIQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Vigun03g096900.3.v1.2 pep primary_assembly:ASM411807v1:3:8203885:8207912:-1 gene:Vigun03g096900.v1.2 transcript:Vigun03g096900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPWEGAVVYKRNASILHLEYCTTLERLGLAKLSTDLSKTRAAAMGLRVTKAVRDFPNGTPVQISVDVTRKKKKLRLDGIIKTVITLLCNRCCMPSAESIFSEFSLLLTDEPIEEPETIDMGIIFGEEKLTNGGKDDDDDDALIDLEDQLYFPSQENQIDISKNIRDRVHLEITMNSVCDPGCKGMCLKCGQNFNTGNCSCSKEEVKEKSYGPLGDLKEKMQL >Vigun03g096900.1.v1.2 pep primary_assembly:ASM411807v1:3:8203885:8207912:-1 gene:Vigun03g096900.v1.2 transcript:Vigun03g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIPSSSAVPLHFSKLEINSLSSHQKFASNFFIPFHHEVGFCCKPRHIGVHVRNEPNVLHKYTVRSKGFDFESIDNETLSFDDDDVEDMGSPWEGAVVYKRNASILHLEYCTTLERLGLAKLSTDLSKTRAAAMGLRVTKAVRDFPNGTPVQISVDVTRKKKKLRLDGIIKTVITLLCNRCCMPSAESIFSEFSLLLTDEPIEEPETIDMGIIFGEEKLTNGGKDDDDDDALIDLEDQLYFPSQENQIDISKNIRDRVHLEITMNSVCDPGCKGMCLKCGQNFNTGNCSCSKEEVKEKSYGPLGDLKEKMQL >Vigun03g096900.2.v1.2 pep primary_assembly:ASM411807v1:3:8203885:8207912:-1 gene:Vigun03g096900.v1.2 transcript:Vigun03g096900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPWEGAVVYKRNASILHLEYCTTLERLGLAKLSTDLSKTRAAAMGLRVTKAVRDFPNGTPVQISVDVTRKKKKLRLDGIIKTVITLLCNRCCMPSAESIFSEFSLLLTDEPIEEPETIDMGIIFGEEKLTNGGKDDDDDDALIDLEDQLYFPSQENQIDISKNIRDRVHLEITMNSVCDPGCKGMCLKCGQNFNTGNCSCSKEEVKEKSYGPLGDLKEKMQL >Vigun09g251600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41864685:41866680:1 gene:Vigun09g251600.v1.2 transcript:Vigun09g251600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLLLLLPTIFFHLLLLPFPSQASNAQWNLLQNSIGIVAMHMQLLSNDRVIIFDRTDFGSSNLALPNGTCRHDRSEMVIKTDCTAHSIEYDVASNTFRPLFVQTDVWCSSGGAVSDGTLIQTGGYNDGERKIRSFTPCETGEDCEWQETDNALAVKRWYSTNQYLPDGRQIIIGGRKQFNYEFHPKRDAAENNKPYVLPFLLQTIDKGSENNLYPFVVLNVDGNLFIFANNRAILFDYQNDAVVRTYPEIPGGDPRCYPSTGSAVLLPLKNLEAASVEAEVLVCGGARRGAFQLVPKGVFSDALDSCARIKITDPNATWVVETMPMGRVMNDMVMLPNGDVLIINGAQSGTAGWENAENPALQPVIYKTNGSGGSRFLLQSASNIPRMYHSTAVLVRDGRVIVGGSNPHEKYVFSNVSYPTELRLEAFSPYYLDSQFCPLRPMILEPSSYVKLMYGEKLKMSIKVNGTLVPELLSVTMLAPPFNTHSFSMNQRLLVLSMGEVKMTGNSSCEFEVTAPGSAVLAPPAFYMLFAVHQEIPSEGIWIKML >Vigun09g023300.3.v1.2 pep primary_assembly:ASM411807v1:9:1877115:1882677:-1 gene:Vigun09g023300.v1.2 transcript:Vigun09g023300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEDTSLLNAAITREWGTLLHVVAGTNHVHFVNQLVKLLNPGDLELRNFNGNTAFCYAAASGNMEIAATMIKKNEGLPKIRGGAEATPLYMAVLQGKGEMARHLYPLSTNILEEDDFTMLFFLCIKTELYDIALQIVQEHPMLALARDENNDTGLHLLARKTCCGFSVRGEWYLPNQILNSGMKATPFVELVERLWRILFDQDYDETELRAFISHPSQITFDAAQVGNFEFLDTLMRSYPDLLWEVDQRNRTIIHIAVLHRHSKIYSLIHGLGSIKDFIATFEDDERNNILHYAAMLSPPDKLSLISGAALQMTHELLWFQEVKKIMLLLNIEKKNGEGKTPREIFAEKHKELLSKAESWTKSTAINCMLVSALITTGVFTATFMVPGGNNKKTANPNYLQEQAFLVFSLSVACALISASASILMFLSILISSYAEDECFKSLPYKLLFGMATQIISITTMMISFGAAFYITFSHGLTRVPFSIFVLSFLPLPLFKPLWSDIIYSSYLCMSLF >Vigun09g023300.4.v1.2 pep primary_assembly:ASM411807v1:9:1877115:1882678:-1 gene:Vigun09g023300.v1.2 transcript:Vigun09g023300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEDTSLLNAAITREWGTLLHVVAGTNHVHFVNQLVKLLNPGDLELRNFNGNTAFCYAAASGNMEIAATMIKKNEGLPKIRGGAEATPLYMAVLQGKGEMARHLYPLSTNILEEDDFTMLFFLCIKTELYDIALQIVQEHPMLALARDENNDTGLHLLARKTCCGFSVRGEWYLPNQILNSGMKATPFVELVERLWRILFDQDYDETELRAFISHPSQITFDAAQVGNFEFLDTLMRSYPDLLWEVDQRNRTIIHIAVLHRHSKIYSLIHGLGSIKDFIATFEDDERNNILHYAAMLSPPDKLSLISGAALQMTHELLWFQEVKKIMLLLNIEKKNGEGKTPREIFAEKHKELLSKAESWTKSTAINCMLVSALITTGVFTATFMVPGGNNKKTANPNYLQEQAFLVFSLSVACALISASASILMFLSILISSYAEDECFKSLPYKLLFGMATQIISITTMMISFGAAFYITFSHGLTRVPFSIFVLSFLPLPLFKPLWSDIIYSSYLCMSLF >Vigun09g023300.2.v1.2 pep primary_assembly:ASM411807v1:9:1877115:1882678:-1 gene:Vigun09g023300.v1.2 transcript:Vigun09g023300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHANPASSSPQTHVVQIASADQLPHPSRHILQDRSEYLQKCVPLYKLALRGDWNEAKRMIDEDTSLLNAAITREWGTLLHVVAGTNHVHFVNQLVKLLNPGDLELRNFNGNTAFCYAAASGNMEIAATMIKKNEGLPKIRGGAEATPLYMAVLQGKGEMARHLYPLSTNILEEDDFTMLFFLCIKTELYDIALQIVQEHPMLALARDENNDTGLHLLARKTCCGFSVRGEWYLPNQILNSGMKATPFVELVERLWRILFDQDYDETELRAFISHPSQITFDAAQVGNFEFLDTLMRSYPDLLWEVDQRNRTIIHIAVLHRHSKIYSLIHGLGSIKDFIATFEDDERNNILHYAAMLSPPDKLSLISGAALQMTHELLWFQEVKKIMLLLNIEKKNGEGKTPREIFAEKHKELLSKAESWTKSTAINCMLVSALITTGVFTATFMVPGGNNKKTANPNYLQEQAFLVFSLSVACALISASASILMFLSILISSYAEDECFKSLPYKLLFGMATQIISITTMMISFGAAFYITFSHGLTRVPFSIFVLSFLPLPLFKPLWSDIIYSSYLCMSLF >Vigun09g023300.1.v1.2 pep primary_assembly:ASM411807v1:9:1877115:1882677:-1 gene:Vigun09g023300.v1.2 transcript:Vigun09g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHANPASSSPQTHVVQIASADQLPHPSRHILQDRSEYLQKCVPLYKLALRGDWNEAKRMIDEDTSLLNAAITREWGTLLHVVAGTNHVHFVNQLVKLLNPGDLELRNFNGNTAFCYAAASGNMEIAATMIKKNEGLPKIRGGAEATPLYMAVLQGKGEMARHLYPLSTNILEEDDFTMLFFLCIKTELYDIALQIVQEHPMLALARDENNDTGLHLLARKTCCGFSVRGEWYLPNQILNSGMKATPFVELVERLWRILFDQDYDETELRAFISHPSQITFDAAQVGNFEFLDTLMRSYPDLLWEVDQRNRTIIHIAVLHRHSKIYSLIHGLGSIKDFIATFEDDERNNILHYAAMLSPPDKLSLISGAALQMTHELLWFQEVKKIMLLLNIEKKNGEGKTPREIFAEKHKELLSKAESWTKSTAINCMLVSALITTGVFTATFMVPGGNNKKTANPNYLQEQAFLVFSLSVACALISASASILMFLSILISSYAEDECFKSLPYKLLFGMATQIISITTMMISFGAAFYITFSHGLTRVPFSIFVLSFLPLPLFKPLWSDIIYSSYLCMSLF >Vigun09g059000.3.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017370:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLLPSLPLTTSPNRFHTFSSASPLQFQCRFSLSLLSPPHHSLSPFRSRARTRQPSLRVFDSSSDATTNEELKDVAKEEGVEDQSVGIADQEYPSGEFDFKPVTGWRSFLVKLKMLVAFPWERVRKGSVLTMKLRGQISDQVKSRFSPGLSLPQICENFLKAAYDPRISGIYLHIDSLNCGWGKVEEIRRHILDFKKSGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGNDAASRGLVDAIGGLSRAIAIAKVKANIPQDSESYLRLHLWRSPDPALLYPRSC >Vigun09g059000.7.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017370:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAQGKRSHNEASWPGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTLMYCD >Vigun09g059000.6.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017370:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAQGKRSHNEASWPGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGNDAASRGLVDAIGGLSRAIAIAKVKANIPQDSEVTLVEISRPSPSLPEILLGVGSSLVGADRMVKELLQGVTFSDGVQARMDGIMFQTLEEYPFGNPILSIIKSYLSSL >Vigun09g059000.5.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017387:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAQGKRSHNEASWPGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGNDAASRGLVDAIGGLSRAIAIAKVKANIPQDSEVTLVEISRPSPSLPEILLGVGSSLVGADRMVKELLQGVTFSDGVQARMDGIMFQTLEEYPFGNPILSIIKSYLSSL >Vigun09g059000.1.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017387:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLLPSLPLTTSPNRFHTFSSASPLQFQCRFSLSLLSPPHHSLSPFRSRARTRQPSLRVFDSSSDATTNEELKDVAKEEGVEDQSVGIADQEYPSGEFDFKPVTGWRSFLVKLKMLVAFPWERVRKGSVLTMKLRGQISDQVKSRFSPGLSLPQICENFLKAAYDPRISGIYLHIDSLNCGWGKVEEIRRHILDFKKSGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGNDAASRGLVDAIGGLSRAIAIAKVKANIPQDSEVTLVEISRPSPSLPEILLGVGSSLVGADRMVKELLQGVTFSDGVQARMDGIMFQTLEEYPFGNPILSIIKSYLSSL >Vigun09g059000.4.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017370:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLLPSLPLTTSPNRFHTFSSASPLQFQCRFSLSLLSPPHHSLSPFRSRARTRQPSLRVFDSSSDATTNEELKDVAKEEGVEDQSVGIADQEYPSGEFDFKPVTGWRSFLVKLKMLVAFPWERVRKGSVLTMKLRGQISDQVKSRFSPGLSLPQICENFLKAAYDPRISGIYLHIDSLNCGWGKVEEIRRHILDFKKSGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTLMYCD >Vigun09g059000.2.v1.2 pep primary_assembly:ASM411807v1:9:6004119:6017370:-1 gene:Vigun09g059000.v1.2 transcript:Vigun09g059000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLLPSLPLTTSPNRFHTFSSASPLQFQCRFSLSLLSPPHHSLSPFRSRARTRQPSLRVFDSSSDATTNEELKDVAKEEGVEDQSVGIADQEYPSGEFDFKPVTGWRSFLVKLKMLVAFPWERVRKGSVLTMKLRGQISDQVKSRFSPGLSLPQICENFLKAAYDPRISGIYLHIDSLNCGWGKVEEIRRHILDFKKSGKFILAYVPLCQEKEYYLACACDEIYSPPSAYFSLFGLTVQASFLRGILDNIGIEPQVERIGKYKSAGDQLARRTMSEENCEMLTALLDNIYTNWLDKVSSAKGKSREDIEKLINEGVYRVDKLKEEGLISNIIYDDEIITMLKERLGVKLDKDLPMVDYRKYARVRKWTVGISGGRELIAIIRASGSISRVESQLSVSSSGITAEKFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGADAIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPFRPDEAELFAESARHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGNDAASRGLVDAIGGLSRAIAIAKVKANIPQDSEVTLVEISRPSPSLPEILLGVGSSLVGADRMVKELLQGVTFSDGVQARMDGIMFQTLEEYPFGNPILSIIKSYLSSL >Vigun07g068900.2.v1.2 pep primary_assembly:ASM411807v1:7:8319815:8324118:1 gene:Vigun07g068900.v1.2 transcript:Vigun07g068900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIKERTLAIGYSFLLLLLTLLLCSTEGLNTEGQILLELKNGFHNKSNVLESWKPTDETPCGWKGVNCSHDSDNNPVVMSLNLTSMDLSGTLNAAGIGGLTHLTYLNLAYNGLTGNIPKEIGDCLNLEYLYLNNNQFEGTIPAELGKLSVLRSLNICNNKLSGVIPYEFGNLSSMVELVAYSNFLEGPLPSSIGNLKNLVNFRAGANNITGYLPKEIGGCKSLMLLGLAQNQIGGEIPREIGMLASLKELVLWGNQLSGPIPKEIGNCSSLEKIAIYGNYLIGPLPKEIGNLKSLRWLYVYRNKLNGTIPREIGNLTLCSDIDFSENSLVGSIPSEFGKINGLSLLFLFENHLTGVIPNELSGLKNLSKLDLSINNLTGPIPFGFQYLTKMNQLQLFDNSLSGVIPSGLGFHSPLWVVDFSDNNLTGRIPPHLCRHSRLMLLNLADNRLYGNIPTGILNCKSLAQLLILGNMLTGSFPIELCKLENMTAIDLSENRFSGTLPSDIGNCHRLQRLHLANNYFTLELPKEIGNLSQLVTFNVSSNLFTGTIPPEFFSCQRLQRLDLSQNNFSGSLPDEIGTLQHLEILKLSDNRLSGYIPAALGNLSHLNWLLMDGNYFFGEIPPQLGSLSSLQIAMDLSYNNLSGKIPVQLGSLNMLEYLYLNNNNLDGEIPSTFEELSSLLGCNFSFNNLHGPIPSTKIFQSMAVSSYIGGKNGLCGAPLGDCSTNSASHSDTPGKSFDSPRAKIVMIIAASVGGISLIFILVILYFMRRPRESIDSFGSTEAPSPDSNIYFPRKEGLTFHDLVEATKRFHESYVIGKGACGTVYKAVMKSGETIAVKKLASNREGNNIENSFRAEISTLGRIRHRNIVKLYGFCYHQGSNLLLYEYMERGSLGELLHGSATTLEWSTRFMIALGAAEGLAYLHHDCKPKIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVSWVRNHIRDHDNSLKPEILDTHVDLEDQTTVNHMLTVLKLALVCTSVSPTKRPSMREVVKMLIESNEREGNLTLTQTYLDLPSKDAL >Vigun07g068900.1.v1.2 pep primary_assembly:ASM411807v1:7:8319135:8324118:1 gene:Vigun07g068900.v1.2 transcript:Vigun07g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIKERTLAIGYSFLLLLLTLLLCSTEGLNTEGQILLELKNGFHNKSNVLESWKPTDETPCGWKGVNCSHDSDNNPVVMSLNLTSMDLSGTLNAAGIGGLTHLTYLNLAYNGLTGNIPKEIGDCLNLEYLYLNNNQFEGTIPAELGKLSVLRSLNICNNKLSGVIPYEFGNLSSMVELVAYSNFLEGPLPSSIGNLKNLVNFRAGANNITGYLPKEIGGCKSLMLLGLAQNQIGGEIPREIGMLASLKELVLWGNQLSGPIPKEIGNCSSLEKIAIYGNYLIGPLPKEIGNLKSLRWLYVYRNKLNGTIPREIGNLTLCSDIDFSENSLVGSIPSEFGKINGLSLLFLFENHLTGVIPNELSGLKNLSKLDLSINNLTGPIPFGFQYLTKMNQLQLFDNSLSGVIPSGLGFHSPLWVVDFSDNNLTGRIPPHLCRHSRLMLLNLADNRLYGNIPTGILNCKSLAQLLILGNMLTGSFPIELCKLENMTAIDLSENRFSGTLPSDIGNCHRLQRLHLANNYFTLELPKEIGNLSQLVTFNVSSNLFTGTIPPEFFSCQRLQRLDLSQNNFSGSLPDEIGTLQHLEILKLSDNRLSGYIPAALGNLSHLNWLLMDGNYFFGEIPPQLGSLSSLQIAMDLSYNNLSGKIPVQLGSLNMLEYLYLNNNNLDGEIPSTFEELSSLLGCNFSFNNLHGPIPSTKIFQSMAVSSYIGGKNGLCGAPLGDCSTNSASHSDTPGKSFDSPRAKIVMIIAASVGGISLIFILVILYFMRRPRESIDSFGSTEAPSPDSNIYFPRKEGLTFHDLVEATKRFHESYVIGKGACGTVYKAVMKSGETIAVKKLASNREGNNIENSFRAEISTLGRIRHRNIVKLYGFCYHQGSNLLLYEYMERGSLGELLHGSATTLEWSTRFMIALGAAEGLAYLHHDCKPKIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVSWVRNHIRDHDNSLKPEILDTHVDLEDQTTVNHMLTVLKLALVCTSVSPTKRPSMREVVKMLIESNEREGNLTLTQTYLDLPSKDAL >Vigun07g244700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36576075:36577097:-1 gene:Vigun07g244700.v1.2 transcript:Vigun07g244700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVLEDERTFCIEVSVFDSVLEIKQKVQKYKAIPTSSQTLIFNGQILQNDDVIFHTHISQQSRLKLLVDPEYFANRSVTLPLQCIAEKPASNRGEPEEVVLTISPAPSGTTLPPLCFEDDSASALPPWNPEFKPAPPPLLPTPPATVRLTVKIDEYRARPFILEMDLDDTVLQLKEKIYRMKKTRNLNLNEMSVQIKSGEELRDQLSLRDCGVLNMSVVYVHRKSAEGEAPLKFTIPVVTADCVGSLAAKIVKIAVVPKDGTQKVVIEVNLFNRVEGLRYELEKSHKHVLPENNRYFFTNKKNGHVITESHAFNWYGIKDGDVIEVTPEYVSDESNS >Vigun03g388700.1.v1.2 pep primary_assembly:ASM411807v1:3:59507816:59511771:1 gene:Vigun03g388700.v1.2 transcript:Vigun03g388700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPPCNKGSSTIFVFTPRQVLLSNQTMKNTAQNGTSNSKREPTLLLHQSIARYLELSGFSKTLKKFRSEAQIEKNDLEESPVDLEEMYFKYIEICGKDAKANINDQNEQVADVPDKNKEGKSKEKKKKKSKLVSESLANNVEGNQLESVTTVADNKVSADVSTDAKVINGSEAQKKSKSKSKKKDKRSGQSDEIAHTEDPNETVLKEENIVLSTKEKTSEEKKDSKKRKRTISEENGQEVADVKADEENKRRKVENTNASKDGNIENTGEKSSVQRSQKKQQKESVEKPVKTAFQRVQVDKIQFSDERLQDNSYWAKDGAENGYGAKAAEILDQVRGRDFRHEKTKKKRGSYRGGLIDLQSHSVKFNYSDEE >Vigun03g388700.2.v1.2 pep primary_assembly:ASM411807v1:3:59507816:59511277:1 gene:Vigun03g388700.v1.2 transcript:Vigun03g388700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPPCNKGSSTIFVFTPRQVLLSNQTMKNTAQNGTSNSKREPTLLLHQSIARYLELSGFSKTLKKFRSEAQIEKNDLEESPVDLEEMYFKYIEICGKDAKANINDQNEQVADVPDKNKEGKSKEKKKKKSKLVSESLANNVEGNQLESVTTVADNKVSADVSTDAKVINGSEAQKKSKSKSKKKDKRSGQSDEIAHTEDPNETVLKEENIVLSTKEKTSEEKKDSKKRKRTISEENGQEVADVKADEENKRRKVENTNASKDGNIENTGEKSSVQRSQKKQQKESVEKPVKTAFQRVQVDKIQFSDERLQDNSYWAKDGAENGYGAKAAEILDQVRGRFVISRVVIFGLQV >Vigun03g388700.3.v1.2 pep primary_assembly:ASM411807v1:3:59507816:59511771:1 gene:Vigun03g388700.v1.2 transcript:Vigun03g388700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPPCNKGSSTIFVFTPRQVLLSNQTMKNTAQNGTSNSKREPTLLLHQSIARYLELSGFSKTLKKFRSEAQIEKNDLEESPVDLEEMYFKYIEICGKDAKANINDQNEQDVPDKNKEGKSKEKKKKKSKLVSESLANNVEGNQLESVTTVADNKVSADVSTDAKVINGSEAQKKSKSKSKKKDKRSGQSDEIAHTEDPNETVLKEENIVLSTKEKTSEEKKDSKKRKRTISEENGQEVADVKADEENKRRKVENTNASKDGNIENTGEKSSVQRSQKKQQKESVEKPVKTAFQRVQVDKIQFSDERLQDNSYWAKDGAENGYGAKAAEILDQVRGRDFRHEKTKKKRGSYRGGLIDLQSHSVKFNYSDEE >Vigun11g139500.10.v1.2 pep primary_assembly:ASM411807v1:11:34894933:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.2.v1.2 pep primary_assembly:ASM411807v1:11:34894892:34902069:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.6.v1.2 pep primary_assembly:ASM411807v1:11:34894933:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.4.v1.2 pep primary_assembly:ASM411807v1:11:34894892:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.1.v1.2 pep primary_assembly:ASM411807v1:11:34894954:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.3.v1.2 pep primary_assembly:ASM411807v1:11:34894892:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.9.v1.2 pep primary_assembly:ASM411807v1:11:34894933:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.5.v1.2 pep primary_assembly:ASM411807v1:11:34894934:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.11.v1.2 pep primary_assembly:ASM411807v1:11:34894954:34902053:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun11g139500.8.v1.2 pep primary_assembly:ASM411807v1:11:34894934:34902070:1 gene:Vigun11g139500.v1.2 transcript:Vigun11g139500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPELHVAQQLRRDKLRIQNSSQHLQEFPSNLEQLSLHPGFNLDLLQVRNVRNGNMLDEALYSSDMISFSTPRNPLECQELMMAQYGSTSFPHSSSPKDQQCEPEPRHLGANWMVNYNESNPNPNNSNSNTFFSSELNNNASGEMHYPPSSSASPPLYHNALQDMSYGVWGGNHAEPVLHYQANNELRFGGANLWTNSTAAMGFKKNNEQDINPHQGLSLSLSSNSQSKPCFEEGSASDDPTQYSKSLKSSMKLNALSNNTVYRNVGPLGPFTGYATILKSSRFLKPCQQLLDEWCCQYGSKSAKGGGCDVAEWVSRDVSAASISAAASDALNVDESGGAAKGVSNLGASSSVLYNSNENDNANSADGGATSNFCLSSRPECQKNKAKLLYMQEEVGFFTAHVTRRYKQYHQQMQMVVQSFESVAGLSSATPYVSLALKSVSKHFRYLKSAISDQLKLTCEVLGEDFSMPTTSNGGKFDSNMARLRCIDQSFQKNKSLGGNINFLEPQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGAAEAHHQGSNKSDQVASACEGSSNQPKSDQPVSRFHTHAIPEKQFQCLEMGSSSSAGGNEEHIGMNEEQWNQEKRSKLECQIAATPNMEGTVMGFMPYRRGALEVGGLGSVSLTLGLRHSVEGVQQQQLQQEEELRRQFGGHMIHDFVG >Vigun07g196500.1.v1.2 pep primary_assembly:ASM411807v1:7:31652753:31657126:1 gene:Vigun07g196500.v1.2 transcript:Vigun07g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEQETTIAANIPPISTRPETSSVHHEPEASATNKIKPSLELDDNGIPSQHHTHPTPPPPPRLSVLHQSLRPITLKFEDVSYTITYASQKKKGCVLRNESKLRRKVLSGVTGVANPGELTAMLGPSGSGKTTLLTALAGRLAGKVSGTITYNGHSDSSFVKRKVGFVPQDDVLYPHLTVLETLTYAALLRLPKSLSREEKKEHAEMVIVELGLTRCRNSPVGGCMALFRGISGGERKRVSIGQEMLVNPSLLFVDEPTSGLDSTTAQLIVSVLRGLARAGRTVVTTIHQPSSRLYRMFDKVVVLSDGYPIYSGHADRVMDYLGSIGYVPAFNFMNPADFLLDLANGIVADVKHDDQIDHHEDQASVKQSLISSFKKNLYPALKEDIQQNNSDPLASTSGTPRRSDNQWSTSWWEQFRVLLKRGLQERRHESFSGLRIFQVLSVSFLSGLLWWHSDAAHVQDQVGLLFFFSIFWGFFPLFNAIFAFPLERPMLIKERSSGMYQLSSYYVARMVGDLPMELVLPTIFVTISYWMGGLKPSLVTFVLTLLIMLFNVLVSQGIGLALGAILMDVKQATTLASVTMLVFLLAGGYYIQQMPAFIAWLKYISFSHYCYKLLVAVQYPINEVYECGPGLHCRVRDFPAIKCLELGDNMWGEVAALTVMLIGYRIAAYLALRMGQPH >Vigun07g275800.2.v1.2 pep primary_assembly:ASM411807v1:7:39107964:39112273:-1 gene:Vigun07g275800.v1.2 transcript:Vigun07g275800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSICTLSHTLIEPRACMNDSEWKANDSSSVALEKFKRDSVYIDRSGKLRNFNHKKVSRKKCGSLRGRGWKYGSGFVDGIFPVLSPTAQEILDFVDKGVDSDGIWSSLDKFPATLDLWDDIFTVAVQLRMRKKWDSIISLLYKEAESTYLQLLETRCIPTEDTYALLIKAYCLSGLLEKAEAVFAETRNYGLPSSAVVYNAYINGLMKGGNSNKVEEIFQRMKRDACKPTTETYTMLINLYGKAGKSFMALKMFHEMKNQKCKPNICTYTALVNAFAREGLCEKAEEVFEQMQEAGLEPDVYTYNALMEAYSRAGYPYGAAEIFSLMQHMGCEPDRASYNILVDAYGRAGFQDDAEAVFEDMKRVGITPTMKSHMVLLSAYSKMGSVNKCEEILNQMCKSGLKLDTYVLNSMLNLYGRLGQFAKMEEVLTVMEKGSYVADISTYNILINRYGQAGFIERMEEFFQLLPTKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTAKVLLAACSNEDQTQQVTTVIRTMHKDMNTELPVSLV >Vigun07g275800.3.v1.2 pep primary_assembly:ASM411807v1:7:39107964:39112273:-1 gene:Vigun07g275800.v1.2 transcript:Vigun07g275800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNHFEKTVQICRWILLKSSYKPDVICYNLLIDAFGQKLLYKEAESTYLQLLETRCIPTEDTYALLIKAYCLSGLLEKAEAVFAETRNYGLPSSAVVYNAYINGLMKGGNSNKVEEIFQRMKRDACKPTTETYTMLINLYGKAGKSFMALKMFHEMKNQKCKPNICTYTALVNAFAREGLCEKAEEVFEQMQEAGLEPDVYTYNALMEAYSRAGYPYGAAEIFSLMQHMGCEPDRASYNILVDAYGRAGFQDDAEAVFEDMKRVGITPTMKSHMVLLSAYSKMGSVNKCEEILNQMCKSGLKLDTYVLNSMLNLYGRLGQFAKMEEVLTVMEKGSYVADISTYNILINRYGQAGFIERMEEFFQLLPTKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTAKVLLAACSNEDQTQQVTTVIRTMHKDMNTELPVSLV >Vigun07g275800.1.v1.2 pep primary_assembly:ASM411807v1:7:39107964:39112273:-1 gene:Vigun07g275800.v1.2 transcript:Vigun07g275800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSICTLSHTLIEPRACMNDSEWKANDSSSVALEKFKRDSVYIDRSGKLRNFNHKKVSRKKCGSLRGRGWKYGSGFVDGIFPVLSPTAQEILDFVDKGVDSDGIWSSLDKFPATLDLWDDIFTVAVQLRMRKKWDSIISICRWILLKSSYKPDVICYNLLIDAFGQKLLYKEAESTYLQLLETRCIPTEDTYALLIKAYCLSGLLEKAEAVFAETRNYGLPSSAVVYNAYINGLMKGGNSNKVEEIFQRMKRDACKPTTETYTMLINLYGKAGKSFMALKMFHEMKNQKCKPNICTYTALVNAFAREGLCEKAEEVFEQMQEAGLEPDVYTYNALMEAYSRAGYPYGAAEIFSLMQHMGCEPDRASYNILVDAYGRAGFQDDAEAVFEDMKRVGITPTMKSHMVLLSAYSKMGSVNKCEEILNQMCKSGLKLDTYVLNSMLNLYGRLGQFAKMEEVLTVMEKGSYVADISTYNILINRYGQAGFIERMEEFFQLLPTKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTAKVLLAACSNEDQTQQVTTVIRTMHKDMNTELPVSLV >Vigun01g191200.1.v1.2 pep primary_assembly:ASM411807v1:1:36956900:36963316:1 gene:Vigun01g191200.v1.2 transcript:Vigun01g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSTLSCAFPAKQTSEDTFVSTMLQWLRYIFLTPCPQRAILFFVDVVLLVFLFVFAATKLWKRSTFNGGSTTDLSRPFIRRNRPVFSITILFKLTITVVVVLAILYTVASVLTFRSSSMAPWKQVDEVFWLVQAITHVVLALFIIQEKRFQAVAHPLSVRVYWIVSFFVILLFTVSAVIRLVSVDVDEAFGFGVDEVASFISFPLSLFLLAVAVEGSTGVVLATEETRPLVDGEAKLHDGSERKSEVTGFASASILSKASWIWINPLLSKGYKSVLKIDDIPTLSPEHRAERMSSIFESKWPISNETLKHPVGMTLLRCFWKELAFNAVLAIIRLCVMFVGPVLIQSFVDFTSGKRSSEYEGYYLVLILLVAKFIEVMATHHFNFQAQKLGMLIRSTLISSLYRKGLKLSFSARQDHGIGTIVNYMAVDTQQLSDMMLQLHALWMMPLQIGIGLVLLYNCLGASVIGAFLALLAVFVYSMIANRRNYKFQQKLMVSRDSKMKAVNEMLSYMRVIKFQAWEEHFNQRILGFRETEYGWLSKLLFSICGTIVVLWSTPLLVSTLTFGTAILLGVQLDAGTVFTTTALIRLLQEPIRTFPQSMISLSQARISLERLDRFMLSGELSNDSVEREEGCGGETAVEIIHGTFSWDDGNTQQDLKNINLKVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGSVAYVAQTCWIQNGTIEENILFGLPMDRQRYDEAIRVCCLEKDLEMMDYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYLLDDVFSAVDAHTGSEIFKKCVRGALRGKTTILVTHQVDFLHNVDRILVTRDGKIVQSGKYDDLLESGMDFKALVVAHETSMELVEHSMVMPVENLNQPTMALLTTSINRGTIGDNNSIDKPKSSKKSSKLVQEEERATGKVSFRMYKLYCTEAFGWWGITTILVLSLLWQVSLMASDYWLAYESSEERAKLFNPSLFIYVYAIIAVVSCVLLLARSYFFTLMGLRTAQLFFTQIVHSILHAPMSFFDTTPSGRILSRASTDQTNVDVLLPLYTGVVIIMYLTTIGIFIITCQNSWPTVILIIPLVWLNMWYRGYYLASSREFTRMDSITKAPVIHHFSESIAGVMTIRSFRKQKIFCDENLKRVNGNLRIDFHNYSSTEWLGFRIELIGSFVFCISAMFMIILPSSIIKPENVGLSLSYGLSLNTVLFWAVYMSCFIENKMVSVERIKQFTNIPSEPEWNIKDHLPPSNWPYQGNVHIKNLQVRYRPDTPLVLKGITLSIAGGQKVGVVGRTGSGKSTIIQVLFRLVEPSGGRIIVDGIDISILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYADEEIWKSLERCQLKDVVAAKPEKLESLVVENGENWSVGQRQLLCLGRVILKRSKVLFMDEATASVDSQTDGVIQKIIREEFAECTIISIAHRIPTVMDCDRVLVVDAGLAKEYDKPSNLLQRESLFGALVQEYANRSTGL >Vigun06g045300.1.v1.2 pep primary_assembly:ASM411807v1:6:16990279:16991910:-1 gene:Vigun06g045300.v1.2 transcript:Vigun06g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNPMKAVPTLLKRLVSVDKQAKLKDLKSELTNIKDLFSKVKKNEQELLDKLTKVDGYLRNNNIPKLMEDEKKICQEIKESTERLLPADQIQQPEKENKETTESPVNASPEELKLIEKNYDSLDDHEMRFLKSLLHLPENSVMKRRNIILWWVGVGLGETKAPKENGEYVFGKFMDLNLIVPHKYDFVNKLFKANPLVYGIHELRKKVNDEEKKPFGTYSEMVTSSHHSHTPPACLALNKRKVELNDAFGSKSNDFTGIFNTGASYLIFGSQRMAKMKHLQVLQLGRWLHASPEHHIEVNSEEFLKELRDQEALRYLSLRVISRISQLPDSIFQLQSLETLDLKACHNLETLPSDIALLRNLKHLNLSQCYLLDRMPKGIDKLTKLEVLKGFVIGNSDKTPCKISDLAHLPKLKQLSMHIGSGAMIPDIGFETLEDLSVLEKLKISWGVFDIRYSNIQVNLPLKLKKLHLEGFPGQDIPEWLKPEKIISSLCELYITGGKLQSMDIQGYTHVSCSLKIIRLKNLQHLNIN >Vigun02g013100.1.v1.2 pep primary_assembly:ASM411807v1:2:4971227:4972221:1 gene:Vigun02g013100.v1.2 transcript:Vigun02g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKFTHAVMIIVIMSASMFSVSMANKDWFPAFNYTDWWSRFGNHQQNKTQQQPRQIVVGGSEHWHFGYNYSDWAIKNFPFYLNDTLVFKYDAPNATTFPHSVYMFKNYGSFLKCDIKKAKMLASPVQGGGEGFKFLLQKWQPHYFACGERNGFHCSNGTMKFAVMPMFRPFWPWP >Vigun02g156900.1.v1.2 pep primary_assembly:ASM411807v1:2:30306803:30317162:-1 gene:Vigun02g156900.v1.2 transcript:Vigun02g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATINFSSATSSLFQSELSPSKHRTQRIPRIPKITIVANHAQSTQISSSNGSPSVGNASSSVEVQVSDHGVGHAGENSEARKKTKIVCTIGPSTSSRDMIWSLAEAGMNVARLNMSHGDHASHLRTIELVREYNAQFQDKVVAIMLDTKGPEVRSGDVPQPISLKEGQEFYFTTRRGVSMENTVSVNYDDFVNDVEVGDVLLVDGGMMSLAVKSKTKDLVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDARVVHELKEYLKSHNADIHVIVKIESADSIPNLHSILSASDGAMVARGDLGAELPIEEVPLLQEDIIRRCQSMQKPVIVATNMLESMINHPTPTRAEVSDIAIAVRQGADAIMLSGETAHGKFPLKAVKVMHTVALRNESSVQSGPACPSLSSHESHMGEMFAFHATTMSNTLNTPIIVFTRTGSMAILLSHYRPYSTIFAFTNETRIKQRLALYHGVVPIYMQFSNDAEETFSRALKLLLSKGHLHEGQHVTLVQSGAQPIWREESTHHIQVRKVHE >Vigun11g135900.1.v1.2 pep primary_assembly:ASM411807v1:11:34520517:34522782:-1 gene:Vigun11g135900.v1.2 transcript:Vigun11g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMLPLLSLLVLSSMIYSGSAQGPPSPGYYPSSKITPISFNQGFKNLWGPQHQRIDQGSLTIWLDTSSGSGFKSLHSYNSGYFGAAIKLHPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPDKPYVLQTNVYIRGSGDGNIIGREMRFHLWFDPTQDFHNYAVLWKPSELIFFVDDVPIRRYPRKSEATYPTRPMYVYGSIWDASSWATEGGKYKADYRYQPFIGRYKNFKLQGCTSESSPSCHPPSASPSGFGSLSPQQFRAMQWVQNNYMVYNYCHDPRRDHNLIPEC >Vigun01g248600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41587007:41587786:1 gene:Vigun01g248600.v1.2 transcript:Vigun01g248600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCGAVVVSVVLYILLMPGLLFQVPGRSRCVEFGNFQTSAASILVHSLLYFGLICLFLFAVKVHFYIG >Vigun03g174500.1.v1.2 pep primary_assembly:ASM411807v1:3:21477124:21483715:-1 gene:Vigun03g174500.v1.2 transcript:Vigun03g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSNSERWNRAGIDDNMLAMFDASETKDPHQDALDDRIAFLDVVRASSIGPEYGKPPTSKIFGAVFHMLRTGNSLEIIVGSYKLLVDLDKHFPRVYLSRSSSNSPSKLVAVKEAWSPFIDCLDNGTAEAGDKQSSGPLDPSSFQLLIEDVAEIISGSKLQAANMEPLRNMLLFQYLVIVFEDDFIPRNASSEWNMQRESLLSLLLGSRKINYKSLMKDCLTIICQLSQLLQGEFSKHLELQQSSKSKLSRNCHVALSLSLFGVLKDTCVSMEKLLVMIMNLDMARKKADMEGHTTRADSPRTPLMEIILDELAYNKDSVPLFFKTFSEPKLKLEIVVQYLWKYITKPSVRTRKSSDYIEDATFGGALKCFSNKTGTKSLMKKIGVDVIQFLLAHGFQAQLSILSNGNADANISGDKEGGVGALVDLCQNFISAFNDFRSTDAHMEILSIGKEALFTAATIIAAKS >Vigun10g015100.1.v1.2 pep primary_assembly:ASM411807v1:10:1666907:1668606:1 gene:Vigun10g015100.v1.2 transcript:Vigun10g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEESPSVMPKVITFLSSMLEKVAESNDHNQKLLQQQQQQNKISVFHGLTRPNISIQNYLERIFKYANCSPSCFIVAYVYLDRFTQRQPSLSINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGITKTEMNFLELDFVFGLGFHLNVTPGTFQAYCVHLQREMLVMEPLSFADSSLTLGKSLKTHFLCFNEDESPHQKQQQLAV >Vigun07g238300.1.v1.2 pep primary_assembly:ASM411807v1:7:35979961:35981614:-1 gene:Vigun07g238300.v1.2 transcript:Vigun07g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLSRIGVVLAMALLMVAPSYGQISTPCNASMVGSFFTPCMNFLTNSSGNGTSPTTECCSALKSLTNGGMQCLCLIVTGSVPFRIPLNRTLAISLPRACNMPGVPLQCKASGSPLPAPGPVSLGPSPSPASASSGFSPTPSPQGSTVFPSPTSPSLAPQSDTPSSLLTPPSPSADSGNPSTGSGRTNVTPSSAVTSYNAPPYLLFIALGFALLKYY >Vigun08g071300.1.v1.2 pep primary_assembly:ASM411807v1:8:11522893:11535643:-1 gene:Vigun08g071300.v1.2 transcript:Vigun08g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLWSMDFLKTSSVVRVTALTLFSLTLFLFLFTHFSPTSYSSFAFSSSAAVDAPSPEQPPPPPPPPPPTPLAMAPHLPEPKLSREPPLPPVERMGVLDGNGVMTEDFRVGELDPGLDEEGQNGSVFVKESGGVREKVEKYKMCDVRMVDYVPCLDNEEVMKKFEGSVRGEKYERHCPQEGMGLNCLVPPPKGYRRPVLWPKSRDEVWFSNVPHTRLVEDKGGQNWIAIKNDKFVFPGGGTQFIHGADKYLDQISEMVPDISFGKNTRVALDVGCGVASFGAFLMQRNVTTLSIAPKDVHENQIQFALERGVPSMAAVFATHRLLFPSQAFDLIHCSRCRINWTRDDGILLLEANRLLRAGGYFVWAAQPVYKHEDALQEQWKEMENLTTRICWELVRKEGYIAIWRKPMNNNCYLSRDMAVHPPLCESNDDPDNVWYVDLKACITQLPSNGFGANVTEWPLRLHEPPKRLQSIQLDAIVSRDELFRADTKYWFEIIDSYVRAFRWKEYNLRNVMDMRAGFGGVAAALHDLRIDCWVMNVVPVSGFNTLPVIYDRGLIGVVHDWCEPFDTYPRTYDLLHAAGLFSVEKKRKKCNISSIMLEMDRMLRPGGRVYIRDTTFIIGELEEIATALGWSSSLNDVGEGPYSSWKILRSEKPF >Vigun05g120100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13171803:13176793:-1 gene:Vigun05g120100.v1.2 transcript:Vigun05g120100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLASLTIPRETLLLLNLTRPLSTLHPPPPDLPSHLFTLLSHPNWHHHPSLPHLLPFITPFHVSTLLHLKPSPQTALQFFNWVATKPGYKHTPSAYGSLLNLLVPHGFLRAAETARISMVKAAGSPDDARFVLAFLRGMNMNCDEKFRFKLSVKCYNLMLMLLSRFELVDEMKGLYVEMLGDTVLPNMYTFNTMVNGYCKLGNLSEAGVYVSEIVEAGFALDTFTYTSLILGHCRSRNVDGACCVFGLMWRKGCPRNEVSYTNLIHGLCETGRIGEALKLFLLMEEDNCSPTVRTFTVLICALCESGRKLEAMNLFREMSGRGCEPNAHTYTVLIDSSCKERNFDEARKLLDQMLEKGLVPGVVTYNALIDGYCKVGKNSEALEILGLMESNSCSPNSQTYNELICGFCKVKDVHRAMSLLNKMFERNLSPTLITYNSLIYGQCRAGHLDSAFRLLNLVKENGLVPDQWTYSILIDTLCKRGRVEEACELFNSSQERDIKANEVMYTALIDGYCKVGKIDEAHSLFKRMVDEECPPNSITFNVLIDNLCTQKKVQEALLLVDDMIKMNLKPTVETYTNLIVEMLKDGDIDYANKTLNQMISSGCQPDVFTYTTFVHAYCSQGRLEEAENVMAKMKEEGIIPDSLAYTFLIDGYGCMQLLDCSFDVLKRMFDAGCEPSHHTYAFLLKHLVKETQTIKDGCIIEGSFAPGFVSNDLINVWKTLDFDILSLLFKKMVEHGCKPNVNTYSKVITGLCRVGRVNVALKLLNDLEEAGMSPSEVIYNELLRCCCELKLYEEALSLLYDMDENGHLAHLESYKLLICGLCDEGKRAMAESVFHTLLCCQYNYDEVAWKVLMDGLLKNGYNDECSMFLKSMEKKGCQLHPQTYAMLIQGLDGT >Vigun05g120100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13171278:13176793:-1 gene:Vigun05g120100.v1.2 transcript:Vigun05g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLASLTIPRETLLLLNLTRPLSTLHPPPPDLPSHLFTLLSHPNWHHHPSLPHLLPFITPFHVSTLLHLKPSPQTALQFFNWVATKPGYKHTPSAYGSLLNLLVPHGFLRAAETARISMVKAAGSPDDARFVLAFLRGMNMNCDEKFRFKLSVKCYNLMLMLLSRFELVDEMKGLYVEMLGDTVLPNMYTFNTMVNGYCKLGNLSEAGVYVSEIVEAGFALDTFTYTSLILGHCRSRNVDGACCVFGLMWRKGCPRNEVSYTNLIHGLCETGRIGEALKLFLLMEEDNCSPTVRTFTVLICALCESGRKLEAMNLFREMSGRGCEPNAHTYTVLIDSSCKERNFDEARKLLDQMLEKGLVPGVVTYNALIDGYCKVGKNSEALEILGLMESNSCSPNSQTYNELICGFCKVKDVHRAMSLLNKMFERNLSPTLITYNSLIYGQCRAGHLDSAFRLLNLVKENGLVPDQWTYSILIDTLCKRGRVEEACELFNSSQERDIKANEVMYTALIDGYCKVGKIDEAHSLFKRMVDEECPPNSITFNVLIDNLCTQKKVQEALLLVDDMIKMNLKPTVETYTNLIVEMLKDGDIDYANKTLNQMISSGCQPDVFTYTTFVHAYCSQGRLEEAENVMAKMKEEGIIPDSLAYTFLIDGYGCMQLLDCSFDVLKRMFDAGCEPSHHTYAFLLKHLVKETQTIKDGCIIEGSFAPGFVSNDLINVWKTLDFDILSLLFKKMVEHGCKPNVNTYSKVITGLCRVGRVNVALKLLNDLEEAGMSPSEVIYNELLRCCCELKLYEEALSLLYDMDENGHLAHLESYKLLICGLCDEGKRAMAESVFHTLLCCQYNYDEVAWKVLMDGLLKNGYNDECSMFLKSMEKKGCQLHPQTYAMLIQGLDGT >Vigun05g120100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13171278:13176793:-1 gene:Vigun05g120100.v1.2 transcript:Vigun05g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLASLTIPRETLLLLNLTRPLSTLHPPPPDLPSHLFTLLSHPNWHHHPSLPHLLPFITPFHVSTLLHLKPSPQTALQFFNWVATKPGYKHTPSAYGSLLNLLVPHGFLRAAETARISMVKAAGSPDDARFVLAFLRGMNMNCDEKFRFKLSVKCYNLMLMLLSRFELVDEMKGLYVEMLGDTVLPNMYTFNTMVNGYCKLGNLSEAGVYVSEIVEAGFALDTFTYTSLILGHCRSRNVDGACCVFGLMWRKGCPRNEVSYTNLIHGLCETGRIGEALKLFLLMEEDNCSPTVRTFTVLICALCESGRKLEAMNLFREMSGRGCEPNAHTYTVLIDSSCKERNFDEARKLLDQMLEKGLVPGVVTYNALIDGYCKVGKNSEALEILGLMESNSCSPNSQTYNELICGFCKVKDVHRAMSLLNKMFERNLSPTLITYNSLIYGQCRAGHLDSAFRLLNLVKENGLVPDQWTYSILIDTLCKRGRVEEACELFNSSQERDIKANEVMYTALIDGYCKVGKIDEAHSLFKRMVDEECPPNSITFNVLIDNLCTQKKVQEALLLVDDMIKMNLKPTVETYTNLIVEMLKDGDIDYANKTLNQMISSGCQPDVFTYTTFVHAYCSQGRLEEAENVMAKMKEEGIIPDSLAYTFLIDGYGCMQLLDCSFDVLKRMFDAGCEPSHHTYAFLLKHLVKETQTIKDGCIIEGSFAPGFVSNDLINVWKTLDFDILSLLFKKMVEHGCKPNVNTYSKVITGLCRVGRVNVALKLLNDLEEAGMSPSEVIYNELLRCCCELKLYEEALSLLYDMDENGHLAHLESYKLLICGLCDEGKRAMAESVFHTLLCCQYNYDEVAWKVLMDGLLKNGYNDECSMFLKSMEKKGCQLHPQTYAMLIQGLDGT >Vigun10g101400.1.v1.2 pep primary_assembly:ASM411807v1:10:29470637:29472934:1 gene:Vigun10g101400.v1.2 transcript:Vigun10g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNEYIYELGAKDSQHVSFSICRAKPRRLKLAKKVNQRKFMASTCRMKYYNPASLSTASIEEDFIPQLPWHAIFVFLDPILLGVMQVEYQNKKESPFETHPLQKNTFLITICIYGALLGIKIHTKTRRDYLEKILSYGLLLSGAFSSLSLLSILMQQKLLWIVLIIWGSIPLILSHHMLRSAACWTVKIFEKLTWDVSDKHSSACGNNDVCPRV >Vigun04g033700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2785301:2787666:1 gene:Vigun04g033700.v1.2 transcript:Vigun04g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPRIYESNKILGSLTKMKCYPTVISFSKQMEMKGIHHSHVTLSILINCFCHLSQMTFAFSIFGKIFKLGYHPNVVTLTTLMRGLCTNGEVRKALNFHDKMVAQGFRFDEVCYGTLIRGLCDIGETKAAIQLLGIIEARSTKPNVVMYSTIIHHLFKYGLANEALELYSEMVAKRIYPDLSTYNILVHGFCILGHLQEAIDFVNEMMSKNIIPHIYTYNILLDALCKEGRIKQANNVLAMMVRAYVKPTTVTLNTLMDTLML >Vigun07g172400.1.v1.2 pep primary_assembly:ASM411807v1:7:28764917:28784022:1 gene:Vigun07g172400.v1.2 transcript:Vigun07g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEETEELVSLDTDLLQLQEVSPFALKSSHCVVEELFTQWLSLPDTCRLVKSLLADAKAGAALSTLENSTSTNALSSNSLPSLFPAGSTPPLSPRSSSGSPRTTKQRNSPSLGSPLKLVSEPVREVIPQFYFKNGRPPPNEMKEHFLYQIDSRFYGHVNGLQLHEFKSVAKELCKLPSFFSTALFRKIDVNFTGTITRDQFIDYWIRGNMLAMDLTTQIYTILKQPYRTYLAQDDFKPVLRELLSTHPGLEFLQSTPEFQERYAETVIHRIFYYMNRSGNGRLTLRELKRGNLIDAMLHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIKYGNHSLTYRIVDRIFSQVPRKFTSKVEGKMNYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIGPENEGYITLRDVKGSKLSGNVFNILFNLNKFIAFETRDPFLIRQEREDPNLTEWDRFAHREYIRLSMEEDGEDMSNASGDVWEESLEAPF >Vigun04g133100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33370970:33377265:-1 gene:Vigun04g133100.v1.2 transcript:Vigun04g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKTCYFLLLFSLLASGITATFNNSSESGEAKCIESERQALLSFKEGLIDDFGMLSTWTNNTDCCKWKRILCNHQTGHVQLLDLHGNFYTRPYFRGAIINVTSLIHLPYIQHLDLSNNLFELSYIPEFTISFSNLRYLDLSHSDFAGRIPSTLGNLSQLRYLDLGDNYLWGEIPTQIGNLEHLQYLDLGLFYLSGKIPSQIGNLSKLQYLSVGCNTPVYKSTSSNYISNSLSGEIPFRKGNLPLLHTLRIVGNFDIKAKDAQWLSTLRSLTILELSSLNSLGSSHQWLPTISKIIPNLREMRLVDSNLLDIDIQLLFQSHSFNKSTALTVLDLSSNMLTSSTLQLMFNFSLHLQELYLSHNNISLSPSLCPNFQSLKILDLSYNTLTSSMFRGNFNISSKMKELHLVNCSITDRSFLVSSTSTSARSSLSSLLYLDLSDNLLKSCPIFHWLSNFTTNLRTLRLGYNFLEGPIPDEFGKVMNSLEYLSLPNNKLKGKVPSFFRIMCRLQRLDLSNNKFEGEFPSFIQNSSWCTRHTLSRLNISYNQITGKIPESIRLLSELEILSLAENSLEGDVTESHLSNLSKLFYLDLSYNSLSLKFDSKWVPAFQLNYLRLASCKLGPNFPSWIQTQNSLIHLDISDNELNDLVPKWIWNKLQIMYTLNMSHNNLIGSIPNMKLKLPFRPSIILNSNKFEGKVPLFLLDASELLLSENKFSDFFCGNNAAANLATLDLSYNKIKGKLPDCWKFVDRLLFLDLSSNELSGKIPNSMGTLVKLEALVLRNNSLMGELPSSLKNCKNLIMLDVSENMLSGPIPSWVGESMPQLIILIMRGNHFSGNLPFHICYLKRIQLLDLSRNKLSEAIPACLNNLTALSGKSINRVETESRVHWYNSTYSEIYNFFSASYYTLHITWTWKGVERSFRHPELILQSIDLSCNNLTGEMPKEIMYMLGLVSLNLSRNNLSGEIPSDIGNLSLLDSLDLSRNHLSGKIPPTLSNIDRLSVLDLSNNHLIGKIPWGRQLQTFDASSFEGNLDLCGKPLQKNCPADETTIKSEGPEEHDEDDNSGFYGALYMSLGVGFFTGFWGLLGPLLLWQPWRIAYLSFLNRLIDYIIVMAEVNTRKCQRWLKD >Vigun07g149900.1.v1.2 pep primary_assembly:ASM411807v1:7:26032431:26035171:-1 gene:Vigun07g149900.v1.2 transcript:Vigun07g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLPKRIILMRHGESQGNRDTAAYTTTPDHSIHLTAQGVAQARQAGIRLRRVIGGDGCSPDWRVQFYVSPYARTRSTLREVGRCFSKKRMIGVREESRIREQDFGNFQVEERMKVIKEARERFGRFFYRFPEGESAADVFDRVSSFFESLWRDIDMNRLRHDPSNDLNFVIVSHGLTSRIFLMKWFKWTVEQFEHLNNFGNCEFRVMELGSGGEFSLAIHHTEEEMLEWGLSPEMIADQKWRATACRGDWNDQCPWYLGEFFDHLTDSDDDDDNDDAPVKNEGDPNSLSICK >Vigun03g268400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43962776:43965401:1 gene:Vigun03g268400.v1.2 transcript:Vigun03g268400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSSQQPNTHSPNPNLLLHTLPTAATTTTNPTFTYEPTSVLDLCRSPSPEKKPTVPKPESQHNTTATTTNTNNTLDLDDHVLPNSDWWESIMKDLALPEDSPTTLSKPNNINPCIPDFPPSHDPPFDHPPDFTSLSEIYNQNLPYNYTTTNALDHSFHDLNHHHHNPTNNVNNWDFIEELIRAADCFDSSHFQLAQAILERLNNRLIRSPMAKPLHRAAFHFKDALHSILSGPNRAASNRLSSMAEIVQTIKTFKAFSGISPIPMFSIFTTNQALLETLNGSSFVHVIDFEIGLGIQYASLMKEIAEKAAGATLLLRITAVVPEDYAVESRLVRENLNQFAHDLGIRVQVDFVPLRTFETVSFKAVRFVDGEKIAVLLSPAIFCRLGSGGASVGAFLADVRRMAPGVVVFVDGEGWTEATAAASFRRGVVNSLEFYSMMLDSLDASVAAGGGGEWVRRIEMLLLRPKIFAAVESARKRSPPWREAFYGAGMRPVQLSQFADYQAECLLAKVQIRGFHVEKRHAELVLCWHERAMVATSAWRC >Vigun01g103200.1.v1.2 pep primary_assembly:ASM411807v1:1:27242142:27246053:-1 gene:Vigun01g103200.v1.2 transcript:Vigun01g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAETDVKMESEKNINIRDNGEVAEKEELLHRNNRRRGGIRTLPFILGNEFCDRFASSGFHANMISYLTQQLNMPLVPASNLLTAFAGTSSFTPLFGALIADSFAGRFWTILVASFIYELGLIVITLSAILPHLRPPACSTQVNCREASSSQLWILYISLLLIALGTGGIRPCVVTFSADQFDMTKTGVASRNWNLFNWYSFCMGLASLSALTIVVYIQDNMGWGWGLGIPTIAMLMSIIVFVLGSPLYRTVKPNGSPMVRLAQVVAAAVKNRKKALPQDHELLYQNWELDAAISSEGRLLHSHQFKCLDKAAIVTEEEEGRDPNAAPDMWKLATVHRVEELKSIIRMLPIWASGILLIAASSSHNFVILQARTMDRHLFHTFQIPPASMSIFTVVTLMVGVVLYERLFVPFIRRFTKNPSGITTLQRMGVGFVVSIIATLVSAWVEIKRKKVAAEYHLLDSPNATIPISVFWLVPQYCLHGVAEVFMVVGHLEFLYDQSPESMRSTAAALNCITTAIGNYVGTVMVSLVHKYSGKERNWLPDRNLNRGRLECYFYLLSGIQVLNLVYFLICACFYTYKSVEEISKVNNLDDLEQDRDKISSSVT >Vigun11g085800.2.v1.2 pep primary_assembly:ASM411807v1:11:25744964:25746166:1 gene:Vigun11g085800.v1.2 transcript:Vigun11g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCQNSNILVLLLVFTLWTCHAVSRRLPEAFLSERHEKWMAQHGKVYKDAAEREKRFQIFNNNLQFIDSFNAAGDKPFNLSINRFADLHNHEFKALLINDQKKERSVWTAKETETSFMYDSVTEIPASVDWRERGAVTPIKDQGTCRSCWAFSAVATIEGLHHITKGELVSLSEQELVDCVRGGSDGCNGGYVEDAFEFLAKKGGIASETNYPYKGVNKNCKVKKESDGVAKIKGYEAVPANSEKALLKAVAHQPVSVSIEAGGSAFQFYSGGIFTGECGTEIDHSVTVVGYGKGGDGTKYWLVKNSWGTEWGEKGYIRMKRDIHAKEEDGLTSRPN >Vigun01g024700.1.v1.2 pep primary_assembly:ASM411807v1:1:2744581:2749182:-1 gene:Vigun01g024700.v1.2 transcript:Vigun01g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCNSFFSPSLLHRPSHLPRPFLCAKASVSSSSHEPKAQQSVSSATSAATAPSPSTPFVESRPPDPAFNYALANPNGSPLVRFVRATESSIERVIFDFRFLALLAVAGSLAGSLLCFLNGCIYIIDAYKVYWTSCVKGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNIPPDVPPSVDRALIGSSLFGMFAMKERPKWMKICSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKSD >Vigun06g170850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29236432:29239944:-1 gene:Vigun06g170850.v1.2 transcript:Vigun06g170850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHFVGTELAMNNAIVEEEIDFSCDPYIGLEFDTADEALKFYTSYANRTGFKVRIGQLYRSRTDGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQINGSGKWVIDHFHKDHNHNFEISGEENCTPTLQQKGGTVVNSLTEFTRRPRKKLLEEANNDSSCPFGIIDFKRLRKEELDGQPKTEPYVGQEFSSPNEAYQFYHAYAAHLGFGVRIGQLFRSKNDGLITSRRFVCSKEGFQHPSRVGCGAYLRIKRQPSGKWTVDRLRKDHNHDLDSEKEGREKGLHASNILNDDADTGFVNGDLFRIDNYPVPRGGRQNHIRSEWYNILLEYFQTRQTEDTGFFYAVEVDNGNCMSMFWADGRSRYSCSQFGDVLVLDTSYRKTVYLVPFATFVGVNHHKQPVLLGCALVADESEESFTWLFQTWLRAMSGRQPLTVIADQDIAVQRAIAKVFPVTYHRFSLWQIKAKEQENMGLMGDGFTKDYEKCVYQSQTVDEFDATWNVLLNKYGLKDNAWLKEMYEKRASWVPLYLKGTFFAGIPMNESLDSFFGALLNAQTPLLEFIPRYERGLERRREEERKEDFNTSNFQPILQTKEPVEEQCRRLYTLAVFKVFQKELLQCFSYLGFKIFEEGGLSRYMVRRCGNDMEKHVVTFNASNLSISCSCQMFEHDGVLCRHVLRVFQILQLREVPSRYILHRWTRNAEDGVFPDIESWSSSQELKNLMLWSLRETASKYIDAGATSIEKYKLAYEILREGGRKLCWHR >Vigun06g170850.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29236388:29239970:-1 gene:Vigun06g170850.v1.2 transcript:Vigun06g170850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEHFVGTELAMNNAIVEEEIDFSCDPYIGLEFDTADEALKFYTSYANRTGFKVRIGQLYRSRTDGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQINGSGKWVIDHFHKDHNHNFEISGEENCTPTLQQKGGTVVNSLTEFTRRPRKKLLEEANNDSSCPFGIIDFKRLRKEELDGQPKTEPYVGQEFSSPNEAYQFYHAYAAHLGFGVRIGQLFRSKNDGLITSRRFVCSKEGFQHPSRVGCGAYLRIKRQPSGKWTVDRLRKDHNHDLDSEKEGREKGLHASNILNDDADTGFVNGDLFRIDNYPVPRGGRQNHIRSEWYNILLEYFQTRQTEDTGFFYAVEVDNGNCMSMFWADGRSRYSCSQFGDVLVLDTSYRKTVYLVPFATFVGVNHHKQPVLLGCALVADESEESFTWLFQTWLRAMSGRQPLTVIADQDIAVQRAIAKVFPVTYHRFSLWQIKAKEQENMGLMGDGFTKDYEKCVYQSQTVDEFDATWNVLLNKYGLKDNAWLKEMYEKRASWVPLYLKGTFFAGIPMNESLDSFFGALLNAQTPLLEFIPRYERGLERRREEERKEDFNTSNFQPILQTKEPVEEQCRRLYTLAVFKVFQKELLQCFSYLGFKIFEEGGLSRYMVRRCGNDMEKHVVTFNASNLSISCSCQMFEHDGVLCRHVLRVFQILQLREVPSRYILHRWTRNAEDGVFPDIESWSSSQELKNLMLWSLRETASKYIDAGATSIEKYKLAYEILREGGRKLCWHR >Vigun09g108800.1.v1.2 pep primary_assembly:ASM411807v1:9:22933527:22939512:-1 gene:Vigun09g108800.v1.2 transcript:Vigun09g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRNASPVYARQWSGGSSSTGSSSPAMSPAHPQSRLGASSTGLSTVKRTQNVAAKAAAQRLARVMASQNAIVDDGEDDDDLDFRFSAPPPASLSSFSSNASSNRSTSTNASTIPPISVARPNRSPSPALGRNFVEHTHSVRSTSAGRSGVSVRSAAVVPPSKSTLRTPMAIPPIDPPTNRNREKRFPSDISIRPLNSKDTGDQREASALRDELDMLQEENETLLEKLRQAEEKRQEVEARSRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALRAAQQTQSGRDEDIAALRVEIQNLKDDAAATLEQQQEAEAEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVKHGICADIAQSKHEHWSSLAPLPFELVISAGTEG >Vigun10g050001.1.v1.2 pep primary_assembly:ASM411807v1:10:7759873:7761738:1 gene:Vigun10g050001.v1.2 transcript:Vigun10g050001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSLFVSVLPKEEEEALTCLTPFSPHLLSVHTTFGVQGISNLCDVKFFFLYIFKVEV >Vigun05g030100.4.v1.2 pep primary_assembly:ASM411807v1:5:2401606:2404946:1 gene:Vigun05g030100.v1.2 transcript:Vigun05g030100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELNRFILMNEAKGLVPGYPQSMLDILGTRNIAAVHGSTHKYMRGALLSIISPTLIRDQLLPKIDEFMRTHLIDWDNKVINIQEKTKEMAFLSSLKQIAGMESSSIAQPFMTEFFKLVLGTLSLPINLPGTNYRGGLQARKSIIGILSQLLEERTASQESHVDMLGCLMNRDENRYKLTDEEIIDLVITIMYSGYETVSTTSMMAVKYLHDHPKVLEEIRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLIPKGWRIYVYTREINYDPFLYHDPLTFNPWRWLGNSLESQSHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLMKFPRVVAPNGLHIRVSSFTS >Vigun05g030100.3.v1.2 pep primary_assembly:ASM411807v1:5:2401419:2404946:1 gene:Vigun05g030100.v1.2 transcript:Vigun05g030100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELNRFILMNEAKGLVPGYPQSMLDILGTRNIAAVHGSTHKYMRGALLSIISPTLIRDQLLPKIDEFMRTHLIDWDNKVINIQEKTKEMAFLSSLKQIAGMESSSIAQPFMTEFFKLVLGTLSLPINLPGTNYRGGLQARKSIIGILSQLLEERTASQESHVDMLGCLMNRDENRYKLTDEEIIDLVITIMYSGYETVSTTSMMAVKYLHDHPKVLEEIRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLIPKGWRIYVYTREINYDPFLYHDPLTFNPWRWLGNSLESQSHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLMKFPRVVAPNGLHIRVSSFTS >Vigun05g030100.2.v1.2 pep primary_assembly:ASM411807v1:5:2400215:2404946:1 gene:Vigun05g030100.v1.2 transcript:Vigun05g030100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMAILGVVVFVLCFCSVLLKWNGVRYRRKGLPPGAMGWPVFGETTEFLKQGPNFMKNKRARYGSFFKSHILGCPTIVSMDPELNRFILMNEAKGLVPGYPQSMLDILGTRNIAAVHGSTHKYMRGALLSIISPTLIRDQLLPKIDEFMRTHLIDWDNKVINIQEKTKEMAFLSSLKQIAGMESSSIAQPFMTEFFKLVLGTLSLPINLPGTNYRGGLQARKSIIGILSQLLEERTASQESHVDMLGCLMNRDENRYKLTDEEIIDLVITIMYSGYETVSTTSMMAVKYLHDHPKVLEEIRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGGLCSMSQAFCCILRFMGSRSCF >Vigun05g030100.1.v1.2 pep primary_assembly:ASM411807v1:5:2400215:2404946:1 gene:Vigun05g030100.v1.2 transcript:Vigun05g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMAILGVVVFVLCFCSVLLKWNGVRYRRKGLPPGAMGWPVFGETTEFLKQGPNFMKNKRARYGSFFKSHILGCPTIVSMDPELNRFILMNEAKGLVPGYPQSMLDILGTRNIAAVHGSTHKYMRGALLSIISPTLIRDQLLPKIDEFMRTHLIDWDNKVINIQEKTKEMAFLSSLKQIAGMESSSIAQPFMTEFFKLVLGTLSLPINLPGTNYRGGLQARKSIIGILSQLLEERTASQESHVDMLGCLMNRDENRYKLTDEEIIDLVITIMYSGYETVSTTSMMAVKYLHDHPKVLEEIRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLIPKGWRIYVYTREINYDPFLYHDPLTFNPWRWLGNSLESQSHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLMKFPRVVAPNGLHIRVSSFTS >Vigun02g038450.1.v1.2 pep primary_assembly:ASM411807v1:2:16146079:16155546:-1 gene:Vigun02g038450.v1.2 transcript:Vigun02g038450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAALLRFIEVKEAALLPLLCERHQIGGLGQTLISFPLPATECPYHETSFGFNDRNARNNHQLRCRYRCKNPLQVDGVGWLIRSQLGCGSSNNNNTQVYNDSFFDGRICLDKDVFGNNMYVCSLKSKRQQQVKNVGNAEVSNVVHGNVSTSTTVDSSFAWDSNNSQAEFLDSPMLATPDKESMLGSFDG >Vigun08g153600.1.v1.2 pep primary_assembly:ASM411807v1:8:32581199:32589214:1 gene:Vigun08g153600.v1.2 transcript:Vigun08g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGILTSQGANNLGPNPYTTTTPAPWIISVAASTIDRKFFTNLQLGNGRIFHGISVNTFTPTQNSHPLIYGGDAPAAGYNSSISRFCIESTLDGALVRGKIVFCDGLSSSKYVGFASGAAGLIFNSSGPLVAAEVYALPAIRLSTSDGNSVTSYLKSTSNPTATIFKSYEGKDSSAPYVAPFSSRGPNKVTPNILKPDIAAPGVEILAAWSHISPISQVEGDKRESYFNIIYGTSMACPHVTGAASYVKYFHPNWSPAALKSALMTTATPMNPALNVDAEFAYGAGQINPLKALNPGLVYDACEYDYIRFLCGQGYSSSALRRITGDSSTCTSANKGSVLDLNLPSFALSTPRSSHNNVTFARTVTNVGSATSKYKATISAHPSSLKVQVVPNVLAFSSLGHRLSFTLKIEGSINADLVSFSLIWDDGTFKVRSPVVVYVP >Vigun11g117300.1.v1.2 pep primary_assembly:ASM411807v1:11:32285388:32290460:1 gene:Vigun11g117300.v1.2 transcript:Vigun11g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGELPSRSVISQRWALFLCLGSFCAGMLFTTRIWTIPENNKGLARPTAAETDKLNVVSEGCNSRIMQELEMKRDKDIYGEVYKSHNSIQTLDKTISNLEMELAAARATQESLRSGAPVSDDIRMSESSGKRKYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLNHVEGYLELSAKTKTYFATAVNLWDADFYVKVDDDVHVNIATLGETLVRHRSKPRIYIGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISNDLATYISINQDVLHKYANEDVSLGSWFIGLDAEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSAERIKEVHRRCGEGENALWSASF >Vigun09g199100.1.v1.2 pep primary_assembly:ASM411807v1:9:37368806:37371577:-1 gene:Vigun09g199100.v1.2 transcript:Vigun09g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWVFGYGSLVWNPGFDYDEKIVGFIKDYRRVFDLACIDHRGTPENPARTCTLEEKEGAICWGAAYCVRGGLEREKLAMQYLERRECEYDRKTLVTFFKEGDSQHPALTDVIVFTSTPDKVNNKYYLGPAPLEDMARQIATAYGPCGNNRDYLFLLEKAMYDIGHEDDLVIELANEVRKALGVGNVLPNVATAQLPHPPHVSIPSRQLQPLPEPIALDG >Vigun09g046700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4427048:4427686:-1 gene:Vigun09g046700.v1.2 transcript:Vigun09g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNLIFFILILIMVELTFSTPMAAPPSPPTQQMNNILDALIGASDFSAWVSILSSANGTILPVSATLFIPRNAAVDRPPPDPLLLPYHVVPQRLPFSDLLLLPRRARLPTLLAAKTISVTDNSPSNFSLDHTPLTHPDLFSTPSLAVHGVQSFLDYSLFGDGLPPPPPPPFLHGGHSIDSVWNSGAPCSRSRLNGVVLPAIFSFVLGLLLC >Vigun11g192800.1.v1.2 pep primary_assembly:ASM411807v1:11:39226584:39232033:1 gene:Vigun11g192800.v1.2 transcript:Vigun11g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFSGLQFAMSRSCIPSSQKIADASALVLGGKSKIESWNKLASACNVASVQPLQRGFTSSSMKSVKSVTKATSESSAESAVSGLPINLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSMMEITKVYPLDAVFDNLDEVPEDIKTNKRYAGSTKWTVQEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRNGYLAAISASSYSYVSLLKHFLPILNTGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKRRIRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLASAITGTVLYVDNGLNAMGVGVDSPIFKDLDIPKDQH >Vigun03g412500.1.v1.2 pep primary_assembly:ASM411807v1:3:61974788:61980027:1 gene:Vigun03g412500.v1.2 transcript:Vigun03g412500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSPSSPDPESPSSPSVGFNTDQIPHTHTSRASEDDEASVDPNIVPDDREEPPEEEEDGEDLFNDNFMDDYRRMDEADQFEDFGLDDKFEDDRDFDQIMEDRRAAEMEIDAREGRTTNRNKLPQLLHDQDTDDDSHRPSKRARADFRASLPSDDDMQSSPGRSRGHSREDFHTTDQTEDDRDDDDFDDGYEMYQVQGTLREWVTRDEVRRFIARKFKDFLLTYVNPKNEHRDKEYVWLINEMVSASKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEDVTKSVVFELHPNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNFQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGVYTNNFDLSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDMAEIENLAKDPRIGERIIKSIAPSIYGHDDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPITREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFSQNVELTDPIISRFDVLCVVKDVVDPVTDEMLATFVVDSHFKSQPKGANQDDKSFSESQDVHASAVPADPEILPQDLLKKYITYAKLNIFPRLQDADMDKLSHVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLESFISTQKFGVQKALQKSFRKYMTFKKDYNELLLYILRELVKNAMHFEEIVTGSTSGLTYVDVKVDDLYNKAQEHDIYDLKPFFNSTQFSRANFVLDEERKVIRHRLV >Vigun02g121200.1.v1.2 pep primary_assembly:ASM411807v1:2:27362311:27364326:1 gene:Vigun02g121200.v1.2 transcript:Vigun02g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKKQTELFVAAEGLYTGQFLYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSACRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKTT >Vigun08g052300.1.v1.2 pep primary_assembly:ASM411807v1:8:6215820:6221070:-1 gene:Vigun08g052300.v1.2 transcript:Vigun08g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRESAFVLVVLLQVHHICATKDDQEQHLCPPSSCGKISNITYPFRLKGDPEKCGDERYEVGCENNVTVLYLHSAKYHVQAINYNKYTVRVVDPALQLHKCSSLPLRSLSRSNFSHTYTYTYTYTYSSDPYQAGLGEYENWESLIFEHIVFLSCNHSVRENGKYVKTEECVKWDSKGYAYAVGGDLKAEDIEVGCDIKLVAPTSFTTFNNNSYTSMHRSLAYGFEISWVQLACDDYCPYIFCYFDSSKQKLHCSSGLPGLLRTILLELAGISIYTSLAWAYKILFGLPFLIVIFICKWRKRHSSTYANIENYLEQNHLAPIRYSYKEIKKMTGGFKEKLGEGGFGFVFKAKLRSGPFVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCASGSKRALVYEFMSNGSLDKLIFSRAGSIDLSYKKIYNISIGVARGISYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVTMTTTRGTIGYMAPELFYNNVGGISHKVDVYSFGMLLMEMASKRKNLNPHVEHSSQLYFPLWIYNHIREEEDIDIKELTKAEKIIAKKLIIVALWCIQLKPNDRPSMNKVVEMLEGGIEDLEIPPKPTLFPDEMSLEDQTTSSI >Vigun06g022800.1.v1.2 pep primary_assembly:ASM411807v1:6:10616491:10619999:-1 gene:Vigun06g022800.v1.2 transcript:Vigun06g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNQTIFSSATLMLSIPLSRREIYNVCMDQPMNIISDGIWNHPNGSSPTQSAYTMLQIQMVTIFAVTQAFHFILKKFGFPYFVSQVMAGFLLGPTIPTGPFEQYKKMLFPFGSADILNTVSALGFSFFLFITSVQMDLSLITKTGKKAWVIALSSYFVSIAIGFTVMSMVSSQLAQINDDYDSFSSLPMVIVTQGGVSFAVVSTMLNDLGILNSELGRLALSTAFVNDLTGGIAAGFGADYVKSVQLGVPSLITNVVVFFVYLICIPLIGRPAMKWIVKNTPEGKPVSKIYIYSIIVSFLGLGFFAGYFNQPFLVGAIILGLAVPEGPPLGSELVSQLELFSSWFLTSIFVTCCTMKVDLKACVPLSFFVVVFLFILLAQLIKILLCMGICGYCKMPFSDGFCLALILSTKGVVDVCSYVLMFDSMAQNKKVIGVLIVSVLVLGTTSKIGVKALYDPSRKYAGYQKRNIMNLKQNHELRIVACIHKTYQVIHMKNVLQLCSPCPENTLVADIVHLMELVGRSTPIFIAHRLQQKVGSSYNYSGELIVTFDLFERDYAGCATANTYTAISPMALMHEDVCHLALDKNAAIIILPFHVKWGGDGSIELEDSNIRSFNSRVLERAPCSIGILLTRGSAGFSMANYKVAMVFLGGPDDREALCLARRFTKNLGNKLFVYRLVAYDRDMSNWEDMIDDEELREVRGAYGKLENVTYEERTIEDASETTVFLKDIANKFDFIVVGRRYGMRSSQTYGLENWTEYSELGVIGDLLASPDMETRASVLVIQQQSTAAS >Vigun04g077300.1.v1.2 pep primary_assembly:ASM411807v1:4:10495716:10506882:-1 gene:Vigun04g077300.v1.2 transcript:Vigun04g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHTSVMEEITFYTGLSPAAFFTLLAMMVVVYRTVSAMFVSPEDYNKPPVVSARTHPQFEDPEPPRQPVQLGQVTDQELRAYDGSDPNKPLLMAIRGQIFDVSTGRNFYGPRGPYAMFAGKECSRALALLSFKQEDINGDLEGLGESEFTILEDWEFKFIEKYPKVGLLIPEQRAPKNELKEQVQDNLENSNEYKDKTK >Vigun06g207500.1.v1.2 pep primary_assembly:ASM411807v1:6:32097106:32103694:-1 gene:Vigun06g207500.v1.2 transcript:Vigun06g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQDLYPSEDDLLYEEEVLRNPFSLKLWWRYLIARSEAPFKKRFVIYERALKALPGSYKLWHAYLRERLDLVRNLPVTHSQYDTLNNTFERALVTMHKMPRIWIMYLQTLTNQKLVTRTRRTFDRALCALPVTQHDRIWEPYLVFVSQKGIPIETSLRVYRRYLKYDPSHIEDFIEFLLNSSLWQEASERLASVLNDDQFYSIKGKTKHRLWLELCDLLTRHANEVSGLNVDAIIRGGIRKFTDEVGRLWTSLAEYYIRRGLHEKARDVFEEGMSTVITVRDFSVIFDSYSQFEESMLAYKMEEMGLSDEEDDEGEENGLEEVEEEDIRIKGRLVDEDFERKILHGFWLNDKNDIDLRLARFDYLMERRPELANSVLLRQNPHNVEQWHRRVKLFERNPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYEQHKDLANARVIFDKAVQVNYKTVDNLASVWCEWAEMELKHKNFKGALELMRRATAEPSVEVKRKVAADGNEPVQMKLHKSLRLWTFYVDLEESLGSLESTRAVYERILDLRIATPQIIINYAYFLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKNKLERARELFENAVESAPADQVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYISRAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDPEFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTVNLDEAKDKLKQAGIPEDEMAALERQLAPAADNTVTKDRKVGFVSAGVESQSDGGIKTNANHEDIELPEESDSDDDDKIEIAQKDVPSAVFGGLIRKRDEDEKNGEVDAAKDKDNENRLGALERIKRLKRN >Vigun09g234700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40519557:40522406:1 gene:Vigun09g234700.v1.2 transcript:Vigun09g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFILLSLVMSLQLSSSAVEILHQGSSLLVEDPNDVMLSPNAIFCAGFYAVGQNAYSFAVWYSQTNGETQDATVVWMANRDYPVNGKGSKLSLLPNGNLALRDADESHVWSTNTVSLSSVQLFLDNTGNLVLRETKGGGVVLWQSFDFPTDTLLPQQVFTRYAKLVSSRSETNKSSGFYSLFFDNDNVLRLLYDGPEVSGLYWPDPWLATWDAGRSTYNNSRVAVMDTLGNFSSSDDFSFLTSDYGTVIQRRLVLDHDGNIRVHSRKNGGEKWSITWQAKARPCSIHGVCGPNSLCSYHQNSSVKCSCLPGYKWKNDHDWSFGCEPKFSTLCNKTQSRFLYISNVELYGYDYGILTNYTLHQCQQLCLQLCDCKGIQYTYVFGSGTYTCYPKLQLRNAYQTPYFNADLYLKLPANSSYSFEGSTDEHSVDCSSSLSTVQLERAYDMSHENRYVKFLLWFVGGLGAFEVLCIFVICFFVVRTRERKYSGVDRRVYNLAMNGFRKFSYSELKQATKGFREEIGRGAGGVVFKGVLLDQRVAAVKRLKDANQGEEDFLAEVSSIGRLNHMNLIEMWGYCAEGKHRLLVYEYMEQGSLAQNIESDELDWTKRFDIALGTARGLAYVHEECLEWILHCDVKPQNILLDSNYHPKVADFGLSKLRNRNDSSTYSSFSRIRGTRGYMAPEWVLNQRITSRVDVYSYGIVVLEMVTGKSATKYVDGSDNGVEKEHLGMVAWLKDKEKNGCGWVGEILKPNVKGVYEEDKIEALVRVALQCVEEEKDKRPTMSQVVEMLLKCRREDDNLGSSHVSVIS >Vigun08g186000.1.v1.2 pep primary_assembly:ASM411807v1:8:35527062:35528455:-1 gene:Vigun08g186000.v1.2 transcript:Vigun08g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEAKLGMIMDSVGQFFSGKDHLPYCDSDIVSGCEKEVLEAEKLSSDEQMQDCLLRLSWALVHSKHPQDVHRGIAMLEASLPSTKEPLQQREKLYLLAVGYFRNGDYSRSRDLVDRCLTIAPDWRQAVTLKKAIEDKITKDGVIGLGIAATAVGLIAGGIAAAVSRKK >Vigun10g019500.1.v1.2 pep primary_assembly:ASM411807v1:10:2242133:2244947:1 gene:Vigun10g019500.v1.2 transcript:Vigun10g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVKKAMKKNMKKASSNNPSQGADFLPLEGGPGRKLAEQKPPENTSTVLYVSRIPHGFYEKEMEGYFGQFGTVKRLRIARNKKTGKSRHFGYIEFESPEVAKIVADTMHNYLLFEHLLQVHVVPPEHVHSRLWKGFSYRHKPVDYVRIERKRHDKERTLEEHKKLVDRIVRHDQKRRKRIEAAGIDYECPEIIGNLQPAPKKIKFDD >Vigun05g044600.1.v1.2 pep primary_assembly:ASM411807v1:5:3691507:3696544:1 gene:Vigun05g044600.v1.2 transcript:Vigun05g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGFLEAIVRGHRAGLLTTADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDDYKNMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAICEDIDQVRAVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >Vigun06g128600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25523982:25525373:1 gene:Vigun06g128600.v1.2 transcript:Vigun06g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQEVMVVEKVCEIYEEISKLGDLNPSSHVNNLFTQLVTICTTPCQIEVSQLNQQVRETIAKLIRLCGKAEGLLESHYSTLIGSHENPLNHMKLFPYYSNYLKLSHLEFTMLTTHCTQVPSQLAFVGSGPLPLTSIILATHYLTQTCFHNYDMDPSANAKAHGLVSSDPDLSKRMFFHTDDILNVSIGLKDYNVVYLAALVGMDHEEKAEIIKHLAKFMAPGAILLLRSAHGGRAFLYPVVDPSSDLKGFEVLSVFHPTDEVINSVIVARKHLVTQGTYSAVLASKCSGVEGFNPFIHGNVIEELTVEDQA >Vigun08g018600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1580240:1582511:1 gene:Vigun08g018600.v1.2 transcript:Vigun08g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVAILFTITFFLVCFDGKAQQNYSGNSILSCKNDDKMGPSPTFLYTCNGLNKSCMAFLIFKSRPPFNSILTVSNLTSSNPEEVARINGATVLTEFPTGKEMIVPMNCSCLTRDYYQAETEYVLGQHPTYFTVANNTFQGLTTCDSLMRANPYGEFDLLPGMELHVPLRCACPTMHQVTNGTKYLLTYSVNWGDNITSIAARFNVAESNVVEANGFNTPAENLYPFTTVLIPLPSEPPSSMTTVVSDPPDMSPPLVCSSMKCKSKRKFHTAIAITGGSVVVLCAVLYGVFLFRKRSSRFVKRGEEGEKAKRVSSDDIRGEIAIIEHQSKVYKFEEINEATENFSSKNRIKGSVFRGVFGNDRNILAVKKMRGDASKEVNLLKRINHFNLIKLQGYCENDACSYLVYEYMENGSLREWLSENRTTEHQILAKRILIALDIANGLQYLHNFTEPSYVHRNINSGNILLNRDLRAKIANFALAEESERKITSTRALFMERGYIAPEYLEAGMVTTKMDVYAFGVVLLELITGKDFVTIPEEREAMLHVVIVSFIGKENEEEKVSLFVDPSFTGNNEKVCALQLVKLGLTCLIEEPEERPTIVEVVSSLLKIYVSYMDQILPLSINSSPSINNSLSMEM >Vigun11g056600.1.v1.2 pep primary_assembly:ASM411807v1:11:11161803:11166076:-1 gene:Vigun11g056600.v1.2 transcript:Vigun11g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYGKPVSELGLSERLRDSLSCSDDTNKPDFRELDLGSPVSTLRPRQHFHHHSAPTTSSNSSSSGGSTGSVPGRSNPVSGRSHSGELSGSSETNSPTRVSKPGHRRSNSGQSLSQSQRSPSSSSAAVNSPPLNVLPAGNICPSGRVLKAATVAAAASRSSRSDVLGSGTGNYGHGSIMRGGKGGGGNSGGGDATSVKIGGGDSGKRVDPEEVKRMGNEEYKRGHFGEALCLYDRAIAMSPSNAAYRSNRAAALTGLGRLPEAVRACEEAVGLDPNYGRAHQRLATLFLRLGQVEDARKHLCYPGLHPDPAELQKLQIVEKHINKCGDVRRIRDWNSVLREVDAAIAAGADSCVQLFMCRAEALLKLHQMDGAESCLSGIPKSEPRPSSLSQARFFGMFSEAYCYFVRAQIEMAFGRFENAVTAAEKASQIDPRNVEVAVLLKNVRMVARARLRGNDLFKSERFTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGQWERSIEDCNQALRIQPNYTKAILRRAASNSKLERWEEAVKDYELLRRELPDDNDVAENLFHAQVALKKSRGEEVRNLKFGGEVEDISGLEQFRAAISLPGVSVVHFETASNLQCKQISPFVVTLCSRYPSINFLKVDIQASPAVATAENVRVVPTFKIYKNGSRVKEIVCPSHDMLEHSIRHYSL >Vigun05g232500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42648276:42650224:1 gene:Vigun05g232500.v1.2 transcript:Vigun05g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPACAINPTQLPHPPKPSSLNSVPNQTHFNTNQSLSLKHPSKYTDPIVAWTSSIAQYCKGGHLVKAASEFVRMREANIEPNHITLITLLSVCAHHPSQISISFGTTVHAHACKLGLHVNDVMVGTALIDMYAKCGRVDFARLAFNQMGVRNLVSWNTMIDGYMRNGRIEDALQLFDQLPVKNAVSWTALIGGFVKKDFHEEALECFREMQLAGVAYDYVTIIAVIAACANLGTLGLGLWVHRLVMTQAFRNNVRVSNSLIDMYSRCGCIELARQVFDRMSNRTLVTWNSIIVGFAVNGFADEALNYFNSMQEEGFKPDGVSYTGALMACSHAGLINEGLKIFENMRRVHRISPRIEHYGCLVDLYSRAGRLEEAWNVLKNMPMRPNEVVLGSLLAACRTQGNIGLAENVMKYLIELDPGKDSNYVLLSNIYAAVGKWDGATKVRRRMKERGIQKIPGFSSIEIDSSIHKFVAGDKSHEEKDHIYAALELLSFELQLCGYVPDFPRKESYEDE >Vigun09g194050.2.v1.2 pep primary_assembly:ASM411807v1:9:36881996:36887304:1 gene:Vigun09g194050.v1.2 transcript:Vigun09g194050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVFGRASFTQNHTLLMAYGESALSGSTKYFTLHSNKRVQTSTHIFTNSSFHLLMGDNIGFLPSPRELWWFPIKIQLTICYCIILIPQDLFLHLI >Vigun09g194050.4.v1.2 pep primary_assembly:ASM411807v1:9:36881996:36886234:1 gene:Vigun09g194050.v1.2 transcript:Vigun09g194050.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVFGRASFTQNHTLLMAYGESALSGSTKYFTLHSNKRVQTSTHIFTNSSFHLLMGDNIERKEMMEQIFIAVRYVSCTGKPSED >Vigun09g194050.1.v1.2 pep primary_assembly:ASM411807v1:9:36881996:36886235:1 gene:Vigun09g194050.v1.2 transcript:Vigun09g194050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVFGRASFTQNHTLLMAYGESALSGSTKYFTLHSNKRVQTSTHIFTNSSFHLLMGDNIGEHFINNSVIINFTFQWFKYLIKHIYYYNIMCKNQSLYMHYYI >Vigun09g194050.3.v1.2 pep primary_assembly:ASM411807v1:9:36881996:36883384:1 gene:Vigun09g194050.v1.2 transcript:Vigun09g194050.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVFGRASFTQNHTLLMAYGESALSGSTKYFTLHSNKRVQTSTHIFTNSSFHLLMGDNIERKEMMEQIFIAVRYVSCTGLYILLRF >Vigun05g157000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25545508:25545999:1 gene:Vigun05g157000.v1.2 transcript:Vigun05g157000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun09g268700.1.v1.2 pep primary_assembly:ASM411807v1:9:43142133:43143438:-1 gene:Vigun09g268700.v1.2 transcript:Vigun09g268700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTTEVEEEVVSRGSKCQDCGNQAKKECSYSRCRTCCKNKGFHCQTHVKSTWTPVDRRRNKDHPPSSSHNFHTDNIPQNHNQINPYSVSGLETKFPCATNSMAMFRCVQVRSMDDAVNEIAYQTSVNIGGRVFSGILYDEGPEERYKIVNKGESCSGVVDEQHGSIHSRDSSDPSFPPPPYPFPLASFRPGMPYFPYPRS >Vigun09g268700.2.v1.2 pep primary_assembly:ASM411807v1:9:43142133:43143438:-1 gene:Vigun09g268700.v1.2 transcript:Vigun09g268700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTTEVEEEVVSRGSKCQDCGNQAKKECSYSRCRTCCKNKGFHCQTHVKSTWTPVDRRRNKDHPPSSSHNFHTDNIPQNHNQINPYSGLETKFPCATNSMAMFRCVQVRSMDDAVNEIAYQTSVNIGGRVFSGILYDEGPEERYKIVNKGESCSGVVDEQHGSIHSRDSSDPSFPPPPYPFPLASFRPGMPYFPYPRS >Vigun03g015800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1092007:1097006:-1 gene:Vigun03g015800.v1.2 transcript:Vigun03g015800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIMENLFQRSLEDMIKSMRLQLIGETTFISKATEEIRREIKSTDQQTKSTALQKLSYLSAVHGVDMSWASFHVVEVMSSSKFAHKRIGYHAASQSFNDDTPVLLLITNQLRKDLSSTNEFEVSLALDLLSRIATFDLARDLTPEVFKLLSTAKIFVRKKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPLVVTAVIGVFCELAAKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKVFAKLAPLEHRLGKRIVEPVCDHMRRSGAKSLVFECVRTVLTSLSDFESAVKLAVEKVRELVVDQDPNLRYLGLQALSVAAPKHLWAVLENKEAVVKSLSDDDFNIKIESLRLLMAMVSESHVADISRVLLNYALKSEPGFCNEILDSILRTCSRNLYEIVVDFDWYVSLLGEMATIPNCQKGGEIETQLVDIGMRVKDARMELVRVGRDLLIDPALLGNGHLHRILCAAAWVAGEYVEFASNPFELMDALLQPRTSLLPPSIRAVYINSVLKILIFCLDCYVLQNDGSGSLYSGNLEGEQSELFSAKNDAEATKLATSGGSNYEQDVGFNPRNTGDSSEDLSVENGIDRVATHGKTFTSTLVARKNFLHGSIVSLLNRIELIFGPLITNQDVEVLERAQNILSLVQLIKEEIIDNSVQSVDTIEKKDTRVSTIINLMRDAFTTELGPVSVSAQGRVAVPEGLVLKENLDELQAICGDTELSSSSSFATGGPHHTTISDASSSNLLQNEELGPLNESTSLIEHRKRHGLYYLPSEKSEIVPDEYPRANDPKSNSNINDEAAELVKLTEQSLLMKKRTNQTKPRPVVVKLDDGDLAPISVKRPEPRDDSLSGAIQDVLQGSESGPSVSRSYPSNKSSRKQKEKKKLSTNGRSEMKENSVDSEKPDPESANSSHKNHGHSKERKHRGKEKIVEGEEHDQEGKKKSGHRHGRRKTHQRAKSPLNVASQTPVIPDFLL >Vigun03g015800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1091122:1097006:-1 gene:Vigun03g015800.v1.2 transcript:Vigun03g015800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIMENLFQRSLEDMIKSMRLQLIGETTFISKATEEIRREIKSTDQQTKSTALQKLSYLSAVHGVDMSWASFHVVEVMSSSKFAHKRIGYHAASQSFNDDTPVLLLITNQLRKDLSSTNEFEVSLALDLLSRIATFDLARDLTPEVFKLLSTAKIFVRKKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPLVVTAVIGVFCELAAKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKVFAKLAPLEHRLGKRIVEPVCDHMRRSGAKSLVFECVRTVLTSLSDFESAVKLAVEKVRELVVDQDPNLRYLGLQALSVAAPKHLWAVLENKEAVVKSLSDDDFNIKIESLRLLMAMVSESHVADISRVLLNYALKSEPGFCNEILDSILRTCSRNLYEIVVDFDWYVSLLGEMATIPNCQKGGEIETQLVDIGMRVKDARMELVRVGRDLLIDPALLGNGHLHRILCAAAWVAGEYVEFASNPFELMDALLQPRTSLLPPSIRAVYINSVLKILIFCLDCYVLQNDGSGSLYSGNLEGEQSELFSAKNDAEATKLATSGGSNYEQDVGFNPRNTGDSSEDLSVENGIDRVATHGKTFTSTLVARKNFLHGSIVSLLNRIELIFGPLITNQDVEVLERAQNILSLVQLIKEEIIDNSVQSVDTIEKKDTRVSTIINLMRDAFTTELGPVSVSAQGRVAVPEGLVLKENLDELQAICGDTELSSSSSFATGGPHHTTISDASSSNLLQNEELGPLNESTSLIEHRKRHGLYYLPSEKSEIVPDEYPRANDPKSNSNINDEAAELVKLTEQSLLMKKRTNQTKPRPVVVKLDDGDLAPISVKRPEPRDDSLSGAIQDVLQGSESGPSVSRSYPSNKSSRKQKEKKKLSTNGRSEMKENSVDSEKPDPESANSSHKNHGHSKERKHRGKEKIVEGEEHDQEGKKKSGHRHGRRKTHQRAKSPLNVASQTPVIPDFLL >Vigun03g015800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1091122:1097006:-1 gene:Vigun03g015800.v1.2 transcript:Vigun03g015800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIMENLFQRSLEDMIKSMRLQLIGETTFISKATEEIRREIKSTDQQTKSTALQKLSYLSAVHGVDMSWASFHVVEVMSSSKFAHKRIGYHAASQSFNDDTPVLLLITNQLRKDLSSTNEFEVSLALDLLSRIATFDLARDLTPEVFKLLSTAKIFVRKKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPLVVTAVIGVFCELAAKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKVFAKLAPLEHRLGKRIVEPVCDHMRRSGAKSLVFECVRTVLTSLSDFESAVKLAVEKVRELVVDQDPNLRYLGLQALSVAAPKHLWAVLENKEAVVKSLSDDDFNIKIESLRLLMAMVSESHVADISRVLLNYALKSEPGFCNEILDSILRTCSRNLYEIVVDFDWYVSLLGEMATIPNCQKGGEIETQLVDIGMRVKDARMELVRVGRDLLIDPALLGNGHLHRILCAAAWVAGEYVEFASNPFELMDALLQPRTSLLPPSIRAVYINSVLKILIFCLDCYVLQNDGSGSLYSGNLEGEQSELFSAKNDAEATKLATSGGSNYEQDVGFNPRNTGDSSEDLSVENGIDRVATHGKTFTSTLVARKNFLHGSIVSLLNRIELIFGPLITNQDVEVLERAQNILSLVQLIKEEIIDNSVQSVDTIEKKDTRVSTIINLMRDAFTTELGPVSVSAQGRVAVPEGLVLKENLDELQAICGDTELSSSSSFATGGPHHTTISDASSSNLLQNEELGPLNESTSLIEHRKRHGLYYLPSEKSEIVPDEYPRANDPKSNSNINDEAAELVKLTEQSLLMKKRTNQTKPRPVVVKLDDGDLAPISVKRPEPRDDSLSGAIQDVLQGSESGPSVSRSYPSNKSSRKQKEKKKLSTNGRSEMKENSVDSEKPDPESANSSHKNHGHSKERKHRGKEKIVEGEEHDQEGKKKSGHRHGRRKTHQRAKSPLNVASQTPVIPDFLL >Vigun03g015800.6.v1.2 pep primary_assembly:ASM411807v1:3:1092007:1097006:-1 gene:Vigun03g015800.v1.2 transcript:Vigun03g015800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIMENLFQRSLEDMIKSMRLQLIGETTFISKATEEIRREIKSTDQQTKSTALQKLSYLSAVHGVDMSWASFHVVEVMSSSKFAHKRIGYHAASQSFNDDTPVLLLITNQLRKDLSSTNEFEVSLALDLLSRIATFDLARDLTPEVFKLLSTAKIFVRKKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPLVVTAVIGVFCELAAKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKVFAKLAPLEHRLGKRIVEPVCDHMRRSGAKSLVFECVRTVLTSLSDFESAVKLAVEKVRELVVDQDPNLRYLGLQALSVAAPKHLWAVLENKEAVVKSLSDDDFNIKIESLRLLMAMVSESHVADISRVLLNYALKSEPGFCNEILDSILRTCSRNLYEIVVDFDWYVSLLGEMATIPNCQKGGEIETQLVDIGMRVKDARMELVRVGRDLLIDPALLGNGHLHRILCAAAWVAGEYVEFASNPFELMDALLQPRTSLLPPSIRAVYINSVLKILIFCLDCYVLQNDGSGSLYSGNLEGEQSELFSAKNDAEATKLATSGGSNYEQDVGFNPRNTGDSSEDLSVENGIDRVATHGKTFTSTLVARKNFLHGSIVSLLNRIELIFGPLITNQDVEVLERAQNILSLVQLIKEEIIDNSVQSVDTIEKKDTRVSTIINLMRDAFTTELGPVSVSAQGRVAVPEGLVLKENLDELQAICGDTELSSSSSFATGGPHHTTISDASSSNLLQNEELGPLNESTSLIEHRKRHGLYYLPSEKSEIVPDEYPRANDPKSNSNINDEAAELVKLTEQSLLMKKRTNQTKPRPVVVKLDDGDLAPISVKRPEPRDDSLSGAIQDVLQGSESGPSVSRSYPSNKSSRKQKEKKKLSTNGRSEMKENSVDSEKPDPESANSSHKNHGHSKERKHRGKEKIVEGEEHDQEGKKKSGHRHEILRGN >Vigun03g015800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1091122:1097006:-1 gene:Vigun03g015800.v1.2 transcript:Vigun03g015800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIMENLFQRSLEDMIKSMRLQLIGETTFISKATEEIRREIKSTDQQTKSTALQKLSYLSAVHGVDMSWASFHVVEVMSSSKFAHKRIGYHAASQSFNDDTPVLLLITNQLRKDLSSTNEFEVSLALDLLSRIATFDLARDLTPEVFKLLSTAKIFVRKKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPLVVTAVIGVFCELAAKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKVFAKLAPLEHRLGKRIVEPVCDHMRRSGAKSLVFECVRTVLTSLSDFESAVKLAVEKVRELVVDQDPNLRYLGLQALSVAAPKHLWAVLENKEAVVKSLSDDDFNIKIESLRLLMAMVSESHVADISRVLLNYALKSEPGFCNEILDSILRTCSRNLYEIVVDFDWYVSLLGEMATIPNCQKGGEIETQLVDIGMRVKDARMELVRVGRDLLIDPALLGNGHLHRILCAAAWVAGEYVEFASNPFELMDALLQPRTSLLPPSIRAVYINSVLKILIFCLDCYVLQNDGSGSLYSGNLEGEQSELFSAKNDAEATKLATSGGSNYEQDVGFNPRNTGDSSEDLSVENGIDRVATHGKTFTSTLVARKNFLHGSIVSLLNRIELIFGPLITNQDVEVLERAQNILSLVQLIKEEIIDNSVQSVDTIEKKDTRVSTIINLMRDAFTTELGPVSVSAQGRVAVPEGLVLKENLDELQAICGDTELSSSSSFATGGPHHTTISDASSSNLLQNEELGPLNESTSLIEHRKRHGLYYLPSEKSEIVPDEYPRANDPKSNSNINDEAAELVKLTEQSLLMKKRTNQTKPRPVVVKLDDGDLAPISVKRPEPRDDSLSGAIQDVLQGSESGPSVSRSYPSNKSSRKQKEKKKLSTNGRSEMKENSVDSEKPDPESANSSHKNHGHSKERKHRGKEKIVEGEEHDQEGKKKSGHRHGRRKTHQRAKSPLNVASQTPVIPDFLL >Vigun09g131050.1.v1.2 pep primary_assembly:ASM411807v1:9:28941967:28942187:1 gene:Vigun09g131050.v1.2 transcript:Vigun09g131050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSESKMQPMLLCCDFLHIHCLRRKLNGANHDNKRRVFWER >Vigun07g072100.1.v1.2 pep primary_assembly:ASM411807v1:7:9007847:9015068:-1 gene:Vigun07g072100.v1.2 transcript:Vigun07g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVWVCYFPTCTTLPCRHCIFSPTHLLHHSTTLSLRARASQTTTSARTRTRRSRRIKSDAEICNDVREFLASVGLPDDHIPSTKELLLHGWNDLANIVRRRGHKQIQELLTGSSLKADADFLSAENSLDERLDTPNVFEDPLTGQNEKVDSLVDNVETSSEYFVGSNSSSLFVDSSSSLGEGTDTVQEALANLSIGDGLREFNDHAEVVSNATKENIYPNEVPIEDNDCDSLFPNFSSQSSIMPVEISGELPFETVSSGNSDSEDSLVGKTVGQLTLWKENHSDTSFNDSNLGAEEKEFNDSEQKDLGALEGLDDDNDDVENVATISEVSTRENLSDDGRLDSIVNSADSSSTNVDNLANLSLKEKVTKFIQNGDLDPVEDHVSGILIRDDPQESKENFESELDVYTLPGSHLPQDNTVMAHGNSLTSKLDLSGPLDLEKPLWDDHLPHEDLTTQFDKSADTETPKVQNESEINHLKYMLYQKELELSRLKEQIEKEKLALSILQTKAEAEISKARKLISEKDVELQFAEESLSGLKEVQIGFCGDGDIVEVAGSFNGWHHRIKLDPEPSTSAVDLGESRSSRFWSIILWLYPGVYEIKFVVDGKWITDPQRESVTRGHICNNILRVDR >Vigun03g370700.8.v1.2 pep primary_assembly:ASM411807v1:3:57319474:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.7.v1.2 pep primary_assembly:ASM411807v1:3:57319474:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLSRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.1.v1.2 pep primary_assembly:ASM411807v1:3:57319343:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLSRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.5.v1.2 pep primary_assembly:ASM411807v1:3:57319401:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLSRIKRRKIESIEETEGSTSEGIIKQNLGKYNYFSSFFDYLCREPESFAQHLKLSIKASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.3.v1.2 pep primary_assembly:ASM411807v1:3:57319391:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLSRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.2.v1.2 pep primary_assembly:ASM411807v1:3:57319343:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.6.v1.2 pep primary_assembly:ASM411807v1:3:57319401:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLRIKRRKIESIEETEGSTSEGIIKQNLGKYNYFSSFFDYLCREPESFAQHLKLSIKASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEDVSKGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.10.v1.2 pep primary_assembly:ASM411807v1:3:57319474:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.4.v1.2 pep primary_assembly:ASM411807v1:3:57319391:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun03g370700.9.v1.2 pep primary_assembly:ASM411807v1:3:57319474:57326944:-1 gene:Vigun03g370700.v1.2 transcript:Vigun03g370700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSSSDDFAAFLDTELGASSPDSSPVKEHENQDELESLSRIKRRKIESIEETEGSTSEGIIKQNLEASVDIDVCTHPGSFGSMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKSLMCRRKLYFVLDLDHTLLNSTHLSHLSPEESHLLNQTDSLEGSLFKLEHMHMMTKLRPFVRSFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWMKHKDNLILMERYHFFASSCRQFGFNCKSLAELGNDEDETDGALAKILKVLKQVHCSFFDKRQEDLVDRDVRQVLSSVRSEVLGGCVIVFSRIFHGALPSLRKMAEQMGATCLTEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANFFWEKQPEENFIIKIKQ >Vigun08g182100.1.v1.2 pep primary_assembly:ASM411807v1:8:35173702:35176519:-1 gene:Vigun08g182100.v1.2 transcript:Vigun08g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGLTDIVGAENSIDIQSLARFAVDDYNKNQNAVLEFVRVISAKQQVVAGILYYITLEAKDGETEKVYETKVLEKAWLNLKEVEEFKPVALNTVSDLV >Vigun01g041700.1.v1.2 pep primary_assembly:ASM411807v1:1:5846247:5848257:-1 gene:Vigun01g041700.v1.2 transcript:Vigun01g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENKKTNELQNLYQKLKSLKKILNNNENKYKRAYGVLRGKKMPLKLLEATVSSFDGVFEKFRSEAAENKANLILFLADKDPVTSLSWCPDCVRAEPMIYKKLEASYDDIALLKAYVGDRPTWRNPKHPWKVEPRFKLTGVPTLIRWENDTVKGRLEDHEAHLENKIETLVEK >Vigun01g041700.3.v1.2 pep primary_assembly:ASM411807v1:1:5847769:5848228:-1 gene:Vigun01g041700.v1.2 transcript:Vigun01g041700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENKKTNELQNLYQKLKSLKKILNNNENKYKRAYGRGKKMPLKLLEATVSSFDGVFEKFRSEAAENKANLILFLADKDPVTSLSWCPGIYIPIMLSMHALFLLSLACSNLILFTPKLII >Vigun01g041700.2.v1.2 pep primary_assembly:ASM411807v1:1:5846227:5848257:-1 gene:Vigun01g041700.v1.2 transcript:Vigun01g041700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLLEATVSSFDGVFEKFRSEAAENKANLILFLADKDPVTSLSWCPDCVRAEPMIYKKLEASYDDIALLKAYVGDRPTWRNPKHPWKVEPRFKLTGVPTLIRWENDTVKGRLEDHEAHLENKIETLVEK >Vigun05g212800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40371558:40373059:1 gene:Vigun05g212800.v1.2 transcript:Vigun05g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGNQLSFFLLFLLLISPQIQARKGKVFSLFSHFRTIYNVKDPLQKTKLESPALAPGPVTFEPASAPAPVTFEPVSAPALEPASAPAPSVEQAIGSTIPSGPAPEPEFSESGEGYGLYGRGSYNQYSPTKDTPTTTNFELLNEDFNDESYKKGYPKTNFYSSSSDNNNEEFRHNVNNNEEFRNNANNNQEFRHNVNYNEEFRNNANNNEEFGNNDSKYENFRNNVNNHEEFSVDDNNDEEFSIDDNNNEEYRNTYTGGYASNFNNDERNYQNNNYNGNGYKGKREGMSDTRFVENGRYSYNVNSVNENYNLNGYESERGNSAVNEGYREKSEYPNEFDTMEEYEKQQREQGYTP >Vigun08g127300.1.v1.2 pep primary_assembly:ASM411807v1:8:29762826:29769071:-1 gene:Vigun08g127300.v1.2 transcript:Vigun08g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVMSNNNSKKRRHAPPPPAVEAVFRIVCPASKAADVTALAGDGAKIIVEDLGAAEERVVVIVAAAAGAVSCEESAAQAALIRVFERTVEDETKNSSNSTVSCKLMAPSYQVGCVLGRGGKIVEKIRQESGAHIRVLPKDQPPLPPTGDEFIQITGNFTAVKKALLSVSTCLHDNNGPNSVAFKPSGAGPAQVDGYPQRGYGSSGSYAPPDHHSRGYSFPGPESAGPPHRMFVEEEVVFKLLCHHDKVGSLIGKGGSVVRALQNETGASIQIVEAGPDSDERIVVISAREVFEQKHSPAQEAVIRVHCRLTEIGFEPSAAVVAKLLVRSPQVGCLLGKGGLVISEMRRATGASIRIFSKEQVKFISQNEEVVQVIGSLQSVQDALFHITSRIRETIFPVRTPPNFNAPPHLPPYPEMPPPLFRPRNHMMSSGHPPPSPHQVGHHGIDHSTVPPMPIDHQQHAFVHGMGRGPPNMERVPYPRGYEGPTSPRSWHPLAVNRGNPGTADTSSFSSRNENPGKNGHPLQNPNNLTIEITVPHMYLTHVYGEKNGNLTQIRQTSGANVAIHDPKPGATEGLVIVSGAPDQTHAAQCLIQAFILCGQTVA >Vigun03g254700.1.v1.2 pep primary_assembly:ASM411807v1:3:42110029:42111006:1 gene:Vigun03g254700.v1.2 transcript:Vigun03g254700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHQPVKSNLADLGTQRKRVESQPLCPKPRKVSPSIPEFLKPIKCNKHSHSNINNGSEVLDMISEKNPDAREFLCNGCLPCCYSGSPPRRTENPLVHDVQFLHQVEVVSPLARTKLSDKFGFISASPM >Vigun01g130633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30859740:30860501:-1 gene:Vigun01g130633.v1.2 transcript:Vigun01g130633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYKNYSYACETLLSLMVDKKQRGRTAILSLKKSSSELFDLLTQFAAGIAGTGLAFLLSVMGNIACGRATFCASNFFNTGFGLGLVCLSGAVNKLRVTILSISKNTGKLGLKEEKMMQKLDESIRDIYYTAAALLAVVMLRFA >Vigun04g007100.3.v1.2 pep primary_assembly:ASM411807v1:4:502486:508542:-1 gene:Vigun04g007100.v1.2 transcript:Vigun04g007100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Vigun04g007100.2.v1.2 pep primary_assembly:ASM411807v1:4:502444:508546:-1 gene:Vigun04g007100.v1.2 transcript:Vigun04g007100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Vigun04g007100.1.v1.2 pep primary_assembly:ASM411807v1:4:502444:508546:-1 gene:Vigun04g007100.v1.2 transcript:Vigun04g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Vigun06g213400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32524090:32524914:-1 gene:Vigun06g213400.v1.2 transcript:Vigun06g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPMHVILPIVLMVCTTTLSLAQNTPQDYLEVHNQARAEVGVGPLSWNHTLQAYAQRYANARIPDCNLEHSMGPYGENLAEGYGEMKGSDAVKFWLTEKPDYDYGSNSCVHDECGHYTQIVWRNSLHLGCARAKCNNGWMFVICSYSPPGNYEGERPY >Vigun04g179300.1.v1.2 pep primary_assembly:ASM411807v1:4:40293822:40296479:-1 gene:Vigun04g179300.v1.2 transcript:Vigun04g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLGKILTHQHTTSTKALMDFVLNYLNSTTIGLLSLILFCLFLYNPFKFFQGKEAPTVAGAWPLLGHLPLLSGSKTPHRMLGALAEKYGPIFTIKLGSKNTLVINNWEIAKECFTTNDMVVSSRPKLVAVELMGYNHALFGFAPYGPYWRELRKITTLEILSSRRVEQLQHVRVSEVQNSIKELYNVWCSQKGESGYALVELKQWFSHLAFNMVLRMVVGKRYFGGENLDDEKAQRCVKAVEEFMRLLGVFTVGDAIPWLRWFDFGGHEKAMKETSKDLDIVLGEWLEEHREKKGLGEVQDFMDVMISLFDGRTVDGFDADTMIKSTVLSLISGGSDTSNTVLTWIISLILRNPSVLEKAKIELDIQIGKEKLVSESDISKLTYLQAIVKETLRLYPPGPLSGPREFTENCTLSGYNIKKGTRLITNLWKIHTDHNVWKDPLEFKPERFLTTHKDVDIKGQHFELLPFGSGRRMCPGVSFGLQMVHFTLATFLHSFEILSSSSDPIDMTESFGLTNTKATPLKILIKPRLSFSCYESH >Vigun05g162800.1.v1.2 pep primary_assembly:ASM411807v1:5:26120658:26121849:-1 gene:Vigun05g162800.v1.2 transcript:Vigun05g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHIEDSASGKKHQLPMLKFFDSSLRLCAVPLSVATIWVTVTNQQDNSTYGMLKYYKFSALKYMVLVSALCACYAVVAAACSWVRYYASKAWIFFVSDQIVAYLTITSVAAVTEIYYLAYNGAKEDSWSEACSSYDRFCGKVKLALILHAITFCCFFVLSVISAFRAFSVFDPPYVNSLQVQGD >Vigun05g162800.2.v1.2 pep primary_assembly:ASM411807v1:5:26120658:26121849:-1 gene:Vigun05g162800.v1.2 transcript:Vigun05g162800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHIEDSASGKKHQLPMLKFFDSSLRLCAVPLSVATIWVTVTNQQDNSTYGMLKYYKFSALKYMVLVSALCACYAVVAAACSWVRYYASKAWIFFVSDQSLRYITWLTMVRRKILGAKPAVPMTGFAAK >Vigun03g257900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42399396:42403239:-1 gene:Vigun03g257900.v1.2 transcript:Vigun03g257900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERFTGVVQWFNNGKGFGFIKPDDGGEDLFVHQSSIRSDGYRTLLEGDRVEFAIATGDNDKTKAVDVTGIDGAPLQPRAAAGNRGSGYGFGSRRNGAGSGGATCYQCGDFGHLARDCNRSSNSGGGGGGGCFVCGGFGHLARDCVRGGNGGGGGGGGSGSCFRCGGFGHMARDCATAKSVGGGGGGSGGGCFRCGEVGHLARDCGIEGGRYGGGNSGGGGGGGGGAGKSTCFNCGKPGHFARECVEASG >Vigun10g095700.1.v1.2 pep primary_assembly:ASM411807v1:10:28184860:28187514:1 gene:Vigun10g095700.v1.2 transcript:Vigun10g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSQQRVKICLVLCLVGIVSASAYSTPSSSSTTSSGTSSNFLLNPFFYAFKCPQALDIIKKHVTYAVANEPRMGASLLRLHFHDCFVQGCDASVLLADTATFKGEQGALPNLNSLRGFDVVENIKAELERQCPGVVSCADILAVAARDSVVALGGLGWPIGLGRRDSTTASLSGANSDLPSPFLNLNGLIAAFQKKQFTKNEMVALSGAHTIGSARCLLFRSRIYNESDIDPTYANLMRANCPKVGGDSNLSPIDISTKDFFDGAYYTNLINKRGLFHSDQQLFSGGSTAIQVTTYSTFPSLFKFDFANAMLKMSNLSPLTGTQGQIRKVCSRVN >Vigun06g171700.1.v1.2 pep primary_assembly:ASM411807v1:6:29300751:29302172:1 gene:Vigun06g171700.v1.2 transcript:Vigun06g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPINKTEEEWRVILSPEQFRILRQKGTELKGTGEYDKFYEEGVYNCVGCGTPLYKSSTKFDSGCGWPSFYEGFPGAINRSPDPDGRRTEITCAACGGHLGHVTKGEGYKTPTDERHCVNSVSVKFVPGNATASI >Vigun06g213100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32500897:32501562:-1 gene:Vigun06g213100.v1.2 transcript:Vigun06g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCKISFLMLCVLGFVSVGDVAYGQDSEADYLNEHNAARSQVGVPNIVWDNTVAAFAQNYANQRRGDCNLIHSGGDGKYGENLAGSSGDLSGKDAVKLWVDEKPKYDYGSNTCVGGECRHYTQVVWKNSVRVGCAKVRCNNGGTFIGCNYDPPGNYQGQRPY >Vigun01g025150.1.v1.2 pep primary_assembly:ASM411807v1:1:2828408:2829491:-1 gene:Vigun01g025150.v1.2 transcript:Vigun01g025150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVMNLEFEEDLIRKGIFRIPPYFEEFYDLRDLHYVCTVFCGDRYFCLRIFDLQWTEIEYRGIADSYIAEEDLVWSRFLSSFRILLTPKESTISLDPYFHLFWEKKTVFDQEMEFVDPNSKTFKFKFHVTPNATTIFRGPIRKMFKYYNLKDEVYLHMSYISLNVFLIKLFSVEGIEIAYTTNAASCSGTAKNLEDVQEHDSDNCLIKCLTAYDVGASSLYLNASFVANAFSTSKKECLLSNANGMYWQCSIRWAQRALTECYLGCGWRRFVTENKLCAGDRIKLEVRKNEDNLIVVQKI >Vigun04g001801.1.v1.2 pep primary_assembly:ASM411807v1:4:179792:181643:1 gene:Vigun04g001801.v1.2 transcript:Vigun04g001801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSSLKCVLLLFYYTSSFNLLTLLASKECSRQCESSFCSDLADWIKCGLLYSGCPGERPCDDGLDACCMKHDQCVQAKNKCSQALINCMNNFKNSRAPTFKGNTCDVDDVVEVIQFVMEAALLAGGILHKP >Vigun10g103900.1.v1.2 pep primary_assembly:ASM411807v1:10:29751053:29752471:1 gene:Vigun10g103900.v1.2 transcript:Vigun10g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYFLLTTNTSPTSLHFPHPTTKFFTPHPSMSTASYLLLFFLCISLHASYARHLNNIKLQQKSHFSIKLNEGPNKTETRLVGDSEKPRNRRSTTNHRVQQAVKNGSGALKKSLVSVSWRVPHNNNNNNNKKPRQKHPGFDLDYSPPKTHPPHHN >Vigun01g127900.1.v1.2 pep primary_assembly:ASM411807v1:1:30582783:30583324:1 gene:Vigun01g127900.v1.2 transcript:Vigun01g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITVLNLILSFGSAKSSTFYENLSLKSLGLKKEKLSHLHFFFHDIVSGPNPTAVRVADHDRRPIDYGPEPESKVVGKAQGIYGSAAQHELGLLMVLNFVFSEGKYNGNTLSLLGRNAVFSGIREMSIIGGSRAFRFVRGYAQAKTHTFDLKTGNVVVEYNVYVLHY >Vigun02g032500.2.v1.2 pep primary_assembly:ASM411807v1:2:13673249:13678257:1 gene:Vigun02g032500.v1.2 transcript:Vigun02g032500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSILNHSRALEGVHGVQVTPHSPFDLTETTQSEDFHTSTGRASTTEANQQLLMQLWHQRPACLRPIHCSISCHGDQNLAERFANVVTSIPFIALGIHAPRKNVNSKLYANSLIGVGVASSMYHSSRGRLRKFLRWVDYTMIATTTVCLSMALRNENPKLLMAASAIFLPVNPMMVSVIHTGMMEVAFAKRALKHPDLRMAHTVHKMSSLLGCMLFVADDLFPKTPYLHAAWHLAAAVGVGTCNKLLE >Vigun02g032500.1.v1.2 pep primary_assembly:ASM411807v1:2:13673249:13678257:1 gene:Vigun02g032500.v1.2 transcript:Vigun02g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSILNHSRALEGVHGVQVTPHSPFDLTETTQSEDFHTSTGRASTTEANQQLLMQRLWHQRPACLRPIHCSISCHGDQNLAERFANVVTSIPFIALGIHAPRKNVNSKLYANSLIGVGVASSMYHSSRGRLRKFLRWVDYTMIATTTVCLSMALRNENPKLLMAASAIFLPVNPMMVSVIHTGMMEVAFAKRALKHPDLRMAHTVHKMSSLLGCMLFVADDLFPKTPYLHAAWHLAAAVGVGTCNKLLE >Vigun08g182800.1.v1.2 pep primary_assembly:ASM411807v1:8:35227390:35229439:1 gene:Vigun08g182800.v1.2 transcript:Vigun08g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRGRRSCFHPMSSLLSCLLLFFFCLLGSAHAYKNYTVGDSLGWFDNTEKSNVNYQKWADTKQFTLGDFLIFNTDTNHSVVQTYNFTTYKECDYDDAQDKDTTQWSASDPSNTQTHPVTVAIPLMKEGKTYFFSGDYDGDQCRSGQHFQINVTYGEGLPKSLKAPEDAPSPAGAVSGDDDSAPDTIVPANFNHPKDEESDDDDKASDKQNSTSFSVSVSAQLHVVLLGTLLFFL >Vigun06g017900.1.v1.2 pep primary_assembly:ASM411807v1:6:8281312:8284592:-1 gene:Vigun06g017900.v1.2 transcript:Vigun06g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFLGKFPWFNAQLIPYSVTNSVPTKALTEQPKEESGDEPKLPFISLFPWGKSAGDKFQRPSTINKGLRRKAQRGGNGVREDGEAIPLHFRPYVCKVPWHTGVRAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQAKLLKADLAFLECLEKQHGRTKGDPHVAHLYQTMCINGLRNFLIPYRRNIVNLQHFGQPLIQFGWLSNLRWKSWNFQKTHGLNSVGGSTMS >Vigun06g019366.1.v1.2 pep primary_assembly:ASM411807v1:6:8951876:8953499:-1 gene:Vigun06g019366.v1.2 transcript:Vigun06g019366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQLEHENVTELVLVTLRNGIEEGERFSN >Vigun04g121500.1.v1.2 pep primary_assembly:ASM411807v1:4:31116558:31140818:1 gene:Vigun04g121500.v1.2 transcript:Vigun04g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPVLSLSPFPSLISNRSLRFRPRRRQFAPRRAVQCAAAASGGDEFTAKSGYLFELSTTEADSLAEYRIPKIAAVYGRKPLLVARRLVQTGLAFGKWFGLRYIDTLLDRSESMFQVRAAELRKILVELGPAYIKIAQAISSRADLIPPSYLDELSLLQDRISPFSSEVAFSMIEQELGLSLVELFSEISPEPVAAASLGQVYQARLRKTGQVVAVKVQRPGVQAAISLDILILRFMAGLVRRAGKFNTDLQAVVDEWASSLFREMDYNNEASNGIKFRNLYGSIEDVVVPLMYMEYTTRKVLVMEWIEGEKLSEVKDLYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMTGEFTQELRDGFMEACLHLVNRDFDALAKDFVTLGLLPPTADKEAVTKALTGVFQNAVAKGVRNISFGDLLGNLGTTMYKFKFRIPSYFSLVIRSLAVLEGIAISFNPEYKVLGSTYPWIARKVLTDGSPQLKSSLENLLYKDGVFRIDRLESLLIESLRAKTEKVIVKQAAGTDSRVVMKEILSFTLAEKGEFVREIIVQEFAKGLDALGLATLETAAARVPFSGFFYSPVLTDEDVTNLRTFQRLMLLLLGARRKEEFNTGSRQASHDKNQILYMEELSSVLNQVESVQDVLPVLSIILELPPESQQQLLQLPANLVRQLISRAAARTVRRVFL >Vigun03g259100.3.v1.2 pep primary_assembly:ASM411807v1:3:42519200:42522417:-1 gene:Vigun03g259100.v1.2 transcript:Vigun03g259100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKGAESGEGREGDWECSSCNNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMTGASFPTYSHYYSRGPGGPEQKMNMGLLGSGAPPQSLHLNSSWPILGADKYGVQPISIWLPGGNYSSGHPLDNSTNQNLSVPKGWRNGDWICNCGFHNYSSRSQCKKCNAFQPALGTKRLASEELVYDWDNKRLNVGTQTYTSLDQVVGTGADPKPGVFPSYPSMNSSTTPSLPLATLLPPQVSTPALLGKGAKQWRSGDWMCSNCNNHNYASRLQCNRCKTQKMAAPMQPVNVV >Vigun03g259100.1.v1.2 pep primary_assembly:ASM411807v1:3:42518904:42522438:-1 gene:Vigun03g259100.v1.2 transcript:Vigun03g259100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKGAESGEGREGDWECSSCNNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMTGASFPTYSHYYSRGPGGPEQKMNMGLLGSGAPPQSLHLNSSWPILGADKYGVQPISIWLPGGNYSSGHPLDNSTNQNLSVPKGWRNGDWICNCGFHNYSSRSQCKKCNAFQPALGTKRLASEELVYDWDNKRLNVGTTNDQQQTYTSLDQVVGTGADPKPGVFPSYPSMNSSTTPSLPLATLLPPQVSTPALLGKGAKQWRSGDWMCSNCNNHNYASRLQCNRCKTQKMAAPMQPVNVV >Vigun03g259100.2.v1.2 pep primary_assembly:ASM411807v1:3:42518904:42522438:-1 gene:Vigun03g259100.v1.2 transcript:Vigun03g259100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKGAESGEGREGDWECSSCNNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMTGASFPTYSHYYSRGPGGPEQKMNMGLLGSGAPPQSLHLNSSWPILGADKYGVQPISIWLPGGNYSSGHPLDNSTNQNLSVPKGWRNGDWICNCGFHNYSSRSQCKKCNAFQPALGTKRLASEELVYDWDNKRLNTNDQQQTYTSLDQVVGTGADPKPGVFPSYPSMNSSTTPSLPLATLLPPQVSTPALLGKGAKQWRSGDWMCSNCNNHNYASRLQCNRCKTQKMAAPMQPVNVV >Vigun05g079800.2.v1.2 pep primary_assembly:ASM411807v1:5:7515258:7518489:-1 gene:Vigun05g079800.v1.2 transcript:Vigun05g079800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLYWISTFALILTLLCILGYQLLLLVDLEFDYINPYDSTSRINKVVVPEFIVQGILCFVNLIAGHWFIFFLSLPSLYYNVRSYSRREHLADVTEIYNKLNSEKKKLLFKVAYLVAVFVIAVVSLVWTLTDDVN >Vigun05g079800.1.v1.2 pep primary_assembly:ASM411807v1:5:7515252:7518489:-1 gene:Vigun05g079800.v1.2 transcript:Vigun05g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLYWISTFALILTLLCILGYQLLLLVDLEFDYINPYDSTSRINKVVVPEFIVQGILCFVNLIAGHWFIFFLSLPSLYYNVRSYSRREHLADVTEIYNKLNSEKKKLLFKVAYLVAVFVIAVVSLVWTLTDDVN >Vigun06g048900.1.v1.2 pep primary_assembly:ASM411807v1:6:17377166:17383086:1 gene:Vigun06g048900.v1.2 transcript:Vigun06g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAVVRQRGIDVLLNYESKRETPAVVCFSEKQRLLGSAGAASAMMHIKSTVSQIKTLIGRKFADPDVVKELKMLPLETSEGQDGGILIHLKYLGEIHVFTPVQIMSMLFAHLKTMTEKDLEMPISDCVIGIPSYFTDLQRRAYLDAAKIAGLKPLRLIHDCTATALSYGMYKTDFSGTGPVYVAFIDVGHCDTQVSIASFEFGKMKILSQAFDRSLGGRDFDEVLFSHFAAKFKEEYRIDVYSNTKACFRLRAACEKLKKVLSANLEAPLNIECLMDEKDVKGFISREEFEKLASGLLERVSIPCLRALTDANLTVEKISSVELVGSGSRIPAISTLLISLFKREPSRQLNASECVARGCALQCAMLSPVYRVREYEVQDVIPFSIGLSSDEGPIAVRTNGVFFPKGQSFPSVKVIAFQRSNLFHLEAFYVNPDELPPGTSPKISCVTIGPFHGSHGRKSRIKVRVSLDLHGILNIESATLIKDDTDDLVMSGNHNSNSDAMDIDPIPDTVANGFEDITNKKLESPCSSVDGIRKDKGNRRVDVPVNENIYGGMTKAEIAEAREKELQLAQQDRIIEQTKEKKNSLESYVYDMRSKLFHTYRSFASEQERDDISRSLQETEEWLYEDGVDETEHAYSSKLEDLKKLVDPIENRFKDEKERGKAKGDLLKCILKHRTSADSLPPQDKELIINECNKAEQWLEEKNQQQESSPKNTEPIVWSSEIRSKTEEFNLTCQHILGSKASPFPEDKDMPDSSNDP >Vigun06g048900.2.v1.2 pep primary_assembly:ASM411807v1:6:17377492:17382972:1 gene:Vigun06g048900.v1.2 transcript:Vigun06g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAVVRQRGIDVLLNYESKRETPAVVCFSEKQRLLGSAGAASAMMHIKSTVSQIKTLIGRKFADPDVVKELKMLPLETSEGQDGGILIHLKYLGEIHVFTPVQIMSMLFAHLKTMTEKDLEMPISDCVIGIPSYFTDLQRRAYLDAAKIAGLKPLRLIHDCTATALSYGMYKTDFSGTGPVYVAFIDVGHCDTQVSIASFEFGKMKILSQAFDRSLGGRDFDEVLFSHFAAKFKEEYRIDVYSNTKACFRLRAACEKLKKVLSANLEAPLNIECLMDEKDVKGFISREEFEKLASGLLERVSIPCLRALTDANLTVEKISSVELVGSGSRIPAISTLLISLFKREPSRQLNASECVARGCALQCAMLSPVYRVREYEVQDVIPFSIGLSSDEGPIAVRTNGVFFPKGQSFPSVKVIAFQRSNLFHLEAFYVNPDELPPGTSPKISCVTIGPFHGSHGRKSRIKVRVSLDLHGILNIESATLIKDDTDDLVMSGNHNSNSDAMDIDPIPDTVANGFEDITNKKLESPCSSVDGIRKDKGNRRVDVPVNENIYGGMTKAEIAEAREKELQLAQQDRIIEQTKEKKNSLESYVYDMRSKLFHTYRSFASEQERDDISRSLQETEEWLYEDGVDETEHAYSSKLEDLKKLVDPIENRFKDEKERGKAKGDLLKCILKHRTSADSLPPQDKELIINECNKAEQWLEEKNQQQESSPKNTEPIVWSSEIRSKTEEFNLTCQHILGSKASPFPEDKDMPDSSNDP >Vigun03g126200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11986403:11989648:1 gene:Vigun03g126200.v1.2 transcript:Vigun03g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGNRAEAERWLYTANKLLSARDLHGARSFAIRARESDPTYEASEHLLTVIDTLLAGESRINDHHRDWYGILQILRYTTNMDHIASQYRRLAHLLDPSRNLFAFASHALSLVNDAWSVLSNPAKKAMYDSDLRLLTTPPPQAPSRPFSPAQPQPTPRRNPRSRDDSAEATRLNSNRPESAESTRQTGTVETDGGTSFWTSCPYCYVLYEYPKGYEECTLRCQSCRRGFHAVVIRSPPPLTGNDGSYCSWGFLPLGFSGDSKDVNGLSSNWNPFSPLFPCPLKGSSYSKPKRNWAYYDEEAAAAFIELSDPSDEDSGDGDWRVAKRSGRKKTRVSKNSGDGRRETVGRPRRGVHTGHENVGSGDSASVKANASAKANASAKANVSAKANANAKANASFAMPSGARAESSKKAALGGSRRRGAGNLGKLDLNVEFSNEVEEPSRGVRDREGNAAGHAEDNIEGIGFFEGLDEFLSSLPILNVVADDKVKGH >VigunL050650.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:7089:7983:-1 gene:VigunL050650.v1.2 transcript:VigunL050650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGHVRKQPPYENGRSMTRLTGKTAVITGAGSGIGRASALKFAAEGAAVAVTDILGDTAQAVAEEIRSAGGRAVGFAVDVADDQALKGMVERAIAEFGHLDILFNNAVNTAAGKRKRDVDFLEFDPAVFQEIVQVNVLGGVLASKYALPHMLERGGGCILFTSSTSALGGDVAQFSYGASKAMVNWYVKTIATNYGKQGVRCNAVIPGVIETPGYEGLQNAPRLGRPDDIANLAAYLASDEAAFMNGGLYKCDGGMTAPLPFAQLQLDYLIPQHKPAADRVPP >Vigun01g127200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30501237:30501815:-1 gene:Vigun01g127200.v1.2 transcript:Vigun01g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSLTFIFTVIVLSLLFSFATAKSSTFYRTLSRKSLGLKKEKLSHLHFFFHDIVSGPNPTAVRVAEAPSTNSSSTLFGFIAMVDDPLTVGPEPGSKLVGKAQGVYGSAAQHETGLLMVMNFVFTEGKYNGSTLSLLGRNAVFSTVREMPIVGGSGAFRFARGYAQAKTHTFDLKTGDAVVEYNVYVFHY >Vigun05g129400.1.v1.2 pep primary_assembly:ASM411807v1:5:14952627:14956735:-1 gene:Vigun05g129400.v1.2 transcript:Vigun05g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTLLDTKENTTFPKLKIKDGGTTPSSSHNSFPPIPTTTHNTPQIEDSDEDVFTVPDVETAPSVDCAGTNPCSSNVNESKLRDPPIHYSGFTGKRRQGRNPVDKEHRRHKRLLRNRVSAQQARERKKVYVNDLESRAVELEEKNTNLEEQISTLINENTMLRKVLMNTRAKVNQNNEA >Vigun11g195700.1.v1.2 pep primary_assembly:ASM411807v1:11:39535248:39539545:1 gene:Vigun11g195700.v1.2 transcript:Vigun11g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGKLAFDVDFHPSDNLVATGLINGDLHLYRYSPDSVPVRQLEVHAHTESCRAARFINGGRVLLTGSPDCSILATDVETGSTITRIDNAHEAAVNRLINLTESTVASGDDEGCIKVWDTRERSCCNSFDAHEDYISDMTFASDAMKLLTTSGDGTLSVCNLRRNTVQTRSEFSEDELLSVVLMKNGRKVVCGSQTGIILLYSWGCFKDCSDRFTDLSSNSIDAMLKLDEDRIITGSENGIINLVGILPNRVIQPIAEHSEYPVECLAFSHDRKFLGSIAHDQMLKLWDLDTILQDTKNTQTNETGAIDSDDDEMELDNDHSKLSRGNKRKNANNGQTQGGSNNFFADL >Vigun11g195700.2.v1.2 pep primary_assembly:ASM411807v1:11:39535370:39539545:1 gene:Vigun11g195700.v1.2 transcript:Vigun11g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGKLAFDVDFHPSDNLVATGLINGDLHLYRYSPDSVPVRQLEVHAHTESCRAARFINGGRVLLTGSPDCSILATDVETGSTITRIDNAHEAAVNRLINLTESTVASGDDEGCIKVWDTRERSCCNSFDAHEDYISDMTFASDAMKLLTTSGDGTLSVCNLRRNTVQTRSEFSEDELLSVVLMKNGRKVVCGSQTGIILLYSWGCFKDCSDRFTDLSSNSIDAMLKLDEDRIITGSENGIINLVGILPNRVIQPIAEHSEYPVECLAFSHDRKFLGSIAHDQMLKLWDLDTILQDTKNTQTNETGAIDSDDDEMELDNDHSKLSRGNKRKNANNGQTQGGSNNFFADL >Vigun11g155400.1.v1.2 pep primary_assembly:ASM411807v1:11:36467678:36472091:-1 gene:Vigun11g155400.v1.2 transcript:Vigun11g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTVCFTGNNGGGRRKQDISILITEPLDEGLGHSFCYVRPDPTRISSSKVHSEETTTFRTISGASVSANTSTPLSTAFVDLYSYGCIDRAAAFESSTSFASLPLQPIPRNLVNSGPFSGNLGGGGFPGSGPLERGFMSGPIERGFMSGPIDRGLFSGPMEREGNGSDHFPRSFSHGGMGLGLGLGLRVRTRKGRWIRVLQRAISKTLSRGQNSVVAPIKGVVVKEPEWMVAAAEKHNENLSVNLSSEGSLEDDESMESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYTAVHKELKGLLWDDGSAPENSTPKEEVLRDVTDVADAVDACSHCVEHENSNCNKSTSKKGRNSRNKYKGAANKWEENQRRWKCEWDRERLELDRRLKEQLNSSRSGCGGAASSINHADVLEALSRALRKTEESYLDVADKMVMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKIRQDLERINEETMNDLESWDVDNSNLVPSLSAIQLTKDHSTSVEEEIQRIKKEHPDDPCAVVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGNSSYISCLPYLKHHRLGPKDKFLILCSDGLYQYLSNEEAVAEVEHFITLQPEGDPAQHLVEEVLFRAAKKAGLVFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >Vigun06g106800.2.v1.2 pep primary_assembly:ASM411807v1:6:23597436:23606713:-1 gene:Vigun06g106800.v1.2 transcript:Vigun06g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSDMEGGKQGVGGLGEAANAQNDAVDFFYRSQGFHQMFTQVELSLSASSLVDRDIASKSDPMVVVFAKKRDGKCEELGRTEVIMNCLNPVWIEKISVAFQFEIVQPLEFHVYDIDTKYHGVPTKTLKLGDQDFLGMASCTLSEIVTKPSRRLTLRLQNKSGNGGSRNLGAITVHAEETVASRSVVEMVLRCSRLDNKDVFSKSDPFLRISRMVEIGGYIPICKTEVINDNLNPKWRPVYLGGHKFGSKENPLLIECFDFNSSGDHVLIGKMQKSVADLEKLYKERTGANFVISSKSDRKEKVLKGQLFVDQYCEKERLSFIDYISNGFELNFMVAVDFTASNGNPQLSDSLHYIDPHGRLNSYQKAIMEVGEVIQFYDSDKRFPAWGFGGKIPGGTISHCFNLNGSPGGSEVAGVEGIMNAYGSALRSVTLSGPTLFGPVINMAAQIAGQSLTSHNHTMYYVLLIITDGVVTDLQETINALVKASDLPLSILIVGVGNADFTSMEVLDADNGRRLESSTGRLATRDIVQFVSMREVQSGQVSVVQALLEELPEQFLSFMRSRDVKPQPRASNFPQASTSTMQ >Vigun06g106800.1.v1.2 pep primary_assembly:ASM411807v1:6:23597387:23606341:-1 gene:Vigun06g106800.v1.2 transcript:Vigun06g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSDMEGGKQGVGGLGEAANAQNDAVDFFYRSQGFHQMFTQVELSLSASSLVDRDIASKSDPMVVVFAKKRDGKCEELGRTEVIMNCLNPVWIEKISVAFQFEIVQPLEFHVYDIDTKYHGVPTKTLKLGDQDFLGMASCTLSEIVTKPSRRLTLRLQNKSGNGGSRNLGAITVHAEETVASRSVVEMVLRCSRLDNKDVFSKSDPFLRISRMVEIGGYIPICKTEVINDNLNPKWRPVYLGGHKFGSKENPLLIECFDFNSSGDHVLIGKMQKSVADLEKLYKERTGANFVISSKSDRKEKVLKGQLFVDQYCEKERLSFIDYISNGFELNFMVAVDFTASNGNPQLSDSLHYIDPHGRLNSYQKAIMEVGEVIQFYDSDKRFPAWGFGGKIPGGTISHCFNLNGSPGGSEVAGVEGIMNAYGSALRSVTLSGPTLFGPVINMAAQIAGQSLTSHNHTMYYVLLIITDGVVTDLQETINALVKASDLPLSILIVGVGNADFTSMEVLDADNGRRLESSTGRLATRDIVQFVSMREVQSGQVSVVQALLEELPEQFLSFMRSRDVKPQPRASNFPQASTSTMQ >Vigun10g183500.1.v1.2 pep primary_assembly:ASM411807v1:10:40041182:40044738:-1 gene:Vigun10g183500.v1.2 transcript:Vigun10g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNHWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVISEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEMYLPDTIEVGGGGRQQRSTGCEC >Vigun07g138800.1.v1.2 pep primary_assembly:ASM411807v1:7:24857335:24859938:-1 gene:Vigun07g138800.v1.2 transcript:Vigun07g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEITLPLPSETHFKNLPNVSSTAMPAGSHKGHCSPGDDSDSFYDKLVELLESSGLTLIFNVRETLLDLYLFYLEVTRRGGYHQVGREKKWGEVVIALKLEGNSVKLCAQVERLYSHLLYQFEQLYFYRRPEKLAFRSATNKGSLEEGPIRKKRISTASVPHMVDSNDGEKATEVSKQYSCHMTGTGYVEQPVVLPTPPKEKKKRRGAPVGRKTAYQIFLKHECARLKTCNQVLDGKILSMAIHSWRTMSEIEKQPYVEESKKNKEEIKEAMVRRSTQQSSQESREEKWSSICGDYHVTLQPEAGVALKVTEKAPMDPSFQMDWDGNCPLDFATRESE >Vigun07g138800.4.v1.2 pep primary_assembly:ASM411807v1:7:24857335:24859938:-1 gene:Vigun07g138800.v1.2 transcript:Vigun07g138800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEITLPLPSETHFKNLPNVSSTAMPAGSHKGHCSPGDDSDSFYDKLVELLESSGLTLIFNVRETLLDLYLFYLEVTRRGGYHQVGREKKWGEVVIALKLEGNSVKLCAQVERLYSHLLYQFEQLYFYRRPEKLAFRSATNKGSLEEGPIRKKRISTASVPHMVDSNDGEKATEVSKQYSCHMTAGTGYVEQPVVLPTPPKEKKKRRGAPVGRKTAYQIFLKHECARLKTCNQVLDGKILSMAIHSWRTMSEIEKQPYVEESKKNKEEIKEAMVRRSTQQSSQESREEKWSSICGDYHVTLQPEAGVALKVTEKAPMDPSFQMDWDGNCPLDFATRESE >Vigun07g138800.2.v1.2 pep primary_assembly:ASM411807v1:7:24857335:24859938:-1 gene:Vigun07g138800.v1.2 transcript:Vigun07g138800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEITLPLPSETHFKNLPNVSSTAMPAGSHKGHCSPGDDSDSFYDKLVELLESSGLTLIFNVRETLLDLYLFYLEVTRRGGYHQVGREKKWGEVVIALKLEGNSVKLCAQVERLYSHLLYQFEQLYFYRRPEKLAFRSATNKEEGPIRKKRISTASVPHMVDSNDGEKATEVSKQYSCHMTGTGYVEQPVVLPTPPKEKKKRRGAPVGRKTAYQIFLKHECARLKTCNQVLDGKILSMAIHSWRTMSEIEKQPYVEESKKNKEEIKEAMVRRSTQQSSQESREEKWSSICGDYHVTLQPEAGVALKVTEKAPMDPSFQMDWDGNCPLDFATRESE >Vigun05g245000.1.v1.2 pep primary_assembly:ASM411807v1:5:43805004:43807068:1 gene:Vigun05g245000.v1.2 transcript:Vigun05g245000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDSKLKEYIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICSLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKRKLMGLLPASHQRIAPYQHSSSQNPPSPFSSQTSIYRDYCNNSPYYIPAPTSSSFPCLQQISVPSSNYTNTTSSLPFYQNQDPTVGVSPMQYYPTTDSSMLVFGSETSCSSSDGSCSQVSLGREIKQEEMGFQNLMFGDQHMQMNKLMLSQGNMNHDGGEEYVKHWTEKPNGCVFQTQETALDYDLEVIKQLISSNSSSNNSNNGYFSIDENKTEKGVYYNY >Vigun08g137100.2.v1.2 pep primary_assembly:ASM411807v1:8:30930574:30933795:1 gene:Vigun08g137100.v1.2 transcript:Vigun08g137100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQIRETTNGTENFEPAFLKHVENLLKVAEKVLEEVQFLEERISNVNKSYFRRQRLYFLAKEIERETDKMFELLYFTKIESLSRITELSNDLGAFKSTEEAYTEILAALKDRSVSMIGLVGVGGSGKTSLAKEIGKKAEEMKLFEKVVMATVSQPINIRSIQDQIADQLGFKLMEESDIGRAQRLSERLRKGTTFLILDDVWEKLNFQALGIPFDNSKACCIFLTTRSREVCTSMKCQNIIELNALTEGEAWALFTFHANISNDSPTDYNARRIVSECNGSSTAIVTIGSTLKGKTFEEFQLARLMLQQSKQLDIPKGLTSHQVCLKLSYDNLTNQLAKSLLLLCSIFPKNHEIDLEDLFRFGRGLGVIWRFGTMEKERRVMHAAINILKNSYMLTQVKEKEKMKMRDSIRDLALWIAKAESGQAILTSTAVDPRVLVDDEVTKDKNVIALWDMTNGQLLNYEMNCPSLEVLLLHSPEVGFTISNAFLVRLKMLKLLAFLTFEYRWKLPLETETPLWYTSPLSQSIESLKNLNTLSFRGYKLGDISALVSLQALEILDLRGSSFKELPNGIVALQKLKLLDLYCCLIEKNNAYEVIGRCLRLEELYLHLFPSKKKFPHDVSFSRLQRYVIIQYRSESCPHYMHADVLEKHRPSRALCIDGFNASTQTFISLPNKNLFLRAVYLHLKYIEGGYKNVIPSMRSQGMNQIVALILEHCHDIEFLFDGTAITNNNVDMLHTKTVFSNLGTVSISFPRNSKLCSLKELRIISCPVLTSLFMPFIAQTLKLLEVLQILECSELMHVIAVAEGNDDYVRTQYHTYLMLPKLRIIEIEGCHKLKYIFPACFGRLPSLERLITKNCDKLKYVFGTEKEHHLSMYHEYPDLLNLEVLMLVSLPNLVDIWPSHCHPRLPNLKELQCIECSTLSNSSLRKMAIDSGLHHQGTTAMVICYLKFMFQKSKLLRCQHCNSWFKFYILYT >Vigun04g032900.4.v1.2 pep primary_assembly:ASM411807v1:4:2693883:2697558:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.3.v1.2 pep primary_assembly:ASM411807v1:4:2693739:2698280:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.1.v1.2 pep primary_assembly:ASM411807v1:4:2693883:2700403:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFFGLSSKNMKDDAANKPKDTVRSSGMQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.2.v1.2 pep primary_assembly:ASM411807v1:4:2693756:2700439:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.6.v1.2 pep primary_assembly:ASM411807v1:4:2693456:2700403:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFFGLSSKNMKDDAANKPKDTVRSSGMQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.5.v1.2 pep primary_assembly:ASM411807v1:4:2693739:2700481:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFFGLSSKNMKDDAANKPKDTVRSSGMQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKERMTSTSPYFYMSKKSSESSSSGSDSVSFSLNFSGSCSLPATN >Vigun04g032900.7.v1.2 pep primary_assembly:ASM411807v1:4:2693456:2700403:-1 gene:Vigun04g032900.v1.2 transcript:Vigun04g032900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFFGLSSKNMKDDAANKPKDTVRSSGMQWSFPNKVSVLPQFLSFKTNQEDRPRKTILDPLASSGYMNMPSKEAFESNQKPFLGVPQRNLSIGKHSARNKQGRTVYPMQCIDAQSACLQEARIFSVSNQSNQVSPALQSNLATTGLNMVNSVIKPQPLGSKSSGTPLSVLPSIGSIVGSTDLRNSSKSSGMATQLTIFYAGSVCVYNDITPEKAMDIMLMAGNGSAPTQKMAVSTAKLQTPVSIPSKDDGFIMSQSYSPSPLPSPLSLTSHVNSQPGGGSSSYNKLAIIRPIVGPSIAPTNHLESPIIVGSGGSASKENAQPVYLPQARKASLARFLEKRKER >Vigun07g120966.1.v1.2 pep primary_assembly:ASM411807v1:7:22350781:22351121:1 gene:Vigun07g120966.v1.2 transcript:Vigun07g120966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPVLVLPFRRSEAENLLLFTVQRGKRVGSGGCFPCPNLQSLPSVHSSSFSHHIVSFFVATAAQPVHPQGAKKGKS >Vigun02g015900.1.v1.2 pep primary_assembly:ASM411807v1:2:5773845:5778058:-1 gene:Vigun02g015900.v1.2 transcript:Vigun02g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGKDLVPNGVDTEGENSRSKVQQHQHQHRDFSQGKERPQEKKQSYPEKKKVGVDEFQSPPSKFASSGSQELTLSYLCDNPKLSLVEREVGGRSSVKGKEVVVYGNSDQDQDELRFKSSLEEVTAFSARQYFDQDCLRRKVAVGNQKQDQDEKWVERDFLSLSESRENSSKRSIDEECERERSGGSKKQKLETLSLSLALPDVSLSLTASNALQNGEQLVKPKPGMPSTRPTTTINSCSNDYTAASMSQSYSHPFSHNPSCSITRNSTDNFEYSHSKDDQIWHCGEGTNGSVHSRFKPIGDGVALANHSFMQGNSHYKATSSDNQSFFPSELPARVRFEAQSEGSRGKNSENLRGLEGVDGGKMMKFSRSERILREIVSESIPTMALQFQEFTDEVITSIKEHLKGLIDTPEKKGELMSLQNRLGRRSDLTRESLSKCHKGQLEILTAIKMGLASFLSGKFQILEMVEVFLYMRCRNVNCKSLLPVEDCDCKMCSGNKGFCSSCMCPVCMSFDCASNTCSWVGCDVCSHWCHATCAIQKNLIKPGPILKGPSGTSEMQFHCIGCGHTSEMYGFVKEVFLCCAKDWGLETLMKELDCVRRIFQGSEDRKGKELHFKMDDMLSKLQAKLVSPSDACNFIIQFFSYTDGMSDFPASGTSTKDLSKSKANLTVDSTTSSLPQSTSLIPKYPYDMSFTRSNDLQQKDLKTSLLSEQKKESDLHLEALLRKGGGLESLESIIRIKEAEAGMFQSKADEARREAEGFQKMIKTKAAQMEEEYAERLGKLCLHEAEERRKKKFEELNVLQNSHYDYFNMKSRMQDEIHGLLKRMEATKQQWV >VigunL020101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000253.1:831979:832465:-1 gene:VigunL020101.v1.2 transcript:VigunL020101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPTPHLLTTPVSIKLDEHNFMVWQHQVMATIDGLRFLKYLEGKEAPPKYLNEESRASDIINPQYVHYHQQDRLIFAWLLMTAAKLSSWRCGNKLLKAS >Vigun10g014000.1.v1.2 pep primary_assembly:ASM411807v1:10:1522463:1526779:1 gene:Vigun10g014000.v1.2 transcript:Vigun10g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKARVSSFSRNVSTVTSQNPGLKHGPNGTMLLSSGIPDLDKILGGGFPLGSLVMVMEDEEAPHHMLLLRNFMSQGLVQKQPLLYASASKDPKRFLGTLPSPASPKGDKSSDLSHEKDIRIAWQYKKYFGEPQLNLNTNGSQQDYCNDFDLRKPLDRHFYSGNNVDCVSIKDSPNLSVLQDRCAGFLAKLSRNEGNISSAGRIAIQSFCSPQCKYSNEEWHMLSFIRSLKGMVQSSNAVVVVTFPPSLLSPSCSLRLQHMADTLLSVRAIPDEDKELAKLLTGYQDMIGLINIHKAARLNTQVPVILEATTFSIKLHKRRFLVLECLNQAPVDGSSGSSYGTSGGCSGSSKVGTLDF >Vigun01g014700.1.v1.2 pep primary_assembly:ASM411807v1:1:1628259:1632339:1 gene:Vigun01g014700.v1.2 transcript:Vigun01g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHITGGDCSKRRMIHQWLFIIKLVYLVGCFVSGQNSSNSQQPLDYRYACLDQSSAPISTSYQTNLNNLFSSLSSHSATSNGFGNTTSGVDQDTVYGLYLCRGDVNTTLCNTCVQNSSILLMNHCLNNASAILWYPFCVVRYSNQNFFGNLTLRPRIPMFDATQNSTSAGEFDGDAQVLMSGLIQIGSEAPLKFGTHMFNINGTQRRYGWVQCSRDITSEECRTCLSNMLEDVENCCQEKKVWRIFSPSCMVMYETQPFFVNGTDSAPPPQQDSAATDGDNRRWWLIVIIVVAGIVALALLAISIFYCYLKRKKEKQTMQEEGLNSIFSQEQTDKEESMNTDLPMIPLGTILKCTNNFSDEQKLGKGGFGPVYKGILPDGRQIAVKRLSKTSVQGAEEFKNEVILIAKLQHRNLVRLLAGCIEQNEKLLVYEFMPNSSLDFHLFDTKKGVHLDWKNRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNILLDHDLNPKISDFGLARTFGGDQRQANTMRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGKMSSKFYRSDQGQSLLIYAWNLWCESKGLELMDPSIEKSSVESEVVKCMHIGLLCVQEDAADRPTMSSVVHMLASDTMSLPCPTRPAFSVGRAATERESSSNTSTHYSVNEITVSEVIPR >Vigun11g043400.8.v1.2 pep primary_assembly:ASM411807v1:11:6380156:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.5.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395631:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRRSAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.9.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.4.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRRSAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.1.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRRSAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.6.v1.2 pep primary_assembly:ASM411807v1:11:6380156:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRRSAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.7.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.10.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.2.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun11g043400.3.v1.2 pep primary_assembly:ASM411807v1:11:6379475:6395630:1 gene:Vigun11g043400.v1.2 transcript:Vigun11g043400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVPGTEEAAEPPASPQPSPDVPHNMETEQFTPTEYSIMSRNGVGTVGEQISLLVNLFKVSVNAPDTLFFQYSVAITLEDKRAVESKVIGRKVVNRLYQIYSSELGGKRFAYDGGKTLYTAGPLPLNKYEFKVLLEESFRKRAGADGSLCEESKRSKHSSQLKAFMVEISFSAKIPMQSIAVALKGVDSDANSEDALRVLDTVLRQRAADRGCLLVRQSFFHDDPRNFTDVGAGVTAVLGFHSSFRSTQRGLTLNMDVSTTMIIKPGPVIDFLLSNQQVREPRYIDWEKAKKVLKNLRVQATHRNLEFKISGLSEKPCNQQLFSMKVKNDKGNNEGQTVEITVYEYFVKHCGIDLPSSIYFPCLDVGKPNRPNYLPLELCSLVSLQRYTKALSPVQRASLVEKSRQKPQDRIKILKNSVGKYCYDDDPVLAACGISVDKQLALVEGRVLEAPKLKVGQNDVCIPCNGRWNFNKKTLLQPSHIDYWAVVNFSARCDTSYISRELIRCGMSKGINIERPYSLIEEEPQLRKSNPVARVERMFDLLKSKLNREPKLILCVLPERKNCDIYGPWKKKCLSEIGVVTQCISPVKITDQYLNNILLKINSKLGGINSLLAMEHSGHLPLIKDTPTMILGMDVSHRGQLDIPSVAAVVGSRCWPLISRYRASVRMQASKVEMIDALYKPLENGGDDGIIRELLLDFYDSSNSRKPTQFIVFRDGVSESQFQQVLTVELNQIIKAYQRLGEDDVPKFTVIVAQKNHHTRLFQSNSPENVPPGTVVDTTITHPRNYDFYMCAHAGMMGTSRPVHYHVLLDEIGFSADELQNLIHSLSYVNQRSTTATSVVAPICYAHHAAAQIGRFLDVNDLSETGLSSTPEFPRLHKNIRSSMFFC >Vigun03g365600.1.v1.2 pep primary_assembly:ASM411807v1:3:56900924:56904684:1 gene:Vigun03g365600.v1.2 transcript:Vigun03g365600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSKLIMGSSSSRLSTRPSASSTHRVNRFRLSSLLCGTSTSRSIHQMEEHSSELQVHLARNFDGEIHKVTEESSLSCTEARISCSPPAETSTSSGMRTEFHGNTSAGSSYRNVATQIQRNRLSENEEQAPPCQVSSAGHSGRESCSDSSNTASTSFVAQQSSDPVSVNVSANKDVVNDVNNPVVRGGGVSQVSNETVHPRSSTPQEHGEISVENHTSAFISIQNSSNFVSQGSNSAATSQGPEEEPCRETIPSGLGILVSNRERGTGNDSVLQVDVVTISSNILSGSNADANDHDVRRNGRRLFWDAFSRRSSRRLGDSPTFVFSTGGADDPGSQDRWLVDFGGDLSNDGVGGASAYMGSRIHRLNERRMRHSRSEIWDRLRGGFDEIGRWNSCPLGLHADGMCSCESSPMAEESSTRASISRIVMLAEALFEVLDEIHRQPGSLSLSMVSLPAPESIVDSFPLKSHKKVEMADGGNDVEQCYICLAEYEEGDQIRVLPCLHEYHMSCVDKWLKEIHGVCPLCRGNVCGGFTESSTNSEVQSH >Vigun03g365600.3.v1.2 pep primary_assembly:ASM411807v1:3:56900530:56904740:1 gene:Vigun03g365600.v1.2 transcript:Vigun03g365600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLHLSLHSSEARISCSPPAETSTSSGMRTEFHGNTSAGSSYRNVATQIQRNRLSENEEQAPPCQVSSAGHSGRESCSDSSNTASTSFVAQQSSDPVSVNVSANKDVVNDVNNPVVRGGGVSQVSNETVHPRSSTPQEHGEISVENHTSAFISIQNSSNFVSQGSNSAATSQGPEEEPCRETIPSGLGILVSNRERGTGNDSVLQVDVVTISSNILSGSNADANDHDVRRNGRRLFWDAFSRRSSRRLGDSPTFVFSTGGADDPGSQDRWLVDFGGDLSNDGVGGASAYMGSRIHRLNERRMRHSRSEIWDRLRGGFDEIGRWNSCPLGLHADGMCSCESSPMAEESSTRASISRIVMLAEALFEVLDEIHRQPGSLSLSMVSLPAPESIVDSFPLKSHKKVEMADGGNDVEQCYICLAEYEEGDQIRVLPCLHEYHMSCVDKWLKEIHGVCPLCRGNVCGGFTESSTNSEVQSH >Vigun03g365600.2.v1.2 pep primary_assembly:ASM411807v1:3:56900530:56904882:1 gene:Vigun03g365600.v1.2 transcript:Vigun03g365600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRLSTRPSASSTHRVNRFRLSSLLCGTSTSRSIHQMEEHSSELQVHLARNFDGEIHKVTEESSLSCTEARISCSPPAETSTSSGMRTEFHGNTSAGSSYRNVATQIQRNRLSENEEQAPPCQVSSAGHSGRESCSDSSNTASTSFVAQQSSDPVSVNVSANKDVVNDVNNPVVRGGGVSQVSNETVHPRSSTPQEHGEISVENHTSAFISIQNSSNFVSQGSNSAATSQGPEEEPCRETIPSGLGILVSNRERGTGNDSVLQVDVVTISSNILSGSNADANDHDVRRNGRRLFWDAFSRRSSRRLGDSPTFVFSTGGADDPGSQDRWLVDFGGDLSNDGVGGASAYMGSRIHRLNERRMRHSRSEIWDRLRGGFDEIGRWNSCPLGLHADGMCSCESSPMAEESSTRASISRIVMLAEALFEVLDEIHRQPGSLSLSMVSLPAPESIVDSFPLKSHKKVEMADGGNDVEQCYICLAEYEEGDQIRVLPCLHEYHMSCVDKWLKEIHGVCPLCRGNVCGGFTESSTNSEVQSH >Vigun03g365600.4.v1.2 pep primary_assembly:ASM411807v1:3:56900924:56904684:1 gene:Vigun03g365600.v1.2 transcript:Vigun03g365600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLHLSLHSSEARISCSPPAETSTSSGMRTEFHGNTSAGSSYRNVATQIQRNRLSENEEQAPPCQVSSAGHSGRESCSDSSNTASTSFVAQQSSDPVSVNVSANKDVVNDVNNPVVRGGGVSQVSNETVHPRSSTPQEHGEISVENHTSAFISIQNSSNFVSQGSNSAATSQGPEEEPCRETIPSGLGILVSNRERGTGNDSVLQVDVVTISSNILSGSNADANDHDVRRNGRRLFWDAFSRRSSRRLGDSPTFVFSTGGADDPGSQDRWLVDFGGDLSNDGVGGASAYMGSRIHRLNERRMRHSRSEIWDRLRGGFDEIGRWNSCPLGLHADGMCSCESSPMAEESSTRASISRIVMLAEALFEVLDEIHRQPGSLSLSMVSLPAPESIVDSFPLKSHKKVEMADGGNDVEQCYICLAEYEEGDQIRVLPCLHEYHMSCVDKWLKEIHGVCPLCRGNVCGGFTESSTNSEVQSH >Vigun11g165100.1.v1.2 pep primary_assembly:ASM411807v1:11:37232155:37235125:-1 gene:Vigun11g165100.v1.2 transcript:Vigun11g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALVALGPSLSLFISVISKKPFLILTVLSSTLLWLISLIVLSGIWRGFLPLNTTAWWPFGILIFSSVAFQEGLRLFFWKIYKRLEDMLDAFADRVSKPHLLLTDKMLIALAGGLGHGVAHAVFFCISLLTPAFGPATYFVDRCSKVPFFLLSATIALAFVTIHTFSMVIAFNGYTEGNKVDTYFVPIVHIVAGMVTLVNLAPGGCAVGIPLLYFTAILTVVHCGRMVWRRLTENPIRPGHS >Vigun11g069900.2.v1.2 pep primary_assembly:ASM411807v1:11:20424010:20425071:1 gene:Vigun11g069900.v1.2 transcript:Vigun11g069900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun02g037800.1.v1.2 pep primary_assembly:ASM411807v1:2:15803493:15811634:1 gene:Vigun02g037800.v1.2 transcript:Vigun02g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATTGIGVGVTKLQQTQIPKHKSLFLGQRLRIRPSGEAQCSARFNFSNPRVVKVFALGGEWMDTVHNLFVGVGVGLPCSVMQCGDVIYRSTLPKSNGLTLTVPGVILALGTLSYLWATPGVAPGFFDMFVLAFVERLFRPSYKKDDFVLGKKLGEGSFGVVYRVSLANKPSSKEGDLVLKKATEYGAVEIWMNERVRRACASSCADFVYGFLESSSKKSAEYWLIWRFEGDATLADLVQSREFPYNVETLILGEVQDLPKGLERENRIIQTIVRQILFALDGLHSTGIVHRDIKPQNIIFSEESRTFKIIDLGAATDLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVAAALSPVLWQLNSPDRFDIYSAGLIFLQMAFPGLRTDNSLIQFNRQLKRCDYDIVAWRKTVEPRCGPELRKGFELLDLDGGIGWELLKSMVRYKARQRLSAKAALAHPYFDREGLLALSFMQTLRLQLLRATQQDYGEAAKWITNLMAKSGTQKDGGFTEAQLQELREIEPKMKASAPRNALASALKLQRKIIRTLNESMDELNRRRKSFWWSRWIPREE >Vigun04g124000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31544881:31546194:-1 gene:Vigun04g124000.v1.2 transcript:Vigun04g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSKDLAIEIERLETEWIEMLQNVKSPQTDEFYMQCIYRVPPNIRESNPKAYTPQILSIGPYHHKTCSPSKKDNNFEAMEELKLKYLKGFLDRTGLPMREFVVKIKEMEESNIIRSCYADTIKCNSDDFLKMILLDACFIIELFLRWNNNSEWKGKDPLMLNPWMLTYVDLDLLLLENQLPFCVLEKLHNLTGMDEKFLDITFSYFGNRLFGSVSPGESPKHFNDLLRTSIISSSKLGLGKPEECKQIIKHVYSASQLMEAGLEFKLSPNKSLLDLTYSKYGVLSMPSLDIHDNSEVLFRNIMQYEHCHFSATSIVTQYLAILDFLINTEKDVNILVDKKIIVNWTGDANKVATMINDLSSQLHMPFFNSHYFTLCNNLNDFYENPCNKYKAIFIHDYFNTPWKIASTIAAIMLLFLTFIQTVCSIVSLFHGKKS >Vigun11g184550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38631999:38632490:-1 gene:Vigun11g184550.v1.2 transcript:Vigun11g184550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLYWSHDSYLIAFPFNYLSRQQFQRILSSLFIFKPASIKHNKNHSIPKAFEVQKLASYRIFFPFVPSLNTYNNGFSFTWYEKGIVYYKPSIFQGCGCGKGLPCSVCWRENEAVSYLNQPSFQDLLNQAVEEFGYDHPMGGLTIPCREDVFLDITSRLMSY >Vigun09g051400.1.v1.2 pep primary_assembly:ASM411807v1:9:5063956:5068297:-1 gene:Vigun09g051400.v1.2 transcript:Vigun09g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIQLLGLCFWFHFACFSFSFGSRVALGGDATVKGTVAIDDRAVIGTIDGDFVCATLDWWPPDKCDYGRCSWGLASLLNLDLNNKIFLNAVKAFSPLKLRLGGSLQDKVIYGTEDYHQPCTPFVKNTSEMFGFTQGCLPMKRWDELNYFFKKAGAKIIFGLNALAGKSIHGNSATGPWNSTNAESFIRYTVRNGYTIYGWELGNELSGSGVGTSITADQYASDFAALRDIVYNAYANTDSKPLVIAPGGFFDATWFKEFITKSGKTLDVVTHHIYNLGPGVDEHLVEKILDPSFLDKEASTFSGLKNILASTGTSATAWVGESGGAYNSGHHLVSDAFVYSFWYLDQLGMSAAYDTKTYCRQSLIGGNYGLLNTTNFLPNPDYYSALLWHRLMGRHVLSTTFSGTKKIRAYAHCAKQSKGITVLLINLDGNTSVEADVTFDNNAKSLRLRKMSSHSNTMELPLASETAREEYHLTPQDGNIHSQIMVLNGKPLRVNSAGEIPPLDPIYVNSSEPITVAPFSIVFAHLPDAVVTACG >Vigun07g166600.1.v1.2 pep primary_assembly:ASM411807v1:7:28114152:28117700:-1 gene:Vigun07g166600.v1.2 transcript:Vigun07g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFETELADVIPVIKTSIGGTRIVGRLCVGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALTHTDLDKETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLVDSYV >Vigun01g116200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29259657:29262998:1 gene:Vigun01g116200.v1.2 transcript:Vigun01g116200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFVFDIVNSLLGKIATYAYEEVSQAYGLYEDVQGIKDTLSIVKGVLLDAEEKKEHNQALREWLRQIQNVCTDAEDVLDGFEYQSSRKQVVKASGSIRVKVDHFFSSSNSLVFRLRMAHQINDVRERLDKIAADGNKFGLERIDVDNRLVQRREMTHSHVDASDVVGRESDRDEIIKLLMQSHPDGDKSVCVIPIVGIGGLGKTTLAKLVFNDKRVDELFKLKMWVCVSVDFDIKQIIIKIINSASASSSAPTMALGHQENINSLDIDQLQSRLRHKLSGEKFILVLDDIWNDDYAKWIELKNLIKVGAVGSKIIVTTRSNSIGSMMGTISPYILEGLSPQNCLSLFVRWAFKDGQEKQHPDLMEIGKEIVKKCRGVPLAVRTLGTSLFSASDIEKWEFVRDHEIWNLKQKREDILPALKLSYDQMPFHLKHCFAYFSLFPKDFRFINTEITNLWASLGLLQSPDGSQKVDKIARQYIEELHSRSFLQDFTDYGLFYFFNVHDLVHDLALYVAKEEFLMVDSRTQRIAEQVRHLSIVETDSLDHTLFPKSKSLRTIIFPVEGVGLARETLLQTWLSRYRYLRILDLSDSSFETLPNIISKLVHLRVLDLSNNRQIKKLPHSIGKLQNLQVFSVSGCMQLEALPKGLGMLKKLRELKITTKQSFLSQEEVAKLSNLQTLSFEYCVNLKFLLEKEQLAQLSSLQILVVRSCGSLESLPFYILTKLEALFVADCEKLNLFLDEEAQTLRMKFLHVENFPWLTRLPTWIEGAADTLQTLIIYNLSILCLLPECLPEMTHLKRLHISECPSLLFPSTHMHRLTTIEDLSIEGCPAWNKIRDKPYFT >Vigun05g065300.1.v1.2 pep primary_assembly:ASM411807v1:5:5667990:5671605:-1 gene:Vigun05g065300.v1.2 transcript:Vigun05g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPQSSEGRPDDDTALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNLRHQEYFADSPSTGTDPATREE >Vigun05g065300.2.v1.2 pep primary_assembly:ASM411807v1:5:5667990:5671592:-1 gene:Vigun05g065300.v1.2 transcript:Vigun05g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPQSSEGRPDDDTALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNLRHQEYFADSPSTGTDPATREE >Vigun05g065300.3.v1.2 pep primary_assembly:ASM411807v1:5:5667990:5671550:-1 gene:Vigun05g065300.v1.2 transcript:Vigun05g065300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPQSSEGRPDDDTALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNLRHQEYFADSPSTGTDPATREE >Vigun11g047600.1.v1.2 pep primary_assembly:ASM411807v1:11:7363951:7368143:1 gene:Vigun11g047600.v1.2 transcript:Vigun11g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFIVDLLGKWKESEYGGGQSVPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Vigun01g026100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3026510:3027184:-1 gene:Vigun01g026100.v1.2 transcript:Vigun01g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECHCRAYPQVSASDRVSESPFFHSDQQHFNVQFEYSEMYVFSARKFDAFCKVSLHNFPNIPKNQIMQETTIVSWLSHMGVPRNSHSVVVAEVLRCVRDMVRDTDTHVLGMHVEMNITRATEDEISDSDSDNDDSFEEVDDDGFEEDDDEDDYGLVAADKAIVEGLEMVEEKGSERCSICFEDFDVGVRMPCLHMFHKNCISEWLQRGNSCPLCRFQLPTQRD >Vigun03g360100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56308776:56310992:-1 gene:Vigun03g360100.v1.2 transcript:Vigun03g360100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKIHAYLFLCMLFVSSATPILGCGYCGKPKKQNPSKKPKTTPVVKPPVTLPPISLPPVVKPPVTVPPVTVPPILKPPVLLPPVTLPPIAKPPVTVPPVTVPPVLKPPISLPIPPIVKPPVILPPVLNPPSTPGKGGYSPCPPPKSPAQATCPIDTLKLGACVDLLGGLVHVGLGDPVTNQCCPVLQGLVEVEAAACLCTTLKLKLLNLNIYVPLALQLLVACGKSPPPGYTCSL >Vigun03g360100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56308837:56310517:-1 gene:Vigun03g360100.v1.2 transcript:Vigun03g360100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKIHAYLFLCMLFVSSATPILGCGYCGKPKKQNPSKKPKTTPVVKPPVTLPPISLPPVVKPPVTVPPVTVPPILKPPVLLPPVTLPPIAKPPVTVPPVTVPPVLKPPISLPIPPIVKPPVILPPVLNPPSTPGKGGYSPCPPPKSPAQATCPIDTLKLGACVDLLGGLVHVGLGDPVTNQCCPVLQGLVEVEAAACLCTTLKLKLLNLNIYVPLALQLLVACGKSPPPGYTCSL >Vigun10g061350.1.v1.2 pep primary_assembly:ASM411807v1:10:12680161:12680938:-1 gene:Vigun10g061350.v1.2 transcript:Vigun10g061350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHNWFERQQWRRRCGRLKTQRELKEGVAVTVRSTVAVIANPRVRFRSRALKDIKFSNWFEEPNLRFMDHLRPLFPHMDDQILEKALQEIGNDLDATGVDDARA >Vigun06g013201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:5995387:5996504:1 gene:Vigun06g013201.v1.2 transcript:Vigun06g013201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSGLDPPLHHNCPHVTTIFVLGFLHPTYHEPTNLTRSPTSITFNPPFYLHHPPLLATTPTFSQHTKHSHLSRGRKKRYLGHRFQWFSCNSDEREGLDHERCICDNTGRPEMNGDGSGVERRWWQQRWLVVRAARMKSSSGRGGC >Vigun05g281500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47070149:47070712:-1 gene:Vigun05g281500.v1.2 transcript:Vigun05g281500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGECLPSSISRNSSNMCKPPRLSNDHIQRTISDISFELTKEGIDLSLQPITEVMDAKCECCGMCEECTPEYIERVREKFLGKWVCGLCAEAVKEELEKNGGKKKEEALSSHMSACVRFNKYGRAFPVLFQAEAMKEMLKRNKIDNRRAKSFNPRDKGTQNKGIARSSSCIPAITREMNGLTMAN >Vigun11g197000.1.v1.2 pep primary_assembly:ASM411807v1:11:39615667:39619271:-1 gene:Vigun11g197000.v1.2 transcript:Vigun11g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLSAILVGAGYLALGYFIGSQYPPRFLFSRKLLTKHNDATLFNDTDNKRNSKSKLKDPLQIEQLADILDDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMSAQPKVVVKIESEEDMLALQERAKSLKLPTHITIDAGRTQIAPNSRTVMAIIGPVEVVDEVTGGLKLL >Vigun01g154100.1.v1.2 pep primary_assembly:ASM411807v1:1:33683025:33686275:1 gene:Vigun01g154100.v1.2 transcript:Vigun01g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQLTGIRGDSPLQSAIRAGNLELVREIISQSPEGELKELLSKRNNSCETALYVAADNGHLDIVKELIRYHDVGLAGFKARNGFDAFHIAAKNGHLDILKVLMEFIPEISMTVDLTNTTALHTAAAQGHIEVVNFLLENGSSLVSIAKSNGKTVLHSAARNGHVEIVKAILNKEPEIGLRMDKKGQTALHMAVKGQNLELVDELVKLNSSMANMVDAKGNTALHIATRKGRLQVVQKLLDCKETDTDVINKSGETALDTAEKNGRLEISNFLQHKGAQSAKSIKSPNTNTALELKRTVSDIKSGVHNQLEHTFKTQRRMRGIAKRINKMHTEGLNNAINYNTIVAVLIATVAFAAIFNVPGQYPEQQDKLSPEMSPGEAYIAPDIGFKIFIIFDSTALFISLAVVIVQTSVVVIERKAKRQMMAVINKLMWVACVLISVAFIAMSFIIVGDHKELAIAATALGTVIMAATLGTLCYWVIAHRVEASRLRSLRTTMSSRQSLSLSMMSGSENEYKTVYAI >Vigun01g154100.2.v1.2 pep primary_assembly:ASM411807v1:1:33683025:33686275:1 gene:Vigun01g154100.v1.2 transcript:Vigun01g154100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQLTGIRGDSPLQSAIRAGNLELVREIISQSPEGELKELLSKRNNSCETALYVAADNGHLDIVKELIRYHDVGLAGFKARNGFDAFHIAAKNGHLDILKVLMEFIPEISMTVDLTNTTALHTAAAQGHIEVVNFLLENGSSLVSIAKSNGKTVLHSAARNGHVEIVKAILNKEPEIGLRMDKKGQTALHMAVKGQNLELVDELVKLNSSMANMVDAKGNTALHIATRKGRLQVVQKLLDCKETDTDVINKSGETALDTAEKNGRLEISNFLQHKGAQSAKSIKSPNTNTALELKRTVSDIKSGVHNQLEHTFKTQRRMRGIAKRINKMHTEGLNNAINYNTIVAVLIATVAFAAIFNVPGQYPEQQDKLSPEMSPGEAYIAPDIGFKIFIIFDSTALFISLAVVIVQTSVVVIERKAKRQMMAVINKLMWVACVLISVAFIAMSFIIVGDHKELAIAATALGTVIMAATLGTLCYWVIAHRVEASRLRSLRTTMSSRQSLSLSMMSGSENEYKTVYAI >Vigun07g146800.1.v1.2 pep primary_assembly:ASM411807v1:7:25777542:25781956:-1 gene:Vigun07g146800.v1.2 transcript:Vigun07g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSIQIQMLAEKFHRFVLDYVPSEPEDVSKQANENSDSMDENTISQGIHEVSPDKGHTLPILKKILDLDTKIQDLKKHHIALSDEVKLTVESFPGTDVLKSVQLLGAEYELLKRKYLDESSERRRLYNEVIELKGNIRVFCRCRPLNESEIANGSASVVNFESSSDNELQVICAESSKKQFKFDHVFGPEDNQEAVFQQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPEHRGVNYRTLEELFRITEERNGTMKYELSVSMLEVYNEKIRDLLVENSTQPTKKLEIKQAAEGTQDVPGLIEARVYGTEDVWEMLKTGNRVRSVGSTSANELSSRSHCLLRVTVMGENLINGQRTKSHLWLVDLAGSERVGKTEAEGERLKESQFINKSLSALGDVISALASKSAHIPYRNSKLTHMLQSSLGGDCKTLMFVQVSPSAADLGETLCSLNFATRVRGIEGGPARKQVDHTELFKYKQMVEKLKQDEKETKKLQDNLQIVQLRLAAREHHCRSLQEKVRDLENQISEERKHRLKQESRALAAASAQPSFSSQHTTAQKTMTDKKPPLNPSKFRQPLRKITNSLPPQSPLRSKNYTTFTNGKENSVRRASMATNSVRQAAPPTTGQFFQARRRVSIAMRPPPPSTSTAPVIQPRRRVSIATLPPHTTSGISTPLRTSAFRVTGGNSQQSQQSRIRGQRKDRYSSLFAPLPELRESVLTTPMSVRSSSSKFMMNSPTQESSRMMGPTRNQPGLALQRKPVVWSPLKLRTMNRKTSLLPYRPTQMQ >Vigun08g140100.4.v1.2 pep primary_assembly:ASM411807v1:8:31233518:31237355:1 gene:Vigun08g140100.v1.2 transcript:Vigun08g140100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLMFRPQFLTFKAWVWAAATISSRTLHIPWDEAGCLCPVGDLFNYDAPGEESDIEDLEHLLSNSSIHDTNLLNGGKNIMVDAEQLDSHSQRLTDGGFEEDVNAYCFYARAHYKKGDQVLLCYGTYTNLELLEHYGFLLQENPNDKVFIPLEPTIYLSTSWSMESLYIHHNGKPSFALLAALRLWATPQNKRKSVGHLVYSGSQVSADNEIFITKWLSKTCATVLKNLPTSIDEDTLLLNAMHSSQDFFTFMEITKSMSSRDEIYTFLEAHDMKDAHSFTDEILSRKARRSMDRWKLAVQWRLKYKKVLFDSISYCTEILDSFIK >Vigun08g140100.3.v1.2 pep primary_assembly:ASM411807v1:8:31233518:31237355:1 gene:Vigun08g140100.v1.2 transcript:Vigun08g140100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKTSRWHPYLMHLPHTYDILAMFGEFEKRALQVDEAVWVTEKAILKAKSEWKESHALMEDLMFRPQFLTFKAWVWAAATISSRTLHIPWDEAGCLCPVGDLFNYDAPGEESDIEDLEHLLSNSSIHDTNLLNGGKNIMVDAEQLDSHSQRLTDGGFEEDVNAYCFYARAHYKKGDQVLLCYGTYTNLELLEHYGFLLQENPNDKVFIPLEPTIYLSTSWSMESLYIHHNGKPSFALLAALRLWATPQNKRKSVGHLVYSGSQVSADNEIFITKWLSKTCATVLKNLPTSIDEDTLLLNAMHSSQDFFTFMEITKSMSSRDEIYTFLEAHDMKDAHSFTDEILSRKARRSMDRWKLAVQWRLKYKKVLFDSISYCTEILDSFIK >Vigun08g140100.2.v1.2 pep primary_assembly:ASM411807v1:8:31233518:31237355:1 gene:Vigun08g140100.v1.2 transcript:Vigun08g140100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRENVMEDEKLCFAVNRHSCLSSTQILIVCLLYEMGKGKTSRWHPYLMHLPHTYDILAMFGEFEKRALQVDEAVWVTEKAILKAKSEWKESHALMEDLMFRPQFLTFKAWVWAAATISSRTLHIPWDEAGCLCPVGDLFNYDAPGEESDIEDLEHLLSNSSIHDTNLLNGGKNIMVDAEQLDSHSQRLTDGGFEEDVNAYCFYARAHYKKGDQVLLCYGTYTNLELLEHYGFLLQENPNDKVFIPLEPTIYLSTSWSMESLYIHHNGKPSFALLAALRLWATPQNKRKSVGHLVYSGSQVSADNEIFITKWLSKTCATVLKNLPTSIDEDTLLLNAMHSSQDFFTFMEITKSMSSRDEIYTFLEAHDMKDAHSFTDEILSRKARRSMDRWKLAVQWRLKYKKVLFDSISYCTEILDSFIK >Vigun08g140100.1.v1.2 pep primary_assembly:ASM411807v1:8:31233518:31237355:1 gene:Vigun08g140100.v1.2 transcript:Vigun08g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQQNLESFLTWAAQLGISDSSTPTNQPQHSPSSCLGSSLCVAHFPHSGGRGLGAVRDLRRGEIVLRVPKSALMTRENVMEDEKLCFAVNRHSCLSSTQILIVCLLYEMGKGKTSRWHPYLMHLPHTYDILAMFGEFEKRALQVDEAVWVTEKAILKAKSEWKESHALMEDLMFRPQFLTFKAWVWAAATISSRTLHIPWDEAGCLCPVGDLFNYDAPGEESDIEDLEHLLSNSSIHDTNLLNGGKNIMVDAEQLDSHSQRLTDGGFEEDVNAYCFYARAHYKKGDQVLLCYGTYTNLELLEHYGFLLQENPNDKVFIPLEPTIYLSTSWSMESLYIHHNGKPSFALLAALRLWATPQNKRKSVGHLVYSGSQVSADNEIFITKWLSKTCATVLKNLPTSIDEDTLLLNAMHSSQDFFTFMEITKSMSSRDEIYTFLEAHDMKDAHSFTDEILSRKARRSMDRWKLAVQWRLKYKKVLFDSISYCTEILDSFIK >Vigun05g195900.1.v1.2 pep primary_assembly:ASM411807v1:5:37860427:37867860:1 gene:Vigun05g195900.v1.2 transcript:Vigun05g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRCRHFSIEELPSHLVLEILCSGRLSAMDLVCLELTSKTFGGSHGLYPFFKFKSLIDFAAFQLCASHVTYARMGLVSQRELYDRCEGNWKRVLRFLQSVEQSSQMVETSSGNMQITTGKYHTLLISNSSVYSCGSGLCGVLGQGPETTQCVAFTRIDFPPLARVAHVSASFNHAAFVLQSGEVFTCGDNSSSCCGHRDTSRPIFRPRLVESLKGIPCKQVAAGLNFTVFLTRQGHVYTCGTNTHGQLGHGDTQDRPTPKMIEVLGSVVQIAAGPSYILSVTENGTLYSFGSGANFCLGHGEQHNEFQPRAVQKFRRKVIHIVRVSAGDEHAVALDSNGFVYTWGKGYCGALGHGDEIEKTTPEMLTSLKNQLAVQVCARKRKTFVLVDSGSVYGFGSMGFGSLGFLDRRVLDKVLKPRILDTLKSHHVSQISTGLYHTVVITSRGNIFGFGDNERAQLGHDTLRTCLEPIQIFNRDTSEDVESM >Vigun05g195900.2.v1.2 pep primary_assembly:ASM411807v1:5:37860427:37867860:1 gene:Vigun05g195900.v1.2 transcript:Vigun05g195900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQITTGKYHTLLISNSSVYSCGSGLCGVLGQGPETTQCVAFTRIDFPPLARVAHVSASFNHAAFVLQSGEVFTCGDNSSSCCGHRDTSRPIFRPRLVESLKGIPCKQVAAGLNFTVFLTRQGHVYTCGTNTHGQLGHGDTQDRPTPKMIEVLGSVVQIAAGPSYILSVTENGTLYSFGSGANFCLGHGEQHNEFQPRAVQKFRRKVIHIVRVSAGDEHAVALDSNGFVYTWGKGYCGALGHGDEIEKTTPEMLTSLKNQLAVQVCARKRKTFVLVDSGSVYGFGSMGFGSLGFLDRRVLDKVLKPRILDTLKSHHVSQISTGLYHTVVITSRGNIFGFGDNERAQLGHDTLRTCLEPIQIFNRDTSEDVESM >Vigun08g044800.1.v1.2 pep primary_assembly:ASM411807v1:8:4699663:4704572:1 gene:Vigun08g044800.v1.2 transcript:Vigun08g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDALQADGKSIRYISSELATDIIITVGEVKFHLHKFPLLSKSNRLQKLLTMANEECSDEIQLDDFPGGSKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEAIEKGNLVFKIEVFLTSSIFRSWKDSIIVLQTTKSLLPWSEDLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSELDKIVEDKTAPHEKIEPVPRDWWVEDICELDIDLYKRVMITIKSKGRMDGVVIGEALKVYAVRWLPDSVDALVSDAHARRNKSLVETIVCLLPCDNGMGCSCSFLLKLLKVAILVEADESSRGHLMKNIGLKLHEASVKDLLIPARLPQITKFDLDLVQDLLNLYMTNVRGSRDTEIEEKKDKVNESMLGQRSLLNVGKLVDGYLGEVAHDPNLSPTNFVDLSQSIPDFARPNHDGLYRSIDIYLKEHPGLTKAERKKICGLMDVKKLSVDASMHAAQNERLPLRVVVQVLYFEQIRGATASNGRTRGNSPSSTLVNGDEECVKTGGESCHSLNTQMCELKMREEEFQKNGKLNKKSSKNSRSGMQLLPSRSRRIFDKLWIVGKGQGENRSSETSGSSNSPTSVVPGDTKSSGSSLRHRRHSIS >Vigun03g135700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13293066:13293944:-1 gene:Vigun03g135700.v1.2 transcript:Vigun03g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGTSGNEMPINHVEILKHSNDIEANDMEENNIKYYEREQCLGAAVFGVKTGLFVTTFLMTAIEAFNENIIAMLLAGFLGLVAGACSMPIEEFVSVDTEVAQMKVHHNEHNEVEEDDNLLNPFQASLASGIGFSIGGMVSVLTAVFIRDYKLRLLVISVAMLALLVFGGMIAVLRKSKLVRRSGDSGDSGDWRLDNYGYYFWI >VigunL058201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000440.1:76456:77522:1 gene:VigunL058201.v1.2 transcript:VigunL058201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWHNTSLDNDETFNSFIRRAKGKIRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun08g037500.1.v1.2 pep primary_assembly:ASM411807v1:8:3590779:3606803:-1 gene:Vigun08g037500.v1.2 transcript:Vigun08g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMKHLLRKLHIGGGAATINHNHNALSSHAHHAPSTSSLSSPTVVSDPTPIPSPVVEAQNDVVEFNLLQEEEFQMQLALAISASDSDRRDTAESAQIDAAKQISLGYSASFTDTHALVQFQSLRYWNYNVIGYDEKVMDGFYDVFGVTSNLVDRGKMPLLVDLQTAPVSGDVDCEVILVNHVVDLELNQLERKACSLVEECCVSELGLILSGLLQRLADVVVNRMGGPVINAEKLTKSWAMRSRELRDSMQTIVLPLGCLDVGLSRHRALLFKVLADRINIPCMLVKGSYYTGTDDGAVNLIKADDGSEYIIDMMGAPGTLIPAEVPSSQLESNSFSVRGCAEIVGLPDKTGSIVDGRTGVPGVFSDCGRISTVGRVQTEELLVMGSQTKPDEINHVKANETRRFEHMEAYECSSHTEPSPAENMHVKNVSKYVLSAAKDPEFAQKLHNVLLESGALPPPDLFSNINSQDRGVDKVNEKNVDSVQDDTNNLLLRYEKSHIPSDGLGSASDTRLCQSADCIAEQQEELHTDVEFCNSSQRDNTRNGFLHASDRNIDVEKSNAMNVVLASIHSRNKICKEKCTGSSLPKAALSCKMHNGIGCFCEDDENGYRKNLGASFNNRGLGKDSAVQRNEMEVNGECYDGRNKEVNPVLGEGSEWEIQWEDLDIGERIGIGSYGEVYRADCNGTEVAVKKFLDQDFSGDALAQFKSEVEIMLRLRHPNVVLFMGAITRPPHFSILTEFLPRGSLYRLLHRPNIRLDEKKRLRMALDVAKGMNYLHTSHPPIVHRDLKSPNLLVDRHWIVKVCDFGLSRMKHHTFLSSKSCAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELITTRIPWKGLNPMQVVGAVGFQNKRLEIPEDVNPVVAQIIRDCWQTEPHLRPSFSQLMSRLYRLQHMVAPKTGSTH >VigunL059068.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000457.1:23371:24308:1 gene:VigunL059068.v1.2 transcript:VigunL059068.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSLPSQLHSTFLSRPTISTILSIQTLSIRIALSPFLPPQTLATSLTPPETLSSNPNFFLNLIHSNFVNINFFLLLTHFLVCFVCVDGFCASDVLSRSSSTNAIYLSSFSTSFNIFALSNHLRDCLY >Vigun10g108600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30804722:30805387:-1 gene:Vigun10g108600.v1.2 transcript:Vigun10g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLNLTFFLVIFLSLFFSFLATPVEPSSLTSHRNPNPKTMTYIESSCKGTLYPNLCMRCLSQYAKSPLNGPQHLAQHALSVSLSRALHTKGYLLKVAKELQAIKSNKKEYLTVQDCVNQISDSVEQISQAMKELRSFNKHDSNMNDKMLWHISNVETWVSTALTDASSCVYSFPGNRMSKRVAAIKVKAKNVAEVTSNALFLFHRYASTYQKATMNTNNS >Vigun11g086400.1.v1.2 pep primary_assembly:ASM411807v1:11:25912012:25917868:1 gene:Vigun11g086400.v1.2 transcript:Vigun11g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNSLKGQFFQRSSVFGARFMSSSWFRSIEPAPKDPILGVTEAFLADQSPNKVNVGVGAYRNDDGKPVVLDCVREAERRIAGNQFMEYLPMGGSIKMVEESLKLAYGDNSEFIKDKRIAAVQALSGTGACRLFAAFQQRFHPNSQIYIPVPTWANHHNIWRDAGVPMKTFRYYHPESKGLDFEGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISSQIKAKGHFPFFDMAYQGFASGDPERDAKAIRIFLQDGHLLGLAQSYAKNMGLYGQRVGCLSLLCEDEKQAVAVKSQLQLISRPMYSNPPVHGALIVSTVLGDPELKKLWLNEVKGMADRIIGMRTTLRENLEKRNSPLPWQHITNQIGMFCYSGLTPEQVDLMTSKFHIYMTRNGRISMAGLNTGNVGYVAEAIHEVTKSS >Vigun04g132300.2.v1.2 pep primary_assembly:ASM411807v1:4:33264927:33270894:-1 gene:Vigun04g132300.v1.2 transcript:Vigun04g132300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSADALRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSSVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEDAIIVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYTLADVHEPTVEDVLRPGKKMVAAGYCMYGSSCTLVISTGAGVNGFTLDPSLGEFILTHPGIKIPKKGNIYSVNEGNAKHWDGPTAAYVEKCKFPEDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPGDKKNPNGKLRVLYEVFPMSFLVEQAGGQSFTGKERALDLVPTKLHQRSPVFLGSYDDVEEIKGLYAAAAAEGEAE >Vigun04g132300.1.v1.2 pep primary_assembly:ASM411807v1:4:33264927:33270791:-1 gene:Vigun04g132300.v1.2 transcript:Vigun04g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSADALRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSSVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEDAIIVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYTLADVHEPTVEDVLRPGKKMVAAGYCMYGSSCTLVISTGAGVNGFTLDPSLGEFILTHPGIKIPKKGNIYSVNEGNAKHWDGPTAAYVEKCKFPEDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPGDKKNPNGKLRVLYEVFPMSFLVEQAGGQSFTGKERALDLVPTKLHQRSPVFLGSYDDVEEIKGLYAAAAAEGEAE >VigunL000201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000101.1:48069:48363:1 gene:VigunL000201.v1.2 transcript:VigunL000201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAILNQLRDERGSCVVVCKSFGCDFLGEAVIGGEKQQKKNEVVDGKIRKAGIG >Vigun05g300700.1.v1.2 pep primary_assembly:ASM411807v1:5:48427041:48429969:1 gene:Vigun05g300700.v1.2 transcript:Vigun05g300700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLINVKQSTMVRPAEETPPKALWNSNVDLVVPNFHTPSVYFYRPNGASNFFDTTVMKEALSKALVPFYPMAARLRRDDDGRVELFCDGQGVLFVEADTTAAIDDFGDFSPTLELRQLIPAVDYSAGIETYPLLVLQVTYFKCGGVSLGVGMQHHVADGASGLHFINAWSDVARGLDISLPPFIDRTLLRARDPPRPVFDHIEYKPAPPMNTPLQPQKPGSDAAATAVSTFKLTRHQLNTLKAKSREDGNSISYSSYEMLAGHVWRSVCKARALPHDQETKLYIATDGRSRLQPPLPPGYFGNVIFTTTPVAVAGDLMSKPTWYAASRIHDALMRMDNEYLRSALDYLELQPDLKALVRGSHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGNMSVAIALPPDRMKVFQDLFYDF >Vigun09g056700.1.v1.2 pep primary_assembly:ASM411807v1:9:5692408:5697388:-1 gene:Vigun09g056700.v1.2 transcript:Vigun09g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHWSTDSQVEKGDKLMEVGKRRVGARGSVVAGSVWESRMKSDEVRGGIKVFNAEENPEENGGGARLKRSPIGCGKRKTWKSESSEGSDNKIPIQVARGKTETLKSSEELNISSSDGIKKSPTQTRKIASFANKLERSPVYPKKKRSETQKSSESDEGHERSPAQLRKSKSDPIKKSASPGVSSIQLRNTKSELDLVADEPTDEKIEVENEKNDADDNSCMDLDDVCQEKVISNSSANAVQCSTELSVQVGDDSDEVAVDEADEGDEEGEEIEIEMEERIDVKEISIAETMVVREPESDEVAGKEQDKKVIVNEPEKKVVLNESEPKKIMKEPEPKKILNEPEPKRIVSAHMRFHHKNERKPVSVPHSLKQSPTIRRNSTIHRNFAQANSIPKAEEHRSFPQTQSKLQSIVDLIMWRDVSRSAFVFGFGTFIIVSSSYAKDINLSLISVTSYISLVYLAVIFLYRSLIFRGFIEVEDTNYVLGEEDAIWMLKLILPYLNEFLSKIKGLFSGDPGTTIKLAVLLFVLARCGSYITIWKMIKLGFFGVFTVPKICTSYSAQLTAYGNFWIRRFRDAWDSCTHKKAVALGIFGLVWNLSSVVARIWAVFVLFVAFRYYQQHYLVRDEFGEDETGCDETWEEPVGARHKQGHATNNLSDTNKLKKVF >Vigun08g212700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37396866:37397795:-1 gene:Vigun08g212700.v1.2 transcript:Vigun08g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIVKPVVLTLQEPSRLKTSKDAKTTEGDNTHQNSVTFVYQTKIAESLRSVTVSWCKDSTDHFVSIGVENTLVENKFSFKIDLESGHAWGKKGLRSFEMAGTRVDIYWDLRHAKFSTGPQPISGYYIALVCKKEVLLLLGDLQNDAFERTKSKPSPEEATLVCKRDNVYGKKVFCTRAILEDGKTEHDVVIETSLSGPDDPEMWITIDGMLTSRIMNLHWRFRGNDIVMVNNFPVQIFWDVHDWLFTNEIGPSPAFFVFKPGFLEGANDPKSRECPEKYGESSRNELQEENSCTTGFCHFLYAWRTA >Vigun06g130700.1.v1.2 pep primary_assembly:ASM411807v1:6:25686951:25688335:1 gene:Vigun06g130700.v1.2 transcript:Vigun06g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENQTPHKVFARSAENHHGRTKDFPSKRSNKIADKSLNAAFASVSEDSVDLSPFSEISDTIRNEDLTTFFLEEPSSVTLLPSDLTPKKLIDKTGSISSTSCTGADEIDCSKFNSVEAEITVNFLRNVKTEFLNSVNAAPQRRIELLDRIIEYVVSDLQTYTLPEESDQFVHLISMKKRMLFLFTFIWVIGVSAVLFFTSDTRSPYRGPRPT >Vigun06g111600.1.v1.2 pep primary_assembly:ASM411807v1:6:24051151:24053240:1 gene:Vigun06g111600.v1.2 transcript:Vigun06g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPLEFFKVFLIQKGSTQLQIPRSFTKFFNGIAPCKVVVEEHGGKYWDVKLEKMEGLLVFKNGWQEFVKEKNLEEGDFLVFQYDGKTTFTVKIFAKTGCRKVAASPSRVKVVPIVNLEEDSDEDSYKIQSNGVRKRPLPSSKANVKSEGACPFEGAQRKSKRVKEGKDKTNDEKPALAKHVPLENAHFQICFTSRYKLKRVELPRKLLKMRNIKLLKNITLRDENDESWPVLINSSNDRNYLGSGWLTFKKNKNIQEGSRCDFQFVVNKSNMAIELLVHVISKISK >Vigun09g090749.1.v1.2 pep primary_assembly:ASM411807v1:9:12563925:12564263:-1 gene:Vigun09g090749.v1.2 transcript:Vigun09g090749.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITNEWQVLFLVRILFQRELDELIRLRVLLLGGRTRASSECLLLNLFHNSIENLISQ >Vigun02g111800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26589771:26592350:1 gene:Vigun02g111800.v1.2 transcript:Vigun02g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFLKLSVATLFVLLSVQFDVSLANKEKRTGNQKSTYIVHVNKSEMPTIFDHHAIWYNSILTSINNSQEMLYTYEKVIHGFSAKLTHEEVQSLRSRSDVVQVMPEQMYKPLTTRTPHFLGIDKIDEMVPGLNGGSDVIIGFLDTGIWPESKSFDDAGLGPIPSKWKGICERSADFNASKCNRKLIGARFYSKGYEATIGPIKGTKSPRDTEGHGSHTASTAAGSVVEGANVFGYAAGKARGMAFRSRIAVYKVCWERGCAVSDILAAMDAVISDHVDILSISLGGDSDTSNYDTDGIAIGSFAVLEHGTVVVCSAGNEGPAPSSINSNIAPWLITVGAGTLDRDFPAYVALGNGHRYSVQSIYSGKSLPDTPIPFIHARNASRKEGANLNLCLTHSLDPKRVRGKIVYCEYGNTSTIEKELVVKSAGGVGMVLGTVEGDPEEELLEPHFLPTAIVDDKAKNSIQEYLSSEPKPVATIVSEGTKVGVKPSPIVAEFSSRGPNLIRSEVLKPDIIAPGVNILAAWSRIKGPTGLDEDDRYVDFNIISGTSMACPHVSGIVALIKSAHPNWSPAAIRSALMTTAYSTYTNGKKLVDEGTNKSSTPFDVGAGHVNPVAALNPGLVYDLKMSDYLDFLCAIKYSSRRIETVVKRKFHCKAHNHYSATHLNYPSFSVVFNQTSGRKGARVVKHKRTLTNVGPAGTYKVSVTSEIPSVKIRVEPKLLSFHEHEKKSYTVTFATSGPAPSRGFGFAHLEWSNGKNVVRSPISILFHSKHHTEVQEPSLNSLHQLW >Vigun03g138300.1.v1.2 pep primary_assembly:ASM411807v1:3:13585398:13588090:1 gene:Vigun03g138300.v1.2 transcript:Vigun03g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQNPTNMEIDLSLKIDADDEQKPEVDEQIKECNEDEEMAQILDHNKEEVPEAAAGEIEDDASVVQTSLQDNTKSKELSVLQMEMESMKEENKVLRKVVEQTMKDYYDLQMKFSAIQENNKRKDHEISLSLQDIATTSGEGPSRILEIVNKKIQSAPSPPNTDDDSLSESELGLSLRLQPSTSQKESDVGNNKEERKDLQLASFVSVQNKLQRTHELPGITTHAISPPNRKARVSVRARCESATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCIDDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNPISDGTSSFTQAPLPYNTFHPLNSASNFRSINPSDPSKGVVLDLTSNLSEPLRFSTGSSSNTTTDTRFSWMQNKYQGGGAITMNNNFHKPRPVDIIHDHRMWKGEESKPLDENVSAIASDPKFRVAVAAAITSLMNKESHTATHPIGTSFGPRSGQNGS >Vigun10g159500.1.v1.2 pep primary_assembly:ASM411807v1:10:37857626:37860462:-1 gene:Vigun10g159500.v1.2 transcript:Vigun10g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAVKY >Vigun10g159500.2.v1.2 pep primary_assembly:ASM411807v1:10:37857626:37860462:-1 gene:Vigun10g159500.v1.2 transcript:Vigun10g159500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAVKY >Vigun10g159500.3.v1.2 pep primary_assembly:ASM411807v1:10:37857626:37860340:-1 gene:Vigun10g159500.v1.2 transcript:Vigun10g159500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLHKLIVSYCDWGGSSRGIRAFMESQLPVFKEKNPQLEVVTELIRGQHPHLKGFYKNKNQRVICVKNMDPEDIFLHASRLRNALGRKVVKLRTRHVTKHPSVQGTWTTAVKY >Vigun08g134800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30601987:30602403:-1 gene:Vigun08g134800.v1.2 transcript:Vigun08g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQRRVKVVVLRHKLVRQVTFKKKKKMVKKLKEWKMVELAQEEQRRMEREEEKRVENMIREAKEELRKLREENRLKELFLDVLQVYDETGEFPNLKDLTKEELQGLLGLIEASMNTIMQQMEELKIDEATVVKECGD >Vigun05g217800.1.v1.2 pep primary_assembly:ASM411807v1:5:40971244:40975949:-1 gene:Vigun05g217800.v1.2 transcript:Vigun05g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MMMHSRIRSVAGSIKCAARLFCSSSATSPAAPSQFSNTLAGLRDRLAEESPSLSDFIALKSESAYSVEVGTKKKPLPKPKWMKEAVPGGEKYVQIKKKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFTETVQKLKLLKPNILIEALAPDFRGDDDCVEKVAKSGLDVFAHNIETVEELQNVVRDRCANFKQSLKVLMMAKEYAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFDKYQTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASPSKLTSS >Vigun03g142801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14408696:14413009:-1 gene:Vigun03g142801.v1.2 transcript:Vigun03g142801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSSFVNVAFDRLASREVVDFFRGRKLDEKLLHNLNIMLHSINSLADDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLDEIDYEHTRCEVEAEAGSEPQTITYKVSNFFNATFSSFNKKIDSRLKEVLKKLEYLARQKGALGLKECTYSSVGSGSNISQKLPSTSLVVESVIYGRDADKEIIFNWLTSETNSRNQPSILSIVGMGGLGKTTLAQHVYNDPKLDNAKFDIKLWVCVSDHFDVLTVTKTILETIDNKKDDSGNLEMVHKKLKEKLSGRKFLLVLDDVWNEKQKEWEAVRTPLSYGAPGSRILVTTRAEKVASNMRSEVHRLKQLQEDECWKVFTKHALKDDDLELNDEQKEIGRRIVEKCKGLPLALKTIGSLLHTKSSISYWKSVLVNDIWDLTKEDSEIIPALFLSYHYLPSHLKRCFAYCAIFPKDYEFLKKELIFLWMAENILQCPQQIRHPVEVGEEYFNDLLSRSFFQQSSAKRHFVMHDLLNDLAKHVCADFCFRLKFDKGKCIPKATRHFSFAFDDVKCFDGFRSLTEAEKLRSFIPITKIGKHFYLDYSWKFKISIHDLCSKMKFLRVLSFDCCSDIREVPCSVGDLKHLRLLDLSRTEIQKLPDSTCLLYNLLILKLNYCSNLEELPSNLHKLTKLCCLEFKRTKVTKMPMHFGELKNIQVLNTFIVDRTSEFNSKQLGRLNLHGRLSIKELQNIINSSDALVMDLKNKTHLVTLKLKWNKNHILDNPRKEKKVLENLQPSKYLETLGINNYGGMEFPNWVFDNSLPNLVFLQLKNCKYILCLPPLGLLSSLKTLKIIGLDGIVSIGAEFYGGSDSSSFKSLERLEFYNMKEWEEWECKTASFPRLQRLSVNKCPKLKGLPEQLHLKKLIICSCEILTISGHSMDPSTLEVLKIYSCPLTNVPITHYDFLEEMEIDGGCDFLTTFPLDFFPKLRFLKLSRCQNLQRISHEHTHDHLKYLIVEKCPQFESFPSEGLSAPWLQGIEIRGAKNLKLLPKHMQTLLPSLTDLHIIDCPQVEMFPDGFPSNVKYVSLSSLKLIASLSEILETNTCLKSLSIEKVDVECFPDEVLLPRSLTSLCICDCPNLKKMDYKGLCHLSSLTLIDCPNLQCLPEEAMLKFISSLTVRGCPLLKQYCQNPEGKDWRKVAHIEELLIE >Vigun04g178300.1.v1.2 pep primary_assembly:ASM411807v1:4:40185799:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKHRNRREIKPSFCNFHSLPIFLSLSLSGLPFITIYISFISMASASISDHSLSTKKKRTNGSAKLKQIKLDVRREQWLSRVKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQGNDSGSIINNSIQNSFDLDESGSDFSGSSSSSSSMSACFSDNVSDKEVDECVDDWEVVADALYANDNLCSVVSESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun04g178300.6.v1.2 pep primary_assembly:ASM411807v1:4:40185799:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun04g178300.3.v1.2 pep primary_assembly:ASM411807v1:4:40185799:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQGNDSGSIINNSIQNSFDLDESGSDFSGSSSSSSSMSACFSDNVSDKEVDECVDDWEVVADALYANDNLCSVVSESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun04g178300.5.v1.2 pep primary_assembly:ASM411807v1:4:40185798:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun04g178300.2.v1.2 pep primary_assembly:ASM411807v1:4:40185797:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKHRNRREIKPSFCNFHSLPIFLSLSLSGLPFITIYISFISMASASISDHSLSTKKKRTNGSAKLKQIKLDVRREQWLSRVKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun04g178300.4.v1.2 pep primary_assembly:ASM411807v1:4:40185798:40188940:1 gene:Vigun04g178300.v1.2 transcript:Vigun04g178300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKGSEVDANERVDHCRTSKNNASEENKSLKENRRKRGEDIAGSCIQESSPVQEPVKNITKPEFKSAVLESHPNHRAWKPDDVLRPQGLPDLSKQHGSELNTNWHGNHKTVPCSWQTIMSQQPPQCPICYEDLDMTDSCFLPCSCGFHLCLFCHKKIVEADGRCPGCRKPYDHAYVNVGFNVGVKVFHFAQSFSMSTGC >Vigun05g246300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43936036:43937361:-1 gene:Vigun05g246300.v1.2 transcript:Vigun05g246300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTKMATNAASPTPTPRSQQQQQRSTQSPRTSSSRPTLRPNPGPTNSFSDRPSTSYASTASAPYSGSTGYRLSSDTSIASRTSLTSLRDTLPENPHIYDFSEICAATNNFLAKRHSSSTPCWRCTLRGADVIVFQRKFIRKLQTNQLQQLLSVVCRSHHVSIIKLLGASVSGDHIYLVYDFVNGASLSDCLRNKNNPRFTVLSTWMSRMQVATDLAHALDYIHNKTGLNINFVHNRIKSSGIIVTEPSFNARVCHFGTAQLCGEIDLENEQLGEISEIGEKFPPSPARSRQFEGVRGYMAPEFQGSGVASQKCDIYAFGVVMLELLSGEEPLKFKYDEKTREFLRTSVIETAKGVIDGGDGSVQVQGKLRKWVDQRLKDSFPVDVAEKLTRVALECVHVDPDKRPNMGHVAGKISKFYLKSRMWSDSIKMPDITVSLGPR >Vigun07g047600.1.v1.2 pep primary_assembly:ASM411807v1:7:4914487:4917146:1 gene:Vigun07g047600.v1.2 transcript:Vigun07g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNALRSAAKLVASSESSISTSVSRGFHSTPMKRMGGGHGHDEPYYIHAKHMYNLDRMKHRGLKMTLAVFSAFSIGVAVPVYAVIFQQKKTASA >Vigun09g009800.2.v1.2 pep primary_assembly:ASM411807v1:9:744392:747050:-1 gene:Vigun09g009800.v1.2 transcript:Vigun09g009800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEDFSQFGISKEEKDKLVGEVIRYMLFKTYHNSGCPIKREELTQVVTKNYHQRNLPTFVINEAKDKLSSVFGYEMKELQRAHPSSKANTRASQQSGADSKSYILTSQLPPDVYEKYVVDQKTAHLSGFTFVVISIVHLAGGKIPEDNLWSQLRRMGLSLGENEGSHPVFGNVKQALELLVQQRKTKLMVLKAILYIMS >Vigun09g009800.1.v1.2 pep primary_assembly:ASM411807v1:9:744392:747049:-1 gene:Vigun09g009800.v1.2 transcript:Vigun09g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEDFSQFGISKEEKDKLVGEVIRYMLFKTYHNSGCPIKREELTQVVTKNYHQRNLPTFVINEAKDKLSSVFGYEMKELQRAHPSSKANTRASQQSGADSKSYILTSQLPPDVYEKYVVDQKTAHLSGFTFVVISIVHLAGGKIPEDNLWSQLRRMGLSLGENEGSHPVFGNVKQALELLVQQRYLQKDKVNGPEGNTLYYELAERALDAPMSDRVKEYISQIVQDNTSVGAA >Vigun03g260400.5.v1.2 pep primary_assembly:ASM411807v1:3:42697049:42700859:-1 gene:Vigun03g260400.v1.2 transcript:Vigun03g260400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCVPPGFRFHPTDEELVGYYLRKKVASRKIDLDVIKEIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYERTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETVENGPPQEEGWVVCRAFRKRTNGQTKTIEGWDRRYTYEEQACGVNSSVMLRQPQRNNISAQNLLYKKETDADNLSFMHAEQLMQLPQLESPSMPLVKKQTSVSLISDNINNDDVSQKELSNTKRVTDWRALDKFVASQLSHEIEERHEIEGVSSFAAHHHTGSDMALLLLQNSNERNKFNPFLSAGSSDCDIGICVFEK >Vigun03g260400.2.v1.2 pep primary_assembly:ASM411807v1:3:42697037:42700861:-1 gene:Vigun03g260400.v1.2 transcript:Vigun03g260400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCVPPGFRFHPTDEELVGYYLRKKVASRKIDLDVIKEIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYERTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETVENGPPQEEGWVVCRAFRKRTNGQTKTIEGWDRRYTYEEQACGVNSSVMLRQPQRNNISAQNLLYKKETDADNLSFMHAEQLMQLPQLESPSMPLVKKQTSVSLISDNINNDDVSQKELSNTKRVTDWRALDKFVASQLSHEIEERHEIEGVSSFAAHHHTGSDMALLLLQNSNERNKFNPFLSAGSSDCDIGICVFEK >Vigun03g260400.4.v1.2 pep primary_assembly:ASM411807v1:3:42697043:42700859:-1 gene:Vigun03g260400.v1.2 transcript:Vigun03g260400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCVPPGFRFHPTDEELVGYYLRKKVASRKIDLDVIKEIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYERTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETVENGPPQEEGWVVCRAFRKRTNGQTKTIEGWDRRYTYEEQACGVNSSVMLRQPQRNNISAQNLLYKKETDADNLSFMHAEQLMQLPQLESPSMPLVKKQTSVSLISDNINNDDVSQKELSNTKRVTDWRALDKFVASQLSHEIEERHEIEGVSSFAAHHHTGSDMALLLLQNSNERNKFNPFLSAGSSDCDIGICVFEK >Vigun03g260400.3.v1.2 pep primary_assembly:ASM411807v1:3:42697042:42701526:-1 gene:Vigun03g260400.v1.2 transcript:Vigun03g260400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCVPPGFRFHPTDEELVGYYLRKKVASRKIDLDVIKEIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYERTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETVENGPPQEEGWVVCRAFRKRTNGQTKTIEGWDRRYTYEEQACGVNSSVMLRQPQRNNISAQNLLYKKETDADNLSFMHAEQLMQLPQLESPSMPLVKKQTSVSLISDNINNDDVSQKELSNTKRVTDWRALDKFVASQLSHEIEERHEIEGVSSFAAHHHTGSDMALLLLQNSNERNKFNPFLSAGSSDCDIGICVFEK >Vigun08g101400.1.v1.2 pep primary_assembly:ASM411807v1:8:25092050:25105423:-1 gene:Vigun08g101400.v1.2 transcript:Vigun08g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSFIRCAQSVVVSVAIILALFHQSCSAKHRRSCPSSSCGEIRDIKYPFRLKGDPGGCGLPSYEFDCVNNRTLLTLFSGKYYVKEIDYNWYKIRLTDAGVVEDTSCSFPRNFLSTRNFSVYDNDPLIIWYRYFPAKVMFLNCSNRVSDDPRYVEVKAGGCDSGGVIYAVLDSREVIDTEFTVMDVKVGCRLKIATFANWTHDRNFSYADILKSLEEGFWLSWLPLVCRDYCGKGISCSLNQTTQQIECAGCEMFNHPVSNCGILSRINQYIRGYVAFVTHGFVNRIKNIKRSLSDYRDGELIGQDILPIFIAVRYLFGLSLLLVLFVYKWRRRHFSLYENIEKFLLDNNINPIRYEYKEVKKMTKGFKVKLGEGGFGSVYKGKLRSGLDVAVKMLNKSKDSGEDFVNEVATIGRIHHVNVVGLIGYYVEEKKRGLIYEYMPNGSLDKYIFSKEGSAPLRHEKIYEISLGIAHGIAYLHQGCDVQILHFDIKPHNILLDNNFTPKVSDFGLARLYPTKDGSIILTAIRGTLGYMAPELFYKNIGGVSYKADIYSFGMLLMEMASRRKNSNPHAEHSSQYYFPFWIHDQFKEDKDIDMEGVSEMDKILMKKMFIVALLCIQFKPSDRPSMNKVVEMLEGKVESLAIPPKPSFYPHEMLENDGTINSPKTSWSDSNSCCVNVDIKIPNHSSLD >Vigun09g177100.1.v1.2 pep primary_assembly:ASM411807v1:9:34911641:34923067:-1 gene:Vigun09g177100.v1.2 transcript:Vigun09g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPEAEAEPSSNHIDSLPLVDLRLMSQPELYTLSISAATHRHRRASDNDSVIPKIDRSNFNESAGSRKQTYSKLRLNKRKQNSAVPASSSYHIPEPVDQENSQIISLLQQLFGVEPLRNALRPDRGDAVDRQLFPVHVEFKQPPPVSVAFQNVPIDVIDASNRKRKRGRPRKNDNSVSVFEEETKKVNEEASAVVTVNERGFGMDADGLDYDPFGEELKRRTEGLETEPLLLEFLETLNGEWASQRKKRRIVQASDLGDLLPTGWKIVITLLRRAGRASVVCRRYVSPGGHQFESFKEASAYLLSVSGVQDKGHLKSSCTDDGAQQLSSSMNMASESSVSHVPAGATKTDANANYLSLAGASIHSGQENQLPISLSIESGNFNSDLPLGCKSGDASDDFGGFDRQTEDKKLLEADKNDGSSVQGCSHVNDIVCNVGSEKLVGAIESTEAACNLYIPLVFSTPFSNNNSDNGQISEDINAATCIKGGISNFASQDKNSGCPETVPCGVDNNGLGLSVKLVEENTQKISFDSSTLAPNSEVNIFAAKSLEDRHLISSQEDMEIADDKVINDDKQLIVCGTDQAEFKDVFSDVKLQSSSEGFSLVTSHCELKHASLSNMDRTQTSELKDSAEENIFDSDLFSSSIDERTCVHSGYISNVSFSSCTQDAVEYGGFDFSSDLKLTKDVSDNHILSNEDAVTRCLQERSSLNDQSSMMDNLLHRSSQSNLFSLTANQHPSAFHDNVNICDGTFDALKGVDAGYMEPQLGIVSCSNIAVDAYTSASIMQGNSQGCVSVPLGGSILNFEKKSDDGVNKASKPCLSEKAQNEVEIFQTDSMGLPKFL >Vigun08g165100.1.v1.2 pep primary_assembly:ASM411807v1:8:33729346:33733405:1 gene:Vigun08g165100.v1.2 transcript:Vigun08g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLSASSSLLRGKGRLSPYLFTLIAFILFVAILYGQDFMCVFDEHLQSYSYSDKLSSTTQRVKQHKVPFAVGKTEEGCDIFSGSWVRDELNRPLYEESECPYIQPQLTCQEHGRPDKDYQHWRWQPHHCDLPKFNASLVLETLRGKRMMFVGDSLNRGQYVSFVCLLHKLIPEDEKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRISDRIVRKGSINKHGKNWKGVDIVVFNTYLWWMTGLKMKVLLGSFDDEVKDIVELSTEDAYRMAMKSMLRWVRLNMDPKKTRVFFTSMSPSHGKSIDWGDEEGGNCYNETSLIDDPNYWGSDCRKSIMEVIGEVFSKSKVPITFLNITQLSSYRRDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >Vigun03g263600.1.v1.2 pep primary_assembly:ASM411807v1:3:43252346:43267342:-1 gene:Vigun03g263600.v1.2 transcript:Vigun03g263600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSMAFAVLCLGVLLQIPWMASVSIPTSNCYAFDNSSRLVDFSGWSGYLFEYDQQQGSDLIVRFCKDVESRSQTGYVGFGRFDKFNNFVAGSGQHDFMQEYYNGDLMGCEQSYDKMGRTAQVNMVCGSCSNGLCKGRPGCICNVTHESNCRVLVDLAIPCDKPGPHVFQGFTVGFHPRSWELVYNGLTQIGFEEPHHDFSFHTGQTQVVLFMTAVASLSSLVQKPSLKVHPDKGLEVRLSGSAIKGMPPTTLSPSMLIVDWRCEVARDTPYEVNITIPVQGYEPIQFVLTKQCDYTQDPGGGRTRGWAIFGVLSCIFLSLQHSFVVEGSFTRRKWNGSVELMLCLA >Vigun03g263600.2.v1.2 pep primary_assembly:ASM411807v1:3:43252346:43263983:-1 gene:Vigun03g263600.v1.2 transcript:Vigun03g263600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYYNGDLMGCEQSYDKMGRTAQVNMVCGSCSNGLCKGRPGCICNVTHESNCRVLVDLAIPCDKPGPHVFQGFTVGFHPRSWELVYNGLTQIGFEEPHHDFSFHTGQTQVVLFMTAVASLSSLVQKPSLKVHPDKGLEVRLSGSAIKGMPPTTLSPSMLIVDWRCEVARDTPYEVNITIPVQGYEPIQFVLTKQCDYTQDPGGGRTRGWAIFGVLSCIFLSLQHSFVVEGSFTRRKWNGSVELMLCLA >Vigun03g263600.3.v1.2 pep primary_assembly:ASM411807v1:3:43253903:43260592:-1 gene:Vigun03g263600.v1.2 transcript:Vigun03g263600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYYNGDLMGCEQSYDKMGRTAQVNMVCGSCSNGLCKGRPGCICNVTHESNCRVLVDLAIPCDKPGPHVFQGFTVGFHPRSWELVYNGLTQIGFEEPHHDFSFHTGQTQVVLFMTAVASLSSLVQKPSLKVHPDKGLEVRLSGSAIKGMPPTTLSPSMLIVDWRCEVARDTPYEVNITIPVQGYEPIQFVLTKQCDYTQDPGGGRTRGWAIFGVLSCIFLSLQHSFVVEGSFTRRKWNGSVELMLCLA >Vigun03g240300.1.v1.2 pep primary_assembly:ASM411807v1:3:40081996:40082979:-1 gene:Vigun03g240300.v1.2 transcript:Vigun03g240300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPLLAYFGIAENESIGRERYSFMQVIFLSGHNFFLLFPFNSVSFFVLLYNRGVLSSTSDIESGLYLYPLVCFTVILIKDKC >Vigun07g252700.1.v1.2 pep primary_assembly:ASM411807v1:7:37154533:37159067:-1 gene:Vigun07g252700.v1.2 transcript:Vigun07g252700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSPPLSASFIHDSSFLHGTKLFPLSRRVPPRGFSSFSAKCSLDNIPKQFRQENLIDGLTHNYKNVPQYLYGLSPSQMDMFMTEDVIRRQSERVTEESISSAKNYIDNGGTWSLSGMGKNDASRYSMSTRMYQGGWGSERPQTAPPDLPSLLLDARICYLGMPIVPAVTELIVAQFMWLDYDNSTKPIYFYINSSGTQNEKHETVGSETDAYSIADMMSYVKADVYTVNCGMAFGQAAMLLSLGTKGYRAVQPNSSTKLYLPKVNRSSGAVIDMWIKAKELEANSDYYIDLLVKGTGKSKEEITKDVQRPKYLQAQQAIDYGLADKMIQSSSTDAAFEKRNYDEILAQSSMRNQGGVDPQASPSGFR >Vigun10g078700.1.v1.2 pep primary_assembly:ASM411807v1:10:21399084:21403604:-1 gene:Vigun10g078700.v1.2 transcript:Vigun10g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSFNEHMAALRRLGVKGVEIRKPEQLHTVTSLIIPGGESTTMAKLAEYHNLFPALREFVKMGKPVWGTCAGLIFLANKAIGQKTGGQDLVGGLDCTVHRNFFGSQIQSFEAELSVPQLASQEGGAETFRGVFIRAPAILEAGPEVQVLADYPVSSDRLLNLDTSTGDKKENGEEESKVIVAVRQGNILGTAFHPELTADTRWHSYFLKMSNETGEEVSLSSLVPAQVGTSHYQLTRSDLPIFQ >Vigun09g070700.1.v1.2 pep primary_assembly:ASM411807v1:9:7704068:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSLSSITVLDIESLGIAKEDATTLHQSLTEIIKIHGDGTPATWQHISSSLLNPELPFPFHQMLYYGCYKDFGPDPPAWIPDPKSAALTNVWQLLERKGKEFMGSAYKDPITSFDDFLKFSVSNPEICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.6.v1.2 pep primary_assembly:ASM411807v1:9:7704159:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.7.v1.2 pep primary_assembly:ASM411807v1:9:7704144:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.5.v1.2 pep primary_assembly:ASM411807v1:9:7704339:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSLSSITVLDIESLGIAKEDATTLHQSLTEIIKIHGDGTPATWQHISSSLLNPELPFPFHQMLYYGCYKDFGPDPPAWIPDPAALTNVWQLLERKGKEFMGSAYKDPITSFDDFLKFSVSNPEICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.2.v1.2 pep primary_assembly:ASM411807v1:9:7704339:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSLSSITVLDIESLGIAKEDATTLHQSLTEIIKIHGDGTPATWQHISSSLLNPELPFPFHQMLYYGCYKDFGPDPPAWIPDPKSAALTNVWQLLERKGKEFMGSAYKDPITSFDDFLKFSVSNPEICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.8.v1.2 pep primary_assembly:ASM411807v1:9:7704356:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.9.v1.2 pep primary_assembly:ASM411807v1:9:7706880:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.3.v1.2 pep primary_assembly:ASM411807v1:9:7704159:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSLSSITVLDIESLGIAKEDATTLHQSLTEIIKIHGDGTPATWQHISSSLLNPELPFPFHQMLYYGCYKDFGPDPPAWIPDPKSAALTNVWQLLERKGKEFMGSAYKDPITSFDDFLKFSVSNPEICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun09g070700.4.v1.2 pep primary_assembly:ASM411807v1:9:7704159:7715351:1 gene:Vigun09g070700.v1.2 transcript:Vigun09g070700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSLSSITVLDIESLGIAKEDATTLHQSLTEIIKIHGDGTPATWQHISSSLLNPELPFPFHQMLYYGCYKDFGPDPPAWIPDPAALTNVWQLLERKGKEFMGSAYKDPITSFDDFLKFSVSNPEICWKSVFDEMDISFSKPPECILRDSPTGEGPLSHPGGQWLPGASINPAQNCININGKRGLNDTVIIWREEQHDDLPLQRMTLKELREEVWLVAYALNSLDLEKGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAASEISNRLRISNAKVVFTQDLIIRGDKSIPLYRRVVDAESPMAVVIPTKGSEFSMKLRDSDLSWHDFLEKVYSLKGKEFIATEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWFNMDIRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYVGSPLGSGFAKFVQDAKVTMLGVIPSIVRSWKSANSTSGYDWSSIRCFGSSGEASNVDEYLWLMGRALYKPVIEYCGGTEIGGGFVCGSLLQSQALAAFSTPAICCSLYILGDNGHPIPQNVPGIGELALGSLMLGASNTLLNADHYDVYFKGMPLLNGKVLRRHGDVFERTARGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDNSVLETAAIGVPPSGGGPELLTIVVVFKDANFAKQDLQQLRMSFNSALQKKLNPLFRVSQVVALPSLPRTASNKVMRRVLRQQLTETNQSSKI >Vigun08g042000.2.v1.2 pep primary_assembly:ASM411807v1:8:4334355:4339810:-1 gene:Vigun08g042000.v1.2 transcript:Vigun08g042000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTEEAVCLISNVKHSPMENDVENKLNNHTEQQHQQDEIEDKEDEDVSSSLDSEISDALDLLDSKEEGVGYSFSPSSRRPNSHGGHRHYSSTLQPRANRNQKFSDRIQASPLEEWEGRMNVGMSNSVITAIRGSVRESAIGKTKTTDKADRATAERAIDHKTRMILYKMLNKGAFHDISGCISTGKEANVYHATQSQGQPLAVKIYKTSVLEFKLKAAGIRCPTPYLLRLNVLVMEFIGKYGCAAPRLKDAALSLDKLREGYVEIIIAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVEHEHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDATIADDAVDSYLEEMQRKILARGDVSVEDEIADSVFVQSFIPKTLDDVENAEEDVQRITSWKDTKDLYYLTITGLKHALSLTQSSQQKTLLQKSSATKDSPIISDDKSNSLEDDADVQSDEEQDDESDSEEDSSSESETMDPANTKAARKEARKDNKRKVKEEKREARKTKVHKAVKKERKSWLKHARPDRLDSSYLMFDFIYNLIQ >Vigun08g042000.1.v1.2 pep primary_assembly:ASM411807v1:8:4334355:4339810:-1 gene:Vigun08g042000.v1.2 transcript:Vigun08g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTEEAVCLISNVKHSPMENDVENKLNNHTEQQHQQDEIEDKEDEDVSSSLDSEISDALDLLDSKEEGVGYSFSPSSRRPNSHGGHRHYSSTLQPRANRNQKFSDRIQASPLEEWEGRMNVGMSNSVITAIRGSVRESAIGKTKTTDKADRATAERAIDHKTRMILYKMLNKGAFHDISGCISTGKEANVYHATQSQGQPLAVKIYKTSVLEFKDRARFMQGDFRFKNGYCKHTKTMVEIWAKKERGNLMRLKAAGIRCPTPYLLRLNVLVMEFIGKYGCAAPRLKDAALSLDKLREGYVEIIIAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVEHEHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDATIADDAVDSYLEEMQRKILARGDVSVEDEIADSVFVQSFIPKTLDDVENAEEDVQRITSWKDTKDLYYLTITGLKHALSLTQSSQQKTLLQKSSATKDSPIISDDKSNSLEDDADVQSDEEQDDESDSEEDSSSESETMDPANTKAARKEARKDNKRKVKEEKREARKTKVHKAVKKERKSWLKHARPDRLDSSYLMFDFIYNLIQ >Vigun07g054200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5799260:5802184:-1 gene:Vigun07g054200.v1.2 transcript:Vigun07g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPVLLSLTLFLSLPLLLLLSPHLLFSPPDADDLALFRRAAAPHTTSHLSSTPKIAFLFLTNSNLTFSPLWETFFSASHTHLFNIYIHAHPPIQPPGGPFPRSRFIPSKPTSRASPSLIAAARRLIAAALLHDPLNLYFALLSQHCIPLHSLPFTHNYLFKNPTFPHRSFIEILSQDPNLEARYDARPPMLPEVPFSSFRVGSQFFILTRRHARIVVRDRRLWNKFRLPCLTPEPCYPEEHYFPTLLSMEDPNGSTGFTLTRVNWTGSWDGHPHTYTAPEISPELIHRLRHSNSSYVYLFARKFAPECLQPLMEIAHDVIFRD >Vigun04g091700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:16938973:16939770:-1 gene:Vigun04g091700.v1.2 transcript:Vigun04g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELCSENCGVSSMSPRISFSHDFSQSDVIPVEKHPLRSNSSGLSSSIDFNFCVQESLELESSSADELFSHGVILPTQIKKKSLNHVLLKQKSQQLAPPSQPPLPPSKSVCDNAPSTTRKSLKKESHKDSKDLNEEADEKHSSKSFWRFKRSSSCGSGYGRSLCPLPLLSRSNSTGSSPSVKRIPLSKEGHQVKQNSQKRSSPISRSHSQSLASHNNHQKPPLKRSHGSYANGIRVSPVLNVPSANLFGFGSIFSNNRDKSKKK >Vigun07g101100.1.v1.2 pep primary_assembly:ASM411807v1:7:17891209:17892111:1 gene:Vigun07g101100.v1.2 transcript:Vigun07g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHISNHQETPVSYAAQSEVYSSAPYVTAPPPMGYPSKDGSVGYSQQRVPEETTSRGDGFWKGCCAALCCCWVLDCCF >Vigun07g101100.2.v1.2 pep primary_assembly:ASM411807v1:7:17891209:17892111:1 gene:Vigun07g101100.v1.2 transcript:Vigun07g101100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHISNHQETPVSYAAQSEVYSSAPYVTAPPPMGYPSKDGSVGYSQQRVPEETTSRGDGFWKGW >Vigun01g078925.1.v1.2 pep primary_assembly:ASM411807v1:1:22222189:22223504:-1 gene:Vigun01g078925.v1.2 transcript:Vigun01g078925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGVLYRKNKTLKFSKKKNYIEESVRQLSDHPKPLLTRNDPLQLKSFLIKEEGHLAVNHALITESWFIHQISVPVSLELKDTEVQAYRTDPVQVRCL >Vigun09g132500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29103857:29105619:1 gene:Vigun09g132500.v1.2 transcript:Vigun09g132500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTQIMALHHRFLLDSNSSTPAPSNVNRTREAFTGDANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETPEETAARLVAKGLKKSALHQIPIVVYGSGSVGIAATDCPICLGEFLDGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRQSLLDQPTISGAVAAGNNNYAGNASGGPGHVSLAVVVEEIG >Vigun05g114600.2.v1.2 pep primary_assembly:ASM411807v1:5:12126693:12136481:-1 gene:Vigun05g114600.v1.2 transcript:Vigun05g114600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENEAAEDAKVVVEEEECAEKMVYMWGYLPGASLEKSPILSPVPVPLSDPSLAGDSWKDVCGGGCGFATAISEKGKLITWGSSDDESQSYLTSGKHGEIPGPFQLPTEASVVKAAAGWAHCATVTDVGEVYAWGWKECVPSGKVITDFITMGSLQKDTTGKQSSSIAEQGSPQSSNTSSGSDSHLDKKSGDEVVKRRKISFTRQDSDSQASGDEFFTVSPSLVTLGNEVKITSVAVGGRHTLALSDVGQVWGWGYGGEGQLGLGSRVKMVSSPHLVPCIESASGKDKSSAFHQGSGAGAQGSNILGSYVMEIACGGRHSVVITNTGALLTFGWGLYGQCGQGNNADQLRPTQVSSFLGTRVKKIAAGLWHTLCVTINGQIYAFGGNQFGQLGTGSDQPEDTKI >Vigun05g114600.1.v1.2 pep primary_assembly:ASM411807v1:5:12125286:12136481:-1 gene:Vigun05g114600.v1.2 transcript:Vigun05g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENEAAEDAKVVVEEEECAEKMVYMWGYLPGASLEKSPILSPVPVPLSDPSLAGDSWKDVCGGGCGFATAISEKGKLITWGSSDDESQSYLTSGKHGEIPGPFQLPTEASVVKAAAGWAHCATVTDVGEVYAWGWKECVPSGKVITDFITMGSLQKDTTGKQSSSIAEQGSPQSSNTSSGSDSHLDKKSGDEVVKRRKISFTRQDSDSQASGDEFFTVSPSLVTLGNEVKITSVAVGGRHTLALSDVGQVWGWGYGGEGQLGLGSRVKMVSSPHLVPCIESASGKDKSSAFHQGSGAGAQGSNILGSYVMEIACGGRHSVVITNTGALLTFGWGLYGQCGQGNNADQLRPTQVSSFLGTRVKKIAAGLWHTLCVTINGQIYAFGGNQFGQLGTGSDQPETSPRQLDASRFENRNSSIVSCGARHSAVLTDDGHLFTWGWNKYGQLGLGDSADRNIPGQVSIAGCRPRNVACGWWHTLLLVDKTD >Vigun07g248800.1.v1.2 pep primary_assembly:ASM411807v1:7:36832703:36834020:-1 gene:Vigun07g248800.v1.2 transcript:Vigun07g248800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYTALIFFIGFVSFSLFQDSAASVVSTGNFNKDFFVIWSPNHVNTSADGRTRSLKLDQESGTGFSSNQMFLFGQNDMQIKLVPGDSAGTVVAYYLTSDQPKRDEVDFEFLGNVAGQPYILQTNVFADGTGEREERIHLWFDPTKNFHTYSVLWNINQIVFMVDTIPVRVYRNHADKGVPFPMWQPMSLKSTLWNGTWATRGGQDKIDWTKGPFVASFRNYKIDACVWKGDPKICSAAGPGNWWNQNRFSTLTPAQIRLFKWVRKNYMIYDYCQDTQRFHNNLPRECSLPRY >Vigun07g028300.1.v1.2 pep primary_assembly:ASM411807v1:7:2572528:2575813:-1 gene:Vigun07g028300.v1.2 transcript:Vigun07g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFPMLFQNLHAVMAETEPQTYVQLFFLLLLSTIVVRAVFWFTRRHPRRPPGPPSLPVIGHLHLISSLPHRSFHSLATRYGPIMQLFLGSVSCVVVSSPELAKEFLKTHENSFSNRFVSSAVHQLSYGSKGFLFARYGSFWKFMKKICMSELLGSRTLDQFLHVREQETRRFLRVLRRKGEAREVVDVGGELLTLTNSVISRMVLGRRCCESEGEVAEVRKMVEDTVELAGKLNVGDFVWVCKWLDLQRMKKRVEEIVERFDRMMERVIREHVEERQKRGEVRDLLHILLDIHEDESREMKLSRENIKAFILDILMAGTDTSAITMEWALAELIRNPNVMERAREEIDSVTGNNSRVIAESDIVNLPYMRSIVKETLRLHPTTPMIGRESSEKMKVCGYEIGEKTWLLVNLWAMGRDPKVWEEALEFRPERFMGEEKGFDVRGQNYELMPFGTGRRVCPGASLALQVVTSNLAAMVQCFDWKVEGSVGMEEKPSMTLPRAHPLLCVPVPRFSLSFC >Vigun09g038600.1.v1.2 pep primary_assembly:ASM411807v1:9:3446505:3449258:1 gene:Vigun09g038600.v1.2 transcript:Vigun09g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMKSIWKSIFPGCYKGEYPSPKPKKVVATKPNSSHRISVTDLSYPSTTLSEDLSISLAGTNLHVFSLAELKIITQSFSSSNFLGEGGFGPVHKGFIDDKLRHGLKAQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHPHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFRRFTASLPWSTRMKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYVMTGHLTAMSDVYSFGVVLLELLTGRRSVDKNRPPREQNLVEWARPMLNDSRKLGRIMDPRLEGQYSEMGTKKAAALAYQCLSHRPRSRPSMSTVVKTLEPLQDFDDIPIGTFVYTAPQDHNNNEVQNNAKDQLETPRRREHNSNNGQHHRRNHNLNRHHPLKSPKSPKPQSHTHLRSVNNDHNNNNNRHRNGRGSGSNSPPSHVKRPGIVT >Vigun04g199400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42361002:42361606:1 gene:Vigun04g199400.v1.2 transcript:Vigun04g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSKILRTLCCLLILALQLKGSESNDQLVPDKIIVDQKVTIEITNKLDSKGGGVPPLSVHCRDKFHDLHPVTLKFGETFRFRILPNIFASVTLYYCRFVWSGGNHRFDIYVQERDYECHHGLCYWEIFNSGPCGTIQHESHSSVCFLWNKDSTQKNNTISS >Vigun01g129800.1.v1.2 pep primary_assembly:ASM411807v1:1:30755145:30757309:-1 gene:Vigun01g129800.v1.2 transcript:Vigun01g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIQLPLSQTQKVRLQKTLEQLESLSSKVNSDASVIIADSIPVNHEDGVLKGHGTADLNGEVVATVCGVVERVNKLVYVRSLRSRYKPEVGDIVIGRVAEVAQKRWRLDINFNQDAVLMLSSMNMPDGVQRRRTALDELNMRCIFEENDVVCAEVRGFQHDGLHLQARSRKYGKLYRGQLLTVPPYLVKRQKQHFHHLEEFGIDLILGCNGFIWVGEHIEAKDDMVEDQVSQSDPQVLLHNSASLEEQERNYTILETRKYICRAANAVRVLSILGFNITLEIIKGIIDLSLSMNLDIHEMLGSEFCVLVAEKEAERRSSNKKKR >Vigun10g097300.2.v1.2 pep primary_assembly:ASM411807v1:10:28510355:28515398:1 gene:Vigun10g097300.v1.2 transcript:Vigun10g097300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKTIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >Vigun10g097300.1.v1.2 pep primary_assembly:ASM411807v1:10:28510007:28515398:1 gene:Vigun10g097300.v1.2 transcript:Vigun10g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLQKHPSGIVPTLQNIVSTVNLDCKLDLKTIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >Vigun07g147800.1.v1.2 pep primary_assembly:ASM411807v1:7:25865111:25871206:-1 gene:Vigun07g147800.v1.2 transcript:Vigun07g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METASDDYGAFMEKFTLPPNSAPDVPLNSLTFAIKDIFDVEGYVTGFGNPDWARTHPVATSTAPTVLALLGAGATCVGKTVMDEMAYSINGENIHYGTPRNPCAADRVPGGSSSGSAVAVGAKLVDFSLGTDTGGSVRVPASYCGIFGFRPSHGVIPVSGVIPMAQSFDTVGWFARDPMLLSKVGGVILQLPDVAPARPSCFIIAEDCFQLSFIPYDVATQTVVKAIEKFYGGDVIKQEILGDYVKTNVPSLKHFMSEENTDQIFNIPSLSAVSSAMRLLQSYEFKNNHGEWITAVNPDLGPGISERVSDALKTTGENIDTCYSVKRELHDALAALLGDFGVLMIPTVPGPPPKLQTNASELEIFRARAFSLLSIAGVSGFCQVSIPLGMYNDLPLSISLVARHGADKFLLHLVENLYPSIKEQKAA >Vigun05g160600.1.v1.2 pep primary_assembly:ASM411807v1:5:25630370:25631098:-1 gene:Vigun05g160600.v1.2 transcript:Vigun05g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIKYAVFTHKSIRLLGKNQYTFNVESGSTKTEIKHWIELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRHMIITMVIHLYKTSTPSTRNGTVDSQIKSNPRNHLIYGQHRCGKGRNAGGIITVGHRWEGHKRLYRQIDFRRNEKNIYGRIVTIEYDRNRNASIGLIHYGDGEKKYILHPRGAIIGDTIISGTKVPIKMGNTLPLSAVLTIDLRDWK >Vigun09g062900.1.v1.2 pep primary_assembly:ASM411807v1:9:6559235:6562857:1 gene:Vigun09g062900.v1.2 transcript:Vigun09g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKLPKKLPKSDTSDSAQSDSGSATTFANVFQYSNVGVAISSKLNAVKRVSSVVFPASLSAGVEAVDPHISFKDVSNIQKQNLFISKLNLCCSVYDKNCTEQDVKHQILVELVDFVSSGSAKFTEPSIAAMCKMCSSNLFRVFPPKFRPSASGVETEDEELMFDPSWSHLKIVYDLLLQFINYNALDLKVAKLHIDNAFIVRLLDLFDSEDPRERDCLKAILHRIYGKFMVHRPFIRKCVSNIIYRFVFETERHNGIAELLEIFGSVICGFALPLKEEHKMFLFRVLMPLHKPKSVGSYHQQLTYCVLQFIDKDPTLASTVITGLLKYWPVTNSQKELMFISELEEVLDATIMTEFQKVMVPLFRRIACCLNSYHYQVAERAHLLWNNEHIFDVVSQNREVILPLVFTALVHNTQYHWNRAVLNQTENMRKMLSQMDEELVLACQLKLKEEDSRSSAAAEQRRVTWERLEAAATANIQPIAVAGDVLASPACSVAC >Vigun09g062900.2.v1.2 pep primary_assembly:ASM411807v1:9:6558863:6562902:1 gene:Vigun09g062900.v1.2 transcript:Vigun09g062900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKLPKKLPKSDTSDSAQSDSGSATTFANVFQYSNVGVAISSKLNAVKRVSSVVFPASLSAGVEAVDPHISFKDVSNIQKQNLFISKLNLCCSVYDKNCTEQDVKHQILVELVDFVSSGSAKFTEPSIAAMCKMCSSNLFRVFPPKFRPSASGVETEDEELMFDPSWSHLKIVYDLLLQFINYNALDLKVAKLHIDNAFIVRLLDLFDSEDPRERDCLKAILHRIYGKFMVHRPFIRKCVSNIIYRFVFETERHNGIAELLEIFGSVICGFALPLKEEHKMFLFRVLMPLHKPKSVGSYHQQLTYCVLQFIDKDPTLASTVITGLLKYWPVTNSQKELMFISELEEVLDATIMTEFQKVMVPLFRRIACCLNSYHYQVAERAHLLWNNEHIFDVVSQNREVILPLVFTALVHNTQYHWNRAVLNQTENMRKMLSQMDEELVLACQLKLKEEDSRSSAAAEQRRVTWERLEAAATANIQPIAVAGDVLASPACSVAC >Vigun02g113400.1.v1.2 pep primary_assembly:ASM411807v1:2:26734856:26744252:1 gene:Vigun02g113400.v1.2 transcript:Vigun02g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKGVGNSVDGLKASDVEPRLVFHQGIPSGGTKFAYDNIKKILALSTKDGRIKLFGKDNAQVLLESREPVPSKFLQFIQNRGILINVSFNNHIEVWDIDKKLLVDVFIVKEEITCFSVLQHSLFMFIGFSNGNIAVLSLDQEPWHVVRMKYSIPLSASYGNSTEESDDTVVTHVLPQPAAESQRVLIIFRNGQIILWDIRESRSIFRTGGRMLQARYNETKKVSCACWVCPFGSKVVVGYNNGELFIWSIPTLNTGNNLTADYSSQNTPMFKFNLGYKSDKTSIRSVKWIYAEGRASRLYVMGGSDHAPSNLLQVVLLNEHTESRTIKMGLHLSEGSVDMEIISTSSKQRQNYFILLGKSGNVYLYDDNLIEKYLLQSQSKSTPSLPKEVLVKLPLSDSSITAAKFISNNPNLFSSEDEYYSQLVKNYPPLIPIETNLKDGINFSAANFTGFSNLRNLYITGHSNGAITFWDASCPFFTPILQLKQQAENDVSLSVVPLTELYFDSNSPLLVSGDQSGMVRIYRFKPEPYASNSFMSLTGNTKKGTDHVIHSMKLVKTSGAVICMNMDHNSRHLAVGSDQGNVSVINIDDPSLLYRKNISSEISSSIVSLQFKTCSLRGFEKNILLVGTKDSSVVALDSETGNPLNTEAIHPKKPSKAIFMQVLDGVAEPITGSVTKDGLDSREGNRTEDATAKQLYILLCSEKALYVYSFVHAVQGVKKVLYKKKFHSSSCCWASTIYNVSDISLILLFTSGKMELRSLPALSLIVETSIRGFTYSPPKLKSYSDSHICCSPKGDIVLVNGDQEIFVVSLLAQRNIFRLLDSVSCIYRKETMSSAEELVPGPVIDKEKKKGILSFVIKDFTSSKQKHGPLMGKEDPKENIRELSAVFSNANFACYDNDDKPTVDESELELNIDDIDLEDHGEKHKEQSILGALNKKKLVGKFQSLKGRLKEMKGNYQKASDKEGHQGEKDGALDQIKMKYGFSSSSNETTAAKQAQIKLHENTWKLQGTNLRAREMQDTAKSFSSLAKQVLRTAEQDRQS >Vigun02g113400.5.v1.2 pep primary_assembly:ASM411807v1:2:26734855:26744252:1 gene:Vigun02g113400.v1.2 transcript:Vigun02g113400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKGVGNSVDGLKASDVEPRLVFHQGIPSGGTKFAYDNIKKILALSTKDGRIKLFGKDNAQVLLESREPVPSKFLQFIQNRGILINVSFNNHIEVWDIDKKLLVDVFIVKEEITCFSVLQHSLFMFIGFSNGNIAVLSLDQEPWHVVRMKYSIPLSASYGNSTEESDDTVVTHVLPQPAAESQRVLIIFRNGQIILWDIRESRSIFRTGGRMLQARYNETKKVSCACWVCPFGSKVVVGYNNGELFIWSIPTLNTGNNLTADYSSQNTPMFKFNLGYKSDKTSIRSVKWIYAEGRASRLYVMGGSDHAPSNLLQVVLLNEHTESRTIKMGLHLSEGSVDMEIISTSSKQRQNYFILLGKSGNVYLYDDNLIEKYLLQSQSKSTPSLPKEVLVKLPLSDSSITAAKFISNNPNLFSSEDEAENDVSLSVVPLTELYFDSNSPLLVSGDQSGMVRIYRFKPEPYASNSFMSLTGNTKKGTDHVIHSMKLVKTSGAVICMNMDHNSRHLAVGSDQGNVSVINIDDPSLLYRKNISSEISSSIVSLQFKTCSLRGFEKNILLVGTKDSSVVALDSETGNPLNTEAIHPKKPSKAIFMQVLDGVAEPITGSVTKDGLDSREGNRTEDATAKQLYILLCSEKALYVYSFVHAVQGVKKVLYKKKFHSSSCCWASTIYNVSDISLILLFTSGKMELRSLPALSLIVETSIRGFTYSPPKLKSYSDSHICCSPKGDIVLVNGDQEIFVVSLLAQRNIFRLLDSVSCIYRKETMSSAEELVPGPVIDKEKKKGILSFVIKDFTSSKQKHGPLMGKEDPKENIRELSAVFSNANFACYDNDDKPTVDESELELNIDDIDLEDHGEKHKEQSILGALNKKKLVGKFQSLKGRLKEMKGNYQKASDKEGHQGEKDGALDQIKMKYGFSSSSNSGASGNNRC >Vigun02g113400.2.v1.2 pep primary_assembly:ASM411807v1:2:26734856:26744252:1 gene:Vigun02g113400.v1.2 transcript:Vigun02g113400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKGVGNSVDGLKASDVEPRLVFHQGIPSGGTKFAYDNIKKILALSTKDGRIKLFGKDNAQVLLESREPVPSKFLQFIQNRGILINVSFNNHIEVWDIDKKLLVDVFIVKEEITCFSVLQHSLFMFIGFSNGNIAVLSLDQEPWHVVRMKYSIPLSASYGNSTEESDDTVVTHVLPQPAAESQRVLIIFRNGQIILWDIRESRSIFRTGGRMLQARYNETKKVSCACWVCPFGSKVVVGYNNGELFIWSIPTLNTGNNLTADYSSQNTPMFKFNLGYKSDKTSIRSVKWIYAEGRASRLYVMGGSDHAPSNLLQVVLLNEHTESRTIKMGLHLSEGSVDMEIISTSSKQRQNYFILLGKSGNVYLYDDNLIEKYLLQSQSKSTPSLPKEVLVKLPLSDSSITAAKFISNNPNLFSSEDEYYSQLVKNYPPLIPIETNLKDGINFSAANFTGFSNLRNLYITGHSNGAITFWDASCPFFTPILQLKQQAENDVSLSVVPLTELYFDSNSPLLVSGDQSGMVRIYRFKPEPYASNSFMSLTGNTKKGTDHVIHSMKLVKTSGAVICMNMDHNSRHLAVGSDQGNVSVINIDDPSLLYRKNISSEISSSIVSLQFKTCSLRGFEKNILLVGTKDSSVVALDSETGNPLNTEAIHPKKPSKAIFMQVLDGVAEPITGSVTKDGLDSREGNRTEDATAKQLYILLCSEKALYVYSFVHAVQGVKKVLYKKKFHSSSCCWASTIYNVSDISLILLFTSGKMELRSLPALSLIVETSIRGFTYSPPKLKSYSDSHICCSPKGDIVLVNGDQEIFVVSLLAQRNIFRLLDSVSCIYRKETMSSAEELVPGPVIDKEKKKGILSFVIKDFTSSKQKHGPLMGKEDPKENIRELSAVFSNANFACYDNDDKPTVDESELELNIDDIDLEDHGEKHKEQSILGALNKKKLVGKFQSLKGRLKEMKGNYQKASDKEGHQGEKDGALDQIKMKYGFSSSSNSGASGNNRC >Vigun02g113400.3.v1.2 pep primary_assembly:ASM411807v1:2:26734856:26743102:1 gene:Vigun02g113400.v1.2 transcript:Vigun02g113400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKGVGNSVDGLKASDVEPRLVFHQGIPSGGTKFAYDNIKKILALSTKDGRIKLFGKDNAQVLLESREPVPSKFLQFIQNRGILINVSFNNHIEVWDIDKKLLVDVFIVKEEITCFSVLQHSLFMFIGFSNGNIAVLSLDQEPWHVVRMKYSIPLSASYGNSTEESDDTVVTHVLPQPAAESQRVLIIFRNGQIILWDIRESRSIFRTGGRMLQARYNETKKVSCACWVCPFGSKVVVGYNNGELFIWSIPTLNTGNNLTADYSSQNTPMFKFNLGYKSDKTSIRSVKWIYAEGRASRLYVMGGSDHAPSNLLQVVLLNEHTESRTIKMGLHLSEGSVDMEIISTSSKQRQNYFILLGKSGNVYLYDDNLIEKYLLQSQSKSTPSLPKEVLVKLPLSDSSITAAKFISNNPNLFSSEDEYYSQLVKNYPPLIPIETNLKDGINFSAANFTGFSNLRNLYITGHSNGAITFWDASCPFFTPILQLKQQAENDVSLSVVPLTELYFDSNSPLLVSGDQSGMVRIYRFKPEPYASNSFMSLTGNTKKGTDHVIHSMKLVKTSGAVICMNMDHNSRHLAVGSDQGNVSVINIDDPSLLYRKNISSEISSSIVSLQFKTCSLRGFEKNILLVGTKDSSVVALDSETGNPLNTEAIHPKKPSKAIFMQVLDGVAEPITGSVTKDGLDSREGNRTEDATAKQLYILLCSEKALYVYSFVHAVQGVKKVLYKKKFHSSSCCWASTIYNVSDISLILLFTSGKMELRSLPALSLIVETSIRGFTYSPPKLKSYSDSHICCSPKGDIVLVNGDQEIFVVSLLAQRNIFRLLDSVSCIYRKETMSSAEELVPGPVIDKEKKKGILSFVIKDFTSSKQKHGPLMGKEDPKENIRELSAVFSNANFACYDNDDKPTVDESELELNIDDIDLEDHGEKHKEQSILGALNKKKLVGKFQSLKGRLKEMKGNYQKASDKEGHQGEKDGALDQIKMKYGFSSSSNVRI >Vigun02g113400.4.v1.2 pep primary_assembly:ASM411807v1:2:26734855:26744252:1 gene:Vigun02g113400.v1.2 transcript:Vigun02g113400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKGVGNSVDGLKASDVEPRLVFHQGIPSGGTKFAYDNIKKILALSTKDGRIKLFGKDNAQVLLESREPVPSKFLQFIQNRGILINVSFNNHIEVWDIDKKLLVDVFIVKEEITCFSVLQHSLFMFIGFSNGNIAVLSLDQEPWHVVRMKYSIPLSASYGNSTEESDDTVVTHVLPQPAAESQRVLIIFRNGQIILWDIRESRSIFRTGGRMLQARYNETKKVSCACWVCPFGSKVVVGYNNGELFIWSIPTLNTGNNLTADYSSQNTPMFKFNLGYKSDKTSIRSVKWIYAEGRASRLYVMGGSDHAPSNLLQVVLLNEHTESRTIKMGLHLSEGSVDMEIISTSSKQRQNYFILLGKSGNVYLYDDNLIEKYLLQSQSKSTPSLPKEVLVKLPLSDSSITAAKFISNNPNLFSSEDEAENDVSLSVVPLTELYFDSNSPLLVSGDQSGMVRIYRFKPEPYASNSFMSLTGNTKKGTDHVIHSMKLVKTSGAVICMNMDHNSRHLAVGSDQGNVSVINIDDPSLLYRKNISSEISSSIVSLQFKTCSLRGFEKNILLVGTKDSSVVALDSETGNPLNTEAIHPKKPSKAIFMQVLDGVAEPITGSVTKDGLDSREGNRTEDATAKQLYILLCSEKALYVYSFVHAVQGVKKVLYKKKFHSSSCCWASTIYNVSDISLILLFTSGKMELRSLPALSLIVETSIRGFTYSPPKLKSYSDSHICCSPKGDIVLVNGDQEIFVVSLLAQRNIFRLLDSVSCIYRKETMSSAEELVPGPVIDKEKKKGILSFVIKDFTSSKQKHGPLMGKEDPKENIRELSAVFSNANFACYDNDDKPTVDESELELNIDDIDLEDHGEKHKEQSILGALNKKKLVGKFQSLKGRLKEMKGNYQKASDKEGHQGEKDGALDQIKMKYGFSSSSNETTAAKQAQIKLHENTWKLQGTNLRAREMQDTAKSFSSLAKQVLRTAEQDRQS >Vigun06g125150.2.v1.2 pep primary_assembly:ASM411807v1:6:25212389:25215742:1 gene:Vigun06g125150.v1.2 transcript:Vigun06g125150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSVKAFRGTSLVSFREFYMKDGKLLPGSKGISLPSEQWSIFKKSVPAIEEAIIKMEGRIRSELNGKQNEDGSNSVVAAAPLEPVIPVAPLEPVIPVAPLEPIVPIEVVRFDGKNFHFWAQQIKSLLKQLKIEYVLTEPCPNAALGEGAKAEDIAAAKAAERRWLNDDVVCCRNILSHLSDPLFNQYVNRKMSSKELWEELKMVYQYEEFGTKRSQVKKYIEFQIDDEKTVIEQIRELNGIADSIAASGIFIDDNFHVSVIISKLPPSWKDFCIRLLREEYLPFWKLMECIQVEEEFRCGVQRGGEHSYSMGFHQGNRGGGQRRVDYKPLGICRNRPEINARSLSCNICGKRGHISKNCWRRTDRQTNERRAEEDVSTPTTEVDTLPSIPTE >Vigun06g125150.1.v1.2 pep primary_assembly:ASM411807v1:6:25212389:25215742:1 gene:Vigun06g125150.v1.2 transcript:Vigun06g125150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESETQRKIEETVLDILNKSNMEEATEFGIRLAASERLGIDLSDSINKHFVRSIVESYLLSIMANGKAEKKENVVKVEADEETEALKLKREDPERVICHLSSRRNVSVKAFRGTSLVSFREFYMKDGKLLPGSKGISLPSEQWSIFKKSVPAIEEAIIKMEGRIRSELNGKQNEDGSNSVVAAAPLEPVIPVAPLEPVIPVAPLEPIVPIEVVRFDGKNFHFWAQQIKSLLKQLKIEYVLTEPCPNAALGEGAKAEDIAAAKAAERRWLNDDVVCCRNILSHLSDPLFNQYVNRKMSSKELWEELKMVYQYEEFGTKRSQVKKYIEFQIDDEKTVIEQIRELNGIADSIAASGIFIDDNFHVSVIISKLPPSWKDFCIRLLREEYLPFWKLMECIQVEEEFRCGVQRGGEHSYSMGFHQGNRGGGQRRVDYKPLGICRNRPEINARSLSCNICGKRGHISKNCWRRTDRQTNERRAEEDVSTPTTEVDTLPSIPTE >Vigun02g102700.2.v1.2 pep primary_assembly:ASM411807v1:2:25749441:25754005:-1 gene:Vigun02g102700.v1.2 transcript:Vigun02g102700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRSKTADELHSAARSGDLIAVQSILASNPLAVNSRDKHSRTPLHLAAFSGQAEVVTYLCKNKADAGASAMDDMAAIHFASQKGHLEVVRALLSAGASLKASTRKGMTSLHYAVQGSHLELVKYLAKKGANLGAQTKAGKTPLDLASNDEIRSFLEEFEKSAKNGELGKQDKDKAEEFAPKTSELGSEGDLNTEPAAAALDEEKNAREKRKGNEDDARVESSQPKKARVKLSHLQSSDDTQEEENINHHYLV >Vigun02g102700.1.v1.2 pep primary_assembly:ASM411807v1:2:25751930:25754030:-1 gene:Vigun02g102700.v1.2 transcript:Vigun02g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRSKTADELHSAARSGDLIAVQSILASNPLAVNSRDKHSRTPLHLAAFSGQAEVVTYLCKNKADAGASAMDDMAAIHFASQKGHLEVVRALLSAGASLKASTRKGMTSLHYAVQGSHLELVKYLAKKGANLGAQTKAGKTPLDLASNDEIRSFLEEFEKSAKNGELGKQDKDKAEEFAPKTSELGSEGDLNTEPAAAALDEEKNAREKRKGNEDDARVESSQPKKARVKLSHLQSSDDTQEEENM >Vigun07g059700.1.v1.2 pep primary_assembly:ASM411807v1:7:6715955:6717275:1 gene:Vigun07g059700.v1.2 transcript:Vigun07g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTDPLVIGRVIGDVLDSFTPTIKMTVTFCKKQVYNGHELFPSTVTTWPRVEIGGGDLRSFFTLIMTDPDVPGPSDPYLREHLHWMVTDIPGTTNASFGNVLVSYEMPKPNIGIHRFVFVLFQQKRRQCVTPPSSRDNFNTRKFSSENDLGLPVAAVYFNAQRETAARRR >Vigun07g031500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2926766:2930996:1 gene:Vigun07g031500.v1.2 transcript:Vigun07g031500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKLVFNDTTIDACFPLKMWVCVSNDFELRKVLIKILNSAPNPSRENFNSFETEQLQIRLRNTLEGQKFLLVLDDIWNEDRARWEELKEVIDVGVKGSKILVTTRNHSIATFMRTKSSYPYLLSFLSEKDSLSLFVKYAFEYGDEKKHPQLLEIGEEIVKKCGGLPLAVKTVGSSLFSRVDEKDWESVRDNEIWNLKQNEKDILPALKLSYDQLPSYLKPCFAFFSLYAKDTGILGSQVCLFWGALGFLPPPKASESAIDVAIQLLHELWSRSFLSEYEEFGGDCMFKLHDLVIDLAIYIAKGEYEKIDNHNSKLYMNAHHLTFSENNLLGQAFLPIRLRYISFPNGADNEDFLNTLVSRCKFLRVLHLDFSEYESLPPRIGKLKHLRLLNLGKSENLTKLPDSICKLQNLQTLILAGCIKLQKLPEGLENLISLRHLRITTKQPACPEKEIASLTSLEDLYFLCCDNFESLFRGILLPTLKRLSLCDCKSLKTVWFDAVKNLQVLVIIKCNKLELSMGLSNEILDLRLKLLVLEELPSLVTLPRWLQGSANSLQSLIIMDCMNLEELPDWLPTLNCLKLIEVVNCPNLVSFPHNMHRLINLKVIDVTGSPELWKRYRPGVGQDWHNISHVKLVCDDQSENEK >Vigun01g190600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36917801:36920027:-1 gene:Vigun01g190600.v1.2 transcript:Vigun01g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKCLGAQRARRFQRALRHCKVTILCLVLTLVVLRGTIGAGKFGTPEQDFVDIRDRFYSRKLPENDHHLGHLQSTTFNDSDSTTNYNVFDINTILVDEPEDENGEKLNSEEPYRLGPKISTWDEQRSRWLRNNPNLSNFVRPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEMFYNMALFDAEMAGFWAKLPLIRKLLLSHPEVEFIWWMDSDAMFTDMAFEVPWERYKDHNLVMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGRVRDEAGKVLTRELKDRPVFEADDQSAMVYLLAKDRDKWGDKVYLENSYYLHGYWGILVDKYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASKGVKRIRDETSNPLEDKDELGLLHPSI >Vigun03g224000.1.v1.2 pep primary_assembly:ASM411807v1:3:37177899:37188722:1 gene:Vigun03g224000.v1.2 transcript:Vigun03g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSDGEKKEKGTQQERKVPLLKLFSFADSYDCVLMGVGSVGACVHGASVPVFFVFFGKIINVIGLAYLFPKEASHEVAKYALDFVYLSIVILFSSWTEVACWMHTGERQAAKMRMAYLRSMLNQDISLFDTEASTGEVISSITNDIIVVQDALSEKVGNFMHYISRFIAGFTIGFVRVWQISLVTLAIVPLIAIAGGLYAYVTIGLIGKVRKAYVRAGEIAEEVIGNVRTVQAFAGEERAVRSYKAALMNTYRNGRKAGLAKGLGLGSMHCVLFLSWALLVWFNSIVVHKNIANGGDAFTTMLNVVISGLSLGQAAPDISAFIRAKASAYPIFEMIERDTMSKVSSENGQKLSKLEGHIQFKDVCFSYPSRPDVVIFNNFSLEIPPGKILALVGGSGSGKSTVISLIERFYEPLCGEILLDGNTIRELDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATLEEINQAVMLSDAQSFINNLPDGLDTQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVIVAHRLSTIRNADMIVVIEGGKVVEIGNHEELISNPNNVYASLVQIQETAFSQGHFSVDPYLGGSSRRLGESSSRTTSFRGSFRSDKESISRAFGDGGESVGSSMHVSVKRLYSMIGPDWLYGVFGTLGAFIAGAQMPLFALGISHALVSYYMDWDTTRHEVKKIAFLFCGAAVLTITAHAIEHLSFGIMGERLTLRAREKMFSAILKSEISWFDDINNTSSMLSSRLETDATFLRTIIVDRSTILLQNVGLVVASFIIAFMLNWRITLVVLATYPLIISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEQKVLDLYANELVEPSKRSFNRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELSSFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMVASIFEVMDRKTGILGDVGEELKTVEGTIELKRIRFNYTSRPDVVIFNDFNLTVPAGKNIALVGHSGCGKSSVISLILRFYDPTSGKVMIDGKDIKKLNLKSLRKHIGLVQQEPALFATSIYGNILYGKEGGSEAEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDLESERVVQQALDKLMQNRTTVIVAHRLSTIKNADQIAVLEDGKIIQRGIHARLVEITDGAYYKLVSLQQQEHFD >Vigun08g005400.2.v1.2 pep primary_assembly:ASM411807v1:8:467875:474131:1 gene:Vigun08g005400.v1.2 transcript:Vigun08g005400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVWASPIKPNFIVNKNLVSLRQVVAANSIIVVVTFIQIPKPTARLIPSFLSHSLLLVLHHPRFGKSEESTLVSPPPTSLAKKHEGRVQAIHGVSFLLHRDIHHVAGRGSSATIGLRLTNDFDRHDHHEHSKTIGYCHPIEAEIWSNTACGEFTWWHGCVFKERPVSSDYLFFQMALVVMCGQPCSGKSKAALCLVEALKESESKHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEERREKGEASYEDSIFEDLVRRFEKPERRNRWDSPLFELWPHREETEKSSSAIIDVVSYLTKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun08g005400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:465879:474131:1 gene:Vigun08g005400.v1.2 transcript:Vigun08g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSKAALCLVEALKESESKHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEERREKGEASYEDSIFEDLVRRFEKPERRNRWDSPLFELWPHREETEKSSSAIIDVVSYLTKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun04g188700.1.v1.2 pep primary_assembly:ASM411807v1:4:41290593:41294851:1 gene:Vigun04g188700.v1.2 transcript:Vigun04g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSLISTRFHSPSSSLFRSSTIKPTTTIHLSKPFHLHTPNLFLLRLPSPKLTIPLLQKLSIPKPLLLLCTSLALSFTLLLSNADSAAAFVVTSPRKLQSDELATVRLFQENTPSVVYITNLAVKQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLRVEAPRDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLQSTKRDSYGRLILGDIITSVNDKKVTNGSDLYRILDQCKVGEKVIVEVLRGDHKEKIPVILEPKPDES >Vigun03g119600.1.v1.2 pep primary_assembly:ASM411807v1:3:11138040:11138616:1 gene:Vigun03g119600.v1.2 transcript:Vigun03g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKIAEADCYTPSAHFKGACFLSDHCNFVCADEGHPGGECQGFIPRRCMCICD >Vigun05g023000.3.v1.2 pep primary_assembly:ASM411807v1:5:1931211:1936803:-1 gene:Vigun05g023000.v1.2 transcript:Vigun05g023000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPLHLRRSIPPGPAVMPHPLVAPFDLLPPPQVMEQKLASQHAEMQRLGTENQRLAATHGALRQELAAAQHELQMLHAHVAGLKGEREQQVRAQLEKISKMESEAQSGEGVKMELQQTRGEAQNLVLSRDELVSKAQHLTQELQRVHTDVVQIPALISELECLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRRSGPYGGTSGSNENEASGLPVGQNDYEDDYSVMQGRGPLPAASGGGGSATTLASAGAQPGPTPAATGYDAPRGPGFGASAEPNYDAQRGVTYDTQRLTGYNAFRGGSAYDSNRGAIFDTQRSSYDPQRGLGYDMQRGADYDSSRAGGYDTQSRGVGGPHGHAPPVNNMPYGSSTPPARSGGGYEATRGVNPGRRR >Vigun05g023000.1.v1.2 pep primary_assembly:ASM411807v1:5:1931211:1936803:-1 gene:Vigun05g023000.v1.2 transcript:Vigun05g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPLHLRRSIPPGPAVMPHPLVAPFDLLPPPQVMEQKLASQHAEMQRLGTENQRLAATHGALRQELAAAQHELQMLHAHVAGLKGEREQQVRAQLEKISKMESEAQSGEGVKMELQQTRGEAQNLVLSRDELVSKAQHLTQELQRVHTDVVQIPALISELECLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRRSSGPYGGTSGSNENEASGLPVGQNDYEDDYSVMQGRGPLPAASGGGGSATTLASAGAQPGPTPAATGYDAPRGPGFGASAEPNYDAQRGVTYDTQRLTGYNAFRGGSAYDSNRGAIFDTQRSSYDPQRGLGYDMQRGADYDSSRAGGYDTQSRGVGGPHGHAPPVNNMPYGSSTPPARSGGGYEATRGVNPGRRR >Vigun05g023000.2.v1.2 pep primary_assembly:ASM411807v1:5:1931211:1936803:-1 gene:Vigun05g023000.v1.2 transcript:Vigun05g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPLHLRRSIPPGPAVMPHPLVAPFDLLPPPQVMEQKLASQHAEMQRLGTENQRLAATHGALRQELAAAQHELQMLHAHVAGLKGEREQQVRAQLEKISKMESEAQSGEGVKMELQQTRGEAQNLVLSRDELVSKAQHLTQELQRVHTDVVQIPALISELECLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRRSSGPYGGTSGSNENEASGLPVGQNDYEDDYSVMQGRGPLPAASGGGGSATTLASAGAQPGPTPAATGYDAPRGPGFGASAEPNYDAQRGVTYDTQRLTGYNAFRGGSAYDSNRGAIFDTQRSSYDPQRGLGYDMQRGADYDSSRAGGYDTQSRGVGGPHGHAPPVNNMPYGSSTPPARSGGGYEATRGVNPGRRR >Vigun05g074000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6516268:6516750:-1 gene:Vigun05g074000.v1.2 transcript:Vigun05g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPPSIQDLILSLQQATLMAKQLPSSSTANPTHLHQIHSSLHQAHRHLSSFLSALQLPPSYAAESSATAEPMQVEDGGDGGVDDEETTSKCTIDLVEEKMRQCFIKNKRPKRPLSPSATAAAMEEKGVAGDAYVERIRDYDPYNMKLRALDLLYQFHA >Vigun06g210800.1.v1.2 pep primary_assembly:ASM411807v1:6:32377321:32381577:1 gene:Vigun06g210800.v1.2 transcript:Vigun06g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKFTLLFLVTCCHFLSLFPTALEAEDEITPPQTISGNQTLVSPSQNFELGFFSPGNSTHIYLGIWYKRIPNQTVIWIANRDKPLVNSGGSLAFSDDGKLVLLSHTGSVAWSSNSSGPAKNPVAQLLDSGNLVLKDYGNERFLWESFDYPSDTLIPGMKLGWNFKTGLNRHLTSWKTTSDPSSGEYTYSVDPRGLPQLFLHKGNKQIFRSGPWYGQQFKGDPVLSANPVFKPIFVFDSDEVSYSYETKDTIISRFVLSQSGLIQHFSWNDHHSSWFSEFSVQGDRCDDYGLCGAYGSCYINSSPVCKCLKGFEPKLPQEWERSEWSDGCVRKNTQVCSNGDAFQQFTGMKLPDAAEFRTNYSISIDHCEKECSKSCSCVAYAKLDINASGKGCIAWFGDLLDIREVSVNGQDFYLRVAASEVGKNMEGSNADGSKRKKIILFPVAASVTSTIIVSTLWLIIKKCRRNGAKQTGTQFSVGRVRSERNEFELPMFEIAMIEAATRNFSSCNKIGEGGFGPVYKGQLPSGQEVAVKRLSENSGQGLQEFKNEVILISQLQHRNLVKLLGCCIDGEDKILIYEYMPNRSLDSLLFDETKRSVLSWKKRLDIIIGIARGILYLHRDSRLRIVHRDLKASNVLLDGEMNPKISDFGMARMFGGDQTEAKTKRVVGTYGCMAPEYAIDGHFSFKSDVYSFGVLLLELLSGKKNKGFFHPDHKLNLLGHAWKVWNEERALELMDPLLEGEFPTCEALRCIKVGLSCVQQLPEDRPTMSSVVLMLDSENVLIPQPGRPGIYSERFFSETNSSSHGGLNSASNDPTVTSLEAR >Vigun10g024900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2985441:2987410:1 gene:Vigun10g024900.v1.2 transcript:Vigun10g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQREKLHVVVFPWLAFGHIGPFFELAKLIAQKGHKISFISTPRNIHRLPKVPKNLQPLVDLIELPLPRVEKLPENAEATMDIPHHLIPYLKLAFDGLQQPLAKFLERCKPHWIVYDFAPYWLPPITTELGILCISFSIFSATGMYSISEYFMRKTSESPRNKDMPEEVIETNESGVSDMFRVIITSEASQFTASRSCMEIEGEALKLCNSTFSKPVMPIGLLPPSLEFSEDSNDENWDTILNWLDKQEKGSVVYVAFGSEVTLSDEDFTEITMGLEMSGFPYFWVLKKQNTSNVELQDFLENNTGKGLVWRTWAPQMRILAHKSVGGFLTHCGWSSVIEGLQVGCPLVMLPFQNEQFIIAKHMEERRVGFQVQRSEHDEKFSRESLANALRAVMLEKSYRSEAEEMSKIVGDKELHQKYIDDFVEYMEIHKPVLKD >Vigun11g179400.1.v1.2 pep primary_assembly:ASM411807v1:11:38329908:38335596:-1 gene:Vigun11g179400.v1.2 transcript:Vigun11g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCATFHPKEDIVVSASLDQTVRVWDIGSLKRKAGPPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILATHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYTKDRFLRFYEFSSQRETQVLTIRRPGSSTLNQCPKTLSYSPSENAILLCSDVDGGSYELYCISKDSTKDSFGRGDMQDPKKGVGGSAVFVARNRFAVLDKGSNQVSVKNLKNELVKKSALPTAADAIFYAGTGNLVCRSEDRVFIFDLQQRIVLGDLQTPFIKYVVWSNDMENVALLSKHAIVIASKKLVHQCTLHETIRVKSGAWDDNGIFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVIGNTIFCLGRDGKNKAITVDATEYIFKLSLLKKKYDHVMNMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDERIRFNLALESGNIQIAVASATAIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNVDKLSKMLKIAEVKNDVMGQFHNALYMGDIRERVKILENVGHLPLAYITASVHGLHDVAERLAAELGDNVPSVPKGKVQSLLIPPSPVLCGADWPLLRVMRGIFDGGFHNTDRDADDEEYEAADGDWGEELDMVDVDALQNGDVSAILDEVEVAEDEDEEGGWDLEDLELPPEAETPKVSVSSRSSAFVAPTPGMAVSQIWIQRSSLAADHVAAGNFDTAMRLLNRQLGIRNFVPLKSMFLDLHTGSHSYLRAFSSAPVVPIAVERGWTESSSPNVRGPPALPFKLSQLDEKLKAGYKSTTGGKFTDALRTFVNILHTIPLIVVESRREVDDVKELIVIVKEYVLGLRMELKRREIKDSPARQQELAAYFTHCNLQVPHLRLALLNAMTVCYKAKNLSTAANFARRLLETNPTVENQAKTARQVLAAAERNMTDALQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPSQEGILCAVCELSVVGADASGLLCSPSQIR >Vigun11g189800.1.v1.2 pep primary_assembly:ASM411807v1:11:38937223:38941124:-1 gene:Vigun11g189800.v1.2 transcript:Vigun11g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMGVSDNCKGLILAMSSSAFIGSSFILKKKGLKRAAARGTRAGVGGYTYLLEPLWWAGMVTMIIGEIANFVAYIYAPAVLVTPLGALSIIVSAVLSHFLLKERLPKMGVMGCVSCIVGSIVIVIHAPQEQTPSSVQEIWDLATQPAFLIYVMATVSVVLVLIIHFEPRYGQNNMLVYLGICSLVGSLTVVSIKAIGIAIKLTLDGISQIAYPQTWFFLSVATICVITQLNYLNRALDTFNATIVSPVYYVMFTTLTIIASAIMFKDWSGQDVSSITSEICGFITVLSGTIILHMTREQEDSNMQKTSRLFTREDSMKGVEDEHLIFVHDSDYLER >Vigun07g129200.1.v1.2 pep primary_assembly:ASM411807v1:7:23809499:23810814:-1 gene:Vigun07g129200.v1.2 transcript:Vigun07g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVHVHVMLSIFFLILSIFQSASASLKVDFYKTTCPSAEAIVRSAVDKAVSLNPGIAAGLIRMHFHDCFVRGCDGSVLLESTPGKASERDHPANNPSLRGFHVIDEAKAQLEAECPHTVSCADILAFAARDSANKVGGINYAVPAGRRDGRISIRDEASQLPLPTLNADQLIANFRQKGLSADEMVTLSGAHSIGVSHCSSFSHRLHSFNATFPQDPSMDSRYATSLKPKCPPGSDNTVPLDASTPNRLDNRYYTELKNHRGLLTSDQTLLGSPSTRPMVLTNAKHSSVWARKFAKAMVHMGSIDVLTGSQGEIRTRCTLVN >Vigun07g045500.1.v1.2 pep primary_assembly:ASM411807v1:7:4618018:4622271:1 gene:Vigun07g045500.v1.2 transcript:Vigun07g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNILGSKFAADALLHSYKKSFNGFVAKLTEEEAMKMRGLDGVVSVFQNKKNEMHTTRSWDFIGLSQSVERTTTESDIIVGVIDTGIWPESDSFNDQGFGPPPQKWKGTCHNCTCNKYITFLTLIHL >Vigun09g064400.1.v1.2 pep primary_assembly:ASM411807v1:9:6785022:6787334:1 gene:Vigun09g064400.v1.2 transcript:Vigun09g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNYPLVRPTFASIDQKLAFAKRCSHESVLAGAKAAVVACVATAIPTVASVRMLPWARANLNHTAQALIISTATAAAYFIVADKTVLATARKNSFNPQP >Vigun07g129300.1.v1.2 pep primary_assembly:ASM411807v1:7:23814628:23816151:-1 gene:Vigun07g129300.v1.2 transcript:Vigun07g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSFRDEYTFEQRLEQSREIVAKYPDRVPVIVERYAKCDLPELEKKKFLVPRDLSVGHFIHILSSRLSLPPGKALFVFVKNTLPQTASVMDSVFKSFKDEDGYLYMYYSTEKTFGSYIM >Vigun07g104000.1.v1.2 pep primary_assembly:ASM411807v1:7:18919586:18924451:1 gene:Vigun07g104000.v1.2 transcript:Vigun07g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPSEPPTDDFLEQILGLPTFASADPADASPMMLQLNSGDAAFHAPPYQLGLSLDQGKGGFLSPEDASGSGNRFRDDVVDTRPKNVFHGQPMPTTVPTAPHPPAVRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGSEGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYPSQPSDPSSVVKPETNPPS >Vigun05g012000.1.v1.2 pep primary_assembly:ASM411807v1:5:957724:961068:-1 gene:Vigun05g012000.v1.2 transcript:Vigun05g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELETARTSSSVEKSYELPDGQIITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun05g012000.2.v1.2 pep primary_assembly:ASM411807v1:5:957724:961068:-1 gene:Vigun05g012000.v1.2 transcript:Vigun05g012000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELETARTSSSVEKSYELPDGQIITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun05g012000.3.v1.2 pep primary_assembly:ASM411807v1:5:957724:960890:-1 gene:Vigun05g012000.v1.2 transcript:Vigun05g012000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELETARTSSSVEKSYELPDGQIITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun07g022100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1880073:1882145:1 gene:Vigun07g022100.v1.2 transcript:Vigun07g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNTASQHLATLTGHQGPVWQVVWAHPKFGSLLASCSYDGRVIVWKEGNPNEWTQAHVFDEHKSSVNSIAWAPHELGLCLACGSSNGNISIFTARADGGWDTAGIDQAHPVGVTSVSWAPSTAPGALVGAGLLDPVQKLCSGGCDNTVKVWKLNNGLWKMDCFPALHMHTDWVRDVAWAPNLGLPKSTIASASQDGKVVIWTVAKEGDRWEGKILNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVSTVEP >Vigun03g116100.1.v1.2 pep primary_assembly:ASM411807v1:3:10701052:10704565:1 gene:Vigun03g116100.v1.2 transcript:Vigun03g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGGISTGGGKAYPGSLTPFVTVTCIVAAMGGLIFGYDIGISGGVTSMDPFLLKFFPSVYRKKNLDKTTNQYCQYDSQTLTMFTSSLYLAALLSSLVASTITRRYGRKLSMLFGGLLFLVGALINGFAKHVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITVGILVANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGDHEKARAQLQRVRGVSDVDEEFNDLVEASEASRQVEHPWRNLLQRKYRPHLTMAVLIPFFQQLTGINVIMFYAPVLFSSIGFKDDAALMSAVITGVVNVVATCVSIYGVDKWGRRALFLEGGVQMLICQAVVAAAIGAKFGIDGNPGDLPKWYAVVVVLFICIYVAAFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMFFTFVIAQIFLTMLCHMKFGLFIFFAFFVLVMTFFIFFFLPETKGIPIEEMGQVWRAHPFWSRFVDHEDHANGVEMRKGAIKV >Vigun10g185100.1.v1.2 pep primary_assembly:ASM411807v1:10:40142068:40145290:-1 gene:Vigun10g185100.v1.2 transcript:Vigun10g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAQFNLSPLPFLKHRKTPKFSFSGTNTLLNKRPNWPKSLSLRSKSALARAYSSETMAEDSNRSALLVIDMQKDFIEGGGPFLVKGGKEIVPNVIKAVDVARQRGILIIWVVREHDPLGRDVEVFRRHLYGKGKVGPVSKGSEGLELVDGLVIKEGDYKLVKTRFSAFFATHLHSVLQGAGINSLVITGVQTPNCIRQTVYDAVALDYQPVTVIVDATAAATPDIHLANLFDMKNIGVATLTLEEWSEPKASST >Vigun03g402000.1.v1.2 pep primary_assembly:ASM411807v1:3:60910479:60924138:-1 gene:Vigun03g402000.v1.2 transcript:Vigun03g402000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGPPQSLPNSAAISKGYNFASTWEQNAPLTEQQQTAIVSLSHAVSERPLPLKLAQENASVQHNALSVKTKDSSFDDSGAIEAVMVNTNQFYKWFADLESAMKSETEEKYQYYVNTLTDRIQTCDEILQQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLLQEKQRLIDFADALRSKLNYFDELENVATNFYSPNMNVGNENFLPLLKRLDECISYVESNPQYAESSVYLLKFRQLQSRALGMMRSHVLAVLKGASSQVQEAIRGTGGDKASISEGVEASVIYVRFKAAASELKPLLEEIESRSSRKEYGQILAECHRLYCEQRLSLIRGIVQRRISEFAKKESLPSLTRSGCAYLIQVCQLEHQLFDHFFPASSKDISSLAPLMDPLSTYLYDTLRPKLVHETTIDFLCELVDILKMEVLGEQHSRRSESLAGLRPTFERILADVHERLTFRARTHIRDEIANYIPTNEDLDYPEKLKISVESTSEINPADDNPDIFKTWYPPLEKTLSCLSKLYRCLESEVFTGLAQEAVEVCSTSIQKASKLIAKRSSQMDGQLFLIKHLLILREQIAPFNIEFSVTQKELDFSHLLEHLRRLLRGQASLFDWSRSTSLARTLSPRVLENQIDTKKELEKSLKATCEEFIMSVTKLVVDPLLSFVTKVTAVKVALSSGGQNQKLESGMAKPLKDQAFATPDKVAELVQKVRAAIQEQLPVVIAKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQSLLQSEYSSEEIQIIKLKSVQDLQDELDNFL >Vigun03g402000.4.v1.2 pep primary_assembly:ASM411807v1:3:60910494:60924138:-1 gene:Vigun03g402000.v1.2 transcript:Vigun03g402000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGPPQSLPNSAAISKGYNFASTWEQNAPLTEQQQTAIVSLSHAVSERPLPLKLAQENASVQHNALSVKTKDSSFDDSGAIEAVMVNTNQFYKWFADLESAMKSETEEKYQYYVNTLTDRIQTCDEILQQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLLQEKQRLIDFADALRSKLNYFDELENVATNFYSPNMNVGNENFLPLLKRLDECISYVESNPQYAESSVYLLKFRQLQSRALGMMRSHVLAVLKGASSQVQEAIRGTGGDKASISEGVEASVIYVRFKAAASELKPLLEEIESRSSRKEYGQILAECHRLYCEQRLSLIRGIVQRRISEFAKKESLPSLTRSGCAYLIQVCQLEHQLFDHFFPASSKDISSLAPLMDPLSTYLYDTLRPKLVHETTIDFLCELVDILKMEVLGEQHSRRSESLAGLRPTFERILADVHERLTFRARTHIRDEIANYIPTNEDLDYPEKLKISVESTSEINPADDNPDIFKTWYPPLEKTLSCLSKLYRCLESEVFTGLAQEAVEVCSTSIQKASKLIAKRSSQMDGQLFLIKHLLILREQIAPFNIEFSVTQKELDFSHLLEHLRRLLRGQASLFDWSRSTSLARTLSPRVLENQIDTKKELEKSLKATCEEFIMSVTKLVVDPLLSFVTKVTAVKVALSSGGQNQKLESGMAKPLKDQAFATPDKVAELVQKVRAAIQEQLPVVIAKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQSLLQSEYSSEEIQIIKLKSVQDLQDELDNFL >Vigun03g402000.3.v1.2 pep primary_assembly:ASM411807v1:3:60910494:60924149:-1 gene:Vigun03g402000.v1.2 transcript:Vigun03g402000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGPPQSLPNSAAISKGYNFASTWEQNAPLTEQQQTAIVSLSHAVSERPLPLKLAQENASVQHNALSVKTKDSSFDDSGAIEAVMVNTNQFYKWFADLESAMKSETEEKYQYYVNTLTDRIQTCDEILQQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLLQEKQRLIDFADALRSKLNYFDELENVATNFYSPNMNVGNENFLPLLKRLDECISYVESNPQYAESSVYLLKFRQLQSRALGMMRSHVLAVLKGASSQVQEAIRGTGGDKASISEGVEASVIYVRFKAAASELKPLLEEIESRSSRKEYGQILAECHRLYCEQRLSLIRGIVQRRISEFAKKESLPSLTRSGCAYLIQVCQLEHQLFDHFFPASSKDISSLAPLMDPLSTYLYDTLRPKLVHETTIDFLCELVDILKMEVLGEQHSRRSESLAGLRPTFERILADVHERLTFRARTHIRDEIANYIPTNEDLDYPEKLKISVESTSEINPADDNPDIFKTWYPPLEKTLSCLSKLYRCLESEVFTGLAQEAVEVCSTSIQKASKLIAKRSSQMDGQLFLIKHLLILREQIAPFNIEFSVTQKELDFSHLLEHLRRLLRGQASLFDWSRSTSLARTLSPRVLENQIDTKKELEKSLKATCEEFIMSVTKLVVDPLLSFVTKVTAVKVALSSGGQNQKLESGMAKPLKDQAFATPDKVAELVQKVRAAIQEQLPVVIAKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQSLLQSEYSSEEIQIIKLKSVQDLQDELDNFL >Vigun08g076200.1.v1.2 pep primary_assembly:ASM411807v1:8:13477019:13488005:1 gene:Vigun08g076200.v1.2 transcript:Vigun08g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQLHFPPGFRFHPTDEELVLHYLCRKCINQQIAVPIIAEIDLYKYDPWDLPGMALYGEKEWYFFSPRDRKYPNGSRPNRSAGTGYWKATGADKPIGKPKPVGIKKALVFYSGKAPKGEKTNWIMHEYRLAEVDRSVRRKNSLRLDDWVLCRIYNKKGPVEKQPPVKIECSELAEEKPAIAPPYAEATVVDCVNFEASDSVPRLLTTDSSGSEQVVSPGPASEVQSEPKRSNIEFEYNYVDATLASQFHSANQMSPLQDIFMYLSKPF >Vigun01g057300.1.v1.2 pep primary_assembly:ASM411807v1:1:11480139:11488209:-1 gene:Vigun01g057300.v1.2 transcript:Vigun01g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFGKSKKHSSHSSSHDKGRGGRIFTNVTTRGLPPNFPSQISSSLPPPPILYPSLEPPSSTAPPPPPPVRSQSISKKPSFVRVDSKQSSSVMDKKQSAAKKYALIRDNFSTLEQVTTALRKEGLESSNLILGIDFTKSNEWTGRISFNKRSLHAIGTTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDATTHDQEVFSFHTDHSPCHGFEEVLACYQKIVPNLKLSGPTSYAPVIEAAIDIVEKSRGQFHVLVIVADGQVTRSVNTGDGELSPQEEKTIKAIVDASAYPLAIILVGVGDGPWEDMRKFDDRIPARDYDNFQFVNFTEIMSRNISPSEKEAAFALAALMEIPFQYKATMEFGILGRVTGRAKRIVPKAPPLPYSRPAPSLARLPTNAPPSSIDDHNQSVCPVCLTNPRDLAFGCGHMTCRDCGYKLTNCPMCRERITTRLRVYSG >Vigun04g100000.1.v1.2 pep primary_assembly:ASM411807v1:4:23360213:23362190:-1 gene:Vigun04g100000.v1.2 transcript:Vigun04g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSQINENFIDKTFSIVANILLRIILTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFWALEQNPFLPQAFNNMAVICHYGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPGNYVAAQNWLKITGRFE >Vigun01g061300.1.v1.2 pep primary_assembly:ASM411807v1:1:12898797:12902498:1 gene:Vigun01g061300.v1.2 transcript:Vigun01g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEDNKDLGQMDVDASNESVNTMPSSQKQEEAVKKKYGGMLPKKPPLISKDHERAYFDSADWALGKQGGEKPKGPLEALRPKLQPTQQQTRYRKSPYAPSGEEGGSVPAEDAPSTTE >Vigun01g061300.2.v1.2 pep primary_assembly:ASM411807v1:1:12898797:12902498:1 gene:Vigun01g061300.v1.2 transcript:Vigun01g061300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEDNKDLGQMDVDASNESVNTMPSSQKQEEAVKKKYGGMLPKKPPLISKDHERAYFDSADWALGKQGGEKPKGPLEALRPKLQPTQQQTRYRKSPYAPSGEGGSVPAEDAPSTTE >Vigun02g056000.1.v1.2 pep primary_assembly:ASM411807v1:2:19986767:19994346:1 gene:Vigun02g056000.v1.2 transcript:Vigun02g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEVFEGYERQYCELSANLSRKCSSTSLVSDQEQKLQKFSEIKAGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSGLSNLKKEFKRLTSPNSDEAAREELLEAGMADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKFLPERIF >Vigun10g099000.1.v1.2 pep primary_assembly:ASM411807v1:10:29019996:29024393:-1 gene:Vigun10g099000.v1.2 transcript:Vigun10g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVQFIPFLSLCFVFCCPFLVISVNPPFNDDVLGLIMFKAGLEDPKGKLSKWNEDDYSPCNWVGVKCDPVNNRVSSLVLDGFSLSGHIDRGLLGLQFLQILSLSRNNFTGTIAHDLLSIGDLQVVDLSENNLSGVIPDEIFQQCWSLRVISFANNNLTGKIPDSLSSCYSLAVVNFSSNQIHGELPSGMWFLRGLQSIDLSNNLLEGEIAEGIQNLIDLRELRLGRNLFTGRIPEHIGECLLLKLVDFSSNSLSGRVPESMQKLSSCTFLSLQGNSFSGGIPHWIGEMKSLDTLDLSENRFSGWIPKSIGNLDLMSRLNLSRNQITGNLPELMVNCIKLLTLDLSHNHLAGHLPSWIFRMGLQSISLSGNGFSDGNYPSLSSVSVSFHGLQVLDLSSNAFFGQLPSGIGGLGSLQVLNLSSNNISGSIPVSLGDLKSLYILDLSGNKLNGSIPSAIEGATSLSEMRLQKNFLGGRIPAQIDKCSELTLLNLSHNKLIGSIPSSIANLTNLQDVDFSWNELSGSLPKELTNLSNLFSFNVSHNHLQGELPVGGFFNTISPSSVSGNPLLCGSVVNHSCPSVHPKPIVLNPNSSYSSSGSSFQNHHHKIMLSISVLIAIGAAIFIVIGVVVVTVLNIHVRSSMPLSATPFIFSGGEDYSGSPGNDPNHGKLVMFNGDAEFADGAHNLLNKDSEIGRGGFGVVYCTVLRDGHCVAIKKLTLSTLTKSQEDFEREVKMLGKIKHKNIVALEGYYWTQSLQLLIYEYLARGSLQKLLHDDDSSKKILSWRKRFKIILGIARGLAYLHQMELIHYNLKSTNVFIDCSDEAKIGDFGLVRLLPMLDPCVLSSKIQSALGYMAPEFACRTVKITEKCDIYSFGILILEVVTGKRPVEYMEDDVVVLCDKVRSALDDGKVEQCVDENLKGNFATEEAVPVIKLGLVCASQVPSKRPDMAEVINILELIQCPSEEQEEEEEEEEIQ >Vigun10g099000.2.v1.2 pep primary_assembly:ASM411807v1:10:29019946:29024393:-1 gene:Vigun10g099000.v1.2 transcript:Vigun10g099000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLRGLQSIDLSNNLLEGEIAEGIQNLIDLRELRLGRNLFTGRIPEHIGECLLLKLVDFSSNSLSGRVPESMQKLSSCTFLSLQGNSFSGGIPHWIGEMKSLDTLDLSENRFSGWIPKSIGNLDLMSRLNLSRNQITGNLPELMVNCIKLLTLDLSHNHLAGHLPSWIFRMGLQSISLSGNGFSDGNYPSLSSVSVSFHGLQVLDLSSNAFFGQLPSGIGGLGSLQVLNLSSNNISGSIPVSLGDLKSLYILDLSGNKLNGSIPSAIEGATSLSEMRLQKNFLGGRIPAQIDKCSELTLLNLSHNKLIGSIPSSIANLTNLQDVDFSWNELSGSLPKELTNLSNLFSFNVSHNHLQGELPVGGFFNTISPSSVSGNPLLCGSVVNHSCPSVHPKPIVLNPNSSYSSSGSSFQNHHHKIMLSISVLIAIGAAIFIVIGVVVVTVLNIHVRSSMPLSATPFIFSGGEDYSGSPGNDPNHGKLVMFNGDAEFADGAHNLLNKDSEIGRGGFGVVYCTVLRDGHCVAIKKLTLSTLTKSQEDFEREVKMLGKIKHKNIVALEGYYWTQSLQLLIYEYLARGSLQKLLHDDDSSKKILSWRKRFKIILGIARGLAYLHQMELIHYNLKSTNVFIDCSDEAKIGDFGLVRLLPMLDPCVLSSKIQSALGYMAPEFACRTVKITEKCDIYSFGILILEVVTGKRPVEYMEDDVVVLCDKVRSALDDGKVEQCVDENLKGNFATEEAVPVIKLGLVCASQVPSKRPDMAEVINILELIQCPSEEQEEEEEEEEIQ >Vigun03g399400.1.v1.2 pep primary_assembly:ASM411807v1:3:60581461:60589026:1 gene:Vigun03g399400.v1.2 transcript:Vigun03g399400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTLGRFHHQRLDLRRWLPAFLTSHKTLFTVLWIAAFASLFLWQRNIVGGFLSFGALPARPMPKLRPTAFNLTDFGGVGDGVTVNTEAFERAVSAISKFGKKGGAQLNVPPGRWLTAPFNLTSHMTLFLAQDAVILGIDDEKYWPLMAPLPSYGYGREHPGPRYGSLIHGQNLKDVVITGHNGTINGQGQAWWQKFRQKRLNHTRGPLVQIMWSSDIVISNITLRDSPFWTLHPYDCKNITIKGVTILAPVFEAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGISYGRPSMNIMIRNLVLRSMVSAGVSIGSEMSGGVSNITVENVVVWDSRRGVRIKTAPGRGGYVRQISYRNLTFENVRVGIVMKTDYNEHPDDGYEPTALPILTDISFTTVHGHGVRVPVRIHGSEEIPVKNVSFQDMSVGLTYKKKHIFQCAFVEGRVIGTIFPAPCENLDRYNEHGHLVKRSSSQNVTDIDYDF >Vigun04g057200.1.v1.2 pep primary_assembly:ASM411807v1:4:5626626:5630115:1 gene:Vigun04g057200.v1.2 transcript:Vigun04g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSAGSTTADPPPPVTKRWADEADEEAEESSTASSTAIEASSVNLDALKIEDKDENPPKLLDDPDDSSIQKVTSGDTPYTSASKFEDLNLSPELLKGLYVEMKFEKPSKIQAISLPMILNPPHRDLIAQAHNGSGKTTCFVLGMLSRVDPKVQAPQALCVCPTRELANQNIEVLRKMGKYTGIASECAVPSDSNALSIAKRAPIMAQVVIGTPGTIKKWMSFKKLGASRLKILVFDEADQMLAEDGFKDDSLRIMKEIQKVNSNCQVLLFSATFNDTVKNFVSRTVKEDHNKLFVKKEELSLDAVKQYKVYCSDELAKIEVIKDYIFEIGENVGQTIIFVRTRNSAQMLHKSLVDLGYEVTSIQGALGHDEREKIVKEFRDGLTQVLISTDLLARGFDQQQVNLVINYDLPMKHTASYTREPEPDCEVYLHRVGRAGRFGRKGAVFNLICDEKDERLMSKIENHFGTRVAEVRAQSVEDYKRALKEAGLLQ >Vigun03g423700.1.v1.2 pep primary_assembly:ASM411807v1:3:62915650:62919413:-1 gene:Vigun03g423700.v1.2 transcript:Vigun03g423700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSLPAASSSSPSMEVDAVQVNDMNCNEEQAHGQSLSPEYSGVYDVFGEPEIFPRVGQQYQVQLPCLISKSDYHCYLRNPNEVDNSASALHKFRVGLPIPIIWIKDELENSRHNRQEKASKSDGVTNKNESSKQNCIKETSNDRGGDIVKAKLGPVDSTLVSEMTLGESGDSNMQQETEIEMLEKHSNKGHSLVPGSASDTWNEIEEASFILGLYIFGKNLVQVKRFIGNKNMGDILSFYYGKFYKSDKYQRWSGCRKTRSRKFIYGQKIFTGPRQQELLSRLLPMVSEECYNKLLEVSKAFAEGKMLLEDYVLTLKALVGLKALVEGVGVGKGKEDLTGLAMDSMKPTQAVTVRQEIPVGKACSMLTPPEIISFLTGDFRLSKARTSDLFWEAIWPRLLARGWHSEQPDSHNYAVAFKHSLVFLVPGVKKFSRKLVKGNHYFDSVSDVLCKVASDPELIELGTIADNDCTSKEGTGWAQDTKLDRENSPDQPRHCYLKVKTPNRSTDVMKFTVVDTSLASEMMKNVRELRSLPFEF >Vigun03g355900.1.v1.2 pep primary_assembly:ASM411807v1:3:55716908:55719908:-1 gene:Vigun03g355900.v1.2 transcript:Vigun03g355900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSTQIEKTLPEWRDKFLSYKELKKKLKQFEPPAAADDRPGKRLKSDAAPTAADMSKEETDFHDLLENELDKFNTFFVEKEEEYIIRLKELQDRVNKVKDSSEEMMKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECETMLDRLFPENDPPPVSGETTPQAEGCDPSTSTTTKSDGGLLIPRELAEIEYMESLYMKSTVSALHVLQEIRSGSSTVSMFSLPPLKISGSEETWKKIPVLEQAAK >Vigun05g095800.4.v1.2 pep primary_assembly:ASM411807v1:5:9284764:9287122:-1 gene:Vigun05g095800.v1.2 transcript:Vigun05g095800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGVYKGVEMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPTHERAEEWGAAKGNNCYSESDMIAEEGYWGKGSDPKMMRVVENVVDDLKERGLNVEMLNITQLSEYRKEGHPSIYRKQWDSPTQEQIANPKTYADCIHWCLPGVPDVWNELLYAYIFYQ >Vigun05g095800.3.v1.2 pep primary_assembly:ASM411807v1:5:9284363:9287121:-1 gene:Vigun05g095800.v1.2 transcript:Vigun05g095800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAKTQQVMVAGSSWGVRNTFHSLVAILTTLLLLTTTIYVRHDRAHLSPTINTSNNSSFSPSSSSSSSKCDLFSGKWVFDNQSYPLYKEQQCSFMSDQLACEKFGRKDLSYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLIRGQWVSMEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGVYKGVEMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPTHERAEEWGAAKGNNCYSESDMIAEEGYWGKGSDPKMMRVVENVVDDLKERGLNVEMLNITQLSEYRKEGHPSIYRKQWDSPTQEQIANPKTYADCIHWCLPGVPDVWNELLYAYIFYQ >Vigun05g095800.1.v1.2 pep primary_assembly:ASM411807v1:5:9284363:9287121:-1 gene:Vigun05g095800.v1.2 transcript:Vigun05g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAKTQQVMVAGSSWGVRNTFHSLVAILTTLLLLTTTIYVRHDRAHLSPTINTSNNSSFSPSSSSSSSKCDLFSGKWVFDNQSYPLYKEQQCSFMSDQLACEKFGRKDLSYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGVYKGVEMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPTHERAEEWGAAKGNNCYSESDMIAEEGYWGKGSDPKMMRVVENVVDDLKERGLNVEMLNITQLSEYRKEGHPSIYRKQWDSPTQEQIANPKTYADCIHWCLPGVPDVWNELLYAYIFYQ >Vigun05g095800.2.v1.2 pep primary_assembly:ASM411807v1:5:9284363:9287121:-1 gene:Vigun05g095800.v1.2 transcript:Vigun05g095800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAKTQQVMVAGSSWGVRNTFHSLVAILTTLLLLTTTIYVRHDRAHLSPTINTSNNSSFSPSSSSSSSKCDLFSGKWVFDNQSYPLYKEQQCSFMSDQLACEKFGRKDLSYQNWRWQPHHCDLPRFNATALLERLRNKRLVFVGDSLIRGQWVSMVCLVDSILPKTLKSMHSTANASLNIFRAKEYNASIEHYWSPLLVESNSDDPVNHRVVERTVRVKAIEKHAKYWTDADFLVFNTYLWWRRPVMNVLWGSFGDPDGVYKGVEMLRVYEMALRTWSDWLEVHVNRNKTRLFFVSMSPTHERSACRAEEWGAAKGNNCYSESDMIAEEGYWGKGSDPKMMRVVENVVDDLKERGLNVEMLNITQLSEYRKEGHPSIYRKQWDSPTQEQIANPKTYADCIHWCLPGVPDVWNELLYAYIFYQ >Vigun11g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39761267:39765456:-1 gene:Vigun11g199500.v1.2 transcript:Vigun11g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLVPRSPRTSNPNDMKTKRPVDTADSAADQPSFKRTNFSADTATEEDQAFDPQSHAGESTGLKLLGLLLQCAECVAMDKLDFANDLLPEIAELSSPFGTSPERVGAYFAQALQARVVSSCLGSYSPLTAKSVTLTQSQRIFNAFQSYNSVSPLVKFSHFTANQAIFQALEGEDRVHIIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLDSTGRRLADFASSLGLPFEFHPVEGKIGSVTELSQLGVRASEAIVVHWMHHCLYDITGSDLGTLRLLTQLRPKLITTVEQDLSHAGSFLARFVEALHYYSALFDALGDGLGADSLERHTVEQQLLGCEIRNIVAVGGPKRTGEVKVERWAEELKRAGFRPVSLRGNPAAQASLLLGMFPWRGYTLVEENGSLKLGWKDLSLLIASAWQPSDLITYPD >Vigun11g194500.1.v1.2 pep primary_assembly:ASM411807v1:11:39332918:39333441:1 gene:Vigun11g194500.v1.2 transcript:Vigun11g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFNRHLLMGILIIVLVLPSGLVAEDPPPDCNGLKCSLGTRFCTICCNGQGSKQGICVPKGTFYVCQCQ >Vigun02g045700.1.v1.2 pep primary_assembly:ASM411807v1:2:18271813:18283174:1 gene:Vigun02g045700.v1.2 transcript:Vigun02g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQKGREQPKKVKILSTSSLQINEKDAFQLVGSIVEKGISDSHNNPTTPFISFPKPSVLPFPVARHRSHGPHWRPLSNGKDEDGEADDGDNNVEDEEDKNFQEFERVSAFAKPVQRRRKKGLDFRKWKEISSDDGSSLGKESEEGVSRFSQSTGKKKYENGSKSLKKKTSSSDSNIISQMKVDMKPLLDDSDGRFVSSTKSMDIDTSNKGDHHNQSEFASGLDQICSDRMPDYNFGSLDVQRPMQTHLNSNMPSFSSSNCIISDQKSVSLESEIDYENQVRIQQMSAEEIAEAQAEIMNKMNPALLKVLQKRGQEKLKKHDGLKSEVDTGSESLKGHSQSLQDSKHLRTENGVSHTMMTPPSEEKPDDNKISAQTSTTASSSRWNAWSNRVEAIRELRFSLDGDVVDSEQSSVYDNVTERDYLRTEGDPGAAGYTIKEAVALTRSVIPGQRALALHLLSCLLDKALHYICKERTGHMTKHESKVDKSVDWEAVWAFALGPEPELVLSLRICLDDNHNSVVLACAKVVQCVLSCDANENYCDISEKVATCDMDIFTAPVFRSRADINVGFLQGGFWKYSAKPSNIFPFSDDSMDNETEGKHTIQDDVVVAGQDFTVGLVRMGILPRLRYLLETDPTTALEECIISILTALARHSPTCANAVLKCERLVQTIVNRFTSDNFEIRSSMIRSVRLLKVLARLNRTICLEFIKKGYFRAMIWNLYQSPSSVDHWLRLGKEKCKLMSALIVEQLQFWRVCIQYGYCVSYFSEMFPALSFWLKPLSFEKLIENNVLDEYTSISREAYLVLESLSGRLPNLYSSKQCLNNQLPESTGDSEVWSWSYVGPMVDLAIRWMATRSDPKVSKFFEGQQDVRCDYSFQGFSSTPLLWVYTAVTNMLFRVLERMTCGSTTSSHESEGHVPWLPEFVPKIGLELIKHWLLGFSTSVGTKCRDSEGESFMKELICLRQKNDLEMSLASTCCLNGIVKIITTIDNLIQSAKIGIPSQEEQSLSKEGKVLKDGIVNGFMVDLRYMLDVFMYSVSSGWRHVQSIESFGRGGPVPGVGIGWGAPGGGFWSVAVLLAQTDAQFLVCLLESFEKASKDVVTEETAFTVQRVNASLGLCLTAGPRDKVVVEKTLDLLLHVSLLKHLDICIQNYLSNRGKTFSWQHEEEDYIHFSNMLSSHFRSRWLSEKVKSKAVDGSNSSGIKTSPKVGACLETIYEDSDMSSMASPCCNSLTLEWAHQKLPLPAHFYLSPISTIFHSKRAGTQKVDDVLQDSSSLLEVARCGLFFVLGVEAMSSFQGHIPSPVHHVSLTWKLHSLSVNFVVGMEILEHDRSRDNFEALQDLYGELLDEARLNQSKDVISEDKKNLEFLQFQSEIHESYSTFIEEVIEQFSAVSYGDVIFGRQVSLYLHRCVESSIRLAAWNTLSNARVLELLPPLEKCLSSAEGYLEPSEDNEAILEAYAKSWCSDALDRAAIRGSVAYTLVVHHLSSFIFHVCSTDKLVLRNRLARSLLRDYAGKSQHEGMLLNLIHHNKPSTSLMEEQLNSVLSEKSWLESRLKILVEACEGNSSLLTVVDKLKAILVKKS >Vigun02g045700.2.v1.2 pep primary_assembly:ASM411807v1:2:18271813:18283174:1 gene:Vigun02g045700.v1.2 transcript:Vigun02g045700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQKGREQPKKVKILSTSSLQINEKDAFQLVGSIVEKGISDSHNNPTTPFISFPKPSVLPFPVARHRSHGPHWRPLSNGKDEDGEADDGDNNVEDEEDKNFQEFERVSAFAKPVQRRRKKGLDFRKWKEISSDDGSSLGKESEEGVSRFSQSTGKKKYENGSKSLKKKTSSSDSNIISQMKVDMKPLLDDSDGRFVSSTKSMDIDTSNKGDHHNQSEFASGLDQICSDRMPDYNFGSLDVQRPMQTHLNSNMPSFSSSNCIISDQKSVSLESEIDYENQVRIQQMSAEEIAEAQAEIMNKMNPALLKVLQKRGQEKLKKHDGLKSEVDTGSESLKGHSQSLQDSKHLRTENGVSHTMMTPPSEEKPDDNKISAQTSTTASSSRWNAWSNRVEAIRELRFSLDGDVVDSEQSSVYDNVTERDYLRTEGDPGAAGYTIKEAVALTRSVIPGQRALALHLLSCLLDKALHYICKERTGHMTKHESKVDKSVDWEAVWAFALGPEPELVLSLRICLDDNHNSVVLACAKVVQCVLSCDANENYCDISEVATCDMDIFTAPVFRSRADINVGFLQGGFWKYSAKPSNIFPFSDDSMDNETEGKHTIQDDVVVAGQDFTVGLVRMGILPRLRYLLETDPTTALEECIISILTALARHSPTCANAVLKCERLVQTIVNRFTSDNFEIRSSMIRSVRLLKVLARLNRTICLEFIKKGYFRAMIWNLYQSPSSVDHWLRLGKEKCKLMSALIVEQLQFWRVCIQYGYCVSYFSEMFPALSFWLKPLSFEKLIENNVLDEYTSISREAYLVLESLSGRLPNLYSSKQCLNNQLPESTGDSEVWSWSYVGPMVDLAIRWMATRSDPKVSKFFEGQQDVRCDYSFQGFSSTPLLWVYTAVTNMLFRVLERMTCGSTTSSHESEGHVPWLPEFVPKIGLELIKHWLLGFSTSVGTKCRDSEGESFMKELICLRQKNDLEMSLASTCCLNGIVKIITTIDNLIQSAKIGIPSQEEQSLSKEGKVLKDGIVNGFMVDLRYMLDVFMYSVSSGWRHVQSIESFGRGGPVPGVGIGWGAPGGGFWSVAVLLAQTDAQFLVCLLESFEKASKDVVTEETAFTVQRVNASLGLCLTAGPRDKVVVEKTLDLLLHVSLLKHLDICIQNYLSNRGKTFSWQHEEEDYIHFSNMLSSHFRSRWLSEKVKSKAVDGSNSSGIKTSPKVGACLETIYEDSDMSSMASPCCNSLTLEWAHQKLPLPAHFYLSPISTIFHSKRAGTQKVDDVLQDSSSLLEVARCGLFFVLGVEAMSSFQGHIPSPVHHVSLTWKLHSLSVNFVVGMEILEHDRSRDNFEALQDLYGELLDEARLNQSKDVISEDKKNLEFLQFQSEIHESYSTFIEEVIEQFSAVSYGDVIFGRQVSLYLHRCVESSIRLAAWNTLSNARVLELLPPLEKCLSSAEGYLEPSEDNEAILEAYAKSWCSDALDRAAIRGSVAYTLVVHHLSSFIFHVCSTDKLVLRNRLARSLLRDYAGKSQHEGMLLNLIHHNKPSTSLMEEQLNSVLSEKSWLESRLKILVEACEGNSSLLTVVDKLKAILVKKS >Vigun09g224700.1.v1.2 pep primary_assembly:ASM411807v1:9:39754135:39760245:-1 gene:Vigun09g224700.v1.2 transcript:Vigun09g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDDDSEGKRLKLRQQKVLNCGVKNFQRDLVAGALMGGVVHTIVAPIERAKLLLQTQESNLAIVASGRRRFKGMFDCIARTVREEGILSLWRGNGSSVIRYYPSVALNFSLKDLYKTILRGGNSSENNLLPGATANFVAGAAAGCTTLVLVYPLDIAHTRLAADIGSTEVRQFRGIFHFLATIFHKNGVRGIYRGLPASLQGMVVHRGLYFGGFDTMKELLSEKSKPELALWKRWFVAQAVTTSAGLISYPLDTVRRRMMMQSGMEQPVYDSTLDCWRKMYRTEGVSSFYRGAVSNMFRSTGAAAVLVLYDEVKKFMNWESF >Vigun03g155400.1.v1.2 pep primary_assembly:ASM411807v1:3:16544084:16549560:-1 gene:Vigun03g155400.v1.2 transcript:Vigun03g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLQRTRISKFHGFLRNLVPSPSSKPKAKAVHFLNQHQGKPKSKPITPFRSDSNTNMKKLKKLNMKLKEKKKDEPRDYMRNVIGKIYNTLKYSTWETAESELNNIPLKWDSYTVNKVLKSHPPMEKAWLFFNWASGLRGFKHDQYTYTTMLDIFGEAGRVSSMKHVFQKMQEKGIKVDSVTYTSMMHWLSSSGNVDEAMQMWEEMKFKGCHPTVVSYTAYMKILFDNKKVKEATRVYKEMISSGVAPNCHTYTVLMDHLIGSGKCKEALEIFEKMQEAGAQPDKAACNILIERCSKVGGTEFMTHILQYMKENRLVLRYPVFSEALEALKVAGESDTLLRQVNPQFYIECSVSKNKIDSITVAADSPTNMDKELLFVLLKNRNVVAIDHLVRGMMEKKLSLDHKVVSTIIEVNCSHCRPEGALLAFKYSVTMGISIERTGYLSLMGLLTRSNMFSKLVDVVEEMTRAGHSLGIYLASLVIFRLGCARKHTFAIKIFNLLPDNHKCTATYTALISVYFSAKRVDKALEIYKTMCSKGFCVVLGTYNVLIDGLERNGRYTEAEHYRKEKKTLHANSGSQESVSIEGKICNLIFSVDVIL >Vigun01g056000.1.v1.2 pep primary_assembly:ASM411807v1:1:10957828:10967992:-1 gene:Vigun01g056000.v1.2 transcript:Vigun01g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAGAGSTDPTAARRNTKRPKYSKFTQQELPACKPILTPRAVISAFLLVSIVFVPIGVASLIASRKVVEIVFRYESTCIPNNVTDKVAYIQSSANKACNITLHVHKHMKSPIHVYYQLDNFYQNHRRYVKSRSDEQLRDHNEENSTSACKPEDTANGKAIVPCGLIAWSLFNDTYSFSRDNRNLTVNKEGISWKSDREHKFGKDVFPKNFQNGSIRGGAILNESVPLSKQEDLIVWMRTAALPTFRKLYGRIEEDLNEGDTINVILQNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALAFTIVYFVKPRQLGDPSYLSWNRNPGGH >Vigun06g034100.1.v1.2 pep primary_assembly:ASM411807v1:6:14511037:14515163:-1 gene:Vigun06g034100.v1.2 transcript:Vigun06g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKASYTVVPNEATPEGIEWLSDIDQVARLCHTQTIYVFHAKHNLDALVQQMRNSLSKILCIYYPLAGRLRRLEEGGRWEVDCNAKGAMLFEAESTKTVNYYGDFLGDSANDLVPKVNYTNTLIQDIPLLLVQVTSFLGNEAFSIGVVVSHILFDGISAIHFINSWAKLARGDTLESHEMPFLDRTVLKFTAPPPPPRFDHQEFKPMPLILGRSDNTVEKNKRVSAISLKLTTEQVGKLKNKANADGSTKGSRPYSRFEAIAAHVWRSASKARGLDENQPTLLRFSGDIRNRLIPPLPRNYIGNALSIVSVSSHVGEILSSPLGHVAQKIREAVEMITHEFICSQIDVIRGQEHVNKARTLYYGANEGKDVLFFGNPNLRITSWLSMPMHEANFGWGKPVYSGLAGKAAQERAVITQSPDGDGSVILVLHFQVEHMELFKNYFYEEI >Vigun02g135400.1.v1.2 pep primary_assembly:ASM411807v1:2:28532239:28536613:1 gene:Vigun02g135400.v1.2 transcript:Vigun02g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRQIFGVSLSLILINLAAVMEKADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTVLAMGTFCWALSTAAVGVCHNFLQVAFWRAINGFGLAIVIPALQSFIADSYRDGVRGTGFGLLSLIGNLGGIGGGVVATVMAGKQFWGLEGWRCAFVLMATLSALIGFLVLLYVVDPRKRFPTTRDASESSDSRDDSIYKGNASAASIWMDSWAATKAVIKVKTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSASLLGLFAIGCAMGSLIGGSIADRLTQVYPHSARTMCAQFSAFMGIPFSWILLRMIPQSVSSFLTFSVTLFVMGLTISWNGTAANAPMFAEVVPVKHRTMIYAFDRAFEVSFSSIAAPLVGILSEKTFGYNSKSVDPIKGSSPEALALSKGILSMMAVPFGLCCLCYTPLYYIFKQDRENARMLAVKEEEMM >Vigun02g135400.2.v1.2 pep primary_assembly:ASM411807v1:2:28532137:28536860:1 gene:Vigun02g135400.v1.2 transcript:Vigun02g135400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRQIFGVSLSLILINLAAVMEKADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTVLAMGTFCWALSTAAVGVCHNFLQVAFWRAINGFGLAIVIPALQSFIADSYRDGVRGTGFGLLSLIGNLGGIGGGVVATVMAGKQFWGLEGWRCAFVLMATLSALIGFLVLLYVVDPRKRFPTTRDASESSDRDDSIYKGNASAASIWMDSWAATKAVIKVKTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSASLLGLFAIGCAMGSLIGGSIADRLTQVYPHSARTMCAQFSAFMGIPFSWILLRMIPQSVSSFLTFSVTLFVMGLTISWNGTAANAPMFAEVVPVKHRTMIYAFDRAFEVSFSSIAAPLVGILSEKTFGYNSKSVDPIKGSSPEALALSKGILSMMAVPFGLCCLCYTPLYYIFKQDRENARMLAVKEEEMM >Vigun03g443700.1.v1.2 pep primary_assembly:ASM411807v1:3:64597288:64602878:1 gene:Vigun03g443700.v1.2 transcript:Vigun03g443700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIVVSIGLPLIGAILISMFMAAITCFTEEQRSIYLVLMEGDDALAFTKHSSTMHSNSEASKAHEKHIISSHDVFLQTTLDTGSYNKLHSYKHIINGFSVHTTPSQAERLRSNPGVKLVEKDRGVKKMTTYTPEFLSLPQGIWAQEGGETNAGEGVVIGFVDSGINPFHPSFAYDPKLPFKSNLSRFEGACMTGPLFPHTSCNGKIVAARYFSAGAEAAATLDPSKDFLSPYDADGHGSHVASIAAGNAGVPVVVNSFCYGKASGIAPRARIAVYKAIYPTVGTIADVIAAIDHAVLDGVDILSLSVGPKGPQEGTLTFLNMFELSLLFARKAGVLVVQAAGNYGPASSSVVSFSPWSVTVAASTTNRRYPSSLLLGNGAVLSGAGLSGPSFGNGSVWHKLVLAKDAYKMSGTDPKTEDYIKECQHPEVLDPRVVLGSIIICTFSGGFYNGTSTLAAVIGTVKALGMEGFVLVANPSYGDYIAEPMPFAFSGILIPRAKDAKAILEYYEEETKRNQKGNAIEFGALAAVGEGRLASFTGRSPVVSRFSSRGPDIIDIKKHVADVLKPDILAPGHQIWAAWSPLSTSEPLLKGNSFALLSGTSMSTPHVAGIAALMKQHNPSWTPSMIASAISTTSSKHDHLGQPIMAEGYGINTLLTATPFDYGSGFVNPNRAVDPGLVLSSNYADFISFLCSLPDIDTNVVVAATGEQCNHPFANPFGLNLPSVTVSELRGSVSVRRTFMNVGESTETYLASVIPPNGTEICLYPTWFTLTPQGTQDLDIQITVVQPMRNFTFGEIVLAGNLNHIVRITLSVLAVSVY >VigunL021000.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000277.1:23974:28481:-1 gene:VigunL021000.v1.2 transcript:VigunL021000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEQLRARRPYNAISEDGSGWVSLSSKPENSLDSNNDVSPPRKRKGVDLSPGQWSKRNDTPSPDYRTSILQDISPPRRGHDSPPQDALHGSVASDLSPPRKILKNAARTGLPDISRGRSTEDFSPPRRGHRGFESSDLQDISPPRRSRHDSPSQDVLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHSSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMEPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKESGFVVPQDIPNHNWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun02g024600.1.v1.2 pep primary_assembly:ASM411807v1:2:8210399:8222284:-1 gene:Vigun02g024600.v1.2 transcript:Vigun02g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSLQGFPVRTAFHTAAFAPAISHSLKLPPQSSSKLGTVVKMSATEVTDSRISYESFAIKPPEHPTYDLKGVIKLALAEDAGDRGDVTCKATIPSDMAVEAYFLAKEDGIIAGIALAEMIFHEVDPSLKVEWCRNDGDFVHKGLQFGKVHGRAHNIVVAERVVLNFMQRMSGIATLTKEMANAAYPAYMLETRKTAPALRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISAAGGVTGALKAVDLYLKQNSLQMEVEVETRTLEEVEEVLHYSSQAKTSLTRIMLDNMVVPLPNGDVDISMLKEAVQLINGRYETEASGNVTLDTVHKIGQSGVTYISSGSLTHSVKALDISLKIDTELALKVGKRTGRA >Vigun09g188800.1.v1.2 pep primary_assembly:ASM411807v1:9:36335061:36338287:1 gene:Vigun09g188800.v1.2 transcript:Vigun09g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLIAVGTLRLASVWFGFFDIWALRLAVFSKTTMTEIHGRTFGTWTLLTCTLCYICAFNLENKPLYLATFLSFIYALGHFLTEYLIYHTMEIKNLTTVGIFAGTSIIWMLLQWNSHSKVHLKHS >Vigun09g201600.6.v1.2 pep primary_assembly:ASM411807v1:9:37584879:37588587:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun09g201600.3.v1.2 pep primary_assembly:ASM411807v1:9:37584879:37588617:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEGSVASVDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun09g201600.5.v1.2 pep primary_assembly:ASM411807v1:9:37584879:37588588:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEASVDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun09g201600.2.v1.2 pep primary_assembly:ASM411807v1:9:37584872:37588617:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun09g201600.4.v1.2 pep primary_assembly:ASM411807v1:9:37584879:37588587:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMACFGFRFCYIIVKRVQHMVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEGNWWTSCILYHAFNNFRSSRNRRRARAGDPSSRGNRPLSSDTSNSDEGSVASVDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun09g201600.1.v1.2 pep primary_assembly:ASM411807v1:9:37584879:37588587:-1 gene:Vigun09g201600.v1.2 transcript:Vigun09g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMEDIQLDTSWEDVICPICLEVPHNSVLLQCSSYDKGCRCFVCDSSQLHSNCLDRFKRTQMSNANSESVVSDCEYNLSCPLCRGDVSGWIIVDKARVHLDEKKRCCDEQQCAFVGSYSELQQHAQLEHPHACPSKIDPVRQLDWENFQQSSEIVDVLSTIHSEIPRGVVLGDYVIEYGDDDSADEFEDFPGDEGNWWTSCILYHAFNNFRSSRNRRRARAGDPSSRGNRPLSSDTSNSDEGSVASVDYGEYLEEIDDDFVSSIGPLRGGNGFRRSRRRHSRSNDNN >Vigun03g231800.1.v1.2 pep primary_assembly:ASM411807v1:3:38614846:38619553:-1 gene:Vigun03g231800.v1.2 transcript:Vigun03g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISQNKDRILSLIQPMKGSQVELWSVWLSGFVFITLSLYATQRLPSLKDHSRASKDVISDSTSITIFTAPKPFKGSTGTKQTLAVRSWLALSPYVTVVLYSQDPSVASFADVFDSRVLADTNIDFTFLGTPFFHSMIAKSSSYISDISVIVDPETIILSGFISTLNHVYQLDHDWLLVASPQNVSSFPFHLDESGKHWQSSNGKRMKIQQLQKILQQNWQGKRCYTRMLMVWNSKDVPLHDGVLPPFLYGKGVHNNWVIHEAMSSEFRFVFDASFTITSFYLTTQGNSSALDVENRNWEYIGNSHVGANYGSFFYSEDNSNLVKLLKCNKQYIMVDTKKNAVYSIGHQGAINLMKEKYFPSWLKENTMYCVDRQKPQTASLDCCMKDQMKISATLELPFSLESLLSITADKNKTVIVTVAGYSYKDMLMSWVCRLRELFVENFVVCALDQETYQFSILQGIPVFTDPTAPSNISFDDCHFGTKCFQRVTKVKSRIVLKILKLGYNVLLSDVDVYWFKNPVPLLHSFGPAVLAAQSDEYKKQGPINLPRRLNSGFYYAHSDTQTIAAIEKVVRHAETSGLSEQPSFYDTLCGEGGSNRVGDNQCVEPETNLTVHFLDRDLFPNGAYQELWWEIDVKAACLKKGSYIIHNNWISGRLKKLERQVLSGLWEYDPGTRMCLWSWHGTVSNSEVNFEERVDSFLSSL >Vigun10g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23344900:23347815:-1 gene:Vigun10g082600.v1.2 transcript:Vigun10g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLVSPVLAMPLFWLCLCHNLFPVSGLCSDDQRSLLLQLKNNLTFTFQRSTKLKSWDPSNDCCRWIGVSCDKKGHVTALDLSGESITGGIDDSSSLFSLQYLQKLNLAENDFYSVIPSAFNRLENLTYLNLSYAGFVGQIPIEISQMTRLVTLDLSCFPNFRLKLENPDLRKLVQNISSIRQLYLDGVNISVAGHEWSSVLMSMHDLQEVRMAHCILSGPLDPFLSRHESLSVIVLDENNLSSAVPETFANFKFLTILSLSECKLTGTFPQKIFNIGTLLVVDVSWNDHLQGFFPDFPLGSLHTLIVSGTNFSGAFPRSIGNMRNLSHLDFSYCQFNGTIPNSLSNLTELTFLVLSYNNFTGQIPSFNMANKLTVLDLSYNGLSGSIPSSYFEGLNNLVSIDLLFNSISGSIPSSLFTLPHLQTIVLSYNRFGQLDEFTSVSSSKLTTLDLSSNNLSGPFPTSIYQLSGLSVLELSSNKLNGTMQLNKLAKFRNLTTLDLSYNNLSVEANFTNADASYFPSITELRLVSCNLKSFPGFLRNHSEMVFLDLSDNHIQGIVPNWIWKLNLAELYISHNLLTHSEGPLRNFSTYLGILDLHDNELHGQISYIPTYAEYLDFSRNTFRGSIPDSLCNALFLSLIDLSDNNISGTIPSCLFETGGSLEVLNLRKNSLMGPAVPGKISGDCSLRTLDLHQNKFDGKIPKSLSNCTSLRVLDLGQNKITDVFPCLLKNISTLQVLVLRENNLYGHIGCPNTNATWPVLQILDLAINNFSGKLPQSFFTRWQATMSHENQSAYMVKHIDYESVVGREFRKIYYDSVTVTMKGQRRDLVKILSVFTSIDFSFNNFEGEIPKQLFDFKELYALNLSNNAFSGQISPSIRNLKELESLDLSNNSFEGNIPTELASLSFLSFLNLSSNHLVGKIPTGTQLQSFPASSFQGNDGLYGPPLTDEFDGREPGVLEQHQTFSFYH >Vigun03g359900.3.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIQEIRSLISSNDKFNKSSGYSSLLQFQQHSCVNASSLQFLAHSAQSIISSIVSDIVDDHDEEIATQALKCLGFMLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKVVPSIPT >Vigun03g359900.4.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKVVPSIPT >Vigun03g359900.2.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKIVSFPLLEWLSNMGMQDDKTNNQLQLLWTEILSCLRRSQPPINFGSALLKLHEPLLEKTLNHPYPSISEPTINFWNSTFGEQIILDFPSNLLCVLDRLSRNGRLKLQKRSLPCLEKCQSPEEAIDAPQGYKVSAKHNSTSKRVELVLDTLQEAPPLSCKKRRLELTEHQREVRRAQLGRERDTGGHGPGIRTYTSADFTQGNDDSQESQEEIVRDPEAILQMLLKTI >Vigun03g359900.7.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIQEIRSLISSNDKFNKSSGYSSLLQFQQHSCVNASSLQFLAHSAQSIISSIVSDIVDDHDEEIATQALKCLGFMLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTK >Vigun03g359900.6.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIQEIRSLISSNDKFNKSSGYSSLLQFQQHSCVNASSLQFLAHSAQSIISSIVSDIVDDHDEEIATQALKCLGFMLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKKVQLSYDTG >Vigun03g359900.5.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKIVSFPLLEWLSNMGMQDDKTNNQLQLLWTEILSCLRRSQPPINFGSALLKLHEPLLEKTLNHPYPSISEPTINFWNSTFGEQIILDFPSNLLCVLDRLSRNGRLKLQKRSLPCLEKCQSPEEAIDAPQGYKVSAKHNSTSKRVELVLDTLQEAPPLSCKKRRLELTEHQREVRRAQLGRERDTGGHGPGIRTYTSADFTQGNDDSQESQEEIVRDPEAILQMLLKTI >Vigun03g359900.1.v1.2 pep primary_assembly:ASM411807v1:3:56267880:56275632:-1 gene:Vigun03g359900.v1.2 transcript:Vigun03g359900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIQEIRSLISSNDKFNKSSGYSSLLQFQQHSCVNASSLQFLAHSAQSIISSIVSDIVDDHDEEIATQALKCLGFMLYHPSIVSVLRVDDVNLVLSTLPKLITTTKLKSACNLGVWCLSVQQLDATFLVTHFHYLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMKGSSHVWAPPIYRRLLSTDKRERDASERCLLKIRSTVIPPSLDLSKVIIKDMKIKLLSGMKVLLENGMKTQAVCAWGWFVRMLGSHALKSRHLVNDMLKIPERTFTDLDPQVQIATLVAWEGLIDALVHGPMLVSEKNASAEENSLSGRKICDDQANGLSKSIKLIMTPLIGIMSSKCDVSVHSSCLNTWCYLLHKLDISVNEPSMIKIVLEPILKSIFQNGPDSKNICLWSLGLDLLTDSISQKSREVLFQSTGLVSHRNSENGHSQSGKCSWKQHQIRWMPWNINQLDFYLSTIFHVFHQASLPTVTYDHRSHVYDAALKLFIHTLKGVKLHVESPSTNYGGIMQCLTSLITFIKKVCEDSCSDVGENYDIYCTSVQFVDAITKELGPSILGSPLYKISLDLKYFEDTQSVDNNKHLKFLSVTSVSYMDKVSPLVYLIALYFYMMVQLTMKSHQTDHVSQGMCEYFKFMFSSSDPLEDLLTSIIFLYRHVQPIYLNIWVALAQGLNYCVSDPNCKSLQEALSDSTVYSSICHLLIYPILALSEVPRMTVTASGSLDKYFVSPERKPRFELVIQTWKALHGSLCTLFIRCSSTTNFSGDLCLLLSSYLDENSGMVESGADFDLTRNDVDLGFLHLSGNFLICILEQIQTLELVSELDRSKFDRDRKILFCITNCLKFVTKYMNLLRTKMVRDPVPELPGFVGTSRLYSALACFISCLHGKQDILHFLKIVSFPLLEWLSNMGMQDDKTNNQLQLLWTEILSCLRRSQPPINFGSALLKLHEPLLEKTLNHPYPSISEPTINFWNSTFGEQIILDFPSNLLCVLDRLSRNGRLKLQKRSLPCLEKCQSPEEAIDAPQGYKVSAKHNSTSKRVELVLDTLQEAPPLSCKKRRLELTEHQREVRRAQLGRERDTGGHGPGIRTYTSADFTQGNDDSQESQEEIVRDPEAILQMLLKTI >Vigun09g094900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14003959:14006864:-1 gene:Vigun09g094900.v1.2 transcript:Vigun09g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRGLATTQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQRAVKEWNIHQKHMFVINFGDIVDGFCPKDQSLGTVQKVVDEFEKFTGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNENKNSPDNLDGLERRFVMFNGGLGKEQMEWLDGVLLDATILNQKVIVCCHLPLDPGAANLDTLLWNYDEVMNLIHRYNCVKACLAGHDHIGGYSIDSHGIHHRVFEAALECPPGTSAFGYIEVYDDRISLIGTDRMESTDMHFSPRS >Vigun09g094900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14004121:14006823:-1 gene:Vigun09g094900.v1.2 transcript:Vigun09g094900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRGLATTQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQRAVKEWNIHQKHMFVINFGDIVDGFCPKDQSLGTVQKVVDEFEKFTGGPVYHMIGNHCLYNLPRSKLLPLLKIQSLDGRAYYDFSPVPEYRFVVLDAYDISAIGWPQDHPKTLEAMKILREKNPNENKNSPDNLDGLERRFVMFNGGLGKEQMEWLDGVLLDATILNQKVIVCCHLPLDPGAANLDTLLWNYDEVMNLIHRYNCVKACLAGHDHIGGYSIDSHGIHHRVFEAALECPPGTSAFGYIEVYDDRISLIGTDRMESTDMHFSPRS >Vigun02g147400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29511074:29513704:1 gene:Vigun02g147400.v1.2 transcript:Vigun02g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGVVLSRKDMDRIKGPWSPEEDDALQKLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIVRAHARFGNKWATIARLLQGRTDNAIKNHWNSTLKRKCASSIMDDHPPPLKRSVSAGAAIPVSTGLYINPPTPGSPSGSDVSESSVPLASPSHVFRPVPRTAAVLPPVETTSSSNDPPTSLSLSLPGVDSSEVSNRVTEPPPPPPPQPSNTIPLLPMMAAPMPVVPQLKPPGLGAFNLSAEFLAVMQDMIRKEVRSYMERQNQNPNGMCFQAADDGFRNTSVKRIGISRVDS >Vigun03g401700.1.v1.2 pep primary_assembly:ASM411807v1:3:60874801:60884094:-1 gene:Vigun03g401700.v1.2 transcript:Vigun03g401700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSENEPCHSPSASDQTRPPPPSNVCIQKFRLYETRSNFYMIGRDKTRTYWRVLKIDRLDPSELNLREDSTTYTESECSDLLRRIHEGNKSTGGLKFVTTCYGIVGFIKFLGPYYMVLITKRRQIGAICGHTVYAVSKSEMIPLPYSSVRSNINDKNENRYKRLLCMVDLTKDFFFSYSYHIMRSLQRNMCDNETGHVLYETMFVWNEFLTRGIRNHLQNTIWTVALVYGFFKQDTFMISGREFILTLIARRSRHYAGTRYLRRGVNEKGRVANDVETEQMVFEDVPEGFPIQISSVIQNRGSIPLFWSQETSKLNIKPDIILSKRDQNYQATRLHFENLVKRYGNPIIILNLIKTHEKKPRESILRAEFANAIDIINKDLSGESRLRFLHWDLHKHFQSKATNVLQLLAKVAAYALALTGFFYCQATPTLRLEESLNWPTTDNVKATYSPTGPVNDDNEDANNLERKPSEGNNNVIETHSTKPPKLQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAVGVIDHPKIDLDDPVAEDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAMKQDAINVFLGHFQPQQGKPALWELDSDQLYDTARPGEDDSRSFFKRSFSDGNILNESSTPMSAPNASHVKFQSQFLSDRLEDGGKGLTESAPEISTAENDITFSRYTPTIPRRNLFGDMQRERCVESGHICNPDHGDSFSCSNFVDLDWLSSSANSCEEEPFERSSIINSPIAGVSSENVVNGIMVGEATASTSDWGASSLKGGERTESELSYGNSQSNTGEEFPDTFAQWVSYGQTLCH >Vigun09g122100.3.v1.2 pep primary_assembly:ASM411807v1:9:27281931:27284653:1 gene:Vigun09g122100.v1.2 transcript:Vigun09g122100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEWPIIEALPSYGRGRERLGGRHISLIHGNGISNVVITGQNGTVDGQGKMWWELWWNRTLEHTRGHLLELINSDNVLISNLTFRNSPFWTIHPVYCRNVVIKGMTILAPLNAPNTDGIDPDSSSNVCIEDNYIESGDDLVAIKSGWDQYGINVAHPSTNIIVRRISGTTPTCSGVGIGSEMSGGISNIIIENLHVWDSAAGVRIKSDKGRGGYVANVSISDIRMERVKIPIRFSRGSNDHPDDGWDPKAVPRFKDILISNVVSINSTKAPVLEGVEGSSFEGLCFKNITLHGVALSKRWRCEYVSGFATEVFPVPCLELRNNSYSSWCSPS >Vigun09g122100.2.v1.2 pep primary_assembly:ASM411807v1:9:27281825:27284653:1 gene:Vigun09g122100.v1.2 transcript:Vigun09g122100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVKNCFLTSVATNGTISNHMDPKEWPIIEALPSYGRGRERLGGRHISLIHGNGISNVVITGQNGTVDGQGKMWWELWWNRTLEHTRGHLLELINSDNVLISNLTFRNSPFWTIHPVYCRNVVIKGMTILAPLNAPNTDGIDPDSSSNVCIEDNYIESGDDLVAIKSGWDQYGINVAHPSTNIIVRRISGTTPTCSGVGIGSEMSGGISNIIIENLHVWDSAAGVRIKSDKGRGGYVANVSISDIRMERVKIPIRFSRGSNDHPDDGWDPKAVPRFKDILISNVVSINSTKAPVLEGVEGSSFEGLCFKNITLHGVALSKRWRCEYVSGFATEVFPVPCLELRNNSYSSWCSPS >Vigun09g122100.4.v1.2 pep primary_assembly:ASM411807v1:9:27281131:27284653:1 gene:Vigun09g122100.v1.2 transcript:Vigun09g122100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWELWWNRTLEHTRGHLLELINSDNVLISNLTFRNSPFWTIHPVYCRNVVIKGMTILAPLNAPNTDGIDPDSSSNVCIEDNYIESGDDLVAIKSGWDQYGINVAHPSTNIIVRRISGTTPTCSGVGIGSEMSGGISNIIIENLHVWDSAAGVRIKSDKGRGGYVANVSISDIRMERVKIPIRFSRGSNDHPDDGWDPKAVPRFKDILISNVVSINSTKAPVLEGVEGSSFEGLCFKNITLHGVALSKRWRCEYVSGFATEVFPVPCLELRNNSYSSWCSPS >Vigun09g122100.1.v1.2 pep primary_assembly:ASM411807v1:9:27280890:27284653:1 gene:Vigun09g122100.v1.2 transcript:Vigun09g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKTTFAALFLTTLKRPSWALLFLLFTLLTFLSLHLPARPVWLLAGPHPGPYPTSCAAFFRDVPPRKVVMSIQDFGGVGDGTTSNTESFRRAVQYMQRFQNRGGGQLNIPAGTWLTGSFNLTSNFTLFLEHGAVILASQDPKEWPIIEALPSYGRGRERLGGRHISLIHGNGISNVVITGQNGTVDGQGKMWWELWWNRTLEHTRGHLLELINSDNVLISNLTFRNSPFWTIHPVYCRNVVIKGMTILAPLNAPNTDGIDPDSSSNVCIEDNYIESGDDLVAIKSGWDQYGINVAHPSTNIIVRRISGTTPTCSGVGIGSEMSGGISNIIIENLHVWDSAAGVRIKSDKGRGGYVANVSISDIRMERVKIPIRFSRGSNDHPDDGWDPKAVPRFKDILISNVVSINSTKAPVLEGVEGSSFEGLCFKNITLHGVALSKRWRCEYVSGFATEVFPVPCLELRNNSYSSWCSPS >Vigun03g276000.1.v1.2 pep primary_assembly:ASM411807v1:3:45231122:45234222:-1 gene:Vigun03g276000.v1.2 transcript:Vigun03g276000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSSLCMSRSDSLVLNDILVIKPPKKSPLLLRMSVLIFSMVCGVFICSVCLKQISTHARTMLMELQSEKPLRNRLGLTDVPLLHYPNPVSFNRSECAGNPVRFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVRERRVNVSTIVQTLDKVYNLDWFSSASKNECSAAIGLKWMLNQGLMEHPKEVADYFNRRGVSVIFLFRRNLLRRMVSMLANSYDRYAKLLNGAHKSHVHSTQEAETLSKYKPIINSTSLLADLKDMEMRTAKALEYFSINRHMILYYEDLITNRTKLKDVQDFLGLPPRELTSRQIKIHRGSLSDHIKNWDDVNKTLHGTAYECFLQTDYSS >Vigun03g276000.2.v1.2 pep primary_assembly:ASM411807v1:3:45231122:45234222:-1 gene:Vigun03g276000.v1.2 transcript:Vigun03g276000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDMCFFNKDILVIKPPKKSPLLLRMSVLIFSMVCGVFICSVCLKQISTHARTMLMELQSEKPLRNRLGLTDVPLLHYPNPVSFNRSECAGNPVRFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVRERRVNVSTIVQTLDKVYNLDWFSSASKNECSAAIGLKWMLNQGLMEHPKEVADYFNRRGVSVIFLFRRNLLRRMVSMLANSYDRYAKLLNGAHKSHVHSTQEAETLSKYKPIINSTSLLADLKDMEMRTAKALEYFSINRHMILYYEDLITNRTKLKDVQDFLGLPPRELTSRQIKIHRGSLSDHIKNWDDVNKTLHGTAYECFLQTDYSS >Vigun03g276000.3.v1.2 pep primary_assembly:ASM411807v1:3:45231115:45234222:-1 gene:Vigun03g276000.v1.2 transcript:Vigun03g276000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIFSMVCGVFICSVCLKQISTHARTMLMELQSEKPLRNRLGLTDVPLLHYPNPVSFNRSECAGNPVRFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVRERRVNVSTIVQTLDKVYNLDWFSSASKNECSAAIGLKWMLNQGLMEHPKEVADYFNRRGVSVIFLFRRNLLRRMVSMLANSYDRYAKLLNGAHKSHVHSTQEAETLSKYKPIINSTSLLADLKDMEMRTAKALEYFSINRHMILYYEDLITNRTKLKDVQDFLGLPPRELTSRQIKIHRGSLSDHIKNWDDVNKTLHGTAYECFLQTDYSS >Vigun02g049500.1.v1.2 pep primary_assembly:ASM411807v1:2:19006166:19009186:-1 gene:Vigun02g049500.v1.2 transcript:Vigun02g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVESKGGAIACMLVSLLFLGTWPAVMTLLERRGRLPQHTYLDYTLTNLMAAVIIAFTFGEVGNTAPNFLSQLHQENWPSVLFAMGGGVVLSVGNLSTQYAWAFVGLSVVEVITSSITVVIGTTFNYFLDDKINKAEILFPGVGCFLIAVCLGSAVHSSNSADNKAKLNEFSADYKDGAKNTTLTTSIETSEVDSKDLEDGSTSVHKAKAGTAAFLIELEKKRSIKVLGKSTFIGLAITFFAGVCFSLFSPAFNLATNDQWHTLKKGVHHLSVYTAFFYFSISCFVIAIILNVTFLYHPVLNLPKSSLKAYLRDWDGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVLFGEYRKSSKRTYMLLGSMLLMFIVAVAVLMASSGHRK >Vigun11g218500.1.v1.2 pep primary_assembly:ASM411807v1:11:41139798:41140592:1 gene:Vigun11g218500.v1.2 transcript:Vigun11g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFTTTTVMASKTKRNTLLLLFICLLFSFSSKAIATRKLAANYAGSNDERGVSRRGLGSAPCTRGRETFEKRPYDNPRCHNYL >Vigun11g139700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34914931:34915786:-1 gene:Vigun11g139700.v1.2 transcript:Vigun11g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVVVIALTVVVVMVGNCCMGMNKMQEHMNGDNNVEHDEIPVNSYSDVTIHQSPGTGGPGERTVSHHEIPRNKDFGQSGPQGNA >Vigun11g163500.1.v1.2 pep primary_assembly:ASM411807v1:11:37111275:37116163:1 gene:Vigun11g163500.v1.2 transcript:Vigun11g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQQVHASNSSYLISHRPSLRGIPQPSFQLWSRPSSFPTQRKLKRVSHGCRNDVTKIKAVAVNKTEKSVKVKATISVQPTIGGIFSSLAIDADDLTDLLGKSLFVELLSAELDPKTKLEKKPIQDFAHRTHRSPKEVRYLAEFDVPVDFGEIGAILVENEHRREMFIKEIILDGFELGPVRFTCESWLHPKKDNPVKRVFFPDKSYLPSETPEGVKRVREEELQHLRGNGQGERKKFDRIYDYDVYNDLGDPDKDPDLQRPVLGGAEHPYPRRCRTGRPRSAKDSLSEERTSDVYVPRDECFSEVKQLTFNSKTLASALQALVPALTALIVDKNLPFQVFSEIDALYDEGVPLPAGQGKVKLSTLLPRLVSLIKDRGEDILRFEIPATMDKDRFFWLRDEEFGRQTLAGLNPCCIQLVTEWPLKSNLDPAIYGPAESAITTELVEQEIRGFLTVEEAIKQKRLFVLDYHDLLLPLVEEVRKIEGTTLYGSRALFFLTRDGTLRPLAIELVRPPIDGKPQWKKVFAPTWHSTGVWLWRLAKIHVLAHDTGYHQLVSHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGIIEQCFTPQKHSVLLSSIAYDKHWRFDLQSLPKDLIHRGLAVEDPTAPHGLKLTIEDYPYANDGLDLWAAFKSWFTEYIDHYYADSNAVQSDTELQAWWDEVINVGHADKKDEPWWPALKTKEDLVEVVTTIAWTTSGHHAAVNFGQFSFAGYFPNRPTIARTNLPLEDPSDPEWELFLQKPEVTMLKCFPSQYQATTVITVLDVLSNHSPDEEYIGTSVEPAWEQEPRVKAAFEKFKGRLNELEGTIDERNADLTKKNRNGAGVVPYELLKPTSEAGVTGKGVPYSISI >Vigun11g163500.3.v1.2 pep primary_assembly:ASM411807v1:11:37112746:37116163:1 gene:Vigun11g163500.v1.2 transcript:Vigun11g163500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKEIILDGFELGPVRFTCESWLHPKKDNPVKRVFFPDKSYLPSETPEGVKRVREEELQHLRGNGQGERKKFDRIYDYDVYNDLGDPDKDPDLQRPVLGGAEHPYPRRCRTGRPRSAKDSLSEERTSDVYVPRDECFSEVKQLTFNSKTLASALQALVPALTALIVDKNLPFQVFSEIDALYDEGVPLPAGQGKVKLSTLLPRLVSLIKDRGEDILRFEIPATMDKDRFFWLRDEEFGRQTLAGLNPCCIQLVTEWPLKSNLDPAIYGPAESAITTELVEQEIRGFLTVEEAIKQKRLFVLDYHDLLLPLVEEVRKIEGTTLYGSRALFFLTRDGTLRPLAIELVRPPIDGKPQWKKVFAPTWHSTGVWLWRLAKIHVLAHDTGYHQLVSHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGIIEQCFTPQKHSVLLSSIAYDKHWRFDLQSLPKDLIHRGLAVEDPTAPHGLKLTIEDYPYANDGLDLWAAFKSWFTEYIDHYYADSNAVQSDTELQAWWDEVINVGHADKKDEPWWPALKTKEDLVEVVTTIAWTTSGHHAAVNFGQFSFAGYFPNRPTIARTNLPLEDPSDPEWELFLQKPEVTMLKCFPSQYQATTVITVLDVLSNHSPDEEYIGTSVEPAWEQEPRVKAAFEKFKGRLNELEGTIDERNADLTKKNRNGAGVVPYELLKPTSEAGVTGKGVPYSISI >Vigun11g163500.2.v1.2 pep primary_assembly:ASM411807v1:11:37112747:37116163:1 gene:Vigun11g163500.v1.2 transcript:Vigun11g163500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKEIILDGFELGPVRFTCESWLHPKKDNPVKRVFFPDKSYLPSETPEGVKRVREEELQHLRGNGQGERKKFDRIYDYDVYNDLGDPDKDPDLQRPVLGGAEHPYPRRCRTGRPRSAKDSLSEERTSDVYVPRDECFSEVKQLTFNSKTLASALQALVPALTALIVDKNLPFQVFSEIDALYDEGVPLPAGQGKVKLSTLLPRLVSLIKDRGEDILRFEIPATMDKDRFFWLRDEEFGRQTLAGLNPCCIQLVTEWPLKSNLDPAIYGPAESAITTELVEQEIRGFLTVEEAIKQKRLFVLDYHDLLLPLVEEVRKIEGTTLYGSRALFFLTRDGTLRPLAIELVRPPIDGKPQWKKVFAPTWHSTGVWLWRLAKIHVLAHDTGYHQLVSHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGIIEQCFTPQKHSVLLSSIAYDKHWRFDLQSLPKDLIHRGLAVEDPTAPHGLKLTIEDYPYANDGLDLWAAFKSWFTEYIDHYYADSNAVQSDTELQAWWDEVINVGHADKKDEPWWPALKTKEDLVEVVTTIAWTTSGHHAAVNFGQFSFAGYFPNRPTIARTNLPLEDPSDPEWELFLQKPEVTMLKCFPSQYQATTVITVLDVLSNHSPDEEYIGTSVEPAWEQEPRVKAAFEKFKGRLNELEGTIDERNADLTKKNRNGAGVVPYELLKPTSEAGVTGKGVPYSISI >Vigun03g334600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53278453:53280594:1 gene:Vigun03g334600.v1.2 transcript:Vigun03g334600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNKKGSQEGDAPRKLFKPSNDPKEEGGQENEQNHETEIPDKTPRIGELYKDLSINVLLKLSRSDYGLVAALNSSFRTLIRSGELYRLRREMGVVEHWIYFSCNLHQWEAYDPNRERWMKLPKMPRNDCFMCSDKESLGVGTDLLVFGRDVSAPVVYGYSLLTNTWSIAVEMNIPRCLFASASIGGIAIVAGGVDPVGNVLNVAELYNSDTRKWETLPNMHRKRRMTSGVFMDGKFYVIGGIAEDNKTELTSGEEYDLERKTWREIPDMFPPRGGEVNIGAPPLVAVVNNVLFSADCNQHIVRRYEKGSNKWVTIGDLPERTSSVHGWGIGFRACGNRLMVIGGLTAESAGVTEINSWIPGEYPLQWNILGRRHIGSFVSNCAVMGC >Vigun03g334600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53277636:53280681:1 gene:Vigun03g334600.v1.2 transcript:Vigun03g334600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNKKGSQEGDAPRKLFKPSNDPKEEGGQENEQNHETEIPDKTPRIGELYKDLSINVLLKLSRSDYGLVAALNSSFRTLIRSGELYRLRREMGVVEHWIYFSCNLHQWEAYDPNRERWMKLPKMPRNDCFMCSDKESLGVGTDLLVFGRDVSAPVVYGYSLLTNTWSIAVEMNIPRCLFASASIGGIAIVAGGVDPVGNVLNVAELYNSDTRKWETLPNMHRKRRMTSGVFMDGKFYVIGGIAEDNKTELTSGEEYDLERKTWREIPDMFPPRGGEVNIGAPPLVAVVNNVLFSADCNQHIVRRYEKGSNKWVTIGDLPERTSSVHGWGIGFRACGNRLMVIGGLTAESAGVTEINSWIPGEYPLQWNILGRRHIGSFVSNCAVMGC >Vigun03g334600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53278427:53280682:1 gene:Vigun03g334600.v1.2 transcript:Vigun03g334600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNKKGSQEGDAPRKLFKPSNDPKEEGGQENEQNHETEIPDKTPRIGELYKDLSINVLLKLSRSDYGLVAALNSSFRTLIRSGELYRLRREMGVVEHWIYFSCNLHQWEAYDPNRERWMKLPKMPRNDCFMCSDKESLGVGTDLLVFGRDVSAPVVYGYSLLTNTWSIAVEMNIPRCLFASASIGGIAIVAGGVDPVGNVLNVAELYNSDTRKWETLPNMHRKRRMTSGVFMDGKFYVIGGIAEDNKTELTSGEEYDLERKTWREIPDMFPPRGGEVNIGAPPLVAVVNNVLFSADCNQHIVRRYEKGSNKWVTIGDLPERTSSVHGWGIGFRACGNRLMVIGGLTAESAGVTEINSWIPGEYPLQWNILGRRHIGSFVSNCAVMGC >Vigun03g125100.1.v1.2 pep primary_assembly:ASM411807v1:3:11794982:11795310:-1 gene:Vigun03g125100.v1.2 transcript:Vigun03g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTEKYRREEEGQNNDDRVILTREIRYSDTEYDNNQTCVFSIQSPSLLFGDFIVLIHVLGIYVSGRRPCQGVSSLFWNSLSQS >Vigun03g159800.2.v1.2 pep primary_assembly:ASM411807v1:3:17618006:17621713:1 gene:Vigun03g159800.v1.2 transcript:Vigun03g159800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVLRNATLFTRNLLHSAPAPAASTLLRPKLFCSNETPPLPVPQNPDDVDNKELKRRIEGYMKGDEQMLPSIMEAILQRKLSGKHEETDDELMDELSSRPLDDVEDGDFESDFEDMHETDEEIDDLYNARDEVMKRMVRDEYFNMDDKKWDDIVEDGIKHGFLRDTKECEEILEDMLSWDKLLPDDIKQKVEIKFNELGDMCERGELEPEEAYEQFKKFEDEMVAEYMKIMEKEEVPQFDDTTVPDKKKDSDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLSVTVKELGLSKYQFRRLRELVGKRYHPGRDELTITSERYEHREENRKDCLRTLLSLIEEAGKANKLVDDARASYVKERLRANPAFMERLHAKCMRLRESNQVTA >Vigun03g159800.3.v1.2 pep primary_assembly:ASM411807v1:3:17618022:17621713:1 gene:Vigun03g159800.v1.2 transcript:Vigun03g159800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVLRNATLFTRNLLHSAPAPAASTLLRPKLFCSNETPPLPVPQNPDDVDNKELKRRIEGYMKGDEQMLPSIMEAILQRKLSGKHEETDDELMDELSSRPLDDVEDGDFESDFEDMHETDEEIDDLYNARDEVMKRMVRDEYFNMDDKKWDDIVEDGIKHGFLRDTKECEEILEDMLSWDKLLPDDIKQKVEIKFNELGDMCERGELEPEEAYEQFKKFEDEMVAEYMKIMEKEEVPQFDDTTVPDKKKDSDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLSVTVKELGLSKYQFRRLRELVGKRYHPGRDELTITSERYEHREENRKDCLRTLLSLIEEAGKANKLVDDARASYVKERLRANPAFMERLHAKCMRLRESNQVTA >Vigun03g159300.2.v1.2 pep primary_assembly:ASM411807v1:3:17521039:17527278:1 gene:Vigun03g159300.v1.2 transcript:Vigun03g159300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFHLNKYSTNTDRSCTRVKSLFDETRAPFHTTTPWHFRYSRARARSIIGSQQFEKLKTVFWNFFFFSFYHFPFTFSSFPSLSLISPSHFACDRDTQKITVPSTPMEEKQAAASESAHAESNGISHGSDHGWQKVTYAKKQKKKTNNTANGSDSRANSNKLVPNGTLSGSDAVFRSLELQSEDRRRKILEARKAADAAYDDDVAPGRSKQRTRNDEEDDEDDENVELSAENGKAEEKKVKQKKPKKPKVTVAEAAAKIDSADLGAFLVDISASFEKQQDILMMRFADYFGRAFSAVTASQFPWVKLFRESTVAKITDMPLSHISEAVYKTSIDWINQRSPEALSSFLLWSLDSILADLGSQQTASKGSKKAVQQVSSKSQVAMFVVLAMVLRRKPDALITVLPTLRENTKYQGQDKLPVIVWMIAQASVGDLSVGLYAWARNLLPIVIGKSGNPQSRDLVLQLVEKILSTPKARPVLVNTAVRKGERLIPPSAFEILLRVTFPPSSTRVKATERFEAVYATLKEVALGGSTGSKAMKQVSLQIFNFAIKAAGENNTELSKEAAGILIWCLSQNTECYKQWEKVYQDNIEASVSALKKLSDGWKEQSAKLSPYESLRDTLKNFKQKNEKVLASETDASRHAHFKDADKYCKTILGRVSRSHGCTSCLTVTVLALAVGAAVLLSPGMESLDFKKLSEVFNSQY >Vigun05g084300.1.v1.2 pep primary_assembly:ASM411807v1:5:8000193:8003270:1 gene:Vigun05g084300.v1.2 transcript:Vigun05g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSYFSTIPIFLLLLVFPQTKADLHSEKRALLDFSSALHHGPRVNWNSSTSICTSWVGVTCSHDGSHVLSVRLPGVGLRGSIPPNTLGKLNGLISLSLRSNTLKGNLPSDLLSLPSLRFVYLQHNNLSGPIPDSLPPRLIFLDLSYNSFTGQIPDSIQNLTHLIGLNLQNNSLTGSIPDVNLPSLKNLDLSFNYLNGSIPSCFQKFPGSSFRGNMMLCGAPLKQCSSVSTSTTLSPSSVSQRPSDPSTRKIGKGAKIAIILGVVTLLFLPGLIVVFCCFKKKVGEQNVAPTEKGQKLKEDFGSGVQEPERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSCGTTYKAILEDGTTVVVKRLKEVAMGKKEFEQQMEIVQRLDHHPNVIPLRAYYYSKDEKLMVYDYSTAGSFSKLLHGTRETGRAPLDWDSRLKIVVGAARGVCHIHSANGRKLVHGNIKSSNVILTIDLQGCISDFGLTPLTNFCASSRSPGYGAPEVIETRKSTQKSDVYSFGVLLLEMLTGKTPVQYSGHDEEIDLPKWVQSVVREEWTAEVFDLELMRYPNIEDELVQMLQLAMACVAVMPDSRPSMVEVVRTIEEIRACIY >Vigun05g084300.3.v1.2 pep primary_assembly:ASM411807v1:5:8000657:8003219:1 gene:Vigun05g084300.v1.2 transcript:Vigun05g084300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRGNKLTTETRLPVPFQSLISGTSIQQVNLMMLQSYFSTIPIFLLLLVFPQTKADLHSEKRALLDFSSALHHGPRVNWNSSTSICTSWVGVTCSHDGSHVLSVRLPGVGLRGSIPPNTLGKLNGLISLSLRSNTLKGNLPSDLLSLPSLRFVYLQHNNLSGPIPDSLPPRLIFLDLSYNSFTGQIPDSIQNLTHLIGLNLQNNSLTGSIPDVNLPSLKNLDLSFNYLNGSIPSCFQKFPGSSFRGNMMLCGAPLKQCSSVSTSTTLSPSSVSQRPSDPSTRKIGKGAKIAIILGVVTLLFLPGLIVVFCCFKKKVGEQNVAPTEKGQKLKEDFGSGVQEPERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSCGTTYKAILEDGTTVVVKRLKEVAMGKKEFEQQMEIVQRLDHHPNVIPLRAYYYSKDEKLMVYDYSTAGSFSKLLHGTRETGRAPLDWDSRLKIVVGAARGVCHIHSANGRKLVHGNIKSSNVILTIDLQGCISDFGLTPLTNFCASSRSPGYGAPEVIETRKSTQKSDVYSFGVLLLEMLTGKTPVQYSGHDEEIDLPKWVQSVVREEWTAEVFDLELMRYPNIEDELVQMLQLAMACVAVMPDSRPSMVEVVRTIEEIRACIY >Vigun05g084300.2.v1.2 pep primary_assembly:ASM411807v1:5:8000190:8003270:1 gene:Vigun05g084300.v1.2 transcript:Vigun05g084300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSYFSTIPIFLLLLVFPQTKADLHSEKRALLDFSSALHHGPRVNWNSSTSICTSWVGVTCSHDGSHVLSVRLPGVGLRGSIPPNTLGKLNGLISLSLRSNTLKGNLPSDLLSLPSLRFVYLQHNNLSGPIPDSLPPRLIFLDLSYNSFTGQIPDSIQNLTHLIGLNLQNNSLTGSIPDVNLPSLKNLDLSFNYLNGSIPSCFQKFPGSSFRGNMMLCGAPLKQCSSVSTSTTLSPSSVSQRPSDPSTRKIGKGAKIAIILGVVTLLFLPGLIVVFCCFKKKVGEQNVAPTEKGQKLKEDFGSGVQEPERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSCGTTYKAILEDGTTVVVKRLKEVAMGKKEFEQQMEIVQRLDHHPNVIPLRAYYYSKDEKLMVYDYSTAGSFSKLLHGTRETGRAPLDWDSRLKIVVGAARGVCHIHSANGRKLVHGNIKSSNVILTIDLQGCISDFGLTPLTNFCASSRSPGYGAPEVIETRKSTQKSDVYSFGVLLLEMLTGKTPVQYSGHDEEIDLPKWVQSVVREEWTAEVFDLELMRYPNIEDELVQMLQLAMACVAVMPDSRPSMVEVVRTIEEIRACIY >Vigun05g084300.4.v1.2 pep primary_assembly:ASM411807v1:5:8000197:8003219:1 gene:Vigun05g084300.v1.2 transcript:Vigun05g084300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSYFSTIPIFLLLLVFPQTKADLHSEKRALLDFSSALHHGPRVNWNSSTSICTSWVGVTCSHDGSHVLSVRLPGVGLRGSIPPNTLGKLNGLISLSLRSNTLKGNLPSDLLSLPSLRFVYLQHNNLSGPIPDSLPPRLIFLDLSYNSFTGQIPDSIQNLTHLIGLNLQNNSLTGSIPDVNLPSLKNLDLSFNYLNGSIPSCFQKFPGSSFRGNMMLCGAPLKQCSSVSTSTTLSPSSVSQRPSDPSTRKIGKGAKIAIILGVVTLLFLPGLIVVFCCFKKKVGEQNVAPTEKGQKLKEDFGSGVQEPERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSCGTTYKAILEDGTTVVVKRLKEVAMGKKEFEQQMEIVQRLDHHPNVIPLRAYYYSKDEKLMVYDYSTAGSFSKLLHGTRETGRAPLDWDSRLKIVVGAARGVCHIHSANGRKLVHGNIKSSNVILTIDLQGCISDFGLTPLTNFCASSRSPGYGAPEVIETRKSTQKSDVYSFGVLLLEMLTGKTPVQYSGHDEEIDLPKWVQSVVREEWTAEVFDLELMRYPNIEDELVQMLQLAMACVAVMPDSRPSMVEVVRTIEEIRACIY >Vigun05g164175.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26721024:26721850:1 gene:Vigun05g164175.v1.2 transcript:Vigun05g164175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTHIFNVIHSLHQSSTPAPSQSFLLSPATPPITLFHRRRASGGSGFKSRLYLSGRSSHTQEQSSKKPYIFGGGETVNGGSPGTVVAHDREVEAAVPPPTGDSPFHT >Vigun04g108801.1.v1.2 pep primary_assembly:ASM411807v1:4:26641281:26643827:-1 gene:Vigun04g108801.v1.2 transcript:Vigun04g108801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTFHLHIFAYKLYISSSKCHSPQSLLIEIGSLPPTRCPCEVIFSHWRWNLVVTIGCCKLVISRPQHGSSIGVIEANGQLNKFGSKQAYIEESMHLETIWRSSAKACTSSHVSRAFMESGQLLKISLRKVSVCATRFPSPYYSVI >Vigun11g032800.1.v1.2 pep primary_assembly:ASM411807v1:11:4336633:4337987:-1 gene:Vigun11g032800.v1.2 transcript:Vigun11g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSLVSLALFLLATSFVFAGKESEAGACARTSCSQSADCGSGCICLVGPPIPYPFPRDIGVCIGGVASVANMIEEHPNLCRSDDECIKKGTGNFCVRYSDRYADYGWCFHSLSESLKGFLKMPTKILK >Vigun01g036500.2.v1.2 pep primary_assembly:ASM411807v1:1:4707397:4711999:-1 gene:Vigun01g036500.v1.2 transcript:Vigun01g036500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWDNRQSRRFFRHRRSPVPPPRFYDINASLPEYWQDGIPVWEKKYCTTVGLVPWQKIVDSKRLICCHSNVSEWNDSAAEEAFQNAKKRYWAKINSLPCDISLPDPDTYTDQIDWNPSIDPDLIKEIDRALFTVVDEEETEAMKCKRTKTSVSGENPWECPAGKVYESKEVNSGNVGNSDNPWERHVGGGNGGLTGNALEGGVHLSWGWNKGKEHKNQCKDWGSGNLEDKGWGKVRDSPWSQHQPTNLSNKIGKSSWQCKSIQQNVAPMRTGWKQQDNGDVSSDLQFRRNYGGWSAQNEGNQWRQSSHRQHNGPRFQTGYYWSKEKSKKRDFVS >Vigun01g036500.3.v1.2 pep primary_assembly:ASM411807v1:1:4707400:4711941:-1 gene:Vigun01g036500.v1.2 transcript:Vigun01g036500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWDNRQSRRFFRHRRSPVPPPRFYDINASLPEYWQDGIPVWEKKYCTTVGLVPWQKIVDSKRLICCHSNVSEWNDSAAEEAFQNAKKRYWAKINSLPCDISLPDPDTYTDQIDWNPSIDPDLIKEIDRALFTVVDEEETEAMKCKRTKTSVSGENPWECPAGKVYESKEVNSGNVGNSDNPWERHVGGGNGGLTGNALEGGVHLSWGWNKGKEHKNQCKDWGSGNLEDKGWGKVRDSPWSQHQPTNLSNKIGKSSWQCKSIQQNVAPMRTGWKQQDNGDVSSDLQFRRNYGGWSAQNEGNQWRQSSHRQHNGPRFQTGYYWSKEKSKKRDFVS >Vigun01g036500.1.v1.2 pep primary_assembly:ASM411807v1:1:4707400:4711941:-1 gene:Vigun01g036500.v1.2 transcript:Vigun01g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWDNRQSRRFFRHRRSPVPPPRFYDINASLPEYWQDGIPVWEKKYCTTVGLVPWQKIVDSKRLICCHSNVSEWNDSAAEEAFQNAKKRYWAKINSLPCDISLPDPDTYTDQIDWNPSIDPDLIKEIDRALFTVVDEEETEAMKCKRTKTSVSGENPWECPAGKVYESKEVNSGNVGNSDNPWERHVGGGNGGLTGNALEGGVHLSWGWNKGKEHKNQCKDWGSGNLEDKGWGKVRDSPWSQHQPTNLSNKIGKSSWQCKSIQQNVAPMRTGWKQQDNGDVSSDLQFRRNYGGWSAQNEGNQWRQSSHRQHNGPRFQTGYYWSKEKSKKRDFVS >Vigun07g021900.3.v1.2 pep primary_assembly:ASM411807v1:7:1854462:1858497:1 gene:Vigun07g021900.v1.2 transcript:Vigun07g021900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENNLFEDIFRVEKLNPDDKKLFDKVTRIEARSEKFDMFMHLDINSEIYPLKVGQKFTLVLVSSLNPDGTPDTGYYTQINRQSLANNFEYVMYGKLYRITEASGREKAELNISFGGLLMLLKGDTSHCNKFELDQRLYLLIRKV >Vigun07g021900.1.v1.2 pep primary_assembly:ASM411807v1:7:1854612:1858497:1 gene:Vigun07g021900.v1.2 transcript:Vigun07g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENNLFEDIFRVEKLNPDDKKLFDKVTRIEARSEKFDMFMHLDINSEIYPLKVGQKFTLVLVSSLNPDGTPDTGYYTQINRQSLANNFEYVMYGKLYRITEASGREKAELNISFGGLLMLLKGDTSHCNKFELDQRLYLLIRKV >Vigun07g021900.4.v1.2 pep primary_assembly:ASM411807v1:7:1854462:1858497:1 gene:Vigun07g021900.v1.2 transcript:Vigun07g021900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENNLFEDIFRVEKLNPDDKKLFDKVTRIEARSEKFDMFMHLDINSEIYPLKVGQKFTLVLVSSLNPDGTPDTGYYTQINRQSLANNFEYVMYGKLYRITEASGREKAELNISFGGLLMLLKGDTSHCNKFELDQRLYLLIRKV >Vigun07g021900.2.v1.2 pep primary_assembly:ASM411807v1:7:1854462:1858497:1 gene:Vigun07g021900.v1.2 transcript:Vigun07g021900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENNLFEDIFRVEKLNPDDKKLFDKVTRIEARSEKFDMFMHLDINSEIYPLKVGQKFTLVLVSSLNPDGTPDTGYYTQINRQSLANNFEYVMYGKLYRITEASGREKAELNISFGGLLMLLKGDTSHCNKFELDQRLYLLIRKV >Vigun05g022800.1.v1.2 pep primary_assembly:ASM411807v1:5:1916298:1921181:-1 gene:Vigun05g022800.v1.2 transcript:Vigun05g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQVIELLFGKSTPKQMIPKVTLLAVFAILLFTVTPLSYPLFRYSSSSNKESKKPYSAFDDLNESSSLPSASIKKCDIFSGEWVPNPKAPYYSNKTCWAIHEHQNCMKYGRPDSEFMKWKWKPNGCELPVFNPFQFLELVRGKSMAFVGDSVGRNQMQSMICLLSRVEWPIDVSYKRDDYFMRWKYPSYNFTMAAFWTTHLVRSKEADSKGPGPTGLCNLYLDEPDEKWITQVEDFDYVILNGGHWFTRSMVFYEKQKIVGCHYCLLENVPDLTMYYGYRRAFRTAFKAINRLEKFKGTVFLRTFAPSHFENGLWNQGGNCVRTKPFRSNETQLEGLDLEFYMIQLEEFKIAEKEARKKGLKYRLFDVTQASLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLGMLKMEGVRSAEERLHLRSN >Vigun08g183000.4.v1.2 pep primary_assembly:ASM411807v1:8:35266137:35269494:1 gene:Vigun08g183000.v1.2 transcript:Vigun08g183000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPVVRSRDYPAEAASSRQIPSGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWNKLKTEAARKVGAEEAERFCKAFRQIHKKLVNEELSLDAARSFLKSS >Vigun08g183000.2.v1.2 pep primary_assembly:ASM411807v1:8:35266137:35269494:1 gene:Vigun08g183000.v1.2 transcript:Vigun08g183000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPVAEAASSRQIPSGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWNKLKTEAARKVGAEEAERFCKAFRQIHKKLVNEELSLDAARSFLKSS >Vigun08g183000.1.v1.2 pep primary_assembly:ASM411807v1:8:35266137:35269494:1 gene:Vigun08g183000.v1.2 transcript:Vigun08g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPVVRSRDYPAEAASSRQIPSGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWNKLKTEAARKVGAEEAERFCKAFRQIHKKLVNEELSLDAARSFLKSS >Vigun08g183000.3.v1.2 pep primary_assembly:ASM411807v1:8:35266137:35269494:1 gene:Vigun08g183000.v1.2 transcript:Vigun08g183000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPVAEAASSRQIPSGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWNKLKTEAARKVGAEEAERFCKAFRQIHKKLVNEELSLDAARSFLKSS >Vigun11g086575.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25930521:25931672:1 gene:Vigun11g086575.v1.2 transcript:Vigun11g086575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGMALLDDINHLKNKRIRSVADLLQDQFGLALVCLENMVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDQTNPLTEIVHGRKLSYLGPRGLMGRTASFRIRDIHPSHYGRICPIDTSEGINVELIGSLAIHGRIGIWGAIESPFFEISERSKRIRMLYLSPNIDEYYRVAIGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLFESEKCIVGTGLECQVALDSGVSAIAEHEGNIVYTDTDMIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDVVLISERHD >Vigun07g276300.2.v1.2 pep primary_assembly:ASM411807v1:7:39158047:39167826:1 gene:Vigun07g276300.v1.2 transcript:Vigun07g276300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAFSTFKAVSNASLIQFSSNQLCSRCSFPLRRCDYGFCWIPEPKQFVRIKMCSENKGSSSNGYAGTENGETKPDFVEVIGIGSRKDAIFDLCLSTPFQLPLPRFWSILMTESEEVQLQQRSIEEEPHPRIVKAPEFLKSCSQTIVLVASAGYGLCHTVAADIFETVRSRNGLTVAIVLKPFRFEGLRRQNEVKALIQKLKDNTNLLIEIDIDALLEKDLLTLDDAMKTANDAVLLAIKAVSVLKSEMHRKIIDRLHGGMKEASPSEINKMLESYKEAGIGFGAAANIKASILQSIFDSPFLGARLKDPNSIVICTLASSGPISDSDIEIFLRTFRQTTNYTKDIIISAIHDPTVEPNLLITTVLTLGCLNVQRSSQNGGILSRLAMHFPLIFSFWGRHSQQQVGTEKRTEVFPPEMMSSDDVDERTNQIALDGVDSNLDKNCVELEPTMSNNSKLPASRDSGKSEDLFDTSSNYNILYDSITEGGDSAFQREQLENWNLGPGFEVAKKWAQEKAADATPIVDNLSIFHLPVGVRPSEELKERLEISFLTKQHEPDTDNVVKAQSLNEGTSPWSAATDASLEAVMEFASSLLKGKNANKSKTHGVLSVRAASMLEAERDLSKKWSPVVEMQYRGGRYKGRCQGGLPEGKGRLVLRDGSIYDGLWRYGKRSGPGTFYFKNGDMFQGLWRDDVIHGKGWFYFHTGDRWFANFWKGKANGEGRFYTKSGDAFFGNFKDGWRHGQFLCINANGTRYTEIWDHGVRVDSKHLDR >Vigun07g276300.1.v1.2 pep primary_assembly:ASM411807v1:7:39158047:39167826:1 gene:Vigun07g276300.v1.2 transcript:Vigun07g276300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAFSTFKAVSNASLIQFSSNQLCSRCSFPLRRCDYGFCWIPEPKQFVRIKMCSENKGSSSNGYAGTENGETKPDFVEVIGIGSRKDAIFDLCLSTPFQLPLPRFWSILMTESEEVQLQQRSIEEEPHPRIVKAPEFLKSCSQTIVLVASAGYGLCHTVAADIFETVRSRNGLTVAIVLKPFRFEGLRRQNEVKALIQKLKDNTNLLIEIDIDALLEKDLLTLDDAMKTANDAVLLAIKAVSVLKSEMHRKIIDRLHGGMKEASPSEINKMLESYKEAGIGFGAAANIKASILQSIFDSPFLGARLKDPNSIVICTLASSGPISDSDIEIFLRTFRQTTNYTKDIIISAIHDPTVEPNLLITTVLTLGCLNVQRSSQNGGILSRLAMHFPLIFSFWGRHSQQQVGTEKRTEVFPPEMMSSDDVDERTNQIALDGVDSNLDKNCVELEPTMSNNSKLPASRDSGKSEDLFDTSSNYNILYDSITEGVALGDSAFQREQLENWNLGPGFEVAKKWAQEKAADATPIVDNLSIFHLPVGVRPSEELKERLEISFLTKQHEPDTDNVVKAQSLNEGTSPWSAATDASLEAVMEFASSLLKGKNANKSKTHGVLSVRAASMLEAERDLSKKWSPVVEMQYRGGRYKGRCQGGLPEGKGRLVLRDGSIYDGLWRYGKRSGPGTFYFKNGDMFQGLWRDDVIHGKGWFYFHTGDRWFANFWKGKANGEGRFYTKSGDAFFGNFKDGWRHGQFLCINANGTRYTEIWDHGVRVDSKHLDR >Vigun06g093600.1.v1.2 pep primary_assembly:ASM411807v1:6:22531965:22533177:-1 gene:Vigun06g093600.v1.2 transcript:Vigun06g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNKIHRVQLKPGDHIYTWRQAYIYAHHGIYVGEGMVIHFTSGSGQETRKGTILDRLLISSPPLHNTCDILCPRCGDQKTAGVIGSCLDCFLSGDDLYLFEYGVSPALFLAKARGGTCTIASSDPAEDVLRRALFLLENGFGGYHVFKNNCEDFAIYCKTGLLVNTNISVGRSGQAASCLAAASAVVSSPLSLMIPGFGVLALVGGGMYCVSRYVSDIGVRSDVTKVPVEMIAELGKED >Vigun08g173800.1.v1.2 pep primary_assembly:ASM411807v1:8:34441279:34445608:1 gene:Vigun08g173800.v1.2 transcript:Vigun08g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDQRPWRWRWWNAVVLIGMVSVTVTVTSSDSHEKQEQVVSRIAFGSCSNQSAPQPIWDAVVDFRPQIFIWLGDNIYGDFKRPFKIFGRERTVGPWKNVPRFVPSSEQEMKARYEKAKSSPGYIRLHQNAKVIGTWDDHDYGLNDAGKEFQGKITNQKLLLDFLDEPQDSPRRKQAGVYASYTYGPVGRDIKIVLLDTRYHRDPVGSDGTILGNSQWLWLEKELKGPPTALTIIGSSIQVISNLSATIQPLFAMESWGRFPKERDRLFKLIADSKRAGVFFLSGDVHFGEITRYDCAVGYPLYDVTSSGVTQSVEEVVPHFLRSFVRFVALLTPSTMRVKGQHCRYKSCIYGQPNFGTIEIDWDSHPVTLKLKVRDKESITVTGVDVSLTELQSSNSESFGREKAGNDNKKHCTLEVSLPWFVRYRLAILFCCTLIVFLVAFLVLVYTCFRLFRLEGCKRKHD >Vigun08g173800.2.v1.2 pep primary_assembly:ASM411807v1:8:34441279:34445608:1 gene:Vigun08g173800.v1.2 transcript:Vigun08g173800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDQRPWRWRWWNAVVLIGMVSVTVTVTSSDSHEKQEQVVSRIAFGSCSNQSAPQPIWDAVVDFRPQIFIWLGDNIYGDFKRPFKIFGRERTVGPWKNVPRFVPSSEQEMKARYEKAKSSPGYIRLHQNAKVIGTWDDHDYGLNDAGKEFQGKITNQKLLLDFLDEPQDSPRRKQAGVYASYTYGPVGRDIKIVLLDTRYHRDPVGSDGTILGNSQWLWLEKELKGPPTALTIIGSSIQVISNLSATIQPLFAMESWGRFPKERDRLFKLIADSKSFLCRELEYFFSVEMFTLGKSRDMIVLLAIHFMM >Vigun08g173800.3.v1.2 pep primary_assembly:ASM411807v1:8:34441279:34445608:1 gene:Vigun08g173800.v1.2 transcript:Vigun08g173800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDQRPWRWRWWNAVVLIGMVSVTVTVTSSDSHEKQEQVVSRIAFGSCSNQSAPQPIWDAVVDFRPQIFIWLGDNIYGDFKRPFKIFGRERTVGPWKNVPRFVPSSEQEMKARYEKAKSSPGYIRLHQNAKVIGTWDDHDYGLNDAGKEFQGKITNQKLLLDFLDEPQDSPRRKQAGVYASYTYGPVGRDIKIVLLDTRYHRDPVGSDGTILGNSQWLWLEKELKGPPTALTIIGSSIQVISNLSATIQPLFAMESWGRFPKERDRLFKLIADSKRAGVFFLSGDVHFGEITRYDCAVGYPLYDVTSSGVTQSVEEVVPHFLRSFVRFVALLTPSTMRVKGQHCRYKSCIYAKLWNY >Vigun01g210700.1.v1.2 pep primary_assembly:ASM411807v1:1:38532488:38535707:1 gene:Vigun01g210700.v1.2 transcript:Vigun01g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRKSFERQRSGKVPIQDHKRSYDDTKQAPISGLRNVINALWLNDHSSYLEAFVRRVVREELEKKIKDQAHLFPRKRVNEAGISGAKPFKLCFLNKLPKTIYTRSNIVAEDESLLQIALFDVRTKSVVKEGPLSSLKIEICVLNGEFGSDDSEEWTEEEFNSNISREREGREPLLIGERFITLKNGVACITKIAISDNSRWQRSRRFRIGVKGVPPTSIGEKIQEGRSEPVIVKDNRGECYKKHFPPFLDDELWRLKKIAKEGRIQKQFSLHGIHTVKDLLRQCISNEESLYKMLDIPKKSWLAITDHAKTCVVDDYKLYSYYSQELQIGLLFNSIYILVGVTFDWEIYYSPDILTPQEKHLVGIVKQQAYKNVNNLKMIDETKLNCLNLDACLKKARQSDTPNQCLQHMDMPTAQGEAVTFPDYSQPSISTSYTDEVMHDGQIYADSEPSTREMPHNSNVFDEFSSEIYNEEDSCDFDGSCFPFLEGGYSIENEKWEPTSGMFFGSYDGEEFSPYSTFINSSVDISSNGKPKAAWCKIGFALKWVISAKRRHAAAKKNAQLFYHN >Vigun11g128400.1.v1.2 pep primary_assembly:ASM411807v1:11:33599451:33599939:-1 gene:Vigun11g128400.v1.2 transcript:Vigun11g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVCCYGHEAYYNESKRKVKKMMGIMRFYEPFLLFEGIFDDKNAENLRMTKMKAKDDDDVGRFNFDPTTIDWTHYVLNIHIPGLVKYAVK >Vigun03g027300.1.v1.2 pep primary_assembly:ASM411807v1:3:2045295:2051017:-1 gene:Vigun03g027300.v1.2 transcript:Vigun03g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNVASRLRTIRARSCSRLPVRFASSSSSSVATKQSSSGLGGLFGWLTGDRSSSATPLDFPLPGVILSPPLPDYVAPGKTIITTLPNGVKVASETSSTPTASIGLYVDCGSIYESPISLGATHLLERMAFKTTRNRSHFRVVREVEAIGGNVQASASREQMGYTFDALKTYVPEMVELLVDCVRNPAFLDWEVNEQLLKVKAEIGEASKNPQDLLLEAIHSAGFSGALANPLLASESAINRLNGTILEEFVAENYTAPRIVLAASGVEHEELLSVAEPLLSDLPSVPRPGEPRSVYTGGDYRCQSETGRTHFALAFELPGGWHKLKDAMVLTVLQMLLGGGGSFSAGGPGKGMYSRLYLNVLNQYPQFHSISAFNNIYNDTGIFGIQVTTSSDFVSKAIDITANEILAVATHGKVEQVQLDRAKQATKSAILMNLESRMVVSEDIGRQVLTYGERKPVEDFLKAVDEVTLKDISSISQKLISSPLTMASYGDVLYVPSYESVSSKFPTK >Vigun02g027700.1.v1.2 pep primary_assembly:ASM411807v1:2:9594001:9597368:-1 gene:Vigun02g027700.v1.2 transcript:Vigun02g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLKVAIQLPIFSPLVNHTPTRERSSTELRFSRWNNANAEKFNQRRRTLHEIEDEICRTRRNIAADNIINTATTAAVSATSETFKSLGTPSAPLEFRPGPENVKIGEDGVSYIVEGAPFDFRFSYTETPKANPVKLREPPFAPFGPPTLPRPWTGRNPVPPSKTTVTEFHLLDPPLSDEEGAELVRLALPIWESRKKVLGEPLTKDEINRLVKRAEKYSRQLHIGRDGLTHNMLENIHTYWMRSSVCKIKCRGVCTVDMDNVCQQLEERTGGEIIYRHFGTVYLFRGRNYNYETRPRFPLMWWRPVSPVYPKLIKRVPEGLTLDEATEMRQKGRVLMPIRKLAKNGVYWDLVTNVREAFEQCDLVRINCQELNTSDYKKIGAKLKDLVPCVLLSFEDDHILMWRGPNWRPSLPNPRDDDTEATKVNVDNGNSSKLTPDARKLSAACLQKNRAEHLCNEPLDISILSNSHDLSLHKTVPCLTENSKLPVSDVSGAASLPMKTCEVEITEGVMAFSCTPQMVPGTNKSSASTVADPRSDKFLDGSEADVSEPSKCEPCTEGLLLLLDQAVEKGRALVLDDKFLDDDYIYQTSVAFSKSTPP >Vigun03g020300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1484126:1484527:-1 gene:Vigun03g020300.v1.2 transcript:Vigun03g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLTLLIYTLWRVTLSFLAPIITQTFAPSDSMDIKGCRNSGKRAIHLGRCHHEIEHVHSLPPKLRWKLLWMKIKKEKNRLFRSASFQVPYDQHTYSQNFDQGTAIDEPDNLSRSFSVRFADPSIVFVTRK >Vigun10g196600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40990117:40992538:1 gene:Vigun10g196600.v1.2 transcript:Vigun10g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSGMADGSGMFKVHQTIGSVLCCKCGIPMQPNAANMCVKCLSSEVDITEGLLKRLVLVHCPECESYLQPPRSWVKLQLESKELLTFCLKKLQKNFNSSKVRLVHAEFIWTEPHSRRIKVKVKVQKEVINGAILEQSYTVEYVQQEHMCESCSRVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHGAAASAIRIKQMEQGIDFFFSNRSHAVKFVEFVGKVAPVRSRSDKQLVSHDPKSNNYNYKYTFSVEISSICREDLICLPPKVAVSLGNIGPIVICTKVTNSIALLDPFTLRHCFLDADQYWRTSFKSLLTSRQLVEYIVLDVEVVSPEVTIGGTKYCLADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDMELDKYKGHIPEAILVKKSYEEKRQKKRGKPRSWKLKSLEMEVDDNRRLDQDKMVSEYEQFLKDLEENPDMRFNISLYRNKEYQPSEMASVTDGDELPSVPLDELLADLDLSEDEDGEDNMAE >Vigun05g234900.1.v1.2 pep primary_assembly:ASM411807v1:5:42848862:42849752:-1 gene:Vigun05g234900.v1.2 transcript:Vigun05g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKVITALILAVTMVRIRPSACQTVQGKVSCTDCTHSYDFSGIMVSVKCEGVKKIAMATTEDKGFFKVDLPRDHAKALSEKCLVKLLGGPNHLYATEKNQISEIVKGKEKNTYTISTPLSFLRSCPQNRECKVTENQFSSSKTLDLPLPPEWGLAPTSYYLPFLPIIGIP >VigunL008402.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000147.1:51274:51642:-1 gene:VigunL008402.v1.2 transcript:VigunL008402.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SITLSFLTLQPTPSIPFLQQYSLSPPYLDAYSSICFPVVMARIREKMLFILFFVFFLNGATRGKAQLSTLPQKGGRFLLSKNASSAIRAQQAAELCSKASFVSFQQKQYMVRSALFHPARIP >Vigun09g032500.1.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRILQEASPSTKPEHKSGGTLFYFLGGIVVLAIVLIFFYVLVLRKRIKRSPQGMTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIICCRKNTDHTLASEMQYLPEYAWKLYENERILDIVDPKLREHGLVEKDVMQAIHVAFLCLQPDAHLRPPMSEIVALLTFKIEMVTTPMRPAFLDRGARNDDDDEKEHKGLTSPI >Vigun09g032500.8.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIICCRKNTDHTLASEMQYLPEYAWKLYENERILDIVDPKLREHGLVEKDVMQAIHVAFLCLQPDAHLRPPMSEIVALLTFKIEMVTTPMRPAFLDRGARNDDDDEKEHKGLTSPI >Vigun09g032500.6.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIICCRKNTDHTLASEMQYLPEYAWKLYENERILDIVDPKLREHGLVEKDVMQAIHVAFLCLQPDAHLRPPMSEIVALLTFKIEMVTTPMRPAFLDRGARNDDDDEKEHKGLTSPI >Vigun09g032500.3.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRILQEASPSTKPEHKSGGTLFYFLGGIVVLAIVLIFFYVLVLRKRIKRSPQGMTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLYVIYGNKSKRYPYIIIE >Vigun09g032500.4.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRILQEASPSTKPEHKSGGTLFYFLGGIVVLAIVLIFFYVLVLRKRIKRSPQGMTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLSSYGSEQFHHHYDFIAANYPSAKAVFSAENF >Vigun09g032500.2.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLYVIYGNKSKRYPYIIIE >Vigun09g032500.5.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLSSYGSEQFHHHYDFIAANYPSAKAVFSAENF >Vigun09g032500.9.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLYVIYGNKSKRYPYIIIE >Vigun09g032500.7.v1.2 pep primary_assembly:ASM411807v1:9:2779575:2783835:-1 gene:Vigun09g032500.v1.2 transcript:Vigun09g032500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKEIRKHIESADMMKMIFSSNQQSGSKEFFSGNLRTINCFDYQTLKNATMNFHADNFLGSGGFGPVYKGKLADGRVIAVKKLSLNKSQQGEKEFLVEVRTITSIQHKNLVRLLGYCIDGPQRILVYEYMKNRSLDLFIHENSDQFLNWRTRFQIILGVARGLQYLHEDSHQRIVHRDIKASNILLDDKFQPKIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIICCRKNTDHTLASEMQYLPEYAWKLYENERILDIVDPKLREHGLVEKDVMQAIHVAFLCLQPDAHLRPPMSEIVALLTFKIEMVTTPMRPAFLDRGARNDDDDEKEHKGLTSPI >VigunL068101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000013.1:1362456:1373775:-1 gene:VigunL068101.v1.2 transcript:VigunL068101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWLEYSPANDGAYCLACYLFSSKLYGRFGSDVFTKQGFRSWRKVNAGKRCAFLNHIGDSPCSLHNSAMKACEDLLNQSMHINNIINIQSLEQVCKNRLQLKTSIDTIRWLAFQACAFRGHKETPESSNRCNFLEMIKVLASYNDKVAQVVLENAPYNAKYTSHHIQKEILHIFFRKVRSHIREEIGDSKFCIIVDEARDESKKEQMAIFLRFVDKDGNIQEQFFDIVHVKDTTTATQKEELSVVLSRHNLDVSNIRGQGYDGASNMRGEWNELQALFLNDNPYAYYVHCFAHRLQLALVAASREVIPVHQFFSNLDFFVNVVCSSSKRHDELQAIELDGITQLLEMGELETGKGKNQIGTLKQVGDTRWSSHFYSICSMMKLYNASCLVLQKIIVDGSTYSQRGDADAALNMLSSCEFILVLHMMKEIMGITNGLCQAL >Vigun07g142200.4.v1.2 pep primary_assembly:ASM411807v1:7:25278861:25281902:-1 gene:Vigun07g142200.v1.2 transcript:Vigun07g142200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMNSVAVRLAKHLRVSSLTSSSLGGVTRSGTLWYSTSPSGGEDDQPSHDNGEPKNEELDEGFDELLDGRPELQLQGVDPKRGWGFRGVHKQAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMFDQRIGPKDLPKPAQWHRIAVHNDMLGAYSVQKLFKNSSVYIEGDIETRVYNDSINGEVKSIPEICVRRDGRIRLIKSGESIDKTSLDELREGLF >Vigun07g142200.5.v1.2 pep primary_assembly:ASM411807v1:7:25278017:25281912:-1 gene:Vigun07g142200.v1.2 transcript:Vigun07g142200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMNSVAVRLAKHLRVSSLTSSSLGGVTRSGTLWYSTSPSGGEDDQPSHDNGEPKNEELDEGFDELLDGRPELQLQGVDPKRGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMFDQRIGPKDLPKPAQWHRIAVHNDMLGAYSVQKLFKNSSVYIEGDIETRVYNDSINGEVKSIPEICVRRDGRIRLIKSGESIDKTSLDELREGLF >Vigun07g142200.3.v1.2 pep primary_assembly:ASM411807v1:7:25278017:25281902:-1 gene:Vigun07g142200.v1.2 transcript:Vigun07g142200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMNSVAVRLAKHLRVSSLTSSSLGGVTRSGTLWYSTSPSGGEDDQPSHDNGEPKNEELDEGFDELLDGRPELQLQGVDPKRGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMFDQRIGPKDLPKPAQWHRIAVHNDMLGAYSVQKLFKNSSVYIEGDIETRVYNDSINGEVKSIPEICVRRDGRIRLIKSGESIDKTSLDELREGLF >Vigun07g142200.2.v1.2 pep primary_assembly:ASM411807v1:7:25278017:25281902:-1 gene:Vigun07g142200.v1.2 transcript:Vigun07g142200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEMNSVAVRLAKHLRVSSLTSSSLGGVTRSGTLWYSTSPSGGEDDQPSHDNGEPKNEELDEGFDELLDGRPELQLQGVDPKRGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMFDQRIGPKDLPKPAQWHRIAVHNDMLGAYSVQKLFKNSSVYIEGDIETRVYNDSINGEVKSIPEICVRRDGRIRLIKSGESIDKTSLDELREGLF >Vigun01g115900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29242115:29244279:-1 gene:Vigun01g115900.v1.2 transcript:Vigun01g115900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECFVFDITESLLNKLASYVYEEASRAYGVYEDLRGIKDTLSIVKGVLLDAEEKKEQKHGLREWLRQIQNVCFDAEDVLDGFHCQNLRNQILKASGSTGMKVDHFFSSSNSLVFRFRMAHQIKHVRRRLDKIAADGNKFCLERIDVDNRLVQRREMTYSHVDASRVIGRESDREEIIKLLMQPHPLCDGCGDESVCVIPIVGIGGLGKTTLAKLVFNDKRMDDVFQLKMWMCISDDFDIRQILIKIINSASASASAPTIALAHQENIKNFDIEQLQSRLRHRLSDQKYLLVLDDVWNDNRAKWTGLKDLIKVGAVGSKILVTTRSKSIASMMGTVPSYVLEGLSMENCYALFNKWAFKEGEEKNYSNLVEIGKEIVKKCRGVPLAVRTLGSSLFLNFNLERWEFVRDHGIWNLKQEKYDILPALKLSYDQMPSYLRHCFAFFSLYPKDYGFTGAEIVNLWLSLGLLRSEVGSQKLENAARQYIDELHSRSFLEDFEDFGHLYFFKVHDLVHDLALYVANEEILVVNSGTRNIPEQVRHLSFVENDSLMHALLPKSCSVRTILFPTDGVGVDSVALLDARIARYKFLRILDLSDSSFETLPSSIAKLEHLRALSLEHNRNIKRLPQSICKLQNLQSLSLRGCVELETLPKGLGMLISLRKLFITTKQSNLSEDEFASLINLHTEF >Vigun11g108600.1.v1.2 pep primary_assembly:ASM411807v1:11:30886561:30888500:1 gene:Vigun11g108600.v1.2 transcript:Vigun11g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVEATAPTVAPFRSDHWKQFDDSVNAVAFGFVATAILISMFLLLAIFERFLRQRSSAATPIDLEHQIDRGGKLENLSPKMSIYGRGVLVLMPGEQIPSFIALPVPAPCRREPMSWPIQHSSCFLPPLASMADIN >Vigun08g123200.22.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.8.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKFLGSSVSGNFTTTSVA >Vigun08g123200.24.v1.2 pep primary_assembly:ASM411807v1:8:29299432:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSLLLGVRPCTFDLWSPNPLGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.15.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKKIVYPLIVRLLKT >Vigun08g123200.20.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKFLGSSVSGNFTTTSVA >Vigun08g123200.21.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSADDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.12.v1.2 pep primary_assembly:ASM411807v1:8:29299418:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.10.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.16.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSADDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKFLGSSVSGNFTTTSVA >Vigun08g123200.17.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKKIVYPLIVRLLKT >Vigun08g123200.25.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSDDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.26.v1.2 pep primary_assembly:ASM411807v1:8:29299418:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSADDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKFLGSSVSGNFTTTSVA >Vigun08g123200.11.v1.2 pep primary_assembly:ASM411807v1:8:29299418:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEESENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSADDNSGSENLNSSMIIPPQTVSDQVLKELFQKGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun08g123200.23.v1.2 pep primary_assembly:ASM411807v1:8:29299437:29311636:1 gene:Vigun08g123200.v1.2 transcript:Vigun08g123200.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVSTKVEPDADEDCVAEEEVFHFSYLLHSLVIHSFLLLQCDYRIRANANLLPLLALQSENFDDFTIASPWERFIYEIEAVCRNWMADTPTRLLDKGAVALGYSKYSYKVKSELKYGTESYCMEYYFETNINGKPGMADWRSAFHDLQLCFGVKEFLVISPKSASSAVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHESSRKAYIGIQNMSTVFTRRFEADWICSQVPRRLMHLEGLYDLFVSKFAYSTLDLPTNLFKVQFAMRLTYNMLPHDDYNMKAIDAQNTNSGENLTGETSNETLWDNDCPWSEWYSAEDPVKGFELITIWSKKMVESSLEMDELENASPYEAEKWLISPSCPPKLLEGSEWNQNGFASRLHILVDALKTSFEARFMGNFVSGVQVTDSAYGGNRTSRAIKGAPLESLFAQFCLHSLWFCDCNIRAIAVLWMEFVREVRRCWEESQLIPRMTANGSIDLSTCLINQKLQMLAVCIEKKCEVNEDYQDCIGSEDQIESITENFSTLVVKDRLASDMSAFKAANPNAVFEDFIRCHSPGDWIDDDLEVEMSKDNPSPRGKLSERMSENGNSWRNIWSRSPALPVSGQKPLLDPNREGEKVLQYLETLQPHQLLEQMLCTAFGAAADTLIQTNYGELKQMESMMQHLYLTLAPALKPLQENRLSPDSETIEDLRRLCIVFQHVEKLLTLAASLHRKFLQAPSLAREIFSDFYNFYIPRLGIGLVEEKVKCLNTLKCIMEKISAWTYIHIHSSVLYMLRSLTRNKQCGMKREKRWQICLFNPLQTNLGERF >Vigun03g144100.5.v1.2 pep primary_assembly:ASM411807v1:3:14590308:14598452:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun03g144100.9.v1.2 pep primary_assembly:ASM411807v1:3:14590342:14598451:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLILDVDLEACWKLC >Vigun03g144100.4.v1.2 pep primary_assembly:ASM411807v1:3:14590344:14598452:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun03g144100.7.v1.2 pep primary_assembly:ASM411807v1:3:14590308:14598451:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun03g144100.6.v1.2 pep primary_assembly:ASM411807v1:3:14590344:14598451:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun03g144100.8.v1.2 pep primary_assembly:ASM411807v1:3:14590342:14598451:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLILDVDLEACWKLC >Vigun03g144100.2.v1.2 pep primary_assembly:ASM411807v1:3:14590308:14598452:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun03g144100.1.v1.2 pep primary_assembly:ASM411807v1:3:14590344:14598451:-1 gene:Vigun03g144100.v1.2 transcript:Vigun03g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METREHLVVSHKKPTLTPKAIIHQNFGNKASYVVEEVKEVHQIECPGLSIPQMGPCLYRCTLQLPELSVISGTFKKKKDAEQSAAEIAIEKLGICSETIDPTPQEAQESLVARIAFIFSEKFLLCDHPLGGHIRATLWRKGDLCRSIPISVLAMYDPKLLSLCKCINPDVESNPFLVISHIKSATANLYQYLATSERHLCIRRLTPYPQDIVESLMKEHSSLECIQVAAIRIPSSVEQSIEQVTLRISLREYYLDVIANELGLEDAANVMISRNLGKASSETRLFFTAPKPYLLDLSSKFANGKETLYLMGSLNVRASYFAGQDIAGDAILASIGYTRKSRDIFYEDVSVRLYYRMLLGKTPGGIYKLSREAILASELPSRFTTRANWRGSLPRDILCMFCRQHRLSEPLFSFHPFKTSSLLSGSCLKVAECGDNVIEHINGVCVTSSTHSDSELFKCEIKLLSRCGDLILLCSPKDGYKKQNDAIQNTSLKVLSWLNMWFKSMILSFERLCETADDFNIQIYSSNIISEILAGQSTHNGQLNAIQCNKLVEPTYMDSSYDMLGDLVQSLKIEGPFSGVCPCNGSLPCIRYSVSLAVQSQNVKEVIEVCDEFEFEVGVGATVSYIEEVVMQMSVGQYAYFSTNFLSSDLVFASSGESVKMLSLLSSKDCCIEYDISLIKVTEPPEERMEQALFSPPLSKQRVEFGVQQILESHASTLIDFGCGSGSLLEALLNYPTSLEKMAGVDISQKGLSRAAKVLNSKLCTNSDAGRQWTGVQSVILYEGSITNFGSQLQGFDIGTCLEVIEHMEEDQACLFGDVALSFFRPRILIVSTPNFEYNVVLQKSNPPAQEQEELDDKTLLQSCKFRNHDHKFEWTRAQFRQWASDLAARHNYKVEFSGVGGSAEVEPGYASQIAVFKRDWEVKDVVKHAEEHHYNIIWEWNSRKE >Vigun07g066150.1.v1.2 pep primary_assembly:ASM411807v1:7:7735730:7737835:-1 gene:Vigun07g066150.v1.2 transcript:Vigun07g066150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPPTSGPEVSSIEKKNLGHIDQIIGSVLDVAFPLGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGTPLSVLVGEATLGRIFNVLGEPIDNLGPVDTRTTSPIHRFAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKIVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDVTTVLSRGLAAKEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVVEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVEVKEIILLTNSGQIGVLPNHAPIALAVDIGILRIRLKDQWLTMALMGVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRQARTRVEAINVIS >Vigun05g228800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42238117:42239882:1 gene:Vigun05g228800.v1.2 transcript:Vigun05g228800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAASKRKANSMVLVQKQHPKRHRVSFAPNSPKEKLQDAKKPSPTTTHSSSPHIGESLVSDVFDYLHEMEMQEKRRPMVDYIEKVQKLFTPTMRGILVDWLVEVGEEYKLLPDTLHLSVSYIDRFLSVNPVTKSRLQLLGVSSMLIASKYEEIDPPCVDDFCSITDHTYDKAEVVKMEADILHSLKFEMGNPTVNTFLRRFVDVASDREMTPNSQIEFLSQYLAELSLLDYDCLRFLPSVVAASAVFLSRFIISPEVHPWNYSLSECSGYKPAELKECVEILHDLYLLRKATSFEAVRDKYKQQKFKCVANLSSPPYIPNCYFEDL >Vigun04g058800.2.v1.2 pep primary_assembly:ASM411807v1:4:5878795:5886391:1 gene:Vigun04g058800.v1.2 transcript:Vigun04g058800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNEENGEGSARRIQVRFVTKLNAPFIVPSTAIAIPADLTRFGLSSLVNALIQSNDSDYQPEPFDFLIDGEFVRMSLEQFLLAKGISAERILEIEYTRAVAPRKEEDPSLHDDWVSAVDGSSSRFFLTGCYDGLGRVWKGAGLCTHILEGHSDAITSVSIINPEGLETVTVATASKDRTLRLWKLNTEEPVSQPMRVRAYKILRGHKSAVQSVAVQTSGEMVCSASWDCTINLWQTNDFNGEDDLVSKKRKIGGQVEESQLEGEAFTTLVGHTQCVSSVVWPQRESIFSASWDHSIRKWDVETGKNLTDIFCGKALNCLDIGGEGSVLIAAGGSDPVIRIWDPRKPGTSAPVFQFGSHTSWVSACKWHDQSWFHLLSASYDGKVMLWDLRTAWPLSVIESHSDKVLSADWWKSDSVISGGADSKLCISSEIPVK >Vigun04g058800.1.v1.2 pep primary_assembly:ASM411807v1:4:5878795:5886407:1 gene:Vigun04g058800.v1.2 transcript:Vigun04g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNEENGEGSARRIQVRFVTKLNAPFIVPSTAIAIPADLTRFGLSSLVNALIQSNDSDYQPEPFDFLIDGEFVRMSLEQFLLAKGISAERILEIEYTRAVAPRKEEDPSLHDDWVSAVDGSSSRFFLTGCYDGLGRVWKGAGLCTHILEGHSDAITSVSIINPEGLETVTVATASKDRTLRLWKLNTEEPVSQPMRVRAYKILRGHKSAVQSVAVQTSGEMVCSASWDCTINLWQTNDFNGEDDLVSKKRKIGGQVEESQLEGEAFTTLVGHTQCVSSVVWPQRESIFSASWDHSIRKWDVETGKNLTDIFCGKALNCLDIGGEGSVLIAAGGSDPVIRIWDPRKPGTSAPVFQFGSHTSWVSACKWHDQSWFHLLSASYDGKVMLWDLRTAWPLSVIESHSDKVLSADWWKSDSVISGGADSKLCISSEIPVK >Vigun07g262200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37817090:37819515:1 gene:Vigun07g262200.v1.2 transcript:Vigun07g262200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLDDIMDQRLAQLDNAKQKFDKMNKVSVPKIQKIPVLLRQNERFAMYCSPKMISFGPIHHNKEDLKQAEDYKLLWTSKFVAEHSEKQVTDQAIAKALLERIEDNIEELKNQFSEDLLLGEMYDDKYLAWMLFVDGCSLLYFMEYVDINHPEALNIKLDQLTHMWRDSCLLENQLPRRLLEMLSKTEGTDLEYLYYNHLSWCTSKREGSVRFSVQNPKPIHILDFCRSYFLSPQKFVNHDKNNQIEINEISNQQNDDDDDYDDYDDEHSIDADMVRWHTCKNIRDLKIAGIRMLPNLSCENPFAWNNIHFTSKWFSGELRLPMFVFTNFTPCLFRNLVAYEMCPDVCYKYECCSFFSFMDSLIDTAEDVKELRISGVFQNLLGSDEDLANLFNELGYDLPTKVFCENVFISTNVVAFSKKYIVVKQQIEKHCANKWKTWLAEGYNTHFDTPWTMVAFLAALLALSLTFIQTWFSIPI >Vigun07g262200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37817157:37819491:1 gene:Vigun07g262200.v1.2 transcript:Vigun07g262200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLDDIMDQRLAQLDNAKQKFDKMNKVSVPKIQKIPVLLRQNERFAMYCSPKMISFGPIHHNKEDLKQAEDYKLLWTSKFVAEHSEKQVTDQAIAKALLERIEDNIEELKNQFSEDLLLGEMYDDKYLAWMLFVDGCSLLYFMEYVDINHPEALNIKLDQLTHMWRDSCLLENQLPRRLLEMLSKTEGTDLEYLYYNHLSWCTSKREGSVRFSVQNPKPIHILDFCRSYFLSPQKFVNHDKNNQIEINEISNQQNDDDDDYDDYDDEHSIDADMVRWHTCKNIRDLKIAGIRMLPNLSCENPFAWNNIHFTSKWFSGELRLPMFVFTNFTPCLFRNLVAYEMCPDVCYKYECCSFFSFMDSLIDTAEDVKELRISGVFQNLLGSDEDLANLFNELGYDLPTKVFCENVFISTNVVAFSKKYIVVKQQIEKHCANKWKTWLAEGYNTHFDTPWTMVAFLAALLALSLTFIQTWFSIPI >Vigun07g262200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37817157:37819491:1 gene:Vigun07g262200.v1.2 transcript:Vigun07g262200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLDDIMDQRLAQLDNAKQKFDKMNKVSVPKIQKIPVLLRQNERFAMYCSPKMISFGPIHHNKEDLKQAEDYKLLWTSKFVAEHSEKQVTDQAIAKALLERIEDNIEELKNQFSEDLLLGEMYDDKYLAWMLFVDGCSLLYFMEYVDINHPEALNIKLDQLTHMWRDSCLLENQLPRRLLEMLSKTEGTDLEYLYYNHLSWCTSKREGSVRFSVQNPKPIHILDFCRSYFLSPQKFVNHDKNNQIEINEISNQQNDDDDDYDDYDDEHSIDADMVRWHTCKNIRDLKIAGIRMLPNLSCENPFAWNNIHFTSKWFSGELRLPMFVFTNFTPCLFRNLVAYEMCPDVCYKYECCSFFSFMDSLIDTAEDVKELRISGVFQNLLGSDEDLANLFNELGYDLPTKVFCENVFISTNVVAFSKKYIVVKQQIEKHCANKWKTWLAEGYNTHFDTPWTMVAFLAALLALSLTFIQTWFSIPI >Vigun07g262200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37817090:37819491:1 gene:Vigun07g262200.v1.2 transcript:Vigun07g262200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLDDIMDQRLAQLDNAKQKFDKMNKVSVPKIQKIPVLLRQNERFAMYCSPKMISFGPIHHNKEDLKQAEDYKLLWTSKFVAEHSEKQVTDQAIAKALLERIEDNIEELKNQFSEDLLLGEMYDDKYLAWMLFVDGCSLLYFMEYVDINHPEALNIKLDQLTHMWRDSCLLENQLPRRLLEMLSKTEGTDLEYLYYNHLSWCTSKREGSVRFSVQNPKPIHILDFCRSYFLSPQKFVNHDKNNQIEINEISNQQNDDDDDYDDYDDEHSIDADMVRWHTCKNIRDLKIAGIRMLPNLSCENPFAWNNIHFTSKWFSGELRLPMFVFTNFTPCLFRNLVAYEMCPDVCYKYECCSFFSFMDSLIDTAEDVKELRISGVFQNLLGSDEDLANLFNELGYDLPTKVFCENVFISTNVVAFSKKYIVVKQQIEKHCANKWKTWLAEGYNTHFDTPWTMVAFLAALLALSLTFIQTWFSIPI >Vigun07g262200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37817090:37819491:1 gene:Vigun07g262200.v1.2 transcript:Vigun07g262200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLDDIMDQRLAQLDNAKQKFDKMNKVSVPKIQKIPVLLRQNERFAMYCSPKMISFGPIHHNKEDLKQAEDYKLLWTSKFVAEHSEKQVTDQAIAKALLERIEDNIEELKNQFSEDLLLGEMYDDKYLAWMLFVDGCSLLYFMEYVDINHPEALNIKLDQLTHMWRDSCLLENQLPRRLLEMLSKTEGTDLEYLYYNHLSWCTSKREGSVRFSVQNPKPIHILDFCRSYFLSPQKFVNHDKNNQIEINEISNQQNDDDDDYDDYDDEHSIDADMVRWHTCKNIRDLKIAGIRMLPNLSCENPFAWNNIHFTSKWFSGELRLPMFVFTNFTPCLFRNLVAYEMCPDVCYKYECCSFFSFMDSLIDTAEDVKELRISGVFQNLLGSDEDLANLFNELGYDLPTKVFCENVFISTNVVAFSKKYIVVKQQIEKHCANKWKTWLAEGYNTHFDTPWTMVAFLAALLALSLTFIQTWFSIPI >Vigun07g165200.1.v1.2 pep primary_assembly:ASM411807v1:7:27751258:27752616:-1 gene:Vigun07g165200.v1.2 transcript:Vigun07g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVGEEDHQRLRIHPMEGEAPAPPTTPLVPPGSSRSEKGIPLHHPPLLRSVPAVYSKPRKRSCFCKCMCWTISVFVLLLIILAAIVGILYLVFKPKLPDYSVDTLRISDLRLNFDMSLYAKFDVKITANNPNKKIGIYYEKGGKLSVWYTNTRLCEGSLPQFYQGHQNKTVLDVSLSGQVQSGSTLMTALQQQQQTGRIPLDLKVHAPIAIKLGRLKLMKIRVLGECLLVVDSLSSNNLISIKASNCKFRMKL >Vigun07g017300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1455657:1455941:1 gene:Vigun07g017300.v1.2 transcript:Vigun07g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIPGIVRQGSFSASKATRKGLEVPKGYLAVYVGVNMRRFVIALSHLNQPSFQHLLSKVEEEFGYDHPTGGLRIPCNEDEFLSLTSRLNELL >Vigun11g068500.1.v1.2 pep primary_assembly:ASM411807v1:11:20020269:20025426:1 gene:Vigun11g068500.v1.2 transcript:Vigun11g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIASVASSVAVPLLRNITYVLMYSTYLTELETEIKKLQSEEKEVRHTVEAAKRGGEEIEDTVRDWFDRVRAAVEQGQTFLEEEERERVGCMDVYSKYMNSQRARTLVEIIREVRKETFDRVSYRRALRCNVGPASREYVAIQSRTVMLNEVVKMLKDGGVDIVGVYGVAGVGKTAMVKELAWQVEKDGLFDAVVVATVTDSPDVGRIRNEIADGLGLKFDELTELGRASRLRQRIRQEQRILVVLDDVWGKLDLTKIGVPFGEDYKGCRCQLLVTSRNRNVLSSNFGSGKFYRLEVLSEDESWELFEKRAGDAVSDPSIQSMAEKVAKSCAGLPLLIVTVVEELKNKDLYAWKDALEQITSFELEGDLYSPFRSAIELSYDHLESQELKTFFLLLGSMGNGCSTRDLLVFGWCLGLHKHVDSLADGRNRLHKLIDNLRAACLLLDEGKRDSVVALEVVRHVAASIASRVKPFFTVQRNKEFKWPRMDFFRTCHHIFLDRCYIRELPEVLECPKLKILQINSQGNYLKIPDDFFVEMKELKVLSLGGLNGTPSLPPSLSLLTDLQALFLCKCKLEDIATVGSITSLEILNLEKSELKELPAEIGGLNNLRLLDLTDCPTLGGIPGNVISRLTSLEELYMGNCDVQGEAKESKTQDNDSSLGELKHLNQITTLNVQIEDTSVFPRDMLSFGRLESYKILIGDGWKWSEVESESYKTSRLLKLNSGADPSILKDFGIKMLMNKAEDLYLAELKGVREVLYELNDEGFSQLKYLCILNCDEMESIIGSTEWSYSDHAFPNLESLILHNLINMERICSDPLPAQAFRKLQVIKVKGCDRMEFLFSHSMVKHLSELVEIEISECKSMTNILSGQRQEDADAGQTDKIRLINLSSLTLQCLPSLVSISPDSSTEASENGNGFSSQLFNNKVEFPNLETLKLYSINIHKIWNHHHSYFENLTSLTVDGCERLTYIFSYPVAIKLVKLEHLILSSCKFVENIFVPDENLGHTHIHHFRRSAPTELIPIFTNLETFVISHMDNLKAIWPALLPENSFCKLKKMEITSCNNLLNVFPCHVLDKLQSLESLNVWNCMALEVVYEIDAINREQEGSSQRVLDIPLRTLSLGNLPKLKHLWNKDPQGNIKFQNLFMVKASKCQSLKYVFPLSLAKDLLHLQFLEISDCGVEEIIASDKGGVGALGFVFPKLVSIKLFNLPDLQCFCNGNHNLRFPLLNQFYAVECPRMETFSGGILRASILRKIFMTREGDQWYWEGDLNTTIRKLVNRDLQTRLSIL >Vigun11g068500.2.v1.2 pep primary_assembly:ASM411807v1:11:20020685:20025426:1 gene:Vigun11g068500.v1.2 transcript:Vigun11g068500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIASVASSVAVPLLRNITYVLMYSTYLTELETEIKKLQSEEKEVRHTVEAAKRGGEEIEDTVRDWFDRVRAAVEQGQTFLEEEERERVGCMDVYSKYMNSQRARTLVEIIREVRKETFDRVSYRRALRCNVGPASREYVAIQSRTVMLNEVVKMLKDGGVDIVGVYGVAGVGKTAMVKELAWQVEKDGLFDAVVVATVTDSPDVGRIRNEIADGLGLKFDELTELGRASRLRQRIRQEQRILVVLDDVWGKLDLTKIGVPFGEDYKGCRCQLLVTSRNRNVLSSNFGSGKFYRLEVLSEDESWELFEKRAGDAVSDPSIQSMAEKVAKSCAGLPLLIVTVVEELKNKDLYAWKDALEQITSFELEGDLYSPFRSAIELSYDHLESQELKTFFLLLGSMGNGCSTRDLLVFGWCLGLHKHVDSLADGRNRLHKLIDNLRAACLLLDEGKRDSVVALEVVRHVAASIASRVKPFFTVQRNKEFKWPRMDFFRTCHHIFLDRCYIRELPEVLECPKLKILQINSQGNYLKIPDDFFVEMKELKVLSLGGLNGTPSLPPSLSLLTDLQALFLCKCKLEDIATVGSITSLEILNLEKSELKELPAEIGGLNNLRLLDLTDCPTLGGIPGNVISRLTSLEELYMGNCDVQGEAKESKTQDNDSSLGELKHLNQITTLNVQIEDTSVFPRDMLSFGRLESYKILIGDGWKWSEVESESYKTSRLLKLNSGADPSILKDFGIKMLMNKAEDLYLAELKGVREVLYELNDEGFSQLKYLCILNCDEMESIIGSTEWSYSDHAFPNLESLILHNLINMERICSDPLPAQAFRKLQVIKVKGCDRMEFLFSHSMVKHLSELVEIEISECKSMTNILSGQRQEDADAGQTDKIRLINLSSLTLQCLPSLVSISPDSSTEASENGNGFSSQLFNNKVEFPNLETLKLYSINIHKIWNHHHSYFENLTSLTVDGCERLTYIFSYPVAIKLVKLEHLILSSCKFVENIFVPDENLGHTHIHHFRRSAPTELIPIFTNLETFVISHMDNLKAIWPALLPENSFCKLKKMEITSCNNLLNVFPCHVLDKLQSLESLNVWNCMALEVVYEIDAINREQEGSSQRVLDIPLRTLSLGNLPKLKHLWNKDPQGNIKFQNLFMVKASKCQSLKYVFPLSLAKDLLHLQFLEISDCGVEEIIASDKGGVGALGFVFPKLVSIKLFNLPDLQCFCNGNHNLRFPLLNQFYAVECPRMETFSGGILRASILRKIFMTREGDQWYWEGDLNTTIRKLVNRDLQTRLSIL >Vigun09g121700.1.v1.2 pep primary_assembly:ASM411807v1:9:27218084:27219580:-1 gene:Vigun09g121700.v1.2 transcript:Vigun09g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLDTAAVDPGTSLFAVTLTQCQANASHLDLNVDFAEVIKSLEVDVIYLVGCRRGVECKLLVSQNNQTTKLGQGWRKFCAQNRLKEGDRLVFEVDQVQKQSIVEVYINGCYCDVAKSIDLV >Vigun11g222500.1.v1.2 pep primary_assembly:ASM411807v1:11:41397395:41400711:1 gene:Vigun11g222500.v1.2 transcript:Vigun11g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNERPSKRMKRRVTADLYDFLTFPATTDASSGQPFRTSVQRFLSDHARITFPPSLFPSLMTWQILFRVGDLVDGPDLSPAVVTLDIVEEDVTRSRSSVYCDQCRVVGWSGHPVCRKRYHFIIRAASNAVEPYQRPCSRCGNLLQLSETRCKSCNFAITVDDLEDWVYLQIEDNTHLLHGVVHSNGYGHLLTLSGREGGSKLLSGSDIMDFWDRLCTSISVRKVSVMDLSKKFGLEYRLLHAITKGHSWYGNWAYEFGTGSYALTQDAYKNAVNTLSSMPLSSFSFHGRGPRSRLECIISLYQSLAETELLNIQDLFSFLLMLIRECRKPISMRSFKQTSNLLCTWTGKDVEEVQQALVKVLIASGACTEAKWVTRRALKGAVCRGVSSPELLDYCLKYLPGKLAANGMVVCSRCNPVSCAIEFRLGPMSNALSIHSSYPTEGQLISDLTFLFDSIIHPDKMMSYRPRIMRKRVADSARKLLDCKQFMKDYKPYEMAIEPPSVIRLWCHVELSDQPKDDPSPPPELIVLPLNATVAELKSEATNAFQEVYAMYKRFQAEELLGYGSISDSLTVKFLLGTSGSVRIQGKCPAKHGLSRFRMERGTEVWKVDCTCGAKDDDGEKMLACDTCGVWQHTRCAGIHNSDGMPSKFVCMRCVNLYREEKKRSPASAEETNGTCIFNSSCRDEAAARDCPTVSCNITVNFGVR >Vigun03g262800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43192461:43194301:1 gene:Vigun03g262800.v1.2 transcript:Vigun03g262800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGINSTGKEFDLYGRRLGGSSQQPPLSSLRQTALVGAESRARHRALLPSGPQYLGGDKNIKSSLSPIQAAAMAAERRLHDDKWCGSKSQRSEPQIRENTSSSEASARSIQTSAIQSATTKEKFDRFYKVVLVLISFNIKLCMYNVLFHYCIPSRKQ >Vigun10g196800.1.v1.2 pep primary_assembly:ASM411807v1:10:41001349:41002785:-1 gene:Vigun10g196800.v1.2 transcript:Vigun10g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSWKLTCSLFLLISAVATATDHIVGANRGWNPGLNYTLWANNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTTEGAVGNWSSGKDFIPLNKAKKYYFICGNGQCFSGMKVSVTVLPLPPPPTSAISAEHSTPHSASSLLFKRSLLLSSLLACFGSVFM >Vigun01g185400.1.v1.2 pep primary_assembly:ASM411807v1:1:36566532:36568105:-1 gene:Vigun01g185400.v1.2 transcript:Vigun01g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRGFLVACLALFCILGVCEGGDLRKKFYKRTCSEAEDIVRSKIQEHVSARPELAAKLIRMHFHDCFVRGCDGSVLLDSTATNTAEKDSIPNLSLSGFDVIDDIKAALEAKCPGTVSCADILALAARDAVSVQFKKPMWEVLTGRRDGKVSISGEALANLPAPFFNITQLRQSFESKKLTVHDLVVLSGAHTIGVGHCNLFSNRLFNFSGKGDQDPSLNPTYATFLKTKCQSLSDTTTTVEMDPESSSTFDNDYYSILIQNKGLFQSDAALLTAKVSRNIVNELTKQDKFFTEFGQSMKRMGAIEVLTGSDGEIRTKCSVVNS >Vigun07g177300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29266224:29266864:-1 gene:Vigun07g177300.v1.2 transcript:Vigun07g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGRKCGFIVFLFLCSFRSLFEDASKYQPRSQPHPQNSTSTPPSPGTMTGHRNWTHGRRG >Vigun04g102800.1.v1.2 pep primary_assembly:ASM411807v1:4:23847391:23849158:1 gene:Vigun04g102800.v1.2 transcript:Vigun04g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEDCSIRPCLEWIKNPESQANATVFLAKFYGRAGLMNLINAGPQNLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGEPITPITSEVAANGRAPPLKACDIRHVSKDENSANRTQKAKTRVRVKRTGGDVVIKPKASKATGFVPVEPEANQTTSHESGLSHLSEAAAIVEGESKESESVVSVETCNLFGETKTSDRTGESSDEIGLELRLGFEPVSREHHMIPMKKRRIEVKSSCDSMELGLESSA >VigunL044900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:465240:465598:-1 gene:VigunL044900.v1.2 transcript:VigunL044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKEIFVQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun01g009900.1.v1.2 pep primary_assembly:ASM411807v1:1:1140619:1151691:-1 gene:Vigun01g009900.v1.2 transcript:Vigun01g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVKNVSKLVHHERYILARWFSGDAQSSLNRRRDVRKTQLPESSTRNSVFEPVVNFTKRSISMASIRRGSIMGSGYTGEISPSSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIGKFKDYKPSSSEPSAPPAKETSEPSPPKEVAKEPAREPEAKVSKPSVPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQVSAPSKAKGPTDAALDYIDIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLMSLRGKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKGLSTIGEEVKKLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun01g009900.2.v1.2 pep primary_assembly:ASM411807v1:1:1140619:1151691:-1 gene:Vigun01g009900.v1.2 transcript:Vigun01g009900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVKNVSKLVHHERYILARWFSGDAQSSLNRRRDVRKTQLPESSTRNSVFEPVVNFTKRSISMASIRRGSIMGSGYTGEISPSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIGKFKDYKPSSSEPSAPPAKETSEPSPPKEVAKEPAREPEAKVSKPSVPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQVSAPSKAKGPTDAALDYIDIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLMSLRGKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKGLSTIGEEVKKLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun03g216400.1.v1.2 pep primary_assembly:ASM411807v1:3:36019123:36022012:1 gene:Vigun03g216400.v1.2 transcript:Vigun03g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGKNMTKLEAVEYIKKVQKKFKDKKETFEEFLNVMTDYRQHRMDVGTVVEKVEEILKDHDDLISGFNKFLPKGYEILLPPKDTVQLKDAIKYVQKIKDRFQNTDRIYKRFVRILTNRDKNDMSASEIFHKVEKLFEGHHDLVRDFTLFLPDA >Vigun06g216400.1.v1.2 pep primary_assembly:ASM411807v1:6:32737231:32737499:1 gene:Vigun06g216400.v1.2 transcript:Vigun06g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYQKDFKHISQKIKKLEDKLEKDSSKIEALMKKGEESTDGQYSKTAKVIA >Vigun09g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33767093:33774860:1 gene:Vigun09g168600.v1.2 transcript:Vigun09g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDTALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHAHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAECVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFCSMPEKDVVSWSAMISGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVQEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVAFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun11g166200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37364151:37365236:1 gene:Vigun11g166200.v1.2 transcript:Vigun11g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDLRRVFEMFDRNGDGRISAKELRDSLVNLGIEIPEKELAEMIARIDVNGDGCVDMSEFGELYETIMEERDEEEDMREAFNVFDQNRDGFITVDELRAVLASLGLQQGKSMEECRKMIVKVDVDGDGMVNYKEFRQMMKGGGGFTAIG >Vigun08g050400.1.v1.2 pep primary_assembly:ASM411807v1:8:5800784:5802564:-1 gene:Vigun08g050400.v1.2 transcript:Vigun08g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGARARTNFPFNPNVSHTSSSSSKLLSATLTAKLHRCYMASLQMTRQALASSESQRTASVPNATSTSQPKSLSVKSDQTHQVLPHKRAEEDQESEAMARTVESVPLQFKPLEEDHIEQMIEELLDYGSVELSSVFSP >Vigun05g227800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42079460:42080677:-1 gene:Vigun05g227800.v1.2 transcript:Vigun05g227800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGFIIGRGSSATVYTATSAHSSTVAAVKTAELSPSNSEKLQREQRILSSLFSTHIVTYKGCNTTEENNTLWFNLFMEYMPFGTLSQEIRRHGGRLGEPTIVNYVRQVLRGLEYLHNKGVVHCDIKGSNILIGEEGAKIGDFGCAKLWNESPVAAIGGTPMFMAPEVARGEEQGYPADVWALGCTVVEMATGFAPWPNLEDPVTVLYRVAYSNEVPEIPSFLSEEAKDFLGKCFRRNPKERWSCSQLLKHPFVGEFSSNDKEIQQSNSCSPTSILEQSFWNSVEETETETETESEEECVSDPGNVIRIKSSDESPKGRIRRLALCSGDPIWELDDENWITTRGSEAGASSCEGLDLDVDIRISDYFCDDYYYKCSDVSVVVGSFDFEGGIDEMVIPSTFEFL >Vigun04g047400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4105825:4106160:-1 gene:Vigun04g047400.v1.2 transcript:Vigun04g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQRSSFSFRRQGSSGKIWQDQIQFEDAKGNGNAAAGAALKNKMKNNNKEANVPQIEEAIAGRTFHENDEGDAHSNTSPSSLSKSQNKVHGSFFSSICGLCMNNPSGRD >Vigun06g106400.1.v1.2 pep primary_assembly:ASM411807v1:6:23575072:23576183:1 gene:Vigun06g106400.v1.2 transcript:Vigun06g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGSTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >Vigun11g080600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23835385:23841438:1 gene:Vigun11g080600.v1.2 transcript:Vigun11g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEDQEFVNQQETLMVVSLMGFLYSVAMMLVSSLFSHHLLSILFILLFGIGSAVSLTLLTTISPTLAYVGFFIWFFIFAFICYHNRLRPKEFKNFIP >Vigun10g020600.3.v1.2 pep primary_assembly:ASM411807v1:10:2469627:2478570:-1 gene:Vigun10g020600.v1.2 transcript:Vigun10g020600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYLHSAPTPSPSFSPITTSRFFQTSRVAFRNAVRCKAIEAVQLENGRPSANEVAGGNAIPSYVVAPNARIRDLVHRNDTRLRIFSGTANPALSQEIACYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMVDDMIDTAGLFLVQSFQCNRL >Vigun10g020600.2.v1.2 pep primary_assembly:ASM411807v1:10:2467632:2478569:-1 gene:Vigun10g020600.v1.2 transcript:Vigun10g020600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYLHSAPTPSPSFSPITTSRFFQTSRVAFRNAVRCKAIEAVQLENGRPSANEVAGGNAIPSYVVAPNARIRDLVHRNDTRLRIFSGTANPALSQEIACYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVAEQNYFPQLTVLSVANLLGETVWRVHDDCSVSSIFL >Vigun10g020600.1.v1.2 pep primary_assembly:ASM411807v1:10:2467632:2478569:-1 gene:Vigun10g020600.v1.2 transcript:Vigun10g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYLHSAPTPSPSFSPITTSRFFQTSRVAFRNAVRCKAIEAVQLENGRPSANEVAGGNAIPSYVVAPNARIRDLVHRNDTRLRIFSGTANPALSQEIACYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVAEQNYFPQLTVLSVANLLGETVWRVHDDCSGGIEPYSSLGID >Vigun07g171000.1.v1.2 pep primary_assembly:ASM411807v1:7:28626235:28630235:1 gene:Vigun07g171000.v1.2 transcript:Vigun07g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELMKVNLKKLSEAVTCYLCKRWLRNAATITECCHSFCRECIEKKLIDEKLNHCPVCNTDLGCSPLDKLRSDTRKQDLRDKIFPPQDYNDEEPADKLVEKIKKNLVLSSQPNREISKSGFRKEADLSSPKPDKVEDAKEDEKQGEAEFGEEGATIGSARRAKAAARIKFICPAQPSGKEKTEGDQPRVETSSGTLKIRIPNPSKPNTELRKEKAEMFEPVKSKVGAGRKRKSRNNSTMQENGATSVPVHSNDDDPQVGMVEIDNRSHKTDGKKNESIPSSSESMKPIASIQERRPKLSEDLNFPAAAETDSDSESSREFGPIWFCLLASEENNGLEPLPQLSSCYLRVQDGNVTVSYIKKYLVKKLGLASENEVEISLYGQPVLSSWKLNSLVDMWLRAMPKNEIVTTFGSSAKDFIMALSYGRKA >Vigun07g171000.2.v1.2 pep primary_assembly:ASM411807v1:7:28626256:28630146:1 gene:Vigun07g171000.v1.2 transcript:Vigun07g171000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELMKVNLKKLSEAVTCYLCKRWLRNAATITECCHSFCRECIEKKLIDEKLNHCPVCNTDLGCSPLDKLRSDTRKQDLRDKIFPPQDYNDEEPADKLVEKIKKNLVLSSQPNREISKSGFRKEADLSSPKPDKVEDAKEDEKQGEAEFGEEGATIGSARRAKAAARIKFICPAQPSGKEKTEGDQPRVETSSGTLKIRIPNPSKPNTELRKEKAEMFEPVKSKVGAGRKRKSRNNSTMQENGATSVPVHSNDDDPQVGMVEIDNRSHKTDGKKNESIPSSSESMKPIASIQERRPKLSEDLNFPAAAETDSDSESSREFGPIWFCLLASEENNGLEPLPQLSSCYLRVQDGNVTVSYIKKYLVKKLGLASENEVEISLYGQPVLSSWKLNSLVDMWLRAMPKNEIVTTFGSSAKDFIMALSYGRKA >VigunL085550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:64108:64962:-1 gene:VigunL085550.v1.2 transcript:VigunL085550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRADLACRIFPEPDASQIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSSSSSAASDKSRGPFWNLLRLVFGGIVKPIQTLGQFLGPKRPSSSSPTPSSSIAVGTDDDSDAGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWSIPTARAAARPRQLLLVVRYLSPKLSVATLIGLKFSPSKSSSCVKHESSTRNLRSSNPLPRRSSTWWR >Vigun09g200200.1.v1.2 pep primary_assembly:ASM411807v1:9:37462179:37464086:1 gene:Vigun09g200200.v1.2 transcript:Vigun09g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELMSLPKMEEQKAIQEAAMEGLKGMENFIHLLSNQPSHLNTHLTQATVSNFKKLISLLNRTGHARFRRAPLPSNNQPTPPANPVTVHQPPTTFAPTHSHTLTLDFTKPNIFAATNNKSLDLEFSKETFSVSSNSSSFISSAITADGSVSNGNQASSLFLTSPPPPSSSGKPPLVKKRCHDHSDDVSGSTKCHCIKRRKNRVKKTVRVPAISTKIADIPSDEFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERASDDPTMLVVTYEGEHRHSIQTALQENISGAVGLVFQST >Vigun06g207800.1.v1.2 pep primary_assembly:ASM411807v1:6:32127673:32132345:-1 gene:Vigun06g207800.v1.2 transcript:Vigun06g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQRPLMSDRVTVNGTVTPLALLADGRLWWSEGIQRCLSVEKDVLSFVTSGPYIKIKSLVETRDGCCSTGAPTKLARNDVVFMPSSEESHRLWCQKLSEFVDSLGRPKRLFVFVNPFGGKKSATKIFDEQVKPLFEDAQIEITVQETKHQLHAKNVTRSLDITKYDGIVCVSGDGILVEVVNGLLQREDWETAIKIPLGVVPAGTGNGMAKSLLDSVGDPCEVANAVHAIIRGNKRPLDVATIIQGEIRFFSILMLAWGLVADIDIESEKYRWMGSARLDFYGLCRLLKLRQYIGCVSFVPAPGYEAFGEPTIYPGKPTTSKGSNSDQSEAEEANRQRPCYLGPEINLENLNWRVINGPFISVWLHNVPWGAEDTMAAPDAKFSDGYLDLIMIKNCPTLPLLSMMSELNTGGHVKSPYVTYLKVKAFSLLPGPRTKDKEKEGIIDVDGEVLARGKGTYKSEEKTLMVYDKLQIAVDQGLATLFTPI >Vigun06g207800.2.v1.2 pep primary_assembly:ASM411807v1:6:32127674:32135387:-1 gene:Vigun06g207800.v1.2 transcript:Vigun06g207800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQRPLMSDRVTVNGTVTPLALLADGRLWWSEGIQRCLSVEKDVLSFVTSGPYIKIKSLVETRDGCCSTGAPTKLARNDVVFMPSSEESHRLWCQKLSEFVDSLGRPKRLFVFVNPFGGKKSATKIFDEQVKPLFEDAQIEITVQETKHQLHAKNVTRSLDITKYDGIVCVSGDGILVEVVNGLLQREDWETAIKIPLGVVPAGTGNGMAKSLLDSVGDPCEVANAVHAIIRGNKRPLDVATIIQGEIRFFSILMLAWGLVADIDIESEKYRWMGSARLDFYGLCRLLKLRQYIGCVSFVPAPGYEAFGEPTIYPGKPTTSKGSNSDQSEAEEANRQRPCYLGPEINLENLNWRVINGPFISVWLHNVPWGAEDTMAAPDAKFSDGYLDLIMIKNCPTLPLLSMMSELNTGGHVKSPYVTYLKVKAFSLLPGPRTKDKEKEGIIDVDGEVLARGKGTYKSEEKTLMVYDKLQIAVDQGLATLFTPI >Vigun09g138200.1.v1.2 pep primary_assembly:ASM411807v1:9:29988576:29989897:1 gene:Vigun09g138200.v1.2 transcript:Vigun09g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMNIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITDENGKKKLKIEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASIANDNKSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIVVEE >Vigun02g134100.2.v1.2 pep primary_assembly:ASM411807v1:2:28434239:28437291:1 gene:Vigun02g134100.v1.2 transcript:Vigun02g134100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMAASVRGIHKLLSSSRIEKLVSLSRSKQHLPPWVEIVRHFSFTDDFSGRSKHSTGERDDFLRQQSDSSFEDNGGKRIHEAYNVEQGLSDSIPSRPLRGRKPINQPPPRFRESGRGSFPPRFDDSHGAPGALDNSNKSSKIDLAFQGMNVAETNKDLGQSGDNFLDKFKLAFDDKAVNQSEAAASKQSEEAKWSDSNPNAQEPVPQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEALKLFGLMREKGTIPEIVIYTAVVEGYTKADRADDAKRIFRKMQSSGISPNAFSYTVLIQGLYKCRRLQDAFEFCVEMLEAGHLPNVTTFVGLVDGFCKEKGIEEAKDAIKTLTEKGFAFDEKAVRQFLDKKTPFSPSVWEAIFGKKAPQRPF >Vigun02g134100.3.v1.2 pep primary_assembly:ASM411807v1:2:28434248:28437159:1 gene:Vigun02g134100.v1.2 transcript:Vigun02g134100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMAASVRGIHKLLSSSRIEKLVSLSRSKQHLPPWVEIVRHFSFTDDFSGRSKHSTGERDDFLRQQSDSSFEDNGGKRIHEAYNVEQGLSDSIPSRPLRGRKPINQPPPRFRESGRGSFPPRFDDSHGAPGALDNSNKSSKIDLAFQGMNVAETNKDLGQSGDNFLDKFKLAFDDKAVNQSEAAASKQSEEAKWSDSNPNAQEPVPQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEALKLFGLMREKGTIPEIVIYTAVVEGYTKADRADDAKRIFRKMQSSGISPNAFSYTVLIQGLYKCRRLQDAFEFCVEMLEAGHLPNVTTFVGLVDGFCKEKGIEEAKDAIKTLTEKGFAFDEKAVRQFLDKKTPFSPSVWEAIFGKKAPQRPF >Vigun02g134100.1.v1.2 pep primary_assembly:ASM411807v1:2:28434254:28437116:1 gene:Vigun02g134100.v1.2 transcript:Vigun02g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAEFHIPPLIFFTITHRSPIHFFRAKLWRDSVYMAASVRGIHKLLSSSRIEKLVSLSRSKQHLPPWVEIVRHFSFTDDFSGRSKHSTGERDDFLRQQSDSSFEDNGGKRIHEAYNVEQGLSDSIPSRPLRGRKPINQPPPRFRESGRGSFPPRFDDSHGAPGALDNSNKSSKIDLAFQGMNVAETNKDLGQSGDNFLDKFKLAFDDKAVNQSEAAASKQSEEAKWSDSNPNAQEPVPQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEALKLFGLMREKGTIPEIVIYTAVVEGYTKADRADDAKRIFRKMQSSGISPNAFSYTVLIQGLYKCRRLQDAFEFCVEMLEAGHLPNVTTFVGLVDGFCKEKGIEEAKDAIKTLTEKGFAFDEKAVRQFLDKKTPFSPSVWEAIFGKKAPQRPF >VigunL039042.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:338751:341260:-1 gene:VigunL039042.v1.2 transcript:VigunL039042.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun10g108800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30817721:30821000:-1 gene:Vigun10g108800.v1.2 transcript:Vigun10g108800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGAKETQLRESNSQKVHPQPMEEARNQNPEAMETLISKIFTNISSLKSAYIQLQSAHTPYDPDKIHTADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQQIEEASQKRAKLEKNLKLRGLSTKESEDENGFFPVDLTPDLFTSAVEAAAKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESYICQRMFSGFELESFSVKSDDITVTKESFFHQFLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLTKTIWLLHRLAYSFEPNVKVFQVKGGSEFSDVYMESVVKNLIMDDNDEKPKVGLMVMPGFWIGGSVIQSKVYLSGMKVAE >Vigun10g108800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30817721:30821000:-1 gene:Vigun10g108800.v1.2 transcript:Vigun10g108800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGAKETQLRESNSQKVHPQPMEEARNQNPEAMETLISKIFTNISSLKSAYIQLQSAHTPYDPDKIHTADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQQIEEASQKRAKLEKNLKLRGLSTKESEDENGFFPVDLTPDLFTSAVEAAAKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESYICQRMFSGFELESFSVKSDDITVTKESFFHQFLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLTKTIWLLHRLAYSFEPNVKVFQVKGGSEFSDVYMESVVKNLIMDDNDEKPKVGLMVMPGFWIGGSVIQSKVYLSGMKVAE >Vigun10g108800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30817757:30821000:-1 gene:Vigun10g108800.v1.2 transcript:Vigun10g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGAKETQLRESNSQKVHPQPMEEARNQNPEAMETLISKIFTNISSLKSAYIQLQSAHTPYDPDKIHTADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQQIEEASQKRAKLEKNLKLRGLSTKESEDENGFFPVDLTPDLFTSAVEAAAKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESYICQRMFSGFELESFSVKSDDITVTKESFFHQFLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLTKTIWLLHRLAYSFEPNVKVFQVKGGSEFSDVYMESVVKNLIMDDNDEKPKVGLMVMPGFWIGGSVIQSKVYLSGMKVAE >Vigun10g166200.1.v1.2 pep primary_assembly:ASM411807v1:10:38525348:38526369:1 gene:Vigun10g166200.v1.2 transcript:Vigun10g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRIYNTIAHVVFVCLLLGKVTSSSCSSDAPESKYDVFVSFRGPDVREGFLSHLIEALSQNKITFFVDYNIPKGRQISDVLLRSIEVSSIYLVIFSENYASSSWCLLELVKIVECSSKYEHILLPIFYKVDPSHVRHQRGSYGEAFVAHQTKYNVTTLQTWRFALKESANLAGFHSSTFRDDAELVKEIIKRVSSMMFNLRQKVNSKECYAVGKQFSHAQPLLQLKSEDVPIIGMTGIDVLVR >Vigun10g127000.1.v1.2 pep primary_assembly:ASM411807v1:10:33504088:33507859:1 gene:Vigun10g127000.v1.2 transcript:Vigun10g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWIFVSIIVLVLFVASSFILEIDLSRIGSTTLRRIIIFNNQQNQFSISCSDGNSAKTCSSYYPTNIEFEDDSQPTSCPEYFRWIHEDLKPWKSTGITREMVERGKNISHIRLVIVNGKAYVEKHGKVFQTRDTFTIWGILQLLRLYPGKVPDLELMFQCGDKTVVNKQDFPETQISPPPVFHYCGNDNSHDIVFPDWTFWGWAELNIRPWEATLHNIQEGNKIVKWKDRVPYAFWKGNPKVSYVRGELGKCNATETHDWNARIYGIQWKAEKASHFENAKLENQCTYRYKIYAEGATWSVSEKYIIACDSMTMFIEPKYYDFFTRNMLPLQHYWPISIRNMCEEIKYAVDWGNSHIHIAEAIGQRGTNYVVENLKMKFVYDYMFHLLNEYAKLMKFKPTIPTEAVETCSESMVCSVRGLKKRLFVDSMVTSPSETPPCSIPPPYTPETLNEFLQKKQNLLKQVKTRTINIKE >Vigun11g165200.1.v1.2 pep primary_assembly:ASM411807v1:11:37236273:37242099:-1 gene:Vigun11g165200.v1.2 transcript:Vigun11g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTISHIKMSLVPQLSNLSLVVSVSSPLGVIMSEKTQTFCKASSPNPNSPTSSVEASHSKVRVFVRRNKKPRSMVVKLEGEDHFPSTQDHKVLGLPEIEEFAYCGANKLTRRVKSEMESEGASVASEVGSTRPGGVSPAHWEKVLEGIRKMRCSGDAPVDTMGCEKAGDTLPPKERRFAVLVSSLLSSQTKDPVTHGAIQRLLQNDLLTAEAINNVDEETVKKLIYPVGFYTRKATNLKKIANICLMKYNGDIPSSIDQLLLLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRICNRLGWVSRLGTKQKTSTPEETRESLQRWLPKEEWVPINPLLVGFGQTICTPLRPRCGECSVRDLCPSAFKEASNSSPSSKPKKPGLNKKP >Vigun07g121800.1.v1.2 pep primary_assembly:ASM411807v1:7:22468088:22475184:1 gene:Vigun07g121800.v1.2 transcript:Vigun07g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAIFFFGIALLSCSLIGASRGLENRRSVFLPILNSDPKVFDVTKFGAVADGKTDNIDAFRAAWGAACKNSTTRAKVLIPEGTYRTAQTMFAGPCSSPKPITVEVKGTVKANTDPSEYVSPEWFTFQEIDALVLTGRGVFDGQGPAMWPFNDCKHTKKDCSPLPSSLKFYKVNNSVVTGITSLNSMQIHFHIHGCRNVSLYKLNITAPGNSPNTDGIHISSSDMIKVFSSVIGTGDDCISIGHSTTNLTVYNITCGPGHGISIGSLGKRPEERTVNGVTVTNCTFVNTTNGARIKTWIGTVAAEVKNVTYEDIIMKDVQNPVVIDQSYGSRLSRAPSTSVWKISDIHFRKIKGTTVSNIAVSLQCSTRNPCEGVEVADVDLDFSGRRNTTFISSCSNAKAIFGGILNPPPC >Vigun10g088950.1.v1.2 pep primary_assembly:ASM411807v1:10:25612402:25612794:-1 gene:Vigun10g088950.v1.2 transcript:Vigun10g088950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFGETTEDVSGEWASDVGVGKVEFCYRGVGGIAGAGYTIPLARGGVPRVPGGESGVRVVERELELVQGGVVRVRVVFGCAVKIVKHDQQKGT >Vigun05g150900.3.v1.2 pep primary_assembly:ASM411807v1:5:22726323:22736321:-1 gene:Vigun05g150900.v1.2 transcript:Vigun05g150900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQDATDTTTLSYWLNWRFFCCAFSVLLPTVLAFHVIWKDIRSRKFTSGKGEHHREGALYGDEAWKPCLKEIHPVFLLVFRIIAFSSLLASLVSKFCVNGGSIFFYYTQWTFALVTIYFGCASVLSVYGCYQHNRSSTTSNVGTARLDAEQGPYMPLLHQDTTNRSRIDHLANPHAEMQNNHITPVWSYIFQILFQMTVNMHTLNVFFLLGDAALNCLPVQWFGMSFFVLWTSIYVIFQWTIHAFFWIWWPYPFLTLESPYSPLWYLLIALLHIPCYAMFKLIVDTKHYFLSKWFPSSTQF >Vigun05g150900.1.v1.2 pep primary_assembly:ASM411807v1:5:22726323:22736321:-1 gene:Vigun05g150900.v1.2 transcript:Vigun05g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQDATDTTTLSYWLNWRFFCCAFSVLLPTVLAFHVIWKDIRSRKFTSGKGEHHREGALYGDEAWKPCLKEIHPVFLLVFRIIAFSSLLASLVSKFCVNGGSIFFYYTQWTFALVTIYFGCASVLSVYGCYQHNRSSTTSNVGTARLDAEQGPYMPLLHQDTTNRSRIDHLANPHAEMQNNHITPVWSYIFQILFQMNAGAVMLTDCVYWIIIFPFLTLKDYDFTFMTVNMHTLNVFFLLGDAALNCLPVQWFGMSFFVLWTSIYVIFQWTIHAFFWIWWPYPFLTLESPYSPLWYLLIALLHIPCYAMFKLIVDTKHYFLSKWFPSSTQF >Vigun05g150900.2.v1.2 pep primary_assembly:ASM411807v1:5:22731974:22736321:-1 gene:Vigun05g150900.v1.2 transcript:Vigun05g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQDATDTTTLSYWLNWRFFCCAFSVLLPTVLAFHVIWKDIRSRKFTSGKGEHHREGALYGDEAWKPCLKEIHPVFLLVFRIIAFSSLLASLVSKFCVNGGSIFFYYTQWTFALVTIYFGCASVLSVYGCYQHNRSSTTSNVGTARLDAEQGPYMPLLHQDTTNRSRIDHLANPHAEMQNNHITPVWSYIFQILFQMNAGAVMLTDCVYWIIIFPFLTLKDYDFTFKITSALSDK >Vigun08g079000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15636429:15637875:-1 gene:Vigun08g079000.v1.2 transcript:Vigun08g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFMNMPFKNHSPVFIIMVLSFISNVQAQNDDTDTVQDFPEPVHPSKMVVVVALSILFTISFLLLVYIRFRRSIPLELTRRSHDSPDFQAQARSRSRSSGIDRKVIEALPFFMFSSLKGSKQGLECTVCLSQFEDTEILRLLPKCKHAFHMNCIDKWFESHSTCPLCRNNIDPLDIKNFTYSISSRSLRVPSNLTEDTNVEIFVHREPSSHQGSSSSSSRFNIGNRFWNLGWSNKKKLLVDQEVCGTNGTPVHKFYHKIVVSDAVRRSRWSDLNSSDMLSLKSEMIHHASIGRFSPSNEFFCGNSSLSSVFNEDESSITLLNTAEKRSMSDIAHVPRFKEICKQNSMEAGEASSGNNEREERMRRIWLAIAQRTVQWFAGQERNSTDLEIKHLASDV >Vigun07g107000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19689731:19694176:1 gene:Vigun07g107000.v1.2 transcript:Vigun07g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEGGKEPINEQAVANMYASMRSELNQIYSKITELEMEVSEHTLVINAIQPLDQTRRCYRMIGGVLVERTIKEVLPAVQRNKDGLEEVVARLNEALEKKKKEITEFEAKYKIRIRKADAEVKDESGRKEGSAQGVLVGPAGGSE >Vigun11g122400.1.v1.2 pep primary_assembly:ASM411807v1:11:32977974:32983731:1 gene:Vigun11g122400.v1.2 transcript:Vigun11g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVHHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAESMKKLNGAYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLQPYVDQYRPAFSPPSISTVNYPRKNMAESQNSNSSNSDKDSLMSTEKNIATAVPKCDNKATEMDQTSIDDDGSEEESGSSNANGNTKTTEQEVMKPSHNAQHSHVESKQPKTIRNIMMALKEGKVREATSPMRGNRVKPGGVSAQKISTETLSKLPKPIFIAPIVKPMLESPTVALARATPDSAKRMQGSHQSKQQFLMVEASPKVKARHDLTPPPAVVKQVDGDVVPPRPRQRTPPSLLRRPSFSGRMRLAGIDVPNAANDTGKLGTDKIVQEHETSPSQLANDNVPSISRQVTREPQKIFERSSRGMQTDSSNSASSSVSIRGELADDATTFIDMREQMLPGHVNVSHIVGVESCPDSSPVTTCAHCKMAENVPKDSCEIAMNFHNTISSNEKVNSSLSQDHHVEDSEVALASEGALPKSQTTMSTTSGCDDGYVDPSAGATKEIKDFQDVTKEMSLNKSTKHEPPSSGEKSVHTEVSPETEPDLLIQPALECKSPGDDKFTVRERLSPVVETVPLVTPTKLSSQKVLQEKGTVLQNPAPERPDTGHLPPAFDDVIHVIRHSSYRVGSDQPVKESVEMGVQNVDVGKFINVVRDDLEMRNITSPLTLKSSSCSDVTSLKSDQLEMKNLSTPPILKSPSFSDSSSNSDHPGLKEQEVSNPPPLVSEPESTEPSKSNTPTNEEKPAAKETLDVKSFRQRAEALEELLELSAELLQQNRLEELQVVLKPFGKDKVSPRETAIWLAKSLKGMMSEESGGRSS >Vigun09g141500.6.v1.2 pep primary_assembly:ASM411807v1:9:30375699:30381860:1 gene:Vigun09g141500.v1.2 transcript:Vigun09g141500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENVGAKIGSSSQSLDSGVVSADSSEVEKSKTRSDQNLTNGVFTHQQHPERVPSSMTVPNGNYSYNTQMHGNGVNNDGYGMNGVMSGENGGDSFKRDMRDLEELLSKLNPMAEEFVPPSLTNTHGFLAGPNTGFGYTNNFMLPNNFGSANGQINNRRRKNGYNPGKRRVNHKMDMEKREEMIRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFIEFTDEDGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFALAESAIAALSCSGVILGSLPIRVSPSKTPVRPRAPRSSIH >Vigun09g141500.5.v1.2 pep primary_assembly:ASM411807v1:9:30375699:30381860:1 gene:Vigun09g141500.v1.2 transcript:Vigun09g141500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENVGAKIGSSSQSLDSGVVSADSSEVEKSKTRSDQNLTNGVFTHQQHPERVPSSMTVPNGNYSYNTQMHGNGVNNDGYGMNGVMSGENGGDSFKRDMRDLEELLSKLNPMAEEFVPPSLTNTHGFLAGPNTGFGYTNNFMLPNNFGSANGQINNRRRKNGYNPGKRRVNHKMDMEKREEMIRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFIEFTDEDGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFALAESAIAALSCSGVILGSLPIRVSPSKTPVRPRAPRSSIH >Vigun09g141500.4.v1.2 pep primary_assembly:ASM411807v1:9:30375699:30381860:1 gene:Vigun09g141500.v1.2 transcript:Vigun09g141500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENVGAKIGSSSQSLDSGVVSADSSEVEKSKTRSDQNLTNGVFTHQQHPERVPSSMTVPNGNYSYNTQMHGNGVNNDGYGMNGVMSGENGGDSFKRDMRDLEELLSKLNPMAEEFVPPSLTNTHGFLAGPNTGFGYTNNFMLPNNFGSANGQINNRRRKNGYNPGKRRVNHKMDMEKREEMIRRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFIEFTDEDGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFALAESAIAALSCSGVILGSLPIRVSPSKTPVRPRAPRSSIH >Vigun01g079250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22420458:22421424:-1 gene:Vigun01g079250.v1.2 transcript:Vigun01g079250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLMASSTSILTVVLYALFINNAIAANFPRSVRETLIGLEPIHHNYLQSSDGYHIKLELPSGLNPLHNNFIQSDNGYDIKREVPTGSNPLHNKLVPPGSNPARNNFIQSNNGYDIKREVPTSSNPLHNKLIPPGSNPARNNFIQSNNGYDIKREVPTGSNPLHNKLVPPGSNPARNNFIQSNNGYDIKREVPTGSNPLHNKLVPPGSNPARNNLIQSSNGYDIKREVPTGSNPLHNKLVPPGSNPARNNFIQSSNGYDIKREVPPTPPLESQLGF >Vigun03g189000.1.v1.2 pep primary_assembly:ASM411807v1:3:25695973:25697339:-1 gene:Vigun03g189000.v1.2 transcript:Vigun03g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMSEDQSSHDTNVLWGNTNSQKQKNEKDEGVMKKKRNRELNKATSAEGNAENNRESDHEMHIWTERERRKKMKTMFASLHALLPHVPSKADKSTVVDEAVSYIKNLERTLEKLEKQKEERVRCGSTFGYGSSSPSMFMTAQGLSSNYGFSNAIMGTSSNALLLPAQQQPVAFDKTWASSNMVLNVCGDEAQFCICAAHKPGLVSSIVFVLDKYKIELVYAHISCMGNGNAIMIQAHGKRASHQFLDANSVEEIYRQAAGEILLWIA >Vigun03g329200.1.v1.2 pep primary_assembly:ASM411807v1:3:52614918:52621166:-1 gene:Vigun03g329200.v1.2 transcript:Vigun03g329200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKVSEAELMSLNQQNKIEELEAQLQEAEEIVRDLRAELRETQAELETMMKHQMQPPVEKNKEDEILAQENFLQENRLDHDGSIYSAPGLQFESVSISQTRNPTINGSLDSSKFCGSHDHTNNCYIHNPDFASIVIRRKEPKLYRNGCTQRIHAFERSLFDANVSVSGNLDNVQDETLVSVHEEGKSMSVSTNANDDVICEKEKPDEVKVVKADADLVKAPVHRKKRRFGKRKATKSGLHSNQVKETKKESGLSCAKHSPLVLDNDDPSKVSSSMACENETLKDIMSTVVDVPTDTTAMNEQSRSHSNTENGEVLLEACSTRSKIKADKEPMDKSDLTRQDSLSAESVEVPACKSIEASNESLDKMDPKVSDVDAKVSNRPTSDRFLKYTFCRKRKKEAVSCDEDCSRDNTDSKKRCAEKQDDHVDPQKSCTMTESSRDSRRLAQVARQPWHHLGDDDSN >Vigun03g329200.2.v1.2 pep primary_assembly:ASM411807v1:3:52614918:52621166:-1 gene:Vigun03g329200.v1.2 transcript:Vigun03g329200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKVSEAELMSLNQQNKIEELEAQLQEAEEIVRDLRAELRETQAELETMMKHQMQPPVEKNKEDEILAQENFLQENRLDHDGSIYSAPGLQFESVSISQTRNPTINGSLDSSKFCGSHDHTNNCYIHNPDFASIVIRRKEPKLYRNGCTQRIHAFERSLFDANVSVSGNLDNVQDETLVSVHEEGKSMSVSTNANDDVICEKEKPDEVKVVKADADLVKAPVHRKKRRFGKRKATKSGLHSNQVKETKKESGLSCAKHSPLVLDNDDPSKVSSSMACENETLKDIMSTVVDVPTDTTAMNEQSRSHSNTENGEVLLEACSTRSKIKADKEPMDKSDLTRQDSLSAESVEVPACKSIEASNESLDKMDPKVSDVDAKVSNRPTSDRFLKYTFCRKRKKEAVSCDEDCSRDNTDSKKRCAEKQDDHVDPQKSCTMTESSRDSRRLAQVARQLISLSEKKWW >Vigun09g189101.1.v1.2 pep primary_assembly:ASM411807v1:9:36393171:36394651:-1 gene:Vigun09g189101.v1.2 transcript:Vigun09g189101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSHTYSDDVNEVGDVFFCLCPCLVLWYSKGRCVFVCEHGMAINPSLNGALSSRICPHMTEVGFLRLGERGTVCVCWSGESLSPDEKMGLTQVCVSCHNYRQTLLCFILFAILFTAKARPVSSTLAEPAQKDIKKREQMVVAKSQIGSRPPKCEKRCSTCEHCEAVQVPVTPQIQTHRSHYSPAKATVVVSISSRGDDLSNYKPMCWKCKCGDHLFNP >Vigun09g140800.2.v1.2 pep primary_assembly:ASM411807v1:9:30287430:30289490:-1 gene:Vigun09g140800.v1.2 transcript:Vigun09g140800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIFATVPAIAAAAGFYFIGRNDVKEIKQGSLSSQKMLAEVKERAKSLQTPKLAPQFDGLDCFETLVMN >Vigun09g140800.3.v1.2 pep primary_assembly:ASM411807v1:9:30287430:30289490:-1 gene:Vigun09g140800.v1.2 transcript:Vigun09g140800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIFATVPAIAAAAGFYFIGRNDVKEIKQGSLSSQKMLAEVKERAKSLQTPKLAPQFDGLDCFETLVMN >Vigun09g140800.4.v1.2 pep primary_assembly:ASM411807v1:9:30287615:30287945:-1 gene:Vigun09g140800.v1.2 transcript:Vigun09g140800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIFATVPAIAAAAGFYFIGRNDVKEIKQGSLSSQKMLAEVKERAKSLQTPKLAPQFDGLDCFETLVMN >Vigun09g140800.1.v1.2 pep primary_assembly:ASM411807v1:9:30287338:30288530:-1 gene:Vigun09g140800.v1.2 transcript:Vigun09g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIFATVPAIAAAAGFYFIGRNDVKEIKQGSLSSQKMLAEVKERAKSLQTPKLAPQFDGLDCFETLVMN >Vigun07g068300.1.v1.2 pep primary_assembly:ASM411807v1:7:8217179:8221084:-1 gene:Vigun07g068300.v1.2 transcript:Vigun07g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHLRELLLEDQEPFLLKHYISERRSLLKTASPNTTLTKNTSNSNSNFPLNKCLLSFHNATKSPLSEFAPPTKNHTPLRPSNAKTATLLLEAALRIHKHTKPKPNRAFGIFGSLFKKLRTQRKRGQINDDVVAAVGVVGSCEGRPSSSGVWSESNEDKSLDMETCSSAHSFDDSVEQEIQFLNTRKLNSHDLFFCQTPFRFSLRHSPDYSPRRTPEFSSPLASPRRHTTQDNKVSSGDGVNKFQPGKEEEEEDKEQCSPVSVLDPPFDDDDAFDLDCSYANVQRTTQNLLDRLRRFEKLAELDPVELEKRMLEQEDETFMEEDDEKKVVGESVVEILSDSQEAGEDLKRLVYDLIMEEETGVNCSEERNTVVMRRVCRRLELWKEVECNTIDMMIEEDFCREEGRWKKNGEHRGELVQEVEFAIFCYLMEELSEELVCC >Vigun03g437300.2.v1.2 pep primary_assembly:ASM411807v1:3:64096987:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.6.v1.2 pep primary_assembly:ASM411807v1:3:64097166:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPFSDGAI >Vigun03g437300.21.v1.2 pep primary_assembly:ASM411807v1:3:64097128:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.22.v1.2 pep primary_assembly:ASM411807v1:3:64096995:64104528:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.15.v1.2 pep primary_assembly:ASM411807v1:3:64096993:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.10.v1.2 pep primary_assembly:ASM411807v1:3:64096987:64104884:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.7.v1.2 pep primary_assembly:ASM411807v1:3:64097166:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.20.v1.2 pep primary_assembly:ASM411807v1:3:64096993:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.11.v1.2 pep primary_assembly:ASM411807v1:3:64096995:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun03g437300.23.v1.2 pep primary_assembly:ASM411807v1:3:64099763:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANR >Vigun03g437300.4.v1.2 pep primary_assembly:ASM411807v1:3:64096987:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.18.v1.2 pep primary_assembly:ASM411807v1:3:64098187:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPVISLFPSKNYWFIIHFFVQLNQCLCFITVSYFLINLGQFSDGAI >Vigun03g437300.17.v1.2 pep primary_assembly:ASM411807v1:3:64096995:64104528:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.5.v1.2 pep primary_assembly:ASM411807v1:3:64097166:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.24.v1.2 pep primary_assembly:ASM411807v1:3:64098187:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPVISLFPSKNYWFIIHFFVQLNQCLCFITVSYFLINLGQFSDGAI >Vigun03g437300.8.v1.2 pep primary_assembly:ASM411807v1:3:64097166:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPFSDGAI >Vigun03g437300.16.v1.2 pep primary_assembly:ASM411807v1:3:64097128:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.3.v1.2 pep primary_assembly:ASM411807v1:3:64097166:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGALSVPASFLMELFSGGELDRMFMEKSGCANYSYTPWVSENNDVYERAVYYKFEKRISRYRVEVTSTQQKSTLEGGKGWLLQEVMNFHGVPLGDYFNLHIRYQIEELTPKACKVQVLFGTEWLKSTKHQKRISKNILKNLQERIKVTFSLVEKEFLAK >Vigun03g437300.19.v1.2 pep primary_assembly:ASM411807v1:3:64096987:64104887:-1 gene:Vigun03g437300.v1.2 transcript:Vigun03g437300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISLLKGFSGSRSAERKKLTFLPKDCWYKNKMKLVVRVIEAKNLALTDANGLNDLYVRLQLGKQKFKTKVVKSLNPMWDEQFTFWVDDLKDSLIVSVMDEDKFFNYDYVGRLKVPISLVFEEEIKSLGTAWYSLKSKNKKCKNKPFGEIHLSIFISQNGSGESNDIGDQLVPPRKCPDAMTNSPSLSSASFSNLSSPVREETASSSSKEDKTCLHQKSFAGRIAQIFNKGTDVASMSPSRSIDSDQSEIGKVEVGGEIKIEEDQPPVETFEEIMKKIQSADQGSEIPTNLSGGVLIDQLYIVAPGDLNTLLFSPDSTFPKTLAEIQGTTELQVGPWKIENCGETPKRSLSYLKAPTKLIKAVKGYEDQTYLKADGKNFAVFCIVSTPDVMYGSTFKVELLYVITTGPELPSGEQCSRLVISWRMNFVQSTMMKGMIESGARQGMRESFDQYAILLCQTVKAVVSKDLGSSKEQALATLRPEPQSDWRLALQYLANFQVFLVFLMVMSVFAHMWLASPRLIHGLEFVWLDLPDSIGEFVVCVILVLQGEKVIGLISRFVQARARKGSDHGIKANGEGWMLTVAIIEGSNLATAESKAFCDPYVVFTCNGKTRTSSIKFKKSSPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEVTSLGHVEINFLKTNISDLADIWVSLEGKLAQASQSKLHLRIFLNNTRRGDVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARIIGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVVTLWPGRGVDAKHGAKTQDEDGRLKFRFQSFVSFNVANRTIMALWKARSLSPEQKVQLVEEESETKSLRNDENGSFIGLGDVSMSEAHSGQFSDGAI >Vigun04g120050.1.v1.2 pep primary_assembly:ASM411807v1:4:30763215:30763547:-1 gene:Vigun04g120050.v1.2 transcript:Vigun04g120050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKILIIGNGKFSRGPNCFPESLKVLEWHGYPSDCLPSNFDPNKLLTCKLPKSRFTSFEMFGSSKAEVRECNRLEF >Vigun10g178800.3.v1.2 pep primary_assembly:ASM411807v1:10:39702891:39708390:1 gene:Vigun10g178800.v1.2 transcript:Vigun10g178800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGVGTSSKQYGITKPISMAGPTVYDLHRTLELEKFLAVSGLYENNEEAAKREEVLCRLEQIVKHWVKQLTHLKGYTDQMVEDANAIILTFGSYRLGVHGPGADLDTICIGPSYVNREEDFFYTLHDILANMEEVSELQPIPDAHVPVMKFKFDGISIDLLYASISRMIVPEDLVISDISLLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPTPVMLCPIEENELGFSFWHPRKNPRDRSHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICGDVELNKGCWEDLFEPYSFFESYTNYLQVDVVAADVDDLRNWKGWVGSRLRQLTLMIERDTFGKLQCHPYHHEYMDTSRQSAHCAFFVGLQRKQGEVVKEGQQFDIRGTIEEFLHAVNMYMFWKPEMEIYVSHVRRRQIPCYVFPDGYKRLRSSRPTLKCENHKPFRKNEVSGTEHVERIRKRKNNDGLGVREDATLKKKCTSLPEGRGASSSVSDSQQLSSSEQELGRTDSPEPASNSSGTASVASDSGSSEDIGAVSMAGCVEDNTGGVDTMNNDVRFESITYGNGSGTLENRVTSSEGVFQHDLQQNAMVGMVLDSTGKVHSEAVQEPVIRRLGVASAV >Vigun10g178800.2.v1.2 pep primary_assembly:ASM411807v1:10:39702829:39708429:1 gene:Vigun10g178800.v1.2 transcript:Vigun10g178800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGVGTSSKQYGITKPISMAGPTVYDLHRTLELEKFLAVSGLYENNEEAAKREEVLCRLEQIVKHWVKQLTHLKGYTDQMVEDANAIILTFGSYRLGVHGPGADLDTICIGPSYVNREEDFFYTLHDILANMEEVSELQPIPDAHVPVMKFKFDGISIDLLYASISRMIVPEDLVISDISLLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPTPVMLCPIEENELGFSFWHPRKNPRDRSHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICGDVELNKGCWEDLFEPYSFFESYTNYLQVDVVAADVDDLRNWKGWVGSRLRQLTLMIERDTFGKLQCHPYHHEYMDTSRQSAHCAFFVGLQRKQGEVVKEGQQFDIRGTIEEFLHAVNMYMFWKPEMEIYVSHVRRRQIPCYVFPDGYKRLRSSRPTLKCENHKPFRKNEVSGTEHVERIRKRKNNDGLGVREDATLKKKCTSLPEGRGASSSVSDSQQLSSSEQELGRTDSPEPASNSSGTASVASDSGSSEDIGAVSMAGCVEDNTGGVDTMNNDVRFESITYGNGSGTLENRVTSSEGVFQHDLQQNAMVGMVLDSTGKVHSEAVQEPVIRRLGVASAV >Vigun10g178800.4.v1.2 pep primary_assembly:ASM411807v1:10:39702891:39708390:1 gene:Vigun10g178800.v1.2 transcript:Vigun10g178800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGVGTSSKQYGITKPISMAGPTVYDLHRTLELEKFLAVSGLYENNEEAAKREEVLCRLEQIVKHWVKQLTHLKGYTDQMVEDANAIILTFGSYRLGVHGPGADLDTICIGPSYVNREEDFFYTLHDILANMEEVSELQPIPDAHVPVMKFKFDGISIDLLYASISRMIVPEDLVISDISLLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPTPVMLCPIEENELGFSFWHPRKNPRDRSHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICGDVELNKGCWEDLFEPYSFFESYTNYLQVDVVAADVDDLRNWKGWVGSRLRQLTLMIERDTFGKLQCHPYHHEYMDTSRQSAHCAFFVGLQRKQGEVVKEGQQFDIRGTIEEFLHAVNMYMFWKPEMEIYVSHVRRRQIPCYVFPDGYKRLRSSRPTLKCENHKPFRKNEVSGTEHVERIRKRKNNDGLGVREDATLKKKCTSLPEGRGASSSVSDSQQLSSSEQELGRTDSPEPASNSSGTASVASDSGSSEDIGAVSMAGCVEDNTGGVDTMNNDVRFESITYGNGSGTLENRVTSSEGVFQHDLQVQLQQNAMVGMVLDSTGKVHSEAVQEPVIRRLGVASAV >Vigun10g178800.1.v1.2 pep primary_assembly:ASM411807v1:10:39702829:39708429:1 gene:Vigun10g178800.v1.2 transcript:Vigun10g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGVGTSSKQYGITKPISMAGPTVYDLHRTLELEKFLAVSGLYENNEEAAKREEVLCRLEQIVKHWVKQLTHLKGYTDQMVEDANAIILTFGSYRLGVHGPGADLDTICIGPSYVNREEDFFYTLHDILANMEEVSELQPIPDAHVPVMKFKFDGISIDLLYASISRMIVPEDLVISDISLLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGIYSNVTGFLGGVNLALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPTPVMLCPIEENELGFSFWHPRKNPRDRSHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICGDVELNKGCWEDLFEPYSFFESYTNYLQVDVVAADVDDLRNWKGWVGSRLRQLTLMIERDTFGKLQCHPYHHEYMDTSRQSAHCAFFVGLQRKQGEVVKEGQQFDIRGTIEEFLHAVNMYMFWKPEMEIYVSHVRRRQIPCYVFPDGYKRLRSSRPTLKCENHKPFRKNEVSGTEHVERIRKRKNNDGLGVREDATLKKKCTSLPEGRGASSSVSDSQQLSSSEQELGRTDSPEPASNSSGTASVASDSGSSEDIGAVSMAGCVEDNTGGVDTMNNDVRFESITYGNGSGTLENRVTSSEGVFQHDLQVQLQQNAMVGMVLDSTGKVHSEAVQEPVIRRLGVASAV >Vigun11g161800.1.v1.2 pep primary_assembly:ASM411807v1:11:36903750:36908164:-1 gene:Vigun11g161800.v1.2 transcript:Vigun11g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHSSIGIRDFRPQLVLIAFCLFTCSSASKIGETCGSDNKCDEGLSCQTCAANGNTRPRCSRIQPLNPSSKVNGLPFNRYSWLTTHNSFALAGARSATGSVILAPMNQDDTVAEQLKNGVRGFMLDMYDFLNDVWLCHSAQGTCYNFTAFQPAINVLKDMRSFLDANPSEIITIFIEDYVKSSQGLTNLFKASGLSKFMFPVSRMPKNGGDWPTIDDMVQKNQRLVVFTSKSTKEASEGIAYQWTYVVENQYGDGGMKNGSCPSRAESPAMNTKSRSLVLVNYFHSAPNRTQACADNSAPLLSMMKTCHDAADNRWPNFIAVDYYQRSDGGGAPEAVDEANGHLTCGCGNIAYCKANATFGNCDVPPISPPPPASETPSDNQQSENNNHANNAYIGRTTKFMQTVVVILATITSLAWL >Vigun11g026200.1.v1.2 pep primary_assembly:ASM411807v1:11:3349286:3355175:-1 gene:Vigun11g026200.v1.2 transcript:Vigun11g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEFEDRQEETVSDIIRSNQQRKKQSFLWKVLAMRERNGRTMERGSSYSLDALSDPSIENRVSEPSMSSTEAVQNLRVFAATWNVGGQCPTMNLDLSDFLQVRNEPDMYVLGFQEIVPLNAGNVLVLEDNEPAAKWLALINQSLNGPSDLALNGLKQTASFGGPLFFQKPSLKKIKKTFKKINGKRLKSCNCVLEMERKAAKDFCFRCQESNFNSDDSSTEEEDENFPIPVALATSQMKYSLVTCKQMVGIFVSVWMKRELVQYVGHLRVCCTSRGIMGCLGNKGCISVSMSFYQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPRICKTPHSRMPDRILDHDRIIWFGDLNYRISLSHDDAKRLVERKDWPALFNKDQLKMEREAGRVFKGWKEGKIYFAPTYKYAFNSDTYYVEGVKVSKNKRRTPAWCDRILWHGRGIHQLSYVRKEFKFSDHRPVCSMFNVEVEVMLRGQKKKVSTYNFQNIDDLVSTRSSYYS >Vigun11g026200.2.v1.2 pep primary_assembly:ASM411807v1:11:3349400:3354565:-1 gene:Vigun11g026200.v1.2 transcript:Vigun11g026200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEFEDRQEETVSDIIRSNQQRKKQSFLWKVLAMRERNGRTMERGSSYSLDALSDPSIENRVSEPSMSSTEAVQNLRVFAATWNVGGQCPTMNLDLSDFLQVRNEPDMYVLGFQEIVPLNAGNVLVLEDNEPAAKWLALINQSLNGPSDLALNGLKQTASFGGPLFFQKPSLKKIKKTFKKINGKRLKSCNCVLEMERKAAKDFCFRCQESNFNSDDSSTEEEDENFPIPVALATSQMKYSLVTCKQMVGIFVSVWMKRELVQYVGHLRVCCTSRGIMGCLGNKGCISVSMSFYQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPRICKTPHSRMPDRILDHDRIIWFGDLNYRISLSHDDAKRLVERKDWPALFNKDQLKMEREAGRVFKGWKEGKIYFAPTYKYAFNSDTYYVEGVKVSKNKRRTPAWCDRILWHGRGIHQLSYVRKEFKFSDHRPVCSMFNVEVEVMLRGQKKKVSTYNFQNIDDLVSTRSSYYS >Vigun03g148900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15276401:15277216:-1 gene:Vigun03g148900.v1.2 transcript:Vigun03g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMTRSVWSFNLEEEFHLIRRVIGLFPFISMDTEFPGVIFQSDPTLRQPQNNYTVMKANVDCMHLVQVGLTLSDGHGNLPTFGTSNRFIWEFNFCEFDVTCHPHAPHSIALLRRQGMDFQKNKKFGVNIVRFAELMMLSGLLCNNHIHWITFHGAYDFGYMVKILSHRFLYTQPLLPPNLGDFLKLVNFFFGHTVYDVKHLIKFCPNLHGGLDKVSESLGLDNSARKSHHAGSDSLVTLHVFNQIRRLYFHTQNDLAKHAGFVYGLEML >Vigun08g066800.2.v1.2 pep primary_assembly:ASM411807v1:8:9896746:9903898:1 gene:Vigun08g066800.v1.2 transcript:Vigun08g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQQEKFVRFRDSNLEKSSEGNYSDTKISQSGIFRTTLSSVSEKFQNGLESGSDRMKRFRTSFKSFPFSSVLCRSFSSRKKILDPQGPFLQRWNKIFVLLCVIAVSLDPLFFYVPVIDDEKKCLSLDIKMEITATVLRSFSDAFYIIHMIFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLSSYFFVDILAVLPLPQVVILVIIPKMSGFKSLNTKNLLKFVVFFQYVPRLLRIIPLYREVTRASGILTETAWAGAAFNLFLYMLASHVAGAFWYLFSIERETSCWQEACRNNTAVCSKADMYCNDYLGGLSKISTFLNTSCPIQNADKNLFDFGMFLDALQSGVVESRDFPQKFFYCFWWGLKNLSSLGQNLATSTYVWEICFAVFISVSGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDGLRVRIRRYEQYKWQETRGVDEDNLVRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLFTEESYIVREGDPVDEMLFIMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPTSTRTVQTLSEVEAFALKAHDLKFVASQFRRLHSKQLRHTFRFYSQQWRSWAACFIQAAWRRYSKRKLEESLVEEEENRLQNVLGKSGGSSPSLGATIYASRFAANALTLLRRNGAKKGRVPERLPPMLLQKPAEPDFTADEE >Vigun08g066800.1.v1.2 pep primary_assembly:ASM411807v1:8:9896872:9903894:1 gene:Vigun08g066800.v1.2 transcript:Vigun08g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQQEKFVRFRDSNLEKSSEGNYSDTKISQSGIFRTTLSSVSEKFQNGLESGSDRMKRFRTSFKSFPFSSVLCRSFSSRKKILDPQGPFLQRWNKIFVLLCVIAVSLDPLFFYVPVIDDEKKCLSLDIKMEITATVLRSFSDAFYIIHMIFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLSSYFFVDILAVLPLPQVVILVIIPKMSGFKSLNTKNLLKFVVFFQYVPRLLRIIPLYREVTRASGILTETAWAGAAFNLFLYMLASHVAGAFWYLFSIERETSCWQEACRNNTAVCSKADMYCNDYLGGLSKISTFLNTSCPIQNADKNLFDFGMFLDALQSGVVESRDFPQKFFYCFWWGLKNLSSLGQNLATSTYVWEICFAVFISVSGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDGLRVRIRRYEQYKWQETRGVDEDNLVRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLFTEESYIVREGDPVDEMLFIMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPTSTRTVQTLSEVEAFALKAHDLKFVASQFRRLHSKQLRHTFRFYSQQWRSWAACFIQAAWRRYSKRKLEESLVEEEENRLQNVLGKSGGSSPSLGATIYASRFAANALTLLRRNGAKKGRVPERLPPMLLQKPAEPDFTADEE >Vigun08g149000.3.v1.2 pep primary_assembly:ASM411807v1:8:32124900:32128562:1 gene:Vigun08g149000.v1.2 transcript:Vigun08g149000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTATNFPTPKIYTFLPKCQRALSDSHKAMKFEDYLMEKSQEPHNRQSLEKEVVQLQAQLKCEEALNRILRCALHGPVFSLPLIPPLFPPQIRELLQELAKVEEEIIMLESKVQELKLRLFLERYQNMDLEIQHRRQQQPKLYKQFRGSSRHGSMITEQRSSSLDYEVISKERKISNRRASLGSALDFHSLFSTPRRSTEYEVPRRSSGKIAREYPIHIEDAIEKPNEMSEELLKCLIGIFLELNKASLDREESETVPRLTLPCMKSTGLIAKTSSNSKAPSNSNVSCLDPYGISSDLDCTARDVGPYKDFIQITRSSLDIDRFSQCLPAFRKLRVLMHKLCDVDLSFLTYKQKLAFWINIYNACIMNAFLDHGLPSTQEKLLSLMNKAAMNVGGIVLNALAIEHFILRHPSESKHGAVDEKEVLLRHAYGLGYPEPNVTFALCRGTWSSPALRVYTSDEVVNQLGRAKVEYLEASVGITNKRKIVVPKLLEWHMHDFADEMESLLEWIYSQLPRSGSLKRATMESLIRETKYPMSKMVEVQPYESEFRYLLPI >Vigun08g149000.6.v1.2 pep primary_assembly:ASM411807v1:8:32124900:32128562:1 gene:Vigun08g149000.v1.2 transcript:Vigun08g149000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTATNFPTPKIYTFLPKCQRALSDSHKAMKFEDYLMEKSQEPHNRQSLEKEVVQLQAQLKCEEALNRILRCALHGPVFSLPLIPPLFPPQIRELLQELAKVEEEIIMLESKVQELKLRLFLERYQNMDLEIQHRRQQQPKLYKQFRGSSRHGSMITEQRSSSLDYEVISKERKISNRRASLGSALDFHSLFSTPRRSTEYEVPRRSSGKIAREYPIHIEDAIEKPNEMSEELLKCLIGIFLELNKASLDREESETVPRLTLPCMKSTGLIAKTSSNSKAPSNSNVSCLDPYGISSDLDCTARDVGPYKDFIQITRSSLDIDRFSQCLPAFRKLRVLMHKLCDVDLSFLTYKQKLAFWINIYNACIMNAFLDHGLPSTQEKLLSLMNKQHVDTGCNECWRDCTERSCYRTLHSSAPK >Vigun08g149000.5.v1.2 pep primary_assembly:ASM411807v1:8:32124900:32128562:1 gene:Vigun08g149000.v1.2 transcript:Vigun08g149000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTATNFPTPKIYTFLPKCQRALSDSHKAMKFEDYLMEKSQEPHNRQSLEKEVVQLQAQLKCEEALNRILRCALHGPVFSLPLIPPLFPPQIRELLQELAKVEEEIIMLESKVQELKLRLFLERYQNMDLEIQHRRQQQPKLYKQFRGSSRHGSMITEQRSSSLDYEVISKERKISNRRASLGSALDFHSLFSTPRRSTEYEVPRRSSGKIAREYPIHIEDAIEKPNEMSEELLKCLIGIFLELNKASLDREESETVPRLTLPCMKSTGLIAKTSSNSKAPSNSNVSCLDPYGISSDLDCTARDVGPYKDFIQITRSSLDIDRFSQCLPAFRKLRVLMHKLCDVDLSFLTYKQKLAFWINIYNACIMNAFLDHGLPSTQEKLLSLMNKQHVDTGCNECWRDCTERSCYRTLHSSAPK >Vigun08g149000.4.v1.2 pep primary_assembly:ASM411807v1:8:32124900:32128563:1 gene:Vigun08g149000.v1.2 transcript:Vigun08g149000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTATNFPTPKIYTFLPKCQRALSDSHKAMKFEDYLMEKSQEPHNRQSLEKEVVQLQAQLKCEEALNRILRCALHGPVFSLPLIPPLFPPQIRELLQELAKVEEEIIMLESKVQELKLRLFLERYQNMDLEIQHRRQQQPKLYKQFRGSSRHGSMITEQRSSSLDYEVISKERKISNRRASLGSALDFHSLFSTPRRSTEYEVPRRSSGKIAREYPIHIEDAIEKPNEMSEELLKCLIGIFLELNKASLDREESETVPRLTLPCMKSTGLIAKTSSNSKAPSNSNVSCLDPYGISSDLDCTARDVGPYKDFIQITRSSLDIDRFSQCLPAFRKLRVLMHKLCDVDLSFLTYKQKLAFWINIYNACIMNAFLDHGLPSTQEKLLSLMNKAAMNVGGIVLNALAIEHFILRHPSESKHFTKGCCGRKGSAITTCLWSGISRTQCHLCSLSRNLVLTSIKGVHFRRSCEPIGKG >Vigun02g053800.2.v1.2 pep primary_assembly:ASM411807v1:2:19665072:19668042:1 gene:Vigun02g053800.v1.2 transcript:Vigun02g053800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLELNQKSMKKRIWRTLTLPCVLLFLLLLAFQIKCSSFVTFNWAPRKTTPETERDMIEFELNTSTQGTALMKEMAPPPRQITCDRSHYAYDICTIHVETTLNPTTSTFFIQHPTTVDPNQTATKIRPYPRKFDGPVMSRIKELTITAGTPTPSCHVKHEDPALVFSAGGYTGNFFHDFNDGFIPLFITLNSFFHDKDAVLVISKARDWWVNKYATLLREFSKHPIVDLDNDNKTHCFPDATLGLVSHGFMTIKPELMRNPKSLHDFHAFLRKTYGANDNNVSSSSFPRPRLVLLNRSGGDGRLLLNMEEVKLEMQRVGFNVIVFEPTATTPLSKAFEVVGSSHAMVGIHGAALTHSLFLKPGCVLIQVVPLGLESVAEMCFGKVGEMGLKYMEYRVRVEESSLVEKYDREDVMIKKQSDFVNGRAWNESTMNVYLKEQNLRLDLVRFRKYLKKAYNNAKQLIGS >Vigun02g053800.1.v1.2 pep primary_assembly:ASM411807v1:2:19665072:19668042:1 gene:Vigun02g053800.v1.2 transcript:Vigun02g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLELNQKSMKKRIWRTLTLPCVLLFLLLLAFQIKCSSFVTFNWAPRKTTPVTETERDMIEFELNTSTQGTALMKEMAPPPRQITCDRSHYAYDICTIHVETTLNPTTSTFFIQHPTTVDPNQTATKIRPYPRKFDGPVMSRIKELTITAGTPTPSCHVKHEDPALVFSAGGYTGNFFHDFNDGFIPLFITLNSFFHDKDAVLVISKARDWWVNKYATLLREFSKHPIVDLDNDNKTHCFPDATLGLVSHGFMTIKPELMRNPKSLHDFHAFLRKTYGANDNNVSSSSFPRPRLVLLNRSGGDGRLLLNMEEVKLEMQRVGFNVIVFEPTATTPLSKAFEVVGSSHAMVGIHGAALTHSLFLKPGCVLIQVVPLGLESVAEMCFGKVGEMGLKYMEYRVRVEESSLVEKYDREDVMIKKQSDFVNGRAWNESTMNVYLKEQNLRLDLVRFRKYLKKAYNNAKQLIGS >Vigun03g182100.3.v1.2 pep primary_assembly:ASM411807v1:3:23388763:23393111:-1 gene:Vigun03g182100.v1.2 transcript:Vigun03g182100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFSREEGIDVRKMMEHKRSPCSVDQSSYTSIASKRQKADLSISTKERKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHKQLLSAPYLETSAAAKMQGMESCSLRSRGLCLVPVSFTIGVAESNGADIWAPIKTTTSPKLENDVSQFH >Vigun03g182100.2.v1.2 pep primary_assembly:ASM411807v1:3:23388763:23393111:-1 gene:Vigun03g182100.v1.2 transcript:Vigun03g182100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFSREEGIDVRKMMEHKRSPCSVDQSSYTSIASKRQKADLSISTKERKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHKQVKLLSAPYLETSAAAKMQGMESCSLRSRGLCLVPVSFTIGVAESNGADIWAPIKTTTSPKLENDVSQFH >Vigun03g182100.4.v1.2 pep primary_assembly:ASM411807v1:3:23388763:23391985:-1 gene:Vigun03g182100.v1.2 transcript:Vigun03g182100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVCDSDDLWKVCMVAYVREEGIDVRKMMEHKRSPCSVDQSSYTSIASKRQKADLSISTKERKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHKQVKLLSAPYLETSAAAKMQGMESCSLRSRGLCLVPVSFTIGVAESNGADIWAPIKTTTSPKLENDVSQFH >Vigun03g182100.1.v1.2 pep primary_assembly:ASM411807v1:3:23388763:23393102:-1 gene:Vigun03g182100.v1.2 transcript:Vigun03g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFCNADSMFSREEGIDVRKMMEHKRSPCSVDQSSYTSIASKRQKADLSISTKERKEKIGERIVALQQLVSPYGKTDTSSVLKEAMEYIGFLHKQVKVWPFLLHYAEIITLLSAPYLETSAAAKMQGMESCSLRSRGLCLVPVSFTIGVAESNGADIWAPIKTTTSPKLENDVSQFH >Vigun07g076700.1.v1.2 pep primary_assembly:ASM411807v1:7:10271878:10275869:-1 gene:Vigun07g076700.v1.2 transcript:Vigun07g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTYILLFFSVLPSFLPHGTAALNLTLPGQHPDPEAVATEVHRRVNVSMGRREMLRLSEKDEAPCLTGNPIDDCWKCDSDWASNRQRLADCAIGFGQNAKGGKGGQFYIVTDSSDEDPVNPKPGTLRHAVIQNEPLWIVFPSNMMIKLSQELIFNSFKTIDGRGADVHIVGGGCITLQYINNVIIHNIHIHHCHPSGNADVRSSPEHYGYRTESDGDGISIFSSRDIWIDHCTLSRCKDGLIDAVMGSTAITISNNHFSHHNDVMLLGHNDDYLPDSAMQVTIAFNHFGENLVQRMPRCRLGYFHVVNNDFTRWEMYAIGGSARPTINSQGNRYTAPEDPDAKQITKRLDAGEGEWSGWNWRSEGDVMLNGAFFVASGGEAGTAYQNAYSVQPKNVERISLLTNSAGVLGIARDNNVGKWTDDKSQSTMAVTPSRTFTLLSAFLAFFFCLLFHTITLTKLL >Vigun07g076700.2.v1.2 pep primary_assembly:ASM411807v1:7:10271878:10276070:-1 gene:Vigun07g076700.v1.2 transcript:Vigun07g076700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTYILLFFSVLPSFLPHGTAALNLTLPGQHPDPEAVATEVHRRVNVSMGRREMLRLSEKDEAPCLTGNPIDDCWKCDSDWASNRQRLADCAIGFGQNAKGGKGGQFYIVTDSSDEDPVNPKPGTLRHAVIQNEPLWIVFPSNMMIKLSQELIFNSFKTIDGRGADVHIVGGGCITLQYINNVIIHNIHIHHCHPSGNADVRSSPEHYGYRTESDGDGISIFSSRDIWIDHCTLSRCKDGLIDAVMGSTAITISNNHFSHHNDVMLLGHNDDYLPDSAMQVTIAFNHFGENLVQRMPRCRLGYFHVVNNDFTRWEMYAIGGSARPTINSQGNRYTAPEDPDAKQITKRLDAGEGEWSGWNWRSEGDVMLNGAFFVASGGEAGTAYQNAYSVQPKNVERISLLTNSAGVLGIARDNNVGKWTDDKSQSTMAVTPSRTFTLLSAFLAFFFCLLFHTITLTKLL >Vigun03g301700.2.v1.2 pep primary_assembly:ASM411807v1:3:49117878:49120667:-1 gene:Vigun03g301700.v1.2 transcript:Vigun03g301700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHRILMDIINHSKPHHVLVEKPLCTTISHCKEVVDAARKRPDILVQVGLEYRYMPPVAKLIEIVQGGNLGRIRMVSIREHRFPFLVKVNNWNRFNINSGGTLVEKCCHFFDLMRLFVGSNPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRFGTREGGRNGVQTLKAEDQRIKYDGLHHGSSYLEHLSFLSAIRGRGEKGPSVDLQDGLVSVAIGVAAQLSIEYGRFVTIQEVMNEHLEVK >Vigun03g301700.1.v1.2 pep primary_assembly:ASM411807v1:3:49117878:49120667:-1 gene:Vigun03g301700.v1.2 transcript:Vigun03g301700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVLKYGIIGVGMMGREHLVNLYHLRTEGVAVVAFADPHIPSQQLALQLGHSFSWPLKVFSGHQELLDSGLCDVLVVSSPNMTHHRILMDIINHSKPHHVLVEKPLCTTISHCKEVVDAARKRPDILVQVGLEYRYMPPVAKLIEIVQGGNLGRIRMVSIREHRFPFLVKVNNWNRFNINSGGTLVEKCCHFFDLMRLFVGSNPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRFGTREGGRNGVQTLKAEDQRIKYDGLHHGSSYLEHLSFLSAIRGRGEKGPSVDLQDGLVSVAIGVAAQLSIEYGRFVTIQEVMNEHLEVK >Vigun09g171500.1.v1.2 pep primary_assembly:ASM411807v1:9:34132412:34137981:1 gene:Vigun09g171500.v1.2 transcript:Vigun09g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSLGLSASSTAAPLSDTSVPWRFRHLKEEEEEDENNGETINFMDQRVKRKIEGHQQPCFPSKRVAMDSSVGWWNQPLEVADPEIFDIMEKEKGRQFKGIELIASENFVCRAVMEALGSHLSNKYSEGMPGAKYYTGNQYIDEIELLCCQRALSAFDLHPNNWGVNVQPYSCTSANFAVYTGILHPGDRIMGMDSASGGHLSHGYYTQGGKKVSAASIFFETLPYKVNPQSGYIDYDKLEEKAMDFRPKILICGGSSYPREWDYARFRQVADKCGAVLMCDMAHISGLVAAKEVVSPFDYCDIVTSTTHKSLRGPRGGIIFYRRGPKQRRQGFVLNHGDDSNYDFEEKINFALYPSLQGGPHNNHIAALAIALKQVATPEYKGYMQQVKRNAQALASALLRRNFRLVTGGTDNHLLLWDLTALGLIDRNYEKVCEACHITLNKCAIYGSISPGGVRIGTPAMTSRGCFEEDFETIADFLLRAAQITSMVQREHGKSCKDFLKGLENNKDISELRNRVESFSSQFAMPGFDT >Vigun08g028500.1.v1.2 pep primary_assembly:ASM411807v1:8:2589192:2593475:-1 gene:Vigun08g028500.v1.2 transcript:Vigun08g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSENSTNSTATRPQRKAKKRAAAAICQLLGNAKKKRVVLGDLTNVSNAVAVSESPKRKKVKLKNVDERSDPQLCGTYSSDIYQYLFGLEVVPRLRPMPDYVQKVQNDVDGNMRGVLVDWLIEVAEEYGLVSDTLYFCVAYIDRFLSLKVLSRQRLQLLGVAAMLVASKYEEVKPPEVEDFCFVTDNTYSKEEVLNMEADILMALKFELGAPTVGTFLRRFCGVGQQGVDTSDLQFEFLSCYLAELSLLDYYCIKFLPSLVAASVVFLARFMLSTKTHPWNLALHQLTTYKPAELKECILNIHDLYLRRRGSSLQGVREKYKQHKFKCVATTPSPPDIPLYFFEFSRVDS >Vigun02g170600.1.v1.2 pep primary_assembly:ASM411807v1:2:31367396:31372262:-1 gene:Vigun02g170600.v1.2 transcript:Vigun02g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMISGAAKQFHNIHSLRLSLFRLSKPKSPIMLSTNNNISSPISIRFNSPFPYRNSNIPSLNCASLDSSDSLPPDPSQSQTSAPTQVEQNSISILDILKQSNSYLPHVLIASILLALIYPRSLTWFTSRYYAPALGFLMFAVGVNSNENDFIEAFKRPAEIATGYFGQFFVKPLLGYLFCLIAVTALGLPTTVGAGIVLVACVSGAQLSSYATFLTDPHMAPLSIVMTSLSTASAVFVTPLLLLLLIGKKLPIDVKGMVYSITQIVVVPIAVGLLLNRFFPRICNVIRPFLPPLSVLVAAICAGAPLAFNVETMKSSLGVAILFLVVAFHLSSFIAGYFLSGFVFRDSLDAKALQRTISYETGMQSSLLALALANKFFEDPVVAIPPAISTSIMSLMGFGLVLIWTRRGKSETKHSS >Vigun02g170600.2.v1.2 pep primary_assembly:ASM411807v1:2:31367401:31372262:-1 gene:Vigun02g170600.v1.2 transcript:Vigun02g170600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMISGAAKQFHNIHSLRLSLFRLSKPKSPIMLSTNNNISSPISIRFNSPFPYRNSNIPSLNCASLDSSDSLPPDPSQSQTSAPTQVEQNSISILDILKQSNSYLPHVLIASILLALIYPRSLTWFTSRYYAPALGFLMFAVGVNSNENDFIEAFKRPAEIATGYFGQFFVKPLLGYLFCLIAVTALGLPTTVGAGIVLVACVSGAQLSSYATFLTDPHMAPLSIVMTSLSTASAVFVTPLLLLLLIGKKLPIDVKGMVYSITQIVVVPIAVGLLLNRIGGGYLCWSTTCL >Vigun02g170600.3.v1.2 pep primary_assembly:ASM411807v1:2:31366416:31372262:-1 gene:Vigun02g170600.v1.2 transcript:Vigun02g170600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMISGAAKQFHNIHSLRLSLFRLSKPKSPIMLSTNNNISSPISIRFNSPFPYRNSNIPSLNCASLDSSDSLPPDPSQSQTSAPTQVEQNSISILDILKQSNSYLPHVLIASILLALIYPRSLTWFTSRYYAPALGFLMFAVGVNSNENDFIEAFKRPAEIATGYFGQFFVKPLLGYLFCLIAVTALGLPTTVGAGIVLVACVSGAQLSSYATFLTDPHMAPLSIVMTSLSTASAVFVTPLLLLLLIGKKLPIDVKGMVYSITQIVVVPIAVGLLLNRFFPRICNVIRPFLPPLSVLVAAICAGAPLAFNVETMKSSLGVAILFLVVAFHLSSFIAGYFLSGFVFRDSLDAKALQRTISYETGMQSSLLALALANKFFEDPVVAIPPAISTSIMSLMGFGLVLIWTRRGKSETKHSS >Vigun09g024200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1921354:1922458:1 gene:Vigun09g024200.v1.2 transcript:Vigun09g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPIFREYIIGSKEVPPIEGFPVEIINRRIPEFHFILAFAAEDYVEDGSGKKVGKGNFSANWNVTKFSAKKIRELKEDYEHVKVVISLGGRGTNFPFNPQDKEIWIDNAKKSLNQIITVLYKFHHETVIDGIDINYEHIESSEDEFAYCIGKVIDYLKSNISGVVVSIAPSFPVLSHYQQLFKANPHRIQWVNYQYYDQKVPSTHEFVNLHKTLIDTFGVEKLLAGFSTDPNDKGNISAEVFVEGVLQLLASGSLAGIFVSDAQSSLLSEPPLYLEKKSQEILTGTN >Vigun03g057400.1.v1.2 pep primary_assembly:ASM411807v1:3:4643675:4644540:-1 gene:Vigun03g057400.v1.2 transcript:Vigun03g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLSFVFLLLLVLASDVAVERGEAKTCMTKKEGWGRCLIDTTCAHSCRKYGYMGGKCQGITRRCYCLLNC >Vigun03g437800.1.v1.2 pep primary_assembly:ASM411807v1:3:64137032:64140482:-1 gene:Vigun03g437800.v1.2 transcript:Vigun03g437800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVKKVNSPRGEVGEIDTRAPFQSVKAAVSLFGEVAIPRDRFSIKRRSSENVFEKETQLILAQKELDKVKKHVDNAEAVKAKALSDLESAKEILQILTTRLAYVRESKQSAMEAAEAVKSQTKRFEKTLSLKAVGYEAWKRELDHARKEYITTINELDSSKQELTKIKQDFDAVMGAKLAALQAAGEAQRSAKLNSERISELSNEIATMKASIEQLKLAAEQSHEEREAQLMSYYTNAKEEVQKNLESLKKEYDPELVENLDAKLAETSAEIEALQEHIKKLHAAKMDSVRLLTSELKEATKTLQDIAEEKNSLNKLVFFLRMELKQVRSEQDGVKEKEHAAGVLAANLTDELQGRMGEAKPAPSTVEELEADIFYVQSKKIQKLQSETEGARREAEEMRRKAQELKVEAEKSRAVAEEAEQRLELVLVEAREAKAAQQRAVKEIKILSEVSKVPSSKFSGKIKIPNEEFEAMRTKAKECEALVEKKEENAMEELHQIYARKNEVDRKVETNVKAIEDTKAATEAALWSAELAESSKVAIETELKRSRQQQQQQQKVVSDDASQKLEHSLTPVSLTTE >Vigun10g068532.1.v1.2 pep primary_assembly:ASM411807v1:10:16120758:16123867:1 gene:Vigun10g068532.v1.2 transcript:Vigun10g068532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCDLLMFGYVTCVLKMIVSIIHCCRYSPLHNVRRPWEQHTDQSIQYPSTMMLTVDHDDRVVPLHTLKLLALATQLMNTLLFQQFDQKGHYSPCWFLPQDQSTGLKDIQQRLAPHYNVEL >Vigun02g113300.1.v1.2 pep primary_assembly:ASM411807v1:2:26721980:26727447:-1 gene:Vigun02g113300.v1.2 transcript:Vigun02g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHFFEFNQGRMAKKVLARKRHHGGLEAPRNSLDLQVQTPQNYCTQGELSCKYQVEEEGRSENNRYSNVGSMKKLINEELSKQSSTRQNAPSLVARLMGIDTMPLDTKYVVPSDKRMSENVGKKSSEKGVSRRGSVSWGSSNFNSSTQMDFESLYEDMDVDDNDDGWNKSFGEPRRRDHPQEEELQKFKKEFEAYQAARFQECSKVAEIGSVPRRLLVQENSNKEKVIHSDLVLHRAGAGKLADLDSHSFKTPPPEIYGSEYRGDMMELVPATQRKTFPPRSRTLSRDFEESLLMKSCNRLDTSASPTRIVILKPGPESISNHEENWTISTGTIQGRNSIEDFLEEVKERLKCELQGKIVKKISVVRGSGIETPYNEKPSDPKLIARHIVKQVRENATRDADTNLLHSESTGSFRSEMQFNGATSPEIISRDTRKFLSDRLRNVVRSEARAEFPEGKSRSLALDRYKDGLKQGGDIMKYASNWEISKEDTEIQTGSFRHELDENIFLHKELSPRNLVRSLSAPVSRSGTSFGKLLLEDRHILTGAQIRRKLEAVETMSVDVKKRKKDRFNIKERVSNFRYNLALRGRLFGRRVQSTVESRANEYGPMVRDVTSGPTVLMNCGERHENSTEVPPSPASVCSSIHEDFWRRTEYLSPISTPDVSSRDDTAVPQVFRDISSGLNELRRQLNQLESDGPEDFTMKQEASESDLDQLEDPAESYIRDLLIASGLYFGSWDKSLLRGDTFAKPIGNSVYEEVEESRRKWVKENDDSCIKDQNENKPDHKVLLDLLNEALSVVLGPPLTLSRFRRKLSNSSMLLPSGKELLNLVWDIIRVSIYPPSDISTYSLDTLVAQHLGSIPWSELINDEINILERDIECLITDDLVEELTKDICSKMK >Vigun02g113300.2.v1.2 pep primary_assembly:ASM411807v1:2:26721933:26727479:-1 gene:Vigun02g113300.v1.2 transcript:Vigun02g113300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHFFEFNQGRMAKKVLARKRHHGGLEAPRNSLDLQVQTPQNYCTQGELSCKYQVEEEGRSENNRYSNVGSMKKLINEELSKQSSTRQNAPSLVARLMGIDTMPLDTKYVVPSDKRMSENVGKKSSEKGVSRRGSVSWGSSNFNSSTQMDFESLYEDMDVDDNDDGWNKSFGEPRRRDHPQEEELQKFKKEFEAYQAARFQECSKVAEIGSVPRRLLVQENSNKEKVIHSDLVLHRAGAGKLADLDSHSFKTPPPEIYGSEYRGDMMELVPATQRKTFPPRSRTLSRDFEESLLMKSCNRLDTSASPTRIVILKPGPESISNHEENWTISTGTIQGRNSIEDFLEEVKERLKCELQGKIVKKISVVRGSGIETPYNEKPSDPKLIARHIVKQVRENATRDADTNLLHSESTGSFRSEMQFNGATSPEIISRDTRKFLSDRLRNVVRSEARAEFPEGKSRSLALDRYKDGLKQGGDIMKYASNWEISKEDTEIQTGSFRHELDENIFLHKELSPRNLVRSLSAPVSRSGTSFGKLLLEDRHILTGAQIRRKLEAVETMSVDVKKRKKDRFNIKERVSNFRYNLALRGRLFGRRVQSTVESRANEYGPMVRDVTSGPTVLMNCGERHENSTEVPPSPASVCSSIHEDFWRRTEYLSPISTPDVSSRDDTAVPQVFRDISSGLNELRRQLNQLESDGPEDFTMKQEASESDLDQLEDPAESYIRDLLIASGLYFGSWDKSLLRGDTFAKPIGNSVYEEVEESRRKWVKENDDSCIKDQNENKPDHKVLLDLLNEALSVVLGPPLTLSRFRRKLSNSSMLLPSGKELLNLVWDIIRVSIYPPSDISTYSLDTLVAQHLGSIPWSELINDEINILERDIECLITDDLVEELTKDICSKMK >Vigun02g113300.3.v1.2 pep primary_assembly:ASM411807v1:2:26722007:26727361:-1 gene:Vigun02g113300.v1.2 transcript:Vigun02g113300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHFFEFNQGRMAKKVLARKRHHGGLEAPRNSLDLQVQTPQNYCTQGELSCKYQVEEEGRSENNRYSNVGSMKKLINEELSKQSSTRQNAPSLVARLMGIDTMPLDTKYVVPSDKRMSENVGKKSSEKGVSRRGSVSWGSSNFNSSTQMDFESLYEDMDVDDNDDGWNKSFGEPRRRDHPQEEELQKFKKEFEAYQAARFQECSKVAEIGSVPRRLLVQENSNKEKVIHSDLVLHRAGAGKLADLDSHSFKTPPPEIYGSEYRGDMMELVPATQRKTFPPRSRTLSRDFEESLLMKSCNRLDTSASPTRIVILKPGPESISNHEENWTISTGTIQGRNSIEDFLEEVKERLKCELQGKIVKKISVVRGSGIETPYNEKPSDPKLIARHIVKQVRENATRDADTNLLHSESTGSFRSEMQFNGATSPEIISRDTRKFLSDRLRNVVRSEARAEFPEGKSRSLALDRYKDGLKQGGDIMKYASNWEISKEDTEIQTGSFRHELDENIFLHKELSPRNLVRSLSAPVSRSGTSFGKLLLEDRHILTGAQIRRKLEAVETMSVDVKKRKKDRFNIKERVSNFRYNLALRGRLFGRRVQSTVESRANEYGPMVRDVTSGPTVLMNCGERHENSTEVPPSPASVCSSIHEDFWRRTEYLSPISTPDVSSRDDTAVPQVFRDISSGLNELRRQLNQLESDGPEDFTMKQEASESDLDQLEDPAESYIRDLLIASGLYFGSWDKSLLRGDTFAKPIGNSVYEEVEESRRKWVKENDDSCIKDQNENKPDHKVLLDLLNEALSVVLGPPLTLSRFRRKLSNSSMLLPSGKELLNLVWDIIRVSIYPPSDISTYSLDTLVAQHLGSIPWSELINDEINILERDIECLITDDLVEELTKDICSKMK >Vigun11g192500.1.v1.2 pep primary_assembly:ASM411807v1:11:39163383:39181232:1 gene:Vigun11g192500.v1.2 transcript:Vigun11g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTTTAFTKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKSHLHIVLEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMAGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLLQCFKKDSRQRPDAKTLLSHPWIQNCRRVLQSSLRHSGTLRNIEEDDSADAEDSGGYHKSAYEGSSVEKEDSGKELSSAAPQGRKSHEDNASAPNFSNERAENEDHVPSDQVLTLAIREKSLLQTGSSNLSPNREVGNSEATGSHEISNEELHEVMMNGEVGSPQSRGIASKVGGKDSSVNNGNKSFAFGPRGQDNSSLKAMKMPTTVEGNELSRFSDPPGDACLDDLFHPLDKQPGDVAAEASTSASTSHMVKGNTPTTDGGKNDLAKELRATIARKQWEKESEIGQANNGGNLLHRVMIGVLKDDVIDIDGLVFDEKLPGENLFPLQAVEFSKLVGSLKPEESEDVIVSACQKLIGIFNQRPEQKIVFVTQHGLLPLTDLLEVPKTRVICSVLQLINQIVKDNTDFLENACLVGLIPAVTSFAVPDRPREIRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLYSLNESTRLASSSAGGGFSVDGSAQRPRSGILDPTHPYINQNEALLSSVDQQDPSKVRRGVLDHHLEQLHPSSSNPRRSSDANHSMDDAMSLEKNSTQTPRESSVGALKERDVESRQRISTTRASTDRPPKSTEPSSNGLSVTGGTHQEQVRPLLSLLDKEPPSGRFSGQLEYVRQMSGLERHESVLPLLHASEKKTNGELDFLMAEFADVSQRGKENGSLDASSRVSHKVTPKKLGTFNSSEGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSSLNAEVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMQFITSNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVKFFQSCPERHFVHILEPFLKIITKSSRINTTLAINGLTPLLIARLDHQDAIARLNLLRLIKAVYEHHPQPKKLIVENDLPEKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >Vigun07g211300.1.v1.2 pep primary_assembly:ASM411807v1:7:33258519:33261561:-1 gene:Vigun07g211300.v1.2 transcript:Vigun07g211300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIPPKHTETALSALLTLMPHHSSDLLAQVDQPLQVLCDVDCGKEFILCEYNRDADSYRSPWSNIYHPPLEDGSLPSLKLRQLEVEANDIFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKTGQGRRGYLEEGAWDAIHVIEVGPEEENNNYRLTSTVMLTMTTDKESSGTFSLSGSIRRQMNMRLSVADGHLSNMGRMIEEMESKLRNSLDQVYFGKTREMVCTLRPPSEVAQMRMPES >Vigun08g159000.1.v1.2 pep primary_assembly:ASM411807v1:8:33152224:33155475:-1 gene:Vigun08g159000.v1.2 transcript:Vigun08g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVLHLQNQNWGGTLGPSLGNLSFLTTLILSNINMHGEIPTQIGRLKRLQVLHLSHNSLNGQIPVHLSNCSKLEVINLLYNKLNGKVPSWFGLGSMTRLNKLLLGANDLVGTIPPSMGNLSSLQSITIARNHLMGSIPHVLGRLSNLKELNTGLNNFSGEVPDSLYNLSNIQVLVLGGNQLSGTLPSKMQLAFPNLRAFFVGENQLNGAFPSSISNITGLQAFDISLNGFSGPIPPTFGSLNKLKTFSIFDNRFGSGSAQDLDFLSSLTNCTQLKILLLGWNEFGGVLPDLIGNFSTNLTSLSMECNQITGTIPERIGQLIGLTNFVVINNYLEGSIPDSIGKLKNLVRLALQENKLSGNIPTAIGNLTMLSELYLHTNKLQGSIPLSLKHCTRMQSFGVSTNNLSGDIPSQTFGSLEGLINLDLSNNSFTGSIPLEIGNLTHLSILYLNENKLSGEIPAKLAACSELTELMLQINFFRGSIPSFLGSLGTLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTVISLIGNKDLCGGIPQLNLPACSKLPSKKHKWSFIKKLICIIAIVVGVGLVTSTLFISIYLFRKRPITAKTPWASCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVLEFMPNGSLESLLHDKVEPKSRNLSLNLDLVVNIALDVANALDYLHHDSEEAVVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGHSSRDQVSSSAIRGTIGYVPLEYGTGCGVSTKGDIYSYGILVLEMVTGRRPTDAMFGEGLSLHKFCQMAIPEGITEIVDSRLVVASGEEGRRVMETEIRECLVDLVRIGVRCCAEKPVERMDIKDVVLELDTIKERLSLSQ >Vigun05g284600.1.v1.2 pep primary_assembly:ASM411807v1:5:47249367:47250435:1 gene:Vigun05g284600.v1.2 transcript:Vigun05g284600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEALNTNNNRDYTWKLAYFEKLQDDVRRLQQENEYLKKLLWHSPNSWIEKQRAAEMVREEATEMAVETQGEDQYGPPL >Vigun05g284600.2.v1.2 pep primary_assembly:ASM411807v1:5:47249367:47250435:1 gene:Vigun05g284600.v1.2 transcript:Vigun05g284600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEALNTNNNRDYTWKLAYFEKLQDDVRRLQQENEYLKKLLWHSPNSWIEKQREMVREEATEMAVETQGEDQYGPPL >Vigun02g170000.1.v1.2 pep primary_assembly:ASM411807v1:2:31312387:31315669:-1 gene:Vigun02g170000.v1.2 transcript:Vigun02g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPACTDEYEKLVIRMSTPRVVIDNSVFSSATLVKVDSARRHGILLDAVQVLTDLDLSIKKAYISADGKWCMDVFHVTDQNGNKITDENVLKYIEQSLGSIQCGRTTSSNGLTALELTGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVKDSSSGSTIEDSQKINKIELRLRNVLKGDNDIRSAKISVSMAAMHTERRLHQMMFTDRDYERTPILEVTSDKPVVTVQNWAGRGYSVVNVQCKDRTKLLFDVVSNLTDMEYDVFHATINTNDDRAYLEFYIRHKDGTPISSEPERQRVIQCLKAAVERRASEGVRLELCKEDRQGLLAEVMRTFRENGLNVTRAEISTVGNMATNVFYVTDAIGNPADAKIIESLRQKIGLSYLEVKELPLVNHQGMQREDQAVGIGGAVLFSIGSLVKRNLYSLGLIKSCS >Vigun05g259200.1.v1.2 pep primary_assembly:ASM411807v1:5:45287821:45292224:1 gene:Vigun05g259200.v1.2 transcript:Vigun05g259200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRSYYSFNGHQVPTKRRATRSARRNATFQRRLEENQMCAFDLLATVADNLLQEKQNPTTCSDSSLEKDTDGFVKEECQDANKPLKTELSDEASCDKRCQHEFVKEGCPDANKPLKAELSDEGSSDRKCFSNISSQVYNQNCCLKEFAVHEIEGHSCIASIVTSSSCSEKFVTETLVDGKGQNGTENIASKVELASSGSPESIGCKLDGDVSKVKDVKFGKTPMDTGTVLCCSEDHMDEKPPALVSSCCNAKLSEYDDSMPHSSLSKGCDNVLVDSRDDDENFSGCAHPSTKIKSCRPITCIDDRKIKKRLASKYLKVAQESKPDKLSNSVLDGNLKPVYSNRKNYYKSQRSQMNIPFKKRKLFKCSSDTNSNGYIRSGDAYFSLKNETNQSVSYSSSGMSKDHGTSSLGHSALRSRDSHVKLRIKSFRVPELFIEIPETATIGSLKRTVMEAVTAVLGGGLRVGVILHGKKVRDDSKTLLQTGISHDNHLDALGFALEPNFSQNLPPACATSSLRVPSADMPQPFMGYPSSPAVIHQRIHGFSNMLAEHQATGLGNLVESDHDSAPSPINTSGEKKLSDSKELITVPEMGMEALAVLPGHQKSKRTEIAQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQTKQQLKHHSKPCLLL >Vigun11g001800.2.v1.2 pep primary_assembly:ASM411807v1:11:208197:211381:1 gene:Vigun11g001800.v1.2 transcript:Vigun11g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLLGANNPPLFHLFTRSALSSSSSSSLTIKASFSSSTTTDMVKAIRVHEQGGPQVLKWEDVEIGEPKEGEVRVRNKAVGVNFIDVYFRKGVYKPPSFPFTPGMEAVGVVTAVGAGLTGRQVGDLVAYAGQPMGSYAEEQILPANKVVPVPSSIDPPIAASIMLKGMTTHFLVRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSNKEKAAQAKEDGCHHVIIYKEEDFVARVNEITSGNGVEVVYDSVGKDTFEGSLACLKLRGYMVRVNHTYPLSEAAKAHEDLENRKTSGSIVLIP >Vigun11g001800.1.v1.2 pep primary_assembly:ASM411807v1:11:208197:211381:1 gene:Vigun11g001800.v1.2 transcript:Vigun11g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLLGANNPPLFHLFTRSALSSSSSSSLTIKASFSSSTTTDMVKAIRVHEQGGPQVLKWEDVEIGEPKEGEVRVRNKAVGVNFIDVYFRKGVYKPPSFPFTPGMEAVGVVTAVGAGLTGRQVGDLVAYAGQPMGSYAEEQILPANKVVPVPSSIDPPIAASIMLKGMTTHFLVRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSNKEKAAQAKEDGCHHVIIYKEEDFVARVNEITSGNGVEVVYDSVGKDTFEGSLACLKLRGYMVSFGQSSGSPDPVPLSSLAAKSLFLTRPSLMQYVVTRDELLEAAGELFANVASGVLKVRVNHTYPLSEAAKAHEDLENRKTSGSIVLIP >Vigun06g074000.2.v1.2 pep primary_assembly:ASM411807v1:6:20515032:20518621:1 gene:Vigun06g074000.v1.2 transcript:Vigun06g074000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDIYTKDGTVDHRGNPANKKETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSATASNNVSNWSGTCYITPLIGAFLADSYLGRYWTIAIFSIIYAIGMTLLTLSASVPGIKPTCHGGEKCHATTLESAICFLALYLIALGTGGIKPCVSSYGADQFDDTDPVEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVTMAIAVVSFFSGTRLYRNQKPGGSALTRICQVISASIRKYHVQVPSDNSLLYETNDGESAIKGSRKLDHTNELRFLDKAAVVAQSDKVRESPNPWRLCTVTQVEELKSVLRLLPVWATGIIFSTVYGQMSTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAILELIRLKMVRKHNYYQLEEIPMTIFWQVPQYFVIGCAEVFYFIGQLEFFYEQAPDAMRSFCSALSLLTVALGQYLSSLLVTIVTKISTRNGSPGWIPDNLNYGHIDYFYWLLTLLSVLNLIAFLIVSMFYTYKRPVGTLR >Vigun06g074000.3.v1.2 pep primary_assembly:ASM411807v1:6:20514668:20518621:1 gene:Vigun06g074000.v1.2 transcript:Vigun06g074000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDIYTKDGTVDHRGNPANKKETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSATASNNVSNWSGTCYITPLIGAFLADSYLGRYWTIAIFSIIYAIGMTLLTLSASVPGIKPTCHGGEKCHATTLESAICFLALYLIALGTGGIKPCVSSYGADQFDDTDPVEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVTMAIAVVSFFSGTRLYRNQKPGGSALTRICQVISASIRKYHVQVPSDNSLLYETNDGESAIKGSRKLDHTNELRFLDKAAVVAQSDKVRESPNPWRLCTVTQVEELKSVLRLLPVWATGIIFSTVYGQMSTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAILELIRLKMVRKHNYYQLEEIPMTIFWQVPQYFVIGCAEVFYFIGQLEFFYEQAPDAMRSFCSALSLLTVALGQYLSSLLVTIVTKISTRNGSPGWIPDNLNYGHIDYFYWLLTLLSVLNLIAFLIVSMFYTYKRPVGTLR >Vigun06g074000.4.v1.2 pep primary_assembly:ASM411807v1:6:20514790:20518621:1 gene:Vigun06g074000.v1.2 transcript:Vigun06g074000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDIYTKDGTVDHRGNPANKKETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSATASNNVSNWSGTCYITPLIGAFLADSYLGRYWTIAIFSIIYAIGMTLLTLSASVPGIKPTCHGGEKCHATTLESAICFLALYLIALGTGGIKPCVSSYGADQFDDTDPVEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVTMAIAVVSFFSGTRLYRNQKPGGSALTRICQVISASIRKYHVQVPSDNSLLYETNDGESAIKGSRKLDHTNELRFLDKAAVVAQSDKVRESPNPWRLCTVTQVEELKSVLRLLPVWATGIIFSTVYGQMSTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAILELIRLKMVRKHNYYQLEEIPMTIFWQVPQYFVIGCAEVFYFIGQLEFFYEQAPDAMRSFCSALSLLTVALGQYLSSLLVTIVTKISTRNGSPGWIPDNLNYGHIDYFYWLLTLLSVLNLIAFLIVSMFYTYKRPVGTLR >Vigun06g074000.1.v1.2 pep primary_assembly:ASM411807v1:6:20514754:20518621:1 gene:Vigun06g074000.v1.2 transcript:Vigun06g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDIYTKDGTVDHRGNPANKKETGTWRACPFILGNECCERLAYYGMSTNLVLYFKNRLHQHSATASNNVSNWSGTCYITPLIGAFLADSYLGRYWTIAIFSIIYAIGMTLLTLSASVPGIKPTCHGGEKCHATTLESAICFLALYLIALGTGGIKPCVSSYGADQFDDTDPVEKEHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVTMAIAVVSFFSGTRLYRNQKPGGSALTRICQVISASIRKYHVQVPSDNSLLYETNDGESAIKGSRKLDHTNELRFLDKAAVVAQSDKVRESPNPWRLCTVTQVEELKSVLRLLPVWATGIIFSTVYGQMSTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAILELIRLKMVRKHNYYQLEEIPMTIFWQVPQYFVIGCAEVFYFIGQLEFFYEQAPDAMRSFCSALSLLTVALGQYLSSLLVTIVTKISTRNGSPGWIPDNLNYGHIDYFYWLLTLLSVLNLIAFLIVSMFYTYKRPVGTLR >Vigun10g137000.3.v1.2 pep primary_assembly:ASM411807v1:10:35302697:35306913:-1 gene:Vigun10g137000.v1.2 transcript:Vigun10g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIYDDLRVRRSSPSEFIKPTLKPGSKELKSYREVLLDKEKILNILKQALTSKTPLSDVLLKKKSKRSVSFSRVIGSSVSKDYLQIKIMVSKSENKVRFVEADGDFVDFLASFLTTPLGSILNLKNNKFSCSPIPLLASILKLKNGRLSLGSIRNLYKSAKNLDPSWFVEPSKKSLLNPKVAPHFGCERNPLLNASQDYTSKYWYGLGEMKNEKGRIICEKKMISKKRDMLQQPKEIELLDPRSSYRDRKDGVGFMKRPCLFVVWDNLKLSPLTTTSLPISFSDSDNVVSTDLEEHLLRIRKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPLWSFFRRKRIKREEK >Vigun10g137000.1.v1.2 pep primary_assembly:ASM411807v1:10:35302697:35306913:-1 gene:Vigun10g137000.v1.2 transcript:Vigun10g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIYDDLRVRRSSPSEFIKPTLKPGSKELKSYREVLLDKEKILNILKQALTSKTPLSDVLLKKKSKRSVSFSRVIGSSVSKDYLQIKIMVSKSENKVRFVEADGDFVDFLASFLTTPLGSILNLKNNKFSCSPIPLLASILKLKNGRLSLGSIRNLYKSAKNLDPSWFVEPSKKSLLNPKVAPHFGCERNPLLNASQDYTSKYWYGLGEMKNEKGRIICEKKMISKKRDMLQQPKEIELLDPRSSYRDRKDGVGFMKRPCLFVVWDNLKLSPLTTTSLPISFSDSDNVVSTDLEEHLLRIRKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPLWSFFRRKRIKREEK >Vigun07g277650.1.v1.2 pep primary_assembly:ASM411807v1:7:39268082:39273762:1 gene:Vigun07g277650.v1.2 transcript:Vigun07g277650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDRAIHFFKKIDADTLRNGDLRIPRSFVSKYWEDISNPLHLLLPKGGEWEVKWKKVGADVWLIEKWKKFAEFYSLDEDNLLMFKYVGNSQFEVVILHPTGLEIMYPLKEATLDHAENLNGNGNGNSSRHFKKTKSFLPRSHFSKKVKTNRRNQRNISEDVANGSALSRRIKDELNEQHANGFLGTKFHKRGRKRKASVCMKSSNSKKRAFSTRSGSAMERAQSFHSVNPVFIREMQRSYVERNIMAMPRSFITVDEENEHLVTLWTSESGPWCVYFSRNNSSNQINLTTGWKSFVKDNNLKLRDVCVFEKIKKPGLSFKVIIFRDTEESSSPKFSDHSIPECKERELENSFSVCIKPTDLHTVNLPRIFFEDHDILHSNEVTLQVGERTWKICTEKKTILSVEDIIALIGDKCDGVIGQLTEDWGEELFSALSRAGGKAFSNMAVGYNNVNVDAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGAFLKANGETPVTWKRASSMDEVLQEADIISLHPVLDKTTYHLVNKERLAKMKKEAILINCSRGPVIDEAALVEHLKENPLFRVGLDVFEDEPYMKPGLAELKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDPNRVEPFLNENAQPPAAIPSIVNAKALGLPSSKL >Vigun09g029600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2449952:2450848:-1 gene:Vigun09g029600.v1.2 transcript:Vigun09g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLEFVKSSPTSSSPSTTSSETTNSTRSLFTKKPRTLRKRPNQNYNEAVTLLSTAYPNLFSTKNLKSPEKFTKPITECYNDLSEPLLVLREYDDNDNDAFSFESKRRFQTEPEKLFFGAREREKKRKKNVNCEEVDLDLEREELRCESMLDEEIEEGLDSVMGRSRVEDADCGANEERFFHGEFCWVRALRRVHDDSKWWNFLVVDMLQISPRIKNATTESLVPTTVKKKKKKAVKKLSKEGRSKGLLLKLNYDDVRNAWSDRGSPFADCLPGNDVSPLLAAIKNLKGKKFEKNL >Vigun01g078400.1.v1.2 pep primary_assembly:ASM411807v1:1:22071422:22074427:1 gene:Vigun01g078400.v1.2 transcript:Vigun01g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSASINTVINLHFFLCFQFNLRSIKVHSPHSSSFLQIFTPVVAMLTTLTRVFKHTTLANDLLQKRSRFYAAMVGERSRLQGKVALITGSASGLGKATAHHFVHHGAQVIIADNDTILGPQVAKDLGPSARYVECDVAVEAQVEEAVNVAVAHYGKLDIMYNNAGIPGPSIPPSIADLDLDEFDKVMRINIRGMLAGIKHAARVMIPMGSGSILCTSSISGVMGGLGPHPYTISKFTIPGIVKSVASELCNVGVRINCISPAPIPTPMVLAQIQKFYPGLPQEQIEGIVNGFVGFKGAKCEDIDVAKAALYLASDEAKFISGHNLIVDGGFTSFKTLTFPSP >Vigun01g078400.2.v1.2 pep primary_assembly:ASM411807v1:1:22071422:22074427:1 gene:Vigun01g078400.v1.2 transcript:Vigun01g078400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSASINTVINLHFFLCFQFNLRSIKVHSPHSSSFLQIFTPVVAMLTTLTRVFKHTTLANDLLQKRSRFYAAMVGERRLQGKVALITGSASGLGKATAHHFVHHGAQVIIADNDTILGPQVAKDLGPSARYVECDVAVEAQVEEAVNVAVAHYGKLDIMYNNAGIPGPSIPPSIADLDLDEFDKVMRINIRGMLAGIKHAARVMIPMGSGSILCTSSISGVMGGLGPHPYTISKFTIPGIVKSVASELCNVGVRINCISPAPIPTPMVLAQIQKFYPGLPQEQIEGIVNGFVGFKGAKCEDIDVAKAALYLASDEAKFISGHNLIVDGGFTSFKTLTFPSP >Vigun10g079400.1.v1.2 pep primary_assembly:ASM411807v1:10:21774944:21780765:1 gene:Vigun10g079400.v1.2 transcript:Vigun10g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVIVFLVNVYLLVNYQHPDDFNQAYFPKFVVVLGLSVAAISILMLPADVANRQACRHSIYNGACNLTLPMKDLWLAVYILDAILVFFVIPFAMFYYEGDQDKTVGKRIKSALCWMVTTAIVCALILGILYGLIGKVDFTVRHLSSTTKSFPSTWEFSSSQPCIENTHQCSAYTASPSSEKTWTMRTTFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKDLKKAAESLRQEEKGGSKGRKFRKNVKSVEKELLQLEEDVKLLEEMYPQGEKAEATWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLVDPPLSPFLNEVFIKLDDIWGLLGTAAFAFFCFYLLLAVIAGAMVIGLRLVFFTIHPMKWGATLMNSFLFNVGLILLSSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVALAGLTFVYYAAFGWRRKKPSGRFQLSS >Vigun08g001750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:205897:206220:1 gene:Vigun08g001750.v1.2 transcript:Vigun08g001750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKRVIGMAIKRRQKGHFVVYTIDKARFVLPLTYLRNNVFRELLRMSEEHLGLPTQGPIILPCHAPFMDYLLSLLRKHASFHLHTYVCPSCSYSYLIITTSIFLP >Vigun09g105800.1.v1.2 pep primary_assembly:ASM411807v1:9:19708047:19710588:-1 gene:Vigun09g105800.v1.2 transcript:Vigun09g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSSSSSILKRCYCLSRLVKTIDMGTNRQQHEISEGGSEVQYQEMVENEEKGPTHKLITESCKSNSMVVKKRQTLIPAHIIAEAISTIPDLDIRWSGPITPKEMEYVEQYVLAKYPEYSGLMEGDGNGIDLSSFIIYEEASEPMMDDRGKSPRESSTYLFGSNLPEMDRAKIQLEPSRLLDILNKKSSFPGSFISIPEIQARNKILKHYGLPDEEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILDQEEDYIREFASFKESKVISAPKTWLDLRISGSQLSQNFRRRCKISSKGLFSYPVDANGTMHWISEAHRNNWHVLLDASAVVVGKDRLHLLALHRPDFVICCLDNTHSNPSRITCLLVRKKSFENSAASSQVVE >Vigun09g105800.2.v1.2 pep primary_assembly:ASM411807v1:9:19708016:19710588:-1 gene:Vigun09g105800.v1.2 transcript:Vigun09g105800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRQQHEISEGGSEVQYQEMVENEEKGPTHKLITESCKSNSMVVKKRQTLIPAHIIAEAISTIPDLDIRWSGPITPKEMEYVEQYVLAKYPEYSGLMEGDGNGIDLSSFIIYEEASEPMMDDRGKSPRESSTYLFGSNLPEMDRAKIQLEPSRLLDILNKKSSFPGSFISIPEIQARNKILKHYGLPDEEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILDQEEDYIREFASFKESKVISAPKTWLDLRISGSQLSQNFRRRCKISSKGLFSYPVDANGTMHWISEAHRNNWHVLLDASAVVVGKDRLHLLALHRPDFVICCLDNTHSNPSRITCLLVRKKSFENSAASSQVVE >Vigun09g105800.3.v1.2 pep primary_assembly:ASM411807v1:9:19708047:19710588:-1 gene:Vigun09g105800.v1.2 transcript:Vigun09g105800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRQQHEISEGGSEVQYQEMVENEEKGPTHKLITESCKSNSMVVKKRQTLIPAHIIAEAISTIPDLDIRWSGPITPKEMEYVEQYVLAKYPEYSGLMEGDGNGIDLSSFIIYEEASEPMMDDRGKSPRESSTYLFGSNLPEMDRAKIQLEPSRLLDILNKKSSFPGSFISIPEIQARNKILKHYGLPDEEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILDQEEDYIREFASFKESKVISAPKTWLDLRISGSQLSQNFRRRCKISSKGLFSYPVDANGTMHWISEAHRNNWHVLLDASAVVVGKDRLHLLALHRPDFVICCLDNTHSNPSRITCLLVRKKSFENSAASSQVVE >Vigun09g093500.1.v1.2 pep primary_assembly:ASM411807v1:9:13462695:13464882:-1 gene:Vigun09g093500.v1.2 transcript:Vigun09g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAENVGLRGHVVAMPYPSQGHVNSMINLCNQLASLQTSILITIVVTQEWLGLIASNPKPTNIHFATIPNVIPLQSQIASDITAFFQAAVTNLQPPFRRLLHRLHPTVTALLADVELQFPVAVARRMNIPVALLWTMSASFFLSLHQLRNLVPNGALKADLLDDCEEHIPGISPAQLSDLRTVLRENDLRFLQLELECISEVPKADCVIVNSVQGLEAEAIGSLRAMFHLPIYPIAFPYFKPQASHSVSNSDYNFDYLNWLDSQPAMSVLYISLGSFLSVSCAQMNETVSALKTSGVRYLWVVRGEVSWLKDKCGDKGLVVPWCDQLKVLSHPSVGGFWSHCGWNSTLEAVFAGIPMLTFPLFFDQVPNSRQILEVWKNGWELKRSELGSEELVTEKEILEVIGEFMDVEKGKELRERVLELKGICEQAVAEGGSSNMNLNALIKDVLCIQGGHSASEEC >Vigun04g096201.1.v1.2 pep primary_assembly:ASM411807v1:4:20420057:20422568:1 gene:Vigun04g096201.v1.2 transcript:Vigun04g096201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTSIGAKIHSSINDGCGPPQFILSGQNYHRIGSLLPDKGSSPKFAQLYIYDTKNEITNRVSHFGSDIQQSIFDKSLIEDLKSMIDKHNVLAQSFRRVRDLIHDDDQSDFGLRLFRHRCKDPRVYNTPIADEVAALIVGDLSTLDVGRDIIVKKVCGQLTRLHETHTCFIPLQYPLIFPYGEDGYQEDIPIRDCQRYGQSRKRVRISLREFIAFRIQDRKVEFGNIVHSRRLFQQFLVDTYTMIEAQRFSFIRNNQKLIRSDILNGLQEAVNKGETDPSSIGKRIVLPASFTGGMRYMFNNCQDVMAICKRYGYPDLFITITCNVNWPEILDFVKSRGLTASDRPDIVCRVFKMKLDQMMTDFKKNNFFGKVNAGMYTVEFQKRGLPHAHILLWLSDSNKLENAKHIDKVISAELPHPDLYPKLSKAVKTYMIHGPCGAARFNSPCMKEGRCSKFFPKKFRHKTTIDEDGYPVYRRRDDGLFVLKNGHKLGNGNVVPYSPLLLMRYQAHVNTEYCNKSNSIKYLFKYVNKGPDRATMKITDKENDSTEVRIVDEIKRYYDCGYLSPCEAVWRIFGFDIHHRWPAVQRLTFHLQDQQTVLFKDDDRIDDVLERNDNINTMFLAWFEANKKYEEGRNLTYAEFPTKFVWMSQQRKWKPRKQGYSIGRLTYVPPGSGECYYMRILLTKQKGCINHDSIKTINGKTFSTYQEACQELGLLADDKEFIDAIKEASHLASGNQLRRLFVSLLIMNTMSKPKVVWDATWNLLADGILYQRRKHLNIPGSKYK >Vigun03g450200.1.v1.2 pep primary_assembly:ASM411807v1:3:65134112:65137060:1 gene:Vigun03g450200.v1.2 transcript:Vigun03g450200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMMAAPNLVRCYSSYRNGVMKSKWKCKAEGEADVVVIGSGVGGLCCGALLARYGEDVLVVESHDQPGGAAHSFDIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGETLPCATYDSWMVHIPEGQFLSRIGPTDFFKDLQQYAGPNAVHEWRKLLDAVLPLSAAAMALPPLSIRGDWGVLSTAAARYAPSLFKSFLQMGPRTALGATKLLRPFSEILDDLQLKDPFIRNWIDLLSFLLAGVKANAVLSAEMVYMFAEWYKPGCCLEYPLHGSAAIVDALVRGLEKFGGRISLQSHVENIVVENDRAIGVKLRSGQFLRAKKAVVSNASMWDTLKLLPKEAVAKSFSERINTTPQCDSFMHLHLGFDAEDIPSDLGIHHIVVNDWERGVDADQNVVLISIPSVLSPNLAPHGKHVLHAYMPGTEPFDLWEGLDRRSAEYRNLKAERSEVMWKAVERAVGGGFSREKCEVKLVGSPLTHERFLRRNRGTYGPAVQAGKDTFPGHSTPIPQLYCCGDSTFPGIGIPAVAASGAIVANSLVSVSQHSNLLDAIGI >Vigun03g450200.3.v1.2 pep primary_assembly:ASM411807v1:3:65133216:65136983:1 gene:Vigun03g450200.v1.2 transcript:Vigun03g450200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMMAAPNLVRCYSSYRNGVMKSKWKCKAEGEADVVVIGSGVGGLCCGALLARYGEDVLVVESHDQPGGAAHSFDIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGETLPCATYDSWMVHIPEGQFLSRIGPTDFFKDLQQYAGPNAVHEWRKLLDAVLPLSAAAMALPPLSIRGDWGVLSTAAARYAPSLFKSFLQMGPRTALGATKLLRPFSEILDDLQLKDPFIRNWIDLLSFLLAGVKANAVLSAEMVYMFAEWYKPGCCLEYPLHGSAAIVDALVRGLEKFGGRISLQSHVENIVVENDRAIGVKLRSGQFLRAKKAVVSNASMWDTLKLLPKEAVAKSFSERINTTPQCDSFMHLHLGFDAEDIPSDLGIHHIVVNDWERGVDADQNVVLISIPSVLSPNLAPHGKHVLHAYMPGTEPFDLWEGLDRRSAEYRNLKAERSEVMWKAVERAVGGGFSREKCEVKLVGSPLTHERFLRRNRGTYGPAVQAGKDTFPGHSTPIPQLYCCGDSTFPGIGIPAVAASGAIVANSLVSVSQHSNLLDAIGI >Vigun03g450200.4.v1.2 pep primary_assembly:ASM411807v1:3:65133217:65136970:1 gene:Vigun03g450200.v1.2 transcript:Vigun03g450200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMMAAPNLVRCYSSYRNGVMKSKWKCKAEGEADVVVIGSGVGGLCCGALLARYGEDVLVVESHDQPGGAAHSFDIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGETLPCATYDSWMVHIPEGQFLSRIGPTDFFKDLQQYAGPNAVHEWRKLLDAVLPLSAAAMALPPLSIRGDWGVLSTAAARYAPSLFKSFLQMGPRTALGATKLLRPFSEILDDLQLKDPFIRNWIDLLSFLLAGVKANAVLSAEMVYMFAEWYKPGCCLEYPLHGSAAIVDALVRGLEKFGGRISLQSHVENIVVENDRAIGVKLRSGQFLRAKKAVVSNASMWDTLKLLPKEAVAKSFSERINTTPQCDSFMHLHLGFDAEDIPSDLGIHHIVVNDWERGVDADQNVVLISIPSVLSPNLAPHGKHVLHAYMPGTEPFDLWEGLDRRSAEYRNLKAERSEVMWKAVERAVGGGFSREKCEVKLVGSPLTHERFLRRNRGTYGPAVQAGKDTFPGHSTPIPQLYCCGDSTFPGIGIPAVAASGAIVANSLVSVSQHSNLLDAIGI >Vigun03g450200.2.v1.2 pep primary_assembly:ASM411807v1:3:65133172:65136984:1 gene:Vigun03g450200.v1.2 transcript:Vigun03g450200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMMAAPNLVRCYSSYRNGVMKSKWKCKAEGEADVVVIGSGVGGLCCGALLARYGEDVLVVESHDQPGGAAHSFDIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGETLPCATYDSWMVHIPEGQFLSRIGPTDFFKDLQQYAGPNAVHEWRKLLDAVLPLSAAAMALPPLSIRGDWGVLSTAAARYAPSLFKSFLQMGPRTALGATKLLRPFSEILDDLQLKDPFIRNWIDLLSFLLAGVKANAVLSAEMVYMFAEWYKPGCCLEYPLHGSAAIVDALVRGLEKFGGRISLQSHVENIVVENDRAIGVKLRSGQFLRAKKAVVSNASMWDTLKLLPKEAVAKSFSERINTTPQCDSFMHLHLGFDAEDIPSDLGIHHIVVNDWERGVDADQNVVLISIPSVLSPNLAPHGKHVLHAYMPGTEPFDLWEGLDRRSAEYRNLKAERSEVMWKAVERAVGGGFSREKCEVKLVGSPLTHERFLRRNRGTYGPAVQAGKDTFPGHSTPIPQLYCCGDSTFPGIGIPAVAASGAIVANSLVSVSQHSNLLDAIGI >Vigun01g135500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31528260:31528958:-1 gene:Vigun01g135500.v1.2 transcript:Vigun01g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNMPRTGERRRKRNNLSRLNFNLCFSIPMQPFTPQSSPTATSSTVLQNQNKKPLSQPATSTSPIRNYDPTNPFPSLGPEPQPADLATAFVSQRFFFTYPGRSNSIVESTVTDTDCATSSSSPRNPVATVEEKAKTALEGSVAVEMYSLDPYADFRHSMEEMVAASPELIDVAANWKELLFCYLALNPKSTHKFILSAFSDLLLSLLSQSSPPPQDADAGDVPVGGDGCSN >Vigun05g157800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25557480:25557602:-1 gene:Vigun05g157800.v1.2 transcript:Vigun05g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGRIPLWIIGIVTGITVIGLIGIFFYGSYSGLGLSL >Vigun06g233600.3.v1.2 pep primary_assembly:ASM411807v1:6:33960446:33964316:1 gene:Vigun06g233600.v1.2 transcript:Vigun06g233600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASTIPYEAIGYLFTGEHKLGLPYFLLGLLRFWRIRRVKQFFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYMLADRYPHKGKTWIGAVNPNFRETSLRIRYISAMYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQHQLIEQLPKSICKSICQHLFFATVEKVYLFKGVSKEIILSLVAKMKAEYIPPREDVIMQNEAPDDIYIIVSGEVEIIDSVMEKEKTLGTLHTGDMFGEVGALCCRPQSFTYRTKTLTQLLRLKTNTLLESMQIKREDNIQILKNFLQHFKQIKNLSIRDLMVENVEEEDPNMAVNLLTVASTGNAAFLEELLRAGLDPDIGDSEGKTPLHIAASNGHEECVKVLLKHTCNIHIKDMNGNTAMWDAIASKHYSIFRLLFQFAALSDQNTAGDLLCTAAKKNELTVMADLLKQGLNVDSKDRHDATAIQIAMAEKHVEMVKLLVMNGADVSDVHNHEFCSSTFTEMLHNCEVGHRINVFELMPDEVASKGKHQEEEHVGERRYNGPNIARVSIYRGHPVVRREKGIMQAGKLIRMPDSLDELKTIAGEKFGIDAKDAMVTNEEGAEIDSIDVIRDNDKLFFVD >Vigun06g233600.2.v1.2 pep primary_assembly:ASM411807v1:6:33958880:33964255:1 gene:Vigun06g233600.v1.2 transcript:Vigun06g233600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASTIPYEAIGYLFTGEHKLGLPYFLLGLLRFWRIRRVKQFFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYMLADRYPHKGKTWIGAVNPNFRETSLRIRYISAMYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQHQLIEQLPKSICKSICQHLFFATVEKVYLFKGVSKEIILSLVAKMKAEYIPPREDVIMQNEAPDDIYIIVSGEVEIIDSVMEKEKTLGTLHTGDMFGEVGALCCRPQSFTYRTKTLTQLLRLKTNTLLESMQIKREDNIQILKNFLQHFKQIKNLSIRDLMVENVEEEDPNMAVNLLTVASTGNAAFLEELLRAGLDPDIGDSEGKTPLHIAASNGHEECVKVLLKHTCNIHIKDMNGNTAMWDAIASKHYSIFRLLFQFAALSDQNTAGDLLCTAAKKNELTVMADLLKQGLNVDSKDRHDATAIQIAMAEKHVEMVKLLVMNGADVSDVHNHEFCSSTFTEMLHNCEVGHRINVFELMPDEVASKGKHQEEEHVGERRYNGPNIARVSIYRGHPVVRREKGIMQAGKLIRMPDSLDELKTIAGEKFGIDAKDAMVTNEEGAEIDSIDVIRDNDKLFFVD >Vigun06g233600.1.v1.2 pep primary_assembly:ASM411807v1:6:33958880:33964255:1 gene:Vigun06g233600.v1.2 transcript:Vigun06g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFSSYNPQLVASTLKESYSKQEHDHSGEDHHLKEEDYMSPSFNLRNISKLILPPLGVSNQNPVNSKGWIISPMDSRYRCWESFMVLLVAYSAWVYPFEVAFMHTSSNMKIYVADTVVDLFFGIDIVLTFFLAYIDRTTHLLVRDKKKIVVRYLSTWFVMDLASTIPYEAIGYLFTGEHKLGLPYFLLGLLRFWRIRRVKQFFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYMLADRYPHKGKTWIGAVNPNFRETSLRIRYISAMYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQHQLIEQLPKSICKSICQHLFFATVEKVYLFKGVSKEIILSLVAKMKAEYIPPREDVIMQNEAPDDIYIIVSGEVEIIDSVMEKEKTLGTLHTGDMFGEVGALCCRPQSFTYRTKTLTQLLRLKTNTLLESMQIKREDNIQILKNFLQHFKQIKNLSIRDLMVENVEEEDPNMAVNLLTVASTGNAAFLEELLRAGLDPDIGDSEGKTPLHIAASNGHEECVKVLLKHTCNIHIKDMNGNTAMWDAIASKHYSIFRLLFQFAALSDQNTAGDLLCTAAKKNELTVMADLLKQGLNVDSKDRHDATAIQIAMAEKHVEMVKLLVMNGADVSDVHNHEFCSSTFTEMLHNCEVGHRINVFELMPDEVASKGKHQEEEHVGERRYNGPNIARVSIYRGHPVVRREKGIMQAGKLIRMPDSLDELKTIAGEKFGIDAKDAMVTNEEGAEIDSIDVIRDNDKLFFVD >Vigun09g043100.1.v1.2 pep primary_assembly:ASM411807v1:9:4011036:4013043:1 gene:Vigun09g043100.v1.2 transcript:Vigun09g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSHKLFSLLCLLFFSTTCVHSSDASVGVEVDLSFLRYLCATTPYPNLCLSSLNISIDPSPSASPSPSPSPNPNINDYLIHSLQKAVSETTNLINLFNNVGKPNIIDKQRGGGGLRDCRELHQSSLASLEESISGIRSSDMDTTDVAIYLSAVLTNKNTCLEGLDSATGTMKPVLVKAVINTYKHASNSLAILSNPAMGTDPENNPLKGDPEWLTSTDQSFFDDSHGDEYDPKEKLVVAVDGTGDFRTITEAINSAPINSMERIVIYVKEGIYEENVEIPCNRTNIVMIGDGSDVTVITGNRSVGDGWTTFRSATLAVNGKGFLARDIAFKNSAGPKSQAVALRVSAELAAFYRCAMDGYQDTLYVHSWRQFYKECDISGTIDFIFGNAAVVLQECNIISKEPLHGQATVITAQSRDDPNEPSGIVIQSCNINASFDSSSVKSYLGRPWRNYSRTVYLESFIDGFIDPKGWTEWNDDKKRLDTLYYGEFENYGPGSSTDNRVKWSGYHVMTDEDANNFTVSKFINDDDWLQVTHFPFDLGI >Vigun10g120266.1.v1.2 pep primary_assembly:ASM411807v1:10:32690908:32691378:-1 gene:Vigun10g120266.v1.2 transcript:Vigun10g120266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLENMFSSFYQARQQRRHGILELGDSKMEKDSDLTTTIKK >Vigun01g255000.1.v1.2 pep primary_assembly:ASM411807v1:1:41997551:42000157:-1 gene:Vigun01g255000.v1.2 transcript:Vigun01g255000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRYSRRGGGRSPSPRGRYPTRPRQQDLPTSLLVRNLRHDCRPEDLRRPFGQFGPLKDIYLPKDYYSGEPRGFGFVQYVDPADAADAKYHMDGQILLGRELTVVFAEENRKKPTEMRSRERRGRFYDRRRSPPRYSRSPRYSRSPPPRHRSRSRSRDYYSPPKRRDYSRSLSPEDRRYSRERSYSQHSREKSYSRSPPYNGGSRSRSHSPAKGPGRSRSPSPDRDVREPARGRSPSQ >Vigun01g255000.2.v1.2 pep primary_assembly:ASM411807v1:1:41997551:42000182:-1 gene:Vigun01g255000.v1.2 transcript:Vigun01g255000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRYSRRGGGRSPSPRGRYPTRPRQQDLPTSLLVRNLRHDCRPEDLRRPFGQFGPLKDIYLPKDYYSGEPRGFGFVQYVDPADAADAKYHMDGQILLGRELTVVFAEENRKKPTEMRSRERRGRFYDRRRSPPRYSRSPRYSRSPPPRHRSRSRSRDYYSPPKRRDYSRRYSRERSYSQHSREKSYSRSPPYNGGSRSRSHSPAKGPGRSRSPSPDRDVREPARGRSPSQ >Vigun09g097700.2.v1.2 pep primary_assembly:ASM411807v1:9:15137216:15141902:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGQNLEHFANKSYRLGVPEDHKGTLDSIWYKATEMCHSSQLKTFLRKKGKLSSLHVDRSTACLAIAELEFQHSHHVSKAEKSWKMIASSLQFILGCNVELRITYTPCASDSKYSKLKRSTFSIFTCSRRNRQQKSLSSNEQGSESDYGDCTSENPMMKDKTSTSDCGMDAVTALRSCEGNLLSSGERFFNRSFQESMRTSCVEVDSSKDKGCNGVHLDPSILDSENDHFNCFPKTLWLQKKFRSSYSSKLSFQGIQQQKDFVLSVPKCTCSGKYTYANEPCIFSGSCKSCTKAS >Vigun09g097700.4.v1.2 pep primary_assembly:ASM411807v1:9:15136584:15141877:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGQNLEHFANKSYRLGVPEDHKGTLDSIWYKATEMCHSSQLKTFLRKKGKLSSLHVDRSTACLAIAELEFQHSHHVSKAEKSWKMIASSLQFILGCNVELRITYTPCASDSKYSKLKRSTFSIFTCSRRNRQQKSLSSNEQGSESDYGDCTSENPMMKDKTSTSDCGMDAVTALRSCEGNLLSSGERFFNRSFQESMRTSCVEVDSSKDKGCNGVHLDPSILDSENDHFNCFPKTLWLQKKFRSSYSSKLSFQGIQQQKDFVLSVPKCTCSGKYTYANEPCIFSGSCKSCTKAS >Vigun09g097700.1.v1.2 pep primary_assembly:ASM411807v1:9:15136541:15141901:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGQNLEHFANKSYRLGVPEDHKGTLDSIWYKATEMCHSSQLKTFLRKKGKLSSLHVDRSTACLAIAELEFQHSHHVSKAEKSWKMIASSLQFILGCNVELRITYTPCASDSKYSKLKRSTFSIFTCSRRNRQQKSLSSNEQGSESDYGDCTSENPMMKDKTSTSDCGMDAVTALRSCEGNLLSSGERFFNRSFQESMRTSCVEVDSSKDKGCNGVHLDPSILDSENDHFNCFPKTLWLQKKFRSSYSSKLSFQGIQQQKDFVLSVPKCTCSGKYTYANEPCIFSGSCKSCTKAS >Vigun09g097700.7.v1.2 pep primary_assembly:ASM411807v1:9:15136584:15141877:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGELCITFFLDLLHYFQFLFSLAEWSGFVRSKLGAFC >Vigun09g097700.5.v1.2 pep primary_assembly:ASM411807v1:9:15137219:15141902:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGELCITFFLDLLHYFQFLFSLAEWSGFVRSKLGAFC >Vigun09g097700.3.v1.2 pep primary_assembly:ASM411807v1:9:15137418:15141901:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGQNLEHFANKSYRLGVPEDHKGTLDSIWYKATEMCHSSQLKTFLRKKGKLSSLHVDRSTACLAIAELEFQHSHHVSKAEKSWKMIASSLQFILGCNVELRITYTPCASDSKYSKLKRSTFSIFTCSRRNRQQKSLSSNEQGSESDYGDCTSENPMMKDKTSTSDCGMDAVTALRSCEGNLLSSGERFFNRSFQESMRTSCVEVDSSKDKGCNGVHLDPSILDSENDHFNCFPKTLWLQKKFRSSYSSKLSFQGIQQQKDFVLSVPKCTCSGKYTYANEPCIFSGSCKSCTKAS >Vigun09g097700.6.v1.2 pep primary_assembly:ASM411807v1:9:15137527:15141902:1 gene:Vigun09g097700.v1.2 transcript:Vigun09g097700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRRHSVDIPISKTLVALRRVRSLRDPSTNAMSKLSSLVDNLHWENGSANDISLRFSDAARPCDTDDNAALRSRNLGFKGQKEQKDADSAFNSRLKPSGISCQVLQQDGEPIYSKRNLQCISGNKSPSESCRSNHGGKGLDLACIVLPSNNDFKDGDSCYVATARSSQIGRIDCSKSAKKSLRKNQVKPSELVCSVDGNVSPYPSGYDAFSPYSGSVGINQGSDGLDDNDNGCGISCCWSKSPRFRESNLYGEIEDRPLISHRVDESDLHAHRNMRNNGGGSGLNLETPRSLSMKFRPKAFSDLVGQNVVARSLLGAISRGRITSFYLFYGPRGTGKTSASRIFAAALNCLSFEEQRPCGLCRECIVFFSGRSKDFKEVDSVRINRSDQVKSLVKSASTPPVSSRFKVFIIDECQLLNGETWASISNSLDNLSQHVVFVMITPDLDKLPRSVVSRAQRYHFAKVKDADIVCRLQSICGEEGLDFEQEALDFIAAKSCGSVRDAEMMLDQMSLLGKKINISLAYELTGIVSDDELLDLLDLAFSSDTSNTVIRARELMRSRIDPLQLVSQLANLIMDILAGKCEKGGSEVRSRFHRKYTSEADLQKLNNALKILSETEKQLRISKNQTTWFTVALLQLSSVDYQSVDANDTKFSIRRACNGGELCITFFLDLLHYFQFLFSLAEWSGFVRSKLGAFC >Vigun08g072700.1.v1.2 pep primary_assembly:ASM411807v1:8:12094658:12099310:1 gene:Vigun08g072700.v1.2 transcript:Vigun08g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEITVLCDAQVSLIIFAASGKMHDYISPSTTLIDILERYHKTSGKRLWDAKHENLNGEIERLKKENDSMQIELRHLKGEDINSLNYKELMALEDALETGLVSVREKQMDVYRMFRRNDKILEEENRELNFLWQQRLAVEGAREVENGFDQSVRDYNSHMPFAFRVQPMQPNLQERI >Vigun04g156700.1.v1.2 pep primary_assembly:ASM411807v1:4:37852612:37858388:1 gene:Vigun04g156700.v1.2 transcript:Vigun04g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEKQREKEKTMVVAEHVDVLPLTFVVHFLAIPAIVLVLVWSIHFRGGLSFNSSNKSLLFNIHPVLMLIGIIIIGGEAIISYKALPFQKKSKKLIHLVLHAMALILGIIGIYAVFKFHNEMGILNLYSLHSWLGIGVIVLYGIQWIFGFVMFIYYHGVNSSLKSTTLHWHVKIGLFVYVLAVATACLGFLEKLTFMQSSGLAKHGSEAILVNFTAIITIFYAASVVFSAVSPSSTTPHH >Vigun09g052400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5183809:5185009:1 gene:Vigun09g052400.v1.2 transcript:Vigun09g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLVLLLAVLVLSPQGLANYEKPPVEKPPVYKPPIEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYTPPHPKYPPSSN >Vigun03g326800.2.v1.2 pep primary_assembly:ASM411807v1:3:52273080:52279551:-1 gene:Vigun03g326800.v1.2 transcript:Vigun03g326800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDVMKLMMSDYTVETINDGLSEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNASDPLNGDAASLMMKDKKLYDQKVKEYCERYAKKENISNSTAGEESGDEEDISEEDSGSSDDDIPGHADP >Vigun03g326800.1.v1.2 pep primary_assembly:ASM411807v1:3:52273080:52279711:-1 gene:Vigun03g326800.v1.2 transcript:Vigun03g326800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDVMKLMMSDYTVETINDGLSEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNASDPLNGDAASLMMKDKKLYDQKVKEYCERYAKKENISNSTAGEESGDEEDISEEDSGSSDDDIPGHADP >Vigun04g115300.2.v1.2 pep primary_assembly:ASM411807v1:4:28834558:28852105:-1 gene:Vigun04g115300.v1.2 transcript:Vigun04g115300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGEAESVPGVEQGTNFDFDLFIIGAGSGGVRAARFSSNYGAKVGICELPFNPISSETIGGVGGTCVIRGCVPKKILVYGASFGGELEDARNYGWELNEKVDFNWKKLLQKKTDEINRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYTAKHILIATGSRAQRPNIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGMGSEVDLVFRKELLLRGFDDEMRAVVARNLEGRGINLHPRTNLTQLIKTENGIKVITDHGEELIADVVLFATGRAPNSKRLNLEAVGVEVDKAGAIKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEASCFAKTVFNGQASKPDYSNIACAVFSIPPLSVVGLSEEQAIEQTKGDLLIFTSTFNPMKNTISGRQEKTVMKLIVDAETDKVLGASMCGPDAPEIIQGIAIALKCGATKEQFDSTVGIHPSAAEEFVTMRTVTRRVTGSGKPKTNL >Vigun04g115300.1.v1.2 pep primary_assembly:ASM411807v1:4:28834537:28852116:-1 gene:Vigun04g115300.v1.2 transcript:Vigun04g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGEAESVPGVEQGTNFDFDLFIIGAGSGGVRAARFSSNYGAKKVGICELPFNPISSETIGGVGGTCVIRGCVPKKILVYGASFGGELEDARNYGWELNEKVDFNWKKLLQKKTDEINRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYTAKHILIATGSRAQRPNIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGMGSEVDLVFRKELLLRGFDDEMRAVVARNLEGRGINLHPRTNLTQLIKTENGIKVITDHGEELIADVVLFATGRAPNSKRLNLEAVGVEVDKAGAIKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEASCFAKTVFNGQASKPDYSNIACAVFSIPPLSVVGLSEEQAIEQTKGDLLIFTSTFNPMKNTISGRQEKTVMKLIVDAETDKVLGASMCGPDAPEIIQGIAIALKCGATKEQFDSTVGIHPSAAEEFVTMRTVTRRVTGSGKPKTNL >Vigun07g068600.1.v1.2 pep primary_assembly:ASM411807v1:7:8265320:8272366:-1 gene:Vigun07g068600.v1.2 transcript:Vigun07g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGTCEIVEARDDLNTDKAAGIYQSNSGYSLDEKNRKHPVLKLGYKDNLDDDINKLFESITLKSSSRDSGLLQDGTSPRMKSSLKKPITVGAPRSPRVGYSEPLTLKQALRDLCISKASEMAAMKRLSKSTASPRISEVGKIQTLYNSVVVEGRQSGPSNVESKGSTSEISLVPEDSSSHALDKASQSHPTVKSTSLSKNVQSSKIAVATTENGTGASLIGDLASSSNKVGVLSQSLEPSQIEKQTSASSPSSCNTNGSKLDFSENASSSNKLGNKTSVSKNGQKDRLQTVCSSSTSVNGSRVCKLSRHAPRTVKSIIKNKNLGKKKLKQDSFSALFDPTSNEVNNTSVTSSTQLVCERCWCAIENNKGIVSLDSTSSGDGINSVNVQGSAPAGCNSVREVTKVKKNTVLKEQLEFSQSSKSSQGDYSSSTSTSDESNLSGASCGNRPHMSKDVRWEAIRHAQMQHGVLGLRHFNLLRKLGCGDIGTVYLAELIGKSCLFAIKVMDNEFLERRKKMPRAQTEREILRMLDHPFLPTLYGQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLKCDVSPTLLKSSDVDPAKNSGPCAQSNCIEPFCIEPSCQVPCFSPRLLPPAAKTRKLKTDLAAHLRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGCNNEETLANVVLQGLRFPDTPFVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAIPPELPDLCEFGVSEMTPQSQCKGVQYVECNAAGEQVEFELF >Vigun11g050233.1.v1.2 pep primary_assembly:ASM411807v1:11:8223680:8225089:-1 gene:Vigun11g050233.v1.2 transcript:Vigun11g050233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNMAVRGLTIDSHFIVDSDENGMVYGMTQHHVIQHHHSNHFIQPNYLQAPLENTRMGIRRRHRHVFHHRHHHVQEIRGHSNFHSHVTVPSYRAPTTTHHSRTSSIPIQNAPRRANMHSLHPPAFRELPSYLVMDADDMSYETFSNMQESFSLDDPQSDMRLDIDGISYEELLELGERLGNGNGNLERGLSEDVITRQMPTKSFLLPENLEGSTSEDRETDLCIICQDDYKNKEEIGILQCGHEYHADCIKRWLIQKNICPMCKSKALTIE >Vigun05g149300.2.v1.2 pep primary_assembly:ASM411807v1:5:21735060:21737402:1 gene:Vigun05g149300.v1.2 transcript:Vigun05g149300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDSTASSTHHAAPTTNTRRVPTPGKATILAIGKAFPSQIIPQECLVEGYIRDTKCADAYIKEKLERLCKNTTVKTRYTVMSKEILDKYPELATEGSPTIKQKLEIANPAVVEMATRASLCCIKEWGRAAEDITHMVYVSSSEIRLPGGDLYLANELGLRSDIGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLVTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIVGANPVVGQESPFMELSYAVQKFVPETHSVIDGRLSEEGINFKLGRDLPQKIEDNIEEFCRKLMAKSSVKEFNDLFWAVHPGGPAILNKLESTLKLSSEKLECSRKALMDYGNVSSNTIFYVMEYMREFLKDGAQEWGLGLAFGPGITFEGLLLRSL >Vigun08g085300.3.v1.2 pep primary_assembly:ASM411807v1:8:18713028:18715853:1 gene:Vigun08g085300.v1.2 transcript:Vigun08g085300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKPNNTSIDIEPSNRRVSFAENNPNEEQQPHQLQPINSSVPLLLQPSYARSKSMIFDELRNFRISLKWCALDHSSCIGKLISYVTFIFFTILVPLLTSIFVEIPTSAPEDDPFSFNKLVQLPESGLAIISFFTISSFFRRYGLRQLLFLDALQEDTNYVRRGYTRELEKAFRYLTYIILPSFLVELVHKIIFFSAVKISGADSRPGFPLNSIVFVLVLVCWVYRTGVFLLVCVLFRLTCELQKLRFEGVHKLFEGCGSEAGVIFKEHVRIRRQLWVTSHRYRFFIIGCVVTITVSQLGALLLVLASNSDKTFFNSDLFGSATEWLLLMHFGSSQNHAQSTGNSRNCHKMAHARHHCIC >Vigun08g085300.2.v1.2 pep primary_assembly:ASM411807v1:8:18713028:18715853:1 gene:Vigun08g085300.v1.2 transcript:Vigun08g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKPNNTSIDIEPSNRRVSFAENNPNEEQQPHQLQPINSSVPLLLQPSYARSKSMIFDELRNFRISLKWCALDHSSCIGKLISYVTFIFFTILVPLLTSIFVEIPTSAPEDDPFSFNKLVQLPESGLAIISFFTISSFFRRYGLRQLLFLDALQEDTNYVRRGYTRELEKAFRYLTYIILPSFLVELVHKIIFFSAVKISGADSRPGFPLNSIVFVLVLVCWVYRTGVFLLVCVLFRLTCELQKLRFEGVHKLFEGCGSEAGVIFKEHVRIRRQLWVTSHRYRFFIIGCVVTITVSQLGALLLVLASNSDKTFFNSGDLLICSAVQLSGFFLCILGAARITHRAQGIVAIATRWHMLVTTASAESEHCKSQVSEGLASDTDSDDSSNIH >Vigun08g085300.1.v1.2 pep primary_assembly:ASM411807v1:8:18713028:18715853:1 gene:Vigun08g085300.v1.2 transcript:Vigun08g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKPNNTSIDIEPSNRRVSFAENNPNEEQQPHQLQPINSSVPLLLQPSYARSKSMIFDELRNFRISLKWCALDHSSCIGKLISYVTFIFFTILVPLLTSIFVEIPTSAPEDDPFSFNKLVQLPESGLAIISFFTISSFFRRYGLRQLLFLDALQEDTNYVRRGYTRELEKAFRYLTYIILPSFLVELVHKIIFFSAVKISGADSRPGFPLNSIVFVLVLVCWVYRTGVFLLVCVLFRLTCELQKLRFEGVHKLFEGCGSEAGVIFKEHVRIRRQLWVTSHRYRFFIIGCVVTITVSQLGALLLVLASNSDKTFFNSGDLLICSAVQLSGFFLCILGAARITHRAQGIVAIATRWHMLVTTASAESEHCKSQVSEGLASDTDSDDSSNIHVSVIPPQLSSFQTRQTLITYLQHNHGGITVYGYSLDRGLLHTLFAFEFSLVLWILSKVVVLAK >Vigun10g061200.1.v1.2 pep primary_assembly:ASM411807v1:10:12633748:12636413:-1 gene:Vigun10g061200.v1.2 transcript:Vigun10g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQEPKLPLTLLVDRERNRVVVAEASGDFTDILFSFLTLPLGTITRLVSNNQGYDEVEEIGCMNNLYQSLENSGDDVFWNPICKKMLLFPRNPCEALCQKLKLNLDGAKPMKYFMCSNRCRKGGDWFLSTFSEATCHCGKLMNKEMKLHGESDEETNNGDGVFVKGQTMYLIFDDLKVLQSSPGNTVHQLLQLGYKSFHRLIKKHLNVGKKEILDLLKQALISNSPLSDLFLENGGPNSVCTFSPKLRPENQGWTNHNPSINLKVTVRKSKKTILYAEAEGDFVDYLFSFLTTPLGSVLNLMDENFSLGSINNLHKSVKHLNPLWLTNPSATPLLNLRVAPQFGLKKQPTKLCEEHTPCYWYGTGVIKNHICYGTGNGVISKDHSLIQHPVAMKHFDPRSSDGTKESALGFVRRPSLFVVWNDLQVTPLVRTSSVSFLQKLNVPLDDLEQHELRIEETEALNLLGASLTCKAALTEGLFYLLKPKKQAKA >Vigun02g059500.2.v1.2 pep primary_assembly:ASM411807v1:2:20486919:20492842:1 gene:Vigun02g059500.v1.2 transcript:Vigun02g059500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNMQNQSMHFVLSTDAKPRLKWTPELHQRFIEATNQLGGADKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKSQQLDTSSDSKQEDYIETKSSDGHCSREISLGPQNQITENMQIAQALQMQMEVQRKLYEQIEVQKHLQLRIEAQGKYLQSVLKKAQEALAGYNSAPVGIELTKAELSQLVTIINNACPSSPISELTETRGLSLSCGDRKRDRGTMCSLESSLTSSESSGRKEEKQSMEEIEEFKSSNNASLELPLMGFHTENKASNTGSSSEASGRKRSAATKSNDGRYVVEQPCEKRSGNKFRKPEMLDLNSQCQIDMDSTTSKTLDLNCSLNFWEP >Vigun02g059500.1.v1.2 pep primary_assembly:ASM411807v1:2:20486688:20492862:1 gene:Vigun02g059500.v1.2 transcript:Vigun02g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNMQNQSMHFVLSTDAKPRLKWTPELHQRFIEATNQLGGADKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKSQQLDTSSDSKQEDYIETKSSDGHCSREISLGPQNQITENMQIAQALQMQMEVQRKLYEQIEVQKHLQLRIEAQGKYLQSVLKKAQEALAGYNSAPVGIELTKAELSQLVTIINNACPSSPISELTETRGLSLSCGDRKRDRGTMCSLESSLTSSESSGRKEEKQSMEEIEEFKSSNNASLELPLMGFHTENKASNTGSSSEASGRKRSAATKSNDGRYVVEQPCEKRSGNKFRKPEMLDLNSQCQIDMDSTTSKTLDLNCSLNFWEP >Vigun02g059500.3.v1.2 pep primary_assembly:ASM411807v1:2:20491308:20492277:1 gene:Vigun02g059500.v1.2 transcript:Vigun02g059500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVFPRGLIRQVLCSFTRNMQIAQALQMQMEVQRKLYEQIEVQKHLQLRIEAQGKYLQSVLKKAQEALAGYNSAPVGIELTKAELSQLVTIINNACPSSPISELTETRGLSLSCGDRKRDRGTMCSLESSLTSSESSGRKEEKQSMEEIEEFKSSNNASLELPLMGFHTENKASNTGSSSEASGRKRSAATKSNDGRYVVEQPCEKRSGNKFRKPEMLDLNSQCQIDMDSTTSKTLDLNCSLNFWEP >Vigun07g287100.1.v1.2 pep primary_assembly:ASM411807v1:7:40004367:40007235:-1 gene:Vigun07g287100.v1.2 transcript:Vigun07g287100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDDMDMGYEDEPLEPEIEEGAEEDVDNAKNDDMAGDAIDTEDKEEEQAVERPRKTSKYMTKYERARILGTRAVQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGV >Vigun06g148400.1.v1.2 pep primary_assembly:ASM411807v1:6:27326838:27333283:-1 gene:Vigun06g148400.v1.2 transcript:Vigun06g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVRVIEAKNLPPTDPNGLSDPYVRLQLGKHRFRTKVIKKSLNPKWNEEFSFRVDDLNEELVISVMDEDKFFNDDFVGQLKVPISIVFEEEIKSLGTAWYSLQPKSKKSKNKESGEIRLSIYFSQKNASMESHGSSDDLLSHPRTKESPSRSSPAHSNSSSPSSEEITSAKDEKSSTQKTITGRIAQIFNKSSDVCSTHRNIDLDHSEVNKVEVSEVNDEDESSNVTFEEAMRKIQSEDQGNEIPNNLPAGVFIDQQYVIAPEDLNELLFSSDSNFLKSLAEVQGNTELEIGPWKFENDGEIFKRLVSYVKPPSKLIRAVKAYEEQTYLKADGKNFAVLASVSTPEVMYGSTFRVEILYVITPGPELPTGEQCSCLIVSWRMNFLQSTMMKGMIENGARQGMKESFDQYATLLSQTVKPADPKDLSSNKEQALASLHAEPESDWRLAVQYFANFTVFTTVFMGLYVLVHIWLAAPSTIQGLEFGGLDLPDSIGEFVFCAVFVLQGERMLGIISRFIKARAQKGSDHGIKAQGDGWLLTVALCEGSNLASVDSSGLSDPYVVFTCNGKTRTSSIKFQKSNPVWNEIFEFDAMDDPPSVLDVVVYDFDGPFDDAESLGHAEINFLKTNITDLADVWVPLEGKLSLACQSKLHLRIFLDNTRGGNVAKEYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPAEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGKKTRFFFLWEDIDDIQVIPPTFSSMGSPIIVITLRKGRGVDARHGAKTQDEQGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVEFVEEQSDSKSLISEESGSFLGLDDVSMSEIYSCSLSIPASYLMEIFSGGELDRRVMEKLGYLNYSYTPWVSENHDISERAVYYKFEKRISSYKGEVTSTQQRSPLPDGKGWLIEELMNLHGVPLGDYFNIHLRYQIEDLPPKAKGCRVQVLFGIEWLKSSKNQKRLTKNILQNVQERLKVTFSLAEKELSPK >Vigun06g148400.3.v1.2 pep primary_assembly:ASM411807v1:6:27328831:27333283:-1 gene:Vigun06g148400.v1.2 transcript:Vigun06g148400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVRVIEAKNLPPTDPNGLSDPYVRLQLGKHRFRTKVIKKSLNPKWNEEFSFRVDDLNEELVISVMDEDKFFNDDFVGQLKVPISIVFEEEIKSLGTAWYSLQPKSKKSKNKESGEIRLSIYFSQKNASMESHGSSDDLLSHPRTKESPSRSSPAHSNSSSPSSEEITSAKDEKSSTQKTITGRIAQIFNKSSDVCSTHRNIDLDHSEVNKVEVSEVNDEDESSNVTFEEAMRKIQSEDQGNEIPNNLPAGVFIDQQYVIAPEDLNELLFSSDSNFLKSLAEVQGNTELEIGPWKFENDGEIFKRLVSYVKPPSKLIRAVKAYEEQTYLKADGKNFAVLASVSTPEVMYGSTFRVEILYVITPGPELPTGEQCSCLIVSWRMNFLQSTMMKGMIENGARQGMKESFDQYATLLSQTVKPADPKDLSSNKEQALASLHAEPESDWRLAVQYFANFTVFTTVFMGLYVLVHIWLAAPSTIQGLEFGGLDLPDSIGEFVFCAVFVLQGERMLGIISRFIKARAQKGSDHGIKAQGDGWLLTVALCEGSNLASVDSSGLSDPYVVFTCNGKTRTSSIKFQKSNPVWNEIFEFDAMDDPPSVLDVVVYDFDGPFDDAESLGHAEINFLKTNITDLADVWVPLEGKLSLACQSKLHLRIFLDNTRGGNVAKEYLSKMEKEVGKKHFRNSLGFQLRNFSSMISPVI >Vigun06g148400.2.v1.2 pep primary_assembly:ASM411807v1:6:27328831:27333283:-1 gene:Vigun06g148400.v1.2 transcript:Vigun06g148400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVRVIEAKNLPPTDPNGLSDPYVRLQLGKHRFRTKVIKKSLNPKWNEEFSFRVDDLNEELVISVMDEDKFFNDDFVGQLKVPISIVFEEEIKSLGTAWYSLQPKSKKSKNKESGEIRLSIYFSQKNASMESHGSSDDLLSHPRTKESPSRSSPAHSNSSSPSSEEITSAKDEKSSTQKTITGRIAQIFNKSSDVCSTHRNIDLDHSEVNKVEVSEVNDEDESSNVTFEEAMRKIQSEDQGNEIPNNLPAGVFIDQQYVIAPEDLNELLFSSDSNFLKSLAEVQGNTELEIGPWKFENDGEIFKRLVSYVKPPSKLIRAVKAYEEQTYLKADGKNFAVLASVSTPEVMYGSTFRVEILYVITPGPELPTGEQCSCLIVSWRMNFLQSTMMKGMIENGARQGMKESFDQYATLLSQTVKPADPKDLSSNKEQALASLHAEPESDWRLAVQYFANFTVFTTVFMGLYVLVHIWLAAPSTIQGLEFGGLDLPDSIGEFVFCAVFVLQGERMLGIISRFIKARAQKGSDHGIKAQGDGWLLTVALCEGSNLASVDSSGLSDPYVVFTCNGKTRTSSIKFQKSNPVWNEIFEFDAMDDPPSVLDVVVYDFDGPFDDAESLGHAEINFLKTNITDLADVWVPLEGKLSLACQSKLHLRIFLDNTRGGNVAKEYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPAEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGKKTRFFFLWEDIDDIQVIPPTFSSMGSPIIVITLRKGRGVDARHGAKTQDEQGRLKFHFQSFVSFNVAHSDE >Vigun07g229400.1.v1.2 pep primary_assembly:ASM411807v1:7:35113030:35116241:1 gene:Vigun07g229400.v1.2 transcript:Vigun07g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSSPNRAEDEEGCGSQSSRPSLGDIPESCISSLLMNLDPPDICKLAMVNRAFHRASSADFVWESKLPTSYNFLANKVLGEQNIAAITKKEIYAKLTRPNRFDGGAKEVWLEKCSGLVCLFMSSKSLKITGIDDRRYWNYIPTEESRFKSVAYLQQMWWVEVVGELEFEFPVGSYSLVFRLQLGKASKRLGRRVCNVDQVHGWDIKPVRFQLSTSDGQHSLSECYLHGPGEWVHYHVGDFVVEKPNEPTIMKFSLAQIDCTHTKGGLCVDAAIICPTRLRERLEKS >Vigun02g183400.1.v1.2 pep primary_assembly:ASM411807v1:2:32352131:32356412:1 gene:Vigun02g183400.v1.2 transcript:Vigun02g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVGVAVTVAVATCAVAAVVVGRRVKSRRKWKKVANVVRELEEGCDTRVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPNGTERGTYYALHLGGTNFRVLRVQLSGQPTSDLEHEVERQPIPQNVMTSTSEDLFDFIASSLKDFIVKEGDGSNISQGRRELGFTFSFPVKQMSVSSGILIKWTKGFSIVDMVGRDVAACLQEALIRNGLDVRVAALVNDTVGTLAVGHYHDPDTVAAIIIGTGTNACYLERVDAIIKCQGLLMSSGRMVVNMEWGNFWSSHLPRTSYDIDLDAASPNPNDQGFEKMISGMYLGDLVRRVILRMTLESDMFGPISPKLSMPFILRTPLMAAMHEDNSPDLREVARILNDIFEIPDVPLKARKIVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDMKMKRTVVAIEGGLYSKYALFREYLREALNEILGEDIAKHVILKVTEDGSGIGSALLAASYSS >Vigun09g141900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30427796:30428254:1 gene:Vigun09g141900.v1.2 transcript:Vigun09g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVVLYKPSSGFCIMPKKVKIVRVAKPDGKILEFGPPIHVKDILTNYPAYGVGVSKKITEPLSPDHELKSGRLYYLLPSLRSPSNLASLRNSETDGGIKRIKVIITKQQLERLVNKQISVEDILSEVQTVGVKFLNNRKSKLDSIPEENE >Vigun06g217000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32788848:32791168:-1 gene:Vigun06g217000.v1.2 transcript:Vigun06g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQHVRTKNEVVKSKREVENDVKNNGTLKVRKRKKDFEDEQVKEMKKLESFLFGSLYSPVEFGKEDEEVEPGKAAEKVSDLFFTDRSADNVLSVYQKDADLSEGNRGHDDERALQRKPVWVDDEEEKATVNIAKVSRLRKLRKGEDEDLISGSEYVSRLRAQHVKLNPGTEWARVDSKTDRGSDDESTDDENEAVVSRGFEDLDGVLKTNEDLVVKSGAKLLPGHLEYSRLVDANIQDPSNGPINSVQFHQNGQLFLAAGLDRKLRFFQIDGKRNTKIQSIFLEDCPIRKASFLPDGSQVIVSGRRKFFYSFDLVKARVDKIGPLVGREEKSIEDFEVSPDSQLIAFIGNEGYILLVSTKTKQLVGTLKMNGTIRSLAFAENGQQLLSSGGDGHVYHWDLRTRTCLHKGVDEGCINGTALCTSPSGTHFATGSDSGIVNVYNREEFLGGKKKPIKAIENLTTRVDFMRFNHDSQILAICSGMKRSSLKLIHIPSYTVFSNWPPLNKSLYYPRCIDFSPGGGFMAVGNASGKVLLYKLHHYQHA >Vigun07g062200.1.v1.2 pep primary_assembly:ASM411807v1:7:7099110:7108441:1 gene:Vigun07g062200.v1.2 transcript:Vigun07g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALSLSQFPVSGYICFPKRRAPSRSFCIRVMSETSSSTSSSVTIAPPPNFKPPEPKRFAIRPDKIGEVLGAALPLLFRFATGIFVSGYSFSVVSKDEIPPDQYALDLNGITIKETSKLGPRPEKYIEIYEFESCPFCRKVREIVGILDLDVVFYPCPRNGPNFRPKVLQMGGKQQFPYMVDPNTGVSMYESDAIIRYLVDKYGDGNVPLSLSLGFLTTLTAGLGMLSRIGKGTTYTPAKSPPKPLKLWGYEVSPFCKIVREVLVELELPHLLVSCARGSPKRHILYQKTGHFQVPFLEDPNTGIEMFESAEIIEYLRATYALQ >Vigun04g129700.1.v1.2 pep primary_assembly:ASM411807v1:4:32536865:32538808:-1 gene:Vigun04g129700.v1.2 transcript:Vigun04g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIFFFTLLPLLFHSSHCTTITVDGSSEWKNPTVHVGDSIIFNHKQHYNIYIFKNQNAFDLCNFTQATLLSNPNTASYTWHPSRPGFFYFSFNDGSLMACQASEKLAIEVTSAVLAATPEGSAMSPSPLFSWHFNTLHEGSPAPSPSSSVATAPLVPYKSGSVPFITSNPAVPLPTDEVDSATLHPLPTSCSHQQQVMIGSFGLVVAVHTITSLLL >Vigun06g130100.1.v1.2 pep primary_assembly:ASM411807v1:6:25647265:25650865:-1 gene:Vigun06g130100.v1.2 transcript:Vigun06g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEGVEMVVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQEAMASIAASAEADRNAMRIREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >Vigun11g122900.8.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028458:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRISSDGIGGSIGLCHEFVKEPCLSVCDNKEDCVLCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.9.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028458:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRISDGIGGSIGLCHEFVKEPCLSVCDNKEDCVLCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.2.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028531:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRIRCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.11.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028459:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRIRCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.6.v1.2 pep primary_assembly:ASM411807v1:11:33022464:33028456:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRISDGIGGSIGLCHEFVKEPCLSVCDNKEDCVLCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.7.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028456:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRISSDGIGGSIGLCHEFVKEPCLSVCDNKEDCVLCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >Vigun11g122900.10.v1.2 pep primary_assembly:ASM411807v1:11:33019108:33028456:1 gene:Vigun11g122900.v1.2 transcript:Vigun11g122900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLTEKYPRVVQDVDHAMQDPNGVKYDNLYLDMNAIIHPCFHPDTDHDNIPFPTTFEDVFRNVFEYIDRLVDTVRPRKLVYMAIDGVAPRAKMNQQRSRRFRASKDKEIHEAEEERLREQFEMEGKQVLPKEESEVADSNIITPGTEFMHELSKALKNYISSRILCNSSWKDVMVILSDANVPGEGEHKIMSYIRKQRTLEEYDPNTCHCLYGLDADLIMLAMATHEPHFSILREDVSVQQQPKVAAKPFKLLHIWLLREYLEFDLKIENPPKTCSIELERIIDDFIFMCFFAGNDFLPHMPSLDIHEGAIDLLMTVYKEEFSNLGGYLVDMSRVGEKNAAFMKLSRVEKFILKVGTYEEKIFKKRSEIRERKLKRLIRDKEDAWREEKNEKCFFNVDDEHTSDCTLLIKKAPDARLSVLVNNVSATDAEIEQNTKDLKDELKRSIRKNSDLLLSEDFQIDKIKLGSPGYKERYYKAKFSVETAADIEGKMKEIVQKYTEGLVWILQYYFSAVASWTWFYPFHYGPFASDLKGMGQVRVKFEKGVPFLPLDQLLSVLPPGSANALPKAYAQLMLDEKSRILDFYPQDFEVDTVGKRFLWQGICKLPWIDDTRLVAETKELKNELSDKDAIRNSPNADNLFVRSSSKLAEKFGSLSLDPNVPCKLDTRISDGIGGSIGLCHEFVKEPCLSVCDNKEDCVLCVYYEVPAGTNHIPRLLSGVSLPEKTISEGDIFETELWHERQYSRFLSLQNQDKRRSENTSNTPPRFFSDPSRQHERGFSSNGSSQHARSFSSNAPSFIHKGAGVGWGGRGRKVNTVENERIPSHEQHNDAGSYHVTTATTTERHFGRGQGRGASSSSSLRPQWGGAKSQHVKDHNRW >VigunL059541.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:35031:36121:1 gene:VigunL059541.v1.2 transcript:VigunL059541.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun04g071300.1.v1.2 pep primary_assembly:ASM411807v1:4:8285058:8285939:1 gene:Vigun04g071300.v1.2 transcript:Vigun04g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNVGQAKGQAQEKASNMMDKASDAAHSAQDSMQQAGQQMQEKAQGATDSIKSALNSKN >Vigun01g181800.2.v1.2 pep primary_assembly:ASM411807v1:1:36264205:36267820:1 gene:Vigun01g181800.v1.2 transcript:Vigun01g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDALTWGDKLVLRGLSFYGFHGAKPEERKLGQKFVVDIDAWMDLAAAGKTDHLSDTVSYTTIYDIAKEVLEGSPHNLLESVAQKIAIATMTNHKEILAVRVKVGKPHVAVRGPVEYLGVEIYRRRSDLSA >Vigun01g181800.1.v1.2 pep primary_assembly:ASM411807v1:1:36264205:36267820:1 gene:Vigun01g181800.v1.2 transcript:Vigun01g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDALTWGDKLVLRGLSFYGFHGAKPEERKLGQKFVVDIDAWMDLAAAGKTDHLSDTVSYTTIYDIAKEVLEGSPHNLLESVAQKIAIATMTNHKEILAVRVKVGKPHVAVRGPVEYLGVEIYRRRSDLSA >VigunL084901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:77069:77395:-1 gene:VigunL084901.v1.2 transcript:VigunL084901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFLNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun01g058000.1.v1.2 pep primary_assembly:ASM411807v1:1:11711683:11742279:1 gene:Vigun01g058000.v1.2 transcript:Vigun01g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSDEEYSRCSGDGAAVAAKADAFIRGLLHELDTVRAKADAADINAEQNCSLIEQKYLSLTAEFSKLEAQVADLQSSLDQRLRELAEAQLQNHQIQLQSVEKDREIERLRMEVGELHKSKRQLIELNEQKDLELSEKNATMKSYLDKIVHLGEKAAHKEARLSEVEAELARCRAACSRLEQEKEIIEKQNSWLNEELNGKVNSVFELRRKNADLEADTSSKLTDMERQLNECSQSLQWNKDRVRELEIKLKSVQEELISAKDAAAANEEQLSAELSTVNKLNELYKESSKELSKKATDLEGVIKALESDLKQVEDHYKGELEKELSARKQVEKEVTDLKEKLEKFEAESEARKKTNGLSNLPLSSFTTESWMESVEADGMVEENSLLVPKLPVGVSGTALAASLLRDGWSLAKMYSKYQEAIDALRHEQLGRKESEAVLQRVLYELEEKAEAIIDEREEHEKMADAYSLMSQKLQNSLNENSNYEKTIQELKADLKRRERDYNLVLKETDDLRKQVTVLLKECRDIQLRCGSMGYDNVDDSSNIASRTITETEAEHVISEQLLTFKDINGLVEQNVQLRSLVRSLSGQIENQEVEFKEKLEMELKKHTEVAASKVAAVLQRAEEQGKMIEALHASVAMYKRLYEEEHNLHLSHNHSSEARAAFVEVGRNNLKTSIESAQEASKKSLEKAAERMRCLEDDLAKSRSEIILLRSERDKMALEADFSRERLDSFMKEFEHQKAEAKAILERNVEFSQLVVDYQRKLRESSESLIAAEELSRKLTMEISVLKQEKEIISNAEKRASDEVRSLSERVQRLQASLGTIQSTEEVREEARAAERVKQEEYIRKLEKEWAEAKQELNEERENVRRFTLDRDQTIKSSLRQVEDMNKELANALRAAASAESRAAVAEAKLSSLQRKLGSTNDKLLELGGESGPSTLSSDEVVTELQKAKEEIEKFKEEAHANKVHMLQYKSIAEVNEDALKQIEMAHEKFKTEAEDAKKVLESELNSLREKMLEIENESSLKYEEVASETVGKEEALTSAVAEITNLKEEILTKSSQISALEIELSGLKENLDREHQKWRAAQTNYERQVVLQSETIQELTKTSEALSLLQEEASELRKLNNTQKVENNELKARWEEEKAQLEKSRNDAEKKYNEINEQNKILHSQLEAFHIRWAEKERNAAGISSGSSSAEAFGDAGLQNVINYLRRSKEIAETEVSLLKQEKLRLQSQLESALKAAESAHASLESERAKSKSFLFTEEEFKSLQLQVREMNLLRESNMQLREENKHNFEECQKLRELAQKARAGTEKLENALREREIELEGCKKEIETLKLEKDNLNNKVLELLEKTKTVDVEDYDRVKKLAREIQDKLRDRDAQIEEMSRSLSEKQDSVSRLEKDLANCRLQLVEREKRINDVLHNEANLKLDLEKHRKLLAQYKKRIDVLLREKEDISKENQQLSRQLDEIKQVKRSTSDTTGEQAMKEEKDTRIQILEKHLERLRDELKKEKEESRLERGRRLKSEKAIKDSYNNVEQEKTKFVNEIEKHKESLKKLSDEVEKIKIVIGNLPEGSNVVQLLSGSNVDDLAASYISAVESFEKEAHSIFRELGGRGNLGEAATVTDGSTAATGSLVQVQSQSTTSLAAPGASSLPPKATGESEKRLALPLPKASVETRRTGRKLVRPRLVRPDEPQGDTEMSDAEGPLGKTGPSSDTETSNFAQSSQPLARKRLAPTSTSELREESVASGEKGSDAVVAPVLKKSKGSESPEESTEEQPAAALEATEELFDSNELPQGQNEEGEVQNEDGEIAVGNDEESKDPQHLDGTSQEELPGDRTGILDESPDQPDEMQRDHTDPDNQQSTLAPSGEREEGELLPDAGDLEGGSDLSNIVENQESREGQSESAATPERSPARGDDDALEAGEINSPELSSDDKNDEVDLVEEAADASDKLIDVNEPVSVESDQVAEPTPVVSDGSTLTSSVTESSSSKVNIPVPRQGTPTTPSETEETTKQASPIGSTSTTINLSERARERAQMRQAGLVSTPNVTRGRGRGAPRGRGRGRTVVRRPPPPSGGES >Vigun06g238000.7.v1.2 pep primary_assembly:ASM411807v1:6:34260664:34269270:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLTATTGSLSVLAKSGSQFKVIVEYAPSQRVPRQWSKKDGRDGTIYKDSEYMEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.5.v1.2 pep primary_assembly:ASM411807v1:6:34261670:34269270:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.6.v1.2 pep primary_assembly:ASM411807v1:6:34261670:34269270:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.3.v1.2 pep primary_assembly:ASM411807v1:6:34260664:34269270:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALDRTKVVLRHLPPSLSEAALLAQIDAAFADRYNWLSFRPGKISQKHISYSRAYIDFKRPDDVILFAEFFNGHVFVNEKGSQFKVIVEYAPSQRVPRQWSKKDGRDGTIYKDSEYMEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.4.v1.2 pep primary_assembly:ASM411807v1:6:34260664:34269296:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALDRTKVVLRHLPPSLSEAALLAQIDAAFADRYNWLSFRPGKISSQLTVPRLGQARLGQKHISYSRAYIDFKRPDDVILFAEFFNGHVFVNEKGSQFKVIVEYAPSQRVPRQWSKKDGRDGTIYKDSEYMEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.1.v1.2 pep primary_assembly:ASM411807v1:6:34260664:34269296:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALDRTKVVLRHLPPSLSEAALLAQIDAAFADRYNWLSFRPGKISSQLTVPRLGQARLGQKHISYSRAYIDFKRPDDVILFAEFFNGHVFVNEKGSQFKVIVEYAPSQRVPRQWSKKDGRDGTIYKDSEYMEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun06g238000.2.v1.2 pep primary_assembly:ASM411807v1:6:34260648:34269296:1 gene:Vigun06g238000.v1.2 transcript:Vigun06g238000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALDRTKVVLRHLPPSLSEAALLAQIDAAFADRYNWLSFRPGKISQKHISYSRAYIDFKRPDDVILFAEFFNGHVFVNEKGSQFKVIVEYAPSQRVPRQWSKKDGRDGTIYKDSEYMEFLELLSKPVENLPSAEIQLEKREAERSGAAKDIPISTPLMDFVRQKRAAKGPRRSLSNGKVSRRGTSSNGSPSSGTSRRGSGKKRVSATMYVARHPGKNATMKDRSIYTLVPSQGDQHISSKASNMASSDGKQTLDENGVSGNNDAGKKRVLLRKGKEREIIAVSDLDSMSQHHNITSSAKEILGATVLKQNQRHEGSGRIIRSILSKKELRQSQSSRALSEQQIQKSNLGKEKQSPRPIHVQLILKGMNGTSENKIGVHDSHVSSERQDRHTRHKDRPDRGVWTSSNGADESFSSAASSQVDPFEGRSADLKHDMPNARSGEVKSLGGVRTSHSSENGFKHFGRRGPTHGVKDVDSYSVSSEGKHPRRSGTTAYGSNEKQVWVQKASSGT >Vigun01g068700.3.v1.2 pep primary_assembly:ASM411807v1:1:18482331:18484818:1 gene:Vigun01g068700.v1.2 transcript:Vigun01g068700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNNYNSIKPLGASSSGYQHPQIAPGQYPLSQAHAIVQAQFQAQLQAHGLSFTPNAKRFPPKPPVHTATPLKQTEPVLGPRRKKPRQNEKQLPEKASAILPQSALYTQLLDVEARVDAALTRKKVDIQEAMRNPPCIQKTLRIFVFNTFANQSGDSSAPTWTLKIVGRILEDGEEAEQPGVLAHRMPPLYPKFSAFFKRVTISLDKRLYPDNNVITWENSRSSATHEGFEVKRKGDKEFSAQIRLEMNYVPEKFMLSPVLREVLGIQVDTRARIVSAIWHYVKARKLQNQNDPSYFHCDQALQKVFGEDKVRFSMVSQKISQHLFPPQVILLEHMIKLSGNSPVGSACYDVMVDVPFPIQRELNALVANVERNKEIDACDESICGIIRKIHEHRRRRAFYIGFSQSPLEFIKALVESQNKDLKVLLGESGHSAEKERKSDFFKQPWVEDAIVRYLNRKPAAGSNAPGST >Vigun01g068700.2.v1.2 pep primary_assembly:ASM411807v1:1:18482310:18484858:1 gene:Vigun01g068700.v1.2 transcript:Vigun01g068700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNNYNSIKPLGASSSGYQHPQIAPGQYPLSQAHAIVQAQFQAQLQAHGLSFTPNAKRFPPKPPVHTATPLKQTEPVLGPRRKKPRQNEKQLPEKASAILPQSALYTQLLDVEARVDAALTRKKVDIQEAMRNPPCIQKTLRIFVFNTFANQSGDSSAPTWTLKIVGRILEDGEEAEQPGVLAHRMPPLYPKFSAFFKRVTISLDKRLYPDNNVITWENSRSSATHEGFEVKRKGDKEFSAQIRLEMNYVPEKFMLSPVLREVLGIQVDTRARIVSAIWHYVKARKLQNQNDPSYFHCDQALQKVFGEDKVRFSMVSQKISQHLFPPQVILLEHMIKLSGNSPVGSACYDVMVDVPFPIQRELNALVANVERNKEIDACDESICGIIRKIHEHRRRRAFYIGFSQSPLEFIKALVESQNKDLKVLLGESGHSAEKERKSDFFKQPWVEDAIVRYLNRKPAAGSNAPGST >Vigun01g068700.1.v1.2 pep primary_assembly:ASM411807v1:1:18482277:18484850:1 gene:Vigun01g068700.v1.2 transcript:Vigun01g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNNYNSIKPLGASSSGYQHPQIAPGQYPLSQAHAIVQAQFQAQLQAHGLSFTPNAKRFPPKPPVHTATPLKQTEPVLGPRRKKPRQNEKQLPEKASAILPQSALYTQLLDVEARVDAALTRKKVDIQEAMRNPPCIQKTLRIFVFNTFANQSGDSSAPTWTLKIVGRILEDGEEAEQPGVLAHRMPPLYPKFSAFFKRVTISLDKRLYPDNNVITWENSRSSATHEGFEVKRKGDKEFSAQIRLEMNYVPEKFMLSPVLREVLGIQVDTRARIVSAIWHYVKARKLQNQNDPSYFHCDQALQKVFGEDKVRFSMVSQKISQHLFPPQVILLEHMIKLSGNSPVGSACYDVMVDVPFPIQRELNALVANVERNKEIDACDESICGIIRKIHEHRRRRAFYIGFSQSPLEFIKALVESQNKDLKVLLGESGHSAEKERKSDFFKQPWVEDAIVRYLNRKPAAGSNAPGST >Vigun04g190716.1.v1.2 pep primary_assembly:ASM411807v1:4:41457160:41461955:-1 gene:Vigun04g190716.v1.2 transcript:Vigun04g190716.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKHSDLNLEGAEDTLPHRLALDSKLHTEQSK >Vigun06g140700.1.v1.2 pep primary_assembly:ASM411807v1:6:26618376:26625220:1 gene:Vigun06g140700.v1.2 transcript:Vigun06g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHLLGVLWVCWWLASTGEAQTEYLRYKDPKQPVATRIKDLMSRMTLEEKIGQMVQIDRSVANDKVMKTSFIGSVLSGGGSEPLPKATAEDWVNMINEFQKGALESRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGCTRDPDLAERIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDAKIVQEMTAIIPGLQGSIPANSRKGFPYVGGKTKVAACAKHFVGDGGTAKGINENNTLIDWHGLLSIHMPAYSDSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNTLKFKGFVISDWQGIDRITSPMDSNYTYSVQASIEAGVDMVMVPYKYDEFIQDLTLLVKSNIIPMERIDDAVERILLVKFTMGLFENPLADTSLVNELGSQEHRDLAREAVRKTLVLLKNGKNESTPLLPLPKKVPKILVAGSHADNLGYQCGGWTIKWQGFSGNSDTRGTTILGAIKSAVDPSTEVVFRDNPDNEFVKSNNFEYAVVVVGEPPYAETAGDSTTLTMTESGPNVINNVCGTVRCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGFGLTTESVKDLVARSTSAATGVRASIFTIMLTLFIALYLTGMQN >Vigun06g140700.2.v1.2 pep primary_assembly:ASM411807v1:6:26618351:26625204:1 gene:Vigun06g140700.v1.2 transcript:Vigun06g140700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHLLGVLWVCWWLASTGEAQTEYLRYKDPKQPVATRIKDLMSRMTLEEKIGQMVQIDRSVANDKVMKTSFIGSVLSGGGSEPLPKATAEDWVNMINEFQKGALESRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGCTRDPDLAERIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDAKIVQEMTAIIPGLQGSIPANSRKGFPYVGGKTKVAACAKHFVGDGGTAKGINENNTLIDWHGLLSIHMPAYSDSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNTLKFKGFVISDWQGIDRITSPMDSNYTYSVQASIEAGVDMVMVPYKYDEFIQDLTLLVKSNIIPMERIDDAVERILLVKFTMGLFENPLADTSLVNELGSQEHRDLAREAVRKTLVLLKNGKNESTPLLPLPKKVPKILVAGSHADNLGYQCGGWTIKWQGFSGNSDTRGTTILGAIKSAVDPSTEVVFRDNPDNEFVKSNNFEYAVVVVGEPPYAETAGDSTTLTMTESGPNVINNVCGTVRCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGFGLTTESVKDLVARSTSAATGVRASIFTIMLTLFIALYLTGMQN >Vigun06g140700.3.v1.2 pep primary_assembly:ASM411807v1:6:26618364:26625204:1 gene:Vigun06g140700.v1.2 transcript:Vigun06g140700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHLLGVLWVCWWLASTGEAQTEYLRYKDPKQPVATRIKDLMSRMTLEEKIGQMVQIDRSVANDKVMKTSFIGSVLSGGGSEPLPKATAEDWVNMINEFQKGALESRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGCTRDPDLAERIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDAKIVQEMTAIIPGLQGSIPANSRKGFPYVGGKTKVAACAKHFVGDGGTAKGINENNTLIDWHGLLSIHMPAYSDSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNTLKFKGFVISDWQGIDRITSPMDSNYTYSVQASIEAGVDMVMVPYKYDEFIQDLTLLVKSNIIPMERIDDAVERILLVKFTMGLFENPLADTSLVNELGSQEHRDLAREAVRKTLVLLKNGKNESTPLLPLPKKVPKILVAGSHADNLGYQCGGWTIKWQGFSGNSDTRGTTILGAIKSAVDPSTEVVFRDNPDNEFVKSNNFEYAVVVVGEPPYAETAGDSTTLTMTESGPNVINNVCGTVRCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGFGLTTESVKDLVARSTSAATGVRASIFTIMLTLFIALYLTGMQN >Vigun06g140700.4.v1.2 pep primary_assembly:ASM411807v1:6:26618237:26625204:1 gene:Vigun06g140700.v1.2 transcript:Vigun06g140700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHLLGVLWVCWWLASTGEAQTEYLRYKDPKQPVATRIKDLMSRMTLEEKIGQMVQIDRSVANDKVMKTSFIGSVLSGGGSEPLPKATAEDWVNMINEFQKGALESRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGCTRDPDLAERIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDAKIVQEMTAIIPGLQGSIPANSRKGFPYVGGKTKVAACAKHFVGDGGTAKGINENNTLIDWHGLLSIHMPAYSDSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNTLKFKGFVISDWQGIDRITSPMDSNYTYSVQASIEAGVDMVMVPYKYDEFIQDLTLLVKSNIIPMERIDDAVERILLVKFTMGLFENPLADTSLVNELGSQEHRDLAREAVRKTLVLLKNGKNESTPLLPLPKKVPKILVAGSHADNLGYQCGGWTIKWQGFSGNSDTRGTTILGAIKSAVDPSTEVVFRDNPDNEFVKSNNFEYAVVVVGEPPYAETAGDSTTLTMTESGPNVINNVCGTVRCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLYPFGFGLTTESVKDLVARSTSAATGVRASIFTIMLTLFIALYLTGMQN >Vigun10g141400.2.v1.2 pep primary_assembly:ASM411807v1:10:35857320:35861301:1 gene:Vigun10g141400.v1.2 transcript:Vigun10g141400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKKKNMRGRSTVAASKKLQPFVEMEDIEDAISFSKDETHKLRVSLLNWYDLNRRDLPWRSHQREDEEKQEEEEEVERRAYGVWVSEVMLQQTRVQTVIAYYNRWMQKWPTIYHLAQASLEEVNEMWAGLGYYRRARFLLEGAKKVVAEGGKIPKVASMLRKIPGIGDYTSGAIASIAFKEVVPVVDGNVVRVIARLRAISANPKDSATIKRFWKLAAQLVDPVRPGDFNQALMELGATVCTPLNPSCSSCPASEFCQALSNTKHDSAVAVTDYPVKGLKIKQRCDFSAVCVVELLGAESLLDKNKSISKFILVKRPEEGLLAGLWEFPSVLLDGETVPSTRREAMNRFLKANFKIDVRNTCNIVLREDIGEFVHIFSHIRLKLYVELLVLQSKGEDDLFKSSDNKPTWKCVCSNELSGMGLTTSVRKVYNMVQNFKQKALPSKYVPTRKRTRTAQRS >Vigun10g141400.1.v1.2 pep primary_assembly:ASM411807v1:10:35857320:35861309:1 gene:Vigun10g141400.v1.2 transcript:Vigun10g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFSLSPSSPLSSNMSEKKKKNMRGRSTVAASKKLQPFVEMEDIEDAISFSKDETHKLRVSLLNWYDLNRRDLPWRSHQREDEEKQEEEEEVERRAYGVWVSEVMLQQTRVQTVIAYYNRWMQKWPTIYHLAQASLEEVNEMWAGLGYYRRARFLLEGAKKVVAEGGKIPKVASMLRKIPGIGDYTSGAIASIAFKEVVPVVDGNVVRVIARLRAISANPKDSATIKRFWKLAAQLVDPVRPGDFNQALMELGATVCTPLNPSCSSCPASEFCQALSNTKHDSAVAVTDYPVKGLKIKQRCDFSAVCVVELLGAESLLDKNKSISKFILVKRPEEGLLAGLWEFPSVLLDGETVPSTRREAMNRFLKANFKIDVRNTCNIVLREDIGEFVHIFSHIRLKLYVELLVLQSKGEDDLFKSSDNKPTWKCVCSNELSGMGLTTSVRKVYNMVQNFKQKALPSKYVPTRKRTRTAQRS >Vigun01g094700.1.v1.2 pep primary_assembly:ASM411807v1:1:25857943:25861899:1 gene:Vigun01g094700.v1.2 transcript:Vigun01g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLAPRFLFLLSSPLPLLRRHHHQYHRNLFLLSSRSLRLSASPSSFSFSSSPLPLKLRASHFSSASSSPWPEYSSFLSHISSAGYLSSLPDEAFTAAAQHLSYSFLRDATACLAFARDRPNLLRLLSTRDIAAVVEHGSPFLFRDADDSVRKMKSFLSNGDANVLDTDRANTVDLMKFLLSYASDPYVPSEGNSLNERNLLESSVRNLFGELFKLSYSAPGSNSFDSVQSQMSGRFGDAKPPAQKIEMKRGDWICPRCNFMNFARNIKCLECDEARPKRQLAGGEWECPQCDFYNYGRNMSCLRCDCKRPGQISLGAINTMSNMEYENGNNPNTSDIDARLAANEEKAQRWFSKVSQLDSSADINSVIADEDFPEIMPLRKGVNRFVVSTRKTPLERRLANAQYKRNLSNYDISRTEDFSAGEPIKSDDNLDDIVGHSAGLPQYGNNNSEQNAGGDRQPPFASNSNTSHFKNVQGSYTSTVSPSPLSVDALGPKSENLLSDESKNVVLDTDIGYASLGDSSQLSKNSTNITEDKEKEQAEKTEKWFRKIAELNDFPDMTSAMSDEDFPEIMPMRKGENRFVVSKKKDRSLTTPAYKRQVAMEQASKTNFVPFVPFPPDYFAKKDKPQADGTDSMDRSNDGTSSISEVAEKASEISDDARAQPGQSPKSSEQSSNNNDIGSMPWSGTSGNSRRSFNQDLVPNLTGSSSPGTASDNQSGKAEWTGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQQYRRNLPTVSSDPSKNENEGS >Vigun03g383800.3.v1.2 pep primary_assembly:ASM411807v1:3:58853019:58856766:1 gene:Vigun03g383800.v1.2 transcript:Vigun03g383800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSPPNNNNSLAFSLSNHFPNPSSSHSTSPLSLFHSFTSYPSLTLTGNSTVDAPPEAAGRGGATNLSIFTAAPKFEDFLGGSATADTTATAAPPQLPQFSTDNNLYESELKTTIAACFPRGFAAETSTEPQKPSPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYEKELGEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSTLPIGGLSSKNKTSGDSASESKSHEASRSDERDPSSASSVTFGSQQQQQQPSSSTLSFAIPIKQDPSDYWSILGYHNPTLDNRGVRNTTSVTTTSPFQMDLSSAPSSTGSENNAAFFSGGGVFVQQQSAHGHANANGHGHGNGSSGSLSCSIPFATPIFSLNSNTSYESSAGYGNWIGPTLHTFQSHAKPSLFQTPIFGME >Vigun03g383800.2.v1.2 pep primary_assembly:ASM411807v1:3:58853019:58856963:1 gene:Vigun03g383800.v1.2 transcript:Vigun03g383800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSPPNNNNSLAFSLSNHFPNPSSSHSTSPLSLFHSFTSYPSLTLTGNSTVDAPPEAAGRGGATNLSIFTAAPKFEDFLGGSATADTTATAAPPQLPQFSTDNNLYESELKTTIAACFPRGFAAETSTEPQKPSPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYEKELGEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSTLPIGGLSSKNKTSGDSASESKSHEASRSDERDPSSASSVTFGSQQQQQQPSSSTLSFAIPIKQDPSDYWSILGYHNPTLDNRGVRNTTSVTTTSPFQMDLSSAPSSTGSENNAAFFSGGGVFVQQQSAHGHANANGHGHGNGSSGSLSCSIPFATPIFSLNSNTSYESSAGYGNWIGPTLHTFQSHAKPSLFQTPIFGME >Vigun03g383800.1.v1.2 pep primary_assembly:ASM411807v1:3:58852924:58856963:1 gene:Vigun03g383800.v1.2 transcript:Vigun03g383800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSPPNNNNSLAFSLSNHFPNPSSSHSTSPLSLFHSFTSYPSLTLTGNSTVDAPPEAAGRGGATNLSIFTAAPKFEDFLGGSATADTTATAAPPQLPQFSTDNNLYESELKTTIAACFPRGFAAETSTEPQKPSPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYEKELGEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSTLPIGGLSSKNKTSGDSASESKSHEASRSDERDPSSASSVTFGSQQQQQQPSSSTLSFAIPIKQDPSDYWSILGYHNPTLDNRGVRNTTSVTTTSPFQMDLSSAPSSTGSENNAAFFSGGGVFVQQQSAHGHANANGHGHGNGSSGSLSCSIPFATPIFSLNSNTSYESSAGYGNWIGPTLHTFQSHAKPSLFQTPIFGME >Vigun05g274900.2.v1.2 pep primary_assembly:ASM411807v1:5:46499477:46500931:-1 gene:Vigun05g274900.v1.2 transcript:Vigun05g274900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEPPKRLQYPLDSSSYKLLNEIGAGVSAVVYKAICIPMNSAAVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAAGSLQSIISHSHPNGLTEPCIAVVLRDTLNALSYLHGQGHLHRDIKAGNILVDTNGQVKLADFGVSASIYESSTCSSSLKFTDVAGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLKITKRFRFSDLDKYRKGNGNKFSKAFKDMVASCLDQDPSKRPTADKLLKHPFFKNCKGTDFLVKNVLLGLPSVEKRYKESKVNEHDDDGGDDEDEDPSMQVKERRISGWNFNQDGLELDPVFPNDDAGAKEGEVGSVMRKMEEHVNVVGSEGGVKKKEKMLATLNVLKGSLEQELLEVKFLVNMIRGEEDQDHGEEKEISRLRVALENERKKNLQLELQLHTCKLQLSSPLNI >Vigun05g274900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46499468:46500931:-1 gene:Vigun05g274900.v1.2 transcript:Vigun05g274900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEPPKRLQYPLDSSSYKLLNEIGAGVSAVVYKAICIPMNSAAVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDRRLWVVMPFMAAGSLQSIISHSHPNGLTEPCIAVVLRDTLNALSYLHGQGHLHRDIKAGNILVDTNGQVKLADFGVSASIYESSTCSSSLKFTDVAGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPPSKSMMLKITKRFRFSDLDKYRKGNGNKFSKAFKDMVASCLDQDPSKRPTADKLLKHPFFKNCKGTDFLVKNVLLGLPSVEKRYKESKVNEHDDDGGDDEDEDPSMQVKERRISGWNFNQDGLELDPVFPNDDAGAKEGEVGSVMRKMEEHVNVVGSEGGVKKKEKMLATLNVLKGSLEQELLEVKFLVNMIRGEEESDHHHDQTAAAAHHDHDQDHGEEKEISRLRVALENERKKNLQLELQLHTCKLQLSSPLNISN >Vigun07g116900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21637004:21637321:1 gene:Vigun07g116900.v1.2 transcript:Vigun07g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLASFYAEKPVVIFSKSTCSICHSVKALIRSFGANRTDIEVDKMANGEQIERALIQLGCRPTVPAVFIGQRFIGGADELIRLNVQNQLAQLLLSAGAIFIWSP >Vigun09g035000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3077522:3079525:1 gene:Vigun09g035000.v1.2 transcript:Vigun09g035000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSAIVKDKPYYLMHHNTSLTFFLHFKKLNSYLYAINLHLMFLSRIWITCNFSKIMKQYLFTFLFLLLVLHPEKSQAKGGGARSSLSTRRFRSTSGALVGSRWYNTVDDVEQQELSPPPPTKEVKGLSRIKGYFSDFGYLQSSPPFNDLLDHQTRTAIHSYQSFFNLKSTGDLTNETFHQLSLPRCGVPDMNLEYSAAGNVSWPKAGHRWFWARRVTYGFLPESRIPANVTAVFRRAFARWDRALTGLNLTEESYEKADIRVGFYDLDEGVEDVVWGESVVRLLRNGSNGEIRLDGSKEWGLGRENGTVLGKLDLESAVMHQIGHLLGLGHSNVEESVMYPYVLPSKQRKVELSDYDKFNIERVYSDGNSDHGAGHWRVSLTVITLCLGFMLILV >Vigun10g074100.2.v1.2 pep primary_assembly:ASM411807v1:10:19480039:19484520:1 gene:Vigun10g074100.v1.2 transcript:Vigun10g074100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLARIMLLMACILPALVECRVRHYKFHVVAKQTSRLCSSKSIVTINGKFPGPTLYAREDDTVLVKVINQVNHNVTIHWHGVRQLRTGWADGPAYVTQCPIQPGKTYVYNFTLTGQRGTLLYHAHVNWLRSTLHGALVILPKRGVPYPFPKPDDELVVVLGEWWKSDTEAIINEALKSGLAPNVSDAHTINGLPGTVTNCSSQDVYNLPVEGGKTYLLRIVNAALNEELFFKIAGHKLTVVEVDATYVKPFKIETIVIAPGQTTNVLLNADQKSGKYLVAASPFMDAPVAVDNLTATATLHYTGTLATTPTFLTTPPPKNATQVANNFISSLRGLNSKKYPVNVPLTVDHSLFFTVGLGINPCPSCKAANGSRVVASINNVTFLMPTVALLQAHYFNIKGVFTTDFPANPPHVFNYSGPGPTNLNTVTATVQVVLQDTGIIAPENHPVHLHGFNFFVVGKGVGNYNPSTDPNNFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGNGSEQSVIPPPKDLPKC >Vigun10g074100.3.v1.2 pep primary_assembly:ASM411807v1:10:19475929:19484520:1 gene:Vigun10g074100.v1.2 transcript:Vigun10g074100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLARIMLLMACILPALVECRVRHYKFHVVAKQTSRLCSSKSIVTINGKFPGPTLYAREDDTVLVKVINQVNHNVTIHWHGVRQLRTGWADGPAYVTQCPIQPGKTYVYNFTLTGQRGTLLYHAHVNWLRSTLHGALVILPKRGVPYPFPKPDDELVVVLGEWWKSDTEAIINEALKSGLAPNVSDAHTINGLPGTVTNCSSQDVYNLPVEGGKTYLLRIVNAALNEELFFKIAGHKLTVVEVDATYVKPFKIETIVIAPGQTTNVLLNADQKSGKYLVAASPFMDAPVAVDNLTATATLHYTGTLATTPTFLTTPPPKNATQVANNFISSLRGLNSKKYPVNVPLTVDHSLFFTVGLGINPCPSCKAANGSRVVASINNVTFLMPTVALLQAHYFNIKGVFTTDFPANPPHVFNYSGPGPTNLNTVTATVQVVLQDTGIIAPENHPVHLHGFNFFVVGKGVGNYNPSTDPNNFNLVDPVERNTVGVPAGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGNGSEQSVIPPPKDLPKC >Vigun11g095300.2.v1.2 pep primary_assembly:ASM411807v1:11:27789952:27793646:-1 gene:Vigun11g095300.v1.2 transcript:Vigun11g095300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNSSGMHPACAACKHQRKKCSENCILAPYFPSNRSREFHAVHKVFGVSNITKLVKNAREEDRRRVVDSLTWEACCRQRDPIHGPYGEYTKVYNEYKKVLDELKRFRSQHQMLQFPSLGFKSVQDFIACKGEEKAEEDYLHGKKNAIVDSDIYNTYCSNYLQEFQNLRPEVVIPFQHHSQPYYITGDHQFKQ >Vigun11g095300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27791651:27793646:-1 gene:Vigun11g095300.v1.2 transcript:Vigun11g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNSSGMHPACAACKHQRKKCSENCILAPYFPSNRSREFHAVHKVFGVSNITKLVKNAREEDRRRVVDSLTWEACCRQRDPIHGPYGEYTKVYNEYKKVLDELKRFRSQHQMLQFPSLGFKSVQDFIACKGEEKAEEDYLHGKKNAIVDSDIYNTYCSNYLQEFQNLRPEVVIPFQHHSQPYYITGIV >Vigun06g195600.2.v1.2 pep primary_assembly:ASM411807v1:6:31150584:31152028:1 gene:Vigun06g195600.v1.2 transcript:Vigun06g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRADEDYDYLFKVVLIGDSGVGKSNILSRFTKNEFCVLSKSTIGVEFATRSITVDDKVVKAQIWDTAGQERYRSITSAYYRGAVGALLVYDVTRHVTFENVARWFRELRDHTDNKIVVMVVGNKADLHHLRAVSVEDAKTFAEQERTFFMETSALDSTNVENAFTELLTQIYNVVSTKTLEIGDDPAALPKGRTIKVGSRYDVSDEKQNGCCSA >Vigun06g195600.1.v1.2 pep primary_assembly:ASM411807v1:6:31147309:31152028:1 gene:Vigun06g195600.v1.2 transcript:Vigun06g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRADEDYDYLFKVVLIGDSGVGKSNILSRFTKNEFCVLSKSTIGVEFATRSITVDDKVVKAQIWDTAGQERYRSITSAYYRGAVGALLVYDVTRHVTFENVARWFRELRDHTDNKIVVMVVGNKADLHHLRAVSVEDAKTFAEQERTFFMETSALDSTNVENAFTELLTQIYNVVSTKTLEIGDDPAALPKGRTIKVGSRYDVSDEKQNGCCSA >Vigun05g083900.8.v1.2 pep primary_assembly:ASM411807v1:5:7949316:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.14.v1.2 pep primary_assembly:ASM411807v1:5:7950395:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.7.v1.2 pep primary_assembly:ASM411807v1:5:7948745:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.3.v1.2 pep primary_assembly:ASM411807v1:5:7948718:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGRKSRGSEGKTGRSRRHSVS >Vigun05g083900.6.v1.2 pep primary_assembly:ASM411807v1:5:7948718:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.4.v1.2 pep primary_assembly:ASM411807v1:5:7948745:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGRKSRGSEGKTGRSRRHSVS >Vigun05g083900.13.v1.2 pep primary_assembly:ASM411807v1:5:7949655:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.10.v1.2 pep primary_assembly:ASM411807v1:5:7950178:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGRKSRGSEGKTGRSRRHSVS >Vigun05g083900.9.v1.2 pep primary_assembly:ASM411807v1:5:7949656:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun05g083900.12.v1.2 pep primary_assembly:ASM411807v1:5:7949655:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGRKSRGSEGKTGRSRRHSVS >Vigun05g083900.5.v1.2 pep primary_assembly:ASM411807v1:5:7949656:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSLSSSKRSPATPKFCNSFTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGRKSRGSEGKTGRSRRHSVS >Vigun05g083900.11.v1.2 pep primary_assembly:ASM411807v1:5:7950178:7953684:1 gene:Vigun05g083900.v1.2 transcript:Vigun05g083900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEVKGSNVSNLELLNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYREQNLISRAEIYLNEIVFQSLQKAVEVLSTCEMLPTNIVDEIEISSGCVEAIAMNACKEQLVSGLSKLECDGESRELKEDCVAWWVEDLSVLRIDYFQGVICAMGRMGVRSDSIIASLMHYAQSSLKGIGKCQFWNPSRTNSSPTSVEKDQRIIVETLVSLMPTEKSSSIPLTFLFGMLKMAIMLGATIPCRLELERRIALRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLVNFLQRVEEEETEDCGYESDGLCSAGHGSLLKVGQLIDAYLAEISPDPYLSLQKFVALIEILPDYARVIDDGLYRAVDIYLKAHPALTEQECKKLCKLIDCQKLSQEACNHAAQNERLPLQMVVQVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKQGMIEKGGNGRTFLTSLSKGIGRIAIFSGQGGGKRQKSGAQTCK >Vigun10g038266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5255317:5255655:-1 gene:Vigun10g038266.v1.2 transcript:Vigun10g038266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFTIEKGTSFIALFSAARVIRDFICTIFVSIRRIFEQPERMRDFKDFNFLISLGRYLRPVQSFKFSSIRFLRNPIEWCSSCNPGQSLRMRRSRRGSPISQGFVLNSWSD >Vigun07g240800.1.v1.2 pep primary_assembly:ASM411807v1:7:36205917:36211485:-1 gene:Vigun07g240800.v1.2 transcript:Vigun07g240800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVFLFLITLFISCTTSASTNKHPLDPLTPSEFKLVRSTVQKTYKASSPTLTFQYIGLDEPDKALVLSWESSKTNKTKAATLPPRRALVIARFKKQSLEITVDLSKASIVSTKVYTGHGFPMITFDEQDFVAALPFKYKPFMESVQKRGLNISDVVCSTASVGWYGEIKSKRRLKLQCFYMKGSSNLFARPLEGITVVADLDERKIVQYFDNFNIPVPKAEDTEYVATKQKPPFGPTLMGAAFVQPNGPGFKIDGHSISWTNWKFHVGYDIRAGPMISLASIYDLQQKRYRRVLYRGYISEFFVPYMDPTANWYFKTFLDSGEFGFGQSMVSLEPFADCPPNAAFLDAYFANEDGVPKYAGDIMWRHTETELHDEEIREVRLDVSLMVRTVSTVGNYDYIIDWEFKPSGSIKMGVGLTGILGIKGTSYTHVDQIKDDVFGTLLSDNTIGVHHDHYLTYHLDLDIDGQANSFMKTNLETVTVRNHSSPRKSYWSVVREIVKTEADARIKLGLKPSELVIINPNKQTKPGNKMGYRLFPSTVAHPLLLTDDYPQIRGAFTNYNVFVTPYNKSEKWAGGLYADESRGEDTLAVWSLRNRSIENKDIVIWHTVGIHHVPCQEDYPIMPTLSGGFEIRPTNFFERNPVLKAKTPKLVLLPKCNSQP >Vigun02g053400.1.v1.2 pep primary_assembly:ASM411807v1:2:19560770:19561947:1 gene:Vigun02g053400.v1.2 transcript:Vigun02g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFATSFSFFTLALLALASGSNGGSIAIYWGQNGNEGSLAETCGTGNYEYAILAFLPTFGNGQTPMINLAGHCDPYSDGCTKLSSDIKSCQAKGVKVLLSLGGGAGSYSLASAQDARQVATYLWNNFLGGSSPSRPLGPAVLDGIDFDIEGGSNLYWDDLARYLKGYSNKGRKVYLTAAPQCPFPDAWIGNALKTGLFDNVWVQFYNNPPCQFSSEITNLEDAWKQWTSDIPANKIFLGLPASPAAAGSGFIDVDDLTSKVLPAIKDSSKYGGVMLWSRYYDGQSGYSSSIKTHV >VigunL024450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:52968:53213:-1 gene:VigunL024450.v1.2 transcript:VigunL024450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun06g095000.9.v1.2 pep primary_assembly:ASM411807v1:6:22621400:22625543:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun06g095000.2.v1.2 pep primary_assembly:ASM411807v1:6:22621029:22625562:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun06g095000.4.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFSRGSFRGRPRTPRW >Vigun06g095000.1.v1.2 pep primary_assembly:ASM411807v1:6:22621029:22625543:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFSRGSFRGRPRTPRW >Vigun06g095000.7.v1.2 pep primary_assembly:ASM411807v1:6:22621403:22625543:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFSRGSFRGRPRTPRW >Vigun06g095000.11.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun06g095000.3.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFSRGSFRGRPRTPRW >Vigun06g095000.8.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFSRGSFRGRPRTPRW >Vigun06g095000.5.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun06g095000.6.v1.2 pep primary_assembly:ASM411807v1:6:22621404:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSFSAIEEGLDLVETVFSWTPKDVLNDNLCKHKVRKIPLTFLSITDYLNSFIPSLIEETRSDLSSSLKGVSKAPFCEISSVALERHTDNFFYQIAVNRTSSDEDGKYEPEVGDLIAFTYFKPKTLDDLIRSKRNYHIGYVHGIKESIDRISILSSKSFDSAPNLYAKSSTDERKLYAFCLLNLTTNVRIWKALKLQLEGSSLSMMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun06g095000.10.v1.2 pep primary_assembly:ASM411807v1:6:22621395:22625542:-1 gene:Vigun06g095000.v1.2 transcript:Vigun06g095000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVLQADSKNGETCQLCFSGENDSATCSRVKNIILSQNLNESQKDAVVNCVTSRECHHNDTIKLIWGPPGTGKTKTVASLLFSLLELKVRTLTCAPTNTAVLEVAARLHNLVKESLEFDIGFGDIVVFGNKSRMKVDCYRGLSDVFLDYRVDKLFKCYGWKHSLESMIKLLEYPEQQYNSYKREEENSVLSLEEFAMQKYLSEKNDDPLTLKLLKDFTSFTEEYLLYRDEKKKSFKALDQFFMERFRSNTEQMEKIQGTLRMHLPTSLVPLAEIKKIPIALDLLRSLENSLCKAKLKQTSGGCEEGEGILDFLRRLSTKKEECLVKLRSLSRTILLPNVKDKYEMVKFCLMSARLIFCTASSSTKLFENGMTPVEFLVIDEAAQLKECESTIPLQLPGLNHVILIGDEKQLPAVVKSQVSEEAEYGRSLFERLVSLGHKKHLLNVQYRMHPSISLFPNKEFYEERLSDAPFVREINYNRRFLEGKMYASYSFINIAKGKEQKPGRGHGWKNMAEAAAVCKIIESLENEFLRSNKKVSIGIISPYNGQVSEIQERIKQQNLVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNGNGKIGFLDNRQRANVALTRARHCLWILGNEKTLSSSDSLWRNLVNDAKGRECFHRAEDDKKLAKAIESEALLIELLDESETPFKKLSLGGPSRTTATTFRGSFRGRPRTPRW >Vigun01g149200.1.v1.2 pep primary_assembly:ASM411807v1:1:33059314:33065187:-1 gene:Vigun01g149200.v1.2 transcript:Vigun01g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHCEVLGTEGLIRRHEFVRIIIQCLYSLGYTNSAACLESESGISYKSNEFKLLESFILNGNWDDSIEYLNSIKDVLGETRESAMFLVFRQCVMEYLNCGEDELALAVLRKQVSALDVDKCKVHSLAMCMLSFKDRELAVIDENDAVVHDLRRKLLADLESLLPPPISVPEARLERLVESTVTAWVDSCMYHSSSNQISLYEDHRCSRDQIPTRTTQILTGHRNEVWFVQFSNNGEYLASSSNDCTAIIWKVLEDGILTLKHTLNGHQHAVSFVAWSPDDTKLLTCGNTEVLKLWDVETGTCKRTFGNQGFVVSSCAWFPNSKQFACGSSDPEKGVCMWDCDGNVIKSWRGMRMPKVVDLAVTPDGEYLISVFMDKEIRILHMGTNAERVISEEHPITSLSVSGDSKFFIVNLNSQEIHMWDVDGKWDKPSRFKGHKQHKYVIRSCFGGLNNTFIASGSENSQVYIWNRRNPTPIEVLSGHSMTVNCVSWNPKIPQMLASASDDYTIRIWGPGFQKKEGQVE >Vigun03g429300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63374730:63377198:-1 gene:Vigun03g429300.v1.2 transcript:Vigun03g429300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFFGDDPAIFPLGMRKLEFESLEQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSILPLIQYAHHLGLTLSSCRYTRGSFIFPKSQPFPHTSFKDEVTYLQDTIPSNLCFRKASIMPSKSSSHSWHVFTANDSAHTLPHLPYHHDNELLFTMEICMTELDPILARKFFRPPEDGKSGDSAGKEMTELTGINEINPDALICDFAFDPCGYSMNGMDGDWYSTIHVTPEDGFSYASFECVGSVNDNIAHVLRKVVKIFRPGTMSISTTCNGFGNDMWTQMASAVEPLGMKCRSFAMDQFPAAGTVVFQTFSGRRKSV >Vigun03g429300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63374730:63377198:-1 gene:Vigun03g429300.v1.2 transcript:Vigun03g429300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFFGDDPAIFPLGMRKLEFESLEQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSILPLIQYAHHLGLTLSSCRYTRGSFIFPKSQPFPHTSFKDEVTYLQDTIPSNLCFRKASIMPSKSSSHSWHVFTANDSAHTLPHLPYHHDNELLFTMEICMTELDPILARKFFRPPEDGKSGDSAGKEMTELTGINEINPDALICDFAFDPCGYSMNGMDGDWYSTIHVTPEDGFSYASFECVGSVNDNIAHVLRKVVKIFRPGTMSISTTCNGFGNDMWTQMASAVEPLGMKCRSFAMDQFPAAGTVVFQTFSGRRKSV >Vigun05g071301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6112227:6112682:-1 gene:Vigun05g071301.v1.2 transcript:Vigun05g071301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEIRVSDFGSRVLGFGCRVWGFRFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFWVSRLGFRVTCFWFRVLGFGFRVSSFEFRVSSFGFWVEGYGLGLRVSGFGFRV >Vigun02g157700.1.v1.2 pep primary_assembly:ASM411807v1:2:30377239:30381455:-1 gene:Vigun02g157700.v1.2 transcript:Vigun02g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNRRRECLSDSIPDSLGIDAKSLMGRDPPQNLLPSKHDLPRLVLVLALASLVAWTCNLLFTSLLHPPSKPFCDTNFDSPDYSPDVCEPCPSNGECNDGKLECLQGYQRHGNLCLEDGDISESARKIVERVEHHLCEGYAQFLCSGTGPIWVREDVLWNYFEPVENVKVDNALHNYTKQRAVETMEKLLETRLNNSHGMKEFKCPDLQAVHYKPYTCCIRQWISQHILVVLPICAMLVGCTALFWSVQRKLSMSRRVEELYDKVCEILEENALTSKNANGECEPWFVASRLRDHLLLPRERKNPLLWRKVEELVQEDSRIDCYPKLVKGESKVVWEWQVEGSLSVSKMKRRRDASKTRVNESMDLNHQQHSEVKTEPVEPLF >Vigun05g136200.1.v1.2 pep primary_assembly:ASM411807v1:5:16326842:16329924:-1 gene:Vigun05g136200.v1.2 transcript:Vigun05g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMHALQYNSYGGGPSALKHVEVPLPTPSKDEVLIKLEAASLNPFDWKVQKKMLWPLLPCKFPYIPGTDIAGEVIEVGKSVRKFKPGDKVVALVSPFSGGGLAEYAVAKESITATRPSEISASESAGLPVAGLTALQAITKSIGIKLDGRGERKNILITAASGGVGHYAIQLAKLGNTHVTATCGARNIELVKSLGADEVVDYKTPDGAALKSPSGKKYDAVIHCAVGFPWSTFEPNLTFNGKVVDITPSSASMLTFALKKLTFSKKQLVPLFLLPKGEDLQYLIDLVKEGKLKTVVDSKYPLTKAEDAWAKSIDGHATGKIIIEF >Vigun05g136200.2.v1.2 pep primary_assembly:ASM411807v1:5:16326842:16329924:-1 gene:Vigun05g136200.v1.2 transcript:Vigun05g136200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMHALQYNSYGGGPSALKHVEVPLPTPSKDEVLIKLEAASLNPFDWKVQKKMLWPLLPCKFPYIPGTDIAGEVIEVGKSVRKFKPGDKVVALVSPFSGGGLAEYAVAKESITATRPSEISASESAGLPVAGLTALQAITKSIGIKLDGRGERKNILITAASGGVGHYAIQLAKLGNTHVTATCGARNIELVKSLGADEVVDYKTPDGAALKSPSGCRHNSKLCFNVDICSQETYLLQKATCAFVFVTQG >Vigun07g154500.1.v1.2 pep primary_assembly:ASM411807v1:7:26546795:26550969:1 gene:Vigun07g154500.v1.2 transcript:Vigun07g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLILIYSEHRKEEVKKREVSTQNTNTNMFDGVPDQFHQFITPRTSLPLHLPFPLHASGTPNTTFPSNFDPYNPHQLPLQPNTLLHPFPPHKDEHHKDQNNTVPMNFQIQRDQRHQQLPQLIDPWTNDELLALLRIRSSMESWFPELTWEHVSRKLAELGYKRSAEKCKEKFEEESRYFNNNINYGKNNNNYRFLSELEQLYHQGGADHLGTQEKTQQHKQDKMDHHPLEEGDSGKLEAPVTKQTHDVDDDAAAEKNQGRKRKRPGRFEMFKGFCESIVHKMMAQQEEMHNKLLEDMMKRDEEKFTREEAWKKQEMEKMNKELEMMAREQAIAGDRQTNIIQILNKFSVPPASNKSLKVVSNGSNLKSHTTKNPNPSQNIPATTENPTSSLAQDTLQLITNPSTSSSSVQVPQPNPSSSSLNSQNNINPAERNSVLNKSLSPNVGGEKDDVGRRWPKDEVLALINLRCSSVSNNSNSNEEKEGNKVPLWERISQGMSELGYRRSAKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSSLYNEGKLVLQSEKPESHTNNPPENNLEQVQPDQTRAESSSQVGSGGFSVQQQVDHGGEKTLMQVPSLDFDQF >Vigun10g142500.1.v1.2 pep primary_assembly:ASM411807v1:10:35924107:35935798:-1 gene:Vigun10g142500.v1.2 transcript:Vigun10g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVHFGNMHDVAFKPRLLQSLIRDHLPDENGPFSEPSKLSKAVALVKKHSLLSESFLADSTPPKVIEAWKSAFSSWLNRIFSLLSTSMPDKCWAGISLLGVTCEECSSERFLESYSAWFHKLLAFLQSPADSHLVRVAACASLSDLLKRLSGYPKIRKESSSCAGKVVQPTLKMLTDENSEAIWDAAVHLLCTVATSFPFSIRSHYDGVESAIALKLLSGGCSLDMSQKLAHCLALLPKSKGDNESWSVTMQKILVLINDQLNLAFHGLEEETMRDGVARLLVSPGKHPPPPLGGYILAEEVRNKASQMTEQALMSNVSTLMSVCCLMLKNSYPVKVNVPVRLLLALVERVLMVDGSLPQMSMPFVTAKQQENICSELPALHLSSLELLTAIIKSLGSQLLPHAAYIVRIVTKYFKTCELPELRIKVYSVTKNLLITMGVGMALYLAQEVINNAFTDLSSIEHTNGDILNGSNSNDSAGATQPSSHRKRKHSNATGSLQEQDQGGGLGLEVPKNRPSTPISLRIAALETLESLITVAGALKSEAWRSKLDSLLISIATDSFKDGTVGEELSVLQLKEPAATATDLQLAALRTLLASFLSCARGRPPYLSEGLELFRRGKQQTSVTKVAEFCALALLALEVLIHPRGLPLVDYTYEDNNSLGEVHSNLQHEYFGRSNSTPYGLPQVPPDYDENLCAEWLENGNGADVSRDKDTKNNQEPSEACRDNDPEVLPVHVSSDTNIQERAQMDSEAATSADVEMKTVGDETNFTMNIPTEAHNDIVSEKILSDSTVPHNEGSHMESDHGNSVNKDLEFASQSNSLWQTTSGSNIFQEFAFKVDGDNSLADEDDFPDIVDGDPDSDSE >Vigun10g142500.2.v1.2 pep primary_assembly:ASM411807v1:10:35924107:35931105:-1 gene:Vigun10g142500.v1.2 transcript:Vigun10g142500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILVLINDQLNLAFHGLEEETMRDGVARLLVSPGKHPPPPLGGYILAEEVRNKASQMTEQALMSNVSTLMSVCCLMLKNSYPVKVNVPVRLLLALVERVLMVDGSLPQMSMPFVTAKQQENICSELPALHLSSLELLTAIIKSLGSQLLPHAAYIVRIVTKYFKTCELPELRIKVYSVTKNLLITMGVGMALYLAQEVINNAFTDLSSIEHTNGDILNGSNSNDSAGATQPSSHRKRKHSNATGSLQEQDQGGGLGLEVPKNRPSTPISLRIAALETLESLITVAGALKSEAWRSKLDSLLISIATDSFKDGTVGEELSVLQLKEPAATATDLQLAALRTLLASFLSCARGRPPYLSEGLELFRRGKQQTSVTKVAEFCALALLALEVLIHPRGLPLVDYTYEDNNSLGEVHSNLQHEYFGRSNSTPYGLPQVPPDYDENLCAEWLENGNGADVSRDKDTKNNQEPSEACRDNDPEVLPVHVSSDTNIQERAQMDSEAATSADVEMKTVGDETNFTMNIPTEAHNDIVSEKILSDSTVPHNEGSHMESDHGNSVNKDLEFASQSNSLWQTTSGSNIFQEFAFKVDGDNSLADEDDFPDIVDGDPDSDSE >VigunL053600.14.v1.2 pep primary_assembly:ASM411807v1:NBOW01000031.1:24985:31231:-1 gene:VigunL053600.v1.2 transcript:VigunL053600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFPWSPAATKCSSTPIEPVSLFAEGADSIFADQRLCFMHKHQHHLPEVNIVILMFIPFEWLHSELSNICRSLLRVKQLHAFLLKTYLSEDPFYATKILRQYAVNSDINSAHHVFDKTSDRSVYLWNSMIRAFAQSQRFFSAISLFRTMLGADISPDGHTYACVIRACADNFDYGMLRRVHGGAVAAGLGLDPVCCNALVTAYSKLGLVHEARRVFNRITEPDIVLWNSLISGYGSSGLWDVGMQMFSAMKLVGKKPDGYTLAGLLVGIVDSGVLSIGQGLHCLSQKSGLDADSHVSSLLAGVFEKVLYLFRKLNIEDRKADTVLVASVLASIARTANVGLGCEVHGYALRHGLELDVRVSSALIDMYSKCGCLQLGISVFRIMPERNVVSYNSIILAFGLHGYAYEAFKVFEKMLEKGLVPDEATFSSLLSACCHGGLVKDGREIFRRMEEEFNIRPRAEHYVYMVKLLGSSGELEEAYSLIRSLPEPVDKAILGALLSCCNSRGNSELAETVAQQLFANNPADNVYRIMLSNIYAGDGRWDDVKMLRDKITGRMRKMPGLSWIESS >Vigun09g143400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30608644:30609036:-1 gene:Vigun09g143400.v1.2 transcript:Vigun09g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSDHHSSLKQHHHHHYHMSFHLHIPHLHFHHHHQEKKDLKDVPKGCFAVLVGQGEEQQRFVIPVMYMNHPLFMKLLKEAEDEYGFDQKGPITIPCHVEHFRTVQGIIDRETCLHHHHHHHHAWCFKV >Vigun03g095500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8084913:8085761:-1 gene:Vigun03g095500.v1.2 transcript:Vigun03g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLFFSLLLLSPFFSITTLAQSPAAAPKAPEKPAPAKPAPATPAPAPAKPLVPALPQSPTSGADSSGSQDIVKILRKAKSFNTLIRLLKTTQIINQVNAQLVTSKNGGLTILAPDDGSFSELKAGYFNSLGDRQQKALIQYHVLPVYVSSSNFDALSNPVLTLASDSPTGYQLNVTAYGNSVNISTGVVNATLTGIVYTDKTLAIYHVDKVLIPLDFSKPKTIAPAPAVAKAPKADKENSSAEDDDQGRAAKDSSGAITHGTTLVSLGVVLLAATAAISC >Vigun04g060100.1.v1.2 pep primary_assembly:ASM411807v1:4:6065324:6068488:1 gene:Vigun04g060100.v1.2 transcript:Vigun04g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNHENSKRGSGKKLKVHFDLPEDDDYPNHKRSGSSGSPRSSSSSSDSDDDGMDNADSKYCGIYGSPVWSFQGGSVSQSPPLQLMNSPGYDPNRIPSSIFNKPASPLEWSVASNESLFSIHIGNNSFSKDHAFALSNRSGELPRTGDTAMLPSVQEANCKDKNVDMERHSFSLDSPTGTSDSVADTKKTETSEVSTDGTVSNKTPDDHSNEAKIPNGEPKKVFRSMGSDISTRSFQFPILTAEGGRTSSSLEDSSEKQEKGENQEQQPENELQKSPSSKSEKAPKQGGKSWCFCFTCSCF >Vigun11g149300.1.v1.2 pep primary_assembly:ASM411807v1:11:35939937:35941237:1 gene:Vigun11g149300.v1.2 transcript:Vigun11g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSSSSSRCSSDEFRAINVSADQQQHTKRRRIFEEDSLDELPIYHRNVTGRRDNSRSRSPPAEKCIHLIPVVVMLCLFTLWWFSFPVNLEIKDGRITAIRQIHAPLPNNTRIDLTMLAVAASSPIPANNPPNLSGEDETYLHPASSPN >Vigun09g131800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29024294:29025009:1 gene:Vigun09g131800.v1.2 transcript:Vigun09g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQGSKTRFVLILLPLLLSLMCQEIVATGRLRNMEGCASTGIACHAKLTASFDPPQMNQYDEAYVSPPHDDYDYDFYRKHGDIPSPGAGH >Vigun05g182600.1.v1.2 pep primary_assembly:ASM411807v1:5:35095325:35101114:1 gene:Vigun05g182600.v1.2 transcript:Vigun05g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAVWVLFLFAFSLCPLPSAGTRSSIVLPSQLGRDSPSSCRAPDPNLYYQPVIGIVSHPGDGASGRLSNATGVSYIAASYVKFVEAAGARVVPLIYNEPPEKLLKKLELVNGVLFTGGWAKSGLYFKTVTKIFKKILEKNDAGDHFPLYAVCLGFELITMIISQDNNILEEFSASNQASTIQFVESAKVEETVFQRFPPELLRKMTTDCLVMQNHRYGISPGKLLDNRKLSSFFEILTTCTDEDDKVYVSTVSSRNYPVTGFQWHPEKNAFEWGSPRIPHTEDAIQITQHAANFLVSEARKSINRPVIQELLDNLIYNYSPTYGGKAGKGFDEVYLFAEA >Vigun11g035400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4776110:4776700:-1 gene:Vigun11g035400.v1.2 transcript:Vigun11g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDEMKPDATTYINTYNVVVFVINLQQENHHHNMGPFRKPLLFLVFVIMATCDPATSTNSTTETLSEEPKQPLFGFYWYTVHMVIVNNLGGDNLPLTVHCKSKNDDLQAHVIDYKRSFRWHFRPNFWGTTLFFCHFSWSGGEGTYDIYKTKRDSRRCTRHCDWYVTQQGVEGYTEDDMFLGPRRKDIVFKWKNQ >Vigun02g033566.1.v1.2 pep primary_assembly:ASM411807v1:2:14153411:14154029:1 gene:Vigun02g033566.v1.2 transcript:Vigun02g033566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSCSSCTCNAWEMKNSSFSSHGGAVRRFDLTPVCYCGEKAITRTARTAKNRGRKFWGCPKFKGGSEEVVGYNFFSWCSENVVEERCGPMKNDDDDTATKMVERDDQSKLIKTKMVEREGENKLINIEKCIMRLEKWVKVLFGMVCFFYVLNIFIFTMY >Vigun10g062000.7.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYERRWFWIWFHSHCGVWK >Vigun10g062000.6.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYELMGSPTHLLTERIGHLKRGTRCLWIVQQRPDYMTAEDLRQQQS >Vigun10g062000.2.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYEHRGGGFGSGFIPIAEYGSEFRKGSRRKAVRLLYSGKNHYDLLV >Vigun10g062000.3.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYELMGSPTHLLTERIGHLKRGTRCLWIVQQRPDSQRRWFWIWFHSHCGVWK >Vigun10g062000.1.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYELMGSPTHLLTERIGHLKRGTRCLWIVQQRPDCSTEAVVLDLVSFPLRSMEVNLERVQGEKL >Vigun10g062000.5.v1.2 pep primary_assembly:ASM411807v1:10:12947649:12952928:-1 gene:Vigun10g062000.v1.2 transcript:Vigun10g062000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPFKFNEDILEQLRNGTAKFELVSSPVPSVAAPPNNITSLFGIGNRSALFFARIGSSFSGHSPAMKKLEHFSVQKVTGDGRCLFRALVKGMAYNKGTVLNQREERENADELRMAVKEAICENGGERNLYEEALIAITVDEPLNRYCKRIVRPDFWGGESELLVLSKLCKQPIIVYIPEYELMGSPTHLLTERIGHLKRGTRCLWIVQQRPDCRSLDRPNKLR >Vigun06g188400.1.v1.2 pep primary_assembly:ASM411807v1:6:30684902:30689115:1 gene:Vigun06g188400.v1.2 transcript:Vigun06g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHTGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIKVYQGHHKATICCALHDGAEPATS >Vigun01g250600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41709476:41710606:1 gene:Vigun01g250600.v1.2 transcript:Vigun01g250600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKSYLICRRADNPFKISRFRSTCFFSLEATCWHIYGGLRYYHSGSEWGKNQRLNPNQKPNRVGLFWDLDNKPPNAIPPFEVANKLRVAASSFGIVRYMVAYANSHTFSHVPQSVREKRKEKELLYRLENKGVIKPNEPYRCRVCGRKFYTNDKLVNHFKQLHEREHGKRMNQIESARGSRKVKLVAKYSMKMEKYKKAASAILTPKVGYGLADELKRAGFWVQTVLDKPQAADRALQSHIVDVMDHRRVECVVLVSDDSDFVDVIKEARLRCLKTVVVGDIDDGVLKRTADTAFSWEEILMGKAKKQAVSVVKNWKDRDILKRLEWTYNPDADKKKLDVDNFIAETSEDDNIEDTSDEVDEHKRKMEVKRSLM >Vigun02g120000.1.v1.2 pep primary_assembly:ASM411807v1:2:27242270:27245580:-1 gene:Vigun02g120000.v1.2 transcript:Vigun02g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARKITLKSSDGEAFEVDEAVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVDAANPDDKPSEEDLKAWDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Vigun10g042433.1.v1.2 pep primary_assembly:ASM411807v1:10:5919400:5920110:1 gene:Vigun10g042433.v1.2 transcript:Vigun10g042433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKERMDVVDVSRELQRIQKAFFGPRSVLGNDP >Vigun08g102400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25438584:25440279:1 gene:Vigun08g102400.v1.2 transcript:Vigun08g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLKIFFLLSIFNISLATRRLNELVQNPSQLLHYHNGPLLSGKISINLIWYGHFKPSQKAIVSDFITSLSLSPSPSPPQTTQPSVASWWKTTEKYYHLSSNKKATRKLSFSLNKQILDESYSLGKSLTNKHLLELASKGEHRNAVNVVLTSADVAVEGFCMSRCGTHGSSSAGRTKGNDKSYKFAYVWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGVDGMVINLASLLAGTATNPFGNGFFQGPPEAPLEAASACPGVYGKGAYPGYAGNLLVDSSTGASYNAHGANGRKFLLPALYDPSTSSCSTLV >Vigun02g181500.1.v1.2 pep primary_assembly:ASM411807v1:2:32213275:32214397:1 gene:Vigun02g181500.v1.2 transcript:Vigun02g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRNKVTIVEKLLSTKSSSYSTNLWDCGSTLYDSFELNSFQRQLHSAIAKSPITRTLSMPHLPERSLHALPDQPPPPPSIAMRRKSFNFSRSFHKLIRSVFRSNNKSSTTTTTTLSFHVPEKYSKERFYVVYDKSGPVLSTIPEVPEFEIGALSPEISSLVRRSLIAFRN >Vigun05g224100.1.v1.2 pep primary_assembly:ASM411807v1:5:41648571:41649652:1 gene:Vigun05g224100.v1.2 transcript:Vigun05g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGAAIFLCLLLVVMDITAGILGIEAEIAQNKVKHLRLWIFECKDPSHKAFMLGLGAALLLALAHLILNLVGGFSCLCSQSEADKASPNRQLSMASLILTWIVLAVGLSMLVIGTSSNNKSSGSCGFTHHHFLSIGGILCFVHALFSVMYYVSSTAS >Vigun06g185500.1.v1.2 pep primary_assembly:ASM411807v1:6:30482195:30484291:1 gene:Vigun06g185500.v1.2 transcript:Vigun06g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFITYPLLFVFYSCMFFVDPLGTTKRGKVFLVGIWNRGWRVLFRCIRPYVSRWFKEDESIWSVAFRWGWGFLCSVYVGCVLFGLLISSFVFSMLLMKYLVEKPIQMREVLNFDYSKHSPVAYVPIMSCAGVIGGIGSEYKDDDRKWVGERFIPSKHKVQVNVELRVPESGYNRNLGIFQIRVDFLLPNGKAIATSSQPCMLRFRSQLIRLITTFLKIVPLVTGYTSETQTLNVKMRGFEEGELPTSCLKVTLEQRAEYQPGAGIPEIYDASVIVESELPLFKRIIWLWKMSIFIWIAMMAFFTELLFALVCCTPFIIPKTRQRVAPANRSATLNRPQALD >Vigun03g349500.1.v1.2 pep primary_assembly:ASM411807v1:3:54986961:54990373:1 gene:Vigun03g349500.v1.2 transcript:Vigun03g349500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKMLQAMNTNTRVTGDHRSPLLQVIGIVPALAGSDLWSNQGFYLNLSDSLNSTYVLLSHPDTDLILSNRLQLGQFVHVDRFQFDSPLPSVSAIRPLAGRHPFLGTPEPLVARISPSTRHFLIQPLPDSDLDPLSLYLSNSDPTQPRNPNPSPSPEELKPKEQKPKDKERVSREPLAPRDNLPPQRFSSPATAKRSHSASRNKIVVAAERDPSPAGKGKRSASPVPSKCVVPSLVSAREDTRKVSREPAIIVPSRYRQASPNGRKQPSPSPRRASLSPGRRLSGGLKVSPVVVDSAAKKKMATIVAGISKVSDALVGSKSARKNWDEQPPATPVESEHKEKGGSKNKIDAQAILRTQAAMSRRLSDVSGQKPGSNYSSSNEKTKALSPQSCVLEEKSNFAAMGITIHEKKWTDGSVPFDAVSGNLARLGKEAMQRKVLASAAAAEALEEANATECIIRSLSMFSDLCSVCQATNPLPTIDRFFTIYDDVLKSTAMAEAVANRYYSETPEDNSIPTEQSKSLTLWVEAALATDLQIVSLLTGTAADPPSTLQKSLSKRHSLGAAKSHVKVPSSPPSRQSGSVWTRGSGTKETVELGANLLSEMQMWFLRFVEESLDAGFKVFGECAADGKKTLPLDGGSIAVVLSHLKRVNAWLDRVVSKGDDSLTEKIEKLKRKIYGFVIQHVGTTFDSSASS >Vigun04g074600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9051566:9055480:1 gene:Vigun04g074600.v1.2 transcript:Vigun04g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRKHSPFLFPHADSSVVPDPSNFFSPNLLSNPLPTNSFFQNFTLKNGDQPEYIHPYLIKSSNFSLSLSYPSRFFNSSFTYQVFNPDLTISSSQKSHLSHFNHTISSHNDLSLTLDIPSSNLRFFLVRGSPFLTFSVTQPTPVSITTIHAILSFSSSDSLTKHTFHLNNGQTWILYASSPIRLSHGLSEITSDAFSGIIRISLLPDSDWKHEAVLDRFSSCYPVSGEAVFSRPFCVEYKWEKKGWGDLLMLAHPLHLQLLADGGCEDVNVLSDFKYGSIDGDLVGVVGDSWSLKTDPVSVTWHSIRGVREESRDEVVSALVNDVEGLNSSAITTNSSYFYGKLVARAARLALIAEEMCFLDVIPKVRKYLKETIEPWLEGTSSGNGFLYDRKWGGIVTKQGSTDAGADFGFGIYNDHHYHLGYFVYGIAVLAKIDPVWGRKYKPQAYSLMADFMTLSRRSNSNYTRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSTLTALEIHAAQMWWHVRQGDNLYGEDYERENKVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEVLFSNVDFVKDLVEWTMPALNREGVGEGWKGFVYALQGIYDSEGALQRVRSLNGFDDGNSLTNLLWWIHSRSDEEEYGHGKHCWFGHYCH >Vigun07g020100.1.v1.2 pep primary_assembly:ASM411807v1:7:1681267:1683399:-1 gene:Vigun07g020100.v1.2 transcript:Vigun07g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRHAQTLGNLLERVKLVHFSTLRGIHGHFCLWYSTLSTCNEMPKKLNKFERKPLVTSFNELKREARLKKKERQKVHEIVLQPPENGLLVKNLIPVAHEVFAARRELLSCVSRLVNYTAIYVCSLCGEVHVGHPPHKIRTCDVRGSPSSKEHSWVKGGVEHVMPLVESFHLYDRMGRAVSHNEMLEVDRIPAIVELCVQAGFDIPEYPTRRRTFPVYSVAGRIIDFEKRFPKEISLGEDIEAHGFWCKKKKFNKDTNSMVMHSDDIQAIAVLGMKAWEKMCTGASKLMEKYGVQTCGYCPEVQVGPKGHRVRNCQAFKHQMRDGQHAWQKATINDLAPPVCVYHIRDQQAKKPLVNELKRYYGMLPAVVELFAQAGAPVGKNYAGMMREDVVIPEMDEEKLVV >Vigun03g228900.1.v1.2 pep primary_assembly:ASM411807v1:3:38109293:38115740:1 gene:Vigun03g228900.v1.2 transcript:Vigun03g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCASILYPHTQKIWCSKPSLSVTPPPQQQQLQQREEGTSHDDKAKLITSASNPFVKHCLKLRNSSSYRRAHASALVVGATPIREIRRFQESLQDESVSMDCLILPDKAEIPDGLDQSTASIVRVSSTVMRKLSGLQTTDSLDAIALMKIPASFFNVDDDQKNYQKLFPSVHRILVLDGIQDPGNLGTLLRSAVAFRWDGVFLLPGCCDPFNEKALRASRGASFQIPVVSGSWNHLESLKEEFQMKLLAGHPELEELVKPVCSLSPTLCDSLSDTPLCLVLGSEGSGLSEKSLQACELVSIAMAGDYESLNVSVAGGIFLYMLQPKNQ >Vigun10g139900.1.v1.2 pep primary_assembly:ASM411807v1:10:35651436:35655091:1 gene:Vigun10g139900.v1.2 transcript:Vigun10g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERREDKRGGLMVEKLREGVLVGKKGGPSTPPPTWRLELPSQYNGSDNVQEFLNFPTSSLSARKLCANLWELLPHQQQHTPPVKMNKLGTNLSRRRRNRRRLRHPKDTASEVNNTQLAEPPDTPSGSDQPASSSSSRSVAASFVRHRTSVRNGCDLQPASPACYSSSSEEAASYTCAVTPKSSVDFKGRNEESRYNLKTSTELLKVLNRIWSLEEQQASSMSVVKTLKTELDRSQARIKELTRDKQMNRQEMQNLIQQLTVDKLIRKNKEHGRIKAAVQSIKEELEDERRLRQHSESLHRKLAREISEVKSSFSGCLRNLERERKARILLENLCDEFAKGIRDYEQEVHSLRRSSEKGQIQVKGNNNNNNSLDRLILHISEAWLDERMQMKLAQSDSDLIERNSIVDKLGFDIETFLHARRSVDLKKYGYSSPKELTEIHPCEHSLEGGSAPQNMGLEDSIDDFFEPKRANGEGLHKLSSSLQSNMDKNMSYDTERFFVHRKSSEMGEDNIALLNEDAKHDQQESDRPWILRMNSSHRPDILVGNSSLSSEGDKIYPDSICKEDYSVHSAVTTTGSPGKLWKPKFSVSDYDKPESCSSKLPAGVKENTLMAKLLEARLEAQRSRCRASKSTS >Vigun06g183300.2.v1.2 pep primary_assembly:ASM411807v1:6:30284714:30287027:1 gene:Vigun06g183300.v1.2 transcript:Vigun06g183300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVMVIVGVGLWSVSGGAQQVPCYFIFGDSLADSGNNNNLWSYAKANYPPYGIDYPSGPTGRFSNGKTTVDEIGAGISDETGQHLGGRTSFRGQVQNHIRTVSYMLNLLRDVNRTAVYLNRCIYSIGFGSEDYLNNYFLPQLYRSSTQYAPDQFADLLVQQYAQFLRVLYNYGARKMVLFGVAPIGCSPYALAQNSPDGRTCVERLNSPIQLFNSRVRSMVDQLNTQLPNARLIFINVYGIFQNIISNPSSFGFRVINGGCCRVGRNSGVVTCVPMQQPCSNRNEVLYWDAYHPSEAANTIVGRRAFNAPSTSDAYPVDINTLVRT >Vigun06g183300.1.v1.2 pep primary_assembly:ASM411807v1:6:30284714:30287027:1 gene:Vigun06g183300.v1.2 transcript:Vigun06g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVMVIVGVGLWSVSGGAQQVPCYFIFGDSLADSGNNNNLWSYAKANYPPYGIDYPSGPTGRFSNGKTTVDEIAQQLGLNGYIAPFSRARDRDIFYGVNYASAGAGISDETGQHLGGRTSFRGQVQNHIRTVSYMLNLLRDVNRTAVYLNRCIYSIGFGSEDYLNNYFLPQLYRSSTQYAPDQFADLLVQQYAQFLRVLYNYGARKMVLFGVAPIGCSPYALAQNSPDGRTCVERLNSPIQLFNSRVRSMVDQLNTQLPNARLIFINVYGIFQNIISNPSSFGFRVINGGCCRVGRNSGVVTCVPMQQPCSNRNEVLYWDAYHPSEAANTIVGRRAFNAPSTSDAYPVDINTLVRT >Vigun01g005200.1.v1.2 pep primary_assembly:ASM411807v1:1:643321:647199:-1 gene:Vigun01g005200.v1.2 transcript:Vigun01g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSESVEENEVNHDGNGNGGSYGNEKTGLGFEGNSGVNGLDQKGTVDEVEVDSGEGVNSKGTPTKGVGLKKWKRIRRNVVKDPNPSADSSKVLKRGLSGNANLSGKQPFVRDVKEKSDGSSNMFGNVVFSDGNVIHGSSSDSRYALGSGFAVGTDSENSEDRSSKSSTAASEPKLRPEKNRSKNANSKHLANSAQRVQQGKGRTESTKKPGGGGRVQIEKENSFSSLESDSRSSNFKQGAFSVTSNGKHSGRTNIYDGVNSGEAHVSEHFTEGVEAGYGNENIVEDEDLLPENLATNLSWDVTEEKSVNNQPSSVEDPLIESVNSLQAVQEALQQELQKFREIGIESLSPDVDDSAKCSSASAGTTVDLGLNKSSHSDHSGAEEIKQTTSSSSDPQVLSLTQNINILEGKLEELQGVLALKDSRIAELETSLSSVKISGEEIEGLFRLKIEAEIEYLAISKATQKLKDGASIQQTLLEEQEKLSETQAQVLDKLVDAEGKASVLKNKAEELEKYCGDSVVVQESFVLQKRVCKLTFYLFLQIIFLVFFFWLFLLQLSPNSGMVVPT >Vigun11g093100.1.v1.2 pep primary_assembly:ASM411807v1:11:27525410:27531102:-1 gene:Vigun11g093100.v1.2 transcript:Vigun11g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVVGGDDEAKEKQRFGERKVYTRRKVLKGQKKDPNAPNTVASTTENNATTTSTVTNDNSIDNRTVQTSKVGESGASKSNSENVVVQPPEQSAVEKGTAQPQVSSRLEDGTLGQKPLEDQMQVSLRLENGNTVQPPVEDQDMTLTEGNSRNEDENITQPQLEDGNMVLSNGSLRLEDGNMAQPQASSRLEDVNMSQTQEGGNMAQPQVSSKSEDGSAALPHMGSRLEDGNTAQPRVSLDGNMAQQQLSSRSEDGNIAQLQVSPRSEDVNMVQPQESSKLEGRKSPQPEVNSRMEDGNSPQLQVNSRLVDGVSPRSHVNSRWDGDTVQPPLVLVSDDSYNRQRDEPSSFNVEQEGDGPLSPNPHQEAVPSTRGLPLGNGVVEPRQRDRIKISLSSKSKQQIREIRWKLESELDVVRSLVNRIEVKQRQVGGYRNSNVLVASGMDNVGGAKRAHSEVASVGVPREPASSRPLHQLSLSMLENSQGIGETVEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMRHGFGMGSKFFKSCSSLLEKLMKHKHGWVFNAPVDVEGLGLHDYFTIITHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMTYNPKGQDVHIMAEQLAKIFEDRWAIIESDYNREMRCGFDYGAAPPAPSPLSRRVSAFTPPPHLDMRRILDRSESMTQTPKPMSFTPSSRTPAPKKPKAKDPFKRDMTFEEKQKLSTNLQSLPSEKLDAIVQIIKKRNLALDQHDDEIEVDIDNVDAETLWELDRFVTNYKKSLSKNKRKAELARARAEALQQNAIQKSQAPAIEEIPKEIQTDERNVPQSLPVQGGNQADNGSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >Vigun11g093100.2.v1.2 pep primary_assembly:ASM411807v1:11:27527241:27531102:-1 gene:Vigun11g093100.v1.2 transcript:Vigun11g093100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVVGGDDEAKEKQRFGERKVYTRRKVLKGQKKDPNAPNTVASTTENNATTTSTVTNDNSIDNRTVQTSKVGESGASKSNSENVVVQPPEQSAVEKGTAQPQVSSRLEDGTLGQKPLEDQMQVSLRLENGNTVQPPVEDQDMTLTEGNSRNEDENITQPQLEDGNMVLSNGSLRLEDGNMAQPQASSRLEDVNMSQTQEGGNMAQPQVSSKSEDGSAALPHMGSRLEDGNTAQPRVSLDGNMAQQQLSSRSEDGNIAQLQVSPRSEDVNMVQPQESSKLEGRKSPQPEVNSRMEDGNSPQLQVNSRLVDGVSPRSHVNSRWDGDTVQPPLVLVSDDSYNRQRDEPSSFNVEQEGDGPLSPNPHQEAVPSTRGLPLGNGVVEPRQRDRIKISLSSKSKQQIREIRWKLESELDVVRSLVNRIEVKQRQVGGYRNSNVLVASGMDNVGGAKRAHSEVASVGVPREPASSRPLHQLSLSMLENSQGIGETVEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNWKKQGGGEMRHGFGMGSKFFKSCSSLLEKLMKHKHGWVFNAPVDVEGLGLHDYFTIITHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMTYNPKGQDVHIMAEQLAKIFEDRWAIIESDYNREMRCGFDYGAAPPAPSPLSRRVSAFTPPPHLDMRRILDRSESMTQTPKPMSFTPSSRTPAPKKPKAKDPFKRDMTFEEKQKLSTNLQSLPSEKLDAIVQIIKKRNLALDQHDDEIEVDIDNVDAETLWELDRFVTNYKKSLSKNKRKAELARARAEALQQNAIQKSQAPAIEEIPKEIQTDERNVPQSLPVQGGNQADNGSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQGT >Vigun03g278800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45685447:45686498:1 gene:Vigun03g278800.v1.2 transcript:Vigun03g278800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAVSRLSAAIMVTAFWLDSRWFNVPICFTNFVVCDLGSKCRWLRSAPMVPICFASSCAWWQRDGDVKTRVDADAGSVEGLGLMRWSWQRDCKWLT >Vigun02g200400.1.v1.2 pep primary_assembly:ASM411807v1:2:33523720:33525865:-1 gene:Vigun02g200400.v1.2 transcript:Vigun02g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVTVKSGGLPLFGSLTLNSDLPAYPIVLCTHHAFIPGQRVSNFSLFKSRRTLVHDPRKWMHPVSDDEDDENDEDRSLDLLVRFVQNVFKKVSKRARKAVRSVLPFPISTHLVGFSVNGTLFLTFLWILKAFLQVLCTLGSVVFVSILLIRGIWSGVSFLQQSRHQKMDQLDMRNAWNNGAQPVT >Vigun08g056000.1.v1.2 pep primary_assembly:ASM411807v1:8:6972946:6976204:1 gene:Vigun08g056000.v1.2 transcript:Vigun08g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGIKLFDEERNGLFSISNFGSQWDGRVNDPVAMFASVSQMGMGFGVQEPNPSSGDSQHNGGMNIRIPCTELYVRYVQSEGKVKITGVHGEEEGVAGVKGKKKGVFKGLKIKVKNPSLRRLISGAFAGAVSRTTVAPLETIRTHLMVGSSGNSTGEVFNNIMKTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVNKKLSPKPGEQPKLPIPPSLIAGACAGVSSTICTYPLELLKTRLTIQRGVYDGLLDAFLKIVREEGAGELYRGLTPSLIGVIPYSATNYFAYDTLRKAYRKIFKKEKIGNVETLLIGSAAGAISSSATFPLEVARKHMQVGALSGRQIYKNVIHALASILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEDDEEE >Vigun08g056000.2.v1.2 pep primary_assembly:ASM411807v1:8:6972946:6976204:1 gene:Vigun08g056000.v1.2 transcript:Vigun08g056000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGIKLFDEERNGLFSISNFGSQWDGRVNDPVAMFASVSQMGMGFGVQEPNPSSGDSQHNGGMNIRIPCTELYVRYVQSEGKGKKKGVFKGLKIKVKNPSLRRLISGAFAGAVSRTTVAPLETIRTHLMVGSSGNSTGEVFNNIMKTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVNKKLSPKPGEQPKLPIPPSLIAGACAGVSSTICTYPLELLKTRLTIQRGVYDGLLDAFLKIVREEGAGELYRGLTPSLIGVIPYSATNYFAYDTLRKAYRKIFKKEKIGNVETLLIGSAAGAISSSATFPLEVARKHMQVGALSGRQIYKNVIHALASILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEDDEEE >Vigun05g231100.1.v1.2 pep primary_assembly:ASM411807v1:5:42460517:42466587:1 gene:Vigun05g231100.v1.2 transcript:Vigun05g231100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNCLIVHIPDKQPYDLHHQPTESVFASAINPKHANQIVRRLNQIAPLDDLRHVKRIQKKVLEGGEIQLLVILCLASEGQDQLDSMPPHLQEMISSYQLSPFITKVCKYVATSKEEWQEQCKFWPTSYHPRTYNIDGITGFSEEDSQSVLKFMQSAVELAKSNGLVVNAAVIVDPSTKEIISSACDQIYSWNSCIDDSCNGKPESFCSHLNISSRCDPHEPLHSNSSSNRLKQPCTGAACLYTRQWAEQPPHSHSSYYWHPLRHSAIVAIESSAARDRNLFPSEGSNEASYQELDSENASWTSSPAKRQKTVCGNGEDDHKLNACSQASGQPSARPYLCTGYDIYLVWEPCAMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVLLPEEDLA >Vigun05g231100.3.v1.2 pep primary_assembly:ASM411807v1:5:42460517:42466587:1 gene:Vigun05g231100.v1.2 transcript:Vigun05g231100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTTNPLRVFLLLPSTLSMPTKLRLNQIAPLDDLRHVKRIQKKVLEGGEIQLLVILCLASEGQDQLDSMPPHLQEMISSYQLSPFITKVCKYVATSKEEWQEQCKFWPTSYHPRTYNIDGITGFSEEDSQSVLKFMQSAVELAKSNGLVVNAAVIVDPSTKEIISSACDQIYSWNSCIDDSCNGKPESFCSHLNISSRCDPHEPLHSNSSSNRLKQPCTGAACLYTRQWAEQPPHSHSSYYWHPLRHSAIVAIESSAARDRNLFPSEGSNEASYQELDSENASWTSSPAKRQKTVCGNGEDDHKLNACSQASGQPSARPYLCTGYDIYLVWEPCAMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVLLPEEDLA >Vigun05g231100.2.v1.2 pep primary_assembly:ASM411807v1:5:42460517:42466587:1 gene:Vigun05g231100.v1.2 transcript:Vigun05g231100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNCLIVHIPDKQPYDLHHQPTESVFASAINPKHANQIVRRLNQIAPLDDLRHVKRIQKKVLEGGEIQLLVILCLASEGQDQLDSMPPHLQEMISSYQLSPFITKVCKYVATSKEEWQEQCKFWPTSYHPRTYNIDGITGFSEEDSQSVLKFMQSAVELAKSNGLVVNAAVIVDPSTKEIISSACDQIYSWNSCIDDSCNGKPESFCSHLNISSRCDPHEPLHSNSSSNRLKQPCTGAACLYTRQWAEQPPHSHSSYYWHPLRHSAIVAIESSAARDRNLFPSEGSNEASYQELDSENASWTSSPAKRQKTVCGNGEDDHKLNACSQASGQPSARPYLCTGYDIYLVWEPCAMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVLLPEEDLA >Vigun03g134800.1.v1.2 pep primary_assembly:ASM411807v1:3:13209327:13212893:-1 gene:Vigun03g134800.v1.2 transcript:Vigun03g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTPASSFCSYLKFPSKPNNGRSSFYSFPRILFCQKHQDDVPTDQIHRRALILRSSEIATIGAIFNFSGKKPDYLGVQKNPPALALCPATKNCVSTSENISDRTHYAPPWNYNPEGRKNPVSREEAMEELIDVIESTTPDKFTPRIVERKEDYIRVEYQSSILRFVDDVEFWFRPGKGYTVEYRSASRVGNFDFDLNRKRIKALRQELEKKGWASQDTI >Vigun07g055600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6015422:6016820:1 gene:Vigun07g055600.v1.2 transcript:Vigun07g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFLILTIFTPTTFAEFTGEGSPLQDFNPPPPSPPPPPPPPSPPPPLPEQTPPGRLLKRQPPPIAPVQMDIPRHRLRPPPIDDGTGYTPSP >Vigun06g228550.1.v1.2 pep primary_assembly:ASM411807v1:6:33614747:33615405:1 gene:Vigun06g228550.v1.2 transcript:Vigun06g228550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAWRNLYVFSVVLIFSFILTVCGGFLQIWVFLNCTGSLLKMLGKMVGVEMGLFCFQCLWEANLK >Vigun05g036000.1.v1.2 pep primary_assembly:ASM411807v1:5:2937310:2939510:-1 gene:Vigun05g036000.v1.2 transcript:Vigun05g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALSKSLVPLVLLMVLSVPAFSLHSKWHHAPAVHNKWHHPPAVHSIWHNARATFYGDMQGGETMQGACGYGDLFQQGYGLETAALSTALFNNGLSCGACFEIKCVNDPQWCIPNAGSIKITATNFCPPNYSPPNFDHWCNPPQKHFDLSMKMFTTIAAYRAGIIPVKYRRVPCTKTGGVRFQTAGNPYWLLVLVYNVGNAGDVHEVSIKGSDGVWKSMSRVWGQNWLTGENLVGKCLSFKVGTSDGKVVEFDEVAPSYWQFGQSYEASRNF >Vigun10g033533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4461040:4462294:1 gene:Vigun10g033533.v1.2 transcript:Vigun10g033533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFILLPPYLTNLLCCICMLNEYFALRSTLYLFYFWFGALFCSQNHEHCKEHQKGKNFSTIFHSSTIFNFFSVLYLFVRWMFCSQIYFSLYMFCSQIHKHRQEH >Vigun02g085400.1.v1.2 pep primary_assembly:ASM411807v1:2:23980923:23985834:1 gene:Vigun02g085400.v1.2 transcript:Vigun02g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSLNSESLNRNVGMSVRGGTGKKQGGVAGKVEPFVSQNEHNPRELRSWAKRTGFVSDYSGEAGTSGSAKFEAFERKGGGSSPKIEIDPVVGRTRRNEIETESRGGAPRAENGAVLDERGRKEERKVGLNGNANNGVVNREGNGHGASAVAPVNEEKEGEEGNGDVKVNVFPAEEEHRDGGWQGPLGLKCGLKENPGIVPLIYYGLQHYLSLAGSLVLIPLVMVPVMGGTDKDTASVISTILFLSGITTILHSYFGTRLPLVQGSSFVYLAPALVIINAQEYRNLTEHKFRHIMRELQGAIIVGSIFQSILGFSGLMSVLLRLINPIVVAPTVAAVGLAFFSYGFPQAGTCPEITIPQIALVLIFTLYLRGISIFGRHLFRIYAVPLSLTIIWIYASFLTAGGAYNYKGCNPNIPSSNILLDACRKHAYTMQHCRTDVSNALATAAWVRIPYPLQWGIPVFHFRTSIIMVIVALVASVDSVGTYRATSLQVNSRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENIHTIDITKVASRKVVVVGAAFLILFSFIGKVGALLASIPQALAASVLCFMWALTAALGLSNLRYSQSTSFRNITIVGVSLFLGMSIPAYFQQYQAESSLILPSYLVPYAAASSGPFRSGIKQLDFAINALMSLNMVVTLLVSFLLDNTVPGRQEERGVFVWSRAEDISTDPSLLSEYSLPKKVARCCCCFNFKCLGV >Vigun07g078100.3.v1.2 pep primary_assembly:ASM411807v1:7:10631593:10638174:1 gene:Vigun07g078100.v1.2 transcript:Vigun07g078100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASVAHNGVRIPLKLDYIRRLSHSAHALHHPISSFCSVYHHRPPHPAPLLISRRIGQDNYASEVKRISAQPKDDIGNIDKSPIQESLANEDYNSSIRNVALWVGAAVAFGVGLGFKEGFEKSSEFFAGYILEQSLSVDNLFVFVLIFNYFKVPVTYQNRVLSYGIAGAVVFRLTLILIGTATLQRFEEVNLLLAAILLYSSFKLFSSEEDESDLSDNYVVKTCQKFIPVTTYYDGDRFITNLGGVWKATPLLITVAVIELSDIAFAV >Vigun07g078100.1.v1.2 pep primary_assembly:ASM411807v1:7:10631593:10638174:1 gene:Vigun07g078100.v1.2 transcript:Vigun07g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASVAHNGVRIPLKLDYIRRLSHSAHALHHPISSFCSVYHHRPPHPAPLLISRRIGQDNYASEVKRISAQPKDDIGNIDKSPIQESLANEDYNSSIRNVALWVGAAVAFGVGLGFKEGFEKSSEFFAGYILEQSLSVDNLFVFVLIFNYFKVPVTYQNRVLSYGIAGAVVFRLTLILIGTATLQRFEEVNLLLAAILLYSSFKLFSSEEDESDLSDNYVVKTCQKFIPVTTYYDGDRFITNLGGVWKATPLLITVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYLVISEGMSELKYLQPSIAVVLGFIGFKMILDYFGFHVSTEASLGFVASSLTIGVVLSLAKKSE >Vigun07g078100.2.v1.2 pep primary_assembly:ASM411807v1:7:10631593:10638174:1 gene:Vigun07g078100.v1.2 transcript:Vigun07g078100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSYEFSEVKRISAQPKDDIGNIDKSPIQESLANEDYNSSIRNVALWVGAAVAFGVGLGFKEGFEKSSEFFAGYILEQSLSVDNLFVFVLIFNYFKVPVTYQNRVLSYGIAGAVVFRLTLILIGTATLQRFEEVNLLLAAILLYSSFKLFSSEEDESDLSDNYVVKTCQKFIPVTTYYDGDRFITNLGGVWKATPLLITVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYLVISEGMSELKYLQPSIAVVLGFIGFKMILDYFGFHVSTEASLGFVASSLTIGVVLSLAKKSE >Vigun07g284200.1.v1.2 pep primary_assembly:ASM411807v1:7:39770389:39780092:-1 gene:Vigun07g284200.v1.2 transcript:Vigun07g284200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNLRLNFGRIFSIPLTVTVLLSSAVPFPPAAATAAFSHHRSVAASASPMNTSSLSQSHSPPPPVAKKVEHAMEMFGDVRIDNYYWLRDDSRTNPEMLSYLNQENAYTDSVMSGTKEFEDKLFSEIRGRIKEDDISAPLRKGPYYYYDRTLEGKEYVQHCRRLISDSQKVPSVHDIMPTGPEAPQEHVILDENVKAQSHKYYSIGTFKVSPNNKLVAYAEDTKGDEIYTVYVIDAETRATIGEPLVGVTGYLEWAGNDALVYVTMDEILRPDKAWFHVLGTEQSKDTLLYEEKDDMFSLDLEASESERYLFVASESKNTRFNFYMDVSKPEEGLKVLTPRVEGIDTTVSHRGDHFFIKRRSDQFFNSEVVACAVNDTSSTTVLIPHNESIKIQDIQLFSDHLVAYERENGLQKIIVYHLPTVGEPLRSLESGQAVSFVDPVYSVYPTDSEFSSSILRFSYSSLRTPSSVYDYDMKTGTSVLKKINSVLGGFDSARYVTERQWAPALDGTLIPISIVYRKDAVKLDGSDPLLLYGYGSYEICVDPSFKSARLSLLDRGFVYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIASAEYLIEKKFCSKEKLCIEGRSAGGLLIGAVLNMRPDLFRAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPHILVTAGLNDPRVMYSEPAKFVAKLRDMKTDDNILLFKCELGAGHFSKSGRFEKLQEDAFTYAFIMKTLNMI >Vigun09g130000.1.v1.2 pep primary_assembly:ASM411807v1:9:28734352:28735627:-1 gene:Vigun09g130000.v1.2 transcript:Vigun09g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLFKPALLLFLLFIFTVVQGQQLTNGRLLGSQSSTTVRGEGGCSEKEDFECKEGKNGGLEESVSENEDYIYTNSLP >Vigun09g130000.2.v1.2 pep primary_assembly:ASM411807v1:9:28734572:28735719:-1 gene:Vigun09g130000.v1.2 transcript:Vigun09g130000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLFKPALLLFLLFIFTVVQGQQLTNGRLLGSQSSTTVRGEGGCSEKEDFECKEGKNGGLEESVSENEDYIYTNSLP >Vigun04g050200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4411016:4414226:-1 gene:Vigun04g050200.v1.2 transcript:Vigun04g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSAVGLWGLFVIVVLATASSAAPIIGLDSFLSQQSRSDPQASNDSFLSLPSSIKGPLSLLSDISSSSLLSLSLPISLSLHLLGDFPPDAHSLLSDFLSAAAPTAFQVITPFDSHPLSHSLSLSHTLHLQITPSRSLSSLSSLLTQTLTSSIRSTTSSLRSPLLTIPHSTIDQIIQDHFRKQNPNPNPNQVHLYLLNLPPLSDPKPYAYTYSPGESSPAFTKCSGTFFTSGDRYFWIDLRAGPVDYGPAISGDGVIPRGEFHPLAAVHGRPKSSKAFAADLASLIWSAYNVFLAPSLRIPVPFENSLTVQFIHIHSDFESTGGSGLDWKLIENSFRFDTDNSNNGLLLGDQRLSFKNYGIRFSECSICSFAIARSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSGDELRKLAGVPEEDFGRVVPVYVFDLDYTSLLLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRELERPIVGSILQSMWGVSPTHLNWSPQHNETLVDYTWSMGQTPFGPFSEMLSLSFVQKDAARRNVLLTSLNYSITSAIDVLQSIETHGGAKNLLKQKQHVEFVQRWNFFKYKLNKAVSAMSHLDFEKALFFLRSSDHDLYAIHSIVYHASQEIEASLVCFDDPPFPWGSVSVSASAFLALSYVYARRDKLFRNKRKQF >Vigun04g050200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4411016:4414226:-1 gene:Vigun04g050200.v1.2 transcript:Vigun04g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSAVGLWGLFVIVVLATASSAAPIIGLDSFLSQQSRSDPQASNDSFLSLPSSIKGPLSLLSDISSSSLLSLSLPISLSLHLLGDFPPDAHSLLSDFLSAAAPTAFQVITPFDSHPLSHSLSLSHTLHLQITPSRSLSSLSSLLTQTLTSSIRSTTSSLRSPLLTIPHSTIDQIIQDHFRKQNPNPNPNQVHLYLLNLPPLSDPKPYAYTYSPGESSPAFTKCSGTFFTSGDRYFWIDLRAGPVDYGPAISGDGVIPRGEFHPLAAVHGRPKSSKAFAADLASLIWSAYNVFLAPSLRIPVPFENSLTVQFIHIHSDFESTGGSGLDWKLIENSFRFDTDNSNNGLLLGDQRLSFKNYGIRFSECSICSFAIARSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSGDELRKLAGVPEEDFGRVVPVYVFDLDYTSLLLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRELERPIVGSILQSMWGVSPTHLNWSPQHNETLVDYTWSMGQTPFGPFSEMLSLSFVQKDAARRNVLLTSLNYSITSAIDVLQSIETHGGAKNLLKQKQHVEFVQRWNFFKYKLNKAVSAMSHLDFEKALFFLRSSDHDLYAIHSIVYHASQEIEASLVCFDDPPFPWGSVSVSASAFLALSYVYARRDKLFRNKRKQF >Vigun10g057500.1.v1.2 pep primary_assembly:ASM411807v1:10:10732206:10736857:1 gene:Vigun10g057500.v1.2 transcript:Vigun10g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMKDKNSESLWVNHHDPEGKKSLFHVIKYHPLLSHFFDRLAYKRDGDERNYLADRRKGLNHVTRNSNVEKRTTPCSSVTKESIRRKLILWKEMSKKRSRHHRSSTCPTSAISMNDNLLTTFVQDPVSPLVYHLHKQHVYTTKMKLTRSMSFPLPDPLSKRQSFGTVGNAFSPKGKGKLCFETKTPKSANFESSRKFYLRSLSQCFNVNEAMITRDVTSSSAQDQSLNEIKHFKNLKQKIEHVIGESRKEKLRVAMDAIIDKLPQGHEISSDLKKEVFKKITDSNVSREDETCHGKCNEISGNVTNSFAKHHWNIIRRKLSLEEPLDNYFRSDDKGFNAEQSHPQSKQLKLRTEKGHSRLKMLVQRMLSLPDLNSLSFPDISSLTEPKAKVASGDATLSSDNIFHQKRRSSLNLHSKVQLQPETPVEDFIQKHPHSVDENHQEISNIVERGSECSSEINDKASVTTDDFGHSCFKSGDTSNDQEMIEPIKENMTAIEESVDSKLITEPGNELENIIEKQEATITDSVKVKESTENAEKVENQSKHMNYDITLSHIPMKDKADFNYVKFVLEISGLTGKECLSAWHSSEHPVDPLLYEEMENDLDFCSYGGSGQCNHHVFFDLINETLLELSGRCYCCCSIPFSSSHPMPKGCHTLYQVWTGMNKSLCLRSKTGLTIDDHVSRDLERRDGWVNPQLYAQCVSLELEDLILHDLLEEISCDLATSI >Vigun10g057500.2.v1.2 pep primary_assembly:ASM411807v1:10:10732039:10736857:1 gene:Vigun10g057500.v1.2 transcript:Vigun10g057500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMKDKNSESLWVNHHDPEGKKSLFHVIKYHPLLSHFFDRLAYKRDGDERNYLADRRKGLNHVTRNSNVEKRTTPCSSVTKESIRRKLILWKEMSKKRSRHHRSSTCPTSAISMNDNLLTTFVQDPVSPLVYHLHKQHVYTTKMKLTRSMSFPLPDPLSKRQSFGTVGNAFSPKGKGKLCFETKTPKSANFESSRKFYLRSLSQCFNVNEAMITRDVTSSSAQDQSLNEIKHFKNLKQKIEHVIGESRKEKLRVAMDAIIDKLPQGHEISSDLKKEVFKKITDSNVSREDETCHGKCNEISGNVTNSFAKHHWNIIRRKLSLEEPLDNYFRSDDKGFNAEQSHPQSKQLKLRTEKGHSRLKMLVQRMLSLPDLNSLSFPDISSLTEPKAKVASGDATLSSDNIFHQKRRSSLNLHSKVQLQPETPVEDFIQKHPHSVDENHQEISNIVERGSECSSEINDKASVTTDDFGHSCFKSGDTSNDQEMIEPIKENMTAIEESVDSKLITEPGNELENIIEKQEATITDSVKVKESTENAEKVENQSKHMNYDITLSHIPMKDKADFNYVKFVLEISGLTGKECLSAWHSSEHPVDPLLYEEMENDLDFCSYGGSGQCNHHVFFDLINETLLELSGRCYCCCSIPFSSSHPMPKGCHTLYQVWTGMNKSLCLRSKTGLTIDDHVSRDLERRDGWVNPQLYAQCVSLELEDLILHDLLEEISCDLATSI >Vigun08g195800.1.v1.2 pep primary_assembly:ASM411807v1:8:36198568:36201534:-1 gene:Vigun08g195800.v1.2 transcript:Vigun08g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIVLLILLFIHIHGGGHHKEGRGITATSRCDFSQGNWVADESYPLYNTSQCPFILKQFDCIRNGRPDKNYVKYRWQPKNCNLPRFNGEDLLRRVRGKSILFVGDSLSLNQWQSLTCMLHTAVPRANYTSLRTGSLSTFTFPMYDVKVKLSRNAFLVDIASESIGRVLKLDSIEDGKNWKGNDILIFDSWHWWLHIGRKQPWDFIREGNHTYKDMDRLVAYEKGLKTWARWVEDNVNPNKTRVFFQGVSPDHLSGEKWGEAKARFCEGQMVPMSGTKYSHPAETVLQKVLGKMSKHVNLLNITALSQMRKDGHPSVYGFGGRRSMDCSHWCLPGVPDTWNLLLYAVLIQN >Vigun08g195800.2.v1.2 pep primary_assembly:ASM411807v1:8:36198568:36201534:-1 gene:Vigun08g195800.v1.2 transcript:Vigun08g195800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIVLLILLFIHIHGGGHHKEGRGITATSRCDFSQGNWVADESYPLYNTSQCPFILKQFDCIRNGRPDKNYVKYRWQPKNCNLPRFNGEDLLRRVRGKSILFVGDSLSLNQWQSLTCMLHTAVPRANYTSLRTGSLSTFTFPMYDVKVKLSRNAFLVDIASESIGRVLKLDSIEDGKNWKGNDILIFDSWHWWLHIGRKQPWDFIREGNHTYKDMDRLVAYEKGLKTWARWVEDNVNPNKTRVFFQGVSPDHLSVN >Vigun03g193700.1.v1.2 pep primary_assembly:ASM411807v1:3:27041898:27042791:1 gene:Vigun03g193700.v1.2 transcript:Vigun03g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTFNRILVEKIVPPSKTSAGILLPEKSSQLNSGKVIAVGPGSRDKAGNFIPVSVKEGDRVLLPEYGGTQIKLDDKEFHLFRDEDILGILHE >Vigun11g132400.1.v1.2 pep primary_assembly:ASM411807v1:11:34111396:34113041:1 gene:Vigun11g132400.v1.2 transcript:Vigun11g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSFLCSLLLALLLNVTNAQPLVPAFFIFGDSVVDVGNNNHKFSVVKANFPPYGRDFENHYPTGRFCNGKLATDFTADLLGFTSYPPPYLNLNTKGNNLLNGANFASAASGYYKHTAKLYNAIPLSQQLEYYKECQNKLVEVAGQKNASSIISDALYLVSAGTSDFIQNYYINPLLNKFYTIDRFSDTILQSYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGSKSNECVAKLNSDAISFNKKLNTTSQKLKNMLPGLKLVIFDIYQPLYDLVTKHSQNGFFEARKGCCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLANNLVAAGVSLIS >Vigun04g032000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2597514:2599546:-1 gene:Vigun04g032000.v1.2 transcript:Vigun04g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWISRTRLRFGLSSSIPKYHPFLSNPSSLSSFGSHTQTPLLEDHYVHQAVFQFESMIRTRPVPPMYEFGKILGSLTKMKRYPTIIFLSKQMEIKGIHHNLVTLNILINCFCHLSQMTFAFSIFGKIFKLGYHPSVVTLTTLMRGLCTNGEVRKALNFHDKMVAQGFRFNEVGYGTLIQGLCHIGETNAAIQLLRIIEVRSIKPNVVMYNTIIHHLFIDGRANEAFDLYSEMVAKGISPTIVTCTTLVYGFCILHHLREAIDFINEMISNNIRPNIYTYSILIDALCKEGRIRQAKNVLAMMVRAYVKPNIFTLTSLMDGYYLVNEVKNAKHVFNLITKLGVTPTVHSYSIMINGLCKSKKVDEAMNLFQDMHKRNMVPDTVTYSSLIDGLCKSGRIAYVWDLIYEMHDRNQQPDVITYTCLIDALCKNYHLDKAFELLKTMMEKGIQPDMYTWNILIDGMCKGGRLEKAQEIFQDLLIKGYPLDVRSYSIMIHGFCKKGLVDEALNLWSKMENNGCLPDAIAFEIIITALFEKDETDKADTFLREMISRGLLKS >Vigun03g413800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62082787:62083472:-1 gene:Vigun03g413800.v1.2 transcript:Vigun03g413800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPLNLTSNLFFIFTFTILYAAYTFSTFQPKQTNLIFYVHDHFTGDHTTAITVAGKTGPVSNILNFGTVAVVDDPVTEGPNIDSTLIGRAQGMYVNSQLDGKAVYMFFSVIFTSGEFKGSSLEIQGYDKFEMKEREFGVVSGTGYFRFVKGYGIMETEFMDIATLRATLKLNVTLKHY >Vigun05g278200.1.v1.2 pep primary_assembly:ASM411807v1:5:46760216:46765311:-1 gene:Vigun05g278200.v1.2 transcript:Vigun05g278200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRCFLVGFVGGLLLAVLIQAQDQSGFISIDCGAPEDVSYTESKTGINYTSDANLINTGVSKSIESDLRGKYQRQMWTVRSFPEGKRNCYKINITRGSKYLIRVSFLYGNYDDLNKTPEFDIHLGANRWGTVNINNGSNEVDMEIIHIPSQDYVQICLVDTGHGTPFISAIEFRTLPNNIYVTESGSLETYIRWDIGSNIGYRYKYDVYDRYWRYGNINNWTQLNVSISADALDQNVYKPPAIVMSTAVTPANVSAPLVISWRPADPTEQFYVYLYFMEIQELATNQTREFNIVENGKQRFSKFSPRNLLVTTLYSSSASSGKEIIYSLQKTQNSTLPPIINALEIYRVIDFPQSDTFEGDDDAITTIKSVYGVTRNWQGDPCAPVDYLWDGLNCSYSKKESPRITTLNLSSSGLSGKIDPSISNFTMLEKLDLSNNSLNGEIPDFLSNLQHLKILNLKKNNLSGLIPSALVEKSKGGSLSLSVDQNLCESGQCNEQDKESGKKKNIVAPLVASVSGVVLLLFVVAAILWTLKRRKMKALIVEKDQSQISPQNTEQDDLLIPFKKQIYSFSDIVKITNNFNTTLGRGGFGTVYHGHIEDTPVAVKMLSSSSVQGFQQFQAEVKLLMRVHHKNLTSLVGYCNEETNKGLIYEYMANGNLQEHLSGNHSKAKFFTWEERLRVAVDAALGLEYLHNGCRPSIIHRDIKSTNILLNEHFQAKLADFGLSKIIPTEGGTHVSTVVAGTPGYLDPEYFITNRLTEKSDVYSFGVVLLEIITNQAVIMRGEDSIHISDWVRSLVVKGDMKAIVDSRLEGDYDTNSVWKAVEIATACVSSNMNRRPVTSEIVIELKESLSMEVARTKYRGNHSTDLGVTLNMNTEFIPQAR >Vigun06g154500.1.v1.2 pep primary_assembly:ASM411807v1:6:27828996:27831459:-1 gene:Vigun06g154500.v1.2 transcript:Vigun06g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEETPLPTSDDHGAPLLPVHEAVEGTQSIVSFTMKSILTLENFYVLLGPLLTLLICLFVKLEPPNSQKMLGVAAWVFTWWITQAVPLPVTSLCPLFLFPMFGIASADSVAHSYMNDLVTLILGSFILALAVERYNVHRRLALNVTLLFCTDPVNPALLLLGLCATIFLVSMWLLNVPTAVMMMSVVTGMLQRLPPMQEQSEEVRKFCKAVILTVVYATPIGGMSTLTGTGVNLIIIGMWKSLFPEGKAISFNTWFFFGFPVAILILICFWCILCLLYLSKGTSRALSSYLGKVHLRRDLEDLGPTTFAEKMVLSISGLLIILWMTRRITDDIPGWGVLFHGLVGDGCVSVMVAVLLFIIPNMKREGEKLMSWNDCKKLPWSLVLLLGAGFAVADGVQSSGLADVLSGFLNCLQDTPYMAIVPAVCVICSIITEFITSNAATATLVVPLLYQIAITMHLHPLMLIIPGGIATEFAFWLPTSTPSNALGIATGHRN >Vigun06g154500.2.v1.2 pep primary_assembly:ASM411807v1:6:27829029:27831417:-1 gene:Vigun06g154500.v1.2 transcript:Vigun06g154500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEETPLPTSDDHGAPLLPVHEAVEGTQSIVSFTMKSILTLENFYVLLGPLLTLLICLFVKLEPPNSQKMLGVAAWVFTWWITQAVPLPVTSLCPLFLFPMFGIASADSVAHSYMNDLVTLILGSFILALAVERYNVHRRLALNVTLLFCTDPVNPALLLLGLCATIFLVSMWLLNVPTAVMMMSVVTGMLQRLPPMQEQSEEVRKFCKAVILTVVYATPIGGMSTLTGTGVNLIIIGMWKSLFPEGKAISFNTWFFFGFPVAILILICFWCILCLLYLSKGTSRALSSYLGKVHLRRDLEDLGPTTFAEKMVLSISGLLIILWMTRRITDDIPGWGVLFHGLVGDGCVSVSIL >Vigun06g166600.4.v1.2 pep primary_assembly:ASM411807v1:6:28881360:28886034:1 gene:Vigun06g166600.v1.2 transcript:Vigun06g166600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQFVAIILSVTFVLFFGEVIPQSICSRYGLAVGANFVWLVRILMIICYPVAYPIGKVLDRLLGHDEALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRARGKGKIIPEITGAETYERNKGVNGDSQLTTPLLLKQDDQKMESVVVDIHKFSRPPSINKSTSLQRSDATTNGSFSDNMDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGLKGAGSQSKTGLTVKKSAEEDGSNTRPQ >Vigun06g166600.1.v1.2 pep primary_assembly:ASM411807v1:6:28880419:28886034:1 gene:Vigun06g166600.v1.2 transcript:Vigun06g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSALMVTQDQLGEPEGTPFGSVWWFVYAGISCFLVIFAGIMSGLTLGLMSLGLVDLEILQRSGSPSEKKQAAVILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKMFNQFVAIILSVTFVLFFGEVIPQSICSRYGLAVGANFVWLVRILMIICYPVAYPIGKVLDRLLGHDEALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRARGKGKIIPEITGAETYERNKGVNGDSQLTTPLLLKQDDQKMESVVVDIHKFSRPPSINKSTSLQRSDATTNGSFSDNMDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGLKGAGSQSKTGLTVKKSAEEDGSNTRPQ >Vigun06g166600.2.v1.2 pep primary_assembly:ASM411807v1:6:28880419:28886133:1 gene:Vigun06g166600.v1.2 transcript:Vigun06g166600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSALMVTQDQLGEPEGTPFGSVWWFVYAGISCFLVIFAGIMSGLTLGLMSLGLVDLEILQRSGSPSEKKQAAVILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKMFNQFVAIILSVTFVLFFGEVIPQSICSRYGLAVGANFVWLVRILMIICYPVAYPIGKAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRARGKGKIIPEITGAETYERNKGVNGDSQLTTPLLLKQDDQKMESVVVDIHKFSRPPSINKSTSLQRSDATTNGSFSDNMDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGLKGAGSQSKTGLTVKKSAEEDGSNTRPQ >Vigun06g166600.5.v1.2 pep primary_assembly:ASM411807v1:6:28881249:28886133:1 gene:Vigun06g166600.v1.2 transcript:Vigun06g166600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQFVAIILSVTFVLFFGEVIPQSICSRYGLAVGANFVWLVRILMIICYPVAYPIGKAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRARGKGKIIPEITGAETYERNKGVNGDSQLTTPLLLKQDDQKMESVVVDIHKFSRPPSINKSTSLQRSDATTNGSFSDNMDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGLKGAGSQSKTGLTVKKSAEEDGSNTRPQ >Vigun06g166600.3.v1.2 pep primary_assembly:ASM411807v1:6:28881249:28886133:1 gene:Vigun06g166600.v1.2 transcript:Vigun06g166600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQFVAIILSVTFVLFFGEVIPQSICSRYGLAVGANFVWLVRILMIICYPVAYPIGKVLDRLLGHDEALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRARGKGKIIPEITGAETYERNKGVNGDSQLTTPLLLKQDDQKMESVVVDIHKFSRPPSINKSTSLQRSDATTNGSFSDNMDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGLKGAGSQSKTGLTVKKSAEEDGSNTRPQ >Vigun03g265000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43471392:43471754:-1 gene:Vigun03g265000.v1.2 transcript:Vigun03g265000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSMSTRRGPGRYERLGKESVTTALLNESFKRSTSLPSWGSNPSRKMALGSTFGDFNLQRNPTKKGNNSEKKSHPLLSFLALRRKKKTTARPEFARYLEYLKEGGMWDLKSNKPVMHYV >Vigun05g169600.1.v1.2 pep primary_assembly:ASM411807v1:5:29503729:29509391:-1 gene:Vigun05g169600.v1.2 transcript:Vigun05g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPMCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAISKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIRCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLTKGEMLMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPPAPPLC >Vigun06g066950.1.v1.2 pep primary_assembly:ASM411807v1:6:19549016:19552537:1 gene:Vigun06g066950.v1.2 transcript:Vigun06g066950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKNQCHTVQEDEEDNAKWVTHYSSDHQILLVGEGDFSFSLSLAKSFGSAANIVASSLNSYDDVTKMYKLAKSNLDELHKLGAFLLHGVDATKMKLHPDIKMRRFDRVIFNFPHAGFHGKEDSSSLIKMHKALVHGFFNNASRMLRPNGEIHLSHKTTEPFSYWNIENLAAQCFLTLIERADFKREDYPGYNNKRGDSYRCDEPFPLGKCCTYKFVYIPEGKRKRMKRKRMRVSRHKRNLPCQEIEYAVEQFPISAHLNYYPTTSHFLKMKEETLFNGVHSSSMTEVHGRGAPPDGYSSLGTSLGSPRTFQPWPASANVRYSLTDHIRTMETVPEPLYTPRTLQPMEPLQSLQPGPTSSYIRYSLTDHIRTMETVPEPLYTRRTLQPMEKLQSLQPWPSSANLRYSLSDHIRTMETVPEPLYGRRTWQPMEPLQSLQPGPTSANIRYSLSDHIRTMETVPEPLYGRRTWQRMEPLQSLQPGPTSANIRYSLTDHIRTMETVPEPLYTRRTVQPMQHLQSLLPVPTSTDVRFSLIDHARTMEAVRVSPDARNEGSNYFGEDHGRTMHTAPLSHGARNEGYYQVCGCCSNDWQQEHCRTTNESGSCLDGESSDFDRFIPEVPGRNVQSELHRMNILMSERRVFVQS >Vigun01g175400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35668742:35669758:1 gene:Vigun01g175400.v1.2 transcript:Vigun01g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSFKKLAKKVKGVGGFDPAHHEGLLKNYEEKCVTNSPPTGFFALYVGEERQRYVVPTRYLSHPLFKMLLEKAYNEFGFSQRNGLVVPCSVSTFEEVLNAIECNNGTFDLGKFFAEFV >Vigun02g080500.1.v1.2 pep primary_assembly:ASM411807v1:2:23323725:23327513:-1 gene:Vigun02g080500.v1.2 transcript:Vigun02g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQTMSSLLSLLIPLLATSCVSVAVFSFQASLKTTYLEPFRCSSMISTCNASLYHISYNHNADDLANFYSVHPSQIKPIMRGTKKDYLVTVPCSCNNTNDDDLGGYFYDTTYKVKPNDSSVEINNVVYSGQAWSINTEVDPNEDLAIHLPCGCSKKSDSQIVVTYTVQRNDTPISIATLLNATLDDMVSMNEVLVQNPSFIDINWVLYVPRELNGLPSKGKEKKHYIIIGILAGVTFFSTITLIILVVVLRRSRASVAAKNDPNIVSKRSIGNRTISIKNRDFHAEHIEDATPFESERPVIYALEEIEDATNNFDETRKIGVGGYGSVYFGMLEEKEVAVKKMRSNKSKEFYAELKALCKIHHINIVELLGYASGDDHLYLVYEYVPNGSLSKHLHDPLLKGHQPLSWCARVQIALDAAKGLEYIHDYTKARYVHRDIKTCNILLDEKLRAKVADFGLAKLVERTNDEEFIATRLVGTPGYLPPESVKELQVTIKTDVFAFGVVLSELITGKRALFRDNQQPNNMKSLVTVVSQIFKNKYPENVLADAIDGNLQHSYPMEDVYRMAEVAHWCLCEDPNDRPVMREIVVALSQIVMSSTEWEASLGGDSQVFSGVLDGR >Vigun05g073100.4.v1.2 pep primary_assembly:ASM411807v1:5:6428161:6432413:-1 gene:Vigun05g073100.v1.2 transcript:Vigun05g073100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYGKKLRELQIQEWKGYYINYKLMKKKVKRYVEQLEVGAQNRHNVLRDFSMLLDNQIEKIVLFLLEQQGVLAHRLLDIGKEHHTLFEHPNSVKISELQEAYRDVGRDLLRLLNFLEMNAIGLRKILKKIDKRFGYKFTDYYVKTRANHPYSQLRQVFRHVGIGAVVGVLSHGLADLQDLQQSQGSYISIYDQPSYTHQDPVLKSIKEAVGRLSNSTNFLQFLGRHAFIMQEELPSPPEDHIVDERYHFMSLLLNLANTFLYMVNTYIIVPTADNYTLNLGAAASVCGVVIGTMAVAQMFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAFDMNSIVVLLIGRLFCGLGSARAINRRYISDCVPSKLRMQASAGFVSASALGMACGPALACLLQTDFRIYRFTMNQDTLPGWVMSLAWLIYLLWLWICFKEPAHENQANLVLYEADTGPAVHVAVENEPTQPLLMNSEEKEQDEEGEEENDNAEETKKPVTSIVVAYKLLTPAVKVQLFVYFMLKYAMEIVLAESSLVTEYYFIWSTSNVSIFLACLGLTVLPVNIVVGNYISNIFEERQVLLTSEIMVCIGLLLSFHIMIPYSVTQYVGSALLTFVSAEVLEGNLFSLYIMSKIYLICCIR >Vigun05g073100.1.v1.2 pep primary_assembly:ASM411807v1:5:6427506:6435267:-1 gene:Vigun05g073100.v1.2 transcript:Vigun05g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYGKKLRELQIQEWKGYYINYKLMKKKVKRYVEQLEVGAQNRHNVLRDFSMLLDNQIEKIVLFLLEQQGVLAHRLLDIGKEHHTLFEHPNSVKISELQEAYRDVGRDLLRLLNFLEMNAIGLRKILKKIDKRFGYKFTDYYVKTRANHPYSQLRQVFRHVGIGAVVGVLSHGLADLQDLQQSQGSYISIYDQPSYTHQDPVLKSIKEAVGRLSNSTNFLQFLGRHAFIMQEELPSPPEDHIVDERYHFMSLLLNLANTFLYMVNTYIIVPTADNYTLNLGAAASVCGVVIGTMAVAQMFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAFDMNSIVVLLIGRLFCGLGSARAINRRYISDCVPSKLRMQASAGFVSASALGMACGPALACLLQTDFRIYRFTMNQDTLPGWVMSLAWLIYLLWLWICFKEPAHENQANLVLYEADTGPAVHVAVENEPTQPLLMNSEEKEQDEEGEEENDNAEETKKPVTSIVVAYKLLTPAVKVQLFVYFMLKYAMEIVLAESSLVTEYYFIWSTSNVSIFLACLGLTVLPVNIVVGNYISNIFEERQVLLTSEIMVCIGLLLSFHIMIPYSVTQYVGSALLTFVSAEVLEGVNLSLLSKMMSSRLSRGTFNGGLLSTEAGTLARVIADGTITISGYFSESNLLNTTLLPALLICVSSIIATCYSYNSLY >Vigun05g073100.3.v1.2 pep primary_assembly:ASM411807v1:5:6427506:6432279:-1 gene:Vigun05g073100.v1.2 transcript:Vigun05g073100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYGKKLRELQIQEWKGYYINYKLMKKKVKRYVEQLEVGAQNRHNVLRDFSMLLDNQIEKIVLFLLEQQGVLAHRLLDIGKEHHTLFEHPNSVKISELQEAYRDVGRDLLRLLNFLEMNAIGLRKILKKIDKRFGYKFTDYYVKTRANHPYSQLRQVFRHVGIGAVVGVLSHGLADLQDLQQSQGSYISIYDQPSYTHQDPVLKSIKEAVGRLSNSTNFLQFLGRHAFIMQEELPSPPEDHIVDERYHFMSLLLNLANTFLYMVNTYIIVPTADNYTLNLGAAASVCGVVIGTMAVAQMFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAFDMNSIVVLLIGRLFCGLGSARAINRRYISDCVPSKLRMQASAGFVSASALGMACGPALACLLQTDFRIYRFTMNQDTLPGWVMSLAWLIYLLWLWICFKEPAHENQANLVLYEADTGPAVHVAVENEPTQPLLMNSEEKEQDEEGEEENDNAEETKKPVTSIVVAYKLLTPAVKVQLFVYFMLKYAMEIVLAESSLVTEYYFIWSTSNVSIFLACLGLTVLPVNIVVGNYISNIFEERQVLLTSEIMVCIGLLLSFHIMIPYSVTQYVGSALLTFVSAEVLEGVNLSLLSKMMSSRLSRGTFNGGLLSTEAGTLARVIADGTITISGYFSESNLLNTTLLPALLICVSSIIATCYSYNSLY >Vigun05g073100.2.v1.2 pep primary_assembly:ASM411807v1:5:6427506:6432413:-1 gene:Vigun05g073100.v1.2 transcript:Vigun05g073100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYGKKLRELQIQEWKGYYINYKLMKKKVKRYVEQLEVGAQNRHNVLRDFSMLLDNQIEKIVLFLLEQQGVLAHRLLDIGKEHHTLFEHPNSVKISELQEAYRDVGRDLLRLLNFLEMNAIGLRKILKKIDKRFGYKFTDYYVKTRANHPYSQLRQVFRHVGIGAVVGVLSHGLADLQDLQQSQGSYISIYDQPSYTHQDPVLKSIKEAVGRLSNSTNFLQFLGRHAFIMQEELPSPPEDHIVDERYHFMSLLLNLANTFLYMVNTYIIVPTADNYTLNLGAAASVCGVVIGTMAVAQMFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAFDMNSIVVLLIGRLFCGLGSARAINRRYISDCVPSKLRMQASAGFVSASALGMACGPALACLLQTDFRIYRFTMNQDTLPGWVMSLAWLIYLLWLWICFKEPAHENQANLVLYEADTGPAVHVAVENEPTQPLLMNSEEKEQDEEGEEENDNAEETKKPVTSIVVAYKLLTPAVKVQLFVYFMLKYAMEIVLAESSLVTEYYFIWSTSNVSIFLACLGLTVLPVNIVVGNYISNIFEERQVLLTSEIMVCIGLLLSFHIMIPYSVTQYVGSALLTFVSAEVLEGVNLSLLSKMMSSRLSRGTFNGGLLSTEAGTLARVIADGTITISGYFSESNLLNTTLLPALLICVSSIIATCYSYNSLY >Vigun09g002200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:172994:173857:-1 gene:Vigun09g002200.v1.2 transcript:Vigun09g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTDTLGESPAVELIGKVMIIVIIIFFFLVVIALGVHLFTRNFWWREPAPQSRRRRRFVFSSGQEGGLRRGLDPSVLSSIPVLVFQAQDFKEGLECSVCLSEVVEGEKARLLPKCNHGFHVGCIDMWFQSHSTCPLCRNSVSAQSDENNSAQNSEAESPTFPTNVLVWGDHNQITSTGAASLEESASQPPCPSSSSSAATCDDDDSNNSNRRHGTLVIDIPSDITSSSLSPSANRFAEDDLKSPMTGRLRSLKRLLSRDRRLNPWSPTSVDVEQQAANSGGGGHS >Vigun02g089400.4.v1.2 pep primary_assembly:ASM411807v1:2:24411969:24416524:1 gene:Vigun02g089400.v1.2 transcript:Vigun02g089400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVVTEEDGLSKVSSTVASGSSQSLDCFSQNGAGLKERNYLGLSDCSSVDSCASTVPGLGDEKKENMNLKATELRLGLPGSQSPERDPDLFSLSSAKLDEKPLFPLVPTKDGICLSSQKTVVSGNKRGFADTMDGFSQGKFTGNTGMNAMLSPRPSGAQPSAMKEIPSKLQERPCSANNGTSHNHTGASISGSAPASKAQVVGWPPIRSFRKNSMATTSNKNNDEVDGKPGGGALFVKVSMDGAPYLRKVDLRSYSTYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRT >Vigun02g089400.2.v1.2 pep primary_assembly:ASM411807v1:2:24411829:24416467:1 gene:Vigun02g089400.v1.2 transcript:Vigun02g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVELLANGVIVMIGPFFTMLKRDFLINGWFDCVWSVMSPPAVVTEEDGLSKVSSTVASGSSQSLDCFSQNGAGLKERNYLGLSDCSSVDSCASTVPGLGDEKKENMNLKATELRLGLPGSQSPERDPDLFSLSSAKLDEKPLFPLVPTKDGICLSSQKTVVSGNKRGFADTMDGFSQGKFTGNTGMNAMLSPRPSGAQPSAMKEIPSKLQERPCSANNGTSHNHTGASISGSAPASKAQVVGWPPIRSFRKNSMATTSNKNNDEVDGKPGGGALFVKVSMDGAPYLRKVDLRSYSTYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRT >Vigun02g089400.1.v1.2 pep primary_assembly:ASM411807v1:2:24412012:24416547:1 gene:Vigun02g089400.v1.2 transcript:Vigun02g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVVTEEDGLSKVSSTVASGSSQSLDCFSQNGAGLKERNYLGLSDCSSVDSCASTVPGLGDEKKENMNLKATELRLGLPGSQSPERDPDLFSLSSAKLDEKPLFPLVPTKDGICLSSQKTVVSGNKRGFADTMDGFSQGKFTGNTGMNAMLSPRPSGAQPSAMKEIPSKLQERPCSANNGTSHNHTGASISGSAPASKAQVVGWPPIRSFRKNSMATTSNKNNDEVDGKPGGGALFVKVSMDGAPYLRKVDLRSYSTYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRT >Vigun02g089400.5.v1.2 pep primary_assembly:ASM411807v1:2:24412902:24416467:1 gene:Vigun02g089400.v1.2 transcript:Vigun02g089400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVVTEEDGLSKVSSTVASGSSQSLDCFSQNGAGLKERNYLGLSDCSSVDSCASTVPGLGDEKKENMNLKATELRLGLPGSQSPERDPDLFSLSSAKLDEKPLFPLVPTKDGICLSSQKTVVSGNKRGFADTMDGFSQGKFTGNTGMNAMLSPRPSGAQPSAMKEIPSKLQERPCSANNGTSHNHTGASISGSAPASKAQVVGWPPIRSFRKNSMATTSNKNNDEVDGKPGGGALFVKVSMDGAPYLRKVDLRSYSTYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRT >Vigun02g089400.3.v1.2 pep primary_assembly:ASM411807v1:2:24411969:24416539:1 gene:Vigun02g089400.v1.2 transcript:Vigun02g089400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVVTEEDGLSKVSSTVASGSSQSLDCFSQNGAGLKERNYLGLSDCSSVDSCASTVPGLGDEKKENMNLKATELRLGLPGSQSPERDPDLFSLSSAKLDEKPLFPLVPTKDGICLSSQKTVVSGNKRGFADTMDGFSQGKFTGNTGMNAMLSPRPSGAQPSAMKEIPSKLQERPCSANNGTSHNHTGASISGSAPASKAQVVGWPPIRSFRKNSMATTSNKNNDEVDGKPGGGALFVKVSMDGAPYLRKVDLRSYSTYQELSSALEKMFSCFTLGQCGSHGAPGREMLSESKLRDLLHGSEYVVTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRT >Vigun08g135900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30760901:30764947:-1 gene:Vigun08g135900.v1.2 transcript:Vigun08g135900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSEEKSQAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPSRSASGGAAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVDTPVTYDLDLDGDVPDGDDKEEKEKKRRRKKKKSEKKRRRYDSDSDSESDSGSDASSVSGSESSSESSSGSDSEEERRRRRKKQRKRSRRSRRSYSSSSGSESDTDPGSDSDSDDKSSRRKKRRSRKR >Vigun08g135900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30760367:30764947:-1 gene:Vigun08g135900.v1.2 transcript:Vigun08g135900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSEEKSQAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPSRSASGGAAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVDTPVTYDLDLDGDVPDGDDKEEKEKKRRRKKKKSEKKRRRYDSDSDSESDSGSDASSVSGSESSSESSSGSDSEEERRRRRKKQRKRSRRSRRSYSSSSGSESDTDPGSDSDSDDKSSRRKKRRSRKR >Vigun08g135900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30764003:30764788:-1 gene:Vigun08g135900.v1.2 transcript:Vigun08g135900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSEEKSQAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPSRSASGGAAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVDTPVTYDLDLDGDVPDGDDKEEKEKKRRRKKKKSEKKRRRYDSDSDSESDSGSDASSVSGSESSSESSSGSDSEEERRRRRKKQRKRSRRSRRSYSSSSGSESDTDPGSDSDSDDKSSRRKKRRSRKR >Vigun08g135900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30760903:30764947:-1 gene:Vigun08g135900.v1.2 transcript:Vigun08g135900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSEEKSQAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPSRSASGGAAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVDTPVTYDLDLDGDVPDGDDKEEKEKKRRRKKKKSEKKRRRYDSDSDSESDSGSDASSVSGSESSSESSSGSDSEEERRRRRKKQRKRSRRSRRSYSSSSGSESDTDPGSDSDSDDKSSRRKKRRSRKR >Vigun09g215400.1.v1.2 pep primary_assembly:ASM411807v1:9:39001383:39003143:1 gene:Vigun09g215400.v1.2 transcript:Vigun09g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVEMLEAKVRHMKEQNRTLRMMLETMSRKWQKLQLHVQEINNAQGCRQSFSTAQKPSRIFVKTHPNDNSVMVKDGYRWKKYGQKKTTKDNPSPRAYYKCALAPTCPVKKKVQISIQDKSIIVATYEGKHNHGFASRDLLKPSSSSTPEVSTIMNNDLPMTNMSNNINIDLCLWNRVTLCDDDKQHKDGGTHIKVQECVTSLLKDPNFIASFAEAVILSINTQNKQVGLNLSLGLPQPHFFK >Vigun07g161000.1.v1.2 pep primary_assembly:ASM411807v1:7:27267439:27270595:-1 gene:Vigun07g161000.v1.2 transcript:Vigun07g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCCAPKKSLSKREHDAPAPAPEPEAAAAAGGAPAAAPAPAPAPEIKKQKSDDQISVDPENIKVRAFTFRELATATKNFRQDCLLDEGIFGRVYKGVIPATGQLVAVKQLDRNGIGKEFLGDVSDLSLMQHENLVNLIGYCADGDQRLLVYELFSGRTLESRLFENKEDEGPLSWFDRMKIVAGASKGLEYLHESADPPIIFKDLKASSILVDNDFKAKVRDVGMAKLFGADKMNNGPPRLMGNNGYCAPEYVRAGQISKKSDVYSFGVILLELITGRRAIDTTRPNEEQNLVAWATPLFRDPKRYPDMADPVLKRNFPDKDLNQVVAIASMCLQEEAEARPFISDVVTALSFLSAAPVAAPAPPSASESETGSVSRKGSRRSSVDGDGQLPPAVSSKNSRKGSKKSSLKLLSQKLSQKSSGEDSGRKSGKKSHKDPSQKKSSRKSSLRGLSHKSSKKSSSRKSSKDSEDGSVSSSCRSSSVASDDERSMSARSSMRLSQGSDHSDSNNSSRRTEDADHNLLDRTSTMESDVGSGHPFDQNSSSGSSVYSR >Vigun09g089600.1.v1.2 pep primary_assembly:ASM411807v1:9:12364881:12369266:1 gene:Vigun09g089600.v1.2 transcript:Vigun09g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTSSNPDDKGQPSLDSKSEKKDYSTAILERKKSPNRLIVDEAVNDDNSVVTLHPETMEKLQLFRGDTVLIKGKKRRDTVCIVLVDEHCDEPKIRMNKVVRANLRVRLGDVVSVNQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLESYRPVRKGDLFLVRGGMRSVEFKVIETDPSEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNSPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKTRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDNVDLEKVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEVLNSMAVTNEHFQTALTSSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDQSSRLQIFKACLRKSPISKDVDLQALSSYTHGFSGADITEICQRACKYAIREDIEKGIEQERRKRENPEAMEEDEIVEVSEIKPAHFEESMKFARRSVSDADIRKYQLFAQTLQQSRGFGSEFRFSERNENTATGASDPFSSAAAEGDDDLYG >Vigun09g089600.2.v1.2 pep primary_assembly:ASM411807v1:9:12364881:12369266:1 gene:Vigun09g089600.v1.2 transcript:Vigun09g089600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTSSNPDDKGQPSLDSKSEKKDYSTAILERKKSPNRLIVDEAVNDDNSVVTLHPETMEKLQLFRGDTVLIKGKKRRDTVCIVLVDEHCDEPKIRMNKVVRANLRVRLGDVVSVNQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLESYRPVRKGDLFLVRGGMRSVEFKVIETDPSEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNSPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKTRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDNVDLEKVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEVLNSMAVTNEHFQTALTSSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQFCGGCWWCCR >Vigun07g198400.1.v1.2 pep primary_assembly:ASM411807v1:7:31843820:31866472:-1 gene:Vigun07g198400.v1.2 transcript:Vigun07g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDELPLPADKAFLREDLLRIDECWIAARFDSLPHVVHILTSKDRDAAAQFLKEQSDIIEDVVDEVVHSYHSGFNRAIQNYSQILKLFSESTESISVLRVDLAEAKKRLSARNKQLHQLWYRSVTLRHIISLLDQIEDIAKVPARIEKLISEKQFYAAVQLHVQSVLMLERGLQNVGALQDVRSELTKLRGVLFYKILEDLHAHLYNKGEYSVAGSTLLENDDDVPTTTASLAAHNSQSLSRRTRSFKGDSRNSLQTDGSYRTGSVAGGSYDGRDEAYSNEEGTLDGNMAAMRSNGSDVPKDSNNALRQMPTWLSNSTPDEFLETIRKSDAPLHVKYLQTMVECLCMLGKVAAAGAMICQRLRPTIHDIITSNIKAHAEFLNSSRSSTGHGSHAGTGNLHFIKGQLESFQLPKQKPKNGISSSGTLLAVSPVSPLMAPGGKAQVTAKELLDSILDSVVRLFENHVIVGELLEAKASQHADINTPKSVPVDISWNPDSEASQATGGYTIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKVPSKDKRDGSEDGLSFAFRFTDATISMPNQGVDLVRQGWNRKGPNVQEGYGSAAVLPEEGIYLAASIYRPVLQFTDKIASMLPTKYSQLGNDGLLAFVENFLKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHVATAYTPSIEKGRPVLQGLLTIDHLTKEVLGWAQAMPKFANDLVKYVQTFLERTYERCRTAYMEAVLEKQSYMLIGRFDIDKLMRLNSSSAYLPNQLGQFNMESNSSDAETIEAESELSELLLNLRPIKQENLIHDDNKLILLASLSDSLEYVADSIERLGQTTQRAPNHGKDHHSRSDSAPPKSLASFAQDYRKLAIDCLKVLRIEMQLETIFHMQEMANTEYLDDQDAEEPDDFIISLTSQITRRDEEIAPFISNVKRNYLFGGICGVAANAFLKALADMKSINLFGVQQICRNAIALEQALAAIPSINSEAVQQRLDRVRTYYELLNMPFEALVAFITEHMHLFTRAEYTNLLNVQVPGREIPPDAHDRVSEILST >Vigun01g155600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33815457:33819130:-1 gene:Vigun01g155600.v1.2 transcript:Vigun01g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRNVVSWTIMISGYMQNGQENDAIIMYIQMLRSGYLPDQFTFGSIIKACCIVGDIDFGRQLHGHVIKSGYDHHLIAQNALISMYTKFRQIAHASSVFDIISTKDLISWASMIAGFTQLGNDIEALYLFRDMLRQSVYQPNEFIFGSVFSACGSLLEPEFGRQIHGVCAKFGLGRNIFAGCSLCDMYAKFGLLPLAERAFYQIESPDLVSWNAIITAFSDSGHVNEAVSFFHQMMHTGLMPDNITFLSLLCPCGSFLTRNQGMQMHSYIIKIGLDKEAAVCNSLLTMYTKCSSLHDAFNVFTYLGKRANLVSWNAILSACLQHKHAREAFRLFKLMLFSENKPDSITITTILGTCAELASLEVGNQVHCFTIKSGLVVDVSVRNRLIDMYAKCGSLKHARDVFDSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRLMRNLGVKPNEVTYLGVLSACSHIGLVEEGWHLYRAMEVELGNAPTREHVSCMVDLLARAGCLYEAENFIKKTGFDPDINTWKTLLASCKTHGNVDIAERVAENILKLDPCNSAALVLLTNINASAGNWKEVARLRHLMKQMGVQKVPGQSWIEFKDQIHVFFSEDTSHPQSGNIYSMLEDLWLQMLDHGYDPCQRLDINIW >Vigun01g155600.2.v1.2 pep primary_assembly:ASM411807v1:1:33813925:33819130:-1 gene:Vigun01g155600.v1.2 transcript:Vigun01g155600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLNQGFTQLGNDIEALYLFRDMLRQSVYQPNEFIFGSVFSACGSLLEPEFGRQIHGVCAKFGLGRNIFAGCSLCDMYAKFGLLPLAERAFYQIESPDLVSWNAIITAFSDSGHVNEAVSFFHQMMHTGLMPDNITFLSLLCPCGSFLTRNQGMQMHSYIIKIGLDKEAAVCNSLLTMYTKCSSLHDAFNVFTYLGKRANLVSWNAILSACLQHKHAREAFRLFKLMLFSENKPDSITITTILGTCAELASLEVGNQVHCFTIKSGLVVDVSVRNRLIDMYAKCGSLKHARDVFDSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRLMRNLGVKPNEVTYLGVLSACSHIGLVEEGWHLYRAMEVELGNAPTREHVSCMVDLLARAGCLYEAENFIKKTGFDPDINTWKTLLASCKTHGNVDIAERVAENILKLDPCNSAALVLLTNINASAGNWKEVARLRHLMKQMGVQKVPGQSWIEFKDQIHVFFSEDTSHPQSGNIYSMLEDLWLQMLDHGYDPCQRISFI >Vigun01g155600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33815457:33819130:-1 gene:Vigun01g155600.v1.2 transcript:Vigun01g155600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQMLRSGYLPDQFTFGSIIKACCIVGDIDFGRQLHGHVIKSGYDHHLIAQNALISMYTKFRQIAHASSVFDIISTKDLISWASMIAGFTQLGNDIEALYLFRDMLRQSVYQPNEFIFGSVFSACGSLLEPEFGRQIHGVCAKFGLGRNIFAGCSLCDMYAKFGLLPLAERAFYQIESPDLVSWNAIITAFSDSGHVNEAVSFFHQMMHTGLMPDNITFLSLLCPCGSFLTRNQGMQMHSYIIKIGLDKEAAVCNSLLTMYTKCSSLHDAFNVFTYLGKRANLVSWNAILSACLQHKHAREAFRLFKLMLFSENKPDSITITTILGTCAELASLEVGNQVHCFTIKSGLVVDVSVRNRLIDMYAKCGSLKHARDVFDSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRLMRNLGVKPNEVTYLGVLSACSHIGLVEEGWHLYRAMEVELGNAPTREHVSCMVDLLARAGCLYEAENFIKKTGFDPDINTWKTLLASCKTHGNVDIAERVAENILKLDPCNSAALVLLTNINASAGNWKEVARLRHLMKQMGVQKVPGQSWIEFKDQIHVFFSEDTSHPQSGNIYSMLEDLWLQMLDHGYDPCQRLDINIW >Vigun01g155600.5.v1.2 pep primary_assembly:ASM411807v1:1:33813622:33819294:-1 gene:Vigun01g155600.v1.2 transcript:Vigun01g155600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLNQGFTQLGNDIEALYLFRDMLRQSVYQPNEFIFGSVFSACGSLLEPEFGRQIHGVCAKFGLGRNIFAGCSLCDMYAKFGLLPLAERAFYQIESPDLVSWNAIITAFSDSGHVNEAVSFFHQMMHTGLMPDNITFLSLLCPCGSFLTRNQGMQMHSYIIKIGLDKEAAVCNSLLTMYTKCSSLHDAFNVFTYLGKRANLVSWNAILSACLQHKHAREAFRLFKLMLFSENKPDSITITTILGTCAELASLEVGNQVHCFTIKSGLVVDVSVRNRLIDMYAKCGSLKHARDVFDSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRLMRNLGVKPNEVTYLGVLSACSHIGLVEEGWHLYRAMEVELGNAPTREHVSCMVDLLARAGCLYEAENFIKKTGFDPDINTWKTLLASCKTHGNVDIAERVAENILKLDPCNSAALVLLTNINASAGNWKEVARLRHLMKQMGVQKVPGQSWIEFKDQIHVFFSEDTSHPQSGNIYSMLEDLWLQMLDHGYDPCQRISFI >Vigun03g151800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15981735:15982673:1 gene:Vigun03g151800.v1.2 transcript:Vigun03g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHDHCMEFDQQFLVDDAMDDLDPSFIEGFMDATICMSSSKSMMSPSNSSHSNNTKPKGCAFKPVRRRSRASKTTPITLLKANTSNFRALVQQFTGCSTTTAMSLAIHKGPITLNFQQGTKQHIQHHTTKITTPMPPQFATMISSNQVHRVSQPQQHLIMTEPQSEYWFEHVENSDLCVPSSGSCMDDGFGFDDDFSLHEITVNAISNDIQGLFM >Vigun01g217400.1.v1.2 pep primary_assembly:ASM411807v1:1:39114039:39116617:1 gene:Vigun01g217400.v1.2 transcript:Vigun01g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFSAPPKIKAESPPRNGAGLNSKDGGKEENDLSVTVFSSSMLLTPQNEVEILEASNLKDFAFNELRTATRNFRPDSMVGEGGFGSVFKGWIDEQTLAPTKAGTGMVIAVKRLNQESNQGHIEWLTEINYLGQLSHPNLVKLIGYSLEDDHRILVYEFVAKGSLDNHLFRRASYIEPLSWNIRMKIALDAAKGLAFLHSDEVDVIFRDFKTSNILLDSNYNAKLSDFGLAKNGPEGDKSHVSTRVMGTFGYAAPEYIATGHLTKRSDIYSFGVVLLELMSGKRALDNNRPSGEHNLVEWAKPLLINKHKISQVMDARIEGQYSKREAKRIAHLAIQCLSTDPKLRPNMGGVVRSLEHLQDSNDTQINGHSSSSGGTPISSLSPSPLRT >Vigun06g167400.1.v1.2 pep primary_assembly:ASM411807v1:6:28926963:28935092:-1 gene:Vigun06g167400.v1.2 transcript:Vigun06g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAEMTKAAQQKGSDPLLWAVQMYSNLNSAGEALPSVELAHFLVSYICWDNNVPILWKFLEKALTLQIVPPMLLLALLSVRVIPCRHVQPAAYRLYLELLKRHAFELKSQINRPDYQKVMKSVDSVLHLSNIFGVSQSEPGILVVEFIFSIVWQLLDASLDDEGLLEFTPDKKSRWATLYHDMELDSLDDNSDKKTEHHEQLQNANTLMAVEMIGQFLQDKISSRILYLARQNLPAHWLCFTQRLQLLAANSLALRKSRTLSPEALLQLSSDSCMVLSREYKTNSQQKFQTVMSFEYLSSSASLCHGASHSALWIPLDLVLEDSMDGYQVSATSAIETISGLMKTLRAINGTSWHDTFLGLWLATLRLVQRERDPIDGPMPHLDTRLCMLLCIIPLVVGNLIEEEEEERIAVDEEDNGPFDCWKGKKVPGKCRNDLVSSLQVLGDYQSLLAPPQSVVLAANQAAAKAILFISGITIGSAYFDCLNMTDMPVDCSGNMRHLIVEACIARNLLDTSAYLWPGYVNGRINQIPQCMPAQVPGWSSFMKGAPLTSVTVNALVLSPATCLAELEKIFEIAIGGSEDEKISAAAILCGASLIRGWNIQEHTVHFILRLLSPPVPAENTEGNNYLINYAPILNVLFVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNISWTLTSGEEISAHAVFSNAFILLLKLWRFNRPPLEYGIGDVPTVGSQLTPEYLLLVRNSHLMSAGNIHKDRNRRRLSEIASLSSPNSVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPFHQIVEGLLNMMFRKINRGSQTTITSGSSSSSGPANEDASIGPKLPAWDILEAIPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIISYFSAEVTRGVWKPVYMNGTDWPSPAANLLNVEGQIRKILAATGVDVPSLASGDSSPATLPLPLAAFTSLTITYKVDKASERFLNLAGQTLESLAAGCPWPCMPIVASLWTLKAKRWTDFLIFSASRTVFLHNSDAVVQLLRSCFTATLGTNTSPISSNGGVGALLGHGFKYHLCGGLCPVAPGILYLRAYRSIRDIVFLTEEIVSILMHSVREIVCSGLLRGRLEKLKATKDGIRYGQTSLAASMTRVKLAAALGASLVWISGGLTLVQLLIKETLPSWFISVQRFDQEEKCGGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRPKVLGTHMEFLASALDGKISLGCDSATWRAYVSGFVSLMVDCTPNWVLEVDVHVLKRLSNGLRQLNEEELALALLGVGGIGTMGAAAELIIHTGI >Vigun07g168650.2.v1.2 pep primary_assembly:ASM411807v1:7:28248749:28254490:-1 gene:Vigun07g168650.v1.2 transcript:Vigun07g168650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIASTMASTSTDPDPDAAVRAVRKRYEGLLTVRTRAIKGKGAWYWAHLEPTLVGNAVKLKCSLCDSLFSASNPSRTASEHLKRGTCPNFNHSALPSPSPISTVLSHSNNGRKRTSSSTSPNQDHSVQHLVLSGGKDDLCALAVFEDSVKKLKSPRNLFHVAPPELTQDQVNSAVELLADWFYESCGSVPLSSLEHPKFQSFLTQLGLPVTLLRREIYGSRLEDRFGAAKAESEARIRDALFFQVGCDGWKGEDGVPKFIVNLPNGTSVFHKVVPGGEVMSSKYAEEILWEVVKGVCGSDVQRCVGIVADRFKGKALRNLEVQNHWMVNVACQVQGFTSLIKDFNNNLPLFRVVTENSLKVANFINTESQVRGSFLRYRMQELECAGLVRVPSPKCNVSKDFTSVFPMLEDILRCAAVIQMVVMEDAFKVACMEDPLAGEIAGIVQSEGFWNELEAVYSLVKLIRGVVQDIEAERPLVGRCLPLWEEVRTKVKEWCVKYSVVVEPVEEILENRFRKNYHPAWSAAFILDPLYLVKDASGKYLPPFKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSEGLDPLYAQAVQMKQQDPITGKMKVANPLSSRLVWETCLSEFKSLRKLAVRLIFLHATSSHAKLERRDFSNEEEKEAELLAMEGSDDGMLADVFADAPLIGGIA >Vigun07g168650.1.v1.2 pep primary_assembly:ASM411807v1:7:28248749:28254490:-1 gene:Vigun07g168650.v1.2 transcript:Vigun07g168650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIASTMASTSTDPDPDAAVRAVRKRYEGLLTVRTRAIKGKGAWYWAHLEPTLVGNAVKLKCSLCDSLFSASNPSRTASEHLKRGTCPNFNHSALPSPSPISTVLSHSNNGRKRTSSSTSPNQDHSVQHLVLSGGKDDLCALAVFEDSVKKLKSPRNLFHVAPPELTQDQVNSAVELLADWFYESCGSVPLSSLEHPKFQSFLTQLGLPVTLLRREIYGSRLEDRFGAAKAESEARIRDALFFQVGCDGWKGEDGVPKFIVNLPNGTSVFHKVVPGGEVMSSKYAEEILWEVVKGVCGSDVQRCVGIVADRFKGKALRNLEVQNHWMVNVACQVQGFTSLIKDFNNNLPLFRVVTENSLKVANFINTESQVRGSFLRYRMQELECAGLVRVPSPKCNVSKDFTSVFPMLEDILRCAAVIQMVVMEDAFKVACMEDPLAGEIAGIVQSEGFWNELEAVYSLVKLIRGVVQDIEAERPLVGRCLPLWEEVRTKVKEWCVKYSVVVEPVEEILENRFRKNYHPAWSAAFILDPLYLVKDASGKYLPPFKCLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSEGLDPLYAQAVQMKQQDPITGKMKVANPLSSRLVWETCLSEFKSLRKLAVRLIFLHATSCGFRSNYSFIRKLSAQKHSRISLERAQKMIYIAAHAKLERRDFSNEEEKEAELLAMEGSDDGMLADVFADAPLIGGIA >Vigun08g091100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21251070:21252335:-1 gene:Vigun08g091100.v1.2 transcript:Vigun08g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNRGQSQTTRWYQLCFVPTSSDSSDDSDVESVVISPSTPTTTSSTWQELFPPPPPPPPPPPNPEPQIDWRFPPPPPPLPDFVRPLRLQQQHQHQPELSGAWAIFDLEVQQDRPQSHSISHVFEGDKSGCSTIAMNGLSRVRVSEIDKLFRCPICMDEFKVCDQAYRLPCTHTYCSECILRWLNSSKTCPVCRLQLSGYSFDRTDDSNNNNNNLNSQVEFLSPLPPPPPPPPPSIIYNDSPQRSEYFLESSLYVTAGVENSASGNSDEADYDSACDELGDSQEDGASHTASDTPI >Vigun05g276000.1.v1.2 pep primary_assembly:ASM411807v1:5:46605036:46611128:-1 gene:Vigun05g276000.v1.2 transcript:Vigun05g276000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMCFKLIMWNVVLLLVCSLIASAQASVSYDSKAITINGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNEPFKNQMQKFTTKIVDLMKAERLYESQGGPIIMSQIENEYGPVEYEIGAAGKSYTNWAAEMAMGLGTGVPWIMCKQDDTPDPLINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGPVPHRPAEDLAFSVARFLQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKMCEPALVSGDPTVTNIGNYQEAHVFKAKSGACAAFLANYNPKSYAKVAFGSMHYNLPPWSISILPDCKHTIYNTARVGSQSAQMKMTGVPIHGGLSWLSFNEETTTTEDSSFTMTGLLEQLNTTRDLSDYLWYSTDVVLDSREGFLTNGKDPILTVFSAGHALHVFINGQLSGTSYGSLEFPKLTFSQGVKLRAGVNKISLLSVAVGLPNVGPHFETWNAGVLGPISLSGLNEGRRDLSWQKWSYKVGLKGETLSLHSLSGSSSVEWIEESLFSSRQPLTWYKTSFDAPAGTAPLALDMDSMGKGQVWLNGQNLGRYWPAYKASGTCDYCDYAGTYNENKCRSNCGEASQRWYHVPQSWLKPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLISYQMQVAGKPPVRPKVHLSCSPGQKISSIKFASFGTPLGSCGNFHEGSCHAHKSYDAFERNCVGQNWCTVTVSPENFGGDPCPNVMKKLSVEAICS >Vigun10g129900.1.v1.2 pep primary_assembly:ASM411807v1:10:33811841:33818130:-1 gene:Vigun10g129900.v1.2 transcript:Vigun10g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRFEKDKSGCMWGFISMFDFRHGHSTRKMIADKRRSSKHAVGAINSKTKFEMSNFDEVCQSSSDSGESRRPTIVTAANKPSVKKLIEEEMFIDQNKMKDTDSAQIESKESKLRRDVLLKLDSKRKKKFYQKNCDKDINDLNLDTTLKSEITHNKHSRKQSKDNLDLDKMIAEFCHLKDVYSMMHGNDIEVEVEAQSNQKQAISENAKEAICEFVNQMVLNGKDPAEARKFLCSHQLVEAIELLSSDKELFLSLLQNPNSLLLKCVQEFRNSQGTNEKEYGPVAGSNFSEQDHGNLKHNGDLVNHKKHNFFRKKTKSHSKSSANENGNTNLSSIVILKPGQMGWQNSETGNNLASHQDSYDVVKYNGPSVRGSSHFSLTEIKKKLKHAMGRERHGNSEGISKRHPAAECQNRKPSSKVIGKDNVGMRSPNKDHFFIEKIGRPATGVMKGDKTSTTKDFELTMEHESGSYPKQRVSNLYIEAKKHLCEIVGNGDEKIDFSSRQISRTLGKILSLPEYNFSPFGSPRRDWEHHSVTAQKIFSTSDKISMGNKDNVSPEQEYSVGHFDQEIHNSGKQSIICDEISNNKVQAIKSDSNVANGRSHVDETQKLCSDRDEIVTGGDVESAQEVSVLESSSQPVDLNAGKEDQNYGLSEVSDCAKCSQYSKQDVKEEHKPTSPLSSPPYSSSTKESVTDASGRPSPISVLDTPFFEDDVQPVEVPVRPLQFGEQNSSPLDEINREKYCLEENEWIYDYIKAILQTSGLTIDQLSKKCLSSDKILDPSLFDEVEFLPNQLSHDQKLIYDCVNNVLMEVCQNYFGFSPCLSFIKPGIRPSPNMMKVILKVWEGVCWHFLSLPPPRTLDKIIKKDMDKNGTWMDLRLEAETIGSEMEEAILAELMEDTILCCVSKSSEGDCSQLEFEYKDSENTKNV >VigunL038500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:324082:324498:-1 gene:VigunL038500.v1.2 transcript:VigunL038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps11 MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDAALRAIRRSGILLNFIRDVTPMPHNGCRSPKKRRV >Vigun05g102100.1.v1.2 pep primary_assembly:ASM411807v1:5:10158440:10164089:-1 gene:Vigun05g102100.v1.2 transcript:Vigun05g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVCVIVFLVNVYLLVNYQHPDDANQAYFPKFVVVLGLSIAAISILMLPADVANRHACRHAIYNGACNLTLPMKDLWLAVYIVDAILVFFVIPFAMFYYEGDLDKSMGTRIKSALMWMVTTAIVCALLLGILYGLVGKVDFTVRHLSSSTTAFPSTWTFNSNEPCIKNGVHQCSAYSASPSSEQTWTMRTTFPEYVVALATIVGSVLFAIFGGVGIACLPLGLLFSFIRRPKAVITRSQYIKEATELGKKARELKKAAEDLRQEEKGGSKGRKFRKNVKAVEKEVFQLEEDVKLLEEMYPQGEKAETTWALTVLGYLAKLVLGILGLIVSVVWIIHIIIYLLIDPPLSSFLNQVFIKLDDVWGLLGTAAFAFFCFYLLLAVINGAMVLGLRLVFITIHPMKWGATLMNSFLFNVGLILLSSISVIQFCSTAFAYYAQATAAQEIFGHTLESLRGIKYLYKYNVFQIAFVILAGLTFVYYAAFGWRRKTPSGRFQLST >Vigun11g223400.1.v1.2 pep primary_assembly:ASM411807v1:11:41438281:41443580:1 gene:Vigun11g223400.v1.2 transcript:Vigun11g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVNLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGGVGSAFPSAATFPHYAIQQGIPYNVYGYSPYSPDYTYPTSYYGVYGGATAQYPVYGSGPGGMMTGAAAFYPYLQYGGEGSGGGGTSGGYSSGQGYGVNYAPHLFQYSPIASTAAGAYAQHYGTPMSLAPSPALQSGVTMSLQAPIPHR >Vigun11g223400.2.v1.2 pep primary_assembly:ASM411807v1:11:41438281:41443580:1 gene:Vigun11g223400.v1.2 transcript:Vigun11g223400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVNLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGRNFRVMGSFQTGFGGGVGSAFPSAATFPHYAIQQGIPYNVYGYSPYSPDYTYPTSYYGVYGGATAQYPVYGSGPGGMMTGAAAFYPYLQYGGEGSGGGGTSGGYSSGQGYGVNYAPHLFQYSPIASTAAGAYAQHYGTPMSLAPSPALQSVCFAVPQA >Vigun03g100100.1.v1.2 pep primary_assembly:ASM411807v1:3:8585617:8588079:1 gene:Vigun03g100100.v1.2 transcript:Vigun03g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSSFGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRCGPYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDDEEPEDY >Vigun03g100100.2.v1.2 pep primary_assembly:ASM411807v1:3:8585617:8587024:1 gene:Vigun03g100100.v1.2 transcript:Vigun03g100100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSSFGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRCGPYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWYNFFQSGYIYLLTNVHWMRF >Vigun01g007800.1.v1.2 pep primary_assembly:ASM411807v1:1:934172:936908:-1 gene:Vigun01g007800.v1.2 transcript:Vigun01g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAAQEEQLASQRMRQKLEEVNVAAQTNLAPVQDYVNFTLQKAYFKCAHECFDRSKRQEEISNCVENCNIPLNNAQQTFDAEMGRFQERLNRSLMVCQDKYEAAKLQRTEAKHDLLSCADKAIQESIETLPHLANKLKSSFGIRDNDLM >Vigun05g122500.2.v1.2 pep primary_assembly:ASM411807v1:5:13554263:13556757:-1 gene:Vigun05g122500.v1.2 transcript:Vigun05g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDKFKTQQKEHTNHMDALEENYLYDRRVMNLLSKDIREEMEKFQCKHSKNLEALMQGNEEGLSVLSDKEMLDMERERMDKTFKKLKICRMEREPKLERMKDHISELETGVKTVNMDNEVMIRDLSEKIENLK >Vigun05g122500.1.v1.2 pep primary_assembly:ASM411807v1:5:13554350:13556517:-1 gene:Vigun05g122500.v1.2 transcript:Vigun05g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDKFKTQQKEHTNHMDALEENYLYDRRVMNLLSKDIREEMEKFQCKHSKNLEALMQGNEEGLSVLSDKEMLDMERERMDKTFKKLKICRMEREPKLERMKDHISELETGVKTVNMDNEVMIRDLSEKIENLKGGLRLHGYGGVFMTTLLLITF >Vigun05g122500.3.v1.2 pep primary_assembly:ASM411807v1:5:13554620:13556517:-1 gene:Vigun05g122500.v1.2 transcript:Vigun05g122500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDKFKTQQKEHTNHMDALEENYLYDRRVMNLLSKDIREEMEKFQCKHSKNLEALMQGNEEGLSVLSDKEMLDMERERMDKTFKKLKICRMEREPKLERMKDHISELETGVKTVNMDNEVMIRDLSEKIENLK >VigunL017900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:22405:22734:-1 gene:VigunL017900.v1.2 transcript:VigunL017900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFLENHFGGLQPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKFLIIKTEKHELRKKFFRLKRRATRRT >Vigun11g000500.1.v1.2 pep primary_assembly:ASM411807v1:11:80277:82350:1 gene:Vigun11g000500.v1.2 transcript:Vigun11g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGMRMMVVGVVVVMFLVQMVASSDLRPGFYSETCPNAEVIVRDVMKRALAVEPRSVASVMRFQFHDCFVNGCDASMLLDDTPTMVGEKLALSNINSLRSFEVVDQVKEALENACPAVVSCADIIIMASRDAVALTGGPDWEVRLGRLDSLSASQEDSNEIMPSPRANASALIDLFQKYNLSVKDLVALSGSHSVGQGRCFSIMFRLYNQSGSGRPDPAIDYGYREELERLCPLDVDQNVTGNLDSTPLVFDNQYFKDLVSGRGFLNSDQTLFTFPQTREFVRFFSRNQDQFFKAFVEGMLKMGDLQSGRPGEVRTNCRVVNPRPAHLLFESQHNLLSNNKSIYDI >VigunL059208.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:7466:7606:1 gene:VigunL059208.v1.2 transcript:VigunL059208.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun06g022701.1.v1.2 pep primary_assembly:ASM411807v1:6:10607450:10609715:1 gene:Vigun06g022701.v1.2 transcript:Vigun06g022701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSDRPLPSSGHGNKGKGKKTYVVKLLSRINNINPPSTQPTTPTSTSTARSTPPALDVAGLTPTPPPIVGSSGQATHVGPSNVLLQADQCTSSHSPRVASNPSTPTTNVAPSSSTHIPGTHSSSAVNDMDAVNDINVEEESNNRPMIRPVGGGFYPSKVASKAITATIKQQFDQPWLTWGTIPKSERGLYFQRFKRKVSWRVEDEDRILKNFHSKASHRLSEMFKEARREGKRPNWIGDSIWNSLLEKWNMPMYREKCDTAKKNRLSEKGGSLHTGGSISVHDHAIRLTEELGCAVHVDEIFEQTHIRKSTGDFVDERSRRTHEEFQNRFSQALSETASVGVSQSTPMDPAEEERLRNRCWLEAAGGRYKGRVYGVGHVDSNDDCVDSYIQQTQASSSQQVNPEQIIQLQTRLATSEERIRQMSSQFQTQFDTIQNFIGAIIQYLPPPAAAVAQTIFQQPNTEQGNQAEQENQVQHQQVEQDHEEAPTSPRPYRDY >Vigun08g074400.1.v1.2 pep primary_assembly:ASM411807v1:8:12783478:12785691:-1 gene:Vigun08g074400.v1.2 transcript:Vigun08g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGVRRTNPVAFFDDDGRRKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMVLFSGVTYYTSILLAACYRTGDQLTGRRNYTYTQAVRSYLGGMNVKFCALVQYANLFGVAIGYTIAASISMMAVKRSNCYHNSGGKDPCKMNSNVYMISFGIVQIVLSQIPDFKELWWLSIVAAVISFTYSITGLGLGIAKVIANGTVKGSLTGVSIGTVSQSQKIWRSFQAFGNIAFAYSYSMILIEIQDTIKSPPEESKTMSKATLVSVSVMTIFYLLCGCFGYAAFGDSSPANLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVDCQPLFKFIENNAAQKFPESDFVTKEFEVHIPGCRPFKFNLFRLVWRTSFVICCTVIAMVVPFFNDIAGLIGAIGFWPLTVYFPVEMYIVQTNIPKWSTKWICLQMLSGLCCLLTIVAAIGSFTAVLEDIKVYKPFKTNY >Vigun03g047400.4.v1.2 pep primary_assembly:ASM411807v1:3:3777948:3785175:1 gene:Vigun03g047400.v1.2 transcript:Vigun03g047400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDIEKSEETKTQINSNTNCNSKQQSVENANEHPEWLPDGWNMEVRIRKSGVHMGSGYKCYIEPSKGYKFFSKPEVLRYLETVEDSSGTSKKGKKCSKINTPNDKSCTSKEKKSSKMQTPNNKSCSSKKEKKCASVEFPNDNSCTPKEEEKGNNMDFPNNNSCAPKKEKNGSNLDSPNGNSCTSKKEKIGTNMDSPKDSSCTPKKEKNYNNMNSPIDVMIEKSIPEDLPPGWVKELKTTKNGKGIRKDPFYIDPVSGYVFRSKKDVQRYLKSGDIRSCAFKPSRRQIQDEDNITSPPAAKRQKLKQSAPTQQLSAGDSIAKMHSPEDGAANSSEVKKSSDPGRSALLKNESLKESAKALFGDDLQEEERAVNATENGNEKNHGKQSISKIRKEFNVSQRSSPRLAGSKSVQLVNNVINEQTLQVPKRNLRKSRNTLDVDISVDQSAPKEQPHEQETDKIEDNKPEIQISSNKSGKKKEHHLPRRASKRLAAIEHESMNSKVELQQSECGPVTMVADQAPINGKSANKRKKSAPRLKKSGKEEMNDEKTEPQLSFAYHYSWSDPSLEYAINALTGVLPPADNIPSTVAETDIQKPLFDNVTGRSATAIPGTDVQNTSVGNVLRDTTAAPETDIQKASVDNGMGRSTTEVPETDIRKASVDNGTERSTTAVPDTDIQKASIDNGTGSGTTAVPDTDIQKASVDNGTAISTTAVPDTDIQKASVDNGTGRSPTAVPEPDIQSTMARSATTIPDSHLQKTLIDSVKGSSSTTIPENDQKTVIEMESARSTSTGPETDIQKSLIDSVTGSRYRKSQVRSNKPKRTKELKIPVRLSKRLAGMEPELPPAERALEYSTRKSCREEPTATDTLTNGVSDHHNAGEETKPTLLQASDSLKTEVLGESLKRSENSYDAQTDHKEQLKKVEAENVGDVRSEPKLPLPFEDSWSDPCLEFAIKTLTGAFPLDAGGDIMPALPPGFDNPPYKQVHRSVVTDINQEAHDNSNQPSHNKELNMVIQPELRTGSISYENAPNLTTRESYLDQDNILKNLDGEPSLTGNITQPLHHSWNINTLAHEEPLKQNGQPVEGGIVTTEQQLIETGAVNHDNSELQYCAPFMNSWSDPCLEFAFKTLTGVLPVEENLTLQGCFPEPANYHERRDGVSLLPDFRSSSFSQSDFSFFHDTGVKSMPGQQSSVSSSFLPLEKTSLQGFAGVDPQTHFSQCNNNFQRR >Vigun03g047400.2.v1.2 pep primary_assembly:ASM411807v1:3:3777606:3785186:1 gene:Vigun03g047400.v1.2 transcript:Vigun03g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDIEKSEETKTQINSNTNCNSKQQSVENANEHPEWLPDGWNMEVRIRKSGVHMGSGYKCYIEPSKGYKFFSKPEVLRYLETVEDSSGTSKKGKKCSKINTPNDKSCTSKEKKSSKMQTPNNKSCSSKKEKKCASVEFPNDNSCTPKEEEKGNNMDFPNNNSCAPKKEKNGSNLDSPNGNSCTSKKEKIGTNMDSPKDSSCTPKKEKNYNNMNSPIDVMIEKSIPEDLPPGWVKELKTTKNGKGIRKDPFYIDPVSGYVFRSKKDVQRYLKSGDIRSCAFKPSRRQIQDEDNITSPPAAKRQKLKQSAPTQQLSAGDSIAKMHSPEDGAANSSEVKKSSDPGRSALLKNESLKESAKALFGDDLQEEERAVNATENGNEKNHGKQSISKIRKEFNVSQRSSPRLAGSKSVQLVNNVINEQTLQVPKRNLRKSRNTLDVDISVDQSAPKEQPHEQETDKIEDNKPEIQISSNKSGKKKEHHLPRRASKRLAAIEHESMNSKVELQQSECGPVTMVADQAPINGKSANKRKKSAPRLKKSGKEEMNDEKTEPQLSFAYHYSWSDPSLEYAINALTGVLPPADNIPSTVAETDIQKPLFDNVTGRSATAIPGTDVQNTSVGNVLRDTTAAPETDIQKASVDNGMGRSTTEVPETDIRKASVDNGTERSTTAVPDTDIQKASIDNGTGSGTTAVPDTDIQKASVDNGTAISTTAVPDTDIQKASVDNGTGRSPTAVPEPDIQSTMARSATTIPDSHLQKTLIDSVKGSSSTTIPENDQKTVIEMESARSTSTGPETDIQKSLIDSVTGSRYRKSQVRSNKPKRTKELKIPVRLSKRLAGMEPELPPAERALEYSTRKSCREEPTATDTLTNGVSDHHNAGEETKPTLLQASDSLKTEVLGESLKRSENSYDAQTDHKEQLKKVEAENVGDVRSEPKLPLPFEDSWSDPCLEFAIKTLTGAFPLDAGGDIMPALPPGFDNPPYKQVHRSVVTDINQEAHDNSNQPSHNKELNMVIQPELRTGSISYENAPNLTTRESYLDQDNILKNLDGEPSLTGNITQPLHHSWNINTLAHEEPLKQNGQPVEGGIVTTEQQLIETGAVNHDNSELQYCAPFMNSWSDPCLEFAFKTLTGVLPVEENLTLQGCFPEPANYHERRDGVSLLPDFRSSSFSQSDFSFFHDTGVKSMPGQQSSVSSSFLPLEKTSLQGFAGVDPQTHFSQCNNNFQRR >Vigun03g047400.3.v1.2 pep primary_assembly:ASM411807v1:3:3777948:3785129:1 gene:Vigun03g047400.v1.2 transcript:Vigun03g047400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDIEKSEETKTQINSNTNCNSKQQSVENANEHPEWLPDGWNMEVRIRKSGVHMGSGYKCYIEPSKGYKFFSKPEVLRYLETVEDSSGTSKKGKKCSKINTPNDKSCTSKEKKSSKMQTPNNKSCSSKKEKKCASVEFPNDNSCTPKEEEKGNNMDFPNNNSCAPKKEKNGSNLDSPNGNSCTSKKEKIGTNMDSPKDSSCTPKKEKNYNNMNSPIDVMIEKSIPEDLPPGWVKELKTTKNGKGIRKDPFYIDPVSGYVFRSKKDVQRYLKSGDIRSCAFKPSRRQIQDEDNITSPPAAKRQKLKQSAPTQQLSAATEILDKNSLEWPSANSPRKKQNANVSTKTKVASVPIGDSIAKMHSPEDGAANSSEVKKSSDPGRSALLKNESLKESAKALFGDDLQEEERAVNATENGNEKNHGKQSISKIRKEFNVSQRSSPRLAGSKSVQLVNNVINEQTLQVPKRNLRKSRNTLDVDISVDQSAPKEQPHEQETDKIEDNKPEIQISSNKSGKKKEHHLPRRASKRLAAIEHESMNSKVELQQSECGPVTMVADQAPINGKSANKRKKSAPRLKKSGKEEMNDEKTEPQLSFAYHYSWSDPSLEYAINALTGVLPPADNIPSTVAETDIQKPLFDNVTGRSATAIPGTDVQNTSVGNVLRDTTAAPETDIQKASVDNGMGRSTTEVPETDIRKASVDNGTERSTTAVPDTDIQKASIDNGTGSGTTAVPDTDIQKASVDNGTAISTTAVPDTDIQKASVDNGTGRSPTAVPEPDIQSTMARSATTIPDSHLQKTLIDSVKGSSSTTIPENDQKTVIEMESARSTSTGPETDIQKSLIDSVTGSRYRKSQVRSNKPKRTKELKIPVRLSKRLAGMEPELPPAERALEYSTRKSCREEPTATDTLTNGVSDHHNAGEETKPTLLQASDSLKTEVLGESLKRSENSYDAQTDHKEQLKKVEAENVGDVRSEPKLPLPFEDSWSDPCLEFAIKTLTGAFPLDAGGDIMPALPPGFDNPPYKQVHRSVVTDINQEAHDNSNQPSHNKELNMVIQPELRTGSISYENAPNLTTRESYLDQDNILKNLDGEPSLTGNITQPLHHSWNINTLAHEEPLKQNGQPVEGGIVTTEQQLIETGAVNHDNSELQYCAPFMNSWSDPCLEFAFKTLTGVLPVEENLTLQGCFPEPANYHERRDGVSLLPDFRSSSFSQSDFSFFHDTGVKSMPGQQSSVSSSFLPLEKTSLQGFAGVDPQTHFSQCNNNFQRR >Vigun03g047400.1.v1.2 pep primary_assembly:ASM411807v1:3:3777607:3785187:1 gene:Vigun03g047400.v1.2 transcript:Vigun03g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDIEKSEETKTQINSNTNCNSKQQSVENANEHPEWLPDGWNMEVRIRKSGVHMGSGYKCYIEPSKGYKFFSKPEVLRYLETVEDSSGTSKKGKKCSKINTPNDKSCTSKEKKSSKMQTPNNKSCSSKKEKKCASVEFPNDNSCTPKEEEKGNNMDFPNNNSCAPKKEKNGSNLDSPNGNSCTSKKEKIGTNMDSPKDSSCTPKKEKNYNNMNSPIDVMIEKSIPEDLPPGWVKELKTTKNGKGIRKDPFYIDPVSGYVFRSKKDVQRYLKSGDIRSCAFKPSRRQIQDEDNITSPPAAKRQKLKQSAPTQQLSAATEILDKNSLEWPSANSPRKKQNANVSTKTKVASVPIGDSIAKMHSPEDGAANSSEVKKSSDPGRSALLKNESLKESAKALFGDDLQEEERAVNATENGNEKNHGKQSISKIRKEFNVSQRSSPRLAGSKSVQLVNNVINEQTLQVPKRNLRKSRNTLDVDISVDQSAPKEQPHEQETDKIEDNKPEIQISSNKSGKKKEHHLPRRASKRLAAIEHESMNSKVELQQSECGPVTMVADQAPINGKSANKRKKSAPRLKKSGKEEMNDEKTEPQLSFAYHYSWSDPSLEYAINALTGVLPPADNIPSTVAETDIQKPLFDNVTGRSATAIPGTDVQNTSVGNVLRDTTAAPETDIQKASVDNGMGRSTTEVPETDIRKASVDNGTERSTTAVPDTDIQKASIDNGTGSGTTAVPDTDIQKASVDNGTAISTTAVPDTDIQKASVDNGTGRSPTAVPEPDIQSTMARSATTIPDSHLQKTLIDSVKGSSSTTIPENDQKTVIEMESARSTSTGPETDIQKSLIDSVTGSRYRKSQVRSNKPKRTKELKIPVRLSKRLAGMEPELPPAERALEYSTRKSCREEPTATDTLTNGVSDHHNAGEETKPTLLQASDSLKTEVLGESLKRSENSYDAQTDHKEQLKKVEAENVGDVRSEPKLPLPFEDSWSDPCLEFAIKTLTGAFPLDAGGDIMPALPPGFDNPPYKQVHRSVVTDINQEAHDNSNQPSHNKELNMVIQPELRTGSISYENAPNLTTRESYLDQDNILKNLDGEPSLTGNITQPLHHSWNINTLAHEEPLKQNGQPVEGGIVTTEQQLIETGAVNHDNSELQYCAPFMNSWSDPCLEFAFKTLTGVLPVEENLTLQGCFPEPANYHERRDGVSLLPDFRSSSFSQSDFSFFHDTGVKSMPGQQSSVSSSFLPLEKTSLQGFAGVDPQTHFSQCNNNFQRR >Vigun07g187400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30416668:30417159:-1 gene:Vigun07g187400.v1.2 transcript:Vigun07g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTSTKDYGFNSDGFAYGVAFVIGSVVLLLTVTFACVRLRMARGPNMLNILGGIPASHPATDEDSAEQGVGLRLDDIDTSFERYPKLVYSEVEKSSSSSNIPSCSCSICLGDYKEGDMLRLLPRCDHIFHLACVDPWLRLHSTCPICRKSSLQPSLLPPST >Vigun02g135500.1.v1.2 pep primary_assembly:ASM411807v1:2:28536872:28540821:-1 gene:Vigun02g135500.v1.2 transcript:Vigun02g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQRELAENAGGGPPVKKQSAGELRLHKDISELNLPQSCTIHFPNGKDDLMNFEVSIRPDDGYYVCGTFFFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRENPRLFECNVRRAMSGGYVGQTFFPRCT >VigunL082301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:28237:28875:1 gene:VigunL082301.v1.2 transcript:VigunL082301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFNFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYEDPMSFQRENRCFTTNHKFHVGYSTYTGNYGQEFFYQPPSTSEISSNTFF >Vigun08g152600.3.v1.2 pep primary_assembly:ASM411807v1:8:32510234:32515447:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHLSVIFIPLPHLLSHNIAFFLLMAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPR >Vigun08g152600.10.v1.2 pep primary_assembly:ASM411807v1:8:32511755:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYSLSLNRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.12.v1.2 pep primary_assembly:ASM411807v1:8:32510862:32515447:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPR >Vigun08g152600.11.v1.2 pep primary_assembly:ASM411807v1:8:32510235:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHLSVIFIPLPHLLSHNIAFFLLMAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSVRYDIKGVKYIFSP >Vigun08g152600.2.v1.2 pep primary_assembly:ASM411807v1:8:32510235:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHLSVIFIPLPHLLSHNIAFFLLMAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.9.v1.2 pep primary_assembly:ASM411807v1:8:32510960:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALHRVQRNLYLNYIESQSTFLEFCNDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.1.v1.2 pep primary_assembly:ASM411807v1:8:32510235:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHLSVIFIPLPHLLSHNIAFFLLMAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYSLSLNRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.8.v1.2 pep primary_assembly:ASM411807v1:8:32510235:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHLSVIFIPLPHLLSHNIAFFLLMAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALHRVQRNLYLNYIESQSTFLEFCNDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.4.v1.2 pep primary_assembly:ASM411807v1:8:32510235:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.13.v1.2 pep primary_assembly:ASM411807v1:8:32510716:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDVNHLSHPCIYGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTNT >Vigun08g152600.7.v1.2 pep primary_assembly:ASM411807v1:8:32511640:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun08g152600.5.v1.2 pep primary_assembly:ASM411807v1:8:32510903:32515446:1 gene:Vigun08g152600.v1.2 transcript:Vigun08g152600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYISPRSERKSGFMKWLSKLFRGGSNRGRGGRHLHEPAEESIVWGAPSRALDDRARAQKEKEDLGHAMALSSAEDLKRPNAHQGYSWGEDTGDDYSKAPLDTLSSSTHPPFAPTPFYPHEYSLSLNRRTCGGCNQEIMYGNCLGCMDTYFHPNCFRCHSCRYPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPLNIKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMQMRIDQQIPMLLVEREALNEAIVGEKNGFLHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPSFQSMPSTSTASASSSSSSKKGAKSHVENKLGEFFKNQIANDSSPAYGGGFRAANEAVNKYGLRRTLDHIHLTGFFPV >Vigun03g379400.1.v1.2 pep primary_assembly:ASM411807v1:3:58347599:58349170:1 gene:Vigun03g379400.v1.2 transcript:Vigun03g379400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSCCVSERVEEVPTSFGVVNNSWRIFTYKELHAATNGFSDDNKLGEGGFGSVYWGRTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGDDQRLIVYDYMPNLSLISHLHGQFAVEVQLNWQRRINIAIGSAEGLLYLHSEVTPHIIHRDVKASNVLLNSEFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVSGRKPIEKLPGGLKRTITEWAEPLISKGKFREVVDPKLRGNFDENEVKRAINVAALCVQSEPEKRPNMKQVVKLLKGQESEEKKVSVTRIDSIKYSEELLALDEPSDEELDDATASYGVFSAIEVQNMKDPYKRGDYKKIG >Vigun03g379400.2.v1.2 pep primary_assembly:ASM411807v1:3:58347599:58349170:1 gene:Vigun03g379400.v1.2 transcript:Vigun03g379400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSFGVVNNSWRIFTYKELHAATNGFSDDNKLGEGGFGSVYWGRTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGDDQRLIVYDYMPNLSLISHLHGQFAVEVQLNWQRRINIAIGSAEGLLYLHSEVTPHIIHRDVKASNVLLNSEFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVSGRKPIEKLPGGLKRTITEWAEPLISKGKFREVVDPKLRGNFDENEVKRAINVAALCVQSEPEKRPNMKQVVKLLKGQESEEKKVSVTRIDSIKYSEELLALDEPSDEELDDATASYGVFSAIEVQNMKDPYKRGDYKKIG >Vigun03g317300.1.v1.2 pep primary_assembly:ASM411807v1:3:51169701:51171269:-1 gene:Vigun03g317300.v1.2 transcript:Vigun03g317300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKVLWGVLLFGFVVGMGESFDFKEKELESEQGLWDLYERWRSHHTVSRSLGEKHKRFNVFKANVINVHNANKMDKPYKLKLNKFADMTNHEFTTIYANSKVSHHRMFRDMSRGNGTFMYENVDRVPASVDWRNRGAVTDVKNQGQCGSCWAFSTIAAVEGINQIKTGKLVSLSEQELVDCDTQNYGCNGGFMEWAFDYIKRYGITTENNYPYVARDGTCDTSKVQQPAVSIDGYESVPRNNEAALINVVANQPVSVAIDAGGYDFQFYSEGVFTGLCGTELNHGVAIVGYGTTQDGTKYWIVKNSWGSEWGENGYIRMQRDVDLCGIAMEASYPIKHSSFLKDEL >Vigun04g057800.1.v1.2 pep primary_assembly:ASM411807v1:4:5693143:5696740:-1 gene:Vigun04g057800.v1.2 transcript:Vigun04g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKCPSTSSPSSGFKSQLVPFQSGFSFKPFLSFRSLPAESPPSRIQCANRKQFSVLKAAKVENSNSNSAPVTIKEPNVAPSKGKDVPNGKHSGGTVADEASVTAFMSQVSDLIKLVDSRDIMELQLKQSDCELLIRKKEAVQPPPAPASPPPMHYALFPPPPAAAPAAVPTSSPPPKAVPALPAPGKTSSSSHPTLKSPMAGTFYRSPGPGEPPFVKVGDKVKKGQVICIIEAMKLMNEIEADQSGTIAEILVEDGKPVSFEMPLFVIVP >Vigun06g161900.4.v1.2 pep primary_assembly:ASM411807v1:6:28413993:28416080:-1 gene:Vigun06g161900.v1.2 transcript:Vigun06g161900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDFDEDDSAQNTTRACKESFASSAWRLPATTRKIPRAKSITPPPSSDAPPLLKASSEPMDIPDWSKIYGKSCEKGSMDDDGACSKGGDDEDDEMVPPHEWIARKLARSQISSFSVCEGMGRTLKGRDLSKGVLFCKRNRST >Vigun06g161900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28413993:28415820:-1 gene:Vigun06g161900.v1.2 transcript:Vigun06g161900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDFDEDDSAQNTTRACKESFASSAWRLPATTRKIPRAKSITPPPSSDAPPLLKASSEPMDIPDWSKIYGKSCEKGSMDDDGACSKGGDDEDDEMVPPHEWIARKLARSQISSFSVCEGMGRTLKGRDLSKVRNAILAKTGFIE >Vigun06g161900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28413993:28416080:-1 gene:Vigun06g161900.v1.2 transcript:Vigun06g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGVFLNQTCAAAAAGDRGGAMGDKDFDEDDSAQNTTRACKESFASSAWRLPATTRKIPRAKSITPPPSSDAPPLLKASSEPMDIPDWSKIYGKSCEKGSMDDDGACSKGGDDEDDEMVPPHEWIARKLARSQISSFSVCEGMGRTLKGRDLSKVRNAILAKTGFIE >Vigun10g013200.3.v1.2 pep primary_assembly:ASM411807v1:10:1458341:1461350:1 gene:Vigun10g013200.v1.2 transcript:Vigun10g013200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEENNEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIIAESEIMREDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Vigun10g013200.4.v1.2 pep primary_assembly:ASM411807v1:10:1458398:1461261:1 gene:Vigun10g013200.v1.2 transcript:Vigun10g013200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEENNEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIIAESEIMREDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Vigun10g066466.1.v1.2 pep primary_assembly:ASM411807v1:10:15325481:15330166:1 gene:Vigun10g066466.v1.2 transcript:Vigun10g066466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDFCSSDHQNGLCSTSETQEEGVNYMNNNNRREDQQDTQTTTNTRINHKEQCKSNISRQGTVVGEGIGDNLRIKEKRDKEGKEIHRGVKPPITHLPYPHGPTRKDKQRQFIRFLDMIKRLQINVPFTKAMEQILTYAKFMKNFLTKNMRIHEDEIVKVEDECNAII >Vigun03g162700.4.v1.2 pep primary_assembly:ASM411807v1:3:18272254:18281752:1 gene:Vigun03g162700.v1.2 transcript:Vigun03g162700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRCWEIFKFFLQESLKFHGSWSMPRNLLQTVQFVARDFLSLLEDTSISSGEVIISEERFKLYGTAIDCVSLVFLSHGGLSNKNLDLWVETAKVLVDLVLKTYSNSLDDSNVGALAQRFLWSVLQPFSKLGVHRAKKGFHNFVDKLLEPLLHLSSELHLRVNRSNPIWTSRLKEAVEEVLSHGLFHQVHISEFLSLHGSENDVTACDEKSNDSKTTIKSYTRHLFDVLNRIIARKNAMAMGSLGLLFHLYATSARKFKLDEGLKTTEKIGDSRQPVPGKHCNSNNISADIQKSLFNFFVLIMEPLLLKINAYIEVEVDANTLLLDLHGLLKSIGNLLAIFMREKVYLRTEDTSGGACLNFLKKIFNTLITSSTSVLHFSNYDTTNKMEIYGLPANEILVAMGYLLQIEYEVIGEGLVNLWLLILSFSAINCNLGNAFDQCSLPCTLPALECQTIHLYSQLRQVEVAILALCKAIRLIICPEGYTEEISSRFLTFLSNEVHSEAVERLLSSQNFIHAIYKAVESIPEGQVCGCIRQIRDDISESLMWMKDFCPLVDGKKSQFFNLQVELFGRGLSRLYCLVLGSVTVTDGNRNLFGVSVKELMELIRPYLSILVGQQPDTICKFFSSVTGQTVDQVIRKGKFLKKFGMSSQWVLVFFFQLYVSCQTLYRQAIPIPPDLPKKSAEVVDYTSYSACELMDRIDEIDFGFFSWIVQPSGSLLDVMKFISDIYLKHGSDDSSPLIYIFQSMALRRLVDVNKQIILFKYMQKKHDLQKPYKSKINTLKEEAVGLTNFVMENLSCVFQSPIFVSDYVTCEDVVSVATQSNECDLGVYFADRKSLQTLIWSNLCKSVDVWSNHASKKQMKKFFSHLLHTYLQSGTHNFQESGVQEIDKFKLLKMVTLSEISSELLNDSLLYKQKFVQRNLASIFCHALEKYALPLFNNVPCTDVNLQSLPNWVEFLSTLDKSTVLIDENKEIPEDCSAVESSTTHSHSKLPADISRKEKTFPVTDKVFRDCHHLLDLLCWMQDINARSFLGLMTCIFNLERLLVSAILYFQCTVHQDYYCEYLRLFVSCRRALWCILIGFYEKAEIIQSLPNTIVSESSFPVMWITKSLSVVVGITEAFSAENIILCKSMIFSLLDYTSHVLFSIGKYQSVCAISINKEAEMSCEEISNHMICHEENNLLSSSQSSPKLEALKCLTFMAENLKEHKHSLLVSINNSPHNVSVGSGLTTENIIRFSSAVCCFSRVLWGLTSSTGQRDAKDIEEKQILMWKSEHASELNSCISVFMELSDVFVNKFLFESNQLSKSSHNTQHSEDPAKANTSAGPENECKAAATCFTLSVDNVSKGVNDLGRALNPKGENSVARVLAGVDYSEAQGLNKHFLRSLVKGDHPEIALLLRQLLIAFSSLLRLNLQRNDRLLPSSLVSTFIEISQLLLLEFVEMVVVPQQSALLLLDGAHSYLRELVSYFPFTDPTSSRKVYTELIQIHMRAIGKTILLQGKGRTLTFHERQSSTKPLRCGSVEAYSSTELHCFALDEFRTRLRKSFRAYIERSSELHLLSTIQVIERSLVGILEGCTVIYDVKTSKDGEEILSVVAGGIDCFRMVLEFVSGRKGLKMIKRHSQSLVSAVFNIIVHLQSLHIFYDNLASGTVASTPDPGSAILMGVEVLVTVSRKQAQFPMDVGQILHIPAVLFQNVCQFRVTKASGPSESLMISKQHICDPVKRMGHVDHQFLVSLFIVSCQLLCTIIMHRPSECRQCVAHLEASVAVLLNCMETVSDDESKMNKGFFSSEEELKCACFMRRIYEERSR >Vigun03g162700.2.v1.2 pep primary_assembly:ASM411807v1:3:18272254:18281752:1 gene:Vigun03g162700.v1.2 transcript:Vigun03g162700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRCWEIFKFFLQESLKFHGSWSMPRNLLQTVQFVARDFLSLLEDTSISSGEVIISEERFKLYGTAIDCVSLVFLSHGGLSNKNLDLWVETAKVLVDLVLKTYSNSLDDSNVGALAQRFLWSVLQPFSKLGVHRAKKGFHNFVDKLLEPLLHLSSELHLRVNRSNPIWTSRLKEAVEEVLSHGLFHQVHISEFLSLHGSENDVTACDEKSNDSKTTIKSYTRHLFDVLNRIIARKNAMAMGSLGLLFHLYATSARKFKLDEGLKTTEKIGDSRQPVPGKHCNSNNISADIQKSLFNFFVLIMEPLLLKINAYIEVEVDANTLLLDLHGLLKSIGNLLAIFMREKVYLRTEDTSGGACLNFLKKIFNTLITSSTSVLHFSNYDTTNKMEIYGLPANEILVAMGYLLQIEYEVIGEGLVNLWLLILSFSAINCNLGNAFDQCSLPCTLPALECQTIHLYSQLRQVEVAILALCKAIRLIICPEGYTEEISSRFLTFLSNEVHSEAVERLLSSQNFIHAIYKAVESIPEGQVCGCIRQIRDDISESLMWMKDFCPLVDGKKSQFFNLQVELFGRGLSRLYCLVLGSVTVTDGNRNLFGVSVKELMELIRPYLSILVGQQPDTICKFFSSVTGQTVDQVIRKGKFLKKFGMSSQWVLVFFFQLYVSCQTLYRQAIPIPPDLPKKSAEVVDYTSYSACELMDRIDEIDFGFFSWIVQPSGSLLDVMKFISDIYLKHGSDDSSPLIYIFQSMALRRLVDVNKQIILFKYMQKKHDLQKPYKSKINTLKEEAVGLTNFVMENLSCVFQSPIFVSDYVTCEDVVSVATQSNECDLGVYFADRKSLQTLIWSNLCKSVDVWSNHASKKQMKKFFSHLLHTYLQSGTHNFQESGVQEIDKFKLLKMVTLSEISSELLNDSLLYKQKFVQRNLASIFCHALEKYALPLFNNVPCTDVNLQSLPNWVEFLSTLDKSTVLIDENKEIPEDCSAVESSTTHSHSKLPADISRKEKTFPVTDKVFRDCHHLLDLLCWMQDINARSFLGLMTCIFNLERLLVSAILYFQCTVHQDYYCEYLRLFVSCRRALWCILIGFYEKAEIIQSLPNTIVSESSFPVMWITKSLSVVVGITEAFSAENIILCKSMIFSLLDYTSHVLFSIGKYQSVCAISINKEAEMSCEEISNHMICHEENNLLSSSQSSPKLEALKCLTFMAENLKEHKHSLLVSINNSPHNVSVGSGLTTENIIRFSSAVCCFSRVLWGLTSSTGQRDAKDIEEKQILMWKSEHASELNSCISVFMELSDVFVNKFLFESNQLSKSSHNTQHSEDPAKANTSAGPENECKAAATCFTLSVDNVSKGVNDLGRALNPKGENSVARVLAGVDYSEAQGLNKHFLRSLVKGDHPEIALLLRQLLIAFSSLLRLNLQRNDRLLPSSLVSTFIEISQLLLLEFVEMVVVPQQSALLLLDGAHSYLRELVSYFPFTDPTSSRKVYTELIQIHMRAIGKTILLQGKGRTLTFHERQSSTKPLRCGSVEAYSSTELHCFALDEFRTRLRKSFRAYIERSSELHLLSTIQVIERSLVGILEGCTVIYDVKTSKDGEEILSVVAGGIDCFRMVLEFVSGRKGLKMIKRHSQSLVSAVFNIIVHLQSLHIFYDNLASGTVASTPDPGSAILMGVEVLVTVSRKQAQFPMDVGQILHIPAVLFQNVCQFRVTKASGPSESLMISKQHICDPVKRMGHVDHQFLVSLFIVSCQLLCTIIMHRPSECRQCVAHLEASVAVLLNCMETVSDDESKMNKGFFSSEEELKCACFMRRIYEEIEQKKDIFSRQCSLFLSNYIWVYSGYGPKRSGIRREVDEALRPGVYALIDACSVDDLQYLHTVFGEGPCRNTLANLLHDRKLNKYEGKV >Vigun03g162700.3.v1.2 pep primary_assembly:ASM411807v1:3:18272254:18281752:1 gene:Vigun03g162700.v1.2 transcript:Vigun03g162700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKANAKRQSQKRKQTFDAEPQTSSKRHRSDIAPKRHSPWNNLELILCIQDKDHDLSSKVNQAFNFVRSRVENGVGACQDRNTIKLPRLISYLNDWIVTLLFPPNGKKNWGDAKTPQVEGIEAYMDIRCWEIFKFFLQESLKFHGSWSMPRNLLQTVQFVARDFLSLLEDTSISSGEVIISEERFKLYGTAIDCVSLVFLSHGGLSNKNLDLWVETAKVLVDLVLKTYSNSLDDSNVGALAQRFLWSVLQPFSKLGVHRAKKGFHNFVDKLLEPLLHLSSELHLRVNRSNPIWTSRLKEAVEEVLSHGLFHQVHISEFLSLHGSENDVTACDEKSNDSKTTIKSYTRHLFDVLNRIIARKNAMAMGSLGLLFHLYATSARKFKLDEGLKTTEKIGDSRQPVPGKHCNSNNISADIQKSLFNFFVLIMEPLLLKINAYIEVEVDANTLLLDLHGLLKSIGNLLAIFMREKVYLRTEDTSGGACLNFLKKIFNTLITSSTSVLHFSNYDTTNKMEIYGLPANEILVAMGYLLQIEYEVIGEGLVNLWLLILSFSAINCNLGNAFDQCSLPCTLPALECQTIHLYSQLRQVEVAILALCKAIRLIICPEGYTEEISSRFLTFLSNEVHSEAVERLLSSQNFIHAIYKAVESIPEGQVCGCIRQIRDDISESLMWMKDFCPLVDGKKSQFFNLQVELFGRGLSRLYCLVLGSVTVTDGNRNLFGVSVKELMELIRPYLSILVGQQPDTICKFFSSVTGQTVDQVIRKGKFLKKFGMSSQWVLVFFFQLYVSCQTLYRQAIPIPPDLPKKSAEVVDYTSYSACELMDRIDEIDFGFFSWIVQPSGSLLDVMKFISDIYLKHGSDDSSPLIYIFQSMALRRLVDVNKQIILFKYMQKKHDLQKPYKSKINTLKEEAVGLTNFVMENLSCVFQSPIFVSDYVTCEDVVSVATQSNECDLGVYFADRKSLQTLIWSNLCKSVDVWSNHASKKQMKKFFSHLLHTYLQSGTHNFQESGVQEIDKFKLLKMVTLSEISSELLNDSLLYKQKFVQRNLASIFCHALEKYALPLFNNVPCTDVNLQSLPNWVEFLSTLDKSTVLIDENKEIPEDCSAVESSTTHSHSKLPADISRKEKTFPVTDKVFRDCHHLLDLLCWMQDINARSFLGLMTCIFNLERLLVSAILYFQCTVHQDYYCEYLRLFVSCRRALWCILIGFYEKAEIIQSLPNTIVSESSFPVMWITKSLSVVVGITEAFSAENIILCKSMIFSLLDYTSHVLFSIGKYQSVCAISINKEAEMSCEEISNHMICHEENNLLSSSQSSPKLEALKCLTFMAENLKEHKHSLLVSINNSPHNVSVGSGLTTENIIRFSSAVCCFSRVLWGLTSSTGQRDAKDIEEKQILMWKSEHASELNSCISVFMELSDVFVNKFLFESNQLSKSSHNTQHSEDPAKANTSAGPENECKAAATCFTLSVDNVSKGVNDLGRALNPKGENSVARVLAGVDYSEAQGLNKHFLRSLVKGDHPEIALLLRQLLIAFSSLLRLNLQRNDRLLPSSLVSTFIEISQLLLLEFVEMVVVPQQSALLLLDGAHSYLRELVSYFPFTDPTSSRKVYTELIQIHMRAIGKTILLQGKGRTLTFHERQSSTKPLRCGSVEAYSSTELHCFALDEFRTRLRKSFRAYIERSSELHLLSTIQVIERSLVGILEGCTVIYDVKTSKDGEEILSVVAGGIDCFRMVLEFVSGRKGLKMIKRHSQSLVSAVFNIIVHLQSLHIFYDNLASGTVASTPDPGSAILMGVEVLVTVSRKQAQFPMDVGQILHIPAVLFQNVCQFRVTKASGPSESLMISKQHICDPVKRMGHVDHQFLVSLFIVSCQLLCTIIMHRPSECRQCVAHLEASVAVLLNCMETVSDDESKMNKGFFSSEEELKCACFMRRIYEERSR >Vigun03g162700.1.v1.2 pep primary_assembly:ASM411807v1:3:18272254:18281752:1 gene:Vigun03g162700.v1.2 transcript:Vigun03g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKANAKRQSQKRKQTFDAEPQTSSKRHRSDIAPKRHSPWNNLELILCIQDKDHDLSSKVNQAFNFVRSRVENGVGACQDRNTIKLPRLISYLNDWIVTLLFPPNGKKNWGDAKTPQVEGIEAYMDIRCWEIFKFFLQESLKFHGSWSMPRNLLQTVQFVARDFLSLLEDTSISSGEVIISEERFKLYGTAIDCVSLVFLSHGGLSNKNLDLWVETAKVLVDLVLKTYSNSLDDSNVGALAQRFLWSVLQPFSKLGVHRAKKGFHNFVDKLLEPLLHLSSELHLRVNRSNPIWTSRLKEAVEEVLSHGLFHQVHISEFLSLHGSENDVTACDEKSNDSKTTIKSYTRHLFDVLNRIIARKNAMAMGSLGLLFHLYATSARKFKLDEGLKTTEKIGDSRQPVPGKHCNSNNISADIQKSLFNFFVLIMEPLLLKINAYIEVEVDANTLLLDLHGLLKSIGNLLAIFMREKVYLRTEDTSGGACLNFLKKIFNTLITSSTSVLHFSNYDTTNKMEIYGLPANEILVAMGYLLQIEYEVIGEGLVNLWLLILSFSAINCNLGNAFDQCSLPCTLPALECQTIHLYSQLRQVEVAILALCKAIRLIICPEGYTEEISSRFLTFLSNEVHSEAVERLLSSQNFIHAIYKAVESIPEGQVCGCIRQIRDDISESLMWMKDFCPLVDGKKSQFFNLQVELFGRGLSRLYCLVLGSVTVTDGNRNLFGVSVKELMELIRPYLSILVGQQPDTICKFFSSVTGQTVDQVIRKGKFLKKFGMSSQWVLVFFFQLYVSCQTLYRQAIPIPPDLPKKSAEVVDYTSYSACELMDRIDEIDFGFFSWIVQPSGSLLDVMKFISDIYLKHGSDDSSPLIYIFQSMALRRLVDVNKQIILFKYMQKKHDLQKPYKSKINTLKEEAVGLTNFVMENLSCVFQSPIFVSDYVTCEDVVSVATQSNECDLGVYFADRKSLQTLIWSNLCKSVDVWSNHASKKQMKKFFSHLLHTYLQSGTHNFQESGVQEIDKFKLLKMVTLSEISSELLNDSLLYKQKFVQRNLASIFCHALEKYALPLFNNVPCTDVNLQSLPNWVEFLSTLDKSTVLIDENKEIPEDCSAVESSTTHSHSKLPADISRKEKTFPVTDKVFRDCHHLLDLLCWMQDINARSFLGLMTCIFNLERLLVSAILYFQCTVHQDYYCEYLRLFVSCRRALWCILIGFYEKAEIIQSLPNTIVSESSFPVMWITKSLSVVVGITEAFSAENIILCKSMIFSLLDYTSHVLFSIGKYQSVCAISINKEAEMSCEEISNHMICHEENNLLSSSQSSPKLEALKCLTFMAENLKEHKHSLLVSINNSPHNVSVGSGLTTENIIRFSSAVCCFSRVLWGLTSSTGQRDAKDIEEKQILMWKSEHASELNSCISVFMELSDVFVNKFLFESNQLSKSSHNTQHSEDPAKANTSAGPENECKAAATCFTLSVDNVSKGVNDLGRALNPKGENSVARVLAGVDYSEAQGLNKHFLRSLVKGDHPEIALLLRQLLIAFSSLLRLNLQRNDRLLPSSLVSTFIEISQLLLLEFVEMVVVPQQSALLLLDGAHSYLRELVSYFPFTDPTSSRKVYTELIQIHMRAIGKTILLQGKGRTLTFHERQSSTKPLRCGSVEAYSSTELHCFALDEFRTRLRKSFRAYIERSSELHLLSTIQVIERSLVGILEGCTVIYDVKTSKDGEEILSVVAGGIDCFRMVLEFVSGRKGLKMIKRHSQSLVSAVFNIIVHLQSLHIFYDNLASGTVASTPDPGSAILMGVEVLVTVSRKQAQFPMDVGQILHIPAVLFQNVCQFRVTKASGPSESLMISKQHICDPVKRMGHVDHQFLVSLFIVSCQLLCTIIMHRPSECRQCVAHLEASVAVLLNCMETVSDDESKMNKGFFSSEEELKCACFMRRIYEEIEQKKDIFSRQCSLFLSNYIWVYSGYGPKRSGIRREVDEALRPGVYALIDACSVDDLQYLHTVFGEGPCRNTLANLLHDRKLNKYEGKV >Vigun09g031200.1.v1.2 pep primary_assembly:ASM411807v1:9:2629476:2632245:-1 gene:Vigun09g031200.v1.2 transcript:Vigun09g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEAKALVPESVVKKEKRNEEWALAKKQQLEAAKKKRFETRKLIFSRAKQYAKEYEEQQNELIRLKREAKLKGGFYVDPETKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGFGKVNKQRIPLTDNSIIEQTLGKHGIICIEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLEKKRNHYVEGGDAGNRENYINELIRRMN >Vigun09g058000.1.v1.2 pep primary_assembly:ASM411807v1:9:5858695:5867560:1 gene:Vigun09g058000.v1.2 transcript:Vigun09g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVEQEGDASEETFTLELPAPPGWKKKFVPKKAGTPKKNEIVFTAPTGEEINNRKQLEKYLKAHPGGPAVSEFDWGTGETPRRSARISEKAKATPPTESEPPKKRSKRTPASQKETSQEEKEEEDTKETEMQAADEISKVDEDLEKEKNVVTENQDDKSAEDTNINKSTHPGDAKAGENVEVRNDDEKSNAADGELHALKDEVVDKGTEGAVSLRKDEEKIEQPREETKEYHRSGEPEKSETCSTADKTVEVEGVNTEEYIKSTSKLEEVEKIEGTKVNNEEHDKLDEINKKVEAELTVNGNHGS >Vigun09g058000.2.v1.2 pep primary_assembly:ASM411807v1:9:5865611:5867159:1 gene:Vigun09g058000.v1.2 transcript:Vigun09g058000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPKKAGTPKKNEIVFTAPTGEEINNRKQLEKYLKAHPGGPAVSEFDWGTGETPRRSARISEKAKATPPTESEPPKKRSKRTPASQKETSQEEKEEEDTKETEMQAADEISKVDEDLEKEKNVVTENQDDKSAEDTNINKSTHPGDAKAGENVEVRNDDEKSNAADGELHALKDEVVDKGTEGAVSLRKDEEKIEQPREETKEYHRSGEPEKSETCSTADKTVEVEGVNTEEYIKSTSKLEEVEKIEGTKVNNEEHDKLDEINKKVEAELTVNGNHGS >Vigun04g106250.1.v1.2 pep primary_assembly:ASM411807v1:4:25551072:25552609:1 gene:Vigun04g106250.v1.2 transcript:Vigun04g106250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISEGSEGSEGCEVGDCSDISQINFRHSCRIQYIGLLNKKLSVKQKQCIQGTPFWWFVLLHERVKISRKLLCELSNVWVESRGGFMINSKFVAFKLLDVCIGLGLRVYGDRIDLEEVGVDSVCRKKFSEKKVTITMLYNYLLTECECLDVEDYYRLYILLGISEFLLPNRNGIVFVSLFRIVDDLSSLVKYNWGCVRKGVVIESKFRRLLHWIDYKVGDVLISSLKNNVIVHDLCLSKEEVGALVVKEALPKDDGECDKSKRKECVSGGTKKKEQLMSVIEQQEHDIPELGGAIAKLKSTLDERKNRTHVPSEVAEDKDVTNSDMYVGMRNDPRLRFKSRVIQTPFAVYSRKKKMIPK >Vigun03g449000.1.v1.2 pep primary_assembly:ASM411807v1:3:64993987:65008088:1 gene:Vigun03g449000.v1.2 transcript:Vigun03g449000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLALTGILEKMTGKDKDYRYMATSDLLNELSKATFKADADLEVKLTNIIIQQLDDAAGDVSGLAVKCLAPLVRKVSEVRVVEMTSKLCDKLLNGKDQHRDIASIALKTVVAEVSTQSLAQSILQTLTPQLIKGITGPGMGSEIKCESLDILCDVLHKFGNLMAADHELLLSSLLSQLSSNQASVRKKTVACIASLSSSLSDDLLAKATVEVVSNLKNKVAKSEMIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTNASENDEELREYSLQALESFLLRCPRDISVYCDEILHLTLEYLSYDPNFTDNMEEDTDDEGLEEEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEILSKLYDEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQLDANEMSPRWLLKQEVSKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPNCLADHIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLSSHSPDVFHPYIKALSAPVLSAVGERYYKVTAEALRVCGELVRVVRPNIEGSGFDFRPYVQPIYNGIMSRLINQDQDQEVKECAISCMGLIVSTFGDHLNAELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRVDLSCVLEHVVAELTAFLRKANRALRQATLGTLNSLIVAYGDKIVLSAYEVIIVELSGLISDSDLHMTALALELCCTLMGDKRSNQSIGLAVRNKVLPQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDSLLESLLACAKPSPQSGGIAKQALHSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDSSSNSAKQHLALLCLGEIGRRKDLSTHEHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQESSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPVKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDNDRHVRRAAVLALSTFAHNKPNLIKGLLPDLLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSVKFKNLMNEISKSQTLWDKYYSIRNE >Vigun03g242100.1.v1.2 pep primary_assembly:ASM411807v1:3:40449005:40450824:-1 gene:Vigun03g242100.v1.2 transcript:Vigun03g242100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCITTCCATIFLKKKQSLPIDTIFKLPVPIANSSWPPGSDFASEIIDLGGLQVSQISTFNKVWSTYGGGPNDQGFTIFEPSRIPQGFFMLGCYSQPNNKPLSGWVLVAKDACANTRNPTLKKPLDFTLAWNSARTRMSQDGPVYVWLPTPPDGYEAVGHVVTTTPGKPSLDKIRCVRLDLTDHCETNSLIWESRSVRVYDVRPRNMGIEAPGVRVGTFVVQNGSTKSPSISCLKNTKSISKYMPNLQQIKALLKVYSPTMFLHPSDEYMPSSVDWFFSNGALLYKKGQESNPVPIAPNGTNLPQDHNNDGAYWLDLPAGAANKERVKTGNLQSAKSYVHVKPMLGGTFTDIAMWVFYPFNGSSRAKVKFISFLLGKIGEHVGDWEHVTLRLSNFSGELWQVYFSQHSKGTWVDSSQIEFQSGGNKPLFYSSLHGHSTYPHAGLNLQGEDNIGIRDDTVKGNIFMDMGTFELVSAKYLGSAVIEPPWLNYFGDWGPKIDYNKNAELKKIQKFVPKNKNCALEKILRSLPCEVLGEESPTGPKVKNDWCGDEI >Vigun03g440100.3.v1.2 pep primary_assembly:ASM411807v1:3:64301049:64307408:-1 gene:Vigun03g440100.v1.2 transcript:Vigun03g440100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSAALRIGDLNDFIAPSQACIVSLKGLKKNDKPEVSLANKQVKSEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLNNINSGKAVIVSLSPQSRASIAAHFGISPIQAFRKLTRFFKSLGVKAIFDTSCSRDLTLVESCVEFVTRYRQNQLVDDERSKSSLPMITSACPGWICYAEKQLGSFVLPYISSVKSPQQTVGVIIKNYVCQELGLSRPEEVYHVTVMPCYDKKLEAARDDFVFQSESRVEGHENEINMISEVDSVLTTGEVLELIQSKEVDLRSLEESPLDKMLTNINEEGYLYGVRGSSGGYAETIFRYAAKTLFGRQMDDSLTFRNIRNSDFQEVTLEVEGKTVLKFALCYGFRNLQNIVRKLKTGKCDYHFLEIMACPSGCLNGGGQIKPVSGQSPKELSQLLESVYMENVLAASPFDNPIIKGLYDKWLEQPGSVKARRYMHTQYHPVEKSITSQLHNW >Vigun03g440100.1.v1.2 pep primary_assembly:ASM411807v1:3:64301049:64307408:-1 gene:Vigun03g440100.v1.2 transcript:Vigun03g440100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSAALRIGDLNDFIAPSQACIVSLKGLKKNDKPEVSLANKQVKSEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLNNINSGKAVIVSLSPQSRASIAAHFGISPIQAFRKLTRFFKSLGVKAIFDTSCSRDLTLVESCVEFVTRYRQNQLVDDERSKSSLPMITSACPGWICYAEKQLGSFVLPYISSVKSPQQTVGVIIKNYVCQELGLRPEEVYHVTVMPCYDKKLEAARDDFVFQSESRVEGHENEINMISEVDSVLTTGEVLELIQSKEVDLRSLEESPLDKMLTNINEEGYLYGVRGSSGGYAETIFRYAAKTLFGRQMDDSLTFRNIRNSDFQEVTLEVEGKTVLKFALCYGFRNLQNIVRKLKTGKCDYHFLEIMACPSGCLNGGGQIKPVSGQSPKELSQLLESVYMENVLAASPFDNPIIKGLYDKWLEQPGSVKARRYMHTQYHPVEKSITSQLHNW >Vigun03g440100.2.v1.2 pep primary_assembly:ASM411807v1:3:64297960:64307377:-1 gene:Vigun03g440100.v1.2 transcript:Vigun03g440100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSAALRIGDLNDFIAPSQACIVSLKGLKKNDKPEVSLANKQVKSEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLNNINSGKAVIVSLSPQSRASIAAHFGISPIQAFRKLTRFFKSLGVKAIFDTSCSRDLTLVESCVEFVTRYRQNQLVDDERSKSSLPMITSACPGWICYAEKQLGSFVLPYISSVKSPQQTVGVIIKNYVCQELGLSRPEEVYHVTVMPCYDKKLEAARDDFVFQSESRVEGHENEINMISEVDSVLTTGEVLELIQSKEVDLRSLEESPLDKMLTNINEEGYLYGVRGSSGGYAETIFRYAAKTLFGRQMDDSLTFRNIRNSDFQEVTLEVEGKTVLKFALCYGFRNLQNIVRKLKTGKCDYHFLEIMACPSGCLNGGGQIKPVSGQSPKELSQLLESVYMENVLAASPFDNPIIKGLYDKWLEQPGSVKARRYMHTQYHPVEKSITSQLHNWFERRKRIRKVKAVCNFYCFLYSQQKFFMIFGYFTKCCSRNKGTYGRAKSTRNINWFTCR >Vigun11g021700.1.v1.2 pep primary_assembly:ASM411807v1:11:2639592:2642541:1 gene:Vigun11g021700.v1.2 transcript:Vigun11g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLCFTFMLLLNFRSFITKAEEEVYLGSNCHNTTQQTLSSEYQSNLDKILAWMPSDAAKSSGYNLTTIGTNNSSVYGLYDCGGGLAGYFCQFCIATAAREAPQLCSNRESAVVWNDYCVIRYSNENFFGKAMTYPTWHTIGTKNISNTSEIQTGEDFVRSMIRKATNETNLLYCKDGFNLSATESRYGVVQCTRDLTNEGCRQCLEDILAEVPTCCEQKIAWFIWSGSCLIRYDDHMFYLLNTEPPSAPAPNTQEKQGGDNRSKILIISFSVIGPIIVLCFSVYCFWHRKRVREGNYQEKMTGGVREKRLPLPLFPKNQPEEMGNTDLPRIPLITIQKSTDNFSEESKLGEGGFGSVYKGTLPDGRQIAVKRLSEFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCSEGNEKILVYEYLPNKSLNFHLFDVEKRKQFDWKLRLSIINGIARGILYLHEDSQLRVIHRDLKASNVLIDHDMNPKISDFGLARAFEIGQNQAKTKRVFGTYGYMAPEYAMGGLFSVKSDVFSFGVLVLEIISGRKNGGLYLSEDLTLLSYAWRIWCEGKCLELMDPMLEKSFISGEVERCIQIGLLCVQEDAKDRPTMSNVAVMIASDTVVLPKPKHPAFSVGRLTSEEVYTSRSCKNLSINDITSSITLPR >Vigun11g021700.2.v1.2 pep primary_assembly:ASM411807v1:11:2639570:2642621:1 gene:Vigun11g021700.v1.2 transcript:Vigun11g021700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMQTLCFTFMLLLNFRSFITKAEEEVYLGSNCHNTTQQTLSSEYQSNLDKILAWMPSDAAKSSGYNLTTIGTNNSSVYGLYDCGGGLAGYFCQFCIATAAREAPQLCSNRESAVVWNDYCVIRYSNENFFGKAMTYPTWHTIGTKNISNTSEIQTGEDFVRSMIRKATNETNLLYCKDGFNLSATESRYGVVQCTRDLTNEGCRQCLEDILAEVPTCCEQKIAWFIWSGSCLIRYDDHMFYLLNTEPPSAPAPNTQEKQGGDNRSKILIISFSVIGPIIVLCFSVYCFWHRKRVREERLPLPLFPKNQPEEMGNTDLPRIPLITIQKSTDNFSEESKLGEGGFGSVYKGTLPDGRQIAVKRLSEFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCSEGNEKILVYEYLPNKSLNFHLFDVEKRKQFDWKLRLSIINGIARGILYLHEDSQLRVIHRDLKASNVLIDHDMNPKISDFGLARAFEIVDTWLLSMLWEGYFQSNLMSLALEFSF >Vigun05g075800.1.v1.2 pep primary_assembly:ASM411807v1:5:6892832:6897528:1 gene:Vigun05g075800.v1.2 transcript:Vigun05g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWAALVQNCVSKKQNKQLTRLYLLTFTTFLCTLFYLLGLWQHSPPSLAAISAGTHLSGRRNCPDPTSKFLSNSASNSTLNFSATHFSTDPDEAARGFHAPPCDPALSEYTPCEDVQRSLLFPREDLIYRERHCPVEAEVLRCRIPAPFGYRVPLRWPESRDAAWFANVPHKELTVEKKNQNWVRFEGDRFRFPGGGTMFPRGAGAYIDDIGKLINLKDGSIRTALDTGCGVASWGAYLLSRDILAVSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWGQREGIYLTEVDRVLRPGGYWILSGPPINWQSHWKGWERTRESLNEEQDTIEKVAKSLCWKKLVQKGDLAIWQKPTNHIHCKITRKVFKNRPFCMAKDPDTAWYTKMETCLTPLPEVNDVSEISGGKLSNWPERLTAVPPRISSGSLKGITVEMFKENTELWKKRVAYYKSLDYQLAEHGRYRNLLDMNAFLGGFAAALIDDPVWVMNTVPVEAEFNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQNRCKMEDILLEMDRILRPQGGVILRDDVDVLLKVKKIADAMQWDSRIADHEKGPHQREKIFFAVKQYWTAPPPQQKHA >Vigun10g153400.1.v1.2 pep primary_assembly:ASM411807v1:10:37358384:37363077:-1 gene:Vigun10g153400.v1.2 transcript:Vigun10g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMEPRVIGDYIMGPPIGSGSFAVVWRSRHRVSGLEVAIKEIDQRQLSPKVKEHLLKEISILSTIKHPNIVRLFETIQANDRIYLVLEYCAGGDLAAYIQRHGKVSETVARHFMRQLAAGLQVLQEKNLIHRDLKPPNLLLASTAATPIMKIGDFGFARSLTPQSLADTLCGSPYYMAPEIIENQKYDAKADLWSVGAILYQLVIGKPPFDGNSQYQLFQNILASTELYFPPEALKELHSDCLDLCRNLLRQNPDERLTFKAFFNHNFLQNSRSTLGKLTVHQSGGSLSEMSPAIASGKMGKAVHADSRLMQSVEKDYVLVNSHFASLEAFSDYFDASVQDDSLYGLSLTDSKIANPDIGVPKQTTNLTSSSSRGLENLESNKLEACVASCEFTALREEHENSSLQPSKRLELLHEYVKILKELSQQKYDTGLYLESLAVELVILAIWKKALEICNSCELPESSSTNESISSSNVELPQTSELKINFSDPPSVSMWAKHEFVLAVDRAEKLSCCVQNMDGAAEMPDAMEIIFQNALSIGTSGAVDEYMENRDRANASYSRAMLLLSFLVREAENLPLNPPFSLIATNKERILQYIHTLQSRKKSSLESSSNKPLSRTSK >Vigun11g136700.1.v1.2 pep primary_assembly:ASM411807v1:11:34590173:34593707:1 gene:Vigun11g136700.v1.2 transcript:Vigun11g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGYGGDGIYRSLRPSLVLPRNTNLSLVSFLFQSVSSFSSRTALVDADSSQTLSFAQLKVQVARLAHGFLKLGIKKNDVVLLLAPNSIHFPVCFLAATAIGVIVSTANPIYTVHELSNQVKDSNPKLVITVPELWDKAKKLNLPAVILSATDNLQYPTKDVSPKITSLDAVMEMAGPVTELPESGIKQGDTAALLYSSGTTGLSKGVVLTHGNFIAAAVMIGVDDDLAGEVNDVFLCVLPMFHVFGLVVVTYTALRRGSAVVMMAKFELEAFLNAIEKHRVTKLWLVPPILLAMVKQQGVVGKYNLSSLKRIGSGAAPLGKELMEECGKRFPHVVVCQGYGMTETCGIVSVENPRAGIRHTGSTGMLASGVEAQIVSVEKQKSLPPKQLGEIWVRGPNMMKGYHNNPQATRLTIDEKGWVHTGDLGYFDEDGQLYVVDRIKELIKYKGFQVAPAELEGLLISHPEILDAVVVPYPDDEAGEVPIAYVVRSPNSSLTEEEIKKFIADQVAPFKKLRRVSFISSVPKTSSGKILRREIAAKARSKI >Vigun02g105300.1.v1.2 pep primary_assembly:ASM411807v1:2:25979549:25983990:1 gene:Vigun02g105300.v1.2 transcript:Vigun02g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAILRQLGQISNEPLMTDESEIECHQHLQKLYTSTKTAKHFQRHIVRAIEGFISVSSKQMEIVRRLARDCCRYGTENLGSGYPLARASLQFGNSYDMMENERETLLGILGDQISEPLRAQITGAPLEDARHLTHRYDKLHQEVEAQAAEVLRRRSKLRNSSVSAESSVRLQNAETRLKELKSALAALGKEATAAMLSVEEQQQQMTLQSLRTMVDAERAYHQHVLIILEKVYTEITDEKQPKEATSVPPPRDGHNQPADENTASNGIDYKHNSQTGTYFFAKVVHPFDAQAEGELSLSVDDFVVVRQVGPNGWSEGECQGNAGWFPTAYVQRQDLIPANKVPE >Vigun02g105300.3.v1.2 pep primary_assembly:ASM411807v1:2:25979851:25983990:1 gene:Vigun02g105300.v1.2 transcript:Vigun02g105300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAILRQLGQISNEPLMTDESEIECHQHLQKLYTSTKTAKHFQRHIVRAIEGFISVSSKQMEIVRRLARDCCRYGTENLGSGYPLARASLQFGNSYDMMENERETLLGILGDQISEPLRAQITGAPLEDARHLTHRYDKLHQEVEAQAAEVLRRRSKLRNSSVSAESSVRLQNAETRLKELKSALAALGKEATAAMLSVEEQQQQMTLQSLRTMVDAERAYHQHVLIILEKVYTEITDEKQPKEATSVPPPRDGHNQPADENTASNGIDYKHNSQTGTYFFAKVVHPFDAQAEGELSLSVDDFVVVRQVGPNGWSEGECQGNAGWFPTAYVQRQDLIPANKVPE >Vigun02g105300.2.v1.2 pep primary_assembly:ASM411807v1:2:25979549:25984099:1 gene:Vigun02g105300.v1.2 transcript:Vigun02g105300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAILRQLGQISNEPLMTDESEIECHQHLQKLYTSTKTAKHFQRHIVRAIEGFISVSSKQMEIVRRLARDCCRYGTENLGSGYPLARASLQFGNSYDMMENERETLLGILGDQISEPLRAQITGAPLEDARHLTHRYDKLHQEVEAQAAEVLRRRSKLRNSSVSAESSVRLQNAETRLKELKSALAALGKEATAAMLSVEEQQQQMTLQSLRTMVDAERAYHQHVLIILEKVYTEITDEKQPKEATSVPPPRDGHNQPADENTASNGIDYKHNSQTGTYFFAKVVHPFDAQAEGELSLSVDDFVVVRQVGPNGWSEGECQGNAGWFPTAYVQRQDLIPANKVPE >Vigun01g227300.1.v1.2 pep primary_assembly:ASM411807v1:1:39999190:40002318:-1 gene:Vigun01g227300.v1.2 transcript:Vigun01g227300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTGTLIWVCLLWLFFLCATIAFFQLHLVDNRTNQPSSREQRSDIPLGQDLEGVTHKVYFDIEIHGKLAGRIVIGLFGNTVPKTVENFRALCTGEKGKGRSGVSLHYKGSTFHRIIPSFMVQGGDFTRGDGRGGESIYGDKFADENFKVKHSGPGYLSMANSGQDTNGSQFFMTTVKTSWLDGRHVVFGKVLSGMDVLYKIEAEGSESGSPKNKVIISDSGELTS >Vigun05g217400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40922063:40922218:-1 gene:Vigun05g217400.v1.2 transcript:Vigun05g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEELNESVAKSRTKRNHGSTRFFIFVDYLFILIFLGFLCFIFFKILGI >Vigun03g250100.3.v1.2 pep primary_assembly:ASM411807v1:3:41613151:41616078:1 gene:Vigun03g250100.v1.2 transcript:Vigun03g250100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEVSSGTGSLPYGYAGGFQPPPFPVVRLRGLPFNCTDIDILKFFAGLTIVDVLLVNKSGRFSGEAFVVFAGAMQVEFALQRDRQNMGRRYVEVFRCKKQDYYNAVAAEINYEGIYDNDYHGSSPPPSRSKRFSDKDQMEYTEILKMRGLPFQVTKSQIVEFFKDFNLIEDRVHIACRPDGKATGEAYVEFVSAEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Vigun03g250100.1.v1.2 pep primary_assembly:ASM411807v1:3:41613151:41615300:1 gene:Vigun03g250100.v1.2 transcript:Vigun03g250100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGTGSLPYGYAGGFQPPPFPVVRLRGLPFNCTDIDILKFFAGLTIVDVLLVNKSGRFSGEAFVVFAGAMQVEFALQRDRQNMGRRYVEVFRCKKQDYYNAVAAEINYEGIYDNDYHGSSPPPSRSKRFSDKDQMEYTEILKMRGLPFQVTKSQIVEFFKDFNLIEDRVHIACRPDGKATGEAYVEFVSAEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Vigun03g250100.2.v1.2 pep primary_assembly:ASM411807v1:3:41613151:41615300:1 gene:Vigun03g250100.v1.2 transcript:Vigun03g250100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEVSSGTGSLPYGYAGGFQPPPFPVVRLRGLPFNCTDIDILKFFAGLTIVDVLLVNKSGRFSGEAFVVFAGAMQVEFALQRDRQNMGRRYVEVFRCKKQDYYNAVAAEINYEGIYDNDYHGSSPPPSRSKRFSDKDQMEYTEILKMRGLPFQVTKSQIVEFFKDFNLIEDRVHIACRPDGKATGEAYVEFVSAEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Vigun09g134400.1.v1.2 pep primary_assembly:ASM411807v1:9:29386065:29391160:-1 gene:Vigun09g134400.v1.2 transcript:Vigun09g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLVPAVRVVRCPKCRLLLQEPAGCDLYKCGECGTTLQAKKRSSANVSLQSSTQETIAAPRKLLVPSRECSLKQKATSSADCHVGGNSGRGQLVPFILSDEEQETESDIYNLSHRRHRMTSKGCSTSNKTNHSEIEEVNEGMLVEELKEEFVCALDEDGYNDRSASTLKGVTAEKKNTQVDLVKELVSGSDGENANKVKSVVVGAIPEVEISESVDSEGDEELNGGNLSSEVAEEEFSSALEEDTTNYKSAPVGENLELKITESNRAEELNDGKFFEEAEHARDEEDSNNNPSVIDGAKPEVGTTESASTTIRSSTEEENSLYVTPDKLEGPPANIVSTQKQKTQAQKDVQRGFDRVRSVNTTDTTELIDHSSELSDIHVGKLSKSPTARSSHAYDGSLSSYEAMDERSHIQHSGSFDNTHTIANDVSEGRNRKGKGLAKNLLYGDFGTQRQSHLSNAKHHAKRDGWGNQNKVVEETTRNGHRRWKSTKRDEFPPKIPFHRSGSRSYYESGNSSNHMHDEIHRSSSFLSHESFEETDQEKMKLLSMIHKLQDKLNRTRYTSGEDNGRLSKGVFYKGNRISAYHTHDFDEGRRFSHGLDYPLCNGRCSHGVNWHQRHNKSSRIPYSAEATSSALNVDHSCCHCRSQERHFSADISPHGLFQHERLQRSCGGRDCCSFSHHSYPSSPQWFTASKLAPMYGRETKSDDQRRRVPELSRYVREKRNLVAKRHHRPVAGGAPFVTCHKCLNLLQIPADFLLFKRACHELKCGECSEVLKFSLHGSHIDLFSSNNANGPPSSDLNDPSQLISSSSLPSASHANYYRYSPAEAISYYDDYGLSISKSYSSEGEPVSLAHSHHLHGIFEPSTEKENVAPRYSSARKSLVETDESAIFPRKLASEMRARPPQKSSSLHLLMGYSSPSQVIRGSDLC >Vigun09g134400.3.v1.2 pep primary_assembly:ASM411807v1:9:29386067:29391160:-1 gene:Vigun09g134400.v1.2 transcript:Vigun09g134400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLVPAVRVVRCPKCRLLLQEPAGCDLYKCGECGTTLQAKKRSSANVSLQSSTQETIAAPRKLLVPSRECSLKQKATSSADCHVGGNSGRGQLVPFILSDEEQETESDIYNLSHRRHRMTSKGCSTSNKTNHSEIEEVNEGMLVEELKEEFVCALDEDGYNDRSASTLKGVTAEKKNTQVDLVKELVSGSDGENANKVKSVVVGAIPEVEISESVDSEGDEELNGGNLSSEVAEEEFSSALEEDTTNYKSAPVGENLELKITESNRAEELNDGKFFEEAEHARDEEDSNNNPSVIDGAKPEVGTTESASTTIRSSTEEENSLYVTPDKLEGPPANIVSTQKQKTQAQKDVQRGFDRVRSVNTTDTTELIDHSSELSDIHVGKLSKSPTARSSHAYDGSLSSYEAMDERSHIQHSGSFDNTHTIANDVSEGRNRKGKGLAKNLLYGDFGTQRQSHLSNAKHHAKRDGWGNQNKVVEETTRNGHRRWKSTKRDEFPPKIPFHRSGSRSYYESGNSSNHMHDEIHRSSSFLSHESFEETDQEKMKLLSMIHKLQDKLNRTRYTSGEDNGRLSKGVFYKGNRISAYHTHDFDEGRRFSHGLDYPLCNGRCSHGVNWHQRHNKSSRIPYSAEATSSALNVDHSCCHCRSQERHFSADISPHGLFQHERLQRSCGGRDCCSFSHHSYPSSPQWFTASKLAPMYGRETKSDDQRRRVPELSRYVREKRNLVAKRHHRPVAGGAPFVTCHKCLNLLQIPADFLLFKRACHELKCGECSEVLKFSLHGSHIDLFSSNNANGPPSSDLNDPSQLISSSSLPSASHANYYRYSPAEAISYYDDYGLSISKSYSSEGEPVSLAHSHHLHGIFEPSTEKENVAPRYSSARKSLVETDESAIFPRKLASEMRARPPQKSSSLHLLMGYSSPSQVIRGSDLC >Vigun09g134400.2.v1.2 pep primary_assembly:ASM411807v1:9:29386067:29389566:-1 gene:Vigun09g134400.v1.2 transcript:Vigun09g134400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLVPAVRVVRCPKCRLLLQEPAGCDLYKCGECGTTLQAKKRSSANVSLQSSTQETIAAPRKLLVPSRECSLKQKATSSADCHVGGNSGRGQLVPFILSDEEQETESDIYNLSHRRHRMTSKGCSTSNKTNHSEIEEVNEGMLVEELKEEFVCALDEDGYNDRSASTLKGVTAEKKNTQVDLVKELVSGSDGENANKVKSVVVGAIPEVEISESVDSEGDEELNGGNLSSEVAEEEFSSALEEDTTNYKSAPVGENLELKITESNRAEELNDGKFFEEAEHARDEEDSNNNPSVIDGAKPEVGTTESASTTIRSSTEEENSLYVTPDKLEGPPANIVSTQKQKTQAQKDVQRGFDRVRSVNTTDTTELIDHSSELSDIHVGKLSKSPTARSSHAYDGSLSSYEAMDERSHIQHSGSFDNTHTIANDVSEGRNRKGKGLAKNLLYGDFGTQRQSHLSNAKHHAKRDGWGNQNKVVEETTRNGHRRWKSTKRDEFPPKIPFHRSGSRSYYESGNSSNHMHDEIHRSSSFLSHESFEETDQEKMKLLSMIHKLQDKLNRTRYTSGEDNGRLSKGVFYKGNRISAYHTHDFDEGRRFSHGLDYPLCNGRCSHGVNWHQRHNKSSRIPYSAEATSSALNVDHSCCHCRSQERHFSADISPHGLFQHERLQRSCGGRDCCSFSHHSYPSSPQWFTASKLAPMYGRETKSDDQRRRVPELSRYVREKRNLVAKRHHRPVAGGAPFVTCHKCLNLLQIPADFLLFKRACHELKCGECSEVLKFSLHGSHIDLFSSNNANGPPSSDLNDPSQLISSSSLPSASHANYYRYSPAEAISYYDDYGLSISKSYSSEGEPVSLAHSHHLHGIFEPSTEKENVAPRYSSARKSLVETDESAIFPRKLASEMRARPPQKSSSLHLLMGYSSPSQVIRGSDLC >Vigun04g114050.1.v1.2 pep primary_assembly:ASM411807v1:4:28588067:28594293:-1 gene:Vigun04g114050.v1.2 transcript:Vigun04g114050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLVSLFWCFFLLSLVLLPTIFGAFSCCWLFHPNGIFIMKV >Vigun10g037700.1.v1.2 pep primary_assembly:ASM411807v1:10:5131757:5133268:1 gene:Vigun10g037700.v1.2 transcript:Vigun10g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLAPTTRIDTKFNQLAFTVSVVFHPIESMSYTSSSSKSKPRWIYDVFISFRGPDTRKNFVSHLYSALANAGVNTFQDDEKLSKGQRLTELFHAIEVSQISIVVFSGNYICSTWCLDELVKIMECHAFRGQVVLPVFYDVNPSSLRSRSGVSYEVIFEYGSDLHRVKQGKRKKALREAEGLAGWNVSDYRNENVIVKEIVSEVLERLDRTCMCTTNFPVGLKCRVHNLVLTSLPLDHQALQQPQSNLTSSQQ >VigunL038450.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000522.1:24390:26513:1 gene:VigunL038450.v1.2 transcript:VigunL038450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLDPRVTYCGYNIPHPSFNRVNIRLQTTGDPTKKVFKDGCLELMLICRHVRSTFDDALFEFKKTKNQMNKIWIPLRVCKHFYF >Vigun09g132400.2.v1.2 pep primary_assembly:ASM411807v1:9:29086564:29090519:-1 gene:Vigun09g132400.v1.2 transcript:Vigun09g132400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAHHRRTQSEMLFRINDDFDLEVDLSPPHFQDPTPPNQSGPKPESPPHETARSGHRRSNSADTSSSSQLEGIEAKKALSPDKLAELWTVDPKRAKRILANRQSAARSKERKACYVSELERKFQSLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQAMEQQAKLCDALNEALKKELDRLRIATGEIAMPADNYGLGMHQLTYSQGPFFSHQPQHGQSAFQATQMPQMHSLSSNVSTSNEPLFDLDIPYDMSEMLSNESIGQFQGLDIGNGVSQVLIPDYPSNFC >Vigun09g132400.4.v1.2 pep primary_assembly:ASM411807v1:9:29086717:29090519:-1 gene:Vigun09g132400.v1.2 transcript:Vigun09g132400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAHHRRTQSEMLFRINDDFDLEVDLSPPHFQDPTPPNQSGPKPESPPHETARSGHRRSNSADTSSSSQLEGIEAKKALSPDKLAELWTVDPKRAKRILANRQSAARSKERKACYVSELERKFQSLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQAMEQQAKLCDALNEALKKELDRLRIATGEIAMPADNYGLGMHQLTYSQGPFFSHQPQHGQSAFQATQMPQMHSLSSNVSTSNEPLFDLDIPYDMSEMLSNESIGQFQGLDIGNGVSQVLIPDYPSNFC >Vigun09g132400.3.v1.2 pep primary_assembly:ASM411807v1:9:29084388:29090522:-1 gene:Vigun09g132400.v1.2 transcript:Vigun09g132400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAHHRRTQSEMLFRINDDFDLEVDLSPPHFQDPTPPNQSGPKPESPPHETARSGHRRSNSADTSSSSQLEGIEAKKALSPDKLAELWTVDPKRAKRILANRQSAARSKERKACYVSELERKFQSLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQAMEQQAKLCDALNEALKKELDRLRIATGEIAMPADNYGLGMHQLTYSQGPFFSHQPQHGQSAFQATQMPQMHSLSSNVSTSNEPLFDLDIPYDMSEMLSNESIGQFQGLDIGNGVSQVLIPDYPSNFC >Vigun09g132400.1.v1.2 pep primary_assembly:ASM411807v1:9:29086126:29090550:-1 gene:Vigun09g132400.v1.2 transcript:Vigun09g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAHHRRTQSEMLFRINDDFDLEVDLSPPHFQDPTPPNQSGPKPESPPHETARSGHRRSNSADTSSSSQLEGIEAKKALSPDKLAELWTVDPKRAKRILANRQSAARSKERKACYVSELERKFQSLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQAMEQQAKLCDALNEALKKELDRLRIATGEIAMPADNYGLGMHQLTYSQGPFFSHQPQHGQSAFQATQMPQMHSLSSNVSTSNEPLFDLDIPYDMSEMLSNESIGQFQGLDIGNGVSQVLIPDYPSNFC >Vigun07g117600.1.v1.2 pep primary_assembly:ASM411807v1:7:21797802:21799357:1 gene:Vigun07g117600.v1.2 transcript:Vigun07g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLYGLPMSTNTTRVMICLHEKEVDFELVPVNVFTAEHKQPPFLSKNPFGLIPVLQDGELILFESRAITAYVAEKFKETGPDLIRHKDAKEGAVVKVWTEVEAHYYEPAVSPIIYEHFVAPFQGKEPDKSVIDTNVEKLKKVLDVYEEKLSSTKYLAGEFYSLADLCHVSETHYLMQTPCASMINERPHVKAWWEDISSRPAFSKVEPGMTFGKNQE >Vigun05g104100.2.v1.2 pep primary_assembly:ASM411807v1:5:10366805:10373008:-1 gene:Vigun05g104100.v1.2 transcript:Vigun05g104100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMLPQKQAEEAIVASLNETESEVGGVREEEKELQDQSGFSFKNLLWHGGSVWDAWFSCASNQVAQVLLTLPCSFSQLGMLSGILLQIFYGIMGSWTAYLISVLYMEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAENVTHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSAAAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPATAHMLTYRKPSARQNAAEKPPFFMPSWTAMYVFNAFIVVWVLVVGFGFGGWASMSNFIKQIDNFGLFAKCYQCKSPSPPAMAAAPPPQHRHH >Vigun05g104100.1.v1.2 pep primary_assembly:ASM411807v1:5:10366805:10373008:-1 gene:Vigun05g104100.v1.2 transcript:Vigun05g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMLPQKQAEEAIVASLNETESEVGGVREEEKELQDQSGFSFKNLLWHGGSVWDAWFSCASNQVAQVLLTLPCSFSQLGMLSGILLQIFYGIMGSWTAYLISVLYMEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAENVTHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSAAAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPATAHMLTYRKPSARQNAAEKPPFFMPSWTAMYVFNAFIVVWVLVVGFGFGGWASMSNFIKQIDNFGLFAKCYQCKSPSPPAMAAAPPPQHRHH >Vigun07g151400.1.v1.2 pep primary_assembly:ASM411807v1:7:26171006:26177321:-1 gene:Vigun07g151400.v1.2 transcript:Vigun07g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEISSVVSKLQMVSTSDHASVVSMNLFVALLCACIVIGHLLEENRWMNESITALMIGISTGVIILLFSGGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAIGTLISCAVITLGASQIFEKLDIGPLELGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLNHIDPSIALHFLGNFLYLFVASTMLGVLTGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELCYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHSFATLSFVAEIFIFLYVGMDALDIEKWKFVSDSPGTSIAASSVLLGLILVGRAAFVFPLSFISNLAKKSPNEKISFRQQVIIWWAGLMRGAVSMALAYNQFTMSGHTSLRSNAVMITSTITVVLVSTVVFGLMTKPLIRLLLPHTPNHKEINIVIATDTSTPKSATVPLLGSAQDSEVDIDPIHRPSSIRALLRTPTHTVHRLWRKFDDAFMRPVFGGRGFVPVEPGSPSERNGHQWR >Vigun08g184500.10.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.5.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.9.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.7.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.8.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.3.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.6.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.2.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.4.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun08g184500.1.v1.2 pep primary_assembly:ASM411807v1:8:35375068:35379067:-1 gene:Vigun08g184500.v1.2 transcript:Vigun08g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKVGLGLTGFGIFFSFLGVIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGVGFFILIIGWPILGMIVESYGFIILFSGFWPTLAVFLQKIPVLGWLVEQPYIRSLFDRYRGKRVPV >Vigun04g115100.1.v1.2 pep primary_assembly:ASM411807v1:4:28801223:28804657:1 gene:Vigun04g115100.v1.2 transcript:Vigun04g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMVHPSVHPIEAPPMTDHAIAIPRHTLKDTQGMPGTLGGFLLRFLQFSFAIVSLSVMATTSDFPSVTAFRYLVAAVSLQSLWSLSLAVADIYAILVRRGYRNVRIVRLFSIGDGITSTLTFSAACASAGITVLIGNDLNDCAQNHCSRFETATAMAFMSWFAASPSFILNFWSLASK >Vigun01g053100.1.v1.2 pep primary_assembly:ASM411807v1:1:9742487:9744592:-1 gene:Vigun01g053100.v1.2 transcript:Vigun01g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSLIRVMGGGYKEEQHRTVGNESHGEKSTALITRDLLGGSSIESQELDLDLQVPSGWEKRLDLQSGKVYLQKCNTTTIGSPPVSDHKLNAKAAGPKLQDLNFPSSSSSSNVLLNLFDESSLDLKLVSSSLPSSNYQSVCTLDKVKSALERAEKEPIIKKRTSFLKPTLSASSPSYSSSSSSIRENTQEEESEEKLASSPMAAGCPGCLSYVMIMKNNPKCPRCNSLVPIPSMKKPRIDLNISI >Vigun01g140500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32122089:32122726:1 gene:Vigun01g140500.v1.2 transcript:Vigun01g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHRRILSTVLQILLVTFMIFVASPESGVACRPLFLHYQWSWEYGLLFQSLPNAPAPPSGGDPTHP >Vigun09g055600.1.v1.2 pep primary_assembly:ASM411807v1:9:5559025:5563235:-1 gene:Vigun09g055600.v1.2 transcript:Vigun09g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDISFEDLKKNVDLEKIPVEEVFVQLKCTRQGLTSAEGEKRLQIFGYNKLEEKKECKVLKFLGFMWNPLSWVMECAAIMAIVLANGGGKPPDWQDFTGIVVLLIVNSTISFIEENNAGNAAAALMAGLAPKTKVLRNGKWGEEDASILVPGDVISIKLGDIVPADARLLEGDPLKIDQAALTGESLPVTRNPGDGVFSGSTCKQGEIEAVVIATGINTFFGKAAHLVDSTNNVGHFQQVLTSIGNFCICSIAVGMVIEIIVMYPIQHRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPKGMDKDTLVLHAARASRTENQDAIDSSIVGMLSDPKEARAGITEVHFLPFNPVDKRTAITFIDGNGDWHRSSKGAPEQIIELCGLKGETLKRAHKVIDEYADRGLRSLGVARQSIPEKTKESAGDAWEFLGLLPLFDPPRHDSAETIRRAIELGVNVKMITGDQLAIGKETARRLGMGTNMYPSSSLLGGDSTDGSLSTITVDDLIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRVVFGFLLVALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGIVLGAYMAIMTAVFFYLVHDTHFFTDVFKVSPIAESEEQLNSALYLQVSIISQALIFVTRSRGWSYLECPGVMLIVAFICAQLVASAIAVYAHWDFAKIHGIGWEWAGVIWIYSIITYIPLDILKFLIRMGLGSACDTTLPDKP >Vigun03g110900.1.v1.2 pep primary_assembly:ASM411807v1:3:10029044:10030762:1 gene:Vigun03g110900.v1.2 transcript:Vigun03g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFTIFLLFLSVVFIIIKLCSNEANTKNSPPSPPRLPLLGNLHQLGLFPHRTLQTLAQNYGSLMLLHLGKVPVLVISSADAAREVMKTHDLLFSDRPQRKMHDVLLYGSKDLASSTYGEYWRQIRSVSVLHLLSTKRVQSFRRVREEETARMTENIRQCCRDSLPVNLTDICASVTNDVACRVALGRRYRGTEGRGFQKLLLEFGDLLGAVSIGDYVPWLHWVSHVSGLFQRANKVAKHLDQFIDEVIEEHIIRNGRYGVVDSGDHDDFVDVLLSMEKNNANTTDSLIDRTAIKALILDMFVAGTDTTYTSLEWTMSELLKHSSVMQKLQEEVRSVVGNRTHVTEDDLGEMKYLKAVIKESLRLHPPIPLTVPRRCMEDVKVKGYEIGAGTQVLVNAWAIARDSSCWDQPLEFKPERFLSSSIDFKGHDFELIPFGAGRRGCPGISFATKIVEIVIANLVHQFDWSLPGGASGEDLDMSEIPGLAVHRKFPPLAVATAYERN >Vigun11g121100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32745792:32748330:-1 gene:Vigun11g121100.v1.2 transcript:Vigun11g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSVAMTNFNNKLQGQTLLFSSSSSSSSVKREEETMMQDQGFITILDSNTNTNTVSSASSMRRALSADMSSNNFLSQTIALSEELVHAKTIGDSGDEESNNKELEDEAERERLEIWSSIQRNKKEEQEKSGSFDTWSSIISLKGKEEISKSLPASLYVHPLVKRSKSCLSEKSLQICTESLGSETGSDGLLSSSYSSTETGDAEEEEKVAEPTHQEEEEMNNYASVVATKKVSSPTRAFPPPLPSLSHQQAGPSLHMRSRRDNGRLVLEAVSVPSHNNFSIQRQGGRLLLSFSNHQEEDEEEEEEEENDDCVEQEYLGGLEFEEDEADEEKEYTFGRKEHLLSSGVTSNVQGLALMTNNKKKAIGVVNRNPKWSEKFNDVNVSQSLPPRPRVARLIPSAPAVAAAAASFNLNAYEYYWRTNSAPSKGSSVKLDPLDQNKKHHHNHQENMKNKVVVSRDMNKMVPREQQQVLVLRGKNGDYLVHNLKSCKDSRRSFLFWEPYCIATS >Vigun11g034700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4686064:4692476:-1 gene:Vigun11g034700.v1.2 transcript:Vigun11g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNSSKGHTRSNSLSKSKKMRCYKCKEVRRIRKNCPKLKKERNNNVRTIVARSSAVVFGGSSNEGDGKDVLMVNTIGSTDTWVMDTGVSYQTTFNKELFNLFKE >Vigun05g236000.2.v1.2 pep primary_assembly:ASM411807v1:5:42919097:42921190:-1 gene:Vigun05g236000.v1.2 transcript:Vigun05g236000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >Vigun05g236000.3.v1.2 pep primary_assembly:ASM411807v1:5:42919097:42921190:-1 gene:Vigun05g236000.v1.2 transcript:Vigun05g236000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAITGIMGDSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVSACI >Vigun05g236000.1.v1.2 pep primary_assembly:ASM411807v1:5:42919097:42921190:-1 gene:Vigun05g236000.v1.2 transcript:Vigun05g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAITGIMGDSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >Vigun02g080800.1.v1.2 pep primary_assembly:ASM411807v1:2:23340646:23344128:-1 gene:Vigun02g080800.v1.2 transcript:Vigun02g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPSFSLPSNKPFSLKRSHSLFHPSRNNNNNNKSLFSFNSNLRCEIKGFEGHRRWTLDRISDMNKDSTALSNSSTNPSSPLVSVSAVTSASQLPTKNAKKVVLFFCAETKALAEKIAADSDAIELRTISWGKFPDGFPNIFIPNAQGIRGMHVAFLASFSSPAVIFEQIPVIYALPKLFIASFTLVLPFFPTGTSERMEDEGDIATAFTLARLLSNIPISRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKRRLQDLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCAKVREGERRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAAQGASKISAYVTHGIFPNNSWSRFGHDNGGHPETAFTYFWITDSCPLTVKEVTNRPPFEILSLASSISASLQI >Vigun01g229000.1.v1.2 pep primary_assembly:ASM411807v1:1:40156421:40158174:1 gene:Vigun01g229000.v1.2 transcript:Vigun01g229000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNSIVSFSSTKLETKYHDASRYSVGASLPKATQFTPFSLVVSRSRKLVKERRFNHCLPVADSDQLAADNNISEEFDNAENSATNDELSSLKVDAESVSETLESSNGSINQEQGSSSSATKRGSLTARERLKAARAGNRYPQLKASKADMNSRVLEASKQSDKGKRKPGLPEAPTNLFDDSKRGLSTGFTFQFPGGADLFFIVLSFVLISSIMFATTFIVWKVGAIHFNEY >Vigun01g229000.2.v1.2 pep primary_assembly:ASM411807v1:1:40156421:40158174:1 gene:Vigun01g229000.v1.2 transcript:Vigun01g229000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNSIVSFSSTLETKYHDASRYSVGASLPKATQFTPFSLVVSRSRKLVKERRFNHCLPVADSDQLAADNNISEEFDNAENSATNDELSSLKVDAESVSETLESSNGSINQEQGSSSSATKRGSLTARERLKAARAGNRYPQLKASKADMNSRVLEASKQSDKGKRKPGLPEAPTNLFDDSKRGLSTGFTFQFPGGADLFFIVLSFVLISSIMFATTFIVWKVGAIHFNEY >Vigun09g260100.1.v1.2 pep primary_assembly:ASM411807v1:9:42522755:42527102:1 gene:Vigun09g260100.v1.2 transcript:Vigun09g260100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSAFNSPFWTTNSGAPVWNNNSSLTVGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNLPVFFVRDGMKFPDMVHALKPNPKNHIQENWRILDFFSHFPESLHMFSFLFDDLGVPQDYRHMDGFGVNTYSLVNKAGKAVYVKFHWKTVSGVKCLLEEEAIKVGGANHSHATQDLHDSIAAGNYPEWKLFIQTIDPEHEDKFDFDPLDVTKTWPEDIIPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKMLQTRIFSYADSQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFIHRDEEVNYFPSRYDPVRHAERFPIPSAICSGRREKNAIEKENNFKQAGERFRSWAPDRQDRFIRRWVDALSDPRVTHEIRSVWISYWSQADRSLGQKIASHLNMRPNI >Vigun04g092200.1.v1.2 pep primary_assembly:ASM411807v1:4:17672580:17689541:-1 gene:Vigun04g092200.v1.2 transcript:Vigun04g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEGCDKQKRVVFVTVGTTCFDALVRAVDSNIVKQALFAKGYTHLLIQMGRGSYLPTKSEGDGALAVDYFTFSSSIAAHLRSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLYCAGPQTLQQTITDMDLSSLSPYSPGDATPVAQHINSFLGFPDD >Vigun04g092200.2.v1.2 pep primary_assembly:ASM411807v1:4:17672580:17689528:-1 gene:Vigun04g092200.v1.2 transcript:Vigun04g092200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEGCDKQKRVVFVTVGTTCFDALVRAVDSNIVKQALFAKGYTHLLIQMGRGSYLPTKSEGDGALAVDYFTFSSSIAAHLRSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLYCAGPQTLQQTITDMDLSSLSPYSPGDATPVAQHINSFLGFPDD >Vigun01g127700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30558577:30559786:-1 gene:Vigun01g127700.v1.2 transcript:Vigun01g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPYTIIIFIPTLMAKFQTFFSTFFLTLLFASLVSATFYQTISPDQLRAREKLTHIRFYFHEQITNEKPSLLIIDPPKIMADSPLPFGSMVVIENRLTIGPDVESKRIGKAQGFYLSATQRPGLDLEIVMSFTLTFLEGEFNGSTLCVSGRNEIMLPVREMPIIGGTGAFRFARGFVHARSVKVDYHKGDATVEYNVYVYHYSSTTSSSHHQRVNEGLNFMTDPILSKI >Vigun06g017000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8053035:8053647:1 gene:Vigun06g017000.v1.2 transcript:Vigun06g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQVLPPEIFTGTDDLPNFFDLKQGGADQGGENKTTTTNHKKEEKNSVTTPSPANTSEKLPPPPGKSA >Vigun04g102533.1.v1.2 pep primary_assembly:ASM411807v1:4:23658161:23660682:-1 gene:Vigun04g102533.v1.2 transcript:Vigun04g102533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYHDKKILKREFYPSQSVLLFNSRLRLFPGKLKSKWSGPFLVKDVKPYGAIELEDPESKRIWVVNGQRLKPYLGGQWLEQRQHLDFPLTEPSKGKRRRRASSSSLERPISNHFRDPERQERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLRPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQEQGVKVDLTKKIQPSITKRFIEHFCTHPEDLEQLEEPQLDQQVEDQQAEDQPAMEEQQTGPT >Vigun08g100250.1.v1.2 pep primary_assembly:ASM411807v1:8:24608247:24628046:-1 gene:Vigun08g100250.v1.2 transcript:Vigun08g100250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYDCLIEWKPSVRATTRTLTPLTHTISSHNSRNVQCSSSILSHNLRDLTHLQKLNLAFNDFSFSLMPSGFGHLLALTHLNLSYDGFSGLIPSEISHLSKLVSLDLSLTPLRHLDLSLSTFSGHIQSLSNLTQLKYLALCDSEFSGEIPLSLSNLQHLTYIDLSDNNFTGPIPQCMGNISQLNYLVLHSNYFSGEIPSSLFNLHHLIHLDLSYNNFDGEIPNLFRKLSKLEVLDLSRNNLVGQLPSSLFGLTNLRDLSCYVNKLLGAIPEKNSGLSNLANLDLSDNLLNGTIPRWCFSSSSLFNLHLSGNQLTGSIGEFSAFSLDICDLSHNKLQDFHKFSNLQQLQNLDLSRNNFLSLSFNGGGEYTFPNLHGLHLSSCNVISFPKFLSRLNILTSLDLSNNQIHGRIPKWFTNTTTWKYTLSYLDLSHNLLTSVGSLSLVWEKIYYIDLSFNMLEGDIPVPPFRTEFFFLSNNKLTGGISSALCHASSLEMINLSHNNLTGKLPECLGTFHYLSILDLRRNNLSGMIPRTYLQIESLETMNFNGNQLAGPLPRSVVKCKQLRVLDLGENNIQDTFPSWLESLQQLQVLVLRADRFNGSINSLKSKNGFPMLRVFDISNNNFSGNLPTSYFENFKGMMVNINNGLQYMRGERYSLKYYDSIVVTIKGEIFPLERILTIFTTIDLSNNRFEGIIPAIVGELKSLKGLNLSHNRITGCIPQTLGGLENLEWLDLSSNMLKGEIPNAFTNLHYLSVLNLSQNQLVGMIPTGKQLDTFQNDSYLGNPGLCGLPLSKPCHKDKKQRRDSNTFYHDEQFGFGWKPVAIGYGCGVAFGIVLGYIVFFSRKPQWSIRFVEGILNQRYF >Vigun08g014500.1.v1.2 pep primary_assembly:ASM411807v1:8:1245230:1247231:1 gene:Vigun08g014500.v1.2 transcript:Vigun08g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDLAEACIAEILSHTSPMDACKLSLVSRTFCSAAESDFVWSAFLLNLTSIIPPSSFPSSSSKKGLYFNLCNHPTIIDQGKKSVQLDKRTGKKCYMLSARNLDIIWGDAAQHWEWTSLPESRFEVVAVLRAVCWFDISGKISTVGLSSETHYAVFLVFKMMNASGFHYHPTVISVGVCGGSSNSKYVCLDLNVKDNCLRELQCPRVRSDGWLEIEMGEFFNSGLKEEQLQIKVMETTSHIQKCGFVLEGIELRPKHV >Vigun07g096300.1.v1.2 pep primary_assembly:ASM411807v1:7:15869219:15870693:-1 gene:Vigun07g096300.v1.2 transcript:Vigun07g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWKAMMYCHPLWVSIFNPTKEYIQFDPAFVVYWSKEVRHNLNYLVDPRGNKIVIRIGQTSVPENFFCGGYEIASFYNFKENYFVAVKYLGNRVFDLRIFDIDMTEIQYPAPKNPTDVHPEIPLPRFFNCFNVKLSIQQESITLADAFSRFWGPTFASDNFEMIDPVGNIHEIIISKGFLHMHFITKGIPQFIKYYDIRNDHVLRLTYMGDNKFIVRIFDLAGDEITYINKSTDNCITTMESNDENPFYFSTEKTLTENDIPSSSLYLDAQFADVALVKNQKMYNLSNENGDLWECNIRWSNRSRMECYITRGWKQFCIDNALEAGNKLMFGVDKNRSSTIHVLIT >Vigun03g232301.1.v1.2 pep primary_assembly:ASM411807v1:3:38730648:38730927:1 gene:Vigun03g232301.v1.2 transcript:Vigun03g232301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPTSRKSVFPSDNTHRRPSSFSMKNKKKSRSPKAFSFPCRISLRRAFPLMVGGSIPLSELGGHSFQPYSFNQIK >Vigun02g144200.1.v1.2 pep primary_assembly:ASM411807v1:2:29238260:29242491:-1 gene:Vigun02g144200.v1.2 transcript:Vigun02g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPNGSCNKGIVDSYMLLNPKEAGFFDLLHVLYSRNLRNRKFVDSKAEGDYEGSFRHRWLIFVSVVLQKLLLLLAKPLALFGSFIEFVINLIYLNGGFIMIFVNFLSGHLVVPDRNAQNYLSCIGNLDARVKLDAIKRDDCRYYVSLAMMASKASYENVAYLKYLVKTHWKMEFVGFFDCWNESQGKATTQVLILLDKHEQRDTYVVAFRGTEPFDADAWCTDLDISWYGIPGVGKVHGGFMKALGLQKNEGWPVEIQRDENLPPLAYYVIRDILRKGLSENPNAKFIITGHSLGGALAILFPTIMFLHDEKLLIERLEGIYTFGQPRVGDEAYANFMKKNLKENSIRYCRFVYCNDIVPRLPYDDKDLLFKHFGVCLFFNRRYELKVLEEEPNKNYFSPWCVIPMVFNAALELLRSFTIAYKHGPHYREGWFLFGFRLFGLVLPGLPAHGTQDYINSTLLGAIEKHFRTE >Vigun02g027850.1.v1.2 pep primary_assembly:ASM411807v1:2:9818733:9825257:-1 gene:Vigun02g027850.v1.2 transcript:Vigun02g027850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESGCRCALIRESGACWSCGANVVRTTNDGSASMVAAFRNGGREWLQVMLLQLMRHSRCSEGVDGVVQGRWWRSSSCHGGRECWLLGFEGRR >Vigun10g072950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:18441157:18442953:-1 gene:Vigun10g072950.v1.2 transcript:Vigun10g072950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGEGAKLLAEEITEKVGIKNPQAPTMVNRILRLLDHSMLSSCLGEEDQNSGKRLYSLTYASKCFDSDADGVSFGASLNLILGKPFWIAG >Vigun07g115100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21306505:21311263:1 gene:Vigun07g115100.v1.2 transcript:Vigun07g115100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKVERRFPVKPKLKSKARNPKQTSESKYWSSFKTRQIPDLISVPSLTFSPTHPHSFAAAHSATLTLFSSQTLSPTAKISSFSDAVSCASFRSDALLLAASDLSGLVQVFDVKSRTALRRLRSHTRPVRFVHFPVLDKLHLISAGDDALVKFWDVAEETSVAEFTGHKDYVRCGDSSPVNSDVFITGSYDHVVKLWDVRVRDSKSSVQVNHGAPVEDAVFLPSGGIVATAGGNSVKLWDLIGGGRLLFSMESHNKTVTSLCVGRIGNDFGEESNQFRIMSVGLDGYLKVFDYGSFKVTHSMRFPAPLLSVGFSPDCSTRVIGTSNGVIYASKRKVKEREQEKEIESSSFWRVAPVERTEKSVMRPSHFRYFHRGQGEKPSAGDYLVMKPKKVKLAEHDKLLNKFEHAEALVSVLESKNPENVLAVMEELVARKKLLKCVSNLGVEKLELLMVFLHKYCTMPRYSSLLMGLSKKVLEMRADDIIASQALKSHVRNLKRSVDEEIRVQQSLQEIQGIISPLLKIAGR >Vigun07g115100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21306505:21311263:1 gene:Vigun07g115100.v1.2 transcript:Vigun07g115100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKVERRFPVKPKLKSKARNPKQTSESKYWSSFKTRQIPDLISVPSLTFSPTHPHSFAAAHSATLTLFSSQTLSPTAKISSFSDAVSCASFRSDALLLAASDLSGLVQVFDVKSRTALRRLRSHTRPVRFVHFPVLDKLHLISAGDDALVKFWDVAEETSVAEFTGHKDYVRCGDSSPVNSDVFITGSYDHVVKLWDVRVRDSKSSVQVNHGAPVEDAVFLPSGGIVATAGGNSVKLWDLIGGGRLLFSMESHNKTVTSLCVGRIGNDFGEESNQFRIMSVGLDGYLKVFDYGSFKVTHSMRFPAPLLSVGFSPDCSTRVIGTSNGVIYASKRKVKEREQEKEIESSSFWRVAPVERTEKSVMRPSHFRYFHRGQGEKPSAGDYLVMKPKKVKLAEHDKLLNKFEHAEALVSVLESKNPENVLAVMEELVARKKLLKCVSNLGVEKLELLMVFLHKYCTMPRYSSLLMGLSKKVLEMRADDIIASQALKSHVRNLKRSVDEEIRVQQSLQEIQGIISPLLKIAGR >Vigun07g115100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21306505:21311263:1 gene:Vigun07g115100.v1.2 transcript:Vigun07g115100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKVERRFPVKPKLKSKARNPKQTSESKYWSSFKTRQIPDLISVPSLTFSPTHPHSFAAAHSATLTLFSSQTLSPTAKISSFSDAVSCASFRSDALLLAASDLSGLVQVFDVKSRTALRRLRSHTRPVRFVHFPVLDKLHLISAGDDALVKFWDVAEETSVAEFTGHKDYVRCGDSSPVNSDVFITGSYDHVVKLWDVRVRDSKSSVQVNHGAPVEDAVFLPSGGIVATAGGNSVKLWDLIGGGRLLFSMESHNKTVTSLCVGRIGNDFGEESNQFRIMSVGLDGYLKVFDYGSFKVTHSMRFPAPLLSVGFSPDCSTRVIGTSNGVIYASKRKVKEREQEKEIESSSFWRVAPVERTEKSVMRPSHFRYFHRGQGEKPSAGDYLVMKPKKVKLAEHDKLLNKFEHAEALVSVLESKNPENVLAVMEELVARKKLLKCVSNLGVEKLELLMVFLHKYCTMPRYSSLLMGLSKKVLEMRADDIIASQALKSHVRNLKRSVDEEIRVQQSLQEIQGIISPLLKIAGR >Vigun07g115100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21306505:21311263:1 gene:Vigun07g115100.v1.2 transcript:Vigun07g115100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKVERRFPVKPKLKSKARNPKQTSESKYWSSFKTRQIPDLISVPSLTFSPTHPHSFAAAHSATLTLFSSQTLSPTAKISSFSDAVSCASFRSDALLLAASDLSGLVQVFDVKSRTALRRLRSHTRPVRFVHFPVLDKLHLISAGDDALVKFWDVAEETSVAEFTGHKDYVRCGDSSPVNSDVFITGSYDHVVKLWDVRVRDSKSSVQVNHGAPVEDAVFLPSGGIVATAGGNSVKLWDLIGGGRLLFSMESHNKTVTSLCVGRIGNDFGEESNQFRIMSVGLDGYLKVFDYGSFKVTHSMRFPAPLLSVGFSPDCSTRVIGTSNGVIYASKRKVKEREQEKEIESSSFWRVAPVERTEKSVMRPSHFRYFHRGQGEKPSAGDYLVMKPKKVKLAEHDKLLNKFEHAEALVSVLESKNPENVLAVMEELVARKKLLKCVSNLGVEKLELLMVFLHKYCTMPRYSSLLMGLSKKVLEMRADDIIASQALKSHVRNLKRSVDEEIRVQQSLQEIQGIISPLLKIAGR >Vigun03g173100.1.v1.2 pep primary_assembly:ASM411807v1:3:21174694:21178263:1 gene:Vigun03g173100.v1.2 transcript:Vigun03g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFEFPSAGNMELPEEEMESSILKVGEEKEIGKFGLKKKLLKEGEGWDSPNNGDQVEVHYTGTLLDGTQFDSSRDRGTPFKFNLGQGQVIKGWDEGIKTMKKGETALFTIPPELAYGESGSPPTIPPNATLQFYVELLSWTSVKDICKDGGILKNIITEGEKWDNPKDLDEVFVKYEARLEDGTVVSKSDGVEFTVEEGYFCRALAEAVKTMKKGEKVHLNVKPQYAFGESGRAASGEEGAIPPNALLQVDLELVSWKNVSDITKDRKVLKKTLKEGEGYERPNDGAVVQMKLIGKLQDGTVFTKKGYDDEQPFEFKIDEELVIDGLEKAVKNMKKGEIALLIIQPDYAFGPSGSPQDYATVPPNSTVYYEVELLSFVKEKESWDLSTPEKIEAAGKKKEEGNALFKVGKYERASKRYEKAMKFIEYDSSFSDEEKQQSKALKITCNLNNAACKLKLKEYKQAEKLCSKALELDSRNVKALYRRAQAYLHLVDLDLAEMDIKKALEIEPENRDVKMEYKILKQKVREFNKKDAQFYGTMFAKLNRLEQARTAPSKQEPVPMTIDSKA >Vigun07g140000.1.v1.2 pep primary_assembly:ASM411807v1:7:25037243:25048722:1 gene:Vigun07g140000.v1.2 transcript:Vigun07g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLISSSRIHRLHPTVLRPAHDSASPLLRVLGSLGGLTRRNTDVGGRYFFCSDSGDGSDQVVDAGVKAAEESQSKGSAIVPTYPRPEDYLTVLALPLIHRPLFPGFYMPIFVKDPKLLAALQESRERQAPYAGAFLLKDEPEADPSVVSSSDTDKNVYDLKGKELFNRLHEVGTLAQISSIHGDQVILIGHRRLRITEMVSEDPLTVKVDHLKDKTYNKDDDIIKATSFEVISTLRDVLKTSSLWRDHVQTYTKHIGDFTYPRLADFGAAISGANKLQCQQVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHILQVIDEELAKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFDVTRAQKILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVAIAGYITDEKMHIARDYLEKSTREACGIKPEQVEVTDAALLSLIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETVDATIRSAQSMEPMKDKVDSDELGVDTVQKENSELVEGSDTEKVGETCDDVDKVQIGLSSDQSQILEVAKESEEDKEIEKVLVDESNLTDFVGKPVFHADRIYDQTPIGVVMGLAWTSMGGSTLYIETTFVEEGEGKGALHLTGQLGDVMKESAQIAHTVARAILLEKEPENRFFANSKLHLHVPVGATPKDGPSAGCTMITSLLSLAMKKLVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIILPSANRRDFDELAPNVKEGLDVHFVDDYMQIFDLAFGDEQSQNIK >Vigun07g140000.2.v1.2 pep primary_assembly:ASM411807v1:7:25037243:25048722:1 gene:Vigun07g140000.v1.2 transcript:Vigun07g140000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLISSSRIHRLHPTVLRPAHDSASPLLRVLGSLGGLTRRNTDVGGRYFFCSDSGDGSDQVVDAGVKAAEESQSKGSAIVPTYPRPEDYLTVLALPLIHRPLFPGFYMPIFVKDPKLLAALQESRERQAPYAGAFLLKDEPEADPSVVSSSDTDKNVYDLKGKELFNRLHEVGTLAQISSIHGDQVILIGHRRLRITEMHIGDFTYPRLADFGAAISGANKLQCQQVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHILQVIDEELAKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFDVTRAQKILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVAIAGYITDEKMHIARDYLEKSTREACGIKPEQVEVTDAALLSLIENYCREAGVRNLQKHIEKIYRKIALQLVRQGETVDATIRSAQSMEPMKDKVDSDELGVDTVQKENSELVEGSDTEKVGETCDDVDKVQIGLSSDQSQILEVAKESEEDKEIEKVLVDESNLTDFVGKPVFHADRIYDQTPIGVVMGLAWTSMGGSTLYIETTFVEEGEGKGALHLTGQLGDVMKESAQIAHTVARAILLEKEPENRFFANSKLHLHVPVGATPKDGPSAGCTMITSLLSLAMKKLVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIILPSANRRDFDELAPNVKEGLDVHFVDDYMQIFDLAFGDEQSQNIK >Vigun03g378400.1.v1.2 pep primary_assembly:ASM411807v1:3:58223105:58229474:1 gene:Vigun03g378400.v1.2 transcript:Vigun03g378400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNISETKWLTVTAITFTLTAISISAYALKRKWNHMNSKIEELEASLKFCSEKCASERQGRIRAQQALREEVTKSQPKSENLNLTCYPMMPIGTVHSCFSTRNGTPRQPLLVPLARACLVFNTTRVPPASLEGLVEYSHCWILYVFHLNTDLDKLWKHPSKSGFKAKVRVPRLKGGRKGVFATRSPHRPCPIGLTVAKVEAVQGNMVLLSGVDLVDGTPVLDLKPYLPYCDSIREATVPNWLTDDNLLSVASISFSEDFTSALENCWIVAEKKSLYASPGEFQSLIKQVLSWDIRSLSQRNRPHDALPKKENDELLGNASDVDDHENETLVHEREQNAINSSEIIYHLILEGLDVSYRIDHDGNAIVDNVSPAVQDNKHSFFNYLTWKDKMQ >Vigun03g317000.1.v1.2 pep primary_assembly:ASM411807v1:3:51115370:51117706:1 gene:Vigun03g317000.v1.2 transcript:Vigun03g317000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLITPFSPSSIPLYTPSNYVLSPHTSPNQHMATTTSTSLLFLLFSLLTPSLISSSPVQNPELVAQEVNRKINASVARRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGKNGKIYVVTDSSDDDAVTPKPGTLRYAVIQEEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIIHGINIHDCKQGGNAMVRDSPRHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDAYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKHEDAPESEWKGWNWRSEGDLLVNGAFFTASGAGASSSYARASSLSARPSSLVGSITTTAGALNCRKGSRC >Vigun05g146600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20375252:20375500:1 gene:Vigun05g146600.v1.2 transcript:Vigun05g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFALFLLSALTFYPPSTTAQPVTDVNGNIVQNGGKFFITPSIFGIGGGIERAITGNETYPLCCSISLRDRSRAAMDY >Vigun06g064700.4.v1.2 pep primary_assembly:ASM411807v1:6:19315017:19320063:-1 gene:Vigun06g064700.v1.2 transcript:Vigun06g064700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIENAGKMGRVPEEVHSKHKGFSQWDSYSSRRDHGTILQILLHEKDSSAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNGEIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALLTIYQVDFHGLDSLGGPLYIGTGCFHRREILCGRKFSDQYKKDWNEYKKIDDMKEASLHELEEQSKALASCTFEKNTLWGKEMGLLYGCSVEDVVTGLSIKCRGWKSVFYNPERKAFLGVAPNTLPQALVQHKRWSEGGFQILLSKYSPAWYAYGFISPGLQMAYCYYHLWVFICWPTLYYCIIPSLYLLKGIPLFPKMSSPWFIPFAYVILGDSSYCLMEFLWLKGTVKGWWNELRIWLYKRTSSYPFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNSSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTALALAACAVFISLS >Vigun06g064700.3.v1.2 pep primary_assembly:ASM411807v1:6:19315017:19320063:-1 gene:Vigun06g064700.v1.2 transcript:Vigun06g064700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYLSWSKQGYHLSRKMQTSMKFRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPGIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASIFAKQWLPFCRNFKVDPTSPAAYFKTIDSSTHPNAHANELLTIKKLYQDMESRIENAGKMGRVPEEVHSKHKGFSQWDSYSSRRDHGTILQILLHEKDSSAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNGEIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALLTIYQVDFHGLDSLGGPLYIGTGCFHRREILCGRKFSDQYKKDWNEYKKIDDMKEASLHELEEQSKALASCTFEKNTLWGKEMGLLYGCSVEDVVTGLSIKCRGWKSVFYNPERKAFLGVAPNTLPQALVQHKRWSEGGFQILLSKYSPAWYAYGFISPGLQMAYCYYHLWVFICWPTLYYCIIPSLYLLKGIPLFPKMSSPWFIPFAYVILGDSSYCLMEFLWLKGTVKGWWNELRIWLYKRTSSYPFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNSSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTALALAACAVFISLS >Vigun06g064700.1.v1.2 pep primary_assembly:ASM411807v1:6:19315017:19320063:-1 gene:Vigun06g064700.v1.2 transcript:Vigun06g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPGIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASIFAKQWLPFCRNFKVDPTSPAAYFKTIDSSTHPNAHANELLTIKKLYQDMESRIENAGKMGRVPEEVHSKHKGFSQWDSYSSRRDHGTILQILLHEKDSSAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNGEIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALLTIYQVDFHGLDSLGGPLYIGTGCFHRREILCGRKFSDQYKKDWNEYKKIDDMKEASLHELEEQSKALASCTFEKNTLWGKEMGLLYGCSVEDVVTGLSIKCRGWKSVFYNPERKAFLGVAPNTLPQALVQHKRWSEGGFQILLSKYSPAWYAYGFISPGLQMAYCYYHLWVFICWPTLYYCIIPSLYLLKGIPLFPKMSSPWFIPFAYVILGDSSYCLMEFLWLKGTVKGWWNELRIWLYKRTSSYPFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNSSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTALALAACAVFISLS >Vigun06g064700.2.v1.2 pep primary_assembly:ASM411807v1:6:19315017:19320063:-1 gene:Vigun06g064700.v1.2 transcript:Vigun06g064700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYSPLFETKRAKGRVFYKVFSLSLFVGICFIWVFRVSHIPRESEDGKWGWIGLFFAELWFGLYWLLRHPFRWNPLFREPFRQRLSQRYEKVLPKVDIFVCTADPGIEPPVMVINTVLSLMAYDYPPHKLSVYLSDDAASDITFYALLEASIFAKQWLPFCRNFKVDPTSPAAYFKTIDSSTHPNAHANELLTIKKLYQDMESRIENAGKMGRVPEEVHSKHKGFSQWDSYSSRRDHGTILQDSSAKDVDGNVMPLLVYLAREKRPQVAHNFKAGAMNSLIRVSSMISNGEIILNVDCDMYSNNSQSLRDALCFLMDEDKGHEIAYVQTPQCFENITKNDLYGGALLTIYQVDFHGLDSLGGPLYIGTGCFHRREILCGRKFSDQYKKDWNEYKKIDDMKEASLHELEEQSKALASCTFEKNTLWGKEMGLLYGCSVEDVVTGLSIKCRGWKSVFYNPERKAFLGVAPNTLPQALVQHKRWSEGGFQILLSKYSPAWYAYGFISPGLQMAYCYYHLWVFICWPTLYYCIIPSLYLLKGIPLFPKMSSPWFIPFAYVILGDSSYCLMEFLWLKGTVKGWWNELRIWLYKRTSSYPFAFVDTILKCFGFQESSFVVSAKVAEEEVSQRYEKEIMEFGNSSPMLTLLATLALLNLFCLLGMFFKQVFINEEGLRIFDAMGLQVLLSGVLVLINLPVYQGLFLRKDEGRLPNSVAAKSTALALAACAVFISLS >Vigun08g032100.1.v1.2 pep primary_assembly:ASM411807v1:8:2940083:2940613:-1 gene:Vigun08g032100.v1.2 transcript:Vigun08g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLATLFTLALLLSFNLIHASRPNPSLENGGVAKVDIEDENCEEGAEECLRKRTLIAHIDYIYTQDGKEGHHN >Vigun07g276900.2.v1.2 pep primary_assembly:ASM411807v1:7:39221112:39224340:-1 gene:Vigun07g276900.v1.2 transcript:Vigun07g276900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGREGAVRQYIRSKVPRLRWTPELHRCFVHAIDSLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDLGRQGRTHSQHRNQSFEEHDDGCVDEVNDVCVEYSCSKPMGIESDSFFGHGNLPPKRARIETRSSISESLQCSQRLCDAVPNPYCFYDYLQKPMAEHKGIKEFCKASTWQTQTHSSLLPHFPNLTSFQCPIQQQSDFLQIAVKPKGMQESFVLEFYSLLGCRDDQSKGWKHSTFVQCDLTFGLSNMHSFWDHVVC >Vigun07g276900.1.v1.2 pep primary_assembly:ASM411807v1:7:39220395:39224340:-1 gene:Vigun07g276900.v1.2 transcript:Vigun07g276900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGREGAVRQYIRSKVPRLRWTPELHRCFVHAIDSLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDLGRQGRTHSQHRNQSFEEHDDGCVDEVNDVCVEYSCSKPMGIESDSFFGHGNLPPKRARIETRSSISESLQCSQRLCDAVPNPYCFYDYLQKPMAEHKGIKEFCKASTWQTQTHSSLLPHFPNLTSFQCPIQQQSDFLQVTTLNEGKRSGKGINVDVKTETGEAEVEDVGAYELSLSLTLQNPSPQRSNGSCSGSEISETISSYPAAGFSNYKDCTTSSSNLKETINLDLSLALCGN >Vigun03g302125.1.v1.2 pep primary_assembly:ASM411807v1:3:49175888:49176219:1 gene:Vigun03g302125.v1.2 transcript:Vigun03g302125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSVKFNANGKEYKKGKEKKNKNKKSAGKVSHTSRVGVVSAFSLTTLSIFPSFAGTLIRSVGTRTHRK >Vigun05g251000.1.v1.2 pep primary_assembly:ASM411807v1:5:44497509:44500419:-1 gene:Vigun05g251000.v1.2 transcript:Vigun05g251000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIHHYVVATRVMYVRSGEANLGFIYKNNQRLTQMRLREGDVYQIPVGCTFYIANEESDQKLEIISGIEPLEGFRDDVLQSIYIGGGANSGFSGFKEEILGAAFNVSQKELGTIFNDQRIRLIVPLQGSHATGTWSKFLELKEEEKLQQLREMVQQGQENEEVEKEDEESGDDEEEQQQTSWPWRKLFKSVFGEEMKNTREKITEDPPRSYNLDKRKPDFENKYGWRVAVDGSRYHPLKSSGIGIYHEYLSAGSMMAPRVNPMATEYGIVVNGSGRVQVLFPNGSNAMDTNITKGDVFFITSNFPYCEIAHKGESLELLGFTTSAQRNRPVVLVGARSVVRTMEGPELATSFGVSEEEMKHLVRAQHESVILPTP >Vigun05g251000.2.v1.2 pep primary_assembly:ASM411807v1:5:44497509:44500419:-1 gene:Vigun05g251000.v1.2 transcript:Vigun05g251000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREGDVYQIPVGCTFYIANEESDQKLEIISGIEPLEGFRDDVLQSIYIGGGANSGFSGFKEEILGAAFNVSQKELGTIFNDQRIRLIVPLQGSHATGTWSKFLELKEEEKLQQLREMVQQGQENEEVEKEDEESGDDEEEQQQTSWPWRKLFKSVFGEEMKNTREKITEDPPRSYNLDKRKPDFENKYGWRVAVDGSRYHPLKSSGIGIYHEYLSAGSMMAPRVNPMATEYGIVVNGSGRVQVLFPNGSNAMDTNITKGDVFFITSNFPYCEIAHKGESLELLGFTTSAQRNRPVVLVGARSVVRTMEGPELATSFGVSEEEMKHLVRAQHESVILPTP >Vigun09g096400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14617788:14620078:1 gene:Vigun09g096400.v1.2 transcript:Vigun09g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTHLLLLSLFFFLISINAKLQLYPSDFKALLALRKDFGVNGQLDPNEACYTEGVFCERRLSTKETYVLRITRLVLKSKHLEGVLSPAIGRLTELKQLSLSHNQLVDRLPPQIVDCRKLEILDLSNNLFSGEVPSELSSLTRLRALDISSNKFSGNLNFLKYFPNLETLSVADNLFTGRVPSSVRSFRNLRQFNFSGNSFLEPSLHSSSDTVLSRRYLLGGGDGPSPAPASSKRNSQASNVSPAAAPGPSPNHHKNKHTKRKLLGWILGFLAGALAGTLSGFVFSLLFKLALALIKGRGKRAGPDIFSPLIKKAEDLAFLEKEDGLASMEIIGRGGCGEVYKAELPGSNGKMIAIKKVIQPPKDAAELAEEDSKAMHKKMRQIRSEINTVGQIRHRNLLPLLAHVSRPDCHYLVYEFMKNGSLLDLLNKVQRGEGELDWLARHRIMLGVAAGLEYLHMNHSPRIIHRDLKPANILLDDDMEARIADFGLAKAMPDYKTHVTTSNVAGTVGYIAPEYHQILKFTDKCDIYSFGVILGVLVTGKLPSDEFFQHTEEISLVKWLRKILSSENPKEAIDSKLLENGYEEQMLLVLKIACFCTMDDPKERPNAKDVRCMLSQIKH >Vigun01g117200.1.v1.2 pep primary_assembly:ASM411807v1:1:29360148:29363043:-1 gene:Vigun01g117200.v1.2 transcript:Vigun01g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKHLLFSFPIFIISFVFCYGSLQEDPLTNIYVHHSPSIDDGETLLKNFNKQSTNVLSFNTFEKRSSLKKVNVNDYGAKANGDSDDSEAFKKAWDEVCTSGEAILVVPEDNYLLKPIRFSGPCEPNIEVQISGILEASDDPSDYEEDSKHWLVFDKIDNLFVYGGGTLDGNGRIWWKNSCKKNKKRSCKDAPTALTFDRCENLTVENLNIKNAQQIHVSFQDSENVKVSGLSVIAPEDSPNTDGIHVTKTQNIQISDSVIGTGDDCISIVHGSKNVEATNITCGPGHGISIGSLGAGKSKDIVSGIKVNGAKIFGTKNGVRIKTWQGGSGSASDIKFQNIEMTNVTNPIIIDQNYCDKKKRPCQKAGSEKSAIEIKNVVYENISGTSASEVAVDFDCSEKFLCKKVTLQNIDLACEGGDDAEARCNNVKLSYIGHVKPRCTSQKKKTSSVFTSMGKYFSWFI >VigunL082550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:33702:35694:1 gene:VigunL082550.v1.2 transcript:VigunL082550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAMICHYRGEQAIRQGDSEVAESWFNQAVEYWKQAIALTPGNYIAAQNWLNITGRFE >Vigun03g442800.4.v1.2 pep primary_assembly:ASM411807v1:3:64515777:64518919:1 gene:Vigun03g442800.v1.2 transcript:Vigun03g442800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSARIFSDVALRLRQNLSLRGVRVQNINIGGGMGGEIPDNKRLEYALQHLHGIGRSKAHHIVCELGVENKFVKDLSKRELYSIRELLSKYLIGNDLKKCVERDVVRLVGIQCYRGIRHVDNLPCRGQRTHTNARTRRSRKTFSGSR >Vigun03g442800.6.v1.2 pep primary_assembly:ASM411807v1:3:64515952:64517074:1 gene:Vigun03g442800.v1.2 transcript:Vigun03g442800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSARIFSDVALRLRQNLSLRGVRVQNINIGGGMGGEIPDNKRLEYALQHLHGIGRSKAHHIVCELGVENKFVKDLSKRELYSIRELLSKYLIGNDLKKCVERDVVRLVGIQCYRGIRHVDNLPCRGQRTHTNARTRRSRKTFSGSR >Vigun03g442800.5.v1.2 pep primary_assembly:ASM411807v1:3:64515777:64518919:1 gene:Vigun03g442800.v1.2 transcript:Vigun03g442800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSARIFSDVALRLRQNLSLRGVRVQNINIGGGMGGEIPDNKRLEYALQHLHGIGRSKAHHIVCELGVENKFVKDLSKRELYSIRELLSKYLIGNDLKKCVERDVVRLVGIQCYRGIRHVDNLPCRGQRTHTNARTRRSRKTFSGSR >Vigun04g046600.1.v1.2 pep primary_assembly:ASM411807v1:4:4015223:4020308:-1 gene:Vigun04g046600.v1.2 transcript:Vigun04g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNHLVCYASGFPLALEVIGSNLFAKSVEEWKSAINQYKRIPNNQILKILKVSFDALEEEEKGVFLDIACCFKGYKLTEVEIMLRAAYDDCMKHHISVLVEKSLIKVSRCQTVELHDLIKDMGRQIDQKESPKEPGKRRRLWLPKDIMEVIKDNTGTRRIEILCLDISISEKDETLHWNGNAFGNMKNLKILIIRNGKFSRGPNCFPESLKVLEWHGYPSDCLPSNFDPNKLLTCKLSKSRFTSFGMLGSSKKFENLTDLNFDYCQLLTRIPDVSDLPNLEKLSFECCESLTAIDDSVGFMTKLKLLTSQHCKKLRRFPPLNLPSLKKLKLSYCSSLENFPEILGKMGNIRRLRLYELTIKELPVSFQNLTGLQELYMECDVDELNSSVLTPELADFGVKKCKEWKWINSKDGEEVDTAVSSNLRGFWLPSCNLNDDFFSAGFTQLTNVRYLCLRESNITLLPECIREFHHLDDLDVSYCKRLEEIRGVPPNLKFFRAIECTSLTSLGSSMSLNQQFHEAGETNFIFSGGSIPRWLDKECRGPSISFWFRDKFPAKVLCLLIAPVLDVTTIQLLRPVLLINGKLQENTFDHKNVGMLELDHMQLFDLHVLPFREDLIKMASEKEWKHVEITYQGLFDTSLIKAMGIHVVKSERRGMEDIRYDDPNFSL >VigunL055600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000399.1:52221:54558:-1 gene:VigunL055600.v1.2 transcript:VigunL055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKTNILNNDELIVRLIIHKANKTLSIIDNGIGMTKADLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPSSSCFLTTDINDQRLPRGTKITLFLKDDQSEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINIWLHDQEMDNKFVAQNLGKHLPDHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFYNNFISNQNSYFDDSSLLLCLGPNYDQYNDESSLYSLFGMKFQNSTILNWPNPRIEGHSPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTAPSPVSDVRYDFDVVIEYEGFGYDIARDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIKFLNCQGINNRFYLEGMCHWLCNNGDEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLPSLSFPIGTSNMGNILFETNDGELAWFDLRTNLIEKLGVNVQNRDCQIILYKKSLSNRRINT >Vigun03g275700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45193706:45194366:-1 gene:Vigun03g275700.v1.2 transcript:Vigun03g275700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHTLFIFLALLSYHGSLLAHARKINIKPWNQHSQPNTKTVANINVPFAEYEEARKLEDAGAGNTNAFRPTTPGGSPGVGHQIITSKDNNMKSLFAVQSPDAKVSVTQDSKDDFKPTDPGHSPGVGHDDKNKIGQ >Vigun04g048401.1.v1.2 pep primary_assembly:ASM411807v1:4:4158900:4159180:-1 gene:Vigun04g048401.v1.2 transcript:Vigun04g048401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNILVFIVTALFILVPTAFLLIIYVKTRNPDSRNQRFLLSENCVQFFFSDSFYKQFRYLRKKRG >Vigun08g116600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28399278:28401788:1 gene:Vigun08g116600.v1.2 transcript:Vigun08g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSAFSRTGTFRPENLGQNAMAMIGNVCFSFFVIGVLVFTIMAANYEPEDPLFHPSTKITTFLTSKSNATFKSDNSVVRTGEDFMAAPETVFGSIINSTDVDNLVSADTADGGDAASVSQCDVSGPIDCKDPEVFHLMMRATIDKFQDIHFYRFGKPVAGSNDSTCDMAWRFRPKEGKAAAFYKDYRRFVIDRSENCTLSIVSIGEYHTGVNARKRKKHLKGGLEKTPSNLELASALPVVGEFVNDSLPVVESESSFSHGKYLIYEGGGDRCKSMNHYLWSFLCALGEAQYLNRTLIMDLSICLSSIYTSSGLDEEGKDFRFYFDFEHLREAASVLDKKQFWEDWNKWQNKDGMSLNLVEDFRVTPMKLRDVKDTLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLLWKSRRLMDIVSAIASKMNWDYDSVHVVRGEKARNKELWPNLDAHTSPDALLSTLGDKIEEGRNLYIATNEPDKSFFDPLKDKYTTHFLDEYKELWDETSEWYSETTKLNNGVGVEFDGYMRVSLDTEVFLRGKKQLETFNDLTSDCKDGINTCNVAAN >Vigun04g191180.1.v1.2 pep primary_assembly:ASM411807v1:4:41516053:41523279:-1 gene:Vigun04g191180.v1.2 transcript:Vigun04g191180.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGGALLSAFLQVAFDRLASTQFVDFFRGRKLDEKLLDNLNIMLHSINSLADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCKLEAESQPQTFTYKVSNFFNSTFNSFNKKIESEMKEVLEKLKYLEQQKDALGLKKGTSYSDSKASQKLPSSSLVVEGIIYGRDADKDIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLAQHVYHDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDKISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTTRSKKVASNMRSRVHLLEELREDKSWNVFEKHALKDDEIELNDDLKEIGLPLALKTIGRLLHTKSSISDWENILESDMWNLPKEASEIIPALLLSYHYLPTPLKRCFAYCALFPKDYEFVKKELILLWMAENFLHCPQEIRHPEEVGEQYFNDLLSRSFFQQYKNNFVMHDLLNDLAKYVYGDICFRLKFDKGKYIPKTTRHFLFTPGDVKCFNGLESLSDAKRLRSFIAIVRLRGRYLCNYPWQFMISLHDLFSKIKFLRVLSLYGFSGLRKVPDSIGNLKHLQSIDLEYTHIQKLPDSIGLLYNLLILKLSNCSYLEELPSNLHKLTKLRCLEFEGTKVTKMPKHFGELKNLQVLSTFLVDRNNECNIKQLGGLNLQGSLSIKEVQNIVNPLDALEANLKNKHLVNLELEWKRKHIPDDPLKEKKVLENLQPSKHLERLSIKNYGGTEFPSWVFNNSLSTLVFLCLENCKYCLCLPPLGLLSSLKTLKIRGFDGIVSIGAEFCGSNSTSFTSLESLEIDNLKEWEEWECKTTFPCLRYLFINRCPKLKGTSEQLLNLKELFVSLRGKLIIYSCPLVNIPITHYDFLEEVIINGGCDSLTIFPLDFFPKLHLLHITGSRNLRGISNEHTHNHLKEMKIDECPQFESFPSEGLSAPQLWKIEIKGAKNLKLLPKRMQILLPSLTELRITDCPQVEMFEEGSLPSNLKEVSLSCFRLIASLREALGADTCLETLSIIEVDVQCFPDEGLLPPSLTSLKIYNCPTLKKLNYKGLSHLSSLRLFRCPSLECLPEEGLPKSISFLQIWYCPLLRPRCQNSEGEDWGKIAHIQQLSID >Vigun10g060333.1.v1.2 pep primary_assembly:ASM411807v1:10:12360553:12362142:1 gene:Vigun10g060333.v1.2 transcript:Vigun10g060333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMDNVSQPLVAFIVDQYLCRNQFYQTRATFRNEALPLFAARPSNTNVLSLEEILNQYILLKKQHTRLEEEKVMVMQEKNRIQKLLQDIQNGMVSFNAISPMSNVKTIITNSAIARPVENSIRTPPVASSTIVFPMQNTMSLPIKPMDNKNLSSPMIGVFDKKRKDISTANGSVVAKKPRGTNMSLSSPNNNVDFGSSDASPQSLVINPTNKETQISTNYVSTAHPIIHSFPIDTHVTPVAKCNGEVIAPSYNVITTRRDMVDHVKQMAYNDETQKRDTNKESNMDTNKRSTRTLDVNSSDIPENLDPPFSNEIDASEFDNKRDDCIYLDFSEDMLDFINFEE >Vigun06g104300.3.v1.2 pep primary_assembly:ASM411807v1:6:23391220:23394765:-1 gene:Vigun06g104300.v1.2 transcript:Vigun06g104300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEMAESEVEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYANFLRVTGLDRPYREELLSKMESDGNKVTSDIIFGPGRKKYRFCKHISKQRLQGLTGDLMKKGKHFILIRNPLDILPSFDKVVPPSFYELGLAELVCIYNELREIGKAPPVIDAAELQQDPEATLRGLCDDLQIPFQPAMLKWEAGPKEIDGLWAPWWYKTVHKTTGFEKPRKYPQPFPFSLYDLLEQSLPLYNMLRRHVKKKSSLLIPPLPTPNLPVPANEKLLAWVGDEIVPRDSAKVSVFDSVVQGGDSVWEGLRVYSGKIFKLEEHLDRLFDSAKALAFENVPNRDEIKEAIFRTLIRNGMFDNSHIRLSLTRGKKCSALYGSSFIILIIY >Vigun06g104300.2.v1.2 pep primary_assembly:ASM411807v1:6:23389328:23394765:-1 gene:Vigun06g104300.v1.2 transcript:Vigun06g104300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEMAESEVEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYANFLRVTGLDRPYREELLSKMESDGNKVTSDIIFGPGRKKYRFCKHISKQRLQGLTGDLMKKGKHFILIRNPLDILPSFDKVVPPSFYELGLAELVCIYNELREIGKAPPVIDAAELQQDPEATLRGLCDDLQIPFQPAMLKWEAGPKEIDGLWAPWWYKTVHKTTGFEKPRKYPQPFPFSLYDLLEQSLPLYNMLRRHVKKKSSLLIPPLPTPNLPVPANEKLLAWVGDEIVPRDSAKVSVFDSVVQGGDSVWEGLRVYSGKIFKLEEHLDRLFDSAKALAFENVPNRDEIKEAIFRTLIRNGMFDNSHIRLSLTRGKKVSSGMSPAFNLYGCTLIVLAEWKPPVYDNTRGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAKADDAIMLDQDGYLSETNATNIFVVKKGRVLTPHADYCLPGITRATVMDLVVKEELILEERRISLSEVHTADEVPIYSFKYVSEQKLL >Vigun06g104300.1.v1.2 pep primary_assembly:ASM411807v1:6:23388090:23394765:-1 gene:Vigun06g104300.v1.2 transcript:Vigun06g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEMAESEVEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYANFLRVTGLDRPYREELLSKMESDGNKVTSDIIFGPGRKKYRFCKHISKQRLQGLTGDLMKKGKHFILIRNPLDILPSFDKVVPPSFYELGLAELVCIYNELREIGKAPPVIDAAELQQDPEATLRGLCDDLQIPFQPAMLKWEAGPKEIDGLWAPWWYKTVHKTTGFEKPRKYPQPFPFSLYDLLEQSLPLYNMLRRHVKKKSSLLIPPLPTPNLPVPANEKLLAWVGDEIVPRDSAKVSVFDSVVQGGDSVWEGLRVYSGKIFKLEEHLDRLFDSAKALAFENVPNRDEIKEAIFRTLIRNGMFDNSHIRLSLTRGKKVSSGMSPAFNLYGCTLIVLAEWKPPVYDNTRGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAKADDAIMLDQDGYLSETNATNIFVVKKGRVLTPHADYCLPGITRATVMDLVVKEELILEERRISLSEVHTADEVWTTGTMGELSPVVKVDGRVIGNGEVGAITRRLQAAYKNLTEEAGVPIPTYVKT >Vigun07g265700.1.v1.2 pep primary_assembly:ASM411807v1:7:38126858:38129756:-1 gene:Vigun07g265700.v1.2 transcript:Vigun07g265700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFVLLFYFFLLCFNSIAPLVHSLNAEGSVLLTLKQSLTDPQGSMSNWNSSDENPCSWNGITCKDQNVVSISIPKRKLNGSLPSSLGSLSQLRHVNFRNNKLFGDLPAQLFQAQGLQSLVLYGNSFSGPVSSEIQNLRYLQTLDLSQNFFNGSLPASIVQCKRLKLLVLSQNNFSGPLPDGFGTGLSSLERLDLSFNHFNGSIPSDLGNLSSLQGTVDLSHNHFSGSIPASLGNLPEKVYIDLTFNNLNGPIPQNGALMNRGPTAFIGNPGLCGPPLKNSCGPDTPSASSPPSFPFIPNNYSADGNGSMGSEKNKGLSKGAVVSIVVGDIIGICLLGLLFSFCYSRVCGFNQDLDEDGVNKGSKGRKECFCFRKDESEVLSDNNVEQYDLVPLDSHVTFDLDELLKASAFVLGKSGIGIMYKVVLEDGLALAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIATLRAYYWSVDEKLLIYDYISNGSLDTAIHGKAGLLTFAPLSWSYRLKIMKGTARGLVYLHEFSPKKYVHGDLKPSNILLGQDMEPHISDFGVGRLANIAGGSPTLQSNRVAAEKQHGRQKSMSTEVVTTSVMGNGYMAPEALKVVKPSQKWDVYSYGVILLEMITGKPSIVQFGNSEMDLVQWIQFCIEEKKPLVEVLDPYLAEDADKEEEIIGVLKIAMACVHSSPEKRPTMRHVLDALDRLTISSD >Vigun05g026100.1.v1.2 pep primary_assembly:ASM411807v1:5:2108633:2112627:-1 gene:Vigun05g026100.v1.2 transcript:Vigun05g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTTPSHFSSVPPRPSNNNQRHHHNQNRSQHRRNNNRNRWASSSSSSSSTRYYSSASSAGAGVPSAAATASAAFSSSLSPWLGGQKTQLAPEFSGRRSNRNPGKMNSGGPRAVPNNQQHSKAAEEVLHSLSNAGNDVAAIDSVLLNYRLYVAEDYVYLLKEFANTGDLLLATRTYDFAMSRATDSTFMGKLTSNMIRTLGRLKKIELALNLFEESRSRGYGNTVYSFSAMISALGRNDRFQEAVNLFRSMGYFGLEPNLVTYNAIIDAGAKGEIAFDIVVKFLEEMIDAGCLPDRLTYNSLLKTCVPKGRWQLCKDLLAEMERKGIGRDVYTYNTYVDALCKGGRMDLARHAIDVEMPAKNIWPNVVTYSTLMAGYSKAERFEDALNVYDEMKHLLIRLDRVSYNALVGLYANLGWFEEAVCKFKEMESCGIKNDVVTYNALIEGYGRYNRYVEVRKLFDEMKARRIYPNDLTYSTLIKIYTKGRMYAEAMDVYREFKHEGMETDVVFYSALIDALCKNGLIKSSLRLLDVMIEKGSRPNVVTYNSIIDAFRVGQTPALESGVDTSFQSNDLQIKHSSSTVSTFLDQKTDNGNNDEIMKMLEQLAAEKAGLTKKDKRSTQDNLYIVQIFRQMHEMEVKPNVVTFSAILNACSCCETFQDASKLLDGLRVFDSQVYGVAHGLLMGHGQGIWDQAQRLFDELEHMDSSTASAFYNALTDMLWHFGQKLGAQMVVIEGRNRNVWKGGWSTECLDLHLTSCGAACAMVHSWLLELRTTVFGGQKPPPILSILTGWGKHSKVVGNGALRKAVEALLNGIGAPFQIAECNLGRFVSEGTEVAAWLRQPSTLNVLLLHDYIVYSQPVERNQTFNIPSLGAL >Vigun03g282500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46275606:46278074:-1 gene:Vigun03g282500.v1.2 transcript:Vigun03g282500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLHKIQHCFCVALLFLLQLSSLQFASLGYNVPDKYFINCGSENSVTESGKVYVGESTLQTSFNSSNTETNESSVPSPLYQTARIFRRESWYKFNIDIKGTYLVRLHFFPFSSSTNLSSPRFNVSVPGFWLMQNSNGRNDTNSNSALVKEFFMNINTSSIKISFRPLESSFAFVNAIELFVLPLHLISNAVARFATIGGTSHYSGGLYSRVLETKHRLNVGGQTTKDILLRNWIPDDTYLTYPENAKNRSAYQGQIEYRVDDDSDGPNAASYTAPSEVYGTAKETRNISSTDGDLGLFNITWALPVDNSTDHFLRLHFCDYVSSQVGLTYFDLSIYDAHVIQVNNDGNVSNELPAPYYYDFVVKSDNSGHIKVSLTPNGNAPIPNAFLNGLEIMKVIESSNSVPPDLVEPGSKHNRLPVLLGSVLGGLALVSVVVLLGLLWRSKMRKEKPVENSDWLPILDNAGGSSHSRLTDITSHSSPLPNINLGLKIPLIDLQLATKNFHASQLIGKGGFGNVYKGVLKNGITVAVKRSQPGSGQGLPEFQTEIMVLSKIRHKHLVSLIGYCDERFEMIVVYEYMEKGTLRDHLYNTKLPSLSWKVRLQICIDSARGIHYLHKGAAGGIIHRDVKSTNILLDENHVAKVADFGLSRSGPPDTQPHVTTGVKGTFGYLDPEYIRSQQLTEKSDVYSFGVVLLEVLCARSVIDPSLPTDQINLADWGLLCKNKGTLQDIIDPSIMDQIDQNSLRKFSETIEKCLQEDGCKRPSMGDVLWDLEYALQLQRGTYDDSSSSVSASLQLPNVRRLPSLSTLSEANNSIVRGD >VigunL021200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:1570:2256:1 gene:VigunL021200.v1.2 transcript:VigunL021200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA MKKKKSIPLLYLTSIVFLPWCISFTFKKSLESWFINWWNTSQSEIFLNDIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDRMNTILHFSTNIICFFILSGYSILGNQELVLINSLVREFIYNLSDTIKAFSILLLTDLCIGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHSMND >Vigun05g132700.1.v1.2 pep primary_assembly:ASM411807v1:5:15605838:15607865:-1 gene:Vigun05g132700.v1.2 transcript:Vigun05g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRQTLEETLNGEADNIDRLSALPESVLLSILSRLELKEAAATSVLSTAWRDLFLQLRHIQLTFNGNGHPRLFHLFTLFVNRMLRERNPKVPIRVIRLTVRNFTEMMKPDYKSLMMSTGAAMSACNVKTIDYTIGNCSVTTEASSIPLPPAMFISETLSGLRLLLPEGWNLPEKVWLPKLRYVHFIPFRLVDENCVQRFLDGCPRLENMMLVIKDEIKVKTLCMSSSIMKFVILGWDLIDESETSITVKSESLLRLTLSLKGAHKVNLDAPNLKFFSIKGQAVELNMIQSVPSIEEAVIAADCMFQFSDWTVFYSRCDKVCTFFGELQNLTLLHISEPIMKALYISRPVMPTFRNMYKIKLVPDYSNEEFTRYWVANVLFNLFQRCPNLKVLSFEKVFDNYFGDVDLESVFPISMVQNLKELEIFDFKGREIEYKLVEFFMNNGSSLVIVSLRKDVLTPKTYTWTRRQQKRILSFLTCSEECKVLFR >Vigun02g022732.1.v1.2 pep primary_assembly:ASM411807v1:2:7648938:7649532:1 gene:Vigun02g022732.v1.2 transcript:Vigun02g022732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKSYSTLTKFFLNSTPFEFHSPTAVKSTLNSPTFNFTPLTFVRVITIRVTICIIHTVVRVTFIIVCVVCV >Vigun06g116400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24427763:24429516:1 gene:Vigun06g116400.v1.2 transcript:Vigun06g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVQSPVTTTGGGGGGGGSSSTTTPSRYENQKRRDWNTFSQYLRNHRPPLTVAMCSGAHVLEFLHYLDQFGKTKVHNPTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRAESNPFGARAVRLYLHDVREFQAKARGVSYEKKRKRPKSSTISNATPVTTSPNATATAT >Vigun05g020200.2.v1.2 pep primary_assembly:ASM411807v1:5:1683907:1692691:1 gene:Vigun05g020200.v1.2 transcript:Vigun05g020200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPSQNGKHQNINRSGDYVIYGNTTTSSAMNQVIEVEGGYNCLLGSDFVVRRHIMAEAEESSRHNNNNTNEEAEPGGCGSTSKDEEVEGTNTNTNTNTNINNNNNWLQLGIGLTSTKEETVPTTIASGPVELELLPSRRRFDQPAAFFPVVASGRGGSSFGGSSSLVFEHQTTSPSSMSMSMGSGPITSTFGHQEMMNWAFGPLLPPSIPIMPSSSSFTHLPPPPPPSSSSSSLQTCHSSFRPPLGSYFPTPFHHFPSSSSSGFDQYDVAGAGPSSDVTVRVVDPPRRPHSGIWFMLQASQNQAKEPFLPQIPKNYLRIKDGRMTVRLLLKYLVSKLRLESESEIEIRCRGQQLLPFLTLQHVRDNIWSPRDTTTTPLLSDSSTSDHVMVLHYGRTTA >Vigun05g020200.1.v1.2 pep primary_assembly:ASM411807v1:5:1683902:1692240:1 gene:Vigun05g020200.v1.2 transcript:Vigun05g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPSQNGKHQNINRSGDYVIYGNTTTSSAMNQVIEVEGGYNCLLGSDFVVRRHIMAEAEESSRHNNNNTNEEAEPGGCGSTSKDEEVEGTNTNTNTNTNINNNNNWLQLGIGLTSTKEETVPTTIASGPVELELLPSRRRFDQPAAFFPVVASGRGGSSFGGSSSLVFEHQTTSPSSMSMSMGSGPITSTFGHQEMMNWAFGPLLPPSIPIMPSSSSFTHLPPPPPPSSSSSSLQTCHSSFRPPLGSYFPTPFHHFPSSSSSGFDQYDVAGAGPSSDVTVRVVDPPRRPHSGIWFMLQASQNQAKEPFLPQIPKNYLRIKDGRMTVRLLLKYLVSKLRLESESEIEIRCRGQQLLPFLTLQHVRDNIWSPRDTTTTPLLSDSSTSDHVMVLHYGRTTA >Vigun07g265900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38142124:38142968:-1 gene:Vigun07g265900.v1.2 transcript:Vigun07g265900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLRYQLQGGREQLPIHTLVFVLCVALSLLAAIIFFCADGVHRNSKNSHDNNNGNAGSACAAAGCGSTCGGACGG >Vigun11g137700.1.v1.2 pep primary_assembly:ASM411807v1:11:34686207:34686726:1 gene:Vigun11g137700.v1.2 transcript:Vigun11g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRVAVLMLIMLGFVQILKIGAIPCPAKCAIQCLNSPLTYPYCYVTCLALCGSLPKCAKSCGINKSITIYIDAAGKVTNVVDSCLHKCLK >Vigun04g149700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36554463:36555560:1 gene:Vigun04g149700.v1.2 transcript:Vigun04g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMACNKGQHVRKAKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLDEDSDDSCKVVIENGGCKTWNCNRGISVGGENGCFPHLDGGRWSFERVGWVSDAYRYGCKWGGGENGEWSFSSDPFEKNMNEPLYEGAGWGPAGFSADLIAAQAVSALQIAEEADEDRILF >Vigun05g291933.1.v1.2 pep primary_assembly:ASM411807v1:5:47814866:47815611:-1 gene:Vigun05g291933.v1.2 transcript:Vigun05g291933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSIQIQMLAEKFHRFVLDYVPSELDDVSKQVNENSDSMDENTMSQGIHEFSPDKGHTLPILKKILDLDTKIQINPFKFKCSLKNSTALFLTTFLLSQVRFLFCTLYSISLFDLDRPKENNDLNFSQRYIVNSDVGWFFDLWNFDR >Vigun03g449100.1.v1.2 pep primary_assembly:ASM411807v1:3:65009374:65014997:-1 gene:Vigun03g449100.v1.2 transcript:Vigun03g449100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGDEVSIEELASNLSLYKDQLHQVRQLLNDEPSNSEYVDMERELSEVIALTEELLATAKQNEMSGSTAAPNAGTSPTLSIHKENQMLDSSSDLQEKFLIGTKVQAVWSEDGEWYDATIEAYTPNGYYVSYDNWGNKEEVDPANIRPIQEGSVDALLEAERVAEATKQAIKRKIAQAASIDLQSRSLPAKLRIEADDPEDVKASKRKKIHAFKSKMRMEQLEVTQNKRQNAWQQFQSTKGKAKKIGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTEFQKREKHFHLKDGTTENDD >Vigun05g278400.1.v1.2 pep primary_assembly:ASM411807v1:5:46776723:46783132:-1 gene:Vigun05g278400.v1.2 transcript:Vigun05g278400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKHLAPYAMSMSFFVIFLGCLLVQAQDQSGFISIDCGAPADTDYTEPKTGINYTSDANFIDTGESGTIIPETINTVYQRQMMNVRSFPKGKRNCYKISITRGSTYLIRTNFLYGNYDKLNKAPKFDIHLGVNRWYTVTIDNASTPQVNEIIHVPSLDYLHICLVNTGHGTPFISAIELRTLKNATYAPEFGSLEYYNRWDLGSKDSYRYNVDVYDRFWYSYGDNKDWKQINASIAADSFDQNDYRPPGIVMSTAVTPVNASAPLVISWEPQNPTEQYYVYMHFFEIEVLGKNQTREFNITQNGRPWYQNLSPLPQSINTIYSRAGISGEKIEYSLEKAKSSSLPPILNAIEIYRVINFQQTDTHQGDVDAIASIKSVYGVKRDWQGDPCAPVAYLWDGLNCSYLGNKNPRITTLNLSSSELSGTIDPTISNLIMLEQLDLSNNNLYGQVPDFLSRLQHLKMINFENNNLTGSIPEALVKKSKEGFLSLRVGQNPYLCESGQCNKKRKEKKNIVIPLLSTVCGVLILLMTVAAVLWTLERRKPRASTVDQREITEQDDSLHQLKKQIYSYSDVVRITNEFSTIVGKGGFGTVYLGYIGDTPVAVKMLSPSSVHGYRQFQAEVKILMRVHHTNLTSLVGYCNDGDYKGLIYEYMANGDLKQHLSGKHGKSKFLSWEDRLRIAVDAALGLEYLQTGCKPPIIHRDIKSTNILLDEKFQAKLSDFGLSKIIPIDGGTHVSTVVAGTPGYLDPEYYISNRLTQKSDVYSFGVVLLEIITYQPVIAMNEERSHITERVRSLIEKGDIKAIVDSRLEGDFDINSAWKVVEIAMACVSFNPSERPMVRMIVSELRETLATELARTQLIDAHLTTDSIEPMAMNLDTEFTPLAR >Vigun08g025300.1.v1.2 pep primary_assembly:ASM411807v1:8:2190473:2192518:1 gene:Vigun08g025300.v1.2 transcript:Vigun08g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDNCESILLSLDTHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTHHQHQQQQVQGMHQQHQFGLNVPPFFPFHNRLSVSPPHDSDELANWCDSPPSGNNIVNNNSYNTVAALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNTYPSLLLCSNNNNSISSQPSHINNVSTVQRPVKQFVGCYSNNSTRQARAVNSPTNSSITIVEDEPSSNSCKTKLFGVSLQSKKRVHPEYGSNNLLSSETNKARLVVENDELFGLNLMPPSTC >Vigun02g083400.1.v1.2 pep primary_assembly:ASM411807v1:2:23691348:23700160:1 gene:Vigun02g083400.v1.2 transcript:Vigun02g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGILGFQGLVYVVLALGFAVIRHMWRNAEVRREDAMMMRLPEDAGVEEMEVSAAVAPSPVEYSSPVPVNVSRWYQCAVCYAPTTMRCARCKAVRYCSGKCQISHWRQGHKDECCPPPTTTMEINEENISYRASASRTESGHHEIKGIHAGISSSNESYSSLDPSVGARKSFDDNYYNRFPNPVCNDTADTSVGSAYNKDSTVNMKCSVNNEVKDSNAIKRTKTSPSNNNDDTRLKSKVPKTKSDTSHDEAANLGSHERRRKVAIVEKSVTDTSKCKTVPYLSGSSKYAVIDDVEEESHLSKYREARRLSSSSRDRPSPTTKGDFLSQSKCVKTDDCHTLPTKVSAIPNLPQNVRSGLKTSMQKVVQQFRSSKDSRSSENEMGFPYELFVELYCYDKVKLFPFGLSNCGNSCYANAVLQCLAYTRPLTSYLYQGFHSKRCQKKGWCFTCEFEHLIQKAKEGISPLSPIGILSKIHKIGSHLGHGREEDAHEFLRCAVDTMQSVCLKEASVSSPLAEETTLVGYTFGGYLRSKIKCLRCLGKSERYERMMDLTVEIDGDIGTLEEALGQFTAPEILDKDNKYNCSRCKTYEKARKKLTVLEAPNILTIVLKRFQSGDFEKLNKSVQFPEVLNMAPYMSGTKDKSPLYSLYAVVVHLDIMNAAYSGHYVCYVKNIQGEWFRTDDSRVEPVELSRVLSERAYMLLYARYSPKPLGLVSSTAISSAGKFKRRNLEAIPATSKTRSNSNVTSGNSSYLQQKHGKHPNWNDVDDSLSNDFAYPEEWRFQYGGRNTMVDSSSESSLFSSSDASSCSTASIKDSASSADFSDYIFGEVGPNWYSHYGLSSNVVASSSYDNLDTDFLVDSGASRRLRQDTEDKAVLYANKNKNHSGSRGIDLKRYITANHYDKNSGVHVRRTSGDASAQTFY >Vigun02g083400.2.v1.2 pep primary_assembly:ASM411807v1:2:23691348:23700160:1 gene:Vigun02g083400.v1.2 transcript:Vigun02g083400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGILGFQGLVYVVLALGFAVIRHMWRNAEVRREDAMMMRLPEDAGVEEMEVSAAVAPSPVEYSSPVPVNVSRWYQCAVCYAPTTMRCARCKAVRYCSGKCQISHWRQGHKDECCPPPTTTMEINEENISYRASASRTESGHHEIKGIHAGISSSNESYSSLDPSVGARKSFDDNYYNRFPNPVCNDTADTSVGSAYNKDSTVNMKCSVNNEVKDSNAIKRTKTSPSNNNDDTRLKSKVPKTKSDTSHDEAANLGSHERRRKVAIVEKSVTDTSKCKTVPYLSGSSKYAVIDDVEEESHLSKYREARRLSSSSRDRPSPTTKGDFLSQSKCVKTDDCHTLPTKVSAIPNLPQNVRSGLKTSMQKVVQQFRSSKDSRSSENEQMGFPYELFVELYCYDKVKLFPFGLSNCGNSCYANAVLQCLAYTRPLTSYLYQGFHSKRCQKKGWCFTCEFEHLIQKAKEGISPLSPIGILSKIHKIGSHLGHGREEDAHEFLRCAVDTMQSVCLKEASVSSPLAEETTLVGYTFGGYLRSKIKCLRCLGKSERYERMMDLTVEIDGDIGTLEEALGQFTAPEILDKDNKYNCSRCKTYEKARKKLTVLEAPNILTIVLKRFQSGDFEKLNKSVQFPEVLNMAPYMSGTKDKSPLYSLYAVVVHLDIMNAAYSGHYVCYVKNIQGEWFRTDDSRVEPVELSRVLSERAYMLLYARYSPKPLGLVSSTAISSAGKFKRRNLEAIPATSKTRSNSNVTSGNSSYLQQKHGKHPNWNDVDDSLSNDFAYPEEWRFQYGGRNTMVDSSSESSLFSSSDASSCSTASIKDSASSADFSDYIFGEVGPNWYSHYGLSSNVVASSSYDNLDTDFLVDSGASRRLRQDTEDKAVLYANKNKNHSGSRGIDLKRYITANHYDKNSGVHVRRTSGDASAQTFY >Vigun07g159900.1.v1.2 pep primary_assembly:ASM411807v1:7:27171212:27174360:1 gene:Vigun07g159900.v1.2 transcript:Vigun07g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALQFWYWTAPISHRYPNQLASLTSSLKFATPISATNSIYLPKPLTVRFALTESDSPKSIGPDPQTLLQEIAGSLDLPPDYFAQFPRDLRLDLNDAAFDLSNGPVLDECGQELGETLLNLSRAWELADTSTSHSLVKKIPLIEAKLTGSAKSALGKRLISAGRRFQSMGQYGQGEPQKIAKAMIAAGRALSTSSSSAVTVEEPKEETRVLKFGELQVEITPDKANIGAVIGFVFGILSWEIAQGIQNIPDSSLEYANDNALLLAKSLKGALLALFYFSTFLSAFTSGGLVLLGFQLKSNKG >Vigun05g299300.2.v1.2 pep primary_assembly:ASM411807v1:5:48350033:48351855:-1 gene:Vigun05g299300.v1.2 transcript:Vigun05g299300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEVAVAAEAPASIPGEPMDIMTALQLVLRKSLAYGGLARGLHESAKIIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAN >Vigun05g299300.1.v1.2 pep primary_assembly:ASM411807v1:5:48350033:48351764:-1 gene:Vigun05g299300.v1.2 transcript:Vigun05g299300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEVAVAAEAPASIPGEPMDIMTALQLVLRKSLAYGGLARGLHESAKIIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAN >Vigun03g381300.1.v1.2 pep primary_assembly:ASM411807v1:3:58568524:58570147:1 gene:Vigun03g381300.v1.2 transcript:Vigun03g381300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLIKLTALQLLSSHIIPPTNFHYSNTSQASTSINWMREPICLLIICVLHTITLITSSTMVVLSHVMTMVGFALAFLISVPSMVAHAGNLYQDVDVTWGDGRGKILNNGQLLTLSLDSASGSGFQSKNQFLYGKIDMQIKLVPGNSAGTVTAYYLRSEGSSWDEIDFEFLGNLSGDPYIVHTNVYTQGKGNREQQFYLWFDPTADFHTYSFLWNPAHVVFYVDGRPIREFKNLEGAGVEYPKKQPMRLYSSLWNADNWATRGGLVKTDWSQAPFTASFRNLRVNGCVWSNGASSCNSVSSDKTWLSQQLDATNQKMLKWVQKNYMIYNYCTDIKRFPQGLPLECTVRTKSS >Vigun03g301000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49015517:49016167:1 gene:Vigun03g301000.v1.2 transcript:Vigun03g301000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESNTMETQLQPTTSSSSSSSSDQQQQQQPTTKDKKTKKNNVNTNHTNHPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTPDMAARAHDVAALTIKGTSAFLNFPELAADLPRPATNSPKDIQLAAAKAAALDFRPRSHEAESELSRGGSISNSSSNSSLNSEDDTFMDLPDLSPDLSHGVDEFHYSSAWLVAGPELGFRLEEPFLWESY >Vigun02g009200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3734346:3735145:-1 gene:Vigun02g009200.v1.2 transcript:Vigun02g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSNKGNKKENKTKTKIEDEVEEMLQAAQDQILLNLSQAHIARASSSTLNLDPGTATGLDLDLDLERRFQALKMKTKPNPKQQEQQNQDLTARFDALKAKSSNSNFNFNSDSNYCKDEEDSEDDEETQVQKLIEWAKDAARLDPSPPSDDDQIH >Vigun06g123300.1.v1.2 pep primary_assembly:ASM411807v1:6:25070370:25073352:1 gene:Vigun06g123300.v1.2 transcript:Vigun06g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQDSKIYNLFVKHLDGKTLTLLFPSPILCVSFIKDRLFELTGIPVQHQRLVTGFRNLNDVIQCSPGDGNMFPSVRLLLRLKGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAGEEERKLEKVAEEFLKKQMKKGKGKGKAQGDGEAHKYVEKYRAESERCVAEVALSVKEALTAKRKTPSQPQHDAKKLKIWMGKRKLNESDSDYSDDSDVEGKMEKSDLLNDQNKSESTMAEGSLGSVTGGGGASGSGSCESGSEEEKETIVQGNVGSVGLLSDESIQPVDEPMVSNEAIDGAVTQVSNITGSETVATGDSNNDMEIDGSFEHKAAVNEENSPSTSVPAMDEPLNFDAFNSSSELEVLGLERLKSELQSRGLKCGGTLQERAARLFLLKNTPLDKLPKKLLAKK >Vigun02g016300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5935469:5937848:1 gene:Vigun02g016300.v1.2 transcript:Vigun02g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLKLMKGTYISLLKSCKSLSQLKQIQAHIFSVGLQQDRDTLNKLMAFSMDSSLGDFNYANRIFKYIHTPSLFIYNLMIKAFVKRGSFRSAISLFRQLREHGVWPDNYTYPYVLKGIGCIGEVGEGKKVHAFVVKTGLAFDAYVGNSLMDMYAELRLVEGFTQVFEEMPERDTVSWNIMISGYVRCKRFQEAVDVYRRMRKESNEKPNEATVVSSLSACTSLRNLELGKEIHDYIVNELDLTTIMGNALLDMYCKCGHVSVAQKIFDTMPVKNVNCWTSMVTGYVTCGLLDEARDYFERSPSRDIVLWTAMINGYVQFNRFEEAIALFGEMQMRGVRPDNFIVVTLLTGCAQSGALEQGKWIHNYIDENRILVDAVVGTALIEMYAKCGCIDIALEIFHGLKEKDTASWTAIICGLAMNGKTSRALELFEAMQVRGFKPDDVTFIAVLSACTHAGLVEEGRKLFHSMTSVYDIEPNLEHYGCFIDLLGRAGLLQEAEELVRKLPNENDEIIVPVYGALLSACRTYNNIDMGERLATALAKVKSSDSSLHTLLASIYASADRWEDVRKVRSKMKDMGIKKVPGYSSCLE >Vigun06g049300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17412318:17414792:-1 gene:Vigun06g049300.v1.2 transcript:Vigun06g049300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATLQHRFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEVKNYVKLPDLFISLESCQNSNPFSFFSSLPHNLRVQIVDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVPQTRVLLSSAWLERRCLSQSVADILLEMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAQDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEIIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFIPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun06g049300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17412333:17414792:-1 gene:Vigun06g049300.v1.2 transcript:Vigun06g049300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATLQHRFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEVKNYVKLPDLFISLESCQNSNPFSFFSSLPHNLRVQIVDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVPQTRVLLSSAWLERRCLSQSVADILLEMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAQDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEIIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFIPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun06g049300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17412328:17414792:-1 gene:Vigun06g049300.v1.2 transcript:Vigun06g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATLQHRFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEVKNYVKLPDLFISLESCQNSNPFSFFSSLPHNLRVQIVDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVPQTRVLLSSAWLERRCLSQSVADILLEMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAQDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEIIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFIPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun06g049300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17412318:17414792:-1 gene:Vigun06g049300.v1.2 transcript:Vigun06g049300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATLQHRFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEVKNYVKLPDLFISLESCQNSNPFSFFSSLPHNLRVQIVDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVPQTRVLLSSAWLERRCLSQSVADILLEMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAQDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEIIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFIPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun10g145600.1.v1.2 pep primary_assembly:ASM411807v1:10:36355543:36358791:-1 gene:Vigun10g145600.v1.2 transcript:Vigun10g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEILFLSFIFPVIYASSDCQFSFCGSNNIIIRFPFQREDEQNPYCGYPGFNLICTNNSKTVLKLPYSGVFYVRNINYLAQKIQVYDPDDCLPKRLLSLNVSDSPFIHTFTRNYTFLSCPFQNAGSQFIPIDCLSNSTHFVSAVPSLNLPNPLPASCYVITSLFVPVAGPEQQYDGNFKGEFSQDLVLTWDRPDCRYCESQDQLCGFDRNNNKQLLCFSYQTGPSQQGIQIFRIITLCIAGPAAIFAILMACCVCYKDRIANIRNIAIARSAPATILPAPQIAATGLDESTIESYEKVVLGESRRVPGPNGGCCWICLSEYNSKETIRCIPECEHCFHADCIDEWLRINTTCPVCRNTPSPSPLHVTSINP >Vigun05g023900.1.v1.2 pep primary_assembly:ASM411807v1:5:1981990:1982968:1 gene:Vigun05g023900.v1.2 transcript:Vigun05g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQLHFEATQAQGEGQGQAQAQAQAQAQPQAQTEAQAQEKTSNLIDMASNAAQSAKETMQEAGQQMMATAQGAAEAVKNATGMNKNN >Vigun04g080050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:11411319:11414855:1 gene:Vigun04g080050.v1.2 transcript:Vigun04g080050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACVGGALLSAFLQVAFHRVTSPKLLHFFRGRKLDEALLRKLNIKLLSINSLADDAEQKQFMDTRVKAWLSAVKDVVFDAEDLLDEIDYELTKRQVEAESECQSLSSKVSSFFNSTFSSFNRKIDSGLKQVLENLEYLASQKGDLGLKEATYFGLQPGSVSEVQQKLPSTSLVAENVIYGRDDDTETIFNWLTSETHNHSQLSILSIVGMGGVGKTTLAQHVYNDPRMEEVHFSIKAWVCVSDYFDVMVVTSTILEAITKSKDDSRNLEMAHARLKEKLSGKKFFLVLDDVWNERRDKWEAVQTPLNFGATGSKILVTTRSEKVATTMWSSKVHRLMHLQEDHCWDVFAKHAFQDDHPQLNAEMKDIGIKIVKKCKGLPIALKAIGSLLHTKSSFSERECVLESKIWDLPREENEIMPALLLSYHHLSSHLKRCFTYFALFPKDYKFDKESIILLWLAENFLHCPQHNKSPEEIGELYFDDLLSRSFFQRSSGLESCFVMHDLLNDLAKYVCGDIYFNLEVDKALFIPERARHISFAINDVKYFDSSYDAKRLRTFIPLPTFIKLSPLNDPWQCKVSFHELFSKFKFIHTLSLLCCSGLLEVPDSIGDLKHLRSLDLSCTHIRKLPDSSCLLYNLQILKLNYCLLLKELPSNLYKLSNLRCLEFIGTSVRKMPMHMGKLKNLQVLSSFYVGKSSGIQQLVGLNLHGGLLIGDMQNILNPSDALQVDLKNKKHLVKLELEWNSNQIPDNPRKEKQVLEYLQPPKHLKNFSISHYGGTQFPSWLSDSSLSNVVSLRLIGCKYVLQLPPLGLLPFLKELTIIELDGIVGVGAEFHGSSSSSFTCLETLYFYNMKEWEEWDCETPFPRLQHLSIVHCPKLKGLPNQLLHVKQIIICECERFTISGHNTESSTLERIGDTINNNSLEVLHIYSCLYINIPLRLRYNLLVTLDIDGGFDSPMTFPLDFFPKLCSLKLRCCNLQMISQDHTHNHLKDLDISKSPQFESFPMEGLSAPKLVKFSIKELKNLKLLPKRMDILLPSLTDIRILDCPQVELCSDGGLPSNLNTMDLSDCSKFMASMKMALGANNSLEVLSVQKLDVESFPDEGFLPFSLTSLEISNCLDLKNLDYSGLCHLSTLQELLLFNCPNLRCLPEEGLPESISELKIVGCPLLEQCGLKPKG >Vigun04g109300.1.v1.2 pep primary_assembly:ASM411807v1:4:26965247:26973532:-1 gene:Vigun04g109300.v1.2 transcript:Vigun04g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGNQTEYSGESSASTSLSSQQDVEDDQMIALVLSEEYAKLDGAVARRLTNLEPVPHVPRINSFIPTVNDASMDHQRLLQRLNVYGLCEVKVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKDHRSLYECYVPMKYKKYHKKMAKSGEWGDHITLQAAADNFAAKICLLTSFRDTCFIEILPLYQAPQRELWLSFWSEVHYNSLYEIRDAPIQPKPKRKHWLF >Vigun04g144001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35765034:35767700:-1 gene:Vigun04g144001.v1.2 transcript:Vigun04g144001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFYLISSIFGISSRNLNVIAKPKHPFPLNLPLSITEENFRYLVSVALNHSFQLVIRFALFDFLKLLFTLPISHALNHCYYRWDFDLLSGDAFCFHVDRAFETLLWGFTAVMSRVQSVFCVFRSVALHCGFTTAASVIFVCLTCSQQVHMFITALPIRGCFRGLRLRRFAAASV >Vigun06g217400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32806959:32808687:-1 gene:Vigun06g217400.v1.2 transcript:Vigun06g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEEKKHVAVFSFPFGSHPTPLLNLVLKLANAAPNLQFSFIGTEHSNNPLLISNPHIPNTIKFYSISDGVPEGHVPGGHPVERVNLFLQACPHNLQKGIDMAVAHTKQRVTSVIADAFVAPSLTVAQRLNVPWVAVWPPLSCSLSAHFYTDLIRHRCNSAARDTPLDFVPGLSKMRVEDLPEDVIQGVGEEETLFSKTLASLGSVLPQAEAVVVNFFEELDPPLLAKDMKSKFKYFLYVGFLTLSLPLPPLPPSDTDGTGCLSWLDKQKGGSVAYVSFGTVVTPPPHEIVAVAEALEASGFPFLWSLKEHLKGVLPSGFLERTRERGKVVAWAPQTQVLGHGSVGVFVTHCGCNSVFESMSNGVPMICRPFFGDHGLTGRMVEDVWEIGVRVEGGVFTKDGLVKCLRLILVEEEGNLMKKNAVKVKTTVVDAAGAQGKAAQDLNTLVEVVSRS >Vigun03g145400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14839536:14841179:1 gene:Vigun03g145400.v1.2 transcript:Vigun03g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSMALSSPSLAGKAVKLAPSAPEVGRVSMRKTATKQVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun07g147000.1.v1.2 pep primary_assembly:ASM411807v1:7:25787061:25793198:-1 gene:Vigun07g147000.v1.2 transcript:Vigun07g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLHSEWLAARSTEVHFTGAQLTTTHPPSASTEPWMEAIVPGTVLATLVKNKVVPDPFYGLGNEAILDIADSGREYYTFWFFTTFQCKLSGNQHCDLNFRGVNYSADVYLNGHKMVLPKGMFRRHSLDVTDVVHSDGSNLLAVLVHPPDHPGSIPPQGGQGGDHEIGKDVATQYVQGWDWMAPIRDRNTGIWDEVSISITGPVKIIDPHLVSSFFDNYKRVYLHATTELENKSSGTAECSLSIHVTTELEGGIHSVEQLQTQNLSIPPTSRVQYTFPELFFYKPNLWWPNGMGKQSLYNVIINIDVKGYGESDSWSHHFGFRKIESNIDGATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYQTDIKFHADMNFNMIRCWAGGLTERPEFYHYCDYYGILVWQEFWITGDVDGRGIPVSNPNGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQTPPDDINKALKNDLKLHPYFRHAEEKEKPVGGLSERLGDPSQYLDGTRIYIEGSLWDGFADGKGGFTDGPYEIQNPEDFFKDDFYKYGFNPEVGSVGMPVAATIRATMPSEGWQIPLFKKLSSGYVEEVPNPLWKYHKYIPYSNPTKKVPDQIQLYGDVKDLDDFCLKAQLVNYIQYRALLEGWTSHMWKKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFFGCRSAAEPIHVQLNLATYFIEVVNTTSEELSNVAMEVSVWDLEGTCPHYKVHDNLTALPKNVTPIVEMKYPKSKNPKPVYFLLLKLFNMSDNKILSRNFYWLHLPGGDYKLLEPYREKKIPLKITSEVFIQGSTYKLRMHVQNTSKKPDSNSLTLEHGSTARQKPGTVDNVKGKEQEVGWFTRIHKCFAGKSDGLKVSEINGQDIGVAFFLHFSVHASNKDHKEGEDTRILPVHYSDNYFSLVPGETMTVKISFEVPPGVSPRVSLHGWNFDDKPSLKVFSDVTGNLVN >Vigun11g127900.1.v1.2 pep primary_assembly:ASM411807v1:11:33526255:33533808:-1 gene:Vigun11g127900.v1.2 transcript:Vigun11g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQTVGVSLFGGEMGKREKQKQKHERRNRGRSSFNYLQEDQDFDDTPRLSPSGEEPDHDSNEEEEEDDADNDEATISNENQSHDMPSKFLLYQQSVQSPKGDISYMQKFFLMYVGGRVALHLQEDFCGTAFLSTEWLRSDSRRTAVGLDLDNEALNWCMENNVPSLGADGFSRISLFHGNVLQPLQSKLVNMDPQKLVSDLSLAQNEENMQTGVLESEDPTGSVAQDDKFTKRNITLPRRDIVCAFNYSCCCLHKRSDLVLYFKHARDALSTKGGIFVMDLYGGTSSEHKLRLQRRFPNFTYVWEQAEFDIIQRKTRISLHFHLKKEQRKLRHAFSYSWRLWTLPEIKDCLEEAGFRSVHFWVREMPDSAEIMKTEGFGAGKNVKYEEATSFQQQDSWNAYIVGVA >Vigun02g160500.2.v1.2 pep primary_assembly:ASM411807v1:2:30640800:30644464:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKRITMSEIKQHLWFRKNLPREIIEAERRGYEETQKDQPSQSVEEIMQIIEEARTKIQTSEQTSTGPSNVVRGGEANEEVDDHFAKYLVLE >Vigun02g160500.7.v1.2 pep primary_assembly:ASM411807v1:2:30641290:30644464:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKEEFTEGDN >Vigun02g160500.6.v1.2 pep primary_assembly:ASM411807v1:2:30640802:30644464:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKEEFTEGDN >Vigun02g160500.5.v1.2 pep primary_assembly:ASM411807v1:2:30640802:30644466:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKRITMSEIKQHLWFRKNLPREIIEAERRGYEETQKDQPSQSVEEIMQIIEEARTKIQTSEQTSTGPSNVVRGGEANEEVDDHFAKYLVLE >Vigun02g160500.4.v1.2 pep primary_assembly:ASM411807v1:2:30641284:30644466:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKRITMSEIKQHLWFRKNLPREIIEAERRGYEETQKDQPSQSVEEIMQIIEEARTKIQTSEQTSTGPSNVVRGGEANEEVDDHFAKYLVLE >Vigun02g160500.1.v1.2 pep primary_assembly:ASM411807v1:2:30640802:30644464:1 gene:Vigun02g160500.v1.2 transcript:Vigun02g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERYETLKELGSGNFGVARLAKDKKTEELVAIKYIERGKKIDANVQREIVNHRSLRHPNIIRFKEVFLTQTHLAIVLEYAAGGELFDRICNAGRLSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNPAPRLKICDFGFSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKSIGRIMSVQYAIPDYVRVSKECRHLISSIFVANPAKRITMSEIKQHLWFRKNLPREIIEAERRGYEETQKDQPSQSVEEIMQIIEEARTKIQTSEQTSTGPSNVVRGGEANEEVDDHFAKYLVLE >Vigun01g251766.1.v1.2 pep primary_assembly:ASM411807v1:1:41807281:41811870:-1 gene:Vigun01g251766.v1.2 transcript:Vigun01g251766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSFMIEISLSFHYFLCGVAWWLEFNTTRQMSTFCTCFLCFHHHYCHVCIAFVIAGIESKQLWFSTSTYWRYERERASIQEMSDETSIISLLS >Vigun08g082900.1.v1.2 pep primary_assembly:ASM411807v1:8:17536537:17548126:-1 gene:Vigun08g082900.v1.2 transcript:Vigun08g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEQESDGVSASTKHLVFAYYVTGHGFGHATRVTEVVRHLIHAGHDVHVVTGAPDFVFTTEIQSPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVKPRAQILAQESEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGLNHRSIVWEIAEDYSHCEFLIRLPGYCPMPAFRDIFDVPLVVRRLHKSAKEVRKELGVTDDVKLVILNFGGQPSGLKLKEEFLPPGWLCLVCGASKSDDLPPKFIKLAQDAYTPDIIAASDCMLGKIGYGTVSEALAYKCPFVFVRRDYFNEEPFLRNMLEHYQCGVEMIRRDLLTGHWRPYLERALSLKPSYEGGINGGEVAARILQETALGKNYASDKLSGARRLRDAIVLGYQLQRASGRDITIPEWYTTAEDQLGPSTPASPVHDGSLALSLDFGNFDILHGDVQGLPDTKAFLHSLSELEENHKRRERKAAASLFNWQEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIKEACHVALQRIHPSKHRLWKHAEARQNDKGGNPTAVLQIVSFGSELSNRGPTFDMDLSDFMEEGKPITYEKAKKYFAQDPSQKWAAYVAGAILVLMTELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLNISPRELAILCQKVENHIVGAPCGVMDQMASACGEANKLLAMVCQPAEIIGLVDIPSQIRFWGIDSGIRHSVGGADYGSVRIGTFMGMKMIKAKASEELSNSCAANGLNYDEVEQDDLELLKQEASLDYLCNLPPHRFEALYSKSLPQSIVGETFLEQHENHNDAVTIIDHKRTYVVKAPTTHPIYENFRVKTFKALLSSASSTYQLASLGELLYQCHYSYSACGLGSDGTDRLVHLVQELQHSAESKSEGGTLYGAKITGGGSGGTVCVIGRNCLKSSEHIFEVQQRYKKATGYMPFIFEGSSPGAGKFGYLKIHRRTAPKKADSHGGK >Vigun08g180200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35001514:35005458:1 gene:Vigun08g180200.v1.2 transcript:Vigun08g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEAHNTPCFANPVLQWSQWQLLDSLLPTGGFAHSFGLEAAIQTRLVSDSNDLKTFIIHILENTGSLLLPFVHSACILPNLENWHKLDKILDATLTNEVGRKASISQGTALMRVASAVFSEVPSLKTMRDASLGLGTVSFHHAPVFGLVCGALGFDSTSSQRAYMFITIRDVISAATRLNLIGPLGAALLQHQVASIAEVILEKWMNRAAEEACQTMPLLDTVQGCHGYLFSRLFSS >Vigun10g143600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36077644:36078407:-1 gene:Vigun10g143600.v1.2 transcript:Vigun10g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVHRSPLSSPTSNHQRNLRCCYTISLTYLPVFVAFHRRFCSTPALVRAFAGVNRQNFVGAPPSFPSSRHVRTRTTSSPSPFLCSKLTSRYKLCCSLFENVKIVASWSEP >VigunL086800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:3921:5789:1 gene:VigunL086800.v1.2 transcript:VigunL086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTQLTGRRAIMFCGGMLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLTFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFRRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun02g123300.1.v1.2 pep primary_assembly:ASM411807v1:2:27545461:27548511:-1 gene:Vigun02g123300.v1.2 transcript:Vigun02g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDENADLLDVKSEMSESHETWKQEISGSQSEVDVLQTELTEGKACNNGSDGEGKKELRVLWQRVKTASTLLTYLKSKARLMAVPHLAHTACGIKQLEGVGFVDKNGIPLSGWSSNVDLSSFDDADEESWMEISHQHGSFDEQDAAYIGEILKSVQMVADVMEALVKRVFLAESETAIEKGKVSVSQEEIKRKSTQLENVSMKLEEMERFALNTNSILNEMRQRVEDLVEETTRQRERAAENEEELSRVKREFESLKSYVSGLITVRETLLSSEKQFRTIERLFEKLVAKTTQLEGEKMQKEAEVQKLMQENVRLSALLDKKEAQLLALNEQCKVMALSASNM >Vigun02g123300.2.v1.2 pep primary_assembly:ASM411807v1:2:27545461:27548239:-1 gene:Vigun02g123300.v1.2 transcript:Vigun02g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDENADLLDVKSEMSESHETWKQEISGSQSEVDVLQTELTEGKACNNGSDGEGKKELRVLWQRVKTASTLLTYLKSKARLMAVPHLAHTACGIKQLEGVGFVDKNGIPLSGWSSNVDLSSFDDADEESWMEISHQHGSFDEQDAAYIGEILKSVQMVADVMEALVKRVFLAESETAIEKGKVSVSQEEIKRKSTQLENVSMKLEEMERFALNTNSILNEMRQRVEDLVEETTRQRERAAENEEELSRVKREFESLKSYVSGLITVRETLLSSEKQFRTIERLFEKLVAKTTQLEGEKMQKEAEVQKLMQENVRLSALLDKKEAQLLALNEQCKVMALSASNM >Vigun07g266400.1.v1.2 pep primary_assembly:ASM411807v1:7:38184324:38187866:-1 gene:Vigun07g266400.v1.2 transcript:Vigun07g266400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGLNFGRARGEDRFYSPAKARRPFHTMENDKLRRAHSDVTGRDKSVDLGNRVPENRVGSDEEEAKKSGAVPSCEPVVTRLSNLERFLQAITPSVPAQYLPKRTTRGLRACDEESQPYFVLGDLWESFREWSAYGAGVPLVLNDKDSVVQYYVPYLSGIQIYSQNVKPTVKSRQLGEDSDSDFRDSSSDGSSDCEPLHGRGLKYMREQRNLPYLSDEVPQRMSRLSLRDQNSLPQDGFSSDDGDSVNPQGYLIFEYLERDPPYSREPLADKILDLAFRFPELLTLGSCDILSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHDLCPPVGGSQRQTQKPLPSETDNVHRMSLPVSGLASYKFKGSLWTPSGGHERQLASTLFQRADNLLRQLQVSHPDFQFFSR >Vigun05g262700.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525813:45538409:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525813:45531217:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525813:45538409:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525768:45538409:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525813:45531216:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45524972:45538409:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun05g262700.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45525813:45538409:1 gene:Vigun05g262700.v1.2 transcript:Vigun05g262700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKEMEGEEICRRMGTCGHMVKSSGMEVVVTCRYMVVEVKGMEVVEIYRHKEVVVMGMVVVETCRHTEEVVNGMVGEETYKYMEGVVMEMVVVGTCRHKEGEVMEMVEGEICRRMKETCEYMVEEVRGMEVVETCIHKEGEVREMVEEETYIHMVEEARGMEVVETCTHKEGEVMEMVEGEICRHMEETCEYMVEEVRGMEVVETCRHKEVEVMEMVEGEICRHKGVEEETCRHMVGEVRGMEVVETCKHKEGEVMEMVEVGTCRHKEVEVMEMVEEETCTHMVEEVRRMEVVETCRHKEGEVRGMEVVETCKRKEGVVMGMEVEEIYTRMEGVVKEMEVEETYKHMVGVVMGMVVEETCRYMEVVVKEREVVVTYRHKVKVEICVHVEVFCNGGVGVLHALVESNRLAGMHSKAYSYNHHQPQ >Vigun07g023300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1997671:1998393:1 gene:Vigun07g023300.v1.2 transcript:Vigun07g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPFVTTSLLFFFFFSSVTAAKTHRFVQSISPSSLGLHQPQKLSHLHFFFHDIVGGSNQTAVRVAAAPTTDKSSTLFGAVMMMDDPLTELPDAESTVVGRAQGIYASASTTELGFLMAMNFAFTQGKYNGSSLAVLGRNTVESAVREMPVVGGSGLFRFARGYAQAKTHSFGTLEAVVEYDVYVLHY >Vigun04g001200.2.v1.2 pep primary_assembly:ASM411807v1:4:123275:124259:1 gene:Vigun04g001200.v1.2 transcript:Vigun04g001200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRACELDFCEAIDSCTLTTLLFLFERLQKKERRMEKCNSNSSKRNRNRMVLERGVVGLGIVAAMSVSNINNSTDVVSSAKAAANFRGSRSYGLFHASPLNTGTPYFLNSCNLCHKHLHGVDIFIYRGEKAFCSAQCREDHIRNYEHHNNALRSTSSTVSVPILAA >Vigun07g059300.4.v1.2 pep primary_assembly:ASM411807v1:7:6661603:6664587:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLVRCDFQLVRSRITDN >Vigun07g059300.3.v1.2 pep primary_assembly:ASM411807v1:7:6660490:6664638:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLAYTVELEAELNQLKEENAQLKLSLADLERRRKKQLSEEGNLRVQTIQKAKKKSRSLRRTMSCPL >Vigun07g059300.9.v1.2 pep primary_assembly:ASM411807v1:7:6661603:6664587:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLVRCDFQLVRSRITDN >Vigun07g059300.5.v1.2 pep primary_assembly:ASM411807v1:7:6660579:6664638:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLAYTVELEAELNQLKEENAQLKLSLADLERRRKKQLSEEGNLRVQTIQKAKKKSRSLRRTMSCPL >Vigun07g059300.7.v1.2 pep primary_assembly:ASM411807v1:7:6661566:6664600:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLKLHCEMCTAASIVYI >Vigun07g059300.6.v1.2 pep primary_assembly:ASM411807v1:7:6660811:6663595:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLAYTVELEAELNQLKEENAQLKLSLADLERRRKKQLSEEGNLRVQTIQKAKKKSRSLRRTMSCPL >Vigun07g059300.8.v1.2 pep primary_assembly:ASM411807v1:7:6661566:6664600:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLKLHCEMCTAASIVYI >Vigun07g059300.1.v1.2 pep primary_assembly:ASM411807v1:7:6660490:6663641:-1 gene:Vigun07g059300.v1.2 transcript:Vigun07g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESEMNSQNDVESPIELEQQHNVNKNHPFSSLGREASSIYSLTLDEFQHTLWESGKNFGSMNMDEFISSIWCAEETQILNNSNSNNINNNSFSLNEASAEKGLIRKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHKQQQRQRQQQQQNNDNNNCGSVNDDNNAQNTESARRQPTFGEMTLEDFLIKAGVVREQCPIAMPVPASTSQNQHLQQPYGFFPNNNRTMEPSFVGRQVMGVGGGGGVGSSAGGNVVRPPYQMVTKGGGNSGGGGGGAVGQCSGYPAAVPAAVCFGPRVVNGGGGYAAVSNMGVVAPVSPVSPEGISTGENFGCRFGMDRGVSRGRKRMFDGPVEKVVERRQRRMIKNRESAARSRARKLAYTVELEAELNQLKEENAQLKLSLADLERRRKKQLSEEGNLRVQTIQKAKKKSRSLRRTMSCPL >Vigun03g045700.2.v1.2 pep primary_assembly:ASM411807v1:3:3662724:3666438:1 gene:Vigun03g045700.v1.2 transcript:Vigun03g045700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKLKVFADRMSQPSRAVLIFCRLNGIDFEEIKVDISKGHHLSSEFREVNPLQKVPAIVHGSFNLSERYPSDIFRRAKINSVMDWHHSNLRHGTVNYVVNTVLGPATGRPLNPKAAAEAEKVLFSSLSKLEDVWLNGEGRFLLGGSQPSIADLSMVCELMQLEVLDEKNRSRILSPYKKVLQWIDDTRAATNPHFEEMHNILYRAKKKFEQQRSRVAETSTEPSHHSKM >Vigun03g045700.1.v1.2 pep primary_assembly:ASM411807v1:3:3662724:3666438:1 gene:Vigun03g045700.v1.2 transcript:Vigun03g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKLKVFADRMSQPSRAVLIFCRLNGIDFEEIKVDISKGHHLSSEFREVNPLQKVPAIVHGSFNLSESHAIIVYLNSAFPGIADHWYPSDIFRRAKINSVMDWHHSNLRHGTVNYVVNTVLGPATGRPLNPKAAAEAEKVLFSSLSKLEDVWLNGEGRFLLGGSQPSIADLSMVCELMQLEVLDEKNRSRILSPYKKVLQWIDDTRAATNPHFEEMHNILYRAKKKFEQQRSRVAETSTEPSHHSKM >Vigun08g202000.1.v1.2 pep primary_assembly:ASM411807v1:8:36612548:36617142:-1 gene:Vigun08g202000.v1.2 transcript:Vigun08g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEILRELDSLKDAKAKIEHKISALEAQLREINLRNDAAPTNGSSHPSSYPTNGLTQDMIHRYSRHLMLPSFGVEGQANLLKSSILVVGAGGLGASALLYFAAAGVGRLGIVDHDVVELNNMHRQVIHTEAYVGKPKVKSAGAACRSVNSSIEVVEHQEALRTSNALEILSKYDIIVDATDNAPTRYLISDCCVVLGKPLVSGAALGMEGQLTVYNYKGGPCYRCLFPLPPPRTACQSCAEGGVLGVVPGIIGCLQALEAIKIAAAVGEPLSGRMLLLDALSGRIRIVKIRGRSTYCEACGENATFTQQKFREFDYEKFTETPLRVPPLKLNLLPSESRISSKEYSEIVLKKEPHVLVDVRPAHHFKIVSLPKSLNIPLSTLEGRLPEISSALKKEEKDSGLVSGSGGGAQLYVVCRRGNDSQRAVQCLQKMGFTSAKDIVGGLESWAYNVDPKFPTY >Vigun08g202000.2.v1.2 pep primary_assembly:ASM411807v1:8:36611101:36617142:-1 gene:Vigun08g202000.v1.2 transcript:Vigun08g202000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEILRELDSLKDAKAKIEHKISALEAQLREINLRNDAAPTNGSSHPSSYPTNGLTQDMIHRYSRHLMLPSFGVEGQANLLKSSILVVGAGGLGASALLYFAAAGVGRLGIVDHDVVELNNMHRQVIHTEAYVGKPKVKSAGAACRSVNSSIEVVEHQEALRTSNALEILSKYDIIVDATDNAPTRYLISDCCVVLGKPLVSGAALGMEGQLTVYNYKGGPCYRCLFPLPPPRTACQSCAEGGVLGVVPGIIGCLQALEAIKIAAAVGEPLSGRMLLLDALSGRIRIVKIRGRSTYCEACGENATFTQQKFREFDYEKFTETPLRVPPLKLNLLPSESRISSKEYSEIVLKKEPHVLVDVRPAHHFKIVSLPKSLNIPLSTLEGRLPEISSALKKEEKDSGLVSGSGGGAQLYVVCRRGNDSQRAVQCLQKMGFTSAKDIVGGLESWAYNVDPKFPTY >Vigun03g357500.1.v1.2 pep primary_assembly:ASM411807v1:3:55959728:55963339:1 gene:Vigun03g357500.v1.2 transcript:Vigun03g357500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFPFFCPFFILFFTQTGYVQAFTGTYGINYGRIANNIPSPDEVVTLLRAAKIRNVRIYDADHSVLQAFSGTGLEIVVGLPNGQLQNMSSSADHALSWVKENVQTFLPNTRIRGIAVGNEVLGGNDFSLWGVLLGAVKNIYNATKKLHLEQVVQISTANSFAVFATSYPPSSCKFNDNVSQYMKPLLEFFQQIGSPFCLNAYPFLAYASDPEHIDINYALFEPTEGIYDPIYRLHYDNMLDAQIDAAYAALENAGFHKMEVIVTETGWASNGDQSEAGANATNARTYNYNLRKRLAKRKGTPHRPKNIVKAYIFALFNENEKDGHLSEKNYGLFKADGSISYNIGFHGLSAGHSSLLSLKSIYSEGLSWSYTMVFCLPCLILLNWWS >Vigun02g137400.3.v1.2 pep primary_assembly:ASM411807v1:2:28740153:28745326:1 gene:Vigun02g137400.v1.2 transcript:Vigun02g137400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGGGENKMLGLRFTPRHKRSKSLPDKKKMEEDNPDNRIQVSDRKKLDMDYLTECDKAGKNRTPTNEVHGTLKQEILQLERRLQDQFQVRGTLEKALGYRSSSLVNSNEMMIPKPATELIREIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPTSKDESVKFPLTTLSARFINVSVPEILANRECSTTPSKDREPETQRKEQNRHEPEPETLGKEYNENLIEENHLDSGVYRCHSSLSHCPAFTRESPPADSLARSLRACHSQPLSMLEYAQSSSTNILSLAEHLGTRISDHVTVTPNKLSEDMVKCISAIYCKLADPPMTHPGLSSPSSSLSSTSVFSIGDQDDMWSPRFKNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWLYRENQKSGDTEKLLQNFRSLISRLEQVDAGRLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRAFLLLKAAYNVGGHTISADTIQSTILKCRMSRPGQWLRLLFSPKTKFKIGDRRQAYALEHPDPLSHFALCSGNHSDPAVRIYTPKSVFQELEVAKSEYIRANLGVRKDQKILLPKLLESFSKDSGLCPNGVMDMVLESLPESLRKSVKKCQLAKSRKCIEWNPHNFTFRYLISKDMVK >Vigun02g137400.2.v1.2 pep primary_assembly:ASM411807v1:2:28739349:28745326:1 gene:Vigun02g137400.v1.2 transcript:Vigun02g137400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGGGENKMLGLRFTPRHKRSKSLPDKKKMEEDNPDNRIQVSDRKKLDMDYLTECDKAGKNRTPTNEVHGTLKQEILQLERRLQDQFQVRGTLEKALGYRSSSLVNSNEMMIPKPATELIREIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPTSKDESVKFPLTTLSARFINVSVPEILANRECSTTPSKDREPETQRKEQNRHEPEPETLGKEYNENLIEENHLDSGVYRCHSSLSHCPAFTRESPPADSLARSLRACHSQPLSMLEYAQSSSTNILSLAEHLGTRISDHVTVTPNKLSEDMVKCISAIYCKLADPPMTHPGLSSPSSSLSSTSVFSIGDQDDMWSPRFKNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWLYRENQKSGDTEKLLQNFRSLISRLEQVDAGRLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRAFLLLKAAYNVGGHTISADTIQSTILKCRMSRPGQWLRLLFSPKTKFKIGDRRQAYALEHPDPLSHFALCSGNHSDPAVRIYTPKSVFQELEVAKSEYIRANLGVRKDQKILLPKLLESFSKDSGLCPNGVMDMVLESLPESLRKSVKKCQLAKSRKCIEWNPHNFTFRYLISKDMVK >Vigun02g137400.1.v1.2 pep primary_assembly:ASM411807v1:2:28739994:28745326:1 gene:Vigun02g137400.v1.2 transcript:Vigun02g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGGGENKMLGLRFTPRHKRSKSLPDKKKMEEDNPDNRIQVSDRKKLDMDYLTECDKAGKNRTPTNEVHGTLKQEILQLERRLQDQFQVRGTLEKALGYRSSSLVNSNEMMIPKPATELIREIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPTSKDESVKFPLTTLSARFINVSVPEILANRECSTTPSKDREPETQRKEQNRHEPEPETLGKEYNENLIEENHLDSGVYRCHSSLSHCPAFTRESPPADSLARSLRACHSQPLSMLEYAQSSSTNILSLAEHLGTRISDHVTVTPNKLSEDMVKCISAIYCKLADPPMTHPGLSSPSSSLSSTSVFSIGDQDDMWSPRFKNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWLYRENQKSGDTEKLLQNFRSLISRLEQVDAGRLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRAFLLLKAAYNVGGHTISADTIQSTILKCRMSRPGQWLRLLFSPKTKFKIGDRRQAYALEHPDPLSHFALCSGNHSDPAVRIYTPKSVFQELEVAKSEYIRANLGVRKDQKILLPKLLESFSKDSGLCPNGVMDMVLESLPESLRKSVKKCQLAKSRKCIEWNPHNFTFRYLISKDMVK >Vigun02g137400.4.v1.2 pep primary_assembly:ASM411807v1:2:28740153:28745326:1 gene:Vigun02g137400.v1.2 transcript:Vigun02g137400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGGGENKMLGLRFTPRHKRSKSLPDKKKMEEDNPDNRIQVSDRKKLDMDYLTECDKAGKNRTPTNEVHGTLKQEILQLERRLQDQFQVRGTLEKALGYRSSSLVNSNEMMIPKPATELIREIAVLELEVVYLEQHLLSLYRKAFDQQLSSVSPTSKDESVKFPLTTLSARFINVSVPEILANRECSTTPSKDREPETQRKEQNRHEPEPETLGKEYNENLIEENHLDSGVYRCHSSLSHCPAFTRESPPADSLARSLRACHSQPLSMLEYAQSSSTNILSLAEHLGTRISDHVTVTPNKLSEDMVKCISAIYCKLADPPMTHPGLSSPSSSLSSTSVFSIGDQDDMWSPRFKNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWLYRENQKSGDTEKLLQNFRSLISRLEQVDAGRLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRAFLLLKAAYNVGGHTISADTIQSTILKCRMSRPGQWLRLLFSPKTKFKIGDRRQAYALEHPDPLSHFALCSGNHSDPAVRIYTPKSVFQELEVAKSEYIRANLGVRKDQKILLPKLLESFSKDSGLCPNGVMDMVLESLPESLRKSVKKCQLAKSRKCIEWNPHNFTFRYLISKDMVK >Vigun11g106000.1.v1.2 pep primary_assembly:ASM411807v1:11:30443326:30445434:-1 gene:Vigun11g106000.v1.2 transcript:Vigun11g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHSEVEVKGLKLHVAEVGTGSKAVLFLHGFPEIWYTWRHQMIGAANAGYRAIAFDFRGYGLSEQPAEPEKETMYDLIDEIVGLLDALNITKAFLVGKDFGAIPAYLTAALHPERVAAVITLGVPFLLPGPSAVQNHLLPKGFYITRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYALQVPYRSLNVETGLSDAKVTNPALLIMGEKDYVFKFPGMDDYIRGGAVKNVVPDLEITYIPEGIHFVHEQFPDKVNQLIIEFIDKQSI >Vigun05g290300.1.v1.2 pep primary_assembly:ASM411807v1:5:47675536:47676528:-1 gene:Vigun05g290300.v1.2 transcript:Vigun05g290300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYQSYSAGETKGRTEEKAKQTVGNIGDKAQAAKDKAQEMAQAAKDKTQQTAQAAKDKTSNTSQAAKEKAQQNKDAAQGKASQMGQSTKETAQSGKDNTQGFLQQTGEKVKGAAQGATEAVKQTLGLGQNDEYNNRRN >Vigun07g259000.1.v1.2 pep primary_assembly:ASM411807v1:7:37599807:37601969:-1 gene:Vigun07g259000.v1.2 transcript:Vigun07g259000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNHNHQLQHNENVSSVLDALYCDEAKWEEEEEDEESDVTNSEARGMHDTLFPLLLLEQDFFWEDQELNTLFSKEKLQHQQVYGYNNLNTDANNNNNDACDSTKNNVHLDPSFSQPRREAVEWMLKVNAHYGFSALTATLAVTYLDRFLLSFHFQREKPWMIQLVAVTCISLAAKVEETQVPLLLDLQVQDTKYVFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLRTHLHWEFLRRCEHLLLSVLLDSRFVGCLPSVLATATMLHVIDQIEHSGGMEYKNQLLSVLKISKEKVDECYNAILQRSNANIYGHNNNIYKRKYEEIPDSPSGVIDAAFGSDGSNDSWAVGSSLYSSPEPLFKKSRTQGQQMKLSPLNRVIVGIVGTSP >Vigun05g154201.1.v1.2 pep primary_assembly:ASM411807v1:5:24524739:24533550:-1 gene:Vigun05g154201.v1.2 transcript:Vigun05g154201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMCDSDAEYHFDLSGSAFGAMAVSGQDEKLRNAGKINIQYRRVECSYPGVSIAFRVDSGSNDEYFAILIEYEGGDGDLGKVELKEEDSGAWYSMERSWGAVWKLDKGSPLNAPFSIRLTTLKSHSTIVANNVIPKGWTLAHTYRSIVNF >Vigun11g164800.1.v1.2 pep primary_assembly:ASM411807v1:11:37213063:37221006:1 gene:Vigun11g164800.v1.2 transcript:Vigun11g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDDMHDANDIDSLDDDFYSGETEDAPLDYYSDYDDEADDYFEDADRIESRRPEQNFTILKESDIKQRQEEDVTRVATVLSISRIFASILLRYYNWSVSRVHDAWFADEEQVRKTVGLLEKPIVQLDNNTKELTCGICFENYPRARIEMASCGHPYCISCWEGYISTSISDGPGCLMLRCPDPTCGAAVGQDMISLLKSDEDKQKYARYLLRSYIEDNKKSKWCPAPGCEYAVTFDAGSGGNYDVSCLCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGVWSDHGERTGGFYACNRYETAKQEGVYDDTERRREMAKNSLERYTHYYERWASNQSSRQKALADLQQMQTVHIEKLSDIQCQPESQLKFITEAWLQIIECRRVLKWTYAYGFYLPEHEHAKKQFFEYLQGEAESGLERLHQCAEKELQPFLCADEPSREFNDFRTKLAGLTSVTRNYFENLVRALENGLSDVDSNGASFSRATSSKNAAGSSKSRSGRVKGTFRTSMSSRMNDDSHWCCEHCTYANVKSATTCQMCYQQRR >Vigun01g046000.1.v1.2 pep primary_assembly:ASM411807v1:1:6871934:6874141:-1 gene:Vigun01g046000.v1.2 transcript:Vigun01g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRFLHAALPQAQLTLGFPSPPQFLTIAPPLKFSLSSSTFRCKTFTRLKVNQRVLTVFAANPNSVDGKSTKEGSDVNESSNAGQGPPILTILAGFFVLFVVCWSIWSIIAWLISLIVTAPAPK >Vigun02g063250.1.v1.2 pep primary_assembly:ASM411807v1:2:21096905:21100922:-1 gene:Vigun02g063250.v1.2 transcript:Vigun02g063250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVCEVFKKEEKALSFHPSSHKFVIFRLLIEDGHLVLAPISLSRLDHVGVRLLGIPTSLWEPSF >Vigun03g269900.2.v1.2 pep primary_assembly:ASM411807v1:3:44237034:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.3.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.13.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.4.v1.2 pep primary_assembly:ASM411807v1:3:44237034:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.11.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.9.v1.2 pep primary_assembly:ASM411807v1:3:44237034:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.20.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.18.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.10.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.14.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.15.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.19.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.16.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.17.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242372:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.8.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g269900.12.v1.2 pep primary_assembly:ASM411807v1:3:44237041:44242373:-1 gene:Vigun03g269900.v1.2 transcript:Vigun03g269900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKLLKGSNRKYSGGRYHGKYGDDRYSDNHDNSADDLTEIEKEEIDRAIALSLSEADPKGKKVIEDDSESEDDELCPLDDDDDDEDRCVGEVQKDEDDHHAQIQQDEDKNLDEFQLEEDEQLARAIQESLSISSPPRSETDSLFQPLANLFPPVYRTCAGCNAEIGSGRFLSCMGGFWHPECFCCHACELPITDYEFSMSGNRRYHKSCYKELHHPKCDVCKSFIPPNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESVDAKYLLLDDGRKLCLECLDSAIMDTHECQPLYVEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTIPTILRRPRIGAGYQLIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLKPEVEEGICQVLAHMWLDSEIYSSSGNEGAVSSSSSSSSSSSSSPSSSSSSKKGKRSEFEKKLGEYFKHQIESDSSSAYGDGFREGNQAVLKYGLKRTLDHIRMTGSFPY >Vigun03g042400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3347935:3348924:1 gene:Vigun03g042400.v1.2 transcript:Vigun03g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELLFPKLLLQLLSLLGFLRRFICGVLRFMGLHDFLEPDIAWPEAHTRMPEFESVSATLIREILPVVKFGDLLDPPETCAVCLTEFEKNDEIRRLANCRHIFHRGCLDRWMGYDQRTCPLCRTPFIPDDMQGTFIERLRAISGISEHPLLPAS >VigunL059827.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:27936:28058:1 gene:VigunL059827.v1.2 transcript:VigunL059827.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun01g152000.1.v1.2 pep primary_assembly:ASM411807v1:1:33479817:33484547:-1 gene:Vigun01g152000.v1.2 transcript:Vigun01g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDESKFGNIYIQPSSNRELQFQPMASAIPGDEETPLVADNSPPQAARTTSHTWDIHILSLAFLLVFLAFGAAQNLQSTLNTEEGLGTTSLGILYLSFTFFSVVASLVVRVLGSKNALLLGTTGYVLYVAANLKPTWYTLVPASVYLGFCASILWVGEGTYLTSAARSHSTDNNLHEGAVIGDLNGEFWAVYALHQFIGNLITFALLSDDQQGGSTKGTTLLFIVFLFIMIFGTILMCFLRKRSVNSKGEQELSDAGACASLKSLSKSLANALSDIKMLLLIPLIAYSGLQQAFVWAEFTKYVVTPAIGISGVGSSMAAYGAFDGICSLFAGRLTSGLSSVTKIVSVGVFVQAVVLILLLLDFSISSGLLGTLYILFLACLLGIGDGVLMTQLNALIGILFKQDTEGAFAQLKIWQSATIAIVFFFAPLMSFKSVVVIMLAFLCVSFCIFLWLALKVGNTSRSTSLRI >Vigun10g197600.1.v1.2 pep primary_assembly:ASM411807v1:10:41044891:41047155:1 gene:Vigun10g197600.v1.2 transcript:Vigun10g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTVYVQQCTGVNGLEKIILREVRGFSAEIYLYGGQVTSWKNDLGEELLFVSSKTNFKPPKSIRGGIPICFPQFSNHGSLQQHGFARNKFWTLDPNPPPFPTATTNRAFIDLILKNSEDDVKHWPHRYEFRLRIALGPAGDLLMTSRIRNTNTDGKSFTFTFAYNTYFYVTDISEVRIEGLETLDYLDNLKNRERFTEQGDAITFESEVDKVYLSTPTKIAIIDHERKRTFVLRKDGLPDAVVWNPWDKKAKFISDLGDDEYKNMLCVQAACVENPITLKPGEEWKGRQEISPVPSSYCSGQLDPRKVLFTY >Vigun07g291400.2.v1.2 pep primary_assembly:ASM411807v1:7:40298860:40302990:-1 gene:Vigun07g291400.v1.2 transcript:Vigun07g291400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIPRCLPPFPISSSTQYRRKAHVVKSNLSHQNDSSASAHTDHASKELQVPVSRRHCLTGLCSTLVLISDYAAPIFVPKANAVDGMMEKPVCRNCLGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGMSSHLILFSNLNLMSKPFQNDR >Vigun07g291400.1.v1.2 pep primary_assembly:ASM411807v1:7:40298860:40302990:-1 gene:Vigun07g291400.v1.2 transcript:Vigun07g291400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIPRCLPPFPISSSTQYRRKAHVVKSNLSHQNDSSASAHTDHASKELQVPVSRRHCLTGLCSTLVLISDYAAPIFVPKANAVDGMMEKPVCRNCLGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARKLLDKMYNGRLIPNS >Vigun11g165900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37312744:37315506:-1 gene:Vigun11g165900.v1.2 transcript:Vigun11g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFLCVMGISLKHHLLNANPCSFRLLFELVVLSYLWLQVTSLGSMSSIAISYGEKGSVFCGLKSDGSHTVTCYGANSAIIYGTPTQFSFLGLTAGDGFVCGLLMGSNQPYCWGSSGYIEMGVPQPIIGGAQYLEISAGDYHVCGLRKPLTGKHRYISLVDCWGYNMTKNYVFGGQIESITAGSEFNCGLFSQNRTVFCWGDETNSLVISLIPQEKRFQKISAGGYHVCGILEGVNSRTFCWGRSLNLGEEISVEHAGQGNVDLAPNDPMISVVGGKFHACGIKSYDRGVICWGFIIKRSTPSPRGIKVFEVAAGDYFTCAVLADKSLMPSCWGVDFPTSLPLAVSPGMCQPAPCPPGSYAIDQHKGLCKSPDSSVCMRCSAACPPEMFQKSACNLASDRVCEYNCASCSSSECFMNCSSSYSNASTEKKTEKFWALQLPVVIAEIAFAVFIVSVVSITAILYVRYRLRDCECSKGSKGKKLNGSSSLQNENKVRPDIEELKIRRAQMFSYEELESATCGFKEESIVGKGSFSCVFKGVLKDNTVVAVKRAIVSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGTNQVLREQLNWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSGSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDVTAVLDPVLKPPPEVEALKRIANVASKCVRMRGKERPSMDKVTTALERGLAQLMGSPCIEQPILPTEVVLGSNRLHKKSSQRSSNRSVSETDVVEHEDQRFEFRAPSWITFPSVTSSQRRKSSVSEVDVDGKNNAEGKNLSSVGGGGDVLRSLDEEIGPASPGERLFLQHNF >Vigun10g047000.2.v1.2 pep primary_assembly:ASM411807v1:10:6980056:6991241:1 gene:Vigun10g047000.v1.2 transcript:Vigun10g047000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWVWMIVATLLACYIFVKKIVRKSNEWYYDMKLGNKHGLLPPGHMGWPLIGNIIPFIKYFNSGHPDSFINNLVSKYGESGIYKTHLLGKPSIIICTPEMCKRVLNDDDNFKQGYPKSTSELLKSKALTNVCSTEHKGLRELVSSGILGQKVLAMNLEIVEKIVINSLEELSRMEQPVQVFKEMEKISFEVIAHILMGSHIHSNIEKLTELFHQFSKCNPIYSLPINFPGFPFHQGLKVRKKLLKAIESIVGERRSMMKMVKKGENKKDLIELVLEAKGENDEELTDEDVADMLLMLLFAGHETTAMALTSSILHLTRHPQVFAKAKKEQEEIVKARPSSQKQLSRTEFKKMLYLSQVIDEMLRRTNIAFSLFREATKDVNINGWRKQVQKVLKLSSHSLSHKT >Vigun10g047000.1.v1.2 pep primary_assembly:ASM411807v1:10:6980056:6991241:1 gene:Vigun10g047000.v1.2 transcript:Vigun10g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWVWMIVATLLACYIFVKKIVRKSNEWYYDMKLGNKHGLLPPGHMGWPLIGNIIPFIKYFNSGHPDSFINNLVSKYGESGIYKTHLLGKPSIIICTPEMCKRVLNDDDNFKQGYPKSTSELLKSKALTNVCSTEHKGLRELVSSGILGQKVLAMNLEIVEKIVINSLEELSRMEQPVQVFKEMEKISFEVIAHILMGSHIHSNIEKLTELFHQFSKCNPIYSLPINFPGFPFHQGLKVRKKLLKAIESIVGERRSMMKMVKKGENKKDLIELVLEAKGENDEELTDEDVADMLLMLLFAGHETTAMALTSSILHLTRHPQVFAKAKKEQEEIVKARPSSQKQLSRTEFKKMLYLSQVIDEMLRRTNIAFSLFREATKDVNINGYIIPKGWRVLVWLRAIHMNPKYYPNPEEFNPSRWDGNNSRAATFLPFGAGTRLCPGNHIFKYEISIFLHYFLLNYKLEETSPEGPEAVFPFSQPQDLAKLIKLSK >Vigun06g080800.2.v1.2 pep primary_assembly:ASM411807v1:6:21266023:21269282:-1 gene:Vigun06g080800.v1.2 transcript:Vigun06g080800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQSPGSKLALTHKHDWLILVLLAIIDGLLNLVEPFHRYVGEHMMTNLMFPFKKDTIPMWGVPIVSIFVPIIIFIGFYCSRRDIYDLHHAILGLMFSSLITGVITDCIKDAVGRPRPNFFYRCFPDKIPVYDKDTGDVLCTGIKAVIKEGYKSFPSGHTSWSFAGLGFLSWYLSGKIRVFDRRGHIGKLCLVLLPLLIAALIGVTRVDDYWHHWTDVFVGGIIGLVVSSTCYLLLFPLPTLPHGWAPHAFFDMMGEDPSTAGQTPHQSKFDEVPLQLMESGRYM >Vigun07g265300.1.v1.2 pep primary_assembly:ASM411807v1:7:38098729:38102197:1 gene:Vigun07g265300.v1.2 transcript:Vigun07g265300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPPTPSTAPPPPPPHLSYPDSVESSPRSRNTDSWDEPFAPASSKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIIVAERATSLADLSTRLSKTFLNGRTFTLKYQLPNEDLDSLISVTTDEDLENMIDEYDRTAAAATSVVKPSRIRLFLFPTKPDSTHSIPSQILDTSTKSDDWFLNALNGNGVPNRGFSDSASVNCLVDLDDEVAGNNLEPGSKDVGDGGAGASVGGAASLGGSFGNGKNLKQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVVEDQKVMGIEEQFAQMGVGVGQKQQDEGFVLLSSPPPPPVPATLAAVGVPIGPPTIVAGDYHNRVVSDDERSDHGVLVGYRKPPTPQPQVQTQAQSQTQTLAPQFQQKSTGGSGGGVVDLPSPDSVSSDSSLANAISRQKPAVYQEQVQIQSGTTRVPSNPVDPKLNVSDPHGRIQMQQHVQDPGYLLQQQFEQHQQTQQLPQQQFEQHQQALPQQQQQFIHGTHFIHHNPPISAYYPVYPSQQHPQQPQLYYVQARQPQTYNLPVQQANMGDSAGNLASSRPQTPPNPATLVPQSAAYNPMRNAPMPKTEMNAYRAATGGTPQLVQVPTSQHQQQYVTYSQIHHPSQSMAPNSAPQANYGFDYADPAHAQIYYSQPLAPTMPSQYQTMTAAAVMMQEGSAQHPSDSLKQQIRTSQPL >Vigun02g140400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28927459:28929085:1 gene:Vigun02g140400.v1.2 transcript:Vigun02g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELFGAATASLIAAETKISINNNGGGLLLTPPAPSSPSPSPSCTTTITSSANTATSSNSDNQNLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKTSGMSSSVAKQAATKMKAVASELGRPQGVLFDQELPQTPILWGSPQNTQLMALLRATQNQNPNPNPSSVSIDVKREGNLSGSHSHMVTESLLPNGLLNSTRTGLGYDGVGEFPSLGLCSSFWRNNQNQNQQQSNGFVLGEQQNSGVQELYHKFRSSTSVNYCGSDNSPVFLGNMASSSSLSNILESSSVSGSEFGCWNPTLSWCDLPTTSGAYP >Vigun09g269600.2.v1.2 pep primary_assembly:ASM411807v1:9:43220584:43232086:1 gene:Vigun09g269600.v1.2 transcript:Vigun09g269600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDDEASLQSHSDAQCHTDMQDIPYAAAEVPEPDTVGELSAAAAVHEVAAVEPDATMEAAVESDEGVGAQVMDEVIEEKGNEVTDVDDVALEMENVEEEANLAIDAEEDEIGDDDANEDALMEDEEDEQQQGEEEEEEDGEEGEEEEKQQHGVDEEEDEQQQGEEEEEEEEEEEEQQQGEEDADAGMAKTEDTEEKEEKSVSSGKRKRGAGKNAKTTGRVASRKKTEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFRAKGKWNCGWHLCSNCEKNANYMCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMRTVMLIEQNVQGSNVGQVDFDDKNSWEYLFKDYYIDLKEKLSLTFDEITQAKNPWKGSDMLHSKEESPDELFDATNDRGSDSDSSYENDSNRPKRRKAKKRGRPRSKEGNSNGAVTVSGADGPSGDDSFEWASKELLEFVMHMRNGDKSVLSQFDVQALLLEYIKRNKLRDPRRKSQIICDARLQNLFGKPRVGHFEMLKLLESHFLLKEDSQAEDLQGSVVDTEISHLEGDGNPNSYMKAGKDKKRKNRKKGDDRGLQTNVDDYAAIDNHNINLIYLRRNLVEDLLEDTEKFHDKVVGSFVRIRISGSGQKQDLYRLVQVVGTCKAAEPYKVGKRMTDTLLEILNLNKTEIVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQDKALVLQAVRVKDWLETEIVRLSHLRDRASEKGRRKELRECVEKLQLLKTPEERQRRLEEIPEIHVDPNMDPSYESEEDEDEMDDKRRENFMRPRGSTSFGRRGRDIASPRSVSISNDSWSGTRNYSNANQELSRNLSNKGFSIKGENASNVNEVLNDTHLHQGRDRESQLSNSWERQKLSSSLESGAKSTQSLVTSDSFSTAVLEASAAPSSAGITPSALKINETEKMWHYQDPSGKIQGPFSMVQLRKWSNTGYFPADLRVWRTTEKQDDSILVTDALAGNFAKEPSMVEKAQKVHDLHYPASYSRKSAQGMEGQAGERPTFDQNSGSLNSHGTLGSPGQTTVGSWRSKDNMNSIASRTSPLAVEVPKNPANGWSSDAGSRNETTNLPSPTPQTTPGVTKGQAFENKWSPTPVQLPGSLVGNSFPGNHGGLQASLVVQNPEKGSSQPGISSASSDNSRLHPQPAPVAPVLPSGLDLKMAGLPTPGFLGMNTPEPWRPPASSSQPNITAPSPAPPNLPWGMAMPGNQNMNWAAANMNANWMPAQGPAPGNSNPGWAAPSQGLPPVNAAGWVGPGQGRSHVNVNAGWAGPGQGLPPGNANPVWVPPAGNPGVWVNEQSHNGERFPNQGDRGTQSRDSGYGGKSWNRQSSFGRGAPSRPPFGGQRGVCKYHESGHCRKGDSCDFLHN >Vigun09g269600.1.v1.2 pep primary_assembly:ASM411807v1:9:43220584:43232086:1 gene:Vigun09g269600.v1.2 transcript:Vigun09g269600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDDEASLQSHSDAQCHTDMQDIPYAAAEVPEPDTVGELSAAAAVHEVAAVEPDATMEAAVESDEGVGAQVMDEVIEEKGNEVTDVDDVALEMENVEEEANLAIDAEEDEIGDDDANEDALMEDEEDEQQQGEEEEEEDGEEGEEEEKQQHGVDEEEDEQQQGEEEEEEEEEEEEQQQGEEDADAGMAKTEDTEEKEEKSVSSGKRKRGAGKNAKTTGRVASRKKTEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFRAKGKWNCGWHLCSNCEKNANYMCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMRTVMLIEQNVQGSNVGQVDFDDKNSWEYLFKDYYIDLKEKLSLTFDEITQAKNPWKGSDMLHSKEESPDELFDATNDRGSDSDSSYENDSNRPKRRKAKKRGRPRSKEGNSNGAVTVSGADGPSGDDSFEWASKELLEFVMHMRNGDKSVLSQFDVQALLLEYIKRNKLRDPRRKSQIICDARLQNLFGKPRVGHFEMLKLLESHFLLKEDSQAEDLQGSVVDTEISHLEGDGNPNSYMKAGKDKKRKNRKKGDDRGLQTNVDDYAAIDNHNINLIYLRRNLVEDLLEDTEKFHDKVVGSFVRIRISGSGQKQDLYRLVQVVGTCKAAEPYKVGKRMTDTLLEILNLNKTEIVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQDKALVLQAVRVKDWLETEIVRLSHLRDRASEKGRRKELRECVEKLQLLKTPEERQRRLEEIPEIHVDPNMDPSYESEEDEDEMDDKRRENFMRPRGSTSFGRRGRDIASPRSVSISNDSWSGTRNYSNANQELSRNLSNKGFSIKGENASNVNEVLNDTHLHQGRDRESQLSNSWERQKLSSSLESGAKSTQSLVTSDSFSTAVLEASAAPSSAGITPSALKINETEKMWHYQDPSGKIQGPFSMVQLRKWSNTGYFPADLRVWRTTEKQDDSILVTDALAGNFAKEPSMVEKAQKVHDLHYPASYSRKSAQGMEGQAGERPTFDQNSGSLNSHGTLGSPGQTTVGSWRSKDNMNSIASRTSPLAVEVPKNPANGWSSDAGSRNETTNLPSPTPQTTPGVTKGQAFENKWSPTPVQLPGSLVGNSFPGNHGGLQASLVVQNPEKGSSQPGISSASSDNSRLHPQPAPVAPVLPSGLDLKMAGTNMQNQVVRSHNSHAEAQGWGSAGAPRPELQAWGGVSSQPNPATMPAQPASHGPWVDASSVQNTASFNTGNPSAGLPTPGFLGMNTPEPWRPPASSSQPNITAPSPAPPNLPWGMAMPGNQNMNWAAANMNANWMPAQGPAPGNSNPGWAAPSQGLPPVNAAGWVGPGQGRSHVNVNAGWAGPGQGLPPGNANPVWVPPAGNPGVWVNEQSHNGERFPNQGDRGTQSRDSGYGGKSWNRQSSFGRGAPSRPPFGGQRGVCKYHESGHCRKGDSCDFLHN >Vigun03g156800.1.v1.2 pep primary_assembly:ASM411807v1:3:16861371:16867331:1 gene:Vigun03g156800.v1.2 transcript:Vigun03g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTENDLSLAEEALLQDEERKQYTGDGSVDFKGRRVLKQNTGNWKACPFILGNECCERLAYYGIATNLVTYLTQKLHQGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAVFSMIYVLGMGTLTLSASVPALKPAECLGTSCPPATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDANERIKKGSFFNWFYFSINIGAFVSSTFIVWIQENAGWGLGFGIPALFMALAIGSFFLGTPLYRFQKPGGSPITRMCQVVVASVRKRNLVLPEDSSVLYETPDNSSAIEGSRKLEHSDELKCLDRAAIVSDAEGKTGDYSNKWRICTVTQVEELKILIRMFPIWATGIVFAAVYAQMSTLFVEQGTMMKTNIGSFRIPPASLSSFDVISVIFWVPVYDRVIVPIARKFTGKERGFSELQRMGIGLFVSVLCMSAAAIVEIVRLQLAQELDLVDEPVAVPLNIFWQVPQYFLLGAAEVFTFVGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTVVTYFTTRGANPGWIPDNLNKGHLDYFFWLLAALSLLNMLVYVVAAKRYKQKRSA >Vigun06g236200.1.v1.2 pep primary_assembly:ASM411807v1:6:34154637:34160483:1 gene:Vigun06g236200.v1.2 transcript:Vigun06g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGKVKMAASLWLEGIGEACCLHRVVLLCMRSNNLLMRTGQCFLLNGFIFLGSIFVLKSVVIPALWWILPGQCSQFVSHELCDLGGTLKFYSFLRLALIQLCYVLWFYPLYVFSIVLSTIWYNDIAKYGYAAMGRSKLTVEKGSSQNNSPSVQNAHHVKGPSGLGGVMIGIGQQVYSILLLSVFFLEVYATGLIPYIGKLINFLLLSWMYAYYCFEYKWNFNEVALDRRLDYFESYWPFFAGFGSPCVLAIFFFSPLVSYGIMAILFPLFVLTATGSESEQEISFEKHKWRAAGVERLPIFYVADKVSLWMLSLLPLEKGDQVQDRKEQ >Vigun06g236200.2.v1.2 pep primary_assembly:ASM411807v1:6:34154636:34160483:1 gene:Vigun06g236200.v1.2 transcript:Vigun06g236200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLKWFHFLRKVLWFYPLYVFSIVLSTIWYNDIAKYGYAAMGRSKLTVEKGSSQNNSPSVQNAHHVKGPSGLGGVMIGIGQQVYSILLLSVFFLEVYATGLIPYIGKLINFLLLSWMYAYYCFEYKWNFNEVALDRRLDYFESYWPFFAGFGSPCVLAIFFFSPLVSYGIMAILFPLFVLTATGSESEQEISFEKHKWRAAGVERLPIFYVADKVSLWMLSLLPLEKGDQVQDRKEQ >Vigun02g072800.1.v1.2 pep primary_assembly:ASM411807v1:2:22439332:22442358:1 gene:Vigun02g072800.v1.2 transcript:Vigun02g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCSNCVPDSFLLCGEDSSGVLSGESPECSSDLDSSPRSEEESIAGFIEDERHFVPGFEYLNRFQSRSLDASAREESVAWILKVQAYYAFQPLTAYLSVNYMDRFLNSRPLPQTNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYLFEPRTIRRMELLVLGVLDWRLRSVTPFSFLDFFACKLDSTGTLTGFLISRATQIILSNIQEASFLAYWPSCIAAAAILHAANEIPNWSLVRPEDAESWCEGLIKEKIIGCYQLMQELVIDNNRRKPPRVLPQLRVTSQPLIRSSVSSFSASSSSPSSSLSCKRRKLNNCLWVDDDKGNSQ >Vigun07g215000.1.v1.2 pep primary_assembly:ASM411807v1:7:33616726:33619764:-1 gene:Vigun07g215000.v1.2 transcript:Vigun07g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPSKSPKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWAQHLSEELKRRFYKNWCKSKKKAFTKYSKQYETDEGKKNIETQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHIMEIQVNGGSIAQKVDFAYSFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKLGKAGDESHTALTEFDRTEKDITPMGGFPHYGIVKDDFIMVKGCCVGPKKRVLTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFFGRLKA >Vigun01g070550.1.v1.2 pep primary_assembly:ASM411807v1:1:18957292:18957860:1 gene:Vigun01g070550.v1.2 transcript:Vigun01g070550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQSFSSYTCNGWGMKNSSVSSHGGVMRRFDLTPVCYYGEKAITRTVRTAKNRGRKFWGCPKFKGGSEEVVGCNFFSWCSENVMEERCGPSTKNDDDSDDTAMKMVERDGESRLINIEKFIMSLEKWVKVLFGMVCLLYVLNIFLFIVY >Vigun08g016100.2.v1.2 pep primary_assembly:ASM411807v1:8:1353197:1356849:-1 gene:Vigun08g016100.v1.2 transcript:Vigun08g016100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRWSNSPQQDQESSELHAESKIKELKGEIGPLSGHSLIYCTDACLKRYLEARNWNVDKSKKMLGETLKWRSTYKPEEIRWQEVAMEGETGKMYRASFRDRQGRSVLILRPGMQNTNSMENQMRHFVYMLENALLDLPPGEEQMAWLIDFTGWSITNNVPLKSARETINILQNHYPERLAIAFLYNPPRVFEAFWKIVKYFLDSKTFQKVKFVYPKNKDSVELMKSYFDEENLPKELGGKSTMSYNHEEFSRLMVQDDLKCAAFWGSDEKLSNHVANGHSAAVFNETSPDKC >Vigun08g016100.1.v1.2 pep primary_assembly:ASM411807v1:8:1353197:1356849:-1 gene:Vigun08g016100.v1.2 transcript:Vigun08g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEIGIGCEKQEFFSEESSVAMFHRWSNSPQQDQESSELHAESKIKELKGEIGPLSGHSLIYCTDACLKRYLEARNWNVDKSKKMLGETLKWRSTYKPEEIRWQEVAMEGETGKMYRASFRDRQGRSVLILRPGMQNTNSMENQMRHFVYMLENALLDLPPGEEQMAWLIDFTGWSITNNVPLKSARETINILQNHYPERLAIAFLYNPPRVFEAFWKIVKYFLDSKTFQKVKFVYPKNKDSVELMKSYFDEENLPKELGGKSTMSYNHEEFSRLMVQDDLKCAAFWGSDEKLSNHVANGHSAAVFNETSPDKC >Vigun08g016100.3.v1.2 pep primary_assembly:ASM411807v1:8:1353197:1356849:-1 gene:Vigun08g016100.v1.2 transcript:Vigun08g016100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEIGIGCEKQEFFSEESSVAMFHRWSNSPQQDQESSELHAESKIKELKGEIGPLSGHSLIYCTDACLKRYLEARNWNVDKSKKMLGETLKWRSTYKPEEIRWNTNSMENQMRHFVYMLENALLDLPPGEEQMAWLIDFTGWSITNNVPLKSARETINILQNHYPERLAIAFLYNPPRVFEAFWKIVKYFLDSKTFQKVKFVYPKNKDSVELMKSYFDEENLPKELGGKSTMSYNHEEFSRLMVQDDLKCAAFWGSDEKLSNHVANGHSAAVFNETSPDKC >Vigun03g069800.1.v1.2 pep primary_assembly:ASM411807v1:3:5782964:5784460:1 gene:Vigun03g069800.v1.2 transcript:Vigun03g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYFENPHPSNSHDHHLHNNMPPSSPEIALSDFLLLDDFLHHHHHHGDSPPSSQSTESSEKPTFSDTTHGFTTGATSKNNNNNIKCKNANSGSKARLGPRIAFRTQSELEIMDDGYKWRKYGKKSVKSSPNLRNYYKCSSGGCSVKKRVERDREDSSYVITTYEGVHNHQSPFTTYYSPISFLHSDDSTSSK >Vigun03g118700.1.v1.2 pep primary_assembly:ASM411807v1:3:11067311:11069188:-1 gene:Vigun03g118700.v1.2 transcript:Vigun03g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKKIGKKACVIGGSGFMGSCLVKQLLQKGYAVNTTVRDPDNTKKISHLLALQSLGELNIFGADLTSEKDFDGPIAGCELVFQLATPVNFASEDPENDMIKPAISGVLNVLKACARAKGVKRVILTSSAAAVTINPVKETGLVMDESNWTDVEFLSTAKPPTWGYPVSKALAEKAAWKFAEENHIDLITVIPTLTAGPSLTPDIPSSVGLATSLITGNDFLINALKGMQFLSGSISITHVEDICRAHVFVAEKESASGRYIVSAHNTSVPELAKFLSKRYTQYKVPTEFDDCPSKAKLIVSSEKLVKEGFSFKYGIEEIYDQTLEYLKSKGALKN >Vigun10g022200.2.v1.2 pep primary_assembly:ASM411807v1:10:2637117:2640977:-1 gene:Vigun10g022200.v1.2 transcript:Vigun10g022200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMDIVEGTITLKIPEGSSDVLLKNLYLSCDPYMRFLMAKNTYVGFGNYTLNSPLAGNGVSQVVESGHPDYKEGDLVWGFTNWEEYSLLSSAQIRFKIEHTDVPLSYYTGILGSPKKGEKVFVSAASGAVGQLVGQFAKLNGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMISQYNLTQSEGVTNLAHLIFKRIKMEGFIVTDFYHLYPKFLEFVVPLIREGKVVYVEDIVEGLENGPAAMVGLFTGRNVGKQVISVVCE >Vigun10g022200.1.v1.2 pep primary_assembly:ASM411807v1:10:2637117:2640977:-1 gene:Vigun10g022200.v1.2 transcript:Vigun10g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKQVVLKNYVVGFPKESDMDIVEGTITLKIPEGSSDVLLKNLYLSCDPYMRFLMAKNTYVGFGNYTLNSPLAGNGVSQVVESGHPDYKEGDLVWGFTNWEEYSLLSSAQIRFKIEHTDVPLSYYTGILGMPGMTAYAGFFELGSPKKGEKVFVSAASGAVGQLVGQFAKLNGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMISQYNLTQSEGVTNLAHLIFKRIKMEGFIVTDFYHLYPKFLEFVVPLIREGKVVYVEDIVEGLENGPAAMVGLFTGRNVGKQVISVVCE >Vigun07g039300.1.v1.2 pep primary_assembly:ASM411807v1:7:3818705:3823441:1 gene:Vigun07g039300.v1.2 transcript:Vigun07g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKPVRFGFSKTEVYRTEMPAAGMRRTTRVFGMKGADSARVLRSGRRLWPDSGEVKTKRSNNDGDDWAVAPSKAAKLDTVVTPRGTVKGRREEAVVDAPDKTVDRRFGIVYVRRRKGLKKEGSRGNMKVSLCVLSVVVSRTAGKSALFFRLLASVVRYAKRVRISLRKLSGFFMSGTVNAVFALQGMQFVKGPPAVNSGICQFYGVTKFVPLFSVDFSAVPSCFEYLHSAMFFKSMLRSLFLVCNPINVHSDVEDIESDDDLLEYQNEKQISNDTFKREPSETGTITSDVVENNDVLSLHYSVKSTTRAAGRNGQYRNMLNSRGIQKRRSSLRKRKARNPSMGSLRRNGAVASELTGGRRSNSQFFVVTSSKKLRSLANGSTTGSVKQASSAIVDSKERLGLSSCSANVLVSEIHQCYRVEGAIVTLEMSPSREWLLTVKKDGLTRRTFKAEKVMRPCSSNRFTHAIMYSLDNGWKLEFTNRQDWNVFKDLYKKCSDRNIPSTAAKFIPVPGVREVSSYAESNSFPFRRPDSYISVFGDELTRAMARTTANYDMDCEDEEWLKNFNTQCQNPLSDDNFELIVDTLEKVYYCNPDDPFDEKSATSCCLELGSKEVVEAVYNYWMRKRKQKRSLLIRVFQGHQSKRAPLIPKPLLRKRRSFKRQPSQLGRSNQPSVLKAFAAEQDAMEENAMLRIEEAKANANMSMELAIHKRRRAQSLAQNADLATYKATMLIRIAEAALGAESVDDAAAYFLD >Vigun07g039300.2.v1.2 pep primary_assembly:ASM411807v1:7:3818683:3823456:1 gene:Vigun07g039300.v1.2 transcript:Vigun07g039300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGMRRTTRVFGMKGADSARVLRSGRRLWPDSGEVKTKRSNNDGDDWAVAPSKAAKLDTVVTPRGTVKGRREEAVVDAPDKTVDRRFGIVYVRRRKGLKKEGSRGNMKVSLCVLSVVVSRTAGKSALFFRLLASVVRYAKRVRISLRKLSGFFMSGTVNAVFALQGMQFVKGPPAVNSGICQFYGVTKFVPLFSVDFSAVPSCFEYLHSAMFFKSMLRSLFLVCNPINVHSDVEDIESDDDLLEYQNEKQISNDTFKREPSETGTITSDVVENNDVLSLHYSVKSTTRAAGRNGQYRNMLNSRGIQKRRSSLRKRKARNPSMGSLRRNGAVASELTGGRRSNSQFFVVTSSKKLRSLANGSTTGSVKQASSAIVDSKERLGLSSCSANVLVSEIHQCYRVEGAIVTLEMSPSREWLLTVKKDGLTRRTFKAEKVMRPCSSNRFTHAIMYSLDNGWKLEFTNRQDWNVFKDLYKKCSDRNIPSTAAKFIPVPGVREVSSYAESNSFPFRRPDSYISVFGDELTRAMARTTANYDMDCEDEEWLKNFNTQCQNPLSDDNFELIVDTLEKVYYCNPDDPFDEKSATSCCLELGSKEVVEAVYNYWMRKRKQKRSLLIRVFQGHQSKRAPLIPKPLLRKRRSFKRQPSQLGRSNQPSVLKAFAAEQDAMEENAMLRIEEAKANANMSMELAIHKRRRAQSLAQNADLATYKATMLIRIAEAALGAESVDDAAAYFLD >Vigun03g007400.1.v1.2 pep primary_assembly:ASM411807v1:3:499379:501676:1 gene:Vigun03g007400.v1.2 transcript:Vigun03g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQLDFVLVPLGLLVFLMYHIWLVYSIVHNPLRTVIGLNAESRHQWVLAIMSEPMKNGVLAVQTIRNNIMASTLLSTTAITLSSLIGIFASSAWNSDEPFIPYGNTSLKHISVTICFLIAFLCNVQSIRYYCHVSFLITAPTLRDKREYMEYIAVTLNRGSHAWSIGLRAFYLSFAFFLWIYGPIAMFACCCLTSLVLFFLDTTAKITRNLHSNSFRKERGTHDVESVVEPHYHPLPADNLYQNSDVNHV >Vigun08g022700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1962705:1963058:-1 gene:Vigun08g022700.v1.2 transcript:Vigun08g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSGSSKVHHWNVFDGVKIIAATPEALMAEIDSAISAMEHSRATAMLDADEGYDAHVADEAYKAGCAALAAGNLDEALRSLNLSLAKCPPDKASALAKLNSLIDLASQHLQASSK >Vigun03g083000.4.v1.2 pep primary_assembly:ASM411807v1:3:6888366:6892249:-1 gene:Vigun03g083000.v1.2 transcript:Vigun03g083000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGAAAAAGGGGERHSEASSETLNSSMLGSSMSSESICSTSFSRLSFDLLPPSPESLSLKPHRSSDFAYSAIRSAAFCRKAALTFRDFHLLRRIGSGDIGTVYLCRLRNRQFNSPVEDEEDEACCLYAMKVVDKDAVALKKKSQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRFKHPHNRLPLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESSDPLHNPSPNALPHHTHTYTRTPPPTRSHSFISPFSCFSKRGFRSRDMRLVEPNRLFVAEPVSARSCSFVGTHEYVSPEVASGKSHGNAVDWWSFGVFIYELIYGRTPYAGPSKEATLRNIVKKPLAFPTATPASCLELHARDLISGLLNKDPARRLGSKRGAADVKKHPFFKGLNLALIRMQTPPLVPGSRRTKTTSMYPTKGKSNRNHDKQQETASFDFFF >Vigun03g083000.3.v1.2 pep primary_assembly:ASM411807v1:3:6888133:6892249:-1 gene:Vigun03g083000.v1.2 transcript:Vigun03g083000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGAAAAAGGGGERHSEASSETLNSSMLGSSMSSESICSTSFSRLSFDLLPPSPESLSLKPHRSSDFAYSAIRSAAFCRKAALTFRDFHLLRRIGSGDIGTVYLCRLRNRQFNSPVEDEEDEACCLYAMKVVDKDAVALKKKSQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRFKHPHNRLPLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESSDPLHNPSPNALPHHTHTYTRTPPPTRSHSFISPFSCFSKRGFRSRDMRLVEPNRLFVAEPVSARSCSFVGTHEYVSPEVASGKSHGNAVDWWSFGVFIYELIYGRTPYAGPSKEATLRNIVKKPLAFPTATPASCLELHARDLISGLLNKDPARRLGSKRGAADVKKHPFFKGLNLALIRMQTPPLVPGSRRTKTTSMYPTKGKSNRNHDKQQETASFDFFF >Vigun03g083000.1.v1.2 pep primary_assembly:ASM411807v1:3:6889336:6892600:-1 gene:Vigun03g083000.v1.2 transcript:Vigun03g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGAAAAAGGGGERHSEASSETLNSSMLGSSMSSESICSTSFSRLSFDLLPPSPESLSLKPHRSSDFAYSAIRSAAFCRKAALTFRDFHLLRRIGSGDIGTVYLCRLRNRQFNSPVEDEEDEACCLYAMKVVDKDAVALKKKSQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRFKHPHNRLPLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESSDPLHNPSPNALPHHTHTYTRTPPPTRSHSFISPFSCFSKRGFRSRDMRLVEPNRLFVAEPVSARSCSFVGTHEYVSPEVASGKSHGNAVDWWSFGVFIYELIYGRTPYAGPSKEATLRNIVKKPLAFPTATPASCLELHARDLISGLLNKDPARRLGSKRGAADVKKHPFFKGLNLALIRMQTPPLVPGSRRTKTTSMYPTKGKSNRNHDKQQETASFDFFF >Vigun03g083000.2.v1.2 pep primary_assembly:ASM411807v1:3:6889364:6892600:-1 gene:Vigun03g083000.v1.2 transcript:Vigun03g083000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGAAAAAGGGGERHSEASSETLNSSMLGSSMSSESICSTSFSRLSFDLLPPSPESLSLKPHRSSDFAYSAIRSAAFCRKAALTFRDFHLLRRIGSGDIGTVYLCRLRNRQFNSPVEDEEDEACCLYAMKVVDKDAVALKKKSQRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSLRFKHPHNRLPLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESSDPLHNPSPNALPHHTHTYTRTPPPTRSHSFISPFSCFSKRGFRSRDMRLVEPNRLFVAEPVSARSCSFVGTHEYVSPEVASGKSHGNAVDWWSFGVFIYELIYGRTPYAGPSKEATLRNIVKKPLAFPTATPASCLELHARDLISGLLNKDPARRLGSKRGAADVKKHPFFKGLNLALIRMQTPPLVPGSRRTKTTSMYPTKGKSNRNHDKQQETASFDFFF >Vigun03g116800.4.v1.2 pep primary_assembly:ASM411807v1:3:10818736:10823694:1 gene:Vigun03g116800.v1.2 transcript:Vigun03g116800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRKVMEGVLKNSKNPKLAWQLVKRVLSSPSSASSVTDHTQHLVTVTTRILAGANMHLQLHHLHKLLLASQPHHIAHPSIVSMVRVLAQWGHIDEALTHFKSLRAQFPSSPPSLSFYNLLLRSAIQHNRPNLVTWLYTDMIAARVTPQTYTFNLLIQSLCDSRAFDHALQLFDKMSQKGCHPNEFTLGILVRGLCRAGRVKQALELVNNSYSNSNGNDNNSSCRIANRVVYNTLVSAFCREELNDEAEKLVERMSEVGLLPDVVTFNSRISALCRAGKVLEASRIFRDMQMDVELGLPRPNVVTYNLMLKGFCKRGMIEDARGLVETMKKAGNFVSLESYNIWLLGLLRNGELLEARLVLDEMAAKGIEPNAYTYNIMVDGLCRNHMLSDARGLMDVMMSNGVYPDTVTYSTLLHGYCSKGKVFEAKRVLHEMIRNGCQPNTYTCNTLLHSLWTKGRTQEAEEMLQKMNEKCYQPDTVTCNIVVNGLSRNGELDKAMQIVNEMWTNGPTSLDKGNTFATLINSITNVSNCLPDGITYTTLINGLCKAGRLEEAKKTFIEMLAKNLHPDSVTYDTFIWSFCKQGKISSAFRVLKDMERNGCSKTLQTYNALILGLGSKKQIFEIYGLMDEMREKGISPNIFTYNNIISCLCEGGKANDAISLLHEMLDKGISPNISSFKILIKALCKSSDFKVACELFEVALSICGHKEALYSFLFNELLAGGQLSDAKELFEASLERYLTVKNFMYKDFIERLCQDERLADASSLLHKLIDKGYGFDHASFMPVIDGLSKRGNKQKADELAKRMMELALEDRPVDTTYQNRNRVIPGKLHNDEGSDWKDIVNRDGVSGIALKTLKRVQKGWGQGSISSLQSQPNDFLDYYDGSG >Vigun03g116800.1.v1.2 pep primary_assembly:ASM411807v1:3:10818727:10823694:1 gene:Vigun03g116800.v1.2 transcript:Vigun03g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRKVMEGVLKNSKNPKLAWQLVKRVLSSPSSASSVTDHTQHLVTVTTRILAGANMHLQLHHLHKLLLASQPHHIAHPSIVSMVRVLAQWGHIDEALTHFKSLRAQFPSSPPSLSFYNLLLRSAIQHNRPNLVTWLYTDMIAARVTPQTYTFNLLIQSLCDSRAFDHALQLFDKMSQKGCHPNEFTLGILVRGLCRAGRVKQALELVNNSYSNSNGNDNNSSCRIANRVVYNTLVSAFCREELNDEAEKLVERMSEVGLLPDVVTFNSRISALCRAGKVLEASRIFRDMQMDVELGLPRPNVVTYNLMLKGFCKRGMIEDARGLVETMKKAGNFVSLESYNIWLLGLLRNGELLEARLVLDEMAAKGIEPNAYTYNIMVDGLCRNHMLSDARGLMDVMMSNGVYPDTVTYSTLLHGYCSKGKVFEAKRVLHEMIRNGCQPNTYTCNTLLHSLWTKGRTQEAEEMLQKMNEKCYQPDTVTCNIVVNGLSRNGELDKAMQIVNEMWTNGPTSLDKGNTFATLINSITNVSNCLPDGITYTTLINGLCKAGRLEEAKKTFIEMLAKNLHPDSVTYDTFIWSFCKQGKISSAFRVLKDMERNGCSKTLQTYNALILGLGSKKQIFEIYGLMDEMREKGISPNIFTYNNIISCLCEGGKANDAISLLHEMLDKGISPNISSFKILIKALCKSSDFKVACELFEVALSICGHKEALYSFLFNELLAGGQLSDAKELFEASLERYLTVKNFMYKDFIERLCQDERLADASSLLHKLIDKGYGFDHASFMPVIDGLSKRGNKQKADELAKRMMELALEDRPVDTTYQNRNRVIPGKLHNDEGSDWKDIVNRDGVSGIALKTLKRVQKGWGQGSISSLQSQPNDFLDYYDGSG >Vigun03g116800.2.v1.2 pep primary_assembly:ASM411807v1:3:10818736:10823694:1 gene:Vigun03g116800.v1.2 transcript:Vigun03g116800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRKVMEGVLKNSKNPKLAWQLVKRVLSSPSSASSVTDHTQHLVTVTTRILAGANMHLQLHHLHKLLLASQPHHIAHPSIVSMVRVLAQWGHIDEALTHFKSLRAQFPSSPPSLSFYNLLLRSAIQHNRPNLVTWLYTDMIAARVTPQTYTFNLLIQSLCDSRAFDHALQLFDKMSQKGCHPNEFTLGILVRGLCRAGRVKQALELVNNSYSNSNGNDNNSSCRIANRVVYNTLVSAFCREELNDEAEKLVERMSEVGLLPDVVTFNSRISALCRAGKVLEASRIFRDMQMDVELGLPRPNVVTYNLMLKGFCKRGMIEDARGLVETMKKAGNFVSLESYNIWLLGLLRNGELLEARLVLDEMAAKGIEPNAYTYNIMVDGLCRNHMLSDARGLMDVMMSNGVYPDTVTYSTLLHGYCSKGKVFEAKRVLHEMIRNGCQPNTYTCNTLLHSLWTKGRTQEAEEMLQKMNEKCYQPDTVTCNIVVNGLSRNGELDKAMQIVNEMWTNGPTSLDKGNTFATLINSITNVSNCLPDGITYTTLINGLCKAGRLEEAKKTFIEMLAKNLHPDSVTYDTFIWSFCKQGKISSAFRVLKDMERNGCSKTLQTYNALILGLGSKKQIFEIYGLMDEMREKGISPNIFTYNNIISCLCEGGKANDAISLLHEMLDKGISPNISSFKILIKALCKSSDFKVACELFEVALSICGHKEALYSFLFNELLAGGQLSDAKELFEASLERYLTVKNFMYKDFIERLCQDERLADASSLLHKLIDKGYGFDHASFMPVIDGLSKRGNKQKADELAKRMMELALEDRPVDTTYQNRNRVIPGKLHNDEGSDWKDIVNRDGVSGIALKTLKRVQKGWGQGSISSLQSQPNDFLDYYDGSG >Vigun03g116800.3.v1.2 pep primary_assembly:ASM411807v1:3:10818736:10822813:1 gene:Vigun03g116800.v1.2 transcript:Vigun03g116800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRKVMEGVLKNSKNPKLAWQLVKRVLSSPSSASSVTDHTQHLVTVTTRILAGANMHLQLHHLHKLLLASQPHHIAHPSIVSMVRVLAQWGHIDEALTHFKSLRAQFPSSPPSLSFYNLLLRSAIQHNRPNLVTWLYTDMIAARVTPQTYTFNLLIQSLCDSRAFDHALQLFDKMSQKGCHPNEFTLGILVRGLCRAGRVKQALELVNNSYSNSNGNDNNSSCRIANRVVYNTLVSAFCREELNDEAEKLVERMSEVGLLPDVVTFNSRISALCRAGKVLEASRIFRDMQMDVELGLPRPNVVTYNLMLKGFCKRGMIEDARGLVETMKKAGNFVSLESYNIWLLGLLRNGELLEARLVLDEMAAKGIEPNAYTYNIMVDGLCRNHMLSDARGLMDVMMSNGVYPDTVTYSTLLHGYCSKGKVFEAKRVLHEMIRNGCQPNTYTCNTLLHSLWTKGRTQEAEEMLQKMNEKCYQPDTVTCNIVVNGLSRNGELDKAMQIVNEMWTNGPTSLDKGNTFATLINSITNVSNCLPDGITYTTLINGLCKAGRLEEAKKTFIEMLAKNLHPDSVTYDTFIWSFCKQGKISSAFRVLKDMERNGCSKTLQTYNALILGLGSKKQIFEIYGLMDEMREKGISPNIFTYNNIISCLCEGGKANDAISLLHEMLDKGISPNISSFKILIKALCKSSDFKVACELFEVALSICGHKEALYSFLFNELLAGGQLSDAKELFEASLERYLTVKNFMYKDFIERLCQDERLADASSLLHKLIDKGYGFDHASFMPVIDGLSKRGNKQKADELAKRMMELALEDRPVDTTYQNRNRVIPGKLHNDEGSDWKDIVNRDGVSGIALKTLKRVQKGWGQGSISSLQSQPNDFLDYYDGSG >Vigun11g162700.2.v1.2 pep primary_assembly:ASM411807v1:11:37037621:37041014:1 gene:Vigun11g162700.v1.2 transcript:Vigun11g162700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRLSQSSSDSPNRGTKVLSIECLRGSSKADEWTGDMLQTGDIVEELRIGDSPNALIRFKSPFKNGKTAVNKILQDSYKKKETSILVRVRRGPHDFAELQACIVPNDSSGKKLYVLRSITDPNYVVGFLDRTETECFQLQASRNTRMVNALTRTRLQDGYVSYPWERKMQEMLPVPTSSNFLSILLLPKVSDRIAFRYNDVEDTLARANTWLNAAQASGVPIVFMNVQTESLLTKGFIFISTVSDESQENVPATRSGLSNLYKAATDTSRLLVVSRISNQRVLPWMVSSTGAIKCYDTVSLSQKLSLHRHTKVPILLHVFLWDGALASSSVASTRFSNMSTSVLPLPLLRRPNENQISDAGGGVGGDESLSVQLERDTVGDLSFRFNNLV >Vigun11g162700.1.v1.2 pep primary_assembly:ASM411807v1:11:37037621:37041014:1 gene:Vigun11g162700.v1.2 transcript:Vigun11g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRLSQSSSDSPNRGTKVLSIECLRGSSKADEWTGDMLQTGDIVEELRIGDSPNALIRFKSPFKNGKTAVNKILQDSYKKKETSILVRVRRGPHDFAELQACIVPNDSSGKKLYVLRSITDPNYVVGFLDRTETECFQLQASRNTRMVNALTRTRLQDGYVSYPWERKMQEMLPVPTSSNFLSILLLPKVSDRIAFRYNDVEDTLARANTWLNAAQASGVPIVFMNVQTESLLTKISGETASSTVNAGSLSDLSNLANVSLYGFEDYHGVDIGVVRAVRLWYAPIGGEFTIEIKLKEDDTKLGFGISRTEEGFIFISTVSDESQENVPATRSGLSNLYKAATDTSRLLVVSRISNQRVLPWMVSSTGAIKCYDTVSLSQKLSLHRHTKVPILLHVFLWDGALASSSVASTRFSNMSTSVLPLPLLRRPNENQISDAGGGVGGDESLSVQLERDTVGDLSFRFNNLV >Vigun04g013800.4.v1.2 pep primary_assembly:ASM411807v1:4:997145:1003253:-1 gene:Vigun04g013800.v1.2 transcript:Vigun04g013800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGGDFAPKNALSSEVVPPPVTSTAALEVPAKKLARQLDFTGAPEHPQQQPVAVLPLPPQAPHSRIGKPESPKSRSRPNFEMKDATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNMAYIQQAANAAITGAIGSSGYSSPPVSKKRKGQELFFWPTAKDPSISKLGQQVNHVRGPAPSSSLSPVSGARVGTATLGPSKFMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTLTG >Vigun04g013800.2.v1.2 pep primary_assembly:ASM411807v1:4:995549:1003253:-1 gene:Vigun04g013800.v1.2 transcript:Vigun04g013800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGGDFAPKNALSSEVVPPPVTSTAALEVPAKKLARQLDFTGAPEHPQQQPVAVLPLPPQAPHSRIGKPESPKSRSRPNFEMKDATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNMAYIQQAANAAITGAIGSSGYSSPPVSKKRKGQELFFWPTAKDPSISKLGQQVNHVRGPAPSSSLSPVSGARVGTATLGPSKFMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTLTDQKNLMEKHAEDQTETSLASSSQDQLPPSQKEGHVEKTVADDCSSANQTDKISPDDSSSDGADVPKGRPMSPGTLALMCDEQDTMFMTAASPIGPMAHACNTSSQFPYGQGMTEVYAEQERIVLTKFRDFLNRVITMGEINETKCSSLARNELENQKDPIINGVGNANSVIVRQHGASSNGVTKPAVSPLATTSTRMIPGNISENGESKVKIEKDI >Vigun04g013800.1.v1.2 pep primary_assembly:ASM411807v1:4:995514:1003253:-1 gene:Vigun04g013800.v1.2 transcript:Vigun04g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGGDFAPKNALSSEVVPPPVTSTAALEVPAKKLARQLDFTGAPEHPQQQPVAVLPLPPQAPHSRIGKPESPKSRSRPNFEMKDATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNMAYIQQAANAAITGAIGSSGYSSPPVSKKRKGQELFFWPTAKDPSISKLGQQVNHVRGPAPSSSLSPVSGARVGTATLGPSKFMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTLTDQKNLMEKHAEDQTETSLASSSQDQLPPSQKEGHVEKTVADDCSSANQTDKISPDDSSSDGADVPKGRPMSPGTLALMCDEQDTMFMTAASPIGPMAHACNTSSQFPYGQGMTEVYAEQERIVLTKFRDFLNRVITMGEINETKCSSLARNELENQKDPIINGVGNANSVIVRQHGASSNGVTKPAVSPLATTSTRMIPGNISENGESKVKIEKDI >Vigun04g013800.3.v1.2 pep primary_assembly:ASM411807v1:4:995549:1003253:-1 gene:Vigun04g013800.v1.2 transcript:Vigun04g013800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDATPKKQKQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNMAYIQQAANAAITGAIGSSGYSSPPVSKKRKGQELFFWPTAKDPSISKLGQQVNHVRGPAPSSSLSPVSGARVGTATLGPSKFMYRSLLADIIQPQHLKELCSVLVLVSGQAAKTLTDQKNLMEKHAEDQTETSLASSSQDQLPPSQKEGHVEKTVADDCSSANQTDKISPDDSSSDGADVPKGRPMSPGTLALMCDEQDTMFMTAASPIGPMAHACNTSSQFPYGQGMTEVYAEQERIVLTKFRDFLNRVITMGEINETKCSSLARNELENQKDPIINGVGNANSVIVRQHGASSNGVTKPAVSPLATTSTRMIPGNISENGESKVKIEKDI >Vigun09g091700.1.v1.2 pep primary_assembly:ASM411807v1:9:12791213:12793249:-1 gene:Vigun09g091700.v1.2 transcript:Vigun09g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVPCEPDKSVLELPGLPGGAKAFLHVVKFCYGVKMELNASNVVGLRCAAEYLQMSENYGEGNLIMQTEKFLNHVFGYWTDTLIALKTCEEVLPMAEELHIASRSINSLVQKVADQSLVNLPVSSGPSVAQSPEDAEVWNGISLTPKASGEDWWFDDVSSLSLPLYKRFIRGASARNIKPKRIAGSLVYYAKKHIPLLGSQTNSQSGNSSSLKSSLSTPSEADQRNLIQEIVELLPNEKDIAPTKFLLGCLRTAMALYASSSCCSSLEKRIGSQLDEAYLEDLLIPNIGFSMETIHDIDCVQRMLDHFMIVEHDLIDTTSNDIEEEERRIVGSSQPLSPMAKVANLIDSYLAEVAPDVNVKLPKFQSLAAVIPDHVRTLDDGLYRAIDIYLKNHHWLTDSEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDNVENSQNMNANLSLMRNDGSIPHNPVVAFDNMKERVAELEKEYLSMKHDLEKMMKSKGGWNMFLRKLGWKLVPKPSNANVSKPCRKSKISPATTAQMEEKAMQVK >Vigun05g182400.1.v1.2 pep primary_assembly:ASM411807v1:5:34977385:34978578:1 gene:Vigun05g182400.v1.2 transcript:Vigun05g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQRSRMEEELVKGRDIANQLLELCVHRSNSHHHHHEDVKGSMLPFIEDLVRKVLCSFTNTLLLLNNDNDVSNEVAVSMTVKDVPPFSKCPNPHHTDEVCKGFFQTKKPRGSYKRKSSAPTWVTNSSILVEDGYVWRKYGQKITTNAKYLRSYYRCTHKHDKGCPAIKQVQRIQEDPPLYQTTYYGHHNCKSFSSSDIVMESASPSASSVFLSFSNTLPTKEQYQLQTSSVSSSMKQEPVEVIQDELIADQSLLASSDYLLLCDYEHDFISIT >Vigun11g223500.1.v1.2 pep primary_assembly:ASM411807v1:11:41445043:41449479:1 gene:Vigun11g223500.v1.2 transcript:Vigun11g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAMEWSPENSVVSGFKEESSEHSPSEKWLKTSKITPFIQLDIQSELIEQNNSDHLGYGHSHHTPEAGEKHQALKSHAVTNTEKTYTVTRRKEKLSSILLPLSAASYYSGVSPQMEIVESCQTIEKLNEYLKARKDDVNAGVPGKFLRAVMGSDGVDVGTVASIITYSFYLDVTSESDQYCTVPIININRTNLGSHVELKWLLDSCHIDQSSLIFADEIDLCYYDLFGSLKIVLLKGSKIKQEKLKHAVVENFHSRKGETIYPWVKTVITGEECSSCTAIADKFVNYSPEILASKSFSKLLLAGILLDTANLRDPACTSKDKYTASLLINGAGRYGCNGFYQLLKYKMHDLSSLQVADILWKDFKKWTGQESGDSRSLQIGMSCIGISIGQLLSRAENLAQEITRFQLYEKLRALIVVSGYFTDEKNFKREVLICTESTKLLESLLFFFDFNASRLPLKAMHFPGLKNEMKAYEIDKVTSRKIVEHLIQEFVGIPKP >Vigun05g211600.1.v1.2 pep primary_assembly:ASM411807v1:5:40246858:40249026:1 gene:Vigun05g211600.v1.2 transcript:Vigun05g211600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEDYDYLFKLVLIGDSAVGKSNLLSRFTRNEFSLETKSTIGVEFATRSVPVDSKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTEAYVVVMLVGNKADLRHLRAVSTEEAKEFAEKEKIFFMETSALESLNVDSAFVEVLTQIYNVVSRKTLDTVDGSHSTSLPKGETIVVGIKDDVSAVKKSGCCST >Vigun05g211600.2.v1.2 pep primary_assembly:ASM411807v1:5:40246858:40249026:1 gene:Vigun05g211600.v1.2 transcript:Vigun05g211600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEDYDYLFKLVLIGDSAVGKSNLLSRFTRNEFSLETKSTIGVEFATRSVPVDSKLVKAQIWDTAGQERSLIEELMPDFTILMIRYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTEAYVVVMLVGNKADLRHLRAVSTEEAKEFAEKEKIFFMETSALESLNVDSAFVEVLTQIYNVVSRKTLDTVDGSHSTSLPKGETIVVGIKDDVSAVKKSGCCST >Vigun08g174600.1.v1.2 pep primary_assembly:ASM411807v1:8:34499997:34502476:1 gene:Vigun08g174600.v1.2 transcript:Vigun08g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTISITMATHSSTTLYFSFCLTLVTFTLSSFHAQARFHAPHPSFKYCDTNSDYAVKVRGVEILPDPVERGVPFTFKIPAYTPEPIESGDLIYEISYVGVEGPPATFLHDLCEETPCPVPAGNFMLVHTELLPPVTPPGTYNVQLTFKDHKEKLLTCIIFPFKIGAISAI >Vigun07g263300.1.v1.2 pep primary_assembly:ASM411807v1:7:37884985:37890489:-1 gene:Vigun07g263300.v1.2 transcript:Vigun07g263300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTMGLVTTTVPANVVPQFGSVRASIRVGNPSGLNFPLSLSQKRNRSYVCLAVDDDLRQEQQDLSTTGIGLGSALEERPENTDLFESTSEEVQGNFEQDGERSAIYDFLYPSKELLPDDKEMSVFDHLEELRQRIFVSVLAVGASIMGCFAFSKELIMILEAPVKSQGVRFLQLAPGEFFFTSLKVSGYCGLLLGSPIILYEIIAFILPGLTKSERRFLGPIVLGSSVLFYAGITFSYLVLTPAALNFFVNYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQLGLVSGDQMLSIWRYVVVGAVVAAAIVTPSTDPLTQVLLAAPLLGLYLGGAWMVKLTGR >Vigun06g156701.1.v1.2 pep primary_assembly:ASM411807v1:6:28003617:28004766:-1 gene:Vigun06g156701.v1.2 transcript:Vigun06g156701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTATYSYPKHFVSTNRLITLCYFLSLIETIHHRAQACSAEP >Vigun05g295900.1.v1.2 pep primary_assembly:ASM411807v1:5:48096052:48096886:-1 gene:Vigun05g295900.v1.2 transcript:Vigun05g295900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEIGWSWRLLCNSHGVRKPNTPLFLQIPTQIGFTRFSSCVVARALDPKTEDQNSTSSSQEDLVYVGKVVAGSFAGGGAIKYGSALFPEITTPNLLLALIIILTPVLVAVFLLFKESLTDQ >Vigun01g018100.1.v1.2 pep primary_assembly:ASM411807v1:1:1949943:1950624:-1 gene:Vigun01g018100.v1.2 transcript:Vigun01g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKYFIVNMTFLLALIIMTSDLCTMKTEARELIEQFPCVNGKGCPPHQAPPPPPQAPQPPHQAPPPPPPPHQTPPPPHHCPPHQAPPPHHHHHPHHHHHTSPPPPHQAPPPHQAPPPPQHKAPPLPY >Vigun02g166300.1.v1.2 pep primary_assembly:ASM411807v1:2:31038365:31042759:-1 gene:Vigun02g166300.v1.2 transcript:Vigun02g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNAVFLRWWTMLFLLGLGLCHLPCTKATSFRNAYATMMYVGTPRDYEFYVAVRVLLKSLSKLNVQADLVVIASVDVPRRWIRAFEEEDGAKVVRVENMENPYKHQDNFDKRFKLSLNKLYAWSLVDYNRVVMLDADNLFLQNTDELFQCGQFCAVFINPCVFHTGLFVLQPSTVVFKDMVHELQNGRENPDGADQGFIASYFPELLDKPMFHPPANGTKLGGTYRLPLGYQMDASYYYLKLRWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGLQWHEQRRQTLGYGAEMAVILIQSAIYLGVIAMTRLARPSFSKLCYRRSDKSINLVHNSLKIVALWCILAAYVTPFFIIPHTVHPLLGWTLYLLGAFALCSIAINVFLLPMLPVLVPWLGIVGALMVMAFPWYPDGVVRALSVFIYAFCSAPFVWASMVRIVASLQLSLEREAFLPARLVESSPNSWFNKLY >Vigun03g404900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61213913:61214729:-1 gene:Vigun03g404900.v1.2 transcript:Vigun03g404900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKSSSFSFCGMFKACFSNSKDDYYYSYDDNSRRHFASDEDRGRWVAEPGIDRKASAFIARFYASRVSDSEHQIAS >Vigun04g142112.1.v1.2 pep primary_assembly:ASM411807v1:4:35375135:35375656:-1 gene:Vigun04g142112.v1.2 transcript:Vigun04g142112.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSKNQIKEVYQRIMSCERGIFPFLDVISQISGAPTSDGNL >Vigun10g049000.1.v1.2 pep primary_assembly:ASM411807v1:10:7547914:7552827:-1 gene:Vigun10g049000.v1.2 transcript:Vigun10g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRETHYWTLGGLIGAFIDLALAYFLLCCSAFVFFASKWFRICGLLLPCPCKGIFGYRNSRFCVHKLLVEWPSRKICSIQVMAIKRFPFDLVWVHGHSCCANDKVVAERTNNHRVVELDGEASCSSCSSPRFLPLVDRENACNAKGKKTMSMKRRSGIRSRRRGSSDPGNVSSAVPLDNLQSDVVLTHLSPFDDSVVRGKTNTTTSPTSGKGVSIVGVEDDQTYHDLDTHSYEFNGSMVDSPGQDKRLLTLEHYMDNVCGNVQIDGNDEDRFKMLENALEEEKAAYTALYLELEKERASSATAADETMAMILRLQEQKASMEMEMRQNQRMIEERVAYDEEEMEILQEILIRRERENHFLEEELEAYRQMEAKGSDQSYGKALVQRDQGGQRPPISVETNEDQSNISCGKEMVQRDQCGQMAPISVETYEERSTVSFFKKDEITDISSSYMVAQASVSSEDGEEVEKSTEQRAQMNDKLRRFFYDTDPDVLGVNVIEDNAEQREEENEKLSTSSLCSVTSEITNRYLEYGSLKVRNSDAFRSRRRINKIENGNSVDGPSSSSQLSYSRCNSTYDYGSDSSSAVENEKIRIGNEIEILGERLRRIKHGKKKLSSFQENGESLKGQLKLLEDIANNLKKIKHMRSPSRGASLPPSSSKASLRKRRSQSVSLEAAENS >Vigun10g049000.2.v1.2 pep primary_assembly:ASM411807v1:10:7547920:7552762:-1 gene:Vigun10g049000.v1.2 transcript:Vigun10g049000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRETHYWTLGGLIGVEDDQTYHDLDTHSYEFNGSMVDSPGQDKRLLTLEHYMDNVCGNVQIDGNDEDRFKMLENALEEEKAAYTALYLELEKERASSATAADETMAMILRLQEQKASMEMEMRQNQRMIEERVAYDEEEMEILQEILIRRERENHFLEEELEAYRQMEAKGSDQSYGKALVQRDQGGQRPPISVETNEDQSNISCGKEMVQRDQCGQMAPISVETYEERSTVSFFKKDEITDISSSYMVAQASVSSEDGEEVEKSTEQRAQMNDKLRRFFYDTDPDVLGVNVIEDNAEQREEENEKLSTSSLCSVTSEITNRYLEYGSLKVRNSDAFRSRRRINKIENGNSVDGPSSSSQLSYSRCNSTYDYGSDSSSAVENEKIRIGNEIEILGERLRRIKHGKKKLSSFQENGESLKGQLKLLEDIANNLKKIKHMRSPSRGASLPPSSSKASLRKRRSQSVSLEAAENS >Vigun07g279300.5.v1.2 pep primary_assembly:ASM411807v1:7:39422173:39426966:1 gene:Vigun07g279300.v1.2 transcript:Vigun07g279300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKSGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g279300.4.v1.2 pep primary_assembly:ASM411807v1:7:39422173:39426966:1 gene:Vigun07g279300.v1.2 transcript:Vigun07g279300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKSGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g279300.6.v1.2 pep primary_assembly:ASM411807v1:7:39422173:39426966:1 gene:Vigun07g279300.v1.2 transcript:Vigun07g279300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKSGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun08g168500.1.v1.2 pep primary_assembly:ASM411807v1:8:33961446:33963796:-1 gene:Vigun08g168500.v1.2 transcript:Vigun08g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMKTSLTIPAMVAMFLFISLEVAASDLKQEEVLKATNKVSGAKLVGGGDSSSGLQGSGNGLPNHGFPNRDLSSHGLPGWDLPNPSFPSRDLPNPSFRGRGFPGRDIPRPSILDRDFPSPNFPSRGFTGRNIPRPSIPDHDFPSPNFPDRDLPNGFRDRGFPNLGFPNSGFSRGGYFGENFPNQEFPYQGFPNQGFFGQGFPRGSYSGENFPGLGV >Vigun02g182700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32295093:32295829:-1 gene:Vigun02g182700.v1.2 transcript:Vigun02g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETEQDHAEKERGRRIGEEKNGVSMKVVVTKEELKWLILKLKEEKGMRLEEMVAEIERGREEKVEGWKPSLDSIMEAPEMLEIASSFSYT >Vigun05g247600.1.v1.2 pep primary_assembly:ASM411807v1:5:44096662:44100173:1 gene:Vigun05g247600.v1.2 transcript:Vigun05g247600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSNFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRLNLFVTKSIDFKTVMLFGILNGISIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSSKIKFSLFLLLVGVGIASITDLQLNFVGTVLSLLAIITTCVGQILTNTIQKKLNVSSTQLLYQSAPFQAAILFVSGPVVDQMLTKQNVFAYKYSPIVLAFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTGRNILGILIAVFGMGLYSYFCTEDNKKKQLASDLPLSSQVKDKDSAAVLAGKNVGNQKEENDEVKKLSKDSVI >Vigun08g047400.1.v1.2 pep primary_assembly:ASM411807v1:8:5120898:5121930:1 gene:Vigun08g047400.v1.2 transcript:Vigun08g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDCFKSNIYDIVHDSNKRIAKRRVWKLSDYDMFFCRMLITMEEILTAEFLETMEFFVLDSLGHGRKKRTKIDNNVDGHPKFNGNCMPQYTTEDLQQIRQNFICEWILHEDNQNRDEVLQHYDLYLRQ >Vigun07g052500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5529252:5529419:-1 gene:Vigun07g052500.v1.2 transcript:Vigun07g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVINFCISHLFHPFMSFAHRCSKLAKEHRARFYIFRKCVAMLLCWQDSGGDL >Vigun10g013800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1516615:1518396:1 gene:Vigun10g013800.v1.2 transcript:Vigun10g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIGEYPRALSPFSYDHHAIIILFIFHSSNLHKITKAREPIHSHGRCNPPTPFTCRRSKPEHVFTLVVRHHHSHNKHSLTSIPCFMISLEYKIHYQPPFSCPSRPTLFRSSLSHTLTPFQHRRSLHIHCHAPFHHRNPT >Vigun05g024200.1.v1.2 pep primary_assembly:ASM411807v1:5:1990395:1991952:1 gene:Vigun05g024200.v1.2 transcript:Vigun05g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQCCLRHGGNFGSSVYQRFEGIERERKGLCGGDQSKHMLFVASYMHALRLLKLLSWGGKEFLKLALIFTLSLQQACVWSFSALWLPFSASFITIYSIRIVWLNTTSFSFLNP >Vigun05g262600.4.v1.2 pep primary_assembly:ASM411807v1:5:45500290:45511026:1 gene:Vigun05g262600.v1.2 transcript:Vigun05g262600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETRGNAQGMHVITVEGLGSCKRGLHPVQESLARAHGSQCGFCTPGFVMSMYALLRSSQTPPSEEQIEECLAGNLCRCTGYRPILDAFRVFAKTSNELYTGVSSLNLEEGKSVCPSTGKPCSCNLNNVNDKCTGSDHRREPTSYDEIDGTKYTEKELIFPPELLLRIPTSLNLTGFGGLMWYRPLTLQHVLDLKAKYDNAKLIVGNTEVGIEMRLKRMPYQVLISVMHVPELNVLSAKADGIEIGAAVRLSDLMNFLKKVVTERAAHETLSCKAFIEQLKWFAGTQIRNAASVGGNICTASPISDLNPLWMASRAKFQIIDSKGNIRTVLAENFFLPGYRKVDLASGEILLSIFLPWNKTFEFVKEFKQSHRRDDDIAIVNAGFRVHLQERGKNWLVADASMFYGGVAPYSLAATKTKEFLVGKIWDQDLLQNALKVLQKDILLKDNAPGGMIEFRKSLTLSFFFKFFLWVSHQMDSIKEGIPVSHLSAVHSVHRPPITGSQDYEILKRGTSVGSPEVHLSARLQVTGEAEYADDTQMPPNGLHAALVLSRKPHARIISIDDSEAISSPGFVGIFLAKDVPGDIKIGPVVADEELFAVDHVTCVGQVIGIVVADTHENAKIAASKVDVNYEELPAILSIQDAINARSFHPNTEKRLSKGDVDHCFQSGLCDRIIEGEVVMGGQEHFYLEPQSSLIWTVDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKITLDRDVDMRITGQRHSFLGKYKVGFTNEGKVLAVDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPNMRIVGRVCFTNFPSHTAFRGFGGPQGMLITENWIQRIAVELKMSPEKIREINFQGEGSILHYGQQVQYSTLVPLWNELKLSCDFAKAREEVDQFNRHNRWRKRGIAMVPNKFGISFTTKLMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLTSVFISDTSTDKVPNASPTAASASSDMYGAAVLDACEQIMTRMKPIASQHNFDSFAELVRACYAERIDLSAHGFYITPDIGFDWVTAKGKPFRYFTYGAAFAEVEIDTLTGDFHTRMANVFLDLGYSLNPAIDVGQVEGAFVQGMGWVALEELKWGDAAHKWIPPGCLYTTGPGAYKIPSVNDVPFKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASSVLFAIKDAIIAARAEMGRNEWFPLDSPATPERIRMACLDELTTSFVNSDFHPKISI >Vigun05g262600.1.v1.2 pep primary_assembly:ASM411807v1:5:45499679:45511026:1 gene:Vigun05g262600.v1.2 transcript:Vigun05g262600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKTEEKGEHDLKVSNEAIVYVNGVRRVLPDGLAHLTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSQYDRNSRKCSHYAINACLAPLYSVEGMHVITVEGLGSCKRGLHPVQESLARAHGSQCGFCTPGFVMSMYALLRSSQTPPSEEQIEECLAGNLCRCTGYRPILDAFRVFAKTSNELYTGVSSLNLEEGKSVCPSTGKPCSCNLNNVNDKCTGSDHRREPTSYDEIDGTKYTEKELIFPPELLLRIPTSLNLTGFGGLMWYRPLTLQHVLDLKAKYDNAKLIVGNTEVGIEMRLKRMPYQVLISVMHVPELNVLSAKADGIEIGAAVRLSDLMNFLKKVVTERAAHETLSCKAFIEQLKWFAGTQIRNAASVGGNICTASPISDLNPLWMASRAKFQIIDSKGNIRTVLAENFFLPGYRKVDLASGEILLSIFLPWNKTFEFVKEFKQSHRRDDDIAIVNAGFRVHLQERGKNWLVADASMFYGGVAPYSLAATKTKEFLVGKIWDQDLLQNALKVLQKDILLKDNAPGGMIEFRKSLTLSFFFKFFLWVSHQMDSIKEGIPVSHLSAVHSVHRPPITGSQDYEILKRGTSVGSPEVHLSARLQVTGEAEYADDTQMPPNGLHAALVLSRKPHARIISIDDSEAISSPGFVGIFLAKDVPGDIKIGPVVADEELFAVDHVTCVGQVIGIVVADTHENAKIAASKVDVNYEELPAILSIQDAINARSFHPNTEKRLSKGDVDHCFQSGLCDRIIEGEVVMGGQEHFYLEPQSSLIWTVDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKITLDRDVDMRITGQRHSFLGKYKVGFTNEGKVLAVDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPNMRIVGRVCFTNFPSHTAFRGFGGPQGMLITENWIQRIAVELKMSPEKIREINFQGEGSILHYGQQVQYSTLVPLWNELKLSCDFAKAREEVDQFNRHNRWRKRGIAMVPNKFGISFTTKLMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLTSVFISDTSTDKVPNASPTAASASSDMYGAAVLDACEQIMTRMKPIASQHNFDSFAELVRACYAERIDLSAHGFYITPDIGFDWVTAKGKPFRYFTYGAAFAEVEIDTLTGDFHTRMANVFLDLGYSLNPAIDVGQVEGAFVQGMGWVALEELKWGDAAHKWIPPGCLYTTGPGAYKIPSVNDVPFKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASSVLFAIKDAIIAARAEMGRNEWFPLDSPATPERIRMACLDELTTSFVNSDFHPKISI >Vigun05g262600.3.v1.2 pep primary_assembly:ASM411807v1:5:45500290:45511026:1 gene:Vigun05g262600.v1.2 transcript:Vigun05g262600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQYDRNSRKCSHYAINACLAPLYSVEGMHVITVEGLGSCKRGLHPVQESLARAHGSQCGFCTPGFVMSMYALLRSSQTPPSEEQIEECLAGNLCRCTGYRPILDAFRVFAKTSNELYTGVSSLNLEEGKSVCPSTGKPCSCNLNNVNDKCTGSDHRREPTSYDEIDGTKYTEKELIFPPELLLRIPTSLNLTGFGGLMWYRPLTLQHVLDLKAKYDNAKLIVGNTEVGIEMRLKRMPYQVLISVMHVPELNVLSAKADGIEIGAAVRLSDLMNFLKKVVTERAAHETLSCKAFIEQLKWFAGTQIRNAASVGGNICTASPISDLNPLWMASRAKFQIIDSKGNIRTVLAENFFLPGYRKVDLASGEILLSIFLPWNKTFEFVKEFKQSHRRDDDIAIVNAGFRVHLQERGKNWLVADASMFYGGVAPYSLAATKTKEFLVGKIWDQDLLQNALKVLQKDILLKDNAPGGMIEFRKSLTLSFFFKFFLWVSHQMDSIKEGIPVSHLSAVHSVHRPPITGSQDYEILKRGTSVGSPEVHLSARLQVTGEAEYADDTQMPPNGLHAALVLSRKPHARIISIDDSEAISSPGFVGIFLAKDVPGDIKIGPVVADEELFAVDHVTCVGQVIGIVVADTHENAKIAASKVDVNYEELPAILSIQDAINARSFHPNTEKRLSKGDVDHCFQSGLCDRIIEGEVVMGGQEHFYLEPQSSLIWTVDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKITLDRDVDMRITGQRHSFLGKYKVGFTNEGKVLAVDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPNMRIVGRVCFTNFPSHTAFRGFGGPQGMLITENWIQRIAVELKMSPEKIREINFQGEGSILHYGQQVQYSTLVPLWNELKLSCDFAKAREEVDQFNRHNRWRKRGIAMVPNKFGISFTTKLMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLTSVFISDTSTDKVPNASPTAASASSDMYGAAVLDACEQIMTRMKPIASQHNFDSFAELVRACYAERIDLSAHGFYITPDIGFDWVTAKGKPFRYFTYGAAFAEVEIDTLTGDFHTRMANVFLDLGYSLNPAIDVGQVEGAFVQGMGWVALEELKWGDAAHKWIPPGCLYTTGPGAYKIPSVNDVPFKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASSVLFAIKDAIIAARAEMGRNEWFPLDSPATPERIRMACLDELTTSFVNSDFHPKISI >Vigun05g262600.2.v1.2 pep primary_assembly:ASM411807v1:5:45499679:45511026:1 gene:Vigun05g262600.v1.2 transcript:Vigun05g262600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETRGNAQGMHVITVEGLGSCKRGLHPVQESLARAHGSQCGFCTPGFVMSMYALLRSSQTPPSEEQIEECLAGNLCRCTGYRPILDAFRVFAKTSNELYTGVSSLNLEEGKSVCPSTGKPCSCNLNNVNDKCTGSDHRREPTSYDEIDGTKYTEKELIFPPELLLRIPTSLNLTGFGGLMWYRPLTLQHVLDLKAKYDNAKLIVGNTEVGIEMRLKRMPYQVLISVMHVPELNVLSAKADGIEIGAAVRLSDLMNFLKKVVTERAAHETLSCKAFIEQLKWFAGTQIRNAASVGGNICTASPISDLNPLWMASRAKFQIIDSKGNIRTVLAENFFLPGYRKVDLASGEILLSIFLPWNKTFEFVKEFKQSHRRDDDIAIVNAGFRVHLQERGKNWLVADASMFYGGVAPYSLAATKTKEFLVGKIWDQDLLQNALKVLQKDILLKDNAPGGMIEFRKSLTLSFFFKFFLWVSHQMDSIKEGIPVSHLSAVHSVHRPPITGSQDYEILKRGTSVGSPEVHLSARLQVTGEAEYADDTQMPPNGLHAALVLSRKPHARIISIDDSEAISSPGFVGIFLAKDVPGDIKIGPVVADEELFAVDHVTCVGQVIGIVVADTHENAKIAASKVDVNYEELPAILSIQDAINARSFHPNTEKRLSKGDVDHCFQSGLCDRIIEGEVVMGGQEHFYLEPQSSLIWTVDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKITLDRDVDMRITGQRHSFLGKYKVGFTNEGKVLAVDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPNMRIVGRVCFTNFPSHTAFRGFGGPQGMLITENWIQRIAVELKMSPEKIREINFQGEGSILHYGQQVQYSTLVPLWNELKLSCDFAKAREEVDQFNRHNRWRKRGIAMVPNKFGISFTTKLMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLTSVFISDTSTDKVPNASPTAASASSDMYGAAVLDACEQIMTRMKPIASQHNFDSFAELVRACYAERIDLSAHGFYITPDIGFDWVTAKGKPFRYFTYGAAFAEVEIDTLTGDFHTRMANVFLDLGYSLNPAIDVGQVEGAFVQGMGWVALEELKWGDAAHKWIPPGCLYTTGPGAYKIPSVNDVPFKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASSVLFAIKDAIIAARAEMGRNEWFPLDSPATPERIRMACLDELTTSFVNSDFHPKISI >Vigun02g152300.1.v1.2 pep primary_assembly:ASM411807v1:2:29891874:29897228:-1 gene:Vigun02g152300.v1.2 transcript:Vigun02g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSSILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALTKNLLPSPKIDNNHMALSTAVQRDRGAADPKPLAVSGGISPNSKEKVSRLQNFQLDNIILESIIKLKEPKGSDRAAIASYIEDQYCSTPTLRKLLSTKLKHMVASGKLMKVKHKYRIATNLTISEKRRCSSLLLLEGRPKDSPKTEKTGVNILSKSEIDAELSKMKGVTPQEAAAAAAKAVAEAEAAIAEAEAAAREADAAEAEAEAARVFAKAAIKALKCKTLHV >Vigun02g152300.2.v1.2 pep primary_assembly:ASM411807v1:2:29892472:29897228:-1 gene:Vigun02g152300.v1.2 transcript:Vigun02g152300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSSILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALTKNLLPSPKIDNNHMALSTAVQRDRGAADPKPLAVSGGISPNSKEKVSRLQNFQLDNIILESIIKLKEPKGSDRAAIASYIEDQYCSTPTLRKLLSTKLKHMVASGKLMKVKHKYRIATNLTISEKRRCSSLLLLEGRPKDSPKTEKTGVNILSKSEIDAELSKMKGVTPQEAAAAAAKAVAEAEAAIAEAEAAAREADAAEAEAEAARVFAKAAIKALKCKTLHV >Vigun04g182633.1.v1.2 pep primary_assembly:ASM411807v1:4:40691890:40693523:-1 gene:Vigun04g182633.v1.2 transcript:Vigun04g182633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRGNWYGLLGKKFKETFGHVGGSILGGLVGLKKPENHGVPYSLTEEFVSVYRMHPLLPDKLQLRDISATPGPNKSLPVIEEIHSIFTLHTLHHQGSSSPHRLTLAGVPLPFSVSNGRMLLVVGVRFWKIIDYLFIMDCTGCVFLSSFLWCV >Vigun01g166700.1.v1.2 pep primary_assembly:ASM411807v1:1:34869819:34870632:-1 gene:Vigun01g166700.v1.2 transcript:Vigun01g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRALMIIMISLLVGCSSGLDESGVIYVGGKVMCQDCTQGWNEWVNGGKPMKGVKVSLTCMDKRSRVVYYTSDTTDELGQYDLNVKKYVYGKELDTKRCSVRLVSSPDSVCNIPTDFGGGKSGVKLNNPTSVYRSFIKYMLNHFYYTTPMCDKPDTEVSDSESQDHHGPGGYY >Vigun07g271900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38760634:38761955:-1 gene:Vigun07g271900.v1.2 transcript:Vigun07g271900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSNVYLSPQYNVPKDHHGCVVEEIAGLIRVHKDGHVERPQVLPCVTASTMNPELNVTSRDMVIDSATNTWARFYAPSCQHELPLLVYFHGGGFCVGSAAWSCYHDFLARLSAKVGCVIMSVNYRLAPENPLPAPYDDGLKALTWVKQQMLYQQHNKGSGSDSEWWTTKCNFSSVFLGGDSAGANIAYNVATRVAACDGAALRPLNLKGLILIQPFFGGEVRTGSEKCMAQSSGSALNLAASDTYWRLALPCGANRDHPWCNPLVKVKLEELKLLRTLVCISEMDILKDRNLEFCDTLVRAGKRVEYEVFEGVGHAFQILSKSQISKNRTKEMMARVKSFMTL >Vigun05g169700.1.v1.2 pep primary_assembly:ASM411807v1:5:29596743:29597724:-1 gene:Vigun05g169700.v1.2 transcript:Vigun05g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFNNQQEAPVSYPPQVQAYSSAPYVSAPPPMGYPSKDEPAAVGYPQQRIPEETTSRGDGFWKGCCAALCCCWILDCCF >Vigun06g028033.3.v1.2 pep primary_assembly:ASM411807v1:6:12382989:12387626:-1 gene:Vigun06g028033.v1.2 transcript:Vigun06g028033.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIRYLVIGGFGHKKKKGWVLVYHYGRNQGRQSEHSSAAMAKVQLSTRKGEDEFGGTISQAYTGKKAKNWEKQIYRGRRTKSTLGEIGTPRASRGNLSNSGSMLLIIAIIRS >Vigun06g028033.1.v1.2 pep primary_assembly:ASM411807v1:6:12380130:12387626:-1 gene:Vigun06g028033.v1.2 transcript:Vigun06g028033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIRYLVIGGFGHKKKKGWVLVYHYGRNQGRQSEHSSAAMAKVQLSTRKGEDEFGGTISQAYTGKKAKNWEKQIYRGRRTKSTLGEIGTPRASRVSSAGNPLGPNRSHRDIHRRHVLAKRKPTEEIVDLSKISAERKPYAGKQTVKIHNSEENSHKR >Vigun06g028033.2.v1.2 pep primary_assembly:ASM411807v1:6:12380130:12387626:-1 gene:Vigun06g028033.v1.2 transcript:Vigun06g028033.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIRYLVIGGFGHKKKKGWVLVYHYGRNQGRQSEHSSAAMAKVQLSTRKGEDEFGGTISQAYTGKKAKNWEKQIYRGRRTKSTLGEIGTPRASRGNPLGPNRSHRDIHRRHVLAKRKPTEEIVDLSKISAERKPYAGKQTVKIHNSEENSHKR >Vigun09g045600.1.v1.2 pep primary_assembly:ASM411807v1:9:4332681:4337500:1 gene:Vigun09g045600.v1.2 transcript:Vigun09g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINKPLFLTYVYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMAFSGAVAFFLVRVFKIVSPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVLCGIDKARCDVFLNMLLVSVGVVISSYGEIHFNTVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPVMEVSQIQFNFWIFFSNAICALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVRDVRASQLPAETIPDRITKEWKFEKKSSDIYMPDNIDENEGSSGGNGSASDMKIDEETPLVSSSRLSHIGRTQLTNQYAIGK >Vigun09g194400.2.v1.2 pep primary_assembly:ASM411807v1:9:36902529:36908032:-1 gene:Vigun09g194400.v1.2 transcript:Vigun09g194400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGRTLSPVARAGTATNVEVCSVASPLSKSSSSPQNWSPSVGAFVFGAFSPRSFRGFERSKPRGQLWRKVLFHFFICFVVGVSVGLIPLASVHMSMNLMSKQRAFSFEVISAMGKFQQLENVTASINEAVNFNASLYVTAKEQELIDEVAYNVSNSQISEYSHVESQKLLIIVTPTYNHLFQAYYLHRLSQTLKLVSPPLLWIVVEMTSQSEETANILRSSGIMYRHLICKTNVTNPGHRSILQRNIAMAHIETHRLDGIVYFADDDNIYSIELFQQMREIRRFGTWTVARLSRERSRIILQGPICNENRVIGWHANESSGKSKRFHAEMSGFAFNSTILWDPKKWHRPTLEPIRQLDSVKESLRVSTLIEQVVEDESQMEGLMDNCSRVMVWHIDLESSYSSYPQKWIVKNNLDAIFQLPLV >Vigun09g194400.3.v1.2 pep primary_assembly:ASM411807v1:9:36902515:36908006:-1 gene:Vigun09g194400.v1.2 transcript:Vigun09g194400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGRTLSPVARAGTATNVEVCSVASPLSKSSSSPQNWSPSVGAFVFGAFSPRSFRGFERSKPRGQLWRKVLFHFFICFVVGVSVGLIPLASVHMSMNLMSKQRAFSFEVISAMGKFQQLENVTASINEAVNFNASLYVTAKEQELIDEVAYNVSNSQISEYSHVESQKLLIIVTPTYNHLFQAYYLHRLSQTLKLVSPPLLWIVVEMTSQSEETANILRSSGIMYRHLICKTNVTNPGHRSILQRNIAMAHIETHRLDGIVYFADDDNIYSIELFQQMREIRRFGTWTVARLSRERSRIILQGPICNENRVIGWHANESSGKSKRFHAEMSGFAFNSTILWDPKKWHRPTLEPIRQLDSVKESLRVSTLIEQVVEDESQMEGLMDNCSRVMVWHIDLESSYSSYPQKWIVKNNLDAIFQLPLV >Vigun09g194400.1.v1.2 pep primary_assembly:ASM411807v1:9:36902515:36908006:-1 gene:Vigun09g194400.v1.2 transcript:Vigun09g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHFASLSFGTLSPVARAGTATNVEVCSVASPLSKSSSSPQNWSPSVGAFVFGAFSPRSFRGFERSKPRGQLWRKVLFHFFICFVVGVSVGLIPLASVHMSMNLMSKQRAFSFEVISAMGKFQQLENVTASINEAVNFNASLYVTAKEQELIDEVAYNVSNSQISEYSHVESQKLLIIVTPTYNHLFQAYYLHRLSQTLKLVSPPLLWIVVEMTSQSEETANILRSSGIMYRHLICKTNVTNPGHRSILQRNIAMAHIETHRLDGIVYFADDDNIYSIELFQQMREIRRFGTWTVARLSRERSRIILQGPICNENRVIGWHANESSGKSKRFHAEMSGFAFNSTILWDPKKWHRPTLEPIRQLDSVKESLRVSTLIEQVVEDESQMEGLMDNCSRVMVWHIDLESSYSSYPQKWIVKNNLDAIFQLPLV >Vigun09g194400.4.v1.2 pep primary_assembly:ASM411807v1:9:36902529:36908006:-1 gene:Vigun09g194400.v1.2 transcript:Vigun09g194400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHFASLSFGTLSPVARAGTATNVEVCSVASPLSKSSSSPQNWSPSVGAFVFGAFSPRSFRGFERSKPRGQLWRKVLFHFFICFVVGVSVGLIPLASVHMSMNLMSKQRAFSFEVISAMGKFQQLENVTASINEAVNFNASLYVTAKEQELIDEVAYNVSNSQISEYSHVESQKLLIIVTPTYNHLFQAYYLHRLSQTLKLVSPPLLWIVVEMTSQSEETANILRSSGIMYRHLICKTNVTNPGHRSILQRNIAMAHIETHRLDGIVYFADDDNIYSIELFQQMREIRRFGTWTVARLSRERSRIILQGPICNENRVIGWHANESSGKSKRFHAEMSGFAFNSTILWDPKKWHRPTLEPIRQLDSVKESLRVSTLIEQVVEDESQMEGLMDNCSRVMVWHIDLESSYSSYPQKWIVKNNLDAIFQLPLV >Vigun07g284700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39804959:39805354:-1 gene:Vigun07g284700.v1.2 transcript:Vigun07g284700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFMVCQSRLWVVTIVFYTCVLIPLMQLKRSIMVLFSCETKCVAKDMEFPETPSLPVARYQDLNCHNCDAEREEICSICLVEYEGEDAVSKLGMCGHVFHLNCIEQWILRNQFSCPLCRSFLFSRIHAQN >Vigun03g206500.1.v1.2 pep primary_assembly:ASM411807v1:3:33501601:33507915:1 gene:Vigun03g206500.v1.2 transcript:Vigun03g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAMLNKQMDALIAFRIKVENPSASFHRSLEMTRLASDVASSTAVLSASTPRGAKLNRKASMIMEVIEEGSTHHEQSDDSNEDDQEQVKETAKSKVEEKKPNNLRVTRPAPLEVLDRVQLNHTFETPRSTIKGVLNFPGQTELQFSRKNLNKVEEQLQRSFIEFYRKLRLLKSFSFLNTMAFSKIMKKYDKITTRDAAKAYMKMVDNTQFGNSDEVTKLMDRVEKTFTKHFYNSNRNKAMNILRPKAKRERHRVTFSMGFLAGCTAALVLALVLIVRTRKILDHSGSTKYMESLFPLHSLYGFVVLHLLMYAADIYFWRRYRVNHSFIFGFKPGTDLGYNQVLMIGFGLAVLALGGVLANLDMQIDPQTKDYETLTELIPLILLLVLIAILLCPINIFYRSSRVFLLVCLFHCICAPLYKVTLPDFFLADQFTSQVQALRSFEFYICYYGWGNFKQRENTCSSSSVFITFSFIVAVIPYWSRFLQCLRRLFEEKDPMQGYNGLKYFLTIIAVCLRIAYSLNNSMVWKVMAWVFSIFAAVASTYWDLVIDWGLLQRRSKNRWLRDKLAIPHKSVYFIAMVLNVLLRFAWLQTVLRFNVSFLHKQAMTTIVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDE >Vigun08g091300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21305579:21307354:-1 gene:Vigun08g091300.v1.2 transcript:Vigun08g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSSPIGAVMDDPDFLDFSNRSITTSSSSEEDWTNMWSPLVDWGSLAAGEDNFQTLIDSIVHEEDVVLTPDQTTPQDSDLSSHDEKGLRLVHLLMAAAEALTPGTESHYLARVILVRLNELVSPTHGTNIERLAAHFGSALQNLLDGTGSAHSITTDAPNPTDTLTAFHLLQDMSPYVKFAHFTANQAILEAVAHEKRVHIVDFDVTEGAQWASLMQSLSSRSTGPTAPHLRITALSRGSASGRRSIATVQETGRRLTAFAASVGQPFSFHQCRLDHGETFRPSSLKLIRGEALVFNCMLHLPHLSFRASGSVASFLKGAKELNPRVVVVVEEEVARGGDAGFVGLFMDSLQHYSAVFDSLEVGFPMQKWARALVERVFLGPRIANSIGLMYRSGGEDRGSWEEWLSAAGFRGVPVSFANHCQANLLLGLFNDGYRVEELENNKLVLGWKSRRLISASVWTSNS >Vigun01g244500.1.v1.2 pep primary_assembly:ASM411807v1:1:41323469:41325980:-1 gene:Vigun01g244500.v1.2 transcript:Vigun01g244500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTEDEGVKSFITNLTAQLSEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDGEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDTDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >Vigun07g063300.4.v1.2 pep primary_assembly:ASM411807v1:7:7314005:7322145:-1 gene:Vigun07g063300.v1.2 transcript:Vigun07g063300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFSQLTLDVIGLSVFNYNFDALSADSPVIEAVYTALKEAEARSTDLLPYWKFKFLCKLIPRQIKAEEAVSVIRKTVEDLIERCREIVESEGERIDVEEYVNDADPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPAYEDIKNLKFLTHCIIESLRLYPHPPVLIRRAQVPDELPGSYKVNAGQDIMISVYNIHRSSEVWDRAEEFMPERFDLNGPVPNETNTDFRFIPFSGGPRKCVGDQFALMEAIVALAIFIQHMNFELVPDQNISMTTGATIHTTNGLYMKLSQRLK >Vigun07g063300.1.v1.2 pep primary_assembly:ASM411807v1:7:7314005:7322145:-1 gene:Vigun07g063300.v1.2 transcript:Vigun07g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLSLSPISLFSLRTSTRPSPSLSPKHHRLAVKSSVNKPPDASTKSGSWVSPDWLTSLSRSLTAGNDDSGIPIATAKLDDVSDLLGGALFLPLFKWMQDYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYSKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKRYLSIIVDRVFCRCAERLVEKLQSDALNGTAVNMEEKFSQLTLDVIGLSVFNYNFDALSADSPVIEAVYTALKEAEARSTDLLPYWKFKFLCKLIPRQIKAEEAVSVIRKTVEDLIERCREIVESEGERIDVEEYVNDADPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPAYEDIKNLKFLTHCIIESLRLYPHPPVLIRRAQVPDELPGSYKVNAGQDIMISVYNIHRSSEVWDRAEEFMPERFDLNGPVPNETNTDFRFIPFSGGPRKCVGDQFALMEAIVALAIFIQHMNFELVPDQNISMTTGATIHTTNGLYMKLSQRLK >Vigun07g063300.2.v1.2 pep primary_assembly:ASM411807v1:7:7314005:7322145:-1 gene:Vigun07g063300.v1.2 transcript:Vigun07g063300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSSAAPSSSLSSSGCRTMAPFTASPLAPETSSSLATPPLPNTFSGTTANILRASLLRSPNSSSGLVSQLPKARSGRCAERLVEKLQSDALNGTAVNMEEKFSQLTLDVIGLSVFNYNFDALSADSPVIEAVYTALKEAEARSTDLLPYWKFKFLCKLIPRQIKAEEAVSVIRKTVEDLIERCREIVESEGERIDVEEYVNDADPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPAYEDIKNLKFLTHCIIESLRLYPHPPVLIRRAQVPDELPGSYKVNAGQDIMISVYNIHRSSEVWDRAEEFMPERFDLNGPVPNETNTDFRFIPFSGGPRKCVGDQFALMEAIVALAIFIQHMNFELVPDQNISMTTGATIHTTNGLYMKLSQRLK >Vigun07g063300.3.v1.2 pep primary_assembly:ASM411807v1:7:7315881:7322145:-1 gene:Vigun07g063300.v1.2 transcript:Vigun07g063300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSSAAPSSSLSSSGCRTMAPFTASPLAPETSSSLATPPLPNTFSGTTANILRASLLRSPNSSSGLVSQLPKARSGRCAERLVEKLQSDALNGTAVNMEEKFSQLTLDVIGLSVFNYNFDALSADSPVIEAVYTALKEAEARSTDLLPYWKFKFLCKLIPRQIKAEEAVSVIRKTVEDLIERCREIVESEGERIDVEEYVNDADPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPAYEDIKNLKFLTHCIIESLRLYPHPPVLIRRAQVPDELPGSYKVNAGQDIMISVYNIHRSSEEERERERERNTTVNDKFDFERA >Vigun07g063300.5.v1.2 pep primary_assembly:ASM411807v1:7:7314005:7320519:-1 gene:Vigun07g063300.v1.2 transcript:Vigun07g063300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFSQLTLDVIGLSVFNYNFDALSADSPVIEAVYTALKEAEARSTDLLPYWKFKFLCKLIPRQIKAEEAVSVIRKTVEDLIERCREIVESEGERIDVEEYVNDADPSILRFLLASREEVSSVQLRDDLLSLLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPAYEDIKNLKFLTHCIIESLRLYPHPPVLIRRAQVPDELPGSYKVNAGQDIMISVYNIHRSSEVWDRAEEFMPERFDLNGPVPNETNTDFRFIPFSGGPRKCVGDQFALMEAIVALAIFIQHMNFELVPDQNISMTTGATIHTTNGLYMKLSQRLK >Vigun02g095500.1.v1.2 pep primary_assembly:ASM411807v1:2:25109819:25121750:1 gene:Vigun02g095500.v1.2 transcript:Vigun02g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMDKAPKEKESKTPPPTSQEQASTTATGTINPDWPGFQAYSPIPPHSFLASSPQAHPYMWGVQQFMPPYGTPPHPYVAMYPPGGIYAHPSMPPGSYPFSPFAMPSPNGIAEASGNTPGSMEADGKPPEVKEKLPIKRSKGSLGSLNMITGKSNEHGKTTGTSANGIHSKSGDSASEGEGTSEGSDANSQNDSQLKSGGRQDSFEDEPSQNGTSAYTPQNGGLSAPPTVVNQTLPIIPISAGGAPGAVPGPTTNLNIGMDYWGTPASSNIPALARKVPSTAVAGSRDNVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEVSRIRSDYEQLLSENTALKERLGELPAKDDHQRSGRNDEHVGNDAQQNGQTEGVQGGH >Vigun06g208100.2.v1.2 pep primary_assembly:ASM411807v1:6:32152129:32153617:1 gene:Vigun06g208100.v1.2 transcript:Vigun06g208100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVDYSNTLTLGRKRVVVSNDVEASPQVTTPLKKICSREISSKSQMSPLEAIPQDILVQVLCSVDHEDLKQLFHVSKTIRDATLIAKDLHFEYSTPKKKTFAFLHPNADVSKEIETPKAPLRKSKSRWNANLADITMALFKEEF >Vigun06g208100.1.v1.2 pep primary_assembly:ASM411807v1:6:32152129:32153617:1 gene:Vigun06g208100.v1.2 transcript:Vigun06g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVDYSNTLTLGRKRVVVSNDVEASPQVTTPLKKICSREISSKSQMSPLEAIPQDILVQVLCSVDHEDLKQLFHVSKTIRDATLIAKDLHFEYSTPKKKTFAFLHPNADVSKEIETPKAPLRKSKSRWNANLADITMALFKEEF >Vigun07g035200.1.v1.2 pep primary_assembly:ASM411807v1:7:3378470:3385550:1 gene:Vigun07g035200.v1.2 transcript:Vigun07g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHKTCFKKTVQKRFGLSKAVAERNTNVAKEVESSLPLQTALQGMVSATFSLVMKRKETNKFLRLLH >Vigun08g109100.2.v1.2 pep primary_assembly:ASM411807v1:8:27089648:27093679:-1 gene:Vigun08g109100.v1.2 transcript:Vigun08g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQPPSSKISTVVPATPRGDEQSEWQLSSMDLLLKLHYIRALYFFVNHAAQGLSIYDLKKPMFPLLDHVTHLSGRIRISESGRPFIKCNDAGVRIAESHSHLTLREWFHQNGSSLHHALLPDHVLGPDLGFSPLVFLKFTWFKCGGLSVGLSWSHVLGDAFSAFNFITKWSRILAGHAPPKTLHVTSHKPAPSPPQNNGNHGNPISVKMVTTVEDLWLAANDTKMANDTSYFEILSALVWKHVARIRDSEPKIVTVLTRGGGSDEFPTNDVVISVVEADVAVGKSDVADLAKLIGEEKRVENSVVEKLVEEKEGKENLVVYGARLTFVDLEEDGIYEVVLNGEKPVVVNCGVGGVGGDGVVLVLPGLQDEEDGKSGRMVTVTLPEKEVEQLKEKLREEWGIHSLAF >Vigun08g109100.1.v1.2 pep primary_assembly:ASM411807v1:8:27089648:27093679:-1 gene:Vigun08g109100.v1.2 transcript:Vigun08g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQPPSSKISTVVPATPRGDEQSEWQLSSMDLLLKLHYIRALYFFVNHAAQGLSIYDLKKPMFPLLDHVTHLSGRIRISESGRPFIKCNDAGVRIAESHSHLTLREWFHQNGSSLHHALLPDHVLGPDLGFSPLVFLKFTWFKCGGLSVGLSWSHVLGDAFSAFNFITKWSRILAGHAPPKTLHVTSHKPAPSPPQNNGNHGNPISVKMVTTVEDLWLAANDTKMVSHTFHVTPNQLNHLVTSIFTCDQNQANDTSYFEILSALVWKHVARIRDSEPKIVTVLTRGGGSDEFPTNDVVISVVEADVAVGKSDVADLAKLIGEEKRVENSVVEKLVEEKEGKENLVVYGARLTFVDLEEDGIYEVVLNGEKPVVVNCGVGGVGGDGVVLVLPGLQDEEDGKSGRMVTVTLPEKEVEQLKEKLREEWGIHSLAF >Vigun10g002400.2.v1.2 pep primary_assembly:ASM411807v1:10:231529:235318:1 gene:Vigun10g002400.v1.2 transcript:Vigun10g002400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHLRFGFGLSTISLVLFLTLTTSLSSTAFDVSEYEDGENLDIAESISSYGNEMEDMEENEWQMVQTKGNQFVVNDQPFYVNGFNTYWLMVFAADESTRGKVTEVFKHASSVGMTVCRTWAFNDGQWRALQESPSVYNEDVFKALDFVVSEAKKYKIRLILSLVNNWEAYGGKAQYVKWGNAAGLNLTSDDAFFSHPTLRSYYKAHVKTVLNRVNIFTNITYKEDPTIFAWELMNEPRCNSDSSGDVLQDWIKEMSFYVKSIDTKHLVEIGLEGFYGPSTPQRYQLNPNAYAHQVGTDFIRNHQVLGVDFASVHIYPDSWISQSIADSHLPFIKSWMEAHIEDAEKYLGMPVVFGEFGVSAKAPGFNSSYRDNLINTVYKTILNSTKKGGSGAGSLVWQLFPDGTDYMDDGYAIVLSKSPSTSSIVSLQSTRLALFNSLCSTKCRWGCRKRNVLETVLYHDL >Vigun10g002400.3.v1.2 pep primary_assembly:ASM411807v1:10:232104:235318:1 gene:Vigun10g002400.v1.2 transcript:Vigun10g002400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMEENEWQMVQTKGNQFVVNDQPFYVNGFNTYWLMVFAADESTRGKVTEVFKHASSVGMTVCRTWAFNDGQWRALQESPSVYNEDVFKALDFVVSEAKKYKIRLILSLVNNWEAYGGKAQYVKWGNAAGLNLTSDDAFFSHPTLRSYYKAHVKTVLNRVNIFTNITYKEDPTIFAWELMNEPRCNSDSSGDVLQDWIKEMSFYVKSIDTKHLVEIGLEGFYGPSTPQRYQLNPNAYAHQVGTDFIRNHQVLGVDFASVHIYPDSWISQSIADSHLPFIKSWMEAHIEDAEKYLGMPVVFGEFGVSAKAPGFNSSYRDNLINTVYKTILNSTKKGGSGAGSLVWQLFPDGTDYMDDGYAIVLSKSPSTSSIVSLQSTRLALFNSLCSTKCRWGCRKRNVLETVLYHDL >Vigun10g002400.1.v1.2 pep primary_assembly:ASM411807v1:10:231554:235318:1 gene:Vigun10g002400.v1.2 transcript:Vigun10g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSQPIPSSSSANCLLSSSIFSIFSPCQGINSRYMDSTHRNSEYEDGENLDIAESISSYGNEMEDMEENEWQMVQTKGNQFVVNDQPFYVNGFNTYWLMVFAADESTRGKVTEVFKHASSVGMTVCRTWAFNDGQWRALQESPSVYNEDVFKALDFVVSEAKKYKIRLILSLVNNWEAYGGKAQYVKWGNAAGLNLTSDDAFFSHPTLRSYYKAHVKTVLNRVNIFTNITYKEDPTIFAWELMNEPRCNSDSSGDVLQDWIKEMSFYVKSIDTKHLVEIGLEGFYGPSTPQRYQLNPNAYAHQVGTDFIRNHQVLGVDFASVHIYPDSWISQSIADSHLPFIKSWMEAHIEDAEKYLGMPVVFGEFGVSAKAPGFNSSYRDNLINTVYKTILNSTKKGGSGAGSLVWQLFPDGTDYMDDGYAIVLSKSPSTSSIVSLQSTRLALFNSLCSTKCRWGCRKRNVLETVLYHDL >Vigun09g140100.1.v1.2 pep primary_assembly:ASM411807v1:9:30212202:30214160:-1 gene:Vigun09g140100.v1.2 transcript:Vigun09g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNADKVTSIGVTRRLVQNTNASLQNENPLPHCRKKASWQILVPLRILINKVSQRGNSSQKVTLNSSTLRNYNVASGTLFWLQKILNWSYSISFKFRLVCQNHNANLDRKYG >Vigun07g033000.1.v1.2 pep primary_assembly:ASM411807v1:7:3125244:3129239:1 gene:Vigun07g033000.v1.2 transcript:Vigun07g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQEQHSLPKSVNQKPKTRTAALAATKSLDFSAWVSDNLIRIVAVVLLVVTVAAVFFLRNAGDTAALLCFEKQAQELERIAYPRVEWGAISPIADKTSKFANFRTEKWIVVSVLGYPSDTLRRLVKIKGWQVVAVGGSKTPSDWSLKGAIFLSLEEQANLGFRVVDYLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIDDDLGKHFDVELVGEGARQEVLLQYSHDNPNRTVVNPYVHFGQRSVWPRGLPLEKVGEIGHEEFYTQVFGGKQFIQQGISNGLPDVDSVFYFTRKSTLEAFDVRFDEHAPKVALPQGVMVPVNSFNTMYHSPAFWALMLPVSVSTMASDVLRGYWGQRLLWEVGGYVAVYPPTVHRYDRIEAYPFSEEKDLHVNVGRLIDYLVLWRSDKHRLFEKVLDLSFAMAEEGFWTETDVKLTAAWLQDLLAVGYQQPRLMSLELGRPRPNIGHGDRKEFVPQKLPSVHLGVEETGTVNYEIANLIRWRKTFGNVVLIMHCNGPVERTALEWRLLYGRIFRSVAILSEKKDVDLVVEEGHLDYAYRYLPKIFDQFSSAEGFLFVQDNTILNYWNLLQADKTKLWITNKVSESWSSVITNGDSSDWLSQQANMVQKVVSTMPAHFQVSYKETSDNDKNLLLCSSEVFYVPQRLVSDFVELVSLVGNLEIHQKVAIPMLFVSLDSPQNFDPVLDSMIYKQNPPANSTTLYSAKVPAVHPWSVSSEQDFIKLIRIMAEGDPLLMELV >Vigun07g254800.1.v1.2 pep primary_assembly:ASM411807v1:7:37314433:37315244:1 gene:Vigun07g254800.v1.2 transcript:Vigun07g254800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFATLLLCSLLLSSSFSETAMAYEESSYCSSKCSDRCSVAGVRDRCLKYCGICCSECKCVPSGTYGNKHECPCYRDKLNKKGKPKCP >Vigun06g123400.1.v1.2 pep primary_assembly:ASM411807v1:6:25075013:25077305:-1 gene:Vigun06g123400.v1.2 transcript:Vigun06g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLKLLFHCFIPFAVAYASSDCQYYSWCSDNNILIRFPFQIQGLQHPYCGYPGFQLTCSNDSKTVITLPYMGKFFVRNINYLRQEMQVYDPDDCLPKRLLSLNLSASPFIVTSLRNYTLFSCPTPHTGSQFIPIDCLSNSTSFVSAIPSLNFTTSLPDSCHVLKKLSFPVAYQQNFSDDLLSGDLLLTWSSPDCRYCESQQALCGFQSINSDQVSCFFDYQTGGAEHGLRVFGIITLSIVGPAIMCAIAMACYASFKYRRGNTARISAAQRSAPTEIAEAGTVRMGLDESTIESYQKLELGESRRVPGPNEGCCTICLSEYKTKDTIRCIPECAHCFHAHCIDEWLRINTTCPLCRNSPSAVLPVSTDH >Vigun01g196200.2.v1.2 pep primary_assembly:ASM411807v1:1:37304157:37307716:-1 gene:Vigun01g196200.v1.2 transcript:Vigun01g196200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDYIPSLRINDDSSSSSSSSVSSDTSREGVKLLSSCESPVRDQSFKCFSVSDLKKKVMAGLCKNCEKEGQWRYLVLGEACCHLGLMEDAMVLLQTGKRLASATLRRESVCWSQDSFNVTNIQFSSDATNAPPTTPPRTLLAADSESVTQLLGHIKFLLRRRAAALAALDAGLYSEAIRHFSKIVDGRRSAPQSFLVECYILRASAHRSAGRIAESIADCNRALALDPTCIEALETRASLFENIRCLPDALHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCTLTNKIQELKQKLASGETGNVDYYALIGVRRGCSRSELERAHLLLSLRHKPDKATGFIERCELADERDVELVKERAKMSSLLLYRLVQRGYTTVMSNIMDEEAAEKQRKKAALLAIQVQKEKPDEPELVSKVECTRSSVENNNNNNNKDNNNINNNNKNDNNNNIAQMSQNRSMVCSSTVNPAMFQGVFCRDIAVVGNLLSQAGFNRSMPVKYEALSC >Vigun01g196200.1.v1.2 pep primary_assembly:ASM411807v1:1:37304157:37307716:-1 gene:Vigun01g196200.v1.2 transcript:Vigun01g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTHTLSSTEKRHWWLTNRKIVEKYIKDARSLIATQDQREIVSALNLLEAALAISPRLDQALELRARALLCLRRFKEVADMLQDYIPSLRINDDSSSSSSSSVSSDTSREGVKLLSSCESPVRDQSFKCFSVSDLKKKVMAGLCKNCEKEGQWRYLVLGEACCHLGLMEDAMVLLQTGKRLASATLRRESVCWSQDSFNVTNIQFSSDATNAPPTTPPRTLLAADSESVTQLLGHIKFLLRRRAAALAALDAGLYSEAIRHFSKIVDGRRSAPQSFLVECYILRASAHRSAGRIAESIADCNRALALDPTCIEALETRASLFENIRCLPDALHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCTLTNKIQELKQKLASGETGNVDYYALIGVRRGCSRSELERAHLLLSLRHKPDKATGFIERCELADERDVELVKERAKMSSLLLYRLVQRGYTTVMSNIMDEEAAEKQRKKAALLAIQVQKEKPDEPELVSKVECTRSSVENNNNNNNKDNNNINNNNKNDNNNNIAQMSQNRSMVCSSTVNPAMFQGVFCRDIAVVGNLLSQAGFNRSMPVKYEALSC >VigunL084800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:62680:63813:-1 gene:VigunL084800.v1.2 transcript:VigunL084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLKSHHAVSSSLFNQFSYHSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTSVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun05g091900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8901720:8902268:-1 gene:Vigun05g091900.v1.2 transcript:Vigun05g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLMMSSEESNERRKGSRKRRDDSDSVTVTEKEKEKEKESMVKGKKKMKQNSDNEIHVLMSSDAEYCYYMLEAMPQPGPTWSTPGPCVLPETTPTPSPSPPFEDTQDSSCTWWLKFLEGFDENLSIEKMDPPFEENVVTENCKGFGYESPLVDAIDLSYCCPDDWLLIPTMDQDFGDLLMP >Vigun09g240400.1.v1.2 pep primary_assembly:ASM411807v1:9:41038700:41041639:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRPVYECVIRHGGQEIELFLVPLV >Vigun09g240400.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41038685:41041743:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRLAGFGSR >Vigun09g240400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41038685:41041744:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRLAGFGSR >Vigun09g240400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41038685:41041744:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRLAGFGSR >Vigun09g240400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41038685:41041744:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRLAGFGSR >Vigun09g240400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41038685:41041743:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRLAGFGSR >Vigun09g240400.4.v1.2 pep primary_assembly:ASM411807v1:9:41038700:41041639:1 gene:Vigun09g240400.v1.2 transcript:Vigun09g240400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITHSPSASPSKDPFDFNRARISPYLSAPSSPKRFGEYFCLSAPSSPSRFFAQFDSLYDLEHPHEHDADHKDKSVAVPEDDDGFAFFVDGESTSPRSAEELFDGGKIKPLIEDDLLDSVKSPLLPPAQPRRSSKKKEGQEEEEERRGRDRSVCSSSSRSRVARSLSPCNRVSHYTWDEESNQAQDNKEGSVSDAVSLSSSSSKSSRKWRFRDFLLFRSASEGRGSSKDPLRKFPAFYKKPQDPKTSIPSPSPGPGPRPRRKEPLSAHELHYARKKAETEDLKKRTYLPYKQGILGRPVYECVIR >Vigun11g097100.2.v1.2 pep primary_assembly:ASM411807v1:11:28421850:28423251:-1 gene:Vigun11g097100.v1.2 transcript:Vigun11g097100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLTDASKLLLKDHHSSMTSLLQLLVDPVYINPWCNPKFNHVFNDAMASDSKWVSSIVIEKCEGVFNASQSLVDVGGGAGTMAKVIAKSFPQLKCTVLDLPHVVADLEGTENIKYVGGDMFKAIPHADSIILKWIMHLWKDEECVKILKNCKEAIASEGRVLIIDMVIENKKEDAELTEMQFFFDMQMMMMFNGKERNEKEWAKLIFSAGFSNYKITPIGSNSIIEVYP >Vigun11g097100.1.v1.2 pep primary_assembly:ASM411807v1:11:28421850:28423251:-1 gene:Vigun11g097100.v1.2 transcript:Vigun11g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLTDASKLLLKDHHSSMTSLLQLLVDPVYINPWYQLSTWFTNEDPTPFHTEYGMTVWDFARCNPKFNHVFNDAMASDSKWVSSIVIEKCEGVFNASQSLVDVGGGAGTMAKVIAKSFPQLKCTVLDLPHVVADLEGTENIKYVGGDMFKAIPHADSIILKWIMHLWKDEECVKILKNCKEAIASEGRVLIIDMVIENKKEDAELTEMQFFFDMQMMMMFNGKERNEKEWAKLIFSAGFSNYKITPIGSNSIIEVYP >Vigun08g213400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37436850:37437833:-1 gene:Vigun08g213400.v1.2 transcript:Vigun08g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGRVCMLCICLIVVVITIGMLFGFGVFKHGFHKIKDTVSYCDSCGGGAAAGRPFIGYAPPPLF >Vigun03g069900.2.v1.2 pep primary_assembly:ASM411807v1:3:5787835:5792857:1 gene:Vigun03g069900.v1.2 transcript:Vigun03g069900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDRERENTELNGGVLCGQVRVLVVGDSGVGKTSLVNLIIKGSPIARPPQTIGCAVDVKHTTYGNSGSSSSSLKGDSERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEIAATGTFSAPLGSGGPSGLPVPFIVIGNKADIAAQEGTRESSGNLVDVARQWVEKQGLLPSSEELPLTESFPGSGGLIAAAKEARYDKEAVVKFFRMLIRRRYFSDEIPSPAWSIPSVPRPAQRIDENFTEDDLPYSRRRYADVLLSSPP >Vigun03g069900.1.v1.2 pep primary_assembly:ASM411807v1:3:5787835:5792857:1 gene:Vigun03g069900.v1.2 transcript:Vigun03g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDRERENTELNGGVLCGQVRVLVVGDSGVGKTSLVNLIIKGSPIARPPQTIGCAVDVKHTTYGNSGSSSSSLKGDSERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEIAATGTFSAPLGSGGPSGLPVPFIVIGNKADIAAQEGTRESSGNLVDVARQWVEKQGLLPSSEELPLTESFPGSGGLIAAAKEARYDKEAVVKFFRMLIRRRYFSDEIPSPAWSIPSVPRPAQRIDENFTEDDLPYSRRSLSGGDPFKFNTPLPAQRNLTPPPTLYPQQPVSVSETHTFPRYSLSGISEISAVARTKRSDINV >Vigun03g161200.2.v1.2 pep primary_assembly:ASM411807v1:3:17884609:17892151:1 gene:Vigun03g161200.v1.2 transcript:Vigun03g161200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVFIDSIALCLVVSSALLQRFEEAPKPDGSLSLLVIGDWGRKGAYNQSKVAFQMGAIGQQLNIDFVISTGDNFYDSGLTGIDDPAFDDSFTKIYTSSSLNNQWYSEVAEFFFVDTTPFVDKYFTEPGDHVYDWRGILPRKKYISNLLKEVDLALQESNAKWKIVVGHHTIRSAGQHGDTDELVEQLLPILQANNVDLYINGHDHCLQHISSIDSGIQFLTSGGGSKAWRGVVKWWKAEEMKLYYDGQGFMSLQITQTEIHIVFYDVFGHVLHKWNTSKQLHRPW >Vigun03g161200.1.v1.2 pep primary_assembly:ASM411807v1:3:17884609:17892151:1 gene:Vigun03g161200.v1.2 transcript:Vigun03g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVFIDSIALCLVVSSALLQRFEEAPKPDGSLSLLVIGDWGRKGAYNQSKVAFQMGAIGQQLNIDFVISTGDNFYDSGLTGIDDPAFDDSFTKIYTSSSLNNQWYSVLGNHDYRGDVEAQLSPVLTNHDKRWICLRSFIVNAEVAEFFFVDTTPFVDKYFTEPGDHVYDWRGILPRKKYISNLLKEVDLALQESNAKWKIVVGHHTIRSAGQHGDTDELVEQLLPILQANNVDLYINGHDHCLQHISSIDSGIQFLTSGGGSKAWRGVVKWWKAEEMKLYYDGQGFMSLQITQTEIHIVFYDVFGHVLHKWNTSKQLHRPW >Vigun03g025300.2.v1.2 pep primary_assembly:ASM411807v1:3:1885131:1888613:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEGARLSIYKAARSIKRRDNSLYNALRSIYQDSIFVSEISLLWPQLPLLANLRCGLWYSRSFHSTCYFKSTDGHTNNCSFSTARLNLHVAQLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENGTFDDGKSFDAAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun03g025300.4.v1.2 pep primary_assembly:ASM411807v1:3:1885131:1888613:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENGTFDDGKSFDAAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun03g025300.3.v1.2 pep primary_assembly:ASM411807v1:3:1885161:1888582:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIVAMYGAAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENGTFDDGKSFDAAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun03g025300.1.v1.2 pep primary_assembly:ASM411807v1:3:1885161:1888582:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEGARLSIYKAARSIKRRDNSLYNALRSIYQDSIFVSEISLLWPQLPLLANLRCGLWYSRSFHSTCYFKSTDGHTNNCSFSTARLNLHVAQLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIVAMYGAAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENGTFDDGKSFDAAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun03g025300.6.v1.2 pep primary_assembly:ASM411807v1:3:1883844:1888582:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun03g025300.5.v1.2 pep primary_assembly:ASM411807v1:3:1883844:1888582:-1 gene:Vigun03g025300.v1.2 transcript:Vigun03g025300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIPIWTCVFNRAIKDFRDSNGCDENVREWDCSLHLPLWVSQTERASIEKRLEEWTLLLKASGADIASLAACLRKPLRPLWISQRTVIWLNEVPHHESWDFTPIILVSASSSVGVSQHGTTSEFSWNYIPGAGDDEESWARGLSPVLFWKHVYDLINSGPDVCNQKVADIVEKSRVNRAYRGESAPQITVKTLKSASLTQEEPSVTYDASIVKTDAESSEDSGLSWLGSTNLAVGTSRIAADAANVDCILNCDQESISVCLPSAESYLHLPMMTSKFDRFSLLTNLPKAVSFAKFNLSQGKRLVVCCNNGEDISVCVCLAILMSLFDENGTFDDGKSFDAAQVTKWDMRRRLVYLCKFATDARPSRGNVRQVFNFLIGGKCVHDLDLGRDE >Vigun02g194200.4.v1.2 pep primary_assembly:ASM411807v1:2:33040118:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWSKFQISSMPVAAEVYGEGFPYAPESWPEKGDVWGWRTGRRVVPNGNHFQDRYLYLPNRLLLLLKEEKENPGSELSTSRKQHIFASKLAVKSYLDRYFPDADLNVFFSSFSWKIPAISASGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.6.v1.2 pep primary_assembly:ASM411807v1:2:33039984:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPKGFCLERDKVLLLKLQTAVCICEGGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.2.v1.2 pep primary_assembly:ASM411807v1:2:33040118:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWSKFQISSMVITKIINSGFVSFLVTSIVSISETAVRNGHHCTAHLHQRNKSTNLPSFHFRRLLSNFDKCFLLSDSILTAPILSAFVFLHDNNSKPVAAEVYGEGFPYAPESWPEKGDVWGWRTGRRVVPNGNHFQDRYLYLPNRLLLLLKEEKENPGSELSTSRKQHIFASKLAVKSYLDRYFPDADLNVFFSSFSWKIPAISASGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.7.v1.2 pep primary_assembly:ASM411807v1:2:33039984:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERDKVLLLKLQTAVCICEGGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.8.v1.2 pep primary_assembly:ASM411807v1:2:33039984:33041656:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSVLFCMTGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.1.v1.2 pep primary_assembly:ASM411807v1:2:33039984:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNRFSLFQPVAAEVYGEGFPYAPESWPEKGDVWGWRTGRRVVPNGNHFQDRYLYLPNRLLLLLKEEKENPGSELSTSRKQHIFASKLAVKSYLDRYFPDADLNVFFSSFSWKIPAISASGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.5.v1.2 pep primary_assembly:ASM411807v1:2:33040118:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAESLVRPVAAEVYGEGFPYAPESWPEKGDVWGWRTGRRVVPNGNHFQDRYLYLPNRLLLLLKEEKENPGSELSTSRKQHIFASKLAVKSYLDRYFPDADLNVFFSSFSWKIPAISASGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun02g194200.3.v1.2 pep primary_assembly:ASM411807v1:2:33040118:33043159:-1 gene:Vigun02g194200.v1.2 transcript:Vigun02g194200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWSKFQISSMPVAAEVYGEGFPYAPESWPEKGDVWGWRTGRRVVPNGNHFQDRYLYLPNRLLLLLKEEKENPGSELSTSRKQHIFASKLAVKSYLDRYFPDADLNVFFSSFSWKIPAISASGNAVPIAAVPLQQIAQDEEACDSMPCDICCSEPLFCRACCCMLCGETVCADYGGYSYVKCQVNAGNGICGHVTHVECALRCSLAGKVEESVVLDAEYHCRRCDGRTDMISHVNNLLQTCKSTDLHDQIVQILNLGSCLLRGSEKPAAKELLRRVELTISKLKFITNLEDIWKEDESVIAHSADNGKDVMDVTIGHSEVNTGLESHNFLPLSLKLEAEVDEVLQDFRKSQELEYKVAEETLQTQKTYLQNLYRQLEYEKSVLAGQISSSASKVSSSAVRERKKQIKRELDKFEMMKKVANGFSRTPHSIIKKHFLL >Vigun04g098951.1.v1.2 pep primary_assembly:ASM411807v1:4:22699012:22702001:1 gene:Vigun04g098951.v1.2 transcript:Vigun04g098951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKHVGNAESFGECGEFWGVFGKEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQRNSSLQLDPLQLDPKTAREELKEISNAKNGDSAGKGRATKSLNLIITSGYHNLDLSRKLTFTLLNFLCDELWALTKKSKIEVCIEDQNAKHAEDVKEANPRLLSCDKEKGLRKKLQFETINGIMLKVTPHQMEKHNALLAKLKSEVVQAHDEVHFQKFGYMLFYIFFVDNDGKVFWKLKSYNDESNILLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSKLAFFVF >Vigun04g196400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42121223:42123608:1 gene:Vigun04g196400.v1.2 transcript:Vigun04g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQNSNFHFITVQLCTQNLICCFFFLFTNMIRRPSIYLPTLFQSFSNSAFTEKTLLSSSSSSSSSSILITLHDSHSKRTLSPFYNLLPPTQNPNNIVNLISSILKHKSSHLSLLQNNDIKGILPHLGPHAISRVLLRCQSDHTSALTFFNWVKKDLNITPTVHNYCVLLHILAWSRVFPQAMELLSELIQTVEVECVSVSSNEDIYENLVACTEDCNWNPVIFDMLVKAYVKIGMVEKGLETFRRNIESCFIPNVIACNCLLSGLSRFNYITQCWEVYEEMGKLGVHRNAYTFNIMAHVLCKDGDTDKVNGFLEKMEEEGFEPDLVTYNTLVNSYCRKKRLEDAFYLYKIMYVRGVMPNLITYTTLMNGLCEEGKIKEAHQLFHQMVHRGIDPDIVSYNTLVCGYCRQGKMQMCRSILYEMIGNKISPDSITCRIIVDGYVRDGKLVSALNTVEELKKFRIKIPEEVYDYLVVALCKEGKPFAARSFLHRIISQDGYIPKMNTYNKLVESLCGFNNVEEALVLKSEMEKKSMKVNIVAYRALISCLCRVKRTLEAEGLLEEIMSSGMLPDVEICRALIKGYCEENKVDKAVFLLKLFAKELQVYDNESYNAVVKVFCDVGNVTELMEIQDKLLKMGYVPNKLTCRYVIHGLQKAMEVDDEILNHG >Vigun04g096100.1.v1.2 pep primary_assembly:ASM411807v1:4:20386544:20390335:-1 gene:Vigun04g096100.v1.2 transcript:Vigun04g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLAGLAVAAAALAGRYGVQAWQAFKARPPKPRVRRFYDGGFQPTMTKREAALILGIRENATADKVKEAHRRVMVANHPDAGGSHYLASKINEAKDVMLGKAKGTGSAF >Vigun09g256700.3.v1.2 pep primary_assembly:ASM411807v1:9:42270499:42275034:-1 gene:Vigun09g256700.v1.2 transcript:Vigun09g256700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKHVHTRAQGKKWSTFSLFLWMLFFLTLILVVLLALGIVYFPTTDDDFPTADLAAFRRKTSQSLEKNPEQWTEILSWEPRAFIYHNFLSKEECEYLIELAKPYMVKSSVVDSKTGKSTESRVRTSSGMFLKRGRDKVVQDIEKRIADFTFIPVENGEGLQILHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAANANFSSVPWWNDLSQCARKGLSVKPKRGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHLREYKV >Vigun09g256700.4.v1.2 pep primary_assembly:ASM411807v1:9:42269952:42274962:-1 gene:Vigun09g256700.v1.2 transcript:Vigun09g256700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKHVHTRAQGKKWSTFSLFLWMLFFLTLILVVLLALGIVYFPTTDDDFPTADLAAFRRKTSQSLEKNPEQWTEILSWEPRAFIYHNFLSKEECEYLIELAKPYMVKSSVVDSKTGKSTESRVRTSSGMFLKRGRDKVVQDIEKRIADFTFIPVENGEGLQILHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAANANFSSVPWWNDLSQCARKGLSVKPKRGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHLREYKV >Vigun09g256700.1.v1.2 pep primary_assembly:ASM411807v1:9:42269723:42274962:-1 gene:Vigun09g256700.v1.2 transcript:Vigun09g256700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKHVHTRAQGKKWSTFSLFLWMLFFLTLILVVLLALGIVYFPTTDDDFPTADLAAFRRKTSQSLEKNPEQWTEILSWEPRAFIYHNFLSKEECEYLIELAKPYMVKSSVVDSKTGKSTESRVRTSSGMFLKRGRDKVVQDIEKRIADFTFIPVENGEGLQILHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAANANFSSVPWWNDLSQCARKGLSVKPKRGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHLREYKV >Vigun09g256700.2.v1.2 pep primary_assembly:ASM411807v1:9:42269723:42274966:-1 gene:Vigun09g256700.v1.2 transcript:Vigun09g256700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKHVHTRAQGKKWSTFSLFLWMLFFLTLILVVLLALGIVYFPTTDDDFPTADLAAFRRKTSQSLEKNPEQWTEILSWEPRAFIYHNFLSKEECEYLIELAKPYMVKSSVVDSKTGKSTESRVRTSSGMFLKRGRDKVVQDIEKRIADFTFIPVENGEGLQILHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAANANFSSVPWWNDLSQCARKGLSVKPKRGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHLREYKV >Vigun11g120500.5.v1.2 pep primary_assembly:ASM411807v1:11:32679887:32683993:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGHYGDPSANTSVGGQMHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun11g120500.8.v1.2 pep primary_assembly:ASM411807v1:11:32679975:32683992:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun11g120500.6.v1.2 pep primary_assembly:ASM411807v1:11:32679272:32683883:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGHYGDPSANTSVGGQMHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTERGRIMVYLKRWGGRIRNSGKEHTAEDDASNHSVGLDRELVQAHQILLLKELLCDCICWGH >Vigun11g120500.3.v1.2 pep primary_assembly:ASM411807v1:11:32678940:32684086:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGHYGDPSANTSVGGQMHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun11g120500.4.v1.2 pep primary_assembly:ASM411807v1:11:32679012:32683994:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun11g120500.7.v1.2 pep primary_assembly:ASM411807v1:11:32679006:32684086:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGHYGDPSANTSVGGQMHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun11g120500.2.v1.2 pep primary_assembly:ASM411807v1:11:32678940:32683995:-1 gene:Vigun11g120500.v1.2 transcript:Vigun11g120500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMVAGPRGEAKSGNFEGRLEAFTPERENPYANSKPEGQWRWEMDESKMSNSMTSRMFSEGQGVDASKSYFQGQGRSNNDSRSQAHEEDMDVGYEGNHLSQTFEGLEQNFRDDIIKLTKEQNDAEDAEYARHREKINAINAQYEEKLAALRAQHSNRRAEFLQREAHARQQQYQQFIRDPYPSSGMAPRDPHGFNNSNAPGVGGEVQRGYSADHFDPYRERARFLGGGRDQGFEPRGPYPGGRVYDTGSRYYN >Vigun04g084150.1.v1.2 pep primary_assembly:ASM411807v1:4:13406140:13408727:1 gene:Vigun04g084150.v1.2 transcript:Vigun04g084150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKVLGGFASARLGEAISPKRDGLSLKTQFTRMSEYSSKTPGQFFAMKWHNNVVTEVHARAPTITFFMHDIIGGSTPSERIVVGTIVDTQTAKLPFSKPNNRIFPFKGAIPLVDTNTTTNLHTQAPPWNPLGASLDKFLFRRITVIDDEITQGHEFGSEVIGKAQGFHLTSSLDGSSRTMAFTIVFGGEGDDEEDVVSFFGVHTTATKESHIAVVGGTGKYDNAKGYAKIQTLPSPQQHITNGFETLLHITIYIA >Vigun03g329600.1.v1.2 pep primary_assembly:ASM411807v1:3:52662403:52665953:-1 gene:Vigun03g329600.v1.2 transcript:Vigun03g329600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEKKTNLAKLIIPSSSSSPSPSPSITSPHVIHSRSHSFVGPSPRIDPSSTPLFFLQEVDDDDDEDEDEEKEPLSSDPVKIGPSDFHILRVVGQGAFGKVFLVRKKGNGFDGDADADGDGVFAMKVMRKDIIIKKNHVDYMKAERDILTKVLHPFIVQLRYSFQTKSKLYLVLDFVNGGHLFFHLYRQGIFSEDQARLYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIDELGRSNSLCGTVEYMAPEILQAKGHNKDADWWSVGILLYEMLTGKAPFTHTNRKKLQEKIIKEKVKLPPFLTSEAHSLLKGLLQKDPTTRLGHGPNGDEQIKSHKWFRSINWKKLEAREVEPKFKPDVSGKDCTANFDKCWTAMPPNDSPATTPTAGDHFQGYTYVAPNPWLSSRSLQ >Vigun03g179900.1.v1.2 pep primary_assembly:ASM411807v1:3:22722900:22724207:1 gene:Vigun03g179900.v1.2 transcript:Vigun03g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILDTKSVVVTLFIFLWTCTSRATSRALSEPSIAALHADWAALHGRVYANSAEKDRRQQIFKDNLEFIHKHNNQGNKSYTLGLNLFADLTNHEFLASHTGALYNPHTLPPPSSNINHSLSDISLSLDWRKKGAVNKIKFQGQCGSCWAFSAVATVEGINQIKTGNLISLSEQQLVDCASYHGCQGESLENAFGYIKSTGLGTDEQYPYKETTQTCHSVNPAVRILGFQMVPPQREDQLLQAVNNQPVSVILDASGQAFQFYRGGVFSGNCGTQLNHAVTAIGYDEDASGKYWLIRNSWGQGWGEEGYMKIRRDTGSPQGLCGINMHATYPLL >Vigun06g007575.1.v1.2 pep primary_assembly:ASM411807v1:6:3786166:3786770:-1 gene:Vigun06g007575.v1.2 transcript:Vigun06g007575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FHWIRSFALTEAFGPSEKDFISLRASKRERSKQSRSFARSKTGKQSCRISGSFPRPTKQKYNSQFAAFPRPEKGLYCSPLFDMFIKYQYKRKLHQSGKAPIEARSN >Vigun03g080200.1.v1.2 pep primary_assembly:ASM411807v1:3:6699064:6700845:-1 gene:Vigun03g080200.v1.2 transcript:Vigun03g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFIVRNSGGEWTAKQHSGDIESSADSSYDLQRKLVQAALAVDSSGGVQSSYSPVSPSSAVFQVIVGGAVFVGGGAVAAAPAGGAAPAADAAPAAEKKEEKVEEESDDDMGLGLFD >Vigun03g238100.1.v1.2 pep primary_assembly:ASM411807v1:3:39703343:39707279:1 gene:Vigun03g238100.v1.2 transcript:Vigun03g238100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLLLLALTLLNLVLFSTLHVSAAPSTTSPAKIVSGFLSNAVPAVTKWVWSLKATTKTAVSSRSMMKFEGGFSVETVFDGSKLGIEPYAVEVLPNGELLILDSANSNIYRISSSLSLYSRPKLVAGSAEGYSGHVDGKLREARMNHPKGITVDDRGNIYVADTTNMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGFPLGIAMLVGAGFFGYMLALLQRRLGTIVASQDAQVPVMATSSVPSSPYQKPLKSVRPPLIPSSEYEPEKQEEGFFGSLGKLLANTGASVVEIMGGLFPSFRRKSLSHQFQRQPLLQQPQKQANSWPVQESFVIPDEDEPPSIDTRAPTPRKTYPFMSKDAEKMQQLRQSRAFYSGWDGDMNQQQQQSQQQQQKHHHRHQYRSATPHTYYEQSHETTNEIVFGAVQEQDRRQESVVIKPVNYGEPLYDSHNVRSRMSFMGYGGHRY >Vigun08g030500.9.v1.2 pep primary_assembly:ASM411807v1:8:2805912:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun08g030500.6.v1.2 pep primary_assembly:ASM411807v1:8:2805420:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTGDWESKFDETQVEEAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFEGIDIKTLAPRMIRAIAERTKQRKPRSKVDNVLPNVMSMHSVSLLLEAILLKSKSLEELGRYTEAAKECRIIVDTVESALPKGMPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun08g030500.1.v1.2 pep primary_assembly:ASM411807v1:8:2805912:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTGDWESKFDETQVEEAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFEGIDIKTLAPRMIRAIAERTKQRKPRSKVDNVLPNVMSMHSVSLLLEAILLKSKSLEELGRYTEAAKECRIIVDTVESALPKGMPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun08g030500.7.v1.2 pep primary_assembly:ASM411807v1:8:2805420:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTGDWESKFDETQVEEAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFEGIDIKTLAPRMIRAIAERTKQRKPRSKVDNVLPNVMSMHSVSLLLEAILLKSKSLEELGRYTEAAKECRIIVDTVESALPKGMPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun08g030500.2.v1.2 pep primary_assembly:ASM411807v1:8:2805420:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTGDWESKFDETQVEEAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFEGIDIKTLAPRMIRAIAERTKQRKPRSKVDNVLPNVMSMHSVSLLLEAILLKSKSLEELGRYTEAAKECRIIVDTVESALPKGMPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun08g030500.8.v1.2 pep primary_assembly:ASM411807v1:8:2805420:2810227:1 gene:Vigun08g030500.v1.2 transcript:Vigun08g030500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTGDWESKFDETQVEEAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFEGIDIKTLAPRMIRAIAERTKQRKPRSKVDNVLPNVMSMHSVSLLLEAILLKSKSLEELGRYTEAAKECRIIVDTVESALPKGMPEGIGEDCKLQEMFHKALELLPNLWIKASFLDEAVTAYRRALIKPWNLEPWRLARVQKDLATTLLYGGAEVNLPSQLQVNDLTTPMSGTEEAILLLLILSEKMELQEIDWDPEIMDHLTFSLSVTGMFESLADSVEKILPGVYDRAERWHFLALCYSAAGQNEVALNLLRKACGSSEAKHKPYFPSFLFAAKLCSLCPNHAHEGIKFSQKVIDLAKHQNEHFLGEGQKFLGICKGAAARVSVLDSERVILQRESLYFLNDAVDSNGNNDPEVILSLGLQNAIQRNLNAAYDNLMIYSDMMAGSSKRGWQLLALIVSAQQRFKDAETIVDFGLDEAGSIDQLELLRLKAILQITQQQPKKAVETYRILLAVIESQKEHWLQSKAFRHEALKQQKLEMEVWQDLASIYVDLCSFLDAKVCVDKAQLIDFFSPRSWHITGLLFESRKLHKEALASFSVSLSIEPDHIPSIISAAKLMLKLGMQSLPIARSFLMNALRLDPTNHDAWFNLGLVSKMEGSLQQAADCFQAAYELKLSAPVQKFE >Vigun09g139000.1.v1.2 pep primary_assembly:ASM411807v1:9:30078047:30083348:1 gene:Vigun09g139000.v1.2 transcript:Vigun09g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVGGSNPYFFSPASSLSAFAAPFSVNPYSSPNVVFTDSGPLEDSIVDTIESGSESADTTRAIHYQPYGYDFFSSPVRELDSSAQFPQLGFPSYAARSSLVEAQPYPVSSAIHDHASSVPPYHWSSVTSPSDWPSLEEANNFPELGFSGQKGVCWERFRDFNGSVKGKQVGVGSSSLPSKAVSGLVEEIMHKKQANREVKDSVNGEVSYITDRENYNVPAISPPLPDTSSWWGSHKSTPVEFLGASALQSPSMPVETRHEAPLKAVSDSGNNHMSNIFSYDKPSRHIDNSSKVHTVYSIPRTGLTTDLNIDHIIDQHVGHSSFCNTKEASVRPCPGISGCFDSSHIRLHLGRNEPSSSNKAMVSDKTVSGDDFDYIFRGRTEYQTPHANMNTLGLRLGTMEDVNVEKSFEGGDRCNPAEDSPCWKGASAAHFSYFEPSAVLPQEYVHKKESSFGSVIQESQNYLFNTDNNTKKSAENSNGYQGTGSPRKCSVTNFAYDDCKSGSAVNGGPFTFKPNCDFGLQFMDITKMKENSVPAAKATNSESGSSHMEYQVAEKNKLMSQKQHTSCIGDAKAGCNLNKFLERGSSDTVEHVLPLEVHTTTTPSVVNTTTTPSSVINTITTPSSVVNTTTAPSVVTTTTTPSVVNTTTATSPVVNATTTLSSPSLVVNTTTTPVNTAGRVTTEKLNVQMLVNTMQNLSELLLYHCENDVCELKERDCNVLKHVISNLNTCALKTAEQIAPAQECLLNQPETFNCARESHEFHQNATLKRPQLTKIGPEISKVENPLVADANLNSRSAKPPWKLSNSISSRRGAREMTMTDGMTKDLKRTLDENFHDEVADPQTALYKNLWLEAEAELCSVYYKARYNQIKIEMDNHSYKEREMGNQSKSEEVPALRQNQSSETKAHNYPNRGSTALNLSVLDSPSLEGLSWLNFFTDVNKPNNAMTPGGSGDQNLDKAINSYIVSSSDEEEPERNDESSVMARYQVLKARVDQSCIDTTKTEEPLDIADKSSPRGSDNQNAVNFCQDSPSPEKNSTDYEASVVARFHILKSRLEGSSSMSLEGKQLDGVGSADNDMDDTTVAKKSEDKNLDVHENPAMVHPGSYIAMDKSIPEEFRHPNYFSDGYSTDWEHVEKSM >Vigun05g105450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10602097:10602753:1 gene:Vigun05g105450.v1.2 transcript:Vigun05g105450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSTLNFAKALEPLFNGDFDNSNETSHSSNLENEYMELNQTQNKSLSEDNEILRPVIPIGPRFQAKVPKWEGTTKVKCHNNDDDLKWLGVEVWPMPNISENNTKGIGEERPDSYYCQDSRSVECVKLHTKEVREFLKSEIGATFSSLKFNGMGEEVSESWTLEEDKKFEYVLNLNTSSKAKIFWKLAMKHFPSKSLKCLINYYHNVYIPRRLSKET >Vigun05g190600.4.v1.2 pep primary_assembly:ASM411807v1:5:37088595:37092387:1 gene:Vigun05g190600.v1.2 transcript:Vigun05g190600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNITHGFHLVKGKSYHDMEDYVVAQFKQVDSNELGLFAIFDGHAGHNVPNYLQSHLFDNILKEPDFWKEPADAVKRAYSKTDSNILEMSGELGRGGSTAVTAILVNCQKLIVANIGDSRAVLCKKGVAKQLSVDHEPNTEHEDIKNRGGFVSNFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPFVTVENINDDAEFIILASDGLWKVMSNQEAVNCIRNIKDARSSAKRLTEEAVNKKSTDDISCIVVKFQ >Vigun05g190600.3.v1.2 pep primary_assembly:ASM411807v1:5:37088392:37092387:1 gene:Vigun05g190600.v1.2 transcript:Vigun05g190600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELGRGGSTAVTAILVNCQKLIVANIGDSRAVLCKKGVAKQLSVDHEPNTEHEDIKNRGGFVSNFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPFVTVENINDDAEFIILASDGLWKVMSNQEAVNCIRNIKDARSSAKRLTEEAVNKKSTDDISCIVVKFQ >Vigun05g190600.2.v1.2 pep primary_assembly:ASM411807v1:5:37088392:37092387:1 gene:Vigun05g190600.v1.2 transcript:Vigun05g190600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREILNKMKVKVGLGSSDTDSGKGKSKMSKNITHGFHLPDFWKEPADAVKRAYSKTDSNILEMSGELGRGGSTAVTAILVNCQKLIVANIGDSRAVLCKKGVAKQLSVDHEPNTEHEDIKNRGGFVSNFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPFVTVENINDDAEFIILASDGLWKVMSNQEAVNCIRNIKDARSSAKRLTEEAVNKKSTDDISCIVVKFQ >Vigun05g190600.1.v1.2 pep primary_assembly:ASM411807v1:5:37088392:37092387:1 gene:Vigun05g190600.v1.2 transcript:Vigun05g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREILNKMKVKVGLGSSDTDSGKGKSKMSKNITHGFHLVKGKSYHDMEDYVVAQFKQVDSNELGLFAIFDGHAGHNVPNYLQSHLFDNILKEPDFWKEPADAVKRAYSKTDSNILEMSGELGRGGSTAVTAILVNCQKLIVANIGDSRAVLCKKGVAKQLSVDHEPNTEHEDIKNRGGFVSNFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPFVTVENINDDAEFIILASDGLWKVMSNQEAVNCIRNIKDARSSAKRLTEEAVNKKSTDDISCIVVKFQ >Vigun04g085300.1.v1.2 pep primary_assembly:ASM411807v1:4:14009256:14020258:-1 gene:Vigun04g085300.v1.2 transcript:Vigun04g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHTSVMEEITFYTRLSPAAFFTLLAMMVVVYRTVSAMFVSPEDYNKPPVVSARTHPQFEDPEPPRQPVQLGQVTDQELRAYDGSDPNKPLLMAIRGQIFDVSTARNFYGPRGPYAMFAGKECSRALALLSFKQEDINGDLEGLGESEFTILEDWEFKFIEKYPRLASSFQNKEHRKMSSKNKFKTIWKIPTNTRIRQNRKCYYIVKFACNQGFL >Vigun01g189800.1.v1.2 pep primary_assembly:ASM411807v1:1:36879817:36882447:1 gene:Vigun01g189800.v1.2 transcript:Vigun01g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPAEEEPPQGETLKYQTWVLKVLIHCDGCKKRVKKILQGIDGVYKTEVDSLQHKVTVTGNVGAEILIKRLSRSGRLVELWPEKPAEKKDNKKPGKSNKGGDVNKEKENQKNGEPGADGGSNEGSKDGGSNEGSKDGEDSEKEEHSDECEEGGGGGGGGGGEGGKRRKRKRRRRTKGKTVVLVLVLHLLLLRMEKLVKLMHQCLQIWALQWLPRILLTLQFSMHTLIHMCIIHLLLLLHQLMD >Vigun04g130700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32716188:32718395:-1 gene:Vigun04g130700.v1.2 transcript:Vigun04g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAEFQGSVLNLRGVQVHSMEGSSMEQELDLFQKHVTERFLELSSVESGELLSLSWVRKLLDSLLSCQEEFRVILHNHRAQVSNHPPLDRMVGEFFERSVKALDVCNAIRDGVEQIRQWQKLLEIVICALDQKRSIGEGQFRRAKKALVDLAIGMLDDKESNSSGSIAHRNRSFGRNNHGSRDHHHSNNQHNSFGHFRSLSWSVSRNWSAARQLQAIGNNLSPPKANDIVATNGLALPIYTMSCILVFVMWALVAAIPCQDRGLGLHFSVPRQFLWAAPVVALHERIVEESKKRERKNTCGLLKEIHQIEKCARVINELADSLQFPLSEKKGEEVRQSVQDVSRVCEALKDGLDPMERQVREVFHRIVRSRTEGLDTHGRG >Vigun11g127100.3.v1.2 pep primary_assembly:ASM411807v1:11:33417345:33419885:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVSISALDLNLLVYACPKIEVLELVNPEIAMSDAQVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFK >Vigun11g127100.2.v1.2 pep primary_assembly:ASM411807v1:11:33417345:33419818:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVSISALDLNLLVYACPKIEVLELVNPEIAMSDAQVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFK >Vigun11g127100.5.v1.2 pep primary_assembly:ASM411807v1:11:33417345:33419776:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFK >Vigun11g127100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33417345:33419805:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVSISALDLNLLVYACPKIEVLELVNPEIAMSDAQVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFKYE >Vigun11g127100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33417345:33419776:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVSISALDLNLLVYACPKIEVLELVNPEIAMSDAQVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFKYE >Vigun11g127100.4.v1.2 pep primary_assembly:ASM411807v1:11:33417345:33419776:1 gene:Vigun11g127100.v1.2 transcript:Vigun11g127100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPVEVIGNILSHLRAARDVVIASATCRKWRQACCKHLHTLSFSSKDWPIYRDLTTTRLEILITQTIFQTSGLQALSILMEDVDEFSASTVTAWLLYTRETLRQLHYNVKTMPNVNILEICGRHKLEILDLAHNSIVGVEPNYQRFPCLKSLSLSYVTIELSSSTLKRVYVEAISLDKFILEADGLETLHLKDCALEFFELIGKGALKHFKIDDVSVIHLDIGETVEDLETVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDMETIATCFPYLSHLSLSYDVRYGVLHYGLQGSSDLENVVVLELGWTVINDLFSHWVEGLLKRCPNLKKLVIHGVVSEAKSHEECQMLASFTTAMVEMMRRYIHVDPHFK >Vigun04g144849.1.v1.2 pep primary_assembly:ASM411807v1:4:35927969:35936983:1 gene:Vigun04g144849.v1.2 transcript:Vigun04g144849.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPVRFRFMMFMLCLMLQVVHGEEQVRCLPKEREALLQFKAAIVDDYGMLSSWTTPHCCQWKGIRCSNLTAHILSLDLHGEFVGLHYLSGEIHKSLMELRQLQYLNLSYNSFKDSHIPEFIGSFKNLKYLDLFSCRFSGKIPSQFGSLSHLKYLNLAGNSLEGSIPRQLGNLSQLQELYLGDNPFEGNVPSQLGNLFQLQVLSLGDSSFEGNVPSQLGNLSHLQELYIIGCHALKLDDGGQWLSNLISLTHLYLDSVSNLNRSHTWPHVIGKLPKLRELGLIDCSLSDYFILSSRPFKFNSSTSLSVLDLSFNTFTSPMIFEWISNTTSSLVELHLISSLQEGSTSGHFGMAMNSLRDLKSFTNICTLYSLNLYKNNLTEDLPFILDHLSSGCVRHSLRELDLSNNHITGNLPELSVFSSLKALFLDQNHLSGEIPQDIILPPNLESLSIQWNSIEGRIPKSFGNACALCLLDMSSNSLSEEFSVIIHHLSGCARNSLQELSLQGNKINALKKLDLTENQLSGKIYEGSKLPPSLEYLSIQLNKFEGGIPKSFGNACALRSLDMSQNSFSEEFPRIIHHLSGCARYSLEELYINNNQINGTLPDFSIFTSLKRLYLYANKLNGEIPKNIQFPPQLEKLYMDSNYLKGVLTDYNFVNVSRLQELCLSDNSLALEFTQNWVPPFQLFFIELRSCKLGPTFPKWLETQNKFHSIDISNASISDVVPEWFWGKLSLQEGMTIDISYNKLRGIIPNIPQKFLIDEMSLRSNQFEGPIPLFLRNSGILDLSKNEFSDSTLFLCLNGTIETLYQLDISNNQLSGQIPDSYFCARETSSDPNLFVSNFNPILRGYVFGVVDVMQVGSSFIRAPRRGLANSSALSLARGFRGMLFVTLSIPSFSLCGWSHFKSLIYLDLSYNNFSGKIPTSMGSLFNLQALLLRNNNLISEIPLSLRSCTELVMLDMTENKLSGLFPAWIGSNYKKLQFLSLGSNNFYGTLPMQICYLKNIQLLDLSINNLFGKIPKCIKNFVVMADNMSSDEYHGYSFRIGESGGIKSYELNDNLMWKGSKQMFMNDELSLLKGIDLSSNHFSEEIPMEIEDLFGLILLNLSRNNLIGKIPSNIGKLASLEFLDLSRNQLVGPIPISLTQIVRLTMLDLSHNYLSGKIPISTQLQSFNTSSYEDNLDLCGLPLEKLCINEEQTQNPNVKGHDDEYSFFNNDFFISMSFGFVISFWMVFGSILLKRSWRHVYFKFLISLADNINDKVNVFTMIFKVDTD >Vigun01g210400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38510751:38514013:-1 gene:Vigun01g210400.v1.2 transcript:Vigun01g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRSNNGEKSNPEEDPSPFEDIDSNCSTPYVSAPSSPGRGPAGGGGFFYSAPASPMHFTITAASTYSTSSSSFEKSSSSCEFEFSARFGSTGFNGSASMSSADELFLNGQIRPMKLSTHLERPQVLAPLLDLEEEEDVENEDVEARVRGRDLRLRDKSLRRRTRSLSPLRNTPLEWTENEDVVNEVKTDKKENVSASSGTGRSSKRWVFLRDFLRSKSEGRSNNKFWSTISFSPAKDKKAQNQGNGPPKSKKVTEKPTNGVVGKRRLPASPHELHYKANRAQAEELRRKTFLPYRQGLLGCLGFSSKGYGAMSGFARALNPVSSR >Vigun02g090700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24571102:24573854:-1 gene:Vigun02g090700.v1.2 transcript:Vigun02g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNSSVMEAFMSSSDLSSIWPPPAPPQSAAVFNQDTLQHRLQALIEGARESWTYAIFWQSSYDYSGSTLLGWGDGYYKGDDDKAKAKAKAKATSAAEQDHRKKVLRELNSLISGSSATASDDVDEEVTDTEWFFLVSMTQSFVNGAGLPGQAFFNSNPVWVTGCDRLSASPCERARQGQVFGLQTLVCIPSANGVVELGSTELIYQNPDLMNKVKVLFNFSNNNFDMGSSWPANSADQGENDPSTLWLNDPEVRDSINTVAATPSVSVSVPPHNSTHGISKTMQLESSIQTPGSSTLTETPSSIHAVPQNQSVFSRELNFSEYGFDPKSANTNNQNSLKPESCEILSFSDSKRTSYGGGGGGGGVNGNSNSNSNFFSGQSPFVAVADDNNNNNNGKRRSPNSRGSNDDGMLSFTSRAILPATNLKSAGGGDSDHSDLEASVVKDPVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYITELKSKLQTLESDKDGLQKQLEGVKKELEKSSDNVSSNHMKHAGNSNIKSSNQALIDLDIDVKIIGWDAMIRIQCSKKNHPAARLMAALMELDLDVHHASVSVVNDLMIQQATVKMGSRFYTQEQLRSALSAKVGDVR >Vigun08g077200.5.v1.2 pep primary_assembly:ASM411807v1:8:14258107:14264430:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILCFSIFLFIPVESTGREGNMWLQNLGAESRGTEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun08g077200.2.v1.2 pep primary_assembly:ASM411807v1:8:14258107:14264430:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQNLGAESRGTVSLTVFSASIFVVAALVLSMYLVFEHLASYNQPEEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun08g077200.3.v1.2 pep primary_assembly:ASM411807v1:8:14258107:14264430:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQNLGAESRGTVSLTVFSASIFVVAALVLSMYLVFEHLASYNQPEEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun08g077200.6.v1.2 pep primary_assembly:ASM411807v1:8:14258107:14264430:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILCFSIFLFIPVESTGREGNMWLQNLGAESRGTEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun08g077200.4.v1.2 pep primary_assembly:ASM411807v1:8:14258103:14264439:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILCFSIFLFIPVESTGREGNMWLQNLGAESRGTVSLTVFSASIFVVAALVLSMYLVFEHLASYNQPEEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun08g077200.1.v1.2 pep primary_assembly:ASM411807v1:8:14258107:14264430:-1 gene:Vigun08g077200.v1.2 transcript:Vigun08g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILCFSIFLFIPVESTGREGNMWLQNLGAESRGTVSLTVFSASIFVVAALVLSMYLVFEHLASYNQPEEQKFLIGIILMVPVYALESFLSVLDSDAAFNSEIIRECYEAFALYCFERYLIACLGGEDNTIQFMESMNLTDSSIPLLKEAYAYGVVEHPFPLNLFLKDWNLGSEFYQSVKIGIVQYMILKMICAVLATILQSFGVYGEGKFEWKYGYPYLALVLNFSQTWALYCLVQFYSVIKDKLKPIKPLAKFLTFKSIVFLTWWQSVAVAFLFYVGAFRGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAEPYKRGERCVRNVAVMTDYASLGSPPDPEEVRDCQRSTRTWLGAYEREKPMKFTQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHEQRKQIAKDDCYLVPLRSRMPEFSDAHDSMGDGSFSDSGLSNGKRQYSQLKAGTSRSRR >Vigun10g018300.1.v1.2 pep primary_assembly:ASM411807v1:10:2054721:2060953:-1 gene:Vigun10g018300.v1.2 transcript:Vigun10g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYGAKCTVPDGDGGDDVVREKKIECCSSGRGKVVTGFDDGVVCFFDRGLKFNYAFQPHSSNVLFLQQLKQRNLLVTIGEDEQLTPQKTALCLKVFDLDKMQPESSSRTSPDCVGILRIFTNQFPEAKITSFLVLEEVPPILLIAIGLDNGSIYCIKGDIARERITRFKLQVENNQSDKTLSAVTGLGFKVDGQSLQLFAVTPSSVSLFSLHNQPPRRQTLDQIGCGVNSVAMSERSELIIGRPEAVYFYEVDGRGPCWAFEGEKKLLGWFRGYLLCVIADQRTGKHTFNIYDLKNRLIAHSALVKEVSHLLYEWGNIILIMNDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATAEVLRKYGDHLYSKQDYDESMAQYILTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNLFIKSDDSIGELKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGSYEEALEYISSLESSQAGMTIKEYGKILIEHKPVETIQILIRLCTEDGDRGRSNGVYMSMLPSPVDFLSIFIHHPKSLMDFLEKYTNKVKESPAQVEIHNTLLELYISNELNFPSMSQVNDGGNYLNGVSAKTMSAQSNGNTANHKSSAQAKDCLERHKKGLQLLKSAWPPETEHPLYDVDLAIILCEMNAFKDGLLYIYEKMKLYKEVIACYMQAHDHEGLIACCKRLGDSVKGGDPSLWADVLKYFGELGEDCSQEVKEVLTYVERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLERESKMIEEDRQAIEKYQEDTLSMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVLEMKRNLEQNSKDQDRFFNQVKSSKDGFSVIAEYFGKGIISKISNGSTSGPRSGTASSSSGF >VigunL017366.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000193.1:50015:52907:1 gene:VigunL017366.v1.2 transcript:VigunL017366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKIRIVIRSFDHPFLENHFGGLQPYTQKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKFLIIKTEKHELRKKFFWLKRQRIFGAQYEILFSCKTRS >Vigun09g060900.1.v1.2 pep primary_assembly:ASM411807v1:9:6289717:6293092:-1 gene:Vigun09g060900.v1.2 transcript:Vigun09g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNRSPINPHLEPNPFVEAHPYPMSSNTSSSSPSQNPFLFASDATNTNNVGPYAYQERGSVNGLPGESSPGNPFHVPTSSSEGLHTLPSPERGHWSMPSSEARPFNTHGETLDPFPGQVLDPFFSLESAQNQQRASASTISMSEQHDPFSVTNERHSYSANSATKSPQNKFAIETCDADIPISSFPNHGQRPSDGLSGFSNGSEKQSPQIQVMERPESPLNSSDYSFPSHVFDRHKSNTQWSSASNESLFSIQMGNTSFSSDIGWITKSGEMDTSASPFHGNQAPLPLPPATKFTDISHSTAKQHEGSKVTEQKAAETMREVIMESSIKRSSLGNPESHYPDMHCDSGTHCRHSDGSTISFAFNVFTDGDKGHSPKHEEEKKKQQSTTEATTDAVQAPKQAPNAPQNKSWLSCFPCC >Vigun02g048100.1.v1.2 pep primary_assembly:ASM411807v1:2:18768724:18776121:-1 gene:Vigun02g048100.v1.2 transcript:Vigun02g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIKRCNLSNVILQLKALGVDDILGFDFIDKPSRAAIIKSLEELFLLGALTDECLLSDPVGHQMARLPLDPIYSKALILASEFNCLEEMLITVALLSVESIFYSPRDKLEEARTATKCFSSPEGDHVTLINVYRASNDFLEKRSMEMGIAKTEKVFRKWCKENYINSRSLRHARDIHKQIKGHVEQMGLNLSSCGDDLLQFRRWLAASFFLNAVVKQPEGTYRALASGQVVQIHPSSVLFRRKPECVIFNELVQTNNKYVGI >Vigun03g110100.1.v1.2 pep primary_assembly:ASM411807v1:3:9872002:9898173:-1 gene:Vigun03g110100.v1.2 transcript:Vigun03g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIGGTKDPEAEPVAQSLPQPQDTPKPPDKTDSAAVVVAATTTTTTTTEEQEVGQEEKPPVPMEEDSLNPATVFSIRLKQPRSNLLHKMSVPELCRNFSAVSWCGKLNAIACAAETCARIPSSTANPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLISNFHGRVTIWTQPSQGPTNLVHDTSCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSATANVKSTFEEKFLSQQSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSKNDTTPKWFCTSKGLLGCGPSGIMAGDAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGFQVAPKTSTSCAVPPLSPPNWAGFAPLAAYLFSWQDYLLSEAKQGKKQTDQNLLDAVPLYCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRAGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSLQHVVLHPIFGNPTSSMGGQSPMQTVWQSKVDLSITPTNDFKSHQSPATGMTSDVQKVSESGSDKSKRVNFDPFDLPSDVRTLARVVYSAHGGEIAIAFLRGGVHVFSGPNFTPVDNYQINVGSAIAAPAFSSTSCCSASVWHDSSKNCTILRIIRVLPPSIPISQVKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSIQHRQQYGPSLDRIKCRLLEGSNAQEVRAMVLDMQARLLLDMLGKGIESALINSSALVPEPWQASGETLSSIDSETMAVEPALIPSIQAYVDSVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVASPTQSSATPATSQGGQNGSSSMGSAQLQTWVQGAIAKISNTTDGGSNPTPNPISGPSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRYMGSANRTSDANTQKPQSNTPAPGKVEEIAKPVSAVVKSDDGQTGRAGAKGAEEVPSGRSRLGSGNAGQGYTFEEVKVLFMMLMDLCRRTAGLQHPLPVSQVGSNNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDQEDVYCANDAPKLVNSDPLDFSSMEHSDVYYGTHRLWPRKRRMSERDAAFGLNTSVGLGGYLGIMGSRRDVVTATWKTGLEGVWYKCVRCQRQTSAFASPDAPPSLSPNDREVWWISRWVHGCPMCGGTWARVV >Vigun02g044101.1.v1.2 pep primary_assembly:ASM411807v1:2:17765554:17767248:-1 gene:Vigun02g044101.v1.2 transcript:Vigun02g044101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKKQQSFCGRWMNNLKNTTKFLWEMDELFEEHNKDKSFTWSLQLFLLLPYVTTLLDLSSFVRIFLCSNEVFVSNEMVEMPRKGVELAS >Vigun07g029400.2.v1.2 pep primary_assembly:ASM411807v1:7:2677461:2681723:1 gene:Vigun07g029400.v1.2 transcript:Vigun07g029400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNDHINKERDIEFLLDDNDDDAEQQQDIEADKYQSSSEGDSDVEPHSFSSHQWPQSYKETTDSYTIAAAPNLESVLRGPSFIYSSFDNRSKSSLDIDGKTPFLSSEGISQSTWWEKASVQRHFTGELPIGYGCSFTQTVFNSINVMAGVGLLSTPYTVNQAGWMSIAVMLFFAVICCYTATLMRHCFESREGITTYPDIGEAAFGRYGRIIVSSYCVEFITLEGDNLSRLFPGTSLNLGIFQLDTMHLFGVLTALIILPTVWLKDLRLISYLSAGGVVATLLIIICVLFVGTTEGVGFHHTGQLVKLNGIPFALGVYGFCFAGHSVFPNIYQSMADKKKFTKALITCFSLCVLIYGSVAIMGFLMFGDGTLSQITLNMPPGAISSKVALWTTVINPLTKYALLLNPLARSLEELLPERISTTYWCFILLRTVLVASTVCVAFLVPFFGLVMALIGSLFSLLVSAIMPTLCFLKIIGKKATKTQVALSVAIAILGIICALLGTYSSVLSIADSY >Vigun07g029400.1.v1.2 pep primary_assembly:ASM411807v1:7:2677461:2681723:1 gene:Vigun07g029400.v1.2 transcript:Vigun07g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNDHINKERDIEFLLDDNDDDAEQQQDIEADKYQSSSEGDSDVEPHSFSSHQWPQSYKETTDSYTIAAAPNLESVLRGPSFIYSSFDNRSKSSLDIDGKTPFLSSEGISQSTWWEKASVQRHFTGELPIGYGCSFTQTVFNSINVMAGVGLLSTPYTVNQAGWMSIAVMLFFAVICCYTATLMRHCFESREGITTYPDIGEAAFGRYGRIIVSIILYIELYSYCVEFITLEGDNLSRLFPGTSLNLGIFQLDTMHLFGVLTALIILPTVWLKDLRLISYLSAGGVVATLLIIICVLFVGTTEGVGFHHTGQLVKLNGIPFALGVYGFCFAGHSVFPNIYQSMADKKKFTKALITCFSLCVLIYGSVAIMGFLMFGDGTLSQITLNMPPGAISSKVALWTTVINPLTKYALLLNPLARSLEELLPERISTTYWCFILLRTVLVASTVCVAFLVPFFGLVMALIGSLFSLLVSAIMPTLCFLKIIGKKATKTQVALSVAIAILGIICALLGTYSSVLSIADSY >Vigun02g063800.1.v1.2 pep primary_assembly:ASM411807v1:2:21231807:21234093:1 gene:Vigun02g063800.v1.2 transcript:Vigun02g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAREENKMLKFLDIFQQEKPEDAANARGVTSHEESEESELVSLSLGISLNRKTKGERKHCRREDEGMDKGLTLGLDINLDPVNFAEAAICSTASSFGEGGKEEEPSEMWPPSKVLKGMKNLDKSEASQNDQPKKTRVSIRARCDTQTMNDGCQWRKYGQKMAKGNPCPRAYYRCTISPLCPVRKQVQRCAEDMSILITTYEGIHNHPLPISATAMACTTSAAASMLQSPSLSSQHGHGIVNSAISSITNFTAPNYNLDNALNFSTYQVSRPHQFYFPNSSISSLNSHPTITLDLTAPPTSSNSTFTHMPKYSSTTTNLNFSSGFSPLQSSMPSSPWSSYSGHFNSGTPTHNRNHGDYLLNTGTQNQPYLEQLHHPICTSNNNLSLPDSIIAATKAITSNPKFQSVLATALTTYVGNEAGGVRLRENHVLENSELNLKLCSENSMGCASSRYFNVSSSALNPQQGNSSIFPLPLPGQWK >Vigun04g098301.1.v1.2 pep primary_assembly:ASM411807v1:4:22323612:22324656:-1 gene:Vigun04g098301.v1.2 transcript:Vigun04g098301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQHQRRILWKFNTIYIFSKPFLFVDRHFAVGYAHELGPQWHLVDVNGITTTVTYNMDSDNPRITEGWFNMRNLYQIQSDSHIQFQYLGNCLFHLTVFKGGCTRTSWTTFMNRITHQNTRSIFSVKLSKYQSKASHLDLPSKFANFIREVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQGWRLFCSENELKEGDIVVFQVDNDFIESNVEVFVNGCCCD >Vigun07g189000.3.v1.2 pep primary_assembly:ASM411807v1:7:30696906:30701593:-1 gene:Vigun07g189000.v1.2 transcript:Vigun07g189000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCNKEVSFGQDSNSTTCDLICIKEKSANTSKARDCGGISMASGFVAPQLKLAICAILVLPFLVTTATAADIFLDWHVSTDFNLKPVSSDQPVITINGMFPGPLINTTTNDYIHVNVFNGLDDPLLFTWNGIHQRLDSWQDGVSGTNCPIPPGWNWTYDFQVKDQIGTFSYFPSINFLKAGGGYGPIRVNNRPVISVPFPKPEAEFDLLIGDWYSTSYKDIRYRLSAAEALPPDWMLINGKGPYMNNFSVSYESINVTQGKTYLLRISNVGTTWSFNFRIQNHQMVLVETEGSYVNQIELESLDVHVGQSYSVLVTANQDAADYYIVASPKMSNATDNSTLVGVAVLHYHNSTTPAKGSLPSGPDPFDLQFSINQAKSIRWNLTTGAARPNPQGTFNVSNVTISETFILNASIATIDGLSRYTVNNVSYLTPDTPLKLADYFSNGTGVYELDAFSKNSSNAKAVRGVFVASALHKGWTEIVLKSSLDMIDTWHLDGYSFFVVGMGEREWIPESRSTYNLYDPVDRSSVQVYPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDADPNPAKEKPPPPNRLLCGKYEPPAPTPAPSVSPTPSAPSSNACNLHKTRYLIAMITAVICFFYIGLH >Vigun07g189000.2.v1.2 pep primary_assembly:ASM411807v1:7:30696906:30700152:-1 gene:Vigun07g189000.v1.2 transcript:Vigun07g189000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVAPQLKLAICAILVLPFLVTTATAADIFLDWHVSTDFNLKPVSSDQPVITINGMFPGPLINTTTNDYIHVNVFNGLDDPLLFTWNGIHQRLDSWQDGVSGTNCPIPPGWNWTYDFQVKDQIGTFSYFPSINFLKAGGGYGPIRVNNRPVISVPFPKPEAEFDLLIGDWYSTSYKDIRYRLSAAEALPPDWMLINGKGPYMNNFSVSYESINVTQGKTYLLRISNVGTTWSFNFRIQNHQMVLVETEGSYVNQIELESLDVHVGQSYSVLVTANQDAADYYIVASPKMSNATDNSTLVGVAVLHYHNSTTPAKGSLPSGPDPFDLQFSINQAKSIRWNLTTGAARPNPQGTFNVSNVTISETFILNASIATIDGLSRYTVNNVSYLTPDTPLKLADYFSNGTGVYELDAFSKNSSNAKAVRGVFVASALHKGWTEIVLKSSLDMIDTWHLDGYSFFVVGMGEREWIPESRSTYNLYDPVDRSSVQVYPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDADPNPAKEKPPPPNRLLCGKYEPPAPTPAPSVSPTPSAPSSNACNLHKTRYLIAMITAVICFFYIGLH >Vigun07g189000.1.v1.2 pep primary_assembly:ASM411807v1:7:30696906:30701593:-1 gene:Vigun07g189000.v1.2 transcript:Vigun07g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVAPQLKLAICAILVLPFLVTTATAADIFLDWHVSTDFNLKPVSSDQPVITINGMFPGPLINTTTNDYIHVNVFNGLDDPLLFTWNGIHQRLDSWQDGVSGTNCPIPPGWNWTYDFQVKDQIGTFSYFPSINFLKAGGGYGPIRVNNRPVISVPFPKPEAEFDLLIGDWYSTSYKDIRYRLSAAEALPPDWMLINGKGPYMNNFSVSYESINVTQGKTYLLRISNVGTTWSFNFRIQNHQMVLVETEGSYVNQIELESLDVHVGQSYSVLVTANQDAADYYIVASPKMSNATDNSTLVGVAVLHYHNSTTPAKGSLPSGPDPFDLQFSINQAKSIRWNLTTGAARPNPQGTFNVSNVTISETFILNASIATIDGLSRYTVNNVSYLTPDTPLKLADYFSNGTGVYELDAFSKNSSNAKAVRGVFVASALHKGWTEIVLKSSLDMIDTWHLDGYSFFVVGMGEREWIPESRSTYNLYDPVDRSSVQVYPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDADPNPAKEKPPPPNRLLCGKYEPPAPTPAPSVSPTPSAPSSNACNLHKTRYLIAMITAVICFFYIGLH >Vigun07g189000.4.v1.2 pep primary_assembly:ASM411807v1:7:30696906:30701593:-1 gene:Vigun07g189000.v1.2 transcript:Vigun07g189000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCNKEVSFGQDSNSTTCDLICIKEKSANTSKARDCGGISMASGFVAPQLKLAICAILVLPFLVTTATAADIFLDWHVSTDFNLKPVSSDQPVITINGMFPGPLINTTTNDYIHVNVFNGLDDPLLFTWNGIHQRLDSWQDGVSGTNCPIPPGWNWTYDFQVKDQIGTFSYFPSINFLKAGGGYGPIRVNNRPVISVPFPKPEAEFDLLIGDWYSTSYKDIRYRLSAAEALPPDWMLINGKGPYMNNFSVSYESINVTQGKTYLLRISNVGTTWSFNFRIQNHQMVLVETEGSYVNQIELESLDVHVGQSYSVLVTANQDAADYYIVASPKMSNATDNSTLVGVAVLHYHNSTTPAKGSLPSGPDPFDLQFSINQAKSIRWNLTTGAARPNPQGTFNVSNVTISETFILNASIATIDGLSRYTVNNVSYLTPDTPLKLADYFSNGTGVYELDAFSKNSSNAKAVRGVFVASALHKGWTEIVLKSSLDMIDTWHLDGYSFFVVGVQVYPGGWSAVYVYPDNPGMWNLRSQNLQSWYLGEELYVRVYDADPNPAKEKPPPPNRLLCGKYEPPAPTPAPSVSPTPSAPSSNACNLHKTRYLIAMITAVICFFYIGLH >Vigun04g146250.1.v1.2 pep primary_assembly:ASM411807v1:4:36168602:36172074:-1 gene:Vigun04g146250.v1.2 transcript:Vigun04g146250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFEEKAPCCGSHFWILLSTCWGFVLFAAITSGLALGLLSFSQVDLEVLVKAGKPQIQRNAAKIMSVVKNENLLLCTLLVAKSMALEGVSVFMEKMFPEWISVLLSATMLAIIAEIIPQALCSRYGLSVGAALSPFVRALMLIFFPIAYPLSKLLDWLLGKGHTALLGRTELKTLVHLHANEAGKGGELTLHETKIIAGALDLTQKTAKDAMTPLSETFSLDINSKLDMHTMGLIMSKGHSRIPVYSGKQTNVVGIILVRNLIFCRPEDETPIKYMTIRRVPRVAEDWPLYDILNQFRNGQSHMAVVLKCEENIRTAATEREGKTPGLGSSCEPGDYSRISTDASDWHSQETEYYSATLKSVMNREGDSGLPQRISEEPDASSSFENVESFPTTDEEVIGIITLEDVMEELLQIYWMKLIIMLMFIKISRSNCNMQEECHLGHQEGLQVLVNNGEVQMHLEFTF >Vigun09g174400.3.v1.2 pep primary_assembly:ASM411807v1:9:34538879:34542430:-1 gene:Vigun09g174400.v1.2 transcript:Vigun09g174400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSKKAASNGHEKMVISKEHQAKITEVRKLIGPLSAKESLYCSDATITRYLSSRNWNVMKAAQMLKQSLKWRKEYKPEEIRWEEIADEAKTGLMYKPNYLDKYGRSVLVMRPCLQNSKSTQGQIKHFVYCMESAILNLAPNQEQVVWLVDFQGFKLSNISFKVAHETAQVVQEYYPKRLGLAILYNAPMIFQPFFSMVKPFLESETYNKIKFGYSNDHSTKKIMEDLFDKSILESAFGGNGETGVDLNKYAERMKEDDSKKLSFWTPVKSLSSVSHNAPLDSIRLDADSDASNNEKILVPN >Vigun09g174400.1.v1.2 pep primary_assembly:ASM411807v1:9:34538877:34542469:-1 gene:Vigun09g174400.v1.2 transcript:Vigun09g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSKKAASNGHEKMVISKEHQAKITEVRKLIGPLSAKESLYCSDATITRYLSSRNWNVMKAAQMLKQSLKWRKEYKPEEIRWEEIADEAKTGLMYKPNYLDKYGRSVLVMRPCLQNSKSTQGQIKHFVYCMESAILNLAPNQEQVVWLVDFQGFKLSNISFKVAHETAQVVQEYYPKRLGLAILYNAPMIFQPFFSMVKPFLESETYNKIKFGYSNDHSTKKIMEDLFDKSILESAFGGNGETGVDLNKYAERMKEDDSKKLSFWTPVKSLSSVSHNAPLDSIRLDADSDASNNEKILVPN >Vigun09g174400.2.v1.2 pep primary_assembly:ASM411807v1:9:34538875:34542505:-1 gene:Vigun09g174400.v1.2 transcript:Vigun09g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSKKAASNGHEKMVISKEHQAKITEVRKLIGPLSAKESLYCSDATITRYLSSRNWNVMKAAQMLKQSLKWRKEYKPEEIRWEEIADEAKTGLMYKPNYLDKYGRSVLVMRPCLQNSKSTQGQIKHFVYCMESAILNLAPNQEQVVWLVDFQGFKLSNISFKVAHETAQVVQEYYPKRLGLAILYNAPMIFQPFFSMVKPFLESETYNKIKFGYSNDHSTKKIMEDLFDKSILESAFGGNGETGVDLNKYAERMKEDDSKKLSFWTPVKSLSSVSHNAPLDSIRLDADSDASNNEKILVPN >Vigun04g161600.1.v1.2 pep primary_assembly:ASM411807v1:4:38379902:38384965:1 gene:Vigun04g161600.v1.2 transcript:Vigun04g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIATICFKPCIPFPVQCPKTCFRKFPLTTIPRSSLQDSQPPNSTQQQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLLLNHFLGSDAAAITPAQLSTEVLGLSLASFSIVLPYLGKFLKGAQPVDEKAIPEGTEQIFVMSTEVGNGLKEDLAWASYVLLRNTNAIAMLILIQGEICARGYWNIPDDTSKEILPDWFKKKIGKAGLYDLKDTLYFPQDADSEFQDLVPMGTRCLLIQPVFHGSDENDAGLQKPDGFILLASTTRYAFSNKDKAWIAAVANKFRGRVV >Vigun04g161600.2.v1.2 pep primary_assembly:ASM411807v1:4:38379902:38384965:1 gene:Vigun04g161600.v1.2 transcript:Vigun04g161600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIATICFKPCIPFPVQCPKTCFRKFPLTTIPRSSLQDSQPPNSTQQQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLLLNHFLGSDAAAITPAQLSTEVLGLSLASFSIVLPYLGKFLKGAQPVDEKAIPEGTEQIFVMSTEVGNGLKEDLAWASYVLLRNTNAIAMLILIQGEICARGYWNIPDDTSKEILPDWFKKKIGKAGLYDLKDTLYFPQDAGSGTYGDSLSSDPTSLPWF >Vigun04g161600.3.v1.2 pep primary_assembly:ASM411807v1:4:38379902:38384965:1 gene:Vigun04g161600.v1.2 transcript:Vigun04g161600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIATICFKPCIPFPVQCPKTCFRKFPLTTIPRSSLQDSQPPNSTQQQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLLLNHFLGSDAAAITPAQLSTEVLGLSLASFSIVLPYLGKFLKGAQPVDEKAIPEGTEQIFVMSTEVGNGLKEDLAWASYVLLRNTNAIAMEKYVQGDTGTYQTIHQKKFFRIGLRKRLEKLACMI >Vigun11g049400.4.v1.2 pep primary_assembly:ASM411807v1:11:7981272:7983621:1 gene:Vigun11g049400.v1.2 transcript:Vigun11g049400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWEDGFCRGRVSDCLEEIDGEDPIRKSFSKMSIQLYNYGEGLMGKVTSDKCHKWVFKEPTECEPNMTNYWQSSFDALPPEWIEQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSSSTSLPSKPSTIPIRPPPPTLNWGQRPIGSATSLLPSATFQHAGRMGFPQAKDETQMFLMPHASSSEHVRMGDMMGEHESDIKWPNGLSIFNVLTGRSDDAKLLFNQESANPTSAMQNAGVANPNEFLSLDGNNEGTRKVDKFKRCFTLPAKVVSSSSSTSMDHHHHQQASVEYRNSGGMYQDVMETFLE >Vigun11g049400.2.v1.2 pep primary_assembly:ASM411807v1:11:7980564:7984252:1 gene:Vigun11g049400.v1.2 transcript:Vigun11g049400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGTATDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSDCLEEIDGEDPIRKSFSKMSIQLYNYGEGLMGKVTSDKCHKWVFKEPTECEPNMTNYWQSSFDALPPEWIEQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSSSTSLPSKPSTIPIRPPPPTLNWGQRPIGSATSLLPSATFQHAGRMGFPQAKDETQMFLMPHASSSEHVRMGDMMGEHESDIKWPNGLSIFNVLTGRSDDAKLLFNQESANPTSAMQNAGVANPNEFLSLDGNNEGTRKVDKFKRCFTLPAKVVSSSSSTSMDHHHHQQASVEYRNSGGMYQDVMETFLE >Vigun11g049400.5.v1.2 pep primary_assembly:ASM411807v1:11:7981272:7983621:1 gene:Vigun11g049400.v1.2 transcript:Vigun11g049400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTSDKCHKWVFKEPTECEPNMTNYWQSSFDALPPEWIEQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSSSTSLPSKPSTIPIRPPPPTLNWGQRPIGSATSLLPSATFQHAGRMGFPQAKDETQMFLMPHASSSEHVRMGDMMGEHESDIKWPNGLSIFNVLTGRSDDAKLLFNQESANPTSAMQNAGVANPNEFLSLDGNNEGTRKVDKFKRCFTLPAKVVSSSSSTSMDHHHHQQASVEYRNSGGMYQDVMETFLE >Vigun11g049400.3.v1.2 pep primary_assembly:ASM411807v1:11:7981151:7983623:1 gene:Vigun11g049400.v1.2 transcript:Vigun11g049400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMLMWEDGFCRGRVSDCLEEIDGEDPIRKSFSKMSIQLYNYGEGLMGKVTSDKCHKWVFKEPTECEPNMTNYWQSSFDALPPEWIEQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSSSTSLPSKPSTIPIRPPPPTLNWGQRPIGSATSLLPSATFQHAGRMGFPQAKDETQMFLMPHASSSEHVRMGDMMGEHESDIKWPNGLSIFNVLTGRSDDAKLLFNQESANPTSAMQNAGVANPNEFLSLDGNNEGTRKVDKFKRCFTLPAKVVSSSSSTSMDHHHHQQASVEYRNSGGMYQDVMETFLE >Vigun11g049400.1.v1.2 pep primary_assembly:ASM411807v1:11:7980564:7983753:1 gene:Vigun11g049400.v1.2 transcript:Vigun11g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGTATDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSDCLEEIDGEDPIRKSFSKMSIQLYNYGEGLMGKVTSDKCHKWVFKEPTECEPNMTNYWQSSFDALPPEWIEQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSSSTSLPSKPSTIPIRPPPPTLNWGQRPIGSATSLLPSATFQHAGRMGFPQAKDETQMFLMPHASSSEHVRMGDMMGEHESDIKWPNGLSIFNVLTGRSDDAKLLFNQESANPTSAMQNAGVANPNEFLSLDGNNEGTRKVDKFKRCFTLPAKVVSSSSSTSMDHHHHQQASVEYRNSGGMYQDVMETFLE >Vigun02g064900.1.v1.2 pep primary_assembly:ASM411807v1:2:21365469:21367604:-1 gene:Vigun02g064900.v1.2 transcript:Vigun02g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGCVQVEQSSVAIREVFGKYDDVLEPGCHCVPWCLGSRVAGALSLRVKQLDVRCETKTKDNVFVTVVASIQYRALAEKAVDAYYKLSNTRAQIQSYVFDVIRASVPKMELDATFEQKNEIAKAVEEQLEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSENVPGTTSKDIMDMVLMTQYFDTMKDIGASSKSNAVFIPHGPGAVQDVASQIRNGLLQGNATQCS >Vigun02g174300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31675191:31676118:1 gene:Vigun02g174300.v1.2 transcript:Vigun02g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKSLSLFLFVAISCTVAAQAATFNVTNSCNFTVWAAAVPGGGVRLNPNESWSIEVANGTTGGRIWGRTNCTFDNAGRGNCLTGDCGGVLVCNNTYGTPPNTLVEFALNQYNNLDFYDISLVDGFNLPVQLNPTYNCSSVKCAADVIGECPTQLQAPGGCNNPCTVFNTTEYCCTSGSSGCGPTDYSKYFKEKCPDAYSYPMDDATSTFTCRGGSDYRVVFCP >Vigun03g298600.1.v1.2 pep primary_assembly:ASM411807v1:3:48665566:48668379:1 gene:Vigun03g298600.v1.2 transcript:Vigun03g298600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHCHLCATPPGILLLRAKQWNYQTHRYMVLFITFLAYACYHASRKPTGIVKSVLCPDHKDAMDGWIPFNGHDGPSKLGEIDVAFLACYSIGMYVAGHLGDTLDLRLFLSSGMVGSGIFVALFGMGYFWNVHRFWFYLSMQMIAGMFQATGWPSVVAVIGNWFGKRKRGLIMGVWNAHTSVGNICGSLIAASVLDYGWGWSFVAPGALIVSGGIIVFLFLAPYPEDVGFSSSSSSSSSAAAAVQVGDDKEALVHKTGDGALRQASVDRKGIGLVEACMIPGVIPFALCLFFAKLVAYTFLYWLPFYLTHTEIGGRYISVKSAGNLSALFDVGGIVGGILAGYISDKLNARALTAASFMYAAIPSMLLYQHYGSVSMNANIGLMMVTGLLVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAAVGPLLTGFLSTRGWTEVFIMLVVGAFIAGLLLSRLIIAEIAEINGRPLPTQATASQPLLE >Vigun03g141800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14269030:14270912:1 gene:Vigun03g141800.v1.2 transcript:Vigun03g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLNSYFTFATAIALLFSFHLSSADSYEDFVECLYNYPHITNSIANAVYTRTNSSYSSVLDVSIQNRRFLNITSKPKVIVTPLDVSHIQATIICAQRHGLQIRTRSGGHDYEGLSYIAGVPFVILDLINLRGIEVDVENSTAWVEAGATIGELYYRISQKSKTLGFPAGECPPVGVGGHFSGGGYGYMMRKYGLAADHVIDAKIVDVNGNLLDKETMGEDLFWAIRGGGGASFGVIVAWKIKVVPVPSTVTVFQVARTLEENATEIIHKWQRVANKFNESITMKANMVRVNSSKSGNPTVEAQFISLYLGRVDELIPMMQKSFPELGLVREECTEMSWIESILWKAGFADGEPTDVLLNRTQLIGLLFFKAKSDYVRDPIPDDGLEGLWPFFYEDEAKYAYIQFTPYGGRMDEISESETPFAHRSGYIFHIQYGVYWEEKGDEAAQRYMNWIRRVYKYMKPYVSESPRAAYMNYRDLDIGVNNNGYTSFSQASIWGFKYFGNNFNRLARVKTRVDPRNFFRNEQSIPPLISNGGANRPSWVHRMPL >Vigun09g190000.3.v1.2 pep primary_assembly:ASM411807v1:9:36480342:36483402:-1 gene:Vigun09g190000.v1.2 transcript:Vigun09g190000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGKSVSRSAEVDDDGKTKRTGNVFTTTTHIITVVVGAGVLALAWAMAQLGWIPGTITMIIFACISIYTYNLIADCYRYPDPINGKRNYTYMQAVHAYLGGTMHVFCGLIQYGKLAGITVGYTITSSTSLVAIKKAICFHKRGHQAYCKFSNNPYMIGFGMLQILLSQIPNFHKLTYISTVAAITSFGYAFIGSGLSLAVVVSGKGEPTRIFGSKVGPGLSEADKIWRVFSALGNIALACSYATVVYDIMVKMNFCILLNK >Vigun09g190000.1.v1.2 pep primary_assembly:ASM411807v1:9:36480342:36483402:-1 gene:Vigun09g190000.v1.2 transcript:Vigun09g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGKSVSRSAEVDDDGKTKRTGNVFTTTTHIITVVVGAGVLALAWAMAQLGWIPGTITMIIFACISIYTYNLIADCYRYPDPINGKRNYTYMQAVHAYLGGTMHVFCGLIQYGKLAGITVGYTITSSTSLVAIKKAICFHKRGHQAYCKFSNNPYMIGFGMLQILLSQIPNFHKLTYISTVAAITSFGYAFIGSGLSLAVVVSGKGEPTRIFGSKVGPGLSEADKIWRVFSALGNIALACSYATVVYDIMDTLKSHPPECKQMKKSNVLGITIMTLLFLLCGGLGYAAFGDHTPGNILTGFGFYEPFWLVALGNVFIVTHMVGAYQVLAQPLFRIIEMGANMVWPRSDFINKEYPTKIGPLTFSVNLFRLIWRTIYVAVATTIAMAMPFFNEFLALLGAIGFWPLIVFFPIQMHIAQKQIKRLSLKWCVLQLLSFVCFLVSVVAAVGSIRGISKNIRKYKLFMYKQ >Vigun09g190000.2.v1.2 pep primary_assembly:ASM411807v1:9:36480342:36483402:-1 gene:Vigun09g190000.v1.2 transcript:Vigun09g190000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGKSVSRSAEVDDDGKTKRTGNVFTTTTHIITVVVGAGVLALAWAMAQLGWIPGTITMIIFACISIYTYNLIADCYRYPDPINGKRNYTYMQAVHAYLGGTMHVFCGLIQYGKLAGITVGYTITSSTSLVAIKKAICFHKRGHQAYCKFSNNPYMIGFGMLQILLSQIPNFHKLTYISTVAAITSFGYAFIGSGLSLAVVVSGKGEPTRIFGSKVGPGLSEADKIWRVFSALGNIALACSYATVVYDIMDTLKSHPPECKQMKKSNVLGITIMTLLFLLCGGLGYAAFGDHTPGNILTGFGFYEPFWLVALGNVFIVTHMVGAYQVPFLFL >Vigun07g111800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20782206:20787355:1 gene:Vigun07g111800.v1.2 transcript:Vigun07g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLPFTIHVYKYRYRCTISSTSFLFQFSYTAMAKTPNFLRLIALFQLFFIAPSFAQQSFRPKALVVPVTKHASTLQYVTQINQRTPLVPLNLVLDIGGQFLWVDCDTSYISSTYRPARCRSAQCSLARSTACGDCFSAPRPGCNNNTCGLTPDNTITHTATSGELAEDTVSVQSTNGFNPGRNVTVSRFLFSCAPSFLLQGLATGVSGMAGLGRTKIALPSQFSSAFSFHRKFAVCLSSSKGVAFFGDGPYVLLPNIDASQLLTFTPLLLNPVSTASAFSQGEPSAEYFIGVKSIRIDGKAVRFNTTLLSINKHDGVGGTKISSVNPYTVLEASIFKALTEAFVKASAARNIKRVVGVAPFEVCFSNENVGATRLGAAVPTIELVLQNQKTIWRIFGANSMVSVSDKVLCLGFVNGGETPRTSIVIGGYQLEDNLLQFDLAASRLGFSSLLFGIRTTCANFNFTSAV >Vigun01g179100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35981663:35982296:-1 gene:Vigun01g179100.v1.2 transcript:Vigun01g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQPHLNGAYYGPAIPPAEPPRYRPHRERGCCCCLFGILWKILVVLIVLIGLAILIFWLVVQPRSFRFHVTEADLTQFDYNADNSTLNYNMVLNFTARNPNKNSTSTTTKWRH >Vigun07g156900.1.v1.2 pep primary_assembly:ASM411807v1:7:26798361:26815383:1 gene:Vigun07g156900.v1.2 transcript:Vigun07g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWFMGTPVNIIVGSHVWIEDPEVSWIDGQVLKIKGKDAEIETTNGKKVNANLSKIYPKDMEAPPGGVDDMTKLSYLHEPGVLQNLKARYELNEIYTYTGNILIAINPFQRLPHIYGAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMQYLAFLGGRAGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPHEEIEKYKLGNPKSFHYLNQSKCYELADVSDAREYLATRRAMDIVGISQKDQEAIFRVVAAILHIGNIEFTKGKDVDSSVPKDDKAKFHLKTTAELLMCDADALEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVDKINNSIGQDPKSKSLIGVLDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTIAHYAGEVLYQSDQFLDKNKDYVVPEHQDLLSASKCSFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQSLMDTLNSTEPHYIRCVKPNNLLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFGILAPETMEANCDEKSACQKILEKMELKGYQIGKTKVFLRAGQMAELDARRAQVLSNAAKVIQRRVRTHQARRHYLALRKKTIYVQSRWRGRLACKQYEHLRREAAAVKIQKNIRRHEARKAYKELHVAVLTLQRAIRATAARKEFRFRKQTKASIIIQARWRCHKAAIYYKRLKKGTIVTQCRWRGRVSRRELRKLKMAAKETGALQEAKDKLEKRVEELTWRLQLEKGLKTNLEESKAQEIAKLQNSLQELQIKYEETNALLIKERENVKKVVEEESPVIKETQVIVEDTQKTETLTAEIESLKTSLESEKLKADDFERKYNEAQACIDERGKRLEDMEKKGRHLQESLTRLEEKITNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRTESGHIIPEAKTPMSNQEMHSASMHRREPSDGLDDKPQKSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPAGVNLSLVNGSASRGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLCELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDIADIEPPPLIRENSGFSFLLPRPD >Vigun03g099900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8541400:8541528:-1 gene:Vigun03g099900.v1.2 transcript:Vigun03g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVICEILLSGFTINSSLRRRTHLVQSFSVVFLHWFYVFS >Vigun07g230300.1.v1.2 pep primary_assembly:ASM411807v1:7:35233464:35236130:1 gene:Vigun07g230300.v1.2 transcript:Vigun07g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLNVVEQTRAKGSACSGDFKFLVIIRRVSTLWNRSSKFLTTMGSMLDKFMWALLVECIFLSGLSHSGQASKYAFVREATSAPPFLSYDYIVIGGGTSGCPLAATLSQGARVLVLERGGSPYTNPERINLKNFVHSLADISPSSFSQPFISTDGVLNARARVLGGGSVLNAGFYSRASSDFIRISGWNESLAEESYKWVERKVVFEPPMLQWQSAVRDGLVEVGVLPYNNFTYDHLNGTKIGGTIFDKKGNRHTAADLLDYADPNKISVYLHATVQKILFRYNTGKRRPEAYGVMFVDALGEMHRAYLNRKGKNEIILSAGAIGSPQLLMLSGIGPAKHLQGHGITVVLDRQWVGQEMADNPMNVLVVPSPLPVEISLVQTVGITKSGSFIETGSGLSLGHSWSERLQKIFEFVSNQSGQPSTISSENKQRVSDFVRFLTGSTLKGGIIIEKITGPRSTGHLELITTNPNDNPSVTFNYFKDPEDLRICVEGMKTIIDVINSKALSKFRYPNLPVHALMELMLLIPMNLRPKHANAAHSLQQYCRDTVLTIWHYHGGCLTGKVVDHDYKVIGVEALRVIDGSTFYRTPGTNPQATVMMLGRYMGEKIINKRIFGGQKSEGIN >Vigun07g001300.1.v1.2 pep primary_assembly:ASM411807v1:7:119718:121224:-1 gene:Vigun07g001300.v1.2 transcript:Vigun07g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSLSLLPPIPSQPKTFSYSQTPLTKIFTNGNTPTRLFLLKAGFNEIEPDLNEDPRDVYATNGIDADDFEYGIYDGHHTYYEGEEQKRTFWGSIMEEIAAAEPPTGFQGLMSWLFPPAIALGVYFNVPGEYLYIAAGVFVIVFCIIEMDKPDKPHHFEPHIYNMERGARDKLINDYNTMNIWEFNEKYGDLWDTTIQKDDITKR >Vigun05g097900.1.v1.2 pep primary_assembly:ASM411807v1:5:9530247:9533424:-1 gene:Vigun05g097900.v1.2 transcript:Vigun05g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSLDVVKPPCNDIVSCNQTNSAAIQPCVPSAVINGCGDSTLGGHLARRLVEVGVTDVFSVPGDFNLTLLDHLIAEPALNVVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPLICVVGGPNSNDYGTSRILHHTIGLPDFSQELRCFQTITCFQAVVNNLEDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFSRDPVPFSLSPRLSNKLGLEAAVEAAAEFLNKAVKPVLVGGPKLRVATAGEAFVELADACGYALAVMPSAKGQVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIANGPAFGCVLMKDFLKGLAKRLKHNNTAYENYHRIFVPEGHPLKAAPKEPLRVNVLFQHIQNMLSGETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIAFIGDGSFQVTAQDVSTMLRSGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGKCWTAKVFCEEELVEAIATATGPKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Vigun03g253000.1.v1.2 pep primary_assembly:ASM411807v1:3:41919362:41925479:-1 gene:Vigun03g253000.v1.2 transcript:Vigun03g253000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSESEAALPLPLASESQQPYVSELLSFTLDRLHKEPELLRVDADRIRRQMQEVAVANYRSFIAAADALIAIRHEVSSIDNHLESLINEIPKLTSGCTEFIESAEQILEKRKMNQTMLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVAKLSTMHPKLPVIQALAAEVRLTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYGMRLLFLRCREAWLTGILEDLDQSNPYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLQTLKLMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMSTAVENFQLVLDSHRWVPLPAVGFSGSSVGDENHEDVTPPSNLMEHPPLAVFINGVSAAMNELRPCAPISLKHVLAQELIKGLRAVSDSLLLYNTTRVLRANESGLFLSLCRSFTEVAYPHCATCFGRCYPGGATLVMDAKNVYNGISRLLEASSARELPKAVNSGESKSVAVNGELPKMENGETADAKESETVNGKEVHAEDPEEVSKEGPEEGHTSHTDQEDTNLEKPLE >Vigun03g253000.2.v1.2 pep primary_assembly:ASM411807v1:3:41919362:41925524:-1 gene:Vigun03g253000.v1.2 transcript:Vigun03g253000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTMLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVAKLSTMHPKLPVIQALAAEVRLTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYGMRLLFLRCREAWLTGILEDLDQSNPYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLQTLKLMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMSTAVENFQLVLDSHRWVPLPAVGFSGSSVGDENHEDVTPPSNLMEHPPLAVFINGVSAAMNELRPCAPISLKHVLAQELIKGLRAVSDSLLLYNTTRVLRANESGLFLSLCRSFTEVAYPHCATCFGRCYPGGATLVMDAKNVYNGISRLLEASSARELPKAVNSGESKSVAVNGELPKMENGETADAKESETVNGKEVHAEDPEEVSKEGPEEGHTSHTDQEDTNLEKPLE >Vigun01g020200.1.v1.2 pep primary_assembly:ASM411807v1:1:2155405:2160766:-1 gene:Vigun01g020200.v1.2 transcript:Vigun01g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTACQLVKEFASGDKGQLVSFNSDLFQQVVAECSQHHLELQSLIRKIQEEGLDIQTARNADHYGALIHHLALVRNKRCLMAYVYNRAEIIRNLLWKIGHVLPQEIEEKLSHAEEEHFKKHSTALKSYMSKVMVDLTVDMVPPKDPYIKVRVLDDIGDGILLSDDKTANFACHSMHLLKRTDAEQFISRGQMEELSG >Vigun05g096900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9406257:9408457:1 gene:Vigun05g096900.v1.2 transcript:Vigun05g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALLLFLLFPFLLHQTNALIFEGFDENSEISLEGSSIIKTSRLLKLTNRSTNIIGHAFYKTPFQMLNITDPPLEPYAFSFTTYFVFSIVSPSSGSGGFGLAFTIAPSTHFPEADAGHYLGLFNPSNDGNASNHIFAVEFDTVNGYKGDADTEGNHVGVNVNGMDSGITEPAAYIEEGTESVKEDFRMAKVDAVQAWIEYDGEKRILNVTIAPWKLSKPSTPLIKNYNVDLSNVMKENMFVGFSASTGLETSSHYLLGWSFAVNGVAASLNMSNLPKPPPKEEDPSSFPWVNVAIGILSALTLSLLCVLILLTCYKRYMDFEVLEDWEMDCPHRFRYKDLHLATKGFKESQLIGIGGFGAVYKGVLPSSGTEVAVKRIVRSPFHGMREFAAEIESLGRLRHKNLVNLQGWCKKKNDLLLVYDFITNGSLDCVLYKPNNNVVLNWGQRFNILKGISAGLLYLHEEWEQVVIHRDVKTSNILIDGNLNARLGDFGLARLYNHGEVSHTTSVVGTIGYIAPELTRTGKASTRTDVYAFGVVLLEVVTGKRPLDSDQFFLVEWAIENYHLGQILEVVDPKLDSVYDEEEVELVLKLGLLCTQHRSDCRPSMKQVTRYLNFDDPLPDIGDLGYGGYSNGSSRMNSGFLEVTPSLRTVETSGYLSSMSMSTKSLDAGR >Vigun02g028438.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10420900:10421487:1 gene:Vigun02g028438.v1.2 transcript:Vigun02g028438.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTMATTTTALATTTATTTTTTTTANTTTTTTTTTNATTAMETTTMTSTTTTTTTTTITTITTTTTATNLNHHTHPYHTTTTITTTTTTTTPTITTSTTTTTTTSTTASTTTATTKATKATTTTTTTTTTSTTTTTTTITTTTTITTTVTNTMTTTITITTNTIAATTTNTATATTNPTTTPSKSTTTTKQ >Vigun01g097000.1.v1.2 pep primary_assembly:ASM411807v1:1:26277312:26308724:-1 gene:Vigun01g097000.v1.2 transcript:Vigun01g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIFDGQLFAEQLSKVSNTQQSIQSLSQSCISHQKRAKEIVETWVKLFNASQRDQRIAYLHLANDILQNSRRKGSEFVNEFWKVLPEALRRVCESNDDSGKKAVARLVQVWEERKVFGSRLQGLKDEIIIMGKVPPPSTSNGKISNSIKIAKRDAHVRIKLAVGCLPEKILSAFQPVLDEHLNEEAALKNCSASVHGVGKVVEDVENTLSQGNQLGSTLVNDLLNDLQEQEKKLKQYMEQLEKAEAARDSLLSQLKHALQEQESRQELVHAQLLVARGQIEKAVGIKKRLNQAAEAADPSQPAFTQSVPFAPFQTTEDDNKKAKEAAAAVAAKLAASASSAQMLTSVFCSFVAEEAASLNGSLNSTGFSSGLPIFNPEKRPKLEKPTPVLDVNSSDMTSSSFYAAPIQQPSLASVPIAPSVGMQTGSQANQLQAAFASAPPPPPHSSANQPSNQYVQSTGLMAGAIPYGYGPSLPPPPPLPPHVAMGLSMPGNQSAQQQQQASPGGYYRPPGIGFYGQNHPSTPPPVPRQ >Vigun01g097000.2.v1.2 pep primary_assembly:ASM411807v1:1:26277312:26308724:-1 gene:Vigun01g097000.v1.2 transcript:Vigun01g097000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIFDGQLFAEQLSKVSNTQQSIQSLSQSCISHQKRAKEIVETWVKLFNASQRDQRIAYLHLANDILQNSRRKGSEFVNEFWKVLPEALRRVCESNDDSGKKAVARLVQVWEERKVFGSRLQGLKDEIIIMGKVPPPSTSNGKISNSIKIAKRDAHKLAVGCLPEKILSAFQPVLDEHLNEEAALKNCSASVHGVGKVVEDVENTLSQGNQLGSTLVNDLLNDLQEQEKKLKQYMEQLEKAEAARDSLLSQLKHALQEQESRQELVHAQLLVARGQIEKAVGIKKRLNQAAEAADPSQPAFTQSVPFAPFQTTEDDNKKAKEAAAAVAAKLAASASSAQMLTSVFCSFVAEEAASLNGSLNSTGFSSGLPIFNPEKRPKLEKPTPVLDVNSSDMTSSSFYAAPIQQPSLASVPIAPSVGMQTGSQANQLQAAFASAPPPPPHSSANQPSNQYVQSTGLMAGAIPYGYGPSLPPPPPLPPHVAMGLSMPGNQSAQQQQQASPGGYYRPPGIGFYGQNHPSTPPPVPRQ >Vigun07g177100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29241452:29243038:-1 gene:Vigun07g177100.v1.2 transcript:Vigun07g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSLHVLNALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRLYYTEGYDKPGSLPANVSAAINGVAFCGSLAGQLFFGWLGDKMGRKRVYGMTLMLMVISSIASGLSFGKDPKAVMATLCFFRFWLGFGIGGDYPLSATIMAEYANKKTRGAFIAAVFAMQGFGILAGGVVAIVVSAIFSALYPSPTFQVNPILSTVPQADYVWRMILMFGAIPAVITYYWRMKMPETARYTALVAKNTKQAAADMSKVLQVEIEAEAEKVEELEGRRERGNEFGLFTKQFLLRHGLHLVGTASTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMNAIEEVYRIARAQTLIALCSIVPGYWFTVALIDRMGRFTIQLMGFFFMTVFMLALAIPYHHWTMSGNQIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMVGAFGFLYAQNAIGLRNTLIILSAINFFGFLFTFLIPESKGKSLEEMSGEAEQNDTPATASAMEAGLEVRTSV >Vigun08g136900.1.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVSCPKLKTIFSTAVVTSLPMLEHLRIYNCDELEQIFDLGDAQQLKTLSSSQQLCFPKLSSIRVTNCNMLKYLFYNIPASHFTSVIQLEISNCSQLHKAFGFEHEADDDGWEEMGKDGKQLLLHNLKFITLTDLPNFQEIHHGFKLKEVVQHIIRECPVYSPSLYLHPDM >Vigun08g136900.5.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVMRNNSKPYLLPNNSASQNSLPFELQTAIC >Vigun08g136900.2.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVSCPKLKTIFSTAVVTSLPMLEHLRIYNCDELEQIFDLGDAQQLKTLSSSQQLCFPKLSSIRVTNCNMLKYLFYNIPASHFTSVIQLEISNCSQLHKAFGFEHEADDDGWEEMGKDGKQLLLHNLKFITLTDLPNFQEIHHGFKLKEVVQHIIRECPVYSPSLYLHPGDT >Vigun08g136900.3.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVSCPKLKTIFSTAVVTSLPMLEHLRIYNCDELEQIFDLGDAQQLKTLSSSQQLCFPKLSSIRVTNCNMLKYLFYNIPASHFTSVIQLEISNCSQLHKAFGFEHEADDDGWEEMGKDGKQLLLHNLKFITLTDLPNFQEIHHGFKLKEVVQHIIRECPVYSPSLYLHPDM >Vigun08g136900.4.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVMRNNSKPYLLPNNSASQNSLPFELQTAIC >Vigun08g136900.6.v1.2 pep primary_assembly:ASM411807v1:8:30885526:30897925:-1 gene:Vigun08g136900.v1.2 transcript:Vigun08g136900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFFLSIASKIAEYAVHPIIHHAQYLCCFKNFASSLPNTKEQLELTRDKVKDRIREAINKVEKVEPAVEKWLKDVEKVLEEVKILEEKILSVNKSVFRRKCQYSLAKEIERKTIEMIELDHNSKFEPFSRITELPGMKYYSSNNFFMFNSTEASCNKLLEELKNKSVLMIGLVGLGGSGKTTLAKEVGKKAEEMRIFEKVVFATVSQPLNIRSIQDQIVDQLGFKLMEESDIGRAQRLSERLRKGTTLVILDDVWEKINFQALGIPLDESGKGCCVFITTRSKEVCTYMQCQCTIELDLLSDEEAWTLFTHYSNISDDSSEALKGVARKIVNECKGLPIAIVTVGSTLKEKSIEDFELALSRLENSKPLDIPQGLRSPYVCLELSYTNLTNQLSQSLLLLCSMFPEDCEIDLEDLFRFGRGFGTIGTFGTMENARREMHVAIKLLMNCCLLMHGKEKQRVKLHDLVRDVALWIASKSGQAIFTRTEVDPKELADDDVMKDMKAIAIWGLNSYHLLNYKLNYPILEILLLSFEVSGVKVSDGCLQSLAKLKTLAIINEWNEGALPLQESLNSLKNIRTLCLRGHDLGDISFVERLQALEILDLRGSYFDDLPVGIVELKKLKLLDLYKCVIKKNKNVEAYEVVKKCLQLEELYLCLIEYEKAFPHDVSFSRLQRYVIMSGYLFSHKHYLCRGDLMVMKKYAQSRSLLIDEFNVGAQSFISSPIKDLFIRAEYLNLRNLKGDYKNIIPSMDPQGMNQLVALSLKYCSKIECLIDSTINSNTSFGLLQTEVVFSTIVYLSLVDLDNLREVFCDPSSRCSLKNLQELEIERCYKLISVSFPMSSKLCNLKVLTISECPMLSSLFTPSVVQTLELLEVLRIYRCKSLRHIIEEENDVLSSTQSHSSLTLQKLRTLQISSCDNLEYIFSVFLVEGLVSLESVEISCNEKLKYVFGNEKEHNLAGYPSFQQTNSERNLPNLKSMELRSLPNLIDFWPEYCRAHLPSLNGLYCEECPKLSNSSIHKVMTASDIQQQTTPVENDILWLISNTLKQLDDDPLSHPQLKVFLKFRILWLSDLKIKGIFEFQMGEEGGTAQLLPLNLDMAWLQLLNLPELNFIWKGPTAFLSFQNLRDIDVVMRNNSKPYLLPNNSASQNSLPFELQTAIC >Vigun05g023100.1.v1.2 pep primary_assembly:ASM411807v1:5:1937387:1946310:-1 gene:Vigun05g023100.v1.2 transcript:Vigun05g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVDCVQKSLRHFMHANAIFLCHRLCAEFPTETNLQLLAGCYLQNNQAYSAYHILKGAQMAQSRYLFAISCFQMDLLSEAETALCPASEPSVEVPNGAAGHYLLGLIYRYTDRRKSAIHHFKQALSMDPLIWAAYEELCILGAAEDATAVFGEAAALCVQKQYLHCSTTPKLQSSAEDCNLVDTRHSVSEDTSPRQLKLMQGLKDVPGNHHGASILGGTSQPINSGLSNISFYNTPSPMATQLSGVAPPPLCRNLQPNGQNLSTVNTDSSPKSSVNSTIQAPRRKFVDEGKLRKISGRLFADSGPRRSSRLSSDASLNANAAVVSGNGTGNSSKYLGGSKLSSMSFRSMAVRKGQPWPNENADEGTRNDVLDDSRLNVTSSTSSSTPIMDAKSYEQEAANFSIGGQTVSGSKVISGASEILTLLRIFGEGCRLAYSYSCQDALDTYMKLPHKHYNTGWVLSQVGKVYFELVDYLEADQAFGLARQVMPYSLEGMDVYSTVLYHLKEDMKLSYLAQELISTDRLSPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKCYQSALRVDARHYNAWYGLGMVYLRQEKFEFSEHHFRMAFQINQRSSVIMSYLGTALHALKRSEEALMVMEKAILADKKNPLPMYQKANILLSLEKFEEALEVLEELKEYAPRESSVYALMGRIYKRRNMHERAMLHYGISLDLKPSATDAASIKAAIEKLHVPDEMEDNL >Vigun02g148100.1.v1.2 pep primary_assembly:ASM411807v1:2:29548742:29549342:1 gene:Vigun02g148100.v1.2 transcript:Vigun02g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGGSLSRSLLSAARTIPTRSSASRLQRSSSPSLRSHLLHPRRPLFTLPRTIGILGCTQSLMPLHSADAAARLTSHISVESRACCELSQGT >Vigun11g004400.1.v1.2 pep primary_assembly:ASM411807v1:11:436719:440191:1 gene:Vigun11g004400.v1.2 transcript:Vigun11g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPRVMEKVACQLHLRTGLPLYQQRRSFQNYSNAALSFQKHSNAAFQYPMAPQCRGAIDLSAVAPFSPVFVAAPAEKGNFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTINEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMHAFTQILQNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Vigun11g004400.4.v1.2 pep primary_assembly:ASM411807v1:11:432175:440174:1 gene:Vigun11g004400.v1.2 transcript:Vigun11g004400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPRVMEKVACQLHLRTGLPLYQQRRSFQNYSNAALSFQKHSNAAFQYPMAPQCRGAIDLSAVAPFSPVFVAAPAEKGNFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTINEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMHAFTQILQNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Vigun11g004400.2.v1.2 pep primary_assembly:ASM411807v1:11:432380:440191:1 gene:Vigun11g004400.v1.2 transcript:Vigun11g004400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPRVMEKVACQLHLRTGLPLYQQRRSFQNYSNAALSFQKHSNAAFQYPMAPQCRGAIDLSAVAPFSPVFVAAPAEKGNFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTINEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMHAFTQILQNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Vigun11g004400.3.v1.2 pep primary_assembly:ASM411807v1:11:438100:440191:1 gene:Vigun11g004400.v1.2 transcript:Vigun11g004400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPRVMEKVACQLHLRTGLPLYQQRRSFQNYSNAALSFQKHSNAAFQYPMAPQCRGAIDLSAVAPFSPVFVAAPAEKGNFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTINEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMHAFTQILQNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Vigun11g004400.5.v1.2 pep primary_assembly:ASM411807v1:11:436719:440174:1 gene:Vigun11g004400.v1.2 transcript:Vigun11g004400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPRVMEKVACQLHLRTGLPLYQQRRSFQNYSNAALSFQKHSNAAFQYPMAPQCRGAIDLSAVAPFSPVFVAAPAEKGNFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTINEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMHAFTQILQNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >Vigun09g255100.1.v1.2 pep primary_assembly:ASM411807v1:9:42158174:42161707:1 gene:Vigun09g255100.v1.2 transcript:Vigun09g255100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTKFFNFCSSSLMLRLMLLLVLSLRTKGLVTLPPNVSIPAVIGFGDSIIDPGNNNKVKTLVKCNFPPYGKDFDGGIPTGRFCNGKIPSDMLVEELGIKKLLPAYLDPNLTPSDLLTGVCFASGASGYDPLTPKIASVISMSEQLEMFKEYVEKLKQIAGVDRTNFIIANSFFLVVAGSDDIANTYFTVHARQLQYDIPAYTDLMCNSASDFIKELYELGARRIGVLSAPPIGCVPSQRTLAGGLERECAGDHNYAAKLFNSKLSRTLDSLGELPDSKIVYIDVYNPLLDIIVNYQSYGYEVMDSGCCGTGKLEVSVLCNPLDTTCSDASKYVFWDSYHPTEKVYKQLVGQILQNYLSRFF >Vigun03g110800.2.v1.2 pep primary_assembly:ASM411807v1:3:10017832:10026501:1 gene:Vigun03g110800.v1.2 transcript:Vigun03g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTITNAILGLSLDDSPSNLAAATLLYILTSDGQDDHLLESPGCIQFLIKFLRPIVTTAIKDKTPKFGYKLLSLRQNDDMLKNTTGRLDSSSSEVFSRVQEILVNCKQLKACQIDNGVERPELCPKWLALLTMEKACLSAISLDETSGAVRKTGGNFKEKLREHGGLDAVFEVTMDCHSDLENWMKDSSLSTKGSRNDKRIKSLTLLLKCLKIMENATFLSNDNQSHLLEMKRKLSPRGPPISFTELIIAVIKVLSDLCLRRCASATSNDNKSDDLFSMASHCSELDQLRDYKENETLSRGSNREYHGAERGSYVKSSNASQISRILTCNRLESSLSISETPSTSTTDTYSLKMRVSSSTSGSCSGASKSSYCKTSMIQNNSRKNVRFMESTPVVILDDSQDPFAFDEDDIAPSKWDLLSGKQKKSHSKKHVVASKEFEIECQSHTAVSQQELSNGDVNCSSSDVGDEKDSSLLTDCLLTAVKVLMNLTNDNPVGCHQIATYGGLETMSMLIAYHFPSFSSPLSFDQIKENAARNTKDHQSDRHLTDHELDFLVAILGLLVNLVEKDGHNRSRLAAASVLLPSSAGLCQEVRRDVIQLLCSIFLANLGESEGDGEDKHLQLNDEAAVLQSEKEAEKMIVEAYSALLLAFLSTESKSIRAAIADNLPDHNLASLVPVLDRFVEFHLSLNMISPETHKTVSEVIESCRIR >Vigun03g110800.1.v1.2 pep primary_assembly:ASM411807v1:3:10016451:10026501:1 gene:Vigun03g110800.v1.2 transcript:Vigun03g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRNRPLSGTCSGSSSLNDDVSEPFSQETGDPLCAFAFSSQDSSSQHWPLFDSEIDDLCPERESKRARRGAEKRSAGAEGIPATSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPLRIRRSSLVSLLTICSTTHQRRLLRTQGMAKTITNAILGLSLDDSPSNLAAATLLYILTSDGQDDHLLESPGCIQFLIKFLRPIVTTAIKDKTPKFGYKLLSLRQNDDMLKNTTGRLDSSSSEVFSRVQEILVNCKQLKACQIDNGVERPELCPKWLALLTMEKACLSAISLDETSGAVRKTGGNFKEKLREHGGLDAVFEVTMDCHSDLENWMKDSSLSTKGSRNDKRIKSLTLLLKCLKIMENATFLSNDNQSHLLEMKRKLSPRGPPISFTELIIAVIKVLSDLCLRRCASATSNDNKSDDLFSMASHCSELDQLRDYKENETLSRGSNREYHGAERGSYVKSSNASQISRILTCNRLESSLSISETPSTSTTDTYSLKMRVSSSTSGSCSGASKSSYCKTSMIQNNSRKNVRFMESTPVVILDDSQDPFAFDEDDIAPSKWDLLSGKQKKSHSKKHVVASKEFEIECQSHTAVSQQELSNGDVNCSSSDVGDEKDSSLLTDCLLTAVKVLMNLTNDNPVGCHQIATYGGLETMSMLIAYHFPSFSSPLSFDQIKENAARNTKDHQSDRHLTDHELDFLVAILGLLVNLVEKDGHNRSRLAAASVLLPSSAGLCQEVRRDVIQLLCSIFLANLGESEGDGEDKHLQLNDEAAVLQSEKEAEKMIVEAYSALLLAFLSTESKSIRAAIADNLPDHNLASLVPVLDRFVEFHLSLNMISPETHKTVSEVIESCRIR >Vigun03g110800.3.v1.2 pep primary_assembly:ASM411807v1:3:10019597:10026501:1 gene:Vigun03g110800.v1.2 transcript:Vigun03g110800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHSDLENWMKDSSLSTKGSRNDKRIKSLTLLLKCLKIMENATFLSNDNQSHLLEMKRKLSPRGPPISFTELIIAVIKVLSDLCLRRCASATSNDNKSDDLFSMASHCSELDQLRDYKENETLSRGSNREYHGAERGSYVKSSNASQISRILTCNRLESSLSISETPSTSTTDTYSLKMRVSSSTSGSCSGASKSSYCKTSMIQNNSRKNVRFMESTPVVILDDSQDPFAFDEDDIAPSKWDLLSGKQKKSHSKKHVVASKEFEIECQSHTAVSQQELSNGDVNCSSSDVGDEKDSSLLTDCLLTAVKVLMNLTNDNPVGCHQIATYGGLETMSMLIAYHFPSFSSPLSFDQIKENAARNTKDHQSDRHLTDHELDFLVAILGLLVNLVEKDGHNRSRLAAASVLLPSSAGLCQEVRRDVIQLLCSIFLANLGESEGDGEDKHLQLNDEAAVLQSEKEAEKMIVEAYSALLLAFLSTESKSIRAAIADNLPDHNLASLVPVLDRFVEFHLSLNMISPETHKTVSEVIESCRIR >Vigun03g011700.1.v1.2 pep primary_assembly:ASM411807v1:3:786481:788781:-1 gene:Vigun03g011700.v1.2 transcript:Vigun03g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGDRGGFGRGFGGRGRGDRGRGGRRRASGRRDEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEYTDLLAKPTGKALILEEERVEA >Vigun04g023000.2.v1.2 pep primary_assembly:ASM411807v1:4:1758865:1761004:-1 gene:Vigun04g023000.v1.2 transcript:Vigun04g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTENLQLQSIRPSSFPFLDIDPSMELINQFIGINQHVIDNSNLTMHNLMPFSCDTFLGPQEPEFPGNLDENFPALVHHVNHNALPVSLPIFQAENEIHEGKKRKSLDLPETSSANSTPAVSESGSKIKQGSGKGKRAKSNVTEEEKAKEVVHVRARRGQATDSHSLAERVRRGKINEKLRCLQNIVPGCYKTMGMAVMLDEIINYVQSLQHQVEFLSLKLTAASTFYDFNSETDALETMQSKSIRGKRVREV >Vigun04g023000.1.v1.2 pep primary_assembly:ASM411807v1:4:1758865:1761004:-1 gene:Vigun04g023000.v1.2 transcript:Vigun04g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTENLQLQSIRPSSFPFLDIDPSMELINQFIGINQHVIDNSNLTMHNLMPFSCDTFLGPQEPEFPGNLDENFPALVHHVNHNALPVSLPIFQAENEIHEGKKRKSLDLPETSSANSTPAVSESGSKIKQGSGKGKRAKSNVTEEEKAKEVVHVRARRGQATDSHSLAERVRRGKINEKLRCLQNIVPGCYKTMGMAVMLDEIINYVQSLQHQVEFLSLKLTAASTFYDFNSETDALETMQRARASEAKELGRYKREGYGGVSCFQPSWPL >Vigun03g157800.1.v1.2 pep primary_assembly:ASM411807v1:3:17060123:17073809:1 gene:Vigun03g157800.v1.2 transcript:Vigun03g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQVQQPVSLSLSFSLSVVSDASAPSSSGEQSSPADAVIFFGLSLALGIACRHLLRGTRVPYTVALLIIGIALGSIEYGTHHRLGKIGDGIRLWSEIDPDLLLAVFLPALLFESSFLMEVHQIKRCLAQMILLAGPGVALSTVCLGAVMKLTFPYNWGWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYTLFYRMVLGETFNWAAIIKFLAQVSLGAVGMGLAFGIASVLWLGFIFNDTVIEIALTFAVSYIAYFTAQEGSGVSGVLTVMSLGMFYSAFARTAFKGESQQSLHHFWEMIAYIANTLIFILSGVVIAEGILGDNTVFYHGTSWTHLLLLYVYVQVSRCIVVGVLFPFLRYFGYGLDWKEAIILIWSGLRGAVALALSLSVKRSGGKSIELTPETGTLFVFFTGGTVFLTLIVNGSTTQLMLRYLGMDSISAAKRRILDFTKHEMLDKALEAFSELGDDEELGPADWTTVKRYISCLKDIEGERVHPHGATENDSHLDPMNLKDIRVRLLNGVQAAYWEMLDEGRISQTTANILMLSVEEAIDLASSESLCDWKGLKSNVHFPSYYKFLQSNTFPPKLVTYFTVQRLESACYICAAFLRAHRIARQQLHDFIGDSDVASAVINESVVEGEEARKFLEDVNVTYPQVLRVVKTRQVTYAVLHNLLEYVQNLEKTGILEEKEMLHLHDAVQTDLKKLLRNPPLVKLPKISSIHPMLGALPSSVRESLTKSTKEMMKFRGVTLYKEGAKSNGIWLISNGVVKWESKMTTTKHSFYPTFTHGSTLGLYEVLTGRPYICDVITDSVVFCIFLEASKIISCLKSDPSTEKFLWEESAIFLSKLLVPQIFGKLAMQDLRALIADPERSRMTIFIRGETIEIPHHSVALLLEGYVKTQGRQELVTAPAALLPSSGNLSFQNLAGSGSKGASFTHQGSIYLVETTARVILFDIPASESDASFVRRSSSLLLHAGDHHHRSFRRKHSGLMSWPEHFYKHKNNEQTSEGIERQTYSLSARAVHLSIYGSMVHIPRRSRSLSSHHGRAPPHSLSYPIMEPHRPLVSVKSEGAATAKKVHEVTRQVPNPPSQSSERRKQHHGHGENSSDDEEEDVIVRIDSPSTLSFR >Vigun03g311500.1.v1.2 pep primary_assembly:ASM411807v1:3:50440647:50445376:-1 gene:Vigun03g311500.v1.2 transcript:Vigun03g311500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSMAASIGVSVPVLRFLLCFAATVPLSFICRFLPRGLPKHLYSAAVGVVLSYLSFGVSSNLHFLVPMFLGYASMLLFRPRCGILTFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAVNYNDGLLKEEGLREAQKKYRLVKLPSLLEYIGYCLCCGSHFAGPVYEMKDYLDWTEEKGIWSPEAKGPSPSPYGATIRALLQAGFCMAMYLNLVPHFPLSKFTDPTYHEWCFWKKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDIIGVEFAKSAVTIPTVWNIQVSTWLRHYVYERLIQSGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVPPTKALAKNVLVIMNFAYTLLVLNYSCVGFMVLSLNETLASYGSVYYVGTIVPVVTILLGKVIKPGRPARSKAEKEQ >Vigun09g037500.2.v1.2 pep primary_assembly:ASM411807v1:9:3319861:3335658:1 gene:Vigun09g037500.v1.2 transcript:Vigun09g037500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIDQFRQILEEGSSPEIDDYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASAAFKIVLDGVRDNVPALLGQACVEFNRGRYSDSLDLYKRALQVFPNCPAAVRLGIGLCRYKLGQFEKAQQAFERVLHLDPENVEALVALAIMDLRTNEASGIRKGMVKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEVNKPHEFVFPYYGLGQVQIKLGDFKSALSNFEKVLEVYPDNCETLKALAHIYVQLGQTDKGQDFIRRATKIDPRDAQAFLELGELLILSDTGAALDAFKTARTLFKKGGQEVPIELLNNVGVLQFERGEFELAQQTFKEALGDGVWLSFINEENKSSVDAATSTLQFKDMQLFHDLESNGHHVEVPWDKVTVLFNLARLLEQLNESGTASILYRLILFKYPDYIDAYLRLAAIAKARNNILLSIELVNDALKVNDKCPNALSMLGELELKNDDWVKAKETLRAASDATDGKDPYATLSLGNWNYFAAVRNEKRNPKLEATHLEKAKELYTRVQEAASGSVFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYHNTDSQILLYLARTHYEAEQWQDCIKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKAKRTADEVRATVAELQNAVRVFSQLSAASNLHIHGFDEKKIDTHVGYCNHLLSAARVHLEAAEREEQQVRQRQELARQVALAEEARRKAEEQRKFQMERRKQEDELKRVQQQEEHFKRVKEQWKSSTHSKRRERSDDEEAGTGEKKKRKSGKKRKKDKHSRSRYDTEEPEADMMDEQEMDEEEADAYREEPPSVMNDEEENAQGLLAAAGLEDSDAEEEMAAAPSSSIARRRQALSESDDDEPLQRQSSPARENSVDMQESDGEIRDVHKTHADDGSDDDKQY >Vigun09g037500.1.v1.2 pep primary_assembly:ASM411807v1:9:3319861:3335658:1 gene:Vigun09g037500.v1.2 transcript:Vigun09g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIDQFRQILEEGSSPEIDDYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASAAFKIVLDGVRDNVPALLGQACVEFNRGRYSDSLDLYKRALQVFPNCPAAVRLGIGLCRYKLGQFEKAQQAFERVLHLDPENVEALVALAIMDLRTNEASGIRKGMVKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEVNKPHEFVFPYYGLGQVQIKLGDFKSALSNFEKVLEVYPDNCETLKALAHIYVQLGQTDKGQDFIRRATKIDPRDAQAFLELGELLILSDTGAALDAFKTARTLFKKGGQEVPIELLNNVGVLQFERGEFELAQQTFKEALGDGVWLSFINEENKSSVDAATSTLQFKDMQLFHDLESNGHHVEVPWDKVTVLFNLARLLEQLNESGTASILYRLILFKYPDYIDAYLRLAAIAKARNNILLSIELVNDALKVNDKCPNALSMLGELELKNDDWVKAKETLRAASDATDGKDPYATLSLGNWNYFAAVRNEKRNPKLEATHLEKAKELYTRVLIQHSSNLYAANGAAVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYHNTDSQILLYLARTHYEAEQWQDCIKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKAKRTADEVRATVAELQNAVRVFSQLSAASNLHIHGFDEKKIDTHVGYCNHLLSAARVHLEAAEREEQQVRQRQELARQVALAEEARRKAEEQRKFQMERRKQEDELKRVQQQEEHFKRVKEQWKSSTHSKRRERSDDEEAGTGEKKKRKSGKKRKKDKHSRSRYDTEEPEADMMDEQEMDEEEADAYREEPPSVMNDEEENAQGLLAAAGLEDSDAEEEMAAAPSSSIARRRQALSESDDDEPLQRQSSPARENSVDMQESDGEIRDVHKTHADDGSDDDKQY >Vigun05g085100.1.v1.2 pep primary_assembly:ASM411807v1:5:8056626:8062008:-1 gene:Vigun05g085100.v1.2 transcript:Vigun05g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSGFTKGGYKPSQTHLLFPANLPDTVNTEHVELDFSDVFGPVTVDLNNIDCTTGEPVEDVNELVYDDPPVIHTRSHSLVGPSTCVSQSLKLSKLTIHETEDSLELVDYVDEETFKDIKESSFVEESLKDEDGNISKTQRVSIEDFDILKVVGQGAFAKVYQVRKKGTSEIYAMKVMRKDKIMEKNHTEYMKAERDIWTKIEHPFVVQLRYCFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSNGIMHRDLKPENILLDADGHVMLTDFGLAKQFEESTRSNSMCGTLEYMAPEIILGKGHDKAADWWSVGVLLFEMLTGKPPFCGGSRDKIQQKIVKDKIKLPAFLSSEAHSLLKALLQKEATKRLGCGARGVEEIKGHKWFKPINWRKLEERAIEPSFRPEVAGTHCVANFEKRWTDMPVVDSPAASPNGGNPFKDFSYVRPAPSFLQRNSPAY >Vigun03g019700.1.v1.2 pep primary_assembly:ASM411807v1:3:1425627:1433126:1 gene:Vigun03g019700.v1.2 transcript:Vigun03g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCINCSGIHREFTHRVKSISMAKFTALEVSALQEGGNQRAKEIYFKEWDPQRHSLPDSSNVDRLRDFIKHVYVDRRFSGERTNDKPPRAKGDKDDSYENKRTETYQGGPKSPPYEDRYSDRSSPGGRSPGYDQENRQYGGDYKISPGRPPIINDWRREERFGDGRKYEDNKISDGNHKWEGHSPDRTKDSGSSSPPIVRPVREILGENVVPLRISEPPKTNKGLAANGSTLTQRTASSSSLASSHGTPVEVKPETLKSLIDFDDDPEPSAAPAIPQAHQTAVAELGMPANSNNNNWASFDFAPEAKTPHGPSNVNPLESMLTQLTGPVSLPSQVSQAQGPVTGSTLSSATAVGGTFASSFSTFPPSDASVTSSGLMTASILNNAGQWSSLQYQQQQPLFAAAASQPSIQQATPPVGGALNNQPWTIPSVPSVQGHPNTSMPHASHLVPKSANEAKSSVVLQSSTVDMKPSGRSELPEDLFTVKYSSFPAPVPGWQMGLPPSMGISIPYNNAVPIPSFPQASKSKNPFDVHNEPTPVQAPTFPSMSSLQGALPIPSATMHSSNMGNPSLAWNPPSSSYVSVPPPQAQTLAPAMGPGAYMGQQMASNMPMQRHQGFGNFTAEGTAFGFSNSDQQLSGSRLSAAVTPNNFQAGGNPFG >Vigun03g019700.2.v1.2 pep primary_assembly:ASM411807v1:3:1426022:1433126:1 gene:Vigun03g019700.v1.2 transcript:Vigun03g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKEDEKNERIIRGLLKLTPNRRCINCNSLGPQYVCTNFWTFVCINCSGIHREFTHRVKSISMAKFTALEVSALQEGGNQRAKEIYFKEWDPQRHSLPDSSNVDRLRDFIKHVYVDRRFSGERTNDKPPRAKGDKDDSYENKRTETYQGGPKSPPYEDRYSDRSSPGGRSPGYDQENRQYGGDYKISPGRPPIINDWRREERFGDGRKYEDNKISDGNHKWEGHSPDRTKDSGSSSPPIVRPVREILGENVVPLRISEPPKTNKGLAANGSTLTQRTASSSSLASSHGTPVEVKPETLKSLIDFDDDPEPSAAPAIPQAHQTAVAELGMPANSNNNNWASFDFAPEAKTPHGPSNVNPLESMLTQLTGPVSLPSQVSQAQGPVTGSTLSSATAVGGTFASSFSTFPPSDASVTSSGLMTASILNNAGQWSSLQYQQQQPLFAAAASQPSIQQATPPVGGALNNQPWTIPSVPSVQGHPNTSMPHASHLVPKSANEAKSSVVLQSSTVDMKPSGRSELPEDLFTVKYSSFPAPVPGWQMGLPPSMGISIPYNNAVPIPSFPQASKSKNPFDVHNEPTPVQAPTFPSMSSLQGALPIPSATMHSSNMGNPSLAWNPPSSSYVSVPPPQAQTLAPAMGPGAYMGQQMASNMPMQRHQGFGNFTAEGTAFGFSNSDQQLSGSRLSAAVTPNNFQAGGNPFG >Vigun03g181700.1.v1.2 pep primary_assembly:ASM411807v1:3:23250478:23255111:-1 gene:Vigun03g181700.v1.2 transcript:Vigun03g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCEKPIIKVEPFLDEQINTTKVLEDTEVDIVSWANKGDFASNKSEDPDATDYSSSFADTTSDAENGSRFSDAEVESEFLGDSGGLTDAFDGSAFPMRKRKLTDHWRNFIRPLTWRCKWTELRIKEIDSLALKYSKELAEYDKGKHTTPDQFSIEEFGSKSLPFLGEHRRNKANKRRKRKKVEETTEIGSYTSHHYIFSYLESKKSDHDGGLADDFGNPVIIEPHADATDRFGTGEVQPFLDFSETDASLEQLLWAIDNLHGRVHKLKSDVDAIMSKNASKFSSSENLSLLPHGDVQTSSAQSPTISAGNGDAASVGVIYNSIQHGVDFDIGDFVMHSVVSSYGEVPMVPDIIESTVGLLSAADVTFHSALAMDSCDDMVDNVLIHEVAETDEHTFKSVSHLQNWEKGEGEENLNLVSVPMSDINTATHTTVPQEQSAMKPYVYNDVNIPKNKRKRGERKACSGWSKKCSGESENH >Vigun11g030300.1.v1.2 pep primary_assembly:ASM411807v1:11:3922302:3923859:-1 gene:Vigun11g030300.v1.2 transcript:Vigun11g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSNLTTRIWQPKLETEETPESHSLLVHLPGYAEDDIGAEFEYDYRRVRVFGGRSLGDNRSIRFNIAYAVPMNCDVKKLKGVFKGEIYSIIMPKVTISREVQGQIENCDEPKSIIESQITSPKSDSVPQKREEGVSEDGKNQRKVRFESSEKKRVEGKERKEESENGCASGKPYIECKEKEEMNGEVGDIGSSKRVGIKGFNEEEDKHVLLYTSATVLVLALGVYASYKLRSLART >Vigun11g172500.1.v1.2 pep primary_assembly:ASM411807v1:11:37800961:37811700:-1 gene:Vigun11g172500.v1.2 transcript:Vigun11g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKDYQLRCELRGHEDDVRGICVCGSEAIATSSRDRTVRLWSLDSNRKFVSSKILLGHTSFVGPLAWIPPNSEFPLGGIVSGGMDTLVFVWDLNTGEKVHTLKGHQLQVTGIVFDEGDVVSSSVDCTLKRWRNGQPVETWEAHKAPVQAVIKLPSGEFVTGSSDTTLKLWRGKTCVHTFEGHSDTVRGLSVMSGLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKVWKDGVCVQSIEHPGCVWDAKFMENGDIVTACSDGVVRIWTVDQNNVAEQQELDLYTSQLSLYKSSRKKVGGLKLEELPGLEALKIPGTTDGQTKIVREGDNGVAYGWNMREQKWDKIGEVVDGPEESNRQLFDGIQYDYVFDVDIGDGMPIRKLPYNRSDNPYDVADKWLLKENLPLSFREQIVQFILQNTGQNNVTFDASFRDPYTGSNAYVPGQPSHLSDISAKPTFKHIPKKGMLVFDAAQFDGILKKIIEFNNSLQSDQEKQNLSLTELNVSRLGAIVKILKDTSHYHSSKFADSDIDLLLNLLRSWPTAMIFPVIDIVRMIVLHPDGAILLHKHFEAGNDILVEVIKKVTVSPTIPANLLTSIRAVTNLFRNSCYYNWLQKHRSEILDAFSSCSSSPNKNLQLSYSTLLLNYAVLLIESNDQEGQSQVLSAALELAEDENVEVDSKFRALVAVGSLMLKGLVRKIALDFDVLNIAKAAKGSKDAKIAEVGSDIELLTKQS >Vigun11g172500.2.v1.2 pep primary_assembly:ASM411807v1:11:37801543:37811700:-1 gene:Vigun11g172500.v1.2 transcript:Vigun11g172500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFKDYQLRCELRGHEDDVRGICVCGSEAIATSSRDRTVRLWSLDSNRKFVSSKILLGHTSFVGPLAWIPPNSEFPLGGIVSGGMDTLVFVWDLNTGEKVHTLKGHQLQVTGIVFDEGDVVSSSVDCTLKRWRNGQPVETWEAHKAPVQAVIKLPSGEFVTGSSDTTLKLWRGKTCVHTFEGHSDTVRGLSVMSGLGILSASHDGSLRLWAVSGEVLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKVWKDGVCVQSIEHPGCVWDAKFMENGDIVTACSDGVVRIWTVDQNNVAEQQELDLYTSQLSLYKSSRKKVGGLKLEELPGLEALKIPGTTDGQTKIVREGDNGVAYGWNMREQKWDKIGEVVDGPEESNRQLFDGIQYDYVFDVDIGDGMPIRKLPYNRSDNPYDVADKWLLKENLPLSFREQIVQFILQNTGQNNVTFDASFRDPYTGSNAYVPGQPSHLSDISAKPTFKHIPKKGMLVFDAAQFDGILKKIIEFNNSLQSDQEKQNLSLTELNVSRLGAIVKILKDTSHYHSSKFADSDIDLLLNLLRSWPTAMIFPVIDIVRMIVLHPDGAILLHKHFEAGNDILVEVIKKVTVSPTIPANLLTSIRAVTNLFRNSCYYNWLQKHRSEILDAFSSCSSSPNKNLQLSYSTLLLNYAVLLIESNDQEGQSQVLSAALELAEDENVEVDSKFRALVAVGSLMLKGLVRKIALDFDVLNIAKAAKGSKDAKIAEVGSDIELLTKQS >Vigun03g143400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14485298:14487280:1 gene:Vigun03g143400.v1.2 transcript:Vigun03g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPISHLPLVVILLSLSLATSAKHQESFVKCLNLNSDRSFPFNSLIYTPNNPSFSSVLDSSGQNKRLLEPSAPKPQFIFTPTRDSHVQAAVICSKKLGIYFKVLSGGHDFEGVSYVSETESVFIVIDLIKLREIKVDIESNTAWVQAGATNGELYYRIYEKSAVHGFPAGVCTSLGLGGHITGGAYGTMVRKYGLAVDNVIDAKIVDANGKILDRAAMGEDLFWAIRGGGGGSFGILLWWKIKLVPVPSTVTVFTVNKTLEQGATKLVQRWQEVAPYVDDNLFIRIIIQRTQRTVTTSYNALFLGDARSLLKITKTSFPELGLTRKDCLETSWIKSVLYFAGFPSGTNPEVLLRGKPLVKTFFKGKSDFVREPIPESGLEGLWQRVVAEDSPMILWSPYGGRMEQFSESDTPFPYRKGTRFISLYLSFWQDGDKNVAKHIDWIRNVHNYMAPYVSSFPRGQYVNYRDLDLGVNTKNSTSYIEASVWGYRYFKNNYDRLVKIKTKVDPQNIFRHEQSIPPLPV >VigunL059332.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000252.1:329782:330491:1 gene:VigunL059332.v1.2 transcript:VigunL059332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPHVVVDGFTYEAEAIQGWLDGGNDNSPMTNDKLILHNLVPIMLFVLQSMTGFKITYLVLFSHFN >Vigun05g120400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13207960:13210721:-1 gene:Vigun05g120400.v1.2 transcript:Vigun05g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSTLSGISRRQRHPFSTAVSAAIAANSVPASPLPPPSFTIRPPVHPWPRRLTPLNLASLISRQHDPDLSLQIFHHAQSLYPSLSYAPQPLHALFLKLSRARRFSILESLLTRLPNPPPEPSLVILIRAYGLAGKPLSAVRLFLKLQPLRVRTSVKSLNALLNALVQNKRYRLAHSVFKSSAEKFGIVPDVVSCNILLKALCKSNEVDVAVRVLDEMGLMGLVPNVVSYTTVLGGYVFTGDMESAMKVFGEILDRGWVPDATTYTVLMSGFCRMGKFVEAIRVMDLMEENRVQANEVTYGVMIEAYCKGRKSGEAVNLLEDMVAKGFVPNSVLCCRVVDLLCEEGSVERAWAMCRGMVRKGIEVGGAVVSTIVHWLCKEGKVVEARGVLDELEKGEVASLLTYNTLIVGMCERGKLCEAARLWDDMVEKGRAPNAYTYNVLIKGFCKVGNVKEGIRVLEEMVESGCLPNKSTYSILVDGISVSGGKKEEIEKVVLLAMTSGVDGDLWELLLKLVINLDGNAAKLDRILTENAV >Vigun01g049400.1.v1.2 pep primary_assembly:ASM411807v1:1:7718589:7722448:1 gene:Vigun01g049400.v1.2 transcript:Vigun01g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRKVAAMGKVVLLFLYMLVVVVLPLAFADSSQKLEVQKHLKNLNRPPVRSIKSPDGDIIDCVHVSHQPALDHPDLKNLKIQMKPNFHPEGHPFGESKVSSNSKPITQLWHQNGRCPEGTIPVRRTSKNDILRASSIQKFGKKKQRSFPQPKPAKPLPDLISQSGHQHAIVYVEGDKYYGAKATINVWDPKIQQPNEFSLSQMWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIALGASISPLSKYSSSQYDISILVWKDPKEGNWWMQFGSDHVMGYWPAPLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPDEGFGKASYFKNIQIVDGNNKLRPPKDLGTYTEQDSCYNVQTGSAGDWGNYFYYGGPGRNPNCP >Vigun01g049400.2.v1.2 pep primary_assembly:ASM411807v1:1:7718589:7722448:1 gene:Vigun01g049400.v1.2 transcript:Vigun01g049400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRKVAAMGKVVLLFLYMLVVVVLPLAFADSSQKLEVQKHLKNLNRPPVRSIKSPDGDIIDCVHVSHQPALDHPDLKNLKIQMKPNFHPEGHPFGESKVSSNSKPITQLWHQNGRCPEGTIPVRRTSKNDILRASSIQKFGKKKQRSFPQPKPAKPLPDLISQSGHQHAIVYVEGDKYYGAKATINVWDPKIQQPNEFSLSQMWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIALGASISPLSKYSSSQYDISILVWKVHFHILFNILSLHIGHSGMKICPALNKHHH >Vigun09g237800.2.v1.2 pep primary_assembly:ASM411807v1:9:40855075:40861492:1 gene:Vigun09g237800.v1.2 transcript:Vigun09g237800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIEEGSIGGVEEEEHSSEPHKSEESPYEMLRNSKASVENIVADILSIKKDGKPKQHLRDLVTQMFLHFITLRQANRSILLEEDRVKTETERAKTPVDFTTLQLHNLMYEKNHYVKAIKACKDFKSKYPDIDLVPEEEFFRDAPQDIKDSVLSNDAAHNLMLRRLNFELFQRKELCKLHEKLEQQKKILLQTIANRKKFLTSLPSHLKSLKKASLPVQNQLGLHHTKKLKQHHSAELLPPALYVIYSQLLAQKEAFGEPIDLEIIGSLKDAQAFARQQAHKDNDNSTTVESSKLEDDAPDEEEDGQRRRKRPRRVQAKESLDQGGIFQVHPLKVIIHVYEDQDSDSKPTKLITLRFEYLVKLNVVCVGIEGCNEGPENDILCNLFPNDTGLELPQQSAKLFVQDAITFNTQRTSRPYKWAQHLAGIDFLPEVSPLLLTDDSGAAKNENVISGLSLYRQQNRVSTVLQRIRNRRKAQLALLEQLESLAKLDWPRLSCKSVPWAFHTPLCNLDSWSPVRLPPVPRESSSSAVIDEEERVQEPMDADVNERSDATKAEPESITEDGELPTLLPNMSKLDHSTQLNLISKSIVLPLNKIRSQSFKKYDDSSDFLLDTESDLDEPAQTELEHENTLSNYHERNSVSWMDHGLKEFCLVLCRKISADENNVNLEAKIKISMEYPLRPPLFALSVRCISSGEKRDKLGLEWYNELRAMEAEVST >Vigun09g237800.1.v1.2 pep primary_assembly:ASM411807v1:9:40855075:40861491:1 gene:Vigun09g237800.v1.2 transcript:Vigun09g237800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIEEGSIGGVEEEEHSSEPHKSEESPYEMLRNSKASVENIVADILSIKKDGKPKQHLRDLVTQMFLHFITLRQANRSILLEEDRVKTETERAKTPVDFTTLQLHNLMYEKNHYVKAIKACKDFKSKYPDIDLVPEEEFFRDAPQDIKDSVLSNDAAHNLMLRRLNFELFQRKELCKLHEKLEQQKKILLQTIANRKKFLTSLPSHLKSLKKASLPVQNQLGLHHTKKLKQHHSAELLPPALYVIYSQLLAQKEAFGEPIDLEIIGSLKDAQAFARQQAHKDNDNSTTVESSKLEDDAPDEEEDGQRRRKRPRRVQAKESLDQGGIFQVHPLKVIIHVYEDQDSDSKPTKLITLRFEYLVKLNVVCVGIEGCNEGPENDILCNLFPNDTGLELPQQSAKLFVQDAITFNTQRTSRPYKWAQHLAGIDFLPEVSPLLLTDDSGAAKNENVISGLSLYRQQNRVSTVLQRIRNRRKAQLALLEQLESLAKLDWPRLSCKSVPWAFHTPLCNLDSWSPVRLPPVPRESSSSAVIDEEERVQEPMDADVNERSDATKAEPESITEDGELPTLLPNMSKLDHSTQLNLISKSIVLPLNKIRSQSFKKYDDSSDFLLDTESDLDEPAQTELEHENTLSNYHERNSVSWMDHGLKEFCLVLCRKISADENNVNLEAKIKISMEYPLRPPLFALSVRCISSGEKRDKLGLEWYNELRAMEAEVNLHILRMLPVNQQNYVLAHQVSCLAMLFDCYLDETFPSSSERTNCTSVVDVGLCKPVTGRFLDRCFRGRDRRKMISWKDMKHNSSCPQ >Vigun06g019333.1.v1.2 pep primary_assembly:ASM411807v1:6:8926917:8929195:1 gene:Vigun06g019333.v1.2 transcript:Vigun06g019333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSGPTKHNNGSDDSEGSEGGDVGEFSDSTEIMFRHSCRTTYLSLLNKNLSEEQKLCIQRTPFWWFTLLNDSVKISRNVLGVLCKLWVERRGGFLLNSIIVPFKLLDVCLGLGLRIVGDVVDLDDVVIESVCRNIFSEKKVTVGVIYNYLLNHSECVAVDDFCRLYILIGISEFLLPNRNATVFPILFKIVDDLKSLCQYNWGRLWCFDHFVFVRRKGARIGNEFPRLLRRMNIKVGDGALKSSLEKNVIVADLCVSKEELLHAEVREAYELFGHDVSRSKRPTGGVDLGRRKVEVEVLIEKQEREIGELRQCLSLLEGVVHERKTERTKDCNPITPSTNVQKDRGKTFEDQFYSQGGLQSHEAWSPLHSTVKRSVGVKVRQVNMDTPHGSNEELSKNVSHVQEPNPQHSNMYDRIKHHGRVRIKSRALRTPYTGNAPKKHGSQKFLLL >Vigun05g242000.1.v1.2 pep primary_assembly:ASM411807v1:5:43516005:43518655:-1 gene:Vigun05g242000.v1.2 transcript:Vigun05g242000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILQSFQWVLLLLLSMSVNVYGLKIPRLGIWRRSKEREPQISSSSSNLTNDLKTFYYTQRLDHFNYRPDSYHTFHQRYVIDFKHWAGPKSNAPIFAFFGAEAPLDDDLFYVGFPTDNAPHFRALIVYIEHRYYGKSIPFGSSKEAMRNATTRGYFNSAQAIADYAAVLLHVKKTLSAQNSPIIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFNGIAPQAGYYYIVTKDFKETSETCYQTIRKSWSEIDRVAKKPNGLSILSKRFKTCKKLNKSFELKDYLDSLYTDAAQYDFPSENSVKVMCSAIDAAAKKTDILGQIFEGVVSYMRPRSCYDMNEFTRPTETNLGWRWQTCSEMVMPIGHERNDSMFPPAPFNMKKFVHECSRLYGVLPQPHWVTTYYGGYDLKLILHRFASNIIFSNGLRDPYSSGGVLENISNSVVAVTTANGCHCLDIQSRSEKDPEWLVKQRNEEVKIIKGWIAEYEADLIALTKQTKKG >Vigun05g242000.2.v1.2 pep primary_assembly:ASM411807v1:5:43516005:43518655:-1 gene:Vigun05g242000.v1.2 transcript:Vigun05g242000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILQSFQWVLLLLLSMSVNVYGLKIPRLGIWRRSKEREPQISSSSSNLTNDLKTFYYTQRLDHFNYRPDSYHTFHQRYVIDFKHWAGPKSNAPIFAFFGAEAPLDDDLFYVGFPTDNAPHFRALIVYIEHRYYGKSIPFGSSKEAMRNATTRGYFNSAQAIADYAAVLLHVKKTLSAQNSPIIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFNGIAPQAGYYYIVTKDFKETSETCYQTIRKSWSEIDRVAKKPNGLSILSKRFKTCKKLNKSFELKDYLDSLYTDAAQYDFPSENSVKVMCSAIDAAAKKTDILGQIFEGVVSYMRPRSCYDMNEFTRPTETNLGWRWQTCSEMVMPIGHERNDSMFPPAPFNMKKFVHECSRLYGVLPQPHWVTTYYGGYDLKLILHRFASNIIFSNGLRDPYSSGCWRIFQTVSLLLPLQMGVIALTYNQDRKRIQNGW >Vigun09g261000.1.v1.2 pep primary_assembly:ASM411807v1:9:42635482:42637115:1 gene:Vigun09g261000.v1.2 transcript:Vigun09g261000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHHQDTSTAIESLYVKVMTDEQMELLRQQISVYATICEQLVEMHKAVTTQQDLAGLRLGNLYCDPLMACSGHKITARQRWTPTPLQLQILERIFDEGNGTPSKQKIKEITTELGQHGQISETNVYNWFQNRRARSKRKQLAPAAPEPEAEAEVESPREKKIRAAESVQVVQPFENSSPHRMKDMYIHSPDLGFDQLLSKIEVAGCYSSYFL >VigunL059076.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000490.1:57257:58306:-1 gene:VigunL059076.v1.2 transcript:VigunL059076.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKILVLAGEKSEMLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKKNILNNDELIVRLILHKVNKTLSIIDNGIGITKVDLVDNLGVGFYSTYLVAHKLEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINNWLHDQEMRNKFVAQNLGKHLPDHLEFNVLFKLSLKSLKRFGCIRKSWALLLENPNFTNLCALI >Vigun05g007700.1.v1.2 pep primary_assembly:ASM411807v1:5:666016:671614:1 gene:Vigun05g007700.v1.2 transcript:Vigun05g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSGSSWWCGLRPSSFNMDTEFSVRGNTFGGIFGSSAKPRSLRVQPSDEDVEDLLANNISGKPSGTVLPYVGVACLGAMLFGYHLGVVNGSLEYLAKDLGIIQNTVLQGWIVSALLAGATVGSFTGGTLADKFGRTRTFQLDAIPLAIGGFLCATAQTVQTMIIGRLLAGIGIGVASAVVPLYISEISPTDIRGALGSVNQLFICIGILAALLVGLPLEGNPIWWRTMFGITVVPSVLLALGMAISPESPRWLFQQGKILEAEKAVKTLYGKERVALVMQDLTAASEGSSEQEAGWFDLFSSRYWKVVSVGATLFLLQQLSGINAVVYYSTAVFRSAGISSDVAASALVGASNVFGTMVASSLMDKKGRKSLLIISFSGMGASMLLLSVSFTWKVLAPYSGTLAVLGTVFYVLSFSLGAGPVPALLLPEIFASRIRAKAIALSLGTHWISNFVIGLYFLSVVDKFGISSVYLGFATVCLVTVLYIARNVVETKGRSLEEIELALGPST >Vigun03g383200.1.v1.2 pep primary_assembly:ASM411807v1:3:58782833:58784457:-1 gene:Vigun03g383200.v1.2 transcript:Vigun03g383200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAGNTTNCNNKFRGFPGFEDDDVVSTVVPAVTVVVEGRSICQRISLHNHGSYESMAKALREMFVENAGDSDDLDLSNVIPGYLIAYEDMDNDLLLVGDLSWKDFVRVAKRIRIIPTKGNSRKGSTRAA >Vigun03g133350.1.v1.2 pep primary_assembly:ASM411807v1:3:13067525:13068202:-1 gene:Vigun03g133350.v1.2 transcript:Vigun03g133350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVDLVLIANPTHFVHRSLLQKHGRLHDRFFSKGIARGPLPPFARMRSTHKQCNGTHHKGHHSVANM >Vigun07g030900.9.v1.2 pep primary_assembly:ASM411807v1:7:2850788:2855256:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCTKNIVLEIWDLDRTQPFKTNE >Vigun07g030900.5.v1.2 pep primary_assembly:ASM411807v1:7:2848287:2855256:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLREYRSLVWMTQRNGKTFWHLVLMCLRKLVSVVHHKT >Vigun07g030900.8.v1.2 pep primary_assembly:ASM411807v1:7:2847551:2855256:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCTKNIVLEIWDLDRTQPFKTNE >Vigun07g030900.2.v1.2 pep primary_assembly:ASM411807v1:7:2847551:2855256:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLREGVQKFGLDDPKKWKNILAFGSHVFEKVGKRRTPQDLKDKWKNMCKASPK >Vigun07g030900.1.v1.2 pep primary_assembly:ASM411807v1:7:2847551:2855261:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLREGVQKFGLDDPKKWKNILAFGSHVFEKVGKRRTPQDLKDKWKNMCKASPK >Vigun07g030900.7.v1.2 pep primary_assembly:ASM411807v1:7:2847551:2855261:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLRVSFFFLPSLLPTSMTSHLISI >Vigun07g030900.4.v1.2 pep primary_assembly:ASM411807v1:7:2848155:2855256:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLREYRSLVWMTQRNGKTFWHLVLMCLRKLVSVVHHKT >Vigun07g030900.6.v1.2 pep primary_assembly:ASM411807v1:7:2847551:2855261:-1 gene:Vigun07g030900.v1.2 transcript:Vigun07g030900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDPSALAWLWVIEALSACKEIPDSTLLGLIDAAPVAKDKFGENTKEMVSLRCLEKLSTSMVDRVASSTSDSRAGFDFSRSCQDVLQEILDEIPLSNLKMTGAQLLKSDVYPFINHKRAGTSKCHLEQLRQTILEGTHPHTDYLKERSGLFPQNNSYSVIVNDVKRGDHSAKEAGNSANTENMLGKDNSVSLTLENGNRSSREHLRDDNPSPSKRSRVYSVDDYLKKHFYAKQVCQKECDDFFRTSKRVKFSASTSFEPKKEKLDSQQRQEVSEHLTERILLVSEQGDHPVENNNEETVGCGSLEDILNRCIVSKLCQPSSHIEVPPDESNIPFNDALMHQHIFDGENSQHLLVKSIPHEALADGIQHEISEGKPTSKHEKDLQLEDPNDSPHQIAGVKALDHSGNGCGVEKSGDIGYQGEKTSLEMKKQTVQNRCLKCNEGDQLPIRDVNIVQPVVTECCLGMTTTMPTNDANAEKNQQTINLHQPKQTEPDIATLNLFQEPVACDETIVGVVNGCGAELSSDSDGYHNEKECLEAKKHEFLHSHCMVDQDFSAMNEPNEKNLCMKCNNQGGQLLICKTTTCPIMVHENCLGASAQFDANGDFFCPFCAYSRTISEYIEAKKEASLARKELAIFISKGKMSQAAESLLYEFRTQEHCFSRKSSEYEHIHVKNNKDEQLTRCGDNREDHVGEHANETNNLQFGRSQQQAPISCTHSSFREKENISNRSVEFLREEKIGQMPNAKNITGVRGEENEVPTDCVDGLVGDTCTSEKTNIALVNKSNSRYESSQETTKQLDIDGATEPVCAHNTGKEEMSENDCEKDSISGYTLRHRKHKMQCKPEAHPAFLANQLRRKKIPWTEEEEEMLREGVQKFGLDDPKKWKNILAFGSHVFEKVGKRRTPQDLKDKWKNMCKASPK >Vigun09g075050.1.v1.2 pep primary_assembly:ASM411807v1:9:8456804:8460388:1 gene:Vigun09g075050.v1.2 transcript:Vigun09g075050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGLHNKKGKIHERRGLFLGLLQRGEMALEISEGEKESNLKTEAKLLVHQVLFSR >Vigun03g069500.1.v1.2 pep primary_assembly:ASM411807v1:3:5759221:5762761:-1 gene:Vigun03g069500.v1.2 transcript:Vigun03g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRLFSKSKLIYGSQMLVQKEYAFPVRHYAKASAPPALKGDQMLKNIFVEVKNKFETAIGILKSEKITIDPEDPAAVAHYAKVMKTVREKANLLSESQDILAIIETETQDIPDARTYLLTLKEIRTKRGLTDDLGAEAQMIDALDKVEKELKKPLLRNDTKGMDRLLAEFDKINKKLGVQRENLPKYEEELELKLAKAQLEELKKDALEAMETQKKREEFKDEPDSVDVKTLDIRNFL >Vigun02g120800.3.v1.2 pep primary_assembly:ASM411807v1:2:27327833:27331229:1 gene:Vigun02g120800.v1.2 transcript:Vigun02g120800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFTRSRRLETQQQEQSRARWTTSLTKILATLMVDQVHKGNKHNNLFNKKAWKYICDEFYSKTGLKWDKEQLKNRYSVLRRQYTIVKSILDQSDFSWDESTGSITANDEIWAEYIKKHPDAETVKTGGCPIFKELCTIFSEPATNGKHEYVAASEGEHTSVTPCLEPLNTHHEESSSESQDEEDANDPQTVQPTTPTGISKRKRGRKGIDEAIADAMFEMASASKMRAAAIEQQIARFSMADCIRDLDLMQGVDQQLYFAALDLFNKPNAREIFLSLKKDKRLTWLRGKCSVASNLSCAE >Vigun02g120800.2.v1.2 pep primary_assembly:ASM411807v1:2:27327833:27331229:1 gene:Vigun02g120800.v1.2 transcript:Vigun02g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFTRSRRLETQQQEQSRARWTTSLTKILATLMVDQVHKGNKHNNLFNKKAWKYICDEFYSKTGLKWDKEQLKNRYSVLRRQYTIVKSILDQSDFSWDESTGSITANDEIWAEYIKKHPDAETVKTGGCPIFKELCTIFSEPATNGKHEYVAASEGEHTSVTPCLEPLNTHHEESSSESQDEEDANDPQTVQPTTPTGISKRKRGRKGIDEAIADAMFEMASASKMRAAAIEQQIARFSMADCIRDLDLMQGVDQQLYFAALDLFNKPNAREIFLSLKKDKRLTWLRGKCSVASNLSCAE >Vigun02g120800.4.v1.2 pep primary_assembly:ASM411807v1:2:27328204:27331229:1 gene:Vigun02g120800.v1.2 transcript:Vigun02g120800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFTRSRRLETQQQEQSRARWTTSLTKILATLMVDQVHKGNKHNNLFNKKAWKYICDEFYSKTGLKWDKEQLKNRYSVLRRQYTIVKSILDQSDFSWDESTGSITANDEIWAEYIKKHPDAETVKTGGCPIFKELCTIFSEPATNGKHEYVAASEGEHTSVTPCLEPLNTHHEESSSESQDEEDANDPQTVQPTTPTGISKRKRGRKGIDEAIADAMFEMASASKMRAAAIEQQIARFSMADCIRDLDLMQGVDQQLYFAALDLFNKPNAREIFLSLKKDKRLTWLRGKCSVASNLSCAE >Vigun04g163100.1.v1.2 pep primary_assembly:ASM411807v1:4:38689535:38691975:1 gene:Vigun04g163100.v1.2 transcript:Vigun04g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPYSECIVLCATIFLFFTLSSSSMSQAELDAHYYDKTCPQAEKIISDTVLRASKFDPKVPARILRMFFHDCFIRGCDASILLDSTPKNLAEKDGPPNLSVHSFYVIDEAKTKLEKACPHTVSCADIIAIAARDVVALSGGPSWNVLKGRKDGRVSKASETVNLPAPTLNVNQLIQSFAKRGLGVKDMVTLSGGHTLGFSHCSSFQARVHNFSLLHDIDPSLNTQFALDLKRKCPKQNTNPSAGHFLDTTSSVFDNDYYRQLLVGKGLFSSDQSLVGDQRTRWIVEAFAKDQGLFFREFVASMLKLGNVGVSENGEVRLNCKVVN >Vigun02g037150.1.v1.2 pep primary_assembly:ASM411807v1:2:15260443:15261433:-1 gene:Vigun02g037150.v1.2 transcript:Vigun02g037150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVLLKGPCKAPIELYVDSTIKAPVKSQDVGSDKILRIDYVDGLSITGNGVFDGQGSYAWKQNDCSKKFSCKLLGMNFAFNFVTNSIIRSITSKDSKHFHVNILGCKNLTFDGFKVSAPHNSANTDGIHIGRSNGVNVLNTNIATRDDCVSLGDGSRNVLVQNVKCGPGHGINIGSLGKYKEEELVDGITIKGCTLKVTDNGVRIKTWPSTPGTIIVTNMRFEDITMDNVKNPVIIDQEYCPWNQCTEKYP >Vigun04g055500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5421714:5423603:-1 gene:Vigun04g055500.v1.2 transcript:Vigun04g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSLPVDGVTLICALGACARLEEDNLVAQMHVGVVKFGLLRHIKVCNAVMDGYVKCGLLGEARRVFREIEEPSVVSWTVVLEGTVKWEGVESGRVVFDEMPERNEVAWTVMIKGYVGNGFTKEAFMLLGEMVFGNQHRLGLAEGASLSQRGEPRFKSPLRVVTTSSARQWLQEEKDRGFDNQEAFCDVERASLLQCSESKIEFLREKMSTFSIPQYVGHDWFRRKTLVENQNEKEMVYCCGFGLNWVTLCSVLSACSQSGDVSVGRWVHCYAVKAMGWDLGVMVGTSLVDMYAKCGRVRAALMVFRHMPRRNVVAWNAILGGLAMHGMGKVVVEMFESMVEEVKPDAVTFMALLSACSHSGLVQQGRQCFHDMESVYRVRREVEHYACMVDLLGRAGRLEEADVLVRKMPIPPNEVVLGSLLGACYAHGKLNLGEKIMRDLVEMDPLNTEYHILLSNMFALSGKVDKANSLRKVLKNRGIKKVPGMSSIYVDGQLHRFIAGDKSHPRTADIYMKLDDMICKLRLAGYVPNTNCQVLFGCSNGDDCMEALEEVEQVLFTHSEKLALCFGLMTTPLGSPLCIFKNLRICQDCHSAIKISSDVYKREIVVRDRYRFHSFKQGSCSCSDYW >Vigun08g099500.1.v1.2 pep primary_assembly:ASM411807v1:8:24213087:24220881:1 gene:Vigun08g099500.v1.2 transcript:Vigun08g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFSVDASSARSFDGKITPSVVITCIVAASSGLIFGYDVGITGGVTTMKPFLEEFFPSILRKSARAETNVYCVYDNQLFTLFTSSLHLAGLISSLLASRVTTTLGRRNTMIFGGCIFFAGGAINAAAQNIFMLILGRILLGFGVGFTNQATPVYLSEIAPPKWRGAFNTAFQFFIGIGVVAACLINYGTARVPWGWRVSLGLATVPAIIMTIGALLIPDTPSSLVEREHIDEARNALRRVRGPAVDVEPELQQLIKSSQVSKAIEKESVRTIFEEQYRPQLVMAFAIPLSQQLTGINIVAFYAPNLFQSVGLGNNSALLSAVILGLVNLSSILVSTAVVDRFGRRFLFIAGGLQMFLCMLAVAIVLAVTSGVHGTQNISKDNTILVLVLFCFYAAGFGWSWGPLCWLIPSEIFPLKIRSTGQSIAVAVQFFTVFVLSQTFLTMLCHFKFGAFLFYASWIAVITIFVILFLPETKGIPLDSMHAIWSKHWYWRRFIVKGQVSQDNLPMNN >VigunL069100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:80107:80235:-1 gene:VigunL069100.v1.2 transcript:VigunL069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVVSTLWFGALAGLLIEINRFFPDALIFPFF >Vigun07g099600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17542417:17543208:-1 gene:Vigun07g099600.v1.2 transcript:Vigun07g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSSGSNGNGEARYRGIRRRPWGKFAAEIRDPTRKGTRIWLGTFETAEQAARAYDAAAFHFRGHRAILNFPNEYQSSNPNSSLPMPLTTLPPPSSSSSSSSSSYSSYSIDNIAGPGFSGEMMQGDDTFELEYLDNKLLEELLQMQDNRHLFK >Vigun03g048600.1.v1.2 pep primary_assembly:ASM411807v1:3:3864120:3869666:-1 gene:Vigun03g048600.v1.2 transcript:Vigun03g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIELHGEDSVVLRVTHSNLKTFNADVRFSLQLTVEGVKDKLWKKCGTSVNSMHLELYEDARNDKIADLSDNSKPLGFYSPLNGFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKVGSRCEVEHGAKRGVVKFVGRAESLGHGFWVGVQYDEPLGKHDGMVKGVRYFQCPPNHGGIVRPDKVKVGDYPERDPFEEDEI >Vigun03g048600.2.v1.2 pep primary_assembly:ASM411807v1:3:3863246:3869666:-1 gene:Vigun03g048600.v1.2 transcript:Vigun03g048600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIELHGEDSVVLRVTHSNLKTFNADVRFSLQLTVEGVKDKLWKKCGTSVNSMHLELYEDARNDKIADLSDNSKPLGFYSPLNGFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKVGSRCEVEHGAKRGVVKFVGRAESLGHGFWVGVQYDEPLGKHDGMVKGVRYFQCPPNHGGIVRPDKVKVGDYPERDPFEEDEI >Vigun03g048600.3.v1.2 pep primary_assembly:ASM411807v1:3:3866654:3869666:-1 gene:Vigun03g048600.v1.2 transcript:Vigun03g048600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIELHGEDSVVLRVTHSNLKTFNADVRFSLQLTVEGVKDKLWKKCGTSVNSMHLELYEDARNDKIADLSDNSKPLGFYSPLNGFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKDQRECNKITIH >Vigun05g048450.1.v1.2 pep primary_assembly:ASM411807v1:5:4162941:4164260:-1 gene:Vigun05g048450.v1.2 transcript:Vigun05g048450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFCEPHQNKYGVIQCSWRDPEKNKKCCFCTETDIFHADYNHPMKISAAAKL >Vigun02g193100.1.v1.2 pep primary_assembly:ASM411807v1:2:32973172:32974617:1 gene:Vigun02g193100.v1.2 transcript:Vigun02g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIAKNFRLTLIELGSGRRAFTSPTTPKMKPVSRVMDGDGNSRSGMSVKAELAPVYIVGGFVCVALVIGTHTAFQQLVRSPQVHVNKKRRETLPEVSDPDRTVNSAGKFIDGSFLRKVGQIQENRATLNDPVHPNPFTRPRTPETLKTVGVEPSRR >Vigun04g037700.2.v1.2 pep primary_assembly:ASM411807v1:4:3167500:3169298:1 gene:Vigun04g037700.v1.2 transcript:Vigun04g037700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKIPEVILNSGKKMPVIGLGTASIPPTPHETLISILIDAFEVGYRHFDTASFYGSEEPLGKAVEKALELGLVKNRDEVFITSKLWPSDAHPHLVLPALKTSLQKLGLEYVDLFLIHWPLSMKPEAKGPADIVKENVIPFFDMKGIWEAMEECCRLGLAKSVGVSNFGIKKLNQLLENATIPPAVNQVEMSPSWQQAKLREFCKQKGIHVSAWSPLGSYKNFFGTNAVMESPILKEIACARQKTIAQVLLLSQT >Vigun04g037700.1.v1.2 pep primary_assembly:ASM411807v1:4:3167500:3170092:1 gene:Vigun04g037700.v1.2 transcript:Vigun04g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKIPEVILNSGKKMPVIGLGTASIPPTPHETLISILIDAFEVGYRHFDTASFYGSEEPLGKAVEKALELGLVKNRDEVFITSKLWPSDAHPHLVLPALKTSLQKLGLEYVDLFLIHWPLSMKPEAKGPADIVKENVIPFFDMKGIWEAMEECCRLGLAKSVGVSNFGIKKLNQLLENATIPPAVNQVEMSPSWQQAKLREFCKQKGIHVSAWSPLGSYKNFFGTNAVMESPILKEIACARQKTIAQIALRWIYEEGAIAIVKSFNKERMKENLELFEWELSEEESQKFRDIPQLRMFSGINFVSENGPYKTLEELWDGEP >Vigun06g066700.3.v1.2 pep primary_assembly:ASM411807v1:6:19501174:19509394:-1 gene:Vigun06g066700.v1.2 transcript:Vigun06g066700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLEAVKEMQLLTFEASDLEKGGSSNKVVDCILCLKGFYEWKLSGGVGVWRYGGTVRITSFPKKSPSSIVGSESADESLDESESSQYEQLLEFLQLSEEFLIEESRTANALAFLYDHFGLRLLQAYLREANGIEDLPLNAMVIDTLLSKVVKDFSSLLVSQGTQLGLFLKKILKGDMGCLSKREFIETISLYLNQRSSLASNDFSKFCNCGGKRDSIRQNGNYSAKYAEVINTQQKQLEGMKYFFEETKLEVKQIQSEWEEELSRLEDHIKSLEVASTSYHKVLEENRLLYNEVQDLKGAIRVYCRVRPFLPGQSNGQSTVDYIGENGDMMIINPLKHGKDARRVFSFDKVFGTTVTQEQIYADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTTEETWGVNYRALGDLFHISKERSDSIKYEVFVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQMNGINVPDAFLVPVTCTQDVLDLMRIGQKNRAVGATALNERSSRSHSVLTVHVRGRELVSNSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNAFGETISTLKFAERVSSIELGAAQSNKETGEIRELKEEISNLKLALERKEAELEQWKAGNARNAIDSQKPRAVSPFQLPKYGASGNTKHETGQRLMDDRSLESRSCSSGKQRRSRFPSTLMEKDSMPKMSFLTEEKLVSSVKGRSPSPPVRRSQSNDRGTVIKSKVKTETMDNQPILKHPFPAANKSLVTMPVVASTDNNTRMYVSSQETVKHENISETIFNLQKFNYKKVHQEHEEEQFKQALSAVRQGGIRKSKVESKAKAKPNQLSPFKIQKPDLTSPFIPDMEFASESNVETPPKNDYSEAENDLRFMESAVHGALNLKKIRQNFARNFQNLESRGIVQAGEHLLASKVENKVLNGSASNLKEGSNTSTPEFRRSRSTPRGKFFGLS >Vigun06g066700.2.v1.2 pep primary_assembly:ASM411807v1:6:19501174:19509394:-1 gene:Vigun06g066700.v1.2 transcript:Vigun06g066700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSVIDTLLSKVVKDFSSLLVSQGTQLGLFLKKILKGDMGCLSKREFIETISLYLNQRSSLASNDFSKFCNCGGKRDSIRQNGNYSAKYAEVINTQQKQLEGMKYFFEETKLEVKQIQSEWEEELSRLEDHIKSLEVASTSYHKVLEENRLLYNEVQDLKGAIRVYCRVRPFLPGQSNGQSTVDYIGENGDMMIINPLKHGKDARRVFSFDKVFGTTVTQEQIYADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTTEETWGVNYRALGDLFHISKERSDSIKYEVFVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQMNGINVPDAFLVPVTCTQDVLDLMRIGQKNRAVGATALNERSSRSHSVLTVHVRGRELVSNSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNAFGETISTLKFAERVSSIELGAAQSNKETGEIRELKEEISNLKLALERKEAELEQWKAGNARNAIDSQKPRAVSPFQLPKYGASGNTKHETGQRLMDDRSLESRSCSSGKQRRSRFPSTLMEKDSMPKMSFLTEEKLVSSVKGRSPSPPVRRSQSNDRGTVIKSKVKTETMDNQPILKHPFPAANKSLVTMPVVASTDNNTRMYVSSQETVKHENISETIFNLQKFNYKKVHQEHEEEQFKQALSAVRQGGIRKSKVESKAKAKPNQLSPFKIQKPDLTSPFIPDMEFASESNVETPPKNDYSEAENDLRFMESAVHGALNLKKIRQNFARNFQNLESRGIVQAGEHLLASKVENKVLNGSASNLKEGSNTSTPEFRRSRSTPRGKFFGLS >Vigun06g066700.1.v1.2 pep primary_assembly:ASM411807v1:6:19501174:19512172:-1 gene:Vigun06g066700.v1.2 transcript:Vigun06g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESCQNSFFTSPSKRGLKGLVVTTMNKEASCTVTEEGFNDNELAQRKAEEAARRRYKATEWLRQMDNVASLSLTATPSEEDFCLALRNGLILCNVLNKVNPGAVLKVVENPGLAVQCAEGAAHSAIQYFENMRNFLEAVKEMQLLTFEASDLEKGGSSNKVVDCILCLKGFYEWKLSGGVGVWRYGGTVRITSFPKKSPSSIVGSESADESLDESESSQYEQLLEFLQLSEEFLIEESRTANALAFLYDHFGLRLLQAYLREANGIEDLPLNAMVIDTLLSKVVKDFSSLLVSQGTQLGLFLKKILKGDMGCLSKREFIETISLYLNQRSSLASNDFSKFCNCGGKRDSIRQNGNYSAKYAEVINTQQKQLEGMKYFFEETKLEVKQIQSEWEEELSRLEDHIKSLEVASTSYHKVLEENRLLYNEVQDLKGAIRVYCRVRPFLPGQSNGQSTVDYIGENGDMMIINPLKHGKDARRVFSFDKVFGTTVTQEQIYADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTTEETWGVNYRALGDLFHISKERSDSIKYEVFVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQMNGINVPDAFLVPVTCTQDVLDLMRIGQKNRAVGATALNERSSRSHSVLTVHVRGRELVSNSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNAFGETISTLKFAERVSSIELGAAQSNKETGEIRELKEEISNLKLALERKEAELEQWKAGNARNAIDSQKPRAVSPFQLPKYGASGNTKHETGQRLMDDRSLESRSCSSGKQRRSRFPSTLMEKDSMPKMSFLTEEKLVSSVKGRSPSPPVRRSQSNDRGTVIKSKVKTETMDNQPILKHPFPAANKSLVTMPVVASTDNNTRMYVSSQETVKHENISETIFNLQKFNYKKVHQEHEEEQFKQALSAVRQGGIRKSKVESKAKAKPNQLSPFKIQKPDLTSPFIPDMEFASESNVETPPKNDYSEAENDLRFMESAVHGALNLKKIRQNFARNFQNLESRGIVQAGEHLLASKVENKVLNGSASNLKEGSNTSTPEFRRSRSTPRGKFFGLS >Vigun09g275800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43632312:43633437:1 gene:Vigun09g275800.v1.2 transcript:Vigun09g275800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSITTTTALRLKNKIAIVTGGASGIGEATARLFAEEGARMVVIADIQDKLGKEVAASIGGERCSYFHCDVAEEDEVQSLVQSTVKAYGQLDIMFSNAGIGSLSKQTVVELDMSQLDKLFTVNVRGMAACVKHAARAMVEGRVRGSIVCTGSVAGCYGSPIGSDYVMSKHAVLGLMRSASMQLAEHGIRVNCVSPNGLATPLTCKLIGMNEEEAREVFRKFARLQGVVLTPKHVADAALFLVSDDSAFVTGLDLMVDGGYSL >Vigun03g300600.1.v1.2 pep primary_assembly:ASM411807v1:3:48916190:48918513:-1 gene:Vigun03g300600.v1.2 transcript:Vigun03g300600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAQPPVKSQPLHNFALPFLKWGASGKNHTNAAHHHRYRRPSSHPSDHASEPDSDPDSRPHRLGSRTARNRFALPTCSLKPLPPPPQPPQAPSCNDETDDEAAKRDIEDAEEAVQKPWNLRPRKPALPKSALEIGTGPSRNHANNGAGEFHDAVSHHGEHPAPKSLRLRGFADTQCAEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPRKRPKNVQKQMDSVFPGLWLVGITADAYRVPDTPTKR >Vigun03g300600.2.v1.2 pep primary_assembly:ASM411807v1:3:48916190:48918488:-1 gene:Vigun03g300600.v1.2 transcript:Vigun03g300600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAQPPVKSQPLHNFALPFLKWGASGKNHTNAAHHHRYRRPSSHPSDHASEPDSDPDSRPHRLGSRTARNRFALPTCSLKPLPPPPQPPQAPSCNDETDDEAAKRDIEDAEEAVQKPWNLRPRKPALPKSALEIGTGPSRNHANNGAGEFHDAVSHHGEHPAPKSLRLRGFADTQCAEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPRKRPKNVQKQMDSVFPGLWLVGITADAYRVPDTPTKR >Vigun01g017100.1.v1.2 pep primary_assembly:ASM411807v1:1:1870078:1870800:1 gene:Vigun01g017100.v1.2 transcript:Vigun01g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSTGSWSVKDNKAFERALAVYDKDTPDRWYNVAKAVGGGKTPEEVKRHYELLLRDVRHIESGQVPFPNYTQSGGSQEEKRLRNLKLQ >Vigun02g101800.1.v1.2 pep primary_assembly:ASM411807v1:2:25647632:25651399:-1 gene:Vigun02g101800.v1.2 transcript:Vigun02g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLTPGAIKEICGANCSSSLKPVLQVIDLKLVQSQQANNTERYRLVLSDGSFYQQGMLATQMNELVHSAKLQKGSVVRLTQFICNDVQNRKIIVIIDLDVVVEKCELIGEPVALPKDGSAESGTGQSGVTPGNSQSLNNRSHPGSISARPNVGSMPARPNMAVPSPDHPRMNAPASSVYSGISNPGSSYSSNAPPTYPKVEPGANFSRSAPFTGSHGDQNMGIRHPQSETSRTLPNSFSRAPQPMYRQPSPMYTNRGPIGKNEAPPRIIPIAALNPYQNMWTIKARVTAKGELRHYNNARGDGKVFSFDLLDSDGGQIRATCFNSVADQFYNLIEAGKVYLVSRGSIKPAQKNFNHLPNDQELTLDMTSIIQPCPDDDNSIPKQTFNFRPISEIESLESNTIVDVIGVVTSISPTASIMRKNGTETQKRTLQLKDMSGRSVELTLWGNFCNVEGQRLQNICDDGKFPVLAAKTVRINDFNGKSVGTIMTSLLFVEPDFPEAYTLKRWFENEGKNVPTLSISRDTSSFPKNDNRKTVSQIKDEKLGTSEKPDWISVCATISYLKCDNFCYPGCPIMIGDRQCNKKVTNNGDGKWICDRCNQSVDACDYRYILSFQIMDHTGVTWVTAFQESGEEIMGMPAKDLYYLKYEEQDDERFAEIIRKVIFTEYVFKLKVKEETFSDEQRVKSTVVKAEKVNYASKSRVSLELIDKLLTGKSEGATTVTNSLSGNTGMGTVETGQVMPPAYNPIKSSTNSNRDFGMPANQVGQQQYGNQYGNFGSAGAAGAYTSCTNCGGSGHTSILCPNVRNVSGQSSGGGFSNRASYGGSGGGGGASGECFKCHQPGHWARDCPGSGAATASYGSTNTMHGRFGGY >Vigun03g199500.7.v1.2 pep primary_assembly:ASM411807v1:3:28787157:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKLAMDKDIDLTTIANMTEGFSGADLQALLSDAQLAAVHDVLDNVDALRTEKTPVITDALLKFTASKARPSVSEEEKRRLYNIYHQFLDSKRSVAAQSRDSKGKKATLA >Vigun03g199500.2.v1.2 pep primary_assembly:ASM411807v1:3:28787139:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKMRSLQQFMMFWTTWMP >Vigun03g199500.3.v1.2 pep primary_assembly:ASM411807v1:3:28786989:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKLAMDKDIDLTTIANMTEGFSGADLQALLSDAQLAAVHDVLDNVDALRTEKTPVITDALLKFTASKARPSVSEEEKRRLYNIYHQFLDSKRSVAAQSRDSKGKKATLA >Vigun03g199500.6.v1.2 pep primary_assembly:ASM411807v1:3:28787151:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKMRSLQQFMMFWTTWMP >Vigun03g199500.1.v1.2 pep primary_assembly:ASM411807v1:3:28787139:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKLAMDKDIDLTTIANMTEGFSGADLQALLSDAQLAAVHDVLDNVDALRTEKTPVITDALLKFTASKARPSVSEEEKRRLYNIYHQFLDSKRSVAAQSRDSKGKKATLA >Vigun03g199500.4.v1.2 pep primary_assembly:ASM411807v1:3:28787053:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKLAMDKDIDLTTIANMTEGFSGADLQALLSDAQLAAVHDVLDNVDALRTEKTPVITDALLKFTASKARPSVSEEEKRRLYNIYHQFLDSKRSVAAQSRDSKGKKATLA >Vigun03g199500.5.v1.2 pep primary_assembly:ASM411807v1:3:28787053:28813528:1 gene:Vigun03g199500.v1.2 transcript:Vigun03g199500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVKVVGGIDSCFVSLPLSLIQTLQSTRSTPLPEILALELRSPPHTWFVSWSGATSDSSAIEVSSRFAECISLPNHATVQVRAAPNVPHASLVTIEPNTEDDWEILELNADLAEGIILNQVRIVYEGMRFPLWLHGHTVITFQVASVDPKNVVVQLMRKTKVAVAPKSRKRSLDSPGDSRQDSSNKEHTSKMLLRLQDPKGLCSTSTHVQGVDLNVGLTTVAFVHPETAKKYSFSMLQLVLIVPRVSKENVNISRTNIMKNRGGSSTNEAENVYTDNIEYRQAIVQLMISESVGEGHVMVAKSLRLYLRASLRSWVYLKACNTILEKNIPSTSLFPCQFKLLSQENSVEKDGLKVSHDRNNHIDKKVQAKAASGLFVDTIDWSIQNKVLEAVSYESNYKAEEETTNQSQNHRGLQNLVRLWYITQLKAITSISGMEVSSLIMGDKTLLHFEVSCYKLGSDGKAQFAYSPSENSSNAAELLFLLTFGEEYLHNGKLRAYEVGLGGEHDNINVVDLKVFERMKLCDPVSIISIEERTSEDNINSNLSPLGWMEKTADDVINRMLILLCSASGLWFGSHNLPLPGHVLIYGPPGSGKTILARTVAKSLENHEDIFAHIIFISCSKFALEKVPVIRQELANHVTEALNHAPSVVIFDDLDSIISSPDSEGSQQSISVAGLTDFLVDIMDEYGEKRQKSCGFGPIAFIASAQSLEKIPQSLSSSGRFDFHIKLPAPAASERRAMLKHEIQRRHLQCDDEILLDVAVKCDGYDGYDLEILVDRTVHSAVRRFLPSNASIDVHESPAILREDFSQAMLDFLPVAMRDITKSASDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWHERLEIIAVLSRKLAMDKDIDLTTIANMTEGFSGADLQALLSDAQLAAVHDVLDNVDALRTEKTPVITDALLKFTASKARPSVSEEEKRRLYNIYHQFLDSKRSVAAQSRDSKGKKATLA >Vigun08g023400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2009541:2010292:-1 gene:Vigun08g023400.v1.2 transcript:Vigun08g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVYESRRPVRIIPTLPGKTIVVSGIQNKTISDSFTLQKIMEALHNADRDKNGSYDKDELKQALRDLGAYFPGWRAFRAFGKADANNDGQISGEEIDTLVEYLHSCGFGK >Vigun09g123101.1.v1.2 pep primary_assembly:ASM411807v1:9:27464387:27465511:-1 gene:Vigun09g123101.v1.2 transcript:Vigun09g123101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCGAGFGESDEGCCLCGGHGERKKGSTDTTNLQQGHARPGSPSIES >Vigun11g093800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27638492:27640684:1 gene:Vigun11g093800.v1.2 transcript:Vigun11g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGQGFQHGQLLLHLLEACSTIQSFKIVKCLHAVSITIGPIPKQSIFIHNNILSSYISLGEVLHARKMFNAMPHRTVVSYNTLINAYCRLGHVDDAWDLFSHMRWTGFAPTQYTLTGLLSCEFLNLSLGAQLQALSIRNGLFDADSFVGTALLGLFGRHGHWDEVFSTFEYMPQKSLVTWNSMMSLLGRNGFVEECKLLFHDLVRTGMSLSEGSFVAVLSGLVYFEEDLEYGEQIHGLMVKCRFDYEISALNSLISVYVRCKAMFAVERLFEQVPIQNVVSWNTIMDALVKGGKPMATLELFLSMVSRGLMPTQATFVVVIESCNCLRIVVFGESVHAKVIRSVFETDVIMGTALIDFYAKCDKLILAKKCFDQIEAKNLVSWNTLIVGYSNICSSTAILLLQKMLQLGYFLNEFSFSAVLKSSSVSSLHQLHSLIIRTGHKSNEYVLSSLILSYTRNGLINEALSFVKEFENRLPVVASNIIAGIYNKKCQYYDAIKLLSLLEKPDVVSWNIVISAWARSNDYDKVFALFKHMHSTCILPDSYTVMSVLSGCTALCRLDLGSSLHGLVIKTNLGNFDTFLGNVLIDMYGKCGSIDNSMKVFEEIMHKNMITWTTLITALGLNGYAYESVMRFRDMEMMGLKPDALAFRAVLSSCRYGGLVEEAMQIFSQMRANNGMSLEHDHYYIMVDLLAKNGQIREAEKIIASMPFLPNVNIWRSFLEATICNNL >Vigun03g091800.1.v1.2 pep primary_assembly:ASM411807v1:3:7745156:7748121:-1 gene:Vigun03g091800.v1.2 transcript:Vigun03g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDVSQRWDVKWVTGKVLIAVLLLVTVSAENTNSQSNHTEGVDTNAKVFYHHTWPSMKFGWKIIVGSIVGFLGSAFGNIGGVGGGGIFVPMLTLIIGFDTKSAIAISKCMITGGATATVFYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISIGVDFNVIFPEWMLTVLLIILFVGLSIKSFLKGVDTWKKETIMKKEAKKNSRIEDIATAEDGAHYIQTEAPVKIDTNELKKKVSMVENIRWKDLGHLFAVWFMILALEIGKNYTTTCSGAYWAVNLLQIPIAVGMSAYQAMRLYKGQRSIASKGDQQPRWSVWKLIVFCCCGTVAGTLAGLLGLGGGFILAPLFLGIGIPPQVASATSILAMAFSASMAVVEYYLLKRFPVPYALCLVGVATVASLVGQYLVRKVVALLGRASVIIFILTFTLCVSAVLLGGVGVDHMIQKIERKEYMGFGNLCMYTAKN >Vigun08g215300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37573267:37573719:1 gene:Vigun08g215300.v1.2 transcript:Vigun08g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQHRGEREKRPKFFINDNIDILREILKRLDGPSLGVAACVCRLWCSLTRNDDSLWEHLCFRHVSTASVRAVVVALGGYKRLYMVCVRPVLSRLGESERVRKRVWTRHEVQLSLSLFCIDSYERLGSGRIASDASASSLMFLCNTINV >Vigun05g025900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2102202:2103875:-1 gene:Vigun05g025900.v1.2 transcript:Vigun05g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGFTTPNELKSNFDEVRWVIQIRDTLNEVLEDDDQFPVSIFNVPKPLMATDPDSYIPQQVSIGPYHYWRQELYEMERYKISSAKRFQEQLQNLKLEYIVEQLIKLEQRIRACYHRYLNFNGETLVWMVAIDASFLLEFLQVYTIQDETMMIPGVSSRMSHLMDCAGRRIAHNAILKDIVMLENQIPLFVLRKMLEFKYSSAELADDMLLSMLIGLFKQLSPFKVIEKDCSEINVSECAHLLDFLYAMVVPKLDEQSDIEDHQHKDNEDNEKSFLNYAKKFLCEAWSFLSKLATTLVDLIEKFLHCRTMKVITWLPWTIISNLPGVGLIKQPVEYLFFSEDKKARTAENGNLSSDNATNKPPLMEEIAIPSVTELSKSGVCFMATNGDISTIGFDVKTVTLYLPTIGLDINSEVLLRNLVAYEASTASGSLVFTRYTELMNGIIDYEEDAKILREKGVILNHLKSDEEVATLWNGMTKSIKLTRVPFLDKVIEDVNQHYNGRMCIKVLKFMKLYVFASWQFLIFLAAIFFLFLMSLQVFCSFYKCNHRNHVNETK >Vigun04g132100.1.v1.2 pep primary_assembly:ASM411807v1:4:33249862:33259251:1 gene:Vigun04g132100.v1.2 transcript:Vigun04g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEIEDVTVYFPYDNIYPEQYSYMVELKRALDAKGHCLLEMPTGTGKTIALLSLITSYVLSKPNSPLKLIYCTRTVHEMEKTLAELRLLHDYQLQHLGPAARILALGLSSRKNLCVNSRVLAAENRDSVDAGCRKLTASWVRALAAENPSVPSCEFFEQYERAGSSAVLPPGVYTLQDLRVFGKEKGWCPYYLARHMVQFANVVVYSYQYLLDPKVASIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTIEGARRNLTRMRQEIDKFKATDAGRLRAEYNRLVEGLALRGDLPATDAWLANPALPDDILKEAVPGNIRRAEHFIHVLRRLVQYLEGRLDTENVEKESPVSFVVSILNHAGIDQKSLKFCYDRLHSLMMTLEITDTDEFLHIQTICDFATLVGTYARGFSIIIEPFDERMPHIPDPVLQLCCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDLGVVRNYGRLLLEMSSVVPDGIVCFFVSYSYMDGIVNTWNENGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLHDAHLNLSTDMALHIAREFLRKMAQPYDKTGGTGRKTLLSQEDLQKMVISGIDDMMF >Vigun09g089500.1.v1.2 pep primary_assembly:ASM411807v1:9:12361478:12363499:-1 gene:Vigun09g089500.v1.2 transcript:Vigun09g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQWLNQEFKSFLFFATIFMIVLLMKYHLGEKSRKRNRSNLPPSPSITPIIGNLHQLVTSPHIALQRLTQKYGPIIFLQLGQIPTVVVSSAKLAKEVLKTHDPALCSRPQLFSAKYLFYNCTDIAFAPYGAYWRHIRKICILQLLSAKRVLSYSSVREEEVARLVHRVEGSYPGTTDLSKMLGLYANDVLCRVAFGREFSEGGDYHRHGFQTMLDEYQELLGGFSVGDFFPSLEEFLHSLTGMKSRLEGTSRRFDQLFDQILYEHKGSNKVEEHKDLVDVLLEVQKNGSAEMPLTTDNIKAIILDMFAAGTDTTFITLDWAMTELLMNPHVMEKAQREVRSIMRERRVIAESDLAQMEYMRAIIKETFRLHPAVPVLVPRESMEDVVIEGYKIAAQTRFFVNVWAIGRDPESWEDPNAFKPERFLGSDVDYKGKDFELIPFGAGRRGCPAISFAIAVVELALAQLLHSFHWELPPGVTPKDLDLTDVFGISMHRKQHLHVVAKPYFL >Vigun02g150900.2.v1.2 pep primary_assembly:ASM411807v1:2:29803517:29809395:1 gene:Vigun02g150900.v1.2 transcript:Vigun02g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPHLSFFYFLTLFLFLSIPVNTAKRVNSSTSANESGTRRILHQPLFPASSAPPPPLPVETPPSPEGGNIPFFHEYPAGPPPDQSQAAPSGSNTSIANPTATQPTKGTKKVAIAISVGIVTLGMLSALAFFLYKHRAKHPVESQKLVAGSGNNNNNSNISNRNEVDSTAPPSSFLYIGTVEPTRASTNDSRDNRDVNKANRSPYHKLKRSDRYRPSPELQPMPPLSKPPDGNYPPAVSSSSESDEESRGTAFHSPQNSSVDGYYTPASRQSSLVNGSPAKRDTNSTPTPVVPFSKRTSPKSRLSAPSPEIRHVIIPSIKQPPPPSPPPPKHSRKPKFSAPPPPPNLKHLQSTTDTVSHVSKSLINPPPPPPPPPPPPPPRKSVSPAVSASSNSASVKRQSWSPIEGSVTKGSEEVEQSVSSSERLEPNDRDGTKPKLKALHWDKVRATSDRATVWDQIKSSSFQLNEDMMESLFGCKATNSAPKEPPKKSVLPSVDHENRVLDPKKSQNIAILLRALNVTREEVSEALLDGNPEGLGTELLETLVKMAPTKEEEIKLKNYDGDLSKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDSEINYLRKSFQTMEAASDELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLETLLKLVDVKGTDGKTTLLHFVVQEIIRSEGAGAESANENVKMDSKFNEDEFKKQGLQVVAGLSRDLSNVKKAAGMDSDVLSSYLSKLETGLDKVRLVLQYEKPDVHGNFFNSTKLFLKYAEDEIVKIKADERKALYLVKEVTEYFHGNAAKEEAHPLRIFMIIFPNTAKCIITRSQ >Vigun02g150900.1.v1.2 pep primary_assembly:ASM411807v1:2:29803517:29809395:1 gene:Vigun02g150900.v1.2 transcript:Vigun02g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPHLSFFYFLTLFLFLSIPVNTAKRVNSSTSANESGTRRILHQPLFPASSAPPPPLPVETPPSPEGGNIPFFHEYPAGPPPDQSQAAPSGSNTSIANPTATQPTKGTKKVAIAISVGIVTLGMLSALAFFLYKHRAKHPVESQKLVAGSGNNNNNSNISNRNEVDSTAPPSSFLYIGTVEPTRASTNDSRDNRDVNKANRSPYHKLKRSDRYRPSPELQPMPPLSKPPDGNYPPAVSSSSESDEESRGTAFHSPQNSSVDGYYTPASRQSSLVNGSPAKRDTNSTPTPVVPFSKRTSPKSRLSAPSPEIRHVIIPSIKQPPPPSPPPPKHSRKPKFSAPPPPPNLKHLQSTTDTVSHVSKSLINPPPPPPPPPPPPPPRKSVSPAVSASSNSASVKRQSWSPIEGSVTKGSEEVEQSVSSSERLEPNDRDGTKPKLKALHWDKVRATSDRATVWDQIKSSSFQLNEDMMESLFGCKATNSAPKEPPKKSVLPSVDHENRVLDPKKSQNIAILLRALNVTREEVSEALLDGNPEGLGTELLETLVKMAPTKEEEIKLKNYDGDLSKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDSEINYLRKSFQTMEAASDELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLETLLKLVDVKGTDGKTTLLHFVVQEIIRSEGAGAESANENVKMDSKFNEDEFKKQGLQVVAGLSRDLSNVKKAAGMDSDVLSSYLSKLETGLDKVRLVLQYEKPDVHGNFFNSTKLFLKYAEDEIVKIKADERKALYLVKEVTEYFHGNAAKEEAHPLRIFMIVRDFLCILDLVCKEVEKMHDKIVGGSGRSFRIPPSASLPVLNRYNHPKHDRSSDEESSSP >Vigun11g051350.1.v1.2 pep primary_assembly:ASM411807v1:11:8711625:8712691:-1 gene:Vigun11g051350.v1.2 transcript:Vigun11g051350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVVLRGNYLGIRRYQNPVARRRHHHRFSHRRSNTHHFHLPAIRVIFHFLYFWNFLVLKILVSFSFSLLLSFLVQDFPSLGDHIPSLVDPHSDMLMNNDDMFEEVFALPVQEFSSLTDPHSDMPLNIDDTSNEDRLELDEWIDNDDLEKGLLEDIIARQMQTKTYMLPIDLVASTEEREPDICVICQVFVFLCTRLGLFIQV >Vigun06g050700.1.v1.2 pep primary_assembly:ASM411807v1:6:17628886:17634910:-1 gene:Vigun06g050700.v1.2 transcript:Vigun06g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLHGQNLPADVAQVVDQLERHCFAPDGSLISKPLFNDLQLAREEMCRERLRYLEAMAVYSEAIAMVEEYQQAISVSNLGGIRDTGNLYPQLGLRNTPQVYQTLEHQMVVAEAAQRLRLPLISKDGEVHDEDIEKLSVVSRSSLDSTVSGANSSNYNTPNSSVSGANSALVASDPVELGVGGVPNRFLGITPAYLWQTQRQKTPLSVDMTEYRLSVSREVDARLKMKCEKLSDAFVLDDNDSSSSASQSSSSRLPERVKLLIEEIEREETALRDDLYSADRKFAEYYNVLEQILAVLIKLVKDLKLEHQHKYDDLQKTWLCKRCETMSAKLRVLEHVLLLETYTKESIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDNIARQYHDIVQKLENMQWTIHQVEMDLKRLPDKPST >Vigun08g189400.1.v1.2 pep primary_assembly:ASM411807v1:8:35740066:35741814:1 gene:Vigun08g189400.v1.2 transcript:Vigun08g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQCFLHHHALTTPARSPSQRTMVSTKPNHLVCKAQKQTPQEDDAAPISRRLALTVLIGAAAVGSKVQPADAAYGEAANVFGKPKSNTDFLPYNGNGFKLSIPSKWNPSKEVEYTGQVLRYEDNFDTTSNVVVTVTPTDKKSITDYGSPEEFLSKVDYLLGKQAFFGETQAEGGFDPNAVATANILESATPVVDGKQYYVLTVLTRTADGDEGGKHQLIRATVKDGKLYICKAQAGDKRWFKGARKFVESTASSFSVA >Vigun08g158600.1.v1.2 pep primary_assembly:ASM411807v1:8:33108980:33112449:1 gene:Vigun08g158600.v1.2 transcript:Vigun08g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLSGILPSKMQLGFPNLQAFLFGANQFSGTFPSSVFNITGLERFDVSSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGEVPRLIGNFSTNLNILSMGLNQISGTIPEGIWQLIGLADIIMQTNYLVGTVPDSIVRLKNLVRLNLGENKLSGNIPTAIGNLTMLSELYLYRNRFVGDIPLSLKYCMRMQSIGVSTNNLNGDIPNQTFGNLEGLTKLDLSYNSFTGSIPSDFGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGLVTSTLFISIYLFRKRTITPKTPSTSCSLKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLSLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVFEFMPNGSLESLLHDNVEPESRNLSLNLDLVVNIALDVANALDYLHHGSEEAIVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGNSSRDQVSSSGIRGTIGYVPPEYGTGCRVSAKGDMYSYGILVLEMVTGRKPTDAMFGEGLSLHKFCQTAIPEGITEIVDSRLLEPNAAEGRNVMESKIRECVVALARIGVECSAELAVDRMDIKDLVMELHTIKQRLCH >VigunL017200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000193.1:2457:2618:1 gene:VigunL017200.v1.2 transcript:VigunL017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDTPRTWILYEPVDRDKSLLLAMTSSFITSSFPYPSPLFSVTHQMALSSYL >Vigun06g188300.1.v1.2 pep primary_assembly:ASM411807v1:6:30680418:30683046:1 gene:Vigun06g188300.v1.2 transcript:Vigun06g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSACDEWKPFVVMIAIDFSFALVNILLKKVLEEGVNHLVFITYRLTIATIFIAPIAYFRERNDRPRLTFRTLCYLFCSAIVGASVTQYFVLLGIQYTSATFSCAFINMVPVLTFMMALPFGLETVKIKCNSGRAKILGSLVCIGGVLILTLYKGKPLFNFSHYESAASMVKSSAANAYSTKTTERWTIGVIAMVIGTMFWSSWYILQSKISKSYPCQYSSTAIMSFFGALQSAVICLCTDHNLSIWVLKGKIQIIAFLYSGIIGSGLCFVGMSWCVKKRGPVFTAAFSPLVQIIAAMIDIPFLHEQLHLGSVMGSIVVIIGLYILLWGKTVEIKNRVTKLVQEAEETKEQEPQPQIQQLTVYVHTESCNS >Vigun06g188300.2.v1.2 pep primary_assembly:ASM411807v1:6:30680128:30683026:1 gene:Vigun06g188300.v1.2 transcript:Vigun06g188300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSACDEWKPFVVMIAIDFSFALVNILLKKVLEEGVNHLVFITYRLTIATIFIAPIAYFRERNDRPRLTFRTLCYLFCSAIVGASVTQYFVLLGIQYTSATFSCAFINMVPVLTFMMALPFGLETVKIKCNSGRAKILGSLVCIGGVLILTLYKGKPLFNFSHYESAASMVKSSAANAYSTKTTERWTIGVIAMVIGTMFWSSWYILQSKISKSYPCQYSSTAIMSFFGALQSAVICLCTDHNLSIWVLKGKIQIIAFLYSGIIGSGLCFVGMSWCVKKRGPVFTAAFSPLVQIIAAMIDIPFLHEQLHLGSVMGSIVVIIGLYILLWGKTVEIKNRVTKLVQEAEETKEQEPQPQIQQLTVYVHTESCNS >Vigun06g188300.3.v1.2 pep primary_assembly:ASM411807v1:6:30680128:30683047:1 gene:Vigun06g188300.v1.2 transcript:Vigun06g188300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSACDEWKPFVVMIAIDFSFALVNILLKKVLEEGVNHLVFITYRLTIATIFIAPIAYFRERNDRPRLTFRTLCYLFCSAIVGASVTQYFVLLGIQYTSATFSCAFINMVPVLTFMMALPFGLETVKIKCNSGRAKILGSLVCIGGVLILTLYKGKPLFNFSHYESAASMVKSSAANAYSTKTTERWTIGVIAMVIGTMFWSSWYILQSKISKSYPCQYSSTAIMSFFGALQSAVICLCTDHNLSIWVLKGKIQIIAFLYSGIIGSGLCFVGMSWCVKKRGPVFTAAFSPLVQIIAAMIDIPFLHEQLHLGSFH >Vigun01g074500.1.v1.2 pep primary_assembly:ASM411807v1:1:20856663:20858169:1 gene:Vigun01g074500.v1.2 transcript:Vigun01g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNPVEGKTYKLPVGYRFDPTDPVLAGYYLTKRLRGHPLPNGLILDYDVHQTEPWELPGGGKFMNWQKFFFYNVKGLNPEKRDAGKGQWEMVEKDEEVEFYDEQLAPLTAKKSVLVFWKSKGNSLAKTKWVMHEFRLALKSNPSKMSAMGVCRIFERKTSKRAKRARVSTEGVSNAEEAMEVIDFTVECGTETSPPPPPGTP >Vigun06g118400.1.v1.2 pep primary_assembly:ASM411807v1:6:24652178:24653882:1 gene:Vigun06g118400.v1.2 transcript:Vigun06g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLGFVGTMVILFSVVLLSGVIVPGVEAKPRAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPSRKPTGRFSNGRNIPDLISEGMGGESVLPYLSPQLKGDNLLNGANFASAGIGILNDTGAQFLNIIRMYRQLDYFEEYQQRVSILIGVGRAKKLVNQALVLITVGGNDFVNNYYLVPYSARSREFSLQDYVKYLIVEYRKLLMRLYDLGARRVLVTGTGPMGCVPAELAMRGTNGGCSAELQRAASLYNPQLQHMIQGLNKKIGKDVFIAANTARMHNDFVNNPAAYGFTTSRIACCGQGPYNGIGLCTPLSNLCPNRNLHAFWDPFHPTEKANRIIVEQIMSGSKRYMKPMNLSTILALDATK >Vigun05g159300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25584924:25585232:1 gene:Vigun05g159300.v1.2 transcript:Vigun05g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun06g206300.2.v1.2 pep primary_assembly:ASM411807v1:6:32033355:32039896:1 gene:Vigun06g206300.v1.2 transcript:Vigun06g206300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRYDSNPFDEEEVNPFSNPGSVAPATNSRLSPLNPEPADYNYGFGATVDIPLDPSTDLKKKEKELQAKEAELRRREQEVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPAHLQRLQYVAFTSLLGLALCLTWNIIAVTAAWIKGEGVKIWFLAIIYFIAGIPGAYVLWYRPLYRAFRTDSALNFGWFFLFYLLHLGFCILAAVAPPIVFKGKSLTGILAAIDVVGNHAVIGILYFVGFGLFCLETLISIWVIQQVYMYFRGSGKAAEMKREAARGAMRAAL >Vigun06g206300.1.v1.2 pep primary_assembly:ASM411807v1:6:32033355:32039896:1 gene:Vigun06g206300.v1.2 transcript:Vigun06g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRYDSNPFDEEEVNPFSEPAVREKKSSQSSYSGGAFYTTNPGSVAPATNSRLSPLNPEPADYNYGFGATVDIPLDPSTDLKKKEKELQAKEAELRRREQEVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPAHLQRLQYVAFTSLLGLALCLTWNIIAVTAAWIKGEGVKIWFLAIIYFIAGIPGAYVLWYRPLYRAFRTDSALNFGWFFLFYLLHLGFCILAAVAPPIVFKGKSLTGILAAIDVVGNHAVIGILYFVGFGLFCLETLISIWVIQQVYMYFRGSGKAAEMKREAARGAMRAAL >Vigun04g109900.1.v1.2 pep primary_assembly:ASM411807v1:4:27447344:27449549:1 gene:Vigun04g109900.v1.2 transcript:Vigun04g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQSNNLPPGFRFHPTDEELILHYLRKKVASIPLPVSIIAEVDIYKCDPWELPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKNIVASLGGGVRQHFGVKKALVFYKGKPPKGVKTNWIMHEYRLVDTNKPVRVKDASMRLDDWVLCRIYKKSKHSLTSITEASQTVEVKEQDEAEEEEQMKETFSFSPPLLLPTPQATLISQKSLSFSNLLDATDFSMLRTILSENHNNSTNYPNTTLFNSENLDHETPQNFYTNNTDINNSTCFVQKNPSVISNMDENMMYPPKKHHSSSYCNFANTTLQNQNPQWNFMFKQPHMNRQLLPGPQYLQFQ >Vigun11g060200.1.v1.2 pep primary_assembly:ASM411807v1:11:13123464:13127494:-1 gene:Vigun11g060200.v1.2 transcript:Vigun11g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVYWMEFAARQGDVNSLYEIIENDPCVLEAIDSIPFVESPLHVAARAGQVQFAAEIMTLKPSFAWKFNPQGLRPIHLALENGDTTMVLHLIKMDKELVRAKRREGLTLLHLASESGDIDLLTELLKACPDSVKDLTVRNETALHFAVMYRRLDALRFLLRWLKTNTVKFQDILNQKDVDGNTILHIAATNNDTEAVQLLMTNMTDLDAVNLNGERAFDIIKDEEIKSNLARAEARVRKKKKWVR >Vigun09g274400.1.v1.2 pep primary_assembly:ASM411807v1:9:43500084:43501313:1 gene:Vigun09g274400.v1.2 transcript:Vigun09g274400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKKSFMDMKWKKEHRYIVFKIDEGSRLVTVDKLGGPTDGYHDLTASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKILYATSKDGLRRALDGISYELQATDPTEMGFDLIRDIAK >Vigun09g274400.2.v1.2 pep primary_assembly:ASM411807v1:9:43500084:43501313:1 gene:Vigun09g274400.v1.2 transcript:Vigun09g274400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLQATTGMWVTDECKKSFMDMKWKKEHRYIVFKIDEGSRLVTVDKLGGPTDGYHDLTASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKILYATSKDGLRRALDGISYELQATDPTEMGFDLIRDIAK >Vigun09g152200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31654694:31659425:1 gene:Vigun09g152200.v1.2 transcript:Vigun09g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKDEAVRAKEIAERKFSEREYVGAKKFALKAQNLYPDLEDITQLLTTIDIYISAENKVSGEMDWYGILGVSPFADEEIVRKQYRKLALTLHPDKNKSLGAEGAFKLVSEAWSLLSDKTKRLEYNQKRSLKGFQHNTSNHVGSKSDAPSSNGFYNLKKNVTSNVRTGNNNGRAPSTAVPPPHKKADTFWTICNRCRTHYEYLRIYLNHTLLCPNCNEAFVAVERGPPPNVFKPTNWSSHQQRHQNSQHHAGSNNTNFQWGSHNRMAGFGSTDGSTSVAAQAASVVQQASEKVRREGSFHKAEKPMKKRRTDDIRINGYQGYMANHMTGGHGAAGLGSFSDSGKANLEAERNYGFSGLPGKHYSTRELSMFELRNMLMDKSRNVIRKKLQELKAMAEAKINRDKENKRQKSTLTTGSEKLREPAVNGNRHANMDSFPVRSDQAYVTINVPDPDFHNFDLDRDENSFAEDQVWAAYDDDDGMPRYYARIHKVISIKPFKMRISWLNSRSNSELGPIDWVGSGFYKTCGDFRTGKHEISESLNSFSHKVRWTKGLRGVVRIFPGKGEVWALYRNWSPDWNEHTPDEVIHKYDMVEVLEDFNEEHGILVTPLVKVSGFRTVFQRHADRDQERRILKEEMFRFSHQVPNCLLTGQEAQNAPKGCRELDPAATPLDLLQITTEANEISDNA >Vigun02g029200.1.v1.2 pep primary_assembly:ASM411807v1:2:12187438:12190205:1 gene:Vigun02g029200.v1.2 transcript:Vigun02g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCSCSDEMTSHRNSCTVEVGSVGRVSFLIENEKNEKNETPVLQHLNPNKAKDKEEEVVVLRRSSSSCVEIKRHGGFWRIGKLFRKKKEKDCGRSVVGFDERNEMWMVDQGGVSRSRSLCSFRGGGLFGSEDGGDSVLSGARSSISAARSSGVNGGLMLESGRRSGYSEAEPRRSGFDGERRDFMFDYESGNDLNLKGGGKKMDGDAGFYGANRRVFSLRESDFKGMDESSFIDLKLDYSAESKHEFSAAKMSNLGDAFSSFRGANFMAHDGGLVGDGVLTNGGSCRITVNDRGIKRGRKSMKGWRWIFRYHSNWGSSRKRDEHFMFKA >Vigun02g029200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:12187492:12190453:1 gene:Vigun02g029200.v1.2 transcript:Vigun02g029200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNKGVEAYANDMDCYYYSSASELPCKKHPSSSSVGICAYCLKDRLVKLVCSDCGEQRLSSCSCSDEMTSHRNSCTVEVGSVGRVSFLIENEKNEKNETPVLQHLNPNKAKDKEEEVVVLRRSSSSCVEIKRHGGFWRIGKLFRKKKEKDCGRSVVGFDERNEMWMVDQGGVSRSRSLCSFRGGGLFGSEDGGDSVLSGARSSISAARSSGVNGGLMLESGRRSGYSEAEPRRSGFDGERRDFMFDYESGNDLNLKGGGKKMDGDAGFYGANRRVFSLRESDFKGMDESSFIDLKLDYSAESKHEFSAAKMSNLGDAFSSFRGANFMAHDGGLVGDGVLTNGGSCRITVNDRGIKRGRKSMKGWRWIFRYHSNWGSSRKRDEHFMFKA >Vigun03g135150.1.v1.2 pep primary_assembly:ASM411807v1:3:13234774:13236188:1 gene:Vigun03g135150.v1.2 transcript:Vigun03g135150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTKSLQLPAVIVVIIFLSVGAESRYEKIMKTSETMKLAELGELMGIKNIHTDVYCCYDNVVRSCNPETEDDDHCNTICLMHPCVKGGFCKVFGKKHPKHRCHCYC >Vigun07g101700.18.v1.2 pep primary_assembly:ASM411807v1:7:18180811:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.23.v1.2 pep primary_assembly:ASM411807v1:7:18181356:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKSLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.10.v1.2 pep primary_assembly:ASM411807v1:7:18181345:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGHLRTDQTPTGLIIRVSKD >Vigun07g101700.9.v1.2 pep primary_assembly:ASM411807v1:7:18181064:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGHLRTDQTPTGLIIRVSKD >Vigun07g101700.3.v1.2 pep primary_assembly:ASM411807v1:7:18179135:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.7.v1.2 pep primary_assembly:ASM411807v1:7:18182040:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.12.v1.2 pep primary_assembly:ASM411807v1:7:18179978:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.17.v1.2 pep primary_assembly:ASM411807v1:7:18179978:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.1.v1.2 pep primary_assembly:ASM411807v1:7:18184418:18191661:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGHLRTDQTPTGLIIRVSKD >Vigun07g101700.15.v1.2 pep primary_assembly:ASM411807v1:7:18181356:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.16.v1.2 pep primary_assembly:ASM411807v1:7:18184153:18191661:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.14.v1.2 pep primary_assembly:ASM411807v1:7:18181201:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.6.v1.2 pep primary_assembly:ASM411807v1:7:18181331:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGDMTSCFILFNQES >Vigun07g101700.19.v1.2 pep primary_assembly:ASM411807v1:7:18181340:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.21.v1.2 pep primary_assembly:ASM411807v1:7:18181356:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.22.v1.2 pep primary_assembly:ASM411807v1:7:18186158:18191661:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.11.v1.2 pep primary_assembly:ASM411807v1:7:18181356:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKSLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGHLRTDQTPTGLIIRVSKD >Vigun07g101700.13.v1.2 pep primary_assembly:ASM411807v1:7:18180811:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun07g101700.8.v1.2 pep primary_assembly:ASM411807v1:7:18181356:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVRFHLRDLIGSGHLRTDQTPTGLIIRVSKD >Vigun07g101700.20.v1.2 pep primary_assembly:ASM411807v1:7:18181340:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQVYAFNLFHVQSLAA >Vigun07g101700.2.v1.2 pep primary_assembly:ASM411807v1:7:18179135:18191793:-1 gene:Vigun07g101700.v1.2 transcript:Vigun07g101700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPESSSKGTKRRRDEDSDAGNTSSLEDDLTFNDTLVALRIMRAQFPHIHKGSVEPFILKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFLDDYLNQIDRVVKRMEGKKVEEYEVFGWLKTHVLDSKLETGIEHHELCSLLSLGGKVKESHISVLINAGVLTRQLIDPNMYWFAIPNIGSLLKGIVQGRKEIISLLSRRRYKEMMLSSLEKKRLRMSPLDVT >Vigun05g029200.1.v1.2 pep primary_assembly:ASM411807v1:5:2323221:2330371:-1 gene:Vigun05g029200.v1.2 transcript:Vigun05g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKTSQQVTFSKRRTGLLKKANEISVLCDAQVALIMFSTKGKLFEYSSERSMEDVLERYERYTHTALTGTNNDSQGNWSFEYIKLTAKVEVLERNVRNFLGNGLDPLNLKELQSLEQQLDTSLKRIRTRKNQVMNQSISELHKRARTLQEQNSKLAKMKEKGKTLSERPQSVPETLGQNSTNLNLGSPQLLAPQRLVPSLTLSGALQGRGTVEETGEAQTVPTGNSLIPPWMLHI >Vigun07g185100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30214188:30217374:1 gene:Vigun07g185100.v1.2 transcript:Vigun07g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLQLLYTHMPLLSNANAVLLSEKPEQVTPTSRKQRLPLHNGIGTVKPETNLKKCGPVQNSEECIPRKSKPEKSHTKCSTKRLSYGGCIPAILEALDTVLDVDEALGPWEDRLNNKERSIILKEQLRWDRALEIFEWCKQKGHELNVIHYNIMLRSLGRARQWRRVESLWNEMNARGIAATSSTYGTLIDVYSKGGRREEAFFWLDMMLENRVEPDEVTMVIVVQLYKKAGEFQKAEEFFKRWSSGKPLRSKSKPLRINNEVVDTRELDERVACANVSFSSHTYNTLIDTYGKAGQIKEASETFMEMLKRGVAPTTVTFNTIIHICGNHGQLEEVSLLVQKMEELRCSPNTRTYNILISLYAKHNDIGRATKYFETMKEACLEPDLVSYRTLLYAYSIRKMVYEAEELVREMDERGLEVDQYTQSALTRMYIEAGMLHRSLLWFLRFHLTGNLTSECYASNIDAYGERGHTLEAEKVFILCQERKNLSVLEFNVMIKAYGVGKCYEKACQLFDSMEKQGVVADRCSYTSLIQILVSADQPHVAKTYLKKMQEAGLVSDCIPYSAVISSFAKLGLLEIAEDLYREMINHDVQPDVIVYGVLINVFSDVGRVKEAISYVDEMNKAGLPGNTVIYNSLIKLYAKVDNLEKAEEAYKLLQLSEEAPTVYSSNSMIDLYVKRSMVDQAKEIFETLKENGAANEFTFAMMLRLYKKMEMYDEAIQIAKQIRKLGHSTDLSYNNVLNLYAIAGRPKEAMETFKEMLRASIPVDNFSFRSLGNLLLRYGVSRQAVGKLEVLVKKDVSNGLLAWMSALSGVLEVDDYYDHD >VigunL059706.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:1922564:1922877:-1 gene:VigunL059706.v1.2 transcript:VigunL059706.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTVLSSRNLLCRDQCLEKEWSVVLLPRQLVVSMIFFEVKVLHDIMISWCRENVLEEGKIDDSCWVVACVDS >Vigun02g074900.4.v1.2 pep primary_assembly:ASM411807v1:2:22646545:22651420:-1 gene:Vigun02g074900.v1.2 transcript:Vigun02g074900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPTLCSAIEDLLPAFTFLIATVFRMEKLDLKLRSCQAKTIGTVVSIAGAFIVTFYKGLPMTNGVMPNNLFLSSQQSQWLLGGFLLSTGTFCGSVSLVIQCHFVLTHNKNESFDADMDY >Vigun02g074900.3.v1.2 pep primary_assembly:ASM411807v1:2:22646545:22651420:-1 gene:Vigun02g074900.v1.2 transcript:Vigun02g074900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPTLCSAIEDLLPAFTFLIATVFRMEKLDLKLRSCQAKTIGTVVSIAGAFIVTFYKGLPMTNGVMPNNLFLSSQQSQWLLGGFLLSTGTFCGSVSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEQNPKAWILKPDMELVCILYS >Vigun02g074900.2.v1.2 pep primary_assembly:ASM411807v1:2:22646545:22651420:-1 gene:Vigun02g074900.v1.2 transcript:Vigun02g074900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPTLCSAIEDLLPAFTFLIATVFRMEKLDLKLRSCQAKTIGTVVSIAGAFIVTFYKGLPMTNGVMPNNLFLSSQQSQWLLGGFLLSTGTFCGSVSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEQNPKAWILKPDMELVCILYSCCLHEVWCMHGHVERRELYMLLCSVL >Vigun02g074900.1.v1.2 pep primary_assembly:ASM411807v1:2:22646545:22651420:-1 gene:Vigun02g074900.v1.2 transcript:Vigun02g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEVTTVMVVLLFLTVGSTTLVKASMSKGMSNYVFAAYSNLLGFCFLLIATTLHYRNRSPTPLNNFILFRIFLIGFFSVSIQTFLYVGLGYSSPTLCSAIEDLLPAFTFLIATVFRMEKLDLKLRSCQAKTIGTVVSIAGAFIVTFYKGLPMTNGVMPNNLFLSSQQSQWLLGGFLLSTGTFCGSVSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEQNPKAWILKPDMELVCILYSAIVVLSTRSVVYAWACRKKGAVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSEATLFLNKSKDTMIV >Vigun06g032200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13899285:13899751:1 gene:Vigun06g032200.v1.2 transcript:Vigun06g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGLNLHFHPDKLVSLPTACHIQLSFSMDLCVSGP >VigunL060915.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:106617:107353:1 gene:VigunL060915.v1.2 transcript:VigunL060915.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDGSRILQFTPSIAFRYVLHRCKSEISVAESHFMTLHGDSKGNFPESRPTKKVHNPWRMKRRGLILSREVAPNPNDITQALPTVKAWMNQFLVGLVERGNDNDPSAGSPTETLLRLLLPLNDKVQWTSHHVAGSERPRRRNPNTSPDHSIGRSDGRCVQRAGT >Vigun03g200000.2.v1.2 pep primary_assembly:ASM411807v1:3:28954300:28970640:1 gene:Vigun03g200000.v1.2 transcript:Vigun03g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRILLISLLLCVPFSSSSKPKDPFLGIAPEDDKYYRASDVIRCKDGSGKFTKAQLNDDFCDCADGTDEPGTSACPAGRFFCRNAGHSPVDLFSSRVNDGICDCCDGTDEYDGKVKCPNTCWEAGKVARDRLEKKIATYQEGVKLRKQEIEQAKVAIEKDESELSQLKKEESILKVIVKQLKDHKEQIEKAEEKERLQKEKEEMQKKESEKKADETIDKTDEDMGNKNEAGKHSEDEAGKKLADVLDNDDRDRDAPGTEGSLHNIVEEENAKKSNEEPVVKSEANDKVGNEVSSDENVNKGNDVTENTEGLSREELGRLVASRWTGENTDVQSDETDTKLDKEDLPMETKKDEYEGYVSETDDESNRYDDDIHKYDDEDDVDEEYQEDEHDDDLSSYKSDSDNEPDLSDNPSWLEKIQKTVRNLFQAVNLFQAPVNQSDAARVRKEYDESSAKLSKIQSRISSLTQKLKHDFGPAKEFYTFYDQCFEGKENKYTYKVCPYKQASQEEGYSTTRLGRWDKFEDSYKVMVFSNGDKCWNGPDRSLKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCQEEKLEELQHKLEILNTQQPANHDEL >Vigun03g200000.1.v1.2 pep primary_assembly:ASM411807v1:3:28954300:28970640:1 gene:Vigun03g200000.v1.2 transcript:Vigun03g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRILLISLLLCVPFSSSSKPKDPFLGIAPEDDKYYRASDVIRCKDGSGKFTKAQLNDDFCDCADGTDEPGTSACPAGRFFCRNAGHSPVDLFSSRVNDGICDCCDGTDEYDGKVKCPNTCWEAGKVARDRLEKKIATYQEGVKLRKQEIEQAKVAIEKDESELSQLKKEESILKVIVKQLKDHKEQIEKAEEKERLQKEKEEMQKKESEKKADETIDKTDEDMGNKNEAGKHSEDEAGKKLADVLDNDDRDRDAPGTEGSLHNIVEEENAKKSNEEPVVKSEANDKVGNEVSSDENVNKGNDVTENTEGLSREELGRLVASRWTGENTDVQSDETDTKLDKEDLPMETKKDEYEGYVSETDDESNRYDDDIHKYDDEDDVDEEYQEDEHDDDLSSYKSDSDNEPDLSDNPSWLEKIQKTVRNLFQAVNLFQAPVNQSDAARVRKEYDESSAKLSKIQSRISSLTQKLKHDFGPAKEFYTFYDQCFEGKENKYTYKVCPYKQASQEEGYSTTRLGRWDKFEDSYKVMVFSNGDKCWNGPDRSLKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCQEEKLEELQHKLEILNTQQPANHDEL >Vigun08g102800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25488756:25490103:1 gene:Vigun08g102800.v1.2 transcript:Vigun08g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNPFSFFLFFLLSTCYVPNLSNATSRASNRMLALVQNQPLVLEYHKGTLLKGNITLHFFWYGTFTSTQRSILIDFVQSLSSTSSSRTPSSVSSWWRTTASYRGGPCTLVVGSQSLDGNYSLGKSLNTDKLLALASKANSEAHVVHVIMTSADVAVEDFCINQCGTHGWGKRKDGERVAYAWVGNPITQCPGQCAWPFHQPIYGPQTPPLVAPNVDVGVDGMVINLATVLAGLVTNPFEDGYYQGPPSAPLEAVSACTGIFGKGAYPGYPGNVQVDKTTGASYNAVGVRGRKFLLPAMWDPLISNCKTMV >Vigun09g170300.1.v1.2 pep primary_assembly:ASM411807v1:9:33941576:33948660:1 gene:Vigun09g170300.v1.2 transcript:Vigun09g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSAISDQASPVKPSFADFPMPPLPAAAATAATVRSDSAPRHSHKHSHSGSQREQPVDLKINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVNPETEKGSMVIGEESMRRITRNRNSYPCQHVRKPFGEIHLKVSTIRESKSDDKRFSVFTGTKRLHLRADTREDRLSWTEALQAVKDMFPRMSNSELMAPLDNVTVSTEKLRLRLMEEGVSEGTIQDSEQIMRTEFAALQSQLVLLKQKHSILIDTLRQLETEKVDLENTVVDESQRQWNDEEASSRLAQEKFSEGTGSESEDDNDRNDAAEEETDDDDNAFFDTRDILSSSSFKSNGSDYRMSSFSSDDEGFYAFESEDDIDPSIRYVGTNYPHVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEEMEYSYLLDQAYEWGRRGNSLMRILYVAAFAVSGYAATEGRVCKPFNPLLGETYEAHYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNQEYSCKLKFKEQSIIDRNPHQVHGIIQDRNGKTVSTLFGKWDESMHYVNGDYTGKGKGHESLSDARLLWKRSKAPKIPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYDMANSEKLRLEQRQRQARKMQESGWKPRWFAKDKASGTYLYVGGYWEARQRGNWDSCPDIFGQIPSDHISDEGQITF >Vigun09g170300.2.v1.2 pep primary_assembly:ASM411807v1:9:33941576:33948660:1 gene:Vigun09g170300.v1.2 transcript:Vigun09g170300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSAISDQASPVKPSFADFPMPPLPAAAATAATVRSDSAPRHSHKHSHSGSQREQPVDLKINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVNPETEKGSMVIGEESMRRITRNRNSYPCQHVRKPFGEIHLKVSTIRESKSDDKRFSVFTGTKRLHLRADTREDRLSWTEALQAVKDMFPRMSNSELMAPLDNVTVSTEKLRLRLMEEGVSEGTIQDSEQIMRTEFAALQSQLVLLKQKHSILIDTLRQLETEKVDLENTVVDESQRQWNDEEASSRLAQEKFSGTGSESEDDNDRNDAAEEETDDDDNAFFDTRDILSSSSFKSNGSDYRMSSFSSDDEGFYAFESEDDIDPSIRYVGTNYPHVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEEMEYSYLLDQAYEWGRRGNSLMRILYVAAFAVSGYAATEGRVCKPFNPLLGETYEAHYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNQEYSCKLKFKEQSIIDRNPHQVHGIIQDRNGKTVSTLFGKWDESMHYVNGDYTGKGKGHESLSDARLLWKRSKAPKIPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYDMANSEKLRLEQRQRQARKMQESGWKPRWFAKDKASGTYLYVGGYWEARQRGNWDSCPDIFGQIPSDHISDEGQITF >Vigun03g319100.1.v1.2 pep primary_assembly:ASM411807v1:3:51368194:51373171:1 gene:Vigun03g319100.v1.2 transcript:Vigun03g319100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITMSTTVSTSFFLSTSCKPKPFIVHPLKQHLPLLKPFKCLRHRSTSVKPNPVISCSALATSELVVPSKLEQLAAEFRPLREPRERVKRLLDFAAAMAPMAESGRVDSNRVMGCTSRVWVEVGIDEEGKVRVAADSDSDITRGFCACLVWVLDGSKPDEVMNVSTDDLVGLNVGLPGGSGRSRVNTWHNVLVSMQKRTKQLMAQREGKFPFEPFPSLVITSDGVFPKGSYAEAQAKYLFPNESKVDELVKLLKEKNIGVVAHFYMDPEVQGILTAAQKQWPHIHISDSLVMADTAVKMAKAGCQFITVLGVDFMSENVRAILDQAGFSEVGVYRMSNEQIGCSLADAAATPTYMEYLSAASMSTSLHVIYINTKLETKAFAHELVPTITCTSSNVVQTILQAFAQVPDLSIWYGPDSYMGANIKELFQQMAKMTDEEIAAIHPEHSQDSIRQLLPRLHYFVDGTCIVHHLFGHEVVENIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTKNILDFIQDRVQEALDRNINDHLQFVLGTESGMVTSIVATVRSLLESSSEKAKVTVEIVFPVSSDSISKTSSGVQSAKVGDIILPVVPGIASGEGCSIHGGCASCPYMKMNSLSSLLKVCCNLPDEENTLSAYKAERFKLQTPNGKSVADVGCEPILHMRNFQATKKLPEILVNQILHPRQSRR >Vigun05g185000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35685992:35687773:-1 gene:Vigun05g185000.v1.2 transcript:Vigun05g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLPKTSFLLLFFSSFTIIAHAIVPQNETFKFENSGELGPFIVEYGADYRMISIFNSPFQVGFYNTTPNAFTLALRVGLQRSEQLFRWVWEANRGNPVGENATFSLGTDGNLVLAEADGRIAWQTNTANKGVVAFRLLPNGNMVLLDAQGKFVWQSFDHPTDTLLVDQYLRAKGPSKLVSRLSEKENVDGPYSLVLEPKGLALYYKSKNSPRPILYWFSSDWFSIQQNSLENVTLTSDSESFEIGFDYFEANSSTSGNRIIARPVNNSTITYLRLGIDGNIKFHTYFMDVRDGVWQVSYTLFDRDSDESECQLPERCGKFGVCEENQCVGCPLENGVFGWSKNCSAKSVTSCKANEFHYYKVEGVEHYMSKYTTGDRVSESNCGNKCTKDCKCVGYFYHRDNSRCWIAYDLQTLTRVTNSSHVGYIKVPN >Vigun11g168601.1.v1.2 pep primary_assembly:ASM411807v1:11:37525497:37527667:1 gene:Vigun11g168601.v1.2 transcript:Vigun11g168601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAISFTNFYQNSVTVMEPAEECLDFEVGFYEQWGKMLLLGTNFFSDPKGNTINIEFEEGLLGQRQFRGVQSIRSFYNVNDVYYVCTVYCGDRFFRIRLFDLDWNEFDYPGTARTSLGCNPLSSIRFFQAFRVQFIPATMLITVPDYFQIFCRDKLAFHYAIKLYDPLSKMFEIYVDKDENLRMVLFGFSRYIPYYGLTGPCYLHLNYVGNNVFLHKIFSAEGVEMDYNRNSGSAANTQVPVAAPNFQKELSNYDVKASSLYLDSKFAKECLIKGRKSYRLTNDQAQFWDCKIRWTGRSSYECYLTCGWKKFCKENGLAAGDRIRFVVEDEEKGVIHILKN >Vigun06g052100.1.v1.2 pep primary_assembly:ASM411807v1:6:17791435:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.3.v1.2 pep primary_assembly:ASM411807v1:6:17790734:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.4.v1.2 pep primary_assembly:ASM411807v1:6:17789494:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.2.v1.2 pep primary_assembly:ASM411807v1:6:17791942:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.5.v1.2 pep primary_assembly:ASM411807v1:6:17790734:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.7.v1.2 pep primary_assembly:ASM411807v1:6:17791468:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun06g052100.6.v1.2 pep primary_assembly:ASM411807v1:6:17791435:17793923:1 gene:Vigun06g052100.v1.2 transcript:Vigun06g052100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRMTTVAGETARITRFSLHAPKHVEVEFGDGRMFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRFNFDDLHKTGIYSWDYFYHLGSNKFTLMRNYIKTLKKHGLSRDPRGRK >Vigun10g079100.2.v1.2 pep primary_assembly:ASM411807v1:10:21614403:21617926:-1 gene:Vigun10g079100.v1.2 transcript:Vigun10g079100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKERATLTWRKIPDLKSSSNGVVSPLRDGVAVLRLAPGSGTRCNFASVSVAERKFEREFSPTAAQLLQHPLAVGALVPRDAALFFAGAIAGAAAKSVTAPLDRIKLLMQTHGVRVGQESAKRAIGFIEAITVIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYEIYKKVFEGKDGELSVVGRLAAGAFAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALSMLREEGFASFYYGLGPSLIGIAPYIAVNFCVFDFTKTNAIEGHTL >Vigun10g079100.1.v1.2 pep primary_assembly:ASM411807v1:10:21614403:21617926:-1 gene:Vigun10g079100.v1.2 transcript:Vigun10g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKERATLTWRKIPDLKSSSNGVVSPLRDGVAVLRLAPGSGTRCNFASVSVAERKFEREFSPTAAQLLQHPLAVGALVPRDAALFFAGAIAGAAAKSVTAPLDRIKLLMQTHGVRVGQESAKRAIGFIEAITVIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYEIYKKVFEGKDGELSVVGRLAAGAFAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALSMLREEGFASFYYGLGPSLIGIAPYIAVNFCVFDLLKKSLPEKYQKRTETSLLTAIISSSLATLTCYPLDTVRRQMQLKGTPYKTVLDAISGIVARDGVIGLYRGFVPNALKNLPNSSIRLTTYDIVKRLIAASEKEFQTITEENRNKKKPQ >Vigun10g163300.1.v1.2 pep primary_assembly:ASM411807v1:10:38229481:38230686:1 gene:Vigun10g163300.v1.2 transcript:Vigun10g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTIPMAVDHRPSPSSLPWQPRRRLSLLRRRKVQTVRLGAKKPRRRIFGLLKMFRKMRLRWLKLQYFRMLKRLKEHYRNLVKDLVEAGSTIETFQQRLFMESTFAIPVGLNLSTYPSRLGSDRSRSIFIS >Vigun03g399300.1.v1.2 pep primary_assembly:ASM411807v1:3:60574875:60578295:-1 gene:Vigun03g399300.v1.2 transcript:Vigun03g399300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLFFFFLLLLLLSLLPLSHPQTDNQALLNLKKSFSDPQTLSSWVPDQNPCAARWVGVICSNNVINSLHLTDLSLSGIIDIEALTQIPSLRSISLVNNSFAGPIPPFSKLGVLKAIYLTNNKFSGPIPSDFFSHLASLKKIWLDNNKFSGPVPESLTTLRYLSELHLENNEFSGPIPELKQDIKSLDFSNNKLQGPIPPSMSRFEAKSFSGNVELCGQPLDKPCESAASEGSGWGLKVVVLIFIAAVLAGVFVMARSKRQRDDDFSVMSRDNAEEVVQVHVPSSNHSKGTSEGSRKESTSSKKGVGRSIGDLVMVNDERGTFGLPDLMKAAAEVLGNGGLGSAYKASMASGLSVVVKRMREMNKVSRDIFDAEMRRFGRLRNPNILTPLAYHYRKEEKLYVTEYMPKGSLLYVLHGDRGSSHSDLNWPIRLKIVKGIARGLGFLYKEFSTEELPHGNLKSSNVLLTQNYEALLSDFAFHPLINHNYAVQTLFAYKTPDYVTHQRVTQKTDVYCLGIIVLEVITGKFPSQYHSNGKGGTDVVHWVLTAISDRREAECIDPELKSTHSNSLNQMLQLLQIGAACTESNPDQRLNMKEAIRRIEEVQV >Vigun08g077600.2.v1.2 pep primary_assembly:ASM411807v1:8:14823666:14826999:-1 gene:Vigun08g077600.v1.2 transcript:Vigun08g077600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGDGAEEYLFKVVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRGTFDSIKRWLEELTTQNDSTVARMLVGNKCDLENIREVSTEEGKNLAEEEGLFFMETSALDSTNVQTAFEIVIREIYNNISRKTLNSDSYKAELSVNRVSLVNGAGSKQGLSCCSR >Vigun08g077600.1.v1.2 pep primary_assembly:ASM411807v1:8:14823666:14826999:-1 gene:Vigun08g077600.v1.2 transcript:Vigun08g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGDGAEEYLFKVVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQVVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRGTFDSIKRWLEELTTQNDSTVARMLVGNKCDLENIREVSTEEGKNLAEEEGLFFMETSALDSTNVQTAFEIVIREIYNNISRKTLNSDSYKAELSVNRVSLVNGAGSKQGLSCCSR >Vigun01g187200.3.v1.2 pep primary_assembly:ASM411807v1:1:36715317:36717264:-1 gene:Vigun01g187200.v1.2 transcript:Vigun01g187200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEHIELKFRIYDGTDIAHNTYSSSTTIATLKQKLIAEWPQGKTITPKVVSDLKLIHAGKILENNKTLADSRITFSDIPGGFVTMHVVVQPRPTKKKSEKNQDEKQKTNSCSCSVL >Vigun01g187200.2.v1.2 pep primary_assembly:ASM411807v1:1:36715317:36717264:-1 gene:Vigun01g187200.v1.2 transcript:Vigun01g187200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEHIELKFRIYDGTDIAHNTYSSSTTIATLKQKLIAEWPQGKTITPKVVSDLKLIHAGKILENNKTLADSRITFSDIPGGFVTMHVVVQPRPTKKKSEKNQDEKQKTNSCSCSVL >Vigun01g187200.4.v1.2 pep primary_assembly:ASM411807v1:1:36715317:36717264:-1 gene:Vigun01g187200.v1.2 transcript:Vigun01g187200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEHIELKFRIYDGTDIAHNTYSSSTTIATLKQKLIAEWPQGKTITPKVVSDLKLIHAGKILENNKTLADSRITFSDIPGGFVTMHVVVQPRPTKKKSEKNQDEKQKTNSCSCSVL >Vigun06g054600.1.v1.2 pep primary_assembly:ASM411807v1:6:18077456:18078323:-1 gene:Vigun06g054600.v1.2 transcript:Vigun06g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSNFFSFLVNNPEAPLPYPWERVLDLERRVIYYKNSVSEDMVFDSRTPIHVGGGVYMENSPLPSYMNHRHRSAVHEMIRGYQLSNNVPRVFLFSIARQDYDRPLYHIVQESTIRCPSCNTTIRGLP >Vigun03g163000.2.v1.2 pep primary_assembly:ASM411807v1:3:18381269:18385686:-1 gene:Vigun03g163000.v1.2 transcript:Vigun03g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESQRVGLMRGFPRRRSQEDRFDTRRRQALFSGAGNLEAMDADDFADVFGGPPRTLLAHKISSCGSFYEEIFRAPEFACPVAKGGRSLPVFRIPARDEGFYSDIFGSDDGRKSRERSRSLSKENSSSALSSEELSPRRPAIGDDVALSGFSSNHRPINVPWRWNTSTVMPEAEEHSSKHGVPLFACNDQLFEFQHQDNNEFKDNFRSSPLGSSRRVSSPETVSFESNSYQNIRVLTDDWELNSPFSVVSSSLCQEPDTKFSVHEHVFSEQIIGDDYEDEDDEFMSSYVIELNSNLGREECGASAIDDAIAWAKEKFQSRNSDEESSPKNDSNEQTVGRQGRSDASEYHHDDGIGIVHTMEKQQTETEKLDRDIRLWSSGKQRDIRLLLSTLHHILWLESGWYAIPLMSLLESSKVKKAYQKARLCLHPDKLQQRGATSLQKYVAEKAFSILQDAWAAFISEDVSF >Vigun03g163000.1.v1.2 pep primary_assembly:ASM411807v1:3:18381247:18384946:-1 gene:Vigun03g163000.v1.2 transcript:Vigun03g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESQRVGLMRGFPRRRSQEDRFDTRRRQALFSGAGNLEAMDADDFADVFGGPPRTLLAHKISSCGSFYEEIFRAPEFACPVAKGGRSLPVFRIPARDEGFYSDIFGSDDGRKSRERSRSLSKENSSSALSSEELSPRRPAIGDDVALSGFSSNHRPINVPWRWNTSTVMPEAEEHSSKHGVPLFACNDQLFEFQHQDNNEFKDNFRSSPLGSSRRVSSPETVSFESNSYQNIRVLTDDWELNSPFSVVSSSLCQEPDTKFSVHEHVFSEQIIGDDYEDEDDEFMSSYVIELNSNLGREECGASAIDDAIAWAKEKFQSRNSDEESSPKNDSNEQTVGRQGRSDASEYHHDDGIGIVHTMEKQQTETEKLDRDIRLWSSGKQRDIRLLLSTLHHILWLESGWYAIPLMSLLESSKVKKAYQKARLCLHPDKLQQRGATSLQKYVAEKAFSILQDAWAAFISEDVSF >Vigun05g047200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3953297:3957449:1 gene:Vigun05g047200.v1.2 transcript:Vigun05g047200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVICRRIRASPHTLASAFIFRTRSLTHASSSSSLSSSSSSSSSCVQSKVKQVCSLVYDYFEDHHGQRRFSPLYLDVDPNSLTHDQVVTIVASLASDGGSVVAHRFFNWVIAYSKFRHFTRLYIACATSLIGNRNFELANDVLQCMVKSFAEIGRVKEAVEMVIEMHNQGLVPSTKTLNWVIMIVIEMGLVEFAENVFDEMSARGVQPNCVSFRVMVVGYCRMGNVLEADRWLRAMIERGFVVDSRTFTLVVREFCDKGFVTRALWYFRRLSEMGLRPNLINFTCMIDGLCKRGSVKQAFEMLEEMVGQGWKPNVYTHTALINGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCRDGKINRAEMLLSRMKEQGLAPNTNTYTTLVDGHCKAGNFERAYELMNVMNEEGSSSNVCTYNAVIDGLCKKGRVQDAYNVLKSGFRNGLEADKFTYTILMSEHCKQGEVKQALVLFNKMLKSGIQPDIHSYTTLIAVFCREKRMKESQMLFEEALRSGLVPTNQTYTSMICGYCKEGNLSLAFNFFHRMSDHGCAPDSITYGALISGLYKQSKLDEARGLYDAMIEKGLTPCEVTRVTLAHEYCKIEDSCSAMLVLERLEKKPWIRTVNTLVRKLCSEKKVGMAALFFHKLLDMDPHVNRVTLAAFMTACYESSNYALVSDLSSRLYKETHLAIEVTK >Vigun05g047200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3953297:3957449:1 gene:Vigun05g047200.v1.2 transcript:Vigun05g047200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVICRRIRASPHTLASAFIFRTRSLTHASSSSSLSSSSSSSSSCVQSKVKQVCSLVYDYFEDHHGQRRFSPLYLDVDPNSLTHDQVVTIVASLASDGGSVVAHRFFNWVIAYSKFRHFTRLYIACATSLIGNRNFELANDVLQCMVKSFAEIGRVKEAVEMVIEMHNQGLVPSTKTLNWVIMIVIEMGLVEFAENVFDEMSARGVQPNCVSFRVMVVGYCRMGNVLEADRWLRAMIERGFVVDSRTFTLVVREFCDKGFVTRALWYFRRLSEMGLRPNLINFTCMIDGLCKRGSVKQAFEMLEEMVGQGWKPNVYTHTALINGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCRDGKINRAEMLLSRMKEQGLAPNTNTYTTLVDGHCKAGNFERAYELMNVMNEEGSSSNVCTYNAVIDGLCKKGRVQDAYNVLKSGFRNGLEADKFTYTILMSEHCKQGEVKQALVLFNKMLKSGIQPDIHSYTTLIAVFCREKRMKESQMLFEEALRSGLVPTNQTYTSMICGYCKEGNLSLAFNFFHRMSDHGCAPDSITYGALISGLYKQSKLDEARGLYDAMIEKGLTPCEVTRVTLAHEYCKIEDSCSAMLVLERLEKKPWIRTVNTLVRKLCSEKKVGMAALFFHKLLDMDPHVNRVTLAAFMTACYESSNYALVSDLSSRLYKETHLAIEVTK >Vigun10g011101.1.v1.2 pep primary_assembly:ASM411807v1:10:1170030:1172542:1 gene:Vigun10g011101.v1.2 transcript:Vigun10g011101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSAWRHEASTRRNRPSVKRQPGALVTNRLAVRPFCQASVFADVAKEEEKKKKKEKKKKQKKKKEKEKEKKKEKKKKKEKKKKEKKKKEKKKKKKKKKKEKKKKEKKQKKKKKKKKKKKKKNENKKEKKKEKKKKEKKKKKKEKEKEKKEKEKKEKEKEKKEKKKEKKKKEKKKKEKKKKEKKKKEKEKKEKKKKEKKEKKKEKKKKEKKKEKKKKKKKKKKKKEKKKKKEEKKEKEKKKNDF >Vigun05g254700.1.v1.2 pep primary_assembly:ASM411807v1:5:44921398:44924102:-1 gene:Vigun05g254700.v1.2 transcript:Vigun05g254700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLSPKLVKKVYGGDGGSYHAWSPSELPMLREGNIGAAKLSLRKNGFALPRYSDSSKVAYVLQGSGVAGIVLPESEEKVVAIKKGDALALPFGVVTWWYNKEETELIVLFLGDTSKAHKAGEFTDFFLTGSNGIFTGFSAEFVGRAWDLEESDVKTLVGKQLGKGVVQLDGSITLPEPKPEHRIGMALNCEEAPLDVDIKGGGRVVVLNTKNLPLVGDVGLGADLVKLDGGAMCSPGFSCDSALQVTYIIRGSGRVQVVGVDGHRVLETTIKAGNLFIVPRFFVVSKIADPEGMEWFSIITTPNPIFTHLAGSSSVWKALSPSVLQAAFNVDSGVEQLFRSKRTSDAIFFPPPN >Vigun05g077400.4.v1.2 pep primary_assembly:ASM411807v1:5:7170665:7184497:1 gene:Vigun05g077400.v1.2 transcript:Vigun05g077400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuSOC1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRRHNRSAQTVNRSDEQNMQHLKQETANLMKKIELLEASKRKLLGEGLGSCSLEELQQIEQQLERSVSSVRARKNQVYKDQIEQLKEKERTLYAENARLCEQYGIQQQPGTKDVRENQPYEESSPSSEVETELFIGLPRSTLT >Vigun05g077400.1.v1.2 pep primary_assembly:ASM411807v1:5:7170039:7184451:1 gene:Vigun05g077400.v1.2 transcript:Vigun05g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuSOC1 MSFSGPSNLARRRLNFGGNLSYKKAQFLGLFYLRCVEMVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRRHNRSAQTVNRSDEQNMQHLKQETANLMKKIELLEASKRKLLGEGLGSCSLEELQQIEQQLERSVSSVRARKNQVYKDQIEQLKEKERTLYAENARLCEQYGIQQQPGTKDVRENQPYEESSPSSEVETELFIGLPRSTLT >Vigun05g077400.3.v1.2 pep primary_assembly:ASM411807v1:5:7170665:7184497:1 gene:Vigun05g077400.v1.2 transcript:Vigun05g077400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuSOC1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRRHNRSAQTVNRSDEQNMQHLKQETANLMKKIELLEASKRKLLGEGLGSCSLEELQQIEQQLERSVSSVRARKNQVYKDQIEQLKEKERTLYAENARLCEQYGIQQQPGTKDVRENQPYEESSPSSEVETELFIGLPRSTLT >Vigun05g077400.5.v1.2 pep primary_assembly:ASM411807v1:5:7169924:7184451:1 gene:Vigun05g077400.v1.2 transcript:Vigun05g077400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuSOC1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRRHNRSAQTVNRSDEQNMQHLKQETANLMKKIELLEASKRKLLGEGLGSCSLEELQQIEQQLERSVSSVRARKNQVYKDQIEQLKEKERTLYAENARLCEQYGIQQQPGTKDVRENQPYEESSPSSEVETELFIGLPRSTLT >Vigun05g077400.2.v1.2 pep primary_assembly:ASM411807v1:5:7169953:7184470:1 gene:Vigun05g077400.v1.2 transcript:Vigun05g077400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuSOC1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYRRHNRSAQTVNRSDEQNMQHLKQETANLMKKIELLEASKRKLLGEGLGSCSLEELQQIEQQLERSVSSVRARKNQVYKDQIEQLKEKERTLYAENARLCEQYGIQQQPGTKDVRENQPYEESSPSSEVETELFIGLPRSTLT >Vigun06g096400.2.v1.2 pep primary_assembly:ASM411807v1:6:22770498:22774091:1 gene:Vigun06g096400.v1.2 transcript:Vigun06g096400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGVLKVLRDPTGSVTNTAESIEIPPPRPKRKPMHPYPRKLVETPTKETSIPEQLMKSGSLKSSDFDQENQSPKSVLSGAGSDSLSSSDSDTPNESLSPMSSSISDIHTSGFTCAEPNTTSEEPGINADSSHDEKSLMKFKLPTNESVFIKEESSGQTLKLFGITLFVTDTCKPSSPTTEACKPIPLNICKGDGRLELLGHITPSETSAISLLKVREGPETCGKGFVPYRRCMAERENQFSSVTMTNENKEEQCIHLSL >Vigun06g096400.1.v1.2 pep primary_assembly:ASM411807v1:6:22770498:22774091:1 gene:Vigun06g096400.v1.2 transcript:Vigun06g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRDQNGFNRSQDGPSAASGVSLSSGVHSVSHIQLNDQFSCGSDYALKVRKPYTITKQRERWTDEEHKKFLEALKLHGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVLRDPTGSVTNTAESIEIPPPRPKRKPMHPYPRKLVETPTKETSIPEQLMKSGSLKSSDFDQENQSPKSVLSGAGSDSLSSSDSDTPNESLSPMSSSISDIHTSGFTCAEPNTTSEEPGINADSSHDEKSLMKFKLPTNESVFIKEESSGQTLKLFGITLFVTDTCKPSSPTTEACKPIPLNICKGDGRLELLGHITPSETSAISLLKVREGPETCGKGFVPYRRCMAERENQFSSVTMTNENKEEQCIHLSL >Vigun11g180700.1.v1.2 pep primary_assembly:ASM411807v1:11:38446556:38451951:1 gene:Vigun11g180700.v1.2 transcript:Vigun11g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNLVFTFVILALQQQSWVLVTFASSNVHIVYMGDNRIKQSEQHLVEDSHLDILSSILGSKDHARKSILYSYKHGFSGFAAVLSQPQARLIADFPGVVRVIPNKILSLHTTRSWDFLNVKQEIITGALSRGQSGRGTIIGIMDTGIWPESESFRDEHMDNPPLHWRGICQGGENFDRSTCNRKIIGARWYIKGYEAEIGKLNTSDGVEYLSPRDASGHGTHTSSTAAGVAVENASFMGLAKGLARGGAPSAWLAVYKICWSTGGCSSADILAAFDDAIFDGVDVLSASLGSDPPLPTYVEDALAIGSFHAVAKGISVVCSGGNSGPYSQTVINTAPWIITVAASTIDREFPSRIILGNNQILQGQSLYTGKDLSKFYPIVLGEDIAASDADEESARGCNSGSLNATLAKGKAILCFQSRSQRSATVAIRTVTEAGGSGLIFAQFPTKDVDTSWSKPCVQVDFITGTAILSYTEATRNPVIKFGKTKTVVGQQISPEVAFFSSRGPSSLSPSVLKPDIAAPGVNILAAWSPASSAGRLVSDAKSKKLQPLTFNFESGTSMACPHISGIVALIKTVHPTWSPAAIKSALVTTASLKNEYDQYIWAEGAPHKQADPFDYGGGHVDANKVTDPGLVYDMKTSDYIHFLCSMDYNDTAISSLTGFPTKCHKSHKYLLNMNLPSIVIPELKQPLTVSRTVTNVGPVQSIYTARVEAPIGVSVTVVPSTLTFGPKRKKMKFKVTFSSKLRIQSRFSFGYLFWEDGSHEVRMPLAVRSVPQEFLAQP >Vigun07g199300.1.v1.2 pep primary_assembly:ASM411807v1:7:31976280:31978048:1 gene:Vigun07g199300.v1.2 transcript:Vigun07g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVIILFLSFTIINVVLVHSATLEISKFGGKPNTNIAKALTSAWAEACASTSAVKIVIPSGTYQMTHVDVKGPCKAPIEIQLDGTIKAPPKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNVLNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCTLKGTTNGVRIKTWPNEPGTITVTNMRFEDITMDNVMNPVIIDQEYCPWNQCSKQNPSKIKISKVIIKDIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVIATCSNVKPKITGKAPACTAPNTKKE >Vigun04g093500.1.v1.2 pep primary_assembly:ASM411807v1:4:18600108:18608072:-1 gene:Vigun04g093500.v1.2 transcript:Vigun04g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGATVCSATRLAYGRVPVQLRSIFVSNAHTKLFGSKLSLIPRAVDSSTAQSSVVSDDGFSVSKVSFGVVGLGVGLSLLSYGFGAYFNLFPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCITYSDAQSLQEKCATPILKQVKSDVTRFRYGDEQHLDEALKRIFQYGQGGGIPRRSAPVLQLIREEVTKDGKYCLVLVFEAKALQLSDFEQRQAKFASFFGPGITAEIGKGEKDLYEVRLISDTDPNALPS >Vigun10g148600.2.v1.2 pep primary_assembly:ASM411807v1:10:36697183:36699649:-1 gene:Vigun10g148600.v1.2 transcript:Vigun10g148600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVKQIHGYTLRNGIDQTKILIEKLLEIPNLHYAHMVLHHSPKPTLFLYNKLIQAFSSHPQHQHQCFSLYYQMRLHGFAPNQHTFNFLFSACTSLPSHSLGQMLHTHFIKSGFEPDLFAATSLLDVYSKMGMLGLARQLFDEMPVRGVPTWNAMMYGYAKFGDMEGALELFGLMPIRNLVSWTTMISGYSRNKRFGEALGMFLKMEKEKGIVPNEVTLASILPACSNLGALEIGQRVEAYARKNGFFKNLYVSNAVLEMYAKCGKIDVAWRVFNEIGRFRNLCSWNSMIMGLAVHGQCCKAFELYDQMLGEGTSPDDVTFVGLLLACTHGGMVEKGRHIFKSMTTAFHIIPKLEHYGCMVDLLGRAGQLREAYEVIQSMPMKPDSVIWGALLGACSFHGNVELAEVAAESLFVLEPWNPGNYVILSNIYASTGQWDGVAKLRKVMKGSQITKSAGHSFIEEGGQLHKFIVEDRSHPKSNEILALLDGVYEMIKLNRSAFEYHLDLDLSN >Vigun10g148600.3.v1.2 pep primary_assembly:ASM411807v1:10:36696119:36699642:-1 gene:Vigun10g148600.v1.2 transcript:Vigun10g148600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVKQIHGYTLRNGIDQTKILIEKLLEIPNLHYAHMVLHHSPKPTLFLYNKLIQAFSSHPQHQHQCFSLYYQMRLHGFAPNQHTFNFLFSACTSLPSHSLGQMLHTHFIKSGFEPDLFAATSLLDVYSKMGMLGLARQLFDEMPVRGVPTWNAMMYGYAKFGDMEGALELFGLMPIRNLVSWTTMISGYSRNKRFGEALGMFLKMEKEKGIVPNEVTLASILPACSNLGALEIGQRVEAYARKNGFFKNLYVSNAVLEMYAKCGKIDVAWRVFNEIGRFRNLCSWNSMIMGLAVHGQCCKAFELYDQMLGEGTSPDDVTFVGLLLACTHGGMVEKGRHIFKSMTTAFHIIPKLEHYGCMVDLLGRAGQLREAYEVIQSMPMKPDSVIWGALLGACSFHGNVELAEVAAESLFVLEPWNPGNYVILSNIYASTGQWDGVAKLRKVMKGSQITKSAGHSFIEEGGQLHKFIVEDRSHPKSNEILALLDGVYEMIKLNRSAFEYHLDLDLSN >Vigun10g148600.1.v1.2 pep primary_assembly:ASM411807v1:10:36695216:36699642:-1 gene:Vigun10g148600.v1.2 transcript:Vigun10g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVKQIHGYTLRNGIDQTKILIEKLLEIPNLHYAHMVLHHSPKPTLFLYNKLIQAFSSHPQHQHQCFSLYYQMRLHGFAPNQHTFNFLFSACTSLPSHSLGQMLHTHFIKSGFEPDLFAATSLLDVYSKMGMLGLARQLFDEMPVRGVPTWNAMMYGYAKFGDMEGALELFGLMPIRNLVSWTTMISGYSRNKRFGEALGMFLKMEKEKGIVPNEVTLASILPACSNLGALEIGQRVEAYARKNGFFKNLYVSNAVLEMYAKCGKIDVAWRVFNEIGRFRNLCSWNSMIMGLAVHGQCCKAFELYDQMLGEGTSPDDVTFVGLLLACTHGGMVEKGRHIFKSMTTAFHIIPKLEHYGCMVDLLGRAGQLREAYEVIQSMPMKPDSVIWGALLGACSFHGNVELAEVAAESLFVLEPWNPGNYVILSNIYASTGQWDGVAKLRKVMKGSQITKSAGHSFIEEGGQLHKFIVEDRSHPKSNEILALLDGVYEMIKLNRSAFEYHLDLDLSN >Vigun01g076700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21487075:21489310:-1 gene:Vigun01g076700.v1.2 transcript:Vigun01g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNEPNEATLAPKRKSDPDLQDLPSKVAKLATPNLKQTQEPQPSADHSTSQPPSSSSDPKEPDKNDKEIQNDDVEEKSPQNDDEEHQNNVEDDDDDDDDDDGDEEEEEEDRKGKGISREDKGKGKMVQEDEDDDDDSDDDSDDDDDASDDDGSDFSDDPLTEVDLNNILPSRTRGRAGAASAGVRIADDPGKAAVGGLGDDDDDSDDSDA >Vigun04g199000.1.v1.2 pep primary_assembly:ASM411807v1:4:42345344:42348277:1 gene:Vigun04g199000.v1.2 transcript:Vigun04g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREREVITHKAYARVGLLGNPSDVYYGKTISFSLANFSATVTLRPSPELVIQPHPVHDLVHFSSLPQLVTRLNSEGYYGGVRLLMAVCKVFYAYCRDNAIELSDENFTLSYDTDIPRQAGLSGSSGIVCAALNCLLDFFNVRELVKVEIRPNLVLAAEKELGIVAGLQDRVAQVYGGLVYMDFSKENMEELGHGIYIPMDLCLLPPLYLIYAENPSDSGKVHSKVRQRWLDGDEFIVSSMEEVANIAKEGKTALEEKDYSKFATLMNRNFDLRRSMFGDEALGGLNIRMVEVARKVGAASKFTGSGGAVVAFCPEGTSQVKLLEDECKKEGFVIQPIEPFPSRLSEIELKTLQTK >Vigun02g097100.2.v1.2 pep primary_assembly:ASM411807v1:2:25285404:25291348:-1 gene:Vigun02g097100.v1.2 transcript:Vigun02g097100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNAHPELAEWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAVGYLEGVIEKLQATREQRIEEPILYIKMQIAIFKLEQGDQKECKKLLEDGKTTLDSMTDIDPSVYANYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALSAQPALVQNEQKLLEKINILCLMEIIFRRSDNSIECNC >Vigun02g097100.1.v1.2 pep primary_assembly:ASM411807v1:2:25285404:25291348:-1 gene:Vigun02g097100.v1.2 transcript:Vigun02g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNAHPELAEWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAVGYLEGVIEKLQATREQRIEEPILYIKMQIAIFKLEQGDQKECKKLLEDGKTTLDSMTDIDPSVYANYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIENVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIQQIKSLRDRLDSWTEKVHTALLSIEAETPDLIGS >Vigun05g041500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3355418:3356047:1 gene:Vigun05g041500.v1.2 transcript:Vigun05g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEMGVKVYNATTPAEEGGTNKNPQQNKRRAMMAKGVQKTLSKTSLLGNFLPTGTLLTFEMVLPSIYKNGQCSHVQTLMINFLLSVCALSCFFFHFTDSFHGPDGTLYYGFVTTRGLSVFKPSLPAVPVPSDDKFKMGFTDFVHAVMSVMIFVAIAVSDHRVTNCLFPGHEKDLEQVRESFPLLVGLLCSGLFLVFPISRHGIGCMSA >Vigun05g274800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46497645:46499206:1 gene:Vigun05g274800.v1.2 transcript:Vigun05g274800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSSSRSTTQACAACKYQRRKCGPTCILAPFFPHYRQKQFLHAHRLFGVGKIINMIKPLDPVNRNSAINTIIYESDMRARNPVGGCLSFILHLQSLIVSAESELQFLLQHLAFFRAQLLHSTATPQPPPPPPSSSSYDPYLPQMSLQESKDPSQLHPQQLGNDPLQLQQQEQPKDPCFNHNSSFNYYALQEDMSVSDIDNLVHFFPWLPPQDDTTSQYRDP >Vigun05g274800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46497645:46499207:1 gene:Vigun05g274800.v1.2 transcript:Vigun05g274800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSSSRSTTQACAACKYQRRKCGPTCILAPFFPHYRQKQFLHAHRLFGVGKIINMIKPLDPVNRNSAINTIIYESDMRARNPVGGCLSFILHLQSLIVSAESELQFLLQHLAFFRAQLLHSTATPQPPPPPPSSSSYDPYLPQMSLQESKDPSQLHPQQLGNDPLQLQQQEQPKDPCFNHNSSFNYYALQEDMSVSDIDNLVHFFPWLPPQDDTTSQYRDP >Vigun05g274800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46497660:46499137:1 gene:Vigun05g274800.v1.2 transcript:Vigun05g274800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTSSSRSTTQACAACKYQRRKCGPTCILAPFFPHYRQKQFLHAHRLFGVGKIINMIKPLDPVNRNSAINTIIYESDMRARNPVGGCLSFILHLQSLIVSAESELQFLLQHLAFFRAQLLHSTATPQPPPPPPSSSSYDPYLPQMSLQESKDPSQLHPQQLGNDPLQLQQQEQPKDPCFNHNSSFNYYALQEDMSVSDIDNLVHFFPWLPPQDDTTSQYRDP >Vigun06g225000.1.v1.2 pep primary_assembly:ASM411807v1:6:33322267:33327020:-1 gene:Vigun06g225000.v1.2 transcript:Vigun06g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVSDEGVRKKMEEIRSGHGDTFSHFHKVESPPKQTLLQEIKHSVVETFFPDKPLNKFKNQTGFRVFVLALQSFFPIFEWGRDYNLKNFRGDFISGLTIASLCIPQDIAYAKLANLDPQYALYTSFVTPLVYAFMGSSRDIAIGPVSVVSLLLGTTLSDEISDIKSHEYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAVVGFMTGSAITIALQQLKGLLGIKNFTKKTDIVSVMRSVFSSAHHGWNWETIVIGLAFLVFLLVAKYIGKKNKKLFWVAAISPMISVIVSTFCVYITRADKKGVAILKHVKKGVNSSSAGEIFFSGKYLGPGIRVGVIAGMVALTEAVAIGRTFAAMKDYPLDGNQEMMAIGAMNIIGSLTSCYVSTGGFSRTAVNNMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACMGALFGVVFINVEIGLLIAVAISFSKILLQVTRPRTAILGKLPGTTVYRNVWQYPKAIQIDGMLIVRVDSAIYFSNSNYIKDRILRWLTDEETQRITSGLSRIEYLTVEMSPVTDIDTSGIHACEELYKSLQKRRVQLILANPGPIVIQKLRASKLTDVIGENNIFPTVADVVSTFGSNGEELL >Vigun02g089000.1.v1.2 pep primary_assembly:ASM411807v1:2:24357082:24361712:-1 gene:Vigun02g089000.v1.2 transcript:Vigun02g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQWAWSSTVMLLLMLFLVSEIATVRSTDYACKFPHHSYPFCDASLPTLARARSIVSLLTLPEKILLLSNNASSIPRLGIPAYQWWSESLHGLAINGPGVSFNGTIPSATNFPQVILSAASFNRSLWFRTAAAIAREARAMFNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMLASAYAVEYVRGLQGIRGIQDAVGDDDDDKLMVSACCKHFTAYDLDMWKQFSRYNFNAVVSEQDLEDTYQPPFRGCIQQGKASCLMCSYNEVNGVPACASEELLGLARDKWGFKGYITSDCDAVATVYEYQKYANSSEDAVADVLKAGLDIDCGTFMLRNTEYAIEQGKIKEEDIDRALLNLFSVQLRLGLFDGDPLKGQFGKLGSKDVCNPEHKTLALEAARQGIVLLKNDKKFLPLNRDIGASLAVIGPLATTTKLGGGYSGIPCSLTSLYGGLEEFAERISFSFGCHDVSCESDDGFGEALDTAKQADFVVIVVGLDATQETEDHDRVSLLLPGKQMDLVSSVADASKNPAILVLIGGGPLDVSFAEKNEKIGSILWVGYPGEAGGKALAEIIFGEFNPVGRLPLTWYPEAFTNVPMNDMNMRADPSRGYPGRTYRFYTGSRVYGFGHGLSYSHFSYNFLSAPSKISLSRIIKDGSRKRLYQAGKEVYGADYVPINKLQNCNSLSFSVHISVTNLGDLDGSHVVMLFSKGPRVVDGSPETQLVGFSSLHTVANKSADTSILVHPCEHLSFADEQGRRILPMGSHTLSVGDVEHIVSIQIY >Vigun02g089000.2.v1.2 pep primary_assembly:ASM411807v1:2:24357082:24361597:-1 gene:Vigun02g089000.v1.2 transcript:Vigun02g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQWAWSSTVMLLLMLFLVSEIATVRSTDYACKFPHHSYPFCDASLPTLARARSIVSLLTLPEKILLLSNNASSIPRLGIPAYQWWSESLHGLAINGPGVSFNGTIPSATNFPQVILSAASFNRSLWFRTAAAIAREARAMFNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMLASAYAVEYVRGLQGIRGIQDAVGDDDDDKLMVSACCKHFTAYDLDMWKQFSRYNFNAVVSEQDLEDTYQPPFRGCIQQGKASCLMCSYNEVNGVPACASEELLGLARDKWGFKGYITSDCDAVATVYEYQKYANSSEDAVADVLKAGLDIDCGTFMLRNTEYAIEQGKIKEEDIDRALLNLFSVQLRLGLFDGDPLKGQFGKLGSKDVCNPEHKTLALEAARQGIVLLKNDKKFLPLNRDIGASLAVIGPLATTTKLGGGYSGIPCSLTSLYGGLEEFAERISFSFGCHDVSCESDDGFGEALDTAKQADFVVIVVGLDATQETEDHDRVSLLLPGKQMDLVSSVADASKNPAILVLIGGGPLDVSFAEKNEKIGSILWVGYPGEAGGKALAEIIFGEFNPVGRLPLTWYPEAFTNVPMNDMNMRADPSRGYPGRTYRFYTGSRVYGFGHGLSYSHFSYNFLSAPSKISLSRIIKDGSRKRLYQAGKEVYGADYVPINKLQNCNSLSFSVHISVTNLGDLDGSHVVMLFSKGPRVVDGSPETQLVGFSSLHTVANKSADTSILVHPCEHLSFADEQGRRILPMGSHTLSVGDVEHIVSIQIY >Vigun05g188900.2.v1.2 pep primary_assembly:ASM411807v1:5:36716685:36725005:1 gene:Vigun05g188900.v1.2 transcript:Vigun05g188900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGYGRSIFERLLPLILGRDISGEVAAVGASVTSVSVGEQVFGALHPTAVRGTYTDYAILSEDEVTPKPDSLTHVEASAIPFAALTAWRGLKCTARISEGQRILVVGGGGAVGLAAIQLAVAAGCSVVTTCGGQSVERLLAAGAEQAVDYVAEDVELAIKGRFDAVLDTIGVSETERIGISFLKRGGHYMTLQGEAASLADRYGLAIGLPAATALLLNKQLLYRCTHGIEYSWTYMRADSDGLNEIRKLCEAGKMKIPVHTTFPITQVKEAHEAKDKKLIPGKIVLEFD >Vigun05g188900.1.v1.2 pep primary_assembly:ASM411807v1:5:36716685:36725005:1 gene:Vigun05g188900.v1.2 transcript:Vigun05g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPSNRAFTRITPSAFSYHRFKSYVSDCRAVLLPSFGGPDLLELRSNVQVPPLKPHEVLVHTRAVSVNPLDTRMRAGYGRSIFERLLPLILGRDISGEVAAVGASVTSVSVGEQVFGALHPTAVRGTYTDYAILSEDEVTPKPDSLTHVEASAIPFAALTAWRGLKCTARISEGQRILVVGGGGAVGLAAIQLAVAAGCSVVTTCGGQSVERLLAAGAEQAVDYVAEDVELAIKGRFDAVLDTIGVSETERIGISFLKRGGHYMTLQGEAASLADRYGLAIGLPAATALLLNKQLLYRCTHGIEYSWTYMRADSDGLNEIRKLCEAGKMKIPVHTTFPITQVKEAHEAKDKKLIPGKIVLEFD >Vigun02g048600.1.v1.2 pep primary_assembly:ASM411807v1:2:18867554:18871582:-1 gene:Vigun02g048600.v1.2 transcript:Vigun02g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMEGCPAVFQVVCNLMPGFHQYKFNVDGEWRHDEQQPSVSGSCGVVNTIYLVREPDILPSILSNEAPGRFQMEIDNMEANSRMPVSDLVFSRQRISVFLSTHTAYDLLPESGKIIALDINLPVKQAFHVLYEQGVSMAPLWDFSRSQFVGVLSAMDFILILKELGNHGSNLTQEQLETHTIAAWKEGKLLLRRTLDSNGGSHP >Vigun07g032500.2.v1.2 pep primary_assembly:ASM411807v1:7:3058736:3063855:1 gene:Vigun07g032500.v1.2 transcript:Vigun07g032500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPMISINDGNLVVNGNIILSAVPDNIVLTPGTGKGLVTGAFIGATASNTKSLHVFPIGVLEDLRFLSCFRFKLWWMTHRVGTCGRDVPLETQFMLIESKDSKDYYNEDNSPTVYTVLLPLLEGQFRAVLQGNDKNQIEICLESGDPAVQTNHGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFRHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTSPRFLIIDDGWQQIESKPKNDDDCLVQEGAQFATRLTGIKENTKFQKNGQSNDTVSGLKHVVDEAKRCHNVKYVYVWHALAGYWGGVKPAASGMEHYDTSLAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVTLTRSYIQALEASVAQNFADNGCIACMCHNTDGLYSSKQTAVVRASDDFYPSDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAVSGGPIYVSDKPGRHNFNLLKRLVLYDGSVLRAQLPGRPTLDTLFVDPARDGTSLLKIWNLNKCSGVVGVFNCQGAGWCKIQKKTRIHCPSPGTLTGSVRASDVDLIAQVAGADWHGDTVVYAYRSGEVIRLPKGASVPVTLKVLEYEVFHFCPIKEIEPSISFAPIGLLEMLNVGGAVEDFDVHEESGKTSFIVRARGNGRFGVYCSECPVKCLVGGNETDFNYDSENGLTTFYIPVPERDMYVWQIEIHF >Vigun07g032500.4.v1.2 pep primary_assembly:ASM411807v1:7:3060169:3063855:1 gene:Vigun07g032500.v1.2 transcript:Vigun07g032500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFGVWFGFAGDPAVQTNHGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFRHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTSPRFLIIDDGWQQIESKPKNDDDCLVQEGAQFATRLTGIKENTKFQKNGQSNDTVSGLKHVVDEAKRCHNVKYVYVWHALAGYWGGVKPAASGMEHYDTSLAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVTLTRSYIQALEASVAQNFADNGCIACMCHNTDGLYSSKQTAVVRASDDFYPSDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAVSGGPIYVSDKPGRHNFNLLKRLVLYDGSVLRAQLPGRPTLDTLFVDPARDGTSLLKIWNLNKCSGVVGVFNCQGAGWCKIQKKTRIHCPSPGTLTGSVRASDVDLIAQVAGADWHGDTVVYAYRSGEVIRLPKGASVPVTLKVLEYEVFHFCPIKEIEPSISFAPIGLLEMLNVGGAVEDFDVHEESGKTSFIVRARGNGRFGVYCSECPVKCLVGGNETDFNYDSENGLTTFYIPVPERDMYVWQIEIHF >Vigun07g032500.3.v1.2 pep primary_assembly:ASM411807v1:7:3058736:3063855:1 gene:Vigun07g032500.v1.2 transcript:Vigun07g032500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPMISINDGNLVVNGNIILSAVPDNIVLTPGTGKGLVTGAFIGATASNTKSLHVFPIGVLEDLRFLSCFRFKLWWMTHRVGTCGRDVPLETQFMLIESKDSKDYYNEDNSPTVYTVLLPLLEGQFRAVLQGNDKNQIEICLESGDPAVQTNHGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFRHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTSPRFLIIDDGWQQIESKPKNDDDCLVQEGAQFATRLTGIKENTKFQKNGQSNDTVSGLKHVVDEAKRCHNVKYVYVWHALAGYWGGVKPAASGMEHYDTSLAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVTLTRSYIQALEASVAQNFADNGCIACMCHNTDGLYSSKQTAVVRASDDFYPSDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAVSGGPIYVSDKPGRHNFNLLKRLVLYDGSVLRAQLPGRPTLDTLFVDPARDGTSLLKIWNLNKCSGVVGVFNCQGAGWCKIQKKTRIHCPSPGTLTGSVRASDVDLIAQVAGADWHGDTVVYAYRSGEVIRLPKGASVPVTLKVLEYEVFHFCPIKEIEPSISFAPIGLLEMLNVGGAVEDFDVHEESGKTSFIVRARGNGRFGVYCSECPVKCLVGGNETDFNYDSENGLTTFYIPVPERDMYVWQIEIHF >Vigun07g032500.1.v1.2 pep primary_assembly:ASM411807v1:7:3058743:3063855:1 gene:Vigun07g032500.v1.2 transcript:Vigun07g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRPMISINDGNLVVNGNIILSAVPDNIVLTPGTGKGLVTGAFIGATASNTKSLHVFPIGVLEDLRFLSCFRFKLWWMTHRVGTCGRDVPLETQFMLIESKDSKDYYNEDNSPTVYTVLLPLLEGQFRAVLQGNDKNQIEICLESGDPAVQTNHGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFRHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTSPRFLIIDDGWQQIESKPKNDDDCLVQEGAQFATRLTGIKENTKFQKNGQSNDTVSGLKHVVDEAKRCHNVKYVYVWHALAGYWGGVKPAASGMEHYDTSLAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVTLTRSYIQALEASVAQNFADNGCIACMCHNTDGLYSSKQTAVVRASDDFYPSDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAVSGGPIYVSDKPGRHNFNLLKRLVLYDGSVLRAQLPGRPTLDTLFVDPARDGTSLLKIWNLNKCSGVVGVFNCQGAGWCKIQKKTRIHCPSPGTLTGSVRASDVDLIAQVAGADWHGDTVVYAYRSGEVIRLPKGASVPVTLKVLEYEVFHFCPIKEIEPSISFAPIGLLEMLNVGGAVEDFDVHEESGKTSFIVRARGNGRFGVYCSECPVKCLVGGNETDFNYDSENGLTTFYIPVPERDMYVWQIEIHF >Vigun09g259200.2.v1.2 pep primary_assembly:ASM411807v1:9:42451310:42456972:1 gene:Vigun09g259200.v1.2 transcript:Vigun09g259200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASTGIGYGLKYQARCISDVKADTDHTSFLAGTLSLKEENEVHLIRLSSSGTELFCEGLFSHPNEIWDLVSCPFDQRIFSTVYSNGETCGAAIWQIPELYGELNSPQLEKITSLDTDSGKIKCILWWPSGRHDKLISINEENMYLWNLDVSKKTAQVQSQDSAGMLHKLSGGAWDPHDVSSVAATCESYLQLWDVRTMKKTISVECSHACSVDYHPQKQHILVTAEHESGIHIWDLRKPKLPIQELPGHTHWTWTVKCNPEYDGMILSSGTDSTVNLWLTSTNHDELTTERLVDSSARWVDPLLNSYSDYEDSIYGLTWSSREPWIFASLSYDGRVVVESVKPYIPKK >Vigun09g259200.1.v1.2 pep primary_assembly:ASM411807v1:9:42451310:42456972:1 gene:Vigun09g259200.v1.2 transcript:Vigun09g259200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASTGIGYGLKYQARCISDVKADTDHTSFLAGTLSLKEENEVHLIRLSSSGTELFCEGLFSHPNEIWDLVSCPFDQRIFSTVYSNGETCGAAIWQIPELYGELNSPQLEKITSLDTDSGKIKCILWWPSGRHDKLISINEENMYLWNLDVSKKTAQIFFGDFELVPPRPSNTFKHNTRYNHKIQLVCCTNYLEGRGILMMKTISVECSHACSVDYHPQKQHILVTAEHESGIHIWDLRKPKLPIQELPGHTHWTWTVKCNPEYDGMILSSGTDSTVNLWLTSTNHDELTTERLVDSSARWVDPLLNSYSDYEDSIYGLTWSSREPWIFASLSYDGRVVVESVKPYIPKK >Vigun07g266900.2.v1.2 pep primary_assembly:ASM411807v1:7:38246611:38249277:1 gene:Vigun07g266900.v1.2 transcript:Vigun07g266900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVYMFIMVFLVWVGNGNGHKGDCPMWFDCGNHGKFGYPFTTLQRQDCGIWPIHGCDDQNPKSGQGVTLKIGSRWIRVTNFEMQWTGTTVYFIDDHLNNLLQSDSCETFNHNISLPATSPLGYFTINNNITLFKCNRTRHVKTPRTFLKNTSCDYDIFIGPPHPDDVSHNSLASSCSMVQLPVNGYAVSANPFAFLTADIPLQFHPSDECMHCLGRRSHCRLDNQRNLHCATRKDKSPSWKLGLIIGIGAGLCNVITLVLILTLRRCEGKYGGALALFRSRHGADPHDNPDLMADRIFFGVPVFSYKELQEATNNFDRNRKLGEGGFGSVYYDGREVAVKFFFENNYRRVQQFMNEIEILTHLRHRNLVSLYGCTSRHSRELLLVYEYVPNGTLACHLKGRDKLLTWPIRMQIAVETATALAYLHASDIIHRDVKSSNILLDTNFMVKVADFGLSRLLPTDASHVSTGPQGTPGYLDPEYFQHYKLTDKSDVFSFGVVVSELISSLPAVDAGRESDEINLVSLTIKKIQNGKLSELVCQSLGFESDEEVRRTVSSVAELAFLCVQGDRQLRPSMDEVLETLQKIQTGIGECEM >Vigun07g266900.1.v1.2 pep primary_assembly:ASM411807v1:7:38246611:38249277:1 gene:Vigun07g266900.v1.2 transcript:Vigun07g266900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVYMFIMVFLVWVGNGNGHKGDCPMWFDCGNHGKFGYPFTTLQRQDCGIWPIHGCDDQNPKSGQGVTLKIGSRWIRVTNFEMQWTGTTVYFIDDHLNNLLQSDSCETFNHNISLPATSPLGYFTINNNITLFKCNRTRHVKTPRTFLKNTSCDYDIFIGPPHPDDVSHNSLASSCSMVQLPVNGYAVSANPFAFLTADIPLQFHPSDECMHCLGRRSHCRLDNQRNLHCATRKDKSPSWKLGLIIGIGAGLCNVITLVLILTLRRCEGKYGGALALFRSRHGADPHDNPDLMADRIFFGVPVFSYKELQEATNNFDRNRKLGEGGFGSVYYGKLEDGREVAVKFFFENNYRRVQQFMNEIEILTHLRHRNLVSLYGCTSRHSRELLLVYEYVPNGTLACHLKGRDKLLTWPIRMQIAVETATALAYLHASDIIHRDVKSSNILLDTNFMVKVADFGLSRLLPTDASHVSTGPQGTPGYLDPEYFQHYKLTDKSDVFSFGVVVSELISSLPAVDAGRESDEINLVSLTIKKIQNGKLSELVCQSLGFESDEEVRRTVSSVAELAFLCVQGDRQLRPSMDEVLETLQKIQTGIGECEM >Vigun02g175200.2.v1.2 pep primary_assembly:ASM411807v1:2:31750190:31751770:-1 gene:Vigun02g175200.v1.2 transcript:Vigun02g175200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANSASGMAVHDDCKLKFQELKARRIYRYITFKIEEQSVVVDKIGGSNEKYEDFQASLPSNECRYAVYDFDFTTDENCQKSKIFFVAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKARAI >Vigun02g175200.1.v1.2 pep primary_assembly:ASM411807v1:2:31750005:31751777:-1 gene:Vigun02g175200.v1.2 transcript:Vigun02g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDDCKLKFQELKARRIYRYITFKIEEQSVVVDKIGGSNEKYEDFQASLPSNECRYAVYDFDFTTDENCQKSKIFFVAWSPDTSKVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKARAI >Vigun01g179050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35981020:35981662:-1 gene:Vigun01g179050.v1.2 transcript:Vigun01g179050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFRQYTKSSSLMSAVFSGEKVVILDNEQVSQLNQDKSDGVYDIYVRLNFRVRYRLGDVKSRTLKPKVKCHIKVPFANNGSGTSFQTTKCDVDY >Vigun11g137900.1.v1.2 pep primary_assembly:ASM411807v1:11:34698188:34698574:-1 gene:Vigun11g137900.v1.2 transcript:Vigun11g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGVVVLMMIMLGFVQIIEIGALSCEDKCAIECIPSLEAYPLCYISCIVLCETATTCARSCGKKKSITVNIDAAGKVANVVDSCLHGCRKSQ >Vigun11g077900.1.v1.2 pep primary_assembly:ASM411807v1:11:22982880:22986926:-1 gene:Vigun11g077900.v1.2 transcript:Vigun11g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPTAFDPFAEANADDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDVKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSAFLVQAGIVKKEHIKIHGF >Vigun11g077900.2.v1.2 pep primary_assembly:ASM411807v1:11:22982880:22985247:-1 gene:Vigun11g077900.v1.2 transcript:Vigun11g077900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPTAFDPFAEANADDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDVKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSAFLVQAGIVKKEHIKIHGF >Vigun08g169900.1.v1.2 pep primary_assembly:ASM411807v1:8:34066271:34072234:1 gene:Vigun08g169900.v1.2 transcript:Vigun08g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKYEEGEVCSICGHRIPVGSEKTSIQVSAFPSVILPEFLYLGSYDNASRSELLKTQGITRILNTVPSCQNLYKNSFTYHCLPDDKTLPFDEAIQFLELCEKEKERVLVHCMSGKSRSPAIVIAYLMKSKGWRLAQSYQWVKERRHSVELTPGVYQQLQEYEQKIYGAIDSSSSLLPGFSPTVLPSISFGFPKINDPAQLPSFSTAGTPSLFARPTLDIAPTEFTFGAGPMQKNVAGSPFSANQTNPNATDIQMDGS >Vigun09g103566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:18173729:18174247:1 gene:Vigun09g103566.v1.2 transcript:Vigun09g103566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLQQESPSPLEPLKNVTRIKDVSVREILQERREAIERGKLKGRQLFQSTTSKGESSDREERSMSFRNFDDESNGSEGVHGYCTRDELCSLSSSSSSCLAGDDDNDNQVMDKLIHCMATVKDSVSATYRNRRTRYVVLLGEVTVILLMIVMCMCLAKNLGGNDCNMILVPT >Vigun03g420000.1.v1.2 pep primary_assembly:ASM411807v1:3:62593400:62595419:1 gene:Vigun03g420000.v1.2 transcript:Vigun03g420000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKSVVLILLFAVVCSVEGAVKRPIVGPDMFKNKNVAKDKLLPGEQIFNVMDFGAKANGKFDCTQAFMDAWQKVCHTTGPARLFVPEGRFLISSMYFSGPCTAQPPITIQVKGYVMATTDISEYENGDWLIFQKHNGLKIIGGGTFDGQGKQSWEYSEKCESGDDGACARNPSSLFFSDSSNVVVQGIRTANPKGFHIFVTKCSNIRLRKLKLVAPETSPNTDGIHVSHSDTVIMSRNTIATGDDCISMIQGVKNVFINRLKCGPGHGISIGSLGKYEDEDEVRGIRIQNCSLINTTNGLRVKAWPDRYPGAASDISFTNIDMENVKNPIIIDQEYECDPDCKKKPSLVRIQNVHFQNVKGTTTSPLAVDLRCSKLFGCQGVTVRDIDLKFGDAPTTARCVNTQPLFAGLLMPPPCA >Vigun03g373800.3.v1.2 pep primary_assembly:ASM411807v1:3:57646487:57649902:1 gene:Vigun03g373800.v1.2 transcript:Vigun03g373800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMAESDITVMKETLRAQQQLLQKLYAELDKEREASATAASEAMDMILRLQGEKAAVKMEASHYKRVAEEKIGHAEATLEVFEELMYQKELEIASLEFQILAYRNKLLTLGYDFSTSDLEFTEELLLSRSASNEQGENDRASSSTVRRLTSLPPIPFKNNLKSARKRERSPSPVPDMFPRIGDSDGQEATSPSLDLPRKTEDYSDGSLDAYWNQIMKLDEKVKVISDCKESEGEPCTTLRSRRGRSGLILSQANIKVTSDQTDTSCSGKENQTQDREDVVNPSCASNIHDVFEVPQTCAPEKRRLEKWISDADNRLTKPDLVSEEIIGSHVKHDFDKLKSMLSANLESRMHSPKDMKAIIWQKREGADVECNALAEFQKLNQRIDQLERETVNTMKEIMNKVKGEEHLRLLKDICSQLKSMESEMRRAKTPKPSPKRDNPLDPIQEAMVYFWL >Vigun03g373800.4.v1.2 pep primary_assembly:ASM411807v1:3:57646918:57649895:1 gene:Vigun03g373800.v1.2 transcript:Vigun03g373800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMAESDITVMKETLRAQQQLLQKLYAELDKEREASATAASEAMDMILRLQGEKAAVKMEASHYKRVAEEKIGHAEATLEVFEELMYQKELEIASLEFQILAYRNKLLTLGYDFSTSDLEFTEELLLSRSASNEQGENDRASSSTVRRLTSLPPIPFKNNLKSARKRERSPSPVPDMFPRIGDSDGQEATSPSLDLPRKTEDYSDGSLDAYWNQIMKLDEKVKVISDCKESEGEPCTTLRSRRGRSGLILSQANIKVTSDQTDTSCSGKENQTQDREDVVNPSCASNIHDVFEVPQTCAPEKRRLEKWISDADNRLTKPDLVSEEIIGSHVKHDFDKLKSMLSANLESRMHSPKDMKAIIWQKREGADVECNALAEFQKLNQRIDQLERETVNTMKEIMNKVKGEEHLRLLKDICSQLKSMESEMRRAKTPKPSPKRDNPLDPIQEAMVYFWL >Vigun03g373800.5.v1.2 pep primary_assembly:ASM411807v1:3:57646918:57649891:1 gene:Vigun03g373800.v1.2 transcript:Vigun03g373800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMAESDITVMKETLRAQQQLLQKLYAELDKEREASATAASEAMDMILRLQGEKAAVKMEASHYKRVAEEKIGHAEATLEVFEELMYQKELEIASLEFQILAYRNKLLTLGYDFSTSDLEFTEELLLSRSASNEQGENDRASSSTVRRLTSLPPIPFKNNLKSARKRERSPSPVPDMFPRIGDSDGQEATSPSLDLPRKTEDYSDGSLDAYWNQIMKLDEKVKVISDCKESEGEPCTTLRSRRGRSGLILSQANIKVTSDQTDTSCSGKENQTQDREDVVNPSCASNIHDVFEVPQTCAPEKRRLEKWISDADNRLTKPDLVSEEIIGSHVKHDFDKLKSMLSANLESRMHSPKDMKAIIWQKREGADVECNALAEFQKLNQRIDQLERETVNTMKEIMNKVKGEEHLRLLKDICSQLKSMESEMRRAKTPKPSPKRDNPLDPIQEAMVYFWL >Vigun03g373800.1.v1.2 pep primary_assembly:ASM411807v1:3:57646755:57649902:1 gene:Vigun03g373800.v1.2 transcript:Vigun03g373800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMAESDITVMKETLRAQQQLLQKLYAELDKEREASATAASEAMDMILRLQGEKAAVKMEASHYKRVAEEKIGHAEATLEVFEELMYQKELEIASLEFQILAYRNKLLTLGYDFSTSDLEFTEELLLSRSASNEQGENDRASSSTVRRLTSLPPIPFKNNLKSARKRERSPSPVPDMFPRIGDSDGQEATSPSLDLPRKTEDYSDGSLDAYWNQIMKLDEKVKVISDCKESEGEPCTTLRSRRGRSGLILSQANIKVTSDQTDTSCSGKENQTQDREDVVNPSCASNIHDVFEVPQTCAPEKRRLEKWISDADNRLTKPDLVSEEIIGSHVKHDFDKLKSMLSANLESRMHSPKDMKAIIWQKREGADVECNALAEFQKLNQRIDQLERETVNTMKEIMNKVKGEEHLRLLKDICSQLKSMESEMRRAKTPKPSPKRDNPLDPIQEAMVYFWL >Vigun03g373800.2.v1.2 pep primary_assembly:ASM411807v1:3:57646487:57649907:1 gene:Vigun03g373800.v1.2 transcript:Vigun03g373800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSMAESDITVMKETLRAQQQLLQKLYAELDKEREASATAASEAMDMILRLQGEKAAVKMEASHYKRVAEEKIGHAEATLEVFEELMYQKELEIASLEFQILAYRNKLLTLGYDFSTSDLEFTEELLLSRSASNEQGENDRASSSTVRRLTSLPPIPFKNNLKSARKRERSPSPVPDMFPRIGDSDGQEATSPSLDLPRKTEDYSDGSLDAYWNQIMKLDEKVKVISDCKESEGEPCTTLRSRRGRSGLILSQANIKVTSDQTDTSCSGKENQTQDREDVVNPSCASNIHDVFEVPQTCAPEKRRLEKWISDADNRLTKPDLVSEEIIGSHVKHDFDKLKSMLSANLESRMHSPKDMKAIIWQKREGADVECNALAEFQKLNQRIDQLERETVNTMKEIMNKVKGEEHLRLLKDICSQLKSMESEMRRAKTPKPSPKRDNPLDPIQEAMVYFWL >Vigun11g129500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33730325:33733144:-1 gene:Vigun11g129500.v1.2 transcript:Vigun11g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFRCGAAQLHACTITMSTSILSGLKPISVNTLLNQGALKPAFESLTLLSSHPLTPPSRLEHAHSLLLDFCTTEKALPQGQQLHARLLKSHVSAFLATKLVHMYGKCGSLSDALKVFDGITERTIFTWNAMLGAFVSSGKYLEAIELYREMRVRGVALDACTFPSVLKACGALGATRSGAEIHGVAVKCGYGEFVFVCNALISMYAKCGDLDGARVLFDGIMMEKEDAVSWNSIISAHVAGGKCLQALSLFRRMQEVGVESNTYTFVAALQGCEDPSFVKLGMEIHGAVLKSNHFVDIYVANALIAMYAKSGRMEDAVRVFESMLCRDCVSWNTLLSGLVQNELCSDALNYFRDMKGSGLKFDQVSVLNLIAASGRLGNLLKGKEVHAYVIRNGMDSNMQIGNTVIDMYAKCCCVKYMGHAFECMPEKDLISWTTVIAGYAQNECHLEAMNLFRMVQVGGMHVDPMMVGSILQACSELKSKNFVREIHGYVLKRDLADTVLQNAIVNVYGEVGHIDYARRVFESIKSKDIVSWTSMISCFIHNGLPVEALELFYTLNQFKIHPDSVALISALSATASLSSLKKGKEIHGFLIRKGFFLEGPIASSLVDTYAHCGNVENSRKIFNSVKKRDLILWTSMINANGMHGYGSKAIALFKEMTDENVIPDHITFLALLYACSHSGLMDEGKRVFDIMKYEYKLEPWPEHYACMVDLLSRSNSLEEAHRFVRNMPIKPTSEIWCALLSASRIHSNKELGELAAKKLLQSDTENSGKYVLISNIFAAYGRWNEVEEVRFRMKGNGMKKTPGCSWIEVENKIHTFMARDKFHPKSDDICLKLSQFTKLLEKEGYRAQTKFVFHNVSEEEKMQMLYRHSERLALSYGLLVTPNGTSIRITKNLRICDDCHTFFKIASKVSQRTLVVRDANRFHHFERGICSCRDFW >Vigun03g241700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40408426:40409919:-1 gene:Vigun03g241700.v1.2 transcript:Vigun03g241700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSEHPEEMALATKSRKSTSPSNFYFCTTLFFVVLFTIPVLFLLHTPTTTSICTTLASSKTKTWSGDLQLAEFAWNRLSFSEHNTPPFALKIAVFSRKWPIGTTPGGMERHAHTLHTALARLGHQVHIFTSPPQDESTSISFAEKGAPSSPYIHFHEGEPGRWRYNKAWEQFVEENRREPFDVVHSESVALPHWLARNMSNLAVSWHGIALESLQSSIFQDLARQPDEPMSPLFNRSIQGVVPKVLNEIRFFRNYAHHVAISDSCGEMLRDVYQIPDRRVHVILNGVDEDEFREDVELGREFRRKIGIPGNASLVLGVAGRLVKDKGHPLLHEAYSRLITKYSDVYLIVAGSGPWENRYRDFGSQVLVLGSMSPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGTIVVDDEYGYMFSPNVESLLEALEAVVKEGPQRLAKRGKACREYAAKMFTARKMALAYERLFLCIKNHTFCSYP >Vigun05g180750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34443618:34444001:1 gene:Vigun05g180750.v1.2 transcript:Vigun05g180750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPVRRTLLDYLMPNTNNYQGSIVRPPVQANNFEIKPALLQVIQHNQFGGAVLEDPHSHMENFVAICDTLKINGISDDAIRLRLFSFSLRYKAKSWLRTQPQGSISTWEYMATKFVTKYFSLSK >Vigun02g074100.5.v1.2 pep primary_assembly:ASM411807v1:2:22575372:22578410:-1 gene:Vigun02g074100.v1.2 transcript:Vigun02g074100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGYVCDTPCDYSNGRWVRDKRDPLYNGTTCATIKESQNCIINGRQDSSYLYWRWKPNQCHLPRFEPNTFLQLIVNKHLAFVGDSLARNQIESLLCLLSTVSAPKRVHHKGSRRWYFASHNASVSLYWSPFLVQGVQRSNTGPLHNIVHLDRNEKWAKDVHEMDLIVLSVGNWFLVPSVFYEGGKVLGCLNCEGLGYTDIGFYGPLRKALRATLSSIIEKKGGKGNGVNVIVRTFSPSHFDGDWDKEGTCSKTRPYMKEMKVGEVDEEIRRIEMEEVENAKVKAKEFGGFSLEALDVTKLSWLRPDGHPGAYMNHFPFGPGHVQNDCVHWCLPGPIDSWNEILLEMMKSQECRLSLVNLSSSH >Vigun02g074100.3.v1.2 pep primary_assembly:ASM411807v1:2:22575372:22578410:-1 gene:Vigun02g074100.v1.2 transcript:Vigun02g074100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGYVCDTPCDYSNGRWVRDKRDPLYNGTTCATIKESQNCIINGRQDSSYLYWRWKPNQCHLPRFEPNTFLQLIVNKHLAFVGDSLARNQIESLLCLLSTVSAPKRVHHKGSRRWYFASHNASVSLYWSPFLVQGVQRSNTGPLHNIVHLDRNEKWAKDVHEMDLIVLSVGNWFLVPSVFYEGGKVLGCLNCEGLGYTDIGFYGPLRKALRATLSSIIEKKGGKGNGVNVIVRTFSPSHFDGDWDKEGTCSKTRPYMKEMKVGEVDEEIRRIEMEEVENAKVKAKEFGGFSLEALDVTKLSWLRPDGHPGAYMNHFPFGPGHVQNDCVHWCLPGPIDSWNEILLEMMKSQECRLSLVNLSSSH >Vigun02g074100.2.v1.2 pep primary_assembly:ASM411807v1:2:22575372:22578410:-1 gene:Vigun02g074100.v1.2 transcript:Vigun02g074100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGANSIKDQSLIKRLLPCTLHILLPIPLLPIILLSLYFYPFSLPSSPPTKLSPPSSTFTPLLPSAEKGYVCDTPCDYSNGRWVRDKRDPLYNGTTCATIKESQNCIINGRQDSSYLYWRWKPNQCHLPRFEPNTFLQLIVNKHLAFVGDSLARNQIESLLCLLSTVSAPKRVHHKGSRRWYFASHNASVSLYWSPFLVQGVQRSNTGPLHNIVHLDRNEKWAKDVHEMDLIVLSVGNWFLVPSVFYEGGKVLGCLNCEGLGYTDIGFYGPLRKALRATLSSIIEKKGGKGNGVNVIVRTFSPSHFDGDWDKEGTCSKTRPYMKEMKVGEVDEEIRRIEMEEVENAKVKAKEFGGFSLEALDVTKLSWLRPDGHPGAYMNHFPFGPGHVQNDCVHWCLPGPIDSWNEILLEMMKSQECRLSLVNLSSSH >Vigun02g074100.1.v1.2 pep primary_assembly:ASM411807v1:2:22575372:22578410:-1 gene:Vigun02g074100.v1.2 transcript:Vigun02g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGANSIKDQSLIKRLLPCTLHILLPIPLLPIILLSLYFYPFSLPSSPPTKLSPPSSTFTPLLPSAEKGYVCDTPCDYSNGRWVRDKRDPLYNGTTCATIKESQNCIINGRQDSSYLYWRWKPNQCHLPRFEPNTFLQLIVNKHLAFVGDSLARNQIESLLCLLSTVSAPKRVHHKGSRRWYFASHNASVSLYWSPFLVQGVQRSNTGPLHNIVHLDRNEKWAKDVHEMDLIVLSVGNWFLVPSVFYEGGKVLGCLNCEGLGYTDIGFYGPLRKALRATLSSIIEKKGGKGNGVNVIVRTFSPSHFDGDWDKEGTCSKTRPYMKEMKVGEVDEEIRRIEMEEVENAKVKAKEFGGFSLEALDVTKLSWLRPDGHPGAYMNHFPFGPGHVQNDCVHWCLPGPIDSWNEILLEMMKSQECRLSLVNLSSSH >Vigun04g018700.2.v1.2 pep primary_assembly:ASM411807v1:4:1390929:1397137:-1 gene:Vigun04g018700.v1.2 transcript:Vigun04g018700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKWLNIIVDGNSALRTYWPNILSHFLEKILRSFFDDSGEEGTNAQVALVMYNANSSAGELDFFLGVLSSLVFNGNSENQHTMVLGLAEALLLFPKPSNVMTKEEYYNGSRHCIVIASGDPVPRRMLVSVPEIDKGRILGTHLNTLYVDFCEVAEMFGPLAVSLSIISALQHPIFGVIFNMGNNGSPLANTRNSNIRIGELNILLARNFKEARDALRGKRRVTPATKESVKSMMMTNEEVANMVDPRGASSSRRAHLPFLAAGFDPYGRPVFGPSTGVPPPPKTPQFNPHDFWPPPPSSTYSQNLVQAWEGTLFGQMHRNRTNTQRGKALRRATSLPTLTYEWSSRLEISFYLPQKSIDYMITIHLGPIDYVFFDILHFNNLDLYEHLTNKNLCAKINLPSQILIISPTSNRHYYIGTIFPADTTFIQKI >Vigun04g018700.1.v1.2 pep primary_assembly:ASM411807v1:4:1390929:1397137:-1 gene:Vigun04g018700.v1.2 transcript:Vigun04g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKWLNIIVDGNSALRTYWPNILSHFLEKILRSFFDDSGEEGTNAQVALVMYNANSSAAVQHIHWTGELDFFLGVLSSLVFNGNSENQHTMVLGLAEALLLFPKPSNVMTKEEYYNGSRHCIVIASGDPVPRRMLVSVPEIDKGRILGTHLNTLYVDFCEVAEMFGPLAVSLSIISALQHPIFGVIFNMGNNGSPLANTRNSNIRIGELNILLARNFKEARDALRGKRRVTPATKESVKSMMMTNEEVANMVDPRGASSSRRAHLPFLAAGFDPYGRPVFGPSTGVPPPPKTPQFNPHDFWPPPPSSTYSQNLVQAWEGTLFGQMHRNRTNTQRGKALRRATSLPTLTYEWSSRLEISFYLPQKSIDYMITIHLGPIDYVFFDILHFNNLDLYEHLTNKNLCAKINLPSQILIISPTSNRHYYIGTIFPADTTFIQKI >Vigun04g081600.1.v1.2 pep primary_assembly:ASM411807v1:4:12284875:12287138:-1 gene:Vigun04g081600.v1.2 transcript:Vigun04g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPFLNRNFSELEKAKERPQNTVPKIQKVAHYLRDRKHSKKHYSPRLVSIGPIHHGEPNLELGEKYKLMWAAKYLERTNQDAETLYRKFASHVKQFKQRYAEDVIRDFRGDDENLSWVLFVDGCSLLQILDKGNLSHPEDLNVKVDQLVLVWQDVLLLENQLPYEVLKLLSGHQNDDTLVNSMNNFLKCHHLSPNRSEQRRKIHGASKFKKNEDRTLDEDGDTSVYVRSEDSLRQEHIIDISKDSPFHLLDQLRRYILDDAQKEPHHRKGDAQKGKKKKKKNEDLDMTTYRNIEELKAAGIKLKVQKSRRLRDISFSYRWMWLRAELTLPEITVDDTTAPTFHNLIAYEMCPDFENKFEISSFVAFMDSLIDHPEDVKELRSAKVLHNSLGSDEEVAKLFNTISTDLVPNSESYLGVRRKIEKHYRKRHRAWLALGYHTYFNNPWSIIAFLAALLALVLTFIQTWFAIHPVC >Vigun05g131400.1.v1.2 pep primary_assembly:ASM411807v1:5:15285933:15287302:-1 gene:Vigun05g131400.v1.2 transcript:Vigun05g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRDRDRERAQSRTGAKGKQTKADGLTPEQRRERDAKALQEKAAKKAAQEAGGNNAGGSKK >Vigun06g115200.1.v1.2 pep primary_assembly:ASM411807v1:6:24329785:24332997:-1 gene:Vigun06g115200.v1.2 transcript:Vigun06g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEMFSCDCFWWNSVPESYPSEPEPFSLPAPLPQWPQGGAFAGGRISLGSLEVLKISKFERVWSSKSSHGKSQGFVFYKPVEIPDDFFCLGHYCQSNDQPLRGHVLVARETSSELKAESPALKKPINYTLIWSADSPHDGSGYFWLPNPPLGYKAMGIVVTNKPDEPEVEKVRCVREDLTETCETCDLLLSMNSKFSKISFKVWNTRPCKRGMWSRGVSVGTFFCSTYSDNKQGTDIACLKNLDSTLHAMPNIEQVHALINHYGPTVYFHPDEIYLPSSVQWFFKNGALLCSRGSEKGKAIDNEGSNLPSGGTNDGAFWIDLPSDSEARNNVKKGDLESAELYVHVKPALGGTFTDIAMWVFCPFNGPGILQVGLVKIEMNKIGEHVGDWEHFTLRVSNFTGELWSVFFSQHSGGEWVNAFNLEYIEGNKAVVYSSRHGHASFPHPGSYLQGASKLGIGARNETGRSKLKVDSSSRYKVVAAEYLGEGAIAEPCWLQYMREWGPAIVYDSRSEIDKLIDLLPVYVRLSVENLFELFPTELYGEEGPIGPKGKANWEGDEKCY >Vigun06g164400.1.v1.2 pep primary_assembly:ASM411807v1:6:28648779:28652719:-1 gene:Vigun06g164400.v1.2 transcript:Vigun06g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYFFLFFLLPLLLLVVLYFLVKPRSVKIPIKNRHVFITGGSSGIGLALAHRAAAEGARVSILARSPGKLEEARNAIRLATGIEVAVFSADVRDFEAVKRAVDEAGPIDVLLLNHGVFVALELEKMELSEVKFTMDVNLMGTLNLIKAALPAMKNRKDPLPASIALVSSQAGQVGIYGYVAYSASKFGLRGLAEALQQEVIADNIHVSLIFPPDTDTPGLAEENRRKPELTKIIAASSGSMKAEEVAQKALDGIKSGSFIVSCNLEGIALSLATAGLSPQRSFLMAFVEVVAAGILRIVALGMQWTWYGSIEKYHSQRKGSTRSS >Vigun01g023050.1.v1.2 pep primary_assembly:ASM411807v1:1:2447355:2447757:-1 gene:Vigun01g023050.v1.2 transcript:Vigun01g023050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTKSICNGTVDSQEKFNPRNHLIYGQHHCGKGHNARRIITAGPRRGEYDHNQNASICLIHYGDGAIIGDTIVSGTKVPIKMGNTLPLSAV >Vigun01g180000.1.v1.2 pep primary_assembly:ASM411807v1:1:36068121:36070691:-1 gene:Vigun01g180000.v1.2 transcript:Vigun01g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESTMEANKGGVFVDWRNSIKALPRNMKSMVINFTRSITKIGKDDPRRVYHSLKVAFALTLVSLFYYSRPLYDGFGVAGMWAVLTVVVVFEFSVGATLSKGLNRGCATLLAGALGVGGQHLATAFGEREKPIVLGILVFILAAGATFFRFFPKIKARYDYGVVIFILTFCLVAVSGYRVDELFELAHQRLSTILIGATACMVISIFICPVWAGEDLHKLVASNIQKLANYLEGFEVEYFHCSEDKEKCEKSVLEGYKSVLNSKASEESLANLARWEPGHGRFRLRHPWKQYLKIGALTRGCAYKIETLNNYLNPEINTSVEFKCKIQEPCTKMISESSKALNVISSSIKTMTHPSAAKSHIENAKTAVEELKFALETVSLEDAELLAIIPVITVASILEEITKSVEKIHESVSELSQLAYFKSVAEPNVSPEKPHRLHRGIIKPVVDIENTVDHVEITIPEITTDSPEKEKTATKPSEHM >Vigun03g132800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12996333:12997430:-1 gene:Vigun03g132800.v1.2 transcript:Vigun03g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHRSDSSSSPSSSMPTPNTTDPKKPSTDPMHSWWESVSKARSRIHALAAILPSSSHDPLSSLADSDRPALSLLSSSAAYSAVSASLSGSHSDPLCHWLYDTFLSADPHLRLVVLSFVPLLTGLYLSRIHSPEPPSLAGFEAVLLALYAAETRSRNGKPLLVTIPDLSHPSIYHAPLRKPQSLSPPSVGLISPPLEPQLGVKSTKRPAIVGVALHSFFSQISHMPAWSKLDFCQFAAAWAGSAPCPCRNQFDDATVPEHNEIRDAKGERIPLPWEILQPSLRILGHCLLGPLNSQDVKDAASFAVRCLYARASHDLVPQAILATRSLIQLDVRTAEAAKANAGSNSNSNTPTKVKKPEILLVSK >Vigun11g027150.1.v1.2 pep primary_assembly:ASM411807v1:11:3535587:3550690:-1 gene:Vigun11g027150.v1.2 transcript:Vigun11g027150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEAVDEGENSDRPASENVETEKDEEQNMKVNLAEREVNSQDGDAYRKPLVGMLFESEDFAKSFYDAYARDVGFSTHVGQFYRAKPDGPIITWDFACSREVFKRKNIVSCNAMLRVERKDANWIVTKFVEDHNHSLASSRKVQNRQPSRHYVGAARNVIATFDARNESCALNGNHLDPISSVRNSFPAEKCHPMRNIGSLTYARSSQKRTLGRDAQNLLNYFKKMQGENPGFYYAIQLDDENRMTNAFWADARSRTAYNYFGDAVIFDTMYRPNQYQIPFAPFTGFNHHGQMVLFGCSLLLDESESSFTWLFKTWLSAMNDRPPVSITTDQDRAIQAAVSHVFPETRHCICKWHILREGQERLTHIYLAHPSFYGDLYGCINFSETTEDFESTWKSLLDKYDLQKNDWLQAVYNARKQWAPVYFRDTFFGAITSNHGVNSFFDGYVNQQTTIPLFFRQYEISLEHSLEREVEADYETICNTPVLRTPSPMEQQAANMYTNKIFAKFQEELVETFAYTANNVENDGVISKYRVAKYEHDHKAYMVTLNMSEMKANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTKNAKSGIGTDEKTTGPLNIENLTIRFNSLCREAIKLAEEGAIAVETYNVAMNALREGAKRVGVMKKNIAKVKPPNTQGNGCCQEDNSKKSPSSISDVIPSLWPWLDSVSNHLNHSGLGLPVTDLNHPSMAPVSIHQDGGPPDNSVVLMCFKSMTWIIENKNSSQSSKIAVINMKLQDYGKSPLGETEVQFRVTRVTLEPMLRSMTYISHQLNAPVNRVAVINLRLQDTKTTTGQTEVKFQVSRDTLGSMLRSMAYIREQL >Vigun10g124300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33245454:33246865:-1 gene:Vigun10g124300.v1.2 transcript:Vigun10g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLNLILSKCKSFSRHLGSSSSYNSLRSKFVKEDSWKVHDMQEDEHCETVLVGSTRKQYVISSKYLNNPLLKALINKSNQEGNNDKSVLIINCEVVLFDHLLWMLENADPMFGSDSLEELAELYVF >VigunL021700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:4495:4746:-1 gene:VigunL021700.v1.2 transcript:VigunL021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >Vigun03g029201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2174352:2175220:-1 gene:Vigun03g029201.v1.2 transcript:Vigun03g029201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKDAATAAQVAAESAERASVAARAAAELSNRENLTRQCSSEWKSSSRGGFRAELSLEYAFHSSKHLSAGYVTSTFRRSAFEIHHEQTNVTEQHNEHFMNGNDNVVKPCKKVQGEANKESSGSGSDAYLDSDTSDSEHGSAEDAGSEPRNEETVTLSAMIPDKEETSKPLNCDEDIPSKKKALHVHPKLPDCDTFAAQFSSRKKHLQ >Vigun07g164600.1.v1.2 pep primary_assembly:ASM411807v1:7:27680948:27686449:-1 gene:Vigun07g164600.v1.2 transcript:Vigun07g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVADKLAYFQAITGLEDADLCTEILAAHDWDLELAISSFTTSVNPTTDTDIPPQPHPNNHVPSAPDLQPLPQPPPGLAWKLITLPVSVVSGSLGLISGAIGLGLWAAGGVLSYSLGLVGLGSAPSSSSSSAPLVSVSAATSEALDFVAAFEREYGSSGPNFVGEGFMDALQRSRNSFKLLFVYLHSPDHPDTPSFCHRTLCSETIAAFVNENFVCWGGSIRASEGFKMSNSLKASRFPFCALVMAATNQRIALLQQVEGPKSSAELLVTLQRVLEESSPVLVAARLDAEERRNNMRLREEQDAAYRAALEADQARERQRREEEERLAREAAEAERKRQEEEEARERAAQEAAEKEAALAKMRQEKAQSLGEEPEKGPDVTQVLVRFPNGERKGRRFHSTATLQSLYDYVDSLGSLEADSYSLVSNFPRVVYGQEKLALSLKEAGLHPQASLFVELSSLE >Vigun02g018700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:6543331:6545360:1 gene:Vigun02g018700.v1.2 transcript:Vigun02g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMRGCPSHGESMQPKAAGAAYKCSGCKEMGFGSSYECENDDCNYILHEDCANAVSNAVHRFLPESKFEFLEKPPGYPRYCDACGKDVVGFVYHCSHTGHDLHPRCLNLKDRISDEEGLVTLELCKMMVPSKCVKCNHRRVEEGVKTWSYVSSEGELCYHVRCVKKLILEKLEEKYFSQQTNPTDEAESSQVAERIDQSGAVSRRSRKMKKFTKIAVLVFRLIVSAIFGNPIMAIAGLFEALVSG >Vigun05g238900.1.v1.2 pep primary_assembly:ASM411807v1:5:43185917:43187639:1 gene:Vigun05g238900.v1.2 transcript:Vigun05g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPFNKIQILYYLFIFHTTLCTPIEQHNHTNYCSDSKTESSFLNPNSSITSLLSKITICRSQNLYLRTSLGLFQVSSLDYNARLLTISHPCSSSLHYISPLAVTAGFQSPPEPNSLLLFNCSSTTDSIPPPFIRNGKGLLHNCGNAASTSSRVQGQEKSPNSSLVIEDLQRAVDKGFQPKDLNCSHYSWMHRSSSNGEGYVLGTRMSFDVPNHVEDLCKECEKPNGNCGVGLKCLCHAKECKDKIISESGSMKSVGNNVLLSFLSVIGVVAFFKDV >Vigun02g059700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20499998:20501337:-1 gene:Vigun02g059700.v1.2 transcript:Vigun02g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTEVPENSVFERVERNRDITLFLPFLFGLSETTAGRDGDDPRHENGNTEARQPQRIILVNPFTQGMVVIDADSRLEALFRELGSGKGGRPPATKESIEAMPSVEVGEGEDLECVVCLEEFEVGGVAKEMPCKHKFHGDCIEKWLGMHGSCPVCRYEMPVENNFGRKSEEEEGGERRRDGGDEVWVSFSYNRIRRGQDHDQAASSNDDSPSSHGDDDDDDVVES >Vigun08g173200.1.v1.2 pep primary_assembly:ASM411807v1:8:34390821:34394373:1 gene:Vigun08g173200.v1.2 transcript:Vigun08g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTVLSVSLCSFLGLHFFSLLFTTFSFAATIDENQVREAGALLEWKASFENQSQASLSSWSEGVSHCKWKEIICDRSNSVTSINVANHGLKGTLHTLNFSSFPNLLTLDVSNNSFSDTIPHQIANFSRVSELIMNNNNFSGPIPISMTKLATLKILNLKFNSIFGPLPKEIAELKNLERLLFQSNHLSGTIPPTIGRMANLVELNLQLNSINGTIHPSIRNLTNLKFLRLQQNSLSGPIPHFIGEMTNLHVLELDQNSLTGQIPSNIGNLTKMVNLSLAGNMLYGPIPPSLGNLVNLMALELSRNNLSGVVPPTLGNLTNPIFFILSKNKLEGRLPPGMNNFTNLMNLQLAENSFTGPLPQQVCLGGSLKTLTAEGNHFTGPVPKSLKNCSSLTRLKLEGNFLSGNISDDFGVYPFLKYIDLSGNNFYGDISPNWAKCPLLESLIMPNNNLTGSIPPELSRAPKLAELNLSSNHLTGRIPKELGKLTSLLELSVSNNELSGSIPVEIGALSNLNILELSSNSLDAAIPKQLGELFKLIRLNLSSNRLTESIPLELGRLQSLESLDLSVNLLNGEIPSVVASMQNLKTLNLSHNHLSGAIPSNFNDGLTKIDISNNQLEGPVPNTRAFRNVSFDALKGNKGLCGNVTGLVPCNSRNGKVKRNVTMLALVLTLGALLLVVLVVGVLLCICNRRRTATEGKKKDAKEEETQDNYAIWSYDGKLVYENIIEATENFDDKYLIGEGGSASVYKAKLPTGQIVAVKKINAAPDEETPDLKAFMTEVRALAEIKHRNIVKSHGYCIHPRYSFLVYEFLEGGSLDKVLMDDARATTFDWNMRVKVVKGVVNALYHMHHGCFPPIIHRDISSKNVLIDSEYEARISDFGTAKILNPDSRNLTAFAGTYGYAAPELAYTMEVNEKCDVFSFGVLCFEIMMGKHPGDLIPSFFPSSETASVYNLLLKDMLDQRLPLPENPVAEEVILIAKITFACLSETPRFRPSMEQVYNDFLMPKSSSLNSLSILTLAQLVND >Vigun09g131300.1.v1.2 pep primary_assembly:ASM411807v1:9:28973614:28977085:1 gene:Vigun09g131300.v1.2 transcript:Vigun09g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILSSPCQTLSSPRLYFPNNSFSGIKCDSAKVPVFPQKTRERSYLIERGLEFSPGDAFFREESATGRDLGVLAASLHKRGNGRLRVLDALCGCGIRSLRYLAEAGADFVAANDGNENYGSTIVENLSRVSSEEEGRWVVTHLEANRVMTDYYLQKSLFDFIDVDSFGSDSSFLRSAISTLKFGGLLYVTSTDGFSSGGHRPHHSLAAYGAYVRPMPYSNEIGLRMLIGGVTREAAVLGYHITPLFSYYAFHGPVFRVLLRLNRGKIHDTRHYGYIGYCQQCGNSHEFSWDQLGQISCSCSVPQVSNSLVVSGPLWTGPLHDGAYLMDMLNLAKQWGWIGCDGKDNLEKLIRVMMDESDPKLPFGYIKLDEMASRAKINSPPLKALMSAMHQKGYAASRSHIATNAIKTNCPMTECIKIAKELLQVSVT >Vigun07g091132.1.v1.2 pep primary_assembly:ASM411807v1:7:14276330:14277457:-1 gene:Vigun07g091132.v1.2 transcript:Vigun07g091132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFVDDDEDLRKSLEKQED >Vigun06g095700.1.v1.2 pep primary_assembly:ASM411807v1:6:22685511:22691374:1 gene:Vigun06g095700.v1.2 transcript:Vigun06g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQLFSSHFLDGTISSHVESKNRTQPSVIVIGAGISGIAAARSLYDASFKVTVLESRDRLGGRIHTDFSFGCPVDMGASWLHGVCNENPLAPLIRGLGLPLYRTSGDNSVLYDHDLESYMLFNIDGKQVPQQLVIEVGDTFKKILEKTAKVRDEHPEDISISQAISVVLDRHPELRQKGLAHEVMQWFICRMEAWFAADADMISLKTWDQEHVLSGGHGLMVQGYDPVIKALAKNVDIRLNHRVKKISSGYDKVMVTVEDGRNFVADAAIITVPVGILKANLIEFEPKLPDWKVSAISDLGVGNENKIALRFDEVFWPNVELLGTVAPTSYACGYFLNLHKATGHPVLVYMVAGRFAYDIEKLSDEAAAKFVMQQLKKMFPKASEPVQYLVSRWGTDPDSLGCYSYDLVGKPIDVYDKLRAPLGNLFFGGEAVSLDNQGSVHGAYSAGIMAAENCEKYLLEKQGPVEKLRLASVTHEMLEALVPLQISRM >Vigun03g011600.1.v1.2 pep primary_assembly:ASM411807v1:3:780393:785266:-1 gene:Vigun03g011600.v1.2 transcript:Vigun03g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGEVGTEATVATPVAPLAVSGSFKEGKGSSRRRAPSMRQSLDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRFSERLREKAVEELTEELSKVEGKLKLTESLLESKNLEIKKINDDKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAEKTVQIALAKASMVDDLQNKNQELIKQIEICQEENKILDKMHRLKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLKVLQESLRETSNSINRGTSEGRCISNGPSRRQSLGGADNISKLTSNGFLKRSPSIQLRSSVSSSTVLKHAKGTSKSFDGGTRSLERSKILLNGKPPSYSFNQSSEGTKHREENDNWKGNSDDKPNDFPTVDTEDSVSGVLYDLLQKEVLALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAVMEKEVAAMRVEKEQESRAKRFSNVKGPVNSAQHQLVSGRNVARGGLTRSTQ >Vigun03g024000.4.v1.2 pep primary_assembly:ASM411807v1:3:1771078:1774464:1 gene:Vigun03g024000.v1.2 transcript:Vigun03g024000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLLFLLGVLAVNYLLFQSILVPYGSGNAPWSSVPQKYDKVRFPSLHSTPKYFTVWTPPMGSVSGFSNSSAFIAAVEKMPNLIVQFEVGDDRNDARSLSEKKDVGRKGDRLDLENVGSKNFNAILAKGSNVNFSGKQFSKTEGGALVNDNNMDSREYDGVRVHTSHSSTSSTNVTSLENFAQKVVFSASNNSAAMITPRRKMRCMMPPKTRTLIQEMNHILVRRRASARAMRPRWSSKRDMEILAARLEIEHAPIVTQDKELYAPLFRNLSMFKRSYELMERTLKVYIYKDGDKPIFHQPILKGLYASEGWFMKLMEENKYFVVKDPSKAHLFYMPFSARMLEHSLYVRNSHNRTNLRQFLKDYTDKISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSFPETYVRSVRDPQRDQGGKPPHQRSFLAFYAGNMHGYLRPILLKHWKDKDPDMKIYGPMPHGVANKMNYIHHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDAFSIILAEKDIPNLKQILLSVSQEKYLKLQLGVRKAQKHFFWHVKPLKYDLFHMTLHSIWYNRVFQIKLR >Vigun03g024000.1.v1.2 pep primary_assembly:ASM411807v1:3:1771078:1774464:1 gene:Vigun03g024000.v1.2 transcript:Vigun03g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLLFLLGVLAVNYLLFQSILVPYGSGNAPWSSVPQKYDKVRFPSLHSTPKYFTVWTPPMGSVSGFSNSSAFIAAVEKMPNLIVQFEVGDGEKMGKHNDENADLVSERNMSNDDVFEHGTDRNDARSLSEKKDVGRKGDRLDLENVGSKNFNAILAKGSNVNFSGKQFSKTEGGALVNDNNMDSREYDGVRVHTSHSSTSSTNVTSLENFAQKVVFSASNNSAAMITPRRKMRCMMPPKTRTLIQEMNHILVRRRASARAMRPRWSSKRDMEILAARLEIEHAPIVTQDKELYAPLFRNLSMFKRSYELMERTLKVYIYKDGDKPIFHQPILKGLYASEGWFMKLMEENKYFVVKDPSKAHLFYMPFSARMLEHSLYVRNSHNRTNLRQFLKDYTDKISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSFPETYVRSVRDPQRDQGGKPPHQRSFLAFYAGNMHGYLRPILLKHWKDKDPDMKIYGPMPHGVANKMNYIHHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDAFSIILAEKDIPNLKQILLSVSQEKYLKLQLGVRKAQKHFFWHVKPLKYDLFHMTLHSIWYNRVFQIKLR >Vigun03g024000.3.v1.2 pep primary_assembly:ASM411807v1:3:1771078:1774464:1 gene:Vigun03g024000.v1.2 transcript:Vigun03g024000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLLFLLGVLAVNYLLFQSILVPYGSGNAPWSSVPQKYDKVRFPSLHSTPKYFTVWTPPMGSVSGFSNSSAFIAAVEKMPNLIVQFEVGDDRNDARSLSEKKDVGRKGDRLDLENVGSKNFNAILAKGSNVNFSGKQFSKTEGGALVNDNNMDSREYDGVRVHTSHSSTSSTNVTSLENFAQKVVFSASNNSAAMITPRRKMRCMMPPKTRTLIQEMNHILVRRRASARAMRPRWSSKRDMEILAARLEIEHAPIVTQDKELYAPLFRNLSMFKRSYELMERTLKVYIYKDGDKPIFHQPILKGLYASEGWFMKLMEENKYFVVKDPSKAHLFYMPFSARMLEHSLYVRNSHNRTNLRQFLKDYTDKISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSFPETYVRSVRDPQRDQGGKPPHQRSFLAFYAGNMHGYLRPILLKHWKDKDPDMKIYGPMPHGVANKMNYIHHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDAFSIILAEKDIPNLKQILLSVSQEKYLKLQLGVRKAQKHFFWHVKPLKYDLFHMTLHSIWYNRVFQIKLR >Vigun03g024000.2.v1.2 pep primary_assembly:ASM411807v1:3:1771078:1774464:1 gene:Vigun03g024000.v1.2 transcript:Vigun03g024000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLLFLLGVLAVNYLLFQSILVPYGSGNAPWSSVPQKYDKVRFPSLHSTPKYFTVWTPPMGSVSGFSNSSAFIAAVEKMPNLIVQFEVGDGEKMGKHNDENADLVSERNMSNDDVFEHGTDRNDARSLSEKKDVGRKGDRLDLENVGSKNFNAILAKGSNVNFSGKQFSKTEGGALVNDNNMDSREYDGVRVHTSHSSTSSTNVTSLENFAQKVVFSASNNSAAMITPRRKMRCMMPPKTRTLIQEMNHILVRRRASARAMRPRWSSKRDMEILAARLEIEHAPIVTQDKELYAPLFRNLSMFKRSYELMERTLKVYIYKDGDKPIFHQPILKGLYASEGWFMKLMEENKYFVVKDPSKAHLFYMPFSARMLEHSLYVRNSHNRTNLRQFLKDYTDKISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNADVTQGFKIGRDVSFPETYVRSVRDPQRDQGGKPPHQRSFLAFYAGNMHGYLRPILLKHWKDKDPDMKIYGPMPHGVANKMNYIHHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDAFSIILAEKDIPNLKQILLSVSQEKYLKLQLGVRKAQKHFFWHVKPLKYDLFHMTLHSIWYNRVFQIKLR >Vigun10g159000.2.v1.2 pep primary_assembly:ASM411807v1:10:37803319:37804493:-1 gene:Vigun10g159000.v1.2 transcript:Vigun10g159000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQMGSKAPKKAFSKSDRKDAKKKVLGSSSKSERVGSSRRRIAVDAENNEEDVPLRIRKGKQVKRESLCMCDICMDVIPDERKFKIQNCSHIFCNGCIRRHVAAKIEGNKTVDCSALLIRDGDEVVTSSECPHCNRLFCAQCKVSWHAGINCEEFKRSKREKGENGDSLVQKLAKKKGWRKCPKCRFYVERIAGCSLITCRCGHEFCYECGSSWNNKHYSCGFK >Vigun10g159000.1.v1.2 pep primary_assembly:ASM411807v1:10:37803319:37804475:-1 gene:Vigun10g159000.v1.2 transcript:Vigun10g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQMGSKAPKKAFSKSDRKDAKKKVLGSSSKSERVGSSRRRIAVDAENNEEDVPLRIRKGKQVKRESLCMCDICMDVIPDERKFKIQNCSHIFCNGCIRRHVAAKIEGNKTVVRCPNPNCKNVIEPEHCRSIISKKVFDKWGDILCENLVVETQKFFCPFKDCSALLIRDGDEVVTSSECPHCNRLFCAQCKVSWHAGINCEEFKRSKREKGENGDSLVQKLAKKKGWRKCPKCRFYVERIAGCSLITCRCGHEFCYECGSSWNNKHYSCGFK >Vigun01g061733.1.v1.2 pep primary_assembly:ASM411807v1:1:13218501:13219212:-1 gene:Vigun01g061733.v1.2 transcript:Vigun01g061733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun04g137900.1.v1.2 pep primary_assembly:ASM411807v1:4:34385404:34389781:1 gene:Vigun04g137900.v1.2 transcript:Vigun04g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFYALLLLLMHAAGPIIGLNNSAEIKCIERERQALLNFKHGLIDAYGMLSTWRDDENSTDCCKWKGIRCDGITGHVTILRLRGSNAQYLRGTRNISSLIALQNIQHLDLSYNSFVGSHIPQLIGSLTNLGYLNLSFSASSGSIPVQLGSLTHLRTLDLSNNAFLCGEIPYQLGTLIRLRYLDLSNNFLDGELPYRLANLSQLGIFLSCTLRLPGNFDVKPKDAKWLSNLSSLTHLAFNGLHNPDWLQMIHSPKLKELILVDCALSDTHIQSLFYSPSNFSSSLTILHLSSNILTFSTFQLLSNFSLILQELYLSHNNIVLSSTVYSNFPSLVTLDLSYNNITSSVFQGSFNFSSKLQNLYLSNCGLSDDNFLISAISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTLQLYDNMLEGPIPDGFGKVMNSLEVLDLHGNKLQGEIPSFFGNICTLESLILFKNKLSGKFSSFFQNSSWCNKHVFQILDVSSNNITGTLPKSIGLLSELEYLLLDGNCLEGDVTESHLSSFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLGPSFPSWLHTQSSLSDLDISDNGLNYVPDWLHYAPSVILNSNQFEGKIPSFLLQASKLRLSNNKFSDLFSFICNQGNSEMWILDLSNNQLKGQLPDCWTSVDWLGYLDLSNNQLSGRIPLSMGSLVELNVLVLRNNNLTGELASTLKNCSNLIMLDVAKNMLSGPISSWIGESMQQLIILNMRENHFSGNLPIQLCYLNHIQLLDLSNNMLSKGIPSCLKELTAMSKKGIHTRGTLNRMYLIYIPYIKIYDYFVEEEYPINISLIWKGVEQRFKNAELIKGIDLSSNKLTGEIPKEIGYLAGLVSLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDNLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDDEDSIFYEALYMSMGIGYFTGFWGLFGPMLLWRSWRNTYLRFLNRLTYGICVLLVL >Vigun04g197100.1.v1.2 pep primary_assembly:ASM411807v1:4:42173375:42208012:1 gene:Vigun04g197100.v1.2 transcript:Vigun04g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVALLLSFLVTVTVVVSPSHAASSGPHIADVNLLLPPKMTYPVDYRLQGSDGCFQWSWDHHDILSVEPEYNSTSKCSTSARLRSISPYSGRKETAVYAADLKTGIVIRCKVFIDNISRIQIFHNSIKLDLEGLATLRVRAFDNEENVFSSLVGLQFMWSLMPEANGLPHHLVNVPLKDSPLSDCGGLCGDLDIQIKLEENGVFSDLFVVKGIEIGHEIVSVRLLEPQLKNLADEIVLTVAEAMSLDPPSPVLVLVGAVIPYTLKVIRGNVPEVVTLPSPHHQWSVSNASVAQVDLKTGLAYAWNLGMTAVIVEDTRIAGHVQVSSLNVVLPSSLCLYISPLSSSGDPVEGVKSNPLKTRWYVVSGHQYLIQIKVFAQDHHAQEIYITENDDVKVYDNDSDQYWKTFWVSNDIAVKHGWRNSKILEAYSPGLGKLTASLSYPGGADDKKEIIKAVQEIMVCDKVKFTLVNDSGIILLPWSPGVYQEVELKATGGCAKTVNDFRWLSSDSSTVSVSAFGIVQAKKPGKATIKVLSVYDSLNYDEVLVEVSIPSSMVVLHNFPVETVVGSYLKAAVTMKAANGSFFYVCDAFNSLIKWKSGSESFVIVNATQELLYLKEPNTQLQSSVDGSPCSWTYVFASHPGQAVIHAIFSKEDHHYSHSPVALKASLRIVAYLPLVLHQAGDGNRFGGYWLDLAQAENDKQSHGLEELYLVPGTSLDIALVGGPERWDKGVDFMETVEVLDEANALAEDGILVHRVSGSLRNLYGVLCQRLGTFKLRFKRGNLVGDDHPLPSVAEVWLSVMCSIPSSIVVIADEPVNERRIIKAAAQAERSSGRIHDTPVIVANGRTIRVSAVGISDLGEAYANSSSLNLWWELSSCEGLAYWDYALDIVKSNSWEMFLALQNESGLCTVRATVTDFTDILGDDTFHGFTETENVLTDAIHLQLVSTLRVDPEFKLIYFNPDAKVNLTIVGGSCFLEAVTNDSQVVEVIQPPSGFECLQLILSPKGLGTANLNIYDIGLNPPQRASALVQVADIEWIKIISGKEISLMEGSLQTIDLLAGSTCGNSFDASQFVYMNLHVHIEDSIIELVDTDDFSSLVGGHVNAPSFKIKGKHLGITTLYVSAVQHLGHVVQSQAIKVEVYAAPRIHPDNIFLLPGASYVLTMEGGPTLGVHVEYGIENDKIASIDRYSGRLSASSVGNTTITASVFVNRNTVVCEARSILRVGIPSTVMLHAQSEQLGIGRKLRIYPLFPEGTLFSFYELCKNYQWIIEDEKVLSFKMAETLRGDRIQFTTSAGSQDNSYFDENNIGFINVLYGRSPGKTNVAVSFSCELSTSGSRAQSMFYSSSLSVTVVPDLPLALGIPITWILPPYYTMTSSLPSLSESYAQYDSRNRRGIISYSLLRSLEKNDAIQKDAIFIDGDRIKTTKSNNLACIQAKDRTTGRTEIASCVKVSEVTQIRIASKEVLLNVINLAVGAELDLPTNFYDALGNPFHEAYNAVPFYAETNYPDVLYVNKTADGKGNVHIKAIRHGNVLVRISISEDLQKSDYVLIRVGAHIYPQNPVLHIGSPLNLSIKGLSDTVSGQWFTTNRSVVSVDTLSGVAKAIGQGSAQVSFRYGGSKLQTTITVLKGDYISVLGPKEMLTNVPYPSKGYNFSVKFSNSYSESFGAPGENKRILFNCRVDPPYVGYVKPWLDQDSGNSYCLFFPYSPEHLVHSVPKFEGMRPDVSVTISASLENEHISGSASALFIGGFSIMEMSKNPLLLNLTPGSNKTAITILGNTDVEIHWHHRDLIMISLIHREDIGIRGFARYEVQLLKAKRFRDKIRITLPANGQSVEIDVNHEPEETAPSSIAINKAFWGSILGCFLLLILSIVIFTRFLDKPERSHQTSSSVTTTTSIAAPITPDRSNASSVNEMSPRTPQPFVDYVRRTIDETPYYKREGRRRVNPQNTF >Vigun04g197100.2.v1.2 pep primary_assembly:ASM411807v1:4:42173375:42208012:1 gene:Vigun04g197100.v1.2 transcript:Vigun04g197100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVALLLSFLVTVTVVVSPSHAASSGPHIADVNLLLPPKMTYPVDYRLQGSDGCFQWSWDHHDILSVEPEYNSTSKCSTSARLRSISPYSGRKETAVYAADLKTGIVIRCKVFIDNISRIQIFHNSIKLDLEGLATLRVRAFDNEENVFSSLVGLQFMWSLMPEANGLPHHLVNVPLKDSPLSDCGGLCGDLDIQIKLEENGVFSDLFVVKGIEIGHEIVSVRLLEPQLKNLADEIVLTVAEAMSLDPPSPVLVLVGAVIPYTLKVIRGNVPEVVTLPSPHHQWSVSNASVAQVDLKTGLAYAWNLGMTAVIVEDTRIAGHVQVSSLNVVLPSSLCLYISPLSSSGDPVEGVKSNPLKTRWYVVSGHQYLIQIKVFAQDHHAQEIYITENDDVKVYDNDSDQYWKTFWVSNDIAVKHGWRNSKILEAYSPGLGKLTASLSYPGGADDKKEIIKAVQEIMVCDKVKFTLVNDSGIILLPWSPGVYQEVELKATGGCAKTVNDFRWLSSDSSTVSVSAFGIVQAKKPGKATIKVLSVYDSLNYDEVLVEVSIPSSMVVLHNFPVETVVGSYLKAAVTMKAANGSFFYVCDAFNSLIKWKSGSESFVIVNATQELLYLKEPNTQLQSSVDGSPCSWTYVFASHPGQAVIHAIFSKEDHHYSHSPVALKASLRIVAYLPLVLHQAGDGNRFGGYWLDLAQAENDKQSHGLEELYLVPGTSLDIALVGGPERWDKGVDFMETVEVLDEANALAEDGILVHRVSGSLRNLYGVLCQRLGTFKLRFKRGNLVGDDHPLPSVAEVWLSVMCSIPSSIVVIADEPVNERRIIKAAAQAERSSGRIHDTPVIVANGRTIRVSAVGISDLGEAYANSSSLNLWWELSSCEGLAYWDYALDIVKSNSWEMFLALQNESGLCTVRATVTDFTDILGDDTFHGFTETENVLTDAIHLQLVSTLRVDPEFKLIYFNPDAKVNLTIVGGSCFLEAVTNDSQVVEVIQPPSGFECLQLILSPKGLGTANLNIYDIGLNPPQRASALVQVADIEWIKIISGKEISLMEGSLQTIDLLAGSTCGNSFDASQFVYMNLHVHIEDSIIELVDTDDFSSLVGGHVNAPSFKIKGKHLGITTLYVSAVQHLGHVVQSQAIKVEVYAAPRIHPDNIFLLPGASYVLTMEGGPTLGVHVEYGIENDKIASIDRYSGRLSASSVGNTTITASVFVNRNTVVCEARSILRVGIPSTVMLHAQSEQLGIGRKLRIYPLFPEGTLFSFYELCKNYQWIIEDEKVLSFKMAETLRGDRIQFTTSAGSQDNSYFDENNIGFINVLYGRSPGKTNVAVSFSCELSTSGSRAQSMFYSSSLSVTVVPDLPLALGIPITWILPPYYTMTSSLPSLSESYAQYDSRNRRGIISYSLLRSLEKNDAIQKDAIFIDGDRIKTTKSNNLACIQAKDRTTGRTEIASCVKVSEVTQIRIASKEVLLNVINLAVGAELDLPTNFYDALGNPFHEAYNAVPFYAETNYPDVLYVNKTADGKGNVHIKAIRHGNVLVRISISEDLQKSDYVLIRVGAHIYPQNPVLHIGSPLNLSIKGLSDTVSGQWFTTNRSVVSVDTLSGVAKAIGQGSAQVSFRYGGSKLQTTITVLKGDYISVLGPKEMLTNVPYPSKGYNFSVKFSESFGAPGENKRILFNCRVDPPYVGYVKPWLDQDSGNSYCLFFPYSPEHLVHSVPKFEGMRPDVSVTISASLENEHISGSASALFIGGFSIMEMSKNPLLLNLTPGSNKTAITILGNTDVEIHWHHRDLIMISLIHREDIGIRGFARYEVQLLKAKRFRDKIRITLPANGQSVEIDVNHEPEETAPSSIAINKAFWGSILGCFLLLILSIVIFTRFLDKPERSHQTSSSVTTTTSIAAPITPDRSNASSVNEMSPRTPQPFVDYVRRTIDETPYYKREGRRRVNPQNTF >Vigun11g083500.7.v1.2 pep primary_assembly:ASM411807v1:11:24776740:24788933:1 gene:Vigun11g083500.v1.2 transcript:Vigun11g083500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLIIISRDCHILQNYGVNEVYNVEVLDETQALQLFCKKAFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVCEWRSALARMNENPSKDIMDVLRISFDSLENLEKEIFLDIACFFSNRNGYSWKPTVKRLLEYRQFYPDIGMKVLIEKSLISCQNKEIEMHDLLKELGKNIVREKAPKEPSKWSRLWSYKDFQKVMKLNKEAKNVEAIVIQQNENEFVQERIRVDALSKMGHLELLSLNNVKCFGTLDYISNELRYLYWDPFPWMSLPSTFHLDQLVELILPRSNIKQLWKGKKCVPNLTKLDLNHSKNLIEVPDLSEVPLLMDLNLEGCIKLVQIHPSIGILRQLRHLDLRNCKNLIEVPNLSEVERLTDLTLEGCIEVVHIDSSIGNLRQLIYLNLKNCKNLVLNLNILSGITSLRTLILSGCSNLHNSKMLRDSKVKKLLMSPFDFLYRPKPQDSCGLSWSLLSFSVPCLVYLDISFCSLLQIPDEIGNLSSLIILNLGGNKFVTLPNTIKRLSNLHCLNLEHCKRLEYLPELPTVKERFIDEDMSLYIFDCPKLRDMEHCYSTVFSWMMQNLQVYVLW >Vigun11g083500.4.v1.2 pep primary_assembly:ASM411807v1:11:24776740:24788926:1 gene:Vigun11g083500.v1.2 transcript:Vigun11g083500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLIIISRDCHILQNYGVNEVYNVEVLDETQALQLFCKKAFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVCEWRSALARMNENPSKDIMDVLRISFDSLENLEKEIFLDIACFFSNRNGYSWKPTVKRLLEYRQFYPDIGMKVLIEKSLISCQNKEIEMHDLLKELGKNIVREKAPKEPSKWSRLWSYKDFQKVMKLNKEAKNVEAIVIQQNENEFVQERIRVDALSKMGHLELLSLNNVKCFGTLDYISNELRYLYWDPFPWMSLPSTFHLDQLVELILPRSNIKQLWKGKKCVPNLTKLDLNHSKNLIEVPDLSEVPLLMDLNLEGCIKLVQIHPSIGILRQLRHLDLRNCKNLIEVPNLSEVERLTDLTLEGCIEVVHIDSSIGNLRQLIYLNLKNCKNLVLNLNILSGITSLRTLILSGCSNLHNSKMLRDSKVKKLLMSPFDFLYRPKPQDSCGLSWSLLSFSVPCLVYLDISFCSLLQIPDEIGNLSSLIILNLGGNKFVTLPNTIKRLSNLHCLNLEHCKRLEYLPELPTVKERFIDEDMSLYIFDCPKLRDMEHCYSTVFSWMMQNLQVYLEPGMEIVIPGSEIPKWFNKQNASTSISMDPSDVIDDPNWIGVAICVLFVTQQDPMNLDENPCPLSTIQYWVDDDWLSTIPIYFEKDLVTVYVLW >Vigun11g083500.6.v1.2 pep primary_assembly:ASM411807v1:11:24776740:24788933:1 gene:Vigun11g083500.v1.2 transcript:Vigun11g083500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLIIISRDCHILQNYGVNEVYNVEVLDETQALQLFCKKAFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVCEWRSALARMNENPSKDIMDVLRISFDSLENLEKEIFLDIACFFSNRNGYSWKPTVKRLLEYRQFYPDIGMKVLIEKSLISCQNKEIEMHDLLKELGKNIVREKAPKEPSKWSRLWSYKDFQKVMKLNKEAKNVEAIVIQQNENEFVQERIRVDALSKMGHLELLSLNNVKCFGTLDYISNELRYLYWDPFPWMSLPSTFHLDQLVELILPRSNIKQLWKGKKCVPNLTKLDLNHSKNLIEVPDLSEVPLLMDLNLEGCIKLVQIHPSIGILRQLRHLDLRNCKNLIEVPNLSEVERLTDLTLEGCIEVVHIDSSIGNLRQLIYLNLKNCKNLVLNLNILSGITSLRTLILSGCSNLHNSKMLRDSKVKKLLMSPFDFLYRPKPQDSCGLSWSLLSFSVPCLVYLDISFCSLLQIPDEIGNLSSLIILNLGGNKFVTLPNTIKRLSNLHCLNLEHCKRLEYLPELPTVKERFIDEDMSLYIFDCPKLRDMEHCYSTVFSWMMQNLQVTIPSFISCLTFMYN >Vigun11g083500.5.v1.2 pep primary_assembly:ASM411807v1:11:24776740:24788933:1 gene:Vigun11g083500.v1.2 transcript:Vigun11g083500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLIIISRDCHILQNYGVNEVYNVEVLDETQALQLFCKKAFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVCEWRSALARMNENPSKDIMDVLRISFDSLENLEKEIFLDIACFFSNRNGYSWKPTVKRLLEYRQFYPDIGMKVLIEKSLISCQNKEIEMHDLLKELGKNIVREKAPKEPSKWSRLWSYKDFQKVMKLNKEAKNVEAIVIQQNENEFVQERIRVDALSKMGHLELLSLNNVKCFGTLDYISNELRYLYWDPFPWMSLPSTFHLDQLVELILPRSNIKQLWKGKKCVPNLTKLDLNHSKNLIEVPDLSEVPLLMDLNLEGCIKLVQIHPSIGILRQLRHLDLRNCKNLIEVPNLSEVERLTDLTLEGCIEVVHIDSSIGNLRQLIYLNLKNCKNLVLNLNILSGITSLRTLILSGCSNLHNSKMLRDSKVKKLLMSPFDFLYRPKPQDSCGLSWSLLSFSVPCLVYLDISFCSLLQIPDEIGNLSSLIILNLGGNKFVTLPNTIKRLSNLHCLNLEHCKRLEYLPELPTVKERFIDEDMSLYIFDCPKLRDMEHCYSTVFSWMMQNLQNCGYRWVFEEDLQQLNSNIFFSGNSSSPKRKLLTSN >Vigun05g214600.1.v1.2 pep primary_assembly:ASM411807v1:5:40663115:40666113:-1 gene:Vigun05g214600.v1.2 transcript:Vigun05g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAMDSDEEFVSFSDTVFGFWEDLQDPPVNSSNSGNLLGDDDENLCSVENDHKAFWEKQYLLLQTNLCGSSSIETRVRQATKEALTELKMWDMQCLCPREVNVKSCRNCLRREICDRLLNLGYNSALCTSKWRTSSEIQISGEHTYLEVKDNSNTKREVKVVIELNFRAEFEMARASEEYNKLINRLPEVFVGKTERLQVIINIMCSAAKKCMKEKKMHLGPWRKLRYMQAKWRGMTDRNITVPLPTVCTSRPRKYKASMLTCDLVENRLRCVVLC >Vigun05g077700.2.v1.2 pep primary_assembly:ASM411807v1:5:7208865:7219060:-1 gene:Vigun05g077700.v1.2 transcript:Vigun05g077700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSGIFLGMVFGVALMAAWQRMMSYRSAKRISKAADIKLLGSLNRDDLRKICGDNFPDWISFPVYEQVKWLNKQLSKLWPFVADAATLVIRESVEPLLEEYRPPGITSLKFSKLSLGNVAPKIEGIRVQTLKKGQIIMDIDFRWGGDPNIVLAVEAALVASIPIQLKDLQVFTIIRVIFQLADEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIVTDMLQWPHRIVVPLGGIPVDTSDLELKPQGTLKVKVVKANDLKNMEMIGKSDPYVVLHIRPLFKVKTKVIDNNLNPVWNEEFELIAEDKETQSLIVEVFDEDIGQDKRLGIAKLPLIGLKAETEKEFELRLLPSLDTLKVKDKKDRGTLTLKIFYHEFNKKEQLVALEAEKKILEERKKLKEEGVIGSTMDAIDGAASVVGSGVGMVGSGVVSGAGLVRSGVVSGAGFVGSGVTSGAGFVGSGVTSGAGLVGSGVASGAGFVGSGVASGAGLVGSGVSSGAGLVGGGIGAGLGAVGSGFGAVGSGISKAGKFMGRTITGQGGAKRSGSSTPVNAEEAGGGAKPLQQ >Vigun05g077700.1.v1.2 pep primary_assembly:ASM411807v1:5:7208865:7219060:-1 gene:Vigun05g077700.v1.2 transcript:Vigun05g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSGIFLGMVFGVALMAAWQRMMSYRSAKRISKAADIKLLGSLNRDDLRKICGDNFPDWISFPVYEQVKWLNKQLSKLWPFVADAATLVIRESVEPLLEEYRPPGITSLKFSKLSLGNVAPKIEGIRVQTLKKGQIIMDIDFRWGGDPNIVLAVEAALVASIPIQLKDLQVFTIIRVIFQLADEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNSIVTDMLQWPHRIVVPLGGIPVDTSDLELKPQGTLKVKVVKANDLKNMEMIGKSDPYVVLHIRPLFKVKTKVIDNNLNPVWNEEFELIAEDKETQSLIVEVFDEDIGQDKRLGIAKLPLIGLKAETEKEFELRLLPSLDTLKVKDKKDRGTLTLKIFYHEFNKKEQLVALEAEKKILEERKKLKEEGVIGSTMDAIDGAASVVGSGVGMVGSGVVSGAGLVRSGVVSGAGFVGSGVTSGAGFVGSGVTSGAGLVGSGVASGAGFVGSGVASGAGLVGSGVSSGAGLVGGGIGAGLGAVGSGFGAVGSGISKAGKFMGRTITGQGGAKRSGSSTPVNAEEAGGGAKPLQQ >Vigun07g100600.1.v1.2 pep primary_assembly:ASM411807v1:7:17786283:17797495:-1 gene:Vigun07g100600.v1.2 transcript:Vigun07g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITSKIKKGKKELDKKKVERTKHDADIALLQNGIQDLTAKMADLQEKGRDVDDELDLQGNDLDEYFRIKEEAGMKTAKLREEKELLDRKLNADTEAQKNLEENLQQLRNRESELNSQEEQMRARLEKILDNSAKNKTGLENLKKELRAMQDKHRDSKKKYENLRLKIGEVENQLRELRADRYESERDVRLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAVTVAMGKFMDAVVVDKESTGKECIKYLKDQRLPPQTFIPLESVRVKPIMERLRTLGGTAKLVFDVIQFDPSLEKAILFAVGNTLVCDDLEEAKILSWSGERFKVVTVDGILLTKSGTMTGGTSGGMEARSKQWDDKKIEGLNKKKEQYEAELESLGSIRDMHLKESEASGKISGLEKKIQYAEIEKRSIEDKLSNLSHEKKTIKERIECISPELKKLNDAVNKNNAEIRKLERRINEITDRIYRDFSKSVGVANIREYEENRLKAAQNIAEERLNLSSQLSKLKYQLEYEQNRDMSSRILELEASISALEKDLKRVQDREAAAKLAAEKSTEEVNQLKEEVKEWKSKSEECEKEIQEWKKKASAATTNISKLNRLIHSKEAQIDQLNVQKQEILEKCELEQINLPIIPDPMDTDNSVPGPHFDFDQLSRSLKDKRHSDRDKIEVDFKQKIDALVSEIERTAPNLKALDQYEALLEKERAVTEEFEAVRKEEREKTQRFNEVKQRRYQLFMDAFNHISGNIDKIYKQLTKSNTHPLGGTAYLNLENDDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCEGARTNQDADGGSGFQSIVISLKDTFYDKAEALVGVYRDSERGCSRTLTFDLTKYRES >Vigun04g142140.1.v1.2 pep primary_assembly:ASM411807v1:4:35386525:35387113:-1 gene:Vigun04g142140.v1.2 transcript:Vigun04g142140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMGNDEDEENTDIYFSNNMMRLLSKSNQGNTKGSSCMNYENGTHVLGVCFDPFKKKGFDLELPHLLNSCHLFQWGCCSYGDDIIIVFVSFV >Vigun06g111100.1.v1.2 pep primary_assembly:ASM411807v1:6:23996745:24006595:1 gene:Vigun06g111100.v1.2 transcript:Vigun06g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTVEEDEVGISCFISDLPGFRGVLKQRYSDFIVNEVDRDGTVVQLTSLDAPEEEPESVQENGTNSSDTVVSYASRIESFKSLAGESDAILLEEFINKINAGGEDSFSPIVLSPDSDKSHRKAVHNFFKENFKFLVTDVVDGPDPSLKCIRVRLNSAEPNNKAKNSKKRKERGGKPFDSRGSENWPENAGKFLRFHIYKENKDTHEALGVIGSMLGIQPKSFGFAGTKDKRAVTTQRVTVYKQRASRLASLNKRLFGIKLGDFCYVKEGLCLGQLMGNRFTITLRGIVSDSEDIIKASADALGRLGFINYFGLQRFGSGSLPTHLIGGALLRGEWKLAVDMILDPREGERIAIAKARKYYKESNDVVGTLKQLPRYLVAERAVLQSLKTSPGNYLQALKSIPRTLRMLYIHSYQSYLWNHAASKRVQNYGTEQVVLGDLVNFKGNSTAKVTEFVGSEYTEDCSGGGYDSNNEDDISVDIHEDINTCVKVVDAEDLISGCYTIDDVILPMPGSRVKYPTNNIANVYEDLTKKDGISLTESVHNVEEFSITSITGCYRRVFQKPINFEWELLTYSDSNKQLVETDLDKINKSAPKNTVKPIDVGNGKTDEAFDCIRESESSDDFPKDKISGEVKLHHGESLGDSSSQDSHIALKLSFTLPASCYATMAIRELLKTSTSVAYHKTLNQ >Vigun06g129700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25615618:25617235:1 gene:Vigun06g129700.v1.2 transcript:Vigun06g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVLSSVASPTILAHSSATPRIHPSTPNLNRHSVVTTTKSVTPSTQTATLHTVFHHPQPLRITTESVPQHQIPNIGFTQMFGSTIVVHPLSFRILAHSENTCKILGISPFDLCGADARSLFTRSSALLLQKAFKAREVSLTNPVLIQSRTSGEAFHGILHRTNAGIVVDLEPASWIENSGLSTFECGGSDVKLLCNSVVETVREMTGYDRVLMYKFHEDEHGEVVAERKRPDLKPYMGLHFPAGDIPEASRVSFLHNRVRMIVDRDSSPVRVVQDETLVEPVCLTKSTLRAPHGCHVEYMANMGCVGSLVISVVVDGGEGGMKLWGLLICHHASPKLLSFPLRSACESVVQAFGEGLKMEKQSPVEESSALCFR >Vigun05g291480.1.v1.2 pep primary_assembly:ASM411807v1:5:47767591:47768080:1 gene:Vigun05g291480.v1.2 transcript:Vigun05g291480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RPTIDNNSPPNTAHNFHRTVLSKEFYGRSATPGCRRRPWGDLVVPTGWRRWGRSMDSFSFCHISLKGLKGDSASSCSQGPA >Vigun07g266200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38171998:38172967:1 gene:Vigun07g266200.v1.2 transcript:Vigun07g266200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPLGSYLLLLGLYSKMKVSYATLCIMVTLVALAETELCVTAVTCNALQLSACASAITSSSPPSSVCCSKLKEQRPCLCQYLKDPNLKKLVNSPNARKVANACGSPFPTC >Vigun07g186400.2.v1.2 pep primary_assembly:ASM411807v1:7:30340886:30345498:-1 gene:Vigun07g186400.v1.2 transcript:Vigun07g186400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTESYKQTGPCCFSPNARFIAVAVDYRLVIRETISFKVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHLQWPKHASKGVSFTRDGKFAAICTRRDCKDYINLLSCHTWEIMGNFAVDTLDLADIEWSPDDSAIVIWDSSLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAELMHPYTVRGPCYAAVFKEVDEPLQLDMSELCLSDDFSQGNDDSPEEPFRVRYEVMEVPINLPFQKPPAEKPNPKQGIGILSWSNDSQYICTRNDSMPTILWIWDIRHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSTHLYMWTPSGAYCVHVPLAQFTITDLKWNSDGSCLFLKDKESFCCAAVPLLPESSEYSSDD >Vigun07g186400.1.v1.2 pep primary_assembly:ASM411807v1:7:30340886:30345498:-1 gene:Vigun07g186400.v1.2 transcript:Vigun07g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTESYKQTGPCCFSPNARFIAVAVDYRLVIRETISFKVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHLQWPKHASKGVSFTRDGKFAAICTRRDCKDYINLLSCHTWEIMGNFAVDTLDLADIEWSPDDSAIVIWDSSLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAELMHPYTVRGPCYAAVFKEVDEPLQLDMSELCLSDDFSQGNDADSPEEPFRVRYEVMEVPINLPFQKPPAEKPNPKQGIGILSWSNDSQYICTRNDSMPTILWIWDIRHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSTHLYMWTPSGAYCVHVPLAQFTITDLKWNSDGSCLFLKDKESFCCAAVPLLPESSEYSSDD >Vigun07g066300.1.v1.2 pep primary_assembly:ASM411807v1:7:7749333:7753694:-1 gene:Vigun07g066300.v1.2 transcript:Vigun07g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALSNSTISAGSAPPPSGVSQPMNMNVNMNMNVGNSEGTTAAAPAPAPAPASTPTTMVPAVPAATTTTTLVATTPGSTGGQGSFDLFGKKKRGRPRKYDADGNLRVSATPTPAPPGFTLSTPSEFSNKRGRGKHTAFGNYQLFSSFGEVFANTAAGDFIPHVVTVYTGEDVAGKILSFAQKGPRGICILSANGAISNVTIRQPGSSGGILTYEGRFEILSLSGSFTVADNSGMKSRTGGLSVSLAGPDGRVIGGGVAGLLTAAGPIQIVVGSFTQNAHKSQKKKYQREQQTVVSPTSAVPETVTFARPISQANAEGENFLMPMSQIPDQTQRESVSVSSDKQNLDATLDAATWNGSEEYSDQRTSPDINISLPDE >Vigun11g052000.2.v1.2 pep primary_assembly:ASM411807v1:11:8884011:8888043:1 gene:Vigun11g052000.v1.2 transcript:Vigun11g052000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGRKDLDSYTIRGTNKVVRAGDCVLMRPSDTSKPPYVARVEKIEQDNRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFFVGIILLVWA >Vigun11g052000.1.v1.2 pep primary_assembly:ASM411807v1:11:8884011:8888043:1 gene:Vigun11g052000.v1.2 transcript:Vigun11g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGRKDLDSYTIRGTNKVVRAGDCVLMRPSDTSKPPYVARVEKIEQDNRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDMKKPQATFSASPGADGKVEPKRRKR >Vigun04g112400.1.v1.2 pep primary_assembly:ASM411807v1:4:28292539:28294879:-1 gene:Vigun04g112400.v1.2 transcript:Vigun04g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEWEFLSDDGYLDFNEDGGKKKQNNSFGKGKLDSKSVFDMDYFCSSPPPPPRVHTQLVPLPIELEPRIMAPEDVLVKDIMKNGPVGLDAVAPPEKTKDFEAVEADRVKVFFKIKEKSDFADMKMDSPKSSSRGILPSIDAGGFKFEDKGETIEIITSPRRRVIEKEVCEKEENSTWEEENNSGFNLWKWSLTGVGAICSFGVAAATICVLFFGSQQRNKLKQDQKIRFQIYADDKRIKQVVQHATKLNDVISAARGVPMSRAHISVGGYYDGL >Vigun06g147900.1.v1.2 pep primary_assembly:ASM411807v1:6:27283183:27284621:-1 gene:Vigun06g147900.v1.2 transcript:Vigun06g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRNNDDGNLCTDDCDQDSKIKDEESAKAEKWKKHYSSKHKILLVGEGDFSFSLCLARAFGSAHNLVATSLDSHGDIGKKYCNGVSNVLELEERGCRVFHGVDAKEMNSHFFLKTQKFDRIVYNFPHVGFIYPENSHCQIQLNKKLLKGFLANAKALLKKDGGEIHVTQKEGDPYNKWDLVKKAENKGLVLLQVEPFLKDEYDGYDNKRADGKSSDASFPVGEASTYKFKLQTSNTNNN >Vigun10g136900.1.v1.2 pep primary_assembly:ASM411807v1:10:35245859:35260448:-1 gene:Vigun10g136900.v1.2 transcript:Vigun10g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKYMRKRAPSQWELEFRDRADLQEIWRVALQIKDFCFRYLKKLIVNECKISSDAVLPFTLLPLLPKLETLEVRNCDSVKTIFDVKCITQDTSITFPLKTLVLWKLPKLETLWNEDTDGNPGHPEGTNPNLTFPTLTSLTLWDLPNFNHNIHDATPTSELIIPNLEDLTVGKNELKMIVDGEFQTNLLHYLKVLGLCFDNECDEFPEYGFLQQLPNVKKLMVWSSSFKLIFCHQRPNNSELLLQLKELRLESLGELVSIGLENSWTEPFVRNLETFEVISCSTLENLVTCTVSFSNLICLKVQNCDGLSYLFTSSTAKTLAQLKRIEIENCESIEEIVCGEESDDEEDEIIFPQLSCLKLYRLLNLRRFYRGNLSFPSLEELSVTYCDDMVTLCPSTLKADKLTQVRIDYKNIPLDTDLNSTTRKGFGRKISELEDLDLKSRPKLPELWHDPLYIPDLYFSKLVIMTVEVCQFLSDAVLPFHLLPLLPKLETLEVGNCDYVKTIFDLKRTTKDTVVTLPLKKLSLSNLSNLENIWSEDPHGILIMHHLKEVHVKECKGLTSVFPTSVTKDLVVDECEGLKAIVAEESKEDEIIFPQLMYLELESCNSLPYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDENVKIIFEQLQDLYLEKLDELRCFYAGNFTLSFPSLEEVHIIKCSSMKTFSAFNKIHNPWYYSEYARPQKVTHLNSALHRTSEEEVEPLSPTPILQ >Vigun05g169200.1.v1.2 pep primary_assembly:ASM411807v1:5:29004718:29008977:-1 gene:Vigun05g169200.v1.2 transcript:Vigun05g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKNFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEGKMMERLWGENFFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLLKLGVTMKSEEKDLMGKALMKRVMQTWLPASTALLEMMIFHLPSPSTAQKYRVENLYEGPLDDQYAAAIRACDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGKIGPRDDPKVRSKILSEDYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVADIRKRKGLKEQMTPLSEYEDKL >Vigun07g088150.1.v1.2 pep primary_assembly:ASM411807v1:7:13636769:13639888:1 gene:Vigun07g088150.v1.2 transcript:Vigun07g088150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSDRSATKIHLDRVGASLAFPSTSSSTTSSNEDGVVGSPSSGYEWVHKGVREYFSKYQSSSSIRKFAAAYAILDEDSPDEAVSLDQVGRADNACDGREGYSNEFFFMYSVLLTSLHVRLPFDEFIVGVLRILNVAPSQLQPNALAALQAFKLVCRALGLKPSPPVFLHYYSTRPKEPVGWLSLFGQPRIGLLAPYSSSFKSYKNTFFRVVVNPPGRPYFFDGDIPKFPFYWTRNPLHYDEWPQTILSAEDCEILNLLDSLPRRLPTKCIVAILNSPRPSRDMLGMCQLFLLYVIMLTYLTLRLLLPALMASHEGVGAGQKSRFQLLREKVNARKKDEDALVGSSSGAAGRNSAGRGTPRPPPATKKKRKKTSQKGESSSRHSSPKRSRVSEVVVYTRLMGTEMQIYDGMSIIISQQEADIIAHSPLPTLMKAFAEYQSRALVIGRHIDHELDKSSHVEELETKVSSLKVEKENLMYEGKKTLEKIAASKRVVEELKITNAELDKELWELRESVIEEHELGFKKALRQANLLFSIVSIGTCELWGLRRDPESTLVDRLYQQELVRYGVLGETLRTCEIWGLRRDPESTLVDRLYQQELVRYGVLGETLRTCEIWDLRRDPEPTLVDRLYQQGLVRYGVLGETLSRPWLIGCINRDL >Vigun05g268800.1.v1.2 pep primary_assembly:ASM411807v1:5:46032888:46035422:-1 gene:Vigun05g268800.v1.2 transcript:Vigun05g268800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKPAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVASSSNCTKDNENSHLEPPNRNAQQVPTKVPSQQVPSFTSSWAVDDFLELTGFESPEKKESLQFGELEWLTDVGIFGEQFTQEALAAAEVPQLPVTNNSSSVASYRTSKSYMSHKKPRIEVLNDDDDDDDEYFTVPDLG >Vigun05g268800.4.v1.2 pep primary_assembly:ASM411807v1:5:46033024:46035295:-1 gene:Vigun05g268800.v1.2 transcript:Vigun05g268800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKPAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVASSSNCTKDNENSHLEPPNRNAQQVPTKVPSQQVPSFTSSWAVDDFLELTGFESPEKESLQFGELEWLTDVGIFGEQFTQEALAAAEVPQLPVTNNSSSVASYRTSKSYMSHKKPRIEVLNDDDDDDDEYFTVPDLG >Vigun05g268800.2.v1.2 pep primary_assembly:ASM411807v1:5:46033024:46035365:-1 gene:Vigun05g268800.v1.2 transcript:Vigun05g268800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKPAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVASSSNCTKDNENSHLEPPNRNAQQVPTKVPSQQVPSFTSSWAVDDFLELTGFESPEKKESLQFGELEWLTDVGIFGEQFTQEALAAAEVPQLPVTNNSSSVASYRTSKSYMSHKKPRIEVLNDDDDDDDEYFTVPDLG >Vigun05g268800.3.v1.2 pep primary_assembly:ASM411807v1:5:46033024:46035340:-1 gene:Vigun05g268800.v1.2 transcript:Vigun05g268800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPRCDICQDKPAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVASSSNCTKDNENSHLEPPNRNAQQVPTKVPSQQVPSFTSSWAVDDFLELTGFESPEKKESLQFGELEWLTDVGIFGEQFTQEALAAAEVPQLPVTNNSSSVASYRTSKSYMSHKKPRIEVLNDDDDDDDEYFTVPDLG >Vigun08g165200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33742938:33744300:-1 gene:Vigun08g165200.v1.2 transcript:Vigun08g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPIQLHRFNPTTDASTAIANGVNCPKPPQTAPSTRRLLPKGSVPEAAARHHTHAVGPSQCCSVVIQAIEAPVSAVWPVVRRFDNPQGYKHFVKSCHVVAGDGIRVGALREVRVVSGLPAVSSTERLEILDDERHVMSFSVIGGDHRLRNYRSVTTLHGDGNGGTVVIESYVVDVPPGNTKEETCVFVDTIVRCNLQSLAQIAENMASQQH >Vigun03g174800.5.v1.2 pep primary_assembly:ASM411807v1:3:21520363:21523151:-1 gene:Vigun03g174800.v1.2 transcript:Vigun03g174800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPLYSRFLIVMFQRSLLFFQTRWLRSPFHGNEEKGPEPKARWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKNHNTEREIPQITPSSTSSSSSDKSYSKELVHPNAISFGFSNVNDAVPNSPTASVNQTYFQSHNHNNTTLLPPAIQSFSFPLHNNDQGVIFNNTITTHGFPVPHFSNNIMQSQPNVGPSSGFFLNEIINHGTFSKKDQDQEKALRSTTSVPPISTLPCPITQLQGVGVGDEVTGDRAKCIVFINDVAFEVMMGPFNVRQAFGDEAVLIHSSGPVPTDDWGITLHPLQHDIRK >Vigun03g174800.4.v1.2 pep primary_assembly:ASM411807v1:3:21520363:21523151:-1 gene:Vigun03g174800.v1.2 transcript:Vigun03g174800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPLYSRFLIVMFQRSLLFFQTRWLRSPFHGNEEKGPEPKARWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKNHNTEREIPQITPSSTSSSSSDKSYSKELVHPNAISFGFSNVNDAVPNSPTASVNQTYFQSHNHNNTTLLPPAIQSFSFPLHNNDQGVIFNNTITTHGFPVPHFSNNIMQSQPNVGPSSGFFLNEIINHGTFSKKDQDQEKALRSTTSVPPISTLPCPITQLQGVGVGDEVTGDRAKCIVFINDVAFEVMMGPFNVRQAFGDEAVLIHSSGPVPTDDWGITLHPLQHGAYYYLI >Vigun03g174800.3.v1.2 pep primary_assembly:ASM411807v1:3:21520363:21523151:-1 gene:Vigun03g174800.v1.2 transcript:Vigun03g174800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKHWPSMFKSKPCNSQNQWQHDNNSSVLSTGCQRSPYTPGNEEKGPEPKARWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKNHNTEREIPQITPSSTSSSSSDKSYSKELVHPNAISFGFSNVNDAVPNSPTASVNQTYFQSHNHNNTTLLPPAIQSFSFPLHNNDQGVIFNNTITTHGFPVPHFSNNIMQSQPNVGPSSGFFLNEIINHGTFSKKDQDQEKALRSTTSVPPISTLPCPITQLQGVGVGDEVTGDRAKCIVFINDVAFEVMMGPFNVRQAFGDEAVLIHSSGPVPTDDWGITLHPLQHDIRK >Vigun03g174800.1.v1.2 pep primary_assembly:ASM411807v1:3:21520363:21522938:-1 gene:Vigun03g174800.v1.2 transcript:Vigun03g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKHWPSMFKSKPCNSQNQWQHDNNSSVLSTGCQRSPYTPGNEEKGPEPKARWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKNHNTEREIPQITPSSTSSSSSDKSYSKELVHPNAISFGFSNVNDAVPNSPTASVNQTYFQSHNHNNTTLLPPAIQSFSFPLHNNDQGVIFNNTITTHGFPVPHFSNNIMQSQPNVGPSSGFFLNEIINHGTFSKKDQDQEKALRSTTSVPPISTLPCPITQLQGVGVGDEVTGDRAKCIVFINDVAFEVMMGPFNVRQAFGDEAVLIHSSGPVPTDDWGITLHPLQHGAYYYLI >Vigun03g174800.2.v1.2 pep primary_assembly:ASM411807v1:3:21520363:21523151:-1 gene:Vigun03g174800.v1.2 transcript:Vigun03g174800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKHWPSMFKSKPCNSQNQWQHDNNSSVLSTGCQRSPYTPGNEEKGPEPKARWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKNKLRHIQNSKNHNTEREIPQITPSSTSSSSSDKSYSKELVHPNAISFGFSNVNDAVPNSPTASVNQTYFQSHNHNNTTLLPPAIQSFSFPLHNNDQGVIFNNTITTHGFPVPHFSNNIMQSQPNVGPSSGFFLNEIINHGTFSKKDQDQEKALRSTTSVPPISTLPCPITQLQGVGVGDEVTGDRAKCIVFINDVAFEVMMGPFNVRQAFGDEAVLIHSSGPVPTDDWGITLHPLQHGAYYYLI >Vigun07g242200.7.v1.2 pep primary_assembly:ASM411807v1:7:36365658:36367091:-1 gene:Vigun07g242200.v1.2 transcript:Vigun07g242200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRNQAEDRSLHSGYWSTYDENNFGRNNRKRSRNNYHTRSFNVYNDNQTKFGDHGCAFNFSLADHANYLRYDAVPSSLKRRKYSAPVWQESHRYYVPPMVHDIIPSSCNFQAPPTRSDGDASTSSVFKNCCSIFEDDKPVFMSRDEIDRYSPSRKDGIDVHHETHLRYSYCAFLQNLGMRLELPQTIIGTSMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEEAPRPLNNVLRASSEILNKQNFALFSYRLPVVSIVQTT >Vigun07g242200.1.v1.2 pep primary_assembly:ASM411807v1:7:36359610:36367905:-1 gene:Vigun07g242200.v1.2 transcript:Vigun07g242200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRNQAEDRSLHSGYWSTYDENNFGRNNRKRSRNNYHTRSFNVYNDNQTKFGDHGCAFNFSLADHANYLRYDAVPSSLKRRKYSAPVWQESHRYYVPPMVHDIIPSSCNFQAPPTRSDGDASTSSVFKNCCSIFEDDKPVFMSRDEIDRYSPSRKDGIDVHHETHLRYSYCAFLQNLGMRLELPQTIIGTSMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEEAPRPLNNVLRASSEILNKQNFALFSYRLPVDWFEQYRERVFEAEQLILTTLNFELNVQHPYASLTSVLNKLGLSKTVMVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAAKFLNIDLTAYQNIWQEFQTTPTILQDISQQLMELF >Vigun07g242200.8.v1.2 pep primary_assembly:ASM411807v1:7:36366044:36367091:-1 gene:Vigun07g242200.v1.2 transcript:Vigun07g242200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRNQAEDRSLHSGYWSTYDENNFGRNNRKRSRNNYHTRSFNVYNDNQTKFGDHGCAFNFSLADHANYLRYDAVPSSLKRRKYSAPVWQESHRYYVPPMVHDIIPSSCNFQAPPTRSDGDASTSSVFKNCCSIFEDDKPVFMSRDEIDRYSPSRKDGIDVHHETHLRYSYCAFLQNLGMRLELPQTIIGTSMVLCHRFFVRRSHACHDRFVSLYLS >Vigun07g242200.5.v1.2 pep primary_assembly:ASM411807v1:7:36365218:36367091:-1 gene:Vigun07g242200.v1.2 transcript:Vigun07g242200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRNQAEDRSLHSGYWSTYDENNFGRNNRKRSRNNYHTRSFNVYNDNQTKFGDHGCAFNFSLADHANYLRYDAVPSSLKRRKYSAPVWQESHRYYVPPMVHDIIPSSCNFQAPPTRSDGDASTSSVFKNCCSIFEDDKPVFMSRDEIDRYSPSRKDGIDVHHETHLRYSYCAFLQNLGMRLELPQTIIGTSMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEEAPRPLNNVLRASSEILNKQNFALFSYRLPVDWFEQYRERVFEAEQLILTTLNFELNVQHPYASLTSVLNKLGLSKTVMVNLALNLVSEG >Vigun07g242200.6.v1.2 pep primary_assembly:ASM411807v1:7:36364748:36367091:-1 gene:Vigun07g242200.v1.2 transcript:Vigun07g242200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRNQAEDRSLHSGYWSTYDENNFGRNNRKRSRNNYHTRSFNVYNDNQTKFGDHGCAFNFSLADHANYLRYDAVPSSLKRRKYSAPVWQESHRYYVPPMVHDIIPSSCNFQAPPTRSDGDASTSSVFKNCCSIFEDDKPVFMSRDEIDRYSPSRKDGIDVHHETHLRYSYCAFLQNLGMRLELPQTIIGTSMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEEAPRPLNNVLRASSEILNKQNFALFSYRLPVDWFEQYRERVFEAEQLILTTLNFELNVQHPYASLTSVLNKLGLSKTVMVNLALNLVSEGIFTRLACWPV >Vigun08g065800.2.v1.2 pep primary_assembly:ASM411807v1:8:9627484:9634905:1 gene:Vigun08g065800.v1.2 transcript:Vigun08g065800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKKKEETLPPGFRFHPTDEELICYYLTNKISDSDFTAKAVAVVDLNKCEPWDLPGKAKMGAKEWYFFNLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSVTSELVGMKKTLVFYKGRAPRGEKTNWVMHEYRNHSKSTFKITKQDEWVVCRVFRKSGGAKKFPSNPNHTRTLNPYSLELSPSMVPPPPMMHLGDPSAHFNFLSGSRNYIINPSERLFRDAASTSLNVINLPIMQPHFNHPPPPPPPVAAAAAAGFTISGLNLNLGGGAVSTTATTQTDRILKVITKPDQKPTHTVTQMNHDFSSNMVTPAAHGVEYGADITNANSHANRFMSIDNCMDLDTYWPSY >Vigun01g008800.1.v1.2 pep primary_assembly:ASM411807v1:1:1036441:1044286:-1 gene:Vigun01g008800.v1.2 transcript:Vigun01g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNLLHHAPLHTPLLNHPPRLNNNGHKPSKGIFVMLCSIVFLMSLLALIMIMIMIKSQNHSEKFTETTPFDSFISPRGVSQGVSPKSNLFHRASYNWTNAMFSWQRTAFHFQPQKNWMNDPDGPLFHMGWYHLFYQYNPDSAVWGSITWGHAVSRDMIHWLYLPIAMLPNKWYDISGVWTGSATILPGGKIIMLYTGDTNQYVQVQNLAYPANLSDPLLLDWVKYAGNPILVPPSGIGSKDFRDPTTGWVGPDGKWRVAIGSKKGKTGLSIVYTTIDFINFEQNDHYLHAVPGTGMWECLDFYPVSINGSKGLDTSVNGPQVKHVLKASLDDARVDSYAIGTYFVENDTWVPDNPLKDVGIGLLLDYGRYYASKSFYDREKERRILWGWVNETDIESDDLRKGWASLQTIPRTVLFDNKTGTNLLLWPVEEVESLRLSSDEFEGVVVKPGSVVPLNISLATQLDIFAEFEIEWLNSESIGENNIGCGRGGAAERSAFGPFGLLAIADDSLSEQTPVYFRLSNTTTFFCVDETRSSKASDVAKPIYGSKVPILSDEKLSMRVLVDHSIIESFAQGGRTVITSRVYPTEAIYGAARLFVFNNATDINIKISLKIWQLNSAFIRPFPFDQGSGSESNSNSRPKATPHKP >Vigun03g078200.1.v1.2 pep primary_assembly:ASM411807v1:3:6455522:6457958:1 gene:Vigun03g078200.v1.2 transcript:Vigun03g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEEKRLSPFIVVFEVKGKEKVEREWKMAGAGVGGGYGDANQRIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKSTIGVEFQTRTLLIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILIGNKCDLENQRDVPTEDAKEFAEKEGLFFLETSALEATNVETAFVTVLTEIYNILNKKNLTADESQGNGNSASLSGQKINIVPGPAQEIPAKRNMCCQAS >Vigun10g119000.1.v1.2 pep primary_assembly:ASM411807v1:10:32527412:32530265:1 gene:Vigun10g119000.v1.2 transcript:Vigun10g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNMFQSDDSRKYYCPILGLKVFVLDINLRCLTAVPNMLQTLGYEVLEAFTLLCKTMDATGFDTEKWQGKM >VigunL011400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:26028:26396:-1 gene:VigunL011400.v1.2 transcript:VigunL011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun07g083700.1.v1.2 pep primary_assembly:ASM411807v1:7:12254794:12260644:-1 gene:Vigun07g083700.v1.2 transcript:Vigun07g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRHSNSNHQPALPQAPGKKQVHRQRLPPNLSPDSCSGGGVAAEKDSFSHKFGWRSSKQLLGTPIKKLLDEEMSPKSESKRRSPGVIARLMGLDGLPFQQPINKQHTGLSENHQKTPQLQKTRGKGVPYDCGSSRRGLRDQQEFKDVFEVSEIPKVESSRYPSPGCVDLKTNDAEMSFIEQKFMDAKRLATHQDLHSSKDFRDTLEVLDSNKDLLLKYFKRPDSLFKKHLNDLQAVPVQSHYRHAETMDIEKYEDDLGWRSDLEKTRLNYNRSHEKHLDGYPCHFDKRHVMHSSPKSSKLQFQGRHEQDAVPTKIVLLKPNLGKVQNGTRIASPCSHNFLSGREGDSELCQVTNLPECARSWRQDSFESREIAKEITRQMRNSLNNSGMMLSTSRIAGYAGDDSSCSVSGNESPDISGEITATLGNSFDLNNRSRRSSRSGESSVSREAKKRLSERWKMTHKSQEVQGISRSSTLAEMLSIPDKDLKAANFVGTGTGEGFHDKFTPNSEPAKWVEPLGISSRDGWKDGCIGSLSRSQSLPSSSTSFGSPKTFLRTEALCADRYMVPKEGHKRDRRRAAKSLDHRHGVNNRSPRSGHKKSWSLHSSKLEVDEFCADLHTVQNKMNIILDDSPKVEVPSAVADDDMEVTNGSIVESSESLNKVLPELSSHVVIEGDGGAVDNNSIQQDLSATPPGGSSVIHEPPVPGLEPSCCKDTDQPSPVSILEPAFTDDLSSCSECFESLSADLQGLRMQLQLLKLESEDYAEGPMTVSDEDGEEVSKGMSAADKGLCRTTEDSWECSYIMDVLSESGIDGVQLDTILEVWHSLECPVSLSVFDELEKRYSEGTTCSRSQRRLLFDHINIGILKISEQFSFSRSAIRNAIGSNLTKNGFRDGLLRMLVNEAKVSDGGQVNVVVGESEWMDLKVYTDAVAREVERSLLDDLVAQIIST >Vigun05g171250.1.v1.2 pep primary_assembly:ASM411807v1:5:30644155:30646197:1 gene:Vigun05g171250.v1.2 transcript:Vigun05g171250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDQMGKFDSLIECAFSLWRKSLDAEVDSLEANIKDLEAEASFFNATASQVAVLDVFFRVPKVEGLCLSKASLVALP >Vigun11g047300.5.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINKQLQKASAPPPPFPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.2.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308646:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINKQLQNTQEALLSNSNIEVQKASAPPPPFPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.7.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINNQLQASAPTPPSPPKNQINKQLEKASAPPPPSPPKNQINKQLQKASAPPPPFPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.1.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINNQLQASAPTPPSPPKNQINKQLEKASAPPPPSPPKNQINKQLQNTQEALLSNSNIEVQKASAPPPPFPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.4.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.6.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINNQLQASAPTPPSPPKNQINKQLEKASAPPPPSPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun11g047300.3.v1.2 pep primary_assembly:ASM411807v1:11:7305475:7308641:-1 gene:Vigun11g047300.v1.2 transcript:Vigun11g047300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGFIAFVPFLLSSLMLHHLSPTSVISAQSDYVRRPSSPLSPPTQTGRTQSTERDSDKAPPPDTETQNEILNSDIIIETILSIPSLPSPPIQTTQAEEEALSSNSNIQIQETSSLPSPSPPPNQINKQSQMSPSPSSTSIQAQEEDLSSNSNIEVQKASSPPPPSPPKNQINKELQKASAPPPPSPPKNQINNQLQASAPPPPSPPKNQINKQLQNTQEALLSNSNIEVQKASAPPPPFPPKNQINKQLQKTQESASNPLKMVTNNDTMLHDEL >Vigun10g101500.1.v1.2 pep primary_assembly:ASM411807v1:10:29489174:29490800:1 gene:Vigun10g101500.v1.2 transcript:Vigun10g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKEYIDEIRVEDAQHVSLSICRTKPRRAKVAKKAKQKKLSKFMRCTCHLKCYNPPSLSTTSNEKDLNTIIPWHAIFVFLDPILLGVMQVEYQNKEESPFASYPIQKHVFLTAISIYGALLGIKIHTKTFGWYLENIISYGLLLSGVFSSVSLLSILLQQQLLWIVLIIWGSVPLILSHRILESSACWMIKMVAKLSWNVSDHNPSSVCGNSDICPRVEGI >Vigun07g016300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1439246:1440294:1 gene:Vigun07g016300.v1.2 transcript:Vigun07g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTLSARNQASSKVVDAPKGYLAVYVGENMKRFVIPVSHLNQPLFQDLLCQAEEEFGYDHPMGGLTIPCSEDVFQHITSCLNAQ >Vigun07g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11923941:11925500:1 gene:Vigun07g082600.v1.2 transcript:Vigun07g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQVTLVHVDDDYIDMELCSSPNFFSYSLSSPPSNREFEFQMSEKESSTSPADDLFYKGKLLPLHLPPRLQMVQKLIENSNANFEYVKTDSALERRTFPITTESNISPSESRRVSSDVIPAEYPLGLFSDMNNLIGDLPKKSWPKKLKQMKQFLLGQRLKASRAYLKTLFSKSGCSDKFCASAASNMGAEKTPFKCKECQNKYMKVGRRSPFESLYDNKQHQVTCAVMKTLKREMLEVEDDFCDHRRSFSGVAHRHCATKASSLSTSSSGSSSSSSSFSLSSAGYYDLQLFKRSISANYELESSVEGAIAHCKQSQQQCSSKNGSDDSRICSQFATKLHLLGVKE >Vigun11g154300.1.v1.2 pep primary_assembly:ASM411807v1:11:36348166:36349209:1 gene:Vigun11g154300.v1.2 transcript:Vigun11g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMRRQIFIHLLFAWLLLPASALNHATADVHAMHSAHFKLRTPPPQTAHAIPSWVNEKSRKSPSGPNPIGNQRPPSKP >Vigun05g093100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8988004:8989479:-1 gene:Vigun05g093100.v1.2 transcript:Vigun05g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPENQINVIFLPFPAPGHMIPMVDTARIFAKHGVSVTIITTPANASTFQKAIDTDFNSGYNITTRVLPFPAAELGLPQGLENAKDATARETIGKLRLGILMIKDQIELLFQELHPDCLVTDMLHPWTVESAAKLGIPRLYYYSSSYFSTCAKYSILKHNPHQGLLSHTHSFTIPELPHNIEMTPLQLEPWLRTQSSATEFFEAVYESESRSYGALYNDFCELEGDYEKLYKSTVGKSWSVGPVSAWVNKDDERKSNRGHTEDLGLEPEWLNWLNSKQNESVVYVSFGSLTRFSHSQLVELAHGLDQSGHSFIWVIRKNDESEKGESFVEEFEEKMKESKKGYIIWNWAPQLLILEHPAIAGFLTHCGWNSILESVSAGLPMITWPTYAEQFYNEKLIVEVLKIGVPVGAKENKWAGMEDCADVGREEIANAVVHLMEKEESREMRSRTRKLSDAAKKSIEKGGSSYCNLMQLLEELKSLKIAIHRPLK >VigunL021300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:2432:3392:1 gene:VigunL021300.v1.2 transcript:VigunL021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAAGIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun07g286800.1.v1.2 pep primary_assembly:ASM411807v1:7:39976303:39978178:-1 gene:Vigun07g286800.v1.2 transcript:Vigun07g286800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKLIIDSKREKVLFVEASKAVVDFLFYLLCLPIGSATRILNKDQMVGSLVNLYESVKNLDETYMEPKLRKDLMLKLIASVSSQISGLLPSIDDTSSDTSKYVFYRCPTHYGYVTCDNTTRCPYQCGNTMNSVMQFVGEKVGSFEISADKSGFVNEVVTYMVMDDLVVQPMSSISSITLLTKFNVKEVGALQEKVVDLDMNKGVNLLKASLRSKTFLTDVFLMK >Vigun09g022200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1745737:1745880:1 gene:Vigun09g022200.v1.2 transcript:Vigun09g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNTNIQRAICPTKPPPRNTASTSSSSSSGKKPPTTKDIFYPKNR >Vigun06g135500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26179910:26182233:-1 gene:Vigun06g135500.v1.2 transcript:Vigun06g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTPLSRLIYRLPQRDICGLLQSRALQTNSVSKDSLAKPRKYKIPQFYDPYGPRPPPSEKIIQLAERIGELSEEERGQIMPTLSERLNLPKLQPISTDGLDMGPEGGASGPKVEEKKAEKTAFDVKLEKFDAAAKIKVIKEVRAFTSLGLKEAKDLVEKVPVVLKQGVTKEEANNIIEKIKAAGGVAVME >Vigun04g154500.1.v1.2 pep primary_assembly:ASM411807v1:4:37392702:37393638:1 gene:Vigun04g154500.v1.2 transcript:Vigun04g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLSESVGENNVPAEAFAETTVLWTFTTINIHGQNFAYVDRHFSAAFENELQDQWTLVDTFGNTFVVTYNMDTLNPKLTNGWKDIENTYTDQLVDSYVQLRYVGGNRFQITCFVGLCEPQNKESFLLGAETHPGTALYAVKLTKSQAQASHLDLNVGFGDIIRSLQMDVVYLLGSRSGVECKLLVSRNKRSTKFGQGWRQFCVRNQLKEGDRLVFEVDHLQKQCIIEVFINGCNCDVAKSINLD >Vigun05g129800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15034428:15034895:-1 gene:Vigun05g129800.v1.2 transcript:Vigun05g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGTTEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYQAMKKIQQKTETNQLSVLRQAIRGVTPDIAVKARSVGGSTHQVPVEIGSVQGKSLAIRWLLGASRKRSGPNMAFKLSSELVDAAKGSGDAIRKKEETRRKTEANRAFAHFR >Vigun05g184700.4.v1.2 pep primary_assembly:ASM411807v1:5:35656723:35660204:1 gene:Vigun05g184700.v1.2 transcript:Vigun05g184700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATGRKPWKAFRPDGVIIFSDILTPLPAFGVDFDIEDIRGPVIHSPIRSEEGLKTLHPIDLDKLRFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLKTLLSHLTQAIADYVIFQVESGAHCIQIFDSWGGQLPPDMWERWSKPYIKEIVHLVKKKCPEVPIVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPAYLFSPLAALTEEIQRVVRCAGPRRHILNLGHGVLVGTPEEAVAHFFEVARSLQFDTFFQNNAAKDPNLVA >Vigun05g184700.3.v1.2 pep primary_assembly:ASM411807v1:5:35656723:35660204:1 gene:Vigun05g184700.v1.2 transcript:Vigun05g184700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATGRKPWKAFRPDGVIIFSDILTPLPAFGVDFDIEDIRGPVIHSPIRSEEGLKTLHPIDLDKLRFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLKTLLSHLTQAIADYVIFQVESGAHCIQIFDSWGGQLPPDMWERWSKPYIKEIVHLVKKKCPEVPIVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPAYLFSPLAALTEEIQRVVRCAGPRRHILNLGHGVLVGTPEEAVAHFFEVARSLQFDTFFQNNAAKDPNLVA >Vigun05g184700.2.v1.2 pep primary_assembly:ASM411807v1:5:35656723:35660204:1 gene:Vigun05g184700.v1.2 transcript:Vigun05g184700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQAGRYMAVYKKLAEKYPSFRERSETTDLIVEISLQPWKAFRPDGVIIFSDILTPLPAFGVDFDIEDIRGPVIHSPIRSEEGLKTLHPIDLDKLRFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLKTLLSHLTQAIADYVIFQVESGAHCIQIFDSWGGQLPPDMWERWSKPYIKEIVHLVKKKCPEVPIVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPAYLFSPLAALTEEIQRVVRCAGPRRHILNLGHGVLVGTPEEAVAHFFEVARSLQFDTFFQNNAAKDPNLVA >Vigun05g184700.1.v1.2 pep primary_assembly:ASM411807v1:5:35656723:35660204:1 gene:Vigun05g184700.v1.2 transcript:Vigun05g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVNSVLGWKYSSFFSQSNAFNVVSPPFKPPNSKFSPTCSAASSSSDPLLVKAARGDPVGRPPAWMMRQAGRYMAVYKKLAEKYPSFRERSETTDLIVEISLQPWKAFRPDGVIIFSDILTPLPAFGVDFDIEDIRGPVIHSPIRSEEGLKTLHPIDLDKLRFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLKTLLSHLTQAIADYVIFQVESGAHCIQIFDSWGGQLPPDMWERWSKPYIKEIVHLVKKKCPEVPIVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPAYLFSPLAALTEEIQRVVRCAGPRRHILNLGHGVLVGTPEEAVAHFFEVARSLQFDTFFQNNAAKDPNLVA >Vigun04g146701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36200191:36204590:-1 gene:Vigun04g146701.v1.2 transcript:Vigun04g146701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTAMSMKNPVRLGLMMFMVCVVSQVVHGEQKIRCIPEEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCSNLTSHIIGLHLPGGFPDEYVYDEVSYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSSCYFGGEIPSQFGSLSHLKYLNLASNSLNGSIPHQLGNLSQLQHLDLGYNFLEGNIPSYLGNLSRLQYLDLNCNNFEGNIASQLGNLSQLHELYLGGYGINLAHEGKWLSNLFSLTHLYLSSVSNPNPSHSWLQEIAKLPKLRQLSLVDCSLSDHFILSSTPSKFNFSTSLSVLDLSTNTFTSTMVFQWVSNITSNLIELDLSDNQLEGSISSDFVMVMNSLRHLDVSFNSFKDKDLKSIMNSCTLHSLNMAANNLTEDLSSILSNLSGGCVTHSLQEFDLSRNQITGNLLMLSGFSSLKALFLNENHLSGEIPQDIMLPPNLESLSIMDNSFEGGIPKSFGNACALRLLDMSYNSLSEEFPRIIHHLSGCARYSLEELNLGRNQINGTLSDFSIFTSLKILDVGGNMLNGWIPTNIQLPPQLEIIDIHSNYLEGVLTDYHFANVSKLHELHLSDNSLALVFTQNWVPPFQLFSIELRSCKLGPTFPKWLKTQNKFYYIDISNASISDVVPEWFWANLQLQQMISVNISCNNLRGIIPNFPPKNLLQHVSLGSNQFDGPIPQFLRNSGTLDLSKNKFSSSSLFLCLNDTIETLYQLDLSNNRLSGQIPDCWSHFKSLTYLDLSYNNFSGKIPTSMGSLFDLQALLLRNNNLISGIPLSLRSCTKLVMLDMAENKLSGLFPAWIGNSLKELQFLSLRSNNLYGTLPIQICYLRNIQLLDLSINYLFGKIPKCIKNFVAMAKKTSSERYHDYSFHIGEDHGTGSYELNEILTWKGSKQMFMNEELSLLKSIDLSSNHFSKEIPMEIVDLFELVSLNLSRNNLIGKIPSNIGKLASLEFLDLSRNKLVGSIPTSLAQINRLTMLDLSHNYLSGEIPIGTQLQSFNASSYENNFDLCGLPLEKLCIKPAQDKNVKIHQDEYSFLDNDFLISMAFGFVISFVMVFFSILFKRSWRHAYFKFLNKLADNIYVKVAVFR >Vigun05g294400.1.v1.2 pep primary_assembly:ASM411807v1:5:48007434:48008859:1 gene:Vigun05g294400.v1.2 transcript:Vigun05g294400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQGLVLTSAMLLSTTLLYVAFSRQKSNLSFRIHHSNKPTLRSCLYSEEKKRERKKKKKKKVKFADSVKKEGRERKEENRVSKNCRDESSDCVGMPANRIALYNGILRERVHRTECSY >Vigun05g193000.4.v1.2 pep primary_assembly:ASM411807v1:5:37468397:37472046:1 gene:Vigun05g193000.v1.2 transcript:Vigun05g193000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNIEKKWLYPFLVCSAICMLFLVTSFNMGLVSSIHSINSLFFFLPSHLRSNQTSSFSVEKRTTPAPAPAKSGIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHMDLESPIEERNEIAHRIERQHVFAEIGNVYVITKSNMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRGLNFIEHTSQLGWKFDKRAMPLIVDPGLYMSNKSDVFWVEPNRPLPTAFKLFTGSAWMVLSHDFVEYIIWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELAKTIVNNDLHFISWDNPPQQHPHVLNINDTDKMFESGAAFARKFNQDDPSLDVIDKKILRRRNGLFPLGGWCTGRPKCSEIGNIYKLKPGPGSQRLHRHVARLILKAKSGEDQCK >Vigun05g193000.2.v1.2 pep primary_assembly:ASM411807v1:5:37468395:37472078:1 gene:Vigun05g193000.v1.2 transcript:Vigun05g193000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNIEKKWLYPFLVCSAICMLFLVTSFNMGLVSSIHSINSLFFFLPSHLRSNQTSSFSVEKRTTPAPAPAKSGIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHMDLESPIEERNEIAHRIERQHVFAEIGNVYVITKSNMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRGLNFIEHTSQLGWKFDKRAMPLIVDPGLYMSNKSDVFWVEPNRPLPTAFKLFTGSAWMVLSHDFVEYIIWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELAKTIVNNDLHFISWDNPPQQHPHVLNINDTDKMFESGAAFARKFNQDDPSLDVIDKKILRRRNGLFPLGGWCTGRPKCSEIGNIYKLKPGPGSQRLHRHVARLILKAKSGEDQCK >Vigun05g193000.1.v1.2 pep primary_assembly:ASM411807v1:5:37468394:37472048:1 gene:Vigun05g193000.v1.2 transcript:Vigun05g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNIEKKWLYPFLVCSAICMLFLVTSFNMGLVSSIHSINSLFFFLPSHLRSNQTSSFSVEKRTTPAPAPAKSGIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHMDLESPIEERNEIAHRIERQHVFAEIGNVYVITKSNMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRGLNFIEHTSQLGWKFDKRAMPLIVDPGLYMSNKSDVFWVEPNRPLPTAFKLFTGSAWMVLSHDFVEYIIWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELAKTIVNNDLHFISWDNPPQQHPHVLNINDTDKMFESGAAFARKFNQDDPSLDVIDKKILRRRNGLFPLGGWCTGRPKCSEIGNIYKLKPGPGSQRLHRHVARLILKAKSGEDQCK >Vigun05g193000.5.v1.2 pep primary_assembly:ASM411807v1:5:37468782:37472046:1 gene:Vigun05g193000.v1.2 transcript:Vigun05g193000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNIEKKWLYPFLVCSAICMLFLVTSFNMGLVSSIHSINSLFFFLPSHLRSNQTSSFSVEKRTTPAPAPAKSGIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHMDLESPIEERNEIAHRIERQHVFAEIGNVYVITKSNMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRGLNFIEHTSQLGWKFDKRAMPLIVDPGLYMSNKSDVFWVEPNRPLPTAFKLFTGSAWMVLSHDFVEYIIWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELAKTIVNNDLHFISWDNPPQQHPHVLNINDTDKMFESGAAFARKFNQDDPSLDVIDKKILRRRNGLFPLGGWCTGRPKCSEIGNIYKLKPGPGSQRLHRHVARLILKAKSGEDQCK >Vigun05g193000.3.v1.2 pep primary_assembly:ASM411807v1:5:37468497:37472046:1 gene:Vigun05g193000.v1.2 transcript:Vigun05g193000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNIEKKWLYPFLVCSAICMLFLVTSFNMGLVSSIHSINSLFFFLPSHLRSNQTSSFSVEKRTTPAPAPAKSGIPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHMDLESPIEERNEIAHRIERQHVFAEIGNVYVITKSNMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYTFSDLDRGLNFIEHTSQLGWKFDKRAMPLIVDPGLYMSNKSDVFWVEPNRPLPTAFKLFTGSAWMVLSHDFVEYIIWGWDNLPRTLLMYYTNFLSSPEGYFQTVACNVPELAKTIVNNDLHFISWDNPPQQHPHVLNINDTDKMFESGAAFARKFNQDDPSLDVIDKKILRRRNGLFPLGGWCTGRPKCSEIGNIYKLKPGPGSQRLHRHVARLILKAKSGEDQCK >Vigun06g033700.2.v1.2 pep primary_assembly:ASM411807v1:6:14237163:14241359:-1 gene:Vigun06g033700.v1.2 transcript:Vigun06g033700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVENMTFKSYQDRANLFVKEYLLADSLIPYTSVISGMLACKMVFDLTQFLGSNYFKIHSNFSKVQRIEWNNRAVSTTHAIFITTISLYLVFCSTLFRDNSSSELVTVRRSTLTTFALGVSVGYFISDLGTILWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTFMVLISETTTPGINLRWYLDVAGMKKSKAYLINGVVIFISWLVARILLFIYMFYHVYLHFDQVEQMHPFGQILVVVVPVVLSVMNLVWFAKIIKGLRKTLAKRQ >Vigun06g033700.4.v1.2 pep primary_assembly:ASM411807v1:6:14237162:14241360:-1 gene:Vigun06g033700.v1.2 transcript:Vigun06g033700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVENMTFKSYQDRANLFVKEYLLADSLIPYTSVISGMLACKMVFDLTQFLGSNYFKIHSNFSKVQRIEWNNRAVSTTHAIFITTISLYLVFCSTLFRDNSSSELVTVRRSTLTTFALGVSVGYFISDLGTILWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTFMVLISETTTPGINLRWYLDVAGMKKSKAYLINGVVIFISWLVEQMHPFGQILVVVVPVVLSVMNLVWFAKIIKGLRKTLAKRQ >Vigun06g033700.1.v1.2 pep primary_assembly:ASM411807v1:6:14237172:14241325:-1 gene:Vigun06g033700.v1.2 transcript:Vigun06g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVENMTFKSYQDRANLFVKEYLLADSLIPYTSVISGMLACKMVFDLTQFLGSNYFKIHSNFSKVQRIEWNNRAVSTTHAIFITTISLYLVFCSTLFRDNSSSELVTVRRSTLTTFALGVSVGYFISDLGTILWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTFMVLISETTTPGINLRWYLDVAGMKKSKAYLINGVVIFISWLVARILLFIYMFYHVYLHFDQVEQMHPFGQILVVVVPVVLSVMNLVWFAKIIKGLRKTLAKRQ >Vigun06g033700.3.v1.2 pep primary_assembly:ASM411807v1:6:14237163:14241359:-1 gene:Vigun06g033700.v1.2 transcript:Vigun06g033700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVENMTFKSYQDRANLFVKEYLLADSLIPYTSVISGMLACKMVFDLTQFLGSNYFKIHSNFSKVQRIEWNNRAVSTTHAIFITTISLYLVFCSTLFRDNSSSELVTVRRSTLTTFALGVSVGYFISDLGTILWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTFMVLISETTTPGINLRWYLDVAGMKKSKAYLINGVVIFISWLVARILLFIYMFYHVYLHFDQVEQMHPFGQILVVVVPVVLSVMNLVWFAKIIKGLRKTLAKRQ >Vigun06g033700.5.v1.2 pep primary_assembly:ASM411807v1:6:14237162:14241360:-1 gene:Vigun06g033700.v1.2 transcript:Vigun06g033700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVENMTFKSYQDRANLFVKEYLLADSLIPYTSVISGMLACKMVFDLTQFLGSNYFKIHSNFSKVQRIEWNNRAVSTTHAIFITTISLYLVFCSTLFRDNSSSELVTVRRSTLTTFALGVSVGYFISDLGTILWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTFMVLISETTTPGINLRWYLDVAGMKKSKAYLINGVVIFISWLVEQMHPFGQILVVVVPVVLSVMNLVWFAKIIKGLRKTLAKRQ >Vigun04g029600.1.v1.2 pep primary_assembly:ASM411807v1:4:2302232:2307396:1 gene:Vigun04g029600.v1.2 transcript:Vigun04g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWKKEITEESKKQLWLAGPMIFVCVFQFSLQLISLMFVGHLNELFLASVSLSTSIVNATGYNVMMGLSSALDTFCGQAYGAKQYQMVGVHTQGAMVVLILVSIPMSIIWVFLEPILILLHQNKEVAALAQLYARYLIPSLSANALLRCITKFLQTQNIVFPMVLATGLTSLLHLLLCWLFIQKLDYGIKGSAIAICISNWFNTIIFALYIRLSPSCKQTWTGFSKESLHNIPKFLRLALPSAVMVCLESWTFEIIVILSGALANAKLETSVLSICLNTTGIFWMIPFGVSVAGSTRISNELGAGKPKAAYLAVKVTMFLALLVGLVEFSVLMSLWKVWGRVFTNVHEVLTYVISMMPIVASAAFVDSIQTAFQGVARGCGWQKLGAFINLGSYYILGLPFSVVSAFVFHMKGQGLYLGIVLALTVQVVCFLLVTLRANWEKEAKKAAARVGGNGVQIEDQNVHTSS >Vigun05g108400.1.v1.2 pep primary_assembly:ASM411807v1:5:11111883:11113875:1 gene:Vigun05g108400.v1.2 transcript:Vigun05g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAPSNEQVYKVPLKVFVDRNENKVLFAEARKDFVDVLLSFLALPLGTIARLVAKESNIPPVKVGSLSSLYESVSHIEEEHLWTKTCKEMLLHPRTSMESYCQLLKLNIDDTHPTKYFLCEDLGCSRKTNGSLLSIFNNQRCSCGKLMNRIVYPEVITLQKGFVKETATFIICDDLSVLPNVLVTIVNLLQNLGVKDMGAIEEQTVDISKREVVDLLKLSLISKNPLTDFVFEKKPRVDDFNPINQSWFERGDESPDEGRKIVVRVLVRKSNEKIVFADAEEDFADLVLSFLTLPLGGVLHMLEGNSCLSCIDKLYKSISELSPDRYLRSQIIKEELANPKCAPLFSISDQILPIGEVSLPVYYCNTFIHGKERHVICSTSPRPRIYGYDMSSRLEIFDPKSCIGDSRSGKGFAKGPSTFVVTDDLAVTPMSSISAVSFLNRSQVPLYDLEERVISIGVKEGLAILKASLTSTSALTEGLQQQFTKVIELEI >Vigun08g163300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33589851:33591321:-1 gene:Vigun08g163300.v1.2 transcript:Vigun08g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWLNSPNRFRNAPPPSPSPSSSPSHSRSRSLSFSCSSFKDIQSLLQEKPESSPAAPKSPSLFRRVRISTAVLRALGASRATVPAALPPGLDQGVVVYFTSLRVVRRTFDDCRAVRSILRGLRVAVDERDVSIDDRFRDELHAVLGRRSNLALPRVFVGGVYIGGADDVRQLHESGELHRLIERLPRSNQNACDCCGGFRFVVCDECNGSHKIFTEKNGFRSCPSCNPNGLIRCPSCFFVHPRHTK >Vigun10g119200.4.v1.2 pep primary_assembly:ASM411807v1:10:32538804:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGRTETSEKHWTPVCQRGDRTVLTTWRPLEWKH >Vigun10g119200.2.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYCDT >Vigun10g119200.13.v1.2 pep primary_assembly:ASM411807v1:10:32538790:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYLKQNSVCLGVLALEAHSMILILR >Vigun10g119200.6.v1.2 pep primary_assembly:ASM411807v1:10:32541117:32544122:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGKARWQAMKWILRYLNGLANRCLVYERGTNNRHVNGFCDLDYAGYLDYRRSLT >Vigun10g119200.9.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32543795:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYLKQNSVCLGVLALEAHSMILILR >Vigun10g119200.3.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGRTETSEKHWTPVCQRGDRTVLTTWRPLEWKH >Vigun10g119200.5.v1.2 pep primary_assembly:ASM411807v1:10:32539919:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYCKSSIFKEVLYGS >Vigun10g119200.8.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYLKQNSVCLGVLALEAHSMILILR >Vigun10g119200.12.v1.2 pep primary_assembly:ASM411807v1:10:32541117:32544122:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGKARWQAMKWILRYLNGLANRCLVYERGTNNRHVNGFCDLDYAGYLDYRRSLT >Vigun10g119200.7.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYCDT >Vigun10g119200.14.v1.2 pep primary_assembly:ASM411807v1:10:32538790:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYLKQNSVCLGVLALEAHSMILILR >Vigun10g119200.10.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKETSEKHWTPVCQRGDRTVLTTWRPLEWKH >Vigun10g119200.11.v1.2 pep primary_assembly:ASM411807v1:10:32539919:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYCKSSIFKEVLYGS >Vigun10g119200.1.v1.2 pep primary_assembly:ASM411807v1:10:32538623:32544121:-1 gene:Vigun10g119200.v1.2 transcript:Vigun10g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPCFPSSLTKTTKSSPKFSMSMSEDPVREWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDRFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYLKQNSVCLGVLALEAHSMILILR >Vigun01g225400.1.v1.2 pep primary_assembly:ASM411807v1:1:39831930:39835729:-1 gene:Vigun01g225400.v1.2 transcript:Vigun01g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDRTVLQFSSSSSSSQSFTAKVHPLVIFNICDCYVRRPDQADRVIGTLLGSVLPDGTVDIRNSYAVPHNESIEQVALDIEYHHNMLISHQKVNPKEIIVGWYSTGLGVTGGSALIHEFYSREVQNPIHLTVDTGFTNGGGTIKAYVSNNLSLGERQIAAQFQEIPLDLRMVEAERIGFDMLKATAVDKIPSDLEGMEASMQHLLVLIDDIYKYVDDVVEGRVAPDNKIGRFISDAVGSLPKVSPRVFDKLVNDSLQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >Vigun11g024500.1.v1.2 pep primary_assembly:ASM411807v1:11:3125103:3127314:-1 gene:Vigun11g024500.v1.2 transcript:Vigun11g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARVSLLLGILFLASLSVSFGISHHREHQETQEESQNNPFYFNSETSYHTLFKNQYGHLRVLHRFDQSSKQLQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLLNPDGRDSYILEQGHAQKIPAGTTFFLVNPHDNENLRIIKLAIPVNNPHRFQDFFLSSTEAQQSYLQGFSKDILEASFDSEFKEINRVLFGEEGEQQQQQEGVIVELKKEQIRELMKHAKSSSKKTLSSQNEPFNLRNQKPVYSNRFGRLHEITPEKNPQLKDLDVFLSSVDIKEGGLLMPNYNTKAIVILVVNKGEANIEVVGLREQQQQQHHQQEESWEVQRYRAELSENDVFVIPAAYPVAINATSNMNFIAFGINAENNQRNFLAGEKDNVISEIPREVLEVAFPGPGEKVVKVIKNQKESYFVDAQPEQKEEQSKGRKGPLSSILDTLY >Vigun11g192100.2.v1.2 pep primary_assembly:ASM411807v1:11:39125374:39128711:1 gene:Vigun11g192100.v1.2 transcript:Vigun11g192100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGITCKTRAYAFEGNRVLPWVYVPSCFFRSGQVHKAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun11g192100.4.v1.2 pep primary_assembly:ASM411807v1:11:39125396:39128751:1 gene:Vigun11g192100.v1.2 transcript:Vigun11g192100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGITCKTRAYAFEGNRVLPWVYVPSCFFRSGQVHKAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun11g192100.1.v1.2 pep primary_assembly:ASM411807v1:11:39125363:39128711:1 gene:Vigun11g192100.v1.2 transcript:Vigun11g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGITCKTRAYAFEGNRVLPWVYVPSCFFRSGQVHKAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun11g192100.5.v1.2 pep primary_assembly:ASM411807v1:11:39125396:39128751:1 gene:Vigun11g192100.v1.2 transcript:Vigun11g192100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGITCKTRAYAFEGNRVLPWVYVPSCFFRSGQVHKAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun11g192100.3.v1.2 pep primary_assembly:ASM411807v1:11:39125396:39128645:1 gene:Vigun11g192100.v1.2 transcript:Vigun11g192100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMHFRDFKAYNSRRLCSWSLGNMLKQAIGITCKTRAYAFEGNRVLPWVYVPSCFFRSGQVHKAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun03g027700.5.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078522:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKAEMGIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDQDWLHLTALIHDLGKILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYRKVDILT >Vigun03g027700.2.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078530:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKAEMGIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDQDWLHLTALIHDLGKILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYPLHREGGYTHLMNEEDVENLKWLKVFNKYDLYSKSKVLVDVEKVKPYYLSLIEKVGTFILFCRCCCLYIWGSYFLLIWPLALQYFPAKLRW >Vigun03g027700.4.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078015:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKAEMGIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDQDWLHLTALIHDLGKILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYPLHREGGYTHLMNEEDVENLKWLKVFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPAKLRW >Vigun03g027700.3.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078015:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKAEMGIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDQDWLHLTALIHDLGKILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYPLHREGGYTHLMNEEDVENLKWLKVFNKYDLYSKSKVLVDVEKVKPYYLSLIEKVGTFILFCRCCCLYIWGSYFLLIWPLALQYFPAKLRW >Vigun03g027700.1.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078530:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKAEMGIWECCEMLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDQDWLHLTALIHDLGKILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYPLHREGGYTHLMNEEDVENLKWLKVFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPAKLRW >Vigun03g027700.6.v1.2 pep primary_assembly:ASM411807v1:3:2076327:2078413:-1 gene:Vigun03g027700.v1.2 transcript:Vigun03g027700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLPRFGSLPQWAVVGDTFPLGCAFDESNIHHKYFKNNPDCQCPAYRTKNGIYTEGCGLHNVMMSWGHDEYMYLVAKKNGSTLPSPALFIIRFHSFYPLHREGGYTHLMNEEDVENLKWLKVFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPAKLRW >Vigun07g032200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3027999:3030287:-1 gene:Vigun07g032200.v1.2 transcript:Vigun07g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPIFQPLVPLSILPSYSCPLHILPPNNGFHPIFPNPSQSLKLVKPQCCAVLPCNQNNTALLDDWSQLLQFSIGSQDYMLAKTIHGSLIKSGREGDLFVDNNLVNLYSKFNNMNDAQRIFDEMPVKSTVTWTTLMKGYLKNGDVESVLCIARDMCMVDEKFNEHTCSVVLQACRSPEDRFFGEQVHAFVVKSGLQENVVVATSLVSMYSRSGYLGCAERVFDGITVKDAQCINYMILEYGKAGLGDKAFQIFVDMLKSGLKPSNYTFTNLISACDFSGGLYVGKQLHGLAVNYGFMCETSVRNAMITMYGQHGMVEEAERVFAELDERSLISWSALLSVFVKNGHANKAFEFFLNMIQIGVSLDSGCFSTVLDGCSEWNNLKFGVQIHGLTVKLGQVSDVNSGTALVDLYAKCGSLKSARAIFDRLRNKTIASFNAILVGYLNSKVRDDEEDPMVFFSKLRFSGVKPDGVTFSRLFCLSANQACLVTGKSLHAYAIKVGLEDDTAVGNAVITMYAKCGSVQDAYQIFSSMNRDCVTWNAIISAYALHGEGNHVLLLFEEMKEQGFAPDGITILAVLQACSYSGLWETGLYLFNEIQSKYGITPVIEHYSCVIDLLGRARNLSKAIDIINKSPFPDSVLLWRTFVNACKQCGDLQLGMWASRKLLDLAPHDASSYILISNMYTEGGMFEEAAKVRTAMNDLKLTKETGSSWIEIDNEVHYFIASDKDHPQSREIYANLDLLKDELCWTCGNRNNVELISNSL >Vigun08g119400.1.v1.2 pep primary_assembly:ASM411807v1:8:28704997:28710085:1 gene:Vigun08g119400.v1.2 transcript:Vigun08g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTRRTAFPKVLIERDSESEQSSSEEEGEEEEERILEEEEEEDDDDDDDGVTTTSEKTENLEVGSDANRKGKAPITISLKKVCKVCKKHGHEAGFKGATYIDCPMKPCFLCKKPGHTTLTCPHRVSTEHGVVPAPRKKTCKPLEYVFERQLRPSLPSIKPKYVIPDQVNCAVIRYHSRRVTCLEFHPTKNNILLSGDKKGQLGVWDFGKVYEKVVYGNIHSCLLNNMRFNPTNDCMVYSASSDGTISCTDLETGLSSSPMNLNPDGWQGPNTWKMIYGMDINCEKGLVLVADSFGFLYMVDMRSNHRNGDAILIHKRGTKVVGIHCNPIQPDIVLTCGNDHFARIWDLRYIEAGSSLYDLKHNRVVNSAYFSPFSGTKILTTSQDNRLHIWDSIFGNMDSPSREIVHSHDFNRHLTPFKAEWDPKDPSESLAVIGRYISENYNGAALHPIDFIDTSTGQLVAEVIDPNITTISPVNKLHPREDILATGSSRSLFIWKPKEKSELVEEKDERKFVVCGRAEKKRGKKKGDISDESDDEGLMSSKSKKFKSTKTKNKH >Vigun01g058500.2.v1.2 pep primary_assembly:ASM411807v1:1:12021787:12022776:-1 gene:Vigun01g058500.v1.2 transcript:Vigun01g058500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEDGTTAAGCQPYACASLSRRASCQGNHSIFFLLGPKLGSTHPPKTTIRRRGFHRNHATLPPYHRHFSPYNITVIVAIRDGRWRVRMYMMVSAIF >Vigun01g058500.1.v1.2 pep primary_assembly:ASM411807v1:1:12012131:12022776:-1 gene:Vigun01g058500.v1.2 transcript:Vigun01g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEDGTTAAGCQPYACASLSRRASCQGNHSIFFLLGPKLGSTHPPKTTIRRRGFHRNHATLPPYHRHFSPYNITVIVAIRDGRWRVRMYMMVIKRK >Vigun01g058500.3.v1.2 pep primary_assembly:ASM411807v1:1:12017475:12022700:-1 gene:Vigun01g058500.v1.2 transcript:Vigun01g058500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEDGTTAAGCQPYACASLSRRASCQGNHSIFFLLGPKLGSTHPPKTTIRRRGFHRNHATLPPYHRHFSPYNITVIVAIRDGRWRVRMYMMEQLAPTVGHETNTFSTRFFSEDGFYPQ >Vigun05g062800.1.v1.2 pep primary_assembly:ASM411807v1:5:5411218:5411694:-1 gene:Vigun05g062800.v1.2 transcript:Vigun05g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSSSSYCNNCMQRSVPASRTQALRPICDCGQAAVLRTTRTPRNVGRKLWGCANYKRQSEGGGVCCNFFKWWYEDVDEEKEVIIVNQNMKIEDLENVVRDLKKCFNVLAVVVSIVGLINVVMLALMLKD >Vigun03g047500.1.v1.2 pep primary_assembly:ASM411807v1:3:3785498:3787002:-1 gene:Vigun03g047500.v1.2 transcript:Vigun03g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGTLEVFLEYAKGLENTDFLSDMDPYVILTCRTQEQKSSVQSGKGSNPKWNENFVFNVSEGVNELRLKIMDSDAMSADDLVGEVTITLDALFNEGSIPPTSYNVVKNDSFCGEIRVGLTFKRQERRERSIEEDFGGWKESSCTY >Vigun05g249000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44261726:44262827:1 gene:Vigun05g249000.v1.2 transcript:Vigun05g249000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVTVFPVFLLFLFLSLHLVLSEQPSPSPSPSPSPSPSFHAPKPSPASSPIGSPLPSRSPGFSPAPSPSPGSSPAPSPGSSQGDNIHDDEDERAENLSRGGLSWSKKAGLGIGVIVAASVVILAGMVYKKRKQNIRRSRYAYAVRREFL >Vigun09g012900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:965494:966847:1 gene:Vigun09g012900.v1.2 transcript:Vigun09g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKNNEVGDGMQCIHHPHHTTNTTTTTINPGAICALCLQEKLGKLLSSSFPSPPSLSSSSSSPPSPSPSFTPPPPPSLKTNHHTRSRIPFLLPNKNTTNSSSSGNIIFKRSKSTVTSTRSHTHFLHHHHHFSPRKRNGFWSFFYPSSKTPNGKHKDQRKSDHVIIEEEKCLGTSSSSSKVSRSRSVGCGSRSFSSDFFDRISSGLADCTLRRVESQREGKHKVVASVSSASSSSSSSSASSAVNHCMKERVRCGGIFGGFAVTSSSSSAWVSSAVDDGRGRSWGWAFASPIRAFTTKGSSSSSSSSKRDASDKNATPNLSAIPSLLTVRG >Vigun09g170700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34020137:34026260:-1 gene:Vigun09g170700.v1.2 transcript:Vigun09g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLRNKSQGRKSSPCLLSCARKNMKDVNVKKCSSKASEKKDWEDATCSVCMEVPHNAILLLCSSYDKGCRPYMCATSHRYSNCFEQYKKAYTKATSVQSLQLEANNSNLDLSAGESKDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGNYKELRKHVKSKHPFARPREVDPIKEEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNDHAFYSDEYDSDDEYLEDDFFSVRSIGLGRTGHFLPNIRYNQDRAGDSFDVDRFGFQSVASAGSAAVSGRRLHRILIGRSRRRRRHRIATARR >Vigun09g170700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34020077:34026370:-1 gene:Vigun09g170700.v1.2 transcript:Vigun09g170700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLRNKSQGRKSSPCLLSCARKNMKDVNVKKCSSKASEKKDWEDATCSVCMEVPHNAILLLCSSYDKGCRPYMCATSHRYSNCFEQYKKAYTKATSVQSLQLEANNSNLDLSAGESKDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGNYKELRKHVKSKHPFARPREVDPIKEEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNDHAFYSDEYDSDDEYLEDDFFSVRSIGLGRTGHFLPNIRYNQDRAGDSFDVDRFGFQSVASAGSAAVSGRRLHRILIGRSRRRRRHRIATARR >Vigun09g170700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34020137:34026268:-1 gene:Vigun09g170700.v1.2 transcript:Vigun09g170700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLRNKSQGRKSSPCLLSCARKNMKDVNVKKCSSKASEKKDWEDATCSVCMEVPHNAILLLCSSYDKGCRPYMCATSHRYSNCFEQYKKAYTKATSVQSLQLEANNSNLDLSAGESKDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGNYKELRKHVKSKHPFARPREVDPIKEEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNDHAFYSDEYDSDDEYLEDDFFSVRSIGLGRTGHFLPNIRYNQDRAGDSFDVDRFGFQSVASAGSAAVSGRRLHRILIGRSRRRRRHRIATARR >Vigun09g170700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34020077:34026370:-1 gene:Vigun09g170700.v1.2 transcript:Vigun09g170700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLRNKSQGRKSSPCLLSCARKNMKDVNVKKCSSKASEKKDWEDATCSVCMEVPHNAILLLCSSYDKGCRPYMCATSHRYSNCFEQYKKAYTKATSVQSLQLEANNSNLDLSAGESKDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGNYKELRKHVKSKHPFARPREVDPIKEEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNDHAFYSDEYDSDDEYLEDDFFSVRSIGLGRTGHFLPNIRYNQDRAGDSFDVDRFGFQSVASAGSAAVSGRRLHRILIGRSRRRRRHRIATARR >Vigun09g170700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34020137:34026289:-1 gene:Vigun09g170700.v1.2 transcript:Vigun09g170700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLRNKSQGRKSSPCLLSCARKNMKDVNVKKCSSKASEKKDWEDATCSVCMEVPHNAILLLCSSYDKGCRPYMCATSHRYSNCFEQYKKAYTKATSVQSLQLEANNSNLDLSAGESKDNTEVPELLCPLCRRQVKGWTVVEAARKSLNAKKRSCMQDDCSFVGNYKELRKHVKSKHPFARPREVDPIKEEKWKRFECERERNDVISTILSSTPGAMVLGDYVLEPNDHAFYSDEYDSDDEYLEDDFFSVRSIGLGRTGHFLPNIRYNQDRAGDSFDVDRFGFQSVASAGSAAVSGRRLHRILIGRSRRRRRHRIATARR >Vigun11g042800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6151009:6151380:-1 gene:Vigun11g042800.v1.2 transcript:Vigun11g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRKLSRVGDSSQYTLLRSDSRRPRRAGSLRRHVGVPVGHVPVYVGEEMERFVVSAELLNHPVFVKLLNRSAQEYGYEQKGVLRIPCHVIVFQRVLEALRLGRNSLQDIHDLLTSSQEFS >Vigun09g086800.2.v1.2 pep primary_assembly:ASM411807v1:9:11541805:11547766:1 gene:Vigun09g086800.v1.2 transcript:Vigun09g086800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQESHATDKIGAVDSKQESDGTLTAVQTLASTALCGVIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRKDLGHKLFLPWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVEEFGVPKNQREGTNQIALQSSWLFGNGMFALVLSFGLLFTGLQSRKARSWRYGTGWLRGFIADYGVPLMVLVWTAVSYIPVNEVPRGIPRRLFSPNPWSPGAYSNWTVVKEMLNVPILYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLISTARKSMRRNVNLSQLYQNMKEAYDEMQTPLVPQMPPTLGLKELKESTIELASSHGYIDAPVDEVVFDVNKDVDDLLPVEVKEQRLSNLLQALMVAACVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTPPSRRYKLLEEYHATFVETVPFKTVAMFTVFQTVYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYCLPKFFKGAHLQELDAAAYEEAPAIAFNMSFEGPGGQAPTVNISGGEILDEVITRSRGEIRRTQSPKTTSSTPTSTGDIRPASSPRIGRSIPSPRVTELRGESSLRPTGKEIKLIQTPSPRSPVIGKVTKGSPSS >Vigun09g086800.1.v1.2 pep primary_assembly:ASM411807v1:9:11541805:11547766:1 gene:Vigun09g086800.v1.2 transcript:Vigun09g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKARITCYRQDWSSGFQAGVRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGVIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRKDLGHKLFLPWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVEEFGVPKNQREGTNQIALQSSWLFGNGMFALVLSFGLLFTGLQSRKARSWRYGTGWLRGFIADYGVPLMVLVWTAVSYIPVNEVPRGIPRRLFSPNPWSPGAYSNWTVVKEMLNVPILYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLISTARKSMRRNVNLSQLYQNMKEAYDEMQTPLVPQMPPTLGLKELKESTIELASSHGYIDAPVDEVVFDVNKDVDDLLPVEVKEQRLSNLLQALMVAACVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTPPSRRYKLLEEYHATFVETVPFKTVAMFTVFQTVYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYCLPKFFKGAHLQELDAAAYEEAPAIAFNMSFEGPGGQAPTVNISGGEILDEVITRSRGEIRRTQSPKTTSSTPTSTGDIRPASSPRIGRSIPSPRVTELRGESSLRPTGKEIKLIQTPSPRSPVIGKVTKGSPSS >Vigun03g408300.1.v1.2 pep primary_assembly:ASM411807v1:3:61528818:61538417:1 gene:Vigun03g408300.v1.2 transcript:Vigun03g408300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVGADEIESLRIELGEIGRSIRSSFRSHASSFQSISSINPVEQDDNEETEVLQWAELQRLPTCERITSALFDVYDGMETDEKSKGKQVVDVTKLGAQERHMFIEKLIKHIENDNLLLLQKLRKRIDKVGIKLPTVEVKYHNLCVEAECRIVKGKPIPTLWNTLKEFILDTTKLSVLNSQDLKKSIIKSASGIIKPRRMTLLLGPPASGKTTLLLALAGKLSHSLKVQGDISYNGHILEEFIPQKSSAYVSQYDLHIPEMTVRETVDFSARCQGVGSRAELLMEVSRREKEAGIVPDPDLDAYMKATSINGLKSSLQTDYILKILGLDICADTLVGDPIRRGISGGEKKRLTTGEMIVGPTKALFMDEISNGLDSSTTFQIISCIQHLVHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRDCILEFFEDCGFKCPQRKGTADFLQEVISNKDQAQYWSSTEKPYSYVSIDQFIKKFKDSPLGKKLEEELLKPFDKSQSHNNALVSKKYSLSKWELFKACIMREILLMKRNSFVYVFKSTQLVIVAFVAMTVFIRTRMAVDVVHGNYFMGSLFYSLVILLVDGFPELSMTVSRLAVIYKQKELYFFPAWAYTIPSAVLKIPLSLLESFIWTSLSYYVIGYSPEIGRFFRQFLLLFVIHMTSVSMFRFIASIFQTVVASVTAGTVAIVFVLLFGGFIIPKPYMPSWLRWGFWVSPVSYGEIGLTVNEFLAPRWEKLSANSTMGQQVLDNRGLNFDGYFYWISIAALIGFTVLFNVGFTLMLTFLNAPARSRTLVSSEKHPELQKQQENNSSIGADKKPVTSLIENTVETRKRGLVLPFQPLAVAFHDVQYYVDTPLEMRNRGFTERRLQLLSDITGSFRPGILTALMGVSGAGKTTLMDVLCGRKTGGIIEGEIRIGGYPKVQETFARVSGYCEQNDIHSPNITVEESVMFSAWLRLPSEIDAKTKAEFVNEVIHTIELDGVKDSLVGMPNISGLSTEQRKRLTIAIELVANPSIIFMDEPTTGLDARAAAVVMRAVKNVVGTGRTVACTIHQPSIDIFEAFDEVILMKAGGRLIYAGPLGKHSSRVIEYFERIPGVQKIKDNYNPSTWMLEVTSRSAESELGVDFAQIYRESTLYEQNKELVQQLSTPPPDSRDLYFPSHFPQKGWEQFKACLWKQHLSYWRSPSYNLTRITFVTALSLLFGILFWKKGKKINSQQDVFNIFGAMYSAILFFGINNCSSVLPYVATERTVLYREKFAGMYSPWAYSFAQVLIEIPYIVIQVVLYVIITYPMLGYDWSAYKVFWSLYSMFCNLLYFNYLGMLLVSLTPNVQLASIVASSSYTMVNLFCGYFVPRPQIPKWWIWMYYLCPMSWALNGMLTSQYGDADKEISAFEEKKTIVQFLEDYYGFHHDFLSVTGVVLIVFPISIAILFAYCIGKLNFQKR >Vigun02g140200.1.v1.2 pep primary_assembly:ASM411807v1:2:28909431:28910452:1 gene:Vigun02g140200.v1.2 transcript:Vigun02g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKRRLVLIHGAYHGAWCWYKVSTLLNSAGLQVTSLDMPASGIDPKQVLDITSVSEYVEPLFQFLRSLPAEERVILVGHSFGGLCISLAMESFPHKIAAAVFLTGWMPGPHLSYLSLMQEFKHRLSLKFNLGAKTVSDENTNSDDPKPYMTCDLQNLASNVYQQSPPEDLTLAFSLLRPFPIFGDEDLRENTQLTEEKHGTVARVYIVCEQDKIMEQDFQVSIVERNPPNEVKVIAGADHMPMLSKPQELFSYLQQIADNYY >Vigun05g121000.1.v1.2 pep primary_assembly:ASM411807v1:5:13279065:13283917:-1 gene:Vigun05g121000.v1.2 transcript:Vigun05g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKEGNDESATWILESIQIQPVERSIRDDDEEASLNASPVLKSPSEGSLNNVRNRNANQGNGGRKMVRVESGAARGIKGLRFLDRTVTGKEADAWRSIEKRFTQHAVDGKLSKDKFGICMGMGAESKDFAGELYEALARRRKISAENGITLAEARVFWEDMTSKDLESRLQVFFDMCDKNGDGRLSEEEVKEVIVLSASANKLGNLKTNASGYAALIMEELDPDHNGYIEMWQLETLLKEMVSSEDGPKKISNPKAMNLSKAMIPSKYRSPMSKYVSKITEFALDKWKVIWVVALWLVINLVLYIWKFKQYRQKGAYEVMGYCVCFAKGAAETLKLNMALIVLTMCRRTLTKLRESFLSRIIPFDDNINFHKMIAVAVVIGTLIHATVHFTCDFPRLVSYPNEKFMAILGDGFNYKQPDYISLVKSTPGLTGIVMVLLMAFSFTLATHSFRKSVVKLPAPLHRLAGFNSFWYAHHLLIVVYICLVIHGYYLFLTKEWQKKTTWMYLVIPISLYAFERIHPFFKGKDHRVNIIKAIVYTGNVLALYMTKPQGFKYKSGMYIFIKCPDISSFEWHPFSITSAPGDEYLSVHVRTLGDWTTELKNKFTKVCEPHTAQTRRGSLMRMETRAPSSGFPQKQSIRYPKILVKGPYGAPAQSYKNYDVLLLIGLGIGATPMISILKDMLNHIKLGTPVEVSDKDSIHANHSDEAKKGPERAYFYWVTREQSSFDWFKGVMDDIADYDRDGIIEMHNYLTSVYEEGDARSALIAMIQKLQHAKNGVDVVSESRIRTHFARPNWKKEFSKLANTHQTSRIGVFYCGSPTLTKVLKNLCQEFSLNTSTRFQFHKENF >Vigun05g121000.2.v1.2 pep primary_assembly:ASM411807v1:5:13279065:13283917:-1 gene:Vigun05g121000.v1.2 transcript:Vigun05g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKEGNDESATWILESIQIQPVERSIRDDDEEASLNASPVLKSPSEGSLNNVRNRNANQGNGGRKMVRVESGAARGIKGLRFLDRTVTGKEADAWRSIEKRFTQHAVDGKLSKDKFGICMGMGAESKDFAGELYEALARRRKISAENGITLAEARVFWEDMTSKDLESRLQVFFDMCDKNGDGRLSEEEVKEVIVLSASANKLGNLKTNASGYAALIMEELDPDHNGYIEMWQLETLLKEMVSSEDGPKKISNPKAMNLSKAMIPSKYRSPMSKYVSKITEFALDKWKVIWVVALWLVINLVLYIWKFKQYRQKGAYEVMGYCVCFAKGAAETLKLNMALIVLTMCRRTLTKLRESFLSRIIPFDDNINFHKMIAVAVVIGTLIHATVHFTCDFPRLVSYPNEKFMAILGDGFNYKQPDYISLVKSTPGLTGIVMVLLMAFSFTLATHSFRKSVVKLPAPLHRLAGFNSFWYAHHLLIVVYICLVIHGYYLFLTKEWQKKTTWMYLVIPISLYAFERIHPFFKGKDHRVNIIKAIVYTGNVLALYMTKPQGFKYKSGMYIFIKCPDISSFEWHPFSITSAPGDEYLSVHVRTLGDWTTELKNKFTKVCEPHTAQTRRGSLMRMETRAPSSGFPQKQSIRYPKILVKGPYGAPAQSYKNYDVLLLIGLGIGATPMISILKDMLNHIKLGTPVEDSIHANHSDEAKKGPERAYFYWVTREQSSFDWFKGVMDDIADYDRDGIIEMHNYLTSVYEEGDARSALIAMIQKLQHAKNGVDVVSESRIRTHFARPNWKKEFSKLANTHQTSRIGVFYCGSPTLTKVLKNLCQEFSLNTSTRFQFHKENF >Vigun10g124901.1.v1.2 pep primary_assembly:ASM411807v1:10:33334422:33335396:-1 gene:Vigun10g124901.v1.2 transcript:Vigun10g124901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCISVIQLWLLYLHCRCTERKNDHIYGFIDLIAIQGVGNKGEEVQKYLLEAFDVGKKEVYLAPYLQQVVEIHYRLQGQQIASRKKLKFIAPTCSRQPGSYECGFYIMRHMSKIISANIVDSWDMIFSDMSTMDQGVLKEVREQWTSFLLTVYRR >Vigun03g233600.1.v1.2 pep primary_assembly:ASM411807v1:3:38914502:38915561:1 gene:Vigun03g233600.v1.2 transcript:Vigun03g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVMHFVYYLLISICWCSGNMGQAIELPNYTPILSRSDFQIRLYNESTWVSARVSGTSFDQSYKIGFQRLYQYIHGANSNSSKIAFTAPALTSVPSSSSPSGNAYIVRIFISTRFHGKPPLPNPELKLRIEKWKSQCIAVRKFGGYANDDDINKEIEALLTTLNNQSITIQDTDSYIIANYNASSHNTTQRLNEVWINVSGIGTQC >Vigun09g245000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41380029:41380820:-1 gene:Vigun09g245000.v1.2 transcript:Vigun09g245000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFKFKFNVPSFQICRSKDLSSFPGNPVPAIYRLSPVNHNARYPNLPSPSSSKITSSISQGCNMCRDKEPKKARSRSRKGTSSVPSRRSDFLMDSVEEEESETLISCLTSFSDEICHDEVRDLRNSRHRRKTSSVKKVQSVRFRSSSENRGGAGTTEKVKKASTTVTRSNVEGKVRESFAVVKKSKDPYEDFKKSMMEMITEMEMSEAEDLEQLLQCFLALNSRSYHAVIVRVFMEIWQQMFVWNPKSVKNLTDVKNDAEK >Vigun05g274700.2.v1.2 pep primary_assembly:ASM411807v1:5:46485184:46496121:1 gene:Vigun05g274700.v1.2 transcript:Vigun05g274700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKFEIASDRFWRDGEPFQIIGGDVHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPAPGKFVFEGFANIEAFLNLCHKLDLLVMIRPGPYICAEWDWGGFPGWFYSINPTPKPRSSDPTYLQLVERWWGNLLPKLVPLLYDNGGPIIMVQIENEFGSYGDDKAYLHHLVTLARDRLGHDVILYTTDGGVRENLEKGSIRGDAVFSAVDFSTGDDPWPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEKIAMTDADSTAAALEKILRKNGSAVLYMAHGGTNFGFYSGANTGVDEADYKPDLTSYDYDAPIRESGDVDNSKFNAIRRVIARYSSVPLPSVPSNNEKARYGPIHLQRKAFLFDTFDFTNSTNVFESETPMPMEYVGQLFGFVLYITEYRAKRGGRILFIPKLHDRAQVFISCPSEENGARPTYVGTIERWLNNKLSLPGVKCHSNINLFILVENMGRVNYGAYMFDRKGILSSVYLDEEKVKGWKMFPIPLHNLNEMSTYNPITQASYSAFSEIISSRKKFIYKHENTSKEPAFYSGHFSIDKSNQVMDTFISLSNWGKGIVFVNDFNIGRYWPLRGPQCNLYVPAPILKQGDNFLVILELESPDPELVVHTVNEPDFTCGSSGKSLHQL >Vigun05g274700.3.v1.2 pep primary_assembly:ASM411807v1:5:46485497:46496121:1 gene:Vigun05g274700.v1.2 transcript:Vigun05g274700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKFEIASDRFWRDGEPFQIIGGDVHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPAPGKFVFEGFANIEAFLNLCHKLDLLVMIRPGPYICAEWDWGGFPGWFYSINPTPKPRSSDPTYLQLVERWWGNLLPKLVPLLYDNGGPIIMVQIENEFGSYGDDKAYLHHLVTLARDRLGHDVILYTTDGGVRENLEKGSIRGDAVFSAVDFSTGDDPWPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEKIAMTDADSTAAALEKILRKNGSAVLYMAHGGTNFGFYSGANTGVDEADYKPDLTSYDYDAPIRESGDVDNSKFNAIRRVIARYSSVPLPSVPSNNEKARYGPIHLQRKAFLFDTFDFTNSTNVFESETPMPMEYVGQLFGFVLYITEYRAKRGGRILFIPKLHDRAQVFISCPSEENGARPTYVGTIERWLNNKLSLPGVKCHSNINLFILVENMGRVNYGAYMFDRKGILSSVYLDEEKVKGWKMFPIPLHNLNEMSTYNPITQASYSAFSEIISSRKKFIYKHENTSKEPAFYSGHFSIDKSNQVMDTFISLSNWGKGIVFVNDFNIGRYWPLRGPQCNLYVPAPILKQGDNFLVILELESPDPELVVHTVNEPDFTCGSSGKSLHQL >Vigun05g274700.1.v1.2 pep primary_assembly:ASM411807v1:5:46485184:46496121:1 gene:Vigun05g274700.v1.2 transcript:Vigun05g274700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSKKSSGAASKLVAMAKRSSKATIFFIFVSFMLFCAFLPVFAPLPSFHSHSPHRSHRNTVNRKFEIASDRFWRDGEPFQIIGGDVHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPAPGKFVFEGFANIEAFLNLCHKLDLLVMIRPGPYICAEWDWGGFPGWFYSINPTPKPRSSDPTYLQLVERWWGNLLPKLVPLLYDNGGPIIMVQIENEFGSYGDDKAYLHHLVTLARDRLGHDVILYTTDGGVRENLEKGSIRGDAVFSAVDFSTGDDPWPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEKIAMTDADSTAAALEKILRKNGSAVLYMAHGGTNFGFYSGANTGVDEADYKPDLTSYDYDAPIRESGDVDNSKFNAIRRVIARYSSVPLPSVPSNNEKARYGPIHLQRKAFLFDTFDFTNSTNVFESETPMPMEYVGQLFGFVLYITEYRAKRGGRILFIPKLHDRAQVFISCPSEENGARPTYVGTIERWLNNKLSLPGVKCHSNINLFILVENMGRVNYGAYMFDRKGILSSVYLDEEKVKGWKMFPIPLHNLNEMSTYNPITQASYSAFSEIISSRKKFIYKHENTSKEPAFYSGHFSIDKSNQVMDTFISLSNWGKGIVFVNDFNIGRYWPLRGPQCNLYVPAPILKQGDNFLVILELESPDPELVVHTVNEPDFTCGSSGKSLHQL >Vigun11g203300.1.v1.2 pep primary_assembly:ASM411807v1:11:40084399:40088834:-1 gene:Vigun11g203300.v1.2 transcript:Vigun11g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFGAATTAAALKDSKLQIPNFHSLRSATASSLTRNALPIPSTTRSLVITRAVSAPVQSETATVKRSKVEIFKEQSNFIRYPLNEDMLTDAPNISEAATQLIKFHGSYQQYNREERGSRSYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMGTIIRNMGSTLGACGDLNRNVLAPAAPLVRKDYLLAQQTAENIAALLSPQSGFYYDIWVDGEKILSSEPPEVVQARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTDEAGEPQGYNIYVGGGMGRTHRMETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFRVLPEWEFKSYLGWHEQGDGKLFYGLHVDNGRIGGKMKKTLREVIEKYDLNVRITPNQNIILTDVRSSWKRPITTTLAQAGLLQPRFVDPLNITAMACPAFPLCPLAITEAERGIPDILKRIRAVFEKVGLRYSESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGNKKQTSLARSFMDKVKLHDLEKVLEPLFYYWKQKRQSKESFGDFTNRLGFDKLKEHIEKWKGPVVAPSRHNLKLFADKETYDAMDELAKLQNKSAHQLAMEIIRNYVASNQNGKGE >Vigun07g262600.1.v1.2 pep primary_assembly:ASM411807v1:7:37842721:37846221:-1 gene:Vigun07g262600.v1.2 transcript:Vigun07g262600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIISPSPSLTLHPLAHVSPSLSIPRRLHLNLRSSRRRLATVSAAVRQDTTVWTPAPLSEVEPAGESLFEVAIDVSDAPDLAASHTIAGQYLQLRVPDLPKPSFLSIASPPKLAAKHGVFEFLVKSVPGSTAEALCALKKGDVVELSPIMGNGFTIDLIEPPEKYGTVVIFATGTGISPIRSLIESGFDAGKRSDVRLYYGNRNLQTMGYQDRFKDWESSGVKIIPVLSQPDDSWTGETGYVQDAYSRAKQISDPLATGAILAGYKDMIEAVTSILLADGIPADKILRDY >Vigun03g267000.1.v1.2 pep primary_assembly:ASM411807v1:3:43736389:43742482:-1 gene:Vigun03g267000.v1.2 transcript:Vigun03g267000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVLVMNTQGKPRLAKFYEFQPVEQQQEAIRNVFSVLCSRPENVSNFVDAESIFGPDSRLVYKHFATLYFVFIFDSSENELAMLDLIQVFVEALDKCFRNVCELDIVFNYSKLHTILDEIILGGQVLETSSTEVMRAIEEIARLEAASSAINLVPKSVSGWRSR >Vigun03g267000.3.v1.2 pep primary_assembly:ASM411807v1:3:43738085:43742482:-1 gene:Vigun03g267000.v1.2 transcript:Vigun03g267000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVLVMNTQGKPRLAKFYEFQPVEQQQEAIRNVFSVLCSRPENVSNFVDAESIFGPDSRLVYKHFATLYFVFIFDSSENELAMLDLIQVFVEALDKCFRNVCELDIVFNYSKLHTILDEIILGGQVLETSSTEVMRAIEEIARQLCFISSTISSRQTNLTDFLKSVDLIIYQYS >Vigun03g267000.2.v1.2 pep primary_assembly:ASM411807v1:3:43736389:43742482:-1 gene:Vigun03g267000.v1.2 transcript:Vigun03g267000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVLVMNTQGKPRLAKFYEFQPVEQQQEAIRNVFSDAESIFGPDSRLVYKHFATLYFVFIFDSSENELAMLDLIQVFVEALDKCFRNVCELDIVFNYSKLHTILDEIILGGQVLETSSTEVMRAIEEIARLEAASSAINLVPKSVSGWRSR >Vigun04g122200.1.v1.2 pep primary_assembly:ASM411807v1:4:31251089:31256138:-1 gene:Vigun04g122200.v1.2 transcript:Vigun04g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKYRPKTLDHVTVHTDIAHNLKKLVKEQDCPHLLFYGPSGSGKKTLIMAVLRQMFGSGAEKVKVENRTWKVDAGSRSLDIELTTLSSTNHIEISPSDAGFQDRYVVQEVIKEMAKNRPIDTKGKKGFKVLVLNDVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSRVTEAIRSRCLNVRINAPSEEQILDVLELIGKKEGLQLPPGFAARIAEKSNRNLRRAILTFETCRVQQYPFTSKQTIPPMDWEEHISEIASDIMKEQSPKRLFQVRGKLYDLLINCIPPEMVLKRLLHELLRKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKSFLIATFG >Vigun05g152000.3.v1.2 pep primary_assembly:ASM411807v1:5:23563057:23573844:1 gene:Vigun05g152000.v1.2 transcript:Vigun05g152000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFETVFNRGRVPIVTWNKTLLASNLQSSSESSTNSGFLMFNSKFLKPNANKLLGNQNQRTITHGERNFFTEHTPQIACVFTEEVCGDGDWGHGSFPLEEYIQALDRSKDEMYYNHSLGMRYSKITEQIYVGSCIQTEDDVETLSKVEGITAVLNFQSGTEAENWGINAKSINESCQRNNILMINYPIREGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDQSPACVIAYLHWMTDVSLHAAHTWVTGMHNCRPDRPAIAWATWDLIAMVENGKHDGPPTHAVTFVWNGHEGEDVTLVGDFTGNWKEPLKAKFQGGSRHEVEVKLPQGKYYYKFIVNGQWKHSTASPAERDDRGNVNNIIVIGETANVRPSVQHQLKDANVVKVIERPLNEKERFMLAKAARCIAFSISPITLAPK >Vigun05g152000.1.v1.2 pep primary_assembly:ASM411807v1:5:23562037:23573844:1 gene:Vigun05g152000.v1.2 transcript:Vigun05g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQFGTRPHYPPSLFLSSSNACSSSRILYSNRNNQVYLVRSNVGTLNLRVFAASGNSSYKMNLNEYLVTLEKPLGIRFALTADGKIIVHSLTKGGNAERSRIIMVGDTLKKAGDSSQNTLVEIKDVGNTQKVLNEQTNSFSLVLERPTSPFPIQLLHKMNDFETVFNRGRVPIVTWNKTLLASNLQSSSESSTNSGFLMFNSKFLKPNANKLLGNQNQRTITHGERNFFTEHTPQIACVFTEEVCGDGDWGHGSFPLEEYIQALDRSKDEMYYNHSLGMRYSKITEQIYVGSCIQTEDDVETLSKVEGITAVLNFQSGTEAENWGINAKSINESCQRNNILMINYPIREGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDQSPACVIAYLHWMTDVSLHAAHTWVTGMHNCRPDRPAIAWATWDLIAMVENGKHDGPPTHAVTFVWNGHEGEDVTLVGDFTGNWKEPLKAKFQGGSRHEVEVKLPQGKYYYKFIVNGQWKHSTASPAERDDRGNVNNIIVIGETANVRPSVQHQLKDANVVKVIERPLNEKERFMLAKAARCIAFSISPITLAPK >Vigun05g152000.2.v1.2 pep primary_assembly:ASM411807v1:5:23562037:23573844:1 gene:Vigun05g152000.v1.2 transcript:Vigun05g152000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFETVFNRGRVPIVTWNKTLLASNLQSSSESSTNSGFLMFNSKFLKPNANKLLGNQNQRTITHGERNFFTEHTPQIACVFTEEVCGDGDWGHGSFPLEEYIQALDRSKDEMYYNHSLGMRYSKITEQIYVGSCIQTEDDVETLSKVEGITAVLNFQSGTEAENWGINAKSINESCQRNNILMINYPIREGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDQSPACVIAYLHWMTDVSLHAAHTWVTGMHNCRPDRPAIAWATWDLIAMVENGKHDGPPTHAVTFVWNGHEGEDVTLVGDFTGNWKEPLKAKFQGGSRHEVEVKLPQGKYYYKFIVNGQWKHSTASPAERDDRGNVNNIIVIGETANVRPSVQHQLKDANVVKVIERPLNEKERFMLAKAARCIAFSISPITLAPK >Vigun07g252400.1.v1.2 pep primary_assembly:ASM411807v1:7:37137128:37138904:-1 gene:Vigun07g252400.v1.2 transcript:Vigun07g252400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQQISEEWGSLCGLHTTEEADFMAQLFGGTNYSVTEKQYGNTTFGFWPDHDSMKAINSNSYFLPNVTDINLCFSQGSSSSIDSGNSIFSTTSSDQATNFDSLSMAFCLGDAQFFPHSFHCNDNSNKHINENTDEESSLDPVALADNNLQYEIMVSEYEQEDRSENLENLTKRLRNSKEVSKTLRNTKLKKNSKSASTNKNEDDRSLNLQGLGCFSQGDSNASLKPNGGALKDPAPPNLLRKSRPTKGPATDPQSLYARKRRERINERLRILQSLVPNGTKVDISTMLDEAVQYVKFLQLQIKLLSSDELWMYAPIAYNGINIGLDLTISPTKGR >Vigun06g143400.1.v1.2 pep primary_assembly:ASM411807v1:6:26898562:26903499:1 gene:Vigun06g143400.v1.2 transcript:Vigun06g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAGGSQAQRSGNTARGIPPPPPQPPEEPNLLPECRRPSGTEPDLILQPEWRRRRPSAPPSEPSNRGGEEKILLQPEWRRSKPSASVSVSASASAGASASTSVWEPEWRRSRTSSTAFPWDQNYSVRKPSASSSSGVERRGDPNDLWRAAPATDSVVPKLEKLQISIQLPTSTCTHDKKDKISPIQRPDNGGTIAILTSRLRVNHFPVKFDPERTIMHYSIGVKPKVSSKFGQPQKLSKSDLSMIREKLFSDDPERLPLEMTAHDGAKNIYSAVQLPEETFTVEITDGEDEKIISYSVTLTLVNKLRLCKLMDYLSGHNLSNPRDILQGMDVVVKENPARRTVSVGGRYYPTNPPVVMKDLHHGIIAVGGFQHSLKPTSQGLSLCVDYSVLAFRKGMPVLDFLHECIDNFKLDEFEKFRKCVDDALVGLKVSVTHRKSNRKYIISRLTPMITRYVTFPIDNTGGWNRPMDVSLLTFFKDKYGKEIMYKDIPCLDLGKDKKNYVPMEFCVLVEGQRYPKERLGSISANTLKTMSLAHPTERECAIHKMVQSSDGPCRGGFTQNFGMSVNTTMTTIVGRVLGPPELKLGDPNGKTIKLTVDLEKCHWNLAGRSMAEGKPVEHWGIIDFTSLGPFRYKLRGKDFIQKLIGKYKKLGIYMQEPIWYEESSMKILASYDLLSELLEKINNICKYNQVHLQFLLCVMSKKSSGYKYLKWISETKLGIVTQCCLSNGANEAEDKFYTNLALKINAKLGGSNVELSNGLPYFVGEGHVMFLGADVNHPGYQDTRSPSIAAVVATVNWPAANRYAARVCPQYNRSEKILSFGDVCLELVSCYRSMNRVRPERIVIFRDGVSEYQFDMVLNEELLDLKRAFQRVNYFPTITLIVAQKRHQTRFFPEGWRDGSSSGNILPGTVVDTKVIHPFEFDFYLCSYYGNLGTSKPTHYHVLWDEHKFTSDELQKLIYEMCFTFAKCTKPVSLVPPVYYADLAAYRGRLYHEARIGMQSPKQAKDASSLSRTTSFEQGFYTLHADLQNIMFFI >Vigun03g138800.1.v1.2 pep primary_assembly:ASM411807v1:3:13669472:13673653:1 gene:Vigun03g138800.v1.2 transcript:Vigun03g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNNILGPIMENYQGDLSDIIRASGASYGSCSMGTSSSKACAPFSLDHWQFSSVLEGFDGNFGDPFSNTRDPFLHQLTTSSAEISSSGALEEATFFGGGVAASSSSSRNSCVLKETIFEDDDTRRPCNSILENMIQISPPNHKLPMLPVVESLSRALKPSGVVLGDTMINVKNSEDDCLVGNSNTKEMQISPPRNPGFKRRKSPAKKSICVPAPAAPNSRQSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNLLVITYTSEHNHPWPTHRNALAGSSRSQPSSKGNNNFDASKNEETSPQHEEEQHEESNSDSNNVNNSACVQEDGEFSDIGLPYSACVHQGLFAEFGEIEKAHSLNLMFPPQGFDDHQRESNALDSFHIFDWSADM >Vigun11g010600.2.v1.2 pep primary_assembly:ASM411807v1:11:1273206:1277495:-1 gene:Vigun11g010600.v1.2 transcript:Vigun11g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKELTGFVKAWEAAVRKSAGPKKRASSIFTPMSVAHVDDDYDARNGGEVSVTQVEKILPNGDFYTGQWLENGPHSQGKYLWTDGCMYVGEWQRGAITGKGRFSWPSGATYEGDFKSGYMDGKGTYIGSSGDTYKGCWVMELRHGQGTQSYPNGDFYDGEWRKGLQNGHGRYQWKNGNHYIGQWRNGVFYGNGTMMWSNGNRFDGCWEDGLPRGNGTFRWGDGSFYVGVWSRDSREQSGTYYPSGSCVGHLEWDPQELFSVDLVDCSICACEKVAIYPSQKSLNILDLDKMSRKGTDFNGRTRWSSADARVSNYSSEDGSYDGSRTSHCDNSIPRVPNLRLKPPKKQGETISKGHKNYDLMLNLQLGIRHAVGRPAPSTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHSSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIDPTTTLKDLDLNYIFRLRKSWFQEFCRQVNKDCDFLEQERIMDYSMLAMVTWLMEHHVFQEWMWIILL >Vigun11g010600.1.v1.2 pep primary_assembly:ASM411807v1:11:1273206:1277495:-1 gene:Vigun11g010600.v1.2 transcript:Vigun11g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKELTGFVKAWEAAVRKSAGPKKRASSIFTPMSVAHVDDDYDARNGGEVSVTQVEKILPNGDFYTGQWLENGPHSQGKYLWTDGCMYVGEWQRGAITGKGRFSWPSGATYEGDFKSGYMDGKGTYIGSSGDTYKGCWVMELRHGQGTQSYPNGDFYDGEWRKGLQNGHGRYQWKNGNHYIGQWRNGVFYGNGTMMWSNGNRFDGCWEDGLPRGNGTFRWGDGSFYVGVWSRDSREQSGTYYPSGSCVGHLEWDPQELFSVDLVDCSICACEKVAIYPSQKSLNILDLDKMSRKGTDFNGRTRWSSADARVSNYSSEDGSYDGSRTSHCDNSIPRVPNLRLKPPKKQGETISKGHKNYDLMLNLQLGIRHAVGRPAPSTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHSSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIDPTTTLKDLDLNYIFRLRKSWFQEFCRQVNKDCDFLEQERIMDYSMLVGLHFRGMSSCDNVTPTSGYSPGSTTPTGNGNMADGAPRLSGVDVDHLVVDPSRWIQLGINMPARAELTVRKSCDTPQLVGQPTGELYEIIIFFGIIDILQDYDISKKLEHAYKAFQYDATTISAVDPRLYSRRFRDFIFRVFVED >Vigun04g183100.1.v1.2 pep primary_assembly:ASM411807v1:4:40787833:40791829:-1 gene:Vigun04g183100.v1.2 transcript:Vigun04g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFGGVISDIKGRAACYKHDWTSALFSGIKILAPTFYIFFASALPVIAFGEQLNRDTVSQISFALTKRIKRDLISFVMESKSQDLTRQFSSSHTSYSSDFLFSSSTFVPEMKPQHFLPLILFCAFNDHST >Vigun07g032000.1.v1.2 pep primary_assembly:ASM411807v1:7:3016813:3020199:1 gene:Vigun07g032000.v1.2 transcript:Vigun07g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHQVYDQFPSKYHKVCDTIMSLTKIPQVMLKSSSNQCSMPVIALGTAADTNESSAETTKVAVIEAIKLGYTHFDTASFYGSEEALGEAIAEALQLGLIKSREELFITSKLWLTDNFPHLVLPALHKSLQRLKLEYLDLYLIHWPISVKPGDWETPYSEELITTFDLKGVWKAMEECQKLGLAKSIGVSNFTCKKLEDLLSFATIPPSVNQVEMNPAWHQKKLREFCEAKGIIITAFSPLGAKGASWGTNEVMDSEILKEIAQAHGRTIAQVSLRWLYEQGVTIAAKSYNKERMKQNLEIFDWSLTRDDHEKINQIKQIRINNGPVVFFDNLWDGET >Vigun09g088100.1.v1.2 pep primary_assembly:ASM411807v1:9:11991534:11993522:-1 gene:Vigun09g088100.v1.2 transcript:Vigun09g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSVPPRSSKIQHLCSVFGLDLFPSNTCPEPKLQAFSIFFSSSITIPYKYTDKEMGSLLSSPKTKEQMEAALNKVKDIVSSSPVVVFSKTYCGYCKRVKDLFQQLGASYKVVELDTESDGGDIHSALIEWTGLRTVPNVFIGGKHIGGCDTVLEKYKTKQLVPLLNDAGAIANNSAQL >Vigun09g088100.2.v1.2 pep primary_assembly:ASM411807v1:9:11991534:11993522:-1 gene:Vigun09g088100.v1.2 transcript:Vigun09g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSVPPRSSKIQHLCSVFGLDLFPSNTCPEPKLQAFSIFFSSSITIPYKYTDKEMGSLLSSPKTKEQMEAALNKVKDIVSSSPVVVFSKTYCGYCKRVKDLFQQLGASYKVVELDTESDGGDIHSALIEWTGLRTVPNVFIGGKHIGGCDSKALHMTFF >Vigun03g337500.1.v1.2 pep primary_assembly:ASM411807v1:3:53557241:53560882:-1 gene:Vigun03g337500.v1.2 transcript:Vigun03g337500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEIIQGPWGFRSLPKFILCTISGALTVCFAIAGAMTGAIAGALAAKATRSGLLRGVSLGAIAGSILSVEVLEASRAYWCMEQTGSRGASSMADFIEELVRGRLVEESLTPAILTAYNLQFEQVGIANAGYDEIHDVHGLVAPRGLSGDSLKRLPHHMISKDMKAENTCTICLQDIEVGEIARSLPRCHHTFHLICVDKWLVKNDSCPVCRQNV >Vigun03g337500.2.v1.2 pep primary_assembly:ASM411807v1:3:53557241:53560882:-1 gene:Vigun03g337500.v1.2 transcript:Vigun03g337500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEIIQGPWGFRSLPKFILCTISGALTVCFAIAGAMTGAIAGALAAKATRSGLLRGVSLGAIAGSILSVEVLEASRAYWCMEQTGSRGASSMADFIEELVRGRLVEESLTPAILTAYNLQVGIANAGYDEIHDVHGLVAPRGLSGDSLKRLPHHMISKDMKAENTCTICLQDIEVGEIARSLPRCHHTFHLICVDKWLVKNDSCPVCRQNV >Vigun03g298400.1.v1.2 pep primary_assembly:ASM411807v1:3:48609791:48617049:-1 gene:Vigun03g298400.v1.2 transcript:Vigun03g298400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAENADYEDQKVSRVKSISLNKNKEVKLVNRKQNYDNAASGGFVPDYNQGHLKVVDEDTSNRSSSGSAVSNSESCAQFGGTDASDLTGPAQSVVWDAMVPSKKRTCSGRPKPSSVEKLTRDLCTILHEQQSYFSASSEEDLLLESDTPMVSVEIGHGSILIRHPSSIARDEESEASSLSVDNKQCLMNEAYSFSSTIPLYSSDHSGMNFSSYGIEKIKNSAGQIVQQEKLERDKSQLEKQVPGNHNSLLCSIDLNDVVNYEEFMRNLTNEEQQQLLKYLPVVDTSNFTDSLKNMFSSFQFKENLTYFQQLLGEGVFDISLLGTKPEEWKTLKRLVLSNMSKSKWVEHYNFLKKCENKAGKTIGPGSTAMESSNVINTKRMREHDSQNQNFAEISELKTTMRSPKRVNINPTREGKEVVEEGSSFSPKSLFSLPHGVGGLHMLDSFNYVGESSEDLLLDVPSNSSFPQAELLLPTLSYGAKVCTTSSSLHSTVTHHP >Vigun03g298400.2.v1.2 pep primary_assembly:ASM411807v1:3:48609791:48617049:-1 gene:Vigun03g298400.v1.2 transcript:Vigun03g298400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAENADYEDQKVSRVKSISLNKNKEVKLVNRKQNYDNAASGGFVPDYNQGHLKVVDEDTSNRSSSGSAVSNSESCAQFGGTDASDLTGPAQSVVWDAMVPSKKRTCSGRPKPSSVEKLTRDLCTILHEQQSYFSASSEEDLLLESDTPMVSVEIGHGSILIRHPSSIARDEESEASSLSVDNKQCLMNEAYSFSSTIPLYSSDHSGMNFSSYGIEKIKNSAGQIVQQEKLERDKSQLEKQVPGNHNSLLCSIDLNDVVNYEEFMRNLTNEEQQQLLKYLPVVDTSNFTDSLKNMFSSFQFKENLTYFQQLLGEGVFDISLLGTKPEEWKTLKRLVLSNMSKSKWVEHYNFLKKCENKAGKTIGPGSTAMESSNVINTKRMREHDSQNQNFAELKTTMRSPKRVNINPTREGKEVVEEGSSFSPKSLFSLPHGVGGLHMLDSFNYVGESSEDLLLDVPSNSSFPQAELLLPTLSYGAKVCTTSSSLHSTVTHHP >Vigun01g054600.1.v1.2 pep primary_assembly:ASM411807v1:1:10372449:10374937:1 gene:Vigun01g054600.v1.2 transcript:Vigun01g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSSMASIPHLYPNYTFTTHDLSEFPAHHMSSANASVIENTVWGGQDTFIPVLDINNGALDHIVSLDCDTMACANWVPSFSDQVGGLSDFAISDCKMGFYGGFQNFNARYQPHIGDFGEECCGFVEDVKPPAYPNAATENWGVQGNQMQAVEQPNIKVGRYSEEERKERILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNELCDEDMTTKKHENHHHHKEDFYGADSIQFQLKNDEEDWLQEAMASLVYLSHSSPEDM >Vigun11g109500.1.v1.2 pep primary_assembly:ASM411807v1:11:31083059:31085987:-1 gene:Vigun11g109500.v1.2 transcript:Vigun11g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPTLLHSHFLLSMKSDSNGSVSPYSPKPRTRFVSSRFMSSNSTASPEPGSGSPLGRFNRLARKDSTTLADHIGNERLKEREEQHHHQNQKPTNRTGSVFSALTKQRSCREFRNNTNGYGLEENNQDRDRDVVGRTTKNGVSGKSSPSPSPVVKKASSYLDPARHSLDENTFKGNFLCAKNSIDSESENTSLDSVPLQRTLSSRKLGREVSSKYMAACGRRGEASDSDPLSFDDSWMMKKYITQKAVKKANSLIGYMSSKSQWALSPGRSGSPPLSFESKDKPLSFSSLKPPHKRVEKILSMGLDLFRTKKSFSTTEVVHQLRLLHNRLIQWRFANARAHTVNQSMSLQAESNLINVSDGLTKLRHSVMQKKIQVEREKLKMKLNFVLHSQMKLLETWVCMERQHLGAITTLKECLHYVICKVPLLEGAKVDIQLASIAQRYASDLTDSIMSVLSGFSPLAYTTSELISKLARIVAEERLLLQEFNDVFHDICVLELKERSLVCSLIQLEC >Vigun11g109500.2.v1.2 pep primary_assembly:ASM411807v1:11:31083059:31085961:-1 gene:Vigun11g109500.v1.2 transcript:Vigun11g109500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPTLLHSHVKTSSVLCYLFHLFSPTCSLFFFLSVLFQTHFFISNFCLFFQFLLSMKSDSNGSVSPYSPKPRTRFVSSRFMSSNSTASPEPGSGSPLGRFNRLARKDSTTLADHIGNERLKEREEQHHHQNQKPTNRTGSVFSALTKQRSCREFRNNTNGYGLEENNQDRDRDVVGRTTKNGVSGKSSPSPSPVVKKASSYLDPARHSLDENTFKGNFLCAKNSIDSESENTSLDSVPLQRTLSSRKLGREVSSKYMAACGRRGEASDSDPLSFDDSWMMKKYITQKAVKKANSLIGYMSSKSQWALSPGRSGSPPLSFESKDKPLSFSSLKPPHKRVEKILSMGLDLFRTKKSFSTTEVVHQLRLLHNRLIQWRFANARAHTVNQSMSLQAESNLINVSDGLTKLRHSVMQKKIQVEREKLKMKLNFVLHSQMKLLETWVCMERQHLGAITTLKECLHYVICKVPLLEGAKVDIQLASIAQRYASDLTDSIMSVLSGFSPLAYTTSELISKLARIVAEERLLLQEFNDVFHDICVLELKERSLVCSLIQLEC >Vigun03g193800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27043060:27044709:-1 gene:Vigun03g193800.v1.2 transcript:Vigun03g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNAPTGARVVAASQAVRLNVPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIVAGAATGGFLSMRQGLPAASRAAAFGGVLLALIEGAGIMLNKFLSAQQPMPIIVDDGFPPNELPGQIPPNELPGQTASPPSWFGGWFGDGKKNEPASSGGSETKVLESFDAPPVPNFEYK >Vigun03g097800.1.v1.2 pep primary_assembly:ASM411807v1:3:8298234:8302285:-1 gene:Vigun03g097800.v1.2 transcript:Vigun03g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKPEEITHPPMDQLQGLEYCIDSNPSWAETIALGFQHYILALGTAVMIPSFLVPVMGGSDDDKVRVVQTLLFVEGINTLLQTLFGTRLPTVVGGSYAFMVPVISIIRDPSFAMIEDPHLRFLSTMRAVQGALIVASSIQIILGFSQIWAICSRFFSPLGMVPVIALVGFGLFDRGFLVVGTCVEIGIPMLILFIALSQYLKNFQIRQIPILERFALLICTTVIWAYAHLLTASGAYKHRPDLTQHSCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHAFGMMAAVLVSLVESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLTGSTVSVENVGLLGSNRIGSRRVIQVSAGFMIFFSMLGKFGALFASIPFPMFAAVYCVLFGIVASVGLSFLQFTNMNSMRNLFICGVSLFLGLSIPEYFREYTIRAFHGPAHTNAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSAKDRGMPWWAKFRTFKGDSRNEEFYTLPFNLNRFFPPS >Vigun07g111300.1.v1.2 pep primary_assembly:ASM411807v1:7:20654420:20657419:-1 gene:Vigun07g111300.v1.2 transcript:Vigun07g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYFVVDAFTEVPFKGNPAAVCFLEEEEEEERNHHWLQAVAAEFNISQTCFLTRIVDSDNNPLHSLDATSNPRFRLRWFTPITEVKLCGHATLAAAHTLFSSGLVHTNIIEFVTLSGLLTAKRIQAINISGASNLQNGETEDGFYIELDFPADPVTDFNLDQTSLLSGALIGASIIDIRRTQVTDDLLVVVKSGENVTEIKPQLDAIVKCPGRGIIVSGIAPPGSKFDFYSRFFCPKFGINEDPACGTAHCSLACYWSKKLGKYDLNAYQASSRGAIFNISLDEQNQRVLLRGKAVTVMEGWTHAQSQLK >Vigun09g218500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39240639:39242010:1 gene:Vigun09g218500.v1.2 transcript:Vigun09g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMERDTEKCKSGEKLYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAALFCLRGRRANFNFPNTPCNVPLPVPSHHSLTPHQIQEVAAKFANEDPLLLKNPLAQESHADVDASAAAAAAAAGNSSSFGGKLCKSDSTAECSSSTVHECDGRVEVEHGDMDWTFLKEFDYSNDVFPVVGSDYDLYFDLHNMHSTSGELLYSTPPPPPFEGYQEFTEAEEDPFSHQSFLWNWNF >Vigun01g080750.1.v1.2 pep primary_assembly:ASM411807v1:1:22690381:22691229:1 gene:Vigun01g080750.v1.2 transcript:Vigun01g080750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEIKIDPQTPSTFPHMNQLFTLNTNLTIKPRFSRLPHKIQNLESGEQQTLEVWVGPKTRSRTGMNMLPERSVSSLDSGYRQCRQKVTLRRQTQHTIRASKL >Vigun11g057400.2.v1.2 pep primary_assembly:ASM411807v1:11:11518016:11521968:-1 gene:Vigun11g057400.v1.2 transcript:Vigun11g057400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFNLFRKKGSSSATRLTGVDLGAGHSNIQFSWSTRRNICVGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLEPKISDFGLAKLIPPNLTHISTRVAGTVGYLAPEYAIRNQVTRKSDVYSFGVLLLEIVSGRPNTNRRLPVEEQYLLTRAWDLYDAGETEKMVDAFLDGDFDVEEAIRFCKIALLCTQDSPQLRPSMSIVLEMLLGEKDVNEENVTKPGMIFEFVEAKSAWKQKGKSEENGKFLLAEGKQDESSSSETMTSFATMTFTAICDRSN >Vigun11g057400.1.v1.2 pep primary_assembly:ASM411807v1:11:11517995:11521968:-1 gene:Vigun11g057400.v1.2 transcript:Vigun11g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFNLFRKKGSSSATRLTGVDLDISEIQNVKIYTYRELRSATESFSPANKIGQGGFGEVYKGKLRNGSPVAIKVLSAESRQGVREFLTEIKVISSIEHENLVKLHGCCVEDNHRILVYGYLENNSLAQTLIGAGHSNIQFSWSTRRNICVGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLEPKISDFGLAKLIPPNLTHISTRVAGTVGYLAPEYAIRNQVTRKSDVYSFGVLLLEIVSGRPNTNRRLPVEEQYLLTRAWDLYDAGETEKMVDAFLDGDFDVEEAIRFCKIALLCTQDSPQLRPSMSIVLEMLLGEKDVNEENVTKPGMIFEFVEAKSAWKQKGKSEENGKFLLAEGKQDESSSSETMTSFATMTFTAICDRSN >Vigun11g057400.3.v1.2 pep primary_assembly:ASM411807v1:11:11518016:11521968:-1 gene:Vigun11g057400.v1.2 transcript:Vigun11g057400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFNLFRKKGSSSATRLTGVDLDISEIQNVKIYTYRELRSATESFSPANKIGQGGFGEVYKGKLRNGSPVAIKVLSAESRQGVREFLTEIKVISSIEHENLVKLHGCCVEDNHRILVYGYLENNSLAQTLIGAGHSNIQFSWSTRRNICVGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLEPKISDFGLAKLIPPNLTHISTRVAGTVGYLAPEYAIRNQVTRKSDVYSFGVLLLEIVSGRPNTNRRLPVEEQYLLTRAWDLYDAGETEKMVDAFLDGDFDVEEAIRFCKIALLCTQDSPQLRPSMSIVLEMLLGEKDVNEENVTKPGMIFEFVEAKSAWKQKGKSEENGKFLLAEGKQDESSSSETMTSFATMTFTAICDRSN >Vigun05g026000.2.v1.2 pep primary_assembly:ASM411807v1:5:2104165:2108314:1 gene:Vigun05g026000.v1.2 transcript:Vigun05g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLLLSFPFQFQTCLLKLSYSPFLSAPIRTKAYVRTRNSYLGIVFLSQPKYSIGSTGFHESTPKPRDSVHAVVDSGLWEQEEERVDDIVYERRNIDDATSVVSKKELPPWGEVELEDGDDDIEGKGQFDTTIVSKDELCPWEVVEEEEEDDDDDDGIIEVREEFGTSVVSKEGLHPELDDNGGDDKTIEGFNSYFVSNKELAPWGEVKDDEEDDGNNNGNDNAYRRALGGAYFMANKKLHTSTEADDGDDDFDYNNDDAVERITNGDTYFVAKKELPPWGGAQDGNVVRNNAERIANGDVYIGAKKELAPWGEADDDGHLYSRPVETTLSASEGTGLIMEQRAIFLEEMDENVLSNRIVVLSRTNKIRSAMDYFRSMQLLGLSPNIHACNSLVSSLLRNGCYDDSLKVFNFTRAKGITTGHTYSLILMGHAKAHGCDSALRFFRELESECDVEKDFDAIVYNTMISICRNADNWSEIERLWRSMKENGCAGTCVTYRLLINSFVRCDQSDLAFYAYHEMIQNGFEPDSNILNAIIGVCAKEGKWDVALSVFKTMLKGELKPNLVACNALINSLGRAGELKQAFQIYNTMKSLDLKPDAYTFNALLSSLNKANRHHKALELFEMIERDQTSQFNIHLYNTVLVSCSKLRLWERAIEILWQMEASGLSNLTMSYNLVIRTCELARKSSIALQVYKHMVHQKCSPNLFTYLSVVRCCIRGNLWEDLEEILNNMPNATLYNAAIQGLCLRCNVNLANKVYTKMLESGLQPDVKTQVLMLRMIRKRK >Vigun05g026000.1.v1.2 pep primary_assembly:ASM411807v1:5:2104165:2108314:1 gene:Vigun05g026000.v1.2 transcript:Vigun05g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLLLSFPFQFQTCLLKLSYSPFLSAPIRTKAYVRTRNSYLGIVFLSQPKYSIGSTGFHESTPKPRDSVHAVVDSGLWEQEEERVDDIVYERRNIDDATSVVSKKELPPWGEVELEDGDDDIEGKGQFDTTIVSKDELCPWEVVEEEEEDDDDDDGIIEVREEFGTSVVSKEGLHPELDDNGGDDKTIEGFNSYFVSNKELAPWGEVKDDEEDDGNNNGNDNAYRRALGGAYFMANKKLHTSTEADDGDDDFDYNNDDAVERITNGDTYFVAKKELPPWGGAQDGNVVRNNAERIANGDVYIGAKKELAPWGEADDDGHLYSRPVETTLSASEGTGLIMEQRAIFLEEMDENVLSNRIVVLSRTNKIRSAMDYFRSMQLLGLSPNIHACNSLVSSLLRNGCYDDSLKVFNFTRAKGITTGHTYSLILMGHAKAHGCDSALRFFRELESECDVEKDFDAIVYNTMISICRNADNWSEIERLWRSMKENGCAGTCVTYRLLINSFVRCDQSDLAFYAYHEMIQNGFEPDSNILNAIIGVCAKEGKWDVALSVFKTMLKGELKPNLVACNALINSLGRAGELKQAFQIYNTMKSLDLKPDAYTFNALLSSLNKANRHHKALELFEMIERDQTSQFNIHLYNTVLVSCSKLRLWERAIEILWQMEASGLSNLTMSYNLVIRTCELARKSSIALQVYKHMVHQKCSPNLFTYLSVVRCCIRGNLWEDLEEILNQNMPNATLYNAAIQGLCLRCNVNLANKVYTKMLESGLQPDVKTQVLMLRMIRKRK >Vigun10g159600.9.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.4.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.2.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.3.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.13.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.8.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.1.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.12.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.11.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.10.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.7.v1.2 pep primary_assembly:ASM411807v1:10:37860768:37863876:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun10g159600.6.v1.2 pep primary_assembly:ASM411807v1:10:37860755:37864294:1 gene:Vigun10g159600.v1.2 transcript:Vigun10g159600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLYHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKAAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun08g003600.1.v1.2 pep primary_assembly:ASM411807v1:8:328549:330876:1 gene:Vigun08g003600.v1.2 transcript:Vigun08g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDVAHKKRHRHHFHVTLFTITTFAFSPSGWTNAHATFYGGSDASGTMGGACGYGNLYATGYGTRTAALSTALFNDGASCGQCYKIICDYKADSRWCIKGRSVTITATNFCPPNFALPNNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFSVNGRDYFELVLISNVGGAGSIQSVFIKGSKTEWMAMSRNWGSNWQSNAYLNGQSLSFKVTTTDGETRVFQNIVPESWSFGQTFSSPVQF >Vigun08g178900.1.v1.2 pep primary_assembly:ASM411807v1:8:34878838:34886097:1 gene:Vigun08g178900.v1.2 transcript:Vigun08g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTNGTEDALPPPPPAIPSDVVPIKLEPDKKKVLRLPMARRGVASKGNKLRLLTNHFRVNVGNTDGHFFQYSVSLSYEDGRPVEGKGVGRKVLDRVQETYNSELNGKDFAYDGEKTLFTLGSLARNRLEFTVVLEDVVSTGRNNGNASPEGNGEFSESDKKRMRRPNRAKTFKVEINFASKIPLQAIVNALRGQDSDNYQEAIRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIVTPGPVVDFLIANQNVKDPFSLDWVKAKRTLKNLRIKASPSNQEYKITGLSDLPCKDQLFTLKKKGGVDGDDTEEVTVFDYFVNRRNIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALTTLQRSSLVEKSRQKPPERMRVLTDALSRSNYGSEPMLRNCGISINSGFTEVEGRVLQAPRLKFGNGEDFNPRNGRWNFNQKKLVRPVKIDKWAVVNFSARCDVRGLVRDLLKCGQMKGIAIEQPFDVFEEDFRNKRSPPLVRVEKMLEFVQSKLPGAPQFLLCLLPDRKNSELYGPWKKRNLADFGVVTQCIAPQRVNDQYLTNVLLKINAKLGGLNSILGAEHAPSIPVVSRAPTIVIGMDVSHGSPGQTDIPSIAAVVSSLEWPLISKYRACVRTQSPKVEMIDNLFKKVSDGVDEGIIRELLVDFYRSSGNRKPDNIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWNPKFLVIVAQKNHHTKFFQADAPDNVPPGTVIDNKICHPRNYDFYMCAHAGMIGTSRPTHYHVLLDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEERSETASSHSGAHPVPQLPRLNDNVSSSMFFC >Vigun03g030200.1.v1.2 pep primary_assembly:ASM411807v1:3:2278248:2279721:1 gene:Vigun03g030200.v1.2 transcript:Vigun03g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFSELNGEKRGDTMALLHKACEQWGFFMVENHEIDTQLMEKVKQLINTYYEENLKESFYQSEIAKRLEKHENASDIDWESSFFIWHRPTSTIHEIPNISQELWQTMDEYVAEVVKLGEKLSEVMSENLGLEKDYMKKAFCGGDGDGAAVGTKVAKYPECARPELVRGLREHTDAGGIILLLQDERVGGLEFLKDGEWVKIPASKNNAIFVNTGDQVEVLSNGLYKSAVHRVMADKNGSRISIATFYNPIPEAIISPAPKLLYPTNFLYADYLKLYGSTKFGEKGPRFESMKNMNNNGNILA >Vigun07g112500.3.v1.2 pep primary_assembly:ASM411807v1:7:20868183:20872839:1 gene:Vigun07g112500.v1.2 transcript:Vigun07g112500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLQELFPQVDARLLRAVAIEHPKDADLAAGIVLAEVIPFVSKKLPLATPPSDNAHGAPLNVEGGVAPPQDNAHGAPLNVQGGVAPPQDNAHVAPLNVEGGVAPPQDNAHGAPLNVEAESEEEGGRLRHRQLVQDIDVGPSSAPHSVYTKPADYSLNVEDNFVEEISSKIAMEISNCFIQGYNENIDQRRPHVDAESENLISSGICQETEPEHSYHSKEAKSTNNNGSHIPEDCETNLIEVESSEVQAVCLAQGNTLNSIDSLQSEFNAGFSSADDKTSDVEDDVGGKNEVSQYSQVCRIDLLEEIIDDAKSNKKTLFSSMESLINLMRDVQLQEQAAEQASLDAATGGSNILAMVEGYKTVLEQAKEANDMHAGEVYGEKAILATELKELQSRLLSLSDERDKSLAILNEMRQVLEARLAAAEEFKKAAENEKLAKEESAQKALAEQEKLVEKVLHESGRLQQEAEENSKLREFLMDRGQVVDMLQGEISVICQDIRLLKERFDANLPLSESFTSSQTSCKLASSGTSHKTAASDVASVHTDSSERSKTSQVTSSENLSPKGGHEEEKSKAYHNALLDDGWDIFEKDAELNSGVY >Vigun07g112500.1.v1.2 pep primary_assembly:ASM411807v1:7:20868183:20872839:1 gene:Vigun07g112500.v1.2 transcript:Vigun07g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLQELFPQVDARLLRAVAIEHPKDADLAAGIVLAEVIPFVSKKLPLATPPSDNAHGAPLNVEGGVAPPQDNAHGAPLNVQGGVAPPQDNAHVAPLNVEGGVAPPQDNAHGAPLNVEAESEEEGGRLRHRQLVQDIDVGPSSAPHSVYTKPADYSLVPDLNEALDNSTLSNVNNSNGVTEKFLGMDDMKELDVLQNVEDNFVEEISSKIAMEISNCFIQGYNENIDQRRPHVDAESENLISSGICQETEPEHSYHSKEAKSTNNNGSESGNRLNEEWVDFVGPSADDYDATTGHIPEDCETNLIEVESSEVQAVCLAQGNTLNSIDSLQSEFNAGFSSADDKTSDVEDDVGGKNEVSQYSQVCRIDLLEEIIDDAKSNKKTLFSSMESLINLMRDVQLQEQAAEQASLDAATGGSNILAMVEGYKTVLEQAKEANDMHAGEVYGEKAILATELKELQSRLLSLSDERDKSLAILNEMRQVLEARLAAAEEFKKAAENEKLAKEESAQKALAEQEKLVEKVLHESGRLQQEAEENSKLREFLMDRGQVVDMLQGEISVICQDIRLLKERFDANLPLSESFTSSQTSCKLASSGTSHKTAASDVASVHTDSSERSKTSQVTSSENLSPKGGHEEEKSKAYHNALLDDGWDIFEKDAELNSGVY >Vigun07g112500.2.v1.2 pep primary_assembly:ASM411807v1:7:20868183:20872839:1 gene:Vigun07g112500.v1.2 transcript:Vigun07g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLQELFPQVDARLLRAVAIEHPKDADLAAGIVLAEVIPFVSKKLPLATPPSDNAHGAPLNVEGGVAPPQDNAHGAPLNVQGGVAPPQDNAHVAPLNVEGGVAPPQDNAHGAPLNVEAESEEEGGRLRHRQLVQDIDVGPSSAPHSVYTKPADYSLVPDLNEALDNSTLSNVNNSNGVTEKFLGMDDMKELDVLQNVEDNFVEEISSKIAMEISNCFIQGYNENIDQRRPHVDAESENLISSGICQETEPEHSYHSKEAKSTNNNGSHIPEDCETNLIEVESSEVQAVCLAQGNTLNSIDSLQSEFNAGFSSADDKTSDVEDDVGGKNEVSQYSQVCRIDLLEEIIDDAKSNKKTLFSSMESLINLMRDVQLQEQAAEQASLDAATGGSNILAMVEGYKTVLEQAKEANDMHAGEVYGEKAILATELKELQSRLLSLSDERDKSLAILNEMRQVLEARLAAAEEFKKAAENEKLAKEESAQKALAEQEKLVEKVLHESGRLQQEAEENSKLREFLMDRGQVVDMLQGEISVICQDIRLLKERFDANLPLSESFTSSQTSCKLASSGTSHKTAASDVASVHTDSSERSKTSQVTSSENLSPKGGHEEEKSKAYHNALLDDGWDIFEKDAELNSGVY >Vigun07g112500.5.v1.2 pep primary_assembly:ASM411807v1:7:20869103:20872839:1 gene:Vigun07g112500.v1.2 transcript:Vigun07g112500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMKELDVLQNVEDNFVEEISSKIAMEISNCFIQGYNENIDQRRPHVDAESENLISSGICQETEPEHSYHSKEAKSTNNNGSHIPEDCETNLIEVESSEVQAVCLAQGNTLNSIDSLQSEFNAGFSSADDKTSDVEDDVGGKNEVSQYSQVCRIDLLEEIIDDAKSNKKTLFSSMESLINLMRDVQLQEQAAEQASLDAATGGSNILAMVEGYKTVLEQAKEANDMHAGEVYGEKAILATELKELQSRLLSLSDERDKSLAILNEMRQVLEARLAAAEEFKKAAENEKLAKEESAQKALAEQEKLVEKVLHESGRLQQEAEENSKLREFLMDRGQVVDMLQGEISVICQDIRLLKERFDANLPLSESFTSSQTSCKLASSGTSHKTAASDVASVHTDSSERSKTSQVTSSENLSPKGGHEEEKSKAYHNALLDDGWDIFEKDAELNSGVY >Vigun07g112500.4.v1.2 pep primary_assembly:ASM411807v1:7:20869103:20872839:1 gene:Vigun07g112500.v1.2 transcript:Vigun07g112500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMKELDVLQNVEDNFVEEISSKIAMEISNCFIQGYNENIDQRRPHVDAESENLISSGICQETEPEHSYHSKEAKSTNNNGSESGNRLNEEWVDFVGPSADDYDATTGHIPEDCETNLIEVESSEVQAVCLAQGNTLNSIDSLQSEFNAGFSSADDKTSDVEDDVGGKNEVSQYSQVCRIDLLEEIIDDAKSNKKTLFSSMESLINLMRDVQLQEQAAEQASLDAATGGSNILAMVEGYKTVLEQAKEANDMHAGEVYGEKAILATELKELQSRLLSLSDERDKSLAILNEMRQVLEARLAAAEEFKKAAENEKLAKEESAQKALAEQEKLVEKVLHESGRLQQEAEENSKLREFLMDRGQVVDMLQGEISVICQDIRLLKERFDANLPLSESFTSSQTSCKLASSGTSHKTAASDVASVHTDSSERSKTSQVTSSENLSPKGGHEEEKSKAYHNALLDDGWDIFEKDAELNSGVY >Vigun03g139000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13699301:13700727:1 gene:Vigun03g139000.v1.2 transcript:Vigun03g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSIIVLIMVLLCRTALSELCNPQDKQALLQIKNELGNPTTLSSWLLNTDCCNPEWEGVSCDIDTKTYRVNSLDLSDLSLPKPYPIPSSVANLPYLSFLYISRINNLVGPIPPSIAKLTKLRFLYITYTNVSGQIPHFLSQMKTLVTIDFSYNALSGTLPPSLSSLPHLLGISFDGNRISGAIPASFGSFPKPFTVLTLSRNRLTGKIPATLAKLDLAFLDLSQNMLEGDASVLFGENKERLQKINLAKNLLAFDLGKIRLSKAKDLAGLDLRNNRIYGSLPKVLTSFKYLKRLNVSYNNLCGEIPKGGKLQRFDESCYAHNKCLCGSPLPACT >Vigun07g042100.1.v1.2 pep primary_assembly:ASM411807v1:7:4146704:4149625:-1 gene:Vigun07g042100.v1.2 transcript:Vigun07g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEKNSKVDPLPISLLDLPESTLDFILKCLSPIDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLYLSSYLEHFKVLNGKNSNNFMMSLYFSLETGTFWFPAEVYKGLMIHNALVKYDSQSNTFQARYQNGGWRCLGKNIEWDMVRAPSVHTPPYLLHLSHCLDTLKPEDHIEIQWRPNTQSPYDWWYAVIGHLDLCNQNCCHCHYNDTLIVEFRQYPEVSNMRRIKLCRKKNEEQGDRIGGYYGGIRKLENHNEIQTWKKLFPLQLQTAPVLYMPPVAAQVQIL >Vigun03g113200.1.v1.2 pep primary_assembly:ASM411807v1:3:10381763:10387242:1 gene:Vigun03g113200.v1.2 transcript:Vigun03g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGSTAPLAAEPPQPQPSLSNSIPHRRKKRKRRVAATSTSISSETSFANGSDQLKNRIHDAAVECEQEPKAEQSKATYPLVELRQRSVKGGESLGNSVVTAVDAGDVTEVCSIAAATSETAESLELKRVLEDDWISNKSPMSYFFEKVYNGNSVWSTTIIGDEKGRERVYDTIFRLPWRCELLIDVGFFVCFNSFLSLLTVMPTRMLMTVWRLLKTRKFNRPSTIEFSDFSCFLILACGVTVLQQIDISLIYHMIRGQATIKLYVIYNVLEIFDKLCQNFIGDVLQMLFHSAEELARCPPETQSMRFWIRRFISDQVLAVVTSIVHSVILLVQAITLSACIVSHYNALPALLVSNNFAEIKSYVFKGYSKDNVHSMVYSDSIERFHISAFILFVLAQNILEAEGPWFISFISNILLVYVFEMAIDVIKHSFISKFNNISPIAYSEFLEVLCKQTLHMQTEDAKKKLTFVPLAPACVVIRVLAPVYAANLPYNSLSWRLCWILLFSAITYIMLTSLKVLIGMVLQKHARWYVNRCQRRKHHLHAD >VigunL028100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:118069:119601:-1 gene:VigunL028100.v1.2 transcript:VigunL028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVTIRADEISKIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun04g014500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1044792:1045875:1 gene:Vigun04g014500.v1.2 transcript:Vigun04g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNGACFQSHRGSLPFPCHLQLGTHATINTKTSITSKSGSETGEVSYRVEGSTCKLELVRFADNYGNANILTFILRDSIICSDGKRLSLQIHKYENRFLCMFSPLSVKFKNSIAWTKTKKNVHAWDDVTDTNVYLYGTPNRCGLLVLECEKTDYCSDDCKELTIAHYFVSSNGSVAINRSSQTTDIGFSIVVKVGFCDGKFDISVEGPERHSTYELLCMFQRVNRTGIWKPNIRSENSRMFSQSDSEDNDGVSLLPNLGSQRNATTIANDGRFRGHANGSVIRCRNVWFN >Vigun04g047000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4068317:4070960:1 gene:Vigun04g047000.v1.2 transcript:Vigun04g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNTFSSSFFLLTLLGFHALLASSQVEDDVKCLSGIKEALSDPQGHLALWRFENTTVGFICDFVGVTCWNQRENRVLSLDFQDFKLSGRIPEALKYCGKSLQRLNLASNSFSSEIPHEICKWMPFLVSLDLSGNQLSGPIPPTLVNCSYLNELMLSDNQLSGSIPFELGSLSRLKKFSVANNRLSGTIPEFFHGFEREGFEGNSGLCGGPLGSKCGGMSKKNLAIIIAAGVFGAAASLLLAFGLWWWYHLGGKKKKKGYGVGSSVGAAGDWALRLRGYKLVQVSLFQKPIVKVKLGDLMAATHNFSGENVLFATRTGTTYKADLPDGSTLAVKRLSACRIGEKQFGMEMNRLGQVRHPNLAPLLGYCVVEEEKLLVYKHMSNGTLYSLLHKNGGVLDWLMRFRIGLGAARGLAWLHHGCHPPIIQQNICSNVILVDEEFDARLMDFGLARLMASDSNGSFVNGDLGEIGYIAPEYPSTLVASLKGDVYGFGVLLLELVTGQKPLDVSNGDEEFKGSLVDWVNMHSGLGRIKDCIDKAICGRGHDEEILQFLKIALNCVVSRPKDRWSMYQVYHSLKGLSKDQSFFEHDDDFPLIFGKPENEAA >Vigun04g155040.1.v1.2 pep primary_assembly:ASM411807v1:4:37513949:37514886:-1 gene:Vigun04g155040.v1.2 transcript:Vigun04g155040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLQRQWTLRDEKGNRHVVAYNKNLQKPMLIGGWSELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIQADQPMTDGPKLHFKIFLNPNQCNASHLDLPADFGNYLRQGSFKYIYLYGPLKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun07g006800.1.v1.2 pep primary_assembly:ASM411807v1:7:577797:582021:1 gene:Vigun07g006800.v1.2 transcript:Vigun07g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRRSDDEYTEDGTVDLKGKPILKSKTGRWKACSFVVVYEIFERMAYYGISSNLILYLTKKLHQGTVTSSNNVTNWVGTIWITPILGAYLADAHLGRYWTFILSSIIYLLGMCLLTLSVSLPSLKPPECHELDVTKCEKASTLHLAVFYGALYTLALGTGGTKPNISTIGADQFDDYDPKEKKYKLSFFNWWMFSIFIGTLFANSVLVYIQDNVGWTLGYGLPTLGLAISVAIFLAGTPFYRHKLPTGSPFTKMAKVIVAAIRKWNIPIPSDTKQLYELDLEEYAKKGRVKIHPTPTLRFLNKACVKTDSSASGWKLSPVTHVEETKQMLRMIPILVATFIPSAMVAQIGTLFVKQGITLDRGIGSFNIPPASLSTFVTLSMLICVVLYDRFFVKIMQRFTKNPRGITLLQRVGIGLIIHIVIMVIASLTERYRLRTAKEHGLVANGGEVPLSIFILLPQYILMGTADAFLEVAKIEFFYDQAPESMKSLGTSYSMTALGIGNFLSTFILSTVSHVTKKHGHHGWVLNNLNASRLDYYYALLAILNLLNLVFFMVVAKFYVYRAEISDSIKVLGEELREKTSNQVIPRD >VigunL046600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:503576:504067:1 gene:VigunL046600.v1.2 transcript:VigunL046600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun03g445800.2.v1.2 pep primary_assembly:ASM411807v1:3:64743313:64751533:-1 gene:Vigun03g445800.v1.2 transcript:Vigun03g445800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVSIWGYSKVPPPQLGAGLANSHPTPIWNQRYDFDKCRRWECCCLGVSAQRATTAVEDEEPNVPPLVESSGAADGVHQNESKGFHKDINQLPKPLTTIDISSSSSSNGSKVRVAFQGLPGAYSEDAALKAYPKCETVPCDNFESAFKAVELWLVDKAVLPIENSVAGSIHRNYELLLRHRLHIVGEVQLRVNHCLLGLPGVRKEDLKVVVSHPQALDQCEKMLNDLGVVKIGARDTADAAQTVASNCARDTGAIASSRAAKVYGLDILTERIQDDDENITRFLVLARDPIIPGTDKPHKTSIVFSLEEGPGVLFKALAVFAMRDINLSKIESCPLKQRPLRVVDDSNQGNSNSNAERKRFSGAV >Vigun03g445800.1.v1.2 pep primary_assembly:ASM411807v1:3:64743313:64751533:-1 gene:Vigun03g445800.v1.2 transcript:Vigun03g445800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVSIWGYSKVPPPQLGAGLANSHPTPIWNQRYDFDKCRRWECCCLGVSAQRATTAVEDEEPNVPPLVESSGAADGVHQNESKGFHKDINQLPKPLTTIDISSSSSSNGSKVRVAFQGLPGAYSEDAALKAYPKCETVPCDNFESAFKAVELWLVDKAVLPIENSVAGSIHRNYELLLRHRLHIVGEVQLRVNHCLLGLPGVRKEDLKVVVSHPQALDQCEKMLNDLGVVKIGARDTADAAQTVASNCARDTGAIASSRAAKVYGLDILTERIQDDDENITRFLVLARDPIIPGTDKPHKTSIVFSLEEGPGVLFKALAVFAMRDINLSKIESCPLKQRPLRVVDDSNQGNSKYFDYLFYTDIEASMAEPRAQYALGQLQEFASFLRVLGCYPMDTVL >Vigun10g133600.1.v1.2 pep primary_assembly:ASM411807v1:10:34336966:34341153:1 gene:Vigun10g133600.v1.2 transcript:Vigun10g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIERHRRDYGYRLDQFERKRKKEARQVHKRSAMAQKALGIKGKIIAKKNYAEKAQMKKTLAMHEESTSRRKADDNVQDGAVPAYLLDRDNTARAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVIHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Vigun07g042700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4236508:4239799:-1 gene:Vigun07g042700.v1.2 transcript:Vigun07g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYQPQRRMLWSNAERRCLNLLQCKTKSVTTLLQIHAFMLRHSFHNNLKLLTAFITTCASLAAISATPHHALVQHARNFFDLVRTRDTFLCNSMIATHFAARQFSEPFTLFRDLCRKTPPFTPDGYTFTALVKGCSARVARWEGSQLHGVILRNGLCFDLYVSTALVDMYVKFGILDNAKKVFDEMSVKSRVSWTAVIVGYARCGDMDEARRLFDEMEDRDVVAFNAMIDGYVKTGCVGLARELFDEMEERNVVSWTSMISGYCGNGDVENARLMFDVMPDKNLFTWNAMIGGYCQNRRSHEALELFREMQTVLVEPNEVTVLCVLPAVADLGALDFGGWIHRFAQRKKFDRSARVGTALIDMYAKCGEIMKAKLVFEEMTERETTSWNALINGFAINGCAKEALEVFARMMEEGFRPNEVTMISVLSACNHCGLVEEGRRWFKEMERFGVVPEIEHYGCVVDLLGRAGCLDEAEELIQAMPYDANGIILSSFLFACVYFNDVSRAEKVLKKVVKIDEDVAGNYVMLRNMYATEQRWRDVEGVKQMMKKRGTSKEVACSVIEVDGSFREFVAGDYLHSHLEAIQLTLGQLSKHMKVEIVN >Vigun03g401400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60844325:60845170:1 gene:Vigun03g401400.v1.2 transcript:Vigun03g401400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVPPLSATTAFPCSLQRAATAAQRLQLNHHHRAFTLPSPSRVHLHAPKPRRMLLFNGGVFLLHQNSIHNVVVVAAARGDESGLDGVEVGIKGGEFQVRAFVKIVVAVEEGDARRGGDVGCMGGELQRASSSSTVWFGRRVKALWWVSKRRGVEDERRSWGPNW >Vigun03g365100.3.v1.2 pep primary_assembly:ASM411807v1:3:56853336:56866091:1 gene:Vigun03g365100.v1.2 transcript:Vigun03g365100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSTEKIPINTSAESSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSERQRLICQGKVLKDDQLLSAYHVEDGHTLHLVARQPDLPPPGSLPNHSVTEPNSSSSLASLSHSSQVAPGVFIETFNVPFQGDGVAPEINRIVSAVLGSIGLQNFASGEGIDVREHDSQGAGRISGSSGIFDSSHPQPEQSGFRILSDRSRNAFGAPAAVSLGSLQPPVIPDSLTTLSQYLSHISNEFDAIVREGGNIAQAAEAQRNVETRPVSSRSGSGSALEGFSSPTLLAEVLLSTRRMIVEQAGECLLQLSRQLENQADVTDPLLRSSIQSRALRTGVLFYNLGAFLLELGRTTMTLRLGQTSSEAVVNGGPAVFISPNGPNHIMVQPLPFQPGTSFGAVPVGAAQSSSSLGSGLGSSFFPRRIDIQIRRGTSSTSSNTNQEERNDTQPASVQRNPGENPVNQATSRRPDASFPGEPGVRLVPIRTMVAAAVPGPVVRPPSESSGNSLGLYYPILGRFQHVSSGHVNSEQRSQHSSQHHAAVPSTTDSMLERQTTDDSTRNGSLSTPSTRPEPSNSRVVNINILAASGPQNNQETERQIPSSVLQLLRSLFPGGEIHVEDSSVQGVTAGSDSDHAATSRGAAQVPEAQPNASEEGIFLSNMLREIMPVISQQVGLEGNPSEDHMAQASSTQVETDVGTSRRPGDSDSSPPNPKRQKME >Vigun03g365100.2.v1.2 pep primary_assembly:ASM411807v1:3:56852655:56866206:1 gene:Vigun03g365100.v1.2 transcript:Vigun03g365100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSTEKIPINTSAESSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSERQRLICQGKVLKDDQLLSAYHVEDGHTLHLVARQPDLPPPGSLPNHSVTEPNSSSSLASLSHSSQVAPGVFIETFNVPFQGDGVAPEINRIVSAVLGSIGLQNFASGEGIDVREHDSQGAGRISGSSGIFDSSHPQPEQSGFRILSDRSRNAFGAPAAVSLGSLQPPVIPDSLTTLSQYLSHISNEFDAIVREGGNIAQAAEAQRNVETRPVSSRSGSGSALEGFSSPTLLAEVLLSTRRMIVEQAGECLLQLSRQLENQADVTDPLLRSSIQSRALRTGVLFYNLGAFLLELGRTTMTLRLGQTSSEAVVNGGPAVFISPNGPNHIMVQPLPFQPGTSFGAVPVGAAQSSSSLGSGLGSSFFPRRIDIQIRRGTSSTSSNTNQEERNDTQPASVQRNPGENPVNQATSRRPDASFPGEPGVRLVPIRTMVAAAVPGPVVRPPSESSGNSLGLYYPILGRFQHVSSGHVNSEQRSQHSSQHHAAVPSTTDSMLERQTTDDSTRNGSLSTPSTRPEPSNSRVVNINILAASGPQNNQETERQIPSSVLQLLRSLFPGGEIHVEDSSVQGVTAGSDSDHAATSRGAAQVPEAQPNASEEGIFLSNMLREIMPVISQQVGLEGNPSEDHMAQASSTQVETDVGTSRRPGDSDSSPPNPKRQKME >Vigun03g365100.1.v1.2 pep primary_assembly:ASM411807v1:3:56852655:56866206:1 gene:Vigun03g365100.v1.2 transcript:Vigun03g365100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSTEKIPINTSAESSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSERQRLICQGKVLKDDQLLSAYHVEDGHTLHLVARQPDLPPPGSLPNHSVTEPNSSSSLASLSHSSQVAPGVFIETFNVPFQGDGVAPEINRIVSAVLGSIGLQNFASGEGIDVREHDSQGAGRISGSSGIFDSSHPQPEQSGFRILSDRSRNAFGAPAAVSLGSLQPPVIPDSLTTLSQYLSHISNEFDAIVREGGNIAQAAEAQRNVETRPVSSRSGSGSALEGFSSPTLLAEVLLSTRRMIVEQAGECLLQLSRQLENQADVTDPLLRSSIQSRALRTGVLFYNLGAFLLELGRTTMTLRLGQTSSEAVVNGGPAVFISPNGPNHIMVQPLPFQPGTSFGAVPVGAAQSSSSLGSGLGSSFFPRRIDIQIRRGTSSTSSNTNQEERNDTQPASVQRNPGENPVNQATSRRPDASFPGEPGVRLVPIRTMVAAAVPGPVVRPPSESSGNSLGLYYPILGRFQHVSSGHVNSEQRSQHSSQHHAAVPSTTDSMLERQTTDDSTRNVGSLSTPSTRPEPSNSRVVNINILAASGPQNNQETERQIPSSVLQLLRSLFPGGEIHVEDSSVQGVTAGSDSDHAATSRGAAQVPEAQPNASEEGIFLSNMLREIMPVISQQVGLEGNPSEDHMAQASSTQVETDVGTSRRPGDSDSSPPNPKRQKME >Vigun03g365100.4.v1.2 pep primary_assembly:ASM411807v1:3:56853336:56866091:1 gene:Vigun03g365100.v1.2 transcript:Vigun03g365100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSTEKIPINTSAESSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSERQRLICQGKVLKDDQLLSAYHVEDGHTLHLVARQPDLPPPGSLPNHSVTEPNSSSSLASLSHSSQVAPGVFIETFNVPFQGDGVAPEINRIVSAVLGSIGLQNFASGEGIDVREHDSQGAGRISGSSGIFDSSHPQPEQSGFRILSDRSRNAFGAPAAVSLGSLQPPVIPDSLTTLSQYLSHISNEFDAIVREGGNIAQAAEAQRNVETRPVSSRSGSGSALEGFSSPTLLAEVLLSTRRMIVEQAGECLLQLSRQLENQADVTDPLLRSSIQSRALRTGVLFYNLGAFLLELGRTTMTLRLGQTSSEAVVNGGPAVFISPNGPNHIMVQPLPFQPGTSFGAVPVGAAQSSSSLGSGLGSSFFPRRIDIQIRRGTSSTSSNTNQEERNDTQPASVQRNPGENPVNQATSRRPDASFPGEPGVRLVPIRTMVAAAVPGPVVRPPSESSGNSLGLYYPILGRFQHVSSGHVNSEQRSQHSSQHHAAVPSTTDSMLERQTTDDSTRNVGSLSTPSTRPEPSNSRVVNINILAASGPQNNQETERQIPSSVLQLLRSLFPGGEIHVEDSSVQGVTAGSDSDHAATSRGAAQVPEAQPNASEEGIFLSNMLREIMPVISQQVGLEGNPSEDHMAQASSTQVETDVGTSRRPGDSDSSPPNPKRQKME >Vigun11g137100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34634525:34634881:-1 gene:Vigun11g137100.v1.2 transcript:Vigun11g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGVRTSSSRKIRVVGGILKLKGVLEKLQKSVLLRRHKSGSCGRDYEEVGDVKEGHFVVIAKDNEEAKRLVVPLSFLKNSTFLKLLEEAAEEYGFDQHGALTIPCRPSELEMLLNQ >Vigun03g206200.1.v1.2 pep primary_assembly:ASM411807v1:3:33433395:33436964:-1 gene:Vigun03g206200.v1.2 transcript:Vigun03g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFSACSGSSSLFQGRNFFPFATKGSSSLHLKRPLTAKCVASLGTEISVPPAVDTFWQWLKEEGVISNKTPVKPGVVPEGLGLVALKDISRNEVVLQVPKRLWINPDAVAASEIGKVCSGLKPWLSVALFLIRERSKDDSLWKHYFGILPKETDSTIYWSEEELSELKGTQLLNTTLSVKEYVKNEFRRLEEEIILPNGKLFPSPITIDDFFWAFGILRSRAFSRLRNENLVVIPLADLINHSARVTTEEHAYEIKGPAGLFSWDYLFSLRSPLSLKAGDQVYIQYDLNKSNAELALDYGFIEPNGERNAYTLTLQIFESDPFFGDKLDIAESNGFGETAYFDIFYNRPLPPGLLPYLRLVALGGTDAFLLESIFRNSIWGHLELPVSRDNEELICRVLRKTCKTALAGYHTTIEEDQKLKEAKLDSRLAIAVGIREGEKQLLQQIDEIFEEKELELPQLEYYQERRLKDLGLCGESGDILGDLGKFF >Vigun04g080151.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:11416781:11421776:1 gene:Vigun04g080151.v1.2 transcript:Vigun04g080151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLLVAFDRVASPEVLDFFRRRKLDEKLLSKLNITLMMQNKSSSEIPTSKHGFLLSTTLSLMQRFSWMKLTMNSSNATWKLNLRLKA >Vigun03g010166.1.v1.2 pep primary_assembly:ASM411807v1:3:703315:705316:-1 gene:Vigun03g010166.v1.2 transcript:Vigun03g010166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFELGLEKPDPRRALHSAMTIAVAYIVGGLVPLVPYMFIRRASEAVLFSVMVTLVALLVFGYAKGHFTGNKPFRSAFETALIGAIASAAAFGMAKAFNP >Vigun09g198300.1.v1.2 pep primary_assembly:ASM411807v1:9:37317167:37322156:-1 gene:Vigun09g198300.v1.2 transcript:Vigun09g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTESDLDNDFPNLDELRYLNLAGTDVFAAFQNLLPDAMTSFSTCGLTDPFSSQNLTPKHSTITATIDSRSSICGTANVGSPVSANKPEGWKNHTKGTTSGSSDPSDEDGPCEQSTNSVELKRLRRKVSNRNSARRSRRRKQAQLADLELQVEKLKVENATLYKQFNDASQHFREADTNNRVLKSDVEALRAKVKLAEDMVTRGSFTTLNNQLLPTQCQMNTPPPLNTTNLRRMAQVSPTITVHGNDGSYNDATVCVQNSDLGNLDMNFNDMNNVNGVMSDGMSCGSIWPPF >Vigun03g253100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41936818:41939151:1 gene:Vigun03g253100.v1.2 transcript:Vigun03g253100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIVDWSELPLELWPKIGKSLENHMDIVRFRSVCESCRSSIPLCLPNSPSFPMQIPQPMNQSIDTVLSQATVFVIEPSFGASNLEPLAPSSKGWLIKVEESKNHSLTLLSPISDRKILYPHGATSPMLWNLLDYRVTELCKSYTIHSRLSATVSKVVFFPNSPWIAVEDSMACCIFLEGRLGFLKHGDEKWTLVDDKNFFYDDVIVFNSQFYVTDKWGTISWVDTSSLKLVQFSPPLCGFGNKKHLVESCGSLYVVDRFYESELPRRWNYVGRADRDAAVEYFKVYKLDEEWGTWVDVKNLGDRAFVLGKSCSFSVSAKQITGYQENCIYFTDIFNVRAYNLEDRSIVASDFDPCIDKSLCPNSSWPRIAGQNQLRNN >Vigun06g029000.4.v1.2 pep primary_assembly:ASM411807v1:6:12858441:12860727:1 gene:Vigun06g029000.v1.2 transcript:Vigun06g029000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQASNLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCKNQCKGWVENLTRKPQL >Vigun06g029000.3.v1.2 pep primary_assembly:ASM411807v1:6:12858441:12860728:1 gene:Vigun06g029000.v1.2 transcript:Vigun06g029000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQASNLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCKNQCKGWVENLTRKPQL >Vigun06g029000.2.v1.2 pep primary_assembly:ASM411807v1:6:12858441:12860727:1 gene:Vigun06g029000.v1.2 transcript:Vigun06g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVSSSSNSCTKKYDVFISYRGKDKRRNFSTHLYEALVQKKVETYVDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYNTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQASNLAGWSSQNYRTDSELLKDIVEDVLEKLPPRCKNQCKGWVENLTRKPQL >Vigun10g106100.1.v1.2 pep primary_assembly:ASM411807v1:10:30456209:30458448:1 gene:Vigun10g106100.v1.2 transcript:Vigun10g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLILCLTFPLFLLFFFKYSTTSKNPSFPPGPRGLPIVGNLHQLESSSLHMQLRQFSKKYGPLFSLQLGLRRVIVVSSPKLAKVVMKDHDLECCDRPNLLGQQKLSYNGLDIAFSPYNSYWREIRKICVIHVFSSKRVSCFSSVRNFEVKQMIKTISRHASTSEVTNLNQLLTSLNNTIICRIALGRRYEEEGTERSMFHRLFSECEAMIGGILFFSDYIPFMGWIDKLRGLHARLQRSFKEMDKFYQQVIDEHMADSHKNTPHEDDIVDVLLQLKKHYSFSIDITNDNIKAVLMNILIAATDTTAATVVWAMTLLLKHPRIMKKVQEEVRSLSGEKAFLDEDDVQKFPYLKAVIKETLRLHPPAPLLIPRETRKKVMIVDYEIPAKTIIYVNAWAIHRDPEAWEDAEEFIPERFLNSSVDLRGQDFCLIPFGAGRRMCPGLHMGLASLDVILSNLLYSFDWELPEGMKSEDIDTETLPGLTQHKKNPLCVMANCQM >Vigun04g154000.1.v1.2 pep primary_assembly:ASM411807v1:4:37243148:37247467:1 gene:Vigun04g154000.v1.2 transcript:Vigun04g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METASTLTAFLRHVAAKFPSRRALSVAAKFDLTHSRLHQLVESAAQRLLAAGIKPGDVVALTFPNTVEFVIMFLAVIRVRATAAPLNSAYTAEEFEFYLTDSESKLLLTSSEGNNAAQAAASKLNIAHATASVTQAENNEAELSLSLSQSDSVNSVDSVESVVNDPDDVALFLHTSGTTSRPKGVPLTQHNLLSSVQNIKSVYRLTESDSTVIVLPLFHVHGLIAGLLSSLAAGAAVALPAAGRFSASSFWKDMVKYNATWYTAVPTIHQIILDRHANNPEPVYPRLRFIRSCSASLAPVILGKLEEAFGAPVLEAYAMTEASHLMASNPLPQDGPHKAGSVGKPVGQEMVILDQTGRVQEAEVSGEVCIRGPNVTKGYKNNVDANTAAFEFGWFHTGDVGYLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDIAQAVAFGAPDAKYGEEIYCAVIPREGSNVDEAEVLRYSKKNLASFKVPKKVFITDSLPKTATGKILRRLVGEHFVSQI >Vigun04g199300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42357375:42357988:1 gene:Vigun04g199300.v1.2 transcript:Vigun04g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKTMKTLYWLLILALLLKDSESIDQLAPHKVTVAITNKLLHNKELSLHCKDKHHDLGPVTLKGGETYRFRFQPNFWIHATLYFCRFVWSGGDHRFDIYVEDRDMYCNDNLCSWEIMEKRPCDVSNGILYRKCYEWDKASSEKNNTLSS >Vigun01g250950.1.v1.2 pep primary_assembly:ASM411807v1:1:41738225:41738870:-1 gene:Vigun01g250950.v1.2 transcript:Vigun01g250950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVSSSTQIKVRCHNQHEILVVTQFLISFAFIFKLKMIAE >VigunL058000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000440.1:62113:63246:1 gene:VigunL058000.v1.2 transcript:VigunL058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNHVSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGFRFPQNPLLVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILWTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun01g114800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29098134:29100783:1 gene:Vigun01g114800.v1.2 transcript:Vigun01g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKPNMNNKTNSNNSSSIGMGMLLVLFSQDNKSSITDKPKLTLLSPSKSLLSKAQSTISICLLLFFTTLLLFTLSTFPSTSLSTKPTSHTLSRTPHTPFALQRMGTLHRRGTKSMSDLLIAHVPDDTPLDDFRLFLRLLHRSYLTASSDVVFLFPSPSSSSKFTPIISQENHAFSTLLHLHARLNSTRWRHHPNSSFHPNRFRQHQQQQQQKEPLWGPKTKTNLTASSLGYGYGSVLSFHASELDPENSLAGFLDRVPLSLRRWACYPMLLGRVRRSFKHVILADVKTLLILKDPLARLRNPSPDSVLMFSKQEKHGKRTQRVVFSSVIIGGARGVRRLSGAMAVEIVRAATQHRKRKNPVTESAILSQLVGGSGFVLKNKNVDLVASPESIPEAGGSSPTSFWDFPMVLRGVSNHDLNYVIRRQMCSSVLDSSVYRDC >Vigun03g236400.3.v1.2 pep primary_assembly:ASM411807v1:3:39314362:39317454:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGVVHWLRPLVETNVWDYVVLWKYGDDPTRFIEWIGCCCRGSCSMNIGVEAKEEKGEEYRLAPICRDDYLQHHVRTKACEALAQLPFALSLYSGSVHGEVAISQQSRWLTKDSIGTQVLVPVVGGLIELFSEKLIPMDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQRLFIT >Vigun03g236400.2.v1.2 pep primary_assembly:ASM411807v1:3:39314362:39317454:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGVVHWLRPLVETNVWDYVVLWKYGDDPTRFIEWIGCCCRGSCSMNIGVEAKEEKGEEYRLAPICRDDYLQHHVRTKACEALAQLPFALSLYSGSVHGEVAISQQSRWLTKDSIGTQVLVPVVGGLIELFSEKLIPMDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQAEVHHIGKKDFLIKLYCEQKQGRFSKLMEAIHSIGLQVASANMTTFDDKVLNILTVKATKKDIQPTKLKEYLIQKTSEDRQSR >Vigun03g236400.5.v1.2 pep primary_assembly:ASM411807v1:3:39315208:39317455:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQAEVHHIGKKDFLIKLYCEQKQGRFSKLMEAIHSIGLQVASANMTTFDDKVLNILTVKATKKDIQPTKLKEYLIQKTSEDRQSR >Vigun03g236400.6.v1.2 pep primary_assembly:ASM411807v1:3:39315208:39317455:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQRLFIT >Vigun03g236400.4.v1.2 pep primary_assembly:ASM411807v1:3:39314362:39317454:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGVVHWLRPLVETNVWDYVVLWKYGDDPTRFIEWIGCCCRGSCSMNIGVEAKEEKGEEYRLAPICRDDYLQHHVRTKACEALAQLPFALSLYSGVHGEVAISQQSRWLTKDSIGTQVLVPVVGGLIELFSEKLIPMDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQRLFIT >Vigun03g236400.1.v1.2 pep primary_assembly:ASM411807v1:3:39314362:39317454:1 gene:Vigun03g236400.v1.2 transcript:Vigun03g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGVVHWLRPLVETNVWDYVVLWKYGDDPTRFIEWIGCCCRGSCSMNIGVEAKEEKGEEYRLAPICRDDYLQHHVRTKACEALAQLPFALSLYSGVHGEVAISQQSRWLTKDSIGTQVLVPVVGGLIELFSEKLIPMDMNIIEFITAHGCVSLKQEAISAQSYTSLNIIEHLPLREQYSHWWLPHMSTTLTPIVHQPPTKQCTSHPSIEGPPSGSNPSTEEPSFDSKFVGLIPDEYLKQSDKMSPIPKTKMPKYNKTSGKQQRGLSSHCSDGEEGKSKLVKEPQKEGYQAKNLVTERNRRNKIKKGLFTLRSLVPKITKMDRAAILADAVDYIKELQAQVKELKDEARALEVQDSENTPQLRKTANKEQEGTRSNTLNQSSSDCTKNMQMEVQAEVHHIGKKDFLIKLYCEQKQGRFSKLMEAIHSIGLQVASANMTTFDDKVLNILTVKATKKDIQPTKLKEYLIQKTSEDRQSR >VigunL026801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000031.1:18890:19360:-1 gene:VigunL026801.v1.2 transcript:VigunL026801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVMLVIKVVVVVVVVVLVVVVVVVVVVVVVVMVMVMVMVMVMVMVMVVVVVMVVVVVVKVVLMVVVVMLVMVMVDVVVVVVVVVVRHVVVMVVVVDVVVMVVLVVVVVVVMLVMVVVEVVVMVVVMLVVVVVAKVVVVMVEVGGKKLMSSNKL >Vigun01g145100.1.v1.2 pep primary_assembly:ASM411807v1:1:32717046:32722663:1 gene:Vigun01g145100.v1.2 transcript:Vigun01g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTKPSAGKTKLLNPWMLHFQKLALELKCALCLSLFKRPVLLPCNHLFCDSCLADCAAAGAGSECAVCNAKYAQTDVRHVPFVENVVAIYKSLEATFCPSLFHKHSSGDERVLEPCQAVLNSTSSSIQARKLPMNLPNLNKVGVVKNHKSKIAGHDKAEELEFSHGRGKPNVMQSSHVEMDVNQVTQSAPDSPLFCDTKGSDNDCSDQDSEHQLPPDRLGNSSLKRASTGNGNLNEKMGQLRSESSASETEGLERDPKRHKILGLKSGKVPGAQLPADTSNDFSPTGSTCSFCQSSKTSEATGPMLHYANGNLVTGDAATKPNVIPVHRVCIDWAPQVYFVGEVVKNLKAELARGAKLKCSKCNLKGAALGCYVKSCRRTYHVPCALDISTCRWDHEDFLLLCPLHSNVKFPCEKSRSKKQAVRKHPNLPQLPPHHSNTLEVSQDDGKNMVFCGSALSNEEKVLLLNYASKVGATVTKFWTSNVTHVIASTDANGACSRTLKVLMAILNGRWVLKLDWIKACMEEKNPVEEEPYEISVDNQGCQGGPRAGRLKALANEPKLFSDLKFYFSGDYVSTYKEDLEELIEVGGGAVLRSKEELVAQRQECKVNSPKLLVVYNLDPPQGCKLGEEVSILWQRLNDAEDLAANTLQVIGHTWILESIAACNLQPFVN >Vigun10g045400.4.v1.2 pep primary_assembly:ASM411807v1:10:6660313:6664717:1 gene:Vigun10g045400.v1.2 transcript:Vigun10g045400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGNSSFVTPLPLYCEHLSATEVSGQQVQNTVVKDEPGSSNASGSNLSKEESSQQSLGPPVKRRKQHLRKHAHDQEPCFMRGVYYKNMKWQAAIKVDKKQIHLGTVESQEEAAHLYDRAAFMCGREPNFELQEEEKMELCKLKWEDFLAMTRQAIISKKHKRKHSPEAKDVNMEVSVHSDDRKVVNLNQQTTVSKDL >Vigun10g045400.1.v1.2 pep primary_assembly:ASM411807v1:10:6660100:6664735:1 gene:Vigun10g045400.v1.2 transcript:Vigun10g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGNSSFVTPLPLYCEHLSATEVSGQQVQNTVVKDEPGSSNASGSNLSKEESSQQSLGPPVKRRKQHLRKHAHDQEPCFMRGVYYKNMKWQAAIKVDKKQIHLGTVESQEEAAHLYDRAAFMCGREPNFELQEEEKMELCKLKWEDFLAMTRQAIISKKHKRKHSPEAKDVNMEVSVHSDDRKVVNLNQQTTVSKDL >Vigun10g045400.2.v1.2 pep primary_assembly:ASM411807v1:10:6660125:6664717:1 gene:Vigun10g045400.v1.2 transcript:Vigun10g045400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGNSSFVTPLPLYCEHLSATEVSGQQVQNTVVKDEPGSSNASGSNLSKEESSQQSLGPPVKRRKQHLRKHAHDQEPCFMRGVYYKNMKWQAAIKVDKKQIHLGTVESQEEAAHLYDRAAFMCGREPNFELQEEEKMELCKLKWEDFLAMTRQAIISKIVPYKMFNRA >Vigun10g045400.3.v1.2 pep primary_assembly:ASM411807v1:10:6660118:6664717:1 gene:Vigun10g045400.v1.2 transcript:Vigun10g045400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFVTPLPLYCEHLSATEVSGQQVQNTVVKDEPGSSNASGSNLSKEESSQQSLGPPVKRRKQHLRKHAHDQEPCFMRGVYYKNMKWQAAIKVDKKQIHLGTVESQEEAAHLYDRAAFMCGREPNFELQEEEKMELCKLKWEDFLAMTRQAIISKKHKRKHSPEAKDVNMEVSVHSDDRKVVNLNQQTTVSKDL >Vigun02g078600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23081747:23083435:-1 gene:Vigun02g078600.v1.2 transcript:Vigun02g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGA >VigunL080100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000607.1:114339:122316:-1 gene:VigunL080100.v1.2 transcript:VigunL080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHCIMVIIYYLDPLAKDINMRQDLKKLFDMVIQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKCL >Vigun05g140000.1.v1.2 pep primary_assembly:ASM411807v1:5:17124024:17126345:1 gene:Vigun05g140000.v1.2 transcript:Vigun05g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYMWRKYADYLYTKWEKTFLWDMVEPYRRPKSFTPLVTIYVAAFYSGVIGAAITEQLYKEKYWEEHPGKVVPLMRPKFYWGPWRIMGGDVPKFE >Vigun01g240500.1.v1.2 pep primary_assembly:ASM411807v1:1:41059502:41061620:1 gene:Vigun01g240500.v1.2 transcript:Vigun01g240500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKELIGRLKDKASQGKAAILSKRATLSLLRATSHDPFTPPTSNHISALLIAGDGSRATASAVMELLMDRLQSTQSSAVALKCLIAVHYVLKRGSFIMRDQLPYRCGGSGGRNYLNLSKFRDRSSPVSWELSSWVRWYAKHVEQLLWASRIVGFFLGVEAQEDSSQGMKAGEERASGLSNGEVLTETEALLAVIEGIGGIPDAASMEGNKVVGEISSMVEEDVIVALSEVLVRVNELRERFGCLSFGEVVELVYVMNRLEKCKEVVEEVVVVAEKQRLLWDAVREVKEKVEKKVFREEEKTMRTQRHRATKSDRFQFPTFFIDSVDLVRFPSARLLL >Vigun08g175150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34529034:34529378:1 gene:Vigun08g175150.v1.2 transcript:Vigun08g175150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCVYIYMDTVRSNMCILNLVIFMKHLWCFRVSLRGGAWPDYRLIVIKWSMMGGFTNAVSRVWYWIRVPRMTVVWLRVVGWQYSMKDSDIQNSTQTHTKTRTRRNNKPETKTM >Vigun04g100800.1.v1.2 pep primary_assembly:ASM411807v1:4:23489836:23490574:1 gene:Vigun04g100800.v1.2 transcript:Vigun04g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCSINTLKDYTYICCGSRSTFLLANRGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLLMGRLAYFSKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESIEGHH >Vigun04g118750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30383164:30384468:1 gene:Vigun04g118750.v1.2 transcript:Vigun04g118750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPVIETNKGKRRRRASSSSPERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFFGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGLTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQQEEPQLDQQAEDQPAAEQPAREEQQTGPTQQPQLNMNNELLEHMRYLRLQMEHTHQQNASIHRGQLHLQEYLHQNVRGPYPSMTPPEFFTYLQWPGDSPIFPGEGGPDAGEGPSGAADTDGADIEDEIDFGRD >Vigun09g102700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:17601621:17602714:1 gene:Vigun09g102700.v1.2 transcript:Vigun09g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGIYATTPSYASTNHVQNAIMLAAKPPTETATTLGGFVNVDTDTTRHCTTYIRAKHRHTVSFARVFFGPPRPPPRLPFCQKDCHQLLMLLTSPSSLSISHPQPMSTC >Vigun04g203600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42616952:42617413:1 gene:Vigun04g203600.v1.2 transcript:Vigun04g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASALRAGAMASSMVSVSPNCYCNCNCSSTTMMHMRKTTKMPLSVAMKSKFVRGVRCEVAINAVEESTTSAEAKVGARVKVKEGVKVYHVPKVPELDLTGMEGEIKQYVGLWNGKRISANLPYKVQFVTEIQGRGPVKFLAHLKEDEFDYL >Vigun08g184000.1.v1.2 pep primary_assembly:ASM411807v1:8:35328166:35331763:-1 gene:Vigun08g184000.v1.2 transcript:Vigun08g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGGTNESDNKGETNQQNDNEGGTANAPPQSKPNHPPTKPSKPAPIGPVLGRPMEDVRSTYSMGKELGRGQFGVTHLCTHKSTGKQYACKTIAKRKLVNKEDIEDVKREVQIMHHLSGQPNVVELVSVFEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLSSKDENAPLKATDFGLSVFYKQGEVFKDIVGSAYYIAPEVLKRKYGPEVDIWSIGVMLYILLSGVPPFWAESENGIFKAILRGHIDFTSDPWPSISPAAKDLVRKMLNSDPRQRLTAYEVLNHPWIKEDGEAPDKPLDNAVLNRLKQFRAMNEFKKVALRVIAGCLSEEEIMGLKQMFRGMDTDNSGTITIEELKQGLAKQGTKLTEQEVKQLMEAADADGNGTIDYDEFITATMHMNRMNKEDHLYTAFQYFDKDNSGYITIEELEQALIEFNMHDGRDIKDIISEVDADNDGRINYDEFAAMMNKGNLEVNTKKRRDSSLF >Vigun09g148900.3.v1.2 pep primary_assembly:ASM411807v1:9:31225495:31229325:-1 gene:Vigun09g148900.v1.2 transcript:Vigun09g148900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGASWFSSVKKVFKSSSNKDSPLPEKKKDNKKEDQWRQQQQQEEAPEEVSLEHFPAESSPDITYEGSATSTPVTEDRTHAIAFAAATAAAAEAAVAAAQAAARVVRLAGYGRQSTEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMHALVRVQARVRARRLQLTQEKFQRRVEEQVQRGLEEQQQPNSLLSPIKMLDMDTWDNRRQSSQQIKEDNLRKHEAAMKRERALAYAFNCQQQKQNPNDDDIGSYGNERERAQMDWNWLERWMLSQSQNSRHFGSRESLYRTLATATSTTDEMSEEKTVEIDMAATLDSAHANMGLIHRESFDTSPISNRYHQRHHSAGVPSYMAPTQSAKAKARNQGLFKHRGSPGPHWNSSTTRSSALGLGCDSSSSGGPTAAHGFPRSPTPKINEIRLQSRRISSSSPDNVHIEDWALPLGTGGWT >Vigun09g148900.4.v1.2 pep primary_assembly:ASM411807v1:9:31225495:31229325:-1 gene:Vigun09g148900.v1.2 transcript:Vigun09g148900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGASWFSSVKKVFKSSSNKDSPLPEKKKDNKKEDQWRQQQQQEEAPEEVSLEHFPAESSPDITYEGSATSTPVTEDRTHAIAFAAATAAAAEAAVAAAQAAARVVRLAGYGRQSTEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMHALVRVQARVRARRLQLTQEKFQRRVEEQVQRGLEEQQQPNSLLSPIKMLDMDTWDNRRQSSQQIKEDNLRKHEAAMKRERALAYAFNCQQKQNPNDDDIGSYGNERERAQMDWNWLERWMLSQSQNSRHFGSRESLYRTLATATSTTDEMSEEKTVEIDMAATLDSAHANMGLIHRESFDTSPISNRYHQRHHSAGVPSYMAPTQSAKAKARNQGLFKHRGSPGPHWNSSTTRSSALGLGCDSSSSGGPTAAHGFPRSPTPKINEIRLQSRRISSSSPDNVHIEDWALPLGTGGWT >Vigun09g148900.1.v1.2 pep primary_assembly:ASM411807v1:9:31225495:31229325:-1 gene:Vigun09g148900.v1.2 transcript:Vigun09g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGASWFSSVKKVFKSSSNKDSPLPEKKDTVDVGIFHFQKDNKKEDQWRQQQQQEEAPEEVSLEHFPAESSPDITYEGSATSTPVTEDRTHAIAFAAATAAAAEAAVAAAQAAARVVRLAGYGRQSTEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMHALVRVQARVRARRLQLTQEKFQRRVEEQVQRGLEEQQQPNSLLSPIKMLDMDTWDNRRQSSQQIKEDNLRKHEAAMKRERALAYAFNCQQQKQNPNDDDIGSYGNERERAQMDWNWLERWMLSQSQNSRHFGSRESLYRTLATATSTTDEMSEEKTVEIDMAATLDSAHANMGLIHRESFDTSPISNRYHQRHHSAGVPSYMAPTQSAKAKARNQGLFKHRGSPGPHWNSSTTRSSALGLGCDSSSSGGPTAAHGFPRSPTPKINEIRLQSRRISSSSPDNVHIEDWALPLGTGGWT >Vigun09g148900.2.v1.2 pep primary_assembly:ASM411807v1:9:31225495:31229325:-1 gene:Vigun09g148900.v1.2 transcript:Vigun09g148900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGASWFSSVKKVFKSSSNKDSPLPEKKDTVDVGIFHFQKDNKKEDQWRQQQQQEEAPEEVSLEHFPAESSPDITYEGSATSTPVTEDRTHAIAFAAATAAAAEAAVAAAQAAARVVRLAGYGRQSTEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMHALVRVQARVRARRLQLTQEKFQRRVEEQVQRGLEEQQQPNSLLSPIKMLDMDTWDNRRQSSQQIKEDNLRKHEAAMKRERALAYAFNCQKQNPNDDDIGSYGNERERAQMDWNWLERWMLSQSQNSRHFGSRESLYRTLATATSTTDEMSEEKTVEIDMAATLDSAHANMGLIHRESFDTSPISNRYHQRHHSAGVPSYMAPTQSAKAKARNQGLFKHRGSPGPHWNSSTTRSSALGLGCDSSSSGGPTAAHGFPRSPTPKINEIRLQSRRISSSSPDNVHIEDWALPLGTGGWT >Vigun09g148900.5.v1.2 pep primary_assembly:ASM411807v1:9:31225495:31229325:-1 gene:Vigun09g148900.v1.2 transcript:Vigun09g148900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGASWFSSVKKVFKSSSNKDSPLPEKKDTVDVGIFHFQKDNKKEDQWRQQQQQEEAPEEVSLEHFPAESSPDITYEGSATSTPVTEDRTHAIAFAAATAAAAEAAVAAAQAAARVVRLAGYGRQSTEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMHALVRVQARVRARRLQLTQEKFQRRVEEQVQRGLEEQQQPNSLLSPIKMLDMDTWDNRRQSSQQIKEDNLRKHEAAMKRERALAYAFNCQQKQNPNDDDIGSYGNERERAQMDWNWLERWMLSQSQNSRHFGSRESLYRTLATATSTTDEMSEEKTVEIDMAATLDSAHANMGLIHRESFDTSPISNRYHQRHHSAGVPSYMAPTQSAKAKARNQGLFKHRGSPGPHWNSSTTRSSALGLGCDSSSSGGPTAAHGFPRSPTPKINEIRLQSRRISSSSPDNVHIEDWALPLGTGGWT >Vigun02g025900.1.v1.2 pep primary_assembly:ASM411807v1:2:8707401:8719209:-1 gene:Vigun02g025900.v1.2 transcript:Vigun02g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALYSGTVFVPPLSHKTPKHVRSICFNPSTSLSRSRFCVTVVASSLSRRVFRVHGLMGDESGTAPNPELPNSEAGVSIDLNLPRRSLLVQFTCCVCGERTKRLVNRLAYERGAVFVQCAGCLRHHKLVDNLGLITEYDFREKTNIDSETDRV >Vigun07g175850.1.v1.2 pep primary_assembly:ASM411807v1:7:29098333:29099665:1 gene:Vigun07g175850.v1.2 transcript:Vigun07g175850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQIKIKQSSLLLSQITLSLPQFFPHRRMKELRGMVSGSRPFILKQSSSITLRMISDQASFPLAQSICCHLLINNLIHALFHDLATNHLTFILSSPTEFNCLVT >Vigun04g023400.1.v1.2 pep primary_assembly:ASM411807v1:4:1778597:1782218:-1 gene:Vigun04g023400.v1.2 transcript:Vigun04g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSRLCPCLCKGGATSGDGDGDDHPDDPSGPGDSLDLIFDLHTLQLATNFFSNLNQLGHGGFGPVFKGMMPNGQEVAVKKLSLESRQGVKEFTNEVMLLLRIQHKNLVTLLGCCAEGPEKMLVYEYLPNKSLDHFLFDKRKSSSLDWAKRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDDKLIPKISDFGLARLFPGEDTHVHTFKISGTHGYMAPEYALRGYLSVKTDVFSYGVLVLEIVSGRKNQDMRLGQEKADLLSYAWSLYQGGRLMELIDPTLGKYNGDEAAMCIQLGLLCCQASIIERPDMNAVHLMLSSDSFTLPRPGKPGIQGRTGRWTTTTSALTNTNASNTTRASGGSGSFVDDYSRNSISTSSFDEGR >Vigun11g094000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27675399:27676412:1 gene:Vigun11g094000.v1.2 transcript:Vigun11g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGDIVQVEGGHIVRSSGRKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPPTHDEEKQNDAAGSSGIVAVEQQQEEHHHHQQQQSESCGYNFQLQRQLGAFISTHVDTDPINFQTNNSSEDLGLSLHCFQDHPGLINWPSQQGANQAPPSNEHQIQTLFAGSTPVAFENHYQRTVTWNNEATTGDHVSRMGFFLNSHPFLGQASASAYAQSETLQSSFSFPVTSSEHQRPQPVHQSSIFGSRFVSDGLAGFCIPDRIQSVEENHGVASNRPSTDIAIPIFLHPYPLHVTLYFTLFSLTK >Vigun07g177700.1.v1.2 pep primary_assembly:ASM411807v1:7:29314646:29323243:-1 gene:Vigun07g177700.v1.2 transcript:Vigun07g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSCGKEREKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRAIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun01g211700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38600243:38601016:-1 gene:Vigun01g211700.v1.2 transcript:Vigun01g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTFILQTKMRVLQLFLLTSLCLVTNVLTLNSCSNCGDLVVPYPLSTNENCGDTRYKVYCNDEQLEFLSATGTYYKILRIDPSANKLVIMPPPILKNTCYSSDLLMGGLLLDESLPFNISTQNTVLLFNCTNNILQSPLNCTSNSICRQYEKKVEEGFGCMNTLCCHYLKDSAMNSHKIRVRIGSCTAYTCLVGFTPDDPLQTWNYGIELQWLSPN >Vigun02g202600.1.v1.2 pep primary_assembly:ASM411807v1:2:33671257:33673963:1 gene:Vigun02g202600.v1.2 transcript:Vigun02g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLGSEEVNRHGSLMLVMDEEATKSNPKLTLLPLIALIFYEVSGGPFGVEDSVRGGGGPLFSLLGFFIFPLIWSIPEALVTAELATTFPQNGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYIKQSLPLFDQFTARIPALLVITFLLTYLNYRGLHIVGFSAVMLALFSLSPFLIMALLSIPLIRPRRWLAVDFGKVEWRRYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALIGGLVLVVSSYLIPLLAGTGALESSPSDWADGYFAQVGMFIGGSWLKLWIQVAAAMSNLGLFEAEMSSDAFQLQGMSKMGMLPAVFASRSKYGTPTVSILFSATGVIFLSWMSFQEIIEFLNFLYAVGMLLEFAAFITLRLNKPNLYRPYRVPLPTFWAAMLCLPPALLLILVMCLASSRTFIVSGAVILLGFILYPILVQAKNKNWILFEEDSPCLHSSGWQQCHSVVSELTDLDQENKGVELLVASPFASGEEELRLVQSDSKV >Vigun03g135100.1.v1.2 pep primary_assembly:ASM411807v1:3:13227754:13232844:1 gene:Vigun03g135100.v1.2 transcript:Vigun03g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKDAVLLLLDVGPSMHSVLPEIEKACSLLVEKKMIYSKSDEVGIVLFGAEDTDNELTTEIGGYQHVVVLKKIKVVDGDIVEALQQLPRGTTDGDFLDAVIIGMDMLIKKFDQTIKGKKRLCLITNAKCPIKEPDEGTKEEQVTIIAKQLTAHGMKMESIIFRGKLGQDANREIMDENDRLLNIFSKETSTRLLYLENPISLFGALKTRNITLVTIFRGDLEIRPNLSIKVMVYKKTAQEKFPTLKKYSNKAPPNDQYAKHELKTDYEHKSCEDRDKVLPPHQRIKGYAYGPQIVPISSAHWDAFKFKPEKGVKLLGFTDSSNVFRHHYMKDVCVFLPQPGNTRAMLAVSALARAMKEKDKVAILRCVWRKGQSNAIIGVLTPNLSDKENIPDSFYLNVLPFAEDVREFQFPSFSNFPASWQPNEQQLEAAANLIKTLDLAPHGQEEVLLPDFTTNPVLERFYRFLELKSKDPDVAVPPIDETLKKITEPDADLLLQNKSVIDSFCRSFELKGQPLKKSRRLWGGKRSSTNDEPANVIEKASVEVEKIGDLTPAQDFEALISRRDSPNWVVKAIDEMKNKIFDLVEDSHEGDNYPKALECLVVLRKGCILVQEPKQFNDFLKHICKFCRENRLHSFCQYLASKDLTLISKTEAVDSDVTDEEARCFLVKSEPKVE >Vigun05g031900.1.v1.2 pep primary_assembly:ASM411807v1:5:2524865:2530330:-1 gene:Vigun05g031900.v1.2 transcript:Vigun05g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICARLKAKPAPPHSTAAKKRSSSRRERKLDDAAIREQAIAAAILFKQHQQQQQNFDRSTSLRYPNGVSKKSSSSSNTLPRSSSSRARSLTDPLLQPHQLLNQDVKVDDLETNHIVLVHGGGFGAWCWYKSIALLEESGYKVAAIDLTGSGVSSFDTNSITSLSQYVKPLTDFLEKLPEGKKVILVGHDFGGACISYAMEMFPLKISKAVFIAAAMLTNGQSTLDIISQQAGSDDLMQQAQVFIYANGNDLPPTSFDLDKSLLKDLLFNQSPSKDVALASVSMRSVPFAPVLEKVSLSDLKYGSVRRFYIQTLEDNAIPISLQENMINANPPEKVFRLKGADHSPFFSKPQALHKLLVEISKIL >Vigun05g031900.2.v1.2 pep primary_assembly:ASM411807v1:5:2524865:2529099:-1 gene:Vigun05g031900.v1.2 transcript:Vigun05g031900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKAIGFWKGSSVMDVKVDDLETNHIVLVHGGGFGAWCWYKSIALLEESGYKVAAIDLTGSGVSSFDTNSITSLSQYVKPLTDFLEKLPEGKKVILVGHDFGGACISYAMEMFPLKISKAVFIAAAMLTNGQSTLDIISQQAGSDDLMQQAQVFIYANGNDLPPTSFDLDKSLLKDLLFNQSPSKDVALASVSMRSVPFAPVLEKVSLSDLKYGSVRRFYIQTLEDNAIPISLQENMINANPPEKVFRLKGADHSPFFSKPQALHKLLVEISKIL >Vigun07g150200.1.v1.2 pep primary_assembly:ASM411807v1:7:26054261:26062176:1 gene:Vigun07g150200.v1.2 transcript:Vigun07g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSKDNGGSKSSNGFVPSSFKFISSCIKTASSGVRSAGASVAASISGEGHDRKDQVLWACFDRLELSPSSFKHVLLLGYSNGFQVLDVEDASSVRELVSRRDDPVSFLQMHPVPAKSEGCEGFGASHPLLLVVACDKSKIPGKMLNVRDGHNEAQAENIVSSATAVRFYSLRSHTYVHALRFRSTVYMVRCSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLGGQGMIGVNVGYGPMAVGPRWLAYASNSPLLSNTGRLSPQSLTPPAGSPSTSPSSGNLVARYAMESSKHLAAGLINLSDMGYKTLSKYYQDLIPDGSSSPVSSSSSWKVSRFASNSTETDTAGMVVVKDFVSRAIVAQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSRNGSGSQSSDWSCSHVHLYKLHRGMTSAVIQDICFSHNSHWVAIISSKGTCHIFVLAPFGGETVLKMHDQDTDGPALLPVFPLPWWFTPHFTVNQQQLSLTPPPPIVLSVVSRIKNSSAGWLNTVSNAASSAAGKVSIPSGAVSAVFHSSIPHVSQNAYSKIHAMEHLLVYTPSGHLIQYKLLPPLVAESSETTSRTALVPLAQIQEEDLRVKVEPVQWWDVCRRYDWPEKEVCVLGSTVGGLEAEEMILDNSDYEVNRIGSNNSIKLNKQCHFSNAEVHISSGRIPIWQESKVSLFVMSPLEAGVPDLYELSTRGEIEIENIQANEIEIKQKDLLPIFDHFHRIQSTWGDRGIVMGRCSSSSSDSHGTEEKLSEEAAFFNSKLTVPAQAEKTFVGASSFDNAITAKVKSSDHDKANDSFNSSSSGCDLNMNVICEESIRDSPDFEQFFQEGYCKASVDCQESREVITEVDCSSPCGREKSDEDGDNDDMLGDVFDFSEEG >Vigun05g302700.2.v1.2 pep primary_assembly:ASM411807v1:5:48531223:48534888:1 gene:Vigun05g302700.v1.2 transcript:Vigun05g302700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAAVLTLTMFVMLGNMIKRDHFDSLQEKLPGGSEDSNFETVKFDATHVRKNIGLWKGDVDDLKPCWVKPSADDVEQTEGFVTFALTNGPEYHISQIADAVIVARSLGATLVIPDIRGSQPGDRRNFEDIYDVDVFTKSLEGVVRVVKDLPGRVSTRNIAAVKVPNRVTEDYIAEHVEPIYRTKGSVRLATYFPSINMRKAGKKGDTDSVACLAMFGSLELQPEMHEVVDSIVERLRTLSRNSDGQFIAVDLRVEMLDKKGCQNSDNDGEKSCYNAQEIAVFLRQIGFDKDTTVYVTESRWDSSLDSLKDLFPKTYTKEAIMPADKKKKFLDSEFEKVIDFYISAESDVFVPAISGLFYANVVGKRIGSGKTRILVPASSASASNFLSPYVSNKNHFAYSCYC >Vigun05g302700.3.v1.2 pep primary_assembly:ASM411807v1:5:48531223:48535051:1 gene:Vigun05g302700.v1.2 transcript:Vigun05g302700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAAVLTLTMFVMLGNMIKRDHFDSLQEKLPGGSEDSNFETVKFDATHVRKNIGLWKGDVDDLKPCWVKPSADDVEQTEGFVTFALTNGPEYHISQIADAVIVARSLGATLVIPDIRGSQPGDRRNFEDIYDVDVFTKSLEGVVRVVKDLPGRVSTRNIAAVKVPNRVTEDYIAEHVEPIYRTKGSVRLATYFPSINMRKAGKKGDTDSVACLAMFGSLELQPEMHEVVDSIVERLRTLSRNSDGQFIAVDLRVEMLDKKGCQNSDNDGEKSCYNAQEIAVFLRQIGFDKDTTVYVTESRWDSSLDSLKDLFPKTYTKEAIMPADKKKKFLDSEFEKVIDFYISAESDVFVPAISGLFYANVVGKRIGSGKTRILVPASSASASNFLSPYVSNKNHFAYSCYC >Vigun11g181700.1.v1.2 pep primary_assembly:ASM411807v1:11:38524808:38527207:1 gene:Vigun11g181700.v1.2 transcript:Vigun11g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEPPSMKQDFDLQNKPKRNKFALACAVLASMTSILLGYDIGVMSGAALYIQRDLRVSDVKIEILNGIINLYSPVGSFIAGRTSDWIGRRYTIALAGIIFFAGAILMGFSPNYAFLMFGRFFAGVGIGFAFLIAPVYTSEISPTSSRGLLTSLPEIFLNGGILIGYISNYGFSKLSLRLGWRLMLGVGAIPAMFLAVVVLVMPESPRWLVAQGRLGEAKKILYKISDSEEEARLRLADIKETAGIPQDCDEDVVLVNKQSHGQGVWKELFLHPTRPVLHIFIASLGIHFFAQATGIDAVVLYSPRIFQSAGIKSDNYLLLATVAVGFVKTVSILVATFLLDRAGRRVLLLCSVSGLILSLVTLAVSLTVVGHSSSTLTWAIGLSIASVLAYVATFSIGSGPITWVYSSEIFPLRLRAQGVAIGAIVNRVTSGVISMTFLSLCKAITTGGAFFLFAAVAVAAWIFHYTMLPETRGKTLEEIEGSFGHFWRRNPKPKGLHGTNGDIPLTSSVKTSTNID >Vigun03g169300.2.v1.2 pep primary_assembly:ASM411807v1:3:20052650:20057673:1 gene:Vigun03g169300.v1.2 transcript:Vigun03g169300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEVEENLVAATDAKLHGQMCKTLSVIYCKVLSVFPSLEAARPRSKSGIQALCSLHVALEKVKNVLQHCSECSKLYLAITADSVLLKFEKAKSALEDSLRRVEEIVPLSIGCQVQEIVHEFVTIEFALDPSEKQVGNDLIALLQQGRKFNDSSDSNELECFHQAATRLGITSSRAALAERRALKKLLERARSEEDKRKESIIAYLLHLMRKYSKLFRSEFSDDNDSQGSAPCSPTVQGCIEDSVPGSHCQAFDRQLSKFSCFNFKPNSGRKSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERVCIEKWFSDGHNNCPKTQQELSHLCLTPNYCVKGLVTSWCEQNGVPIPEGPPESLDLNYWQLLSESESTSSKAVDSVSSCKLKGVEALPLEESGISEESVEKGTESVSAQEEDTEQYFSFLKVLTEGNNWSKQCQVVEQLRLLLRDDEEARIFMGANGFVEALLHFLQSAVHEGSLMAVESGTMALFNLAVNNNRNKEIMLSAGVLSLLEEMISKTGSYGCITALYLNLSCLEEAKPMIGMSQAIQFLIQLLQSDSDVQCKQDALHALYNLSSMASNIQHLLSSGIISGLQFLLEGDTDCLWTERCIAVLINLAASEVGREEIVSTPGLISALASILDTDELLEQEQAVTCLLILCNRSEECCDMVLQEGVIPALVSISVNGTPRGREKAQKLLMLFREQRRDHSPVKTHQCPPETVDLSMPPAEMKPLCKSISRRKSGRAFSFFWKSKSYSVYQC >Vigun03g169300.1.v1.2 pep primary_assembly:ASM411807v1:3:20052650:20057673:1 gene:Vigun03g169300.v1.2 transcript:Vigun03g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEVEENLVAATDAKLHGQMCKTLSVIYCKVLSVFPSLEAARPRSKSGIQALCSLHVALEKVKNVLQHCSECSKLYLAITADSVLLKFEKAKSALEDSLRRVEEIVPLSIGCQVQEIVHEFVTIEFALDPSEKQVGNDLIALLQQGRKFNDSSDSNELECFHQAATRLGITSSRAALAERRALKKLLERARSEEDKRKESIIAYLLHLMRKYSKLFRSEFSDDNDSQGSAPCSPTVQGCIEDSVPGSHCQAFDRQLSKFSCFNFKPNSGRKSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERVCIEKWFSDGHNNCPKTQQELSHLCLTPNYCVKGLVTSWCEQNGVPIPEGPPESLDLNYWQLLSESESTSSKAVDSVSSCKLKGVEALPLEESGISEESVEKGTESVSAQEEDTEQYFSFLKVLTEGNNWSKQCQVVEQLRLLLRDDEEARIFMGANGFVEALLHFLQSAVHEGSLMAVESGTMALFNLAVNNNRNKEIMLSAGVLSLLEEMISKTGSYGCITALYLNLSCLEEAKPMIGMSQAIQFLIQLLQSDSDVQCKQDALHALYNLSSMASNIQHLLSSGIISGLQFLLEGDTDCLWTERCIAVLINLAASEVGREEIVSTPGLISALASILDTDELLEQEQAVTCLLILCNRSEECCDMVLQEGVIPALVSISVNGTPRGREKAQKLLMLFREQRRDHSPVKTHQCPPETVDLSMPPAEMKPLCKSISRRKSGRAFSFFWKSKSYSVYQC >Vigun11g184800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38641798:38642055:-1 gene:Vigun11g184800.v1.2 transcript:Vigun11g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRKASSKAVDVPKGYIAVYVGDQRKRFMIPVSYLNQPSFQDLLSQAEEEFGYDHPTGGLTIPCGEDVFSDITSRFNSC >Vigun10g109400.2.v1.2 pep primary_assembly:ASM411807v1:10:30875524:30881600:1 gene:Vigun10g109400.v1.2 transcript:Vigun10g109400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVRRGIHYMPALNAAKVSAAMLEKGQSRVIDASLTLIRERAKLKGELVRALGGAKATSTLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELQDARVLTDVGDVPIQEIRDCGVDDHRLMNVIGESVKLVMDEDPLRPLVLGGDHSISFPVIRAVSEKLGGPVDVLHLDAHPDNYDAFEGNIYSHASSFARVMEGDYVRRLLQVGIRSITAEGRAQAKKFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVELNPQRDTVDGMTAMVAAKLVRELAAKISK >Vigun10g109400.1.v1.2 pep primary_assembly:ASM411807v1:10:30876139:30881600:1 gene:Vigun10g109400.v1.2 transcript:Vigun10g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVRRGIHYMPALNAAKVSAAMLEKGQSRVIDASLTLIRERAKLKGELVRALGGAKATSTLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELQDARVLTDVGDVPIQEIRDCGVDDHRLMNVIGESVKLVMDEDPLRPLVLGGDHSISFPVIRAVSEKLGGPVDVLHLDAHPDNYDAFEGNIYSHASSFARVMEGDYVRRLLQVGIRSITAEGRAQAKKFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVELNPQRDTVDGMTAMVAAKLVRELAAKISK >Vigun01g196600.2.v1.2 pep primary_assembly:ASM411807v1:1:37345611:37349210:1 gene:Vigun01g196600.v1.2 transcript:Vigun01g196600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLLVDDLKLLSGVPRCRICHEEEFESIETLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQQYEPGYSAPPKKSKINDEAMSIREEEEESNERTEITVEGVAVESDYSECSSGGDRNASCCRSLAIAFTIVLLVRHFFAVLSNGTQDYPFTLFTVIILKASGIIIPMYIVIKIIGAIQNSSKQYQDSDDEDEIQHNVNLRHS >Vigun01g196600.3.v1.2 pep primary_assembly:ASM411807v1:1:37345627:37349208:1 gene:Vigun01g196600.v1.2 transcript:Vigun01g196600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLLVDDLKLLSGVPRCRICHEEEFESIETLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQQYEPGYSAPPKKSKINDEAMSIREEEEESNERTEITVEGVAVESDYSECSSGGDRNASCCRSLAIAFTIVLLVRHFFAVLSNGTQDYPFTLFTVIILKASGIIIPMYIVIKIIGAIQNSSKQYQDSDDEDEIQHNVNLRHS >Vigun01g196600.1.v1.2 pep primary_assembly:ASM411807v1:1:37345387:37349215:1 gene:Vigun01g196600.v1.2 transcript:Vigun01g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLLVDDLKLLSGVPRCRICHEEEFESIETLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQQYEPGYSAPPKKSKINDEAMSIREEEEESNERTEITVEGVAVESDYSECSSGGDRNASCCRSLAIAFTIVLLVRHFFAVLSNGTQDYPFTLFTVIILKASGIIIPMYIVIKIIGAIQNSSKQYQDSDDEDEIQHNVNLRHS >Vigun03g395900.5.v1.2 pep primary_assembly:ASM411807v1:3:60241658:60242736:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLCNIFQGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun03g395900.4.v1.2 pep primary_assembly:ASM411807v1:3:60239936:60242736:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGNSGNNEILRENKRMLDKSIREIERERQVLQSQEKKLILEIKKSAKQGQMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun03g395900.2.v1.2 pep primary_assembly:ASM411807v1:3:60240966:60242737:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun03g395900.6.v1.2 pep primary_assembly:ASM411807v1:3:60239581:60242736:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITHTQGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun03g395900.1.v1.2 pep primary_assembly:ASM411807v1:3:60239581:60242736:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAEILRENKRMLDKSIREIERERQVLQSQEKKLILEIKKSAKQGQMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun03g395900.3.v1.2 pep primary_assembly:ASM411807v1:3:60241090:60242737:1 gene:Vigun03g395900.v1.2 transcript:Vigun03g395900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLYSSHRYKGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKILQEFEYQNDRMELISEVMGDTMDDAFEGDEEEEETEELVNQVLDEIGININQELLNAPSTAVAAPAAKTKVPQVETAGDDSAIDSDLQARLDNLRKM >Vigun09g169400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33826846:33829247:1 gene:Vigun09g169400.v1.2 transcript:Vigun09g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRSTGTVKWFNAQKGFGFITPQDGSEDLFVHFTSIRSDGYRSLTEGQSVEFLLDYGDDGRAMAVDVTSAVRSRRPGSFRGGSGRGRGRYGGGESRGGGIGRRGAGGYGGGGGGPECYNCGRIGHFARDCYQGQGGGGGGGGAGGDGRIRRRGGGGGGGGGGGGGGGGGVCYNCGEEGHFARECPNVGKLNE >Vigun02g114500.1.v1.2 pep primary_assembly:ASM411807v1:2:26824327:26826130:-1 gene:Vigun02g114500.v1.2 transcript:Vigun02g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSESVKMEATTTTTMNAAAATPIQGREGSQGDGEKGIACSSETMELKGGADDVTEADSVDPDPLALAGHKRKASSEIDPHRANSSDPLSSPAVEQHAEMVPPQGGENEKVEDDDKNMSQRGVLIDLNQGPEEMDAYIHDDVFKEKVETVAEENIEVKPEKGELSDFDLNKEGGVVDSSNDGDVKAEEDKEIAHEGLSKMETEKGEETVNDSVESEEVAENVVENTEEAVPASENKQEEPGSLIDGIYHQMELPCELNKECEPFSDNDAEKMEVEPYDEAAEEKNEDVAEKVEVVDEEKEKEKMNNEENDVETSSPVKEGLLRGPLDFDLDLNQVPSMEDD >Vigun03g168532.1.v1.2 pep primary_assembly:ASM411807v1:3:19776367:19777154:-1 gene:Vigun03g168532.v1.2 transcript:Vigun03g168532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLPHPLQPTRVQRWQRFSLHRRRHFSLHRRRRGIYNPNRWRLPFLCTNVDELVALASGRLPSHDDELPETMAAHKAQNDYFCNSPETFSGDENLNLKGFGHTL >Vigun08g026800.10.v1.2 pep primary_assembly:ASM411807v1:8:2391627:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.8.v1.2 pep primary_assembly:ASM411807v1:8:2391627:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.1.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEDCCVKVAVHVRPLIGEEKVQGCKDCVTVVPGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.7.v1.2 pep primary_assembly:ASM411807v1:8:2391627:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.9.v1.2 pep primary_assembly:ASM411807v1:8:2391627:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.2.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEDCCVKVAVHVRPLIGEEKVQGCKDCVTVVPGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.5.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.3.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEDCCVKVAVHVRPLIGEEKVQGCKDCVTVVPGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTAGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.6.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun08g026800.4.v1.2 pep primary_assembly:ASM411807v1:8:2387724:2398690:1 gene:Vigun08g026800.v1.2 transcript:Vigun08g026800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEDCCVKVAVHVRPLIGEEKVQGCKDCVTVVPGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQEGIIPLVMSSLFDKIETLKHQMEFQLHVSFIEILKEEVRDLLDPSSMNKPETANGHAGKVTIPGKPPIQIRESSNGVITLAGSTEFSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKFNSPGEISLNDTMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARSGGSSEEVQVLKERISWLEAANEDLRCELHEYRSRCSVVEQCEKDAYDNSTCNAKTDGLKRGLPITNSDYPMNETTGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGISDAEALKQHFGKKIVELEDEKRVVQRERDRLLAEVENLAANSDGQMQKSEDIHAQKLKTLEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRDTLVAMNGSGTNGQSNEKPLQRWLDQELEVMVKEHEVRFEYEKQSQVRAALAEELAMLKQVNGFAAKGLSPPRGKNGFARASSMSPNARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQYLFNSVGDARCQLWEKDTEIREMKDQIKELVGLLRQSEIKRKEAEKELRVREQAIVTTLATPISGNSPNSLKHCAEDTKEPLSPESLPVQKQRKYMPGITNGQVRESAAFIDQSRRMVPIGQLSMKKLAIVGQSSGKLWRWKRSHHQWLMQFKWKWQKPWRLSEWIRHSDETIMRTRPRSQALPYVM >Vigun11g012200.1.v1.2 pep primary_assembly:ASM411807v1:11:1469665:1470424:-1 gene:Vigun11g012200.v1.2 transcript:Vigun11g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLAPLALYLLATSIMFPMKKIEAVDCSGACSPFEVPPCGSRDCRCIPVGLVVGFCIYPTGLSSVAKMIEEHPNLCQSDDECMKKGSGNFCARYPNNYIDYGWCFDSDSQALKGFLAMPAAITK >Vigun10g026750.1.v1.2 pep primary_assembly:ASM411807v1:10:3191940:3192743:-1 gene:Vigun10g026750.v1.2 transcript:Vigun10g026750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEEKTIITKFAKSKFFFPKVIRISATDHNATDSSSEEEDDEEKLIHLHRVKRVVSEIRIVHANKTKGTTKTWTITTIVVVRPTAMGRWAAEIRDPIRRKRVWLGTFDTAEEAAVVYDRAAINLRGADAVTNFIKPPLKECESGVKRRSCGACASPTSVLLFQPWMEPLSLEETFKEEVFLFSDHALYEQPLPTVFSNIDVSLDEDLESCKWDVDSFSLMILFNNEMWINCF >Vigun03g094500.1.v1.2 pep primary_assembly:ASM411807v1:3:7996226:7998901:1 gene:Vigun03g094500.v1.2 transcript:Vigun03g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTKFEDNVNRHEPNTPRKATLVLSSSGNALLVSNSGKALSSSKPVAGQKRYVRQVTGRHNDTELHLAARRGDSAAVREIMDVIDAQMVRTQSSCDFDAEVAAIRSAIVNDVNELGETALFIAADKGHLDAVRDILFYSSPEGISLKNDLGFDAFHIAASRGHLSIVKLLLEREPGLIKTVCRSNATPLISAATGGHTSVVELLLSRDPSQIELSRSNGKNALHLSARQGHVDVVNLLLAKDKQLARRTDKKGQTALHMAVKGVSCEAVKSILRADAAIVMLPDKFGNTALHIATRKKRVEIVNELLLLPDMNVNALTRDHKTALDIAQSLPPSEEILDIRGSLILNGALNANNLNQPRDEPIRNTVTQIRNDVRSQLKQTRKTNMNVNGIAMELRKLQRAGINNATNTVTVVAVLFAALAFAAIFSVPGGDFDSGVAVMEGTIPFEVFFLCNSVALFTSLAVVVVQITLVRGRTKSEMRVVKVINKMMWLASICTTVAFISASYIVLGRHHKWAAILVTIVGGVTMAGVLGAMTYYVLKSKRYQIARKKNTFMSRSNSGSETEVEGAQIYAI >Vigun09g278400.2.v1.2 pep primary_assembly:ASM411807v1:9:43798130:43800933:-1 gene:Vigun09g278400.v1.2 transcript:Vigun09g278400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKENRLPSSDLQGYPRMEENLKPLHHRLPLRSINQNLMPNRSPVHSRKSKGHAVLHHQPPHPHATKKHNMLAPNPSYNLDCEIIAIDDDEDAGDNAVPDFVKHTEAMLDEIDRIEEVEMEDVQEPVLDIDACDRTDPLAVVEYIDDIYSLYKKIEDSSCVSPNYMTNQFDINERMRAILVDWLIEVHYKFELLEETLFLTVNLIDRFLERQAMIRKKLQLVGVTAMLIACKYEEVSVPTVEDFILITDKAYTRDEVLAMEKLMMNSLQFNLSVPTPYMFMRRFLKAAHSDKKLELSSFFLVELCLVEYKMLKFSPSLLAAAAIYTAQCSMYQFKQWTKTSEWYTDYSEEQLLECSRLMVTFHRKAGSGKLTGVYRKYSTWRYGCAAKIEPALFLLDN >Vigun09g278400.3.v1.2 pep primary_assembly:ASM411807v1:9:43798130:43800933:-1 gene:Vigun09g278400.v1.2 transcript:Vigun09g278400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKENRLPSSDLQGYPRMEENLKPLHHRLPLRSINQNLMPNRSPVHSRKSKGHAVLHHQPPHPHATKKHNMLAPNPSYNLDCEIIAIDDDEDAGDNAVPDFVKHTEAMLDEIDRIEEVEMEDVQEPVLDIDACDRTDPLAVVEYIDDIYSLYKKIEDSSCVSPNYMTNQFDINERMRAILVDWLIEVHYKFELLEETLFLTVNLIDRFLERQAMIRKKLQLVGVTAMLIACKYEEVSVPTVEDFILITDKAYTRDEVLAMEKLMMNSLQFNLSVPTPYMFMRRFLKAAHSDKKLELSSFFLVELCLVEYKMLKFSPSLLAAAAIYTAQCSMYQFKQWTKTSEWYTDYSEEQLLMLEADGYFPSKSRIRKTHWCI >Vigun09g278400.1.v1.2 pep primary_assembly:ASM411807v1:9:43797911:43801172:-1 gene:Vigun09g278400.v1.2 transcript:Vigun09g278400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKENRLPSSDLQGYPRMEENLKPLHHRLPLRSINQNLMPNRSPVHSRKSKGHAVLHHQPPHPHATKKHNMLAPNPSYNLDCEIIAIDDDEDAGDNAVPDFVKHTEAMLDEIDRIEEVEMEDVQEPVLDIDACDRTDPLAVVEYIDDIYSLYKKIEDSSCVSPNYMTNQFDINERMRAILVDWLIEVHYKFELLEETLFLTVNLIDRFLERQAMIRKKLQLVGVTAMLIACKYEEVSVPTVEDFILITDKAYTRDEVLAMEKLMMNSLQFNLSVPTPYMFMRRFLKAAHSDKKLELSSFFLVELCLVEYKMLKFSPSLLAAAAIYTAQCSMYQFKQWTKTSEWYTDYSEEQLLECSRLMVTFHRKAGSGKLTGVYRKYSTWRYGCAAKIEPALFLLDN >Vigun09g278400.4.v1.2 pep primary_assembly:ASM411807v1:9:43798130:43800933:-1 gene:Vigun09g278400.v1.2 transcript:Vigun09g278400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKENRLPSSDLQGYPRMEENLKPLHHRLPLRSINQNLMPNRSPVHSRKSKGHAVLHHQPPHPHATKKHNMLAPNPSYNLDCEIIAIDDDEDAGDNAVPDFVKHTEAMLDEIDRIEEVEMEDVQEPVLDIDACDRTDPLAVVEYIDDIYSLYKKIEDSSCVSPNYMTNQFDINERMRAILVDWLIEVHYKFELLEETLFLTVNLIDRFLERQAMIRKKLQLVGVTAMLIACKYEEVSVPTVEDFILITDKAYTRDEVLAMEKLMMNSLQFNLSVPTPYMFMRRFLKAAHSDKKLELSSFFLVELCLVEYKMLKFSPSLLAAAAIYTAQCSMYQFKQWTKTSEWYTDYSEEQLLMLEADGYFPSKSRIRKTHWCI >Vigun07g147600.1.v1.2 pep primary_assembly:ASM411807v1:7:25851247:25852416:-1 gene:Vigun07g147600.v1.2 transcript:Vigun07g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLHTHSVSINSIMLSFNVGLYLLIASLVVFHMIPSPVACDDKEKDLLRDINTYRKVLNLPVLDENKKASCLAEEIADDLEHAHCEDFRDYYPTPGNNSKIPNFQKNIDKCNININATAEGVIMPLCVPKLSLDDLFSNYTKSNRFTKYLNSSKYKSAGVGSEDDWMVFIVSTNTSSGDFSFSSASSLLPHFLMLAFFFTTLLLFFN >Vigun05g242600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43572920:43573489:-1 gene:Vigun05g242600.v1.2 transcript:Vigun05g242600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDEPHLYLEKIYIPTLNKTLNPSPGNTTILFTLRLANRNKDTGIKYDDVQLTFKVFVSNNTTRPLGNATVQRFYQGRNKKASKRGSLNGGGILTTTVAGKVVYRVDFTTAIKYKIVWWYTKRHRLWGGASVEMNDSGSKVNRKPVRLGGKNPVVIPSGAPEFRGCYRALVTFFVAASVLLLDAHGFN >Vigun11g072200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20592323:20592694:-1 gene:Vigun11g072200.v1.2 transcript:Vigun11g072200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVFLISSLAECERLPFDLPEAEEELITGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGGSNFSIPYIFVSNFFQINKTYGVFVTIIGIFITLVKTYLFIFVSITTRWTLPRLQIDQL >Vigun03g199600.2.v1.2 pep primary_assembly:ASM411807v1:3:28821709:28831337:-1 gene:Vigun03g199600.v1.2 transcript:Vigun03g199600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCICVFMWQAHPKYPFLILFNRDQDYSRPTYPLAWWWGEETILGGKDGLGGGTWLGSTRNGRIAFLTNFREVGTLPHPKTRGELPLRFLQSNKSPQEFAEQVAREAHQYNGFNLVVADICTSTMVYVFNRPDRDNPSFSLVTPGIHVLANASLDAPWAKAERMRQSLEEFIKEYGESDLPIKEMVEKLMTNRVKDEEWMLPGVQPREREHPLSAIFVETVLSSGPYGTRNSSALLVKPNREVTFYENYLDLNQDPKQWKDKMVTYKINER >Vigun03g199600.3.v1.2 pep primary_assembly:ASM411807v1:3:28821726:28831335:-1 gene:Vigun03g199600.v1.2 transcript:Vigun03g199600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCICVFMWQAHPKYPFLILFNRDQDYSRPTYPLAWWWGEETILGGKDGLGGGTWLGSTRNGRIAFLTNFREVGTLPHPKTRGELPLRFLQSNKSPQEFAEQVAREAHQYNGFNLVVADICTSTMVYVFNRPDRDNPSFSLVTPGIHVLANASLDAPWAKAERMRQSLEEFIKEYGESDLPIKEMVEKLMTNRVKDEEWMLPGVQPREREHPLSAIFVETVLSSGPYGTRNSSALLVKPNREVTFYENYLDLNQDPKQWKDKMVTYKINER >Vigun03g199600.1.v1.2 pep primary_assembly:ASM411807v1:3:28821697:28824215:-1 gene:Vigun03g199600.v1.2 transcript:Vigun03g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCICVFMWQAHPKYPFLILFNRDQDYSRPTYPLAWWWGEETILGGKDGLGGGTWLGSTRNGRIAFLTNFREVGTLPHPKTRGELPLRFLQSNKSPQEFAEQVAREAHQYNGFNLVVADICTSTMVYVFNRPDRDNPSFSLVTPGIHVLANASLDAPWAKAERMRQSLEEFIKEYGESDLPIKEMVEKLMTNRVKDEEWMLPGVQPREREHPLSAIFVETVLSSGPYGTRNSSALLVKPNREVTFYENYLDLNQDPKQWKDKMVTYKINER >Vigun09g046200.1.v1.2 pep primary_assembly:ASM411807v1:9:4384139:4391171:-1 gene:Vigun09g046200.v1.2 transcript:Vigun09g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIYLAHLMVVVVVFLGVVFLPCLCQGDSDDDTTAVYVVTLRHAPVSHYYGELRREVNSFKDAAPAPGRTQFNKPKRYDNITKTDKRDGSYISQVHDSLLKKVLNGEKYLKLYSYHYLINGFAVLVTQKQAEKLSRSSEVSNVALDFSVRTATTHTPQFLGLPQGAWFQEGGFETAGEGVVIGFVDTGIDPTHPSFGDSKSNHPYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSTQDYASPFDGDGHGTHTAAVAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPTSMFSFSPWIFTVGAASHDRVYSNSIFLGNNVTIPGVGLAPGTDGSKLYKLIHAHHALSNDTTVADDMYVGECQDANKFNKDLIKGNLLMCSYSIRFVLGLSTIKRASETAKNLSAAGVVFYMDPYVIGFQLNPVAMKMPGIILASTNDSKILMQYYNSSLEIDAVSKKIVKFGALASICGGLKANYGSAAPKVMYYSARGPDPEDSLPHEADILKPNLLAPGSFIWAAWSSVGTDSVEFLGENFALMSGTSMAAPHVAGLAALIKQKFPSFSPAAIGSALSTTASLYDKNGGPIMAQRSYASPELNESPATPFDMGSGFVNASGALNPGLIFDSGYDDYMSFLCGINGSAPVVLNYTGQNCGLYNSTVYGPDLNLPSITISKLNQSRTVQRIVLNTAQNESYSVGWTAPYGVSLKVSPTHFWIGSGEKQILSVLLNATVNSSLSSFGRIGLFGNQGHVLNIPISIMVKISSNTTSS >Vigun08g069200.1.v1.2 pep primary_assembly:ASM411807v1:8:10863814:10865531:1 gene:Vigun08g069200.v1.2 transcript:Vigun08g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAENMPNYRVNHWREDEDQKLTQLVQQHGPQDWNAIAQHIQGRTGKSCRLRWRNHLDPGVNRNPFTREEEERLLAAQEVHGAKWATTAKLFDGRTDNALKNHWHVLIARKRKEANNGSLQDLTMNASSSSSSHHHTLFDIMNFNAARTPLPPSFSPINFGSVPSFSDSFPGKEGSHPFGLLNHRDAAAAAVSSSSGGIMMRKGLFHSSSSAFTRFKGSSSSDDDAGFKDVTFYDFLGVGADDDDDDE >Vigun11g055300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10355770:10357057:1 gene:Vigun11g055300.v1.2 transcript:Vigun11g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEASMSTKPSVKGDVNNVASVLVVATLSRTRLGNKRGDVVATSARALRNGAKGDGVASGTFGDGVAWWSGVVAWWCGGCGRKRNKNKPFWVFYLLKNNNNKRLGLGWQPDPSLLRAPHL >Vigun02g014600.1.v1.2 pep primary_assembly:ASM411807v1:2:5377012:5377878:-1 gene:Vigun02g014600.v1.2 transcript:Vigun02g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVIVVLFVSVLCFSSASARKISEKFYVVGKIYCDPCHFAFESRLSFPLSGVNVTLECINEKNNTMTYMKNSTTDANGLYRIPVRGDHQEDICVVVANSPNEGECKEVMPNKSDRIILTNNMGAASRARFVNPLGFMTQTIDSQCNIVVHELGLDNLDD >Vigun01g163100.2.v1.2 pep primary_assembly:ASM411807v1:1:34488200:34491427:1 gene:Vigun01g163100.v1.2 transcript:Vigun01g163100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVIIREAEKEGESTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFWVAGKQRTSWSLLKKRLIEACPSCSSASGISYYRSELQPPKPSDVFLLKFCCHDRKGLLHDITEVLSELELIIHKVKVSTTPDGRVVDLFFITDTRELLHTKKRTDDTIEQLSVVLGDPSITIDIELVGPEITACSQASSFLPSAITEDIFDLQLPDSLQSGTSTSDSVSVVMDNSLSPAHTLVQIICQDHKGLLYDIMRTLKDYNIQISYGRFSTKTRGKCELDLFIVQADGKKIVDPNKQKSLSSRLRTELLRPFRVTVVSRGPDTELLVANPVELSGKGRPLVFYDITLALKMLGPCIFSAEVGRHVIGDREWEVYRILLDEGEGLSVPRNKIEKGVWKMLMGWV >Vigun01g163100.1.v1.2 pep primary_assembly:ASM411807v1:1:34488200:34492071:1 gene:Vigun01g163100.v1.2 transcript:Vigun01g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVIIREAEKEGESTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFWVAGKQRTSWSLLKKRLIEACPSCSSASGISYYRSELQPPKPSDVFLLKFCCHDRKGLLHDITEVLSELELIIHKVKVSTTPDGRVVDLFFITDTRELLHTKKRTDDTIEQLSVVLGDPSITIDIELVGPEITACSQASSFLPSAITEDIFDLQLPDSLQSGTSTSDSVSVVMDNSLSPAHTLVQIICQDHKGLLYDIMRTLKDYNIQISYGRFSTKTRGKCELDLFIVQADGKKIVDPNKQKSLSSRLRTELLRPFRVTVVSRGPDTELLVANPVELSGKGRPLVFYDITLALKMLGPCIFSAEVGRHVIGDREWEVYRILLDEGEGLSVPRNKIEKGVWKMLMGWV >Vigun10g100300.1.v1.2 pep primary_assembly:ASM411807v1:10:29249214:29262324:-1 gene:Vigun10g100300.v1.2 transcript:Vigun10g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQDQPLPPGVPSWPNYAHAAPSPQYPFPPNAPNNLQQEINPSNSNLIVNIRVDDATVTVSNNIASNYYGAQFNGSRDIDIAAQDAVLREQEIAMQNVIRSQREGRTAVAPNEDNLDVFSERRDPNALKEHLLKMATEHRAEMAVKRGKAARVEEGNTEIGNGYGVPGGGAYYDGPKLNTTAVGNNAISQSDPILDRCPEQKPAAKELPEYLKQRLRARGILGDNSCTADIKATAAEHTENQKLPPGWVEAKDPESGACYYYNESTGKSQWEKPQESYLTEQSPSSSHLPENWVESLDETTGHKYYYNTKTHVSQWERPNLARKVSVGDGHDQSSNLPRCMGCGGWGVGLVQAWGYCNHCTRALNLPQCQYLSSSLNNQQSSAAHPSQISDNKSNKERTSSKPSFHKGRKGGKKRAHAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPNSHFTPISKRGDGSDGLGDAD >Vigun10g100300.2.v1.2 pep primary_assembly:ASM411807v1:10:29249176:29262367:-1 gene:Vigun10g100300.v1.2 transcript:Vigun10g100300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQDQPLPPGVPSWPNYAHAAPSPQYPFPPNAPNNLQQEINPSNSNLIVNIRVDDATVTVSNNIASNYYGAQFNGSRDIDIAAQDAVLREQEIAMQNVIRSQREGRTAVAPNEDNLDVFSERRDPNALKEHLLKMATEHRAEMAVKRGKAARVEEGNTEIGNGYGVPGGGAYYDGPKLNTTAGNNAISQSDPILDRCPEQKPAAKELPEYLKQRLRARGILGDNSCTADIKATAAEHTENQKLPPGWVEAKDPESGACYYYNESTGKSQWEKPQESYLTEQSPSSSHLPENWVESLDETTGHKYYYNTKTHVSQWERPNLARKVSVGDGHDQSSNLPRCMGCGGWGVGLVQAWGYCNHCTRALNLPQCQYLSSSLNNQQSSAAHPSQISDNKSNKERTSSKPSFHKGRKGGKKRAHAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPNSHFTPISKRGDGSDGLGDAD >VigunL050400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:440:1153:1 gene:VigunL050400.v1.2 transcript:VigunL050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGKTVVLTGASAGIGAATALDLSNAGCNLVLTSRRLEKLEALAATLPGPSALLAADIAEPDVPEQLLALAKARFGRADVVINNAGVMAVGTMDTIDLDAVSYMIRVNFEAVVRSSYVFAREFRGQSSGAIINVSSISAYLISRAGGVYGGLKHALEAFTQSLRIELAAAGVKVGSIAPGSTSSEMFDRMMAAAKIEDPVALDPKDIARAIRFMLEQPDHATIARLAIYPQSEAH >Vigun05g180100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34239011:34240998:-1 gene:Vigun05g180100.v1.2 transcript:Vigun05g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASPVARLVAAAAAFTVAATDVASSEFEQRSVVALSGEPNGGGSREKEKEQRRRLQASPVRASGDDDVAAVSRFIFLGKWRRRRCRSISRERGVKGDGVAGEASGGGRWWRLRRTRVQAWGCVGGVEKKRCHGEGKKLGFC >Vigun09g062200.1.v1.2 pep primary_assembly:ASM411807v1:9:6485033:6487379:-1 gene:Vigun09g062200.v1.2 transcript:Vigun09g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTSDTFPSHCENEPISCYDPAFYYTRPFSIDSESNPTSKQENNPHLPPLSFFHFPSPPPFEDSQIFLEQHHDFLLQFHHKPPEPEPVNLAAETSVKKNTQTPRKRPAKRDRHSKISTARGLRDRRMRLSLDVAKRFFGLQDMLGFDKASKTVEWLLNQAKAEIHRLAKEKKKQKKSVHRSCSSAGSECEEGVSSLDEVAVSRDEKEAEERVDKAMKRRVKHSRKISAFHPLARECRERARERARERTRQKMRSRGLAEESKPCREEANQNLIQLGSWKPSETAEEFGSKSHSVHPSLDVITEGKEHGYYYTKEHDDDDSLVILSKWSPSLIFNNYGFSHDHQFAEFQSLGKPWET >Vigun05g152400.1.v1.2 pep primary_assembly:ASM411807v1:5:23698773:23699775:1 gene:Vigun05g152400.v1.2 transcript:Vigun05g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESGRTFSKIPNLPLFSLLIPTSTSRWGCPQGKFPWSYEEKETYYLVEGKVKVWVK >Vigun10g096300.1.v1.2 pep primary_assembly:ASM411807v1:10:28283396:28284952:1 gene:Vigun10g096300.v1.2 transcript:Vigun10g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGYFFVLVFVALASSAFSELSSHYYDYSCPKALSTIKTAVEAAVQKERRMGASLTRLHFHDCFVNGCDGSILLDSTSSIDSEKNSNANFQSARGFEVVDEIKQAVDEACGKPVVSCADILAVAARDSVVALGGPSWKVKLGRRDSTTASRAAADANIPAPFFSLSQLITNFRNHGLDEKDLVVLAGGHSIGYARCATFRAHIYNDSNIDPDFAKDLKYICPTAGADSNLSPLDSTAAQFDKNYYSNLVQKKGLLHSDQELFNGGSTDELVREYSYDSEDFYEDFAKSMIKMGNIQPLTGNQGEIRVNCRKVNQ >Vigun01g253000.1.v1.2 pep primary_assembly:ASM411807v1:1:41877562:41881092:1 gene:Vigun01g253000.v1.2 transcript:Vigun01g253000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKEEESGFTRLASFVCDCEPFTEEELEGIEASLSNPNNKRRFDDLTPRRRRLPESLIALQHPNISSLSRRPGYSRMRLPAIKFSGRIMYSRTFDSVQKAVAKLLQALDVKNREIVQFAIGFDIEWKPSFTKGVPPGKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLLEDPTVLKVGVGIDSDATKVFRDYKISVKGVTDLSFHANQKLGGDHKWGLSSLTEKLLSKQLKKPNKIRLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSGEVDVGLLQD >Vigun01g253000.2.v1.2 pep primary_assembly:ASM411807v1:1:41877562:41881092:1 gene:Vigun01g253000.v1.2 transcript:Vigun01g253000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKEEESGFTRLASFVCDCEPFTEEELEGIEASLSNPNNKRRFDDLTPRRRRLPESLIALQHPNISSLSRRPGVPPGKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLLEDPTVLKVGVGIDSDATKVFRDYKISVKGVTDLSFHANQKLGGDHKWGLSSLTEKLLSKQLKKPNKIRLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSGEVDVGLLQD >Vigun01g253000.3.v1.2 pep primary_assembly:ASM411807v1:1:41877562:41881092:1 gene:Vigun01g253000.v1.2 transcript:Vigun01g253000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDERESVPPGKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLLEDPTVLKVGVGIDSDATKVFRDYKISVKGVTDLSFHANQKLGGDHKWGLSSLTEKLLSKQLKKPNKIRLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSGEVDVGLLQD >Vigun04g168300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39285731:39290298:1 gene:Vigun04g168300.v1.2 transcript:Vigun04g168300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAERKFAAKDISGAKKFALKALNLFPELEGISQMVATLDVYISAENKANGEADWYGVLGVDSLADDDTVRRQYRKLALMLHPDKNKSIGADGAFKLVSEAWSLLSDRAKRTAYDKRSGKERKVSTKFGGSSAQKGTNGSYNFTKTTPSSATAQKNTAKEHTSSSAHKSKSNTFWTVCTRCKMQYEYLRVYLNLKLLCPSCRTAFVAVETAPPPASGIRPATQWNFTQKKQNSNRQVPSKSKSNVGKHDMAAPNVGAGSYNNTDTASFQWAPFSKSSGVSNAAQAASVVQQAYDKVRRDREEAQAASKREEALKRKQQASKKGYYNPAKRRRGGTEDASASGGKENRMGGGTNSSKQANFEYNRVNGINKADSVGDISPVELRNLLMEKARKEISNKLRENQSNAVDKTLKKESGNNFCKVNDKGEKILRNSEMCAQDNTAIKSFAGSTIAKGNRKFLETTAIDVPDSDFHDFYKDRTEVSFTENQVWAVYDNDDGMPRCYAMIRGIISLNPFKIKISWLNPNTNSKLDPIKWVASGFSKICGDFRLSRPEICSSPNFFSHKVRWRTGADGAICIYPRKGDVWALYRNWSPDWDELTPDEVIHKFDVVEVLEDFIEGHGIDVIPLLKVAGFRTVFHHHLDPTEIRIIPMEEMFRFSHQIPSYVLTGQEAPDAPKGCRVLDPAATPIEFLQLIEVVKEEEDLADKDETDVKELSDNMKKDRNEEMIDYMGKIEEEKEGKDEDMQEVDTSENMTKANNEEMINDVGKHGEGKQGKDKDMQSVETLEEDREKQDMQ >Vigun04g168300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39285731:39290298:1 gene:Vigun04g168300.v1.2 transcript:Vigun04g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAERKFAAKDISGAKKFALKALNLFPELEGISQMVATLDVYISAENKANGEADWYGVLGVDSLADDDTVRRQYRKLALMLHPDKNKSIGADGAFKLVSEAWSLLSDRAKRTAYDKRSGKERKVSTKFGGSSAQKGTNGSYNFTKTTPSSATAQKNTAKEHTSSSAHKSKSNTFWTVCTRCKMQYEYLRVYLNLKLLCPSCRTAFVAVETAPPPASGIRPATQWNFTQKKQNSNRQVPSKSKSNVGKHDMAAPNVGAGSYNNTDTASFQWAPFSKSSGVSNAAQAASVVQQAYDKVRRDREEAQAASKREEALKRKQQASKKGYYNPAKRRRGGTEDASASGGKENRMGGGTNSSKQANFEYNRVNGINKADSVGDISPVELRNLLMEKARKEISNKLRENQSNAVDKTLKKESGNNFCKVNDKGEKILRNSEMCAQDNTAIKSFAGSTIAKGNRKFLETTAIDVPDSDFHDFYKDRTEVSFTENQVWAVYDNDDGMPRCYAMIRGIISLNPFKIKISWLNPNTNSKLDPIKWVASGFSKICGDFRLSRPEICSSPNFFSHKVRWRTGADGAICIYPRKGDVWALYRNWSPDWDELTPDEVIHKFDVVEVLEDFIEGHGIDVIPLLKVAGFRTVFHHHLDPTEIRIIPMEEMFRFSHQIPSYVLTGQEAPDAPKGCRVLDPAATPIEFLQLIEVVKEEEDLADKDETDVKELSDNMKKDRNEEMIDYMGKIEEEKEGKDEDMQEVDTSENMTKANNEEMINDVGKHGEGKQGKDKDMQSVETLEEDREKQDMQ >Vigun04g168300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39285731:39290298:1 gene:Vigun04g168300.v1.2 transcript:Vigun04g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEATRAKEIAERKFAAKDISGAKKFALKALNLFPELEGISQMVATLDVYISAENKANGEADWYGVLGVDSLADDDTVRRQYRKLALMLHPDKNKSIGADGAFKLVSEAWSLLSDRAKRTAYDKRSGKERKVSTKFGGSSAQKGTNGSYNFTKTTPSSATAQKNTAKEHTSSSAHKSKSNTFWTVCTRCKMQYEYLRVYLNLKLLCPSCRTAFVAVETAPPPASGIRPATQWNFTQKKQNSNRQVPSKSKSNVGKHDMAAPNVGAGSYNNTDTASFQWAPFSKSSGVSNAAQAASVVQQAYDKVRRDREEAQAASKREEALKRKQQASKKGYYNPAKRRRGGTEDASASGGKENRMGGGTNSSKQANFEYNRVNGINKADSVGDISPVELRNLLMEKARKEISNKLRENQSNAVDKTLKKESGNNFCKVNDKGEKILRNSEMCAQDNTAIKSFAGSTIAKGNRKFLETTAIDVPDSDFHDFYKDRTEVSFTENQVWAVYDNDDGMPRCYAMIRGIISLNPFKIKISWLNPNTNSKLDPIKWVASGFSKICGDFRLSRPEICSSPNFFSHKVRWRTGADGAICIYPRKGDVWALYRNWSPDWDELTPDEVIHKFDVVEVLEDFIEGHGIDVIPLLKVAGFRTVFHHHLDPTEIRIIPMEEMFRFSHQIPSYVLTGQEAPDAPKGCRVLDPAATPIEFLQLIEVVKEEEDLADKDETDVKELSDNMKKDRNEEMIDYMGKIEEEKEGKDEDMQEVDTSENMTKANNEEMINDVGKHGEGKQGKDKDMQSVETLEEDREKQDMQ >Vigun03g230200.1.v1.2 pep primary_assembly:ASM411807v1:3:38325282:38342743:1 gene:Vigun03g230200.v1.2 transcript:Vigun03g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNRWSWDVAGFDPWKSSPSSQSPPTPLEHADRKPTAPLVRRYSISATSVLPQPRQSVALKLQRLKDKVKLAREDYVQLRQEANELQEYSNAKLDRVTRYLGVLAEKTRKLDQVAHETEAKIAPLINEKRRLFNDLLTSKGNIRVFCRARPLFEDEGASVVEFPNDYTISVNTGDESLSNAKKDFEFDRVYGPHVGQAELFSDVQPLVQSALDGYNVSIFAYGQTHSGKTHTMEGSSYDRGLCARCFEELFDLSNLDSTSTSQYKFCVTVCELYNEQTRDLLLEAGKSTPKLSLGSPECFVELAQEKVDNPVEFSAVVKAALQTRENDLAKNNVSHLIVTIHIFYKNLITGENSYSKLYMVDLAGSEGLITEDDSGDRVTDLLHVMKSLSVLGDVLSSLTSKKDIIPYENSVLTKLLADSLGGSSKTLMIVNVCPSVSNLSETLSSLNFSARARNSMLSLGNRDTIKKWRDVANDARKELYEKEKEINDLKQEGLELKQALKDANDQCVLLFNEVQKAWKVSSALQTDLKSEHVLLSDKHNIEKEQNTQLRNQVAQLLRLEQDQKLQIQEQDSTIQSLQAKIRTFETQLNETIKGQSSSISVSEPEPADLSNSKLTGDGIDSSAVTRKLEEELKKRDALIERLHEENEKLFDRLTQKASTAGSPKLSSPSALGPANVQPRDMGRNSSGINTSSRSVDVLPSPSATDKSDGTVAIVKTGSEIVKSTPAGEYLTAALNDFDPDQYEGHAAISDGANKLLMLVLAAVIKAGASREHEILAEIKDSVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVDCFLEKTNTGRSRSSSRGSSPGRSAVLYVDEQIQGFKVNLKPERKSKFSSVVLKIRGIDEDIWRQQVTGGKLREITEEAKSFAMGNKALAALFVHTPAGELQRQIRSWLAESFEFLSVTGDDASGGSTGQLELLSTAIMDGWMAGLGAALPPHTDALGQLLFEYSKRVYTSQLQHLKDIAGTLATEEAEDAAQVAKLRSALESVDHKRRKILQQMKSDIALLTLENGGSPIQNPSTAAEDARLASLISLDSILKQIKDITRLSSVNILSKSKKRSTLASLDELAEQMPSLLQIDHPCAQRHIADARYMVESIPEEDDPIPDTSHGRKPSTDLSSGSETDVAQWNVLQFNTGSTLPFIIKCGANSNSELVIKADARVQEPKGGEIVRVAPRPSVLENMNLEEMKQVFNELPEALSLLALARTADGTRARYSRLYRTLATKVPSLKDLVSELEKGGALKDVRT >Vigun08g040600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4052111:4052842:1 gene:Vigun08g040600.v1.2 transcript:Vigun08g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFNFFSFCDNHYQLNFFSRKMKSKSICFCIRGRHRKRKESKVEELEKHVKPNYKVKTKARGFVGDGGDSNVVDGGSMVGSNEAVMSVAHMLLMSGNEDGCGNGHLGESGADAAAAD >Vigun06g143100.3.v1.2 pep primary_assembly:ASM411807v1:6:26878844:26883202:1 gene:Vigun06g143100.v1.2 transcript:Vigun06g143100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGEYSAQCPTPERPKHRLSQHEKKPLPSYICHDPKGLPSPKHKVEKNSSKTVSSSSSPQRKRGGSASERSNSKSLVSADSRRVGTLMDEVAIGAVIAVLSGYIGRYVKDEIFRKTIREKCSSLLDRRRRKDAGDEVFVNMELGMKKIDRLVESQGTTEQVRMIKRLRSSIELLSVVATSNSKTSRDASTCGVLNSHLSACAQLYLAVAYKLQKNDRVASKHLLQVFCDSPSFSRTYLLPDLWEHLFLPHLLHVKIWYNTGLEFLSNEAHGEKEQKMKVHNKVYNEKIDRGTILFAQYYKQWLKVGASEPPIPNVSLPSRPSYRLSRRSSDSFVSNSSINQNLFKTVFGSKLEQQPSGLGDQNGVLRITTGLEIDEKLYEDECKNSSVQKGDRVFVGRSSHFGKNQAQLWPTSQRLDYFQCLSCRFIPKESFENSNRRPKNASAVLSRDFAGAITTIGSSDILSDCEFAIRVITKAWLNSPGDPLIEEALTQPSVVQAMLEVLFSSTNDEILELIISILAELIGRNGAIKQIILNSDPQLEIFVRLLRSTSLFLKAAVLLYLSQPKAKQMLSSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYVLDQLLTGFDEDKNLENARQVLSLGGLTLLMARIEGEIHERNNAAMIISCCIRAEGSCRSFLADNINKTSLLELIVVGSKQNSSGYALSVLVELLCLDETKTLNFLRGLKDGWSGINVMHVFFIYLEKAPPEERPIVAVILLLLDLMLCCRKILSREAYTDQKQSKHL >Vigun06g143100.4.v1.2 pep primary_assembly:ASM411807v1:6:26878844:26883202:1 gene:Vigun06g143100.v1.2 transcript:Vigun06g143100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGEYSAQCPTPERPKHRLSQHEKKPLPSYICHDPKGLPSPKHKVEKNSSKTVSSSSSPQRKRGGSASERSNSKSLVSADSRRVGTLMDEVAIGAVIAVLSGYIGRYVKDEIFRKTIREKCSSLLDRRRRKDAGDEVFVNMELGMKKIDRLVESQGTTEQVRMIKRLRSSIELLSVVATSNSKTSRDASTCGVLNSHLSACAQLYLAVAYKLQKNDRVASKHLLQVFCDSPSFSRTYLLPDLWEHLFLPHLLHVKIWYNTGLEFLSNEAHGEKEQKMKVHNKVYNEKIDRGTILFAQYYKQWLKVGASEPPIPNVSLPSRPSYRLSRRSSDSFVSNSSINQNLFKTVFGSKLEQQPSGLGDQNGVLRITTGLEIDEKLYEDECKNSSVQKGDRVFVGRSSHFGKNQAQLWPTSQRLDYFQCLSCRFIPKESFENSNRRPKNASAVLSRDFAGAITTIGSSDILSDCEFAIRVITKAWLNSPGDPLIEEALTQPSVVQAMLEVLFSSTNDEILELIISILAELIGRNGAIKQIILNSDPQLEIFVRLLRSTSLFLKAAVLLYLSQPKAKQMLSSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYVLDQLLTGFDEDKNLENARQVLSLGGLTLLMARIEGEIHERNNAAMIISCCIRAEGSCRSFLADNINKTSLLELIVVGSKQNSSGYALSVLVELLCLDETKTLNFLRGLKDGWSGINVMHVFFIYLEKAPPEERPIVAVILLLLDLMEDPFKGSLYRSEAIQALVAALNCQACNDREQQQSARALYLLGGHFSHSGEPLMENSLLQKAGFREICFEDSYPGKENVVYDSIHKNVEEEAESWQKRKALVLFNNGYKNLLSALADTIANGIPCLARASLITISWMSSYLNLIEDTMLPPMVFSILRPQLLQSLDYDKDVEERVLASYSLLYLVKYSGCVSDLPSLDKDSLTHLRNLSLVTWTANEVIAIFSKRSLQLRQ >Vigun06g143100.1.v1.2 pep primary_assembly:ASM411807v1:6:26878844:26883202:1 gene:Vigun06g143100.v1.2 transcript:Vigun06g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGEYSAQCPTPERPKHRLSQHEKKPLPSYICHDPKGLPSPKHKVEKNSSKTVSSSSSPQRKRGGSASERSNSKSLVSADSRRVGTLMDEVAIGAVIAVLSGYIGRYVKDEIFRKTIREKCSSLLDRRRRKDAGDEVFVNMELGMKKIDRLVESQGTTEQVRMIKRLRSSIELLSVVATSNSKTSRDASTCGVLNSHLSACAQLYLAVAYKLQKNDRVASKHLLQVFCDSPSFSRTYLLPDLWEHLFLPHLLHVKIWYNTGLEFLSNEAHGEKEQKMKVHNKVYNEKIDRGTILFAQYYKQWLKVGASEPPIPNVSLPSRPSYRLSRRSSDSFVSNSSINQNLFKTVFGSKLEQQPSGLGDQNGVLRITTGLEIDEKLYEDECKNSSVQKGDRVFVGRSSHFGKNQAQLWPTSQRLDYFQCLSCRFIPKESFENSNRRPKNASAVLSRDFAGAITTIGSSDILSDCEFAIRVITKAWLNSPGDPLIEEALTQPSVVQAMLEVLFSSTNDEILELIISILAELIGRNGAIKQIILNSDPQLEIFVRLLRSTSLFLKAAVLLYLSQPKAKQMLSSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYVLDQLLTGFDEDKNLENARQVLSLGGLTLLMARIEGEIHERNNAAMIISCCIRAEGSCRSFLADNINKTSLLELIVVGSKQNSSGYALSVLVELLCLDERTKTLNFLRGLKDGWSGINVMHVFFIYLEKAPPEERPIVAVILLLLDLMEDPFKGSLYRSEAIQALVAALNCQACNDREQQQSARALYLLGGHFSHSGEPLMENSLLQKAGFREICFEDSYPGKENVVYDSIHKNVEEEAESWQKRKALVLFNNGYKNLLSALADTIANGIPCLARASLITISWMSSYLNLIEDTMLPPMVFSILRPQLLQSLDYDKDVEERVLASYSLLYLVKYSGCVSDLPSLDKDSLTHLRNLSLVTWTANEVIAIFSKRSLQLRQ >Vigun06g143100.2.v1.2 pep primary_assembly:ASM411807v1:6:26878844:26883202:1 gene:Vigun06g143100.v1.2 transcript:Vigun06g143100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGEYSAQCPTPERPKHRLSQHEKKPLPSYICHDPKGLPSPKHKVEKNSSKTVSSSSSPQRKRGGSASERSNSKSLVSADSRRVGTLMDEVAIGAVIAVLSGYIGRYVKDEIFRKTIREKCSSLLDRRRRKDAGDEVFVNMELGMKKIDRLVESQGTTEQVRMIKRLRSSIELLSVVATSNSKTSRDASTCGVLNSHLSACAQLYLAVAYKLQKNDRVASKHLLQVFCDSPSFSRTYLLPDLWEHLFLPHLLHVKIWYNTGLEFLSNEAHGEKEQKMKVHNKVYNEKIDRGTILFAQYYKQWLKVGASEPPIPNVSLPSRPSYRLSRRSSDSFVSNSSINQNLFKTVFGSKLEQQPSGLGDQNGVLRITTGLEIDEKLYEDECKNSSVQKGDRVFVGRSSHFGKNQAQLWPTSQRLDYFQCLSCRFIPKESFENSNRRPKNASAVLSRDFAGAITTIGSSDILSDCEFAIRVITKAWLNSPGDPLIEEALTQPSVVQAMLEVLFSSTNDEILELIISILAELIGRNGAIKQIILNSDPQLEIFVRLLRSTSLFLKAAVLLYLSQPKAKQMLSSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYVLDQLLTGFDEDKNLENARQVLSLGGLTLLMARIEGEIHERNNAAMIISCCIRAEGSCRSFLADNINKTSLLELIVVGSKQNSSGYALSVLVELLCLDERTKTLNFLRGLKDGWSGINVMHVFFIYLEKAPPEERPIVAVILLLLDLMLCCRKILSREAYTDQKQSKHL >Vigun03g401900.1.v1.2 pep primary_assembly:ASM411807v1:3:60899456:60910122:1 gene:Vigun03g401900.v1.2 transcript:Vigun03g401900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDKKRSDIVDVVRSWIPRRTEPPNVSRDFWMPDQSCRVCYECDSQFTIFHRRHHCRICGRVFCAKCTANFVPVPSDEPNTVREEWEKIRVCNYCFKQWEGLATVDNNGSADPSSTPCLSPSPSTTSLVSTKSSCTCHSSSSTAGSVPYTTGPYQRVPYSPRQSSQMNQIADEQDNSNSSGSSNPSEAVGNLTSNQFGYCFNRSDDEDDDYGVYHSDTESKHYSHAHDFEDPVNINGVEHVYGPHQMHPDEASIHEKSLSSLTPPHNLDLEGIDGIEAPGKEAHEQDHADGCEPSPYHEESNNADTVDFESNGLLWLPPEPEDEEDDREAVLFDDDEDEGTTGGGEWGYLRSSASFGSGELRSRDKTSEDHRKAMKTVVEGHFRALVAQLLQVENLTSDEDGKESWLDIITALSWEAATILKPDMSRGGGMDPGGYVKVKCIACGHRNESMVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRVSNQLSSVDTLLQQEMDHLKMAVARIDAHHPNVLLVEKSVSRYAQEYLLAKDISLVLNIKKPLLERIARCTGAQIVPSIDHLTSQKLGYCETFHVDKFFEEHGTAGQGGKKSTKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVIQYGVFAAYHLALETSFLADEGASPLEFPLKSPITVALPDKPSSIVRSISTIPGFSVLSARESQEARPFEIPKSDDMHKTERIPSSCSESTERSLVSDSIHMHEFSEEAIQSAQDMPPSLRESFLLNTASKEDDSFGTFDSSQQDGNSYLRAAALYANQGPSPGPSYVKHDNSNNNNDHEDMIHSNEDFLPSTSDHQSILVFLSTRCVWKSTVCERSHLVRIKYYGSSDKPLGRFLRDQLLDQSYTCCSCELPSEAHVHCYTHRQGSLTISVKKLSDSALPGEREGKIWMWHRCLKCPRVNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIHLHSVYLPPPKLEFVNYDRQDWLQKEAYELHDKAELLFNEVYTVLHQHSEKVSGLVLQEGGHRVSDFRNLIVRLKEMLQYEKEAFENSLRKWLHREGKAEQPAIDILELNKLRRHILIHSYIWDQRLIYASNLSKIILQENSKILNHREKLHGPREKLVEADIATRPARGHSSCDSFLSETKADGNLNLENSSHLSHPGEVIKSEDKVKDTNHDKVDLSLSGGANINDRSDSVEFGGSVRRALSEGESSVVANLSDTLDAAWTGESHPTISSVKENGCLSPDMAVHSPVANSVTSKSNSDNYNADIDGIEAGCTNYSKLLSKGLDTKWKALPFADFFGSFDKTSSFNIQKLVEYNPVHILSFRELERQTGARLLLPAGTNDTIVPVYDDEPTSVIAYVLVSVDYHMQMSEFDRPKDSGDSSISLPLFDSSILSLNSFDETITNTYRSLGSFDESTLSTGSRSLPAGDPLSYTKDFHARVSFTDDGYLGKVKYTVTCYYAKRFEALRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFTKFAPAYFKYLSESISTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLYRRNIRRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIYVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGPKNTSPTVISPQQYKKRFRKAMSLYFLMVPDQWSPSELHPSGSQSDIYDENA >Vigun03g401900.2.v1.2 pep primary_assembly:ASM411807v1:3:60899319:60910098:1 gene:Vigun03g401900.v1.2 transcript:Vigun03g401900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDKKRSDIVDVVRSWIPRRTEPPNVSRDFWMPDQSCRVCYECDSQFTIFHRRHHCRICGRVFCAKCTANFVPVPSDEPNTVREEWEKIRVCNYCFKQWEGLATVDNNGSADPSSTPCLSPSPSTTSLVSTKSSCTCHSSSSTAGSVPYTTGPYQRVPYSPRQSSQMNQIADEQDNSNSSGSSNPSEAVGNLTSNQFGYCFNRSDDEDDDYGVYHSDTESKHYSHAHDFEDPVNINGVEHVYGPHQMHPDEASIHEKSLSSLTPPHNLDLEGIDGIEAPGKEAHEQDHADGCEPSPYHEESNNADTVDFESNGLLWLPPEPEDEEDDREAVLFDDDEDEGTTGGGEWGYLRSSASFGSGELRSRDKTSEDHRKAMKTVVEGHFRALVAQLLQVENLTSDEDGKESWLDIITALSWEAATILKPDMSRGGGMDPGGYVKVKCIACGHRNESMVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRVSNQLSSVDTLLQQEMDHLKMAVARIDAHHPNVLLVEKSVSRYAQEYLLAKDISLVLNIKKPLLERIARCTGAQIVPSIDHLTSQKLGYCETFHVDKFFEEHGTAGQGGKKSTKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVIQYGVFAAYHLALETSFLADEGASPLEFPLKSPITVALPDKPSSIVRSISTIPGFSVLSARESQEARPFEIPKSDDMHKTERIPSSCSESTERSLVSDSIHMHEFSEEAIQSAQDMPPSLRESFLLNTASKEDDSFGTFDSSQQDGNSYLRAAALYANQGPSPGPSYVKHDNSNNNNDHEDMIHSNEDFLPSTSDHQSILVFLSTRCVWKSTVCERSHLVRIKYYGSSDKPLGRFLRDQLLDQSYTCCSCELPSEAHVHCYTHRQGSLTISVKKLSDSALPGEREGKIWMWHRCLKCPRVNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIHLHSVYLPPPKLEFVNYDRQDWLQKEAYELHDKAELLFNEVYTVLHQHSEKVSGLVLQEGGHRVSDFRNLIVRLKEMLQYEKEAFENSLRKWLHREGKAEQPAIDILELNKLRRHILIHSYIWDQRLIYASNLSKIILQENSKILNHREKLHGPREKLVEADIATRPARGHSSCDSFLSETKADGNLNLENSSHLSHPGEVIKSEDKVKDTNHDKVDLSLSGGANINDRSDSVEFGGSVRRALSEGESSVVANLSDTLDAAWTGESHPTISSVKENGCLSPDMAVHSPVANSVTSKSNSDNYNADIDGIEAGCTNYSKLLSKGLDTKWKALPFADFFGSFDKTSSFNIQKLVEYNPVHILSFRELERQTGARLLLPAGTNDTIVPVYDDEPTSVIAYVLVSVDYHMQMSEFDRPKDSGDSSISLPLFDSSILSLNSFDETITNTYRSLGSFDESTLSTGSRSLPAGDPLSYTKDFHARVSFTDDGYLGKVKYTVTCYYAKRFEALRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFTKFAPAYFKYLSESISTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLYRRNIRRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIYVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGPKNTSPTVISPQQYKKRFRKAMSLYFLMVPDQWSPSELHPSGSQSDIYDENA >Vigun02g166100.1.v1.2 pep primary_assembly:ASM411807v1:2:31024346:31031736:1 gene:Vigun02g166100.v1.2 transcript:Vigun02g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHLEDQTDEDFFDKLVEDDMEPFNSGHDEGDDDDDSDEAKAFANLGINDVDATTVFENSDVGESGAEVKGELGTVESDLRLEQEENSVPSSSSAGFDSKVDPSHDGIGVRSEITSASAVGTSEVGSSGVKEVGWNSFHADLNGGDGFGSYSDFFSELGGDQSGNFQGNVYDNLSSEVKPGNDVQNVGLNSSGNYVQYQEGEGYEASLESHSNRQGDDLNASVNHVQYQEGQNYVASSEDHTNGQDLSSSQYWEDLYPGWKYDHSSGQWYQIDGYSATASTQQSSEANVSADWSAASAGKTEISYMQQTAQSIAGTLAETGTTGNVSSWSQVSQGNNEYPEHMVFDPQYPGWYYDTIAQEWRSLETYNSTVQPSGLGQENGHASISTFLPNDNSLYSEYGQTDKYVPQSFNSQAVDGSWSGSYGTNHQQGFDMYTRGTALKGDKISSGGNQQFHHSYGPSISENKDQQHTSSSFGSATLYDKVTHNHGLANGTFEPRSFSPSGDTVQQFNYSSPTFGEQNVSSNDFTENKKPFSYSPQSIQGGHQFSHAPHVGRSSAGRPAHALVTFGFGGKLIIMKDRNLLSSSYGSQDSVQGSVSVLNLIEVVTESMDSLSIGNGTSDYFRALSQQSFPGPLVGGSVGSKELYKWLDERIAHFESPDLDYKKGERSRLLLSLLKIACQHYGKLRSPFGTDTLLKENDTPESAVAKLFASSKMSGTQFPQYGTASYCLQSLPSEGQMRAMALEVQNLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSTDSSINGHPGASNMAQVSAQDGSNGMLDDWEENLAVITANRTKGDELVIIHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKSPRTYATPEAIQRTELYEYSKVLGNSQFTLHSFQPYKLIYAYMLAEVGKVSDSLKYCQALLKSLKTGRAPEVETWKQLASSLEERIRTHQQGGYAANMAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSQGTFHGSEQHYQHMAPRVSSSQSTMAVSSLVPSASMEPISEWAADNNRMAKPNRSVSEPDIGRTPRQEMTSPDAQGKAQASGGTSRFSRFGFGSQLLQKTVGLVLKPRPGRQAKLGEKNKFYYDEKLKRWVEEGAELPAEEAALPPPPPTTAAFQNGSTEYNLKSALKTESSPPFEGSSTRTSTSELSPGMPPMPPTSNQFSARGRLGVRSRYVDTFNQGGGNSVNLFQSPSVPSVKPALAANAKFFVPSPAPSSNEQQAMGAIAESNQEDSATNVDPSTSATNEWSYQDPPPHISATAIQRFPSLGSIPKQGATEGNNSHFSNSRRAASWSGGLNDSFSPPNSGKSRPLDASRFMPDESSMHTHTPARNSSYGEDLHEVEL >Vigun09g064100.1.v1.2 pep primary_assembly:ASM411807v1:9:6741943:6750572:1 gene:Vigun09g064100.v1.2 transcript:Vigun09g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAPSLGSVGGRSAARAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPITNIKWICPTAPTRPISIFGGFPSTAWFDVGEISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSVSCFTAGKYGNGTAYPANLSAAVGLSGWLPCAKTLSNRLQGIDEATRRAQSFPVLLCHGKGDDVVPYKFGEKSSECLRSTGFGDVTFKSYNGLGHYTIPEEMDEVCAWLTSKLGLEGKTA >Vigun09g064100.3.v1.2 pep primary_assembly:ASM411807v1:9:6742083:6750559:1 gene:Vigun09g064100.v1.2 transcript:Vigun09g064100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAPSLGSVGGRSAARAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPITNIKWICPTAPTRPISIFGGFPSTAWFDVGEISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSVSCFTAGKYGNGTAYPANLSAAVGLSGWLPCAKTLSNRLQGIDEATRRAQSFPVLLCHGKGDDVVPYKFGEKSSECLRSTGFGDVTFKSYNGLGHYTIPEEMDEVCAWLTSKLGLEGKTA >Vigun09g064100.2.v1.2 pep primary_assembly:ASM411807v1:9:6741943:6750572:1 gene:Vigun09g064100.v1.2 transcript:Vigun09g064100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAPSLGSVGGRSAARAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPITNIKWICPTAPTRPISIFGGFPSTAWFDVGEISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSVSCFTAGKYGNGTAYPANLSAAVGLSGWLPCAKTLSNRLQGIDEATRRAQSFPVLLCHGKGDDVVPYKFGEKSSECLRSTGFGDVTFKSYNGLGHYTIPEEMDEVCAWLTSKLGLEGKTA >Vigun09g064100.4.v1.2 pep primary_assembly:ASM411807v1:9:6742089:6750559:1 gene:Vigun09g064100.v1.2 transcript:Vigun09g064100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAPSLGSVGGRSAARAFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPITNIKWICPTAPTRPISIFGGFPSTAWFDVGEISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSVSCFTAGKYGNGTAYPANLSAAVGLSGWLPCAKTLSNRLQGIDEATRRAQSFPVLLCHGKGDDVVPYKFGEKSSECLRSTGFGDVTFKSYNGLGHYTIPEEMDEVCAWLTSKLGLEGKTA >Vigun03g050800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4095451:4096595:-1 gene:Vigun03g050800.v1.2 transcript:Vigun03g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQPPEGQINGAGGTLILHSLEPAIGSKRQRRPSVRLGDIGGDQPYDSTRRNTKPWKLAFDNHHHHHHRNKDKDPGKPSKTRPLTNLSEFNETLEVSNEREAGNVDSVAIGSWKVKESKKRGSVATKRVRSNWVSRIEDGGGDNGGGEGEGEKYNNGDEDGEDDDGYREFEVENSESPMKEQSPIHSMENLAVDGHRRVFKSREREQQHDGVELSAPSDNDVRDWKCGDRNNENGGGRVRGGEDGVRVWLNGLGLGRYAPVFEVHEVDDEVLPMLTLEDLKDMGISAVGSRRKMYTAIQKLGKGFS >Vigun11g177500.1.v1.2 pep primary_assembly:ASM411807v1:11:38182359:38184678:1 gene:Vigun11g177500.v1.2 transcript:Vigun11g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFQNVVSTAASVAATVMLLRSFTHNYIPQEFQNYFYSKLSKLLSSFSTEVTLVIDEFNGITPNPLFAAAQFYLKPQEAPNTKRFRATMTSRSRHVSLLMDGNDEITDSFNGVPFRWRLVSRLGKSNYAVHFFEVRFHKKHREMVLAEYFPRVMKEADAARERRKTLRLFTVKDMRFRMGGRGESWQGVNLEHPATFATVAMDWELKEMIIRDLDRFVERKGLYKSVGKAWKRGYLLSGPPGTGKSSLIAAMANYLNFDVYDLELAAVNGNSDLRRLLIATGNRSILVVEDIDCSLHLQDRLAKPKPKSSQPHHFIPHHFSAPQVTLSGFLNFIDGLWSSCGNERIVVFTTNHKEKLDPALLRPGRMDVHIDMTYCTPGGFKMLASNYLGITEHPLFVQIENLLGVIDVTPAEVGEQFLLDEDPDIALNSLMELLLEKKRNHELKMKAPLTFQ >Vigun03g083600.1.v1.2 pep primary_assembly:ASM411807v1:3:6951055:6955356:-1 gene:Vigun03g083600.v1.2 transcript:Vigun03g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLVCNGCRSLLLYPRGATNVCCALCNTITSVPPPGMEMSQLYCGGCRTLLMYTRGATSVRCSCCHTVNLVPATNQVAHVHCGNCRTALMYPYGAPSVKCAICHYITNTNNGRLPIPVHRSNGTANAGTLPSTSTSMPQSQSQTVVVENPMSVDSSGKLVSNVVVGVTTDKK >Vigun02g007500.2.v1.2 pep primary_assembly:ASM411807v1:2:3363863:3364360:-1 gene:Vigun02g007500.v1.2 transcript:Vigun02g007500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNWSLCHWLLLPLPLKQPCGALAPFPFLSPSYPSNENTHYAENLLKQGKPEG >Vigun05g067300.2.v1.2 pep primary_assembly:ASM411807v1:5:5815272:5817180:1 gene:Vigun05g067300.v1.2 transcript:Vigun05g067300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCEKSNPFLPICPHQNCYLQPSSWPLINLTFLSIRPSPSLSHLDLQNLLQPSGISVGLFSLSDLIRVVLERKETKT >Vigun06g049500.1.v1.2 pep primary_assembly:ASM411807v1:6:17444272:17446891:1 gene:Vigun06g049500.v1.2 transcript:Vigun06g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFCLGSGTSIKKKISFPSLTERTAICSGEKRMAFSAPLMRFSCVSLLHSNLNNPFPLPFSGLPKSLFGCGVSLKLNGSSVSGRRRLSGDSVSVRVNASLVEAPVLWVGRICIFYALLKAGLAGSQANPLVSDLEIGESNDESGVPSGAADLGFSKWAQTILGKPAKEAPNGRKLVSKWHPTTKGTLRRNYRVPSKSEGRRVLKAIASLLSDDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHIIVEITPFPAGPLTDKDYIKAEKLEKTLRSSPSV >Vigun03g168433.1.v1.2 pep primary_assembly:ASM411807v1:3:19771799:19773389:-1 gene:Vigun03g168433.v1.2 transcript:Vigun03g168433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLITDAYNALNNQPYRRQKDKEFGGHCIKVTIG >Vigun06g061100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18898527:18900486:-1 gene:Vigun06g061100.v1.2 transcript:Vigun06g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVLGRFFTSPLYKTSPLTPSQIQFTPIFLHPLKLQPLHAIHLFSLHMATCVDAPTPLSRKPNAPNSSDDHSFNYVKFCRPTFSDRVPCLPICKNREMAFSRVEDLDTRVEGGVGVLEFEVVDLWLKIQEEARSDVDQEPILSSYYFSSILSHKSLESALANQLSTNLSSLSLPSSTLFELFLGVLIGDGGDDIICAVKDDLVAVKERDPACISYVHCLLNFKGFLACQAHRIAHKLWLQGRKVLALLIQNRVSEVFAVDIHPGAQIGRGILLDHATGLVVGETATIGNNVSILHNVTLGGTGKSSGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKDVPARTTAVGNPARLIGGKDNPIKLDKMPSFTMDHTSYISEWSDYVI >Vigun08g141800.1.v1.2 pep primary_assembly:ASM411807v1:8:31407957:31409841:1 gene:Vigun08g141800.v1.2 transcript:Vigun08g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFAQIDTFHWVLDMNTFVGEAYDQVRDLCIFLLNGFTLPPEKALAVYIQSPGSPFVFCGAVTVARPSAVLTLSWPEPGAAGPLQLTADAQPLSAKIGVSVEDLASLPSLDVAAEKRIEGLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAL >Vigun10g055233.3.v1.2 pep primary_assembly:ASM411807v1:10:9467249:9470580:-1 gene:Vigun10g055233.v1.2 transcript:Vigun10g055233.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNLAFNNFNSVIPDELCKLNNLRYLNFSNAGFEGQIPPEIFHLRRLKSELYLDGIAISAKGQEWFQALSSLHNLRVLSMSSCNISGPIHASLAKLMSLTVLKLSYNNMSSSVPDSLTNLSNLIILQLRSCGLNGSFPKDIFLIPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSQTSFTGKLSNLITLCLLHNNLSVDIYSRYDRDPSPFPALRNIMLASCKLRGIPSFVKSQSALLYLDLADNEIQGTIPYWIWQLEYVVHLTLSKNFLTKFEGSVWNFSSNLLLLDLSSNQLQGPFPFLPTFVIHLDYSNNRFNSVIPADIGNRLPFVRVLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAVLTLRVLNFGGNKLHGFIPDTLPTTCTIQLLDLNDNLLEGTIPRSLAYCQKLQYISTLRILDLRLNKLHESIGCSRTSGDWEMLHIVDVASNNFNGAIPGALLNSWKAMMRDNVNVGPEFGHLFIGIVDNYDPKNFKDLLSHLDKNIAVKVVKIYFSVLDQGSFKLKFVKFRAMIALNLSDNALSGHIPSSIQNLKNLECLDLSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055233.1.v1.2 pep primary_assembly:ASM411807v1:10:9467581:9485395:-1 gene:Vigun10g055233.v1.2 transcript:Vigun10g055233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQLKGLNSWLENRVSQLESETFDLKTDFEHLEMIYMIPDELCKLNNLRYLNFSNAGFEGQIPPEIFHLRRLKSELYLDGIAISAKGQEWFQALSSLHNLRVLSMSSCNISGPIHASLAKLMSLTVLKLSYNNMSSSVPDSLTNLSNLIILQLRSCGLNGSFPKDIFLIPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSQTSFTGKLSNLITLCLLHNNLSVDIYSRYDRDPSPFPALRNIMLASCKLRGIPSFVKSQSALLYLDLADNEIQGTIPYWIWQLEYVVHLTLSKNFLTKFEGSVWNFSSNLLLLDLSSNQLQGPFPFLPTFVIHLDYSNNRFNSVIPADIGNRLPFVRVLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAVLTLRVLNFGGNKLHGFIPDTLPTTCTIQLLDLNDNLLEGTIPRSLAYCQKLQYISTLRILDLRLNKLHESIGCSRTSGDWEMLHIVDVASNNFNGAIPGALLNSWKAMMRDNVNVGPEFGHLFIGIVDNYDPKNFKDLLSHLDKNIAVKVVKIYFSVLDQGSFKLKFVKFRAMIALNLSDNALSGHIPSSIQNLKNLECLDLSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055233.2.v1.2 pep primary_assembly:ASM411807v1:10:9467321:9470580:-1 gene:Vigun10g055233.v1.2 transcript:Vigun10g055233.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNLAFNNFNSVIPDELCKLNNLRYLNFSNAGFEGQIPPEIFHLRRLKSELYLDGIAISAKGQEWFQALSSLHNLRVLSMSSCNISGPIHASLAKLMSLTVLKLSYNNMSSSVPDSLTNLSNLIILQLRSCGLNGSFPKDIFLIPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSQTSFTGKLSNLITLCLLHNNLSVDIYSRYDRDPSPFPALRNIMLASCKLRGIPSFVKSQSALLYLDLADNEIQGTIPYWIWQLEYVVHLTLSKNFLTKFEGSVWNFSSNLLLLDLSSNQLQGPFPFLPTFVIHLDYSNNRFNSVIPADIGNRLPFVRVLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAVLTLRVLNFGGNKLHGFIPDTLPTTCTIQLLDLNDNLLEGTIPRSLAYCQKLQYISTLRILDLRLNKLHESIGCSRTSGDWEMLHIVDVASNNFNGAIPGALLNSWKAMMRDNVNVGPEFGHLFIGIVDNYDPKNFKDLLSHLDKNIAVKVVKIYFSVLDQGSFKLKFVKFRAMIALNLSDNALSGHIPSSIQNLKNLECLDLSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRVEKSMFILNQ >Vigun05g300400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48408871:48411730:1 gene:Vigun05g300400.v1.2 transcript:Vigun05g300400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPFPPTSPTKTKALFLALTISACVVIFCSIVYFLYHLWLSLVHRAKTIPFDASAPLKLQRFAYKDLKHATNGFDTANVIGKGGSGTVFRGVLKDGKLIAIKRLDQLSLQSEREFQNELQILGGLRSPFLVTLLGYCVEKNKRVLVYEYMPNRSLQESLFGDEGLSLSWESRFCIILDVARAMEFLHLGCDPPVIHGDIKPSNVLLDAEWRGKISDFGLSRIKVEGEFGVDLFSQDLGRSQDLWKSQELSGNLTNLTAETPAIGTPVESVSEVDFALALQASSSSKNSRTCFNVKALNLNSLNYNANIAGESEIRSVNAKGKEISALDRDEWNGKFFPYDDELSSIDYSKELTVNASPLVDDEKANGKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSNPDWDHGKNNVQEKVELETSSPKDKDQDAIAPQPQVFGVGHNTTDNGIEKKESRGKKNQKKKHRKMQEWWREEHLAELSKKTNKLKNLHTKWKKGMKVPHFDLGRRFYLCRRKKFGEEGQDECDQNGEFSFRRGWKKKSTLSIGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGFLMEKADIYSFGVLILVIVSGRRPLHVLASPMKLEKANLISWCRHLAQAGNILELVDERLKEDFNKEQASLCINLALTCLQKIPELRLDIGDIVKILKGEMDLPPLPFELSPSPPSKFYGRTRRKQKSTAE >Vigun08g145900.1.v1.2 pep primary_assembly:ASM411807v1:8:31894965:31897705:1 gene:Vigun08g145900.v1.2 transcript:Vigun08g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNILFFIFCLVTNHIHNVGAIEDRLKEDVKLSINSPVKTIHTSFGDIVDCVHIYKQPAFDHPLLKYHKLQLKPNLEIENTTENSSEIEVMFGLDEENCPEGTIPIRRSTKDNLTQKQNSSNDHILMQGIPGIHLAEVSPRPRLGPYYKVSGTASIYNPIIRKKGQISMTHIWVEKGSGDALNKISAGWHVHPELYGNDPATRLYITWTADNYIKTGCYNLKCPGFVQVNKNIYLGGPFSRVSTYGGQTFELSISITQDPSTKNWWVKSGITNIGYFPAPLFKNLDSAYIIGWGGRTKVDISHSSPAMGSGHFPDKISNHACYFRSMLIQDSSRNDFAPKIDQTVSFTDNSKCYGVDYYGDQGGDFGSVVQFGGPGGDCGN >Vigun11g011400.1.v1.2 pep primary_assembly:ASM411807v1:11:1419523:1424217:1 gene:Vigun11g011400.v1.2 transcript:Vigun11g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYENGYRLVAGCVPFRYKSSNGDSSSEKIVQVLMINSPSGPGLLFPKGGWENDETVEEAAAREAVEEAGVRGDLMDFLGYYEFRSKTHQDEFSPEGLCRAAMFALFVKEELELWPEQSTRNRSWLDVSEALESLRHAWMRDALECFCKWHEDKLNEMKG >Vigun10g136500.2.v1.2 pep primary_assembly:ASM411807v1:10:35121497:35127918:1 gene:Vigun10g136500.v1.2 transcript:Vigun10g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTKAGNLLFDPEIERIARRNNSRKRKQNHKSREVTKATSTSTEQVLFSSSDKEDEEMAGNHDDGCDQGRRTLEDYASFPASLNFISIDRPVVNAVNMEMKPALIHLVQVIMASEKEVTIPLRYCVDDEKRRVIVAEATGDFIDVLFSFLTLPLGTIIRLANKFEQRVELGCVNKLDQSVDNLDSDVFWNNVCKKMLLSPRNPLESSYQRLKVRVDDTEPTRYFMCHTCSKEKDLLMSTFDGAKCQCGKLMKKETKLLVESKEERAKDNGVFVKPDAMLLIFDDLRVLRSSPDIEHTKASIISKSPLSDVLLENRGSKKYCFSGDTGPVHWKGSVEMKVMVSKSKNKILFAEAEGDFVDFLVSFLTIPIGSIMKVMKGKLCLGSIGNLYTSVKDLNPSWFVGSSNESLLNIKIAPHFGCKSNPLEEEDSPQYWYGPVVQKHNEGRTMISNRKDMLRDPKKVKLFDPRSIDGAREAGVGFMKRPCLFVVSDDLKVIPMTTASSILYLKELGNGKLNDLEEHYVKVRKSHEILSLHFATRPMLSSVRAPTDRVFVPKYLDSLIPSANSWDGLISSGNSSNGLIQSKNSWDCLIPSGNSWDSLIPSANSWDGLIPSGNSLIPTENSQDDLIPSDTPYGGV >VigunL018950.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:8689:8937:1 gene:VigunL018950.v1.2 transcript:VigunL018950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGWKYQRLPSSLKTKVRASSPSIALTAQIATMVMTIALQELLSLGILFENSIMPHLTHFFFLFFFMCKSPSTICKHFSCC >VigunL018950.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000199.1:8689:9095:1 gene:VigunL018950.v1.2 transcript:VigunL018950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGWKYQRLPSSLKTKVRASSPSIALTAQIATMVMTIALQELLSLGILFENSIMPHLTHFFFLFFFIIASKAARRIGGSAINSCVRIASPSAAEERISPFRCPYYSTFPGGVNQ >Vigun02g137500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28745809:28746870:-1 gene:Vigun02g137500.v1.2 transcript:Vigun02g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHHLSFSLASLLTFVILAHAATAPPNPPPPPLTAAASGYLEAHNEARAAVGVEPLRWSEKLGNASSLMVRYQRNKMGCEFANLTTSKYGGNQLWAGVTAVAPRVAVEEWVKEKEFYVRANNSCVGKHECGVYTQVVWRNSTEVGCAEAACVKEQSSLTICFYDPPGNVIGESPY >Vigun02g135300.1.v1.2 pep primary_assembly:ASM411807v1:2:28521862:28524931:-1 gene:Vigun02g135300.v1.2 transcript:Vigun02g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSTGRMPTWKERENNKRRERRRRAIAAKIYAGLRAQGNYKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKRPTGSEIGGTPPNISACSSIQPSPQSSSFPSPVPSYHASPTSSSFPSPTRIDANPSSFLIPFIRNITSIPANLPPLRISNSAPVTPPLSSPRTSKRKADFDSLSNASFRHPLFATSAPSSPSRRHHVATSTIPECDESDASTVDSASGRWVSFQGQTAAGPPSPTFNLMKPAMHQIPAQEGVQWGSVAEIARGVSDFDFENGRVKPWEGERIHEVGMDELELTLGFGKA >Vigun05g291900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47813568:47813939:-1 gene:Vigun05g291900.v1.2 transcript:Vigun05g291900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVLCVWYVLCYVFCVLNVLCCMHFVVLCFVLCVFLWLCCVFCCMFFFCMCCVFFVVCVVCFVFCVILLWYVMCLLLCVLCVVSFGFCVFYSVWVCDLWFVCFVLCVFVLFCIVVLCVFWLC >Vigun11g077400.1.v1.2 pep primary_assembly:ASM411807v1:11:22915894:22923524:-1 gene:Vigun11g077400.v1.2 transcript:Vigun11g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAIIQCSSSSSSQVTRTYDVFVSFRGEDTRNNFTDFLFQALRRKGFDAFKDDADLRKGESIAPELQQAIEGSRVFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSDVRKQSGYYEKPFVEFEERFREDNEWMEEVQRWREALTQVANLSGWDIRNRPQYEGIEVIVRKVTDILGHKFSSLPNDNLVGMEFRVEELEKLLYSESSNEVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKRLHRKKALIVLDNVDEVEQLKVFSGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVRHVQPLEEEEAVQLFCRHAFKANVIMSDFEKMTYEVLWHAQGHPLAIEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYIMDHAVEILNIRGFYPDFGLQVLINKSLLIVKHGIIKMHRLLIDLGQSIVREISPKEPRNWSRVWSCKDLQKILSNNMPAENLEAVVFNQFEFRKRTLSADAFSNLSHLKLLKINGPYFSGSLDYLPSELGYLYWNRYPFKSLPPRFLPYKLVSLSLTYSNIERLWEDTKPLHSLKHLDLSYSEKLIKLPDLGEAINLERLCLRYCTKIREIHPSIGLLRKLSNVTLEGCENLIKLPHFEETQNLEILNLERCIKLEKLHPSIGHIRKLKSLYLRHCESLTMLPDFGEDLNLERLYLDRCIKLRHINPSIHRLKKLTILCLAGCKRLVSLPITILCLNSLSDLYVSTCSNLSYIQLLEEARDEGHLKRPCVGEASVRSSIMKRWFKWPLHLLHSRTHKDSASHLLPSPNFSCIRVLQLSFCALLEIPDVVGSLHSLERLKLSGNSFSKLPSLKELSKLHYLVLRHCKQLKYLPELPSQTYLPSPTTEFPVCTDTKDKEIDLHFVNSVLDALNCPKLDEIERERCTKMAVSWMIQILQAQYRRDPLSITNKYFSSLTPGSEIPRLFNHQYKSSYVSSIDASPYRNDYVAAVFCVLFEARCKRGIVSPMCPQKAKGGSKIPMLLDDDLAVMDNCSDYLWMTFLNKYEFLEYQHSRLYNNISEVVGDGFHISVKKWGYRLIYDLDLELSILARMHGGS >Vigun11g112200.1.v1.2 pep primary_assembly:ASM411807v1:11:31466940:31470035:-1 gene:Vigun11g112200.v1.2 transcript:Vigun11g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNWVSSPQSFDHPTDTWLPGGKISLNNKTKKPQYLTSWKNLDDPATGMFSLELDPEGSNAYLIRWNRTEQYWTSGSWNGQIFSLVPEMRLNYIYNFTFESNENESYFTYSLYNESIISRFFMDVSGQIKQLTWLDNAQQWNLFWSQPRQQCEVYAFCGGFGKCTENSMPYCSCLTGYEPKSQSDWNLSDYSGGCVRKTELQCASPDSSNKGSDRFLSILNMKLPNHSQSIGAGNVGECESTCLSNCSCTAYAYDNNGCSIWYGDLLNLQQLTQDDSSGQTLFLKLAASEFHDSKSNKGTLIGAVAGAVGGVVVLLIVLVFVILRRRKRHVGIGTSVEGSLVAFGYRDLQNATKNFSEKLGGGGFGSVFKGTLPDSSVIAVKKLESISQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGTKKLLVYDYMPNGSLDSKMFQEDRSKVLEWKMRYQIALGTARGLNYLHEKCRDCIIHCDVKPENILLDADFVPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEFVSGRRNSEASEDGQVRFFPTFAANTVHQEGNVLGLLDPRLEGNADIEEVIRVIKIASWCVQDDESHRPSMGQVVQILEGFLDVTLPPIPRTLQAFVDNHENIVFFTDSSSTQSSQVKSNTSTASSQAKSNTSSKNSQSS >Vigun06g234500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34024767:34025189:1 gene:Vigun06g234500.v1.2 transcript:Vigun06g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRYGDNNREEQVVVKPRGSGVVITSSLSLSTKKKKNPSTLQARKRRMASISAASCGTVLNTSFPRKQPLNMASLKAFSMFGVKGGSGGRVSGMASYKVKLITPEGEKQFECPGDAYILYQAEEKGIDLPHSCRAGACS >Vigun04g135900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34017632:34020903:-1 gene:Vigun04g135900.v1.2 transcript:Vigun04g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIREKLYITVPSLFRCPISMDVMQSPVSLCTGVTYDRASIQHWLDSGHDTCPATMQVLPSKDFIPNLTLHRLIRLWLLSAEPPSPDSSADNLHSLLRQIQTADDDLAGTLSKIAEFATTSGENRRKLAYFPGFDAAIVRALARCSSRIDASENAIYLLDSVLRENGNPEKIRRLIFDARQQCFDSMIFVLRNGSTKSKIETVRVLEFLACDFQSSKSIAETQGLLSSVTGFLKDGGEELRDAVLLFLGVVSVTRSAKVELVNCGVVELVSKFLRECSSAKTAERCLRVLAVLATCAEGREAMAAEPSCAAAVVERVTKAAKAAAEDAVVVLWSLCCLSGNVKVRDDVAKRNGVAVILLVMQRGWEEHVRSMCVDLIKVLKGACKNNGLGLELGTYDTKTTHIKPC >Vigun03g016000.1.v1.2 pep primary_assembly:ASM411807v1:3:1113750:1115524:1 gene:Vigun03g016000.v1.2 transcript:Vigun03g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPERSKPLHNFPMPCLKWGNQRFLRCVKVADDPDDIAAVRRKLILDLRVAADNLKVSIFQEPNSKPWNLRTRRAACKAPHHTNHLNSLTQEMKKKKKTKIQEKTKFSVSLTKEEVEHDFWALVGTRPPRRPKKRPRIIQKNLDTLFPGMWLSEVTAECYEVAEVPE >Vigun01g170700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35262672:35264027:-1 gene:Vigun01g170700.v1.2 transcript:Vigun01g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEEANDQHTIDIWKVIDRERLASMEMKISENPKLLSKSAGKRLCCIFRIPQSLIEVNGKAYTPRIVSIGPYHHAQPRLKMIEEHKWRYLGSLLNRTKTKGFGLDDLFKALAPLESEARECYSETIPLDSHDFIQMMILDGCFIVELFRKVVGLVPFERDDPLVTMAWILPFFYRDFLKLENQIPFFLLNRLFQVTKLPGEKSTWTLSTLAMMFFNNSLQRAEDVAAWANVKGKHLLDLVRSSFIPNHEETREPRKRVTTPTHVIHCVSKLRRAGIKINPGKESESFLHVTFRRGVIEMPPLTMDDFMSCFLLNCVAFEQCYSGCSKHFTAYVTLLDCLVNTYRDVEYLCERNVVENHFGTEGEVASFVNNAGKDVAVDLDLCYLSQLFNDVHRYYRNSWHVQWASFKYTYFDTPWSFISLLAASVLLLLTVAQTYFAASQYFKDSAKQ >Vigun05g061100.1.v1.2 pep primary_assembly:ASM411807v1:5:5262183:5262917:1 gene:Vigun05g061100.v1.2 transcript:Vigun05g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCWYVVSKKNMYLYSKVRHTYEKVSTFPNISHQYQFPWNLYKAALSVLFRKHLFGQIKSYIHFTNGFDFIHKSVFSNLCMSASTVHN >Vigun09g275700.1.v1.2 pep primary_assembly:ASM411807v1:9:43619434:43621713:-1 gene:Vigun09g275700.v1.2 transcript:Vigun09g275700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLSSPSPPSFKAFNKSFPFLTPSQSSTARINLSPLTRSNFKRVTSICCNYENPSLLSSSTPFLASSNSLSSISSSPDRPPPEPHSLNQNKTVSFQKPKAIPARILITLSALALILIQPAIAPAAFATFETAAKTGGPAAAAVGRKLIHTELLSSGWTGFLAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQVLFGLIFLILKDQLHIEIIRTWGTRVVGLTLLIIGAMGIREASEVHIHTPRVALDSGECDVNVYESVDNPSLGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLFGTVIAMGSYTVFIGSCSQALKDRVPRITEKLTWASSLIAIALGFAIIVSQFFGFSLY >Vigun07g091200.1.v1.2 pep primary_assembly:ASM411807v1:7:14279876:14284988:-1 gene:Vigun07g091200.v1.2 transcript:Vigun07g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEGVIVARHGIGDESEDLAWHGIVSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun03g376200.1.v1.2 pep primary_assembly:ASM411807v1:3:57898709:57901925:1 gene:Vigun03g376200.v1.2 transcript:Vigun03g376200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGKAPPTFEGRSVQIPGMMRRGQLSGLGSHTMESLPPPQMLENKLAVQEAEIEQLLRDNHSLSSGHVALREALVATAQDVQKLKSHIRSIQTESDIQIRILLDKIAKGEVDIRAGDSVKKDLQQAYVEAQSLAASRQELSAQIQRASQELKKVNSDVKNISDLQAELDGLVQEHQRLRGTFEYEKKKNIELVDHMKAKEKNLIAMAREVEMLRAEILNAEKRVHAADLFRASTPGHSSGPFVDGYGRTHSQMASGQGAEGMVPVGDSNGVAAVNSSGVSGGLWSSPYDPSVGRR >Vigun03g376200.2.v1.2 pep primary_assembly:ASM411807v1:3:57898748:57901925:1 gene:Vigun03g376200.v1.2 transcript:Vigun03g376200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGQLSGLGSHTMESLPPPQMLENKLAVQEAEIEQLLRDNHSLSSGHVALREALVATAQDVQKLKSHIRSIQTESDIQIRILLDKIAKGEVDIRAGDSVKKDLQQAYVEAQSLAASRQELSAQIQRASQELKKVNSDVKNISDLQAELDGLVQEHQRLRGTFEYEKKKNIELVDHMKAKEKNLIAMAREVEMLRAEILNAEKRVHAADLFRASTPGHSSGPFVDGYGRTHSQMASGQGAEGMVPVGDSNGVAAVNSSGVSGGLWSSPYDPSVGRR >Vigun09g045300.1.v1.2 pep primary_assembly:ASM411807v1:9:4290339:4290983:-1 gene:Vigun09g045300.v1.2 transcript:Vigun09g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFNQHFLLGILIVLLVAPLGFIDANPLSTKSIRVDSAPPQDCSSQRCGPGTNLCTICCNWQGFKNGVCVNSGTSSVCKCQG >Vigun07g117100.4.v1.2 pep primary_assembly:ASM411807v1:7:21667302:21671768:-1 gene:Vigun07g117100.v1.2 transcript:Vigun07g117100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKTEINTRKTAKENGAEKPITTHYYTRDRTVLVREKKQNKTHTFSLTLTHTHAPTHALYSEAVKIPFLSLRFETTEQHQLADLPHTSTPLYHQPPSSLRTLQLKPVSMDLYGRAPVRNGSNPVNQPEWPSPGIDTALEESMWHLTLGGGESYPERPGVPNCVYYMRTGVCGYGSRCRYNHPRDRAAVVAAVRATGEYPERVGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNTYGYPLRPGERECSYYLKTGQCKFGVTCKFHHPQPAGTPLPASAPQFYQQVQSPTVPVAEQYAGASANLRVARPPVLSGSYVQGAYGPVLLSPGVVQFPGWSPYSAPVSPVLSPGAQPAVGATSLYGVTQLSSPTSAFARPYTPLSSTTSPSGNILKDQLFPERPGEPECQYFLRTGDCKFGLACRYHHPRDHIVARPFLSPIGLPLRPKTGRTTLRFLLAKRALQVWVHVQI >Vigun07g117100.3.v1.2 pep primary_assembly:ASM411807v1:7:21667302:21671768:-1 gene:Vigun07g117100.v1.2 transcript:Vigun07g117100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKTEINTRKTAKENGAEKPITTHYYTRDRTVLVREKKQNKTHTFSLTLTHTHAPTHALYSEAVKIPFLSLRFETTEQHQLADLPHTSTPLYHQPPSSLRTLQLKPVSMDLYGRAPVRNGSNPVNQPEWPSPGIDTALEESMWHLTLGGGESYPERPGVPNCVYYMRTGVCGYGSRCRYNHPRDRAAVVAAVRATGEYPERVGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNTYGYPLRPGERECSYYLKTGQCKFGVTCKFHHPQPAGTPLPASAPQFYQQVQSPTVPVAEQYAGASANLRVARPPVLSGSYVQGAYGPVLLSPGVVQFPGWSPYSAPVSPVLSPGAQPAVGATSLYGVTQLSSPTSAFARPYTPLSSTTSPSGNILKDQLFPERPGEPECQYFLRTGDCKFGLACRYHHPRDHIVARPFLSPIGLPLRPGVQPCAFYLQNGHCKFGSTCKFDHPLGSLSYSPSVSSFIDVPVTPYPVGSLLSQLAPSTTSSELRSELMSGSKKESLSARIPSSGTSVGLIFSQGGSISLSDVQLSSPSSAPLGSSRNTRQSGEIR >Vigun01g162600.2.v1.2 pep primary_assembly:ASM411807v1:1:34434073:34439471:-1 gene:Vigun01g162600.v1.2 transcript:Vigun01g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISHNWSSSSVGLGSGASITTLLKACKSSEQLKQVHASIIYRGLEQDHFLISLFIPLSHTLSTLSYTSSVFLRVLTPSTFLWNTLIKSHCHNSCFSQTLSSFTRMKAHGVLPDTFTYPSVIKACSATCKPREGKSLHGSAFRCGVHRDLYVATSLVDMYGKCGLIADARRVFDGMSKRSVVSWTAMLVGYVAVGDVMEAEKLFGEMPQRNVASWNAMLRGFIKAGDLSGARDVFDAMPEKNVVSFTTMIDGYAKVGDMVAARFLFDRATGKDVVAWSALISGYVQNGQPNQALKVFFEMESMNVKPDEFILVSLMSASAQLGHLELAQWVDSYVSKSCIDVQQDHVIAALLDMNAKCGNMDRASKLFEERPKRDLVLYCSMIQGLSIHGRGEQAVNLFNRMLMEGLYPDEVAFTVILTACSHAGLVDEGWNYFQSMKQKYYISPSPDHYACMVDLLSRSGHIRDAYELIKLMPEPHAGAWGALLGACKLYGDSKLGEIVANRLLQIDHLNAANYVLLSDIYATSERWIDVSLVRKHGSRYEFIFR >Vigun01g162600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34434172:34439391:-1 gene:Vigun01g162600.v1.2 transcript:Vigun01g162600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISHNWSSSSVGLGSGASITTLLKACKSSEQLKQVHASIIYRGLEQDHFLISLFIPLSHTLSTLSYTSSVFLRVLTPSTFLWNTLIKSHCHNSCFSQTLSSFTRMKAHGVLPDTFTYPSVIKACSATCKPREGKSLHGSAFRCGVHRDLYVATSLVDMYGKCGLIADARRVFDGMSKRSVVSWTAMLVGYVAVGDVMEAEKLFGEMPQRNVASWNAMLRGFIKAGDLSGARDVFDAMPEKNVVSFTTMIDGYAKVGDMVAARFLFDRATGKDVVAWSALISGYVQNGQPNQALKVFFEMESMNVKPDEFILVSLMSASAQLGHLELAQWVDSYVSKSCIDVQQDHVIAALLDMNAKCGNMDRASKLFEERPKRDLVLYCSMIQGLSIHGRGEQAVNLFNRMLMEGLYPDEVAFTVILTACSHAGLVDEGWNYFQSMKQKYYISPSPDHYACMVDLLSRSGHIRDAYELIKLMPEPHAGAWGALLGACKLYGDSKLGEIVANRLLQIDHLNAANYVLLSDIYATSERWIDVSLVRSKMRERRVRKIPGCSKI >Vigun01g162600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34434085:34439471:-1 gene:Vigun01g162600.v1.2 transcript:Vigun01g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISHNWSSSSVGLGSGASITTLLKACKSSEQLKQVHASIIYRGLEQDHFLISLFIPLSHTLSTLSYTSSVFLRVLTPSTFLWNTLIKSHCHNSCFSQTLSSFTRMKAHGVLPDTFTYPSVIKACSATCKPREGKSLHGSAFRCGVHRDLYVATSLVDMYGKCGLIADARRVFDGMSKRSVVSWTAMLVGYVAVGDVMEAEKLFGEMPQRNVASWNAMLRGFIKAGDLSGARDVFDAMPEKNVVSFTTMIDGYAKVGDMVAARFLFDRATGKDVVAWSALISGYVQNGQPNQALKVFFEMESMNVKPDEFILVSLMSASAQLGHLELAQWVDSYVSKSCIDVQQDHVIAALLDMNAKCGNMDRASKLFEERPKRDLVLYCSMIQGLSIHGRGEQAVNLFNRMLMEGLYPDEVAFTVILTACSHAGLVDEGWNYFQSMKQKYYISPSPDHYACMVDLLSRSGHIRDAYELIKLMPEPHAGAWGALLGACKLYGDSKLGEIVANRLLQIDHLNAANYVLLSDIYATSERWIDVSLVRSKMRERRVRKIPGCSKI >Vigun01g162600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34434144:34439391:-1 gene:Vigun01g162600.v1.2 transcript:Vigun01g162600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISHNWSSSSVGLGSGASITTLLKACKSSEQLKQVHASIIYRGLEQDHFLISLFIPLSHTLSTLSYTSSVFLRVLTPSTFLWNTLIKSHCHNSCFSQTLSSFTRMKAHGVLPDTFTYPSVIKACSATCKPREGKSLHGSAFRCGVHRDLYVATSLVDMYGKCGLIADARRVFDGMSKRSVVSWTAMLVGYVAVGDVMEAEKLFGEMPQRNVASWNAMLRGFIKAGDLSGARDVFDAMPEKNVVSFTTMIDGYAKVGDMVAARFLFDRATGKDVVAWSALISGYVQNGQPNQALKVFFEMESMNVKPDEFILVSLMSASAQLGHLELAQWVDSYVSKSCIDVQQDHVIAALLDMNAKCGNMDRASKLFEERPKRDLVLYCSMIQGLSIHGRGEQAVNLFNRMLMEGLYPDEVAFTVILTACSHAGLVDEGWNYFQSMKQKYYISPSPDHYACMVDLLSRSGHIRDAYELIKLMPEPHAGAWGALLGACKLYGDSKLGEIVANRLLQIDHLNAANYVLLSDIYATSERWIDVSLVRSKMRERRVRKIPGCSKI >Vigun06g053200.1.v1.2 pep primary_assembly:ASM411807v1:6:17889722:17898574:-1 gene:Vigun06g053200.v1.2 transcript:Vigun06g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPQSLSMGAAFGGGPPSSSSGAGTQGSGAGKDRKMASAEQLVLELSNPELRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGVNRVPALQAGGGFDHMIVT >Vigun01g045101.1.v1.2 pep primary_assembly:ASM411807v1:1:6671678:6673260:-1 gene:Vigun01g045101.v1.2 transcript:Vigun01g045101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSGLVFRNWFQQSVGTLKPPKTFLVLMKAYHPLDWTLMHLISSLIRNFLLMMSRSHH >Vigun03g039500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3018104:3023187:1 gene:Vigun03g039500.v1.2 transcript:Vigun03g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLKQFLGFAANHSANAFKILGNSMQAEGRGADQYATDTILRLDSPGSSIPTCEPSSKGTKRKRDLIDGSMGQRVDSSLSLGLGRSTSSSDSKGSSAAACTAVSSAKDIDEESSMDIELDFSLHLGCEKVQSQKKPVNSSLKTLELQPKFDLELSLSTGLCESDITSIHLNPSPLQLNMEMPLAFSGTQNTDEGSTSCSWKPGIVLPSSKTSSYTGTSFLLNQASEQFDHSPVVLDLSSTEPKSSVTCTSGLTQQQQPLRPSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAPGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCTYQGGGVCTKSVHGGTNFCVAHGGGKRCAVPGCTKSARGRTDHCVRHGGGKRCKFVGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGIQQDGPCNSFARGKTGMCALHSGLVHDKRVHGGISLGSVVQNPHSSKTDELKHLLVDKNMDIDMMKIGSSLGSVATCSDLKQFEAVTGHVSAKEGGHLPMSVAVPEGRVHGGSLMAMLSGSSSRGTSSGRMPQNWMES >Vigun05g232400.1.v1.2 pep primary_assembly:ASM411807v1:5:42642859:42647128:1 gene:Vigun05g232400.v1.2 transcript:Vigun05g232400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYRLTMEGVQIEEVFILFCFCLITTVSFGATNSNDVKVLNDFRKGLENPELLKWPEEGDDPCGPPLWPYVYCSGDRVNQIQAKNLGLRGSLPQNFNQLSELYNLGLQRNNLSGMLPSFSGLSKLEFAFLDYNKFDSIPSDFFSGLSSLRVLSLEENPLNMSSGWFFPLDLQKSVQLTNLSLVQCNLVGPLPDFLGTLASLTNLRLSGNKLSGAIPATFAQSSIQVLWLNNQEGGGLSGPIDVIASMPFLRQVWLHGNQFTGPIPRNIGNLISLQELNLNSNQLVGLIPESLALMDLDILVLNNNMLMGPIPKFKAANVSYDYNLFCQNEPGLECGPQVTVLLDFLDKLNYPSFLVSDWSGDEPCTRSTGSWFGLSCNSNSEISIMNLPRHKLNGTLSPSLAKLDSLRQIRLSGNNITGSVPTNFTKLTSLELLDLSYNNLEPPFPNFHDDLKIIITGNPLLLNKTGGSPSPMPIDSPPSPQNPSQPPSSHKPPIPDQSSRSNQSKPNDLKRFKTTVIVAGVVLFTLVALLVVYLLLCCRKNKKASLDAPSTIVVHPRNPSDPDNIVKIAVSNATGSLSTKTGTSSLSNISGETQNSHMIEDGNLVISIQVLRKVTNDFASENELGRGGFGTVYRGELEDGTKIAVKRMEHGVITSKAIEEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMSLGALSQHLFHWKSLNFEPLSWSKRLAIALDVARGIEYLHSLARQTFIHRDLKSSNILLGDDFRAKVSDFGLVKHAPDSEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLVALDESRPEESRYLAEWFWRIKSSKEKLMAAIDPVVEASEETFESITIVAELAGHCTAREAHHRPDMGHAVNVLSALVEKWQPVDDELDCYSGIDYTRPLPQMLKIWKEAESKEFSYTSSLDNSRSSIAARPTGFADSFTSADAR >Vigun05g232400.3.v1.2 pep primary_assembly:ASM411807v1:5:42642859:42647128:1 gene:Vigun05g232400.v1.2 transcript:Vigun05g232400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFSGLSKLEFAFLDYNKFDSIPSDFFSGLSSLRVLSLEENPLNMSSGWFFPLDLQKSVQLTNLSLVQCNLVGPLPDFLGTLASLTNLRLSGNKLSGAIPATFAQSSIQVLWLNNQEGGGLSGPIDVIASMPFLRQVWLHGNQFTGPIPRNIGNLISLQELNLNSNQLVGLIPESLALMDLDILVLNNNMLMGPIPKFKAANVSYDYNLFCQNEPGLECGPQVTVLLDFLDKLNYPSFLVSDWSGDEPCTRSTGSWFGLSCNSNSEISIMNLPRHKLNGTLSPSLAKLDSLRQIRLSGNNITGSVPTNFTKLTSLELLDLSYNNLEPPFPNFHDDLKIIITGNPLLLNKTGGSPSPMPIDSPPSPQNPSQPPSSHKPPIPDQSSRSNQSKPNDLKRFKTTVIVAGVVLFTLVALLVVYLLLCCRKNKKASLDAPSTIVVHPRNPSDPDNIVKIAVSNATGSLSTKTGTSSLSNISGETQNSHMIEDGNLVISIQVLRKVTNDFASENELGRGGFGTVYRGELEDGTKIAVKRMEHGVITSKAIEEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMSLGALSQHLFHWKSLNFEPLSWSKRLAIALDVARGIEYLHSLARQTFIHRDLKSSNILLGDDFRAKVSDFGLVKHAPDSEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLVALDESRPEESRYLAEWFWRIKSSKEKLMAAIDPVVEASEETFESITIVAELAGHCTAREAHHRPDMGHAVNVLSALVEKWQPVDDELDCYSGIDYTRPLPQMLKIWKEAESKEFSYTSSLDNSRSSIAARPTGFADSFTSADAR >Vigun05g232400.2.v1.2 pep primary_assembly:ASM411807v1:5:42642859:42647128:1 gene:Vigun05g232400.v1.2 transcript:Vigun05g232400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQIEEVFILFCFCLITTVSFGATNSNDVKVLNDFRKGLENPELLKWPEEGDDPCGPPLWPYVYCSGDRVNQIQAKNLGLRGSLPQNFNQLSELYNLGLQRNNLSGMLPSFSGLSKLEFAFLDYNKFDSIPSDFFSGLSSLRVLSLEENPLNMSSGWFFPLDLQKSVQLTNLSLVQCNLVGPLPDFLGTLASLTNLRLSGNKLSGAIPATFAQSSIQVLWLNNQEGGGLSGPIDVIASMPFLRQVWLHGNQFTGPIPRNIGNLISLQELNLNSNQLVGLIPESLALMDLDILVLNNNMLMGPIPKFKAANVSYDYNLFCQNEPGLECGPQVTVLLDFLDKLNYPSFLVSDWSGDEPCTRSTGSWFGLSCNSNSEISIMNLPRHKLNGTLSPSLAKLDSLRQIRLSGNNITGSVPTNFTKLTSLELLDLSYNNLEPPFPNFHDDLKIIITGNPLLLNKTGGSPSPMPIDSPPSPQNPSQPPSSHKPPIPDQSSRSNQSKPNDLKRFKTTVIVAGVVLFTLVALLVVYLLLCCRKNKKASLDAPSTIVVHPRNPSDPDNIVKIAVSNATGSLSTKTGTSSLSNISGETQNSHMIEDGNLVISIQVLRKVTNDFASENELGRGGFGTVYRGELEDGTKIAVKRMEHGVITSKAIEEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMSLGALSQHLFHWKSLNFEPLSWSKRLAIALDVARGIEYLHSLARQTFIHRDLKSSNILLGDDFRAKVSDFGLVKHAPDSEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLVALDESRPEESRYLAEWFWRIKSSKEKLMAAIDPVVEASEETFESITIVAELAGHCTAREAHHRPDMGHAVNVLSALVEKWQPVDDELDCYSGIDYTRPLPQMLKIWKEAESKEFSYTSSLDNSRSSIAARPTGFADSFTSADAR >Vigun11g082733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24602740:24603770:1 gene:Vigun11g082733.v1.2 transcript:Vigun11g082733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEGFDFEDCFPSMIARLGVEGFVGELCNGFRLLMDVNIGLITFESLKVSTYLLGLEARDDELLCMLMEGDLDGDGALNQMEFCILMFRLSPCLMDMQG >Vigun10g041800.1.v1.2 pep primary_assembly:ASM411807v1:10:5805318:5809083:-1 gene:Vigun10g041800.v1.2 transcript:Vigun10g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSSTSKLPRKYDVLINFTGEDIHRKFVSHLHYALSAVGLTTFLHHQDAVQPMNIQQPILNLCRIAIVVFTKTYSESAWCLHQLQQIIKCHETYCQHVLPVYYEIQPSDVRLQQGRFGKAFKETAHQTFSEQELEHGMSRWSHALTKAANCFGYDERNYRSDAELVEKIAKFVVNLPVLSATKFPVGLQSRMEDVIQTIKNKSTEICKIGICGEGGSGKTTLAKAIYHQIHDTFKEKSFLEDIRQVSGIREDLRLQEQLLLDVLKTKVEIPSVDIGRKMIRERLFGKRMLIVLDDLPDFCELLDILKCNHLFSGGTVIIITTRDEHILRQHGIDSIFQSKLMYENESLELLSWHAFREAKPKKPHYEHAIDIVHYCGGLPLALEVIGSSLFERTGNEWYSVCLELQKIPSQNVEQILRISFRALRNEMVQDLFLDVCCFFVGKGRSYATKILNGCGVYADSGIRILIERSDRGRTPSN >Vigun03g044400.1.v1.2 pep primary_assembly:ASM411807v1:3:3565401:3568187:-1 gene:Vigun03g044400.v1.2 transcript:Vigun03g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSETSDREEEREGGGDSSLDPPNSEGETPISDGVAFNNQSEIFRAIEVVERDSLAIAQSFTSLFASLRMALSESTATSLHHMQCFTDATGRLQESVLDAANKGNRYINSCLRLNEEMKSVDGLASQLKILRKHVDSLDSAVNKLLQFP >Vigun09g069800.1.v1.2 pep primary_assembly:ASM411807v1:9:7551110:7555371:1 gene:Vigun09g069800.v1.2 transcript:Vigun09g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFMVVVATIAVTIFVYRLINFLTRSSSLPLPPGPKPWPVLGNFPHMGPVPHHSLAALARVHGPLMHLRLGFVDVIVAASASVAEQFLKIHDSNFSSRPPNAGAKYIAYNYQDLVFAPYGPRWRLLRKLTSVHLFSGKALEVFRHLREEEVGRLTRNLGSSEGKAVNLGQVLNVCTTNALARVMIGRRVFDDGNGGCDPRADEFKSMVVEVMVLAGVFNIGDFIPLFEWLDLQGVQAKMKKLHQRFDAFLSTIIEEHDSSSNNLLSILLSLQTVKDDDGNHLTHTEIKALLLNMFTAGTDTSSSTTEWAIAELMRNPRILAQVQQELDTVVGRDRSVKEEDLAHLPYLQAVIKETFRLHPSTPLSLPRVAAESCEIFGYHIPKGSTLLVNVWAIARDPKEWADPLEFRPERFLPGGEKADVDVKGNDFEVIPFGAGRRICAGLSLGLRMVQLLTATLAHSFDWELENGLNPEKMNMDEAYGLTLQRAEPLSVVPKPRLAPHVYSMYS >Vigun02g153300.1.v1.2 pep primary_assembly:ASM411807v1:2:29961438:29962510:-1 gene:Vigun02g153300.v1.2 transcript:Vigun02g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITALLFITLLLGFIVTHAAARPKSFFRRGGSLNPDFLGEDSCYGIDEDECLKRRALAANLDYIYTQDDNN >Vigun07g025400.1.v1.2 pep primary_assembly:ASM411807v1:7:2285398:2287687:-1 gene:Vigun07g025400.v1.2 transcript:Vigun07g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDEKVQHVTKKSSDELLRKFAEVGNSNNVPKKKKKKITKRVEPAAAAAATFVERRSLLPPPAATRKTALLRQIGIGGAHIMRTRGFRNKSLLGTIEKTWRRSIEGASRAFMERHYHHHKRLINDIV >Vigun04g089400.1.v1.2 pep primary_assembly:ASM411807v1:4:15858393:15862583:-1 gene:Vigun04g089400.v1.2 transcript:Vigun04g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNSKEDNNWRQNSSVRSSPSASSWGAYPDPHSGYGQGGYAYEPQQPSYPTQQSYYAPPPPQQNYGYEPQPQPQPHDSGRVANHRNEKRLDRKYSRIADNYNSIDEVTEALARAGLESSNLILGIDFTKSNEWTGKHSFNRKSLHHIGNGPNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLGRYREIVPNIRLAGPTSFAPIVEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTEHGRLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFTDIMSKNIPPSRKEAAFALAALMEIPSQYKAAIELNLLGRRNANAPQRVALPTPTYGSASMGTSKPYGSAASFGASKPYGSASFDTSKPYGSASFDTSKPSHATGFEKSAPSYYDNIDAVGTAPPAPSSTYDNQLCPICLTNPKDMAFGCGHQTCCECGPDLQTCPICRSPINTRIKLY >Vigun04g089400.2.v1.2 pep primary_assembly:ASM411807v1:4:15858390:15863182:-1 gene:Vigun04g089400.v1.2 transcript:Vigun04g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNSKEDNNWRQNSSVRSSPSASSWGAYPDPHSGYGQGGYAYEPQQPSYPTQQSYYAPPPPQQNYGYEPQPQPQPHDSGRVANHRNEKRLDRKYSRIADNYNSIDEVTEALARAGLESSNLILGIDFTKSNEWTGKHSFNRKSLHHIGNGPNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLGRYREIVPNIRLAGPTSFAPIVEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTEHGRLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFTDIMSKNIPPSRKEAAFALAALMEIPSQYKAAIELNLLGRRNANAPQRVALPTPTYGSASMGTSKPYGSAASFGASKPYGSASFDTSKPYGSASFDTSKPSHATGFEKSAPSYYDNIDAVGTAPPAPSSTYDNQLCPICLTNPKDMAFGCGHQTCCECGPDLQTCPICRSPINTRIKLY >Vigun03g216100.1.v1.2 pep primary_assembly:ASM411807v1:3:35899193:35900575:-1 gene:Vigun03g216100.v1.2 transcript:Vigun03g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAEKDGIMLFGVRLTVPDNNPAVFRKSASMNNLSPNSESPPPHHPNAGYASDDVVHLCRRTRKRGVPWTEEEHRQFLLGLQNVGKGDWRGISRNFVKTRTPTQIASHAQKYFLRRHTHNRRRRRSSLFDITTNSVKEEERAVPSARSKPVLPTPPSLRMAELDLSGRSLSLKLRLPESEEPSAVTFEAVSSGKLSGDVDMIRVA >Vigun07g271500.4.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.12.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724995:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSVSVLVILF >Vigun07g271500.5.v1.2 pep primary_assembly:ASM411807v1:7:38718489:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.14.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.8.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEISKFHSFYLRFCDS >Vigun07g271500.11.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724995:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVG >Vigun07g271500.6.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKVRQTLDCISSWSIINGEWVF >Vigun07g271500.1.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.10.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724995:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVG >Vigun07g271500.13.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724995:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSVSVLVILF >Vigun07g271500.9.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEISKFHSFYLRFCDS >Vigun07g271500.3.v1.2 pep primary_assembly:ASM411807v1:7:38718489:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.15.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.2.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRLILNIKPKDIGTLITGSTGEDPKMLANFKDLLEKVFVLDPDKRLTVSQALNHPFITGK >Vigun07g271500.7.v1.2 pep primary_assembly:ASM411807v1:7:38715423:38724994:-1 gene:Vigun07g271500.v1.2 transcript:Vigun07g271500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARDSRHKHRRSPSPEDVDRSSKRHKHRHHGHRHRHRHGSKKRDEDIEFDDRTIAAVPSPTPHRHLPEDDVEEGEILDDEALDSEVGRKETESDVEPGEIKVTGDRDVQSDNQNSGHLAVNSETNEDIKVHKFITPATNADGVSPNRLSAETQDGKLATDGLDNGYLNPKSSRADKWRNGEPGHFKGNEKLKGDFDNEALEVDVRKTYFHRNSSSESGGDKGRISGSSPSHGRYRSRSRSIGHTRDRSRSRSVIDEYVHSKRRRSDYDYDEERVRARGREHGHGSVVDDRREYSSGYHNREAHDRDRSRDRDLYREKKQEETSRGKEIERDRQRGKERERSRERYRRDVEKDRSREREDRDRRQEKERDRSWETVFERDMRREKERDRSRDRTRDAKRDRDLENERDDKNRERDNIKERERRDDRYRHKDRDTANGKDRHVRHEDGNDNGDRYRKHSRHEENDYHWDRKRNSGNPVKDYTSRVSTAEVDESKRTSEVEPDDLEEDTLHLPDQEEEDLNRIKEESRRRREAIMEKYKNQHQKVEVVGNERKDKDADIHTEISEAHDGKNDDADYVEPSFAVGKSPENVNVASQKISLAGGLGEGTPKSERSEDKYCDDIFGETPTGVRKSGKGDGLMIERVGLHDNWDDAEGYYSYRIGEILDGRYEVAAAHGRGVFSTVVRAKNLKVGNGEPEEVAIKIIRNNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFRYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLAYDHPLDIWSVGCCLYELYVGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKVRQTLDCISSWSIINGEWVF >Vigun07g242100.1.v1.2 pep primary_assembly:ASM411807v1:7:36346918:36355663:-1 gene:Vigun07g242100.v1.2 transcript:Vigun07g242100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTPAAQRRLRAVHDHLSPTADESPSHLRSNPTAGEFFSEQGYSVVLPEKLQTGKWNVYRSLRSPLQLVSKFPDHPEVGTLHDNFVRSVDTFRDYKYLGTRVRVDGTVGEYKWMTYGEAGTARSAIGSGLIYYGVPKGSSIGLYFINRPEWLILDHACSAYSFISVPLYDTLGPEAVKYIVSHAVVQVIFCVPETLNVLLSYLSDIPTVRLIVVVGGMDDQIPSVPSTTGVQIVTYSKLLNVGRSNLQPFCPPKPDDIATICYTSGTTGTPKGAVLTHGNFIASVAGGTMDEKFGPSDVYISYLPLAHIYERANQVMIVHFGIAVGFYQGDSMKLMDDMATLRPTIFCSVPRLYNRIYAGIINAVKTSGGLKERLFNAAYNAKRQALLHGKNPSPMWDRLVFNKIKEKLGGRVRFIASGASPLSPDIMEFLKICFGGRVTEGYGMTESTCVISCIDEGDPLGGHVGSPNPACEIKLVDVPEMNYTSEDQPNPRGEICVRGPIVFRGYHKDETQTREVIDEDGWLHTGDIGTWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSLNASLVAVVSVDLDVLKAWAASEGIMYNDLTQLCNDPRAKAVVLTEMDAAGRDAQLRGFEFVKAVTLVTEPFTLENGLLTPTFKIKRPQAKEYFAKAISDMYSELSKTDSSQKTL >Vigun07g154300.1.v1.2 pep primary_assembly:ASM411807v1:7:26535822:26539222:1 gene:Vigun07g154300.v1.2 transcript:Vigun07g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSDSVSIDIDLIPLGGKECTVKTSKGSLSVLVCGDQEKPALITYPDVALNYVSCFQGLLFCPDAASLLLHNFCIYHIDAPGHELGADVISSDEPLLCVDDLADQIAEVLDFFGLREVLCLGVTAGAYVLTLFAMKYKERVLGLILVSPICKAPSWTEWLYNKVLMNLLYFYGMCGLLKECLLQRYFSKELRGNVQGAESDIILTCRRLLDERQSLNVMRFLQAINARHDLTEGLKELQCKTLIFAGESSPFHAESVYMSTKMDNRNCALVEVEACGSLVTEEHPNSMITPLECFLMGYGYHRQTHGASSSSNGSNPASPSSHSCIAPELLSPESLGIKLKPIRTRVDVEI >Vigun01g130000.5.v1.2 pep primary_assembly:ASM411807v1:1:30764068:30770962:-1 gene:Vigun01g130000.v1.2 transcript:Vigun01g130000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVSRTDPPAMVPPPLLVSDAFAKDAILAWFRGEFAAANAIIDALCGHLASIAAASSDYEATFAAIHHRRINWIPIIQMQKYHSIADVALELRKVADRKTEAEGARKSESNSGGEQKLEKEIVETGGNEGDEAAPEYDSPDSEITDSGSQEMQPSALNNNICSNHEECEGRSSKIKLTKGFSAKESVKGHMVNVVKGLKLYEDVFSESEIFKLTDFVNDIHAAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKDDTKSNIEPIPALIHDVIDHLIQWKLIPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILVSENDGNYKGPLMLSLKEGGRIQPRFYWTACK >Vigun01g130000.2.v1.2 pep primary_assembly:ASM411807v1:1:30763992:30771024:-1 gene:Vigun01g130000.v1.2 transcript:Vigun01g130000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVSRTDPPAMVPPPLLVSDAFAKDAILAWFRGEFAAANAIIDALCGHLASIAAASSDYEATFAAIHHRRINWIPIIQMQKYHSIADVALELRKVADRKTEAEGARKSESNSGGEQKLEKEIVETGGNEGDEAAPEYDSPDSEITDSGSQEMQPSALNNNICSNHEECEGRSSKIKLTKGFSAKESVKGHMVNVVKGLKLYEDVFSESEIFKLTDFVNDIHAAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKDDTKSNIEPIPALIHDVIDHLIQWKLIPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILVSENDGNYKGPLMLSLKEGSLIVMRGNSADMARHVMCPSPNRRVSMSFFRVRPDSNQCLSPTPAMTTAVTLWQSGIANPYTLSNGYEAMDMMPNWGIFGAPIVMLPPMRPVATNSRKLPRGGTGVFLPWKGTSRKHTRHLPPRAQKGRLMELPSPVESHLGESTSEPTIAVEG >Vigun01g130000.1.v1.2 pep primary_assembly:ASM411807v1:1:30761758:30770962:-1 gene:Vigun01g130000.v1.2 transcript:Vigun01g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVSRTDPPAMVPPPLLVSDAFAKDAILAWFRGEFAAANAIIDALCGHLASIAAASSDYEATFAAIHHRRINWIPIIQMQKYHSIADVALELRKVADRKTEAEGARKSESNSGGEQKLEKEIVETGGNEGDEAAPEYDSPDSEITDSGSQEMQPSALNNNICSNHEECEGRSSKIKLTKGFSAKESVKGHMVNVVKGLKLYEDVFSESEIFKLTDFVNDIHAAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKDDTKSNIEPIPALIHDVIDHLIQWKLIPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILVSENDGNYKGPLMLSLKEGSLIVMRGNSADMARHVMCPSPNRRVSMSFFRVRPDSNQCLSPTPAMTTAVTLWQSGIANPYTLSNGYEAMDMMPNWGIFGAPIVMLPPMRPVATNSRKLPRGGTGVFLPWKGTSRKHTRHLPPRAQKGRLMELPSPVESHLGESTSEPTIAVEGIRLKRSSRSN >Vigun01g130000.6.v1.2 pep primary_assembly:ASM411807v1:1:30765033:30770962:-1 gene:Vigun01g130000.v1.2 transcript:Vigun01g130000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVSRTDPPAMVPPPLLVSDAFAKDAILAWFRGEFAAANAIIDALCGHLASIAAASSDYEATFAAIHHRRINWIPIIQMQKYHSIADVALELRKVADRKTEAEGARKSESNSGGEQKLEKEIVETGGNEGDEAAPEYDSPDSEITDSGSQEMQPSALNNNICSNHEECEGRSSKIKLTKGFSAKESVKGHMVNVVKGLKLYEDVFSESEIFKLTDFVNDIHAAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKDDTKSNIEPIPALIHDVIDHLIQWKLIPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILVSENDGNYKGPLMLSLKEGGRIQPRFYWTACK >Vigun01g130000.4.v1.2 pep primary_assembly:ASM411807v1:1:30761758:30770962:-1 gene:Vigun01g130000.v1.2 transcript:Vigun01g130000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVSRTDPPAMVPPPLLVSDAFAKDAILAWFRGEFAAANAIIDALCGHLASIAAASSDYEATFAAIHHRRINWIPIIQMQKYHSIADVALELRKVADRKTEAEGARKSESNSGGEQKLEKEIVETGGNEGDEAAPEYDSPDSEITDSGSQEMQPSALNNNICSNHEECEGRSSKIKLTKGFSAKESVKGHMVNVVKGLKLYEDVFSESEIFKLTDFVNDIHAAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKDDTKSNIEPIPALIHDVIDHLIQWKLIPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPLSTLLLSESTMAFGRILVSENDGNYKGPLMLSLKEGSLIVMRGNSADMARHVMCPSPNRRVSMSFFRVRPDSNQCLSPTPAMTTAVTLWQSGIANPYTLSNGYEAMDMMPNWGIFGAPIVMLPPMRPVATNSRKLPRGGTGVFLPWKGTSRKHTRHLPPRAQKGRLMELPSPVESHLGESTSEPTIAVEGTEALPQINFGWAPCLSCLLFINSTKILNLIPLKVLLLLNR >Vigun11g013600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1645276:1650418:-1 gene:Vigun11g013600.v1.2 transcript:Vigun11g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELITDAALSKFFEKTFDNVFSRLGDIFRGDKSKKKQLSNLKVKLLAVEVVTDDAEQKQFTDQRVREWLLSAKGFMFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEDLETRSHVLGLKRRDDVGEGSRSGRKLRSTYLPNDSVIYGRDDDKEFVLNWLTSHTHKNLSILSIVGMGGVGKTTLVQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKTILEHVTRSTDNSGDIERVHQSLKETLTGKKFLLILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFADDYDAQSNPECNKIGEKIIKKCKGLPLALKTIGSLLYNKLSVSEWEFVFRSEIWDLPKERCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFQKEHLIELWMTEDFLQHGKSPEETGQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRHELGQTNEIQKVCRHFLFELGYIERFHGFGTLCKTQRLRTFLPTPDRKIVLFHWFCNMSIHELFTKFKFLRILSLSRCSNLEELPDSVGNLEHLRSLDLSRTNIKKLSERICSLSHLQILKLNRCMNLEELPSNLHLITTLCRLEFTYTKVRKVPPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHERLSIQGLQDIENPRDALKADLENKTHLMRLVLVWKRTGNCIDSKKEEDVIENLKPPKNLKGLSIFNYGGKQLPNWLLENSLWNMVSLKLDGCESCQRLPPLGLLPFLKYLDISGFDEIVSVDVDFHGNNSSSFQSLERLEFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLETLEMRDCQQLEESINDDSVFVSIFPLDSFPTLEFLSLSGLNNLQMISLDQAHHHLEDLIISKCPKLESLPGSMHMLLPSLMSLCIKDCPRLESFPDGGLPSNLNEMTLENCSRLVGSLKGAFRDGSYLGRLSIKELDAKRFPEEGLLPASLNHLTIGDCPNLEELDYKGLSQLSYLQSLTLEHCPKLQCLPEQGLPESISNLTIKNCPLLKQRCQKGGEDREKIAQIQYICFFN >Vigun07g082900.1.v1.2 pep primary_assembly:ASM411807v1:7:12096321:12099520:1 gene:Vigun07g082900.v1.2 transcript:Vigun07g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAHGHRHRKHPNSVPPPHKYDHPPPFPTPPPPIANSNMLSLPYTHVDTTLRALAGQAEGFGRFAVGGLHGPVHRVTSLADDGPGSLREACRRKEPLWIVFEVSGTIHLSSYLNVSSHKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGHDVDAIQIKPNSKHIWIDRCTLADYDDGLIDITRESTDITVSRCHFSQHDKTMLIGADPSHVNDRCMRVTIHHCFFNGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVEAQIFSQHNIYEAGQKKVAFKYLTEKAADKEVGTSGYIRSEGDLFLNGAEAGLMSENGGCNMFHPTEHYPSWTVEAPREDLKHILHHCTGWQSVARPPDQAL >Vigun03g276900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45354157:45355611:-1 gene:Vigun03g276900.v1.2 transcript:Vigun03g276900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSFLEFHYSSSLGHASQSKSAAPNSNNFKSKQTSNMGCNFQPRSEEMKWVFEKFDTNKDGKISLEEYKAAMRTMGWGIGGTEAVESFEVMDSDGDGSIDFKEFMEMFNVEERVKETEIKSAFQVFDLNGDGKISAEELSHVLKSLGESCSLSACKKMVMGVDGNGDGFIDFNEFMRMMMSGKKLT >Vigun06g002300.1.v1.2 pep primary_assembly:ASM411807v1:6:1112309:1115602:-1 gene:Vigun06g002300.v1.2 transcript:Vigun06g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEPHDVTSVRNKLKTLCAVNGCSYVILWRIHPQNTLMLRMEDVYCEDQLGEEIVNTVPQFHSLGEGIVGHAALTGKHRWVESDGQMCEDDFGLHPQFLSGIKTVVMISVKAWGVVQFGSRKKILDRVKFVEQTERLLRGIEDEGLDLYLDCENLYDWNLKCVDSENSEMVLGNSCSYPSLEDSFPSMDMILVEEGTTPMHGFSYLCDQAVEAEAVFEHHIGCPTDIPHSDLGHLLAHSDHSIYGTVTSWDESLGFNSPSFGLIGIDDVPFTCQTEHNTSITAMHCSEDSFLGSMRQYLKVIKRKKYCQSHRKE >Vigun08g039200.1.v1.2 pep primary_assembly:ASM411807v1:8:3832766:3834779:-1 gene:Vigun08g039200.v1.2 transcript:Vigun08g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRRCSNDVVRLDLTTPTPATINSSTTSSSSSSLSIDVEEPTEARIQRLISEHPVIIFTRSSCCMCHVMKKLLATIGVNPTVIELDDHEITALPDATRTPAVFIGGASVGGLESLVALHVSGHLVPKLVQVGALWRPSWPFKRGFF >Vigun08g212500.1.v1.2 pep primary_assembly:ASM411807v1:8:37386343:37390695:1 gene:Vigun08g212500.v1.2 transcript:Vigun08g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLRNHSPSSKIFSLSLLLLFHCCYSQLNEQGQALLAWKNSLNSSADALASWNPSSTSPCNWFGVHCNLQGEVVEINLNSVNLQGSLPSNFQLFRSLKILVLSTANITGRIPKEIGECKELTFIDLSGNSLFGEIPEEICRLSKLQTLALHTNFLEGNIPSSIGNLSSLVNLTLYDNKLSGEIPKSISSLTELQVLRVGGNTNLKGEVPWDIGNCTNLVVLGLAETSISGNLPSSIGMLKRIQTIAIYTTLLSGSIPEAIGKCSELQNLYLYQNSISGSIPSQIGELRKLQNLLLWQNNIVGTIPEELGSCTQLEVIDMSENLLTGSIPASFGKLSNLQGLQLSVNKLSGIIPPEITNCTSLTQLEVDNNAISGEIPPVIGNLRSLTLFFAWQNKLTGKIPDSLSQCQDLQALDLSYNNLTGPIPKQLFGLRNLTKLLLLSNDLSGFIPPEIGNCTSLYRLRLNHNRLSGTIPYEITNLKNLNFLDVSSNHLVGEIPPTLSRCQNLEFLDLHSNSIIGSIPNNLPKNLQLIDLSDNRLTGELNHSIGSLTELAKLNLGNNQLRGSIPAEILSCSKLQLLDLGSNSFSGEIPKEIAQIPSLEILLNLSCNQFSGEIPPQFSGLRKLGVLDLSHNKLSGKLDALSDLQNLVSLNVSFNDFTGELPNTPFFRKLPLSDLTGNDGLYIVGSVTPADRKEAKVHARLFMKIIFSILLSTSAVLVLLTVHVLIRAHVSNKALMGNNNWVLTLYQKFEFSVDDIVRNLTSSNVIGTGSSGVVYKVTVPGGQTLAVKKMWSSAESGAFTSEIQTLSSIRHNNIIKLLGWGSSKNMKLLFYEYLPNGSLSSLLHGSGKGKAEWEIRYDVMVGVANALAYLHHDCEPSILHGDVKAMNVLLGPGYKPYLSDFGLARIASENGDCTNSKPVQRPYLAGSYGYMAPEHASMQQMTEKSDVYSFGVVLLEVLTGRHPLDPTLPGGGAHLVQWVRNHLASKGDPSEILDPTLRGRTDSTVHEMLQTLAVSFLCVSTRAEDRPTMKDTVAMLKEIRSVEAPTTTGSDALKGVSSVHASASPLKTVVSHGNSKFSFNFSEGSIC >Vigun01g227700.1.v1.2 pep primary_assembly:ASM411807v1:1:40027376:40035380:1 gene:Vigun01g227700.v1.2 transcript:Vigun01g227700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAWPRPHSHPSPPPPPTILSDSDSDADGLPSGTETHRHSDLSDSIFKPYLEFSGHSGADLSKIQSFLTSSSAGALSCLICLERIKPSDPTWSCSSLCFAVFHLICIQSWARQASDLAAARAATRLPISAATASDTALWNCPKCRSEYPKSLIPKMYLCFCGKVENPPNDPWVLPHSCGEVCGRPLKHNCGHHCLLLCHPGPCPSCPKLVKVRCFCGCIEDVRRCGFKEFSCNNSCSRVLDCGVHRCTEVCHRGTCPPCWTRGVYGCQCGKVKEEKECCDRVFQCDHPCEKRLSCGKHVCERGCHSGECGECPLKGKRTCPCGKRVYEGMPCDASVQLCGATCDKMLPCGYHRCPERCHRGQCVETCRVVVKKSCRCGSLKKDVPCYQDLACERKCQRMRDCGRHACKRRCCDGDCPPCSEVCGRRLRCKNHKCPSPCHRGPCAPCPIMVTISCACGETRFEVPCGTEMDQKPPRCPKRCPIPPLCRHASNCKPHKCHYGACHPCRLPCANEYQCGHACKLRCHGPKPPPNPEFTLKPKKKKIIQQSEGVPGTPCPPCPELVWRSCVGQHIGADRMMVCSDKSQFSCENLCGNPLPCGNHYCTKTCHALDNQLRGSEPCEDCYLSCQKEREPACPHHCPRSCHPGDCPPCKVLIKRSCHCGAMVHVFECIYYNSLSAKDQETVRSCGGPCHRKLPNCTHLCPETCHPGECTNAEKCCKKVTVRCKCHTLKKEWVCQDVQAAYHVMGCHPRDTPKNQFGIGLIPCNSDCKSKVQVVESELQLRKPRVTEVQDQDADKSVRKRRKRRERVLESKETSKLQKIISGAKRLLLFVFILIILVAATSYGYNGLLWLSDWMNEVDDRRQRYSRIK >Vigun05g014800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1191093:1194457:1 gene:Vigun05g014800.v1.2 transcript:Vigun05g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIFFSLSPLTAKYRKVTTSEIPTWLVLKCVKYICSSALHPEHSVNRDRGVNSDSFYASLIDNSTHKRHLDQIHNQLLVSGLQHNGFLMTKLVNGSSNLAQICYARKLFDGFCFPDVFMWNAIIRSYSRNNMFRDTIDMYRWMRWTGVHPDGFTFPYVLKACTELLDFGLSCLIHGQIIRYGFGSDVFVQNGLVAMYAKCGRISVAKVVFDGLYHRTIVSWTSIISGYAQNGESMEALRMFSQMRNADVKPDWIALVSILRAYTDVDDLEQGRSLHGCVIKMGLEDEPDLLISLTALYAKCGMATVARYFFDQMKTPNVIMWNAMISGYAKNGHAEEAVHLFRDMISRNIKPDSVTVRSAVIASAHVGSLKLAQWMDDYVSNSTFGSCIFVNTALIDMYAKCGSVESARMVFDRNSDKDVVMWSAMIMGYGLHGQGWEAINLYTAMKQTGVFPNDVTFVGLLTACNHSGLVKEGWKLFHSMKDFEIEPRNEHYSCVVDLLGRAGYLGQACAFIMKMPIEPGVSVWGALLSACKIHRCVTLGEYAAKKLFSLDPYNTGHYVQLSNLYASSRLWDRVAHIRVLMREKGLNKDLGYSVIEINGKLQAFHVGDKSHPMANEIFNELQILERRLKEIGFVPHTESVLHDLNYEEKEENLSFHSERIAVAYGLISTAPGTTLRITKNLRACVNCHSAIKLISKLVQREIIVRDANRFHHFKDGLCSCRDYW >Vigun05g014800.2.v1.2 pep primary_assembly:ASM411807v1:5:1191128:1195567:1 gene:Vigun05g014800.v1.2 transcript:Vigun05g014800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIFFSLSPLTAKYRKVTTSEIPTWLVLKCVKYICSSALHPEHSVNRDRGVNSDSFYASLIDNSTHKRHLDQIHNQLLVSGLQHNGFLMTKLVNGSSNLAQICYARKLFDGFCFPDVFMWNAIIRSYSRNNMFRDTIDMYRWMRWTGVHPDGFTFPYVLKACTELLDFGLSCLIHGQIIRYGFGSDVFVQNGLVAMYAKCGRISVAKVVFDGLYHRTIVSWTSIISGYAQNGESMEALRMFSQMRNADVKPDWIALVSILRAYTDVDDLEQGRSLHGCVIKMGLEDEPDLLISLTALYAKCGMATVARYFFDQMKTPNVIMWNAMISGYAKNGHAEEAVHLFRDMISRNIKPDSVTVRSAVIASAHVGSLKLAQWMDDYVSNSTFGSCIFVNTALIDMYAKCGSVESARMVFDRNSDKDVVMWSAMIMGYGLHGQGWEAINLYTAMKQTGVFPNDVTFVGLLTACNHSGLVKEGWKLFHSMKDFEIEPRNEHYSCVVDLLGRAGYLGQACAFIMKMPIEPGVSVWGALLSACKIHRCVTLGEYAAKKLFSLDPYNTGHYVQLSNLYASSRLWDRVAHIRVLMREKGLNKDLGYSVIEINGKLQAFHVGDKSHPMANEIFNELQILERRLKEIGFVPHTESVLHDLNYEEKEENLSFHSERIAVAYGLISTAPGTTLRITKNLRACVNCHSAIKLISKLVQREIIVRDANRFHHFKDGLCSCRDYCVERERGPRHAAYAERKKQRKETQTSLKNQNQNQKQNNTTQPNPFGSSLRSRIPFSFYRHLSPQREREREREDLFE >Vigun09g183400.1.v1.2 pep primary_assembly:ASM411807v1:9:35710367:35722789:-1 gene:Vigun09g183400.v1.2 transcript:Vigun09g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPFRDGNIHAILDSRYLNSPLDVNHTLQTHSSLIRRLSQERELEGHLGCVNAVAWNSKGTLLISGSDDTRINIWSYSGRKLLHSIDTGHTANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLSGSGSSDNSIIAPSALYQCHARRVKKLAVENGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNILLDLRSGSKRSLADPPKQVLSLKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSCRKRMSPPPCVNYFCPMHLSDRGHPSLHLTHVTFSPDGHEVLLSYSGEHAYLMNVNHAGVNEMQYTSGDVSKMMTYSPIVNGIELQSCVSNVFPNGFPIKKNIAAKLDKCRKLIKYAKKSLDNGTPYYGIEACNEVLNGYSHIIGSALKHECLCTRAALLIKRDWKNDAHMAIRDCYAARKIDNSSYKALFYMSEALSQLGRHEDALDFAEASQSLAPHKSEVAERVENVKKDIALAEAEKNNKANDGASRSDIRGGRILSLSDILYRPEANGDVPQDGPRSDRDDSDYDEELELDFETSMSGDEAQDLDSNILHGSLNVRIHRRGDSRDNAGASAPSDSPSSSSQSSRACYLPEPAVDMKQRFIGHCNIGTDIKQANFLGQRGEYVASGSDDGRWFIWEKRTGRLIKILNGDGSVVNCIQCHPFDFVVATSGIDSTIKIWTPSAPVPSSVAGGSAGPETGDVLSAMESNQQKLSRSRNSILPFELLEPFRMHDFPEGSLRLRPFECAQS >Vigun03g311600.1.v1.2 pep primary_assembly:ASM411807v1:3:50457396:50460483:-1 gene:Vigun03g311600.v1.2 transcript:Vigun03g311600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFSSQPSESAPKCGLGELPESCVAEIMTYMNPPEICKLATLNRAFRGASSADFVWESKLPSNYPVLLRRIFDDFPSHLGKRGIYARLCRLNSLDDGTKKVWLDRSTGKLCLCVSAKGLSITGIDDRRYWNHIPTEESRFNSVAYLQQIWWFEVDGDVEFPFPAGRYSVFYRIHLGRASKRFGRRVCNTEHVHGWDVKPVRFQLWTSDGQYVTSQCFLNGPGKWAFYHAGDFVVENGNASTKVKFSMTQIDCTHTKGGLCLDSVLIYPSEFRKVKAFLNSS >Vigun04g007400.1.v1.2 pep primary_assembly:ASM411807v1:4:526327:529997:-1 gene:Vigun04g007400.v1.2 transcript:Vigun04g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAYIFSVQSKLIVKKSSFSSFAISKALHNYMTIPFYYYLTIFLFLSCVHSQTQLQDQEHAVLLRIKQHLQNPSFLSHWTPSNTLHCFWPEITCTSESVTGLTLSKSSIHQTLPDFMCDLKNLTHVDFSFNSIPGEFPTFLYKCSKLVSLDLEGNEFSGAIPNDIDKLVNLQHLNLGSTSFSGDIPASIGRLKQLRFLQLHYCLFNGTFPSEGIANLFNLEFLDISSNLVLPPSKFPSGLTQLKKLKFLHMYSCNFFGEIPDTIGELVALENLDLSKSNFSGHIPKGLFMLRNLSILYLFNNSLSGEIPGEIEALNLVELDLAQNNLAGRIPQDFGKLQKLEWLSLSLNYLSGEIPQSLGLLPSLKYFHIIYNKFSGILPPDFGRYSELKTFLVANNSFSGKLPENLCYHGQLHNLSAFCNHLSGNLPESLGHCSSLTDVKIYSNEFSGSIPSGLWTLNLSDFMVSNNKFTGELPERLSPTISRLEISHNQFSGRIPSGVSSWTNMVVFKASENYLNGSVPNELTNLPKLTTLLLDHNHLTGPLPSDIISWKSLENLNLSYNKLSGQIPDVIGELHVLNQLDLSENQFSGQVPSVLPRLTNLNLSSNHLTGKVPNEFENSVYSTSFLNNPGLCADTPVLNLNLCDVGAGFEKPTKGSSWPLALIPCLVAVAFLLALLISILIVKLFRRGKHVLDNSWKLISFQRLSFTESDIVSSMTEHNVIGSGGFGTVYRVPVDGLDYIAVKKISSNRKLDRKLESSFRAEVKILSNIRHKNIVKLLCCISNEDSMLLVYEYLENRSLDRWLHNKDKSSTVSVSVDHFVLDWPKRLKIAIGVAHGLCYMHHDCFPPIVHRDVKTSNILLDAQFNAKVADFGLARMLMKPGDLATMSSVVGSFGYMAPEYVQTTRVSEKIDVFSFGVVLLELTTGKEANYGDEHSSLAEWAWRHIIVGSKIEELLDNDFMDPSYTNEMCSVFKVGVLCTSTLSANRPSMKEVLHMLVTIGEGFAFGEGNVRHCDGVPLLKNSRWECRVNDAIDDDSD >Vigun08g024400.1.v1.2 pep primary_assembly:ASM411807v1:8:2092492:2097420:-1 gene:Vigun08g024400.v1.2 transcript:Vigun08g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFAYIIVIFLTLFSFMFLPKLNRRKPQNQTTAKLPPGSMGWPYIGETLQLYSQDPNVYFSTKHKRFGEIFKTNILGCPCVMLISPEAARFVLVTQAHLFKPTYPKSKERLIGPFALFFHQGDYHTRLRKLVQRSLSFEALRNLVPHIEALVLSGMNSWGDGQVINMFKEMKRISFEVGILTTFGHLEPRSREELKKNYRIVDAGYNSFPTCIPGTQYQKALLARKRLGKIISEIICERKEKKLVERDLLSCLLNWKGEGGEVLSDDQIADNIIGVLFAAQDTTASAMTWVVKYLHDEPKLLESVKAEQKAIHKSNEGNNLPLSWDQTRNMRITHKVVLESLRMASIISFPFREAIADVEYKGFLIPKGWKAMPLFRNIHHNPEFFPEPQKFNPSRFEVAPKPNTFMPFGSGVHACPGNELAKLETLIMIHHLVTKFRWEVVGSKCGIQYGPFPLPLNGLPARCWRESTS >Vigun08g024400.2.v1.2 pep primary_assembly:ASM411807v1:8:2093514:2097420:-1 gene:Vigun08g024400.v1.2 transcript:Vigun08g024400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFAYIIVIFLTLFSFMFLPKLNRRKPQNQTTAKLPPGSMGWPYIGETLQLYSQDPNVYFSTKHKRFGEIFKTNILGCPCVMLISPEAARFVLVTQAHLFKPTYPKSKERLIGPFALFFHQGDYHTRLRKLVQRSLSFEALRNLVPHIEALVLSGMNSWGDGQVINMFKEMKRISFEVGILTTFGHLEPRSREELKKNYRIVDAGYNSFPTCIPGTQYQKALLARKRLGKIISEIICERKEKKLVERDLLSCLLNWKGEGGEVLSDDQIADNIIGVLFAAQDTTASAMTWVVKYLHDEPKLLESVKAEQKAIHKSNEGNNLPLSWDQTRNMRITHKVVLESLRMASIISFPFREAIADVEYKGFLIPKGWKAMPLFRNIHHNPEFFPEPQKFNPSRFEVAPKPNTFMPFGSGVHACPGNELAKLETLIMIHHLVTKFRTGRH >Vigun03g294200.3.v1.2 pep primary_assembly:ASM411807v1:3:48010979:48016267:-1 gene:Vigun03g294200.v1.2 transcript:Vigun03g294200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTANDLQEWKDFPKGLKVLLLEGDSISAAEIRAKLEAMDYNVSTFCDENEALSAISSTPQNFHIAIVEVSSSSSQGGFKFLENAKDLPIIMTSNNHCLNIMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFSSGTSILCESLKPVKESLVTMLQLPTDNEQDENKVSIDIDEAARFTDYDHVLSPGNDKYPAPSTPQLMHGTRLLDDGDCQDQTNCSTEKESGEHDGESKSVETTCGNLNAETESTPQERKSDMTLAREEVDLVDASKGESIASLHTQKRKVLSNPDKNTKSANIVGVVSDSCEIKPRRKKMKVDWTPELHKKFVKAVEQLSIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHRRQIFPKEEDRKWLNQRERIYCVQRPIMAFPPYHSNQTLPMAPIYPMWGQSSTQTAGMHIWSSPGYPLWQPTAEHWHWKPIPGMHADAWGCPVLPVPPPQAPFPYTKNMPALLNADATGQTFTMPQSSLEHYPCYDACMQAEEVVDKVVKEAVSKPWLPLPLGLKPPSTDSVLAELSRQGICSIPPRSSKGSN >Vigun03g294200.1.v1.2 pep primary_assembly:ASM411807v1:3:48010979:48016996:-1 gene:Vigun03g294200.v1.2 transcript:Vigun03g294200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTANDLQEWKDFPKGLKVLLLEGDSISAAEIRAKLEAMDYNVSTFCDENEALSAISSTPQNFHIAIVEVSSSSSQGGFKFLENAKDLPIIMTSNNHCLNIMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFSSGTSILCESLKPVKESLVTMLQLPTDNEQDENKVSIDIDEAARFTDYDHVLSPGNDKYPAPSTPQLMHGTRLLDDGDCQDQTNCSTEKESGEHDGESKSVETTCGNLNAETESTPQERKSDMTLAREEVDLVDASKGESIASLHTQKRKVLSNPDKNTKSANIVGVVSDSCEIKPRRKKMKVDWTPELHKKFVKAVEQLSIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHRRQIFPKEEDRKWLNQRERIYCVQRPIMAFPPYHSNQTLPMAPIYPMWGQSSTQTAGMHIWSSPGYPLWQPTAEHWHWKPIPGMHADAWGCPVLPVPPPQAPFPYTKNMPALLNADATGQTFTMPQSSLEHYPCYDACMQAEEVVDKVVKEAVSKPWLPLPLGLKPPSTDSVLAELSRQGICSIPPRSSKGSN >Vigun03g294200.2.v1.2 pep primary_assembly:ASM411807v1:3:48010890:48017003:-1 gene:Vigun03g294200.v1.2 transcript:Vigun03g294200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTANDLQEWKDFPKGLKVLLLEGDSISAAEIRAKLEAMDYNVSTFCDENEALSAISSTPQNFHIAIVEVSSSSSQGGFKFLENAKDLPIIMTSNNHCLNIMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFSSGTSILCESLKPVKESLVTMLQLPTDNEQDENKVSIDIDEAARFTDYDHVLSPGNDKYPAPSTPQLMHGTRLLDDGDCQDQTNCSTEKESGEHDGESKSVETTCGNLNAETESTPQERKSDMTLAREEVDLVDASKGESIASLHTQKRKVLSNPDKNTKSANIVGVVSDSCEIKPRRKKMKVDWTPELHKKFVKAVEQLSIDQAIPSRILEIMKVEGLTRHNVASHLQKYRMHRRQIFPKEEDRKWLNQRERIYCVQRPIMAFPPYHSNQTLPMAPIYPMWGQSSTQTAGMHIWSSPGYPLWQPTAEHWHWKPIPGMHADAWGCPVLPVPPPQAPFPYTKNMPALLNADATGQTFTMPQSSLEHYPAEEVVDKVVKEAVSKPWLPLPLGLKPPSTDSVLAELSRQGICSIPPRSSKGSN >Vigun04g075750.1.v1.2 pep primary_assembly:ASM411807v1:4:9632144:9632475:-1 gene:Vigun04g075750.v1.2 transcript:Vigun04g075750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYRKDFKHISQKIKKLEDKLEKDSSKIEALMKEGEESTDLIPKLEDNIPKLQKLLLDEEKILDEITKSSKGG >Vigun10g064066.1.v1.2 pep primary_assembly:ASM411807v1:10:13930267:13931570:1 gene:Vigun10g064066.v1.2 transcript:Vigun10g064066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSVPMSARRTLGRFTTMHFVHNQEFGDVDRMFVAMFAKELGPVWQLEDIKGNRHQLTYNMHVNNPLLTDGWSTLRVFYKLQHIHQIIFRYVGNSTFQITDLPTDFAAYVRKRRFKRLELQGSTNTCIVQCKLLVRNSPKKSTKIGKGWKDFCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFNF >Vigun01g025000.1.v1.2 pep primary_assembly:ASM411807v1:1:2817281:2819834:1 gene:Vigun01g025000.v1.2 transcript:Vigun01g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGKRETLMLGVRVVDHWYVQSRDSTLQLEMILMDENADKIHCIVRREEFDLWDSKLIEGETYIMHNFKILKNEGQYRVCEHPYKLLFIGATSVKLQAIAKLPMKAYSFKNLIGVVENVRIKPQFKSVESKNVVFTLVDLSGAAICCTLWDEYCKKFIQRYNDLPNSEKLVVVITQAKIKPVAGEWSVSVSNTWNGTKLIMESDFPQIIDFKKRMKEIDPDEVILSQCASQLTQSSQYTDAERFVYKCLVKSLFEIPLMKKEVVCVTVATTVKFNLDNEGWYYLVCNNCSKRTYEAVSFKCTYCDRDNALPVFKYRLQVQVCDDSNNYANFVVWDQECSNIIGLSAADLQKQMIEAGEDDPLCFPDALDVMLGCTFAFKVRTQPRTKCASVIKVSNVSEIVAHVKNLIPPLQIGDGTDKCSMDLVSESSSVIMSLSATGDNESDYVVIGTLGKRLLPCNENCNESSQDIDSAQLSSTKMKKLIKKEKT >Vigun10g116700.1.v1.2 pep primary_assembly:ASM411807v1:10:32182788:32184664:1 gene:Vigun10g116700.v1.2 transcript:Vigun10g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQGAQPSMAMEDKHNLKDNKELQPLLVFGPPTIFPIFEAQNSHNYRFIKAFSSQVSLHQFLTQQKVDPSSIQAILCNPVQKVTADAIRLLPSLRIIVTSSAGTDHIDLVECSRHSIQVVSAAGDHAGDVADMAVGLLLDVVCKISAADRHVRKWGPSMPFNLSFGSKLKGKRVGIVGLGKIGTAVAERLEAFGLQNDVQFKKREAICLIPLLF >VigunL040810.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:381229:381708:1 gene:VigunL040810.v1.2 transcript:VigunL040810.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun05g029500.1.v1.2 pep primary_assembly:ASM411807v1:5:2360705:2363294:1 gene:Vigun05g029500.v1.2 transcript:Vigun05g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLVSSWFHLHSSVPLSYVQPPESQPGMVFPSGKKIPVVDLGLHDRHEILKHILKASEDYGFFQVINHGVSKELMDETLNIFKEFHAMPAEEKIRESSRDPNGGCRLYTSREINSKDTVQFWRDTLRHLCPSSEDSMQFLPQKPAKYREIVAKYTQEMRRMGLKILELLCEGLGLDPKYCCGGLSESPLLLAHHYPPCPEPSLTLGAPKHRDPNLVTILLQEKDINALQVFKDGEWIVVEPIPYAFVVNIGLMLQVISNGRLIGAEHRVVTNSEFARTTVAYFIRPNSKQIIEPAKCLISSGAQPIYRSIAFEEFLKNFMIKGTDIERELLL >Vigun03g236000.1.v1.2 pep primary_assembly:ASM411807v1:3:39252970:39256510:-1 gene:Vigun03g236000.v1.2 transcript:Vigun03g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGESQMTKFGLNVKKNEKNGFPRGLDPAISKFFVQLQSVVKARLSTLAKQDGMNMVRSAQKIDNKPFSYSEAELNEQLQAWRENPTWVDRSPLVKVTVPKGSLCNLNVEVDVGLPPDAVYNIVTDPDNRRVFKNIKEVISRKVLVDEGHRQVVDLDQAAIWKFLWWSGTISINVLVDQNRKDHSMKFKQTKTGFMKKFEGCWRVEPLFVDEAMCHPFKPMTKEDYNACTRGKGRIGSKVSLEQILQPAIVPPPPISWYLRGITARTTEMLINDMLAETARIRGGYEAEKSKTQELQGKPGENVDLVAYSNDIKERWILRRKNAKRSHRKQLTAK >Vigun03g236000.2.v1.2 pep primary_assembly:ASM411807v1:3:39252970:39256555:-1 gene:Vigun03g236000.v1.2 transcript:Vigun03g236000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGESQMTKFGLNVKKNEKNGFPRGLDPAISKFFVQLQSVVKARLSTLAKQDGMNMVRSAQKIDNKPFSYSEAELNEQLQAWRENPTWVDRSPLVKVTVPKGSLCNLNVEVDVGLPPDAVYNIVTDPDNRRVFKNIKEVISRKVLVDEGHRQVVDLDQAAIWKFLWWSGTISINVLVDQNRKDHSMKFKQTKTGFMKKFEGCWRVEPLFVDEAMCHPFKPMTKEDYNACTRGKGRIGSKVSLEQILQPAIVPPPPISWYLRGITARTTEMLINDMLAETARIRGGYEAEKSKTQELQGKPGENVDLVAYSNDIKERWILRRKNAKRSHRKQLTAK >Vigun02g192400.1.v1.2 pep primary_assembly:ASM411807v1:2:32936077:32938017:-1 gene:Vigun02g192400.v1.2 transcript:Vigun02g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNLLLRNCKRHSLLLLLQIFLFCYVYNCTSSNSDSNSIQLQIQSSSSTLTPHMAAPSTTNPPNPLITPYKMGRFNLSHRVVLAPLTRERSYNNVPQPHAVLYYSQRSSNGGLLIAEATGVSDTAQGFPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFLQIWHVGRVSNTGYQPNGQQPISSTDRAVRSSEYTPPRRLRTDEIPHIVNDFRIAARNAIEAGFDGVEIHGAHGFLLDQFMKDQVNDRTDKYGGSLENRCRFSLEVVEAIVNEIGADRVGIRLSPFIDYFQSGDSNPLQLGLYMANALNRYNIAYLHVVAPRMGSMGGKLESPQGMVLMRKAFNGTFIAVGGYDREEGMKAIAENRADLVAYGRLFLSNPDLPKRFALNAPLNKYNRETFYKGHPDPLVGYIDYPFLDEEWNGVV >Vigun02g155100.1.v1.2 pep primary_assembly:ASM411807v1:2:30164216:30167197:-1 gene:Vigun02g155100.v1.2 transcript:Vigun02g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTNLHTSMDASEPSWFSDLLLLETDDCNLFRQCLEDDEELLSLEIASALENLQPPQHQQPLSLESHMLYSETTNLNIKQFKTNFCGWNSSLNSTKHFSPNLSSSSSSSSSPPSQIMFLDNSNSFSVENTHFQGIVSAALNPQPNKGVSVSTPETGKRSSENLNYETKGPKGHGSSKISGGHSRDHIIAERKRRENMSQGLIALAALIPGLKKMDKASVLGEAIKYVKELQERLKILEEKNNKNRDEKSVVVMVKKSWLSYHDGSPSAAADGDRCFRESLPRVEARVSDNDVLLRIHCQNRKGLLLKILVEIQNLHLFVVNSSVLSFGESIIDITIVAQMGTEYKLTVNDLVKNLRMATLKSMS >Vigun03g217500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36204688:36204933:1 gene:Vigun03g217500.v1.2 transcript:Vigun03g217500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTIMLTFLFFLILQHEFGLMEAARKNIHIRPPAIPRSPQHPTWYTTVEESGGPPRDAYRPTSPGHSPGVGHDAPPTKA >Vigun04g054500.1.v1.2 pep primary_assembly:ASM411807v1:4:5222685:5229606:1 gene:Vigun04g054500.v1.2 transcript:Vigun04g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRIDETVPASKVVHFRNLPEHCSHEDLVELCTPFGKVVNLLCNVGPNKNQGFAEFADINEAISMVSNYASSPDPVQLHGRNIYIQYSDRPEIVVTRFAKGNILLVTMEDVKAGDVSIDGMRSVFSEYGFVQKISTFEKNAGFQALIQFADIESASSAKEALDGKNIPRSLLPDRIGDCNFRIAYSGHRDLNIKFQSNRSRDYTNATLPVNEATIARALQPIPRTADNHVLLVSFENMVHDVTLDVLHGVFSEIGTVHKIYIFKKNGRTHALIQYHDVATAKAAKNILEGHCIYEGGYCKLHLAYSRHTDINVKPYGDKSRDYSKPEQSVSVAQVAATGWQNPHAASMNPNAYPYCYICAPATFPDEAYDYGVGQSNPMVPTGYIQITPHVVPAFSPQMEPYLGFFPIQPYYYGY >Vigun08g084250.1.v1.2 pep primary_assembly:ASM411807v1:8:18397286:18397899:1 gene:Vigun08g084250.v1.2 transcript:Vigun08g084250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWTTWTASAHIITTVVGAGVLSIAWAMSQLGWIIGIFSILLFAIVNLFTSSLLADCYRSPDPVTGKRNHVYMDAVRNILGGKMPMICALIQYTNLLAAGVGYTITTALSMV >Vigun01g092850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25433098:25435013:1 gene:Vigun01g092850.v1.2 transcript:Vigun01g092850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRLKLGACVFGCWIELLLCCMSVDSGKSCQSRPGELVSPRRECLRPTQVLLEQLAQAKGLVFERCVVSLRREYLAQASSRRRSWCALFAASSRRGVLFLGEGRSRPGELISPKRDARRVPCSKPRLGEMA >Vigun11g166300.1.v1.2 pep primary_assembly:ASM411807v1:11:37365360:37368740:-1 gene:Vigun11g166300.v1.2 transcript:Vigun11g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EFEIDGTGEAIANAAIDDNREGESMEPDYRQDEGDNVPQDSSGITIPFAIPTVSVAAVEEPYVGQEFESESAAHAFYNAYATEVGFIVRVSKLSRSRRDGTAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKVSSGKWVVTKLVKEHTHPLTPGKGRRDFVYEQYPNEHDKIRELSQQLATEKKRSATYKRHLELIFEHIEEHNESLSKKIQHIVDSVREMEAKEQQSQLQ >Vigun11g166300.4.v1.2 pep primary_assembly:ASM411807v1:11:37365360:37368740:-1 gene:Vigun11g166300.v1.2 transcript:Vigun11g166300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDYRQDEGDNVPQDSSGITIPFAIPTVSVAAVEEPYVGQEFESESAAHAFYNAYATEVGFIVRVSKLSRSRRDGTAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKVSSGKWVVTKLVKEHTHPLTPGKGRRDFVYEQYPNEHDKIRELSQQLATEKKRSATYKRHLELIFEHIEEHNESLSKKIQHIVDSVREMEAKEQQSQLQ >Vigun11g166300.3.v1.2 pep primary_assembly:ASM411807v1:11:37365360:37367030:-1 gene:Vigun11g166300.v1.2 transcript:Vigun11g166300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDYRQDEGDNVPQDSSGITIPFAIPTVSVAAVEEPYVGQEFESESAAHAFYNAYATEVGFIVRVSKLSRSRRDGTAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKVSSGKWVVTKLVKEHTHPLTPGKGRRDFVYEQYPNEHDKIRELSQQLATEKKRSATYKRHLELIFEHIEEHNESLSKKIQHIVDSVREMEAKEQQSQLQ >Vigun11g166300.5.v1.2 pep primary_assembly:ASM411807v1:11:37365360:37368740:-1 gene:Vigun11g166300.v1.2 transcript:Vigun11g166300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDYRQDEGDNVPQDSSGITIPFAIPTVSVAAVEEPYVGQEFESESAAHAFYNAYATEVGFIVRVSKLSRSRRDGTAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKVSSGKWVVTKLVKEHTHPLTPGKGRRDFVYEQYPNEHDKIRELSQQLATEKKRSATYKRHLELIFEHIEEHNESLSKKIQHIVDSVREMEAKEQQSQLQ >Vigun05g163100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26215772:26217604:1 gene:Vigun05g163100.v1.2 transcript:Vigun05g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHKCKLCFRSFSNGRALGGHMRSHMMNLPLSKPEEASPRTIQLSFEAESASSSSSSSSDDDEDGDKSLCYGLRENPKRSIRLVDPEFSFAAADTGSAIVHDRESESESSKSNPTRKRSKRPWNNNNNNNINSNNYYYYNDLNNDTNNYTTPSIKKQVFGTEHEALMKKKSKTDSWVDHEPASSVSEATTEEDVAFCLMLLSRDKWKRHKDQPQFMEVEDQYEEEEEEEEEEEEEDEAFEESEESQETMKLCKNRVRGRYKCDTCNKVFRSYQALGGHRASHKKIKVNVKVDGEEAEVEHRKKGGTCGVEKKIHECPVCFRVFASGQALGGHKRTHVTGSAPATVPSTSAKFGNSFIDLNLPAPIDEDEASQIENSAVSDAEFVKTR >Vigun11g191500.1.v1.2 pep primary_assembly:ASM411807v1:11:39083700:39095732:1 gene:Vigun11g191500.v1.2 transcript:Vigun11g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWLPQEAKPPVSGETSLPMASPTPNSAHATPSTAPAPASAPPFPHGVLQNANASGSSQQSSTHNVIKSNSTVNPVVFQPPVPGVSSHAALSFSYNVPQSGAAFSSTQQHTQSSGKISESVAQDVTKLSSTSSNPHSVPAHTSTSIMPPSDPNYRPTTSWMPTAMSFPLHPVMPTPGNPGPPGLTSTSIISINPAVPSTGTDSSSAALPRPNMPISAIASDPTTPLKGLPYPSMPSMAAPPQGLWLQAPQMSGVFRPPYLQYPAPFPGPFPFPARGVTLPAVPIPDSQPPGVTPVSGGGGTSTPASSNHIRGTTALQTEVISGPADDKKKLNAVVTQNEDAANNDQLEAWTAHKTEAGIIYYYNALTGESTYDKPAGFMGEPHQVSAQPTPVSMMDLPGTDWLLVSTSDGKKYYYNNRTKTSCWQIPNEVAELKKKQDGDVTKDQLMSVPNTNVLSDRGSGMVTLNAPAINTGGRDAAALKPSNLQSPSSALDLIKKKLQDSGTPVTSSSIPAPSVQTGSESNGSKAVESTSKGMQADNSKDKQKDTNGAANVSDTSSDSEDEDSGPSKEECIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDINYNTDYQTFRKKWGNDPRFEALDRKEQEHLLNERVLPLKKAAEEKTQAMRAAAAASFKSMLKERGDISFSSRWSRVKESLRDDPRYKSVRHEDREGLFNEYLSELKAAEHAAERETKAKREEQDKLRERERELRKRKEREEQEMERVRLKIRRKEAVTSFQALLVETIKDPLASWTESKPKLEKDPQGRATNPELDSSDTEKLFREHVKMLQERCAHEFRVLLAEVLTSDAASHENDDGKTVLNSWSTAKRVLKSDPRYNKVPRKEREALWRRYAEDMVRRQKASHSHDSREDKHTDAKGRNSLESSKYPLESGRSHDRR >Vigun11g191500.2.v1.2 pep primary_assembly:ASM411807v1:11:39083700:39095732:1 gene:Vigun11g191500.v1.2 transcript:Vigun11g191500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWLPQEAKPPVSGETSLPMASPTPNSAHATPSTAPAPASAPPFPHGVLQNANASGSSQQSSTHNVIKSNSTVNPVVFQPPVPGVSSHAALSFSYNVPQSGAAFSSTQQHTQSSGDVTKLSSTSSNPHSVPAHTSTSIMPPSDPNYRPTTSWMPTAMSFPLHPVMPTPGNPGPPGLTSTSIISINPAVPSTGTDSSSAALPRPNMPISAIASDPTTPLKGLPYPSMPSMAAPPQGLWLQAPQMSGVFRPPYLQYPAPFPGPFPFPARGVTLPAVPIPDSQPPGVTPVSGGGGTSTPASSNHIRGTTALQTEVISGPADDKKKLNAVVTQNEDAANNDQLEAWTAHKTEAGIIYYYNALTGESTYDKPAGFMGEPHQVSAQPTPVSMMDLPGTDWLLVSTSDGKKYYYNNRTKTSCWQIPNEVAELKKKQDGDVTKDQLMSVPNTNVLSDRGSGMVTLNAPAINTGGRDAAALKPSNLQSPSSALDLIKKKLQDSGTPVTSSSIPAPSVQTGSESNGSKAVESTSKGMQADNSKDKQKDTNGAANVSDTSSDSEDEDSGPSKEECIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDINYNTDYQTFRKKWGNDPRFEALDRKEQEHLLNERVLPLKKAAEEKTQAMRAAAAASFKSMLKERGDISFSSRWSRVKESLRDDPRYKSVRHEDREGLFNEYLSELKAAEHAAERETKAKREEQDKLRERERELRKRKEREEQEMERVRLKIRRKEAVTSFQALLVETIKDPLASWTESKPKLEKDPQGRATNPELDSSDTEKLFREHVKMLQERCAHEFRVLLAEVLTSDAASHENDDGKTVLNSWSTAKRVLKSDPRYNKVPRKEREALWRRYAEDMVRRQKASHSHDSREDKHTDAKGRNSLESSKYPLESGRSHDRR >VigunL078100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000570.1:13437:18316:-1 gene:VigunL078100.v1.2 transcript:VigunL078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYRVLGLHRTATKEEIKTAFKKLAFQFHPDKHSQSPRAIRENATIRFKQVSEAYEVLMDDRKRADYNFRRSSGDPGRSNHYSQYSYGYSRSGSRYEYKPGSGGGGGLASNFEMALRILTGRSSILYLGFAAAILCGIVVIDSSRESLWRMQNSGKSFEEAMKSIEKAKAYREDNMKERP >Vigun02g097200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25295230:25295454:1 gene:Vigun02g097200.v1.2 transcript:Vigun02g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQIRASLTCFILFLLLLATSFLSVHSRNTLITQDHKILHRKTRINHGSHRGPKKHLLNPTIHNPLQSREFPV >Vigun05g291651.1.v1.2 pep primary_assembly:ASM411807v1:5:47780559:47795777:-1 gene:Vigun05g291651.v1.2 transcript:Vigun05g291651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSVQPLEEIVEVELRKEGKVEIGVYKNYAVFTGWFMTIIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLQAATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCYVQVFFLLLLLPFWYIYSRLQFFYRSTSREFRRLDSVSRSPIYSSFTETLDGSSTIRAFKSEDFFFTKFTEHVTLY >Vigun05g282700.1.v1.2 pep primary_assembly:ASM411807v1:5:47141602:47143359:1 gene:Vigun05g282700.v1.2 transcript:Vigun05g282700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGRFDDSFSFASIKAYIAEFISTLLFVFAGVGSAIAYAKLTSDAALDPAGLVAVAICHGFALFVAVSVGANISGGHVNPAVTFGLALGGHITILTGLFYWIAQILGSIVACFLLKFVTGYSIPIHGVAAGVGAGEGVVTEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFHGNWIYWVGPLVGGGLAGLIYTFAFIPTQHHAPLATDF >Vigun03g183100.1.v1.2 pep primary_assembly:ASM411807v1:3:23638246:23639935:1 gene:Vigun03g183100.v1.2 transcript:Vigun03g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLRPCCTPLFLDAHSNRTSSTRHASFVVCSSSRNQPYIPKLEPFSRTKFERAVKDPPLIEKSERELSDYCLTLEGEQSYSCWQAYFELKDLEKESPKEDIERLILETGGVKSLIGCLHGIAGMQKVKKDGIKLSKDVKSEEGERQCPIPDGLPKSDEQLREEEEAKMPDSPYTKLLRTMGRHPAWYSPAPDHETD >Vigun09g062500.6.v1.2 pep primary_assembly:ASM411807v1:9:6529140:6532023:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNCGDLVAFSLVFLTVVSSGSAWVGVNWGTMATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.4.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532011:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNCGDLVAFSLVFLTVVSSGSAWVGVNWGTMATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.9.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532025:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.7.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532011:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNCGDLVAFSLVFLTVVSSGSAWVGVNWGTMATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.2.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532074:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.8.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532074:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun09g062500.3.v1.2 pep primary_assembly:ASM411807v1:9:6527579:6532011:1 gene:Vigun09g062500.v1.2 transcript:Vigun09g062500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNCGDLVAFSLVFLTVVSSGSAWVGVNWGTMATHQLPPEKVVKMLKENGFTKLKLFDADQLIMAALMGTDIQVMLAIPNNMLQMISTSPKAADSWVYENVTTYFFNGGVKIKYVAVGNEPFLKAYNGTFAKKTLPALKNIQTSLNKAGLSSKVKITVPFNADIYYSPDSDPVPSAGDFRPEMRDLTIEIIQFLYANNAPFTVNIYPFLSLYFNEDFPFDFAFFDGKSKPLRDGKALYTNVFDANLDTLLWALDKAGYPDMKVLIGEIGWPTDGDKNANAKSARRFNFGLLKHALSGKGTPKRKGTIDLYMFSLIDENTKSVAPGNFERHWGVFEFDGKPKYELDLTGQHKEKGLIPVEGIKYLQKRWCVLNPDVTYFDHLLAGSIDYACTFSDCTSLGYGSSCNHLSLQGNASYAFNMYYQVNNQQGWSCDFSSLATITQKDPSQSGCQFPVMIASSSSLLLLHERLLCILKKALEVYIFVMILL >Vigun11g023000.2.v1.2 pep primary_assembly:ASM411807v1:11:2808444:2813501:1 gene:Vigun11g023000.v1.2 transcript:Vigun11g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTQIQFRIEVLQGKLPEVAVNAAIRLEATSVILDRQMKKYKKEFKQSLFCSLLIMKRDNSVQHLRGPRETHLCDRSNGNARCVTSGPNSKSPSRIRQLTNSCSNTSSSFPTLAKGGCPQPQLFYSQIKQIPENREQDMARGYCLLPITDTQKTNHTEEYHPATPAEHSDNHEAYQLVEQFKNSVCSVCKNRRPKFEVMKEFTYAELHEATRGFSPKNYLSEGGFGSVFKGMLHGGLRIAVKQHKCASLQGEKEFKSEVNALSRAIHENVVMLRGSCSEGNNRLLVYEFICNGSLDQHLSQHSRRPLTWEERIKVAIGAAKGLLYLHQNNIIHRDMRPSNILVTHDYEAMLGDFGLARTEQMDSLYSTDIVGTIGYLAPEYAESGKMSTKTDVYAFGVVLLQLITGMRTADKRLGEKSLVGWARPLLKERNYPDLIDERMLDNHDCHQLFWMIRLAEKCLSRDSQRRLSMDTVVNALTHIREGNTCSVVLRDCSPAQSDSSYDMSDLLISDTSQEMEGEDASNYAYASMGFRPPPSPPLGSTSSTSSMFTGLSESPIDDLSNNEKKGKRANEQGGIL >VigunL007801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:69136:69297:1 gene:VigunL007801.v1.2 transcript:VigunL007801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSLCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >Vigun07g280100.1.v1.2 pep primary_assembly:ASM411807v1:7:39488458:39491710:1 gene:Vigun07g280100.v1.2 transcript:Vigun07g280100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGLQCPHQGLSWRWKQRGFPVTTIKMETSTLTKQGQRFLTKLTTSAAATDNLIRRFVQGSPKSVVLTTLSHLLSPSTSYPQLSSLALPLYGRASQAPWFTWNSTTVAELAAHLHKLGHQAQSEALVSEAISKLQSRKRELVVFYGKLAEAYSKRKSETGFDVAYSYLNNLLRTSESVHVKRRAYEYMVSGLCSMDRPREAEDLVIGPAAGLGLKPSGFELKSIVYGYGRVGLFEDMRRVVEEMEKRGFVVDTVCCNMVVSAYGVHGEHVEMVTWLRRMRDSGVPFSVRTYNSVSNCCPEVLRMVGGLSELALSMEELNEGLEGGEGMVVRELMGCSGILEEVMVWEALEVKLDLHGFHVGGAYLVVLVWLEEMWRRLNGLNCGVPAEVTVVCGLGNHSSVRGESKVRVLVQKMMVKMGSPLKVDRKNNGCFIAKGKAVQNWLCEMRKAPLSGSASQ >Vigun02g042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17188862:17190397:1 gene:Vigun02g042200.v1.2 transcript:Vigun02g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRWKHAAVSSKEVLAVWLGESSFKHGWWLTCVEGCNMLLVACSFIGRWFMCRVGVQNRVLFSFLVHVGACTFPFSFAAIASDLWCRWGSFFWATGALSIWDSSFHHDRNDVHMRGVVFFKNYSFYIYHSFGGAWERL >Vigun07g065700.1.v1.2 pep primary_assembly:ASM411807v1:7:7695386:7698489:-1 gene:Vigun07g065700.v1.2 transcript:Vigun07g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKHHHVIFLLFLFFLPFFTFSLHHNDTHALTLFRRQSDLHGYLLSNWTAGDACAAAWRGVLCSPNGRVTALSLPSLNLRGTLDPLTPLTHLRLLDLHDNRLNGTVSSLLSNCTNIQLLYLAGNDFSGEIQPEISSLKSLIRLDLSDNNIRGKVDFLSNLTQLITLRLQNNLLSGEIPDLSASMQNLTEVNMTNNAFYGRLPNPMLKKFGVTAFSGNEGLCGATPLPVCSFTTNPPNDNENNNENEPSQTVPSNPSSFPETSIIARPGKERHKGLSPGAIVAIVVGNCVALLVMTSFLVAHCCARGRGSSLVGSGESYGKRKSGSSYNGSEKKVYGSGGGESDGTTGTDRSRLVFFDRRSEFELEDLLRASAEMLGKGSLGTVYRAVLDDGCTVAVKRLKDANPCPRHEFEQYMDVIGKLKHPNVVRLKAYYYAKEEKLLVYDYLSNGSLHALLHGNRGPGRIPLDWTTRISLVLGAARGLAKIHAEYSAAKVPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYRAPEQEQHKRLSQQADVYSFGVLLLEVLTGRAPSSQYPSPARPRMEEEEQAVVDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVGLACVVAQPEKRPTMEEVVKMVEEIRVEQSPLGEDYDESRNSLSPSIPTTEDGLA >Vigun06g052500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17816078:17816972:1 gene:Vigun06g052500.v1.2 transcript:Vigun06g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVLLFAVLGALVCTIDARKLGSEKGGFRDEKNFFHRPGFGGGVGGGGGGGFGGGGGGGLGGGSGGGFGAGGGAGGGAGGGAGGGFGGGGGGGFGGGGGAGGGAGFGGGSGFGGGAGAGGGLGGGGGGGFGGGGGAGGGVGGGVGGGAGGGFGGGAGAGGGFR >Vigun05g268400.1.v1.2 pep primary_assembly:ASM411807v1:5:46015071:46018136:-1 gene:Vigun05g268400.v1.2 transcript:Vigun05g268400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLHGTQKHKSKFSQSRSLPTTIEFASASILMEFGIDGSINGETVGNVTLGQINSCSTADENKVGCSSFNPAYKHDHDDNITQDSSGGDTIPSGIPAVSVVSADEPYVGQEFDSEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNREGYRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFIQEHTHPLTPGKGRRDCIYEQYPNEHDKIRELSQQLAIEKKRSATYKRHLELIFEHIEEHNESLSKKIQHIVDSVKEMETKEQQSHR >Vigun08g174000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34450114:34453545:-1 gene:Vigun08g174000.v1.2 transcript:Vigun08g174000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRILARVTRAVAGARNCSSIHQRISNPSFSKIPKSSFPQILNNPRFFATDSTLTLDDELSPDSSENGGDRHRVEEGDTYAEGDAYDAEGDKYEVDVDKLESVLRLLQTSADGSFESCLDDMDLSLHQKLVTKVIESPLVLSENLIRFFRWAWSERSLEVTTPMVESLVLAIYGKDVRKKEAYSLWDLVKEIGEKESGIINVRILNDLILCFSRLVKGKAALEVFDKFEAFQCVPDADTYYITIEALCRRRAYDWACGVCEKMVDAQAVPDGEKVGAILSWLCKAKKAKEAHGVYVVAMEKGKRPPMSTVSFLVGKLCGEDETVKLALEMLEDIPEEKRGRAIKPFMAVVRALCRIKEVDKAKELLVKMIKDGPPPGNDVFNFIVTAYSRAGEIGKALETMKLMESRGLRPDVYTYTALASAYSNGGEMEEARKIMEEAKKKHVKLGPMMFHTLIRGYCKLERFDEALKLFSEMKNYGVRPSVDEYEKLIQSLCLKALDWEMAEKLLEEMKENGLHLKGITRGLIRAVKEMEKEVVESESITAVA >Vigun08g174000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34450114:34453545:-1 gene:Vigun08g174000.v1.2 transcript:Vigun08g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRILARVTRAVAGARNCSSIHQRISNPSFSKIPKSSFPQILNNPRFFATDSTLTLDDELSPDSSENGGDRHRVEEGDTYAEGDAYDAEGDKYEVDVDKLESVLRLLQTSADGSFESCLDDMDLSLHQKLVTKVIESPLVLSENLIRFFRWAWSERSLEVTTPMVESLVLAIYGKDVRKKEAYSLWDLVKEIGEKESGIINVRILNDLILCFSRLVKGKAALEVFDKFEAFQCVPDADTYYITIEALCRRRAYDWACGVCEKMVDAQAVPDGEKVGAILSWLCKAKKAKEAHGVYVVAMEKGKRPPMSTVSFLVGKLCGEDETVKLALEMLEDIPEEKRGRAIKPFMAVVRALCRIKEVDKAKELLVKMIKDGPPPGNDVFNFIVTAYSRAGEIGKALETMKLMESRGLRPDVYTYTALASAYSNGGEMEEARKIMEEAKKKHVKLGPMMFHTLIRGYCKLERFDEALKLFSEMKNYGVRPSVDEYEKLIQSLCLKALDWEMAEKLLEEMKENGLHLKGITRGLIRAVKEMEKEVVESESITAVA >Vigun05g200600.2.v1.2 pep primary_assembly:ASM411807v1:5:38798788:38802705:1 gene:Vigun05g200600.v1.2 transcript:Vigun05g200600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKAMVLWSLLLHFIPGLSSLETIAPGQSVKDNETLVSAEGTFEAGFFNFGDQSSQYFGIWYKDISPRTVVWIANRDTPVQNSSGVFNVTHVGNLFIFNGSGAIIWSSNTSTAANNPVVQLLETGNLVVREESNLLWQSFDLPGDTLLPGMRLRSSMVNGSFTSLTSWRDTEDPGRGVYSYHIDTRGFPQVVITKGGSLLYRPGSWNGNILSGIASATLYKNFNFSFVITEKEVSYGYELLNKSIVSRYMITLTGQIQRFVLSDLTNSWQLFYSGPADQCDNYAVCGVNANCDVNSSPTCECLQGFIPRSEEKWNAQNWSDGCVRRVNLDCGHSDGFLMYQGMKLPDTSTSWFDRSMNLEECHEKGLRKKQLAGIVAGCAVFIVVLILLGVTMLRRKKLEKPGNNKIVSWKNHTVKTQKEDIDIPIFNFSTIANATNDFCITNILGEGGFGPVYKGTLANGQDIAVKRLCNNSGQGPKEFINEVVLIANLQHRNLVKLLGCCIQDDERILIYEFMTNKSLNYFIFDESRKGLLDWSQRFQIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMNPKISDFGLATAFGGDEVEGQTKRIVGTYGYISPEYAARGNFSVKSDVYSFGVILLEIVCGKKNREYFDDHDHDLLGHAWRLWCEEKPMELIDESLGESVALAEADVLRCIHIGLLCVQDRAEDRPEMSLIVLMLNGEKPLPRPREPAFYPHQSGSSSGNSKLQSNNEISMSLLEAR >Vigun05g200600.3.v1.2 pep primary_assembly:ASM411807v1:5:38798788:38802705:1 gene:Vigun05g200600.v1.2 transcript:Vigun05g200600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKAMVLWSLLLHFIPGLSSLETIAPGQSVKDNETLVSAEGTFEAGFFNFGDQSSQYFGIWYKDISPRTVVWIANRDTPVQNSSGVFNVTHVGNLFIFNGSGAIIWSSNTSTAANNPVVQLLETGNLVVREESNLLWQSFDLPGDTLLPGMRLRSSMVNGSFTSLTSWRDTEDPGRGVYSYHIDTRGFPQVVITKGGSLLYRPGSWNGNILSGIASATLYKNFNFSFVITEKEVSYGYELLNKSIVSRYMITLTGQIQRFVLSDLTNSWQLFYSGPADQCDNYAVCGVNANCDVNSSPTCECLQGFIPRSEEKWNAQNWSDGCVRRVNLDCGHSDGFLMYQGMKLPDTSTSWFDRSMNLEECKNFCLQNCSCTAYANLDIRNGGSGCLLWFNNMVDLRKLTTGGQDLFIRVAASDLGHEKGLRKKQLAGIVAGCAVFIVVLILLGVTMLRRKKLEKPGNNKIVSWKNHTVKTQKEDIDIPIFNFSTIANATNDFCITNILGEGGFGPVYKGTLANGQDIAVKRLCNNSGQGPKEFINEVVLIANLQHRNLVKLLGCCIQDDERILIYEFMTNKSLNYFIFDESRKGLLDWSQRFQIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMNPKISDFGLATAFGGDEVEGQTKRIWLYFSRICSPWEFLSEI >Vigun05g200600.1.v1.2 pep primary_assembly:ASM411807v1:5:38798788:38802705:1 gene:Vigun05g200600.v1.2 transcript:Vigun05g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKAMVLWSLLLHFIPGLSSLETIAPGQSVKDNETLVSAEGTFEAGFFNFGDQSSQYFGIWYKDISPRTVVWIANRDTPVQNSSGVFNVTHVGNLFIFNGSGAIIWSSNTSTAANNPVVQLLETGNLVVREESNLLWQSFDLPGDTLLPGMRLRSSMVNGSFTSLTSWRDTEDPGRGVYSYHIDTRGFPQVVITKGGSLLYRPGSWNGNILSGIASATLYKNFNFSFVITEKEVSYGYELLNKSIVSRYMITLTGQIQRFVLSDLTNSWQLFYSGPADQCDNYAVCGVNANCDVNSSPTCECLQGFIPRSEEKWNAQNWSDGCVRRVNLDCGHSDGFLMYQGMKLPDTSTSWFDRSMNLEECKNFCLQNCSCTAYANLDIRNGGSGCLLWFNNMVDLRKLTTGGQDLFIRVAASDLGHEKGLRKKQLAGIVAGCAVFIVVLILLGVTMLRRKKLEKPGNNKIVSWKNHTVKTQKEDIDIPIFNFSTIANATNDFCITNILGEGGFGPVYKGTLANGQDIAVKRLCNNSGQGPKEFINEVVLIANLQHRNLVKLLGCCIQDDERILIYEFMTNKSLNYFIFDESRKGLLDWSQRFQIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMNPKISDFGLATAFGGDEVEGQTKRIVGTYGYISPEYAARGNFSVKSDVYSFGVILLEIVCGKKNREYFDDHDHDLLGHAWRLWCEEKPMELIDESLGESVALAEADVLRCIHIGLLCVQDRAEDRPEMSLIVLMLNGEKPLPRPREPAFYPHQSGSSSGNSKLQSNNEISMSLLEAR >Vigun07g025933.1.v1.2 pep primary_assembly:ASM411807v1:7:2323107:2325370:-1 gene:Vigun07g025933.v1.2 transcript:Vigun07g025933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAFPAGDWACMEGNNFWWLQESITSSLACRKVHEEGNAFEPVFALSLNVVCCVSAMRRFSTTNEGCVVEARMMTREWKKVLNPELVKGHWTKEEDDKIIELVSIHGSTKWSLISQSLPGRIEKQCREQWCNHLSPDIKKDPWILEEELALIKAHCIHGNKWAEIAKVLCGSKPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >Vigun07g180800.2.v1.2 pep primary_assembly:ASM411807v1:7:29769447:29771962:-1 gene:Vigun07g180800.v1.2 transcript:Vigun07g180800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSKDTNGCVNKPMALTVTLTVASLEGRKVEDHSSREDDNDSNSLLPAPRGGMSRKLDKTYRKRKVQWNDRIGNKLVEVLEYVPSDVSDSDSEDEDGDSCVCSIM >Vigun07g180800.1.v1.2 pep primary_assembly:ASM411807v1:7:29769447:29771959:-1 gene:Vigun07g180800.v1.2 transcript:Vigun07g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSKDTNGCVNKPMALTVTLTVASLEGRKVEDHSSREDDNDSNSLLPAPRGGMSRKLDKTYRKRKVQWNDRIGNKLVEVLEYVPSDVSDSDSEDEDGDSCVCSIM >Vigun10g032033.1.v1.2 pep primary_assembly:ASM411807v1:10:4193235:4193876:1 gene:Vigun10g032033.v1.2 transcript:Vigun10g032033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELVNKSLLFKVESRNDQTFKLEQSFRVKKVCLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIVEDLLVRFTKETIECASQSADLIKDTATNEDGNTSLKRESAKKTLSLESIEEDTVPLKLLKRNIKKEKIVKQ >Vigun10g163700.1.v1.2 pep primary_assembly:ASM411807v1:10:38306901:38311096:1 gene:Vigun10g163700.v1.2 transcript:Vigun10g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIALYASPPSSVCSTPHPCQINAHASYDFELGSRSSSPAASTAPPSTSQRPVMGGLSCLFSSPAAAVKHAALSSNFTGEEDDLKELGSSFSYSPSKFGGSSWKRDQSPVSVFHGPVSCSGSSRGSISSTSVRSIRGGTSGLFHGFVRNALGSCLDYDLDAGDSSAALADELTFNLEDNCVEGGFHFEPYAKKLLLGAQLRHKIFCEEFVIKAFCEAEKAHRGQMRASGDPYLQHCLETAVLLALIGANSTVVAAGLLHDTLDDAYLTYDYIFGTFGAGVADLVEGVSKLSHLSKLARENNTACKSVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPVTKRQRFAKETLEIFAPLANRLGISSWKEQLENLCFKHLNPSQHEELSSKLVESYDDAMITCAIERLEQALKDEGISYNVISGRHKSLYSVYCKMLKKKLTIDDIHDIYGLRLIVDKEEDCYKALTTVHRLWSEVPGKLKDYIHCPKFNGYQSLHTVVMGEGNIPLEVQIRTKDMHLQAEFGFAAHWRYKEDDCQHSSFVLQMVEWARWVVTWQCEAMSVDCSSVRYADSVKPPCKFPSHAADCPYSYRPDCGQNGPVFVIMIENDKMSVQEFSANSTILDLLERAGRASSRLTTYRFPLKEELRPRLNHKPVSDPNSKLKMGDVIELTPAIPDKYLTEYREEIQRMYDRGLTVSTMGTSSSSTMVGSRS >Vigun07g191100.3.v1.2 pep primary_assembly:ASM411807v1:7:30926535:30931114:1 gene:Vigun07g191100.v1.2 transcript:Vigun07g191100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSDDVDSISDVSDNTFSRASGSPYRDYLENTHHRRELSSKRISPLATCSDHDTGSSLSFWIGKLPQQSNVSGLQKNMNERQDSTYSENSLYPLYDTSGSIHSSPVTSSSGTPLQGKIQEFGKASHASDTTLTRSVGSSKDLLGVAQVTIDLLHGEAKMWEENATKLMVDVERLQKHLNKKSKNKKELEMELSASRKEIDALKDEIQLLTSAIKQNDSRNLKLQIEEMDNTIKELKDEIKYQKGLNCDLELIKKTQESKIDLVSILHKLEKIKENQKMKIAGLSMNCLPFQDVEINSRVPEDSEEEDFSLSKEVLPEKMRKEFCHSDVDLGTYENAIRCLHEGIELQEFRNLELEHQLMQEKHKNMESTIQFLEKTLNDKDKEMQTARCYMAQTLEENEAKWKSRLFEKGKQIINFEKKLSDGVYAFGNEILSLTQRVQDLEAEYCEKHGESGKNLIISSPFSSDFPFFCSDSSTINIIEVFLELYKQLQVSVEKLRGQENLLSQMALTKKENCFSISDLSKDEGKIDLKELTEAILCTIILLKKLLETKTTSFVYKINSQDELVRRKIRDDNVYQNEVRDCSVKENIFCISCQELGNIQAKLMSHPPPQAKSQQVESAETNELKSDNMPGKEKSCIRHSKLELETEVAYLQSKISSSCSADFPNDMKFHYWTKDSHTWINKDHVRNPSFESCDDEGSVLFGLEAEKVQFSERVLSLEAEMRHLIEEKEWTHLALKNTENVVRNLQDDIRRMEGLNEAQEVELKRKEESMQKKWKEAQEECSFLKVANLELQATNEKLIEESKTLQTTNDELRMRNMDLHGQCTVLDSKLGESHIAFSDILKLVQELEYKITSMQEEIALKEKTINVDLNSIIEESRKQEERFIIEEKLLTQTNLEKTAEVDYLQREVEHLRDQISCICDRHKRMASNTVLEVYDLCADKAMIEAALQQEQEKLRLHQAKLDNLQAEYKVMMQNCTEELAASRANQETLKVNYEKVVALLDNVKSNEEKLKDTVRGLEEELKASELERLQATEEIFELEIQLQKTEMLQGEIFILKRSLYEAEFEFRRLEASYQTLSLEFEELKAKNASYIGGISTTEKVTSELEDCKRSKIEFEEQIQRLQWNLKAKESSCLNNAQLKYEIAQMTKDNAELHRSKDFLQQENEEYKKKVKDLEERLKQKDVKEDQYDAKDCSTSTTALHDLNMFTGTG >Vigun07g191100.2.v1.2 pep primary_assembly:ASM411807v1:7:30924818:30931113:1 gene:Vigun07g191100.v1.2 transcript:Vigun07g191100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRVEAYYERKGKKKTCNLSTHNRDKFQERLDFKFYDFQALEIEKGWNNLFVSIISIETGETIAKSGKASVQNGKCHWEDSMLSTMWISEDLLQHNESFLLKLVVAMGSARFGTLGEAIINLASYIRPEVSTASLPLRQCSHGTILQVKIQCLTPRSKHRKGANSFVEEMSVCSDDVDSISDVSDNTFSRASGSPYRDYLENTHHRRELSSKRISPLATCSDHDTGSSLSFWIGKLPQQSNVSGLQKNMNERQDSTYSENSLYPLYDTSGSIHSSPVTSSSGTPLQGKIQEFGKASHASDTTLTRSVGSSKDLLGVAQVTIDLLHGEAKMWEENATKLMVDVERLQKHLNKKSKNKKELEMELSASRKEIDALKDEIQLLTSAIKQNDSRNLKLQIEEMDNTIKELKDEIKYQKGLNCDLELIKKTQESKIDLVSILHKLEKIKENQKMKIAGLSMNCLPFQDVEINSRVPEDSEEEDFSLSKEVLPEKMRKEFCHSDVDLGTYENAIRCLHEGIELQEFRNLELEHQLMQEKHKNMESTIQFLEKTLNDKDKEMQTARCYMAQTLEENEAKWKSRLFEKGKQIINFEKKLSDGVYAFGNEILSLTQRVQDLEAEYCEKHGESGKNLIISSPFSSDFPFFCSDSSTINIIEVFLELYKQLQVSVEKLRGQENLLSQMALTKKENCFSISDLSKDEGKIDLKELTEAILCTIILLKKLLETKTTSFVYKINSQDELVRRKIRDDNVYQNEVRDCSVKENIFCISCQELGNIQAKLMSHPPPQAKSQQVESAETNELKSDNMPGKEKSCIRHSKLELETEVAYLQSKISSSCSADFPNDMKFHYWTKDSHTWINKDHVRNPSFESCDDEGSVLFGLEAEKVQFSERVLSLEAEMRHLIEEKEWTHLALKNTENVVRNLQDDIRRMEGLNEAQEVELKRKEESMQKKWKEAQEECSFLKVANLELQATNEKLIEESKTLQTTNDELRMRNMDLHGQCTVLDSKLGESHIAFSDILKLVQELEYKITSMQEEIALKEKTINVDLNSIIEESRKQEERFIIEEKLLTQTNLEKTAEVDYLQREVEHLRDQISCICDRHKRMASNTVLEVYDLCADKAMIEAALQQEQEKLRLHQAKLDNLQAEYKVMMQNCTEELAASRANQETLKVNYEKVVALLDNVKSNEEKLKDTVRGLEEELKASELERLQATEEIFELEIQLQKTEMLQGEIFILKRSLYEAEFEFRRLEASYQTLSLEFEELKAKNASYIGGISTTEKVTSELEDCKRSKIEFEEQIQRLQWNLKAKESSCLNNAQLKYEIAQMTKDNAELHRSKDFLQQENEEYKKKVKDLEERLKQKDVKEDQYDAKDCSTSTTALHDLNMFTGTG >Vigun07g191100.1.v1.2 pep primary_assembly:ASM411807v1:7:30926045:30931113:1 gene:Vigun07g191100.v1.2 transcript:Vigun07g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSDDVDSISDVSDNTFSRASGSPYRDYLENTHHRRELSSKRISPLATCSDHDTGSSLSFWIGKLPQQSNVSGLQKNMNERQDSTYSENSLYPLYDTSGSIHSSPVTSSSGTPLQGKIQEFGKASHASDTTLTRSVGSSKDLLGVAQVTIDLLHGEAKMWEENATKLMVDVERLQKHLNKKSKNKKELEMELSASRKEIDALKDEIQLLTSAIKQNDSRNLKLQIEEMDNTIKELKDEIKYQKGLNCDLELIKKTQESKIDLVSILHKLEKIKENQKMKIAGLSMNCLPFQDVEINSRVPEDSEEEDFSLSKEVLPEKMRKEFCHSDVDLGTYENAIRCLHEGIELQEFRNLELEHQLMQEKHKNMESTIQFLEKTLNDKDKEMQTARCYMAQTLEENEAKWKSRLFEKGKQIINFEKKLSDGVYAFGNEILSLTQRVQDLEAEYCEKHGESGKNLIISSPFSSDFPFFCSDSSTINIIEVFLELYKQLQVSVEKLRGQENLLSQMALTKKENCFSISDLSKDEGKIDLKELTEAILCTIILLKKLLETKTTSFVYKINSQDELVRRKIRDDNVYQNEVRDCSVKENIFCISCQELGNIQAKLMSHPPPQAKSQQVESAETNELKSDNMPGKEKSCIRHSKLELETEVAYLQSKISSSCSADFPNDMKFHYWTKDSHTWINKDHVRNPSFESCDDEGSVLFGLEAEKVQFSERVLSLEAEMRHLIEEKEWTHLALKNTENVVRNLQDDIRRMEGLNEAQEVELKRKEESMQKKWKEAQEECSFLKVANLELQATNEKLIEESKTLQTTNDELRMRNMDLHGQCTVLDSKLGESHIAFSDILKLVQELEYKITSMQEEIALKEKTINVDLNSIIEESRKQEERFIIEEKLLTQTNLEKTAEVDYLQREVEHLRDQISCICDRHKRMASNTVLEVYDLCADKAMIEAALQQEQEKLRLHQAKLDNLQAEYKVMMQNCTEELAASRANQETLKVNYEKVVALLDNVKSNEEKLKDTVRGLEEELKASELERLQATEEIFELEIQLQKTEMLQGEIFILKRSLYEAEFEFRRLEASYQTLSLEFEELKAKNASYIGGISTTEKVTSELEDCKRSKIEFEEQIQRLQWNLKAKESSCLNNAQLKYEIAQMTKDNAELHRSKDFLQQENEEYKKKVKDLEERLKQKDVKEDQYDAKDCSTSTTALHDLNMFTGTG >Vigun10g179450.1.v1.2 pep primary_assembly:ASM411807v1:10:39749283:39749765:1 gene:Vigun10g179450.v1.2 transcript:Vigun10g179450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEKWKKYLNYVASIEGNRSFRQDMVRIMHSQAIVWGEYSERKGRWRHCILPQKGCHVKELASEMGPEKRVMLFFAFLIKNVVNGERLLEVS >Vigun05g247900.1.v1.2 pep primary_assembly:ASM411807v1:5:44141875:44145369:1 gene:Vigun05g247900.v1.2 transcript:Vigun05g247900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEKSLDPQLWHACAGGMVQMPPVNSKVFYFPQGHAEHAQSNLDFGASRIPIPPLVLCRVAAVKFVADPETDEVFARLRLVPLRNTELDHEESENVDGNGAEGSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGGPEAPCGWSSGGGGGGGGIGPYGAFSAFLREESKVVRNGCGRPKVSGESVREAITMAANNQPFEVVYYPRANTPEFCIRTSAVRGAMRIQWCSGMRFKMPFETEDSSRISWFMGTIASVQVLDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPVIHLAPFSPPRKKLRFPQSPEFPLDVQFPIATFSGNPFGCSGSSSPLCCLSDNAPAGIQGARHAQIGISLSDLHLNHNKLQLGLLPTNVRQLHLQNGISNVNITNHGKSKESLSCLLTMASPRKSLEKSDQVKTHQFLLFGQPILTEQQISTSSTATATDDDNNKEKKERGFLSDSLSSVSPGKSSSTAEFSWQLASDTSHCKVFMESEDVGRTLDLSCLASYDELYMRLATMFGIERSEMLSHVLYRDATGALKQTGEEPFSEFMKTAKRLTILSDSSSKDSRRVWITGTRNAEHGLDATSNKTGPMSIFA >Vigun03g311400.3.v1.2 pep primary_assembly:ASM411807v1:3:50437318:50439143:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSRRGDIVGHCNTDMSEWELRPGGMVVQKRNSDANQNFTSKSTIKVRVKYGSSYHQIQISSHASFGELKKMLTEPTGLHVQDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun03g311400.5.v1.2 pep primary_assembly:ASM411807v1:3:50437369:50439108:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPITKFKSVLMQALDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun03g311400.1.v1.2 pep primary_assembly:ASM411807v1:3:50437244:50439541:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSRRGDIVGHCNTDMSEWELRPGGMVVQKRNSDANQNFTSKSTIKVRVKYGSSYHQIQISSHASFGELKKMLTEPTGLHVQDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun03g311400.2.v1.2 pep primary_assembly:ASM411807v1:3:50437369:50439156:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWELRPGGMVVQKRNSDANQNFTSKSTIKVRVKYGSSYHQIQISSHASFGELKKMLTEPTGLHVQDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun03g311400.4.v1.2 pep primary_assembly:ASM411807v1:3:50437369:50439091:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSRRGDIVGHCNTDMSEWELRPGGMVVQKRNSDANQNFTSKSTIKVRVKYGSSYHQIQISSHASFGELKKMLTEPTGLHVQDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun03g311400.6.v1.2 pep primary_assembly:ASM411807v1:3:50437325:50439225:-1 gene:Vigun03g311400.v1.2 transcript:Vigun03g311400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEPTGLHVQDQKLIYKNKERDSKSYLDVERVKDGSKLVLLVDIESRERRILEKLRIIKKEKTSKSLTEIKLEVDKLCKKVAALEADASRGGIITELDVETLTENLMRTLIALDEIYGDGELKLQRREQVGRVQKHIETLDMLRMTRQKSITLKRDENMKKVQYGDEFEKKRQGNPKQQQPMQHSDSVVVTTKWETFD >Vigun10g092600.1.v1.2 pep primary_assembly:ASM411807v1:10:26514814:26517942:-1 gene:Vigun10g092600.v1.2 transcript:Vigun10g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLRVPPLTGQQEQPLALINYLYIGHFLARWGTRMWEFSVGLYMINIWPESLLYAAIYGAVESASIAVFGPIIGKLVDKLSSLKVLQLWLVTQNLSFVIAGACVVTLLNHSSLKFTHFSIFMFLVLLINVCGGIGVLSTLAGTILIEREWLLVICEGQPPELLTKMNSVTRRIDLCCKLLAPVITGFIISFVSLKASAITLALWNTVSVWVEYWLFTSVYEGIPALGQSSQKRMARLLQCDQEMNNLSSEEDSLLPVTECSSEFADRKWNKKISDKISEIPYVAAWRVYLQQEVALPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGLGRGINAVIGIAATVAYPVLESRISTIRTGLWSIWSQWTCLLPCIAAIWIQSGFLSSYILMGSVATSRLGLWMFDLSVLQHMQDLVTESDRLIVGGVQSSLQSLMELLAYVMGIIISDPRVSFKTNAFIYHILSAPYNFNSY >Vigun10g092600.3.v1.2 pep primary_assembly:ASM411807v1:10:26513961:26517942:-1 gene:Vigun10g092600.v1.2 transcript:Vigun10g092600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWEFSVGLYMINIWPESLLYAAIYGAVESASIAVFGPIIGKLVDKLSSLKVLQLWLVTQNLSFVIAGACVVTLLNHSSLKFTHFSIFMFLVLLINVCGGIGVLSTLAGTILIEREWLLVICEGQPPELLTKMNSVTRRIDLCCKLLAPVITGFIISFVSLKASAITLALWNTVSVWVEYWLFTSVYEGIPALGQSSQKRMARLLQCDQEMNNLSSEEDSLLPVTECSSEFADRKWNKKISDKISEIPYVAAWRVYLQQEVALPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGLGRGINAVIGIAATVAYPVLESRISTIRTGLWSIWSQWTCLLPCIAAIWIQSGFLSSYILMGSVATSRLGLWMFDLSVLQHMQDLVTESDRLIVGGVQSSLQSLMELLAYVMGIIISDPRDFWKLAVISFQAVTLAAFLYCIHAYRVRKHLFHFDRMLWAKCFVIAS >Vigun10g092600.2.v1.2 pep primary_assembly:ASM411807v1:10:26513961:26517942:-1 gene:Vigun10g092600.v1.2 transcript:Vigun10g092600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLRVPPLTGQQEQPLALINYLYIGHFLARWGTRMWEFSVGLYMINIWPESLLYAAIYGAVESASIAVFGPIIGKLVDKLSSLKVLQLWLVTQNLSFVIAGACVVTLLNHSSLKFTHFSIFMFLVLLINVCGGIGVLSTLAGTILIEREWLLVICEGQPPELLTKMNSVTRRIDLCCKLLAPVITGFIISFVSLKASAITLALWNTVSVWVEYWLFTSVYEGIPALGQSSQKRMARLLQCDQEMNNLSSEEDSLLPVTECSSEFADRKWNKKISDKISEIPYVAAWRVYLQQEVALPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGLGRGINAVIGIAATVAYPVLESRISTIRTGLWSIWSQWTCLLPCIAAIWIQSGFLSSYILMGSVATSRLGLWMFDLSVLQHMQDLVTESDRLIVGGVQSSLQSLMELLAYVMGIIISDPRDFWKLAVISFQAVTLAAFLYCIHAYRVRKHLFHFDRMLWAKCFVIAS >Vigun04g004000.1.v1.2 pep primary_assembly:ASM411807v1:4:265770:266083:-1 gene:Vigun04g004000.v1.2 transcript:Vigun04g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVQVFLMSLIALAFSSLPSSINAQLLPPAPAPAPTSDGIAVDQGVAYVLMLLALVLTYIIH >Vigun07g187200.1.v1.2 pep primary_assembly:ASM411807v1:7:30413622:30414887:1 gene:Vigun07g187200.v1.2 transcript:Vigun07g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIFNHLFFSFSSPQFSHFVQNLNMMHLQTPFFLSFSALFLGFFCHCSATKFTVGDSAGWIIPPYPTYYNNWTHSHFITVGDSIEFQFDDKFYNLIQVSQQEYEHCTSLEPLRIFNSSPVILPLKEKGVLFFTCSISNYCCLGQKVVISVHEGSSPKPPSPSPSPSPSPSPSPSQVPIIISPPQLSPNGSAPQPHGSSGMTSPPPPAGNTSGGNAGNSPVPSSTQGHVNNAMTLASGRSFTLSLGQVLSIIGVSLAFG >Vigun11g169900.5.v1.2 pep primary_assembly:ASM411807v1:11:37592866:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.3.v1.2 pep primary_assembly:ASM411807v1:11:37592905:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCNLIPEINTSFKLNNMGAVISLTSAVIVAGVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.1.v1.2 pep primary_assembly:ASM411807v1:11:37592867:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCNLIPEINTSFKLNNMGAVISLTSAVIVAGVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.2.v1.2 pep primary_assembly:ASM411807v1:11:37592867:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCNLIPEINTSFKLNNMGAVISLTSAVIVAGVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.8.v1.2 pep primary_assembly:ASM411807v1:11:37592866:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.4.v1.2 pep primary_assembly:ASM411807v1:11:37592905:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCNLIPEINTSFKLNNMGAVISLTSAVIVAGVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.7.v1.2 pep primary_assembly:ASM411807v1:11:37592866:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun11g169900.6.v1.2 pep primary_assembly:ASM411807v1:11:37592866:37613771:1 gene:Vigun11g169900.v1.2 transcript:Vigun11g169900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHQHQQHQHLAALVSAALPKDDSDDDPSPRLAAIHSLHRAILHPHNSLLLSHSATFLAQAFSQLLSDKCYEVRQAAVTAYGALCAVAASIPVASNGRQNLLMLVDRFIGWALPSLSTAVAVDGTKELALEGLREFLNVGGTDRYALPILKACQVLLEDERTSLALLHRLIGVITLISLKFMRCFQPHFPDIVDLLLGWALMPDLAQSDRRVILDSFLQFQKHWVGSLPMSLRLLTKFLGDMEVLLHDGTPGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQICEPLSALLPRLLRCLSMIGQKFGWSDWIEDSWKCLTLLAEILQERFSSFYPLAVDILFQSLEFGVTVQRPGLKKISSFQVHGVLKTNLQLLSLQKLGLLPLSVKKLLKFDASVSQLRLHPNHLVTGSSAATYVFLLQHANTEVVDEAVTSLIEELELLKSLIGNNDGHSYEFNCIVDTKTFSKAELLALIKFDLKVLLACVCMAGDSSLIGQKDIASLYLRRLEKLESFITKQMNPFELPIQDFMELQITVVKTLERINSVDFLIKCSVREQNCEKTFVEFPTEKEDRDDQFSNERLAVITEHLEKYSKFVVKAFHVSSPLAIKLVVLDWGQKFCESVMAVNKVSSISGFSYEACEYAGVIMNLVFSLLGGTFEREQEVRSHVAITLEMFMQAKLLHPVCFYPLAEVILEKLGDPTLEIRDAYVRLLAHILPTTIYTCGLYDYGRFRPVDPVLGNSSKMHWKQLFALKQLPLQLHSQHLVSILSYISQRWKVPLSSWIQRLIHSCQSSKDAVLSLPEETGVFGANSPWLDVRVDEDILEKICSVNNLAGAWWAVQEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQSDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPPATRQSTLFFRANKKVCEDWFSRICEPMMNAGLAVHCNDAVIQYCTLRLQELKNLSVSTLKDKSRTQVTDNLHNIRGRYRGDVLKVLRDVSLALCKSSDPESLIGLQKWVSITFSLLGDENQSFSEGGTVGPLSWISGLIYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARIIESYTAVSDWRSLETWLLELQQLRAKHTGRSYSGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKIALQRSEQMLLQSLLFLKEEKSDKVLYDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEENCKLKTNHEKTKQLPSILNSLESLPSSISKIRQDCNLWLKVLRVYKTISPSSPVTLKFCINLHNLARKQNNLLLANRLNNYIKDHVFACPEERHRNLLVLNLQYESILLQYAENKFEDAFTNLWSFLHPCMFSSKPSIISDVEERILKAKACLKLSDWLRRDYSDWSPEGVVLQMAADFDLAESSPHGKDGSKENLSCKSNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQARDSLHVQSETILHSCSFSSILVPEILPDRFKLTKDEVQRIKSLVLCLFQDNIDMKGFTDEQEERGSWLDSAELSISESPLQKLVWNIVNVIETAAGASGAENSGGECLSDMVSSQLRICLLSTNFELGESDISSALDNFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSRICHSQVPGSEYETLKQKAGSYTLKATLYILHILLNYGVELKDTLESALLVVPLLPWQEVTPQLFARISSHPEQVIRKQLEGLLTMLAKQSPYSIVYPTLVDVNAYEEKPSEELHHVLGCLRELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHTDVMRRINLLKEEAARIAENVTLSQNEKSKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKDQLKSAILSFKIPPVSSAAIGDVWRPFDSIAASLASYQRKSSVSLGEVAPHLALLSSSDVPMPGLEKQMQVPDSDKATDHQGVVTIASFHEQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHSSSSACSNSLTIRYYSVTPISGRAGLIQWAGNVVSIYSVFKSWQTRVQLAQFLALGSANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKCKVLLDLMKEVPRHLLYQELWCASEGYKAFSSKLKRYTGSVAAMSMVGHVLGLGDRHLDNILIDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQIIEAALGLTGIEGSFRSNCETVIGVLRKNKDVLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDQLLTSLPAVESALERFGDVLNKYELASSLYCRADQERSSLILHETSAKSIVAEATSNSEKIRASFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCVPLTVVPEPTQAQCQDIDREVSQFIAELGDGLTSATASLQAYSLALQRILPLNYLSTSAVHNWAQVLQLSINALSSDMLSLARRQASELIAKFHVDNSDSIKCSHDDLCFRVEKYAVEIEKLQKECAEIESSIGSESESKTKDRLLYTFMKFMQSIGLLRKEVGISSVQSKYDSGMNNARPLGELEEEREKAFSILNIAVSSLYNEVKHKILNIYNDTSGGRNQYNMLQNDSGTIFSEFEEQVEKCNLVTEFVHDLCQFIGKDIPSIDINQVRLKISSESNWVSIFKNILISCKGLVSQMTEVVLPDVIRAAVSLNSEVMDAFGLISQVRGSIETALEQLVEVEMERVSLNELEQNYFVKVGLITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAKLDQLHQTWNQRDVRTSSLTKRETDIKNALVSVNCQFQSLVGVEEERELHILRSKALLASLVKPFLELESIDILLSTTDGSVAMPTSKFHTLTDLINSGNSLSEYVWKVGRLLNNHSFFIWKIGVIDSFLDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHIGHYLKERVAPSLLACLDKENEYLKQLTESSKELALDQGKKDGAVKKVLLMLEEYCNAHETARAAKSAASVMKKQVNELKEALRKTALEVVQMEWMHDVSLNPSYNRRIRFEKYLDTDDSLYTIILNLNRSELLDNVQSAVSKITTSMDCLQSCERNSLMAEGQLERAMAWACGNSSNSGNTSTTNSGIPPEFHEHIKNRRQILWESREKASDIVKLCVSVLEFEASRDGYLLIPDQPYPFRSSVDAKTWQQVYLKALTRLDVTFHSYSRTEQEWKLAQCTVEAASNGLYTATNELCIASVKAKSASGDLQNTVLSMRDCAYEASVALSAFARISRIQTALTSESGSMLEEVLAITEDIHDVYNLGKEAAGVHLSLMEGLSKANAILFPLESVLSKDVAAMADAIDRESETKKEISHIHGQAIYQSYCLRIREACQTFKPLVPSLMLAVKGLYSLLTRLARTANVHAGNLHKALEGIGESQEVKSVDTTLSRSDGGGGEAVEFDGKEGEGLSRSEDGETDDFVGFSRLSLEDKGWISPPDSICCTSSESDMTAAEVSLPDSLNDSAGNKDQLSQGSSRRNPTGLMHTALFSQTELEEISPFGLSQSSPVETDLNGSGSVKSTNEATEHSEAIVLLGDKTAAMPANSQNPANENIDKFDSANEPLSAKEVKNATEHRDAPNVNANTRVGRGKNAYALSVLRRVEMKIDGRDISESREIDIAEQVDYLLKQATSVDNLCNMYEGWTPWI >Vigun10g049400.5.v1.2 pep primary_assembly:ASM411807v1:10:7605569:7612005:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFGRLVSLVYKRGFSTSTSVQKGASEQGVKSLNLCSAINQALHIALDSDPRSYVFGEDVSFGGVFRCTTGLADQFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun10g049400.1.v1.2 pep primary_assembly:ASM411807v1:10:7605569:7612005:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFGRLVSLVYKRGFSTSTSVQKGASEQGVKSLNLCSAINQALHIALDSDPRSYVFGEDVSFGGVFRCTTGLADQFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun10g049400.6.v1.2 pep primary_assembly:ASM411807v1:10:7605569:7612005:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFGRLVSLVYKRGFSTSTSVQKGASEQGVKSLNLCSAINQALHIALDSDPRSYVFGEDVSFGGVFRCTTGLADQFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun10g049400.2.v1.2 pep primary_assembly:ASM411807v1:10:7605377:7612006:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFGRLVSLVYKRGFSTSTSVQKGASEQGVKSLNLCSAINQALHIALDSDPRSYVFGEDVSFGGVFRCTTGLADQFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun10g049400.7.v1.2 pep primary_assembly:ASM411807v1:10:7605569:7612005:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun10g049400.3.v1.2 pep primary_assembly:ASM411807v1:10:7605569:7612006:1 gene:Vigun10g049400.v1.2 transcript:Vigun10g049400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFGRLVSLVYKRGFSTSTSVQKGASEQGVKSLNLCSAINQALHIALDSDPRSYVFGEDVSFGGVFRCTTGLADQFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEDDYMLPLSEAEVIRQGSDVTLVGWGAQLSIMEQACIDAEKEGISCELIDLKTLIPWDKETVEASVNKTGRLLVSHEAPITGGFGAEISASIVERCFSRLEAPVARICGLDTPFPLVFEPFYMPTKNKILDAIKSTVKY >Vigun03g142400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14358824:14360446:1 gene:Vigun03g142400.v1.2 transcript:Vigun03g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCFTVAAIVLLFSFSSSSADTPENFVQCLYNYPRMTNPISNVVYTQTNPSYSSILDMSIRISMFSNSSTKPQVIVTPLNVSHIQATIICAQRHGMQIRTRSGGHDYEGLSYVAEVPFSIVDLFNLRQITVDVENRTAWVQAGATLGELYYTISQKSKTLGFPAGVCATVGTGGLFSGGGYGFLMRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVKKVNSSEHGKQTIQANFVSMFQGGVEELIPMVQKSLPELGLDRKDCTETSWIGSVVFANAVLLGSSVNEVTEVLLNRTQIRVNNFKGKSDYVRKPIPVDGLRGLWRLLYDDKVEDAVVQFAPYGGRMDEISESEIPFPHRSGYIFHVHYAVIWQEEGDEAARRHMNWIKKLYKYMEPYVSNSPRAAYLNYRDLDIGMNNNGYTSYHQASIWGVKYFGNNFRRLVEVKTNVDPHDFFRNEQSIPTLSKEENYYQETI >Vigun04g103600.2.v1.2 pep primary_assembly:ASM411807v1:4:24238333:24246490:-1 gene:Vigun04g103600.v1.2 transcript:Vigun04g103600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPPSPSSDPGAGSGDLRVYQAWKGSNVLVLLLLTSGRDPGIIPRNAHPPEPEGFEGSLDVGAGQTPQFRLPRIKEVEVNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMESEETTIWKAMIKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNRGVINNFLEIFCTSIPQSKNNFREKVPLEPVLPVRSVGGGFMSPSMGKAVDDIEMGRKTVWADMGTALDPSEGQLNERLAIKDGEFGELSPEIRTTVDETSDRGGIHPRRSSWGRKSGSWEMSPEVLALASRVGESNRMGGGSSSLTSENRHT >Vigun04g103600.1.v1.2 pep primary_assembly:ASM411807v1:4:24238333:24246490:-1 gene:Vigun04g103600.v1.2 transcript:Vigun04g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPPSPSSDPGAGSGDLRVYQAWKGSNKFLLQGRFIFGPDARSLALTIFLIAAPVAVFCAYVARKLINDFSDHLGMTIMAIAIIFTIYVLVLLLLTSGRDPGIIPRNAHPPEPEGFEGSLDVGAGQTPQFRLPRIKEVEVNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMESEETTIWKAMIKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNRGVINNFLEIFCTSIPQSKNNFREKVPLEPVLPVRSVGGGFMSPSMGKAVDDIEMGRKTVWADMGTALDPSEGQLNERLAIKDGEFGELSPEIRTTVDETSDRGGIHPRRSSWGRKSGSWEMSPEVLALASRVGESNRMGGGSSSLTSENRHT >Vigun04g125100.2.v1.2 pep primary_assembly:ASM411807v1:4:31690523:31694811:-1 gene:Vigun04g125100.v1.2 transcript:Vigun04g125100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIHQKFDIRLEVMQKHCQNTKLGSTTTLTPRRYKNGGRPYMKQLIYQAGIANIVKGVKSLLGDESDVNMIGIYGIGGIGKTTTARAVYNTIFWHYEGSCFLPDIREKAVNKNGIVQLQEILLSHILKGEDIKVGDVNRGIPLIKQRLQQKKVLLVLDDVDKLEQLKALAGGCDWFGSGSRIIITTRDKHLLDAHGVVNLYEVKPLHIEKALELFNWHAFRSGKVGPPYMSISKRAVSYACGLPLALEVIGSHLFGKSLDECNSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNTCELGNVTPMLKAHGFHVEDGLRVLVDKSLIKIDSFGFVRIHDLIRDTGREIVRQESTLEPGRRSRLWFDQDIVHVLEENTGSDRIEFIKLEGYNNVQVQWDGKAFKEMKNLKILIIEDATFSVGPEHLPNSLRVLDWNCYPSPSLPSDFNPKRFEILLMPESCLLMFKPQKMLESFSVINLEDCKFLTDLPSLREASLLTTLRLDRCCNLVNIDESIGFLDKLRLLSAKGCTKLKTLAPCIMLTSLETLDLGMCYKLESFPEVLEKMEKIRTVYLDATNIEKLPFSIGNFVWLELLSLKGCRRLHQLPGSISIMPKVRVVIGYGHEAYNFFEKELSSEVSPMAMFIGGSNLYLDVYYPYMNPNNGIQMQGISDAFLVSKQIS >Vigun04g125100.3.v1.2 pep primary_assembly:ASM411807v1:4:31690523:31694811:-1 gene:Vigun04g125100.v1.2 transcript:Vigun04g125100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIYGIGGIGKTTTARAVYNTIFWHYEGSCFLPDIREKAVNKNGIVQLQEILLSHILKGEDIKVGDVNRGIPLIKQRLQQKKVLLVLDDVDKLEQLKALAGGCDWFGSGSRIIITTRDKHLLDAHGVVNLYEVKPLHIEKALELFNWHAFRSGKVGPPYMSISKRAVSYACGLPLALEVIGSHLFGKSLDECNSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNTCELGNVTPMLKAHGFHVEDGLRVLVDKSLIKIDSFGFVRIHDLIRDTGREIVRQESTLEPGRRSRLWFDQDIVHVLEENTGSDRIEFIKLEGYNNVQVQWDGKAFKEMKNLKILIIEDATFSVGPEHLPNSLRVLDWNCYPSPSLPSDFNPKRFEILLMPESCLLMFKPQKMLESFSVINLEDCKFLTDLPSLREASLLTTLRLDRCCNLVNIDESIGFLDKLRLLSAKGCTKLKTLAPCIMLTSLETLDLGMCYKLESFPEVLEKMEKIRTVYLDATNIEKLPFSIGNFVWLELLSLKGCRRLHQLPGSISIMPKVRVVIGYGHEAYNFFEKELSSEVSPMAMFIGGSNLYLDVYYPYMNPNNGIQMQGISDAFLVSKQIS >Vigun04g125100.1.v1.2 pep primary_assembly:ASM411807v1:4:31690523:31694811:-1 gene:Vigun04g125100.v1.2 transcript:Vigun04g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIYGIGGIGKTTTARAVYNTIFWHYEGSCFLPDIREKAVNKNGIVQLQEILLSHILKGEDIKVGDVNRGIPLIKQRLQQKKVLLVLDDVDKLEQLKALAGGCDWFGSGSRIIITTRDKHLLDAHGVVNLYEVKPLHIEKALELFNWHAFRSGKVGPPYMSISKRAVSYACGLPLALEVIGSHLFGKSLDECNSALDKYESIPHQKIHEILKVSYDGLEENEKGIFLDIACFFNTCELGNVTPMLKAHGFHVEDGLRVLVDKSLIKIDSFGFVRIHDLIRDTGREIVRQESTLEPGRRSRLWFDQDIVHVLEENTGSDRIEFIKLEGYNNVQVQWDGKAFKEMKNLKILIIEDATFSVGPEHLPNSLRVLDWNCYPSPSLPSDFNPKRFEILLMPESCLLMFKPQKMLESFSVINLEDCKFLTDLPSLREASLLTTLRLDRCCNLVNIDESIGFLDKLRLLSAKGCTKLKTLAPCIMLTSLETLDLGMCYKLESFPEVLEKMEKIRTVYLDATNIEKLPFSIGNFVWLELLSLKGCRRLHQLPGSISIMPKVRVVIGYGHEAYNFFEKELSSEVSPMAMFIGGSNLYLDVYYPYMNPNNGIQVCSPNPLIHSDFNLLFSKLIREEDWYGRCRVSVMHFSFRNKFPKIALCCSLFFPAMKSVMIMTFNFRVFINDTLQFSGMCNFMFRGLEIILWCDLEGKVEGVCSEQEWNRAEIAFEVDFPMQRNTRNGITTNSIGIGNLSWSLIGVYEEGNNKEDIEFEDPMSIFPLSSTEPPSSLPSSLYYVVSRGIPQEWVDMD >Vigun05g289000.1.v1.2 pep primary_assembly:ASM411807v1:5:47572911:47573629:-1 gene:Vigun05g289000.v1.2 transcript:Vigun05g289000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDIYRASNSMRSRSSTVWRNNSVEVFSRSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGAANEIDVADLGFQDRQKLLERLVKVAEEDNERFLLKLKERIDRVGLDIPTIEVRYEHLNIDAEAFAGSRALPSFINSVTNVIEGFLNMLHIVPSKRNTLHSQRCQWGY >Vigun07g238000.1.v1.2 pep primary_assembly:ASM411807v1:7:35961526:35962980:-1 gene:Vigun07g238000.v1.2 transcript:Vigun07g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKYILLLLVCLVVAAGVGVGAEEYTDLQKDCFSHCWHGCFFPTSFCDGWCAAACKYPINLGL >Vigun05g213700.2.v1.2 pep primary_assembly:ASM411807v1:5:40471274:40473721:-1 gene:Vigun05g213700.v1.2 transcript:Vigun05g213700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEAKVVARGERLKRDVVDCGDNEKGYVELRQEKKKKKNKKECGDGGMLCCQAEKCNADLHEAKQYHRRHKVCECHAKAQVVLVHGTKQRFCQQCSRFHELSEFDDAKRSCRRRLAVHNERRRKNSCDQSQAEGSSHHHKGSEVPQLKDIACGQANERGRTHITIQENSAYKKFQIR >Vigun09g093600.1.v1.2 pep primary_assembly:ASM411807v1:9:13518181:13522844:1 gene:Vigun09g093600.v1.2 transcript:Vigun09g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVMFYSVDEFRLDPKWLIDPKHLFVGPQIGEGAHAKVYEGKYKNQTVAIKIVHKGETADDIAKREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPKCLDRHVAIGFALDIARAMECLHSHGIIHRDLKPDNLLLTEDQKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELLHNKVPFEGMSNLQAAYAAAFKNVRPSAENLPEELALILTSCWQEDPNARPNFTQIIQMLLNYLYTVAPPEPMIPSRIFTSENTVLPPESPGTSSLMAKRDDTGDTPRAKDEVKPNGFLCCFSQCY >Vigun07g043050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4286855:4287923:1 gene:Vigun07g043050.v1.2 transcript:Vigun07g043050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNVDALQKCGTTLSLILHLLNTHILLTFGNQEGNYAIACPVQDLLENPSSTLETLHRNNRPFNHSCSILGVCNGLVCLQDHCVVDEFEEYWVRIWNPATRVMSKDSPHICLQRSDYKDDSWFMFGFGHDESSDTYQVLFLDYNKNESQKLEVSVWSLGDTCWRNTLTCDAFPTVIGRESRGTFGTFVSGTLNWLAFPKSYYGYVRMNQLEIFSYNLKNETCKYLPMPDGILEVYVCNPELEVLKGCLYLCHHYEGNFIVWVKREFKVQITEFKLRGSL >Vigun04g171700.1.v1.2 pep primary_assembly:ASM411807v1:4:39582060:39587535:-1 gene:Vigun04g171700.v1.2 transcript:Vigun04g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVLVLQDSLKRESGAKVRYAVIQAAKAVADVVRTTLGPRSMLKMLLDAQGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDQEVGDGTTSVIILAGEMLHVADAFIDKIHPTVICRAYTKALEDAIAVLDKIAMPIDAQDRGIMLGLVKSCIGTKFTGQFGDLIADLAIDATATVGVEIGQGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPIEYKKGENQTNAELLKEEDWNLLLKMEEEYIEEMCMQILKFKPDVVITEKGLSDLACHYLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEIKKIGDEYFAYIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGTTGSITDMKERKIWDAYNVKAQAFKTAIEAACMLLRIDDIVSGIKKKQAPGASGSSKPKIETEADADNEQILPD >Vigun03g247200.4.v1.2 pep primary_assembly:ASM411807v1:3:41079073:41083485:-1 gene:Vigun03g247200.v1.2 transcript:Vigun03g247200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDTKKRVNQLVFYVFNPSLVATNLAKTITLKSVVQLWFMPFNVLITFILGSALGWILIKITRPPQHLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGTVYMWSYVYNIIRISASRIQKEDASSNDIVILKASGEASESHPQHFFQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGLISSNLNFKAVFAPSTTGAIIGFVIGIISPVRNLIIGSGAPLHVVEESTSMLGEAAIPILTLVMGANLLKGLKESSTPLWTLVGIVTVRYIFLPLLGVAAVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTMVELFGAGESECSVIMLWTYAFASIAVTLWSTFFMWLVS >Vigun03g247200.1.v1.2 pep primary_assembly:ASM411807v1:3:41079059:41083732:-1 gene:Vigun03g247200.v1.2 transcript:Vigun03g247200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDTKKRVNQLVFYVFNPSLVATNLAKTITLKSVVQLWFMPFNVLITFILGSALGWILIKITRPPQHLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGTVYMWSYVYNIIRISASRIQKEDASSNDIVILKASGEASESHPQHFFQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGLISSNLNFKAVFAPSTTGAIIGFVIGIISPVRNLIIGSGAPLHVVEESTSMLGEAAIPILTLVMGANLLKGLKESSTPLWTLVGIVTVRYIFLPLLGVAAVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTMVELFGAGESECSVIMLWTYAFASIAVTLWSTFFMWLVS >Vigun03g247200.5.v1.2 pep primary_assembly:ASM411807v1:3:41079058:41083732:-1 gene:Vigun03g247200.v1.2 transcript:Vigun03g247200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDTKKRVNQLVFYVFNPSLVATNLAKTITLKSVVQLWFMPFNVLITFILGSALGWILIKITRPPQHLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAVSISSKIKNCVGLISSNLNFKAVFAPSTTGAIIGFVIGIISPVRNLIIGSGAPLHVVEESTSMLGEAAIPILTLVMGANLLKGLKESSTPLWTLVGIVTVRYIFLPLLGVAAVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTMVELFGAGESECSVIMLWTYAFASIAVTLWSTFFMWLVS >Vigun03g247200.2.v1.2 pep primary_assembly:ASM411807v1:3:41079058:41083732:-1 gene:Vigun03g247200.v1.2 transcript:Vigun03g247200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDTKKRVNQLVFYVFNPSLVATNLAKTITLKSVVQLWFMPFNVLITFILGSALGWILIKITRPPQHLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGTVYMWSYVYNIIRISASRIQKEDASSNDIVILKASGEASESHPQHFFQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGLISSNLNFKAVFAPSTTGAIIGFVIGIISPVRNLIIGSGAPLHVVEESTSMLGEAAIPILTLVMGANLLKGLKESSTPLWTLVGIVTVRYIFLPLLGVAAVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTMVELFGAGESECSVIMLWTYAFASIAVTLWSTFFMWLVS >Vigun03g247200.3.v1.2 pep primary_assembly:ASM411807v1:3:41079073:41083732:-1 gene:Vigun03g247200.v1.2 transcript:Vigun03g247200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQLLSVASFPVIKVLLVTAVGLFLALDDISILGEDTKKRVNQLVFYVFNPSLVATNLAKTITLKSVVQLWFMPFNVLITFILGSALGWILIKITRPPQHLEGLILGCCSAGNLGNLPIIIIPAMCRESGSPFGDSDVCYQYGMAYASLSMAIGTVYMWSYVYNIIRISASRIQKEDASSNDIVILKASGEASESHPQHFFQTLNPTKDTMDDAYTLLLPEEESEEKVSISSKIKNCVGLISSNLNFKAVFAPSTTGAIIGFVIGIISPVRNLIIGSGAPLHVVEESTSMLGEAAIPILTLVMGANLLKGLKESSTPLWTLVGIVTVRYIFLPLLGVAAVKAAIHLSLVPSDPLYQFVLLLQHALPPAMNIGTMVELFGAGESECSVIMLWTYAFASIAVTLWSTFFMWLVS >VigunL059750.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000451.1:30869:31348:1 gene:VigunL059750.v1.2 transcript:VigunL059750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun05g158401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25578265:25578456:1 gene:Vigun05g158401.v1.2 transcript:Vigun05g158401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKSIRIITGVQERSILTRTLTYESIVYMQTIEQGFIPLTCIEDNLTPLEIANRAGELAFF >Vigun11g029500.1.v1.2 pep primary_assembly:ASM411807v1:11:3868746:3874863:-1 gene:Vigun11g029500.v1.2 transcript:Vigun11g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVESVGKIPLQDPPEEEFSAADLTWTKFGTGEHHDVVALIPYDRVDAFIIGECSNVECPTRFHIERGRKRTIGSLKEYKDDEYLEYRLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNERRHVNKSGFICHGPLDRDAIGPGAKKIPYIGNEIQQQTMSMIYLGIPEENILEKHIEGIQRYCGSDAKVNSLASQYVHKLGMIIKRSTHELDLDDQASIRMWIERNRKSVFFHQDTSESGPFILGIQTEWQLQQMIRFGHRSVIAADSTFGVKRLKYPLFTVLVFDSRQHALPVAWVITRSFAKPDVSKWLKALIDRARSVEPGWKVSGFLIDDAAAEIDLLRDIFCCPVLFSLWRVRRSWLRNIVKKCSTIEVQREIFKRLGTIVYSIWGGINTAQALEQFMLDYVDQTTFMEYFKTSWLPKLEMWLSTMRNFQLASQEASGALEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEKYILSTSWHRALQIPDYAVTLDDRDHLFAKVVSQKNSSLTHLVWNPGSEFAFCDCSWSMQGNLCKHVIKVNMICENLKGYQSSMSFRSFEEVLMDLWRKPVDDSFALDLSLACTHQMLDQIQKLVELNNSTDIGIVVNNMPLKWVSKKSRTCIGKPSSSLAIPHGSSNTKSVVVHKKNRKRKRLSRLR >Vigun03g396000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60247018:60248076:-1 gene:Vigun03g396000.v1.2 transcript:Vigun03g396000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFQGPFVVSPPPSPSSSDKSSTTMLYYGLVVVGVAATALALYNCIIIRRSRRHDMQSEGEGANGLVEVVMESRIENCERNFNLVSSFKYKNEGVVGYDDECSVCLSGFEEGEEVRKLPECKHWFHAPCIDMWLYSHLDCPICRTPVLRFSQALLESGSGVLE >Vigun02g112500.8.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHSKGKYSEHTWSCSVDYMVICSSYN >Vigun02g112500.3.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHRENTVSTLGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLVNSKVPIGYTQGSFAKNYLVQEIHIEESRLVPLTTPEEIAKALKNGPENGGVAAYIDERAYTDIFLSSRCDLTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMIDNGDLQRIHDKWLLSSACLSQGAKLEVERLQLRSFWGLYAICGVACLLALFMYLIQILRQYQKHHSEEPESIDGQSLGSSSRSSRLKTFLSFADEKEETVKSRSKRRKMERISYRSTEGSTSISSNKEIASRRSEYASELL >Vigun02g112500.6.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCHLPFCESIRHAPYPTMNKVWPLVFMVLSIGCFSNGSGRQNSTVPDFVNIGALFSYNTSVGRTIKIALEAAFEDINSDPTILGRTKLNLSMQEDSKYRGFLSIAEVLQVMARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHSKGKYSEHTWSCSVDYMVICSSYN >Vigun02g112500.1.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCHLPFCESIRHAPYPTMNKVWPLVFMVLSIGCFSNGSGRQNSTVPDFVNIGALFSYNTSVGRTIKIALEAAFEDINSDPTILGRTKLNLSMQEDSKYRGFLSIAEVLQVMARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHRENTVSTLGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLVNSKVPIGYTQGSFAKNYLVQEIHIEESRLVPLTTPEEIAKALKNGPENGGVAAYIDERAYTDIFLSSRCDLTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMIDNGDLQRIHDKWLLSSACLSQGAKLEVERLQLRSFWGLYAICGVACLLALFMYLIQILRQYQKHHSEEPESIDGQSLGSSSRSSRLKTFLSFADEKEETVKSRSKRRKMERISYRSTEGSTSISSNKEIASRRSEYASELL >Vigun02g112500.7.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVWPLVFMVLSIGCFSNGSGRQNSTVPDFVNIGALFSYNTSVGRTIKIALEAAFEDINSDPTILGRTKLNLSMQEDSKYRGFLSIAEVLQVMARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHSKGKYSEHTWSCSVDYMVICSSYN >Vigun02g112500.2.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVWPLVFMVLSIGCFSNGSGRQNSTVPDFVNIGALFSYNTSVGRTIKIALEAAFEDINSDPTILGRTKLNLSMQEDSKYRGFLSIAEVLQVMARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHRENTVSTLGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLVNSKVPIGYTQGSFAKNYLVQEIHIEESRLVPLTTPEEIAKALKNGPENGGVAAYIDERAYTDIFLSSRCDLTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMIDNGDLQRIHDKWLLSSACLSQGAKLEVERLQLRSFWGLYAICGVACLLALFMYLIQILRQYQKHHSEEPESIDGQSLGSSSRSSRLKTFLSFADEKEETVKSRSKRRKMERISYRSTEGSTSISSNKEIASRRSEYASELL >Vigun02g112500.4.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHRENTVSTLGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLVNSKVPIGYTQGSFAKNYLVQEIHIEESRLVPLTTPEEIAKALKNGPENGGVAAYIDERAYTDIFLSSRCDLTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMIDNGDLQRIHDKWLLSSACLSQGAKLEVERLQLRSFWGLYAICGVACLLALFMYLIQILRQYQKHHSEEPESIDGQSLGSSSRSSRLKTFLSFADEKEETVKSRSKRRKMERISYRSTEGSTSISSNKEIASRRSEYASELL >Vigun02g112500.9.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHSKGKYSEHTWSCSVDYMVICSSYN >Vigun02g112500.5.v1.2 pep primary_assembly:ASM411807v1:2:26665125:26669901:-1 gene:Vigun02g112500.v1.2 transcript:Vigun02g112500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTVAIIGPHSSVTAHVITHIANELQVPLLSFTAFDPTLSSLQFPFFIRTCHSDLYQMTAIADLVDYYGWKDVIAVFPDDDNGRNGISTLGDKLAERRCKISYKAALSPQASMEEISNVLVQVALAESRIIVVHGNTQLGPQVFTVAKNLGMMGTGYVWIATAFLSALLDINYPLSPDSMDEIQGVLTPRIYTPNSERRRSFVSRWKNLTSGNTANVKLGLSFLPLYAYDTVYALAHALDAFFKEGNRITFSTDSKLSNIHGNNLNLEALKIFNEGNLLRRKIYEVNMTGVSGPFKYASDRNLANPAYEIINVIGTGTRRIGYWSNHSGLSVVPPETLYSKPANLPRKNQKLFPVIWPGNTDERPRGWVFPNNGRLLKIGVPRGVSYQEFVSQIKGTDMFEGFCIDVFLAAVNLLPYAVPYRFISYGDGKNNPSMTELVRLITTGEFDGAVGDIAITTERTRMVDFTQPYIESGLVVVAPIGQAESNALAFLAPFTPKMWFVTAAFFILVGAVVWILEHRVNDEFRGPPKKQVVTVLWFSFSTMFFSHRENTVSTLGRVVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLVNSKVPIGYTQGSFAKNYLVQEIHIEESRLVPLTTPEEIAKALKNGPENGGVAAYIDERAYTDIFLSSRCDLTVVGQEFTRNGWGFAFPRDSPLAVDLSTAILQMIDNGDLQRIHDKWLLSSACLSQGAKLEVERLQLRSFWGLYAICGVACLLALFMYLIQILRQYQKHHSEEPESIDGQSLGSSSRSSRLKTFLSFADEKEETVKSRSKRRKMERISYRSTEGSTSISSNKEIASRRSEYASELL >Vigun04g036200.2.v1.2 pep primary_assembly:ASM411807v1:4:3020154:3023783:1 gene:Vigun04g036200.v1.2 transcript:Vigun04g036200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLPVLLTKTKTTCTIFLIFLSHTLRPDASHTLRLRDCNSSSPLSTLRHTHTRFSSTHVAAGHHETRWPPRRRWPPRRRWPPRRRWPYLLLLHRDIHHVAGSSEKTAQCTENAEPPSDCDWRTIPTATTTAKTDIKMPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >Vigun04g036200.1.v1.2 pep primary_assembly:ASM411807v1:4:3020154:3023783:1 gene:Vigun04g036200.v1.2 transcript:Vigun04g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >Vigun01g020750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2198431:2198781:-1 gene:Vigun01g020750.v1.2 transcript:Vigun01g020750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIETKMEAPTTATVFTADPMTLAAVAASGVSAVAVGPAVTTAPAAFPAASAAGAAAEAVAGVAVVAAAAAAEAVTKKSARRRTTAKVVTEIGWDAMVNREKGNEKQRMNVFGRC >Vigun09g248600.1.v1.2 pep primary_assembly:ASM411807v1:9:41603749:41607981:-1 gene:Vigun09g248600.v1.2 transcript:Vigun09g248600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDALRRRNAVAEYRKKLLQHKELESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Vigun08g174900.2.v1.2 pep primary_assembly:ASM411807v1:8:34511816:34519456:1 gene:Vigun08g174900.v1.2 transcript:Vigun08g174900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLQPINGISQLSVGTSFPQKKRPFLLRPQAVASRTQRIMEGVSVSGEVGGAGGVYSYEALKRLDKLWSSICSSQEAVQKPQQIVSTIPGLFGSSGLADKAEGSYDVLVCGGTLGIFVATALCIRGLRVAIVERNVLKGREQEWNISRKELLELVEVGVLEEDDIERATAVKFNPNRCGFERKGDIWVNDILNLGVSPEKLIEIVKTRFLSLGGVIFEQCSVSCINVYEDAAVLKLSGDRILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGTCARGFETNSTSDVIFSSSSIKKVGDSKAQLFWEAFPAGSGPLDRTTYMFTYVEPQPGSPKLEELLEEYWDLMPEYQGVSLDNLEILRVIYGIFPTYRESPLPASFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSAGIHEAINGGYLDSYNLSLLNPYMPNLSASWLFQRAMSAKKQSNVPVDFINELLYTNFSCMQRLGDPVLRPFLQDVVQFGPLSKTLGLVMLTNPQILPSIFKQVGVPVLLDWSRHFLGLGYYTFLSTFADPIVRPLVHALPSKMSFQLKRHLEAWKYGAGLDYKL >Vigun08g174900.1.v1.2 pep primary_assembly:ASM411807v1:8:34511816:34519456:1 gene:Vigun08g174900.v1.2 transcript:Vigun08g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLQPINGISQLSVGTSFPQKKRPFLLRPQAVASRTQRIMEGVSVSGEVGGAGGVYSYEALKRLDKLWSSICSSQEAVQKPQQIVSTIPGLFGSSGLADKAEGSYDVLVCGGTLGIFVATALCIRGLRVAIVERNVLKGREQEWNISRKELLELVEVGVLEEDDIERATAVKFNPNRCGFERKGDIWVNDILNLGVSPEKLIEIVKTRFLSLGGVIFEQCSVSCINVYEDAAVLKLSGDRILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGTCARGFETNSTSDVIFSSSSIKKVGDSKAQLFWEAFPAGSGPLDRTTYMFTYVEPQPGSPKLEELLEEYWDLMPEYQGVSLDNLEILRVIYGIFPTYRESSPLPASFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSAGIHEAINGGYLDSYNLSLLNPYMPNLSASWLFQRAMSAKKQSNVPVDFINELLYTNFSCMQRLGDPVLRPFLQDVVQFGPLSKTLGLVMLTNPQILPSIFKQVGVPVLLDWSRHFLGLGYYTFLSTFADPIVRPLVHALPSKMSFQLKRHLEAWKYGAGLDYKL >Vigun08g174900.3.v1.2 pep primary_assembly:ASM411807v1:8:34511816:34519456:1 gene:Vigun08g174900.v1.2 transcript:Vigun08g174900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLQPINGISQLSVGTSFPQKKRPFLLRPQAVASRTQRIMEGVSVSGEVGGAGGVYSYEALKRLDKLWSSICSSQEAVQKPQQIVSTIPGLFGSSGLADKAEGSYDVLVCGGTLGIFVATALCIRGLRVAIVERNVLKGREQEWNISRKELLELVEVGVLEEDDIERATAVKFNPNRCGFERKGDIWVNDILNLGVSPEKLIEIVKTRFLSLGGVIFEQCSVSCINVYEDAAVLKLSGDRILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGTCARGFETNSTSDVIFSSSSIKKVGDSKAQLFWEAFPAGSGPLDRTTYMFTYVEPQPGSPKLEELLEEYWDLMPEYQGVSLDNLEILRVIYGIFPTYRESPLPASFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSAGIHEAINGGYLDSYNLSLLNPYMPNLSASWLFQRAMSAKKQSNVPRLGDPVLRPFLQDVVQFGPLSKTLGLVMLTNPQILPSIFKQVGVPVLLDWSRHFLGLGYYTFLSTFADPIVRPLVHALPSKMSFQLKRHLEAWKYGAGLDYKL >Vigun02g113500.4.v1.2 pep primary_assembly:ASM411807v1:2:26744196:26746303:-1 gene:Vigun02g113500.v1.2 transcript:Vigun02g113500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGKCFLVTGPPGVGKSTLIMRVLESLRVNSSIKLQGFYTLRRAGQRVGFEVVTLDGRTAPLASIHFSSPESVGWPSVGKYKVDVASFESLALPELQVREDTSLFIIDEVGKMELFSSSFFPAVLRVLESNIPVLASIPIPKFGRDIPEG >Vigun02g113500.1.v1.2 pep primary_assembly:ASM411807v1:2:26744196:26746303:-1 gene:Vigun02g113500.v1.2 transcript:Vigun02g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGKCFLVTGPPGVGKSTLIMRVLESLRVNSSIKLQGFYTREVRRAGQRVGFEVVTLDGRTAPLASIHFSSPESVGWPSVGKYKVDVASFESLALPELQVREDTSLFIIDEVGKMELFSSSFFPAVLRVLESNIPVLASIPIPKFGRDIPEVARLRNHAGATCFTLNVGNRDAVREQIRSLLEDLLIKH >Vigun02g113500.2.v1.2 pep primary_assembly:ASM411807v1:2:26744196:26746303:-1 gene:Vigun02g113500.v1.2 transcript:Vigun02g113500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGKCFLVTGPPGVGKSTLIMRVLESLRVNSSIKLQGFYTLRRAGQRVGFEVVTLDGRTAPLASIHFSSPESVGWPSVGKYKVDVASFESLALPELQVREDTSLFIIDEVGKMELFSSSFFPAVLRVLESNIPVLASIPIPKFGRDIPEVARLRNHAGATCFTLNVGNRDAVREQIRSLLEDLLIKH >Vigun02g113500.3.v1.2 pep primary_assembly:ASM411807v1:2:26744196:26746303:-1 gene:Vigun02g113500.v1.2 transcript:Vigun02g113500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGKCFLVTGPPGVGKSTLIMRVLESLRVNSSIKLQGFYTREVRRAGQRVGFEVVTLDGRTAPLASIHFSSPESVGWPSVGKYKVDVASFESLALPELQVREDTSLFIIDEVGKMELFSSSFFPAVLRVLESNIPVLASIPIPKFGRDIPEG >Vigun09g111250.1.v1.2 pep primary_assembly:ASM411807v1:9:24040632:24041147:1 gene:Vigun09g111250.v1.2 transcript:Vigun09g111250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHRDHKNNLDNIIKASNASNSTKEYVDKPETVLVIILEKAWIYDTARSTVQNLFQKDFHYPHIDPAKTRTFYEFILVDTDSTEISHIENNPGIILFSKLKVLKISSLEDWNQHASF >Vigun11g139950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34923438:34925330:-1 gene:Vigun11g139950.v1.2 transcript:Vigun11g139950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVLVHKIMLHLWFAAWICLGVCSAYNQNNCTSNEIGLGTRYSCKSTHDSCETFVVYRANKNFNTISSVSKLFNKSSDEVLLKNNLVSSSLSLVLKQGKEVLIPVNCTCSGTYYQTRLSYKVIDNTTFSEVACGVFEGLLSRLTLAEENLSQGNEPEAGSELHVPLICVCPNSENSTWSKKVKYLVTYPLIANDDPDKVSEKFQISPQEFYAVNKLNSMSTVYPNTVVLVPLEDGPIRILDIPDSPSPPPGFLPTNPVDKTQQYTKPSHLYIAGSVIGFLLLITLLASGFYIKRLRKIDVVHSLSTTNSLTLWSPTRSSQISTQTGKSNTTWCLSPDLLVGIKYYLLNYTIEEIQKGTKNFSQENNISRGGDFVYKGRVNDVEVMVKRMRLEDTQKVIDLHSKINHINIVNLLGVCYGESNDSWSYLVFELPKNGCLRECLSDRCNALNWYKRTQIAFDIATCLYYLHCCSFPSYAHMNVSSRNIFITADCRGKLADVGRALAASATLRKSNDTVEIPKGLVAPEFLSHGSVSEKVDIFAFGVVLLELISGRDNFDGKKMKDSMGFLLGEASEGGCFEGLRSFMDPNLKDYSLPEALCLSFLAKDCVADDPLRRPSMDDIMKVLAKMV >Vigun05g281300.2.v1.2 pep primary_assembly:ASM411807v1:5:47053136:47056233:1 gene:Vigun05g281300.v1.2 transcript:Vigun05g281300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRAPPPSPVASARRTSSLSNDDVFRRFLETSLRLPNINNNHRKPLPKVDFSSLCSDDALRHVISDSFATVGCFQLLNHGIPLDVIASPAEASIAIFQVPPEKRGGATRSAESPWGFDDEDEFVWGRDKEFNLRMQQLSPTGYSNFNKKMENLVARIENVAEKILQVILQDSPPKIANSVDMICGHERGTLCSVYKHCSDNMSGQCANSLNYDVIRMLVRGNQSSHCLCLHLCDGSSEFQVYSKKGWLSFFPEKGALVITAGDQTQMLSDGHYKDVIGRPIFKDLQADLTGQSLIVNPVQKKTVSVVCRANMGKVQTKIVPLIRDIDTPFLNFFRIIFKILERSSFYEDTQ >Vigun05g281300.3.v1.2 pep primary_assembly:ASM411807v1:5:47053075:47055785:1 gene:Vigun05g281300.v1.2 transcript:Vigun05g281300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRAPPPSPVASARRTSSLSNDDVFRRFLETSLRLPNINNNHRKPLPKVDFSSLCSDDALRHVISDSFATVGCFQLLNHGIPLDVIASPAEASIAIFQVPPEKRGGATRSAESPWGFDDEDEFVWGRDKEFNLRMQQLSPTGYSNFNKKMENLVARIENVAEKILQVILQDSPPKIANSVDMICGHERGTLCSVYKHCSDNMSGQCANSLNYDVIRMLVRGNQSSHCLCLHLCDGSSEFQVYSKKGWLSFFPEKGALVITAGDQTQMLSDGHYKDVIGRPIFKGEKEDCISMAFLYSPPNKKSNFQNSGERTISLGLQVLLAMILTLVCHVLFYV >Vigun05g281300.1.v1.2 pep primary_assembly:ASM411807v1:5:47053265:47055905:1 gene:Vigun05g281300.v1.2 transcript:Vigun05g281300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRAPPPSPVASARRTSSLSNDDVFRRFLETSLRLPNINNNHRKPLPKVDFSSLCSDDALRHVISDSFATVGCFQLLNHGIPLDVIASPAEASIAIFQVPPEKRGGATRSAESPWGFDDEDEFVWGRDKEFNLRMQQLSPTGYSNFNKKMENLVARIENVAEKILQVILQDSPPKIANSVDMICGHERGTLCSVYKHCSDNMSGQCANSLNYDVIRMLVRGNQSSHCLCLHLCDGSSEFQVYSKKGWLSFFPEKGALVITAGDQTQMLSDGHYKDVIGRPIFKGEKEDCISMAFLYSPPNKKSNFQNSGERTISLGLQVLLAMILTLVCHVLFYV >Vigun05g286100.2.v1.2 pep primary_assembly:ASM411807v1:5:47348835:47350387:1 gene:Vigun05g286100.v1.2 transcript:Vigun05g286100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKASLYALLLHKPYSHQNLVVLSRRRVISCALSMALLVSTLYVLWPSDPDLKIVGLKLRRIKVHPVPPVTVDISMLLTLQVRNADVYFMDLGAVNVAVAYRGKMLGHVRSKEVHVRARGSSHVDADVEFAGISVLPELVLLLEDVAKGIVPFDTVSHTSGQLGVFFFHFPMKAKVSCEVLVSIVNQTIIRQHCLHE >Vigun07g100700.1.v1.2 pep primary_assembly:ASM411807v1:7:17797503:17800940:-1 gene:Vigun07g100700.v1.2 transcript:Vigun07g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSPGRIHCLEVENFKSYKGFQMIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAFDDREKDQKGRRAFVRLVYHLANSTEIRFTRTITGAGSSEYRIDDTLVNWDTYNNRLKSLGILIKARNFLVFQGDVESIASKNPKELTALVEQISGSDECKRDYELFEEEKGAAEEKSALVYQKKKTVVMERKQKKEQKEEAEKHLRLQQELKSMKREHFLWQLFNIHNDYVKTIKDLEDEERSREGVVKELENFENEASKRKKSRPNISKRLRCGKRELMRKTADSIRVSLSF >Vigun01g046800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6979301:6981132:1 gene:Vigun01g046800.v1.2 transcript:Vigun01g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSQMWSLLGLLTVLQNVLPSQLLSLLHSLYESLQDLLSPYSYFEIPEFNGYCGVDLNDLYRHVHLYLNAANHAPGAACRRLTLSRSPSSNRISFAVAPNHTVHDAFGGHRVTWTHHVETAQDSLEEKRSFTLRLPKRHRHALLSPYLAHVTARAEEFERVSRERRLFTNNTTGSGSFESGWVSVPFRHPSTFETLALEPELKRQIKNDLTAFADGKEFYNRVGRAWKRGYLLHGPPGSGKSSLIAAMANFLCYDVYDLELTKVSDNSELRSLLIQTTNRSIIVIEDIDCSVDLTADRTTKKTQAAKLSKRKKSQTASFTRCEESGRVTLSGLLNFTDGLWSCCGDERIVVFTTNHRDSVDPALVRCGRMDVHVSLNTCGVHAFRELARNYLGVDSHVLFEAVEGCIRSGGSLTPAHVGEILLRNRGDADVAMREVLAAMQGRMLAAAAVADQAENEETTVGVRSPESVLLMGSPENWDALSGKKRKEQHGGNNNWDKKVKFFVRLRSLTRSDPGR >Vigun07g050100.1.v1.2 pep primary_assembly:ASM411807v1:7:5233432:5239305:-1 gene:Vigun07g050100.v1.2 transcript:Vigun07g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEEFQANLDSLPVILQKKYALLRDLDKSLQDIQRQNEQRCEHEIEDIRRGVRSGNITPDTSVIRFSDEALDEQKHSIRVADEKVALAVQAYDLVDTHIQYLDQYLKKFDEELRRERENAAIAGVPASGPEGNTKSGRGNESGTGRGGRKKTRQTTLVTAAATEAQTTAKPTGMDLDLPVDPNEPTYCFCNQVSYGAMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCSNCATTKNRRRGK >Vigun11g079701.1.v1.2 pep primary_assembly:ASM411807v1:11:23463949:23464924:-1 gene:Vigun11g079701.v1.2 transcript:Vigun11g079701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGDNVIKRSLTNNTVVADVAAFAEELTNSFVKEGFEVYGRRNKGTKIVDLIKAVEHQESVLGELQKELVDLNAMMIERKNQRQHQEGKMKFSELGELSVGYSQTPNSNHLKGCIEFGDSGERGHVEEVHFPNQEAQESK >Vigun04g156350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37807264:37808571:-1 gene:Vigun04g156350.v1.2 transcript:Vigun04g156350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPVTEPSKGKRRRHASSSSSPERPIINRFRDPKREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYVNAYSEDNPGEKRSKVRGRWINYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEAYDENAVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYHIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALFQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQQEEPQLDQQAEDHPAAEQPAREEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYHNVRGPYPGMTPPDFFTYLQWPGDSPIFPGGGGPDAGEGPSGAADADGANIEDEIDFGGD >Vigun03g189200.1.v1.2 pep primary_assembly:ASM411807v1:3:25766243:25768183:1 gene:Vigun03g189200.v1.2 transcript:Vigun03g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGEQNQTEAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELRELTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIKKAGVDHKIEFREGPALPVLDEMVKDEKNHGSYDFIFVDADKDNYINYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >Vigun03g189200.2.v1.2 pep primary_assembly:ASM411807v1:3:25766243:25768183:1 gene:Vigun03g189200.v1.2 transcript:Vigun03g189200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGEQNQTEAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELRELTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIKKAGVDHKIEFREGPALPVLDEMVKDVSFLISYLPCPNCKMKKKHRLEE >Vigun11g109450.2.v1.2 pep primary_assembly:ASM411807v1:11:31075386:31077369:-1 gene:Vigun11g109450.v1.2 transcript:Vigun11g109450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSCKELRSNVNGFEGDDEMKKLDSHIVPKRLTLNENKASFKETFIIVRIELIKNQIPHSLARTWISREEGDKVFSKYMGTKGGDSHDSWVAKTHKAVKKANNSVLGYVSSKSHWALSHSHISLRAPQPKSVEKIVSKGIDLFRHKKPLNIELVHQLRLLDNHFIQWRFANARACAVNHTIFLKVWGTFICALNSQAKLRYSLVLTKIEIEREKLEMKLEGILQSQMKLLKTWRSMKKPYVAAITLLQECLYSVACRVHLLEGAKVDLKLASGYEKRALNLTNSMNSLLSTYLPLADEIAGLLSELAKVVVQEKFLLQEFNDMFHTICLLQLEESSLRCSLIQHNS >Vigun11g109450.1.v1.2 pep primary_assembly:ASM411807v1:11:31073894:31077369:-1 gene:Vigun11g109450.v1.2 transcript:Vigun11g109450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSCKELRSNVNGFEGDDEMKKLDSHIVPKRLTLNENKASFKETFIIVRIELIKNQIPHSLARTWISREEGDKVFSKYMGTKGGDSHDSWVAKTHKAVKKANNSVLGYVSSKSHWALSHSHISLRAPQPKSVEKIVSKGIDLFRHKKPLNIELVHQLRLLDNHFIQWRFANARACAVNHTIFLKVWGTFICALNSQAKLRYSLVLTKIEIEREKLEMKLEGILQSQMKLLKTWRSMKKPYVAAITLLQECLYSVACRVHLLEGAKVDLKLASGYEKRALNLTNSMNSLLSTYLPLADEIAGLLSELAKVVVQEKFLLQEFNDMFHTICLLQLEESSLRCSLIQHNS >Vigun03g165600.1.v1.2 pep primary_assembly:ASM411807v1:3:18980840:18988053:-1 gene:Vigun03g165600.v1.2 transcript:Vigun03g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGFQKALGDLQAHCSKLLGNLTRLQPNRSSFPALRTSPPWARIAPPGRSRPMSVEAIEERLEGIPVYALSNASEEFLLVSGSSAGKNLGLFCFNKDDAEALLSQVTLIEPHARAGSKVVPIALNKVFQLKVNGVAFRLIPEFSQIQNALKEREKSGSASNGFSGVPVFQSRSLILKNENKRYRPLFFRKEDLENTLKSAARDQNRLNPTMRRGDIQVATLEDIIKEMRENSTSNWDDVIFIPPGFDVSDDSNEH >Vigun04g083501.1.v1.2 pep primary_assembly:ASM411807v1:4:13135241:13138996:-1 gene:Vigun04g083501.v1.2 transcript:Vigun04g083501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFLGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIELEEDVFDILALWATLFSETLENEIKKTAELLSRI >Vigun04g083501.3.v1.2 pep primary_assembly:ASM411807v1:4:13135241:13138998:-1 gene:Vigun04g083501.v1.2 transcript:Vigun04g083501.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFLGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIELEEDVFDILALWATLFSETLENEIKKTAELLSRI >Vigun04g083501.4.v1.2 pep primary_assembly:ASM411807v1:4:13135241:13138797:-1 gene:Vigun04g083501.v1.2 transcript:Vigun04g083501.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFLGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIELEEDVFDILALWATLFSETLENEIKKTAELLSRI >Vigun04g083501.2.v1.2 pep primary_assembly:ASM411807v1:4:13135241:13139004:-1 gene:Vigun04g083501.v1.2 transcript:Vigun04g083501.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFLGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIELEEDVFDILALWATLFSETLENEIKKTAELLSRI >Vigun05g030200.1.v1.2 pep primary_assembly:ASM411807v1:5:2406985:2409504:1 gene:Vigun05g030200.v1.2 transcript:Vigun05g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPALKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPQGFDYELYNRNDINRILGPKANCISFKDSACRCFGYMVSKKKYIYTIDDDCFVATNPSGQQINALEQHIKNLLCPSTPFFFNTLYEPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQSIVLPKEATTVQKCYIVLAKLVKEKLSKVDPYFDKLADAMVTWIEAWDELNPAGASLANGKK >Vigun11g190800.1.v1.2 pep primary_assembly:ASM411807v1:11:39016002:39025799:-1 gene:Vigun11g190800.v1.2 transcript:Vigun11g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMQQQQQQQQQQNPSALVPLLKNPQSSTSNVAAATTTPAATSIRSPSSQSPLSVVAPLRQPPLSSHPVPVTAVEPTLTAVPTCPLVRVRLSDIAPYDGAPAGPYLRAMDALCGSLLRHNAALIELGSEDTALMRCGLEGARLFFRSRAQLGVGKGSRGVYMYRAGRALEDWDYSPPCMADIYRCMGKASRSALSAIARYLRLRSDVFNHLLDDSPLPANEVSSSVLVATYSNSSLQNGRGAIGGGKPTMNGEVEKGLLTLISSDTPGLQICDPSGRWYLADSGSGPGDLLLITGKALSHATAGLRPAASYRASPDYFLSPNGGGRTSLAYRLMPLGNAILDCSPIAAAGHIIPQSYVRISVSQFMDDLAAEELIGSRCDNADVAAQNSMNKEPSLRSVLSDPLSGTFLEDAMFVSCGHSFGGLMLRRVIETSRCTLCNTEIETGSLIPNLALRAAAGAVKHEDERRLFRNAALRKRRKEMGDQMDSMRRVNRENGDYSAPDGLQRGVQYPFSVNEKVIIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIETGENVRLQYRSLRKLLTADQCPSQPIQNSSS >Vigun10g115000.1.v1.2 pep primary_assembly:ASM411807v1:10:31891978:31893537:1 gene:Vigun10g115000.v1.2 transcript:Vigun10g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLPLLLITIVCVTIHVLISTFKPKKPSKYPPGPRTLPIIGNILDLGNLPHQTLAKLSKIYGPIMSLKLGSTTTICISSPHVAKEVLQKNDQIFANRTIPDSVRLFDHHKLSVVWLPPSALWRTLRRVCATKVFSSQQLGSTQVCRQRKVQELMDYVKERCEKGEAFDIGEASFITVLNSISNTFFSMDFAHYTSHKSQEFKDIIWGIMEEAGRPNVVDFFPIFRLLDPQGARRRMNGYFEKLFAFFDGLIEERLRLRVLENEAKPCKDVLDSVLELMFEDNSQVTRTLVLQLFLDLFVAGVDTTSSSIEWAMAELLRNPEKLEKVREELQKVLVKGEKLEESHISKLPYLQAVVKETFRLHPPAPMLVPHKTEVDVELCDFMVPKSSQILVNVWAMGRDSSIWTNPDEFRPERFLESDLDFKGQDFELIPFGAGRRICPGLPLASRTVHIVLASLLCKYNWKLKDGEKPQDMDISEKYGITLHKAQPLLVIPIQA >Vigun09g221900.1.v1.2 pep primary_assembly:ASM411807v1:9:39514488:39516884:1 gene:Vigun09g221900.v1.2 transcript:Vigun09g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNYNNSSDSFLSPLIGNYIEPDPALVYTFNDQPNVLPHQRNTQQDDPFVDEQDHVSFTGLTIQDVSTHSDNDLTIGGVPNLEKGSWSTRKRKFCYMDSMIEDLHPLHGENTFSNGENMSADVNLNELQFWPSGPFDIDIDASFDPFLHSLIDRDISIFDLSNDELIASSSQQNALPQQQNALSKQQNVLPQNQNFDPFGLEQIHVPILNPTFQDQSLHVNYNFSTGGEVPNIEMEGPSRRKIKQPITEHSLLKHGILNEENVVALDHWPPSTKSLSCSCCQILRQIIHTDGFKFEKLEIHGSLGVIGHAIFHVQDMTPGGETPREVYQMINFSRKSIEQIRSFLIAYCKEQTRLGLVTLDDPLSAYYDTICTGLDWAEHNDGDDDLSPLNGTELESDSEPEPEPESVPELDNGEASSTKPKPKRNMAVQRKRVPKMTMNDLSPFFHLTIRDAADKLDVSDSVVKKISRLGNLKRWPQRKLQSLAKDVRVLRKALDSPYEGTRQRVRQEIQRLQREMVVICGGVIPTGIEMIQFEEQ >Vigun01g228150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40052891:40053220:1 gene:Vigun01g228150.v1.2 transcript:Vigun01g228150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANALSKLTTISAMDGLSFPFRFTQLTAVWATNSNACISFIPGGPTLPSKMLTNSPSKMVGTAKSTKRCTLKVPPSSPSRNIALFPVKSSSSTTPSPYTSLFAVNIFRS >Vigun01g088600.1.v1.2 pep primary_assembly:ASM411807v1:1:24699723:24702111:-1 gene:Vigun01g088600.v1.2 transcript:Vigun01g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLTKASFVSSLLGSSHRHHRRVSTVPDSKSFVSGVGGSPSLKLKSQIRRSWSSSEFQGKKLHFHVNRGIPNRVSSRLRASTGAQMALRIGNVQKWWEKGLQPNMKEVTSAQDLVESLLNAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHSPDRCSLGPTKGLEEKELLALAANKDLSFTYSPNPPQPEHADKGLAIAPSPVPNSESLLLPSPTLNSEVSKERTLTTAGS >Vigun03g133500.1.v1.2 pep primary_assembly:ASM411807v1:3:13089756:13091381:-1 gene:Vigun03g133500.v1.2 transcript:Vigun03g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNLYKLQVLDLSNNNLTGDVPINGSFSLFTAISFINNPLLKFTSIQSPPAAAQLPTPSGNDNRVIGIFAGGVAVVLHCFLQLLL >Vigun07g054700.1.v1.2 pep primary_assembly:ASM411807v1:7:5917059:5923751:-1 gene:Vigun07g054700.v1.2 transcript:Vigun07g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCTGPSISKNGLFQSVSAAIWRSQLPDESVSNRESVKEEATSVPETPLPVLNKPPEQITMPKHEAKQEAKSEIEPAKEQGKKRQKKHGSVKRVSSAGLRVDSVLQRETDNFKEFFTLGRKLGQGQFGTTFLCVEKATGHEYACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERQAAELIRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSVFFKPGDIFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLRGDLDFSSDPWPNISESAKDLVRNMLVRDPRRRLTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIIIAESLSEEEIAGLKEMFKMIDADNSGTITFEELKAGLKRVGANLNESEIYDLMQAADIDNSGTIDYGEFLAATLHRNKIEREDHLFAAFSYFDKDGSGYITQEELQQACDEFGIKDVRLEEIIKEIDEDNDGRIDYNEFVAMMQKGNLPVVGKKGLENSFSIKFREALKL >Vigun07g054700.2.v1.2 pep primary_assembly:ASM411807v1:7:5917059:5923751:-1 gene:Vigun07g054700.v1.2 transcript:Vigun07g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCTGPSISKNGLFQSVSAAIWRSQLPDESVSNRESVKEEATSVPETPLPVLNKPPEQITMPKHEAKQEAKSEIEPAKEQGKKRQKKHGSVKRVSSAGLRVDSVLQRETDNFKEFFTLGRKLGQGQFGTTFLCVEKATGHEYACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERQAAELIRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSVFFKPGDIFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLRGDLDFSSDPWPNISESAKDLVRNMLVRDPRRRLTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIIIAESLSEEEIAGLKEMFKMIDADNSGTITFEELKAGLKRVGANLNESEIYDLMQAADIDNSGTIDYGEFLAATLHRNKIEREDHLFAAFSYFDKDGSGYITQEELQQACDEFGIKDVRLEEIIKEIDEDNDGRIDYNEFVAMMQKGNLPVVGKKGLENSFSIKFREALKL >Vigun07g054700.3.v1.2 pep primary_assembly:ASM411807v1:7:5917059:5923590:-1 gene:Vigun07g054700.v1.2 transcript:Vigun07g054700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCTGPSISKNGLFQSVSAAIWRSQLPDESVSNRESVKEEATSVPETPLPVLNKPPEQITMPKHEAKQEAKSEIEPAKEQGKKRQKKHGSVKRVSSAGLRVDSVLQRETDNFKEFFTLGRKLGQGQFGTTFLCVEKATGHEYACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERQAAELIRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSVFFKPGDIFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLRGDLDFSSDPWPNISESAKDLVRNMLVRDPRRRLTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIIIAESLSEEEIAGLKEMFKMIDADNSGTITFEELKAGLKRVGANLNESEIYDLMQAADIDNSGTIDYGEFLAATLHRNKIEREDHLFAAFSYFDKDGSGYITQEELQQACDEFGIKDVRLEEIIKEIDEDNDGRIDYNEFVAMMQKGNLPVVGKKGLENSFSIKFREALKL >Vigun02g137200.1.v1.2 pep primary_assembly:ASM411807v1:2:28703303:28707763:-1 gene:Vigun02g137200.v1.2 transcript:Vigun02g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRITRVEQGQTKIKNVPIAVTPEGFWCCPTPVGFQKSLKPQNPLNKLKPSPPPPKTSSQKKEVSVSERKAGPAPSRLVVSDVQQCNDDPERPPPSPSVPAQRAPRPKLESMPKKVAIEFGEPGTCDIKLLLLGKQGFCVKLSVHRDVLKEKSSFFADKLSEQSGLSCLQVDDCEDVEIYVETVGLMYCKEMKQRLMKQSVSRILRILKVAEFLGFSSCIQSCLEYLEAVPWNGEEEEEKVISTVLRLQGDGIGVNPVLKRVSPDTSNVPKDTLSHIVELVLKSNEERGRREMKSIVLKLLRENNSLPSSAGSVEICNDMIYKSCRRCLDSLLCVFNQAAEPEFKDMPSDNKEPVIKHIALEADNLSWLLEILIDKQAAEDFAVMWANHQELAALHGKLPIAFRYHVSCISGRLYVSIGRGEVLPSKNTRQLLLQTWLQPLINDYNWLQHGCRTFDRKLVEEGIGRTILTLPLEDQQSILLSWVGSFLKTGDGCPNLQRAFEVWWRRTFIRPYVEGQGTAMPDG >Vigun04g070850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8207675:8209195:-1 gene:Vigun04g070850.v1.2 transcript:Vigun04g070850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPRNAAVFAVHVFKASSRFLHSSSPQTHPNFPIPRSNSPPSHVINPSSLLSDLVSCSHSDMAGVLARHKRELTSNLVLGILRGYKQLGRAKTLKFFSLAGSHMGFDFDDSVVEYMADFLGRRKLFDDIKCLLTTVAFHKGGVSHKALAICIKFLGRQGRIKEALSLFEDMETVFKCKPDNLVCNNMLYVLCKRESSLEMIQLAHSIFHKIETPDTYSCSNMIVGFCKFGRVESALEIFNQMEKIGVLPTRSAVNMLIGELCLTSAKEGSVEKVRVRNTRRPYTILVPNMGGNSDAMQPAVQVFWAVSKAALCECHKVKEASDLFGRMLSLGLKLKLVVYNSVILMLCKLGKLKDATRVFEIMNKNRCLLDDLTYAALIHGHGEGKNWKVVYDLLIEMLGLGLLPNFDTYNLVESLLREHGRLDLCVKLDRKLENQKLQKLCRGGELDAAYEKVKSMLEKGIPLSAYARDIFEQVFQKCGKLKIARQLLENTERVQKAEEIDKT >Vigun10g133200.1.v1.2 pep primary_assembly:ASM411807v1:10:34274417:34289625:-1 gene:Vigun10g133200.v1.2 transcript:Vigun10g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVNVAVEEICSGIEDGVTLAALWVKLQGSSTLSSTNLHLNRTVKRAIWRNLLRIPGLRFEPQPSSSELEDAEKLNIKIFPQLSLVDNFVGLYESQSLQHAQTRVLHLLANARGNGITQAQLAKLLHINANNFHYVLRSLECQGLIVKRSAIEKKKQISSLGESKNFPCVTTHLVYLRRYAKQLACHQRFEFEITKFNSPEEEEEDADGATFQTDVHLKDYSPQLKAICDKLAKANGKVLLVSDIKKDLGYCGSRPRQRAWRQISHRLKADGIVEQFDAKVNGKIEACMRLLDPITAESGNEDTGLSSEKTCQIIDQLVELPIEHQIFDIIDAAGSCGITLKEICERLGIELKKSHIRLVNLCYRFGMKVKEEQCLKSKTIRVWTSKNYNLGPEVELICKRDENKILNHVPDSSKIISEFVASTASGELADQAILEDILVGAELSTVSPTNIESNFVETSTNLQDLVLDQRGTISSCKFVSSSVDTDNAPSGAFPSEVLKQFSTGSYKRCASFSLSDDNTRRANRILERLKDERFILKPELNRWLNSFEKDKSTKVDRKTIDRILSKLQEQEQVKCMTVHSPVISEYSRTKDCVVVLRPSMSLSQELFDEIQDRIRSFNNYIRKKSTLHQKNDELIPVMEDIQKNQSIIVPDGQVSKSEAMRVNGFVLAKMIRAKLLHSYIWDCLHRSTGQVDALSSKICEEELTDTPHSTSKLFTLEEVVKEMPVELFLQVVGSTKKYEELIEMCKMRLRLSNLPPEEYKCLMDCHAKGRLSLVIDILRRLKLIRMVTDLQSRDAAKSPHTHTMELSPYIEEPISNYAASSNFISLDLRPRVRHDFTLSNRDAVNEYWKTLEYYYATADRKAASYAFPGSVVQELFRFRSWASAHVMTVEQRAELLKHVTKDNLSEKISLRDFEKSAKELNLTMDQVLSMYSCKRRRHLVNQFKDEENDDNSPEDTGNASCCRKNKSTDLRPAKRARTDALTDVVDMHIEEPHNLDVHSEDCATDMQEFGESMPEGCTLLINQCVLNKMKATRRRRFIWSDKTDRQLVIQYVKHRAVLGARYHRVDWTSISDLPTFPATCMRRMNLLNANSRVRKAVNKLCNILSERYAKHLLKSQNMSLNSDECKQFVRSLSCEGISNNSSPDSEIQMRSLKSEAWDDFENKNIKTALEEILRCKMMAKLDSCSQKGQLQYEGCSDASVNADGYESPENKESTSAQSHHGKAHSFSSQRSRRRRLDKKITRFLNNMANVYGQVNESLAISNAVELFKLVFLSTSTGPQPPNLLADILRRYSEHDLFAAFNYLREKKIMVGGTGSERFELSQQFLQSVSKSPFPYNTGKQAVKFSAWLDERGKDLTEVGANISEDLQCGDIFHLFALVSSGDISVLPCLPDNGVGEAEDLRSAKRNLDVSESSCGDRAKKPKSAYGVEGEIISRREKGFPGIAISAYRTTISKADILNLFKDNDNNGQPFGGDLQLNIGQSHDDSISDHMLEIVKSCDPIPVDKNHTESPWEAMAGYAHRLSSEYSNQEHTNGICAEVFRVVYAAIQKAGDQGLSMGEISKVINLPGAEVDGLIVDALQAFGLTLKVNAYDTVRVVDVLYRHKYFLTSGSDFHRVVQPSSTKAIKKSNHTCELYESDKMDTSVHTPSKRNTNVDNMHRVTILNLPCEDVDPENQPCDGNESCKQDRFGLSRVDHEKETLKISLGDSCVPILPWINGDGTINNIVYRGLRRRVLGIVMQSPGMLADDILRHMHVLNPQSCRTLLEMMVLDKHLIVRKMHQNIFEGGPTVLQDLIRSTSSKPKLIYREHFFANPMSTDLL >Vigun03g282200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46256614:46256859:1 gene:Vigun03g282200.v1.2 transcript:Vigun03g282200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVLLLLFLTALAATYPTHVHSRPLPPSPPPTNAPHHPLKVLRFLITLVITDKPNTNRVLSENQFQTMSSGPSRRGSGH >Vigun03g182400.2.v1.2 pep primary_assembly:ASM411807v1:3:23484940:23490471:-1 gene:Vigun03g182400.v1.2 transcript:Vigun03g182400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVDCEPDEVACGTMLCSYARWGRHKAMLSFYSAVKERGTILSVAVFNFMMSSLQKKSLHREVVEMWNDMLEKRVIPNSFTYTVSITSLVKEGLYQDAFKTFDEMRNNGVVPEEVTYNLLINISAKSGNRDEVQRLYEDMIFRGIVPSNYTCASLLSLYYKYEDYPRALSLFSQMVSNKIDADEVIYGLLIRIYGKLGLYEDARKAFEETNQRGQLTSEKTYLAMAQVHLTSGNVDKALQVIELMKSSNIWFSRFAYIVLLQCYVMKEDVVSAEGTFLALSKTGPPDAGSCNDMLSLYVGLNLMNKAKEFIIQIREDGMHFDKELYRTVMKVYCKEGMLPEAEQLTNQVVENESFQSDKFFKTFYWILCEHKGDAQSNDELVAIEPIDKLDATALGLMLSLFLTNDNFSRTKLLLKLLLGYAAGGSKVVSQLIINLCKEGEISKAELLNDQLIKLGCRMEEATVASLISHYAKQQMLKQAEDIFAEYVNPSTSSKLLYNSMINAYAKCGKQEKAYLLYKQVTEEGHDLGAVGMSIAVNSLTNGGKHLEAENFIYNSLKDNLELDTVAYNTFIKAMLEAGKLQFASSIFDRMNSSGVAPSIETFNTMISVYGQDQKLDRAVEMFNKASSINVPLDEKTYMNLIGYYGKAGMILEASQLFSKMQEEGIKPGKVSYNIMINVYASAGDLRQTDKIFQAMQRQGCLPDSFTYLSLVQGYTRNRNFYKAEETLHAMQSKGIPPSCVHFNILLHAFTKAGLIEEAKRVYEELSTFGLVPDLVCYRTMLNGYLKYGYVEEGINFFESIHESTKRDRFIMSAAVHFYRSARKERKAKEILISMNNKGIPFLKNLQVGSEERLKTP >Vigun03g182400.1.v1.2 pep primary_assembly:ASM411807v1:3:23484940:23490471:-1 gene:Vigun03g182400.v1.2 transcript:Vigun03g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLKSPFLYLSVPSKPHSLMEKKTKKRPTRFLIRCSIQRDPWSPSFSDPTRPKPRTRNPKTPLSDDNARRIIKKKAAYQSILRRNQGPQAQTPRWIKRTPEQMLQYLQDDRNGHLYGKHVVAAIKKVRSLSQKVDGDYDMRMEMAFFVGKLSFKEMCVVLKEQKGWRQVRDFFSWMKLQLSYRPSVVVYTIVLRLYGQVGKLKLAEEIFLEMLDVDCEPDEVACGTMLCSYARWGRHKAMLSFYSAVKERGTILSVAVFNFMMSSLQKKSLHREVVEMWNDMLEKRVIPNSFTYTVSITSLVKEGLYQDAFKTFDEMRNNGVVPEEVTYNLLINISAKSGNRDEVQRLYEDMIFRGIVPSNYTCASLLSLYYKYEDYPRALSLFSQMVSNKIDADEVIYGLLIRIYGKLGLYEDARKAFEETNQRGQLTSEKTYLAMAQVHLTSGNVDKALQVIELMKSSNIWFSRFAYIVLLQCYVMKEDVVSAEGTFLALSKTGPPDAGSCNDMLSLYVGLNLMNKAKEFIIQIREDGMHFDKELYRTVMKVYCKEGMLPEAEQLTNQVVENESFQSDKFFKTFYWILCEHKGDAQSNDELVAIEPIDKLDATALGLMLSLFLTNDNFSRTKLLLKLLLGYAAGGSKVVSQLIINLCKEGEISKAELLNDQLIKLGCRMEEATVASLISHYAKQQMLKQAEDIFAEYVNPSTSSKLLYNSMINAYAKCGKQEKAYLLYKQVTEEGHDLGAVGMSIAVNSLTNGGKHLEAENFIYNSLKDNLELDTVAYNTFIKAMLEAGKLQFASSIFDRMNSSGVAPSIETFNTMISVYGQDQKLDRAVEMFNKASSINVPLDEKTYMNLIGYYGKAGMILEASQLFSKMQEEGIKPGKVSYNIMINVYASAGDLRQTDKIFQAMQRQGCLPDSFTYLSLVQGYTRNRNFYKAEETLHAMQSKGIPPSCVHFNILLHAFTKAGLIEEAKRVYEELSTFGLVPDLVCYRTMLNGYLKYGYVEEGINFFESIHESTKRDRFIMSAAVHFYRSARKERKAKEILISMNNKGIPFLKNLQVGSEERLKTP >Vigun04g130200.1.v1.2 pep primary_assembly:ASM411807v1:4:32616086:32620333:1 gene:Vigun04g130200.v1.2 transcript:Vigun04g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIPKLVLGSVAFAIFWMLAVFPAVPFLPIGRTAGSLLGAMLMVIFQVISPDQAYEAIDLPILGLLFGTMVVSVYLERADMFKYIGKLLSWKSRGAKDLLFRICVISAVSSALFTNDTACVVLTEFILKIAKQHNLPPHPLLLALATSANIGSAATPIGNPQNLVIAVEGKISFGQFLIGVLPAMVVGVVMNDLILMVMYWRVLSIQKDEEDPLASHAAEEEVNSHHFSPATMSHFSSLNSEQWNTRMENFNLPSSPHVQTLRNRSVVGDGEIDRVLSNTLDSTRNSNASKEETNGMSYETREETTSPSKTDAKGVKPVVETVLNTLEVKENLSVRWRYILWKSCVYIITLGMLVAMLLGLNMSWSAISAALALVVLDFQDAGPSLEKVSYSLLIFFCGMFITVDGFNKTGIPGALWEVMEPYSRVDRASGIAILALVILILSNVASNVPTVLLLGGPVAASAAAISQESEKKAWLILAWASTVAGNLSLLGSAANLIVCEQARRTPNIAYTLTFWNHLKFGLPSTLVITAIGLTLIR >Vigun09g134900.1.v1.2 pep primary_assembly:ASM411807v1:9:29475876:29478520:-1 gene:Vigun09g134900.v1.2 transcript:Vigun09g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRSDYLKYKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLTSATA >Vigun09g052700.1.v1.2 pep primary_assembly:ASM411807v1:9:5221434:5223511:-1 gene:Vigun09g052700.v1.2 transcript:Vigun09g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLLFVSFFLSAPLLYFLLALYFNHHNHHHLPPSPRRRLPIIGHMHLLGPLLHQSLHTLALQHGPFFSLHFASLPCIVASSPDFAKLFLHTYQHAFINRMETIAVKRLTYDSSLAFARYGEYWRFIRKLSMNELLGARNIKNFRHLRQQETRGFLRVLALNAKAGEAVNVTEELLRLTNNVISRMMLGEAEEARDVVRGVTKIFGEFNVSDFVWLLGKLDLQGFGKRIEDLFGKLDAMVERIICKREEMRRRKRVENGDCDVRDFLDVLLDCVEDENCQVKINRVHVKAIIVDFLTAGTETTAISTEWALVELMKNPSLVEEARKEIENVVGKNKVVEECDCRNLPYLQAIVKETFRLHPPVPMINRICVAECKIENYVIPKNTLLFVNVWSMGRDPKHWEKPLEFRPERFLKVGEGGYSNGVMDVTGQSFELLPFGCGRRMCPGVSLVMQEVPALLGAIIQSFDFEVMDSKGQVLKGDDRVIDMNERPGLTAPRAQDLVCVPLQISNIHRTA >Vigun03g204200.1.v1.2 pep primary_assembly:ASM411807v1:3:32878542:32882086:1 gene:Vigun03g204200.v1.2 transcript:Vigun03g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGFVQRVIGGCASNPNRKRNNIHKREKKHFRKRKGNVSTSPAALPVRRPSNAGSRVGDFPFSDSMDFEKGAPATCLKSDVSNKNFYQSHSKKHLNGKNNEDAWFDSVSIIESESDDDFISVLGDCFPFVSNALGSAPNAQLEDESYFADSGCNESSIKGASERLYRPRAGLQILHTAQEKPCPGSWSVVSPSVFSLRGENYFRDKQKCPAPGFSPYEPIGVDLFACPRKINHIAKHLDLPPLKEHENVPSLLIVNIQLPTYPARVFLGDADGEGLSLVLYFKLSENFDTDTSPHFQESIKRLIDEEMEKVKGFAKENLVPFGERLKILAGVVNPEDLELNSAEKKLIHSYNGKPVLSRPQHSFFKGHNYFEIDLDIHRFSFISRKALDSLRDRTKNVVLNLGLTIQAQKQEELPEQVLCCLRLNKIDFVNHGQIPTIVALDDN >Vigun03g248700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41377404:41377622:-1 gene:Vigun03g248700.v1.2 transcript:Vigun03g248700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAKILTVILVVTVMAMEAQPVDSAFAIAMDPCTLPECIAKCKNILGQKFMSASCVKNGSKGALCICLG >Vigun01g248400.1.v1.2 pep primary_assembly:ASM411807v1:1:41571227:41572325:-1 gene:Vigun01g248400.v1.2 transcript:Vigun01g248400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRLQLIDNAIQKLIHDTNTNTNKVQESHHNNDAQYQNALSHLLSVSQSNILKGEEIPEQYEGSRSSDTVGSVIEAKEGEDEGGGSENDEIMKELKKVKKQNFVTHCLLSVMIVLTVVWQVSEVSLILQLKDGLSHPFRSFGNILKGMVKVPDVKGQDADDREELLESSSSLPSMVIPDMSHNIGN >Vigun01g248400.2.v1.2 pep primary_assembly:ASM411807v1:1:41571222:41572358:-1 gene:Vigun01g248400.v1.2 transcript:Vigun01g248400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRLQLIDNAIQKLIHDTNTNTNKVQESHHNNDAQYQNALSHLLSVSQSNILKGEEIPEQYEGSRSSDTVGSVIEAKEGEDEGGGSENDEIMKELKKVSEVSLILQLKDGLSHPFRSFGNILKGMVKVPDVKGQDADDREELLESSSSLPSMVIPDMSHNIGN >Vigun01g175500.1.v1.2 pep primary_assembly:ASM411807v1:1:35673870:35680798:1 gene:Vigun01g175500.v1.2 transcript:Vigun01g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHEKEKGVNVQVLLRCRPFSDEELRSNAPQVVTCNDYNREVAVSQSIAGKHIDRVFTFDKVFGPSARQKDLYDQAVIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKSGPNGELPPEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEEISKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYATREKNGVYIPKERYYQEESEKKAMSDQIEQMGLTIETQQKQLDDLQNKLVDQIQQCSDLSNKLDNTEKNLNKTSKLLANREEELKKCQYTLKEKDFIISEQRKAENALAHQACALRADLEKSLQDNASLFLKIGREDKLNSDNRAVVTNYQAELAQQVGSLCSTVATSLSEQNEHLEGVKKLCHSFLDVHDKAVADFKTKVTSLRALYISHIEAVQNVVRLHKASSDAAFEELTSAISSNGDSIEKFLASEATEAGSIFDDLHGSLSTQQGELALFASELRNKFKLSLEQIKDISERSQEFVDKLFEESKKLEDYASQADQVQMKSIDEFKKAYEEQSISDTEKLIANMTSLVSDHIRRQMDLVDSKLVDLRESGMKNKSFLDGHLSSMGDIVTNAKRKWQAFCVQAEKDAKDTSDFSAARHCRMEVLMQQNFKTAKSAFDHTKRTYEAVNEMGTKHISATESIVRNATDGNAQHVVEVNSARIAAEEDVAKNSEELIEQFDATSAQERESISGVLNVVRTHANTLETLREDHDGQAASIEHRASETFQQQFRDYESTGSTPIRCEADVPTKVTIDSLRSLPMESLLEEFRENNPYESSDVKELKPSLIPRSPLTQLN >Vigun01g136600.1.v1.2 pep primary_assembly:ASM411807v1:1:31650291:31656994:-1 gene:Vigun01g136600.v1.2 transcript:Vigun01g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADYEYPNVESVQRVAIPPPQPFFKSLKYSLKETFFPDDPFRKFKNQTSSVKFVLGLQYFFPIFEWAPKYTLQFLKSDLISGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSKDLAVGTVAVGSLLMASMLGRVVNYVENPNLFLHLAFTATFFAGVLQASLGFFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKSILGLEHFTHEADIVSVMRSVFSQTHQWRWESAVLGCCFIFFLLVTRYFSKRQPKFFWVSAMAPLTSVILGSLLVYLTHAEKHGVQVIGKLKKGLNPPSVTNLVLVSPYMGTAIKTGLVTGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIFGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMAIAVMLTLLFLTPLFHFTPLVVLSAIIVSAMLGLIDYEAAIHLWKIDKFDFFVCFSAYVGVVFGSVEIGLVIAVAVSLLRVLLFIARPRTFLLGNIPNSTVYRNVEQYPNANHVPGILILEIDAPIYFANASYLRERITRWIDEEEDRIKASDQTSLQYVIMNMTAVANIDTSGISMLEECKKTADRRGLQLVLVNPGSEVMKKLNKSKFLDELGHKWVYLTVEEAVGACNFMVNSYKPNPMKDDSEPDKSIV >Vigun07g161900.1.v1.2 pep primary_assembly:ASM411807v1:7:27324116:27329808:1 gene:Vigun07g161900.v1.2 transcript:Vigun07g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRRDIVPNGPGSVKMVAVDSDDLWFAYNLIAPGDSVMAVTVRKVVREAAHGGRDAERVKLKLEIKVEEVADYDKEGSILRVRGKNILENEHVKIGAFHTLELELQRPFVVRKDVWDSLALEVLQQASDPGASADLAVVLMQEGLAHILLVGRSMTVTRSRIETSIPRKHGPAIAGYEKALDKFFENVMQAFLKHIDFNVVRCAVIASPGFTKDQFHRHLFLEAERRQLRPIIENKSRIILVHTTSGYKHSLKEVLDAPNVMNLIKDTKAAQEVRVMKDFFNMLSNDPSRACYGMKHVEVANERLAVQTLLITDDLFRNSDITTRQKFVNLVNSVKDSGGSVHVFSSMHVSGEQLAQISGIAAILRFPLPDLEDIEM >Vigun06g176400.3.v1.2 pep primary_assembly:ASM411807v1:6:29655196:29661039:-1 gene:Vigun06g176400.v1.2 transcript:Vigun06g176400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYGRSSEGSRSDPSPEWAGPGAQTGLEEPMWQLGLGAAEESYPQRPNEADCTYYLRTGFCGFGSRCRFNHPRDRGTVVGAERTAGEYPERVGQPVCQYYMRTRTCKFGSSCKYHHPRLAGGAATPVSLNYYGYPLRPGEKECSYYVKTGQCKFGATCKFHHPVPAGVQIPAPSPVAPVSPLPVPVPSPLYSTMQPPPGPSSQQIGVLVARPPLLPGSFVQSPYGPVVLSPAMVPISGWGPYQASGTGAVLPSGTPNVGSAQIYGMTQLSSSAAAYPGPYQLPGSLVGPSSSSQKEQAFPERSNQPDYQYYPKTGEVKVGPSYRYHPPQEITSPKPNVTLSPAGLPLRPGLKLKSVEESN >Vigun06g176400.1.v1.2 pep primary_assembly:ASM411807v1:6:29648741:29661039:-1 gene:Vigun06g176400.v1.2 transcript:Vigun06g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYGRSSEGSRSDPSPEWAGPGAQTGLEEPMWQLGLGAAEESYPQRPNEADCTYYLRTGFCGFGSRCRFNHPRDRGTVVGAERTAGEYPERVGQPVCQYYMRTRTCKFGSSCKYHHPRLAGGAATPVSLNYYGYPLRPGEKECSYYVKTGQCKFGATCKFHHPVPAGVQIPAPSPVAPVSPLPVPVPSPLYSTMQPPPGPSSQQIGVLVARPPLLPGSFVQSPYGPVVLSPAMVPISGWGPYQASGTGAVLPSGTPNVGSAQIYGMTQLSSSAAAYPGPYQLPGSLVGPSSSSQKEQAFPERSNQPDYQYYPKTGEVKVGPSYRYHPPQEITSPKPNVTLSPAGLPLRPGASPCTHYAQHGVCKFGSACKFDHSMGSLSYSPSASSLADMPVAPYPVGSTIGTLAPSSSSSELRLELSSGSNKESVPSRMSSSSGMLTGSIGLTLSTGGPISQSNSQPSAPSLDPLATATSTTSSNVSHTSS >Vigun06g176400.2.v1.2 pep primary_assembly:ASM411807v1:6:29648741:29661039:-1 gene:Vigun06g176400.v1.2 transcript:Vigun06g176400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYGRSSEGSRSDPSPEWAGPGAQTGLEEPMWQLGLGAAEESYPQRPNEADCTYYLRTGFCGFGSRCRFNHPRDRGTVVGAERTAGEYPERVGQPVCQYYMRTRTCKFGSSCKYHHPRLAGGAATPVSLNYYGYPLRPGEKECSYYVKTGQCKFGATCKFHHPVPAGVQIPAPSPVAPVSPLPVPVPSPLYSTMQPPPGPSSQQIGVLVARPPLLPGSFVQSPYGPVVLSPAMVPISGWGPYQASGTGAVLPSGTPNVGSAQIYGMTQLSSSAAAYPGPYQLPGSLVGPSSSSQKEQAFPERSNQPDYQYYPKTGEVKVGPSYRYHPPQEITSPKPNVTLSPAGLPLRPGLKLKSVEESN >Vigun06g021000.1.v1.2 pep primary_assembly:ASM411807v1:6:9886148:9887802:-1 gene:Vigun06g021000.v1.2 transcript:Vigun06g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDDKNLIEILENLNPVDVAKYMNFVSAPQAGAIATFAGTTRDTFEGKTVLELRYEAYVPMAIRCINLICSSARASWNLHSIAVAHRIGTVPVGETSVFIAVSSVHRADALEACRFLIDEIKAKVPIWKKEVYSNGEVWKENSEFLERRSELGNNDAGCCGKKVVIKEQTKKACCGTKVRVDDQANEASHEKNIGGDGR >Vigun02g157900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30386373:30387373:-1 gene:Vigun02g157900.v1.2 transcript:Vigun02g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWWRKENKPQDNSQPKPSSSISKSNAADTTKPLAEAPGMNGAVEVPRPPNATLSVFEFGSVAASNDKVTLAGYCPVSEDLEPCRWEILPAIQSNAPQFRVVF >Vigun11g140600.1.v1.2 pep primary_assembly:ASM411807v1:11:34997781:35002302:1 gene:Vigun11g140600.v1.2 transcript:Vigun11g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKLKLALGLDSCVHIPRRTFDDSSATDRFSGSVSPSVVSPAGDTSGYRPSTPTPSSSGLRLPKSGPKSPKGTCAICLYTMKPGQGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEVPFQSPTSNIPHDLPRINLVAARDEGWSAAVRRLPSPQGDAARPISSLYHVTEPAIFDDDEALDQQTSITHQKNETDHDMINTVEIKTFPEVSAVPKSASHDAFAVLIHLKAPHSGRKPHIGQNIESSPPVENTRAAVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVIAFSSTARRIFPLRLMTETGRQQALQAVNSLVSNGGTNIAEGLRKGAKVFADRRWKNSVNSIILLSDGQDTYTVNSRPNTGTDYQSLVPNSIHRNNGVGMQIPVHAFGFGSDHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELQVEVGCVHPHLQLSSVKAGSYQTSLMPNARLATISVGDLYAEEERDFLVTVNVPVDESTDEMPLLIVKGLYRDPITKEMVALEENSEVKIQRPDIAGELVVSIEVDRQRNRLQAAEAMAEARVAAERGDLSTAVSVLDNCHKALSETISAQAADRLCVALSAELKEMQERMANQRVYEQSGRAYVLSGLSSHSWQRATARGDSTDSSSLVQAYQTPSMVDMVTRSQTMVFGTPQNKRVLRPAKSFPERQRRQ >Vigun03g019000.5.v1.2 pep primary_assembly:ASM411807v1:3:1363591:1368334:-1 gene:Vigun03g019000.v1.2 transcript:Vigun03g019000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKGVVAEIQKDKNTPLVPKVFTAEYSLICKISDYPKPYISFMDGITMGFGIGLSGHGRYRIITERTILAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGKLGSFKDALLATNFSQDPHQDIKLLLARFEANPESESQLKLLLPQIVSSFGGNKSVTEIIEELKNHQSSTDPNVVEWASEALEGLRKGAPFSLSLTNKYFSAVASAVGKTDEELSTLNGVMKTEYRIALRSSLRHDFSEGVRAVLVDKDQNPKWQPSSLEEVDPNEVEAVFNPLGEEVGELEV >Vigun03g019000.1.v1.2 pep primary_assembly:ASM411807v1:3:1363591:1369169:-1 gene:Vigun03g019000.v1.2 transcript:Vigun03g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRVHSFLSSLSLSLSRSRPSIALSARLFSAMAEEFVKGDVHPNGVAVITLDRSKALNAMNLDMDVKYKSYLDEWESDPSVKCVLVDSTSPRAFCAGMDIKGVVAEIQKDKNTPLVPKVFTAEYSLICKISDYPKPYISFMDGITMGFGIGLSGHGRYRIITERTILAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGKLGSFKDALLATNFSQDPHQDIKLLLARFEANPESESQLKLLLPQIVSSFGGNKSVTEIIEELKNHQSSTDPNVVEWASEALEGLRKGAPFSLSLTNKYFSAVASAVGKTDEELSTLNGVMKTEYRIALRSSLRHDFSEGVRAVLVDKDQNPKWQPSSLEEVDPNEVEAVFNPLGEEVGELEV >Vigun03g019000.3.v1.2 pep primary_assembly:ASM411807v1:3:1363591:1369169:-1 gene:Vigun03g019000.v1.2 transcript:Vigun03g019000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNRTQASNVYWLIAPRLVPFVPVFTAEYSLICKISDYPKPYISFMDGITMGFGIGLSGHGRYRIITERTILAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGKLGSFKDALLATNFSQDPHQDIKLLLARFEANPESESQLKLLLPQIVSSFGGNKSVTEIIEELKNHQSSTDPNVVEWASEALEGLRKGAPFSLSLTNKYFSAVASAVGKTDEELSTLNGVMKTEYRIALRSSLRHDFSEGVRAVLVDKDQNPKWQPSSLEEVDPNEVEAVFNPLGEEVGELEV >Vigun03g019000.2.v1.2 pep primary_assembly:ASM411807v1:3:1363591:1369169:-1 gene:Vigun03g019000.v1.2 transcript:Vigun03g019000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVRVHSFLSSLSLSLSRSRPSIALSARLFSAMAEEFVKGDVHPNGVAVITLDRSKALNAMNLDMDVKYKSYLDEWESDPSVKCVLVDSTSPRAFCAGGDVKQITIKNNLSDMIEVFTAEYSLICKISDYPKPYISFMDGITMGFGIGLSGHGRYRIITERTILAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGKLGSFKDALLATNFSQDPHQDIKLLLARFEANPESESQLKLLLPQIVSSFGGNKSVTEIIEELKNHQSSTDPNVVEWASEALEGLRKGAPFSLSLTNKYFSAVASAVGKTDEELSTLNGVMKTEYRIALRSSLRHDFSEGVRAVLVDKDQNPKWQPSSLEEVDPNEVEAVFNPLGEEVGELEV >Vigun03g019000.4.v1.2 pep primary_assembly:ASM411807v1:3:1363591:1369169:-1 gene:Vigun03g019000.v1.2 transcript:Vigun03g019000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFTAEYSLICKISDYPKPYISFMDGITMGFGIGLSGHGRYRIITERTILAMPENGIGLFPDVGFAYIAAQSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGKLGSFKDALLATNFSQDPHQDIKLLLARFEANPESESQLKLLLPQIVSSFGGNKSVTEIIEELKNHQSSTDPNVVEWASEALEGLRKGAPFSLSLTNKYFSAVASAVGKTDEELSTLNGVMKTEYRIALRSSLRHDFSEGVRAVLVDKDQNPKWQPSSLEEVDPNEVEAVFNPLGEEVGELEV >Vigun11g074700.1.v1.2 pep primary_assembly:ASM411807v1:11:21795052:21797786:-1 gene:Vigun11g074700.v1.2 transcript:Vigun11g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKKKIKGSIKDNMPTVWFSLKKSLHCKSEPSDVHDPKTRKHLSTILTKRTGRSGCSRSIANLKDVIHGSKRHLDKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGYGGFQEGVGSGGSNAGGGSGGSTFVGTLRPGTPGPGGHPTMHYFNPSFRTSSTPPRKSPFLLSDKEGSAPPGLQPSTRLSLETDSNGSSTVTCHKCGEQFNKWDAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENQCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLQKKHPRCLADGNELLRFYGTTVSCSLGLNGSSSLCLSEKCCVCRIIRNGFSAKKELKGGIGVFTTSTSGRAFESIEIFGDEPSLRKALIVCRVIAGRVHRPLENIQEMAAQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >Vigun01g089800.1.v1.2 pep primary_assembly:ASM411807v1:1:24974982:24978198:-1 gene:Vigun01g089800.v1.2 transcript:Vigun01g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPSSTSVAVADGQSKSARKPKYSRFSQQELHAWQPILTPSWAISIFTVIGLIFVPVGLASLFASESVVEVPFRYDEECLPPNRKNDAVAYIKDDKANKTCNMKLTVKSKMTAPVYVYYQLDNFYQNHRRFVKSRDDKQLRKKASENDVGSCSPEDYTPNEMGHKPIVPCGLIAWSMFNDTYKLSSNNKELVINKKNIAWKSDQDAKFGSDVYPKNFQVGGLRGGAKLNESIPLSDQEDLIVWMRTAALPTFRKLYGKIETDINDNVEVMLVIENNYNTYEFGARKSIVLSTTAWVGGRNHFLGMAYILIGGISLLLAVGFLLLYVMQPRPLGDPSYLSWNRNPGTLR >Vigun03g025800.1.v1.2 pep primary_assembly:ASM411807v1:3:1911979:1918438:-1 gene:Vigun03g025800.v1.2 transcript:Vigun03g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGAAVVCAAAVCAAAALVVRHRMISSRKWSRAMAILKEFEEKSGTPLAKLRQVADAMDVEMHAGLASEGGSKLNMLISFVDNLPTGDEKGLYYALDLGGTNFRVLRVHLGGKDKGVIGQEFAEVSIPPNLMTGSSEALFDFIAAALAKFVASEPEGFHPPPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFNIQDTVGEDVVGELTKSMEKIGLDMRIAALVNDTIGTLAGGRFYNQDVIAAVILGTGTNAAYVERAHAIPKWHGLIPKSGDMVINMEWGNFRSSNLSLTEYDLALDAESLNPGEQIFEKLISGMYLGEIIRRALLKMAEEADFFGDTVPPKLKVPFILRTPDMAAMHHDTTPDLKVVGNKLKDTLEISNTSLKMRKIVVELCDIVATRGARLAAAGILGILKKLGRDTVKVGEKQKSVIALDGGLYEHYTKFRECLESTLKELLGEEAAETIVIEHANDGSGIGAALLAASHSQYLGVEES >Vigun11g014000.1.v1.2 pep primary_assembly:ASM411807v1:11:1691798:1697913:-1 gene:Vigun11g014000.v1.2 transcript:Vigun11g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNDAETNLDVENSVRRRSRNKSMPESGAREHKEQLQKLSEKDPEFYEFLKENDEELLQFSDDDLDDDVETDIEDEDLKLDEEASEDEIQEKEQKSSKEVITTSMVDLWCKSIHENGSLSALRSLLRAFRTACHYGDDGGNESMTKLSVISSAVFNKIMLTVLNEMDGILRKLFKLPASGGKKENITELMATKHWNSYGHLVKSYLGNALHVLNQMTDTEMISFTLRRLKYSLLFLAAVPSLLRKYIKVVLHFWGTGGGALPVVSFLFMRDLCIRIGSGCIDECFKGIYKAYVLNCHFVNAVKLKHIRFLGNCVIELLGVDLATAYQHAFVYIRQLAMILRDALNAKTKESFRKVYEWKFINCLELWTGAICAYSSESDFKQLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNQIAASTHSFVPVSMLLLDMLEMKELNRPPTGGVGKAVDLRSILKVNKLTLKTRAFQEACVISVVEELAEHLAQWSYSVAFMELSFIPLVRLRSFCKLTKVERFRKEMRQLIRQIEASSNHVNEKRMSISFLPNDPAAASFLEDEKKLASSALSKYVLTLRQRAEQKNNSLMESSVLVGEESSKFGNEISESDEEDARTNEEGAAVFSSSWLPGNESKTKQQPEETRRKRKKQQKEKAIDDDVVEDLVLSSDEDMPSSHTPSAGKNVDADNLPSKRKPKQKHRTKRLKKN >Vigun03g288066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47023499:47023813:1 gene:Vigun03g288066.v1.2 transcript:Vigun03g288066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSQSPLPPSSPPPSPPPPPSSSSSSFFSFIFSFIFSFFLFFFSFFLSSSSSSPSFLLLLLLFLLLFLLLLLLLFFFFSSSSSSSSYSPSFSSFLLLLVFL >Vigun03g162100.1.v1.2 pep primary_assembly:ASM411807v1:3:18120208:18125172:1 gene:Vigun03g162100.v1.2 transcript:Vigun03g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADVSAFRECLSLSWKNPYILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKAVDRKTWLQEAIVSTAIAGAIIGAAVGGWMNDRFGRRKSILLADSLFLIGSVIMAAATSPAILIVGRVFVGLGVGMASMASPLYISETSPTRVRGALVALNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAAAPAVIQAVLMFTLPESPRWLFRKGREEEAKTILRKIYPASDVEAEIQALRDSVALEMKEVDPSDKISIIKLLKTKTVRRGLCAGMGLQFFQQFTGINTVMYYSPTIVQLAGFASNQTALLLSLITSGLNAFGSIVSIYFIDKTGRKKLALLSLCGCVLSLALLTATFRQTETHSPMISSIESSSFNNTCPAFSNALNPIQWDCMRCLKAECGFCASGSNKLLPGACLSVNDAARGLCVKDHRAWYTKGCPSKMGWLAIMGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTCWVSNLVVSQTFLTLTVAIGTAWTFMLFGLVALVGIFFVLIFVPETKGVPMEEVEQMLEERAVHLKFWQRRSVPHKG >Vigun08g089201.1.v1.2 pep primary_assembly:ASM411807v1:8:20435140:20451283:1 gene:Vigun08g089201.v1.2 transcript:Vigun08g089201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYGTYQFLLCRQLNHSPLQQQWLVWRKYFDWRIWTSLIPIVGGILLTSITELSFNIYGFCAALFGCLATSTKTIFAESLLHGYKFDSINTVYYMAPFATMILAIPALLIEGYGVFEWLSTHSYPWSALVIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAIAVLVSWLIFRNPISYLNSVGCAVTLMGCTFYGYVRHMLSQQQPLPGTPRTPRTPRSMMELLPLVNHKLEDKV >Vigun05g197950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38321312:38322406:1 gene:Vigun05g197950.v1.2 transcript:Vigun05g197950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAVFHMGKFESFRGRFLRYNGGEEHVFHGLHLDRWSYFEALSILKEEFKYDGAMKLWWKPKRVRMDRDLRPFVSDNDTLQLCACAETKKKEVQIYVEHVVSTTEPIEVIEWTQNAGEGGSEEELDVVVASVDCRDGGKGDARVEKEDIGNKGDARVEKKDIGNKGDARLEDEATVKQVGVVDLRDARVEDEATVKQVRVIDLGDARVEDEGILEGVDVFEKGDASHRDAENEDVAGMDDQSDMDDSVIEELDESEEERHRDDDDCFLSTNKSRRDIRKLLERWKKFSKEKRKRKPFASAGVGAFKIDSIGQNDIDATYSTDELDSDVECEGESVHKYTTFQSDDMCFLHHLSLFQGGQRN >Vigun09g220600.1.v1.2 pep primary_assembly:ASM411807v1:9:39438543:39441827:-1 gene:Vigun09g220600.v1.2 transcript:Vigun09g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMTMWYLLLTLSFVYAATADTQSKVTNNPADKLVAAINENRTAHKVSTLTDNPGLACIALQYIKAYQGNCDAVGGPDGKKPPESQFAEAFAPNCGVEASTLAPITGRFLGCQTKYVHAPEAFSEILIRNQKSLDILYSRNHTQLGAAVTGTDGGSPYFWCVLFSSGKPNQTFTLEGGVAKITKPGCFSGANDECSGASDHWSPLNGMWVLATSVVLAMGFGLAL >Vigun05g145400.1.v1.2 pep primary_assembly:ASM411807v1:5:19795257:19806714:1 gene:Vigun05g145400.v1.2 transcript:Vigun05g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGGDNNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKLETGSQEYAEFLHLPRRKFTDFSLVRQEIQDETDRLTGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIEAMVRSYVEKPNCIILAISPANQDIATSDAIKLSREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINRNVDMIVARRKEREYFASSSDYGHLANKMGSEYLAKLLSQHLESVIRARIPSITSLINKSIEELESEMDHLGRPIALDAGAQLYTILELCRAFERIFKEHLDGGRPGGDRIYNVFDNQLPAALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALGYFRGPAEASVDAVNFVLKELVRKSIAETQELKRFPTFQAELAAAANEALERFREESKKTNLRLVDMESSYLTVDFFRRLPQEVEKSATPAPANIDRYAEGHFRRIASNVSSYIGLVADTLRITIPKAVVYCQVRQAKQSLLNHFYTQIGKKEGKQLSQMLDEDPALMERRQQCAKRLELYKAARDEIDSVSWVR >VigunL025800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:95284:95973:-1 gene:VigunL025800.v1.2 transcript:VigunL025800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SHIRFSGGFLWVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRKQGISGPL >Vigun03g216000.8.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAVSPPIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVEV >Vigun03g216000.9.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVEV >Vigun03g216000.1.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.7.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.2.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.5.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAVSPPIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.6.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.4.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVGLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun03g216000.3.v1.2 pep primary_assembly:ASM411807v1:3:35877853:35891287:-1 gene:Vigun03g216000.v1.2 transcript:Vigun03g216000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVPSPSSSCTCLMKHHHNAVWFHGRTRGRVPYTGSETWRISCAKRTGKQRYPSEKKKLRTKHKELLSESKEKDKFEGTWRLFKLAVPLDQDPGKDSIHVSDALLQQIAKVLKFPVASLLPAEAFTVVRKSFDARKKLKEPKFVHTVDMDVQKLISLEPRCWDFISRLEPKVGLVERVHDERDFTDLKNIIRDCKENKEAALAGGNGHSIFSTELCKNQASRKPKIAVVGSGPSGLFAALVLAQLGADVTLIERGQPVERRGRDIGALIVSRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMKTLVQFGAPKEILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLVIKDGHVLGVMVSESADKLRSTSKKMEYDAVILAVGHSARDTYEVLHANNVELIPKDFAVRLRIEHPQELINSIQYAELASEVCHGRGKIPVADYKVANYLDKEDFHEVSNSGVGNRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASKWANAALVVTVTTKDFEALNYFGPLAGIKFQREFEQRAAMMGGGNFVVPVQTVTDFLENQLSVTSVPSSSYRLGVKAANLHQLFPMHITEALKHSLVTFDKELPGFICNEALLHGVETRTSSPIQIPRNIESYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKRFSLFHGDVESVLGKAPSVGVAKY >Vigun09g069300.1.v1.2 pep primary_assembly:ASM411807v1:9:7434514:7439984:-1 gene:Vigun09g069300.v1.2 transcript:Vigun09g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTNDPRQPSAARPYVAPQIAPQDLPIDYAGFIAVIFGVAGVMFRYKLSSWLALIFCAQSVANMRNVENDLKQVMMAMMFSLMGLITNYFGPPRPVKQS >Vigun09g069300.2.v1.2 pep primary_assembly:ASM411807v1:9:7434474:7439984:-1 gene:Vigun09g069300.v1.2 transcript:Vigun09g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTNDPRQPSAARPYVAPQIAPQDLPIDYAGFIAVIFGVAGVMFRYKLSSWLALIFCAQSVANMRNVENDLKQVMMAMMFSLMGLITNYFGPPRPVKQS >Vigun09g069300.3.v1.2 pep primary_assembly:ASM411807v1:9:7436448:7439988:-1 gene:Vigun09g069300.v1.2 transcript:Vigun09g069300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTNDPRQPSAARPYVAPQIAPQDLPIDYAGFIAVIFGVAGVMFRYKLSSWLALIFCAQSVANMRNVENDLKQVMMAMMFSLMGLITNYFGPPRPVKQS >Vigun04g187600.1.v1.2 pep primary_assembly:ASM411807v1:4:41215725:41217845:1 gene:Vigun04g187600.v1.2 transcript:Vigun04g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINHTRSILSEESVVINLKNTLENTFTMATTKSEAPSQEKKGDRMKRHSEKTEEEEEELKPSKKKEQSHHRHNHNHHHSISKPPSAPTLQDSFNNSHSNHSFSDGDLQIVAASHSHESISDDAIKVVKEAVNKTVKSVPVMAVENVEEGARHHANNNGDEDGVSKKKVSKWYIVLLCLRIAAFVFCKIAFSVLASDRRKKVRKAPSKRWNESPPDTWYTFTVETPATTQLDSDELHWYDLEEFKYCLSVNVIGFVYSALQIFDLGKYFITKRHTMNPKLRAYLNFAMDQVMAYLVLSASSSAATTAHYWSKEVSDGDKFLEIAKASVALSFIAFVAFASTSIVSAFIFCRFN >Vigun06g122300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24959589:24962016:1 gene:Vigun06g122300.v1.2 transcript:Vigun06g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKQEARVAPAPPIVAMMPSPGMGHLIPMIEFAKRVVRYHNLSVAFVIPTDGPPSKAQIAVLQALPDSISHTFLPQVNLSDLPHDAKIETLMSYVVLRSLPSLRQAFHSLSSTHTLAALVVDLFTTDAFDVAAEFNASPYVFFPSTATALSLFFHLPTLDQEVHCEYRDLPEPVKIPGCIPLHGRELLDPVQDRKDEAYKWVLHHAKRYREAEGIIENSFSELEPGAWSELQKEQPGRPPVYAVGPLVRMETAPAESECLRWLDEQPSGSVLFVSFGSGGTLSTAQINELAHGLEASEQRFLWVVKSPNDKIANAAYFNAESAADPSHFLPEGFVERTKGRGFVVPSWAPQPQVLAHPSTAGFLTHCGWNSILESVVSGVPFIAWPLFAEQRMNAFMLTHDVKVALRPQVGDNGLVERQEISSVVKSLMEGEEGKKLRYRMKDLKDAAAMALAENGSSTNHISHLALLWANKTAVTPPPL >Vigun07g162800.1.v1.2 pep primary_assembly:ASM411807v1:7:27412559:27419219:-1 gene:Vigun07g162800.v1.2 transcript:Vigun07g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGLVILVAIVVAEISCVADGNLVFPVERQKRSLSAIKAHDAQRRGRILSAVDLNLGGNGVPTRTGLYYTKLGIGSPPKDYYVQVDTGSDILWVNCVECSRCPKKSDLGIELTLYDPKSSETAQLVSCDQEFCAATYDGPMPGCKSEIPCPYSITYGDGSATSGYYVQDYLTYNRVNGNLQTTPQNSSIIFGCGAVQSGTLGSSSEQALDGIMGFGQANSSVLSQLAASRKVKKIFSHCLDNIRGGGIFAIGEVVEPKVSTTPLVPRMAHYNVVLKTIDVDGDTLQLPSDIFDSGNGRGTVIDSGTTLAYLPGLVYDQLMQKVLTRQPGLKLYLVEQQFSCFQYAGNVDRGFPVVNFHFEDSLYLTVYPHDYLFPFNGGMWCIGWQKSVTQTKNGKDMTLLGDLVLSNKLVIYDLENMAIGWTDYNCSSSIKVKDEATGAVRIVGAHDISSVSTCFIGRILTFFLLLTAILNC >Vigun03g449900.1.v1.2 pep primary_assembly:ASM411807v1:3:65105768:65116680:-1 gene:Vigun03g449900.v1.2 transcript:Vigun03g449900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSSTSKAHPPNPPSLTWHRKLNSHGNASSEISLCFKDIVHLAPIGYRLWRYCREEAAKGRIGMIDPFAKRSVTFGHGVPLGGIGAGSIGRSFRGEFQRWQLFPVVCEETPVLANQFSVFVSRPSGEKYSSVLCPAKQEIRKQNPVSGIESWDWNINGNRSTYHALYPRAWTIYEEPDPALRIICHQISPVIPHNYKESSFPVTVFTFTLKNLGNMSADVTLLFTWTNSVGGISEFTGDHFNSKKMLNDGVHAVLLHHKTANDRSPVTFAIAAEETEYVHISECPVFVVSGSYNGISAKDMWHEVKQHGSFDHLNFAETATPSEPGSSIGAAIAATVTVPSNAQRIVTFSLAWDCPEVKFPEGRTYYRRYTKFYGTHGDAAADIAHDAIIEHCQWETQIDDWQRPILEDKRLPEWYPTTLLNELYYLNSGGTIWTDGSLPINSLVNNTGERKFSLDGHISRLKNTNNLSHQNDTAINILEMFGSVAEQVHSPPASKSAYGVHLLQEGEENIGQFLYLEGIEYKMWNTYDVHFYASFSLVMLFPKLELSIQRDVAAAVLMHDPSKMKLLVDGQWVPRKVLGAVPHDIGLNNPWFEVNGYNLYNTDRWKDLNPKFVLQIYRDVVVTGDKKFAQAVWPAVYIAIAYMEQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWLKFQKAKAVYEKLWNGSYFNYDSSGGSTSSSIQADQLAGQWYARACGLSPIVDEKRSRSALQMVYDYNVMKVQDGRRGAVNGMLPDGKIDMSTMQSREIWSGVTYALAATMIQQNMIDMAFQTAGGVYETAWSDNGLGYSFQTPEAWTNKDEYRSLSYMRPLAIWAMQWELSRTKHAQYENISDIKEEDIMSKYHDGYSKVARLLKVKEETDSRSLFQLIYDFTCKRMWA >Vigun03g449900.2.v1.2 pep primary_assembly:ASM411807v1:3:65105768:65116680:-1 gene:Vigun03g449900.v1.2 transcript:Vigun03g449900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKSSTSKAHPPNPPSLTWHRKLNSHGNASSEISLCFKDIVHLAPIGYRLWRYCREEAAKGRIGMIDPFAKRSVTFGHGVPLGGIGAGSIGRSFRGEFQRWQLFPVVCEETPVLANQFSVFVSRPSGEKYSSVLCPAKQEIRKQNPVSGIESWDWNINGNRSTYHALYPRAWTIYEEPDPALRIICHQISPVIPHNYKESSFPVTVFTFTLKNLGNMSADVTLLFTWTNSVGGISEFTGDHFNSKKMLNDGVHAVLLHHKTANDRSPVTFAIAAEETEYVHISECPVFVVSGSYNGISAKDMWHEVKQHGSFDHLNFAETATPSEPGSSIGAAIAATVTVPSNAQRIVTFSLAWDCPEVKFPEGRTYYRRYTKFYGTHGDAAADIAHDAIIDGSLPINSLVNNTGERKFSLDGHISRLKNTNNLSHQNDTAINILEMFGSVAEQVHSPPASKSAYGVHLLQEGEENIGQFLYLEGIEYKMWNTYDVHFYASFSLVMLFPKLELSIQRDVAAAVLMHDPSKMKLLVDGQWVPRKVLGAVPHDIGLNNPWFEVNGYNLYNTDRWKDLNPKFVLQIYRDVVVTGDKKFAQAVWPAVYIAIAYMEQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWLKFQKAKAVYEKLWNGSYFNYDSSGGSTSSSIQADQLAGQWYARACGLSPIVDEKRSRSALQMVYDYNVMKVQDGRRGAVNGMLPDGKIDMSTMQSREIWSGVTYALAATMIQQNMIDMAFQTAGGVYETAWSDNGLGYSFQTPEAWTNKDEYRSLSYMRPLAIWAMQWELSRTKHAQYENISDIKEEDIMSKYHDGYSKVARLLKVKEETDSRSLFQLIYDFTCKRMWA >Vigun05g209600.1.v1.2 pep primary_assembly:ASM411807v1:5:40044091:40046186:1 gene:Vigun05g209600.v1.2 transcript:Vigun05g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPHPHNLHSTVTLHHFNKIITYQTQIHNNNNNNMASQQLARRENTTAERREKETVPKMATHFENLSGKEPPQALQGGNQVKERAGKATGDVGGRGKVIETQGLGAQFESLADKVKISDHAANVVGSEEREGRGGVRDVGKFEMKTEGGGRGNKDREKLETRTREVKGRTQRGRKNEGQVVAEKGRGSELGANATAAIASTLEQGDSNTRKPREESESEKSTLEQVDSKDLKKRYEKAKQAASETLNSTVQVATQAKDAAVEKGQQGCAITKDTISSAAKAASEKTAPVAEKAKGYTFQVAEKAKSAGGTTASYVGEKAVQAKDVAVEGGKTAAGYAAKVAGDLKDRAPVVGWAAAQFSTEMTVEGTKAVAHVVEGAAGYAGQKAAELASKSVGAVKCLAASAGESAKGYTARKKEEAQRELEAKRASQPQLAEERQSEGMGETVIQNAENAEKPKEGGGSDVGSKVKKPFDGGGTKEEGKGVTGQTLKNTDEKLGDANITGN >Vigun07g163500.1.v1.2 pep primary_assembly:ASM411807v1:7:27514368:27519519:1 gene:Vigun07g163500.v1.2 transcript:Vigun07g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMASYAASKGAWSMETEVKSSVQVNLNRQDLKYDGLRSLDKVHVRSTHASKKRSSLYAKSGREKVSEKIECGMNLIFVGAEVAPWSKTGGLGDVLGGLPPALAGHGHRVMTVSPRYDQYKDAWDTSVTVEVKVADRIETVRFFHCYKRGVDRVFVDHPCFLAKVWGKTGSKLYGPRAGVDYEDNQLRFSLLCQAALEAPRVLNLNSNKYFSGPYGEDVIFIANDWHTALLPCYLKSMYQNRGIYRHAKVAFCIHNIAYQGRHAFEDFPLLNLPNEYRSAFDFTDGHLKPVKGRKINWMKAAIIESDLVLTVSPYYAQELVSGEDRGVELDNIIRKNGISGIVNGMDNREWSPKTDKFIDMHFDTTTVMEAKCLLKEALQAEVGLPVDRDIPLIGFIGRLEEQKGSDILVEAIPEFIDQDVQIIILGTGKKSMEKQIEELEEIYPDKARGIAKFNGPLAHKIIAGSDFIVIPSRFEPCGLVQLHSMPYGTVPIVSSTGGLVDTVKEGFTGFHMGAFHVDCEAVDPDDVEKLSSTVKRALETYGTPAMEKIIRNCMAQDFSWKGPAKQWEKVLLSLDVAGSEAGIEGDEIAPLAKENVATP >Vigun01g040200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560639:5565235:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560639:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560663:5565236:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.8.v1.2 pep primary_assembly:ASM411807v1:1:5560663:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560663:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560640:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.9.v1.2 pep primary_assembly:ASM411807v1:1:5560663:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun01g040200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5560639:5565205:1 gene:Vigun01g040200.v1.2 transcript:Vigun01g040200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFHAKILGPKLHRLKPITFQTLFPPFPECCSLLLETSLRSLSISSYCCDPLLSSLLLTLKSSCSVSCCRVIHARVVKSLDYRDGFIGDQLVSCYLNKGSVPDAQKLFDEMPHKDSVSWNSLVSGFSKRGDLCNCLSVFSTMRSEMALELNELTMISIISACTSSKARDEGCYLHCCVMKLGMELEVKVVNALINMYGKFGSVDSAFKLFWAMPEQSMVSWNSMVAVWTQNGIPNEAVNYFNMMRVNGLFPDEATMVSLLQACENLHWGRLVEAMHGVVFTCGLDENITIATTLLNLYSKLGKLSVSHKVFAEISKPDKVALTAMLAGYAVHGRGKEAIEFFERSIREGLEPDHVTFTHLLSACSHSGLVREGKYYFQIMSEVYKVQTQLDHYSCMVDLLGRSGLVNDAHQLIKNMPLEPNCEVWGALLGACRVHRNTSIGKEAAENLIALNPSDPRNYIMLSNIYSAAGLWSDASKVRALMKTKVLTRNPGYSFIEHGNKIHRFLVDDYSHPDSDKIHKKLEETMRRIQEVGFVSETESILHDVDVEVKKDMINKHSEKIALAYGLLVCNADKPLVIIKNLRICRDCHNTAKFVSLIERRTIIIRDSKRFHHFSEGLCSCGDYW >Vigun02g104700.1.v1.2 pep primary_assembly:ASM411807v1:2:25945496:25947566:-1 gene:Vigun02g104700.v1.2 transcript:Vigun02g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEQPVLFRRSPSRRRLRPRFDTDDRGWTSLHVLARKGDLKLVKKLLDEGMNVNVSAWGPKSKGVTPLHLAAEGGHIGVMDVLLERGADIDARTKGACGWTPLHIAAKERRRDAVKFLIENGAFLPPDMNDSRFNPPLHYCPGLEWAYEEMKRHRLLDLSSGETSYSSES >Vigun10g134900.2.v1.2 pep primary_assembly:ASM411807v1:10:34541996:34572639:-1 gene:Vigun10g134900.v1.2 transcript:Vigun10g134900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGPFGKVVEGVISFVWRHSVRHVTYIVRYKQSVLELKDSVKDLGYEKDKIDHQCDEEAVRNLNNIEGKVTEWNRKVSEIETKVEKFENDDGHKRARSINCYVIPYFWNRHRLGRQATKMEVDVKNLIDKSPKFDEISYRQNLTSNEATLSNFDFIEFDSTKSTMEKVMRQLEDSNVRMVGLYGRGGVGKSALIKEIARIARDKKLFNVVIKVEITINPDLQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKKEKGNTLLILDDLWHKLDLNKLGIPIDDNDDNDDFSSDNKDPNHKGLKREKIIGGHKGCKILLTSREKRVLDVEMDVKPTFCVEQLDDKDALKLFQKLAGIHNVMPDSRQEIVKYCAGLPMAIVTVAKALRGKSELVWEAALGKLKKQELVGVQTYMDISVKMSYDHLENEEIKSIFLLCAQLGHQPLIMDLVKCCFGLGILEGVSSLWEARDKIKITIQKLKDSGLLLDGNSDIHFNMHDIVRDAALSIANKEKNVFTLRNGKLDDWPELSRCTSISLCNCDIIDELPLVNCSKLIFFQIDTNNPSLTIPEKFFVGMKNLKVLILTGFHLQRLPPSIKYLLKLRMLCLERCTLEDTLPIGELKKLRILSFFGSQLKNLPTELGCLDKLQLLDINDCSILETNIPPNILSGLKNLEELYIRKSLIKMLVEGEPNHGQNSFLSELKNLHQLKVLDLSIPCFSVFPNHLFFDKLKNYKIVVGDLEMFSVIGFKMIDKYETYRVLALQLSHDTSFHSQEDIKLLFKTVQSLLLGKVDDVVKVVNELNIDGFPDLKHLSITDSKAIKYVNSMELSNCVNVFTNLESLCLYNLKNLEMISYGPLTVASFSKLKSIKVNMCDQLVTLYSVYMVEFANSEESCEICKYNSYLDKFCASLETIEVSECETLKGIIQIPMNYDNVKFLKLQTLSFQSLPSFTCFYTEVEESCWPHPTKPQSENSSSEEDQKSDKAPPLFGELVEIPNLENLNLCSVNIHKIWSDQVSSSFCFQNLIKLVVKDCDKLTYLCSLTVASSLQKLKSLIISECPIMEKIFETKESNADKVCVFPKLEEIHITKMKRLRDIWHTKVNFDSFSSLISVNIEKCNKLDKIFPSNMEGWFESLDNLKVSKCQSVETIFEIKDSQEIDASGGISTNLQVILLEKLPKLKELWNTNPNGILNFRKLRIIEVYGCGELKNLFPASVAKDVSNLERMSILYCQEMVEIITCQDASEAIDDPLEFPELTYVRLYGLPNIKYFYKGRYPIKCPKLKELSVNECLKLKTFYKEISKTSEEEESFVFSAQKVLSKLEYMEIDFMEAQNLLPKYPMHYLKELSLISVEGVDFLNQFPYRMPNLEKLKLSFSIGFEPRANLAQQERLVIALELKELILLNATIKDLGFPILRRLELLSLEQCHGLSNLNPPSLSFTYLTCLKLKNCRGLRNLMASSTAKSMVQLKIMKVIDCHKVEEIVSNEGSEEGMMMMKIEFSKLISIELVGLRSMTSFCSYKECEFEFPSLEILIIRECLKMEKFSVREPIAPKIKDVFGVEGDEKTKWHWEGNLNATIHKIFSDKLSFTYTEDLFLKDDSIAQLWQASGWVQQNSFGYLKSLTLWRCDSVVHVIPSHLLSCFPNLEVLDVWRCSNAEVIFNMNDENRVITKPSPIFRLKSLYLSHLPKLEHVWEKDPEGIMGLQVLEEMKVDDCGRLKSLFPASLATTDLTRLRLLEVRRCKELREIFGKDEKVGEGTTQHSAFPPLTTLTLEQLPRLTIHCSKQQESTSNLSEEDIQELCLGSRSIPNSYFRLLESLTLDGCQVLSDVLLPFNLLHFLTNLETLEVRNFDYVKIIFDVKCTTQEREVASMGQISLKKLVVSKLPNLENVWNDNPYGILTLHHLQELHVEECKALTSVFPPSVAKDVVELENQVVEDVLLPFSLLPFLTNLETLEVRNCDSVKSIFDVKCATLDRDMTSVGQTLPFSLKKLVVSKLPNLENVWNDNPYGILTLHHLQELYVEECKALSSVFPPSVANDVIEFENQVGEDVLLPFSMLHFLTNLETLEVRNLDSVKVIFDVKCTTQGRDVTYMGQTLPFSLKKLNVSKLSNLKNVWNEDPQVILSMHHLQEVCCEECEGLTSVFPASKDKYLLKLENLVVKDCKGLMTIFAEDNIDPRTKLELTCPFVRSLELEGLPNFKYFYYSSLYLESHTENKVGSEKLLKCLSLGETGVNMILRGEFQPNLLDNIKALTLCLSSDLFGYRILERFPNIEKLVVCDGSFKEMFCCESPNNVLHQLKVLRLESLKVLVSIGLENSWTDSFVRNLETFEVISCESLENLVACTVCFSNLICLKVEGCHSLSYLLTSSTAKSLGQLKRMEIKNCDSIEEIVCKEESDEDEIIFAKLSCLNLELLFKLKSFYRGSLSFPSLEELSVTYCREMRTFCVGSVDAGKLSQVKFKYEEVIPLETDLNSIMWKKYLREISRLDLNSSKPELREIWSGSLSISNFCFSKLATLIVEDCPFLSDAVIPFHLFPLLSKLETLQVRNCYSVKTIFDVKSTTQDTSITFPLKTLVLWKLPNLETLWNEDTDGNPGHPEGTNPKLTFPTLTSLTLWDLPNFNHNIHDATPTSELIIPNLEDLTVGKNELKMIVDGEFQTNLLHNLKVLGLSFDNECDEFPEYGFLQQLPNVTKLMVWSSSFKLIFCHQRPNNSELLLQLKQLRLECLEKLVYIGLENPWTELFVRNLETFEVITCSSLENLATCTVSFTNLRCLKVENCDGLSYLFTSSTAKSLGRLERMEIKQCKSIEEIVCGEESDENEIIFPQLNCLNLEYLWNLRRFYKGRLNFPSLEELSVTRCEEMITLCPSTLKADKLTRVTIAYGKVISLDTDLNSTTRKEFGRKISELEVLDLKSRPKLSEMWHDPMYTPDLCFSELVNLIVKNCQFLSDAVLPFHLLPLLPKLETLEVGNCDSVKTIFDLKRTSKDTLVTLPLKKLSLSNLSNLENIWSEDPHGILRMHHLKEVHVKECKGLTSVFPASVAKDIVVDECEGLKAIVAEESKEDEIIFPQLMYLELESCNSLPYLFTSSTAKSLGQLKSMKIKECKSIEEIISKEGEESDENVKIIFEQLQDLYLEKLDELRYFYAGNFTLSFPSLEEVHIIKCSSMKTFSAFNKIDNPWYIQNMRDLEK >Vigun08g056200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:7010956:7011600:-1 gene:Vigun08g056200.v1.2 transcript:Vigun08g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQPNNFFYPRFPPPPPPPSQFYNPPPPPSHSFSPPPSPPFRSPPPPPPRPIGPSPPPPPRPVRPPPAPLPPSPSPSNPTVIIVVVVSVGGLFLLSMLAFALFCCVQRTTNKKKTQQETDVVHFHEHKRVEETIVPGPFGRDTVVVTVEDDVHIDEEIKKSEKVGHHNLHAKSSSPPEPDQGTSTSIVQVAATPPSAPHHHDDLHHQLENKP >Vigun01g085400.1.v1.2 pep primary_assembly:ASM411807v1:1:24102857:24105132:1 gene:Vigun01g085400.v1.2 transcript:Vigun01g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIVLNKGEEPLDLPPGFRFHPTDEEIITHYLTEKVKDSSFSAIAIGEADLNKCEPWDLPKKAKIGEKEWYFFCQKDRKYPTGMRTNRATESGYWKATGKDKEIYKGKGNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFASYNLPKAAKDEWVVSRVFHKNTDVKKSSIPGLLRINSIGDDLLDYSSLPPLMDPPYGNTNPISSTKSQSEGYYLPSFSINNHHQLLIKPEDHHRSYEINPTISYTSNQPNLTNNVNPIGNNTLSSQPSNIFSDYYVHQNRIRNSIMPSSIEASGFGSNHDQAILRAFAAKNNEHLQDGTILLEKQCKMEQFSSNHSQDTGLSNDRNTETSSVVSKQDNMGRNRTLYEDLEGPSSVAPLSDLECLQWDDY >Vigun01g203500.3.v1.2 pep primary_assembly:ASM411807v1:1:37966545:37971209:-1 gene:Vigun01g203500.v1.2 transcript:Vigun01g203500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLLLIVFTFVSVVINVRSEYEFLDQNDAVSMAARPLMVPLTLIPGADSKGAVCLDGTLPGYHFHPGFGSGANSWLIQLEGGGWCNTIRSCVFRKTTRRGSSKFMEKQLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFSGDSQDEAAQLQFRGQKIWEAAMEELLSKGMKKANQALLSGCSAGGLASIIHCDEFRTLFPPSTKVKCLSDAGFFLDAVDVAGVRTMRNLFGGVVKLQEVQKNLPKSCLSELDPTSCFFPQNLINHVQTPLFLLNAAYDSWQVQESLVSRSADPHGSWNDCKHDRALCNSSQIHIFQDFRNQMLNYIKGFSGEPRTGLFINSCFAHCHSELQDTWFADDSPAIGKTPVAISVGQWYFDRKTVKAIDCPYPCDKTCHNSVFK >Vigun01g203500.2.v1.2 pep primary_assembly:ASM411807v1:1:37965489:37971209:-1 gene:Vigun01g203500.v1.2 transcript:Vigun01g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLLLIVFTFVSVVINVRSEYEFLDQNDAVSMAARPLMVPLTLIPGADSKGAVCLDGTLPGYHFHPGFGSGANSWLIQLEGGGWCNTIRSCVFRKTTRRGSSKFMEKQLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFSGDSQDEAAQLQFRGQKIWEAAMEELLSKGMKKANQALLSGCSAGGLASIIHCDEFRTLFPPSTKVKCLSDAGFFLDAVDVAGVRTMRNLFGGVVKLQEVQKNLPKSCLSELDPTSCFFPQNLINHVQTPLFLLNAAYDSWQVQESLVSRSADPHGSWNDCKHDRALCNSSQIHIFQDFRNQMLNYIKGFSGEPRTGLFINSCFAHCHSELQDTWFADDSPAIGKTPVAISVGQWYFDRKTVKAIDCPYPCDKTCHNSVFK >Vigun01g203500.1.v1.2 pep primary_assembly:ASM411807v1:1:37965489:37971209:-1 gene:Vigun01g203500.v1.2 transcript:Vigun01g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLLLIVFTFVSVVINVRSEYEFLDQNDAVSMAARPLMVPLTLIPGADSKGAVCLDGTLPGYHFHPGFGSGANSWLIQLEGGGWCNTIRSCVFRKTTRRGSSKFMEKQLAFTGILSNKAEENPDFFNWNRVKVRYCDGASFSGDSQDEAAQLQFRGQKIWEAAMEELLSKGMKKANQALLSGCSAGGLASIIHCDEFRTLFPPSTKVKCLSDAGFFLDAVDVAGVRTMRNLFGGVVKLQEVQKNLPKSCLSELDPTSCFFPQNLINHVQTPLFLLNAAYDSWQVQESLVSRSADPHGSWNDCKHDRALCNSSQIHIFQDFRNQMLNYIKGFSGEPRTGLFINSCFAHCHSELQDTWFADDSPAIGKTPVAISVGQWYFDRKTVKAIDCPYPCDKTCHNSVFNGDDTSTMTMTYSRSTRLTRSASLYILSILLLFTYSYCTMVSLPLLIQSYI >Vigun05g145900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19942847:19943599:1 gene:Vigun05g145900.v1.2 transcript:Vigun05g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLVALFLLSALTFYPPSTTAQPVKDANGTIVKNGGVYYILPRFWGGGGGGIKRAITGDETSPLSVVQSPFETDPGQGLLNTELAT >Vigun08g101601.1.v1.2 pep primary_assembly:ASM411807v1:8:25133255:25146288:-1 gene:Vigun08g101601.v1.2 transcript:Vigun08g101601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKYVFGEEYKYKPAKVVFLNCSNGVSDDPRYVEVKADGCDSGGHIYAVLVGGVTAEGFPAVFTGMDVKVGCRLKVATFANWTHDRKDSCAVILKSLEEGFWLTWLRLACWDQCGKGMSCAFNQTTQQLQCTDCDMFHFYIRNCGNLSRIEGYLTGFFQRIKMTRRSLPVENDLGEVIGQDILPIFIIARYLFIFSLLLVLIIYKWRRRHFSMYENIENFLLENNINPIRYEYRAVKKMTKGFKVKLGEGGFGCVYKGKLRSGLDVAVKMLSKSKDNGQEFVNEVATIGRIHHVNVVSLIGYCVEGKKRGLIYEYMSKGSLDQYIFSKEGSVPLSYEKIYEISLGIAHGILYLHRGCDVQILHFDIKPHNILLDDNFIPKVSDFGLAKLYPTKDGSIILTTIRGTLGYMAPELFYKNVGGVSYKADVYSFGMLLMEITSRRKNSNPLAQHSSQHYFPFWIHDQFKEEKNIDMKDASEMDNILMKKMFIVALWCIQFKPSDRPSMSKVIEMLEAKVETLEIPPKPSFYLHEILEHGGAIYSDETPWSDSISSNVNVDTNMPNK >Vigun09g258000.2.v1.2 pep primary_assembly:ASM411807v1:9:42363505:42370423:1 gene:Vigun09g258000.v1.2 transcript:Vigun09g258000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSALNISLQYPPARRDDTVVEDYHGVKIADPYRWLEDPDAEEVKEFVQEQVKLTDSVLQKCETREKLRETITKLFDHPRYDAPFRRADKYFYFHNTGLQPQDILYVQESLEGEAEVLLDPNGFSEDGTVSLSTLSVSEDGKYLAYALSSSGSDWTTIKVLRIDDRNVEPDTLLWVKFSSISWTHDNKGFFYSRYPAPKDREVVDAGTETNANLHHQLYYHFLGTDQSEDILCWRDPENPKYSFGGGVTDDGQYILLNISEDCDPVNKLYYCDLSKIPNGLESFRSGNSLLPFVKLIDNFDARYEVIANDDTVFTFLTNKDAPKYKLVRVDLKEPTTWVDVVQESEKDVLESACAVNGNQLIVSYLSDVKYALQIRDLKTGSLLHQLPIDIGSVSEVSGRREDSVVFISFTSFLTPGIIYQCNLGTEIPDMKIFREIVVPGFDRSEFHVKQDFVSSKDGNKIPVFIVAKRDIILDGSHPCLLYGYGGFNISITPYFSVSRIVITRHLGVVFCIANIRGGGEYGEEWHKAGSLARKQNCFDDFISAAEYLVSTGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSEKEEEFHWLIKYSPLHNVRRPWEQHTDQSIQYPSTMLLTADHDDRVVPLHTLKLLATMQHVLCTSLEESPQTNPIIGRIDCKSGHGAGRPTQKMIDEAADRYSFMAKMLEVHWIE >Vigun09g258000.1.v1.2 pep primary_assembly:ASM411807v1:9:42363503:42370504:1 gene:Vigun09g258000.v1.2 transcript:Vigun09g258000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSALNISLQYPPARRDDTVVEDYHGVKIADPYRWLEDPDAEEVKEFVQEQVKLTDSVLQKCETREKLRETITKLFDHPRYDAPFRRADKYFYFHNTGLQPQDILYVQESLEGEAEVLLDPNGFSEDGTVSLSTLSVSEDGKYLAYALSSSGSDWTTIKVLRIDDRNVEPDTLLWVKFSSISWTHDNKGFFYSRYPAPKDREVVDAGTETNANLHHQLYYHFLGTDQSEDILCWRDPENPKYSFGGGVTDDGQYILLNISEDCDPVNKLYYCDLSKIPNGLESFRSGNSLLPFVKLIDNFDARYEVIANDDTVFTFLTNKDAPKYKLVRVDLKEPTTWVDVVQESEKDVLESACAVNGNQLIVSYLSDVKYALQIRDLKTGSLLHQLPIDIGSVSEVSGRREDSVVFISFTSFLTPGIIYQCNLGTEIPDMKIFREIVVPGFDRSEFHVKQDFVSSKDGNKIPVFIVAKRDIILDGSHPCLLYGYGGFNISITPYFSVSRIVITRHLGVVFCIANIRGGGEYGEEWHKAGSLARKQNCFDDFISAAEYLVSTGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSEKEEEFHWLIKYSPLHNVRRPWEQHTDQSIQYPSTMLLTADHDDRVVPLHTLKLLATMQHVLCTSLEESPQTNPIIGRIDCKSGHGAGRPTQKMIDEAADRYSFMAKMLEVHWIE >Vigun11g187600.1.v1.2 pep primary_assembly:ASM411807v1:11:38765609:38777289:-1 gene:Vigun11g187600.v1.2 transcript:Vigun11g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSAAPSSPSSGPTTRSSKRARLSSSSSASAAATATASLSSVNTRSRASRTKEQPLPPKNPPPKNPPPMDSANESSGSRRDRRSKDNSDKGKEKEHDVRIRDRDADRGLALNMDGGAEDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQNGRLKKILFGLRAEGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHENNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDFVMEAVPLLTNLLHYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISNSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSSNASVSPALSRPPEQIFEIVNLANELLPPLPQGTISLPIMANMFMKGPIVRKSPAGSSGKQEDSNGNVPEISAREKLLNDQPELLKQFAMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTAEMIQSLLSVTNISSFLAGVLAWKDPHVLVPALKIADILMEKLPETFSKMFIREGVVHAVDQLILPANSTNISTQASSAEKDNDSISGASSRSRRYRRRSGSSNPDGNPLDDLKAPVSVNVGSPPSSVDIPTISIRTSVSAAAKVFKDKYFPSDAGASEVGITDDLLNLKNLCMKLNTGADEERTNGKGKSKSSGFVLEEYLIGVIADMLKELGKGDGVSTFEFIGSGVVAALLNYFSCGYFSKDKSLETRLPNLRQQALTRFKLFIAVALPSSTEVDTVPPMTVLVQKLQNALSSLERFPVVLSHSSRSSSGSARLSSGLSALSHPFKLRLCRAQGEKSLKDYSSNVVLVDPLASLAAIEEFLWSRIQRSESGQKSTVPAGHSESGTTPAGAGVSTPSTTRRHSTRSRSSVNIGDTSRKQILQDKSTSSSKSKGKAVLKPAQEEPRGPQTRNATRRRAALDKDAQAKPVNGDSTSEDEDLDISPVEIDEALVIEDDDISDDEDDDHEDVLRDDSLPLVCSPDKVHDVKLGDLAEESTVAPAASDGQTNAASGSSSKAGTVRGSDSADFRSGYTSSSRGAMSFAAAAMAGLGSANNRGIRGGRDRLGRPLFGSSNDPPKLIFTAGGKQLNRHLTIYQAIQRQLVHDEDEDERFAGSNDYVSSDGSRLWGDIYTITYQRSENQTDRATPGGSSSNASKSGKSGSASNSGSEAKLHQTSVLDSILQGELPCELEKSNPTYNILALLRVLEGLNQLAPRLRAQVVTDEFAEGKILDLDELSVTSGARVPAEEFISSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREIRVGRLQRQKVRVSRNRILDSAAKVMELYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRVALRMWRSGSSEKYSMEIDGNERKMKSTDGSLAGDGELVQAPLGLFPRPWPANADTSEGTPFFRVIEYFRLLGRVMAKALQDGRLLDLPLSVAFYKLVLGQDLDLHDILFIDAELGKTLQELNALVCRKRYIESFGGCDTDKIGNLHFRGAQIEDLCFDFTLPGYPEYILKPRDEIVDINNLEEYISMVVEATVKTGIIRQMEAFRAGFNQVFEISSLQIFTPQELDYLLCGRRELWKTETLADHIKFDHGYTAKSPAIVNLLEIMGEFSPEQQRAFCQFVTGAPKLPPGGLAVLNPKLTIVRKLSSSAANASSNGNGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS >Vigun11g187600.2.v1.2 pep primary_assembly:ASM411807v1:11:38765609:38777289:-1 gene:Vigun11g187600.v1.2 transcript:Vigun11g187600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSAAPSSPSSGPTTRSSKRARLSSSSSASAAATATASLSSVNTRSRASRTKEQPLPPKNPPPKNPPPMDSANESSGSRRDRRSKDNSDKGKEKEHDVRIRDRDADRGLALNMDGGAEDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQNGRLKKILFGLRAEGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHENNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDFVMEAVPLLTNLLHYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISNSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSSNASVSPALSRPPEQIFEIVNLANELLPPLPQGTISLPIMANMFMKGPIVRKSPAGSSGKQEDSNGNVPEISAREKLLNDQPELLKQFAMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTAEMIQSLLSVTNISSFLAGVLAWKDPHVLVPALKIADILMEKLPETFSKMFIREGVVHAVDQLILPANSTNISTQASSAEKDNDSISGASSRSRRYRRRSGSSNPDGNPLDDLKAPVSVNVGSPPSSVDIPTISIRTSVSAAAKVFKDKYFPSDAGASEVGITDDLLNLKNLCMKLNTGADEERTNGKGKSKSSGFVLEEYLIGVIADMLKELGKGDGVSTFEFIGSGVVAALLNYFSCGYFSKDKSLETRLPNLRQQALTRFKLFIAVALPSSTEVDTVPPMTVLVQKLQNALSSLERFPVVLSHSSRSSSGSARLSSGLSALSHPFKLRLCRAQGEKSLKDYSSNVVLVDPLASLAAIEEFLWSRIQRSESGQKSTVPAGHSESGTTPAGAGVSTPSTTRRHSTRSRSSVNIGDTSRKQILQDKSTSSSKSKGKAVLKPAQEEPRGPQTRNATRRRAALDKDAQAKPVNGDSTSEDEDLDISPVEIDEALVIEDDDISDDEDDDHEDVLRDDSLPLVCSPDKVHDVKLGDLAEESTVAPAASDGQTNAASGSSSKAGTVRGSDSADFRSGYTSSSRGAMSFAAAAMAGLGSANNRGIRGGRDRLGRPLFGSSNDPPKLIFTAGGKQLNRHLTIYQAIQRQLVHDEDEDERFAGSNDYVSSDGSRLWGDIYTITYQRSENQTDRATPGGSSSNASKSGKSGSASNSGSEAKLHQTSVLDSILQGELPCELEKSNPTYNILALLRVLEGLNQLAPRLRAQVVTDEFAEGKILDLDELSVTSGARVPAEEFISSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREIRVGRLQRQKVRVSRNRILDSAAKVMELYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRVALRMWRSGSSEKYSMEIDGNERKMKSTDGSLAGDGELVQAPLGLFPRPWPANADTSEGTPFFRVIEYFRLLGRVMAKALQDGRLLDLPLSVAFYKLVLGQDLDLHDILFIDAELGKTLQELNALVCRKRYIESFGGCDTDKIGNLHFRGAQIEDLCFDFTLPGYPEYILKPRDEIVDINNLEEYISMVVEATVKTGIIRQMEAFRAGFNQVFEISSLQIFTPQELDYLLCGRRELWKTETLADHIKFDHGYTAKSPAIVNLLEIMGEFSPEQQRAFCQFVTGAPKLPPGGLAVLNPKLTIVRKLSSSAANASSNGNGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS >Vigun02g045400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18183842:18185686:1 gene:Vigun02g045400.v1.2 transcript:Vigun02g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGLMDPEINHNKSFHRRNDSGELDVFEAARYFSGYSEVVGYTASTFTQKMMREERHHAHRARISLDMPMRSLLPQQFHGMEKQIMKEKKHKQPSSPGGRLASFLNSLFSQSASKKKKSKSSSQSMKDEDESPGGRRRRRSSISHFRSASTADSKSLYSSLSSGFRTPPYVQTPTKSCKEFRTFSDHKHAQFLSAKYNNGHARSTTTTLQNELLWDEKKKREPTTTTTTTLIDDNSHNHKHKHMNGLSSSSSSEKQRNKGSHESVEKDRMLVEKEISEIRKFNEVDDGAESDSSSDLFELQNYDLGYYSSGLPVYETTNMDSIKRGAPISNGPL >Vigun06g074700.1.v1.2 pep primary_assembly:ASM411807v1:6:20565183:20565576:1 gene:Vigun06g074700.v1.2 transcript:Vigun06g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASALFPCLIVLFLMTFGTEFADACDFKCNTADDCGNLHCLLPCCLCKKRCENNCCFCDCMAKPGQNVLRET >Vigun11g162000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36930098:36932690:1 gene:Vigun11g162000.v1.2 transcript:Vigun11g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKTGCQAPPEGPILCINNCGFFGSAATMNMCSKCHKDMLLKQEQAKLAASSIGNIMNGSSSSTENEPVVAANVEIPLISVEPKTVSVQPLFGSVSEGSEEAKPKDGPKRCSNCNKRVGLTGFNCRCGNLFCAVHRYSDKHNCPFDYRTAARDAIAKANPVVKAEKLDKI >Vigun11g162000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36929882:36932690:1 gene:Vigun11g162000.v1.2 transcript:Vigun11g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKTGCQAPPEGPILCINNCGFFGSAATMNMCSKCHKDMLLKQEQAKLAASSIGNIMNGSSSSTENEPVVAANVEIPLISVEPKTVSVQPLFGSVSEGSEEAKPKDGPKRCSNCNKRVGLTGFNCRCGNLFCAVHRYSDKHNCPFDYRTAARDAIAKANPVVKAEKLDKI >Vigun02g001700.1.v1.2 pep primary_assembly:ASM411807v1:2:979624:982050:-1 gene:Vigun02g001700.v1.2 transcript:Vigun02g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKSLRQVKKSKSYRLEKCSPEMEKHQFTAPSEQYYTGFVTKKSPPPAVEFPTSPQLIFGEEIVHFSHPQHPLSMVDLPDLFNCVGCKEYGSGKRFVCQQCEFQMHDFCALAPTALKAHPFHSQHSLLFHSKPGKSGMGGKVKCDVCGKPTKGFAFLCTACAFQMHPCCAMLNTEIDFPSHPHTLRILPATSSAAVDPASFVCAECKKRRSGKVYRCTVCDYHLHAACAKTKINGLLANGIRPPQKPSVLAAAARVASQVVIEFIGGLVEGIGESVGDVLVQNIAKGNTVSPANASPKPRYR >Vigun02g161300.1.v1.2 pep primary_assembly:ASM411807v1:2:30703068:30705513:-1 gene:Vigun02g161300.v1.2 transcript:Vigun02g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTSFFDSRNRWNYDTLKNFRLISPLVQNHLKKVYFTLCFAVVAAAVGAYLHVLLNVGGFLTTVACVGSSVWLLSTPPSEEKKRVTLLMAASLFQGASIGPLIDLAIQIDPSLIFSAFVATSLAFACFSGAALVARRREYLYLGGLVSSGLSILLWLHFASSIFGGSTALFKFELYFGLLVFVGYIIVDTQEIVERAHLGDLDYVKHALTLFTDLVAVFVRILVIMLKNSAERNEKKKKRRD >Vigun01g214400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38802749:38803501:-1 gene:Vigun01g214400.v1.2 transcript:Vigun01g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLFSLNSLVERKKPQETKDELAIVKAAAWAWYLHGSGSKAKAKNEFDVKRSQTVARPSRYKLEAMRMAKETPSIRPIKPLLDSYEVQRISKQLDGLIKESGQNNIGNGCSNADNSDRKDNGNRRMKNKQRMNKRANMVGGTALSVKCVPTVNLAMCLPKANHAL >Vigun03g149350.1.v1.2 pep primary_assembly:ASM411807v1:3:15346281:15348287:-1 gene:Vigun03g149350.v1.2 transcript:Vigun03g149350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPPTFKVDEYDLWKKKIMLFLIAINSNMINIIRNDIPILLDNKGKILPRSTWDKSHRYKAKLNAKATYALLCALLEDNVTKVITMAIASELWKAIVPSHNEPLENSEKLESDQEENSNLMENVVDKFPPDNK >Vigun09g220100.2.v1.2 pep primary_assembly:ASM411807v1:9:39379790:39393505:-1 gene:Vigun09g220100.v1.2 transcript:Vigun09g220100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIMSLRHGTKVWVHDRDLAWIPAEVVESSGNNVTVATASGNKVIALSQNVFPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDIHMMEQYKGTPFGELSPHVYAVADASYRAMMNGGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDVEKYKLGHPRHFHYLNQSKVYELDGINSAEEYMKTRRAMDIVGISHEDQEAIFCTLAAILHLGNIEFSPGKEHDSSVLKDDKSRFHLQMAANLFRCDLDLLLATLCTRSIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINSSVGQDINSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFKHFLSHPRLEKEKFSETDFTLSHYAGKVTYHTETFLDKNRDYVVLEHCNLLSSSKLPFVSALFPLLTEESSRSSYKFSSVASRFKQQLQALMETLNTTEPHYIRCVKPSSLNRPQKFENTSVIHQLRCGGVLEAVRISLAGYPTRRIYSEFVDRFGLIAPEFMDGSYDDKAVTLKILQKLKLENFQLGRTKVFLRAGQICILDSRRAEVLDNAAKCIQRQLRMFIARRDFISIRAATLSLQACCRGCISRKIYASKRETAAAISIQKYTRMCLMRHAYVKLGYCAIIVQSNVRGFTTRQRFLHRKEHKAATYVQAYWRMCKVRSAFLKHQNSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQLEELTWRLHLEKKIRYFYVASMWERRMLSRTWYCS >Vigun09g220100.5.v1.2 pep primary_assembly:ASM411807v1:9:39372677:39393504:-1 gene:Vigun09g220100.v1.2 transcript:Vigun09g220100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIMSLRHGTKVWVHDRDLAWIPAEVVESSGNNVTVATASGNKVIALSQNVFPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDIHMMEQYKGTPFGELSPHVYAVADASYRAMMNGGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDVEKYKLGHPRHFHYLNQSKVYELDGINSAEEYMKTRRAMDIVGISHEDQEAIFCTLAAILHLGNIEFSPGKEHDSSVLKDDKSRFHLQMAANLFRCDLDLLLATLCTRSIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINSSVGQDINSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFKHFLSHPRLEKEKFSETDFTLSHYAGKVTYHTETFLDKNRDYVVLEHCNLLSSSKLPFVSALFPLLTEESSRSSYKFSSVASRFKQQLQALMETLNTTEPHYIRCVKPSSLNRPQKFENTSVIHQLRCGGVLEAVRISLAGYPTRRIYSEFVDRFGLIAPEFMDGSYDDKAVTLKILQKLKLENFQLGRTKVFLRAGQICILDSRRAEVLDNAAKCIQRQLRMFIARRDFISIRAATLSLQACCRGCISRKIYASKRETAAAISIQKYTRMCLMRHAYVKLGYCAIIVQSNVRGFTTRQRFLHRKEHKAATYVQAYWRMCKVRSAFLKHQNSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQLEELTWRLHLEKKIRISNEEVKHVEIFKLQQMVEALNLELDAAKLATINECNRNAVLENQLQLSLKEKSSLERELVAMDEVRKENAQLKGSLDAFEKKSMALELELVSARKDQDKTIQKMREFENKCSQLGQDVKSLQEKLFTLEDENHVLRQKALTVSPKSNHRGLTKSFSEKHSNAIAPRTEQKPIFESPTPTKLISPFTHGSFSDPRRSKLTAERLQDNNELLSRCIKEDLGFKNGKPLAACIIYKCLHHWHAFESERTAIFDYIVDGINDVLKVRDDDTVLPYWLSNTSALLCLLQRNLHSNGFLTATAQRYARSSGLTSRIGHKLRSPLKLIGYEDSTVHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLASCIQSQKVAHPKTP >Vigun09g220100.4.v1.2 pep primary_assembly:ASM411807v1:9:39368452:39393504:-1 gene:Vigun09g220100.v1.2 transcript:Vigun09g220100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIMSLRHGTKVWVHDRDLAWIPAEVVESSGNNVTVATASGNKVIALSQNVFPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDIHMMEQYKGTPFGELSPHVYAVADASYRAMMNGGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDVEKYKLGHPRHFHYLNQSKVYELDGINSAEEYMKTRRAMDIVGISHEDQEAIFCTLAAILHLGNIEFSPGKEHDSSVLKDDKSRFHLQMAANLFRCDLDLLLATLCTRSIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINSSVGQDINSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFKHFLSHPRLEKEKFSETDFTLSHYAGKVTYHTETFLDKNRDYVVLEHCNLLSSSKLPFVSALFPLLTEESSRSSYKFSSVASRFKQQLQALMETLNTTEPHYIRCVKPSSLNRPQKFENTSVIHQLRCGGVLEAVRISLAGYPTRRIYSEFVDRFGLIAPEFMDGSYDDKAVTLKILQKLKLENFQLGRTKVFLRAGQICILDSRRAEVLDNAAKCIQRQLRMFIARRDFISIRAATLSLQACCRGCISRKIYASKRETAAAISIQKYTRMCLMRHAYVKLGYCAIIVQSNVRGFTTRQRFLHRKEHKAATYVQAYWRMCKVRSAFLKHQNSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQLEELTWRLHLEKKIRISNEEVKHVEIFKLQQMVEALNLELDAAKLATINECNRNAVLENQLQLSLKEKSSLERELVAMDEVRKENAQLKGSLDAFEKKSMALELELVSARKDQDKTIQKMREFENKCSQLGQDVKSLQEKLFTLEDENHVLRQKALTVSPKSNHRGLTKSFSEKHSNAIAPRTEQKPIFESPTPTKLISPFTHGSFSDPRRSKLTAERLQDNNELLSRCIKEDLGFKNGKPLAACIIYKCLHHWHAFESERTAIFDYIVDGINDVLKVRDDDTVLPYWLSNTSALLCLLQRNLHSNGFLTATAQRYARSSGLTSRIGHKLRSPLKLIGYEDSTVHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLASCIQAPKTGRMLGGKSSKSPGGLPLQSPVAQWDNIIKFLDSLMSRMRVNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCNFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPFTLTGINSEANLSNLHYVLGRQVCNPECVQRGS >Vigun09g220100.3.v1.2 pep primary_assembly:ASM411807v1:9:39368452:39393504:-1 gene:Vigun09g220100.v1.2 transcript:Vigun09g220100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIMSLRHGTKVWVHDRDLAWIPAEVVESSGNNVTVATASGNKVIALSQNVFPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDIHMMEQYKGTPFGELSPHVYAVADASYRAMMNGGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDVEKYKLGHPRHFHYLNQSKVYELDGINSAEEYMKTRRAMDIVGISHEDQEAIFCTLAAILHLGNIEFSPGKEHDSSVLKDDKSRFHLQMAANLFRCDLDLLLATLCTRSIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINSSVGQDINSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFKHFLSHPRLEKEKFSETDFTLSHYAGKVTYHTETFLDKNRDYVVLEHCNLLSSSKLPFVSALFPLLTEESSRSSYKFSSVASRFKQQLQALMETLNTTEPHYIRCVKPSSLNRPQKFENTSVIHQLRCGGVLEAVRISLAGYPTRRIYSEFVDRFGLIAPEFMDGRDFISIRAATLSLQACCRGCISRKIYASKRETAAAISIQKYTRMCLMRHAYVKLGYCAIIVQSNVRGFTTRQRFLHRKEHKAATYVQAYWRMCKVRSAFLKHQNSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQLEELTWRLHLEKKIRISNEEVKHVEIFKLQQMVEALNLELDAAKLATINECNRNAVLENQLQLSLKEKSSLERELVAMDEVRKENAQLKGSLDAFEKKSMALELELVSARKDQDKTIQKMREFENKCSQLGQDVKSLQEKLFTLEDENHVLRQKALTVSPKSNHRGLTKSFSEKHSNAIAPRTEQKPIFESPTPTKLISPFTHGSFSDPRRSKLTAERLQDNNELLSRCIKEDLGFKNGKPLAACIIYKCLHHWHAFESERTAIFDYIVDGINDVLKVRDDDTVLPYWLSNTSALLCLLQRNLHSNGFLTATAQRYARSSGLTSRIGHKLRSPLKLIGYEDSTVHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLASCIQAPKTGRMLGGKSSKSPGGLPLQSPVAQWDNIIKFLDSLMSRMRVNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCNFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRICTMYWDDKYATQSVSNEVVNQMREIVSMDNQSLTTNSFLLDDDMSIPFSAEDIDMAIPAINTDDIDPPAFLCEYPCAQFLILHEK >Vigun09g220100.1.v1.2 pep primary_assembly:ASM411807v1:9:39368452:39393504:-1 gene:Vigun09g220100.v1.2 transcript:Vigun09g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIMSLRHGTKVWVHDRDLAWIPAEVVESSGNNVTVATASGNKVIALSQNVFPRDADEDEHGGVEDMTRLAYLNEPGVLYNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDIHMMEQYKGTPFGELSPHVYAVADASYRAMMNGGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDVEKYKLGHPRHFHYLNQSKVYELDGINSAEEYMKTRRAMDIVGISHEDQEAIFCTLAAILHLGNIEFSPGKEHDSSVLKDDKSRFHLQMAANLFRCDLDLLLATLCTRSIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINSSVGQDINSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFKHFLSHPRLEKEKFSETDFTLSHYAGKVTYHTETFLDKNRDYVVLEHCNLLSSSKLPFVSALFPLLTEESSRSSYKFSSVASRFKQQLQALMETLNTTEPHYIRCVKPSSLNRPQKFENTSVIHQLRCGGVLEAVRISLAGYPTRRIYSEFVDRFGLIAPEFMDGSYDDKAVTLKILQKLKLENFQLGRTKVFLRAGQICILDSRRAEVLDNAAKCIQRQLRMFIARRDFISIRAATLSLQACCRGCISRKIYASKRETAAAISIQKYTRMCLMRHAYVKLGYCAIIVQSNVRGFTTRQRFLHRKEHKAATYVQAYWRMCKVRSAFLKHQNSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQLEELTWRLHLEKKIRISNEEVKHVEIFKLQQMVEALNLELDAAKLATINECNRNAVLENQLQLSLKEKSSLERELVAMDEVRKENAQLKGSLDAFEKKSMALELELVSARKDQDKTIQKMREFENKCSQLGQDVKSLQEKLFTLEDENHVLRQKALTVSPKSNHRGLTKSFSEKHSNAIAPRTEQKPIFESPTPTKLISPFTHGSFSDPRRSKLTAERLQDNNELLSRCIKEDLGFKNGKPLAACIIYKCLHHWHAFESERTAIFDYIVDGINDVLKVRDDDTVLPYWLSNTSALLCLLQRNLHSNGFLTATAQRYARSSGLTSRIGHKLRSPLKLIGYEDSTVHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLASCIQAPKTGRMLGGKSSKSPGGLPLQSPVAQWDNIIKFLDSLMSRMRVNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCNFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRICTMYWDDKYATQSVSNEVVNQMREIVSMDNQSLTTNSFLLDDDMSIPFSAEDIDMAIPAINTDDIDPPAFLCEYPCAQFLILHEK >Vigun03g098100.3.v1.2 pep primary_assembly:ASM411807v1:3:8332969:8336020:1 gene:Vigun03g098100.v1.2 transcript:Vigun03g098100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWNMMDERKLNIDAPLMSVRRSFGTPPSLTETNRRMLEKPQTLPHCESDTTLDQVTDPVAVPFNWEHIPGRPKDYDGSETQPPIQASIAPTPTLPPGKSTNHTKQPLEKDTNVANNFRCPTTSNSFSEKIDRDRERKDVKTEKVEENDDYDDDDGDVFSDALETLSPTEPLSMNCSLSGVSGLDNLDSNMCGASSTDKQAHDFMMSRFLHAAKAMTIQPPQYVSSRKQSVLQEQPREFIKLVPEQKKSFVNRYITDIVPYNGQCQEDEEEESGDETNDYANISAKGCGLLPRLCVRNSLCMLNPVTGTKMGNQLSLYSAYEVGKPDKSSHIRSHRPAPVIKKAWDAINMRKSSSRAASPEKQDLRKKWTSESSRYTYSGEMKQLGRLSPFRRSRTAGAGAGMSPFPSKSQPLFPGDSKQAHNNLSGKLKFPSRGSASIQEMLSHGAKRSSSSGSFTIEKTLYIDTASTVKSSCSSSRSLGSATVAGKERNSLLDSFRDIKHLHALDENLGSQDLNSVDVNSSTLPSMVYLMAKEDKADQEINQESTSLQLVPSSFDKDAEINNQQIVVIDDQRKVGTEYVLHPLAPPLPKSPSESWLCRALPLVSSKNSFPHKNQGTQSKSKRQGFSRASSYTKWETIVKSSNVNHDQISCSKELVVYRSQHSKS >Vigun03g098100.2.v1.2 pep primary_assembly:ASM411807v1:3:8332202:8336020:1 gene:Vigun03g098100.v1.2 transcript:Vigun03g098100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWNMMDERKLNIDAPLMSVRRSFGTPPSLTETNRRMLEKPQTLPHCESDTTLDQVTDPVAVPFNWEHIPGRPKDYDGSETQPPIQASIAPTPTLPPGKSTNHTKQPLEKDTNVANNFRCPTTSNSFSEKIDRDRERKDVKTEKVEENDDYDDDDGDVFSDALETLSPTEPLSMNCSLSGVSGLDNLDSNMCGASSTDKQAHDFMMSRFLHAAKAMTIQPPQYVSSRKQSVLQEQPREFIKLVPEQKKSFVNRYITDIVPYNGQCQEDEEEESGDETNDYANISAKGCGLLPRLCVRNSLCMLNPVTGTKMGNQLSLYSAYEVGKPDKSSHIRSHRPAPVIKKAWDAINMRKSSSRAASPEKQDLRKKWTSESSRYTYSGEMKQLGRLSPFRRSRTAGAGAGMSPFPSKSQPLFPGDSKQAHNNLSGKLKFPSRGSASIQEMLSHGAKRSSSSGSFTIEKTLYIDTASTVKSSCSSSRSLGSATVAGKERNSLLDSFRDIKHLHALDENLGSQDLNSVDVNSSTLPSMVYLMAKEDKADQEINQESTSLQLVPSSFDKDAEINNQQIVVIDDQRKVGTEYVLHPLAPPLPKSPSESWLCRALPLVSSKNSFPHKNQGTQSKSKRQGFSRASSYTKWETIVKSSNVNHDQISCSKELVVYRSQHSKS >Vigun03g098100.1.v1.2 pep primary_assembly:ASM411807v1:3:8332202:8336020:1 gene:Vigun03g098100.v1.2 transcript:Vigun03g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWNMMDERKLNIDAPLMSVRRSFGTPPSLTETNRRMLEKPQTLPHCESDTTLDQVTDPVAVPFNWEHIPGRPKDYDGSETQPPIQASIAPTPTLPPGKSTNHTKQPLEKDTNVANNFRCPTTSNSFSEKIDRDRERKDVKTEKVEENDDYDDDDGDVFSDALETLSPTEPLSMNCSLSGVSGLDNLDSNMCGASSTDKQAHDFMMSRFLHAAKAMTIQPPQYVSSRKQSVLQEQPREFIKLVPEQKKSFVNRYITDIVPYNGQCQEDEEEESGDETNDYANISAKGCGLLPRLCVRNSLCMLNPVTGTKMGNQLSLYSAYEVGKPDKSSHIRSHRPAPVIKKAWDAINMRKSSSRAASPEKQDLRKKWTSESSRYTYSGEMKQLGRLSPFRRSRTAGAGAGMSPFPSKSQPLFPGDSKQAHNNLSGKLKFPSRGSASIQEMLSHGAKRSSSSGSFTIEKTLYIDTASTVKSSCSSSRSLGSATVAGKERNSLLDSFRDIKHLHALDENLGSQDLNSVDVNSSTLPSMVYLMAKEDKADQEINQESTSLQLVPSSFDKDAEINNQQIVVIDDQRKVGTEYVLHPLAPPLPKSPSESWLCRALPLVSSKNSFPHKNQGTQSKSKRQGFSRASSYTKWETIVKSSNVNHDQISCSKELVVYRSQHSKS >Vigun11g086100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25783931:25785429:-1 gene:Vigun11g086100.v1.2 transcript:Vigun11g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEQVLRLLDSFWFEASILSNKKTLSISHSNKVEEVLPPDSKLSLVPTPSLEVRSYSDQNLDSSSSILCDSPSPNSVLTLRRLRTIPSEREIMEFSSGNYEKEGANFKRKQKGFGHGRRLIRRQRTSKSLSELEFKELKGFMDLGFVFSEEDKDSKLVSLIPGLQRLGRDEDEGQGDDESVVSDNNMPYLSEAWDVLDQREMKNKNSLLNWRVPARGNEIDMKDNLRFWAHTVASIVR >Vigun07g136800.3.v1.2 pep primary_assembly:ASM411807v1:7:24718583:24718929:1 gene:Vigun07g136800.v1.2 transcript:Vigun07g136800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKYYCDYCDKQFQDTPADRKRHVKGIQHLQAKARWYDSFNQHHQQIPNPPLCFHFLNTGFCRYGHSCKYLHPIPNNATHHAPIPSPGN >Vigun07g136800.4.v1.2 pep primary_assembly:ASM411807v1:7:24718549:24720331:1 gene:Vigun07g136800.v1.2 transcript:Vigun07g136800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKYYCDYCDKQFQDTPADRKRHVKGIQHLQAKARWYDSFNQHHQQIPNPPLCFHFLNTGFCRYGHSCKYLHPIPNNATHHAPIPSPGNVVGVSLGNLPPSLQPPPEAGYPHLPFVDWG >Vigun07g136800.1.v1.2 pep primary_assembly:ASM411807v1:7:24718538:24720331:1 gene:Vigun07g136800.v1.2 transcript:Vigun07g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKYYCDYCDKQFQDTPADRKRHVKGIQHLQAKARWYDSFNQHHQQIPNPPLCFHFLNTGFCRYGHSCKYLHPIPNNATHHAPIPSPDICAIVHAGNVVGVSLGNLPPSLQPPPEAGYPHLPFVDWG >Vigun07g136800.2.v1.2 pep primary_assembly:ASM411807v1:7:24718583:24719167:1 gene:Vigun07g136800.v1.2 transcript:Vigun07g136800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKYYCDYCDKQFQDTPADRKRHVKGIQHLQAKARWYDSFNQHHQQIPNPPLCFHFLNTGFCRYGHSCKYLHPIPNNATHHAPIPSPGNVVGVSLGNLPPSLQPPPEAGYPHLPFVDWG >Vigun02g166900.1.v1.2 pep primary_assembly:ASM411807v1:2:31088792:31093711:1 gene:Vigun02g166900.v1.2 transcript:Vigun02g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDLLSIEPLELKFIFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQKEAPADMQCKDKFLLQSVRVEDGIAAKDITAEMFNKEAGHVVEECKLRVLYVSPPQPPSPVPEGSEEGSSPRGSVSDNGNISGADSAAVTRAFAERHDGPEKSVEAKALISRLTDEKNNAIQQNNKLRQELDMLRRESSKSRGGVSMVIVILIGLLGIIIGYLMKKT >Vigun09g019200.1.v1.2 pep primary_assembly:ASM411807v1:9:1480034:1483449:1 gene:Vigun09g019200.v1.2 transcript:Vigun09g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKVRMESELLAPTISAAKVSSLFIYPIKSCRGISVSHAPLTPTGLRWDRQWVVVNSKGRACTQRVDPKLALVEVQLPPDALVEDYQPTNNSYMVLKAPGMESLKICLSKQHEVTEAITVWEWTGSAWDEGTEASQWFSDFLGKPCRLVRFNAASEVRRVDPNYVGGHRTYFSDGYPFLLLSQESLDALNEHLKEPVPINRFRPNILVEGCDPYSEDLWTEIKISGFSFEGVKLCSRCKVPTINQETGIAGSEPTETLMKTRSGKVIRPDAKNKNKVYFGQNMVWNWMDSSAKRSGKIIQVGDPVFVLRKVSSAAEAAA >Vigun04g136850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34217993:34219265:-1 gene:Vigun04g136850.v1.2 transcript:Vigun04g136850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAIGFGTVAAPATHPTSFTLRQQSHRTYNKNVLAPPSSSHTNATVVASPITTVSFGNGNAKLPHAPTIPRTRTTTIEASLQRAITNLHQLTTTVNNAYRRIL >Vigun07g042600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4225223:4227330:1 gene:Vigun07g042600.v1.2 transcript:Vigun07g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKQSGGENGGSSVNNNTKGFTLQVLTGRWFMAFSSFMIMSVSGASYMFSLYSREIKSVLGYDQSTLNLLSFFKDLGSNIGIISGLINEVTPPWVVLTIGGVLNFFGYFTIWLAVTRKIPRPQVWKMCLYIFIGANSHCSTNTGVIVTSVKNFPGTRGIVIGLLSGYLGLSAAIITQIYYAFYGNDSKSLILLMAWLPTAVTFLFLPVIRHHKGVQQPNDSKVFYNFLYTTLVLAGFLMVLIILQKTFNFTKTEYYVTTILMLVLLIFPLVVVMFEEQKIWKRKQEHRDSEKNPPKPLNIATEMPNLESSAEDAPKQVSCWKNMFSPPSRGEDYTILQAIFSLDMVILFLATICGLGGTLTVVNNLSQIGTSLGYSSHSVTTFVSLMAIWIYMGKIVQGVISEMIIAKFKVPRPILFTSILVMPCVGHLLIAFNVPNGLYAASIIIGFCFGANWPLLFSIISELFGLKFYSTLYNVGSVASPIGSYLFSVRVAGYLYDKEARRQMEALGMKRKPGEELNCNGSECYKMAFIIITAVSLFGALVSLILVLRTREFYKGDIYKKFREEHGTAEAEIGLTQNKIAQQHAANQG >Vigun04g157700.8.v1.2 pep primary_assembly:ASM411807v1:4:37969800:37972404:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.2.v1.2 pep primary_assembly:ASM411807v1:4:37966391:37972404:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDSDDQLPPGWTVEVRVRKNGRRDKYYILPSTGLKFKSKVEVFRHLDNASNKVSIQKISPNVVVEKASAEGLPPGWVKKTRIATKGDTVRRDTYYIDPVSGYTFHSTEDAYHYLESGEIRKNTLKPKDGDNSETNLKDDKSPSVTMKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.3.v1.2 pep primary_assembly:ASM411807v1:4:37967279:37972405:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLTLDRMKQDTGKYYILPSTGLKFKSKVEVFRHLDNASNKVSIQKISPNVVVEKASAEGLPPGWVKKTRIATKGDTVRRDTYYIDPVSGYTFHSTEDAYHYLESGEIRKNTLKPKDGDNSETNLKDDKSPSVTMKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGMMVDENLDQKEVKVDFVESASFSGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.6.v1.2 pep primary_assembly:ASM411807v1:4:37969800:37972404:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGMMVDENLDQKEVKVDFVESASFSGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.7.v1.2 pep primary_assembly:ASM411807v1:4:37966390:37972405:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.4.v1.2 pep primary_assembly:ASM411807v1:4:37967279:37972405:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLTLDRMKQDTGKYYILPSTGLKFKSKVEVFRHLDNASNKVSIQKISPNVVVEKASAEGLPPGWVKKTRIATKGDTVRRDTYYIDPVSGYTFHSTEDAYHYLESGEIRKNTLKPKDGDNSETNLKDDKSPSVTMKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.5.v1.2 pep primary_assembly:ASM411807v1:4:37966390:37972405:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGMMVDENLDQKEVKVDFVESASFSGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g157700.1.v1.2 pep primary_assembly:ASM411807v1:4:37966391:37972404:1 gene:Vigun04g157700.v1.2 transcript:Vigun04g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDSDDQLPPGWTVEVRVRKNGRRDKYYILPSTGLKFKSKVEVFRHLDNASNKVSIQKISPNVVVEKASAEGLPPGWVKKTRIATKGDTVRRDTYYIDPVSGYTFHSTEDAYHYLESGEIRKNTLKPKDGDNSETNLKDDKSPSVTMKPTLSISMAQSSNLDMLANYQQSPRSASSGEHMHVPNSKFISNHGMMVDENLDQKEVKVDFVESASFSGCTDKDTQEKQLQESSETKQGTEKVQAKHHRRRNKHKKQINLPRRSSKRLAGIKLDPVPELKTRNRTRRAAVKQSSEEKTITNMDKSSSSLHDGLAKQQLSGKDKECFTLSPPDNNGTVEECMRVTEDGDKVDANLDYSLDFPLKELLTDPCIAFAIQTLTGLTFETSKNSQTSELKDIQHSEISAASDNEGQGKKNNVGNNVISSPVRLATPQEHAGDNAAKTDMKAKNAENENASPSSEKTLDMSWMDPCIEFAIKTLTDSIPLESDPNPKNCLQQQLSSSSNQHSERTMSNVSLNNTYQTDYYCSQYFGSQKPMFKQSFVDPSLKHTRNIGIGNSAGARLSHCGEGNRRNVC >Vigun04g065600.1.v1.2 pep primary_assembly:ASM411807v1:4:7242409:7247593:-1 gene:Vigun04g065600.v1.2 transcript:Vigun04g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQTDLVIIGVSAGLALGILISCLIFFGIRWCKKRSAHLRLSASESSVTTLPIRTNGLGTSTDFSASLDSSIATSRSESIKKSSHFSWWSHQNKDRFASASGILKYSYKEIQKSTQNFTTTLGQGSFGTVYKATMPTGEVVAVKVLAPNSKQGEKEFHTEVFLLGRLHHRNLVNLVGYCVDKGQRILVYQYMSNGSLANLLYGEEKGLSWDERLQIVLDISHGIEYLHEGAIPPVIHRDLKSANILLDHSMRAKVADFGLSKEEIFDGRNSGLKGTYGYMDPAYISTSKLTTQSDIYSFGIIVFELITAIHPHQNLMEYVNLAAMDHDGIDEILDKKLVGKCNLEEVRQLAKIGHKCLHKSPKKRPSIGEVSQLISRIKQRRERRLTEDNLSFASNNFSRAVSRLEDRQVELSRMSTINLTETV >Vigun04g065600.4.v1.2 pep primary_assembly:ASM411807v1:4:7242409:7247593:-1 gene:Vigun04g065600.v1.2 transcript:Vigun04g065600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQTDLVIIGVSAGLALGILISCLIFFGIRWCKKRSAHLRLSASESSVTTLPIRTNGLGTSTDFSASLDSSIATSRSESIKKSSHFSWWSHQNKDRFASASGILKYSYKEIQKSTQNFTTTLGQGSFGTVYKATMPTGEVVAVKVLAPNSKQGEKEFHTEVFLLGRLHHRNLVNLVGYCVDKGQRILVYQYMSNGSLANLLYGEEKGLSWDERLQIVLDISHGIEYLHEGAIPPVIHRDLKSANILLDHSMRAKVADFGLSKEEIFDGRNSGLKGTYGYMDPAYISTSKLTTQSDIYSFGIIVFELITAIHPHQNLMELQWIMMV >Vigun04g065600.3.v1.2 pep primary_assembly:ASM411807v1:4:7242409:7247593:-1 gene:Vigun04g065600.v1.2 transcript:Vigun04g065600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQTDLVIIGVSAGLALGILISCLIFFGIRWCKKRSAHLRLSASESSVTTLPIRTNGLGTSTDFSASLDSSIATSRSESIKKSSHFSWWSHQNKDRFASASGILKYSYKEIQKSTQNFTTTLGQGSFGTVYKATMPTGEVVAVKVLAPNSKQGEKEFHTEVFLLGRLHHRNLVNLVGYCVDKGQRILVYQYMSNGSLANLLYGEEKGLSWDERLQIVLDISHGIEYLHEGAIPPVIHRDLKSANILLDHSMRAKVADFGLSKEEIFDGRNSGLKGTYGYMDPAYISTSKLTTQSDIYSFGIIVFELITAIHPHQNLMEYVNLAAMDHDGIDEILDKKLVGKCNLEEVRQLAKIGHKCLHKSPKKRPSIGEVSQLISRIKQRRERRLTEDNLSFASNNFSRAVSRLEDRQVELSRMSTINLTETV >Vigun04g065600.2.v1.2 pep primary_assembly:ASM411807v1:4:7242409:7247593:-1 gene:Vigun04g065600.v1.2 transcript:Vigun04g065600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPSPTPHPSFLSPLTTNSNPTPTPLPVALSLFHSRHKQKTVSFINLVFVSSTHTIDSGLQSQLQPHIPKRGLILEPWFAVRTPQIKIMCFFHHGVVILLIRWCKKRSAHLRLSASESSVTTLPIRTNGLGTSTDFSASLDSSIATSRSESIKKSSHFSWWSHQNKDRFASASGILKYSYKEIQKSTQNFTTTLGQGSFGTVYKATMPTGEVVAVKVLAPNSKQGEKEFHTEVFLLGRLHHRNLVNLVGYCVDKGQRILVYQYMSNGSLANLLYGEEKGLSWDERLQIVLDISHGIEYLHEGAIPPVIHRDLKSANILLDHSMRAKVADFGLSKEEIFDGRNSGLKGTYGYMDPAYISTSKLTTQSDIYSFGIIVFELITAIHPHQNLMEYVNLAAMDHDGIDEILDKKLVGKCNLEEVRQLAKIGHKCLHKSPKKRPSIGEVSQLISRIKQRRERRLTEDNLSFASNNFSRAVSRLEDRQVELSRMSTINLTETV >Vigun05g225100.1.v1.2 pep primary_assembly:ASM411807v1:5:41746144:41754081:1 gene:Vigun05g225100.v1.2 transcript:Vigun05g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAAVPANSTHLRKSGSRPVVYDLDEHEVENGVDEGLLHPVEAADSRGSLTAVGAATMMPSPALLWRFKVLLFLIWAFICCKIGWDSVMIMSADKRELFLYEAFLYFNPLLLAALMVWLWGINLWFFAQGGVNYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYIYLYSHGEVSYAASQPVLLYAAAILVLIFPFDIFYFSSRYFFLRTLGRIIFPLQAISFADFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWLEADSVCGSHSVAIPFVLVLPYLFRLFQCLRQYKDTGEKTSLLNALKYSTAVPVIFLSALKYHVFPDKWTNFYRPLWLLSGVVNSSYSFYWDVNRDWDLGGFTRIFKFNKPHLFSHMLHGRRWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFIIAALEIFRRFQWIFFRVENEWNKTNSKSHSHLSAKEIPSDEENLLHSINYSV >Vigun05g225100.2.v1.2 pep primary_assembly:ASM411807v1:5:41746173:41753862:1 gene:Vigun05g225100.v1.2 transcript:Vigun05g225100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAAVPANSTHLRKSGSRPVVYDLDEHEVENGVDEGLLHPVEAADSRGSLTAVGAATMMPSPALLWRFKVLLFLIWAFICCKIGWDSVMIMSADKRELFLYEAFLYFNPLLLAALMVWLWGINLWFFAQGGVNYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYIYLYSHGEVSYAASQPVLLYAAAILVLIFPFDIFYFSSRYFFLRTLGRIIFPLQAISFADFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWLEADSVCGSHSVAIPFVLVLPYLFRLFQCLRQYKDTGEKTSLLNALKYSTAVPVIFLSALKYHVFPDKWTNFYRPLWLLSGVVNSSYSFYWDVNRDWDLGGFTRIFKFNKPHLFSHMLHGRRWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFIIAALEIFRRFQWIFFRVENEWNKTNSKSHSHLSAKEIPSDEENLLHSINYSV >Vigun04g073300.1.v1.2 pep primary_assembly:ASM411807v1:4:8675694:8687109:1 gene:Vigun04g073300.v1.2 transcript:Vigun04g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVDCVQKSLRHFMHSNAIFISQRLCAQFPSETNLQLLAGCYLQSNQAYCAYHILKGSQMAQSRYLFAISCFHMDLLTEAEAALCPINDPSAEVPNGAAGHYLLGLIYRCTDRRKSAIQHFKQALSMDPLMWVAYEELCILGAAEEASVVFGEAAAFCLQKEYLNCSTSPNSHISPEDSNEVAPRSCIPEEGSPRQLNQMQGLKDIAAYHHGASLLGGATCQPINSGSSNMSFYSTPSPMVAQLSGVAPPPLCRNVMPNCQNLTTLSVDSSPKSIVNSPIQAPRRKFVGEGKLRKISGRLFSDSGPRRSSRLSSSESVNANANSAVVSGNGNNNSYKGGSKVNHVAFRAMAIRKGQSWANENIDEGIRSDVPDYSLNNTSTNSCSSPDVEAKTYEQEAATFHIGRQVTGGSKISTGASEILTLLRVLGEGYRLSCLYRSQDALDTYLKLPQKHYNTGWVLSQVGKAYFELVDYLEADCAFSRARQITPYSLEGMDIHSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKSFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKSYQSALMVDSRHYKAWYGLGMLYLRQEKLEFSEHHFRMAFQINPRSSVILSYLGTALHALKRSWEALTIMEKAILADKKNPLPMYQKASILISLEKFDEALDVLEELKECAPRESSVYALMGNIYRRRNMHERAMFHYGVALDLKPSATDAAAIKAAVEKLHIPDEFEDGL >Vigun09g201200.4.v1.2 pep primary_assembly:ASM411807v1:9:37536201:37545351:1 gene:Vigun09g201200.v1.2 transcript:Vigun09g201200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESLRKRPRKYPFSADSSSRKFEQYPGRPFAIIGEVNFMQDDDNRLEITRTRFGSLLKRHGDLTERLARDSDKIIFERLQKEFEAARASQTEEICLDGDAWNDGLLATIRERVHMESDRKAMHGDADILSCPHEKITYKIGNKQVICCLEGARIGVQYETSYAGEPCEFYHCVLESKSFLEKMTVLEHTVPFFLPIREIENDLLSSNAMKFIDHVGDLLQAFVDRREQVRLIKELYGNQIRELYHSLPYHMIELVLDDSDCKVTVSLRYADLISVLPTRISVLAWPMFNKNTTATLNRKEDGLSGSHSTPIRLTYAEDALRTMSLPEAYAEIVLNLPQALQQTYHQKAPA >Vigun09g201200.3.v1.2 pep primary_assembly:ASM411807v1:9:37536201:37545351:1 gene:Vigun09g201200.v1.2 transcript:Vigun09g201200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESLRKRPRKYPFSADSSSRKFEQYPGRPFAIIGEVNFMQDDDNRLEITRTRFGSLLKRHGDLTERLARDSDKIIFERLQKEFEAARASQTEEICLDGDAWNDGLLATIRERVHMESDRKAMHGDADILSCPHEKITYKIGNKQVICCLEGARIGVQYETSYAGEPCEFYHCVLESKSFLEKMTVLEHTVPFFLPIREIENDLLSSNAMKFIDHVGDLLQAFVDRREQVDCSCQTYKGTLWKSN >Vigun09g201200.2.v1.2 pep primary_assembly:ASM411807v1:9:37536186:37545368:1 gene:Vigun09g201200.v1.2 transcript:Vigun09g201200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESLRKRPRKYPFSADSSSRKFEQYPGRPFAIIGEVNFMQDDDNRLEITRTRFGSLLKRHGDLTERLARDSDKIIFERLQKEFEAARASQTEEICLDGDAWNDGLLATIRERVHMESDRKAMHGDADILSCPHEKITYKIGNKVICCLEGARIGVQYETSYAGEPCEFYHCVLESKSFLEKMTVLEHTVPFFLPIREIENDLLSSNAMKFIDHVGDLLQAFVDRREQVDCSCQTYKGTLWKSN >Vigun09g201200.1.v1.2 pep primary_assembly:ASM411807v1:9:37536186:37545368:1 gene:Vigun09g201200.v1.2 transcript:Vigun09g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVEESLRKRPRKYPFSADSSSRKFEQYPGRPFAIIGEVNFMQDDDNRLEITRTRFGSLLKRHGDLTERLARDSDKIIFERLQKEFEAARASQTEEICLDGDAWNDGLLATIRERVHMESDRKAMHGDADILSCPHEKITYKIGNKVICCLEGARIGVQYETSYAGEPCEFYHCVLESKSFLEKMTVLEHTVPFFLPIREIENDLLSSNAMKFIDHVGDLLQAFVDRREQVRLIKELYGNQIRELYHSLPYHMIELVLDDSDCKVTVSLRYADLISVLPTRISVLAWPMFNKNTTATLNRKEDGLSGSHSTPIRLTYAEDALRTMSLPEAYAEIVLNLPQALQQTYHQKAPA >Vigun11g095500.1.v1.2 pep primary_assembly:ASM411807v1:11:27834106:27850530:1 gene:Vigun11g095500.v1.2 transcript:Vigun11g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPILRSLWSSTRKSFTPSSPPLSHSRFFSRAFAAAPAVDPAAATSASSIDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVTWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVTEETCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASTTFTKDPPAETKNMSQLLDAVVTHVPPPNANTDAPFQMLVSMMERDFYLGRILTGRISSGVVRVGDRVHGLRNKDSGAEKIEDGKVVKLMKKKGTNMVLTDCAGAGDIISIAGLSSPSIGHTVATVEIMSALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGRIGDRLMAEAETNLAINVLPGLSESFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTESGKKLEPVEEVTIEVNDEHVGLVMEALSHRRAEVTDMGPVPGTVGRTRLTLTCPSRGLVGYRSVFSSDTRGTGFMHRAFHAYEQFRGPLGNVRKGVLVSMGFGTITAHALMSLEARGILFVNPGMETYDGMIVGEHSRDSDLDVNPVRAKELTNIRAATKDENVRLTPPRLMTLEEAIGYVASDELIEVTPKAIRLRKKYLDVNKRKAMSKRPKE >Vigun09g238600.6.v1.2 pep primary_assembly:ASM411807v1:9:40909884:40915775:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.7.v1.2 pep primary_assembly:ASM411807v1:9:40909884:40916383:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.9.v1.2 pep primary_assembly:ASM411807v1:9:40909760:40915774:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.5.v1.2 pep primary_assembly:ASM411807v1:9:40909883:40916383:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.2.v1.2 pep primary_assembly:ASM411807v1:9:40909884:40916383:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.1.v1.2 pep primary_assembly:ASM411807v1:9:40909884:40916383:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.8.v1.2 pep primary_assembly:ASM411807v1:9:40909882:40915785:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun09g238600.10.v1.2 pep primary_assembly:ASM411807v1:9:40909760:40915761:-1 gene:Vigun09g238600.v1.2 transcript:Vigun09g238600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKIPPLSSSKITEEIPLAEHVGDKLPSESSPKIAKETSLLPELVGNKRPSESSPKNAEEILLAELVGDKLPLETSPNIAEETPLVEHVGDNQPSASSSKIKETPPAEGVTENSESSSKVAEEATLAEHVVNKLPSESTTKVAEEMTLVEHPEENAEVMKLPNNQSSTEAPTVPLVEHPEEILFPNSNDCQTVLQEECVSLVNPVANPDAAFDVTEKRQQVTSVEDSKPGALENVSDGYELLDNVSNITADSDVDDEIRRSAYSETKDLQNDHNELLMTMATVSSLPHGKMSDEKKNIIDTRAPIKSVKQAVSKFGGIVDWKAHRIQTVERRDLVEHELEKAQEEIPEYRKQAEDAEQEKGQMLKELDSTKRLIEELKLNLERAETEERQARQDSELAKLRVEEMEQGIADESSVAAKAQREVAKARYAAAVSDLKIVKEELETWRKNFAILATERELAIKKAEEAVAESKEVEKSVEDLTIELIAAKESLETAHGAHLEAEEQRIGTVMAKDQDSLDWEKELKETEEELQRLNQQILSAKELKSKLETASTLLIDLKAELSSYMESKLKQEGDEEGNSKGGPEEPEKKTHTDIQTAVASAKKELEEVNLNIEKATAEVSCLKVAATSLKSELEQEKATLAAIRQREGMASIAVASLEAELEKTRSEIALVQMKEKEAKEKMAELPKKLQLAAEETSQANLLAQAAREELQKVKAEAEQAKAGVSTMESRLLAAQKEIEAARASEHLAIAAIKALQESESTRIKNAIDPSKGVTLSLEEYYELSKRAHEAEERANMRVATANSEIDKAKESELKAFEKLDEVNREIAARRESLKLAMEKAEQAKEGKLGVEQELRKWRSESELRRKGGDSGQGAVNQSKSPRGSFEGSHEANNFERTGSAANAAHHVPSPKTNVHPDIDESESSPETKQGKKKKRSLFPRVLMFFARRKTHSTK >Vigun07g260300.4.v1.2 pep primary_assembly:ASM411807v1:7:37685802:37690003:-1 gene:Vigun07g260300.v1.2 transcript:Vigun07g260300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSQEEPKTAPEPDRWYNLTLGPSFKDESSNKYCTLRYEFKPASVDKNKPGLLRKTKENRISVEFQNNQIGKPKVTFEGNSEDYKENDAVLFFDGETLRLERLHRAVKQLRHLRMPGESAGAATTAVAAPSGPALDPRSSPVGKSVKPASLGRSSFQAVPVEVERIDIGEPENTGTKVGSKRSSDCLNEPPINTSPDAKNVVEEHHDIDIKDLFGSESPEDDNNVEEKDNVGFDMNVPHTDDDIMDVDVDDSGDEVDKGHNPAEAPETQANAEGRDEQSSSSSSSSGSGSSESGSGSGSGSSSSSDNEDSDEDSVHSI >Vigun07g260300.3.v1.2 pep primary_assembly:ASM411807v1:7:37685802:37690003:-1 gene:Vigun07g260300.v1.2 transcript:Vigun07g260300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSQEEPKTAPEPDRWYNLTLGPSFKDESSNKYCTLRYEFKPASVDKNKPGLLRKTKENRISVEFQNNQIGKPKVTFEGNSEDYKENDAVLFFDGETLRLERLHRAVKQLRHLRMPGESAGAATTAVAAPSGPALDPRSSPVGKSVKPASLGRSSFQAVPVEVERIDIGEPENTGTKVGSKRSSDCLNEPPINTSPDAKNVVEEHHDIDIKDLFGSESPEDDNNVEEKDNVGFDMNVPHTDDDIMDVDVDDSGDEVDKGHNPAEAPETQANAEGRDEQSSSSSSSSGSGSSESGSGSGSGSSSSSDNEDSDEDSVHSI >Vigun07g020200.1.v1.2 pep primary_assembly:ASM411807v1:7:1685708:1692400:1 gene:Vigun07g020200.v1.2 transcript:Vigun07g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPNVGNGFLQSVTAAVWKTRPPESRLPPPSADSKTSTPVANAQKASEPAPSKPSDPPNRVQNTPPEPVKMPEPLDHEKPASSHPESTPDKAKKPTHVKRNSSMGLQVDSVLGRKTENMKEFFSLGRKLGQGQFGTTFMCVQKGTNKEFACKSIAKRKLTTQEDVEDVRREIQIMHHLAGHPNVVQIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYTERKAADLSRLIVSVVEACHSLGVMHRDLKPENFLFMNQQEESPLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKHYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFVSEPWPSISESAKDLVRRMLIRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAESLSEEEIAGLREMFKMIDTDNSGQITLEELKNGLERVGSVLKDSEIAWLMQAADVDNSGTIDYGEFIAAMVHLNKIQKEDHLYAAFTYFDKDGSGYITPDELQLACEQFGLQDFHLDEIIREIDQDNDGRIDYNEFVAMMQDTGFGKK >Vigun11g128900.1.v1.2 pep primary_assembly:ASM411807v1:11:33630745:33637205:-1 gene:Vigun11g128900.v1.2 transcript:Vigun11g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRIFKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGFRMAAECARNALLVKVVDNKDDSEKFRSDLLNIAMTTLSSKILSQDKEHFASLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMARVAQIETAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGQCDLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDALAKKTPGKRSLAIEAFSRALLAIPTIIADNAGLDSAELISQLRAEHQKEGCTAGIDVISGSVGDMAERGICEAFKVKQAVLLSSTEAAEMILRVDEIITCAPRRREDRM >Vigun01g212200.1.v1.2 pep primary_assembly:ASM411807v1:1:38633452:38638580:-1 gene:Vigun01g212200.v1.2 transcript:Vigun01g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDVLKYLYKDDFRVLTAVELGMRNHEIVPTELIDRIARLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNKGVFVAVGRQIGVGKESDIFEVAREDGTVLAMKLHRLGRVSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALETHGFPVPNAVEHNRHCVVMSLVQGYPLVQVKQLQNPETVFETIIGLVVRLAERGLIHCDFNEFNIMIDDDEKITMIDFPQMVSVSHRNAQMYFDRDVECIFKFFRKRFNLSFEESLDDMDGSDEGRDGAGKPCFSAIERSAGFLDRELAASGFSRKDEEDIQRFIEGKAESDTNSDSEEVDLVEDLNEAGTIDGSYSSDLLEQNEGYESQWKEKSCEARESSGSEKEDANDNEEDNEEATENEAELVKSLNKQRRRAVAAVRKGHKTTGGRNSYKDKGGRSSHNSKIQKQLSSW >Vigun03g183800.1.v1.2 pep primary_assembly:ASM411807v1:3:23838910:23842398:-1 gene:Vigun03g183800.v1.2 transcript:Vigun03g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAMAVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKHTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPSDLMDGNNNNQFEEPDQPMQSIEEIMQIIREATIPAAGSQSPNHDLTGSLDIDEDMDTDPDLDLDSSGEIVYAM >Vigun07g255100.1.v1.2 pep primary_assembly:ASM411807v1:7:37326799:37330666:1 gene:Vigun07g255100.v1.2 transcript:Vigun07g255100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSILSLSSLPLLRRHPSLSPFHPSVSLSFSRTKPRSPFLLLASSAHSFDDFTSKSKKSVLTELIQEIEPLDVSNIQKDVPPTTADAMKRTISGMLGLLPSDQFHVIIEALWEPLSKLLISSMMTGYTLRNVEYRLFLEKNVDMFDIEKPKAETTKMDLQGLMHDSVNVIDFGRNKNLSSKVEKHHEDVDNQDLGEISAEAQQYIFDLQSRLSSMKKELHEVKRKSAALQMQQFVGEEKNDLLDYLRSLKPEQVAQLSEFSSPELKEIILSVVHGLLATLSPKMHSKPSTMSENTTVGPANVRSEDCAEVVENSALHFQPVISLTRDYLARLLFWCMLLGHYLRGLECRMELMDLLSLTSDAENDASGSQPIA >Vigun09g204600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37907643:37909950:-1 gene:Vigun09g204600.v1.2 transcript:Vigun09g204600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLNATIFNYFLILHLIFNHLTQTQATAKIGVNYGTLANNLPPPSEVAKFLSKSTTINRVRIFDANPQILRAFANTGIEVTITVPNDQIPQITNLTFAQQWVKTNVQPFLPATKLIRILVGNEVLSTANKLLISNLVPAMQTLHAALVTASLANNVKVSTPHSLGILSNSSPPSTAKFRQGYDMHIIKPMLSFLKDSDAPFMVNPYPFFGSSATNLDYALFRTNSGVFDDNTNLRYTNMLDAQLDAVYSALKVLGFEDVQIVIAETGWPSFCDPAQIGVDPNAASEYNGNLIRHVNSGVGTPLMPNRTFDTYIFALFDENLKPGPTCERHFGLFWPNMSLVYDVPIMRNDAAVVGNRHYYYKAFFSFIVVFNFISTSWV >Vigun09g204600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37907643:37909950:-1 gene:Vigun09g204600.v1.2 transcript:Vigun09g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLNATIFNYFLILHLIFNHLTQTQATAKIGVNYGTLANNLPPPSEVAKFLSKSTTINRVRIFDANPQILRAFANTGIEVTITVPNDQIPQITNLTFAQQWVKTNVQPFLPATKLIRILVGNEVLSTANKLLISNLVPAMQTLHAALVTASLANNVKVSTPHSLGILSNSSPPSTAKFRQGYDMHIIKPMLSFLKDSDAPFMVNPYPFFGSSATNLDYALFRTNSGVFDDNTNLRYTNMLDAQLDAVYSALKVLGFEDVQIVIAETGWPSFCDPAQIGVDPNAASEYNGNLIRHVNSGVGTPLMPNRTFDTYIFALFDENLKPGPTCERHFGLFWPNMSLVYDVPIMRNDAAVVGNRHYYYKAFFSFIVVFNFISTSWV >Vigun11g178100.3.v1.2 pep primary_assembly:ASM411807v1:11:38241878:38248370:-1 gene:Vigun11g178100.v1.2 transcript:Vigun11g178100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFFETENVRYVYQPIEALYLLIITNKSSNILEDLSTLRLLSRLVPEYSYSLDEEGICEHAFELIFAFDEVISLGHKENVTVAQVKHYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKVEKNKGEKGGFGPSQALGSGKIENSLSDLSISSSGTAFGSGSGLGFSSDVDSFPTKSKGRPTSSATAPPKGLGMKLGKSQKTKQFLESLKAEGEVFLEDVQPKPGLSQAAAPPPTDPITLTVEEKLNVTLKRDGGIGNFDVQGTMSLQILSKEDGHIQVQVQTGDNQAIIFKTHPNMNKDLFSNENILGLKDPNRPFPTGQTGGSEGVGLLKWRMQSTDESMVPLTINCWPSTSGNNTYVSIEYEASSMFDLRDVMISVPLPSLREAPSVNQIDGEWRYDSRNSLLEWTILMIDNSNRSGSMEFVVPQADPSAFFPIYIHFTATDTFSKLKVTNTIPLKGGNPPKFFQRTQLITENYMVV >Vigun11g178100.2.v1.2 pep primary_assembly:ASM411807v1:11:38241878:38244834:-1 gene:Vigun11g178100.v1.2 transcript:Vigun11g178100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKVEKNKGEKGGFGPSQALGSGKIENSLSDLSISSSGTAFGSGSGLGFSSDVDSFPTKSKGRPTSSATAPPKGLGMKLGKSQKTKQFLESLKAEGEVFLEDVQPKPGLSQAAAPPPTDPITLTVEEKLNVTLKRDGGIGNFDVQGTMSLQILSKEDGHIQVQVQTGDNQAIIFKTHPNMNKDLFSNENILGLKDPNRPFPTGQTGGSEGVGLLKWRMQSTDESMVPLTINCWPSTSGNNTYVSIEYEASSMFDLRDVMISVPLPSLREAPSVNQIDGEWRYDSRNSLLEWTILMIDNSNRSGSMEFVVPQADPSAFFPIYIHFTATDTFSKLKVTNTIPLKGGNPPKFFQRTQLITENYMVV >Vigun11g178100.1.v1.2 pep primary_assembly:ASM411807v1:11:38241863:38248370:-1 gene:Vigun11g178100.v1.2 transcript:Vigun11g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFFETENVRYVYQPIEALYLLIITNKSSNILEDLSTLRLLSRLVPEYSYSLDEEGICEHAFELIFAFDEVISLGHKENVTVAQVKHYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKVEKNKGEKGGFGPSQALGSGKIENSLSDLSISSSGTAFGSGSGLGFSSDVDSFPTKSKGRPTSSATAPPKGLGMKLGKSQKTKQFLESLKAEGEVFLEDVQPKPGLSQAAAPPPTDPITLTVEEKLNVTLKRDGGIGNFDVQGTMSLQILSKEDGHIQVQVQTGDNQAIIFKTHPNMNKDLFSNENILGLKDPNRPFPTGQTGGSEGVGLLKWRMQSTDESMVPLTINCWPSTSGNNTYVSIEYEASSMFDLRDVMISVPLPSLREAPSVNQIDGEWRYDSRNSLLEWTILMIDNSNRSGSMEFVVPQADPSAFFPIYIHFTATDTFSKLKVTNTIPLKGGNPPKFFQRTQLITENYMVV >Vigun08g147500.1.v1.2 pep primary_assembly:ASM411807v1:8:32018648:32021288:-1 gene:Vigun08g147500.v1.2 transcript:Vigun08g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIGRSRKAKVMKVDGETLKFKTPARANDVVKDYPGHVLLDSEAVKHFGLRAKPLEPHHELKPRKIYFLVELPKIQPEDDKSTLHRRVRSSGIRGMNAKDRLELLMLSKRSVSDLALVRPNPNLGSDGPMRVKMRLPKAQLDRLMEESTDGSDVAEKIISLYMGNNAGEAAGSAAVEDGGARTLHHHTHKPRGKRVSFSPVENEEIRVEAPSQ >Vigun03g053500.1.v1.2 pep primary_assembly:ASM411807v1:3:4388484:4391508:-1 gene:Vigun03g053500.v1.2 transcript:Vigun03g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRITRFTTNLLNSLSFPKSQNPFPKILFSLHSFTSHAGNDSHSQSLNIDLSNEESKRRLFNRLLYRSKQRGFLELDLVLGKWVEDNIHSLDENRIRALVHVLDLENPDLWKWISGQEQPPESISINPVFTAVREGVMKNLETHSAPETRATPGQPWVRGWDDIKKFRGGPITGNQ >Vigun07g044800.1.v1.2 pep primary_assembly:ASM411807v1:7:4525271:4528395:-1 gene:Vigun07g044800.v1.2 transcript:Vigun07g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVRRWVVKLSEWDPLPSHFSFALSLLPSHEHSSVTRFVKLEDRKRALVSRMLQYVLVHDVLQIPFPDIVIKRTLEGKPYLDYDGLRFPNFNFNVSHHGDYVAIASEPVCLVGVDIVSYDVPQRETITGFIQLFSSYFSCLEWNNIVSAGTSDDDVLIEFYRYWSLKEAYVKAIGSGLTEGLNEVEFSHTSWTNISAKVNGKVMTQWRFWMFELGDRHCVSIARVHPISAATNYKRTLKKLDFTEDEYAQGLHLPTVDFVQLGIEQLISTLQKA >Vigun01g253800.1.v1.2 pep primary_assembly:ASM411807v1:1:41923251:41926212:-1 gene:Vigun01g253800.v1.2 transcript:Vigun01g253800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINASETMFLSITQVDERRQKYEVCLDAPPHIVSDGLWRSSPQNTRTPMRSFLPLFELQVLLIFAITQICRLILMPFKVPLFISQMMAGLILQALFVGEPVGSYMRVLFPYGTHDTITTITSIGFVLFIFINGVQMDFSLITRMGKKAWTIAITGLVVPILTAVAFVSVLKYPLLEVVDRNYHSFLVALVSHTIISFAVIASLLNELQIQNSELGKLALSAALVSDILCTCATTIGTVFMFSEGHSSKEIITNLVGLFAMAVSVPLVCRPAMFWIVRHTPEGRPVKDGYLYVIIVLLFVLGWVSVKINQEFVLGAFILGLAVPEGPPLGSALVKKLNFFGTTFLLPIFITICMLKADFSSTYSSTSVFAVSLVIMLTHLVKIISCILPALFCNMPFRDALSLSLILNSKGVVEIGLYCFLYDYKIIDGLTYGIMILSIIVVACIVQWSVRFLYDPSRKYAGYQKRNIMSLRAWSELRILVCIHKPSHISSMIDMIDLCCPTAESPIIVDALHLIELVGRALPIFIPHRIQRQESGVQHRSYSDDVILAFDVYEHENPHVVTAYPCTAVSPPSLMYEDVCNLAFDKVASIIILPFHLRWSTDGEVESEEKSIRALNIRVLEKAPCSVGILVSRGSQRMREEESIRVGLIYVGGEDDEEALCIAKRAMMKRGMKLVVYHLVYEAEKEWDEREAMEEMKHNIRYQQIVAKEGSETAAFLSEVAKEHDFFIVGRRHGIESPQTQGLTNWSEFPELGVIGDFLASPDLESRASILVVQQQVALKPRKGWLF >Vigun07g178100.1.v1.2 pep primary_assembly:ASM411807v1:7:29427803:29436373:-1 gene:Vigun07g178100.v1.2 transcript:Vigun07g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRAIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun02g110400.1.v1.2 pep primary_assembly:ASM411807v1:2:26418735:26421360:1 gene:Vigun02g110400.v1.2 transcript:Vigun02g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFPVVIDMEKLCEEGELKKLREACERWGSFRIINHSVPATLMADMKNVVQALHHLPLEAKKRNTEAIGGGGYVGPTPLSPLYEAIGIYDISSSQSMNNFCSQLHVSPQQRQIMEAYGQATQGVAVKIGQKMAESLGVVEGADFEDWLYEFRFNKYNFTPETVGSPGVRIHTDSGFLNVLKDDENVGGLEVMDSSSSFVPIPPFPGTFLINLGDIARVWSNGRFCNLTHRVQCKEGNKRFSIATLMVAPKNRNVEAPEELVNHDHPRLYQPFVYEDYRNLRVSKNIPKEALELFRLA >VigunL060000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:11806:13137:-1 gene:VigunL060000.v1.2 transcript:VigunL060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVGFGFRPTEEELVDYYLRHRLLGDDPQVHDVIPDIDLCQVEPWDVPMLLGESDAQFDFPEWFFFSPVDFKYSNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGGDEGESSRIMVSGYHNHSIGTTFQQDQTSFPNPSFDDAHFRNESNIEHNSYENTQEEEFVNSFFVEDNYVNNEESTNTYFNTFTQSESLRKVYDTDAEAVSEQGDNTMNISTVCSKYPNSDEYHSSKEFDSELSNVDVHEGVCMPSPIHEKKQEKKKKKKSIFSFF >Vigun04g121000.1.v1.2 pep primary_assembly:ASM411807v1:4:31017583:31019675:-1 gene:Vigun04g121000.v1.2 transcript:Vigun04g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKIRFGFIGCAEIARKVSRAVALAPNAVLHAIGSRSLDKARAFAAANDFPAVAKVYGSYEAVLDDPDVDVVYVPLPTSLHLRWAVLAASKKKHVMLEKPVALNVGEFDEIIAACESNGVQLMDCTMWMHHPRTSKMKEFLSDVNRFGQLKSIRSNFTFTASADFLENDIRVKPDLDALGALGDEGWYCLRAILWVANYELPKTVIALRSPVRNQFGVLLACGATLYWEDGKVATFYCSFLANMTMDITAFGTKGALHVHDFIIPYEEKEASFFAATESGFDEGVTKWVNKPSEHVIKTEIPQEALMVNEFARLVADIKFKNAKPEKKWPTISRKTQLVIDAVKASIDKGFEPVQIQE >Vigun10g086400.1.v1.2 pep primary_assembly:ASM411807v1:10:24781595:24782738:-1 gene:Vigun10g086400.v1.2 transcript:Vigun10g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYLLVAVLALTSSLVSAYDPSPLQDFCVAIKESDGVFVNGKFCKDPKTVKAEDFFLHVEPGNTDNPLNAQVTPVAVDQLPGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDGNRLFTKVLNKGDVFVFPIGLVHFQLNIGYGNAVAIAGLSSQNPGTVIVANALFKAVPPISVEVLARALQLDNRVIEDLQRRSWYGKD >Vigun03g046400.1.v1.2 pep primary_assembly:ASM411807v1:3:3713967:3719083:-1 gene:Vigun03g046400.v1.2 transcript:Vigun03g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWRSEKHKVKAVFKLHFHVTQMVQSGVESLVLSIVPGDIGKVTTRLEKAAIRGGVCRWENPVYETIKLFQEPKTGKFSERVYYFVVSTGLSKVSSFGEVSVDFSEYAEATKPSTVSLPIKNSHCEAVLHVSIQRLQENNDKRPQEDCEGTELKPNDRSLRTYLSNGEIDASLKSDSSEDVSAKENGNGAELSADCRTSSGSDITTLSSDGSSGLDTPREVGVRNGGGIHPNNNGFVSDVSHTSDSQKPAINDIHQRSHWDWSGGSEHSLSTDGSTNSSHQTSDVEIGRLKVELAALTRQVDVSDLELQTLRKQIVKESKRTQELSKEIICVKEERDALKVECDNLRSFHKRMEDAKLSNRKPLDSGDLCTLVEEIKQELKYEKELNANLQLQLKKTQESNADLVLAVQDLDEMLEQKNREMCSLSNKNEEGKISRESEGKLSNSETDDEQKELEELVKEHSNAQETHLLEQKIMDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHDIAYKLEQSELQEQLKLQYECCSPPAVDEIDAHIQNLENQLKQQSEELSNSLATVKDLGNQISRLEEELEKQAQGFEADLDAVTRAKVEQEQRAIQAEEALRSTRLKNANTAERLQEEFRRLSMQMASTFDANEKAAMRALTEASELRAQKRLVEAMLHKVSDELQSAKADYEVKLDELSDKIDMMAAQKQQMLLEIDDKTKQLENQKKREEQVSRGFFEEIQMLKAENERLKVEISCLSEKVEQKDILRNDLELVKKSLEESEARLNSRTVERNELVSEIALLKKEAERSFDELNGMKHLNDEKEIETRVLQSELEELRAQYSDLKRACFEDEAEKENLRKHVFQLKGELKKKDDALTNIEKRFKDSNGRTQLSDGTKPNLKNKKGASNPQSAKEISNLREKIKTLEGMIKSKETALEISASSFLEKERELQSKIEELENKVEEFNQSIALQKVDEDKGISTSNDTTSVAEENGVALTLFKSNLSLAGKEAERSRMDDDDNGDGNLCESLAELSVLKERNNLMESELKELQQRYSEMSLKFAEVEGERQKLVMTVRNLKNARKAQ >Vigun07g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28632000:28633411:-1 gene:Vigun07g171100.v1.2 transcript:Vigun07g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAETLANIKEATQNVASTRRPWRVFLDLFALTLPSSVSEATTRLAHNVTYFLFNYAVLLFLIFLLTLFPNPLPLLLFLLLSAAWYFIYFSRDDFPLAILPLAFLTVVALLATAAWLNLLLAVLIEALVVFLHGVLRSTDGLIGDDQDSPYGHMLGDTPASGAYVPV >Vigun09g057550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5788344:5792520:-1 gene:Vigun09g057550.v1.2 transcript:Vigun09g057550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGTLEEDQVVIPHIKADPVVGADSLPNNDLAESETLLDSSVEKSDCEPNKDLSNLETQPHTASETLTANDGAVALPNQHLGNSEEPVVDHSNNEASHNSQTVNSEVPPTNANSEVLFDCQLVSSEERAALDKKASQCSNQQVHSEALLNHNVGNSETYTSNSNGELVYEIQHSDEVVMSETVQAHEGIMPETQQSNEVIMFETQFNDDTNMPKSQTGNDVVMLEALPENELASAADPINQPSHSETSPDNQFTNLHMIPEDQLPQPESLPHSQLLPNSEPLVDDHLTDIKVLYHNHLTHYETLPNHHSNHSEDLSHHQLSNNDVFSHDQLVNSQMLSHYELENSEAMHHNQLVNSQENYEIAISDAIPSYEIISAETPLNSEVYISETQPTKRRKKKSIVWEHFTIETVNPGCRRARCKQCAQTFAYSTGSKVAGTSHLKRHIAKGTCSALLRNHDHNQLTPYTVRNRGSGAGNASNTPKKRYRSPSTPYVIFDQDKCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPQFNMVTFNSIQGDCVATYLIEKHHLLKYIMGLPGRVCLTLDVWTSSQSLGYVFITGHFVDHDWKLQRRILNVVLEPYPYSDSALTHAVSVCLSDWGLEGRLFSVTCNQALSNVALENLRPLLSVKNPHILNGQLLVGNCIAQTLSSVAKDLLGSAQDLINKIRDSVKYVKTSESHEEKFLELKQHLQVPSERSLFIDDQIHWNTSYQMLVAASELKEVFSCLDTSDPDYKGAPSMLDWKLVETLCSYLKPLFDAANILTSTTHPTVITFFHEVWKLQLDAARAVTSEDPFISSLNKIMHEKIDIYWRECSLVLALAVVLDPRFKMKLVEFSFTKIYGDDAHLYIKTVEDGIHEMFHEYVALPLPLTPAYTEDGSSGNRSKMEECPGDAMLSDNGLTDFDAYIMETTSQQTKSELDQYLEESLLPRVPDFDVLGWWKLNKLKYPTLSKMARDILSVPVCTVAPDSVFYSKSKEMDQYRSSLRPETVEAIVCSKDWMQYGTAEASNALVKMVF >Vigun09g057550.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5788286:5792619:-1 gene:Vigun09g057550.v1.2 transcript:Vigun09g057550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETQQSNEVIMFETQFNDDTNMPKSQTGNDVVMLEALPENELASAADPINQPSHSETSPDNQFTNLHMIPEDQLPQPESLPHSQLLPNSEPLVDDHLTDIKVLYHNHLTHYETLPNHHSNHSEDLSHHQLSNNDVFSHDQLVNSQMLSHYELENSEAMHHNQLVNSQENYEIAISDAIPSYEIISAETPLNSEVYISETQPTKRRKKKSIVWEHFTIETVNPGCRRARCKQCAQTFAYSTGSKVAGTSHLKRHIAKGTCSALLRNHDHNQLTPYTVRNRGSGAGNASNTPKKRYRSPSTPYVIFDQDKCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPQFNMVTFNSIQGDCVATYLIEKHHLLKYIMGLPGRVCLTLDVWTSSQSLGYVFITGHFVDHDWKLQRRILNVVLEPYPYSDSALTHAVSVCLSDWGLEGRLFSVTCNQALSNVALENLRPLLSVKNPHILNGQLLVGNCIAQTLSSVAKDLLGSAQDLINKIRDSVKYVKTSESHEEKFLELKQHLQVPSERSLFIDDQIHWNTSYQMLVAASELKEVFSCLDTSDPDYKGAPSMLDWKLVETLCSYLKPLFDAANILTSTTHPTVITFFHEVWKLQLDAARAVTSEDPFISSLNKIMHEKIDIYWRECSLVLALAVVLDPRFKMKLVEFSFTKIYGDDAHLYIKTVEDGIHEMFHEYVALPLPLTPAYTEDGSSGNRSKMEECPGDAMLSDNGLTDFDAYIMETTSQQTKSELDQYLEESLLPRVPDFDVLGWWKLNKLKYPTLSKMARDILSVPVCTVAPDSVFYSKSKEMDQYRSSLRPETVEAIVCSKDWMQYGTAEASNALVKMVF >Vigun03g229500.3.v1.2 pep primary_assembly:ASM411807v1:3:38228701:38233539:-1 gene:Vigun03g229500.v1.2 transcript:Vigun03g229500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNWLTFSLTPMEMLRSPDSSSHCMLDNFYATNGWTNSKGLMDSESENQPLVVPKVEDFLENQTETQQDDSSLTQIYDHGSAAVYFGDQQDLNAIPGFQPFSGTNSSPELDDSASDKTTRVAPAELGAHSGESSKGALSLCDAAAHEKAIIAVEFATPKKVSQTIGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAAIKYWGPTATTNFPISNYTKELEEMKHAGKQEFIASLRRKSSGFSRGASAYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGASAVTNFEMNRYDVENILNSSLPVGGVAKRLKLSSPESEKKNLVGSTNEQPQGTNESSTINFSAIQPVASIPYDSANAYPQNLLHHFHHSSGGSSSVQESAAIVTNATSLTILPPPPVPGFFLWPPQSF >Vigun03g229500.1.v1.2 pep primary_assembly:ASM411807v1:3:38228701:38233890:-1 gene:Vigun03g229500.v1.2 transcript:Vigun03g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNWLTFSLTPMEMLRSPDSSSHCMLDNFYATNGWTNSKGLMDSESENQPLVVPKVEDFLENQTETQQDDSSLTQIYDHGSAAVYFGDQQDLNAIPGFQPFSGTNSSPELDDSASDKTTRVAPAELGAHSGESSKGALSLCDAAAHEKAIIAVEFATPKKVSQTIGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAAIKYWGPTATTNFPISNYTKELEEMKHAGKQEFIASLRRKSSGFSRGASAYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGASAVTNFEMNRYDVENILNSSLPVGGVAKRLKLSSPESEKKNLVGSTNEQPQGTNESSTINFSAIQPVASIPYDSANAYPQNLLHHFHHSSGGSSSVQESAAIVTNATSLTILPPPPVPGFFLWPPQSF >Vigun03g229500.2.v1.2 pep primary_assembly:ASM411807v1:3:38228701:38233890:-1 gene:Vigun03g229500.v1.2 transcript:Vigun03g229500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNWLTFSLTPMEMLRSPDSSSHCMLDNFYATNGWTNSKGLMDSESENQPLVVPKVEDFLENQTETQQDDSSLTQIYDHGSAAVYFGDQQDLNAIPGFQPFSGTNSSPELDDSASDKTTRVAPAELGAHSGESSKGALSLCDAAAHEKAIIAVEFATPKKVSQTIGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAAIKYWGPTATTNFPISNYTKELEEMKHAGKQEFIASLRRKSSGFSRGASAYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGASAVTNFEMNRYDVENILNSSLPVGGVAKRLKLSSPESEKKNLVGSTNEQPQGTNESSTINFSAIQPVASIPYDSANAYPQNLLHHFHHSSGGSSSVQESAAIVTNATSLTILPPPPVPGFFLWPPQSF >Vigun05g094000.1.v1.2 pep primary_assembly:ASM411807v1:5:9102466:9110126:-1 gene:Vigun05g094000.v1.2 transcript:Vigun05g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDETSEADETLSLRTLSTMRSLLLHPSTSKRTVSHIFQTLASSPHPTPHSLKLLSDAAARHPDLAPTIVLPTAESSPRLAVEAIGASLSGLHLDDARFTSLCFSASVPARAWMLRNVGSSFEVRPGLLLAVLLGFTKDPYPYVRDAALEGLVGFSERGGELKDVGLVDACYRRAVQLLRDFDPCVRFSAVRVVASWGMMLAASSSEMKAYWSNDVFAKLCSMARDMNMKVRLEAFNGLRKMEMVSEDLLLQSLAKRVSGRGKQKESGDQRTSEQCVMLASTVAGALVHGLEDEFFEVRKSVCESLRTLTSLSAEFAREALDSLMDVLNDDSAVVRLQALETMHHMAINGRLKLHDKHLHMFLGALVDNNSSDVRFTYRKILKVMKLNNLPLFKSSVDRLLRNLDSYPQDEADVFSTFSHLGRNHKKFVSLIMKDTFEEVETALEGNVEFDSARIAALLILSISAPLLNADVGRIPPVMFSYAVTFLGRIYNAFSDIMDRDALLACLCEKSRSTEYSATNINLTEGEEQLPVFKGDNAPNFSNNEVIGAQITREPKESADNQIEQQQSLSDEVINYILAKPPAMWPRIQSGHTNEVLRSLRCLKELTAMKLDSLGSGDADALAFTKLYLRVIELLAEVWEHLLPAKKLCSQRIGKMEFKLGKLDRRVKELMSRFIGLSADEELNVLELMLLTCALKICKSEIICLNHPFKRLNTLYLRVESILKESSASPSNFVVELGKVLSTISTNGGSCSPLQFDACLKFFSLKQFMFHGRIKHVNAELSIPNNDMEHPLPFVSGLPVGVPCEITLHNVSSESKLWLRMTLDDGFVQHVFLDLDCSEGSEVVRKFTFVAPFYRTAEAYCLTLKVCIGAECLFENVGPVQRFGGPKRELVLLCKEKQVYLSKVNKD >Vigun03g336400.2.v1.2 pep primary_assembly:ASM411807v1:3:53450517:53452971:-1 gene:Vigun03g336400.v1.2 transcript:Vigun03g336400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGSRVKKRFVSRVIFSLAFGEVKGRVCIYIRHSAGVCIPPPSIPIQSLRRRFQTQLCFLNLFVFLITVHSQVEMAAAPAATFTVGSTGSLGQRGSSLPQSRSFGMKFNSQNPLKSFCGLKAMSSVRCESEFSFLGNKSGSALRASFTSKAQKENNQNFNYKLQPQASYKVAVLGAAGGIGQPLSLLIKMSPLVSDLHLYDIANVKGVAADISHCNTPSQVRDFTGASDLANCLNGVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVSAVADNCPDAFIQIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQRKNLKLIDVDVPVVGGHAGITILPLLSKTRPSASFTDEEVEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTDLPFFASRVKLGRKGIEALIPSDLQGLSDYEQKALEALKPELQASIEKGIAFAQKQAVTA >Vigun04g017200.2.v1.2 pep primary_assembly:ASM411807v1:4:1243329:1247895:1 gene:Vigun04g017200.v1.2 transcript:Vigun04g017200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELIQAVFKRLPEIEAKDREGDSESDMEDGDEGGGLESGYGIRCAIDIFHFLCSLLNVVSIVEADGSTTHTADEDVQIFALVLINSAVELSGEEIGKHPKLLRMIQDDLFHHLIYYGIWSSSFVLSMICSTVLNAFHFLRRSIRFQLEAFFSYVLFRVASFGSTIALQEVAIEGIINFCRQPTFIVEAYANFDCDPCCRNVFEEIGRLLCKHSFALNGHVTSLHIQAFEGLLIMIHNIADNIDKDGSSGSLGAYSIQLPEYRPFWEEMDKEDDLEAWVEHVRLRRLQKKKLLIAANHFNRDNKKGLEYLKHAKLISDPPDPKAHGNFFRYTPGIDKKTIGEFLGDPDPFYLKVLKEFTDTFYFQGMSLDTALRFYLESFLLPGESQKIQRILEAFAERFYDHQSSDMFASKDTVLILCYSLIMLNTDQHNPQVKKKMTEEEFIKNNRAINAGQDLPREYLSELFQSISTCAFSLSQTTVSLDMSPSRWIQLINRSKVMQPFTPCGYDRRVCRDMFACIAGPSVAALSSFFEHAEEEELLHECIEGLFSVARICQYGLEDTLDELITSFCKFTTLLNPYASIEETMFSFSHDIKPRMATVAVFTVANYFRDSIQGGWKNIVDCLLKLKRLKLLPQPVIDFDVDAPTTPESGAMSPTDGHKVGYQRIASMITRFSNLSSDGMDDGLTLGSEFEQNTKMIKLCKIGSIFSNCSNIPKECLQSLGRSLIFAAAGKGQKFSTPVEEEETVEFCWDLIGAISVSNVHRFQVFWPSFHDYLLSVAQFPMFSPIPFAEKAMLVLLKICLKLFSALREDKLVEELIFKSITLMWKLDKEILDTCHDVIAQTISRVLIEYPANVQTQIGWKSVLNLLSVAWRHPDTYDVGIEALIALFSDCTYISRANYAYCIDCAFGCFLAKNSPIDKKKKILDLLADSVTLLIQWHRGQYSDPGSNPGSCNSGSSIDENLRGPSSGNYNMNLFVKLGEAFRRTSLSRQEEIRNHAVYSLQKSFNLAEDLLFISSNCINYFNLVIFAMVDELHEKMLEYSRRENAERETRSMEGTLKLAMEHLSDMYLQSLKQITESPAFRTFWLGILRRMDTCMKADLGQYGQSTLSDIIPNLLRKIITQMKEEGILEPREDDDMWEITYIQIQWICPPLKDELFPL >Vigun04g017200.1.v1.2 pep primary_assembly:ASM411807v1:4:1242007:1247895:1 gene:Vigun04g017200.v1.2 transcript:Vigun04g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMDNVEDFMHVVENKKGATKSKRRQLGLSCMLNTEVSAVIAVVRRPDCIHLYNLSNSEEAYDSSLISSLRSLRSLIFNPQQEWRTIDPTIYLTPFLDVIISDDVPAAATGVALSAVLKILKFEVFDEKTPGAKEGIESVVSGITSCRLEKTDPVSEDAVMMKILQVLTGIMNHRASDLLSDQSVCTLINTCFQVVQQSASRGDLLQRNARYTMHELIQAVFKRLPEIEAKDREGDSESDMEDGDEGGGLESGYGIRCAIDIFHFLCSLLNVVSIVEADGSTTHTADEDVQIFALVLINSAVELSGEEIGKHPKLLRMIQDDLFHHLIYYGIWSSSFVLSMICSTVLNAFHFLRRSIRFQLEAFFSYVLFRVASFGSTIALQEVAIEGIINFCRQPTFIVEAYANFDCDPCCRNVFEEIGRLLCKHSFALNGHVTSLHIQAFEGLLIMIHNIADNIDKDGSSGSLGAYSIQLPEYRPFWEEMDKEDDLEAWVEHVRLRRLQKKKLLIAANHFNRDNKKGLEYLKHAKLISDPPDPKAHGNFFRYTPGIDKKTIGEFLGDPDPFYLKVLKEFTDTFYFQGMSLDTALRFYLESFLLPGESQKIQRILEAFAERFYDHQSSDMFASKDTVLILCYSLIMLNTDQHNPQVKKKMTEEEFIKNNRAINAGQDLPREYLSELFQSISTCAFSLSQTTVSLDMSPSRWIQLINRSKVMQPFTPCGYDRRVCRDMFACIAGPSVAALSSFFEHAEEEELLHECIEGLFSVARICQYGLEDTLDELITSFCKFTTLLNPYASIEETMFSFSHDIKPRMATVAVFTVANYFRDSIQGGWKNIVDCLLKLKRLKLLPQPVIDFDVDAPTTPESGAMSPTDGHKVGYQRIASMITRFSNLSSDGMDDGLTLGSEFEQNTKMIKLCKIGSIFSNCSNIPKECLQSLGRSLIFAAAGKGQKFSTPVEEEETVEFCWDLIGAISVSNVHRFQVFWPSFHDYLLSVAQFPMFSPIPFAEKAMLVLLKICLKLFSALREDKLVEELIFKSITLMWKLDKEILDTCHDVIAQTISRVLIEYPANVQTQIGWKSVLNLLSVAWRHPDTYDVGIEALIALFSDCTYISRANYAYCIDCAFGCFLAKNSPIDKKKKILDLLADSVTLLIQWHRGQYSDPGSNPGSCNSGSSIDENLRGPSSGNYNMNLFVKLGEAFRRTSLSRQEEIRNHAVYSLQKSFNLAEDLLFISSNCINYFNLVIFAMVDELHEKMLEYSRRENAERETRSMEGTLKLAMEHLSDMYLQSLKQITESPAFRTFWLGILRRMDTCMKADLGQYGQSTLSDIIPNLLRKIITQMKEEGILEPREDDDMWEITYIQIQWICPPLKDELFPL >Vigun04g122150.1.v1.2 pep primary_assembly:ASM411807v1:4:31239137:31241754:-1 gene:Vigun04g122150.v1.2 transcript:Vigun04g122150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCCARVSQARVLHLRRESRRRSVMHEGFTVTASISCEDGGLVHRRKTPFSSLVMGFLQVLVFSTRQRWFLQRCNCATSIAMTVAFCNGAKVREGFDLALVIVHTVAVYGCSALQIWCPIFASQWWPAPIRFTTAVSQVTVARVQGSHMVLY >Vigun07g071250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8899767:8901181:-1 gene:Vigun07g071250.v1.2 transcript:Vigun07g071250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHNVIHPDQMNPRSTKDSYDVADEGDDIETDISYRSKLFVGGVPHFLAIGRVYPGGSTMHTVPMSDDLVRVVVEEIRDATTPIPVVGDALRTFISWPK >Vigun10g105400.1.v1.2 pep primary_assembly:ASM411807v1:10:30281363:30283211:1 gene:Vigun10g105400.v1.2 transcript:Vigun10g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPSLLFSKIRSLWFFVCLTIILKSLIPSFVQKWSKKQKPKLPPGPKPWPVVGNLPEMLAYKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLREQDATFASRSLTTCTDLVSSGYVTTALVPFGDQWKKMKKILTTALLSSQKHLWLHDRRTEEADNLMVYVYNKSKIVSNGVPGLVNIRSVARHYCGNITRKIVFNTRYFGKGRKDGGPSFEEKEHVDSIFLLLSYVYAFSISDYIPCLRKLNLDGHQKKVKEALKVIKKYHDPIVQERVKQWNDTPKIDEQDWLDILISLKDADNNPLLTLEEINAQIVEIMIATVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGKQRLVQESDIPKLNFVKACAREAFRLHPFAPFTPPHVSMSDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLKFKPERHLKSDNGSNVALTEPNLRFISFSTGRRGCPGVTLGTSMTVMLFARLLHGFTWSAPSNVSKINLAESNDDLNLAEPLMAVAKPRLPPELYHL >Vigun04g024500.1.v1.2 pep primary_assembly:ASM411807v1:4:1885463:1894048:1 gene:Vigun04g024500.v1.2 transcript:Vigun04g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHSHLLAFALTVSCFFSIALPHSHSQPSPCAEQSYRCNISVSAIFDPPWEQIPASQCNGADTSSLLSCHVFYDNVPIESQNFTVKDINNTAHTMKVMLTPPVTDVCSPHFLNFDYQTFNNALLQYNASVHDITIFVNCHGISNFPSKRNFTCDDFLYYFEEGTEEEMLNRYPQLEVCKGRLFVAAAAPLDRYDDSDDGARVLEQALNHAFQVNYGIIPDGCTRCSQSEGTCWAYDHDEHVVSCKYCPNEYCSPKRRNWDQKRKLIILGVTGSAFAAMLILISILCVRYKSPTWQAKFGLTSKSNQNIETFLKSHGPLALERYKLSDVKKMTNNFKEKLGQGGFGAVYKGKLSNGRIVAVKMLSVSKTNGEEFMNEVASISRTSHVNVVSLLGFCLRGRKRVLVYEFMSNGSLDKFIYQTRDETLSALSWDVIYKIARDIARGLEYLHKGCNTRIFHFDIKPHNILLDENFCAKISDFGLAKLCPRNESIVSLSDVRGTIGYVAPEMWSRNLGRVSHKSDVYSYGMVLLEMVGGRKNINGEASNTSEIYFPHWAYNKLEMDNDLRPDMEMSTEENEIAKRLAMVGLWCTQRFPNDRPTMSRVIDMLEGNMDSIQIPPKPVLSSPTRSLPQYSIS >Vigun03g123100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11592120:11594120:1 gene:Vigun03g123100.v1.2 transcript:Vigun03g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWHNTVHNPILFCHAMRTIFILQILPLANSLSFDYPNFKNGDVKWEGDASILKGAIQVTSNTIDQNNNFSVGRVTSFEQMLLWDMKSRKLSDFTTKFSFVIFSEKRYYGDGMAFFLADPNLPLLKDIKQGGGLGLVDGEQVLNSTQHPFVAVEFDTFHNKLWDPSGSTHVGLNFNSMKSNITRSWLTDIQIWNVYNCSIKYNSSTLNLSVSFTMYNDSKPVEEYISYKVDLRDHLPGRVILGFSAATGKLYEVHTLRSWSFSSSLQSDEKTDEVKPEAVPPDSNLISSEKGKKIGLWVGLGIGLGLVLSLSFTMLWKRSRGRKEELVFDLSMGDEFPKGTGPKSFSYNELASATNKFAESEKLGQGGFGAVYKGYLKDTKSYVAIKRISRDSKQGMKEYVTEVKVISQLRHRNLVQLIGWCHEKNEFVLVYEFMPNGSLDSHLYGVKSFLTWRVRYNIALGLASALLYLQEEWEQCVIHRDIKSSNIMLDSSFNAKLGDFGLARLVDHEKGSQTTHIAGTRGYIAPEYFSSGKATKESDIYSFGVVLLEIASGRKCVELKAEEGEITLVEWVWKLYGLGRSHEAVDPKLCGEFDEKQMIRLVVVGLWCVHPDFSFRPSIRQVIQVLKFDSPLPLLPEMMPVPTYLPPTIKALFSSVSSFFWETS >Vigun10g122500.2.v1.2 pep primary_assembly:ASM411807v1:10:33005980:33007591:1 gene:Vigun10g122500.v1.2 transcript:Vigun10g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQKNRTLEITVVSGENIRVDRNSVSEVYVVVRAESLNCCTTKMVNGNDDGVHAWNEKLLLEVPSYARSVTFEVQCKKYKEVRPVGVARIALSDLVSAENNGVLSESVAQSFCYGLRDWDGRRNGVIHFSVKMVDNLCSETEQEKDVKMVNCRGIEKEVLGFRKNPNKSNRVVIGIPVNWFTKLLKALNKGN >Vigun10g122500.1.v1.2 pep primary_assembly:ASM411807v1:10:33005985:33007562:1 gene:Vigun10g122500.v1.2 transcript:Vigun10g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQKNRTLEITVVSGENIRVDRNSVSEVYVVVRAESLNCCTTKMVNGNDDGVHAWNEKLLLEVPSYARSVTFEVQCKKYKEVRPVGVARIALSDLVSAENNGVLSESVAQSFCYGLRDWDGRRNGVIHFSVKMVDNLCSETEQEKDVKMVNCRGIEKEVLGFRKNPNKSNRVVIGIPVNWFTKLLKVEGLEQRKLIKLYKVR >Vigun03g050100.1.v1.2 pep primary_assembly:ASM411807v1:3:4019649:4020541:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEHVSEPISTSLQLDLHDDDMAY >Vigun03g050100.5.v1.2 pep primary_assembly:ASM411807v1:3:4017658:4020965:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEHVSEPISTSLQLDLHDDDMAY >Vigun03g050100.4.v1.2 pep primary_assembly:ASM411807v1:3:4019457:4020965:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEPISTSLQLDLHDDDMAY >Vigun03g050100.2.v1.2 pep primary_assembly:ASM411807v1:3:4017658:4020965:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEKKVDDSPKKPVCSCSIL >Vigun03g050100.6.v1.2 pep primary_assembly:ASM411807v1:3:4017658:4020965:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEPISTSLQLDLHDDDMAY >Vigun03g050100.3.v1.2 pep primary_assembly:ASM411807v1:3:4017658:4020965:-1 gene:Vigun03g050100.v1.2 transcript:Vigun03g050100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTIVPKSANEVKLINSGQILENNKTVGQCKVLFGEIAGNIIIMHVVVQPSLAKTKAEKKVDDSPKKPVCSCSIL >Vigun11g116600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32176609:32177271:1 gene:Vigun11g116600.v1.2 transcript:Vigun11g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRKSKISAQVHEKVKVVPTMSKLEAAEMEERMKKKVRLMVKNGGSCKSGGLRIRVVMSQEELKKMLSQQQSTSMEQLLHAVKLRGGRISEVGDDCFDDDERIGSWKPSLDSIPEDSYSSV >Vigun05g152700.1.v1.2 pep primary_assembly:ASM411807v1:5:24021562:24025252:-1 gene:Vigun05g152700.v1.2 transcript:Vigun05g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISTPASKFRQFRRLRSRPKTTTATEEEHAFQILWRHQILDPDSDIVAYWNKVFLVTSLLALFVDPLYFFLPTVGGPACLQADPKLSIIVTIIRSFADLFYVLHMLMKFRTAFVAPNSRIFGRGDLVMDSREIATRYLRSDFVIDLAATIPLPQIVIWLVIPASRNARTDHANNTLALFVLIQYVPRLFLIFHLNQRIQKTTGVIAKTPWIGAAYNLILYMLASHVTGATWYLSSIGRQFNCWKTQCQIENKSHTLSCFSSYLDCNSLNAPDRQYWLNITRVITKCDAKSKINVKYKFGMFAEAFLNDVVISSFKERYFYCLWWGLRNLSSYGQNLDTTTYLPETLFCIILCIAGLVFFSLLIGNMETYLSSMTVRLEEWRIRKRDTEEWMRHRQLPPHLQERVRRFVQYKWLATRGVDEEAIMLSLPLDLRREIQHHLCLSLVRRVPFFSQMDDQLLDAICERLSSSLSTEGTYIFREGDPVDEMLFIIRGQLESSTTNGGRSGFFNCIKLRPGDFCGEELLTWALMPNSTLNLPSSTRTVKALSEVEAFSLQAEDLKFVASQFKRLHSKKLQHAFRYYSHQWRTWGSCFIQAAWRRYQKRKATRELSLKEGLYYLSLPETEESEYLEDDETVESSGKTKKIQNLGATVLASKFAANTRKGAHKLPDPVPQLFKPDEPDFSLDHEL >Vigun10g070701.1.v1.2 pep primary_assembly:ASM411807v1:10:16997675:16998605:-1 gene:Vigun10g070701.v1.2 transcript:Vigun10g070701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLQRQWTLRDEEGNRHVVAYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYDLPADFGNYLCQGSFKYIYLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHIKSNQNVKVLTYCNF >Vigun03g326200.1.v1.2 pep primary_assembly:ASM411807v1:3:52235221:52237431:-1 gene:Vigun03g326200.v1.2 transcript:Vigun03g326200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVVCQYPTKTQLEVQNFDDENVVTSEANYGKECNVAPKDTSPTLPGWYADVSWPGEAHIYKMEKIIFQGKSEFQELLVFESSRHGKVAILDGYIQLTENDEFAYQEMLTHLALCSVPNPKKVLVVGGGDGGILREISRHSSVEHIDICEIDKMVIDVYKKFFPDIAVGYEDPRVHVHIRDGIAFMNCVPEGTYDVIILDAFQPMGPIAEVLADSCFLDSVAKALGPGGVLSAPAESLWHKNFVVAESIAKCKNIFKGSVNYAWTTVPTYASGVIGFMLCSTEGPPVNFRHPINPLNPEKNGVAKGPPKFYNSEIHSAAFCLPSFVDVDPKRY >Vigun11g054900.1.v1.2 pep primary_assembly:ASM411807v1:11:10169841:10175112:1 gene:Vigun11g054900.v1.2 transcript:Vigun11g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKIIGVVGGGQMGSGIAQVAAMHGVDVVLHDLDHRALSKASSSISSSIDRFVSKGTLSQAAGVEVLKRVRFTTNLEDFHTADFIIEAIVESEEVKKSLFVKLDKIAKSSAILASNTSSISITRIASSTTRPRQVIGMHFMNPPPLKKLIEIIRGADTSDETFATTKALSQRLGKVVITSQDYSGFIVNRILMPMINEAFFTLYTGVATKEDIDKGMKLGTSHPMGPLELADFIGLDVCLSIMRVLHAGLGDNKYAPCPLLVQYVDAGRLGRKRGIGVYKYSEEPRSTKSSSRL >Vigun10g026700.1.v1.2 pep primary_assembly:ASM411807v1:10:3161908:3170031:1 gene:Vigun10g026700.v1.2 transcript:Vigun10g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYTVHMRTISKGAIILFSIMMLLFDTTYSVVLESDENHIKSVTFLSENFEVEPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSSPKYGTGKEAGNEKGYLVGMSVCYPKLGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQMPNKYSKEI >Vigun10g026700.2.v1.2 pep primary_assembly:ASM411807v1:10:3161908:3163994:1 gene:Vigun10g026700.v1.2 transcript:Vigun10g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYTVHMRTISKGAIILFSIMMLLFDTTYSVVLESDENHIKSVTFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGISSNLPDPFTVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSSPKYGTGKEAGNEKGYLVGMSVCYPKLGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQMPNKYSKEI >Vigun08g028900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2628718:2631511:-1 gene:Vigun08g028900.v1.2 transcript:Vigun08g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLILNSVQTILLLLLLLSTNTKAEENQTPSHAKMTYIIHMDKSTMPSTFSDHLNWFDSSLKSASATAEIFYTYKHVVHGFSTRLTPQEAQTLANQPGILSVTPELRYKLHTTRTPQFLGLDKSTTLLPASQQQSQVIIGVLDTGVWPELKSLDDTGLGPVPATWKGECEVGSNMNSSHCNRKLVGARFFSKGYEAALGPVDLSTESKSARDDEGHGSHTLTTAAGSVVPEASFFGLASGTARGMAPQARVAVYKVCWLGGCFSSDIAAGIDKAIEDGVNVLSMSIGGSLMEYYRDIIAIGTFTATSHGILVSMSAGNGGPSSSTLANVAPWITTVGAGTLDRDFPAYITLGNGKTYAGASLYSGKPLPDSPLPLVYAGNASNSSVGYLCLQDSLNPEKVSGKIVICERGGNPRVEKGLVVKLAGGAGMILANNEAYGEELVADPHLLPAASLGQKSSQILKSYVSSSANPTAKIAFVGTHLEVQPSPVVAAFSSRGPNALTPKILKPDLIAPGVNILAGWTGAVGPTGLTADDRHVSFNIISGTSMSCPHVSGLAAIVKGAHPQWSPAAIRSALMTTAYTSYKNGAAIEDVATGQPATPFDYGAGHVNPVAALDPGLVYDANVEDYLGFFCALNYTSFQIKLAARRDFTCDPKREYRVEDFNYPSFAVALETASGIGGGSDAPNTVKYSRVLTNVGAPGTYKASVVPLGDSNVKIVVEPETLSFSQEYEKKSYTVSFTYKSMPSGTSSFARLEWTDGKHRVASPIAFSWT >Vigun03g230800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38433758:38435962:-1 gene:Vigun03g230800.v1.2 transcript:Vigun03g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDHDEAQLGMPGPTYDHSPFNPCRVKMPTHTAQEDPLPPAATPPPTVNRNSARGKYKECLKNHAVGIGGHALDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKDPSESSFLVPFQQRRHQPPPQFAAAYYRAPAGYLQVAGPHRGGLATLALPSTSGAGGGAQSPREDQEDVSDPSGGGSGSNKKRFRTKFTLEQKDKMLAFAEKLGWRIQKHDESVVQEFCSQTGVPRHVLKVWMHNNKHTLGKKP >Vigun03g044200.1.v1.2 pep primary_assembly:ASM411807v1:3:3544468:3545830:-1 gene:Vigun03g044200.v1.2 transcript:Vigun03g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELDDAFSWNVLNVLKPVIVSRYLQQPLSLYLCHLSHIFRIKRELCHPSNHSWMPRLFKVKTTFARLVRCISGTVFSSKS >Vigun09g044000.1.v1.2 pep primary_assembly:ASM411807v1:9:4130190:4131094:-1 gene:Vigun09g044000.v1.2 transcript:Vigun09g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEENSDARDSLIAEKLIKEVKVTSDESFRLLIKHRKNISMVALSEDDSKVFLASKDGVIM >Vigun03g428600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63334722:63335879:1 gene:Vigun03g428600.v1.2 transcript:Vigun03g428600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIADSSSLNTPSPSSTPPSKTIGGVTSTTLASLASLIKLLPTGTVFVFQFLNPVLTNSGECDASNKSLCAVLLVFCGFSCAFSSFTDSYTGSDKQRHYGIVTRNGLWPSPASDSVDLSSYRLKFGDLVHAVLSFSVFAVLGLLDSNTVKCFYPGFESTQKRLLQVLPTAIGVVAGGLFMIFPNDRHGIGYPLTFDSNDTASPNNPSVNA >Vigun08g039400.2.v1.2 pep primary_assembly:ASM411807v1:8:3870971:3873523:1 gene:Vigun08g039400.v1.2 transcript:Vigun08g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPLILVADPELCKEVGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDSRWSTMRNTILSVYQPSHLASLVPMMQSFIESATQNLDTPNEDMIFSDLSLRLATDVIGEAAFGVNFGLSKPLSLCESIKSVSSVKNGDDDDDEVSDFINQHIYSTTQLKMDLSGSFSIILGLLAPILQEPFRQILKRIPGTMDRKIESINEKLSGRLDEIVKRRMEDKNRTSKNFLSLILNARESKLVSENVFSPEYISAVTYEHLLAGSATTAFTLSSIVYLVAGHLEVEKKLLQEIDEFGPSDKIPTAQDLHDSFPYLDQVIKEAMRFYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDPRNFPDPEKFKPERFDPKCEEMKLRHPYAFIPFGIGPRACIGQKFSLQELKLTLIHLYRKYVFRHSLNMEKPVEMEYGMVLNFKHGVKLRVIRRTQ >Vigun08g039400.1.v1.2 pep primary_assembly:ASM411807v1:8:3869696:3873523:1 gene:Vigun08g039400.v1.2 transcript:Vigun08g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMQLLGAMIILLLPLLGALLGYLYGPYWGLRKVPGPPSLPLLGHLHLLAKYGPDVFSLLADQYGPIYRFHMGRQPLILVADPELCKEVGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDSRWSTMRNTILSVYQPSHLASLVPMMQSFIESATQNLDTPNEDMIFSDLSLRLATDVIGEAAFGVNFGLSKPLSLCESIKSVSSVKNGDDDDDEVSDFINQHIYSTTQLKMDLSGSFSIILGLLAPILQEPFRQILKRIPGTMDRKIESINEKLSGRLDEIVKRRMEDKNRTSKNFLSLILNARESKLVSENVFSPEYISAVTYEHLLAGSATTAFTLSSIVYLVAGHLEVEKKLLQEIDEFGPSDKIPTAQDLHDSFPYLDQVIKEAMRFYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDPRNFPDPEKFKPERFDPKCEEMKLRHPYAFIPFGIGPRACIGQKFSLQELKLTLIHLYRKYVFRHSLNMEKPVEMEYGMVLNFKHGVKLRVIRRTQ >Vigun06g007750.1.v1.2 pep primary_assembly:ASM411807v1:6:3790890:3792552:1 gene:Vigun06g007750.v1.2 transcript:Vigun06g007750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVRLVDQTIGGEIKKKKRYSDRVADGQASSCRNGVGRQRLLPRGQLEGAEVRRKAFWLLIYSSTELHPTLRSFWLALYLIYFPGIVVQSVRAPPCQGRSCGFEPRQSRPRIR >Vigun11g045600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6853672:6853833:1 gene:Vigun11g045600.v1.2 transcript:Vigun11g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLHLFLLTISSSYARQRTPSSTTPSRLLPNPFFQLCATDNTYVENTFTSFP >Vigun01g037600.2.v1.2 pep primary_assembly:ASM411807v1:1:4955472:4957367:-1 gene:Vigun01g037600.v1.2 transcript:Vigun01g037600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAGQVVCVTGASGYIASWVVKFLLERGYTVKATVRDTSDPKKVDHLLSLDGAKERLHLVKANLLEEGSFDSAVEGCHAVFHTASPFFDDAKDPQTELLDPALKGTLNVLKSCVNSPTLKRVVVTSSIAAVSFNDRPKNPDVVVDETWYSDPEYCKRNGIWYNLSKTLAEDAAWKFAKENNIDLVTANPALVVGPLLQPVLNTSSAAVLNLINGNFTIYALCSKISIIWFACILITQC >Vigun01g037600.1.v1.2 pep primary_assembly:ASM411807v1:1:4955472:4957367:-1 gene:Vigun01g037600.v1.2 transcript:Vigun01g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAGQVVCVTGASGYIASWVVKFLLERGYTVKATVRDTSDPKKVDHLLSLDGAKERLHLVKANLLEEGSFDSAVEGCHAVFHTASPFFDDAKDPQTELLDPALKGTLNVLKSCVNSPTLKRVVVTSSIAAVSFNDRPKNPDVVVDETWYSDPEYCKRNGIWYNLSKTLAEDAAWKFAKENNIDLVTANPALVVGPLLQPVLNTSSAAVLNLINGSPTFKNVTLGWVDVRDVAIAHVLAYENASANGRYLLVERVAHFGDVVKILHDLYPTLQLPQKCVDDRPYDPIFQVSKEKAKSLGLEFTPLEVSIKDTVESLKEKGFIKF >Vigun03g026100.2.v1.2 pep primary_assembly:ASM411807v1:3:1952829:1956278:-1 gene:Vigun03g026100.v1.2 transcript:Vigun03g026100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFHSLFYGLVVVIILLHSPSSTQCHTKGIRPRPGNGLSTNMTRVQFSEEQFMEWVRFVGGLKHSVFKTAKNKLFPSHTLHVSKKPGKGGFSSIQAAIDSLPFINVVRVVIKVHAGVYTEKVNIPPLKSFITIQGAGADNTIVQWGDTAQSQPLGTYGSATFAVNSPFFVAKNITFKNTTPIPAPGAVGKQAVALRISADNAIFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIAQVTGALTAQGRSSLLQDTGFSFVHCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGAGASYAGRVSWSRELTDEEAKPFISLSYIDGSEWINLSF >Vigun03g026100.1.v1.2 pep primary_assembly:ASM411807v1:3:1952829:1956278:-1 gene:Vigun03g026100.v1.2 transcript:Vigun03g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFHSLFYGLVVVIILLHSPSSTQCHTKGIRPRPGNGLSTNMTRVQFSEEQFMEWVRFVGGLKHSVFKTAKNKLFPSHTLHVSKKPGKGGFSSIQAAIDSLPFINVVRVVIKVHAGVYTEKVNIPPLKSFITIQGAGADNTIVQWGDTAQSQPLGTYGSATFAVNSPFFVAKNITFKNTTPIPAPGAVGKQAVALRISADNAIFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEQGCHVHAIAQVTGALTAQGRSSLLQDTGFSFVHCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGAGASYAGRVSWSRELTDEEAKPFISLSYIDGSEWINLSF >Vigun03g432000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63611940:63612632:1 gene:Vigun03g432000.v1.2 transcript:Vigun03g432000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSLSVPKSGGLMQRCSRHFREQKTRFYIIWRCTVFLMRCEDRF >Vigun06g035000.1.v1.2 pep primary_assembly:ASM411807v1:6:14768797:14770350:-1 gene:Vigun06g035000.v1.2 transcript:Vigun06g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKCFGFKIFFQNSIMFLDPSEYLNSNFAGQFLDKSRKRYLLTNDTIIY >Vigun01g118300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29463771:29464663:1 gene:Vigun01g118300.v1.2 transcript:Vigun01g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFAVAFSAVPLTLYIPPIRCFNLFVHTLQSFLQDSSLFSFRAFFRIRHAFSRIFNSFVHVAW >Vigun01g118300.2.v1.2 pep primary_assembly:ASM411807v1:1:29463834:29465414:1 gene:Vigun01g118300.v1.2 transcript:Vigun01g118300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFAVAFSAVPLTLYIPPIRCFNLFVHTLQSFLQDSSLFSFRAFFRIRHAFSRIFNSFVHVA >Vigun11g070651.1.v1.2 pep primary_assembly:ASM411807v1:11:20564468:20564946:1 gene:Vigun11g070651.v1.2 transcript:Vigun11g070651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun05g049300.2.v1.2 pep primary_assembly:ASM411807v1:5:4259548:4265682:1 gene:Vigun05g049300.v1.2 transcript:Vigun05g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLDRGNACHSTSGSTAWLGRGLSCVCAQRRESDARPSFDLTSAQEECLLRLQSRIDIPYDSSIPEHQDALRALWSVAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFIAENESAFDLLYCITFKMMDQQWLSMRASYMDFNTVMKSTRRQLEKELLLDDIMRLEDIPSYKLLTR >Vigun05g049300.3.v1.2 pep primary_assembly:ASM411807v1:5:4259569:4265630:1 gene:Vigun05g049300.v1.2 transcript:Vigun05g049300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLDRGNACHSTSAEFVTGSTAWLGRGLSCVCAQRRESDARPSFDLTSAQEECLLRLQSRIDIPYDSSIPEHQDALRALWSVAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFIAENESAFDLLYCITFKMMDQQWLSMRASYMDFNTVMKSTRRQLEKELLLDDIMRLEDIPSYKLLTR >Vigun05g049300.1.v1.2 pep primary_assembly:ASM411807v1:5:4259540:4265685:1 gene:Vigun05g049300.v1.2 transcript:Vigun05g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLDRGNACHSTSAEFVTGSTAWLGRGLSCVCAQRRESDARPSFDLTSAQEECLLRLQSRIDIPYDSSIPEHQDALRALWSVAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFIAENESAFDLLYCITFKMMDQQWLSMRASYMDFNTVMKSTRRQLEKELLLDDIMRLEDIPSYKLLTR >Vigun07g069900.2.v1.2 pep primary_assembly:ASM411807v1:7:8537049:8539437:1 gene:Vigun07g069900.v1.2 transcript:Vigun07g069900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVFSIYPNMVFLIFSTRCSSSPKPKPSYITPNPNIQGTDTLIPRQDMKIPFFTCFSSPTRKQNKNDYPEEEINDGSFRLFTYGQLKLATRNFHSSEKVGEGGFGSVYKVESMRGEREFVAELATLANIKHQNLVSLKGCCVEGVHRYLVYDYMENNSLYKTFLGSEERRMRFTWETRREVSIGVARGLDFLHEELKPHIVHRDIKAKNILLDQNFTPKVSDFGLAKLLRDEASYISTRVAGTLGYLAPEYANSGQVSRKSDVYSFGVLLLQIVSGLAVVDAYQDIERFIVEKAWAAYQSNDLLKLVDPMLNKNFPEEEAIKFLKVGLLCVQETAKLRPRMSEVVEKFTNNVDMKDVKISKPGFVADLRNIRIKNQNTSSPETSSAGATFASSIWSSANLAR >Vigun07g069900.1.v1.2 pep primary_assembly:ASM411807v1:7:8537049:8539437:1 gene:Vigun07g069900.v1.2 transcript:Vigun07g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVFSIYPNMVFLIFSTRCSSSPKPKPSYITPNPNIQGTDTLIPRQDMKIPFFTCFSSPTRKQNKNDYPEEEINDGSFRLFTYGQLKLATRNFHSSEKVGEGGFGSVYKGKLLDGSLVAVKVLSVEVESMRGEREFVAELATLANIKHQNLVSLKGCCVEGVHRYLVYDYMENNSLYKTFLGSEERRMRFTWETRREVSIGVARGLDFLHEELKPHIVHRDIKAKNILLDQNFTPKVSDFGLAKLLRDEASYISTRVAGTLGYLAPEYANSGQVSRKSDVYSFGVLLLQIVSGLAVVDAYQDIERFIVEKAWAAYQSNDLLKLVDPMLNKNFPEEEAIKFLKVGLLCVQETAKLRPRMSEVVEKFTNNVDMKDVKISKPGFVADLRNIRIKNQNTSSPETSSAGATFASSIWSSANLAR >Vigun07g119700.1.v1.2 pep primary_assembly:ASM411807v1:7:22211309:22215539:1 gene:Vigun07g119700.v1.2 transcript:Vigun07g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIFRGHEAQPVHDAYSPKPNKPWLSVTRPIHYMLREQRLLFVLLGVVIATVFFTLVPSSSNSSPTVPYDPLPISYFERERVLEPKLPAYHRVAAAVHSVGKVPLGIKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLIRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPEARIEYRPNTEDDPHKRKPDIGRAKELLGWEPKVDLHKGLPLMVSDFRLRIFGDHKEGATVA >Vigun04g033600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2781640:2782798:1 gene:Vigun04g033600.v1.2 transcript:Vigun04g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRPRCSFCYIFITLYTLMFIFILSLILFWIIISPSSVKFHVTGASLTQFNLITSNNTLYYNFKVNVTVRNPNNNIVVYYRKITAIAWYKDNAFGWVSLTPFDQGHRNTTFLQAVFVGQRVIKLKPQQLGEYKEETNAGIHKDLAVDFDLNIRAKYARFKSSRFNPPIVQCRRLKVPFISNGKSEPPFTVTRCSSAYFFSDRDADA >Vigun05g052250.1.v1.2 pep primary_assembly:ASM411807v1:5:4463637:4465483:1 gene:Vigun05g052250.v1.2 transcript:Vigun05g052250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIINQYSSSSYLQSNMNSKTSSFSAGHVLCSDNPNSEAHENRSSKSMTQRQNAIPRTNTQHHILAERKRREELTKNIVELSADTTKSKKSRNKSSVVREAVDYVKRLKERVKELENQKFLDREVLIGIFCRNPNNTLTLLNNLHLCATRSSVLPFGSSTFKVTIIAKMNDEYSMTIDDLIKALRGRLLSLKSQKMLK >Vigun10g175900.1.v1.2 pep primary_assembly:ASM411807v1:10:39439189:39448076:-1 gene:Vigun10g175900.v1.2 transcript:Vigun10g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSVKWQKEIFKEVEIDTTQSPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSTLGVKEGQKLMMVGTADEIVKSPEKGTVFVEDLPEEEQVVAVGHTAGLFNLGNTCYMNSTLQCLHSVPELKSSLIKYSHSGRNNDVDQSSHMLTIATRDLFNELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWTQLLYTLSQSLRSPGSSENPDAVKAIFGIELISRIHCQESNEESSETESVYSLKCHISQEVNHLHEGIKHGLKSELEKASPALGRSATYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELRKKLDGPRQILRNEEGKKLGLKVNDTSSAQKENDVKMSDAEGSSNGDGEASVVPMDEGEKETQMTGIYDLVAVLTHKGRSADSGHYVGWVKQENGKWIEFDDDNPKPRVEDDITRLSGGGDWHMAYIIMYKARVVSV >Vigun10g026900.1.v1.2 pep primary_assembly:ASM411807v1:10:3246735:3257879:1 gene:Vigun10g026900.v1.2 transcript:Vigun10g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKKATADAPPEWWSLLVLRIREGEEGEGEGEEEYGVGGDEEEENAGEFYEVEAIRRKRVRKVKSSHLFLFFCHWWNGWPETANTWEPPENLVSVPDVVEAFEESLKSGRHRKRKRKHVVHHTQPKKRLERSTTPYSLRRFSSTVGSHSQSALPVLSDASLPVIPAFPQTVLFADELGNGAGNNLESAIPVNVNKSPIVSEQNVERNEENDYDPKLSELKASSAGGNEADRLAIRIPEAMPSGPGFAGNNGQTVAKPKGVRMETSESGRCRGAKRRKSGSVKRFNKELYAGEPANTQNPIGAAVSTDESALLTRNVGSGANHARPASNIVKIIKPIGYSASVASGAQDVLVTFVASKSDGTEVMVNNKYLKAFNPLLLINFYEQHLRYSPTS >Vigun04g116200.1.v1.2 pep primary_assembly:ASM411807v1:4:29065228:29067546:-1 gene:Vigun04g116200.v1.2 transcript:Vigun04g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILFFFMCLCLVISHYVHGIQDTLKEDLEFEATPNLINKTPIKSIYTDFEEIIDCIDIYKQPAFNHPLLKNHKLQIKPNFKNLIEKTFVNNSQIGSTFGFDKEECPRGTIPIQRAKYNLSEEKILNDILVKDIPGVHVAEISMLQRYAPFYKVSGISSIYNPKVEKGQISMSHIWVENGPVESSNRITVGWHVLPKIYGDSRTHFYISWTSDNFKKTGCYNTACRGFVQVDTKNFPGGYFPQTSIYGGPTYEVYLAITQDPKTKNWWISAGNVSIGYYPARLFSNLGSASIVGWGGRTKANVGGLSPPMGSGHIPDGNKDHASYFRSPRIQLASRHDYTPEYIMTKGFSDNTKCYGVSKDYEVEYEGVLEFGGPGGKCGI >Vigun04g033900.2.v1.2 pep primary_assembly:ASM411807v1:4:2793577:2796076:-1 gene:Vigun04g033900.v1.2 transcript:Vigun04g033900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TKQVVIYKQPLWLSHTIIHVCCFFLLIFFSPLLLLSLLHFANTTPTTITRLALMGLFLSRNLACKFIYPFLFSKRVSKDLFPKPLETMMSLMSPRTGRHLQRYDQGCRQVVGCIPYRYKNNGTKDKELEVLVISAQKGHGMQFPKGGWEIDESMEQAALRETIEEAGVIGSVESNLGKWYYKSKRQPIMHEGYMFPLLVKKELDKWPEMNTRKRRWMTVAEAKEICPYPWMKEALDVLVMRQNQLKK >Vigun07g029800.2.v1.2 pep primary_assembly:ASM411807v1:7:2710979:2716422:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.8.v1.2 pep primary_assembly:ASM411807v1:7:2710979:2716274:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.5.v1.2 pep primary_assembly:ASM411807v1:7:2710978:2716326:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.1.v1.2 pep primary_assembly:ASM411807v1:7:2710977:2716422:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.6.v1.2 pep primary_assembly:ASM411807v1:7:2710979:2716423:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.7.v1.2 pep primary_assembly:ASM411807v1:7:2710973:2716274:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAGLVDDGACIFYTMVKVYGILPSVDHFSCLVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun07g029800.9.v1.2 pep primary_assembly:ASM411807v1:7:2710979:2716413:1 gene:Vigun07g029800.v1.2 transcript:Vigun07g029800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKLNQMLADLTRSNHHTQSLTLFVLAHSSFTPDHYTLSAALTAAANARHTTFGPQLHAHAVRTGLWAHSHVANSLLSLYAKTHDLASVERAFTEIRCPDAYSWTTLLSACAKLAPVTHALQLFDQIPKRHVAVWNAVITGCADKGHEGLAFNLFRDMQNMGVKADKYTFATMLSLCSLELLDYGRHVHSVVIKSGFLGWTSVVNSLITMYFKCGCVVDACEVFEEAEEGGTCDYVTYNAIIDGFASEERSEDAFLMFRDMQKGCFGPTEVTFVSVMSSCSCLRAGCQAQAQAIKMGLIGCVAVSNAMLTMYSGFGEVYEVRDIFERMGERDVVSWNIMVSTLLQENLEEEAILSYLKMRREGIEPDEYTYGSLLVATDSLQVVEMIHSLLCKSGLGKIEVLNVLVSAYCRHRKMNCAFQIFSAVPYKNLISWNSIISGFLMNGCPLQGLEQFSALLRAQIKPNAYSLSLVLSICSVMSAMSHGKQIHCYILRHAFSSEVSLGNALVTMYAKCGSLDGALRVFDAMVERDTISWNAIISAYAQHGRGKEAVSCFEAMQTTPGIRPDQATFTSVLSACSHAVDLLGRNGYLDEAETVIKDGYLGAHSNMCWSMFSACAAHGNLKLGRAVARLLLERENDNPSVYVLLSNICAAAGQWEEAANVRDMISDFGTTKQPGCSWIRT >Vigun03g423900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932465:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun03g423900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932465:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun03g423900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932462:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun03g423900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932465:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun03g423900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932462:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun03g423900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62932465:62935412:1 gene:Vigun03g423900.v1.2 transcript:Vigun03g423900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRHRLRLSPTPILYCFPLSLFPQPFHFFSTTTSDPCAFTLSYLTNTCGFSHEVALKLSKRLRFESAEKPDSVFSFFRTHGFSASQIHRIFYQAPDLMLCDPTKRLLPKFQFLAAKGASPSDTILTVSKSPRFLRYSLNNHIIPIFELIRTFLPSDLKALAVLIACPNFIGDSRVASNVQLLLDTGVTHTGIRYLLCSRPSVLCSDLRPAIEEVKLLGFEPLKLSFALALLAKRAVSKPLWDAKVDALKKWGWSEDDILVAFRNQPNVMLRSKEKLNAVMGFWVGQLGWDYTALISSPTLFSYSLEKRVAPRALVVQYLLSKGLVKKSASLVTPFGMSDELFLQKYVKRFKEETPRLLELYQTAQGTS >Vigun01g236000.1.v1.2 pep primary_assembly:ASM411807v1:1:40744339:40745395:1 gene:Vigun01g236000.v1.2 transcript:Vigun01g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRLLPLLLYLLSLCFVSTVRSDDDCVYSVYIRTGSIFNGGTDSIIGLKLYDALGNGIYITNLETWGGLMEPGHNYFERGNLDIFSGRGPCLDEPVCAINITSDGSGPHHGWYVNYVEVTSTGVHANCSQSLFTVEQWIATDRSPYQLWTVRNNCPNVLGLARSKAHFTDVVGSRNGPGSGFSVSALKRSRAGMLFMKW >Vigun10g093600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:27104550:27105704:1 gene:Vigun10g093600.v1.2 transcript:Vigun10g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIPSSIEITEMEAERNQKEEMQNKATVETLYKALLGQGQMDTVANMLASDLEWWFHGPPQCQHMMRILTGETATNNGFRFEPRSLTAIGDCVIAEGWEGKAYWVHVWTLKNALITQFREYFNTWLVVRDLRSQTWQNKLQNITLWQSQPRDLYRRSLPGLVLAI >Vigun06g203400.2.v1.2 pep primary_assembly:ASM411807v1:6:31776278:31778089:1 gene:Vigun06g203400.v1.2 transcript:Vigun06g203400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFKEKLFNAPKELKSPASLSSCTEPKPSNEIVNDFMSSNSSNAFSMCFGNDALLAYSPSKNPSTHQRLFSGLDDIYCVFMGGLHNLSRMNKQYGLSKGTNEAMFITEAYRTLRDRGPYPADQVLIQLEGSFGFVIYDNKHGTVFVASGSDGQIGLYWGVAADGSVVISENLDLIKASCAKSFAPFPTGM >Vigun06g203400.1.v1.2 pep primary_assembly:ASM411807v1:6:31776278:31778089:1 gene:Vigun06g203400.v1.2 transcript:Vigun06g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFKEKLFNAPKELKSPASLSSCTEPKPSNEIVNDFMSSNSSNAFSMCFGNDALLAYSPSKNPSTHQRLFSGLDDIYCVFMGGLHNLSRMNKQYGLSKGTNEAMFITEAYRTLRDRGPYPADQVLIQLEGSFGFVIYDNKHGTVFVASGSDGQIGLYWGVAADGSVVISENLDLIKASCAKSFAPFPTGCMFHSEHGLMNFEHPTRKMKAMPIIDSEGVMCGANFNVDSQSKIQMMPRVGSEANWAAWN >Vigun02g123700.3.v1.2 pep primary_assembly:ASM411807v1:2:27588328:27591539:1 gene:Vigun02g123700.v1.2 transcript:Vigun02g123700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGWLWKKKSSDKSIKVEDEKPVSYESVVPTLSSVAHVDKQDNSKNKNYVQISMESYTRMSGLEDQVVNLEDQLKALEAKLSSVYTELNNKDNLVKQHAKVAEEAVSGWEKADAEVVSLRCQLESVSLSKLTVDEKAAHLDDALKECMKQIRIVKEESEHKLQEVILMKSHQWEKSKLELEAQIDNLDEGLRELAGENAALLRSLQESSNKIVKLKEEKSEVEGEVELLTKNVQSKEKEISSLKYELHVISKELDIRNEEKNMVMRSAEVANKQHTEDVKNIAKLENECQRLRGLLRKKLPGAAALAQMKLEVESSHHVISAFHPRKTTSKSDNLQESEFLSKRLQVLEEETRTLKEALASSNAELQASKNLYAKTVGRLKHLEAERHQERSTHKAILANNYENSFRRIYSYSPSITSISDNGHEDPESPVESCATSILDHSDINRIGSVGKFENHRSETVSELMDDFLEVEKMACLSDNGGVPLGIISKTSDTAEDKKETSCLSSNQNCFDPTNKAAEHDVHMQDLEEKKLMLQENMQLLEELKAQLASSHKSCSLTEIQLKCMTESYKSLQNRVEELETENKYLKEKMDELKNDLATEKLSHHDALVRYKEIEEKMQRDPCSVCASNSTANSRKDKELAAAEKKLAECQETLSILGRQLQEMCPQIGVTTTHHSKRLQVNEKLAKPSYGWSNSYGSCNSNEIDHAEACSVVSDSQGVAEEFSSHNSGATSCLSDTEGNFWLNS >Vigun02g123700.2.v1.2 pep primary_assembly:ASM411807v1:2:27588152:27591539:1 gene:Vigun02g123700.v1.2 transcript:Vigun02g123700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGWLWKKKSSDKSIKVEDEKPVSYESVVPTLSSVAHVDKQDNSKNKNYVQISMESYTRMSGLEDQVVNLEDQLKALEAKLSSVYTELNNKDNLVKQHAKVAEEAVSGWEKADAEVVSLRCQLESVSLSKLTVDEKAAHLDDALKECMKQIRIVKEESEHKLQEVILMKSHQWEKSKLELEAQIDNLDEGLRELAGENAALLRSLQESSNKIVKLKEEKSEVEGEVELLTKNVQSKEKEISSLKYELHVISKELDIRNEEKNMVMRSAEVANKQHTEDVKNIAKLENECQRLRGLLRKKLPGAAALAQMKLEVESSHHVISAFHPRKTTSKSDNLQESEFLSKRLQVLEEETRTLKEALASSNAELQASKNLYAKTVGRLKHLEAERHQERSTHKAILANNYENSFRRIYSYSPSITSISDNGHEDPESPVESCATSILDHSDINRIGSVGKFENHRSETVSELMDDFLEVEKMACLSDNGGVPLGIISKTSDTAEDKKETSCLSSNQNCFDPTNKAAEHDVHMQDLEEKKLMLQENMQLLEELKAQLASSHKSCSLTEIQLKCMTESYKSLQNRVEELETENKYLKEKMDELKNDLATEKLSHHDALVRYKEIEEKMQRDPCSVCASNSTANSRKDKELAAAEKKLAECQETLSILGRQLQEMCPQIGVTTTHHSKRLQVNEKLAKPSYGWSNSYGSCNSNEIDHAEACSVVSDSQGVAEEFSSHNSGATSCLSDTEGNFWLNS >Vigun02g123700.1.v1.2 pep primary_assembly:ASM411807v1:2:27587851:27591539:1 gene:Vigun02g123700.v1.2 transcript:Vigun02g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGWLWKKKSSDKSIKVEDEKPVSYESVVPTLSSVAHVDKQDNSKNKNYVQISMESYTRMSGLEDQVVNLEDQLKALEAKLSSVYTELNNKDNLVKQHAKVAEEAVSGWEKADAEVVSLRCQLESVSLSKLTVDEKAAHLDDALKECMKQIRIVKEESEHKLQEVILMKSHQWEKSKLELEAQIDNLDEGLRELAGENAALLRSLQESSNKIVKLKEEKSEVEGEVELLTKNVQSKEKEISSLKYELHVISKELDIRNEEKNMVMRSAEVANKQHTEDVKNIAKLENECQRLRGLLRKKLPGAAALAQMKLEVESSHHVISAFHPRKTTSKSDNLQESEFLSKRLQVLEEETRTLKEALASSNAELQASKNLYAKTVGRLKHLEAERHQERSTHKAILANNYENSFRRIYSYSPSITSISDNGHEDPESPVESCATSILDHSDINRIGSVGKFENHRSETVSELMDDFLEVEKMACLSDNGGVPLGIISKTSDTAEDKKETSCLSSNQNCFDPTNKAAEHDVHMQDLEEKKLMLQENMQLLEELKAQLASSHKSCSLTEIQLKCMTESYKSLQNRVEELETENKYLKEKMDELKNDLATEKLSHHDALVRYKEIEEKMQRDPCSVCASNSTANSRKDKELAAAEKKLAECQETLSILGRQLQEMCPQIGVTTTHHSKRLQVNEKLAKPSYGWSNSYGSCNSNEIDHAEACSVVSDSQGVAEEFSSHNSGATSCLSDTEGNFWLNS >Vigun11g163400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37089530:37092959:-1 gene:Vigun11g163400.v1.2 transcript:Vigun11g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPLAHSHEFALKETSPNIGAGAVTRDKLSSTYDLVEQMQYLYVRVVKATDLPAKDVTGSLDPYVEVKLGNFKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVIVKDKDVISDDFVGRVWFDLNEIPRRVPPDSPLAPQWYRLEDRKGVKTKGELMLAVWMGTQADEAFPDSWHSDAAMVGSEAVTNIRSKVYLSPKLWYVRVNVIEAQDLVPSDKSRYPEVFVKVNLGGKFVRTRLSQSKNINPMWNEDLMLVAAEPFEDPLILSVEDRVGPNKDEILGRCVIPLQVVQRRLDHKPVNSRWFNLEKHVEGEKESKFASKIHLRLCLDGGFHVLDESTHYSSDLRPTAKQLWKPSIGILEVGIISAVGLMPMKTRDGRGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDPCTVITIGVFDNGRVGGGDKGSETKDSRIGKVRIRLSTLEADRVYTHSYPLLVLHTSGLKKTGEVQLAVRFTSSSFINMLYMYSQPLLPKMHYIHPLSVIQLDSLRHQAIQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMKVLGGLIAFGRWFDQICNWKNPITTILIHVLFIILALYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRSPDIVRMRYDRLRSIAGRVQSVVGDLGTQGERFQSLLSWRDPRATTLFVTFCFIAAIVLYVTPFQVVSLLSGFYMLRHPRFRRRLPSVPLNFFRRMPARSDSML >Vigun10g187600.1.v1.2 pep primary_assembly:ASM411807v1:10:40355475:40360551:1 gene:Vigun10g187600.v1.2 transcript:Vigun10g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGQCFFEKLRRCVRTVFFVVALVASLAVTSLPVVVAVVDVLVPCFLISNFTCVKCFSFKEHFHRYAFNSSLMDIPLVSVIRSLIILCVYSVCDGPALSHGPYLGTVTLCSFLSIVLLSVKACVFTVNSHIEAEASVSFRKQKLHLKKPWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGYPKVPRSPTPSVGRTPKSDYETRRRPFGTTRDEELLVRLLANSDSLFITCQGLTLHYKLSLPGSLPHSLSSMSCIESNSSRITSAMVTGLAKPNKHFISTSPNIQPQLYRSYSNQFHGPSLHVPLLDGPITSIISEDVPVFYLDGICEDETNKLDFQSLDKNAKNVGQLGLVLIHGFGGGVFSWRHVMGSLARQSNCSVAAFDRPGWGLSSRPRREDWEEKELPNPYKLETQVDLLLSFCSEIGFSSVVLIGHDDGGLLALMAAQKNKSSLNYFNVDVKGVVLLNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVVNRRAWYDPTKMTQEVLTLYKAPLFVEGWDEALHEIGKLSSETILSTKNAESLLQAVEDIPVLVIAGSEDSLVSLKSCQAMASKLVNSRLVAVSGCGHLPHEECPKALLAAISPFINRLLFTSDSQSQ >Vigun09g051000.1.v1.2 pep primary_assembly:ASM411807v1:9:5020440:5028315:-1 gene:Vigun09g051000.v1.2 transcript:Vigun09g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MTSRSGQGSSGSSRTRVGKYELGRTLGEGNFAKVKFARHVETRENVAIKILDKEKVLKHKMIDQIKREISTMKLVRHPNVIRMYEVMASRTKIYIVLEFVTGGELFDKIARSGRLKEDEARKYFQQLICAVDYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEETNLTALYKKIYKAEFTCPPWFSSSAKKLINRILDPNPATRITLSEVVENDWFKKGYKPPVFEQPSVSLDDVNCIFSESLDSQNLVVERREEEGHVAPATMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKCSADEIISEIEKAAGPLGFDVKKNNCKLKIQGEKTGRKGHLSVATEILEVAPSLYMVELRKSQGDTLEFHKFYKNLATGLKDIVWKAEPIDGGKEGGKDGGKDGAKPSK >Vigun10g064500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:14041206:14041974:1 gene:Vigun10g064500.v1.2 transcript:Vigun10g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKMKLDKPNNIRTSFHTSTHTLRMKYTNTTSLAHEHTIFIQTFNYSRWSSYLLQPIPILLVASPLHSVLFSFFYRWPPFPLLLWLPPAIEFSNLPQDLLISRIFNQSILFPLSFSPKACSWSSSRHSTIVVLP >Vigun09g273300.1.v1.2 pep primary_assembly:ASM411807v1:9:43426878:43429092:1 gene:Vigun09g273300.v1.2 transcript:Vigun09g273300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVKRFLAAFLILAAAIHETQADTMVTGTVFCDQCKDGQRSLFDYPVNGAKVTLSCADSNGEITMSREETTNWFGSYTMRFDGAPDLSGCSARVSGRGEGSMGCGEGAGPSQNPKLMFRMFDMEMYTVDSLLAQPSQPMQYCSRSSTPVSAPVTPPNSTPHFKLPPMPEFPPLPSLPPLPSLPPLPPVIFAEASACPSEKWTLSEYKCYWRGVNRDTKVAVAFGMVAARRYGTDITLWHGLQGKGDPYRTLLREGITALLNSYNSFQFSYHPIGVLQHMNLALMGSTRTVLLTALRFKRANSGAGNVTCKFTTCK >VigunL059306.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:952003:953846:1 gene:VigunL059306.v1.2 transcript:VigunL059306.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFLIFPAKFKFELRSIISACRITRTYVDQIGYNLIRDAVIIKDHGYAVIDLQILCPLKIADICLMKFLSLVLQFVSQRQRQVRGSAMKLLMDYIRTFPCKVNLLPSNYPGSRGSRLLVCCCADDCALPLKMKFFESLSYGQEVHCAANELEKIIEDHHSFANHFVPDSSDVHFLDVNPTLILTKAKMLNIISESTYNNILALQKQETAYFRKSLQPGKFYYFMDRFILTWSLKNKIDEDELFGLVDYEMDLSEEASNFCCTSCVVGHTKVLEVRQMIESDWLYLVELSKYPLSKNFIQYEVKSVNETKQIMDRTSPCLHYASLSAKQALHKLKSIPVAARRSLPVLITEQGQLQSIPSVHFKHCPFLMVHMEFRPKIPLGGGHTLFI >Vigun03g427300.1.v1.2 pep primary_assembly:ASM411807v1:3:63250591:63253792:-1 gene:Vigun03g427300.v1.2 transcript:Vigun03g427300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIVEIESLFNYAMKGQWREVLEAYKNNHRALEAKVTKAEDTVLHIAVYVGQTNFLTTLLENINQNVSLAILNIPNSKGNTPLHLAAELGNVDICNTIAKRDPNLIFCQNFEGETPLFLAAIHGSKDAFFCLHAHLQNKHDYSPCIKTNGDTILHSTISNEYFGLALQIIRLYPNLADAVNQDGYSPLHILALKPNCFRSSTWMEFMDRMIYNCLLVDELKEETEDDQSSNKGGTQILNYPMNYQTCFGILYLLKNAVKVITIGTKDGKAGTDDGDDEENLQRSSSTKFVQEGAEKEKEKKLHRFPPNWEVFIRFLIILMKAFLILFGVGASWIDKIQRRKEKHMWAKKVMDELIERASMYKYDCTENSSFVLGRDSDIENKDNQKKKGIAEKRKRVSPILIAAKMGVNEMVEKILDTFPVAIHDVDSDNKNVVLLAIENRQPRVYKLLTKRNLVKESAFRHIDNQGNSALHLAATYKEHRPWRVPGAAMQMQWEYKWYKLVKNSMPPNFYARYNNKGQTAKQVFINSHEMLVKEGRKWLSKTSDSCTLVAALVATVAFTTSTAIPGGTNDDSGEPVLNGKPGFKVFALASLVALCSSVTALVLFLSILTSRFQEKDVAMHLPKKLLLGMTSLWTSITSILVSFCAGHYFIIEEGMKSSVYLIYGITCLPVTFFVLVQLPLYLDLTLGIFRKVPQRVYKVFSH >Vigun05g010500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:853147:856445:1 gene:Vigun05g010500.v1.2 transcript:Vigun05g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPAAYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTPKLAGLLEIFIKKYVQCYGCGNPETDILITKSQMIQLKCAACGFVSDVDMRDKLTTFIIKNPPEVKKGSKDKKAMRRAEKERLKEGEMADEEQKKLKKEVIKKKGTSSSKDGAPKSTSSRKKANGSDEDRASPPRSQIDEKDEARDKEDVDDDIEWQTDTSLEAARQRIQEQLSAVTADMVMLSTDEPEKEEKARTKVSANSENGNSLTYRTLVAEVKANLNKGVKAKELVSHLAALPASAQEKMSALYEALFEGIEKGFAKEAIKKKNYLAAAVAEEEGLQLLLLCAIEEFSCKSTSNALKEVALVLKALYDADVLEEEHIVKWYQKGLKGDNKNSQIWKNAQPFIEWLQNAESESDEE >Vigun03g218900.1.v1.2 pep primary_assembly:ASM411807v1:3:36438307:36443757:-1 gene:Vigun03g218900.v1.2 transcript:Vigun03g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGYATSSALFFLFLAVLVAKVSFCFSTKVYVVYMGSKSGEHPDDVLRENHQMLAAVHSGSIEQAQASHVYSYKHAFRGFAAKLSDEQASQISKMPGVVSVFPNSKRKLHTTHSWDFMGLLDDQTMETLGYSIRNQENIIIGFIDTGIWPESPSFSDTDMPAVPPGWKGKCQSGEAFNASSCNRKVIGARYYRIGYEAEEESDAKMWFRSARDSTGHGSHTASIAAGRYVANMNYKGLGGGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGAESPQGDYFSDAISVGSFHAASRGVLVVASAGNEGTAASATNLAPWILTVAASSTDRDFTSDIILGNGAKIMGESLSLFEMNASTRIISASAANGGYFTSYQSSYCLESSLNKTKSKGKVLVCRHADSSTESKVQKSKIVKDAGGVGMILIDDRDQDVAIPFVIPSAIVGKKTGGQILSYLKTTRKPVSRIFGAKTVLGARPAPSVAAFSSKGPNALNPEILKPDVTAPGLNILAAWSPAAGNMFNILSGTSMACPHVTGIATLVKAVHPSWSPSAIKSAIMTTATILDKHHRPITADPEQRRANAFDYGSGFVNPSRVLDPGLIYDSEPGDYVAFLCSIGYDQRSLHQVTRDNSTCDRAFNTASDLNYPSISVPNLKDNFSVTRIVTNVGKATSVYKAVVSSPPGVRVSVIPNRLIFTRIGQKMNFTVKFKITAPSKGYKFGFLSWRNGRSQVTSPLVVQVAPGIK >Vigun08g160500.1.v1.2 pep primary_assembly:ASM411807v1:8:33292093:33295837:1 gene:Vigun08g160500.v1.2 transcript:Vigun08g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMATHNKYKSFLFFIRKFKVIEPDPPQDLEEAFSKFTGGGSHMSVEQLHRFMVEHQGEEHHTLSDSEKVFERVLQERNTCQETVKVDHHREHEITLDELFRFLLHNDFNGPLKTQVHHDMGAPLSHYFIYTGHNSYLKGNQLIGDCSEVPIIEALKRGVRVIELDLWPTYNKDDIKVDHGWTFTNPVSVVKCLECIKEYAFVASQYPVIITIEDHLTTDLRAEFVELVTQIFGEVLYYPGEECCLTEFPSPESLKNRVIISTKPPRERFESNQIKDNGNRSMLNESESSEEESFRNASPNSSRNDVETEDTQNGSDRDEGSLSTSEYDHKPYLECSPDYKTIITIHNRKLKGSLKDKLRTEGEVRRISWSENTLEKASESHGADILRFTQKNILRVYPSAMRVKSTNFKPHIGWMYGVQMVAFNMQGHGKSLWLMQGMFRANGGCGYVKKPQILMQKHHCDNEFDPTKIQSVKKTLKVKVYMGHGWSSDFSPTHFDKCSPPDFFTKIRIIGMPGDVAKNKTKVIMDNWFPVWNEEFEFCLSVPELAMLLIQVKDKDQGKDDFAGQTCLPVSELKHGFRSVPLYNKKGEKYKSVKLLMRFQLQ >Vigun02g061100.1.v1.2 pep primary_assembly:ASM411807v1:2:20662217:20667386:1 gene:Vigun02g061100.v1.2 transcript:Vigun02g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRLFMAKTHIDSINLRVVNDFVCVNMSWAFGSLRMFLCKYVLYALGLVLRYIFRFLHVGDAKDETIDQKVPHVERNQLSDSEIDGFREELASFLFWSDDFEETECSTFSMEVAPESGRRGGKTEKSVFSMEIARESEKRDGENKCSVLMDTHCDVHEDGVKTEVPEESFVSKEIEFDIGEAGMSKGIVEEGYIFMKNSSINSVTHEDGTETEKETEEFVSMETNSDVHQHVQKLGDDDESEISVSTEYNSDLDQGSVEREVEREEEIEEPVSGEIDPVVHKEVMKNDGNETSSDVLMENVSEETVNGDGEKTKENEGSVFEEQESIAHGDDTVKEEKEEETYGCVSLNTNSVTTTSKCEYLSGKDISGFMEEPTMLRFSFREFYMGPDVLAVSDNAHASTEIIADKEFSEFGSEKGPVSQAQTENSVQDQVSASSTHIPLHFESEMFGGSDSSDEDYFLYNENSVTSDSESESSSSSGVIWGNSNKIDDSIVYQFLGGKNGGEGFEPEILKLIMREEREGDAEAKQSSCDGKISELSAHGIYSEDRYVEMEPCMKGLKPFNAHGKVVVRDKKEEEFRNELEKREETRWEDELSYSESDEGDFEWEHDDLVEQLRLELKNSRQGGLATILEEEEVDEEEKEEEEVVVVEEEEERVSSRVVEDPNPVEIEEKIEYKDQIDEILKVYKSYEEKMKKLDILNYQTMHGLGLLQLKDPLKLISTPKSSIQGAKPVISQNLWPRKASKNSSDPLIKLAHELHRDLELVYVGQVCLSWEILCWQHKKALELQQYDSEGSHSHRYNHVAGEFQLFQVLVQRFIENEPFQGPRLQNYVKNRCVIRNLLHVPGIKEDDKGYEEEDAAIASGRLAEIIKESMRVFWEFVRADKDYGNVIFKASQHHRIDLNDPMIPGLMVEIKAQLQKKERRLKDIVRTGNCIVKKFQKHHEDELDHEQLVAQVGLRLISRVLNMSKLKKEQVIWCNEKLHRIKFLSRKIVQVEPSFLLFPC >Vigun03g181900.2.v1.2 pep primary_assembly:ASM411807v1:3:23337024:23339349:1 gene:Vigun03g181900.v1.2 transcript:Vigun03g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGLMGGYGVALIVVVSALSLRASSEQLSSRECENLGFTGLALCSDCNTLSQYVKDEEEKDKFPSVKVQYVFNSPPKLIMLDEEGQQKETIRIDNWKREHILQFLREKVKRLASN >Vigun03g181900.1.v1.2 pep primary_assembly:ASM411807v1:3:23336774:23340838:1 gene:Vigun03g181900.v1.2 transcript:Vigun03g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGLMGGYGVALIVVVSALSLRASSEQLSSRECENLGFTGLALCSDCNTLSQYVKDEELVSDCLKCCTEDSDDATTKITYSGALLEVCMRKLVFYPEVVGFIEEEKDKFPSVKVQYVFNSPPKLIMLDEEGQQKETIRIDNWKREHILQFLREKVKRLASN >Vigun11g166500.1.v1.2 pep primary_assembly:ASM411807v1:11:37374768:37378637:1 gene:Vigun11g166500.v1.2 transcript:Vigun11g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNALPPTGSGLVVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENDVRSDGINFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPEDLNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAREAGCLLSYDPNLRLPLWPSPEEARQQILSIWEKADVIKVSDVELEFLTGSDKIDDESALSLWHPNLKLLLVTLGEHGSRYYTKNFHGSVDAFHVNTVDTTGAGDSFVGALLCKIVDDQSILEDEARLREVLKFANACGAITTTKKGAIPALPTEADALNLIKEA >Vigun03g250600.1.v1.2 pep primary_assembly:ASM411807v1:3:41662882:41665290:1 gene:Vigun03g250600.v1.2 transcript:Vigun03g250600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLASFDERKLWGCLFLSIGSVFFVGFFFVAVISKLLPPSHVPLISALQNDWYYCFLVPLTLPIIVVAVYFHWLSMKLFKHA >Vigun06g049700.1.v1.2 pep primary_assembly:ASM411807v1:6:17465492:17469413:-1 gene:Vigun06g049700.v1.2 transcript:Vigun06g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSRLVGLGSPRAAENINSAAAQGPDDDIPEPVQQFAQFGAGCFWGVELAFQRAAGVTKTEVGYSQGLLHNPSYEDVCTGTTHHSEVVRVQYDPNECSYESLLGLFWARHDPTALNRQGNDVGTQYRSGIYFYTPEQEKAATESLEQQQKKLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGSKQSASKGCNDPIRCYG >Vigun05g174800.1.v1.2 pep primary_assembly:ASM411807v1:5:32056139:32058839:1 gene:Vigun05g174800.v1.2 transcript:Vigun05g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAIMKGIAREFTRWQAKVEERDIISQIHESILGHILSFLPTMEAVRTSVLSKGWIDVWTSITNLEFNDSVPFSGKKMQKEQYEYFVNKVLLHLGNLSTQSFSLCLTSYHYEPSMVSAWISSILEKGVQKLHIQYADKVHFPSHSLFSCNSLVQLALQMTCSLSIPTFACLPNLQTLSISGIKLVSEFPTYSKDLILSFPLLKVFEAKGCEWSTKQNLCIQAPLLEKFSISVWTSLSNEPCKSSIKIFSPHLTDFSYEGDLEKEIILSNPSSVQNASVVIVIDEDRKENMGKLRFQLQKILTQIREVERLKLLLYKVLVNAADIFTRLPAFGKLTYLQLNEVTGEALLNILHNSPRLDTLVLQNGVFDFNKDASTSTSVPQCFVSSLKAFQFKGFNVREHELLLVKFVMANAAILEDMTICTAFWLQYSDIDMEKVKEQILSLPKCSSSLMILFSQVNGF >Vigun10g179300.1.v1.2 pep primary_assembly:ASM411807v1:10:39736338:39740683:-1 gene:Vigun10g179300.v1.2 transcript:Vigun10g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPQSSQIQNPIPSGNHQYTNNNQNWGAYGDPSNASFPQIPPNSNYHHQQQQHQNQHHAPYAPPNPHHPHYPYPPPPPPPPEASYQPPPPPPPPAYYPSNNQYNNQPPPPPPPLSPPPPPPPVSPPPPPPATQNNEERRFKDPSTSGRREYDPSNHGIAHKQHKHQPPVPAKKVNGPPGRTETEEEKRLRKKREFEKQRQEEKHRQQLKESQNSVLQKTHLLSSGKGHGMIAGSRLGERRSTPLLSAERVENRLKKPTTFLCKLKFRNELPDPSAQPKLMAFKKDKDQYAKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSGRQPLAPEDEELLRDDEAATPIKKDGIKRKERPTDKGVAWLVKTQYISPLSMESTKQSLTEKQAKELREMKGRGILDNLNSRERQIREIEASFEAAKSDPVHATNKDLYPLEVMPLLPDFDRYDDQFVVAAFDNAPTADSEMYAKLDKSVRDAFESKAVMKSYVATSSDPANPEKFLAYMAPAPGELSKDIYDENEDVSYSWIREYHWDVRGDDADDPTTFLVAFDDSEARYLPLPTKLVLRKKRAKEGRSGEEVEQCPVPSRVTVRRRSSVAAIERKDTGVYTSSRGNSSKRARLDMDDGLEHQHRGASHQDNYQSSGAEDYLSE >Vigun03g249300.1.v1.2 pep primary_assembly:ASM411807v1:3:41479299:41482980:-1 gene:Vigun03g249300.v1.2 transcript:Vigun03g249300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIWFLVLVMFYHGFPSKGISSVSTRPRTVNVGALMSFNSTVGRVAKVAIEAAVDDVNSDATILNGTELKILMLDTKLSTGFLGIVDSLRLMENDTVAIIGPQFSVMAHVISHIANEMQVPLLSFAATDPTLTSLQFPYFVRTTQSDLYQMTAVAEIVDHFQWRDVIAIYIDDDHGRNGVSALGDKLAEKRGKISHKAPLKPGNITREDINSALVKIALMESRVIVLHIYPSFGLDVLNVARSLGMMVNGYVWIATDWLSTVIDSDPSLSTSPAMNDIQGVITLRMYTPDSEMKRKFSSGWNKVSKEKDPVEGPLALNSFGFYAYDTVWVLASALDAFFRSGGTLSFSNDSSLNMLRGGSFELDTMGVFVDGEKLLKKILEVNRSGVTGQMMFGEDGNLVHSSYEVINVIGSGIRRIGFWSETSGLHTGESPNHSISGDGLYGVIWPGQTTQTPRGWVFSSNGKPLRIGVPLRISYREFVSRTEGTEMFGGYCVDVFTAALSLLPYPVPYKFVSFGDGKTNPPNAKLLNMITVGEFDAVVGDITITTNRTKIVDFTQPYIESGLVVVAPIRKMKSSAWAFLRPFTPMMWFVTGMFFLVVGAVVWILERRLNDDFRGPARRQFVTIIWFSFSTLFFSHREKTVSTLGRLVLIIWLFVVLILNSSYIASLTSILTVEQLSSSVKGIESLATSDERIGFLSGSFAENYLTEELNIHRSRLVPLNSPSEYEKALKDGPANGGVTAIIDERAYMELFLATRCEYGIVGQEFTKMGWGFAFPRDSPLAIDMSTAILKLSENGDLQRIHDKWLTRSACSSEGAKQGIDRLELKSFWGLFLLSGMACFIALLCYAIRMVYRFSRHSNSNSKGSSHSARLRSFFTFVNEREEEDKHMSKRKRTEKGSSGRVAHEEEFDGPTVSVRLQN >Vigun03g249300.2.v1.2 pep primary_assembly:ASM411807v1:3:41479299:41482980:-1 gene:Vigun03g249300.v1.2 transcript:Vigun03g249300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIWFLVLVMFYHGFPSKGISSVSTRPRTVNVGALMSFNSTVGRVAKVAIEAAVDDVNSDATILNGTELKILMLDTKLSTGFLGIVDSLRLMENDTVAIIGPQFSVMAHVISHIANEMQVPLLSFAATDPTLTSLQFPYFVRTTQSDLYQMTAVAEIVDHFQWRDVIAIYIDDDHGRNGVSALGDKLAEKRGKISHKAPLKPGNITREDINSALVKIALMESRVIVLHIYPSFGLDVLNVARSLGMMVNGYVWIATDWLSTVIDSDPSLSTSPAMNDIQGVITLRMYTPDSEMKRKFSSGWNKVSKEKDPVEGPLALNSFGFYAYDTVWVLASALDAFFRSGGTLSFSNDSSLNMLRGGSFELDTMGVFVDGEKLLKKILEVNRSGVTGQMMFGEDGNLVHSSYEVINVIGSGIRRIGFWSETSGLHTGESPNHSISGDGLYGVIWPGQTTQTPRGWVFSSNGKPLRIGVPLRISYREFVSRTEGTEMFGGYCVDVFTAALSLLPYPVPYKFVSFGDGKTNPPNAKLLNMITVGEFDAVVGDITITTNRTKIVDFTQPYIESGLVVVAPIRKMKSSAWAFLRPFTPMMWFVTGMFFLVVGAVVWILERRLNDDFRGPARRQFVTIIWFSFSTLFFSHREKTVSTLGRLVLIIWLFVVLILNSSYIASLTSILTVEQLSSSVKGIESLATSDERIGFLSGSFAENYLTEELNIHRSRLVPLNSPSEYEKALKDGPANGGVTAIIDERAYMELFLATRCEYGIVGQEFTKMGWGFAFPRDSPLAIDMSTAILKLSENGDLQRIHDKWLTRSACSSEGAKQGIDRLELKSFWGLFLLSGMACFIALLCYAIRMVYRFSRHSNSNSKGSSHSARLRSFFTFVNEREEEDKHMSKRKRTEKGSSGRVAHEEEFDGPTVSVRLQN >Vigun08g097700.1.v1.2 pep primary_assembly:ASM411807v1:8:23653341:23655326:1 gene:Vigun08g097700.v1.2 transcript:Vigun08g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLKILWVISIIITQQLAFLANASYSRKRIVPAFYVFGDSTVDAGNNNNLNTLAKANAFPYGIDFNNCSTGRFSNGKTFADLIAIRLGLPMPPPYLGVPKSERHKAVTGINYASGSCGILNSTRVGDCLSLDKQIEYFTLTVANDLPRSIHSKTKLRHYLSNSIYLLSIGSNDYMLNYFKYPNGTNNNLNPEKYADYLLEQLASRIKRIYDLGARKFVVSTIGQIGCTPTCVIRIPYSQKCNEDINQKVKHYSDKLPGKLQDLQTQLSHSIFINLDNYNFSQKIRNSPENFGFKNIFDSCVQGRKPCAKRNEYYFFDFAHPTEATNKIYANECFSGTQLCLPYNISKLIHAH >Vigun01g191000.1.v1.2 pep primary_assembly:ASM411807v1:1:36937604:36940454:-1 gene:Vigun01g191000.v1.2 transcript:Vigun01g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRVDKVYITVQLADSKNAKVDLTPEGVFTFSGSAGTADHQYELKLELFEKVNVEESKINVGVRSIFCVVQKAEAGWWKRLLRGEGKTPHYVKVDWDKWVDEDEEDGSGEPDLGGMDFSKFGGMGADGMGGMDFSKFGGDAMDDIEESDDEEQEVSKPVEADAGEASKETKEAAPST >Vigun01g191000.2.v1.2 pep primary_assembly:ASM411807v1:1:36937604:36940454:-1 gene:Vigun01g191000.v1.2 transcript:Vigun01g191000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRVDKVYITVQLADSKNAKVDLTPEGVFTFSGSAGTADHQYELKLELFEKVNVEESKINVGVRSIFCVVQKAEAGWWKRLLRGEGKTPHYVKVDWDKWVDEDEEDGSGEPDLGGMDFSKFGGDAMDDIEESDDEEQEVSKPVEADAGEASKETKEAAPST >Vigun10g178900.1.v1.2 pep primary_assembly:ASM411807v1:10:39708677:39712647:1 gene:Vigun10g178900.v1.2 transcript:Vigun10g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVVVCATVIGAATACAVGAVAVQSYVRKCRRWGRAMEILQELEEKCATPTWKLKRIADAMNVEMHAGLASEGGSKLKMLITYVDKLPTGNEEGLYYALDLGGTNFRVLRVQLGGKEGGIVCQEFTEVSIPPNLMVGTSTELFDYIAAELGKFVSEESEDFKVPPGRQREIGFTFSFPVMQTLIASGNLIKWTKGFNIEDAVGKDVVEELMKAIRERGLDMRVNALVNDTVGTLAGGRYTHKNAIAAIILGTGTNAAYVERVQAIPKWHGPLPDSGEMVINMEWGNFRSSHLPLTEYDHSLDAESLNPGEQIFEKIISGMYLGEIVRKVICKMAEEALLFGDNVPPKLKVPFIFRTPDMSAMHHDSSADLNVVGSVENIT >Vigun09g113566.1.v1.2 pep primary_assembly:ASM411807v1:9:24917224:24924981:-1 gene:Vigun09g113566.v1.2 transcript:Vigun09g113566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYICSSPTTTHVRCSFHVNRILNQHLIRRTQHPCQELHEADSLSWLICIH >Vigun09g016000.1.v1.2 pep primary_assembly:ASM411807v1:9:1163431:1166884:1 gene:Vigun09g016000.v1.2 transcript:Vigun09g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASESSWVGRKPVKRIGGMPDALSFAADLGFSMSPPPSQEELQNSSPTTGEKGEDLIRVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKTETLDTITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKEPPSVLGEMLRLIPVALASCTRFFEAMSATRESFATLQKLRLGHFDSQRVPGVSYCLTPPPWKTEANYNDLGITNQRRKHLDQVEDVNSQVTQIHHNICTYKKKVVRHHLTTCIKIK >Vigun05g267000.1.v1.2 pep primary_assembly:ASM411807v1:5:45922210:45925638:-1 gene:Vigun05g267000.v1.2 transcript:Vigun05g267000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKHFILLLFLALPLTLPSITFALRTSVVDVSSLNRTSFPTGFVFGTASASYQYEGAAKEDGRGPSIWDEFTHKYPEKIRDRSNGDVAVDQYHRYKEDVQIMKDMNADAYRFSISWSRILPKGKLSGGINQEGIDYYNNFIDHLLDRGLQPYVTLFHWDLPQALEEEYRGFLSPRIVNDFQDYVEVCFKEFGDRVKYWITLNEPWTFSKGGYALGTSAPGRCSEWLNPNCTGGDSGTEPYLASHYQLLAHAAAVQLYKKSYQESQKGLIGITIVSHWFKPISNKKADKEAAQRAIDFMYGWFMEPLTSGKYPEIMRDLVGKRLPEFTKQESKLLAGSFDFLGLNYYTTYYVSDAPKTRPNYDTDSNAKSSSFRNGVPIGPSSGSEWLYVYPKGIREVLLYTKENYKNPLIYITENGISEFTDPKLSLEEALQDIYRIDYYYRHLYYVNSAIRDDVNVKGYFAWSLLDNFEWVSGYLVRFGIHYVDYKNGLKRYPKLSAIWFKNFLRKY >Vigun06g211900.2.v1.2 pep primary_assembly:ASM411807v1:6:32448177:32450302:-1 gene:Vigun06g211900.v1.2 transcript:Vigun06g211900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSPSNMSLLCSAAHFMEMECDDGPETPNLKPHIEKFLEGIRFWTWTELVEALKQCQDLLSFRGYLAILDRIMDHLIERLASPGITSPNTCSSNRSSFQFSCDTSSNNSLRNNCSGVTWWFEHLLFLKIDLLDKVTRTMLSYDFDHGVVSRFLFYYHNSTCLGAVQDEKIETTEVVINLLLLLDLSSISCKDLFNLNRMAVGLKMSTGFIDKIESLIGPLLDQTTIDYLLLPSPRGRGQAYDVGFVLRLVHIFFFGHSSEVNSNRLKRVAKMMDLFLVEVAPDPHLKPFEFEALITMLPDTARESHDQLYLAMDMYLKD >Vigun06g211900.1.v1.2 pep primary_assembly:ASM411807v1:6:32448177:32450302:-1 gene:Vigun06g211900.v1.2 transcript:Vigun06g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSPSNMSLLCSAAHFMEMECDDGPETPNLKPHIEKFLEGIRFWTWTELVEALKQCQDLLSFRGYLAILDRIMDHLIERLASPGITSPNTCSSNRSSFQFSCDTSSNNSLRNNCSGVTWWFEHLLFLKIDLLDKVTRTMLSYDFDHGVVSRFLFYYHNSTCLGAVQDEKIETTEVVINLLLLLDLSSISCKDLFNLNRMAVGLKMSTGFIDKIESLIGPLLDQTTIDYLLLPSPRGRGQAYDVGFVLRLVHIFFFGHSSEVNSNRLKRVAKMMDLFLVEVAPDPHLKPFEFEALITMLPDTARESHDQLYLAMDMYLKVHAGLSEKEKISICRTLNHEKLSAELLRNLTRNLVFPSEAKPRAYVTKQGRMKTLLQENDHLKNFFESVFRKSFKNIEVREDVENRSYDGEELRGDSEGIQNGTQLKRSGTHIMSNAMYLPKLCS >Vigun09g082600.2.v1.2 pep primary_assembly:ASM411807v1:9:9995968:9998829:1 gene:Vigun09g082600.v1.2 transcript:Vigun09g082600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNKTAIASHFRSHSQTEDSAAFPRRRFHVEPGPREKALLAEDSILKPFKSYKKSVKKLKRIGDVLTVVVVAGCCYEIYVRATREEAQKQ >Vigun09g082600.1.v1.2 pep primary_assembly:ASM411807v1:9:9995968:9998829:1 gene:Vigun09g082600.v1.2 transcript:Vigun09g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNKTAIASHFRSHSQKTEDSAAFPRRRFHVEPGPREKALLAEDSILKPFKSYKKSVKKLKRIGDVLTVVVVAGCCYEIYVRATREEAQKQ >Vigun03g187200.1.v1.2 pep primary_assembly:ASM411807v1:3:24923508:24929229:-1 gene:Vigun03g187200.v1.2 transcript:Vigun03g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYDKIGHELHAPMNAQNPHSLSSTTLQNIWLTLPQDIICRMTWHPNDGTDTCKSEAMWSLFPSPHTPSLPLNRTQRVSSPLKFRSRSMEPHDLRRHLSPPLFSDPEPLPEYLTRSTSDSSAVTVEDTSKDFSSHSAPAPLLDQSTRWTDQQHSLYIRSLEASFVNELHRSIRLRCWSIKNSTDEACQYRILRNSHNMPKQTVALQDACQKRINLERISPLFESTADSHVLTGSQFELTSVDRGCSLGEPINCKHGLLCDKEIYARGSSTLELACSTTEVTDQNFKDEEAKSCCMPLVKRLKKGAADGSSSDQVEWNLYSLSDKSPK >Vigun03g187200.3.v1.2 pep primary_assembly:ASM411807v1:3:24923662:24929229:-1 gene:Vigun03g187200.v1.2 transcript:Vigun03g187200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYDKIGHELHAPMNAQNPHSLSSTTLQNIWLTLPQDIICRMTWHPNDGTDTCKSEAMWSLFPSPHTPSLPLNRTQRVSSPLKFRSRSMEPHDLRRHLSPPLFSDPEPLPEYLTRSTSDSSAVTVEDTSKDFSSHSAPAPLLDQSTRWTDQQHSLYIRSLEASFVNELHRSIRLRCWSIKNSTDEACQYRILRNSHNMPKQTVALQDACQKRINLERISPLFESTADSHVLTGSQFELTSVDRGCSLGEPINCKHGLLCDKEIYARGSSTLELACSTTEVTDQNFKDEEAKSCCMPLVKRLKKGAADGSSSDQSVPFGKLHTPDISISSNGTSENRGHELVSELPESISQSLICHTF >Vigun06g125800.1.v1.2 pep primary_assembly:ASM411807v1:6:25302395:25303856:1 gene:Vigun06g125800.v1.2 transcript:Vigun06g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGENESESEEQKKIGRLALFSIPRMASPERSGMATPPLHTSAAVPFRWEEQPGKPRPCSALIPFSNPADILPKSLELPPRLLIPSPYVTSNTFRSPSFTIAASNCYGSDTKVLGAMVLSKAGDFKDSLWFGSWKKKPFKLRKREVTGASHVFPSSSSSSTDFKDTETISSIKRSGVWTSICEGLKLVVPRRSKKVKKDGCGGGLKP >Vigun03g224300.4.v1.2 pep primary_assembly:ASM411807v1:3:37257815:37261776:1 gene:Vigun03g224300.v1.2 transcript:Vigun03g224300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARDGNVVQIITGGGGGGESWSGDQADWATEDEYRYWNNNNNGDAESTPSNSNYESRSEPPSKKSRNSQDGSSNRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEEKAVMAEPREEFQIPTVGSSTFAGDTMQRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYAGGGGGSGAGGSGAGGGSGNGGGGGSGGANAAGNGANSKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELHRYGGGLMEGESRDAASVVGSDTNKGAATKASADNVVAAVTPVAHSDVYHIGVPSQRPSIVIQRPGQRTHQKWKGPDKISRIYGDWIDDIE >Vigun03g224300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37257815:37261776:1 gene:Vigun03g224300.v1.2 transcript:Vigun03g224300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARDGNVVQIITGGGGGGESWSGDQADWATEDEYRYWNNNNNGDAESTPSNSNYESRSEPPSKKSRNSQDGSSNRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEEKAVMAEPREEFQIPTVGSSTFAGDTMQRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYAGGGGGSGAGGSGAGGGSGNGGGGGSGGANAAGNGANSKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATGTTLFPFAVFTIFFSFFCDN >Vigun03g224300.3.v1.2 pep primary_assembly:ASM411807v1:3:37257814:37261816:1 gene:Vigun03g224300.v1.2 transcript:Vigun03g224300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARDGNVVQIITGGGGGGESWSGDQADWATEDEYRYWNNNNNGDAESTPSNSNYESRSEPPSKKSRNSQDGSSNRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEEKAVMAEPREEFQIPTVGSSTFAGDTMQRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYAGGGGGSGAGGSGAGGGSGNGGGGGSGGANAAGNGANSKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELHRYGGGLMEGESRDAASVVGSDTNKGAATKASADNVVAAVTPVAHSDVYHIGVPSQRPSIVIQRPGQRTHQKWKGPDKISRIYGDWIDDIE >Vigun11g061000.3.v1.2 pep primary_assembly:ASM411807v1:11:13428920:13433538:1 gene:Vigun11g061000.v1.2 transcript:Vigun11g061000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSFCGSCSLQFPCRRITSCNLHKDGSHVVPFNTHQKPSEPKNCLQPKWKINGNNLTTCIGVMTAAHLVAPVAAKAEVASSIYALADGSLSDWFGGFLFSAGQQANEAVQGQLSSLSFTSLAVIYGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEVVGDSIAFSLGLATTLALLGVGASFAGKAYGQIGQGLPLAASGLAIIMGLNLLEIIELRLPSFFDSFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPVIGGSLLLTYTTGYVSPLLLAATFAGALQSLLSFRKFSAWINPISGAMLLGGGVYTFLDRLFPATMVM >Vigun11g061000.2.v1.2 pep primary_assembly:ASM411807v1:11:13428920:13433538:1 gene:Vigun11g061000.v1.2 transcript:Vigun11g061000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSFCGSCSLQFPCRRITSCNLHKDGSHVVPFNTHQKPSEPKNCLQPKWKINGNNLTTCIGVMTAAHLVAPVAAKAEVASSIYALADGSLSDWFGGFLFSAGQQANEAVQGQLSSLSFTSLAVIYGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEVVGDSIAFSLGLATTLALLGVGASFAGKAYGQIGQGLPLAASGLAIIMGLNLLEIIELRLPSFFDSFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPVIGGSLLLTYTTGYVSPLLLAATFAGALQSLLSFRKFSAWINPISGAMLLGGGVYTFLDRLFPATMVM >Vigun07g246800.1.v1.2 pep primary_assembly:ASM411807v1:7:36710573:36714777:1 gene:Vigun07g246800.v1.2 transcript:Vigun07g246800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRNIFLSNSTNHVTFLLFLSLFVIEPLFSSAAPVYNYCPTNASYNSSATFETNLKFLLESLVSNISQSDGSYSSAMGLGTTSVASGYFLCRGDVSMATCNDCITTAATEITQLCPNKTESVIWYDECTLRFTNTYFDPASTEPGASLSNGQNISASDLDSFNRTLFGLLDDLVEESANSNSARKFATGDREFAGSLSRVYALTECEPSLTNSGCEECLQNAISTLPSCCEGKQGARALLAWCNVRYELFQFYNTSATSAPSSGNNHYVLRVIVIVALVIVSIILLCGVCYFIFKRSRKKYNTLLRENFGEESSTLESLHFDLATMEVATKKFSHENRIGEGGFGDVYKGILPDGREIAVKKLSHSSGQGAAEFKNEILLIAKLQHKNLVTLLGFCLEAQEKMLIYEFVPNKSLDYFLFDPYKSSQMNWSERYKVIQGITQGILYLHEHSRLKVIHRDLKPSNILLDDNMDPKISDFGMARIIGVDQYQRNTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIILEIVSAKKNARQVFSDDDDLLSYAWDQWRDRTPLNILDKNIKESCNHSEVIKCIQIGLLCVQEKPHDRPTMTQVVSYLGSSLSELPFPEKPINFSQSGLDHRMVVGGSSSGSAQSKNEMSVSVFIPR >Vigun07g246800.3.v1.2 pep primary_assembly:ASM411807v1:7:36710507:36714777:1 gene:Vigun07g246800.v1.2 transcript:Vigun07g246800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTTSVASGYFLCRGDVSMATCNDCITTAATEITQLCPNKTESVIWYDECTLRFTNTYFDPASTEPGASLSNGQNISASDLDSFNRTLFGLLDDLVEESANSNSARKFATGDREFAGSLSRVYALTECEPSLTNSGCEECLQNAISTLPSCCEGKQGARALLAWCNVRYELFQFYNTSATSAPSSGNNHYVLRVIVIVALVIVSIILLCGVCYFIFKRSRKKYNTLLRENFGEESSTLESLHFDLATMEVATKKFSHENRIGEGGFGDVYKGILPDGREIAVKKLSHSSGQGAAEFKNEILLIAKLQHKNLVTLLGFCLEAQEKMLIYEFVPNKSLDYFLFDPYKSSQMNWSERYKVIQGITQGILYLHEHSRLKVIHRDLKPSNILLDDNMDPKISDFGMARIIGVDQYQRNTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIILEIVSAKKNARQVFSDDDDLLSYAWDQWRDRTPLNILDKNIKESCNHSEVIKCIQIGLLCVQEKPHDRPTMTQVVSYLGSSLSELPFPEKPINFSQSGLDHRMVVGGSSSGSAQSKNEMSVSVFIPR >Vigun07g246800.2.v1.2 pep primary_assembly:ASM411807v1:7:36710506:36714777:1 gene:Vigun07g246800.v1.2 transcript:Vigun07g246800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTTSVASGYFLCRGDVSMATCNDCITTAATEITQLCPNKTESVIWYDECTLRFTNTYFDPASTEPGASLSNGQNISASDLDSFNRTLFGLLDDLVEESANSNSARKFATGDREFAGSLSRVYALTECEPSLTNSGCEECLQNAISTLPSCCEGKQGARALLAWCNVRYELFQFYNTSATSAPSSGNNHYVLRVIVIVALVIVSIILLCGVCYFIFKRSRKKYNTLLRENFGEESSTLESLHFDLATMEVATKKFSHENRIGEGGFGDVYKGILPDGREIAVKKLSHSSGQGAAEFKNEILLIAKLQHKNLVTLLGFCLEAQEKMLIYEFVPNKSLDYFLFDPYKSSQMNWSERYKVIQGITQGILYLHEHSRLKVIHRDLKPSNILLDDNMDPKISDFGMARIIGVDQYQRNTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIILEIVSAKKNARQVFSDDDDLLSYAWDQWRDRTPLNILDKNIKESCNHSEVIKCIQIGLLCVQEKPHDRPTMTQVVSYLGSSLSELPFPEKPINFSQSGLDHRMVVGGSSSGSAQSKNEMSVSVFIPR >Vigun06g013450.1.v1.2 pep primary_assembly:ASM411807v1:6:6128956:6129533:-1 gene:Vigun06g013450.v1.2 transcript:Vigun06g013450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNQTVPSHSDTIAEGYVPLDFGHASLQQLDYKQELKRDLSVISNFAFSFCIISGLIEVTTLYNNGLNYGGTVSIVYGWFIASALIFFYHKNQVRAEPFFLPRIPVECHLNRR >Vigun08g097000.1.v1.2 pep primary_assembly:ASM411807v1:8:23358293:23360001:1 gene:Vigun08g097000.v1.2 transcript:Vigun08g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIKQRHIRVGRQVVNIPSFLVRVDSQKHIDFSLTSPLGGGRPGRVKRRNQRAAAKKAAGGDGDEEDED >Vigun03g238600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39806125:39807231:-1 gene:Vigun03g238600.v1.2 transcript:Vigun03g238600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNPSSPSEKGNRKSSSNSGSSGKARVHRSRTASVKSSVQNYSSTVDNHRRRMKNSTSSYPSYGSRIEDDMRSTSSVYSGISSFSSVVDSDMRSTGLAHSRITTFSSRVEKDISSNVSRESHSRRIYPSYASMVDDDTRSLASSVSRSSSLGSVPAWSSRVDYYIRSNTSKISSYGYY >Vigun10g189300.1.v1.2 pep primary_assembly:ASM411807v1:10:40443187:40445392:1 gene:Vigun10g189300.v1.2 transcript:Vigun10g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQQTMEAITEGVNNINITETYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDIKDDSRGRPVQKAKIEILLGKTANFDELMAAAAAEDGENGDAEEHTT >Vigun06g231200.3.v1.2 pep primary_assembly:ASM411807v1:6:33773325:33777317:-1 gene:Vigun06g231200.v1.2 transcript:Vigun06g231200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGDIVYKRRSVKPVATDSDRKFLIEFIITTYLGPDVKFDDPRCSVTQRLMAGSPQYTLGDLGSSYVTVSFLERLYYYVLRYSRPEHVLDLNMFHMYLKGKLFLPSTDFTPDSKQFTSFFPLDLHEQKWYPDSFRIIKGIVLIDDPSTECIKEKDLNRFKSLTGLSTLELNLTECLENEGGHNCKNKRQENLTECLENEGGHNCKNKRQESIQNMECESEKLQEGHKRKHIDDTRPMPDPVLPTKHNVKVHPSNSTCKSNGPIFMPLLSVPPDTAVGNQDCSLVLTGTASKGLFGPSVGIVDIGIGEMAYLFRVSLPGVQKNFTGTVSCDVQSNGRVLIKGVVTGGKTIRKQARVFQMKIQKLCPPGPFTLSFNLPGPVDPRLFVANFRADGLLEGIAVKQ >Vigun06g231200.1.v1.2 pep primary_assembly:ASM411807v1:6:33773252:33777641:-1 gene:Vigun06g231200.v1.2 transcript:Vigun06g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGDIVYKRRSVKPVATDSDRKFLIEFIITTYLGPDVKFDDPRCSVTQRLMAGSPQYTLGDLGSSYVTVSFLERLYYYVLRYSRPEHVLDLNMFHMYLKGKLFLPSTDFTPDSKQFTSFFPLDLHEQKWYPDSFRIIKGIVLIDDPSTECIKEKDLNRFKSLTGLSTLELNLTECLENEGGHNCKNKRQENLTECLENEGGHNCKNKRQESIQNMECESEKLQEGHKRKHIDDTRPMPDPVLPTKHNVKVHPSNSTCKSNGPIFMPLLSVPPDTAVGNQDCSLVLTGTASKGLFGPSVGIVDIGIGEMAYLFRVSLPGVQKNFTGTVSCDVQSNGRVLIKGVVTGGKTIRKQARVFQMKIQKLCPPGPFTLSFNLPGPVDPRLFVANFRADGLLEGIAVKQ >Vigun06g231200.4.v1.2 pep primary_assembly:ASM411807v1:6:33773325:33777317:-1 gene:Vigun06g231200.v1.2 transcript:Vigun06g231200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGDIVYKRRSVKPVATDSDRKFLIEFIITTYLGPDVKFDDPRCSVTQRLMAGSPQYTLGDLGSSYVTVSFLERLYYYVLRYSRPEHVLDLNMFHMYLKGKLFLPSTDFTPDSKQFTSFFPLDLHEQKWYPDSFRIIKGIVLIDDPSTECIKEKDLNRFKSLTGLSTLELNLTECLENEGGHNCKNKRQENLTECLENEGGHNCKNKRQESIQNMECESEKLQEGHKRKHIDDTRPMPDPVLPTKHNVKVHPSNSTCKSNGPIFMPLLSVPPDTAVGNQDCSLVLTGTASKGLFGPSVGIVDIGIGEMAYLFRVSLPGVQKNFSTVSCDVQSNGRVLIKGVVTGGKTIRKQARVFQMKIQKLCPPGPFTLSFNLPGPVDPRLFVANFRADGLLEGIAVKQ >Vigun06g231200.2.v1.2 pep primary_assembly:ASM411807v1:6:33773252:33777641:-1 gene:Vigun06g231200.v1.2 transcript:Vigun06g231200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGDIVYKRRSVKPVATDSDRKFLIEFIITTYLGPDVKFDDPRCSVTQRLMAGSPQYTLGDLGSSYVTVSFLERLYYYVLRYSRPEHVLDLNMFHMYLKGKLFLPSTDFTPDSKQFTSFFPLDLHEQKWYPDSFRIIKGIVLIDDPSTECIKEKDLNRFKSLTGLSTLELNLTECLENEGGHNCKNKRQENLTECLENEGGHNCKNKRQESIQNMECESEKLQEGHKRKHIDDTRPMPDPVLPTKHNVKVHPSNSTCKSNGPIFMPLLSVPPDTAVGNQDCSLVLTGTASKGLFGPSVGIVDIGIGEMAYLFRVSLPGVQKNFSTVSCDVQSNGRVLIKGVVTGGKTIRKQARVFQMKIQKLCPPGPFTLSFNLPGPVDPRLFVANFRADGLLEGIAVKQ >Vigun03g283700.1.v1.2 pep primary_assembly:ASM411807v1:3:46414050:46415729:-1 gene:Vigun03g283700.v1.2 transcript:Vigun03g283700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGVFGLAFICIVVAGVGGQSPASAPSSTPATPAASTPAAAPSTPKSPAPVASPKSSPPAASPKAATPASSPAASPPTATAPAPATKPPAASPPAVTPVSSPPAPVPVSSPPAPVPVSSPPAPVPTVAPAVAPTTPVTPAPAPGKHKKGKKHSAPAPSPSLLGPPAPPTGAPGPSEDASSPGPASSANDESGAETIMCLKKILGGLALGWATLVLVF >Vigun10g100900.1.v1.2 pep primary_assembly:ASM411807v1:10:29383023:29397768:-1 gene:Vigun10g100900.v1.2 transcript:Vigun10g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHQLIDSLTSHISLYHSQSPNPNPNPNPNPRSSILKWFSSLSIHQRQAYLTVVDGNFVQILLQMLAKLRSHGHGSFILLPDLPSPNNLPTLCFKKSRGLIARVAESETAGRAVFESARLFESREGEEAANSLPPSARRLDALTFAEGFVGNVDQFVEAMDRISGGGFLRGDEAELGEDWVELHWLKAKGYYGIEAFIANRMEVSMRLAWLNCCGGRKRGVKLKEKMSAAGVGVNVFWRKKGCVDWWANLDAGTRRKVFTTFFMKAAKPLTRDVLEVSSSASDDEICLYSAGVDKLMQHNRPMSAQRIISSLPVDMEFGTVISPVSLCKKPAALARAFNSLLVLHDVNMMVASNLNSEYDIGKLFFSSLGSVCTISDCILRKMRGFFMVISLDCTKLELLGEALEKSSSDKPKEKLSVSNRKKKGRNRNTKRQNPVSKTCTDGISHENPLKDTDCKGDNKKKTDLVGSRELPAVCMGKEISMECSSSTVKMDHTQGLDVGTVKVRTTSRRSRKEKNKSKNIIIDSAGGNSHKSIMHAASTTVVSEGEVAICDRFLNSSTIQNVKNDNSIGNDILTSNSSHCSNLSGLTKENSSTGKVEGETEDLAESGNSLGPQCCLLSDERKTLCSGLDTFTCDLDCNAVVTPPVPALKQGSFFSKEDTCHLNSLRVAKTDIKSTAPDKPIREVNVKEFGRLNEHDRCLFESRNSAFSKCSPYEWPGVPSIYFPSFNSHLPPATDRLHLDVGRHWHNHFCHPFVPTLQQARNPSIEGGCNPILSRPIPMSFDWPPVFRGCRSPSPNYNYDSGLISRKQCTFSKGLAVHSMQVDATAPDDERKYSGDAWDLPDLTNTMELADEFDNHCLSEEEYEVHAVSGIDYNQYFGGGIMYWNPSDYPGKGFSRPPSLSSDDSLWALREADMNRTVDDMVACTSSYSTNGLTSPTAAAFCSPFDPVGTGTQTVGYMMSGNEVPGKILHSSSVTDPAVDEDTSGSLGSGLPGEVEGKAGDSHPYPILRPIIIPNLSRERFDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKHRGFPTVRSGSSSPRHWGMRGWYHDGNNLEETCLRMDGAEVVWPWRSNNLAVRPLIQPLPAALLQDRLIALSQIARDQEHPDVTFPLQPPELQSCSAQGISLSVMHGILHDEIDSFCKQVAAENMARRPYINWAVKRVTRFLQVLWPRSRTNVFGSNATGMSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQDWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPIIQSLNENPHRTPGEHGSDNNSDTIQLEDLASPKGSQMKIDALKSKSVRLDISFKTPSHTGLQTTEMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLMDFLYFFGNVFDPRQMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSVLENELKFLNSDGESSSRPPYRLLPKIIPSLDKEHED >Vigun07g212600.1.v1.2 pep primary_assembly:ASM411807v1:7:33388978:33394213:1 gene:Vigun07g212600.v1.2 transcript:Vigun07g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSATNNQQLLWARVVMRKWFNMSSNEPDYSADPDDDNEDDPETDSDNEGWGKQTRSGDSRGEQAPIGTNEFLPRLRRQKSLTFRSEYINKKELRVCVGTWNVGGRLPPDDLDIDDWLRINEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRDTLNRVRPKAPKMKSFSDPPSPSKFKPSDDVADIEEEILLESDSDVGEEVLTVDEDHNVFDGGTDKPSAEQEVLASDAAHIGNDLQRQVSDGRRLSRLNHFRDENVSQKTETPSSQQTSKLSRMISSSERIGLSWPEPPLHLLSQTLSKPTSFKSIRSFSATKSFRTCHSFKPTMDDISSLAEIDLEALMKRKRRSSYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSVSISMSIYQTLFCFICTHLTAGEKEVDELKRNADVREIHQRTQFPLADIGVPRKILDHERIIWLGDLNYRINLSYEKTRDFISKKQWSKLIEKDQLTKELEKGVFRGWSEGALNFPPTYKYEINSEKYYGEDPKVGRRIPSWCDRILSYGLGMRLLRYGRTELKFSDHRPVTATYMAEVEVFSPRKLQKALTFTDAEIENEEVMANLETLYEF >Vigun07g212600.6.v1.2 pep primary_assembly:ASM411807v1:7:33382868:33394213:1 gene:Vigun07g212600.v1.2 transcript:Vigun07g212600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSATNNQQLLWARVVMRKWFNMSSNEPDYSADPDDDNEDDPETDSDNEGWGKQTRSGDSRGEQAPIGTNEFLPRLRRQKSLTFRSEYINKKELRVCVGTWNVGGRLPPDDLDIDDWLRINEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRDTLNRVRPKAPKMKSFSDPPSPSKFKPSDDVADIEEEILLESDSDVGEEVLTVDEDHNVFDGGTDKPSAEQEVLASDAAHIGNDLQRQVSDGRRLSRLNHFRDENVSQKTETPSSQQTSKLSRMISSSERIGLSWPEPPLHLLSQTLSKPTSFKSIRSFSATKSFRTCHSFKPTMDDISSLAEIDLEALMKRKRRSSYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSVSISMSIYQTLFCFICTHLTAGEKEVDELKRNADVREIHQRTQFPLADIGVPRKILDHERIIWLGDLNYRINLSYEKTRDFISKKQWSKLIEKDQLTKELEKGVFRGWSEGALNFPPTYKYEINSEKYYGEDPKVGRRIPSWCDRILSYGLGMRLLRYGRTELKFSDHRPVTATYMAEVEVFSPRKLQKALTFTDAEIENEEVMANLETLYEF >Vigun07g212600.2.v1.2 pep primary_assembly:ASM411807v1:7:33382868:33394213:1 gene:Vigun07g212600.v1.2 transcript:Vigun07g212600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSATNNQQLLWARVVMRKWFNMSSNEPDYSADPDDDNEDDPETDSDNEGWGKQTRSGDSRGEQAPIGTNEFLPRLRRQKSLTFRSEYINKKELRVCVGTWNVGGRLPPDDLDIDDWLRINEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRDTLNRVRPKAPKMKSFSDPPSPSKFKPSDDVADIEEEILLESDSDVGEEVLTVDEDHNVFDGGTDKPSAEQEVLASDAAHIGNDLQRQVSDGRRLSRLNHFRDENVSQKTETPSSQQTSKLSRMISSSERIGLSWPEPPLHLLSQTLSKPTSFKSIRSFSATKSFRTCHSFKPTMDDISSLAEIDLEALMKRKRRSSYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSVSISMSIYQTLFCFICTHLTAGEKEVDELKRNADVREIHQRTQFPLADIGVPRKILDHERIIWLGDLNYRINLSYEKTRDFISKKQWSKLIEKDQLTKELEKGVFRGWSEGALNFPPTYKYEINSEKYYGEDPKVGRRIPSWCDRILSYGLGMRLLRYGRTELKFSDHRPVTATYMAEVEVFSPRKLQKALTFTDAEIENEEVMANLETLYEF >Vigun07g212600.4.v1.2 pep primary_assembly:ASM411807v1:7:33383080:33394214:1 gene:Vigun07g212600.v1.2 transcript:Vigun07g212600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSATNNQQLLWARVVMRKWFNMSSNEPDYSADPDDDNEDDPETDSDNEGWGKQTRSGDSRGEQAPIGTNEFLPRLRRQKSLTFRSEYINKKELRVCVGTWNVGGRLPPDDLDIDDWLRINEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRDTLNRVRPKAPKMKSFSDPPSPSKFKPSDDVADIEEEILLESDSDVGEEVLTVDEDHNVFDGGTDKPSAEQEVLASDAAHIGNDLQRQVSDGRRLSRLNHFRDENVSQKTETPSSQQTSKLSRMISSSERIGLSWPEPPLHLLSQTLSKPTSFKSIRSFSATKSFRTCHSFKPTMDDISSLAEIDLEALMKRKRRSSYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSVSISMSIYQTLFCFICTHLTAGEKEVDELKRNADVREIHQRTQFPLADIGVPRKILDHERIIWLGDLNYRINLSYEKTRDFISKKQWSKLIEKDQLTKELEKGVFRGWSEGALNFPPTYKYEINSEKYYGEDPKVGRRIPSWCDRILSYGLGMRLLRYGRTELKFSDHRPVTATYMAEVEVFSPRKLQKALTFTDAEIENEEVMANLETLYEF >Vigun07g212600.5.v1.2 pep primary_assembly:ASM411807v1:7:33388988:33394232:1 gene:Vigun07g212600.v1.2 transcript:Vigun07g212600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSATNNQQLLWARVVMRKWFNMSSNEPDYSADPDDDNEDDPETDSDNEGWGKQTRSGDSRGEQAPIGTNEFLPRLRRQKSLTFRSEYINKKELRVCVGTWNVGGRLPPDDLDIDDWLRINEPADIYVLGLQEIVPLNPGNIFGAEDTRPVPKWENIIRDTLNRVRPKAPKMKSFSDPPSPSKFKPSDDVADIEEEILLESDSDVGEEVLTVDEDHNVFDGGTDKPSAEQEVLASDAAHIGNDLQRQVSDGRRLSRLNHFRDENVSQKTETPSSQQTSKLSRMISSSERIGLSWPEPPLHLLSQTLSKPTSFKSIRSFSATKSFRTCHSFKPTMDDISSLAEIDLEALMKRKRRSSYVRIVSKQMVGIFITIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSVSISMSIYQTLFCFICTHLTAGEKEVDELKRNADVREIHQRTQFPLADIGVPRKILDHERIIWLGDLNYRINLSYEKTRDFISKKQWSKLIEKDQLTKELEKGVFRGWSEGALNFPPTYKYEINSEKYYGEDPKVGRRIPSWCDRILSYGLGMRLLRYGRTELKFSDHRPVTATYMAEVEVFSPRKLQKALTFTDAEIENEEVMANLETLYEF >Vigun06g194800.1.v1.2 pep primary_assembly:ASM411807v1:6:31091502:31094856:1 gene:Vigun06g194800.v1.2 transcript:Vigun06g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNIQTSKALSNILVRISLTILLLLIGARVAYSFQNTSVGVLIDVNSKTGKQQRRAMQIAAQNFNNHSQNHNINLFFRDSGGIPLQAASSAEELIIKKKVEVIIVTGTWQEATLVADRGNSAQIPIISFSSPPIIPPVSMQHRWPFLIQMSKDQAAQINCIADIIHEFNWQKVIAIYEDNPYSGDSGMLSLFSEALQKGDSQMENCLVLPSFTSLSDPKGFVLDELLKLLPLQSRVFVVLHATFPMITHLFREAKKIGLLGKNSAWIMNEGVTSMLDFANKSVLSSMEGTLGIKTYYSTSSLSYNQLQENFQPDEHTKPGSDALQAYDSVTLITKALERMNGKSSNSRVLLEKLLSSDFNGLSGNISFKDGHLFKTPVLRVINVVNRECKELDFWTPKLKFGKSLKILKDRETKGDYATNIFLGPVVWPGGLTSADPKGWKMPTDAEPLKVAIPINPFFENFLKEDPPKKYDGFCIRLFLEARKILSYKYGDLPYVLEPFNESYDKLLLNVIEKSHHAIVGDVTILANRSKDVSFTLPYTDSSLSVIFPIETEGSTWLFMKPFSWEMWLVTICILIYTVFTVWFLEHEFNPDFGGPLENQISTTLWFAFSSLFFAHRERIRSNSARVVVAAWLFLVFVLTSSYTANLSSMLTVKRFSQRDIQWLKQNSLSVGCENRSSFVKNYMIHVYEFHPNQIIHVDGEHDIVDKFKSKKMHALFLESPYERVFVNKYPKDYTAITAANKFGGFGFVFQKGSPLARDFSEAILTLAENGKLKHLEERWLTPHSNRSPETESLTLPNFWILYVMCAVISTICLVLFVSSQTEVADY >Vigun10g032300.1.v1.2 pep primary_assembly:ASM411807v1:10:4244535:4248519:-1 gene:Vigun10g032300.v1.2 transcript:Vigun10g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRISSMGFASSSSKLPLMFDVIINFHGEEVRKKFISHLESVLSTVGITTYLHHENEANAMPIQQPILDLCRIAIVVFTKTYSQSAWCLHQLHQIIKWHETYCRHVLPVYYEIQPSDVRLQKGDFGEAFKATAQQTFSAQQLEHGMSRWSHALAKAANFYGWDESNYRSDAELVDKIVKSVLNLPLLSATKFPVGLQSHVEDVIHTIKNNSTEVCIIGIYGMEGSGKTTVAKAIYNQIHDTFMGKIFIEDIAHANRTKFLHLIEKILSDVQTPKVEERNIEKGRSIPERHFQKRVLIVLDNVKHHSLLIALSESRLRFDKGSVIIITSKTEQLLKTHEVDAVFGINLMNAKESLELLSWHAFREAKPKEEYHDLAKAIVTHCGGLPLALEVIGTYLYERTKEEWHRVLFKLGKTPQHDVLPVLKICFEGLPNQIERNLFLDIYCFFVGKDRAYVTKILNGCGVDADSGIRILIERSLILVKKNKFGLHPLLREMAREIIGEITSGEEPRKTSQVWFDKNAHCVLLENILFSSQEKKVIQIFHWGSFSTARHFFKADPVEVRMMKLERHSEYSSKILRWICLQGFSSEYLPLGFLHNAIAIDLKHSLLRLVWKEPQVLASLKVLNLSRSKYLRKTPDFSRLPSLEHLILKDCPRLCEVHQSIGGLCNLTLLNLKDCTRIKNLPREIYMLKSLKTLILSGCSRIHLLEKHIVQMESLITLITENTAMKQVPFSIVSSKSIGYLSLQGFEGLSHNLFPFIIRSWMLPLMNPQPYHCSFCTDMEVHSCDDIGPLLSIISNIRSVLVQCDTEFQLSKQVQIILVEYGVNSTESDTSKQHFRSSLIGVGRCKEFFDAFSERVSKVYAGSEYCDVSLLGDNGPNWLAHMGEGYSVSFTVPRDRDIKGMALCVVYLSTTEIVATACLRSILIVNYTKCTLHIHNHDSVISLNDKDWEGIISNLGSGDRVEIFVNFGHGLVVKNTSVYLIYGDSKSLEMGPHHEPKENALNKFIEKIVMCDFCKLLFFFGNK >Vigun06g034500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14581575:14583738:1 gene:Vigun06g034500.v1.2 transcript:Vigun06g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDKHEDEESLSIGLKVMLAAAIFLFCMISIIIIFHFSMKYFIRRQRRRRQNELLHQITTQIAPIDVSFVEARNPGLQTSIIASLPKLLYNQTEQFKQGEVTECSVCLATVTKDAIIRVLPNCKHIFHVDCVDKWFNCNSTCPICYNVVDPKVASEEEDGDLGTRIHQVQPTAPPVVGGGEVQDGTEMEKV >Vigun11g216568.1.v1.2 pep primary_assembly:ASM411807v1:11:41030274:41032793:1 gene:Vigun11g216568.v1.2 transcript:Vigun11g216568.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLYLLGGLNSTLQGKCRLSVLVSCVSTIIIAMFVLLLLLQALSRSSYGSQPLLSNYSISIHFSPLNVNNQYFTNLLVENHS >Vigun01g206200.1.v1.2 pep primary_assembly:ASM411807v1:1:38206598:38207895:1 gene:Vigun01g206200.v1.2 transcript:Vigun01g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSTANCVDILIAIILPPLGVFLKYACKCEFWICLLLTILGYIPGIIYAVYAITK >Vigun02g117700.1.v1.2 pep primary_assembly:ASM411807v1:2:27046377:27055833:-1 gene:Vigun02g117700.v1.2 transcript:Vigun02g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEHDIESCGSRAVQLSHANHPRHHRQKLEVYNEVLRRIQDSDCEEAHVPGFDDQLWLHFNRLPARYALDVNVERAEDVLAHKRLLKLAEDPASRPAFQVRLVQVYPFGGANYTDSTHSDPSEIEDAQSSLNYSLKQGIHPPPTFGSSSNLEALALQTTKNNIEDGGSAMSVTPYFHRPMHEITFSTVDKPKLLSQLTSILGEMGLNIQEAHAFSTTDGFSLDVFVVEGWPNEETEELKGVLEKEIYKVKEQNMSNQGIHCAANDHYQPRIEQSPHCIQIPTDGADVWELDTNQLKYENKVGSGSFGDLYRGTYCSQDVAIKVLKPERISTDMLKEFAQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMSRGSLYDFLHKQRGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDQKADVFSFGIALWELLTGELPYSCLTPLQAAVGVVQKGLRPTIPKTTHPRLSELLQRCWQQDPTLRPDFSEIIEILQHIAKEVNDHKDKSSSHGFLSALRRVHH >Vigun11g129200.1.v1.2 pep primary_assembly:ASM411807v1:11:33697378:33701477:1 gene:Vigun11g129200.v1.2 transcript:Vigun11g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSFCLLHSPLCKFPSSSFSSSSSSSSSSSSSGYRTKTKLRAPTSSCLGQQDPVCSKRTILLTSIALLPFRRLKRAPALEPPPATPTEENEVKTQEENQEAETAPEVDKPSNSFLSLLNGIGIFSSGVLGALYTLSQKEKSAADATIETMSRKLKEKEELIVSSKKDYELKLLNKQEEQAHLLEKAKEEKLALIEQLNSANSNISRLGQELKNERSLVEDLKLQIDRLETKLSKIDTDKKDLESNLKEKIDLIGVLQERINVLSEDLKDKEDVVKNLNSSLAVKELELKRLNSTYEKTKDDLSSVLLQIQGLKDELLKSQEEVEAKDSLVMELNSRLSSLTLENDDFRSKYDVMEKEYNDLKVTTERKAALDSKVLSEKEEELLQLKHQFELALRESSKNLVINADLSKERDLLKEALENQSGKMNNLKHELQDTQENLEKSRNESAELENLLNESNKLRNELEVEVSKLSSELTEVKVSLQRSLDNAKHEAEMLASELTTAKEHLKKAEAELQGKSHDLTIALEKCDSLQRELIEIYKKAETTAEDLKEEKQLVDSLKKDIQALEKQISEDKESRKSLEMNLKEAVKSLDEMNRNATILSGELQRTNSIVSSLEKEKEVLIKSLADQRNASKEAKENIEDAHNLITKLGSERENLERKGKKLEEDLASAKGEILRLKSRISSSKVAVNNEQVQKDGGESNVNSSKVAVNNEKVQKNEGEMKVNSSKVAVNNEQVQKNGGEKVTVSAKKTVRRRKANPQ >Vigun03g213700.2.v1.2 pep primary_assembly:ASM411807v1:3:35506022:35508976:1 gene:Vigun03g213700.v1.2 transcript:Vigun03g213700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSLFSFAKRKRNSMPSLQQKLLDEESLAQSQPNTHFRKPGWKAMPYILGNDTIERLATYGMQANFVVYLMKVYNMDQVLSANILNTWLAVSNITPLIGAFCADAYLGKFRTIALASFASLVGMVTVMLTAWVPQFHPAPCSIEQQQSVQVLVAAQHKRHFHLDTQASLYDPPLQNDSEGKLLLTNDFRCLNKAAIVMENELDNDGLNKNPWRLSSIQQVEELKCLLKIMPIFVTSIIVNIPLGQQAIFGVSQAMKMDRHLGHNFEIHPGSINVIMLLSIGILLPFYDRIVAPALEKVTKQEGGLTPLQRIGFGHAFGFLSMVVSGLVEIKRRELAISSGASISVLWLAPQFILLACCHVFATVGHTEFFNNESPEGLRSVANSLLCLNVSAASNLSSFIVNIVHSFTGKQGKPDWLDGDINKGRLENFYFVVAAFGLFNMLCFIACSRKYHYKVLVKNNMMDKT >Vigun03g213700.1.v1.2 pep primary_assembly:ASM411807v1:3:35506022:35508976:1 gene:Vigun03g213700.v1.2 transcript:Vigun03g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSLFSFAKRKRNSMPSLQQKLLDEESLAQSQPNTHFRKPGWKAMPYILGNDTIERLATYGMQANFVVYLMKVYNMDQVLSANILNTWLAVSNITPLIGAFCADAYLGKFRTIALASFASLVGMVTVMLTAWVPQFHPAPCSIEQQQSGVCRGQSNFQMGVLVFGLFWLSIGSGGIRPCSVPFAVDQFDLTTAEGRHGSSTFYTLYYTTQTLVMLINQTVLVYIQDSVSWTLGFALPALYMIVSILFFFAGFKVYAYVKPKGSNFSGIVQVLVAAQHKRHFHLDTQASLYDPPLQNDSEGKLLLTNDFRCLNKAAIVMENELDNDGLNKNPWRLSSIQQVEELKCLLKIMPIFVTSIIVNIPLGQQAIFGVSQAMKMDRHLGHNFEIHPGSINVIMLLSIGILLPFYDRIVAPALEKVTKQEGGLTPLQRIGFGHAFGFLSMVVSGLVEIKRRELAISSGASISVLWLAPQFILLACCHVFATVGHTEFFNNESPEGLRSVANSLLCLNVSAASNLSSFIVNIVHSFTGKQGKPDWLDGDINKGRLENFYFVVAAFGLFNMLCFIACSRKYHYKVLVKNNMMDKT >Vigun07g082200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11806749:11807321:-1 gene:Vigun07g082200.v1.2 transcript:Vigun07g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPVMCTVLLLLLATAVSAHDRVDARNYFGYVPAIVDETFANQTLLAEEFMNTHNWVRKQYNLPLLAWDENLASYAREYLMQRYEDCKLIHSNSNYGENIFWGKTRHWNPSDATFYWYVEKDWYDFKTLTCAPPPKACGHFTQVVWRDSERIGCALQNCHNPDLGMLMACEYDPPGNYANENPLQSHV >Vigun11g050200.2.v1.2 pep primary_assembly:ASM411807v1:11:8159182:8162568:-1 gene:Vigun11g050200.v1.2 transcript:Vigun11g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAITMLSIWLFLFSYMSTTSSSLDSLAVSESIQDIKNVTLVSAGGITELGFFSPRNSARRYLGIWYTNVSPFTVVWVANRNKPLENNSGVLKLNQKGVLELLNATNNIIWSSPNTASNTVNDPIARLLDSGNFVVRNGGETKEDSILWQSFDHPGDTQMPEMKLGWNLETGVERYIASWKSDEDPAEGEYVVKVDLRGYPQISKFKGTDIKARAGSWNGLSLVGNPIPARGTGQSWFWTTETRTRQVVSSGEEDQCENYAFCGANSVCIYDGNFPVCECLRGYVPNSPDEWNISIWHNGCVPRNKSNCTNINTDGFLKYMHMKLPDTSSSWFNATMNLDECMKLCIQNCSCTACANLDVSDEGSGCLLWFNDLVDMRNFSQWGQDFYIRVPASELDHAGHGNITIKIAAITIGVIIFGLVTCACIIIRKNPGIARKIYSKHYKIKQKKEELEFATFNFPVIANATENFSTKNKLGEGGFGPVYKGILIDGQELAVKRLSENSGQGLEEFKNEVALIAKLQHRNLVKLLGCCIEGEEKMLIYEYMPNKSLDFFVFDETKRKLLDWRMRFNIISGIARGLLYLHQDSRLRIIHRDLKTSNILLDTNLDPKIADFGLARSFLGDQVEGKTHRVAGTYGYMPPEYAARGHFSVKSDVFSYGVIVLEIVSGKKNREFSDPENNNNLLGHAWRLWTEERALQLLDELIEEQCLESEVTRCIQVGLLCVQQRPNDRPDMSSVVLMLNGEKSLPKPKVPGFYTESDVTTKTNSSSENHTLCSVNELSITMIDAR >Vigun11g050200.1.v1.2 pep primary_assembly:ASM411807v1:11:8159182:8162566:-1 gene:Vigun11g050200.v1.2 transcript:Vigun11g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAITMLSIWLFLFSYMSTTSSSLDSLAVSESIQDIKNVTLVSAGGITELGFFSPRNSARRYLGIWYTNVSPFTVVWVANRNKPLENNSGVLKLNQKGVLELLNATNNIIWSSPNTASNTVNDPIARLLDSGNFVVRNGGETKEDSILWQSFDHPGDTQMPEMKLGWNLETGVERYIASWKSDEDPAEGEYVVKVDLRGYPQISKFKGTDIKARAGSWNGLSLVGNPIPARGTSAKFVFNEKEVYYWYSLSDELDFFVYTLTPSGTGQSWFWTTETRTRQVVSSGEEDQCENYAFCGANSVCIYDGNFPVCECLRGYVPNSPDEWNISIWHNGCVPRNKSNCTNINTDGFLKYMHMKLPDTSSSWFNATMNLDECMKLCIQNCSCTACANLDVSDEGSGCLLWFNDLVDMRNFSQWGQDFYIRVPASELDHAGHGNITIKIAAITIGVIIFGLVTCACIIIRKNPGIARKIYSKHYKIKQKKEELEFATFNFPVIANATENFSTKNKLGEGGFGPVYKGILIDGQELAVKRLSENSGQGLEEFKNEVALIAKLQHRNLVKLLGCCIEGEEKMLIYEYMPNKSLDFFVFDETKRKLLDWRMRFNIISGIARGLLYLHQDSRLRIIHRDLKTSNILLDTNLDPKIADFGLARSFLGDQVEGKTHRVAGTYGYMPPEYAARGHFSVKSDVFSYGVIVLEIVSGKKNREFSDPENNNNLLGHAWRLWTEERALQLLDELIEEQCLESEVTRCIQVGLLCVQQRPNDRPDMSSVVLMLNGEKSLPKPKVPGFYTESDVTTKTNSSSENHTLCSVNELSITMIDAR >Vigun08g010400.1.v1.2 pep primary_assembly:ASM411807v1:8:901583:906934:1 gene:Vigun08g010400.v1.2 transcript:Vigun08g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPRVGLALCAPRPTINRFTKPNKPRSSSAVAGRGGGSLSATCATSRWAERLIADFQFLGDASSGATATLSPSSVPPRLDPPERYVSIPLDLYRILGAESHFLGDGIRRAYEAKFSKPPQYAFSNEALISRRQILQAACETLADPASRREYNQGLVDDEDAAILTQIPFDKVPGALCVLQEAGEPELVLEIGQGLLRERLPKTFKQDVVLAMALAFVDFSRDAMALPQPDFIAACEMLERALKLLQEEGATSLAPDLQAQIDETLEEITPHCVLELLALPLDDEHRTRREEGLLGVRNILWAVGGGGAAAIAGGYTREDFMNEAFLHMTASEQVELFVATPSNIPAESFEAYGVALALVAQAFVGKKPHLIQDADNLFQQLQQTKVTALRNAPSVYTPSEKREIDFALERGLCALLVGELDECRSWLGLDTDSSPYRNPSIIEFIMENAKGDEDSDLPGLCKLLETWLMEVVFPRFRDTKESSFKLGDYYDDPTVLRYLERLEGVGHSPLAAAAAIVKIGAEATAVITQVQASVINALKKVFPVGSEDQIVKHLENSEKDDFSFSGSENPLILSDGDSSVSVDVSGIKNTAEATEGEFITDEIKNASVQIMCAGVVIGLVTLAGLKFLPARNGSPVLHKMTGSAISSDTVNLDSLGDEEKGVQLPKMDARAAEALVRKWQSIKSQAFGPDHCLERLQEVLDGEMLKVWTDRAAEIAERGWSYEYMLEDLNIDSVTISQNGQRAVVETTLTESTHLNAVGHPQHDASNSRTYTTRYEMSFSGPGWKIVEGSVLES >Vigun03g176300.1.v1.2 pep primary_assembly:ASM411807v1:3:21861279:21874110:-1 gene:Vigun03g176300.v1.2 transcript:Vigun03g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPITYTHTWTFSNPHYALPLFHSRTNFTRIKFSPTNYGAGLAPSSPLSHFRVFCRSERETIEIRRCAPFLEGSTFVDNGGVASDEWKVVPDIWRSSAEKYGDNVALVDTCHHPPTTLTYTQLEQAILYFAEGLRVIGVKPDEKIALFADNSCHWLIADQGMMASGAINVVRGSRSSVAELLQIYNHSESVALVVDNPEMFNRVANTFYSNTSMRFIILLWGEKSELVGQENKHMPVFTFQEVIDLGRDNRRALSKAEQRYVYEPIHSDSIATLIYTSGTTGNPKGVMLTHQNLLHQIKNLWDIVPAKVGDRFLSMLPPWHAYERACEYFIFTCGIQQVYTTVRNLKDDLKLYQPQYLISVPLVFETLYSGIMKQISTSSAVRKFVALTFIRVSLAYMECKRIYEGKCLTMNKKQVSYVNSTLDWLWARIIATLLLPVHILAKKLVYNKIHAAIGISKAGISGGGSLRWEVDKFFEAIGVKVQNGYGLTETSPVVAARRPGCNVLGSIGHPIRETEFKVVDSETDEVLPAGSKGILKVRGPQVMKGYFKNPLATVQALDGNGWLNTGDIGWIVPHHSTGRSRQSSGMIVVEGRAKDTIVLSTGENVEPGELEEAAMRSNLIQQIVVVGQDKRRLGAVIVPNKEEVLKVARKLSIINSENSDVSEEKVRSLIYKELQTWMSESPFQIGPILLVHEPFTIDNGLMTPTMKIRRDRVVTEYKDQIDNLYK >Vigun03g176300.3.v1.2 pep primary_assembly:ASM411807v1:3:21861279:21874110:-1 gene:Vigun03g176300.v1.2 transcript:Vigun03g176300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPITYTHTWTFSNPHYALPLFHSRTNFTRIKFSPTNYGAGLAPSSPLSHFRVFCRSERETIEIRRCAPFLEGSTFVDNGGVASDEWKVVPDIWRSSAEKYGDNVALVDTCHHPPTTLTYTQAILYFAEGLRVIGVKPDEKIALFADNSCHWLIADQGMMASGAINVVRGSRSSVAELLQIYNHSESVALVVDNPEMFNRVANTFYSNTSMRFIILLWGEKSELVGQENKHMPVFTFQEVIDLGRDNRRALSKAEQRYVYEPIHSDSIATLIYTSGTTGNPKGVMLTHQNLLHQIKNLWDIVPAKVGDRFLSMLPPWHAYERACEYFIFTCGIQQVYTTVRNLKDDLKLYQPQYLISVPLVFETLYSGIMKQISTSSAVRKFVALTFIRVSLAYMECKRIYEGKCLTMNKKQVSYVNSTLDWLWARIIATLLLPVHILAKKLVYNKIHAAIGISKAGISGGGSLRWEVDKFFEAIGVKVQNGYGLTETSPVVAARRPGCNVLGSIGHPIRETEFKVVDSETDEVLPAGSKGILKVRGPQVMKGYFKNPLATVQALDGNGWLNTGDIGWIVPHHSTGRSRQSSGMIVVEGRAKDTIVLSTGENVEPGELEEAAMRSNLIQQIVVVGQDKRRLGAVIVPNKEEVLKVARKLSIINSENSDVSEEKVRSLIYKELQTWMSESPFQIGPILLVHEPFTIDNGLMTPTMKIRRDRVVTEYKDQIDNLYK >Vigun03g176300.4.v1.2 pep primary_assembly:ASM411807v1:3:21861279:21874110:-1 gene:Vigun03g176300.v1.2 transcript:Vigun03g176300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYNSNVHTEGLRVIGVKPDEKIALFADNSCHWLIADQGMMASGAINVVRGSRSSVAELLQIYNHSESVALVVDNPEMFNRVANTFYSNTSMRFIILLWGEKSELVGQENKHMPVFTFQEVIDLGRDNRRALSKAEQRYVYEPIHSDSIATLIYTSGTTGNPKGVMLTHQNLLHQIKNLWDIVPAKVGDRFLSMLPPWHAYERACEYFIFTCGIQQVYTTVRNLKDDLKLYQPQYLISVPLVFETLYSGIMKQISTSSAVRKFVALTFIRVSLAYMECKRIYEGKCLTMNKKQVSYVNSTLDWLWARIIATLLLPVHILAKKLVYNKIHAAIGISKAGISGGGSLRWEVDKFFEAIGVKVQNGYGLTETSPVVAARRPGCNVLGSIGHPIRETEFKVVDSETDEVLPAGSKGILKVRGPQVMKGYFKNPLATVQALDGNGWLNTGDIGWIVPHHSTGRSRQSSGMIVVEGRAKDTIVLSTGENVEPGELEEAAMRSNLIQQIVVVGQDKRRLGAVIVPNKEEVLKVARKLSIINSENSDVSEEKVRSLIYKELQTWMSESPFQIGPILLVHEPFTIDNGLMTPTMKIRRDRVVTEYKDQIDNLYK >Vigun03g176300.2.v1.2 pep primary_assembly:ASM411807v1:3:21861279:21874110:-1 gene:Vigun03g176300.v1.2 transcript:Vigun03g176300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPITYTHTWTFSNPHYALPLFHSRTNFTRIKFSPTNYGAGLAPSSPLSHFRVFCRSERETIEIRRCAPFLEGSTFVDNGGVASDEWKVVPDIWRSSAEKYGDNVALVDTCHHPPTTLTYTQLEQAILYFAEGLRVIGVKPDEKIALFADNSCHWLIADQGMMASGAINVVRGSRSSVAELLQIYNHSESVALVVDNPEMFNRVANTFYSNTSMRFIILLWGEKSELVGQENKHMPVFTFQEVIDLGRDNRRALSKAEQRYVYEPIHSDSIATLIYTSGTTGNPKGVMLTHQNLLHQIKNLWDIVPAKVGDRFLSMLPPWHAYERACEYFIFTCGIQQVYTTVRNLKDDLKLYQPQYLISVPLVFETLYSGIMKQISTSSAVRKFVALTFIRVSLAYMECKRIYEGKCLTMNKKQVSYVNSTLDWLWARIIATLLLPVHILAKKLVYNKIHAAIGISKAGISGGGSLRWEVDKFFEAIGVKVQNGYGLTETSPVVAARRPGCNVLGSIGHPIRETEFKVVDSETDEVLPAGSKGILKVRGPQVMKGYFKNPLATVQALDGNGWLNTGDIGWIVPHHSTGRSRQSSGMIVVEGRAKDTIVLSTEGENVEPGELEEAAMRSNLIQQIVVVGQDKRRLGAVIVPNKEEVLKVARKLSIINSENSDVSEEKVRSLIYKELQTWMSESPFQIGPILLVHEPFTIDNGLMTPTMKIRRDRVVTEYKDQIDNLYK >Vigun06g065300.2.v1.2 pep primary_assembly:ASM411807v1:6:19381325:19385666:-1 gene:Vigun06g065300.v1.2 transcript:Vigun06g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQSSIHNSKFSTMLTDTVQNSGAYALSEADNSVRIDSFRENFKVEVKRLTDSEMEFDIIGVDASIANAFRRILMSEVPTMAIERVYIANNTSVLKDEVLSHRLGLVPIRADPRLFEYPDNEGDDRNEKNTIVFGLHGRCEVKQSRIKVKSDKLKWLPNGSEFPSEDIKPNTASTKPKTFTSFSCSQDSLPEFSNDSIGLTYPDIILSKLGPGQEIELEAHAVKGIGKTHTKWSPVAPAWYRMLPEVVLLKDVVDEQAEELKDKCRPNVFDIEDIGKGKRRAKVARPRNCTVCRECIRGGKEWEDRVSLRRVKNHFIFTIESTGALPPEVLFAEAVKILEDKCQSVISELS >Vigun06g065300.1.v1.2 pep primary_assembly:ASM411807v1:6:19381325:19385666:-1 gene:Vigun06g065300.v1.2 transcript:Vigun06g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEDEPMAEQSNTGLGLDYIMSLENVPTKLHPQLQIFNDAGVHTDTVQNSGAYALSEADNSVRIDSFRENFKVEVKRLTDSEMEFDIIGVDASIANAFRRILMSEVPTMAIERVYIANNTSVLKDEVLSHRLGLVPIRADPRLFEYPDNEGDDRNEKNTIVFGLHGRCEVKQSRIKVKSDKLKWLPNGSEFPSEDIKPNTASTKPKTFTSFSCSQDSLPEFSNDSIGLTYPDIILSKLGPGQEIELEAHAVKGIGKTHTKWSPVAPAWYRMLPEVVLLKDVVDEQAEELKDKCRPNVFDIEDIGKGKRRAKVARPRNCTVCRECIRGGKEWEDRVSLRRVKNHFIFTIESTGALPPEVLFAEAVKILEDKCQSVISELS >Vigun04g200200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42394387:42395179:1 gene:Vigun04g200200.v1.2 transcript:Vigun04g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKLGLLLSLLLATLFPFQLEGNEGRVVASKVKDFFIPPSKVDVVIYNLVASKNLTVHCKDKHHDLGNQLLSYKEGLTFNFKPNKFIFVTLYFCHFTWVGTSHHFDIYDENRDVCSRCVWHIFESGPCIVYPKFGKCFAWNN >Vigun07g131100.1.v1.2 pep primary_assembly:ASM411807v1:7:24071324:24089041:1 gene:Vigun07g131100.v1.2 transcript:Vigun07g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLCSSFTSKTWNPHALCHHKTLTPWASAFLRGDLQLHPPSISGARRSRRRRSGVVASLGGLLGGIFKGTDTGESTRQQYAATVNIINGLEPEISALSDSELRERTFALRERVQQGQSLDSILPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSQQRKENYSCDITYVTNSELGFDYLRDNLATSVEDLVIRGFNYCIIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIAEAFERDIHYTVDEKQKTVLLSEQGYEDAEEILAVKDLYDPREQWASYILNAIKAKELFLRDVNYIVRGKEVFIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATSGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDSLSELLKEAEIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMSRVVKPSEEGFVSIKKPPPYKTWKVNEKLFPCQLSDKNVNLTEKAVQLAVETWGKRSLTELEAEERLSYACEKGPAQDEVIAKLRNAFLEIGKEYKVFTVEERKKVVEAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESDNLQSLLIEYAELTMDDILVANIGSDAPKDSWDLEKLIAKIQQYCYLLNDLTPDLLKNKCSDYEELRNYLRLRGREAYEQKREMVEEQAAGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFQPVLVKQDQDKTENGKSGKRKARSRVNPNPDPVGTIEPSASSTAS >Vigun09g125600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27887345:27889303:1 gene:Vigun09g125600.v1.2 transcript:Vigun09g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAATFFLHDLQNFHSRRLLLHSPLNQSAKPPATPHDSTETYLGDGNFDANVVMVLSVLLCALICSLGLNSIIRCALRCSNFVVSDSVATNTPPARVANTGVKKKALKTFPTVIYSAELNLPSLDSECVICLSEFTSGEKVRILPKCNHGFHVRCIDMWLSSHSSCPKCRHCLIETCQKIVGCTQQASSSQQQQQQQPVLQVPETILTITPLEPEGLVRNYREL >Vigun02g114600.6.v1.2 pep primary_assembly:ASM411807v1:2:26834363:26841548:-1 gene:Vigun02g114600.v1.2 transcript:Vigun02g114600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAATTAESATSESNAAEVNINIRCSNGSKFSVQISLDSVVRSFKDVVAGSCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAPNNNTGGTNSGGANTTTANTTRGAGANEGGGLGGPGFGASLFPGLGGNNAMGGSGLNGLFGAGFPDLEQMQQPFLSNPNLVRDIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDGAAARNQATNPSTANSEATSPVPNTNPLPNPWSAPGTGGGQNNTRRSTTAGGDARQQPPTGLGGLGLPDLEGMMGGMPDAAMLTQLMQNPAISQMMQSILSNPQTMNQILGVNSEQRGMPDINSLREVMQNPEFLRLFSSPETLQQLLSFQQALLSQIGQQQSTQEPGQTGGGTAPLNNMGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGQ >Vigun02g114600.2.v1.2 pep primary_assembly:ASM411807v1:2:26834366:26841548:-1 gene:Vigun02g114600.v1.2 transcript:Vigun02g114600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAATTAESATSESNAAEVNINIRCSNGSKFSVQISLDSVVRSFKDVVAGSCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAPNNNTGGTNSGGANTTTANTTRGAGANEGGGLGGPGFGASLFPGLGGNNAMGGSGLNGLFGAGFPDLEQMQQPFLSNPNLVRDIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDGAAARNQATNPSTANSEATSPVPNTNPLPNPWSAPGTGGGQNNTRRSTTAGGDARQQPPTGLGGLGLPDLEGMMGGMPDAAMLTQLMQNPAISQMMQSILSNPQTMNQILGVNSEQRGMPDINSLREVMQNPEFLRLFSSPETLQQLLSFQQALLSQIGQQQSTQEPGQTGGGTAPLNNMGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGQ >Vigun02g114600.1.v1.2 pep primary_assembly:ASM411807v1:2:26834366:26841548:-1 gene:Vigun02g114600.v1.2 transcript:Vigun02g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAATTAESATSESNAAEVNINIRCSNGSKFSVQISLDSVVRSFKDVVAGSCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAPNNNTGGTNSGGANTTTANTTRGAGANEGGGLGGPGFGASLFPGLGGNNAMGGSGLNGLFGAGFPDLEQMQQPFLSNPNLVRDIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDGAAARNQATNPSTANSEATSPVPNTNPLPNPWSAPGTGGGQNNTRRSTTAGGDARQQPPTGLGGLGLPDLEGMMGGMPDAAMLTQLMQNPAISQMMQSILSNPQTMNQILGVNSEQRGMPDINSLREVMQNPEFLRLFSSPETLQQLLSFQQALLSQIGQQQSTQEPGQTGGGTAPLNNMGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGQ >Vigun02g114600.5.v1.2 pep primary_assembly:ASM411807v1:2:26838163:26841548:-1 gene:Vigun02g114600.v1.2 transcript:Vigun02g114600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAATTAESATSESNAAEVNINIRCSNGSKFSVQISLDSVVRSFKDVVAGSCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAPNNNTGGTNSGGANTTTANTTRGAGANEGGGLGGPGFGASLFPGLGGNNAMGGSGLNGLFGAGFPDLEQMQQPFLSNPNLVRDIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDGAAARNQATNPSTANSEATSPVPNTNPLPNPWSAPGTGGGQNNTRRSTTAGGDARQQPPTGLGGLGLPDLEGMMGGMPDAAMLTQLMQNPAISQMMQSILSNPQTMNQILGVNSEQRGMPDINSLREVMQNPEFLRLFSSPETLQQLLSFQQALLSQIGQQQSTQ >Vigun02g114600.7.v1.2 pep primary_assembly:ASM411807v1:2:26834363:26841548:-1 gene:Vigun02g114600.v1.2 transcript:Vigun02g114600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAATTAESATSESNAAEVNINIRCSNGSKFSVQISLDSVVRSFKDVVAGSCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFAPNNNTGGTNSGGANTTTANTTRGAGANEGGGLGGPGFGASLFPGLGGNNAMGGSGLNGLFGAGFPDLEQMQQPFLSNPNLVRDIMNTPAMQNLINNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNAGNDGAAARNQATNPSTANSEATSPVPNTNPLPNPWSAPGTGGGQNNTRRSTTAGGDARQQPPTGLGGLGLPDLEGMMGGMPDAAMLTQLMQNPAISQMMQSILSNPQTMNQILGVNSEQRGMPDINSLREVMQNPEFLRLFSSPETLQQLLSFQQALLSQIGQQQSTQEPGQTGGGTAPLNNMGLEMLSSMFGGLGAGSLAVPNRSNEPPEQLYATQLSQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNSGQ >Vigun10g046150.1.v1.2 pep primary_assembly:ASM411807v1:10:6780992:6783048:1 gene:Vigun10g046150.v1.2 transcript:Vigun10g046150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKERKEGERERKEKEKEKEKERRERKREERKEKEKENRKRKFRAKIQRDPSPLQILLVCSSINKKRNLLSESRSGYCWWIF >Vigun08g206100.1.v1.2 pep primary_assembly:ASM411807v1:8:36927315:36929382:-1 gene:Vigun08g206100.v1.2 transcript:Vigun08g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDSDATSQPQDSDSLSNPSPPSALVPAAPAVCLLRFATDSAGGALMGSVFGYGAGLFKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCILKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIMEGLNKQQPALAVPMSWKKRSVQYSARPPLALSLQLPLPEELKEAFSFFSESLKQRSKGSYPTSQ >Vigun02g171700.1.v1.2 pep primary_assembly:ASM411807v1:2:31442712:31443951:1 gene:Vigun02g171700.v1.2 transcript:Vigun02g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLQASTLFFAFATFILMFMGEQAMAAGIFRPSQWALAHATFYGDETASATMGGACGYGNLFQNGYGTDTAALSSTLFNNGYACGTCYQIKCYQSSACYKNVAFTTVTATNLCPPNWAQPSDNGGWCNPPRVHFDMAKPAFMKIAQWKAGIVPVMYRRVPCVRKGGIRFSFQGNGYWLLVYVMNVGGGGDISSMSVRGSRSGWINMSHNWGASYQAFATLGGQALSFRITSYSTRETIIAWNVAPANWNVGLTYSTTVNFR >Vigun10g165200.1.v1.2 pep primary_assembly:ASM411807v1:10:38421104:38427585:-1 gene:Vigun10g165200.v1.2 transcript:Vigun10g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASPSSKPSPMDPQNPAIPSPSHKNTASPSLPLPPEEQQQQQQLNVLSSRRNRDNTEGSASHNSELKTMSAESPSSIRKVVVHLRATGDAPILKQSKFKIAGTDKFVKVIDFLRRQLHRETMFVYVNSAFSPNPDELVIDLFNNFGFDGKLVVNYACSMAWG >Vigun10g176200.1.v1.2 pep primary_assembly:ASM411807v1:10:39475499:39484335:1 gene:Vigun10g176200.v1.2 transcript:Vigun10g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTSGVVGMNSVMDDMTLIQQSQRHNLVVRELGEEIDLEIGPGDDDPSFGNATLIGAPMRESSAEEHGESKQMGMVSQLSNDAQDMSKNQQVKRKKKVVKRWREEWADTYKWAFVDMKDGTPRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPVYVKAGSILEATLKRDPHEFEFIQAVQEAVQALERVIAKNSRYVNIMERLLEPERMIIFRVSWVDDRGETHVNRGFRVQFNQSMGPCRGGIRFHPSMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMSEMYRYLGPDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRPEATGYGLVFFAQIMLADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPISVSDSRGYLVDEDGFDYMKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFACASHNEIDQSDAINLVNSGCRILVEGSNMPCTPEAVQIFRKASVLIAPAMAAGAGGVVAGELELNHECSLMHWSPEDFESKLQEAMKQTYQRAIKAATDFGYQKESPEALVHGAVISAFLTIAQAMTDQGSI >Vigun07g124100.2.v1.2 pep primary_assembly:ASM411807v1:7:22945880:22948658:-1 gene:Vigun07g124100.v1.2 transcript:Vigun07g124100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFITAVAFLAVGVIFSPETLGSKSPTLSTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAVSVASFGYLHPWKTSSTTERYQLGFLLSSFAFNLTNLFVFTPMTIEMMKQRHKVERENSIGEEVGWSKNVEVAKSNPKLKAMNKKFGMIHGLSSLANIMSFGSLAVHSWYLAGKIDL >Vigun07g124100.3.v1.2 pep primary_assembly:ASM411807v1:7:22945880:22948652:-1 gene:Vigun07g124100.v1.2 transcript:Vigun07g124100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFITAVAFLAVGVIFSPETLGSKSPTLSTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAVSVASFGYLHPWKTSSTTERYQLGFLLSSFAFNLTNLFVFTPMTIEMMKQRHKVERENSIGEEVGWSKNVEVAKSNPKLKAMNKKFGMIHGLSSLANIMSFGSLAVHSWYLAGKIDL >Vigun06g213500.1.v1.2 pep primary_assembly:ASM411807v1:6:32527383:32532570:-1 gene:Vigun06g213500.v1.2 transcript:Vigun06g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPSRRFADEGSIPFVASIQSKSQNSPLLSIGLVIVGAILLIGYFYSSSGGASSDIKDFSKLEGGASCSSEVLQALPVLKKAYGDSMHKVLHVGPDSCLVVSSLLEEEDTEAWGIEPYELDDAGAKCKSLVRKGIVRVADIKFPLPYRAKSFSLVLVSDVLDYLSPKYLNKTLPELARVSADGVVIFAGYPGQQRTRGEEVAKFGRPAKLRSSSWWIRFFVQTGLDENETAGKKFEQASVKKAYKSACQVFHLKSFP >Vigun06g213500.2.v1.2 pep primary_assembly:ASM411807v1:6:32527383:32532686:-1 gene:Vigun06g213500.v1.2 transcript:Vigun06g213500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPSRRFADEGSIPFVASIQSKSQNSPLLSIGLVIVGAILLIGYFYSSSGGASSDIKDFSKLEGGASCSSEVLQALPVLKKAYGDSMHKVLHVGPDSCLVVSSLLEEEDTEAWGIEPYELDDAGAKCKSLVRKGIVRVADIKFPLPYRAKSFSLVLVSDVLDYLSPKYLNKTLPELARVSADGVVIFAGYPGQQRTRGEEVAKFGRPAKLRSSSWWIRFFVQTGLDENETAGKKFEQASVKKAYKSACQVFHLKSFP >Vigun06g213500.3.v1.2 pep primary_assembly:ASM411807v1:6:32527383:32532570:-1 gene:Vigun06g213500.v1.2 transcript:Vigun06g213500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPSRRFADEGSIPFVASIQSKSQNSPLLSIGLVIVGAILLIGYFYSSSGGASCSSEVLQALPVLKKAYGDSMHKVLHVGPDSCLVVSSLLEEEDTEAWGIEPYELDDAGAKCKSLVRKGIVRVADIKFPLPYRAKSFSLVLVSDVLDYLSPKYLNKTLPELARVSADGVVIFAGYPGQQRTRGEEVAKFGRPAKLRSSSWWIRFFVQTGLDENETAGKKFEQASVKKAYKSACQVFHLKSFP >Vigun05g113900.6.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12039197:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun05g113900.2.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12039196:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMEGPQYFDLNTGAKIPSVGLGTWKAAPGVVGEAVIAAVKAGYRHIDCARVYDNEKEVGEALKTLFSTGVVKRSEMFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun05g113900.4.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12039196:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMEGPQYFDLNTGAKIPSVGLGTWKAAPGVVGEAVIAAVKAGYRHIDCARVYDNEKEVGEALKTLFSTGVVKRSEMFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun05g113900.3.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12039197:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun05g113900.7.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12038646:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun05g113900.5.v1.2 pep primary_assembly:ASM411807v1:5:12036029:12038640:-1 gene:Vigun05g113900.v1.2 transcript:Vigun05g113900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMEGPQYFDLNTGAKIPSVGLGTWKAAPGVVGEAVIAAVKAGYRHIDCARVYDNEKEVGEALKTLFSTGVVKRSEMFITSKLWTSDCAPEDVSKALARTLEDLQLDYIDLYLMHWPFRTKPGSRGWDPEIMAPLCLPDTWNAMEGLFASGQARAIGVSNFSTKKLQDMLRYAKIPPAVNQVECHPVWQQHALHNLCMSTGVHLTAYSPLGSPGSWIKGEVLKEPLLIEIAEKLNKSPAQVSLRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPEFFLKLSLIHQQRLLRGDTAVHETRSPYKSLEELWDGEI >Vigun01g034400.1.v1.2 pep primary_assembly:ASM411807v1:1:4486734:4490022:1 gene:Vigun01g034400.v1.2 transcript:Vigun01g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSTVLASTLTPRLLGKVALVTGGASGIGESIVRLFHTQGAKVCIADVQDNLGKQLCESLGGEANVVFLHCDVTIEDDVSRAVDFTVEKFGTLDIIVNNAGISGSPCPDIRDTKLSEFDKVIDINLKGVFHGMKHAARIMIPQKKGSIVSLSSVASALGGIGIHSYTASKHAVVGLTKSVAAELGNHGIRVNCVSPYAVATGLALAHLPEDERTDDALASFRDFTGRMANLQGVELTAHDVANAVLFLASDEARYISGDNLMVDGGFTSVTHSLHVFR >Vigun01g034400.2.v1.2 pep primary_assembly:ASM411807v1:1:4486636:4490022:1 gene:Vigun01g034400.v1.2 transcript:Vigun01g034400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSTVLASTLTPRLLGKVALVTGGASGIGESIVRLFHTQGAKVCIADVQDNLGKQLCESLGGEANVVFLHCDVTIEDDVSRAVDFTVEKFGTLDIIVNNAGISGSPCPDIRDTKLSEFDKVIDINLKGVFHGMKHAARIMIPQKKGSIVSLSSVASALGGIGIHSYTASKHAVVGLTKSVAAELGNHGIRVNCVSPYAVATGLALAHLPEDERTDDALASFRDFTGRMANLQGVELTAHDVANAVLFLASDEARYISGDNLMVDGGFTSVTHSLHVFR >Vigun01g249200.2.v1.2 pep primary_assembly:ASM411807v1:1:41616356:41618055:1 gene:Vigun01g249200.v1.2 transcript:Vigun01g249200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSSTTSSHFVEELKNKPTDTNNNKNNVGGEPEQPPYSDSANSDVAEETEPEEDDDDSKLESDRELDIGPQITLKEQLEKDKDDESLRKWKEQLLGSVDMSVVGATKDPDVKILSLTITSPDRPDLILPIPFTNDPKKSLFILKEGNKCAMKFTFSVSNNIVSGLKYTNVIWKTGVRVASRKKMLGTFSPQKEPYTYALEEESTPCGMFVRGTYAARTKFVDDDQKCYLDVNYYFEIQKNWAKTE >Vigun01g249200.1.v1.2 pep primary_assembly:ASM411807v1:1:41614762:41618055:1 gene:Vigun01g249200.v1.2 transcript:Vigun01g249200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSSTTSSHFVEELKNKPTDTNNNKNNVGGEPEQPPYSDSANSDVAEETEPEEDDDDSKLESDRELDIGPQITLKEQLEKDKDDESLRKWKEQLLGSVDMSVVGATKDPDVKILSLTITSPDRPDLILPIPFTNDPKKSLFILKEGNKCAMKFTFSVSNNIVSGLKYTNVIWKTGVRVASRKKMLGTFSPQKEPYTYALEEESTPCGMFVRGTYAARTKFVDDDQKCYLDVNYYFEIQKNWAKTE >Vigun08g171200.2.v1.2 pep primary_assembly:ASM411807v1:8:34177158:34181197:-1 gene:Vigun08g171200.v1.2 transcript:Vigun08g171200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNRQVLRNADSTPSGDNIEGGADFSEAIRRLKINDNWDRDAAAQSTQYPDRPGEPDCLYYLRTGMCGYGSNCRYHHPAHISIRTGQPDCEYFLKTGTCKYGSTCKYHHPKDRRGAAPVSFNTLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQHASIGAYPLAGSPTSTIIPTSGLPYAGGFPAWSAVPRVSYLSGQGVQSYVPPFLSSSQGIIPVQSWNNYMGSMNPTMPTGYLGSNLVYDFMNLGESLFGGQAINSALPNRPDQPECRYFMSTGTCKYGSDCKFHHPKERISQSLINPLGLPVRPGQAVCSYYRIYGMCKFGPTCKFDHPVLTIPQNYGLTSPALALDAPLISNQRGLSSVQPPETSPSKLSSDNKLQHSDAKAATEDSSKQADTTPNSFPASSEPLHD >Vigun04g001350.1.v1.2 pep primary_assembly:ASM411807v1:4:135383:137986:-1 gene:Vigun04g001350.v1.2 transcript:Vigun04g001350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRVGGGLLMLRGGRSESFGGLREFTKWTTKMDFRLLTTMVLLTFTVDEAYLGNRVDGKINKNNVKNQQKTLKDRWCEVHDLFAGLSGFLWSESIRLFGTENEVNYGCDRVTRHGVITTCQERRRLQTHNYIVDLNSDMDYISKELAFEATLDNPPQSPPHVDSYSPTPNSSILSAGTSSSRGSKQKAFMIVVVETQF >Vigun06g073300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20409169:20409552:-1 gene:Vigun06g073300.v1.2 transcript:Vigun06g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTGCFFENTSLSWRKNTRRSCTNKHGRSCKFRIPWRHEFSPIEFLKVVADKVTKAICLRSVRKSSNRGSSSMGRSKPIGVSVDYYRTEAVEDCIEFIHTSFSRSNSVTATPAEDFSHTHLKNFES >Vigun05g201000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38819139:38821253:1 gene:Vigun05g201000.v1.2 transcript:Vigun05g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNSQTQFQDFLPVMANKLGGDGLIDELCNGFNLLKDSEKGVITFDSLKRNSALLGLQGLSDEDLRSMLVEGDFDGDGALNQLEFCVLMFRLSPELMEGSKLWLEQVLQQELKDYF >Vigun07g117800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21817679:21818273:1 gene:Vigun07g117800.v1.2 transcript:Vigun07g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHTSGESCVANELRRSAGEKLHQVDEAAATVAIAGDGAKQGRFVMYNQAERRRRRSHRVEEPIRTLMFLGSMNHT >Vigun04g140600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34879890:34884306:1 gene:Vigun04g140600.v1.2 transcript:Vigun04g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPPFTHQFPKLQTLFSSIRNSSGSSQNPVLVLELLGKALDQYPDIKALKNVHSKVFNLSFHENPSLGIKLMRAYAACGEPGLARKVFDGIPERNVIFYNVMIRSYVNNHWYNDALIVFRDMVGGGFSPDNYTYPCVLKACSCSDNARIGFQLHGAVFKVGFDLNLFVGNGLIALYGKCGCLLEARRVLDEMPSRDVVSWNSMVAGYVQNMRFDDALHICREMECLRHKPDAGTMASLLPAVTDTSSENISYVKQMFVNLEKKNLVSWNVMITVYMKNSMPEKAVDLYLQMEKCEVEPDAITCASVLPACGDLSALLLGRRIHEYVERKKLCPNLLLENSLIDMYARCGCLEDARRVFDRMKFRDVASWTSLISAYGMTGKGNNAVALFTEMLNSGQSPDSIAFVAIISACSHSGLYNEGKLYFKQMTDDYGITPRIEHFACLVDLLGRSGRVDEAYNFIKQMPMEPNERVWGSLLSSCRVYSNMDIGLVAADKLLQLAPEQSGYYVLLSNIYAKAGRWTEVTATRSLMKRRKIRKMPGISNVELNNQVHTFLAGDTSHPQSKEIYEELSMLVGKMKELGYVPETDSALHDVEEEDKECHLAVHSEKLAIVFAILNTRELPIRITKNLRVCGDCHIAIKLISKIVQREIVVRDTNRFHHFKDGTCSCCDYW >Vigun09g098200.1.v1.2 pep primary_assembly:ASM411807v1:9:15327926:15334086:1 gene:Vigun09g098200.v1.2 transcript:Vigun09g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFKVVEKDSDGSAKKPRESENDAENEENNKKKEPLKFMTWNANSFLLRVKNNWPDFTKLITTFDPDVIAIQEVRMPAAGAKGASKVPGEIKDDTNAAREEKKVLMRALSAPPFGNYHVWWSLADSKYAGTAVLVKKCLKPKSVVFNLDKLASKHEPDGRVILVEFETLRLLNTYVPNNGWKEEPNSFQRRRKWDKRILEFVTQNSDKPLIWCGDLNVSHEEIDVSHPEFFSTAKLNGYIPPNEEDCGQPGFTLAERRRFGTILREGKLVDAYRFLHKDKDMERGFSWSGNPVGRYRGKRMRIDYFLVSEKLKERIVACEIHGHGIELEGFYGSDHCPVTLELSPSSDPQNEDAI >Vigun01g216800.1.v1.2 pep primary_assembly:ASM411807v1:1:39047849:39052053:1 gene:Vigun01g216800.v1.2 transcript:Vigun01g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSLSPSSSSSYSSDGVAFDTEEPRTPLAVRRALHLLNSGEPHLCLQAARDIRRLTKTSQRCRRQLSQAVGPLVSMLRVDSPESQESALLALLNLAVKDEKNKINIVEAGALEPIVSFLKSQNVNLQESATASLLTLSASSTNKPIISACGAIPLLVEVLRDGSPQAKADAVMALSNLSTHPTNLSTILETNPIPFIVDLLKTCKKSSKTAEKCCALIESLVDYDEGRTALTSEEGGVLAVVEVLESGTLQSREHAVGALLTMCQSDRCKYREPILREGVIPGLLELTVQGTPKSQSKARTLLQLLRESPYPRSEIQPDTLENIVCNIISQIDGDDQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPSDLPIAGCASEVSSK >Vigun05g092000.1.v1.2 pep primary_assembly:ASM411807v1:5:8911988:8913455:-1 gene:Vigun05g092000.v1.2 transcript:Vigun05g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMSEKPLQPVPPQVSDTTFCPSSKRLEGKIAIVTGGARGIGEATVRVFVKHGAKVVIADVEDAAGAMLAETLSPSATYVHCDVSLEKEVENLVSFTVSRYGHLDIMFNNAGVLGNQSKNKSIVNFDPDEFNRVMCVNVKGVALGMKHAARVMIPRGVGCIISTCSVAGVMGGLGPHAYTASKHAIVGLTKNTSCELGKYGIRVNCISPFGVATSMLVNAWRSCEDGEGINFGVPLPEEVEKMEEFVRSLANLRGTTLRAVDIAEAALYLASDESKYVSGHNLVVDGGVTSSRNCIGL >Vigun03g170900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20461945:20468187:1 gene:Vigun03g170900.v1.2 transcript:Vigun03g170900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMSATTCYSMIRFSGALRRTFVSPYPKRKCAKRVLRKFSICASPFTSTVDPIIVHSSQFQVLASNLSAANVPQRSEEWFALRKDKLTTSTFSTALGFWKGSRRGELWHEKVFASEAQIMEVSKNSAMEWGTLNEAVAIEQYKKITGNEVSSMGFAVHSKQSYDWLGASPDGVLGCAPQVGLLEVKCPYNKGKPEAGLPWSSMPFYYMPQVQGQMEIMDCEWVDLYCWMPNGSTIFRVLRQREYWNLIHEILREFWWENVVPAREVLLLGREEEEVKSYKPASTHKKTGLAIAKSIKLASETKLLCRELAGHIEFFS >Vigun03g170900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20461923:20468276:1 gene:Vigun03g170900.v1.2 transcript:Vigun03g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMSATTCYSMIRFSGALRRTFVSPYPKRKCAKRVLRKFSICASPFTSTVDPIIVHSSQFQVLASNLSAANVPQRSEEWFALRKDKLTTSTFSTALGFWKGSRRGELWHEKVFASEAQIMEVSKNSAMEWGTLNEAVAIEQYKKITGNEVSSMGFAVHSKQSYDWLGASPDGVLGCAPQVGLLEVKCPYNKGKPEAGLPWSSMPFYYMPQVQGQMEIMDCEWVDLYCWMPNGSTIFRVLRQREYWNLIHEILREFWWENVVPAREVLLLGREEEEVKSYKPASTHKKTGLAIAKSIKLASETKLLCRELAGHIEFFS >Vigun03g170900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20461830:20464664:1 gene:Vigun03g170900.v1.2 transcript:Vigun03g170900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMSATTCYSMIRFSGALRRTFVSPYPKRKCAKRVLRKFSICASPFTSTVDPIIVHSSQFQVLASNLSAANVPQRSEEWFALRKDKLTTSTFSTALGFWKGSRRGELWHEKVFASEAQIMEVSKNSAMEWGTLNEAVAIEQYKKITGNEVSSMGFAVHSKQSYDWLGASPDGVLGCAPQVGLLEVKCPYNKGKPEAGLPWSSMPFYYMPQVQGQMEIMDCEWVDLYCWMPNGSTIFRVLRQREYWNLIHEILREFWWENVVPAREVLLLGREEEEVKSYKPASTHKKTGLAIAKSIKLASETKLLCRELAGHIEFFS >Vigun03g170900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20461892:20468212:1 gene:Vigun03g170900.v1.2 transcript:Vigun03g170900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTMSATTCYSMIRFSGALRRTFVSPYPKRKCAKRVLRKFSICASPFTSTVDPIIVHSSQFQVLASNLSAANVPQRSEEWFALRKDKLTTSTFSTALGFWKGSRRGELWHEKVFASEAQIMEVSKNSAMEWGTLNEAVAIEQYKKITGNEVSSMGFAVHSKQSYDWLGASPDGVLGCAPQVGLLEVKCPYNKGKPEAGLPWSSMPFYYMPQVQGQMEIMDCEWVDLYCWMPNGSTIFRVLRQREYWNLIHEILREFWWENVVPAREVLLLGREEEEVKSYKPASTHKKTGLAIAKSIKLASETKLLCRELAGHIEFFS >Vigun05g250100.2.v1.2 pep primary_assembly:ASM411807v1:5:44386194:44389271:-1 gene:Vigun05g250100.v1.2 transcript:Vigun05g250100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILFRVDSICHKYDKYDIDKQREQNAYGDDAFARLYAAVESSIQTALNKSEAASTESNRAVSAALNAEVRRTKGRLMDEIPRLRKLVNKKVKGLTKEDMAVRQDLVLALPERIQAIPDGISGASIQTAAWGADSTQPYVKFDSEGQLDDEYFQQSEESSQFRQEYEMRRKKQDEGLDIISEGLDTLKDLAQDMNEELDRQVPLMDEIDKKVDKTTNELRNTNVKLKKTLNDIRSSRNFIIDIILLCVLLGIVMYLYNALR >Vigun05g250100.1.v1.2 pep primary_assembly:ASM411807v1:5:44386194:44389271:-1 gene:Vigun05g250100.v1.2 transcript:Vigun05g250100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILFRVDSICHKYDKYDIDKQREQNAYGDDAFARLYAAVESSIQTALNVPFSSLPFSFSSSSLCFSSKFTLFASMQKSEAASTESNRAVSAALNAEVRRTKGRLMDEIPRLRKLVNKKVKGLTKEDMAVRQDLVLALPERIQAIPDGISGASIQTAAWGADSTQPYVKFDSEGQLDDEYFQQSEESSQFRQEYEMRRKKQDEGLDIISEGLDTLKDLAQDMNEELDRQVPLMDEIDKKVDKTTNELRNTNVKLKKTLNDIRSSRNFIIDIILLCVLLGIVMYLYNALR >Vigun06g011400.1.v1.2 pep primary_assembly:ASM411807v1:6:5207548:5213929:1 gene:Vigun06g011400.v1.2 transcript:Vigun06g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLYTCLLSLSLLALLPCSKASQAEKLLELILSRTSQKPPVTLSEAEEDALETHSSAYVAPQEGLSQADKILQLPGQPYGVNFDQYSGYVTVDPVAGRALFYYFVESPYNPSTKPLVLWLNGGPGCSSLGYGAFEELGPFRINSDGKTLYRNKYAWNEVANVLFLESPAGVGFSYSNTTSDYDDAGDKSTAKDAYVFLINWLERFPQYKTHDLYITGESYAGHYVPQLAYTILLNNKYAPQTINLKAIAIGNAWIDDVTSLKGIFDYLWAHALSSDQTHELIEKYCDFSEKVSRICANASRTAFFDERGKIDFSNIYAPRCHDSSLKNASTGFVTDFDPCSDNYGEAYLNRPEVQLALHAKPTNWTHCSEVLNYKDRSTTILPVIRYLIDSDIGLWVYSGDIDSVVPVTSSRYSINTLKLPIQVPWYPWYSGNEVGGYVVQYKGATFVTVRGAGHLVPSWQPARALTLISSFLSGILPPTSPWQPF >Vigun02g125000.1.v1.2 pep primary_assembly:ASM411807v1:2:27692551:27699441:1 gene:Vigun02g125000.v1.2 transcript:Vigun02g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPLQPQPEAAAAAAANTARGLEDSRPVGATVAPAAGCADVAEKDVSSSVPNSEVTVVEGAVLKRKRGRPAKGAPKVVPVVRQKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPTCIKRDEAFFRSKAKWNCGWHICSACQKASHYMCYTCTYSLCKGCTKDADFVCIRENKGLCGICMRTIMLIENSVQGNKEMCEVDFDDKSSWEYLFKVYWMYLKGKLSLTFDELLRAKNPWKAVAPMSYKVQSPHELYHLRDDKGSGSENSCIDIESNNLKNKRPKRQPKLLGKGDCLDRMGSGGDRVMPLPECTKWASNELLEFVSHMKNGDTSLLSQFDVQTLLLEYVKKNNLRDPQQISEIVCDSRLLNLFGKSRVSQIEMLKLLESHFLLKHNGPAENTFGAGIINTVAGEGEAIDPHNKQLMLVNDKRSKTHKNADVLVPQNNPNAYAAIDVHNLNLIYLRRCLMENLTEDIEKIHEKVVGSFVRIRISSNDQKQDMYRLVQVVGTSKVAEPYKIGTRTTDIKLEILNLNRKEVISIAEISNQEFSEDECKRLRQSIKYGLSKRLTVGEILNKALTLQAIRVNDLLEAEILRLNHLRDRASEKGHRKELKEYEEKLQLLNSPEEQQRRLQEIPDIHSDPNLDSMFESDEDDGESDERKQDSNIFSKYLGFDRRERGSFFPRISNNVFNDAGGKTQDLPVTREHVGNTCTVKNCDTAIEDSTTTVVKSEVSSVAVDISPSLIPVEIQQPLNEFLNDRSWNYQDPTGKIQGPFSMLQLYKWNVSGGFPPDLKIWRIGEKQDNSILLTDALSGKCSKNVSLPFNNPLLSLGVSVTLDNKDNSQDAGKNVVKNGISADGQIIEQSKEQKVYDTSTQSDGKAEPVRSNGWLGPLHLYPSPLTTAITEKMNEASSDKLRKGYGIVRNSQDNGNNGSNRTVDGQSNSGQSYQKPSDSEENSGQSSAQTWRHPNVNSSSDCLVTTSAHVSGTKTSPHKLGFDLHNSPSPPACNTSSGQTWRPPHVNSPSDCMVTTSAHASGTKTSPHKLGFDLHNPPSPPACNTSSGQTWSHPNVNSSSDCLVNTPAHVSGTKTSPHKLGFDLHNPPSPPACNTSSGQTWRHFDINISSNCLVTSSNHVSGVKTSPPHKLGFDLHNPPSPPTCNTSTWQAIIGEPNDFDESVSDLLAEVEAMESLGGLESPTSIMKCGDDLTEGSKNDCLSFVADLGPMLDAGKGDALSSTGDLNLPSQPTAAEEPLRQADVHHHHQRISAEHSSRSSKVEVGTKTASVSGNQWDSGSENSPVVPSPATLGLGIDTTWRLGLDSTPLGWSGIDQGNTNVGWGVGQTAVQETRSSNSYTSVTPGFGDNQTRYGSDRFSVPRDRGSQGHARESGFGRSRIAFNRQPSYGVGNGGSYRPLPKGQRVCKFYESGYCKKGASCDYWHP >Vigun03g432600.1.v1.2 pep primary_assembly:ASM411807v1:3:63675544:63683087:1 gene:Vigun03g432600.v1.2 transcript:Vigun03g432600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTWTSALNITLLLLLVAAIVVACLTLPVDKILKDLLVWIDSNLGPWGPLALIAVYIPLTILAVPASVLTLGGGYLFGLPIGFIADSIGSTVGAVAAFLVGRTIGKSLVVSRLKDYPQFKLVTIAIQKSGFKISILLRLAPFVPFSLLNYFLSVTPVPLGEYTLTSWLGMMPLTLALVYVGTTLKDISEVTRGWGEFSKTHLPWIIAGLLISVVLMIWVTKVAKSALDKALAECEDTDDNASSPELPIVTEPSVDLNQPLINKTDQNEEKHEN >Vigun03g346500.1.v1.2 pep primary_assembly:ASM411807v1:3:54570866:54571346:1 gene:Vigun03g346500.v1.2 transcript:Vigun03g346500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGVQQVKQLREIFGRFDMDSDGSLTMLELAALLRALGLKPSGDQVQALLANMDSNGNGKVEFEELVRAILDDINEQVLVNQEELLGVQLAGAMAKMGQPLTYRELTEMIREADTDGDGVISFTEFASIMARSASDFLGLSFR >Vigun10g126000.1.v1.2 pep primary_assembly:ASM411807v1:10:33411590:33415149:1 gene:Vigun10g126000.v1.2 transcript:Vigun10g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSMEFQGFATVDEVMALLQQEWTQEIPLHNGFPIQTPPMLTPKIEVSALTQKKKKNSCRRWRGEEHRLFLSGLEKYGRGDWKNISRMVKTRSATQVASHAQKYFIHLASDKRSKRRSIHDVT >Vigun08g110900.1.v1.2 pep primary_assembly:ASM411807v1:8:27540009:27543684:1 gene:Vigun08g110900.v1.2 transcript:Vigun08g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSTAVTATLKLHEHSRKYSPSSYPTEKGQSISFQKSQRFTNLDFREALSLTREGTQEVEQSFYFSLLQQCKDECSYSDTQIVHGHAMKTGAHEDVYVMSFLVEVYVKCGNMDDARKLFDNMPRRNVFAWTTLIAGYAQNSLPKHGILVFHEMLHAGSYPSAYTLAVVLNACASLYSLKLGDQFHAYIIKYHVEFDTNVGNALCSLYSKCGKLEYALKAFRRIREKNVVSWTSAVSACGDNGAAVKGLKLFLEMISENIEPNEFTLTSVLSQCSGIQSLQFGTQVRTLCVKFGYESNLHVRNSLLSLYIKNGCIGEAQRLFNGMDDVNLVTWNAMIAGHAQMMELTKDNLSSRENGSEALKFFSKLNRSGIKPDLYTFSSVLTICSRIMALEQGEQIHAQIMKAGFLSDVIVGSSLVNMYNKCGSIERATKAFLEMSTRTMILWTSMITGFSQHGMSQQALHGFEDMRLTRVKPNAVTFVGVLAACSHAGMLNQALNYFEIMQKKYRITPVMDHYEIMVDMFVRLGKLEQALNFIKKMNCVPTESIWSIFIAGCRNHGNLELGFYAAEQLLSLKPKDTETYVLLLNMYNSAGRFEDVSRVRKMMKEEKVGKLKDWSWISIKNKVYSFETTDKTHPESSVIGKSLEDLVAKAKSVGYEMLESVEILDEEEEEKTFSPTIYHSEKLAITFGLENLPSSCPVRVVKSTLLCRDSHNFIKYVSTLSGREIIVRDSKRLHKFVNGKCSCGNFCGFL >Vigun03g153900.2.v1.2 pep primary_assembly:ASM411807v1:3:16318290:16321095:-1 gene:Vigun03g153900.v1.2 transcript:Vigun03g153900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKKEKRLRAIRRELVEPFYDKKEAAKLAAQEAALAAPKLEVPSKSKNTMDVTTSTSNTNMDVEMADGSQTMASLKPVGGIGKKMKKKLKIAKNKRRGKGKIGKRKI >Vigun03g153900.1.v1.2 pep primary_assembly:ASM411807v1:3:16318290:16321095:-1 gene:Vigun03g153900.v1.2 transcript:Vigun03g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKKEKRLRAIRRELVEPFYDKKEAAKLAAQEAALAAPKLEVPSKSKNTMDVTTSTSNTNMDVEMADGSQTMASLKPVGGIGKKMKKKLKIAKNKRRGKGKIGKRKI >Vigun10g191200.1.v1.2 pep primary_assembly:ASM411807v1:10:40547918:40550197:-1 gene:Vigun10g191200.v1.2 transcript:Vigun10g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGKPSVKRRGKNHTPPANGVKVANGAMVKTASSTHFSDASFMKWTVADAVHVATHHWMPCLFALGLLFFMGVEYTLFMVPPTSPPFDLGFIATRHLHAILESWPDLNTLLAALNTVFVGMQTTYILWTWLIEGRPRATISTLFMFTCRGILGYSTQLPLPQEFLGSGADFPVGNVSFFLFFSGHVAGSVIASLDMRRMQRKELAWTFDVLNVLQAVRLLGTRGHYTIDLAVGVGAGLLFDTLAGKYEDSKRKVALTTKHDFS >Vigun05g219100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41092437:41092718:-1 gene:Vigun05g219100.v1.2 transcript:Vigun05g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMAATVAVAQGHTDPGYKCKTALNSIHHNRSRLFSGGALSDLRPLSGVVGSDGAGAVTGSPDVEKRVTQADESLRKVMYLSCWGQG >Vigun03g310700.1.v1.2 pep primary_assembly:ASM411807v1:3:50304463:50308596:-1 gene:Vigun03g310700.v1.2 transcript:Vigun03g310700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAIDIYFPSTCIQQEVLEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTVVSSLLEKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKYGNSDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPSGGAGAVAMLIGPDAPIAFETKLRGSHMAHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYNLLSHKYEKLVGKQFSISDAEYFVFHSPYNKLVQKSFARLVFNDFLKNPSSVDAIGKEKLEPFATLSGDESYQSRDLEKASQQVAKPQFDAKVQPTTLIPKQVGNMYTASLYAAFISLIHNKHSTLDSKRVILFSYGSGLTSTMFSLQLREGQFPFNLSNIVKVMDVAGKLKSRHEFSPEKFVETMKLMERRYGAKEFVTSKDTSLLSAGTFYLTEVDSMYRRFYAKKSSENDLMSNGH >Vigun08g170900.1.v1.2 pep primary_assembly:ASM411807v1:8:34142239:34145749:-1 gene:Vigun08g170900.v1.2 transcript:Vigun08g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRSSVFSAPNLKRKYLNSRAAIEDTFFSTKDTFERHRVVFTVGTSIASVATAWLGYTLRHLHDTKVDQRLQSIENAMKSNSNLHHTEIKDIVAGSGGCSIPACVATAGTSLIIGYALGWRGGSWYATKKFRKEQMKMLGQITPRRWQLLGKIKPKGLQFQFLRRNLTKFKLSDSAMKTSESSIKDAATTHVTGKSN >Vigun01g111000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28464283:28465150:1 gene:Vigun01g111000.v1.2 transcript:Vigun01g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTYFLMLISSVFYVFSDARLALEELTAQHHLLFPYSSLSFTVNHHEYPILL >Vigun01g167700.1.v1.2 pep primary_assembly:ASM411807v1:1:34941672:34945297:1 gene:Vigun01g167700.v1.2 transcript:Vigun01g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVVIYLLFSFSAVALLFALFLAGTYDFKHYASLSPPSLNHGSENDKVWPELKVGWRVALATVIGFLGSAFGTVGGIGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASTASVWYNLRVPHPTKEVPIIDYDLGLIFQPMLMLGITVGVALSVVFPYWLITVLIIILFVGTSSRSFFKGTQMWREETLIKKEMARQKATFVSSHGELLIDTEYEQLIPKEEKSPMQIFCFNLKWKRILVLMVVWVSFLLLQVIKNTVEVCSEWYWVLFSLQFPIALLVFGYEAAKLYRDHKERMSTGNMESICEASIEWTVLHILFCALCGILGGTVGGLLGSGGGFILGPLLIEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLTAVSVLAGFWGQYFVRKLMEILKRASIIVFILSGVIFASALTMGVVGIDKSVKMIQEHEFMGFLDFCSSQ >Vigun01g167700.3.v1.2 pep primary_assembly:ASM411807v1:1:34941671:34943906:1 gene:Vigun01g167700.v1.2 transcript:Vigun01g167700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVVIYLLFSFSAVALLFALFLAGTYDFKHYASLSPPSLNHGSENDKVWPELKVGWRVALATVIGFLGSAFGTVGGIGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASTASVWYNLRVPHPTKEVPIIDYDLGLIFQPMLMLGITVGVALSVVFPYWLITVLIIILFVGTSSRSFFKGTQMWREETLIKKEMARQKATFVSSHGELLIDTEYEQLIPKEEKSPMQIFCFNLKWKRILVLMVVWVSFLLLQVIKNTVEVCSEWYWVLFSLQFPIALLVFGYEAAKLYRDHKERMSTGNMESICEASIEWTVLHILFCALCGILGGTVGGLLGSGGGFILGPLLIEIGVIPQVSCICKRRFD >Vigun01g167700.2.v1.2 pep primary_assembly:ASM411807v1:1:34941672:34945297:1 gene:Vigun01g167700.v1.2 transcript:Vigun01g167700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVVIYLLFSFSAVALLFALFLAGTYDFKHYASLSPPSLNHGSENDKELKVGWRVALATVIGFLGSAFGTVGGIGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASTASVWYNLRVPHPTKEVPIIDYDLGLIFQPMLMLGITVGVALSVVFPYWLITVLIIILFVGTSSRSFFKGTQMWREETLIKKEMARQKATFVSSHGELLIDTEYEQLIPKEEKSPMQIFCFNLKWKRILVLMVVWVSFLLLQVIKNTVEVCSEWYWVLFSLQFPIALLVFGYEAAKLYRDHKERMSTGNMESICEASIEWTVLHILFCALCGILGGTVGGLLGSGGGFILGPLLIEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLTAVSVLAGFWGQYFVRKLMEILKRASIIVFILSGVIFASALTMGVVGIDKSVKMIQEHEFMGFLDFCSSQ >Vigun07g116800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21600320:21601257:-1 gene:Vigun07g116800.v1.2 transcript:Vigun07g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRELASKKAAVIFTKSSCYMCHSITQLFYELGASPAVHELDKDAYGREMEWALRSMGCNPSVPAVFIGGKFVGSSKDVISLHVDGSLKQMLMAAKAIWF >Vigun02g056900.2.v1.2 pep primary_assembly:ASM411807v1:2:20078302:20080805:1 gene:Vigun02g056900.v1.2 transcript:Vigun02g056900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGDLLSQTRKLVKGLAKAQPVWLKAMEQAPPATFPRVAEKIPTITLPEDAYVKKFYKKYPESKSHDPIRFHAFDPPPSRIFALRVLELKEHGVSEERAMAIADMEYLTEKKAKKKAYSRLKEIARIQGKRPPPNPYPSAIKEIQAEERKYVRDRFFNPKMLEIVKQQKAEAMERFNARAGGDW >Vigun02g056900.1.v1.2 pep primary_assembly:ASM411807v1:2:20078302:20080805:1 gene:Vigun02g056900.v1.2 transcript:Vigun02g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLIIMLGMLNSSLLLCTVLLIIFGIQRIFFSRAPPATFPRVAEKIPTITLPEDAYVKKFYKKYPESKSHDPIRFHAFDPPPSRIFALRVLELKEHGVSEERAMAIADMEYLTEKKAKKKAYSRLKEIARIQGKRPPPNPYPSAIKEIQAEERKYVRDRFFNPKMLEIVKQQKAEAMERFNARAGGDW >Vigun11g192900.1.v1.2 pep primary_assembly:ASM411807v1:11:39231478:39236470:-1 gene:Vigun11g192900.v1.2 transcript:Vigun11g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKNLNISLCVLLIALVRLGGSAPSSFNYGDALDKSLMFFEAQRSGKLPLQQRVKWRGDSGLQDGFQQGVDLVGGYYDAGDHVKFGLPMAYSVTMLAWGAIEFSKEITNLNQMGHALWAIKWGTDYFVKAHTQPNVLWGQVGDGVSDHYCWERAEDMTTSRGAYKIDEQHPGSDLAGETAAALAAAALAFRPYNSSYSGLLLVHAKQLFTFADRFRGLYDDSISSAQQFYTSSGYSDELLWAATWLHLATGDEYYLKYVVDNGVYMGGTGWAMKEFSWDNKYAGVQILLTKILLEGKAGPYADTLKQYQAKADYFSCACLQKNDGYNVQKTPGGLLYVREWNNMQYVSSATFLLAVYSNYLSATKANLNCPDGQTQPQELLNFVKSQADYILGNNPADTSYLVGYGAKYPLHVHHRGASIASIFSLHSEVGCTQGFELWYNRAESNPNVICGALVGGPDKNDGFSDDRSNYEQTEPTLSGSAPLVGIFAKLQSLYGNIGEGYNHNESPVTQQKTQSYNQDESQVPQQKTPSTYAGKETASKTSEGCPVQFLHSISSSWTVGGATYYRHRVIIKNTSSKPISDLKLVVKDLTGSLWGLSSTEEKDTYELPQWQKVLNPGSECIFVYVQGGPQAKVSIKSFQ >Vigun11g192900.3.v1.2 pep primary_assembly:ASM411807v1:11:39231478:39235703:-1 gene:Vigun11g192900.v1.2 transcript:Vigun11g192900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRDKFQVGDGVSDHYCWERAEDMTTSRGAYKIDEQHPGSDLAGETAAALAAAALAFRPYNSSYSGLLLVHAKQLFTFADRFRGLYDDSISSAQQFYTSSGYSDELLWAATWLHLATGDEYYLKYVVDNGVYMGGTGWAMKEFSWDNKYAGVQILLTKILLEGKAGPYADTLKQYQAKADYFSCACLQKNDGYNVQKTPGGLLYVREWNNMQYVSSATFLLAVYSNYLSATKANLNCPDGQTQPQELLNFVKSQADYILGNNPADTSYLVGYGAKYPLHVHHRGASIASIFSLHSEVGCTQGFELWYNRAESNPNVICGALVGGPDKNDGFSDDRSNYEQTEPTLSGSAPLVGIFAKLQSLYGNIGEGYNHNESPVTQQKTQSYNQDESQVPQQKTPSTYAGKETASKTSEGCPVQFLHSISSSWTVGGATYYRHRVIIKNTSSKPISDLKLVVKDLTGSLWGLSSTEEKDTYELPQWQKVLNPGSECIFVYVQGGPQAKVSIKSFQ >Vigun05g061500.1.v1.2 pep primary_assembly:ASM411807v1:5:5301856:5311717:1 gene:Vigun05g061500.v1.2 transcript:Vigun05g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVVGFMILMYIFETYLDMRQHKALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFIHEFVTIVTDSTILYFGVLPWFWKKSGEYVTTVGFNAENEILHTLAFLAGLMIWSQITDLPFSLYSTFVIEARHGFNKQTPWLFFRDMIKGIFLAVIIGPPIVSAIIVIVQKGGPYLAIYLWAFTFGLSIVMMTLYPVLIAPLFNKFTPLPDGQLREKIEKLASTLNFPLRKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTVYTFVAMQILTLLQFGGYTLVRNSTDLFRSFGFDTEPVLIGLILFQHTVIPLQQLVSFGLNLVSRSFEFQADAFAKKLGYASELRSGLVKLQEENLSAMNTDPWFSAYHYSHPPLVERLAALDDSDKKKD >VigunL059529.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000244.1:46161:46520:1 gene:VigunL059529.v1.2 transcript:VigunL059529.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g268800.1.v1.2 pep primary_assembly:ASM411807v1:9:43152841:43154302:1 gene:Vigun09g268800.v1.2 transcript:Vigun09g268800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHCDVCDKMEATVFCPADEAALCHACDRTIHHANKLATKHPRFSLIFPTSKDFPLCDICQEKRAYLFCQEDRALFCRECDLPIHRANEHTQKHNRFLLTGVKLSANSLDSASSSTNCTDTLTEGKNARSRINTPRSISNENLSSSCKAEDNVGSDTGSVSTSSISEYLIETIPGYCFEELLDGSFPPNNGFCKKQNHGCAFQEQDLVQVRTCLVGWSLGNSKWGVLWLCCAVS >Vigun09g268800.2.v1.2 pep primary_assembly:ASM411807v1:9:43152841:43154302:1 gene:Vigun09g268800.v1.2 transcript:Vigun09g268800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHCDVCDKMEATVFCPADEAALCHACDRTIHHANKLATKHPRFSLIFPTSKDFPLCDICQEKRAYLFCQEDRALFCRECDLPIHRANEHTQKHNRFLLTGVKLSANSLDSASSSTNCTDTLTEGKNARSRINTPRSISNENLSSSCKAEDNVGSDTGSVSTSSISEYLIETIPGYCFEELLDGSFPPNNGF >Vigun06g143600.1.v1.2 pep primary_assembly:ASM411807v1:6:26927903:26930282:-1 gene:Vigun06g143600.v1.2 transcript:Vigun06g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDASYMNLLPSQSLTNREIIKSNQQQYHQLNCDYICNTQMDSALPLPAAAAAMPESLLSFYQSSFCDPNKADSGLTYNLPLQRKRSRDFTTELTSLPPHHKNKISPQPSFLTQDILYQFHHHQSEIDRVLAHHTEKVRMELEEQKMRQSRILASAIQEAMAKKLKEKDEEIQRMGKLNWALQERVKNLCVENQIWRDLAQTNETTANYLRTNLEQVLAHAGEERAAVADDAQSSCGSNDAAEGCEDTAASGGGARLCRSCGVRESVVLLLPCRHLCLCTMCGSTVRNCPICDSGMDASVHVNLS >Vigun06g172700.1.v1.2 pep primary_assembly:ASM411807v1:6:29354128:29356372:1 gene:Vigun06g172700.v1.2 transcript:Vigun06g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGQMQRQWIDYTKSLFLEGFLDGQFLQLQQLQDENNPDFVVEVVSLFFEDSERLLKDLTFALDQNGVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNTDACLRCLQQVKQEYCLVKNKLETLFRLEQQIVAAGGSIPTELSFSG >Vigun06g172700.2.v1.2 pep primary_assembly:ASM411807v1:6:29354488:29356372:1 gene:Vigun06g172700.v1.2 transcript:Vigun06g172700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGQMQRQWIDYTKSLFLEGFLDGQFLQLQQLQDENNPDFVVEVVSLFFEDSERLLKDLTFALDQNGVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNTDACLRCLQQVKQEYCLVKNKLETLFRLEQQIVAAGGSIPTELSFSG >Vigun06g031700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13761328:13764930:-1 gene:Vigun06g031700.v1.2 transcript:Vigun06g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKLLPLLIACKNSKSLKQGKLIHQKVVTLGLQNDIYLCKNLINLYLSCHLYDHARCVLNTMKNPCEISLWNGLMAGYTKNYMYVEALDLFEKLLHYPYLKPDSYTYPSVIKACGGLCRLLLGKMIHTCLVKTGLMMDVVVGSSLVGMYAKCNAFEKAIWLFNEMPGKDVACWNTVISCYYQSGNFKEALRYFSLMRKFGFEPDSVTFTTAISSCARLLDLNRGMEIHKELINSGSLLDSFIGSSLVDMYGRCGHLEKALEVFEQMPKKTVVAWNSLISGYGLRGDSISCIRLLKRMYNEGVKPTLTTLCSLIMVCSRSARLLEGKFVHGYIIRNRIQPDIFISSSLMDLYFKCGRVGLAENIFESIPKSKAVYWNVMISGYVTEGKLFEALALFSEMRKSYVEPDAITCTSVLAACSQLAALDKGKEIHNLIMEKKLDNNEVVMGALLDMYAKCGAVDEAFSLFKCLPKRDLVLWTSMITAYGSHGQAYEALELFTEMLQSNVKPDRVSFLAILSACGHAGLVDEGCHYFNQMMNVYGIKPGVEHYSCLIDLLGRAGRLHEAYEILHRNPQIRDDVGLLSTLFSACRLHKNIDLGVEIARILIDKDPDDSSTYILLSNMYASTHKWDEVRKVRSKMKELGLKKNPGCSWIEINQKILPFFVEDNSHVHLELVNKWLSYLTAHMDDESKPFIYHFDVENVFIQMII >Vigun05g024600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2028664:2029486:1 gene:Vigun05g024600.v1.2 transcript:Vigun05g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRSESGEGGFRNLCSPIPYLFGGLALMLAVIAVALLIVACSYRKHYYASNSASDEEKPPKMEEKKIDVSEPNTVVIMAGESKPTYLANPVPSTTHNLVDSSPK >Vigun06g098400.1.v1.2 pep primary_assembly:ASM411807v1:6:22917852:22919324:1 gene:Vigun06g098400.v1.2 transcript:Vigun06g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVAIVEVTYLQKREMEGIEHREVEVNGMKMHIAEKGEGPVVLFLHGFPQLWHSWHHHILALSSVGYRAIAPDLRGFGDSDAPSSVSSYTCFHIVSDLVALIELLGVDQVFLVAHDWGAIIGWYLCMFRPDTVKAYVCLSEPLLHRDPNVRTVDAMHAMYGDDYYICRFQKPGEMEAQMAEVGTEYVLKNLLTTRKPGPPIFPKGEYGSGFNPDMTNSLPSWLPEHDLAYYVSKYQKTGFTGALNYYRNMNLNWELTAPWSGVKVQVPVKFITGELDMVYTSRNVKEYIHGGGFKEDVPNLEEVIVQKDVAHFNNLEAAEEINRHIVDFISKF >Vigun05g143700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19335246:19336080:1 gene:Vigun05g143700.v1.2 transcript:Vigun05g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTFFSLFLLCAFTWNLPSASADVVDTDGNPLENSGTYFVRPVIITGNGGGVEFAATGNETCPLSVIQVSSPFANGIPILILSPEEKLYIEEGSLVDIGFSFVPLCSPYPSKWTAVKGLGDKLSIKLNGYDNIVAGKFTIKGRPPIIGGYRILFCPDDESSCGYVGIQFDDKRNRHLVVTQSQESALWIRFQRTAPALPATATASA >Vigun04g088000.2.v1.2 pep primary_assembly:ASM411807v1:4:15285960:15290488:1 gene:Vigun04g088000.v1.2 transcript:Vigun04g088000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALEAQVLQEEQSLPHNNNFFNGLNDVTHRSKVTVIGSGNWGSVAAKLIASNTLRLASFHDEVRMWVYEETLPSGEKLTDVINRTNENVKYLPGIKLGQNVVADPDLENAVRDSNMLVFVTPHQFVEGICKRLVGKIREDAEAISLVKGMEVKMEGPCMISTLISQQLGINCSVLMGANIANEIAVEKFSEATVGYRNNREVAERWVQLFYTPYFIVTAAQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRLGLREMKAFSKLLFPSVKDSTFFESCGVADLITTCCKEAKLISPYFSLLVL >Vigun04g088000.1.v1.2 pep primary_assembly:ASM411807v1:4:15285960:15290488:1 gene:Vigun04g088000.v1.2 transcript:Vigun04g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALEAQVLQEEQSLPHNNNFFNGLNDVTHRSKVTVIGSGNWGSVAAKLIASNTLRLASFHDEVRMWVYEETLPSGEKLTDVINRTNENVKYLPGIKLGQNVVADPDLENAVRDSNMLVFVTPHQFVEGICKRLVGKIREDAEAISLVKGMEVKMEGPCMISTLISQQLGINCSVLMGANIANEIAVEKFSEATVGYRNNREVAERWVQLFYTPYFIVTAAQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRLGLREMKAFSKLLFPSVKDSTFFESCGVADLITTCLGGRNRKVAEAYARNGGKRSFDELEAEMLQGQKLQGVSTASEVYEVLSHRGWLELFPLFSTVHEICSGLLPPSAIVEYSEKLPRSF >Vigun03g364600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56804700:56805657:-1 gene:Vigun03g364600.v1.2 transcript:Vigun03g364600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVLTSSSSRSQHSHPNQPTRTCSCSPSTHPGSFRCSKHKKPPRAMSRSSPRTPNQWDPSMVAKANSLKAVLLQMLRPSTHDLHKRKSFQPRPTRFSLMNADTTAAVAVS >Vigun04g203200.2.v1.2 pep primary_assembly:ASM411807v1:4:42583238:42586983:1 gene:Vigun04g203200.v1.2 transcript:Vigun04g203200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEIEGILKELPNDGRVPKTKIVCTLGPASRSVEMTEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYDIKGDPEMISMSYKKLPVHLKPGNTILCSDGTITLTVLSCDPDAGTVRCRCENTATLGERKNVNLPGVVVDLPTLTEKDKEDILQWGVPNKIDMIALSFVRKGSDLVNVRKVLGPHAKNIQLMSKVENQEGVLNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPILGEGSAKATDAESTEVILEAALKSATERGLCKPGDAVVALHRIGAASVIKICIVK >Vigun04g203200.1.v1.2 pep primary_assembly:ASM411807v1:4:42583225:42586983:1 gene:Vigun04g203200.v1.2 transcript:Vigun04g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEIEGILKELPNDGRVPKTKIVCTLGPASRSVEMTEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYDIKGDPEMISMSYKKLPVHLKPGNTILCSDGTITLTVLSCDPDAGTVRCRCENTATLGERKNVNLPGVVVDLPTLTEKDKEDILQWGVPNKIDMIALSFVRKGSDLVNVRKVLGPHAKNIQLMSKVENQEGVLNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPILGEGSAKATDAESTEVILEAALKSATERGLCKPGDAVVALHRIGAASVIKICIVK >Vigun08g141000.1.v1.2 pep primary_assembly:ASM411807v1:8:31333889:31338786:1 gene:Vigun08g141000.v1.2 transcript:Vigun08g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKITVANPIVEMDGDEMTRVIWKSIKDKLILPFLELDIKYYDLGLPYRDQTDDKVTIESAEATLKYNVAIKCATITPDEARVKEFGLKNMWKSPNGTIRNILNGTVFREPILCKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGKGEKTELEVFDFTGQGGVSLAMYNTDESIRSFAEASMATALEKKWPLYLSTKNTILKKYDGRFKDIFQEVYKASWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTEKLEASCIGVVEAGKMTKDLALIIHGSKLSREHYLNTEEFIDAVAADLRKKLSA >Vigun08g141000.2.v1.2 pep primary_assembly:ASM411807v1:8:31335396:31338786:1 gene:Vigun08g141000.v1.2 transcript:Vigun08g141000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSPNGTIRNILNGTVFREPILCKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGKGEKTELEVFDFTGQGGVSLAMYNTDESIRSFAEASMATALEKKWPLYLSTKNTILKKYDGRFKDIFQEVYKASWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTEKLEASCIGVVEAGKMTKDLALIIHGSKLSREHYLNTEEFIDAVAADLRKKLSA >Vigun05g102600.1.v1.2 pep primary_assembly:ASM411807v1:5:10263288:10265921:1 gene:Vigun05g102600.v1.2 transcript:Vigun05g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSFLWNCTKKFVTAAVITVTVTDRFGTVVPVRGGSMSPTLNPKSGSLMDDYVFVEKFCLLRYGFSNGDVVVFRSPTNHKETHIKRIAALPGEWYGTKHNNDVIQIPLGHCWVEGDNSASSIDSKSFGPIPLALIRGRVTHVVWPPQRIGGVESTPPQRLSSVIE >Vigun11g055200.2.v1.2 pep primary_assembly:ASM411807v1:11:10341392:10346420:1 gene:Vigun11g055200.v1.2 transcript:Vigun11g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFPSSTATLCVLTVIVAVIPLWAAVKMVNTLWLRPKRLEKLLREQGLRGDPYSLSPSTSNINHAPQNNRRSQSFLVSDDVAPRLSLPSHNTVAKHGKNSFLWEGTTPKVIITDPNQIKEVFSNIHDFHKPKISGIAKFLFNGLIHYEGDKWAQHRNIINPAFHLGKVKNMIPSFSQSCHDVISEWMRMLSSGGKCEIDVFPSLQNLTRDVISRTAFGSSYTEGKKIFQLLKTQGRIVMTTKYKNTPIIRHLPTPTKMKMRAVDKEMKNSIKNIIKKREKAMKNGESNNEDLLGILLESNQMEIHGNGNSKRVGMTIEEVIGECKLFYLAGQETTSTLLVWTLIMLSRYPEWQTRAREEVLHTFGKQNPNFDGLSQLKIDMELGNLSLPAGVKVTMPIILHHQDVDIWGNDALEFKPERFSEGVAKATKGQDVFYPFGWGPRICIGQNFALLEAKEVGFVS >Vigun11g055200.1.v1.2 pep primary_assembly:ASM411807v1:11:10341392:10345197:1 gene:Vigun11g055200.v1.2 transcript:Vigun11g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFPSSTATLCVLTVIVAVIPLWAAVKMVNTLWLRPKRLEKLLREQGLRGDPYSLSPSTSNINHAPQNNRRSQSFLVSDDVAPRLSLPSHNTVAKHGKNSFLWEGTTPKVIITDPNQIKEVFSNIHDFHKPKISGIAKFLFNGLIHYEGDKWAQHRNIINPAFHLGKVKNMIPSFSQSCHDVISEWMRMLSSGGKCEIDVFPSLQNLTRDVISRTAFGSSYTEGKKIFQLLKTQGRIVMTTKYKNTPIIRHLPTPTKMKMRAVDKEMKNSIKNIIKKREKAMKNGESNNEDLLGILLESNQMEIHGNGNSKRVGMTIEEVIGECKLFYLAGQETTSTLLVWTLIMLSRYPEWQTRAREEVLHTFGKQNPNFDGLSQLKIMTMILYEVLRLYPPNNIFNRTIQKDMELGNLSLPAGVKVTMPIILHHQDVDIWGNDALEFKPERFSEGVAKATKGQDVFYPFGWGPRICIGQNFALLEAKVVLSMLLQNFSFELSPVYVHAPIVMFTLQPKHGTPLILQKL >Vigun11g055200.4.v1.2 pep primary_assembly:ASM411807v1:11:10341392:10345197:1 gene:Vigun11g055200.v1.2 transcript:Vigun11g055200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFPSSTATLCVLTVIVAVIPLWAAVKMVNTLWLRPKRLEKLLREQGLRGDPYSLSPSTSNINHAPQNNRRSQSFLVSDDVAPRLSLPSHNTVAKHGKNSFLWEGTTPKVIITDPNQIKEVFSNIHDFHKPKISGIAKFLFNGLIHYEGDKWAQHRNIINPAFHLGKVKNMIPSFSQSCHDVISEWMRMLSSGGKCEIDVFPSLQNLTRDVISRTAFGSSYTEGKKIFQLLKTQGRIVMTTKYKNTPIIRHLPTPTKMKMRAVDKEMKNSIKNIIKKREKAMKNGESNNEDLLGILLESNQMEIHGNGNSKRVGMTIEEVIGECKLFYLAGQETTSTLLVWTLIMLSRYPEWQTRAREEVLHTFGKQNPNFDGLSQLKIDMELGNLSLPAGVKVTMPIILHHQDVDIWGNDALEFKPERFSEGVAKATKGQDVFYPFGWGPRICIGQNFALLEAKVVLSMLLQNFSFELSPVYVHAPIVMFTLQPKHGTPLILQKL >Vigun11g055200.3.v1.2 pep primary_assembly:ASM411807v1:11:10341392:10346420:1 gene:Vigun11g055200.v1.2 transcript:Vigun11g055200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFPSSTATLCVLTVIVAVIPLWAAVKMVNTLWLRPKRLEKLLREQGLRGDPYSLSPSTSNINHAPQNNRRSQSFLVSDDVAPRLSLPSHNTVAKHGKNSFLWEGTTPKVIITDPNQIKEVFSNIHDFHKPKISGIAKFLFNGLIHYEGDKWAQHRNIINPAFHLGKVKNMIPSFSQSCHDVISEWMRMLSSGGKCEIDVFPSLQNLTRDVISRTAFGSSYTEGKKIFQLLKTQGRIVMTTKYKNTPIIRHLPTPTKMKMRAVDKEMKNSIKNIIKKREKAMKNGESNNEDLLGILLESNQMEIHGNGNSKRVGMTIEEVIGECKLFYLAGQETTSTLLVWTLIMLSRYPEWQTRAREEVLHTFGKQNPNFDGLSQLKIMTMILYEVLRLYPPNNIFNRTIQKDMELGNLSLPAGVKVTMPIILHHQDVDIWGNDALEFKPERFSEGVAKATKGQDVFYPFGWGPRICIGQNFALLEAKEVGFVS >Vigun07g240400.1.v1.2 pep primary_assembly:ASM411807v1:7:36165311:36167836:1 gene:Vigun07g240400.v1.2 transcript:Vigun07g240400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRSRFDKAVPMIVQSLIVFIFLRLNSINSLPHPLDPLSPVEINKTRDIVEGSYLGAIPNLTYHFVDVEEPDKEHVLEWLSSNTKDISTIPRQAKVVVRAEGDTHELVVDLNKSSIVSDKIYTGHGYPPFTFNELFQASKLPLTYSKFKDSITKRGLNLSEVSCVPFTVGWFGEQTTKRALKVSCFYRGGSVNVWARPIEGITVLVDVDSMQITAYNDRYVAPLPKPEGTDFQSSSSNSRAKTSASCNVSDVGFNVDGHEVKWANWVFHVGFNARAGMIISTASVFDSKRNAYRRVLYRGHVSETFVPYMDPTEEWYFRTFMDAGEFGFGRAADTLQPRVDCPSNAVYMDGYMAGPNGEVQQVPRAICIFERNSGNVAWRHMEINNPQKVIRNGEAEISLVVRMVATVGNYDYVLDWEFLRSGSIKVGVDLTGIMEMKAVAYKEKKEIEERVFGTLVSENTIANYHDHHITYYLDLDIDDNRNSFMNAKLQRARATGFGTPRKSYWTIVGEIAKREAEGRIRLGSEPAELLIVNPNRRTKLGNEVGYRLIPAQPVTSLLSDDDYPQIRASYTKYDLWVTPYNRSERWAGGFYADRSRGDDGLAVWSQRNREIENRDIVLWHTIGIHHVPYQEDFPAMPAIHGGFELRPANFFESTPLL >Vigun06g102700.1.v1.2 pep primary_assembly:ASM411807v1:6:23266246:23267870:1 gene:Vigun06g102700.v1.2 transcript:Vigun06g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRSEVFKSLELGASLGKSDVSETPLLKLSWILERSILKNEKLLVWRGNYDPVTIFHGSKAPPNMTVTQYMERILKYSNCSPSCFVIAQIYMERFFHKNGGYLTSFNAHRLLITSIMIAAKFLDDKYYSNAYFAKVGGVSTEEMNRMEIEFLFILEFKLFVTTELFLKYCENLDKAV >Vigun08g127900.3.v1.2 pep primary_assembly:ASM411807v1:8:29812114:29813862:-1 gene:Vigun08g127900.v1.2 transcript:Vigun08g127900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPPNMRVPRTITSNDVQWYLDENKRLIMAIFENMKLRKFDNCAQYQEQLQKNLMYLAALADWQHSQSQVMLPQVQQMIVPSSMQHPEFVPNAQEPRISPPNMALQFANAREQLYQQVALRAGWIGNGTKPMYGGESGFGGGKSGASTSTASPNDACGGSLQGPSHGGDDHGNN >Vigun08g127900.2.v1.2 pep primary_assembly:ASM411807v1:8:29812114:29813862:-1 gene:Vigun08g127900.v1.2 transcript:Vigun08g127900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRKMQPSPPNMRVPRTITSNDVQWYLDENKRLIMAIFENMKLRKFDNCAQYQEQLQKNLMYLAALADWQHSQSQVMLPQVQQMIVPSSMQHPEFVPNAQEPRISPPNMALQFANAREQLYQQVALRAGWIGNGTKPMYGGESGFGGGKSGASTSTASPNDACGGSLQGPSHGGDDHGNN >Vigun07g088600.2.v1.2 pep primary_assembly:ASM411807v1:7:13760757:13781723:-1 gene:Vigun07g088600.v1.2 transcript:Vigun07g088600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANPVVGSHVWVEDSQEAWIDGEVLEVKGGEIKVLCTSGKTVVVKPSSVYHKDNEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIRLPHLYDSHMMAQYKGAGFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRANNAAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQKFKLGNPRGFHYLNQTNCFELEGVDESKEYRDTRRAMDVVGINSEEQDAIFRVVAAILHLGNIEFAKGKEMDSSEPKDEKSWFHLHTAAELFMCDAKALEDSLCKRVIVTRDETITKWLDPESAALSRDALAKIVYTRLFDWLVDKINNSIGQDPDSTSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLYASKCPFVSGLFPPSPEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFADRFGLLAPEAIDRSSDEVTACKRILEKVGLKGYQIGKTKVFLRAGQMADLDTRRSEVLGKSASIIQRKVRTFLASRSFVSIRLSAIKIQAACRGQLARQVYEGLRREASSLMIQRFFRMHVAKKAYKELYSSAVSLQTGMRGMAARSELRFRKQTRAAIVIQSHCRKYLALHRFTNLKKAAITTQCAWRGKVARQELRKLKMAARETGALQAAKNKLEKQVEDLTLRLQLEKRLRVDIEESKTQENEKLQSALQKMQLQFKETNLLLQKEREAAKREAERAPVIQEVPVVDHALLEKLTSENEKLKTLVSSLEEKIGETEKRYEEANKISEERLKQTLDAESKIIQLKTAMQRLEEKFSDMESENQVLRQQSLLNSSSKTMSEHLSTHISEKLENGHHVGEDHKTSEAQSVTPVKKFGTESDGKLRRSFIERQHENVDALVNCVTRNIGFHHGKPVAAFTIYKCLLNWKSFEAERTSVFDRLIQMIGSAIENQDDNDLMAYWLSNMSALLFLLQQSLKSGGSADATPVKKPPNPTSLFGRMTMGFRSSPSSASLPTPPSEVVRKVEAKYPALLFKQQLTAYVEKIYGILRDNLKKELASLLSLCIQAPRTSKGVLRSGRSFGKDSPMGHWQSIIESLNTLLCTLKENFVPPVLIQKIFTQTFSYINVQLFNSLLLRRDCCTFTNGEYVKAGLAEFELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPIMSVQQLYRICTLYWDANYNTRSVSPDVLSSMRVLMAEDSNNAQSDSFLLDDSSSIPFSVDDLSTSLQEKDFSDMKAADELLENPAFQFLNE >Vigun07g088600.1.v1.2 pep primary_assembly:ASM411807v1:7:13760757:13781723:-1 gene:Vigun07g088600.v1.2 transcript:Vigun07g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANPVVGSHVWVEDSQEAWIDGEVLEVKGGEIKVLCTSGKTVVVKPSSVYHKDNEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIRLPHLYDSHMMAQYKGAGFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRANNAAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQKFKLGNPRGFHYLNQTNCFELEGVDESKEYRDTRRAMDVVGINSEEQDAIFRVVAAILHLGNIEFAKGKEMDSSEPKDEKSWFHLHTAAELFMCDAKALEDSLCKRVIVTRDETITKWLDPESAALSRDALAKIVYTRLFDWLVDKINNSIGQDPDSTSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLYASKCPFVSGLFPPSPEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFADRFGLLAPEAIDRSSDEVTACKRILEKVGLKGYQIGKTKVFLRAGQMADLDTRRSEVLGKSASIIQRKVRTFLASRSFVSIRLSAIKIQAACRGQLARQVYEGLRREASSLMIQRFFRMHVAKKAYKELYSSAVSLQTGMRGMAARSELRFRKQTRAAIVIQSHCRKYLALHRFTNLKKAAITTQCAWRGKVARQELRKLKMAARETGALQAAKNKLEKQVEDLTLRLQLEKRLRVDIEESKTQENEKLQSALQKMQLQFKETNLLLQKEREAAKREAERAPVIQEVPVVDHALLEKLTSENEKLKTLVSSLEEKIGETEKRYEEANKISEERLKQTLDAESKIIQLKTAMQRLEEKFSDMESENQVLRQQSLLNSSSKTMSEHLSTHISEQKLENGHHVGEDHKTSEAQSVTPVKKFGTESDGKLRRSFIERQHENVDALVNCVTRNIGFHHGKPVAAFTIYKCLLNWKSFEAERTSVFDRLIQMIGSAIENQDDNDLMAYWLSNMSALLFLLQQSLKSGGSADATPVKKPPNPTSLFGRMTMGFRSSPSSASLPTPPSEVVRKVEAKYPALLFKQQLTAYVEKIYGILRDNLKKELASLLSLCIQAPRTSKGVLRSGRSFGKDSPMGHWQSIIESLNTLLCTLKENFVPPVLIQKIFTQTFSYINVQLFNSLLLRRDCCTFTNGEYVKAGLAEFELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPIMSVQQLYRICTLYWDANYNTRSVSPDVLSSMRVLMAEDSNNAQSDSFLLDDSSSIPFSVDDLSTSLQEKDFSDMKAADELLENPAFQFLNE >Vigun06g020001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9646704:9647020:1 gene:Vigun06g020001.v1.2 transcript:Vigun06g020001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKINKIVLLGMICIGLVVCSGRDIEKNGKSDGNVVLLCFIDLAHCVDDHVCDQKCKSANYLAGGRCKYKTCCCRG >Vigun05g287700.1.v1.2 pep primary_assembly:ASM411807v1:5:47473484:47478715:-1 gene:Vigun05g287700.v1.2 transcript:Vigun05g287700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGDALVDPLANYWGLSLFTSPSLLPPSQPYNSDLDLQTIHTHLKSMALRSPAKLVQGAKSVLDDNPNLFGSEITQDSIFQTTNGAAEDGEFRRQQRPGLGLKRPRFSMKPTKTPSVESLLPTLDLDSLKDPAEFFSAHERLENAKREIQKQLGGASFEYDQNSLSTRPRERRPGLLGNDQLPIKYKHRYPRETSESVLSSQDTLGSQTLDLVAERTDIDEAYVLSSKKKVMDSSATKGNKLDELLDGLLSCNSEDLEGDGAISLLQERLQIKPVAVDKFSVPIFPDSQVTDLKSLQGKKSKPRKALSDIDNLLRGMNTNKKTPIKKSTQITVQQLSSPTPPRSPFASILSLQKHISMSRQPMDHFSLNEIGMENSEHNLVPGRPNELNAHLIKDVVVAKTSTVSDTDRNYTNPSGNSKEDNSRKSLNELNASSAEDIIGVGGTSGAKETVTNGTSTSRKSMESNSREPMFDVDIDSSELHFDIDVGGSGMGKMVGTEDKSNIEPNMIADVVAVDNTSTVLDTDINCTHASDISKEESSEKSSNELIASSIEDITAVGGISLAEDTARNCTSTPQKSIEDNSREPKSVNNVDSYEPLVHMDVDVGGSDMGERVMHDIEDRLNIEANESCQSEDNAGNMQPFTTSIRTDDANLNMDNPLADQSDLAGYQANAVDKRARRSEDDQSNPTGYQADIVQEKTDASMQPVKKQKRVKSRAPTNVSKRQSLAASGTSWNSGVRRSSRIRTRPLEYWKGERPVYGRIHQSLATVIGVKCISPGSDGKPTMKVKSYVSDQHKELFELASSY >Vigun03g439500.1.v1.2 pep primary_assembly:ASM411807v1:3:64281674:64283781:1 gene:Vigun03g439500.v1.2 transcript:Vigun03g439500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLKSAKARSFCMVSVASLFAMLLVRGVLIHMEGERDAGMAKMFFSKGNQTVITSRKLLQSADNGGLSHIGMVCSKEDIVIYQGEVPPLPTGIPSYTVQIMNMCATDCDIANISLHCGWFSSARLINPKVFRRMGYDDCLVNDGKPLASGKTVSFQYSNTFRYPLSVSSVVCSS >Vigun07g055100.1.v1.2 pep primary_assembly:ASM411807v1:7:5966602:5969654:1 gene:Vigun07g055100.v1.2 transcript:Vigun07g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIAANMCSITNSKTVEGIKRFVNEDKLQSRSNIALPHLEASSSRRHLLVSVGPSLATLACGLSPSVVWAEESYGDKQEEEDKGVIGAIKSLFDPTEKTKSGKVLPKAYLKSAREVVKTLRESLNETSDDIAKFRRTADSAKESIREYLGSWRGNQTVVQEESYVILEKVIRSLANFYSKAGPSATLSQEVKSEILDYLNTAEQFL >Vigun07g055100.2.v1.2 pep primary_assembly:ASM411807v1:7:5966602:5969654:1 gene:Vigun07g055100.v1.2 transcript:Vigun07g055100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIAANMCSITNSKTVEGIKRFVNEDKLQSRSNIALPHLEASSSRRHLLVSVGPSLATLACGLSPSVVWAEESYGDKQEEEDKGVIGAIKSLFDPTEKTKSGKVLPKAYLKSAREVVKTLRESLNETSDDIAKFRRTADSAKESIREYLGSWRGNQTVVQEVWISSFQCLV >Vigun07g055100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5966602:5969654:1 gene:Vigun07g055100.v1.2 transcript:Vigun07g055100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGPSFIDNFCNITDKLQSRSNIALPHLEASSSRRHLLVSVGPSLATLACGLSPSVVWAEESYGDKQEEEDKGVIGAIKSLFDPTEKTKSGKVLPKAYLKSAREVVKTLRESLNETSDDIAKFRRTADSAKESIREYLGSWRGNQTVVQEVWISSFQCLV >VigunL038200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:320702:321373:1 gene:VigunL038200.v1.2 transcript:VigunL038200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GGFLWVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRKQGISGPL >Vigun10g033300.1.v1.2 pep primary_assembly:ASM411807v1:10:4430194:4434260:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSFLKSEPHFIYDVFINFGAKDIGRRFVSHLHSALLQAEVKTLINEENPQEGMNLEEHLRAIAGTKITIIVFTKTYTESTRCLLELEKIIECHQTFGQIVLPVFYEIDQLDVRYQEDDFGKALEEAVYKSYSGEQLEHALSRWTDALTTAAGIDGWDLRDFRHDAQLVDVIVSRVQTLLDYAELGITRFPVGLQSQVEKVIECIENHSTKVCVIGIWGMGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEGLASCESCDVCLPGDNHPYWLAHIGEGRSVSFTVPQDRELKGMVLCVVYLSAPEFMANECFQRVLVVNYTKCTLQIHNHGTVISFNDRDWEGIISNLGSGDKVEFFVSFGHGLVVKNTAIYLNIW >Vigun10g033300.2.v1.2 pep primary_assembly:ASM411807v1:10:4430194:4434260:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSFLKSEPHFIYDVFINFGAKDIGRRFVSHLHSALLQAEVKTLINEENPQEGMNLEEHLRAIAGTKITIIVFTKTYTESTRCLLELEKIIECHQTFGQIVLPVFYEIDQLDVRYQEDDFGKALEEAVYKSYSGEQLEHALSRWTDALTTAAGIDGWDLRDFRHDAQLVDVIVSRVQTLLDYAELGITRFPVGLQSQVEKVIECIENHSTKVCVIGIWGMGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEVTTILTGSPISVRDVLFLSLCLKIVS >Vigun10g033300.6.v1.2 pep primary_assembly:ASM411807v1:10:4430194:4434410:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEVLLKLLPFWIGKL >Vigun10g033300.5.v1.2 pep primary_assembly:ASM411807v1:10:4430116:4434410:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEVTTILTGSPISVRDVLFLSLCLKIVS >Vigun10g033300.3.v1.2 pep primary_assembly:ASM411807v1:10:4430116:4434410:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEGLASCESCDVCLPGDNHPYWLAHIGEGRSVSFTVPQDRELKGMVLCVVYLSAPEFMANECFQRVLVVNYTKCTLQIHNHGTVISFNDRDWEGIISNLGSGDKVEFFVSFGHGLVVKNTAIYLNIW >Vigun10g033300.4.v1.2 pep primary_assembly:ASM411807v1:10:4429252:4434410:-1 gene:Vigun10g033300.v1.2 transcript:Vigun10g033300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTIAKAIYNRIYRLFIGKCFIENIEEVRNRVYRTNVHLQEKLINNVLKSEVVVTGLGMGKLVIKTELSRKKLLIVLDDVNKFGQLKHLCGNPEWFGQGTVIIITTRDVSLLNRLKVNYVYQMNGMNDNDSLELLSWHAFGEEKPRKELNELARNIVAYCGGLPLALKVFGGMTMKEWESVSSKLPVIPFAQVKEKLKISFDGLGDMEKDIFLDVCCFFIGKERGYVTEILNGCGLHATIGITTLIERNLIKVERNNKLEMHPLFRDMGREIIFRRWPEEPGKRSRLWFHEDVKDVLEKNTGTKATQGLSLKLPSTNRNCFEAHAFKKMKRLRLLQLDHVQLTGDYGHLSKQLRLICWQGFHSKYIPNNFHMENVIAMDLKHSHLQLVWKQPTWKSPLWKQPQVLEHLKFLNLSHSKYLRETPDFSRLPNLRRLILKDCPSLCKIHSSIGDLCNLLLINLKDCTSLSSLPRELYNLKSLRTFILTGCFKIDILEEDIVQMESLITLVTENTAVKHVPCSIVSSKSIGYISLCGLEGLSLNLFPSLIRSWMPPTMNPQSYISLYCMDMENNNWHDLAPLFGGLGNIRSVLVQCDTEFQLFKQVKTLLVEYDDNFTESRISKHQLRFSLIGVGSYNELCNTLSNSVSEVFESSESCHISLSSGNDPYWLAHRGEGNDFMCRLFITS >Vigun11g185800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38656022:38656441:-1 gene:Vigun11g185800.v1.2 transcript:Vigun11g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPSIRKTSFAANQVSSKVVDVPKGYLAVYIGEKRKRFLIPVSYLNQPSFLDLLSQAEKEFGYDHPMGGLTIPCREDLFLDIISSLNKC >Vigun04g191200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41538675:41542373:-1 gene:Vigun04g191200.v1.2 transcript:Vigun04g191200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLEKLEYLAKQKGALGLKEGIYSGDGSGSKESQKLPSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDPKIDEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKEKISGRKFLLVLDDVWNERREEWEAVRTPLSCGAPGSRIIVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKNDDDELNDERKEIGKRIVEKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQHINNVEEIGEQYFEDLLTRSFFLQSSIKTRFSMHDLLNDLAKYVCANFCFRFKFDKGNFVPNTTRHFSFRLEDARCFDGLGSLTDAKRMRSFLPIRETATTKSYHYKLPCQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTYIQKLPESISVLYNLLILKLNGCSYLEELPSNLHKLTKLHCLEFEDTKVTKMPLNFEELKNLHVLNMFLVDRNGESSVKQLGGLNLHGKLSINEVQNIVNPLDALEANLKDKHLVELQLEWKSNHVCDDSEKEKKVLENLQPSKHLEHLSITNYGGTQLPSWVFNNSLSNLVFLRLKSCKYCVCLPSLGLLSSLKTLEIIGLKGISSIGVEFYGSNSSFMSLETLNFMDMKEWEEWECKTTSFPRLQHLFVNRCPKLKGLSNQLLHLKHLVICYCDKLIISETNMDTRSLEFLKLNSCPLVSIPTTHYNLLKEMSIDDGCDSLTTFSLDFFPNLCYLYLRRCRNLQRISQEDTHNHLQRMTIAVCPQFESFPSEGLSAPQLGSFEIFGAQNLKLLPKHMQVLLPSLNQLWIIDCPQVEMPPDGGLPSNVKLMYLSNVKLMASMRVNLDNTLQIGKLDVECFPDEGLLPRSLTTLLITESPNLKRLNYKGLCHLSSLTLNGCTNLECLPEEGIPKSISSLTIWDCPLLQKRCQNPEGKDWEKIAHIQQLRVGKWE >Vigun05g130000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15038701:15038883:1 gene:Vigun05g130000.v1.2 transcript:Vigun05g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADIGGAWLSSARAVRCWVKSRNERNPRV >VigunL041850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:408049:408414:1 gene:VigunL041850.v1.2 transcript:VigunL041850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVWDSEVKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun08g074250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12741735:12742622:1 gene:Vigun08g074250.v1.2 transcript:Vigun08g074250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSIMVAAFGDESPGNLLTGFGFYNPYWLLDIANVAIVVHLLGSYQGCCQPLFKFIENNAARKFPESDFMTKEFEVPIPGCKPLKLNLFRLVWRTSFVICCTVIAMVLPFFNDIVGLIGAIAYWPLTVYFPVEMYIVQTKLPKWSRKWICLHMLSAACFVLTMVATVGSIAGVVDDLKVYESFMTNY >Vigun05g142650.1.v1.2 pep primary_assembly:ASM411807v1:5:18506358:18506931:-1 gene:Vigun05g142650.v1.2 transcript:Vigun05g142650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTAKLVIETKLPNDELVSNKDTTSKERLRCEQTMMSTPLSISEFVLLLLRCLGACVRSFLTTLSFFLKVWKSISSFIALIGVLVMLF >Vigun09g156100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32154952:32156505:-1 gene:Vigun09g156100.v1.2 transcript:Vigun09g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPSYWFCSIRNHIRRGSLRCALLTYKQTRHIGLYDSSVVPLLLKACAFLPFLHYVKTLHAESIKAGSGSDVIIGTALLTSYAKCDATRDARKLFDTMPERNVVTWNAMISGYLRNGDTGSASLVFEEMQGKTQVTWSQMIGGFARNGDIVTARRLFDEVPRELKDVLIWTVMVDGYARIGEMEAAREVFELMPERNCFVWSSMLYGYSKKGNIAEAEAVFSRVLVRNLEIWNSMIAGYVQNGFGEKALQAFEGMVAEGFEPDEFTVVSVLSACAQLGRLDVGKQIHHMIEQRGIAVNPFVLSGLVDMYAKCGDLVNARLMFEGFNERNIICWNAMISGFAINGKCREVLEFFGRMEDSNIRPDGITFLTVLSACAHGGLVREAFEVISKMEGYAIEIGIKHYGCMVDLLGRAGRLKDAYDLIVRMPVKPNDTVLGAMLGACRIHSDVNMAEQVMKLIHGDSVTGANSHNVLLSNIYATSEKWEKAERMRRIMVDGGSQKTPGCSSIIFSDYCGLK >Vigun06g156600.2.v1.2 pep primary_assembly:ASM411807v1:6:27997003:28001755:1 gene:Vigun06g156600.v1.2 transcript:Vigun06g156600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSSSLFASSCLPKPLSHSRTHTAPPLLRARASLLQDNEEKVIVQDSFPSKSSPLHTTNGKSSVDSISTSAFEKGIIKVEQSVNIFLTDSVIKILDTLYNDRYYARFYVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYIMTVLMYAISPRMAYHFSECVESHAFETYDKFLKVQGEELKKMPPPEVAVKYYTGDDLYLFDEFQTSRVPNSRRPKIGPSE >Vigun06g156600.1.v1.2 pep primary_assembly:ASM411807v1:6:27997003:28001754:1 gene:Vigun06g156600.v1.2 transcript:Vigun06g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSSSLFASSCLPKPLSHSRTHTAPPLLRARASLLQDNEEKVIVQDSFPSKSSPLHTTNGKSSVDSISTSAFEKGIIKVEQSVNIFLTDSVIKILDTLYNDRYYARFYVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYIMTVLMYAISPRMAYHFSECVESHAFETYDKFLKVQGEELKKMPPPEVAVKYYTGDDLYLFDEFQTSRVPNSRRPKIDNLYDVFLNIRDDEAEHCKTMKACQIHGNLRSPHSYAEDDDDVSVCAMEADCEGIVDCIKKSVNPDPANVK >Vigun07g241800.2.v1.2 pep primary_assembly:ASM411807v1:7:36328781:36330848:1 gene:Vigun07g241800.v1.2 transcript:Vigun07g241800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFASGSGDVQFEAKITYAVVISCIMAATGGLMFGYDIGISGGVTSMPSFLEHFFPEVYKRIQEHGVDSNYCKYDNQSLQLFTSSLYLAALVATLFASSVTRKLGRKRTMLIAGLFFIVGTVFNSVANTLLLLIFGRLLLGCGVGFANQAVPVFLSEIAPTRIRGALNILFQLDITIGILVANIVNYFTAQIKGGYGWRISLALAGVPALMLTIGSLLVHDTPNSLIERGLEDEGKAVLKKIRGVETVEPEFQEILKASRVAKTVKNPLKSLIKRHNRPPLIIAVMLQVFQQFTGINAIMFYAPVLFSTLGFKSGASLYSAVITGAVNVLSTLVSVYLVDKVGRRVLLLEACVQMFVSQVVIGIVLGLKVQDFSDSLNEGLGVLVVVMVCTFVSSFAWSWGPLGWLIPSETFPLEARSAGQSVTVFVNMLFTFIIAQGFLSMMCHFKFGIFFFFSAWVLLMSLFTLFLVPETKNIPIEEMTDKVWRNHWFWKSFMED >Vigun07g241800.1.v1.2 pep primary_assembly:ASM411807v1:7:36329020:36330848:1 gene:Vigun07g241800.v1.2 transcript:Vigun07g241800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNAGGVTSMPSFLEHFFPEVYKRIQEHGVDSNYCKYDNQSLQLFTSSLYLAALVATLFASSVTRKLGRKRTMLIAGLFFIVGTVFNSVANTLLLLIFGRLLLGCGVGFANQAVPVFLSEIAPTRIRGALNILFQLDITIGILVANIVNYFTAQIKGGYGWRISLALAGVPALMLTIGSLLVHDTPNSLIERGLEDEGKAVLKKIRGVETVEPEFQEILKASRVAKTVKNPLKSLIKRHNRPPLIIAVMLQVFQQFTGINAIMFYAPVLFSTLGFKSGASLYSAVITGAVNVLSTLVSVYLVDKVGRRVLLLEACVQMFVSQVVIGIVLGLKVQDFSDSLNEGLGVLVVVMVCTFVSSFAWSWGPLGWLIPSETFPLEARSAGQSVTVFVNMLFTFIIAQGFLSMMCHFKFGIFFFFSAWVLLMSLFTLFLVPETKNIPIEEMTDKVWRNHWFWKSFMED >Vigun05g243500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43665002:43666898:-1 gene:Vigun05g243500.v1.2 transcript:Vigun05g243500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEILDMEGMAAEELVSCNNDPTNIAKGKRTKRRLRPLSPCVVTAVTATAAAAAMTSSCSSASGGGGGSFSSITLEEEEEDMANCLILLAQGRGGSDPLHHKDLCDDVKTEKGATKIEFYVYECKTCNRTFPSFQALGGHRASHKKPKVEDKKSPPPSLPLPPPPPSSSSQLFNFEETKQNHMKISPSVSLQLGCGNNRGGLNFLGNKSKIHECSICGSEFTSGQALGGHMRRHRSSNNNNTNNTNNVVTTTTTTSDGAVEVKPRNVLELDLNLPAPEDDLRDSKFQFPGNQNSMMLSAAPALVGCHY >Vigun03g281000.1.v1.2 pep primary_assembly:ASM411807v1:3:46054957:46057937:1 gene:Vigun03g281000.v1.2 transcript:Vigun03g281000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSAWQQSYINRRSNSFRAPLPLESSGEASGKSPVTTFSFLLHAACCLISVVLGFRFSRLVYLFFFSVTSTNFSAFRGGEIPAPLVVRSSLATKPMANQANQTAGSRVLVGRHGIQVRPWPHPNPEEVMKAYRIIERVQREQRALFGVKKAKTVIAVTPTHVRTFQKLHLSCVMHSLMLVPYDVIWIVVEAGRVTNETASIIAKSGLRTIHVGFNHRMPISWDERHKLEARMRLHALRIVSNERLDGIVMFVDDSNMHSMELFDEIQNVKWVGAFSIGILVHSGGAEEASAIPVQGPACNATNNLVGWHTFKPLRYVGKSAVYIDDLAPVLPRKIEWAGFVLNSRLLWRDLDDRPEWIKDLQVLDEIDEDIESPLSLLRDTSVVEPLGSCGRHVLLWWLRVEARTDSKFPARWKIEPPLDITVPSKRTPWPDDPRQLPINEHTTKHSTKSRTSRSRRSRNKRRHGAKVIGVKVSTHSKQN >Vigun03g389300.1.v1.2 pep primary_assembly:ASM411807v1:3:59582843:59587919:1 gene:Vigun03g389300.v1.2 transcript:Vigun03g389300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLLRKDARKILKRKDSDAGERGRALEDLRGSLFNEFRSPEGAKRHQHRTCGPAAALAFNFVVAISIIFMNKMVLQTVKFKFPILLSLIHYVVSWFLMAVLNVFSLLPASPSSKSTNLSALFTLGFVMSLSTGLANVSLKYNSIGFYQMAKIAVTPSIVMAEFVLYRKKVSWPKALALTVVSIGVAVATVTDLQFHFFGACVALAWIVPSAINKILWSRLQQLENWTALALMWKTTPITLIFLAAMLPCLDPPGVLSFDWNFINTLVILTSAILGFLLQLSGALALGATSAVSHVVLGQFKTCIILLGNYYLFGSNPGIISIFGAFTAIVGMSVYTCLNLKQQTAKIFPHQTSLLPKSKLSKENGSSHNGHYSAENV >Vigun03g207600.1.v1.2 pep primary_assembly:ASM411807v1:3:33947851:33949442:1 gene:Vigun03g207600.v1.2 transcript:Vigun03g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKVVKQRTLCMFHNPSFHTYSLSLSHLYKFMNPKNHTPENHIKISLFTAKVEADMGSKGFISMKLLVLLHLAILCSSQDFDFYYFVQQWPGSYCDTQNSCCYPTTGKPAADFGIHGLWPNNIDGSYPSNCDSNNRFQQSQVSDLTSSLQRNWPTLACPSGSGVQFWAHEWEKHGTCSESLLKQHDYFEAALDLKQRANLLGALTKAGIEADGGFYSLSSIKGAIKNAIGYTPYIECNVDASRNSQLYQVYLCVDTSASGFIECPVFPKGRCGSQIEFPTF >Vigun10g010000.1.v1.2 pep primary_assembly:ASM411807v1:10:980877:983703:-1 gene:Vigun10g010000.v1.2 transcript:Vigun10g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADMNRFEWAVNIQRDSYASYIGHYPLLAYFSIAENESIGRERYSFMQKMLLPCGLPPEREDD >Vigun03g026600.1.v1.2 pep primary_assembly:ASM411807v1:3:1991565:1993364:-1 gene:Vigun03g026600.v1.2 transcript:Vigun03g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLLCEIEGNRLTHAAIIGHDGSVWAKSGSFPQYKPEEITGIMNDFNEPGTLAPTGLYIGGTKYMVIQGEPGSVIRGKKGPGGATVKKTGLALVIGIYDEPMTPGQCNMIVERLGDYLIEQGL >Vigun05g067600.1.v1.2 pep primary_assembly:ASM411807v1:5:5844206:5848752:-1 gene:Vigun05g067600.v1.2 transcript:Vigun05g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKAAVAPPFPPATKKRPLDSNANSTYFKIRAAVRDLRPHLLEVIRTPDYKNCKASREIQQQLKILTRLCESMKDEQSKAGQNIDQKTLKEQQSRQSEIKLTPPVPGFQKQPVEDGLPGTYVVGGSAFGWNFITFSGKEPVYCGRSKEEFRIGKVSK >Vigun04g170400.1.v1.2 pep primary_assembly:ASM411807v1:4:39457541:39460874:-1 gene:Vigun04g170400.v1.2 transcript:Vigun04g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKILRFCYSSNTRAREEEEIESGDLIEEKKKSDNMVLKFKETFYVSHGSPALAVDDSIPAWKFFTSWKERFPTPPSAILVVSGHWDTHAPTVNVVDRNDTIYDFYGFPKSMYKLKYPAPGAPQLAKRVKELLIGSGFEHVNEDKKRGLDHGAWVPLLLMYPEADIPVCQLSLSSNKGGTYHYNMGKALAPLKDEGVLIIGSGSATHNLRAIGPRNSPPAPWAQAFMTWLKTSLLEGRYEEVNEYEEKAPYAKMAHPYPDHFFPLHVAMGAAGENAKAKIVHDSWDAGSFSYASFSFTTANT >Vigun11g079200.1.v1.2 pep primary_assembly:ASM411807v1:11:23265716:23280264:-1 gene:Vigun11g079200.v1.2 transcript:Vigun11g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISATKLKSVDFYRKIPRDLTEASLSGAGLSILAALFMMFLFGMELSNYLTVSTSTSVIVDKSSDHDYLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDSNLRPTGSEFHSGTVANAVKHDDEVDGESVEGSFSLTTHTFDNYVHQFPITVVNFYAPWCSWCQRLKPAWEKTAKIMKERYDPEIDGRIILAKVDCTLEADLCRRNHIQGYPSIRIFRKGSDVRSEHGHHEHESYYGDRDTESLVQFMEGLVASLPTASQKPALEDKSNATDNAKRPAPSAGGCRIEGYVRVKKVPGNLIISARSDAHSFDASQMNMSHVINNLFFGKKVTPRAMSDVKLLIPYLGSSHDRLGGRSFFNTRDFGANVTIEHYIQIVKTEVVNRKGHRLIEEYEYTAHSSVAHSVDIPVAKFHLELSPMQVLITENQRSFSHFITNVCAIIGGVFTVAGILDSILHNTIRMMKKVELGKNF >Vigun11g079200.2.v1.2 pep primary_assembly:ASM411807v1:11:23265740:23280218:-1 gene:Vigun11g079200.v1.2 transcript:Vigun11g079200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISATKLKSVDFYRKIPRDLTEASLSGAGLSILAALFMMFLFGMELSNYLTVSTSTSVIVDKSSDHDYLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDSNLRPTGSEFHSGTVANAVKHDDEVDGESVEGSFSLTTHTFDNYVHQFPITVVNFYAPWCSWCQRLKPAWEKTAKIMKERYDPEIDGRIILAKVDCTLEADLCRRNHIQGYPSIRIFRKGSDVRSEHGHHEHESYYGDRDTESLVQFMEGLVASLPTASQKPALEDKSNATDNAKRPAPSAGGCRIEGYVRVKKVPGNLIISARSDAHSFDASQMNMSHVINNLFFGKKVTPRAMSDVKLLIPYLGSSHDRLGGRSFFNTRDFGANVTIEHYIQIVKTEVVNRKGHRLIEEYEYTAHSSVAHSVDIPVAKFHLELSPMQVLITENQRSFSHFITNVCAIIGGVFTVAGILDSILHNTIRMMKKVELGKNF >Vigun05g119950.1.v1.2 pep primary_assembly:ASM411807v1:5:13155934:13159309:1 gene:Vigun05g119950.v1.2 transcript:Vigun05g119950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDFRRSRTAFYNCLSPFSSPDCGMVKKLQKKQHIAKEAIEATYSNNIHEYIYIYIYIYIYIYILYIYIKFK >Vigun03g412200.2.v1.2 pep primary_assembly:ASM411807v1:3:61938399:61940989:-1 gene:Vigun03g412200.v1.2 transcript:Vigun03g412200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEAPRSSTPRRRPTTPRFPPPRMRPPRPPPTKQLEMKMKRRRMKRQRMQREKEKTKIKTSLRISEPKPKKKHQKKPREPRFAFKTKSEVDHLDDGYRWRKYGQKAVKNSPHPRSYYRCTTAACGVKKRVERSSEDPTVVVTTYEGQHTHPCPATSRASLGFLHSEPNAFGPSGGLFMLQQQQHQQFRHDQAQAQAQAQAQVAMLYNSNSSSTSCSSSPLNVVNSGSCVNKYGNTSSLNAFLQRQENERGFAVSSMGGPQGLFNGLLQDIVPTQMMSEERGDRV >Vigun03g412200.1.v1.2 pep primary_assembly:ASM411807v1:3:61938399:61940989:-1 gene:Vigun03g412200.v1.2 transcript:Vigun03g412200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREKEANSKSSSSSSTMANSVAFSDEIPNMPITMSFPFSPAFSTLFDIMPPLPPPPSSSFAHDPKASNFPFMDLLGVPDYTPSLFDWPQNTAVTSPPPLPAPTQITHALPSPASSNVPDGGSEVLNTPPSPNYSSISSSSNEAAAAAANKATGNEDEAEEDETATDAAGKGEDQDQDKSKNQPKPKKKHQKKPREPRFAFKTKSEVDHLDDGYRWRKYGQKAVKNSPHPRSYYRCTTAACGVKKRVERSSEDPTVVVTTYEGQHTHPCPATSRASLGFLHSEPNAFGPSGGLFMLQQQQHQQFRHDQAQAQAQAQAQVAMLYNSNSSSTSCSSSPLNVVNSGSCVNKYGNTSSLNAFLQRQENERGFAVSSMGGPQGLFNGLLQDIVPTQMMSEERGDRV >Vigun07g129400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23825130:23828665:1 gene:Vigun07g129400.v1.2 transcript:Vigun07g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANIDYEKIVRKTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCGWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDESVTNEQIAADLKEHVIEPVIPEKYLDGKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPDPLSVFIDSYGTGKIPDKEILELVKENFDFRPGMITINLDLKRGGHRFLKTAAYGHFGREDPDFTWEVVKPLKWERPQN >Vigun02g205301.1.v1.2 pep primary_assembly:ASM411807v1:2:33894630:33896501:-1 gene:Vigun02g205301.v1.2 transcript:Vigun02g205301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRVRVCVCAWLRACVGVSACVRGCVGVCVHVCVSACMCACVCACVRKCVPGCVRACVRVCACVGVCVHVCVCGCVREYVRACVHVCVGVCACVCV >Vigun01g129400.1.v1.2 pep primary_assembly:ASM411807v1:1:30728764:30733031:1 gene:Vigun01g129400.v1.2 transcript:Vigun01g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNVEEGVEKGIREPLVEKPDHQLVHAAGKGHPWMLYFCTFVAVCGSYEFGTCAGYSSPTQDAIRKEFNLSLAEYSLFGSILTFGAMVGAITSGPIADFLGRKGAMRVSSAFCVGGWLAIYFSEGPVPLDIGRLATGYGMGVFSYVVPVFIAEIAPKELRGTLTTLNQFMITAAVSVAFTIGNVLSWRALALTGLIPTSVLLLGLFLIPESPRWLAKRGRQKDFEAALQILRGKEADISEEAEEVQDYITTLEQLPKSRLLELFHRRYLRSVTIGIGLMVCQQFGGINAICFYTSSIFELAGFSPAIGTISYACLQVVVTGLGAVLIDKTGRKPLLLLSGTGLVAGCTFLAVAFYLKVYEVGVAAVPALAVIGILVYIGSFSIGMGAIPWVVMSEIFPVNIKGQAGSLATLTNWFGAWLCSYTFNFLMSWSSYGTFILYAAINALAILFIILAVPETKGKSLEQLQAAINS >Vigun08g043400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4533768:4535066:-1 gene:Vigun08g043400.v1.2 transcript:Vigun08g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFENFHYQKTFLLFFVLFILPINLAQPLSFTITNFNDTESASIVGYAGVALIENGAAVLNPLVKNGVGRAVYGQPLRIKNSSNGHVTDFSTRFSFTIDVSLGTIYGDGFAFYLAPLAYRIPTTTSYGSRLGLYDDTQNNIVAVEFDTFINELDPPMQHVGINNNSVASLNYTKFDIESNTGKMGHALITYNASAKLLAVSWSFDGTGSSSTPTAYLSYKIDLWAILPEWVNVGFSGSTGSSTEKNVIHSWEFSSSLDINSTHGEGKKENDIATKCKVQVKIVVVTVICSIVFLLVVISVSWMTIKKRRTEDGFGFDREAMPRRFGYDEIVAATNGFVDDRRLGEGGSGQVYKGFLSDLRRVVAVKRIFSDVEDSERIFINEVKIISRLIHRNLVQFMGWCHEKEELLLVFEYMIIRRLCFFKKKKKRNL >Vigun07g241200.1.v1.2 pep primary_assembly:ASM411807v1:7:36258327:36263042:-1 gene:Vigun07g241200.v1.2 transcript:Vigun07g241200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIQKLERKREVRGRVTLMKKGFLDFHDIKANILDRIHELLGRGVSFQLISATSPNPGPIGEVAYLENWVSTISSLTTPATDVEFSVTFEWDESMGVPGAFIIKNHHHSQFYLKTLTIEHIPGHGPVKFLCNSWVYPIHRYAYDRVFFANKAYLPCQTPEPLRRFREQELIVLRGKGFGRLKEWDRVYDYACYNDLGSPDNGPNTARPVLGGGSQFPYPRRGRTGRPHTKTDPKTESRLHLLCLKKVYVPRDEQFGHVKFSDFLAYALKSVSQVMLPEITSLCDKTFNEFDTFEDVLRIYKGCIKLPSDTLVKKLRELVPYEVVRELIRNDGERFLKFPVPDVIKETKRAWRTDEEFAREMLAGVNPVIIQRLQEFPPVSKLDPKAYGDQNSSIRATHIENSLDGLTINEAIQEMRLFILDHHDALMPYISRINSTNTKTYASRTLLFLQDDGTLKPLAIELSLPHPQGEQNGAVSRVFVPAKEGIAASVWQLAKAYVAVNDSGYHQLVSHWLHSHAVIEPFIIATHRQLSILHPIYKLLKPHFKDTMHINALARHTLINAGGVLEKTVFPGKFSMEMSAVIYKSWVFPEQALPADLLKRGMAVPDSSYPHGLKLVIEDYPYAVDGLEIWEAIETWVTEYCNLYYTSSEMVEEDDELQNWWKEVRNEGHGDLKDRSWWGEMKTREELIQSCTIIIWLASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPELGTPEYEELRSNPDLAFLKTITAQFQTLLGVSLIEVLSRHSTEEVYLGQSENTEWTLDAEPLKAFDRFSEKLLEIESKITKRNKDERLKNRNGPVKVPYTLLYPNTSDYSKEGGLTGKGIPNSISI >Vigun10g113850.1.v1.2 pep primary_assembly:ASM411807v1:10:31620458:31621437:1 gene:Vigun10g113850.v1.2 transcript:Vigun10g113850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTKKCKLMFKNYIWRINYFSKVNRKVLCSDRVIFNDLQWRIVIGRIHGLNSYLRIHLQVYKGVGASYLRERKKKLHCNLALINQVDEKMTIKKGIDLKLSLLLPFYGFTDFLPVHELKNPDTGFILNDTCMIEVGIFMNNYVCEDEEYQPIGKIQNNNNPLFHEMFRSSFGNIEQSFVSILEQVCLQHPSLVESQEKRSSRFTEWAFTALGRVLHFLSTKKMNDM >Vigun07g026700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2406810:2407424:-1 gene:Vigun07g026700.v1.2 transcript:Vigun07g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPSSNLKTSHTVQVFSAIKPSPHAPSQSSSSALSSMKLKSLIHTLIVSHMCRIIRALSKLKAAILQTLKGNHSNNIHYLHHRKQKMTKKIISGSFRLHYNWCSSKSSQLITVPARVFQGLSNPPDSDHFYEDCHGSAHLSGYLRWLEDKISDDDSNDTKSYCDTNEIDMLAELFIADCHEKFRLEKQESDRMFHEMLARGM >Vigun08g084200.2.v1.2 pep primary_assembly:ASM411807v1:8:18323783:18334308:1 gene:Vigun08g084200.v1.2 transcript:Vigun08g084200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKPLIELEPDDDGRIRRTGNVLTASTHIITVVVGAGVLALAWAMAQLGWIAGIGCIVTFSAISIFTYSLVADCYRYPDPVTGQRNYTYMQAVKAYLGGTMHVLCGLIQYTKLAGITVGYTITSSTSLVAIRKAICFHNAGNAASCKFSNNPSMIGFGILQIFLSQIPNFHELTWLSTAAAITSFGYVFIGSGLCLSVVLSGKGAATSITGTKLPVEDKLLKVFTAMGNIALACTYATVIYDIMDTLKSHPAENKQMKRANVVGVTAMTILFLLCSGLGYAAFGDNTPGNILTGFTEPFWLVALGNGCIIMHMIGAYQLNNDLILRYYYVKIRSFSPRI >Vigun08g084200.1.v1.2 pep primary_assembly:ASM411807v1:8:18323783:18334308:1 gene:Vigun08g084200.v1.2 transcript:Vigun08g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKPLIELEPDDDGRIRRTGNVLTASTHIITVVVGAGVLALAWAMAQLGWIAGIGCIVTFSAISIFTYSLVADCYRYPDPVTGQRNYTYMQAVKAYLGGTMHVLCGLIQYTKLAGITVGYTITSSTSLVAIRKAICFHNAGNAASCKFSNNPSMIGFGILQIFLSQIPNFHELTWLSTAAAITSFGYVFIGSGLCLSVVLSGKGAATSITGTKLPVEDKLLKVFTAMGNIALACTYATVIYDIMDTLKSHPAENKQMKRANVVGVTAMTILFLLCSGLGYAAFGDNTPGNILTGFTEPFWLVALGNGCIIMHMIGAYQVMGQPFFRIVEMGANMVWPHSDFINKEYPLMMGNVMVRFNLFRLVWRTIFVILATILAMVMPFFSEVLSLLGAIGFGPLVVFFPIQMHIAQKQITKLSLRWYCLQFLSCLGFIISVTAVIGSIHGIIQDFHKTNLFMYKQ >Vigun04g117800.1.v1.2 pep primary_assembly:ASM411807v1:4:29978953:29981093:-1 gene:Vigun04g117800.v1.2 transcript:Vigun04g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKQQPSPLRKMVAVSSIAAGIQFGWALQLSLLTPYVQTLGVPHVWASFIWLCGPISGLVVQPIVGYSSDRCQSPFGRRRPFILAGAIAVAVSIFLIGYASDIGHMAGDDITKRTRPRAVAIFVTGFWILDVANNMLQGPCRAFLGDLAAGDSRKTRTANAFFSFFMAVGNVLGYAAGSYNDLHKIFPFTETVACNIFCANLKSCFFFSIILLLGLCIIVLSCVDDPQFTPTRKELKEDEARKTQPSCFSGECCAAFKGLEKPMWMLMLVTAINWIAWFPYVLFDTDWMGREVYGGDVGQKAYDAGVQAGSLGLMLNSVVLAVVSLGVEPLGRLVGGTKWLWAIVNVILAVCMAMTVLITKIAERQRANNPALIGNPSMDVKIGSLAFFCVLGIPLAVTYSVPFALASIYSSSSGAGQGLSLGLLNIAIVIPQMIVAAISGPWDALFGGGNLPAFVLGAVAAAISAILAVVLLPNTKKEDEANISNLKMASFH >Vigun02g064600.1.v1.2 pep primary_assembly:ASM411807v1:2:21331196:21334134:-1 gene:Vigun02g064600.v1.2 transcript:Vigun02g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYTILFLTCLVVNIPTLYGNVLEDEEFWEKQANSFDDYWKKREASAEQENKKAYIDDPFSFTGNVTDNITDLIVSDEKEEKKGRKLAGKLANSACVATNPIDRCWRCDKDWEKNRKKLADCVLGFGRNTVGGKNGSFYKVTSNLDNDMVNPVPGTLRYAVTRTAPLWIYFSRSMTIRLNQELIVASNKTIDGRGVDVYIVNGAGFTLQFVKNVIIHGIKIFDIQTGNGGLIRDSETHYGHRTQSDGDGISIFGSSHVWIDHVSMRNCQDGMIDAIMGSTAITISNSHFTDHNEVMLFGASDSHTVDKVMQITLLFNHFGKRLVQRMPRCRHGFFHVVNNDYTNWEMYAVGGSKHPTIISEGNRFRAPDDHNAKEITKRTLTTQEEWKNWQWRSIRDEMVNGAYFIESGPVLTNRPFAKNDMVSSKPGSYVVRLSRYAGALKCVKGKPC >Vigun03g350400.1.v1.2 pep primary_assembly:ASM411807v1:3:55131382:55137208:-1 gene:Vigun03g350400.v1.2 transcript:Vigun03g350400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSINFLRDHRVGPYDALLKPSFRPPIWKNFRNSHLEVKGHFNLSESGRRCAIRSDLRGPVGATVDFPLTLQGSRRRNSQICNKATTDISGDIPESAGELSQYEKVIETLTILFPVWVILGAIIGIYKPTAVTWLDKDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIVMTLKLSAPLATGLILVSCCPGGQASNVATFISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAVGLAVSTFQVVLVPTVVGVLANEFFPKFTSKIIVVCPLIGVILTTLLCASPIGLVSDVLKAQGAQLVLPVVFLHAAAFTLGYWFSRISFGESTSRTISIECGMQSSALGFLLAQKHFKNPLVAVPSAVSVVCMALGGSALAVFWRNKPIPLDDKDDFKE >Vigun01g056800.2.v1.2 pep primary_assembly:ASM411807v1:1:11354556:11367002:-1 gene:Vigun01g056800.v1.2 transcript:Vigun01g056800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLRCGGKTCMNDDDVENNYKGKEQPSRNVIEENTLQSSEERRSDEAKAHGWWLLPKTTYEAIKENNPESDWAEIDSRTLRQQSPRRNTVLHIASLYGNDKCVKKIVEIGSNLLRAKNSNGDTPLHVAARAGNISTLKIMLPALLHDLDPKSEKAKEAIFVTNSQNNTFFHEALLNGHKDVMKILDISQDFKKLVEETAFSITDNEYKSVLLLAFEKGYEDIVDDVLTRIIPSNEEKYSSLVKDNAEPIMNDGEFSYGGPVAEGRMANMDMEMDKPSIIMRTFSKNQGVRSPAIVAILKENKGILEKIVNKKREWIHVKDQKGRNALHYAASMGYLNGVECLLQNCDTCNMERDKNGFYPLHMASACGHIEVVKKLLQNFPNPIEIIDKKGRNIVHIAAIMGQFDVVRYILQDANDGVKDMINSKDYDGNTPLHLAASHCHPKIVQALTWDTRVNLNLLNNNNQSALDAFEQVKQQNNPPIAQRLTWCQLKSAGIQNGERGSHSIYVPSSPYKPKSKDAEFYKDRINTLMLVSTLITTVAFAGGFTLPGGTNSSSPGQGMALMLIHVWFKPYILCTTISMYGGISVTIILIWAQLGDVTLALFALKVARPLLGVTLATLSIAFLAGVHLVISDLSWLATTVLILCVIFILLLLLLYTLLWFPSESSNLIMRYISFYPFQFLTWLLEKDSIEELEEHKHEEKKEEIDENDDDDGDDDDDDDDDDVEDEQDDDEDEDDKDNDDDKD >Vigun01g056800.1.v1.2 pep primary_assembly:ASM411807v1:1:11354556:11367002:-1 gene:Vigun01g056800.v1.2 transcript:Vigun01g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLRCGGKTCMNDDDVENNYKGKEQPSRNVIEENTLQSSEERRSDEAKAHGWWLLPKTTYEAIKENNPESDWAEIDSRTLRQQSPRRNTVLHIASLYGNDKCVKKIVEIGSNLLRAKNSNGDTPLHVAARAGNISTLKIMLPALLHDLDPKSEKAKEAIFVTNSQNNTFFHEALLNGHKDVMKILDISQDFKKLVEETAFSITDNEYKSVLLLAFEKGYEDIVDDVLTRIIPSNEEKYSSLVKDNAEPIMNDGEFSYGGPVAEGRMANMDMEMDKPSIIMRTFSKNQGVRSPAIVAILKENKGILEKIVNKKREWIHVKDQKGRNALHYAASMGYLNGVECLLQNCDTCNMERDKNGFYPLHMASACGHIEVVKKLLQNFPNPIEIIDKKGRNIVHIAAIMGQFDVVRYILQDANDGVKDMINSKDYDGNTPLHLAASHCHPKIVQALTWDTRVNLNLLNNNNQSALDAFEQVKQQNNPPIAQRLTWCQLKSAGIQNGERGSHSIYVPSSPYKPKSKDAEFYKDRINTLMLVSTLITTVAFAGGFTLPGGTNSSSPGQGMALMLIHVWFKPYILCTTISMYGGISVTIILIWAQLGDVTLALFALKVARPLLGVTLATLSIAFLAGVHLVISDLSWLATTVLILCVIFILLLLLLYTLLWFPSESSNLIMRYISFYPFQFLTWLLEKDSIEELEEHKHEEKKEEIDENDDDDGDDDDDDDDDDVEDEQDDDEDEDDKDNDDDKD >Vigun04g084200.3.v1.2 pep primary_assembly:ASM411807v1:4:13427696:13440491:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRLFVPQWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.7.v1.2 pep primary_assembly:ASM411807v1:4:13427696:13440492:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.5.v1.2 pep primary_assembly:ASM411807v1:4:13427752:13440090:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRLFVPQWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.1.v1.2 pep primary_assembly:ASM411807v1:4:13427684:13440517:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRLFVPQWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.8.v1.2 pep primary_assembly:ASM411807v1:4:13427752:13440090:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.6.v1.2 pep primary_assembly:ASM411807v1:4:13427752:13440485:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRLFVPQWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g084200.2.v1.2 pep primary_assembly:ASM411807v1:4:13427684:13440517:-1 gene:Vigun04g084200.v1.2 transcript:Vigun04g084200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEWHVETRQSSKTESPSSRDSENAHETGSLSIVILGASGDLAKKKTFPALFHLYEQGFLPRNEVCIFGYARTKITDDELKNRLHGYLVPGKDSSSEQLEEVSKFLHLIKYVSGSYDSKDGFCNLDKEISEHESLKSSAQGSSRRLFYLALPPTVYPSVCKMIKTYCMNKSDLGGWTRIIVEKPFGKDLESAEQLSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRLFVPQWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKAEHIRDEKVKVLESVLPIKDDEVVLGQYEGYRDDATVPDDSNTPTFATIILRVNNERWDGVPFILKAGKALNSRKADIRVQFKDVPGDIFKCKRQERNEFVIRLQPSEAMYMKLIVKKPGLDMEIVESELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHQIDEGEFKPIPYKPGTRGPAEADKLLLEKAGYVQTPSYKWIPPTL >Vigun04g143525.1.v1.2 pep primary_assembly:ASM411807v1:4:35657295:35659150:-1 gene:Vigun04g143525.v1.2 transcript:Vigun04g143525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVILNFCNSKLGILNFKFRFPCIFWLFDALYLCMVIENGTKGSTQACDESKCHTHIKCTIDKEIPIFVRFNILFMWWFLCSTQLVKWFPTKIGIIFIT >Vigun04g143525.2.v1.2 pep primary_assembly:ASM411807v1:4:35657295:35659182:-1 gene:Vigun04g143525.v1.2 transcript:Vigun04g143525.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVILNFCNSKLGILNFKFRFPCIFWLFDALYLCMVIENGTKGSTQACDESKCHTHIKCTIDKEIPIFVRFNILFMWWFLCSTQLVKWFPTKIGIIFIT >Vigun05g201600.2.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQVPYNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.8.v1.2 pep primary_assembly:ASM411807v1:5:38867526:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDLCSRRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.10.v1.2 pep primary_assembly:ASM411807v1:5:38867618:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQVPYNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.11.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.4.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.12.v1.2 pep primary_assembly:ASM411807v1:5:38867077:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.5.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.3.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.13.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDLCSRRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun05g201600.9.v1.2 pep primary_assembly:ASM411807v1:5:38866143:38872236:-1 gene:Vigun05g201600.v1.2 transcript:Vigun05g201600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDQFQASGNWWDTARNVRYESGASQSSSSAITNINNFAWQASDMADMKPRSSMDSSSVVFHDSQNKLQPPDSTTSTDPNLHMMGLGLSSQAMDWNQASLLRGEKGTENSFRSMLQENLSSSRTNFQQETGVELSQQVQWRSEKMFSAESSSNEFKQVNRGFSLDQSKFSPQYSSGDSTVTSQGLPSSNFQMDSSALYGTPSILQGLLGPDHNNQQQPSSFENRSMSFPYPTTYGLNSNNELIPSWSKVPQFLRGSPPKQPPNNQLHFTNNAPFWNASEAANFKDVRSSFFPSLQPPFSTPNFEVQSKNISEVRESGNVGKKSGNEPAPKRTRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTALSTPYMKSGAPIQIQQVPYNSGKCKETEGPKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGASR >Vigun04g046900.3.v1.2 pep primary_assembly:ASM411807v1:4:4041272:4044276:-1 gene:Vigun04g046900.v1.2 transcript:Vigun04g046900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPLLEQYRINSVFQRRRYERIFLFLLLLTTFIEDHGLRSLQFIYLKERHCTALHTNSTLQSIYKFHIYLKINSIYTLTSHLMPTITSRTFTYDVFLSFRGEDTRYGFTGNLYKALCDKGIHTFIDDDKLERGEKITPALMKAIQESMIAITVLSHNYASSSFCLDELAAILDCKNKGLLVIPLFYKVDPSYVRHQKGSYGEAFTKQQKRFKDKEKLRKWKMALRQVADLSGYHFKDSIEYEYKFIGSIVERVSSEINRGCCLHVADYQVGLDSQVLEVRKVLEVGCYDGVHMIGIHGMGGVGKSTLARAVYNSLIAENFDSLCFLEDVREKSNQNGLEHLQSILMSQVLGKEEINLTSKQHSMIKCRLKRKKILLILDDVDNLEQLRALAGGCDWFGPGSRIIITTRDKQLLATHQVTRTYEVRELNEKDALRLLTLKAFKKKKADPDYVLVLNRVVTYASGLPLALEVIGSNLFGKSVKEWESATRQYKRIPKKEIIEILKVSYEALEEEEKVVFLDIACCFKGYALREVEDILGALYDNCMKHHIGVLVEKSLLKVSILSTVEMHDLIEDMGRKIDQKESPNEPGKRRRLWLPKDVIQVLKYNMGTSKIEIMRLDFSISENEETVEYDENTFMEMENLKVLINRNVKFSKGPNYFPESLRVLEWHRYPSNCLPSNFHPTKLVICKLPESCFASFGFHASSKKFENLTSLNFDDCKLLTRIPDVSDLQNLEKLSFEWCDSLTAVHDSVGFMTKLKILSAEGCSKLTSFPPLHLTSLERLELSYCSSLENFPQILGNMKNIKRLELINLPIKRVAEFVSKSHGTQKFTCELRFCSVK >Vigun08g164900.1.v1.2 pep primary_assembly:ASM411807v1:8:33704047:33705047:1 gene:Vigun08g164900.v1.2 transcript:Vigun08g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSPCASCKLLRRRCSKDCIFAPYFPSDEPQKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEASARVRDPVYGCVGAISYLQNQVADLQMQLAVAQAEILCIQMKNESLIPPSETDLHQKSYLLQNNFPHLLDFNTSNSVVHCSPNHVTQ >VigunL020433.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000270.1:16208:17269:-1 gene:VigunL020433.v1.2 transcript:VigunL020433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNMKLSTVSFDVPRANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun06g184850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30419406:30422236:1 gene:Vigun06g184850.v1.2 transcript:Vigun06g184850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVTPIGIDLGTTYSCVAVWQDSRVQVISNDQGNRTTPSYVAFTDTQRLLGDDAMNQRFKNPHNTVFDAKRLIGRRFSDVSVQQDMKLWPFKVVPGTRDKPMIAVTYKGEEKHLAAEEISSMVLFKMKEVAESYLGHAVRDAVVTVPAYFSNAQRQATKDAGKIAGLNVLRIINEPTAAAIAYGLDRKGFRQGDQNVLVFDLGGGTFDVSLVTIDEGMFKVKATVGDTHLGGVDFDNKLVNHLVNVFREKYKKDISGNAKSMLRLRSACEKAKRILSSTSQTMIELDSLYEGIDLYASVTRALFEELNKDLFIKCKETVEKCLVEAKVDKSQVHEIVLVGGSTRIPKVQQLLKEMSSVNGNIKELCKSINPDEAVAYGAAVQAAILSGEGDKKVEELLLLDVMPISLGIEGAGGAMSVLIPKNTMIPTKKEKVCSTFYDNQTSVTVKLFEGERVKAKDNVFLGQFVLYGFEPSLKGVPQINVIFDVDADGIVEVTAEDKATGLKKKIAINNKQGRLNPEEIRKMVRDSMKYRAEDEVGKRKVKAKNALENFAYEMRERARRIEEAVEETIEWLENNQLAEIEEIEYKKRELGSYLKDYPNPNNTSNSEVKVPIWCTWRCPPSGWVCLNTDGSVFENHRTGCSRGACGGLVRDSAGCFLGGFSVNLGPTSVTLAELWGVVHGLKLAWDLGCKKVKVDIDSSHALGLVRHCPVGNDPAFAVVQEINELVRKDWLVEFSHVFRESNRAADRLAHLGHSHSFESGGKRFSDPPSAIVEFLRDDLAGLAKQRGVP >Vigun06g155900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27933698:27935449:1 gene:Vigun06g155900.v1.2 transcript:Vigun06g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYHKGTVHPSPPLISDHLAFLPAAILTLAAALSPDDREVLSYLISCSSAAASTFSGNPRRKSAAETAHSPAFNCSCFGCYTSYWVRWDDSPNRQLIHEIIDAYEDSLAQIGNKGKKNGKGKKEKRNHRRAGGSSSSSSSKHAHSSELKRSELASLAIHDSAESEAVVEGNGGGDEGCEGVVVFEEKGSVRRFVSFIGERIWGGWGQ >Vigun01g020900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2201317:2201968:-1 gene:Vigun01g020900.v1.2 transcript:Vigun01g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFSVNFFLVALIAALFFAVATAEELTPAAAPGPDAGAAASVSTSMAVIGASIVVSMFAILKQ >Vigun02g123600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27578370:27579235:1 gene:Vigun02g123600.v1.2 transcript:Vigun02g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKSNKLPQAVVLKQIVKRCSSFGKKQTFNEEGLPDDVPKGHFAVYVGENRTRYIIPISWLAYPQFQSLLQRAEEEFGFNHDMGLTIPCDEVAFESLTSMMR >Vigun01g204000.1.v1.2 pep primary_assembly:ASM411807v1:1:37999010:38000154:-1 gene:Vigun01g204000.v1.2 transcript:Vigun01g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIVSGLIWFLVLFTTELGVASAAWLRAHATFYGGSDASGTMGGACGYGNLNTDGYGIKTAALSTVLFNEGKSCGGCYTIVCDANQVPQWCLRGTSITITATNFCPPNYALPNDNGGWCNPPRPHFDMSQPAFETIAKYKAGIVPILYRKVGCKRSGGIRFSMNGRDYFELVLISNVGGGGEISGVWIKGSKMNKWESMTRNWGANWQSLRYLNAQSLSFKVQLSNGKTRTANNAVPSNWRFGQSFITNVQF >Vigun09g128400.4.v1.2 pep primary_assembly:ASM411807v1:9:28541037:28542677:1 gene:Vigun09g128400.v1.2 transcript:Vigun09g128400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYFGNPNFRHSAMLNMAPSPSSDFIISDYLILEDVVDYHHEECWSQSTETESSEKANSSDASHGFGDATSTTNKNIKCKNKGIQQKKAEVTQSITFRTRSQLEIMDDGYKWRKYGKKTVKNNSNPR >Vigun09g128400.3.v1.2 pep primary_assembly:ASM411807v1:9:28541037:28543669:1 gene:Vigun09g128400.v1.2 transcript:Vigun09g128400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYFGNPNFRHSAMLNMAPSPSSDFIISDYLILEDVVDYHHEECWSQSTETESSEKANSSDASHGFGDATSTTNKNIKCKNKGIQQKKAEVTQSITFRTRSQLEIMDDGYKWRKYGKKTVKNNSNPR >Vigun09g128400.2.v1.2 pep primary_assembly:ASM411807v1:9:28541037:28543669:1 gene:Vigun09g128400.v1.2 transcript:Vigun09g128400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYFGNPNFRHSAMLNMAPSPSSDFIISDYLILEDVVDYHHEECWSQSTETESSEKANSSDASHGFGDATSTTNKNIKCKNKGIQQKKAEVTQSITFRTRSQLEIMDDGYKWRKYGKKTVKNNSNPSVQVKDAV >Vigun09g128400.1.v1.2 pep primary_assembly:ASM411807v1:9:28541037:28543668:1 gene:Vigun09g128400.v1.2 transcript:Vigun09g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYFGNPNFRHSAMLNMAPSPSSDFIISDYLILEDVVDYHHEECWSQSTETESSEKANSSDASHGFGDATSTTNKNIKCKNKGIQQKKAEVTQSITFRTRSQLEIMDDGYKWRKYGKKTVKNNSNPRNYYKCSGEGCSVKKRVERDRNDSNYVLTTYVGVHNHHTPSSYYTQMPLLHSDDWNLHPSATQNS >Vigun05g162400.1.v1.2 pep primary_assembly:ASM411807v1:5:25928509:25936831:-1 gene:Vigun05g162400.v1.2 transcript:Vigun05g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKENLKHHFGNPRFEPLPNQLLKLSNRGKFYEEMSMCDGTNSFWCEHGGSCEEIVQGEKYSCKCPDGFGGEHCEHSGAPCGESFCFHNAECLAEAGDVCQCPSEWRGSVDCSFLTKPTEDTSCFGFIFSGSSCCWCHLWKESIQQKKKRVVGRGQQSINL >Vigun06g074800.1.v1.2 pep primary_assembly:ASM411807v1:6:20571289:20571813:1 gene:Vigun06g074800.v1.2 transcript:Vigun06g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVLFPCLIVLFLITLGTDCADTKCQNFKECSHIQCIYPGCARACVAGRCLCSCRETPGENVLP >Vigun11g209300.1.v1.2 pep primary_assembly:ASM411807v1:11:40511189:40516989:1 gene:Vigun11g209300.v1.2 transcript:Vigun11g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDLGPFSNENFDPKKWINSACQSRHPQDSLDKHLVDMEMKLQMVSEEIAASLEEQSSAALLRVPRATRDVIRLRDDAVSLRSAVSSILQKLKKAEGSSAESIAALAKVDVVKQRMEAAYDTLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPRITDALSNRKADAAQDLRAILIRIGRFKSLESQYIKVHLKPIKQLWEDFDSRERGNKSVIEKNEMERISSSGDFHSVSPAIPFSTWLPSFYDELLLYLEQEWKWCMVAFPEDYKTLVPRLLSETMMTIGTSFQSRINIGIGDAVPETKALAKGLLDTLAGDMHKGIKIQTKHLEALIELHNMTGTFARNIQHLFSASDIRVLMDVLKAVYLPYELFKQRYGQMERAILSSEIAGVDLRGAVIRGVGAQGVELSETVRRMEESIPQIIILLEAAAERCISFTGGSEADELILALDDIMLQYISSLQETLKSLRTVCGVDYGSDSTLKKDTEKKDVNQNSRRVDLISNEEEWSIVQGALQILTVADSLTSRSSVFEASLRATLARLSTTLSFSAFGSALDQNQTINSSVEGEPSFGGRAALDMATLRLVDVPEKARRLFNLLNQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLSDVSRMSIWSSVEEQGSFPLPTFSAYPQSYVTRVGEYLLTLPQQLEPLAEGINNEVNDEAQFFATEWMFKVAEGATALYIEQLRGIQYISDRGAQQLSVDIEYLSNVLSALSMPIPPVLATFQSCLSSPRNQLQDLLKTDSGHQLDMPTANLVCKMRRVNLDS >Vigun06g202200.1.v1.2 pep primary_assembly:ASM411807v1:6:31701811:31705020:1 gene:Vigun06g202200.v1.2 transcript:Vigun06g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRIAAASRSYYTSRTNKPSLYSKISPLGNPNTSVVPVLDDWVYKGNKVRVAELQRIIRDLRKRSRFSQALQVSEWMYMNGACIFSPTEHAVHLDLIGNVQGFSAAESYFDALRDIDKTHQTYGALLNCYARQREIDKALSHFQKMKELGFASSPLTYNVIMCMYAKIGQHEKVPDVLSEMKKNQVMPDNFSYRISINSYGVRSDFGGVERVLKEMETQPHIVMDWNTYSIVANFYIKAAFRREAVSALKKSEERLGNKDGEGYNHLISMYARLELKNEVMRIWDLEKSACKRCINRDFTTMLESLVKLGELDEAEKILTEWESSGNCYDFGIPSIVIIGYAQKGLHEKAEAILLELQNKEKFTSPNCWSMVAGGYLHKGDTEKALRCFKTALSMYVENKGWKPNAKVVAELLRWIADNGSIEDAEDFIRLLREAVPVNRQVYHTLIKTYVRDGKEVDELLDNMEKDGIVENEETKKIINIRSA >Vigun04g065100.1.v1.2 pep primary_assembly:ASM411807v1:4:7092785:7094054:1 gene:Vigun04g065100.v1.2 transcript:Vigun04g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFLVVLFLSLTYGTVSEAKAHSAVVVGTVYCDTCSQHDVNPKTHFISGAKVGVECRVRHSVFKEEVETDKHGEFKVKLPFKVWKHAHRIKGCTVKLICSSEKHCDVPSVSTSSSVRLITRKQEELIFSAGLFSFKPAKKPRFCNHKQSVSNPSDKNAAVDTFFPPLPFPPLPPFPLLPPFPPLPPFPLLPPFPLLPPFPLLPPFPLLPPNPFLPPNPLLPPNPFLPPTPGLTPPSPLLPPQTPTPAPVLPPQTPNPVPPPIPLPQQ >Vigun02g154900.1.v1.2 pep primary_assembly:ASM411807v1:2:30143855:30148370:-1 gene:Vigun02g154900.v1.2 transcript:Vigun02g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFLFLFFHLHQTLSLPFSDYNVLISIRSAITDDATPPVLSSWNASTSHCSWLGVTCDAHRHVVALNLTGLNLSGTLSADIAHLPFLSNLSLADNKFSGPIPPALSSLSALRLLNLSNNGFNQTFPPELSRLQSLEVLDLYNNNMTGALPLAVAQMLNLRHLHLGGNFFSGRIPPEYGQWPRLEYLAVSGNELDGKIPPEIGNLTSLRELYIGYFNTYVDGIPPEIGNLSELVRLDAAYCGLSGEITPALGKLQKLDTLFLQVNALTGPLTPELGNLKSLKSMDLSNNMLSGEIPASFSELKNITLLNLFRNKLHGAIPEFIGDLPALEVVQLWENNFTGSIPEGLGKNGRLNVVDLSSNKLTGTLPPYLCSGNTLQTLITLENFLFGPIPEALGSCKSLTRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLSGEFPEAGSVAVNLGQITLSNNQLSGALPPSIGNFSSVQKLLLDGNMFSGRIPPQIGRLQQLSKIDFSGNKFSGPISPEISQCKLLTFLDLSRNELSGEIPNEITGMRILNYLNLSSNHLMGSIPSSISSMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGACKDGVTNGAHQPHGKGLSSSVKLLLVVGLLLCSIAFAVAAIFKARSLKKASEARAWKLTAFQRLDFTVDDVLHCLKEDNIIGKGGAGIVYKGAMPNGDHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLYWDIRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNNEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWTRKMTDSNKEEVLKVLDPRLSSVPLHELMHVFYVAMLCVEEQAVERPTMREVVQILTELPKLPGSKQDDSTESSLTSSNALESPSTASKDHHNPSQSPPADLLSI >Vigun02g019400.2.v1.2 pep primary_assembly:ASM411807v1:2:6736058:6736868:-1 gene:Vigun02g019400.v1.2 transcript:Vigun02g019400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSFVLAFLFVILTVEACYEPKTEESDNIVVVSCQTKQDCLRNIRALPCDPSIVSCSAGYCVCNHKNEESGNLF >Vigun02g019400.1.v1.2 pep primary_assembly:ASM411807v1:2:6736058:6736868:-1 gene:Vigun02g019400.v1.2 transcript:Vigun02g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSFVLAFLFVILTVEACYGHSFSTEPKTEESDNIVVVSCQTKQDCLRNIRALPCDPSIVSCSAGYCVCNHKNEESGNLF >Vigun07g164800.2.v1.2 pep primary_assembly:ASM411807v1:7:27698954:27703459:-1 gene:Vigun07g164800.v1.2 transcript:Vigun07g164800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIIGIRLGKIFDDSCLHQQFSSGIKTIVVIPIKALGVIQFGSRNKILERVEFLEQTQSMLTEIDDYMGMFDMLENAVLPLDYDTNELNGMLASISSASPNHPFPSHYKNYEEPMASFQADSSYLGDQLKGIMEAQVVLSDRNNTDVLLRPNSSTDNLIATNPYLGVCDGELSSFDLLEQQLVSSARPQDVADACFMNENAFPTSKVPVQDSALVPLCSMHKGSFQGKLYNSLDNQCSNQSSVVTDVDFSSSSYALRGHSESIEPVDMSEEILKFSSMDDLCHWFGPSSEDSICKAVIALDNNFSESTEFNPTSFDLVGSSSLNDVLVTGLAGYNSNTDGNETSVIMQNTEKGPLDFMEIDFSYEQVDEWWGNTLTPVVSGVTETGFSECISELNSDSLPGTRKRLFSELGIEELLRGGANYNPINSSEFECGLSPNKRQTVVESSSVNGSTIAELMQPLCDLDSTNNLLSKKDTFSKLQAATWVDDSHSINIRKSVQQHPQKPEEPKPTKKRARPGESPRPRPKDRQQIQDCIKELRGIIPNGGKCSIDSLLDRTIRYMLFLQSVLKYSDKLREPSEPKLIERENEVVLEDSGVADSKNCGITWAYEVGHETMLCPIIVEDTSPPGQMLIEMLCEVQGIFLEIIDVIRGFGLNILKAKMERKKNKLWARFIVEANRHVTRIDVFWSLIHLLQQTNTSGIDSSNKNWDAALHANSIKS >Vigun07g164800.1.v1.2 pep primary_assembly:ASM411807v1:7:27698954:27703459:-1 gene:Vigun07g164800.v1.2 transcript:Vigun07g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMPLTLRNKLKTLCSSSSSVDAWSYAIFWRFHPRNSLLLTVEEAYYEENQEEEISNMHPPVHLLGEGIVGEAAFTGKHSWVHSDGQTHYWNPTGQNICEDDSCLHQQFSSGIKTIVVIPIKALGVIQFGSRNKILERVEFLEQTQSMLTEIDDYMGMFDMLENAVLPLDYDTNELNGMLASISSASPNHPFPSHYKNYEEPMASFQADSSYLGDQLKGIMEAQVVLSDRNNTDVLLRPNSSTDNLIATNPYLGVCDGELSSFDLLEQQLVSSARPQDVADACFMNENAFPTSKVPVQDSALVPLCSMHKGSFQGKLYNSLDNQCSNQSSVVTDVDFSSSSYALRGHSESIEPVDMSEEILKFSSMDDLCHWFGPSSEDSICKAVIALDNNFSESTEFNPTSFDLVGSSSLNDVLVTGLAGYNSNTDGNETSVIMQNTEKGPLDFMEIDFSYEQVDEWWGNTLTPVVSGVTETGFSECISELNSDSLPGTRKRLFSELGIEELLRGGANYNPINSSEFECGLSPNKRQTVVESSSVNGSTIAELMQPLCDLDSTNNLLSKKDTFSKLQAATWVDDSHSINIRKSVQQHPQKPEEPKPTKKRARPGESPRPRPKDRQQIQDCIKELRGIIPNGGKCSIDSLLDRTIRYMLFLQSVLKYSDKLREPSEPKLIERENEVVLEDSGVADSKNCGITWAYEVGHETMLCPIIVEDTSPPGQMLIEMLCEVQGIFLEIIDVIRGFGLNILKAKMERKKNKLWARFIVEANRHVTRIDVFWSLIHLLQQTNTSGIDSSNKNWDAALHANSIKS >Vigun06g085600.2.v1.2 pep primary_assembly:ASM411807v1:6:21771831:21776433:-1 gene:Vigun06g085600.v1.2 transcript:Vigun06g085600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNKGYRDDRKAEPRYIETDPNGRYARVGDILGKGAMKTVYKAIDEVLGTEVAWSQVKLNDAFRKPEDLERLYLEVHLLSTLKHQSIMRFYTSWIDVNNKTFNFITEMFTSGTLREYRKKYKHIGLRAIKNWARQILQGLVYLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILHGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEMLTSDYPYSECTNPAQIYKKVTSGKLPAAFFRIEDTEAQKFIGKCLIAAAERPSAKELLHDPFLLSNDESSMAKIGIPKPFLNYSEMEKLQLSDDLPMTKMSITGKLNPEDGTIFLKVKISEKDGSCRNVYFPFDIYTDTPVDVAIEMVKELEITDLKPSDIARMIEGEISVLLPNWRNSNCPDTCHTFSYNDDKDNEDPHRLFRSISSCSSSLESTLGSASRVDDLLNGYHWLHGDVVDDGSSICSLHGTCSNSNLCSMDEDEEHYKSSTRKEKELMIKSHMCTRFSPNEDHKIVAGPQTACSGKSNRMIDNRRLTRNKSLIDTRSQLLHISLVEEINKRRFKTVGAVENIGFVTPYQVTNKFSNPASAAFDVNSSSTKGKNLRDKKI >Vigun06g085600.1.v1.2 pep primary_assembly:ASM411807v1:6:21771831:21776433:-1 gene:Vigun06g085600.v1.2 transcript:Vigun06g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNKGYRDDRKAEPRYIETDPNGRYARVGDILGKGAMKTVYKAIDEVLGTEVAWSQVKLNDAFRKPEDLERLYLEVHLLSTLKHQSIMRFYTSWIDVNNKTFNFITEMFTSGTLREYRKKYKHIGLRAIKNWARQILQGLVYLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILHGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEMLTSDYPYSECTNPAQIYKKVTSGKLPAAFFRIEDTEAQKFIGKCLIAAAERPSAKELLHDPFLLSNDESSMAKIGIPKPFLNYSEMEKLQLSDDLPMTKMSITGKLNPEDGTIFLKVKISEKDGSCRNVYFPFDIYTDTPVDVAIEMVKELEITDLKPSDIARMIEGEISVLLPNWRNSNCPDTCHTFSYNDDKDNEDPHRLFRSISSCSSSLESTLGSASRVDDLLNGYHWLHVFFAGDVVDDGSSICSLHGTCSNSNLCSMDEDEEHYKSSTRKEKELMIKSHMCTRFSPNEDHKIVAGPQTACSGKSNRMIDNRRLTRNKSLIDTRSQLLHISLVEEINKRRFKTVGAVENIGFVTPYQVTNKFSNPASAAFDVNSSSTKGKNLRDKKI >Vigun10g059800.2.v1.2 pep primary_assembly:ASM411807v1:10:11974255:11982809:1 gene:Vigun10g059800.v1.2 transcript:Vigun10g059800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTFHKWLTHFTDTKKCSTNTLLSPASKGHQSLYFHHYHRPVCQGCCYLSMNGCREGNDQHARARKPVGTIETRTLAAVASPAMAMYSLNMAISELKSEAPFGTSSGIVRVQVPIEEQVEAIDWLHSQNHLLLPRCFFSGRKHSPHGEENLVSVAGVGSAVFFSQPHPFSYWDWISIRRFLSERCPLVRAYGAIRFNAETKVSSEWLAFGSFYFMIPQVEFNELEGGSVLTITIAWDNDLSWSWENAINALQETLCKVSSSIVNFPKQTSPTLILSSHNIPSKVDWDLAVNRALQMIERNDSLLTKVVLARSTRVVPTSDIDPLAWLSCLKVEGENAYQFLLQPPNAPAFIGNTPEQLFHRKWLHITSEALAGTRARGASQALDRQIQLDLLTSPKDDIEFTIVRDTIRRKLEAVCERVVIKPEKIIRKLPRIQHLFAQLSGRLRSEKDEFEILSSLHPSPAVCGFPTEEAQLLIAETG >Vigun10g059800.3.v1.2 pep primary_assembly:ASM411807v1:10:11974255:11982809:1 gene:Vigun10g059800.v1.2 transcript:Vigun10g059800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQVEFNELEGGSVLTITIAWDNDLSWSWENAINALQETLCKVSSSIVNFPKQTSPTLILSSHNIPSKVDWDLAVNRALQMIERNDSLLTKVVLARSTRVVPTSDIDPLAWLSCLKVEGENAYQFLLQPPNAPAFIGNTPEQLFHRKWLHITSEALAGTRARGASQALDRQIQLDLLTSPKDDIEFTIVRDTIRRKLEAVCERVVIKPEKIIRKLPRIQHLFAQLSGRLRSEKDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDRGAFIYAGTGIVEGSSPYLEWDELELKTSQFTKLLKLDLPRDKK >Vigun10g059800.4.v1.2 pep primary_assembly:ASM411807v1:10:11974255:11982809:1 gene:Vigun10g059800.v1.2 transcript:Vigun10g059800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTFHKWLTHFTDTKKCSTNTLLSPASKGHQSLYFHHYHRPVCQGCCYLSMNGCREGNDQHARARKPVGTIETRTLAAVASPAMAMYSLNMAISELKSEAPFGTSSGIVRVQVPIEEQVEAIDWLHSQNHLLLPRCFFSGRKHSPHGEENLVSVAGVGSAVFFSQPHPFSYWDWISIRRFLSERCPLVRAYGAIRFNAETKVSSEWLAFGSFYFMIPQVEFNELEGGSVLTITIAWDNDLSWSWENAINALQETLCKVSSSIVNFPKQTSPTLILSSHNIPSKVDWDLAVNRALQMIERNDSLLTKVVLARSTRVVPTSDIDPLAWLSCLKVEGENAYQFLLQPPNAPAFIGNTPEQLFHRKWLHITSEALAGTRARGASQALDRQIQLDLLTSPKDDIEFTIVRDTIRRKLEAVCERVVIKPEKIIRKLPRIQHLFAQLSGRLRSEKDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGCIYICWDRDCGRKQSLSGMG >Vigun10g059800.1.v1.2 pep primary_assembly:ASM411807v1:10:11974255:11982809:1 gene:Vigun10g059800.v1.2 transcript:Vigun10g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTFHKWLTHFTDTKKCSTNTLLSPASKGHQSLYFHHYHRPVCQGCCYLSMNGCREGNDQHARARKPVGTIETRTLAAVASPAMAMYSLNMAISELKSEAPFGTSSGIVRVQVPIEEQVEAIDWLHSQNHLLLPRCFFSGRKHSPHGEENLVSVAGVGSAVFFSQPHPFSYWDWISIRRFLSERCPLVRAYGAIRFNAETKVSSEWLAFGSFYFMIPQVEFNELEGGSVLTITIAWDNDLSWSWENAINALQETLCKVSSSIVNFPKQTSPTLILSSHNIPSKVDWDLAVNRALQMIERNDSLLTKVVLARSTRVVPTSDIDPLAWLSCLKVEGENAYQFLLQPPNAPAFIGNTPEQLFHRKWLHITSEALAGTRARGASQALDRQIQLDLLTSPKDDIEFTIVRDTIRRKLEAVCERVVIKPEKIIRKLPRIQHLFAQLSGRLRSEKDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDRGAFIYAGTGIVEGSSPYLEWDELELKTSQFTKLLKLDLPRDKK >Vigun10g059800.5.v1.2 pep primary_assembly:ASM411807v1:10:11974255:11982809:1 gene:Vigun10g059800.v1.2 transcript:Vigun10g059800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTFHKWLTHFTDTKKCSTNTLLSPASKGHQSLYFHHYHRPVCQGCCYLSMNGCREGNDQHARARKPVGTIETRTLAAVASPAMAMYSLNMAISELKSEAPFGTSSGIVRVQVPIEEQVEAIDWLHSQNHLLLPRCFFSGRKHSPHGEENLVSVAGVGSAVFFSQPHPFSYWDWISIRRFLSERCPLVRAYGAIRFNAETKVSSEWLAFGSFYFMIPQVVLARSTRVVPTSDIDPLAWLSCLKVEGENAYQFLLQPPNAPAFIGNTPEQLFHRKWLHITSEALAGTRARGASQALDRQIQLDLLTSPKDDIEFTIVRDTIRRKLEAVCERVVIKPEKIIRKLPRIQHLFAQLSGRLRSEKDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDRGAFIYAGTGIVEGSSPYLEWDELELKTSQFTKLLKLDLPRDKK >Vigun07g202000.3.v1.2 pep primary_assembly:ASM411807v1:7:32333846:32335582:1 gene:Vigun07g202000.v1.2 transcript:Vigun07g202000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2 MAKDVEVTERGSFSGKDYHDPPPAPLIDAEELTKWSFYRALIAEFIATLLFLYITVLTVIGYKHQSDVKANGDICGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKSYYNKYGGGANSLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVPSQSFFLLLVSVSHPVPLF >Vigun07g202000.1.v1.2 pep primary_assembly:ASM411807v1:7:32333846:32336735:1 gene:Vigun07g202000.v1.2 transcript:Vigun07g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2 MAKDVEVTERGSFSGKDYHDPPPAPLIDAEELTKWSFYRALIAEFIATLLFLYITVLTVIGYKHQSDVKANGDICGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKSYYNKYGGGANSLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHWIFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSNPHV >Vigun07g202000.2.v1.2 pep primary_assembly:ASM411807v1:7:32333846:32336735:1 gene:Vigun07g202000.v1.2 transcript:Vigun07g202000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2 MAKDVEVTERGSFSGKDYHDPPPAPLIDAEELTKWSFYRALIAEFIATLLFLYITVLTVIGYKHQSDVKANGDICGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKSYYNKYGGGANSLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHVSNIHCLSVYELGYNL >VigunL043802.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:67084:68604:1 gene:VigunL043802.v1.2 transcript:VigunL043802.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKAIETMRAAPLFKARYDNFIGGAWSAPTTGEYFADHSPINGDRIAEFALSGPADVELALDAAHRAKAGWARLSPAERSRLLSRVADRLEQNLDLLALAETLDNGKPIRETRGADVPLAVDHFRYFAGCIRAEEGAISTIDADTIAYHFREPLGVVGQIIPWNFPLLMAAWKIAPALAAGNCTVIKPASQTPLTLMMLAELTADILPPGVLNVVTGPGRTVGQAIAANPRIAKVSFTGETVTGKAIMHAAADHLIPQTMELGGKSPNIFMADVLDEEDAFFDKALEGFTLFAFNKGEVCTCPSRALIHESIFDRFMERAVARVAAIRQGDPLDPATQLGAQASEDQLRKILGYIEIGKNEGAQCLIGGARALPGGELDGGYFVQPTVFVGDNSMRIFQEEIFGPVLSVTTFKTLDEAIAIANDTPYGLGAGVWSRSGNTAYRLGRAIEAGRVWTNCYHQYPAHAAFGGYKASGFGRETHKAMLDHYQQTKNLLVSYDEHGLGLF >Vigun03g420900.1.v1.2 pep primary_assembly:ASM411807v1:3:62656749:62659014:1 gene:Vigun03g420900.v1.2 transcript:Vigun03g420900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQHKSPKTYPTLSQVLEEVKRMTDIGFPISAMSLVGYLKNLTLVVCMGRLGSLELAGGSLAIGFTNITGYSVLSGLAMGMEPLCTQAFGSRNFSLLSLTLHRTILMLLLFSLPISLLWLNLQPLMLFLHQNPDITRVATLYCRFAIPDLIANSFLHPLRIYLRSRGTTWPLLWCTLLSILLHLPTITFLTFKLHLGVPGIAVSSFVANFNNLFFLLLYMFYTRVPEESLHVPLLLSRTTSHDNTVITCSGGTLAMEWGVLMKFSIQSCLAVCLEWWWYELMTISAGYLNNPRVALATAGIVIQTTSLLYTLPTALSASVSTRVGNELGAGQGERASLATVVAIGLALASSIFGLLWTTVGRERWGRVFTSDGEVLQLSMAVLPIIGVCELANCPQTTSCGILRGSARPGVGAVINFCSFYLVGAPVAIVLAFVWKLGMVGLCYGLLAAQIACVVSIFVVVYKTDWERESSKARSLVGKGVCGTFAFEEGVVFLKQNNSEK >Vigun01g129700.1.v1.2 pep primary_assembly:ASM411807v1:1:30752924:30754597:1 gene:Vigun01g129700.v1.2 transcript:Vigun01g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLISPSMNRYLWGVPYSSVSPVRNNNTNVVNVTRLHCTVTTNLFSHSLVHAKLSARATSDEVDTQIVAEELAEETNVEEKSNQENTSTFSAPIDKELKKVAQKTAATFAPRASTATKNPAVPGTVLYTVFEVQGYVSVLLGGALSFNLIFPSDEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLINVVIPFFWKSFAVVWSADVVAFLGMYAWKFGWFQRTD >Vigun02g055600.1.v1.2 pep primary_assembly:ASM411807v1:2:19901832:19903658:-1 gene:Vigun02g055600.v1.2 transcript:Vigun02g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRGDLLSQTRKLVKGLAKAQPVWLKAMEQAPPATFPRVAEKIPTITLPEDAYVKKFYKKYPESKSHDPIRFHAFDPPPSRIFALRVLELKEHGVSEERAMAIADMEYLTEKKAKKKAYSRLKEIARIQGKRPPPNPYPSAIKEIQAEERKYVRDRFFNPKMLEIVKQQKAEAMERFNARAGGDW >Vigun10g171550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39028135:39028595:-1 gene:Vigun10g171550.v1.2 transcript:Vigun10g171550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFSFFHVAFCTIINDITGICSSFNAGKYPAGEVGHPNSMPSSKGIAQIGC >Vigun03g330700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52800175:52802625:-1 gene:Vigun03g330700.v1.2 transcript:Vigun03g330700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKSKNEKSLCEKSMKVVVNIIKLSSFSIAQKSLGGTSKKSGPESDMDSDEEAPVPDQFPTTRRSQQPQSRANPTYVIKSCGSNGSTEHLIYQERVPTDVNPNKEQCVDGLASDYISKIRNKLGRGV >Vigun08g066300.1.v1.2 pep primary_assembly:ASM411807v1:8:9788529:9791890:1 gene:Vigun08g066300.v1.2 transcript:Vigun08g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLIFSFIFFLLCVLIYAKASTKTEVSRTNESNPFSYHIKALEIIWSHLGYQHVWPEMEFGWRIVVGTLIGILGAAFGSVGGVGGGGIFVPMLILILGFDPKSAVSISKCMVTGAAISAVFFCLKQKHPTLDEPVIDYDLMLLIQPTLMLGISIGVILSVIFADWMVTILLIILCLVTSIRAFFNGSETWKKETKMKEETIKLSESTATCSDEEGYKYLPGCAEEGAAKDSRKVEVSFLGNIYWKEFVLIFLVWLAFVILQIAKNLVASCSVRYWILTLSQIPITVGFYLYQARALYKGKSAGGQHTHWPLHHLFLAGICSLLAGIVGGLLGTGSGFVMGPLFLEVEIVPQVASATATFGMMYSASLSVVQYYLLNRFPVPYALFLTLVAAIAAFIGQHLIDKLVNIFKRASLIIFVLAFTIFASAIALGGVGISNMILKIQNDEYMGFENFC >VigunL059658.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:26975:27736:1 gene:VigunL059658.v1.2 transcript:VigunL059658.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWRFGIRVSSFGFGFWVWGFEFRVTGFESHFSECRISGFGLWISGLRFRVTDYELQVTGFGSHFSGVGFQVSGFGFSILGYGLRVSCYGFSVWSFGFRVSVSHLGFRISGFVFGFSGFGDFRLSISDFGFRLSGFEFRVSSFGFLVLGFGFGVSCFGFRLSGFEFRVWGFEFRVTGFGFGVWDLRFQVSGFGFRISGFGFRFSGLGFRDLVFRVSTLGFRISGFGLRVSNFEFRGSSLGFQVWGFGFRVSG >Vigun01g130326.1.v1.2 pep primary_assembly:ASM411807v1:1:30794622:30807146:-1 gene:Vigun01g130326.v1.2 transcript:Vigun01g130326.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHVVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKIIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCDVWIPGLRVMQLHFKESLHFDS >Vigun01g157200.1.v1.2 pep primary_assembly:ASM411807v1:1:33953407:33955283:-1 gene:Vigun01g157200.v1.2 transcript:Vigun01g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Vigun10g099600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29170356:29172487:1 gene:Vigun10g099600.v1.2 transcript:Vigun10g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGDEGGQMDDDDQASDWEDRLGGNVPPSNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCTSEDADSFVSSPGSDADDGGIGGGDRRGCGWSKRKRSLRTKVGSFNYNCPSSEEEDLANCLIMLSNGTVDPLAAEPEESCASASKEEEQRRNPMNFIAPLSYKVNNNNNNNIHNMNNLVDNKAKGIAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDNLDDNLMTEDDVITHEELFPTKSNSTLQFEHGSNPTLPSSSKRKSKVHECSICHRSFSSGQALGGHKRCHWITSNAPDTSTLTRFQQFHDHLDQIPKFDTSSEPLDLKLDLNLPAPSNDLARRNVSTEIYLQPWVTSKDVKDDNNSQCQSLHHNNNVVNVDIDNNTNNNEDEKNTNNPMQNVDNETDNKIKLAKLSELKDMNIGGSSSPWLQVGIGSAATDVTNDNT >Vigun09g069401.1.v1.2 pep primary_assembly:ASM411807v1:9:7442699:7443663:-1 gene:Vigun09g069401.v1.2 transcript:Vigun09g069401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWENKLTLGTNYFTDRMGNVMNLEFEEDLIRKGIFRIPPYFEEFYELRDLHYVCTVFCGDRYFRLRIFDLQWTEIEYRGLVDSYIAEEDLVWSRFLSSFRILLTSKESTISLDPYFHLFWEKKIVFDQEMEFVDPNSKTFKFEFHVTHNATTIFRGPIRKMFKYYNLKDEV >Vigun06g107901.1.v1.2 pep primary_assembly:ASM411807v1:6:23708797:23711989:-1 gene:Vigun06g107901.v1.2 transcript:Vigun06g107901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVYRNELIQKILISLFTNKGCESISSHLPNYQGNRELITHSQRKKSLLIMGPGGPGPGPPPGWGPPGPPGPGFFCGFCDLINSCLSFLCCCWLLQDCFGGRHGPRGGPFSPPGPP >Vigun03g264000.1.v1.2 pep primary_assembly:ASM411807v1:3:43307308:43311141:-1 gene:Vigun03g264000.v1.2 transcript:Vigun03g264000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVKSTLSNLAFGNVMAAAARDYQKELLAQQKAEGSSSVNEEVDLDELMDDPELEKLHAERIAALKKEVEKREEWKKKGHGEYREITEGDFLGEVTGSEKVICHFYHKEFYRCKIIDKHLKSLAPKHIDTKFIKLDAENAPFFVTKLAVKTLPCVILFRQGVAVDRLVGFQDVGGKDDFTTRTLEALLIKKGIIAEKKDEDDEDDEYLDSTRRVVRSSTIAGSDSDSE >Vigun03g264000.2.v1.2 pep primary_assembly:ASM411807v1:3:43307308:43311141:-1 gene:Vigun03g264000.v1.2 transcript:Vigun03g264000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVKSTLSNLAFGNVMAAAARDYQKELLAQQKAEGSSSVNEEVDLDELMDDPELEKLHAERIAALKKEVEKREEWKKKGHGEYREITEGDFLGEVTGSEKVICHFYHKEFYRCKIIDKHLKSLAPKHIDTKFIKLDAENAPFFVTKLAVKTLPCVILFRQGVAVDRLVGFQDVGGKDDFTTRTLEALLIKKGIIAEKKDEDDEDDEYLDSTRRVVRSSTIAGSDSDSE >Vigun03g264000.3.v1.2 pep primary_assembly:ASM411807v1:3:43307318:43310990:-1 gene:Vigun03g264000.v1.2 transcript:Vigun03g264000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVKSTLSNLAFGNVMAAAARDYQKELLAQQKAEGSSSVNEEVDLDELMDDPELEKLHAERIAALKKEVEKREEWKKKGHGEYREITEGDFLGEVTGSEKVICHFYHKEFYRCKIIDKHLKSLAPKHIDTKFIKLDAENAPFFVTKLAVKTLPCVILFRQGVAVDRLVGFQDVGGKDDFTTRTLEALLIKKGIIAEKKDEDDEDDEYLDSTRRVVRSSTIAGSDSDSE >VigunL046398.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:497998:499116:1 gene:VigunL046398.v1.2 transcript:VigunL046398.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTGCQERRGGRGKLSVPGSPVAGSSGTTRILIALWRAQYDESCELCSGGSYCLSLASMFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLCVDPEIPDIGQPFELLLNPRAGKRQPGELKHLSSQRKRKQKRFP >Vigun02g205000.1.v1.2 pep primary_assembly:ASM411807v1:2:33853990:33859877:-1 gene:Vigun02g205000.v1.2 transcript:Vigun02g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKSPISDVGAWAMNVISSVGIIMANKQLMSNNGYAFSFASSLTGFHFAVTALVGLVSNATGYSASKHVPMWELIWFSLVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYSREVKMSVVVVVIGVGVCTVTDVKVNLKGFICACLAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQALFLLILGPFVDYYLSGKLITNYKMSSGAILCIILSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNIMGMIIAVLGMVIYSWAVEAEKQSNPKTLPHHAKNSMTEEEIRLLKDGLEKIPLKDVELGEAEG >Vigun02g205000.2.v1.2 pep primary_assembly:ASM411807v1:2:33853990:33859877:-1 gene:Vigun02g205000.v1.2 transcript:Vigun02g205000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWELIWFSLVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYSREVKMSVVVVVIGVGVCTVTDVKVNLKGFICACLAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQALFLLILGPFVDYYLSGKLITNYKMSSGAILCIILSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNIMGMIIAVLGMVIYSWAVEAEKQSNPKTLPHHAKNSMTEEEIRLLKDGLEKIPLKDVELGEAEG >Vigun04g004400.1.v1.2 pep primary_assembly:ASM411807v1:4:285017:286025:-1 gene:Vigun04g004400.v1.2 transcript:Vigun04g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSIVKKGPWSPDEDLTLKNYLHKHGTAGNWIALPKKAGLKRCGKSCRLRWLNYLRPHIKLEVSLKRKIKLSAPFMPPLEAGNKWSLIAAQLPGRTDNDVKNHWNTKLKKKFVAENSTSSSQHFSSSSTVQPQVEAFLLNQKNSTWFDSYNILDPLIPMPQELESFGGSSYSAPLSNNEISLPTFNAASLAQQNEEDAQWFGYDYAGEDDDPNLLELVLDDLLINHGLASSDHTVANLDSFYSSKT >VigunL059120.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000197.1:17287:17590:1 gene:VigunL059120.v1.2 transcript:VigunL059120.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun06g018100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8315903:8316088:1 gene:Vigun06g018100.v1.2 transcript:Vigun06g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >Vigun04g015500.2.v1.2 pep primary_assembly:ASM411807v1:4:1152788:1158215:-1 gene:Vigun04g015500.v1.2 transcript:Vigun04g015500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGRAMPRSNSAAHHHRQSSDNFILDAHRNWLQSSTFAQEFGTRSSSLRKIDDDRVLSSGLLDLHSLDTELLPETYGDHNEYLTNHTVRGQSFDDYESILPGNKHGQRSRGLPENNFLKSVPTEKERANNVAKIKVAVRKRPLNKKEIAKREEDIISIDSNFLTVHERKLKVDLTEYVEKHEFVFDAVLNEDVSNDEVYAETVEPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMHNTYWNQGFQLFVSFFEIYGGKLFDLLNDRKKLFMREDGKQQVCIVGLQEYRVSKVETINEFIEKGNASRSTGTTGANEESSRSHAILQLCIKRSVDGTESKPARLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSRRDPLSSSNLRESTVLPGSSILAHDDTLEDEITHVSSDRNRFGWPKHSEREPSPPNNVDRVPSGRMGGNLAPSVYSDPPNGPRGSQNDRTANEYDYIGPIYEQDRTRKTIKRVDNNHLSGFEEKKKIESRVNVDASHFQTNHSDPDDNLNALLKEEEDLVTAHRRQVEETIDIVREEMNLLAEADQPGNQLDDYISRLNTLLSQKATGIFQLQTQLAQFQRRLNEYNVFVSSSN >Vigun04g015500.1.v1.2 pep primary_assembly:ASM411807v1:4:1151410:1158124:-1 gene:Vigun04g015500.v1.2 transcript:Vigun04g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGRAMPRSNSAAHHHRQSSDNFILDAHRNWLQSSTFAQEFGTRSSSLRKIDDDRVLSSGLLDLHSLDTELLPETYGDHNEYLTNHTVRGQSFDDYESILPGNKHGQRSRGLPENNFLKSVPTEKERANNVAKIKVAVRKRPLNKKEIAKREEDIISIDSNFLTVHERKLKVDLTEYVEKHEFVFDAVLNEDVSNDEVYAETVEPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMHNTYWNQGFQLFVSFFEIYGGKLFDLLNDRKKLFMREDGKQQVCIVGLQEYRVSKVETINEFIEKGNASRSTGTTGANEESSRSHAILQLCIKRSVDGTESKPARLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSRRDPLSSSNLRESTVLPGSSILAHDDTLEDEITHVSSDRNRFGWPKHSEREPSPPNNVDRVPSGRMGGNLAPSVYSDPPNGPRGSQNDRTANEYDYIGPIYEQDRTRKTIKRVDNNHLSGFEEKKKIESRVNVDASHFQTNHSDPDDNLNALLKEEEDLVTAHRRQVEETIDIVREEMNLLAEADQPGNQLDDYISRLNTLLSQKATGIFQLQTQLAQFQRRLNEYNVFVSSSN >Vigun09g095500.1.v1.2 pep primary_assembly:ASM411807v1:9:14252851:14256072:-1 gene:Vigun09g095500.v1.2 transcript:Vigun09g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQPLFSLLEELANQLNTSYKAMLLFFLSLLFVLTNQRRRRRNKSNLPPSPPKLPIIGNLHQLGTLPHRSFQALSRKYGPIMFLKLGQTPTLVVSSADVAKEVYKTHDLAFSNKPQTTAVKIIMYGCMDVAFSPYGEEWRQKRKICVLELLSTKRVQSFQSIREEEVAAMLGVVREACSAGKTSSCVNLTEILIETSNNIMSRCVLGRKYDNPDGGISFGELGRKMMKHLATFSVGDFFPLLGWIDVLSGQVPEFKATFRALDSFFDQLIAERKTIMNMESYQSDRNKDFVDSLLQIQDGAGKYDFQLTHDDVKAILMDIFAGGSDTTSTLLEWVFAALLNNPATMKRAQEEVRRVVGDKLKVEENDLNEMNYLKCVVKETLRLYPPAPLLIPRETLSDVKVKGFDIPSKTRVFVNAWAIQRDPEIWNRPEEFLPERFEEEPEVDFRGNDLQFIPFGSGRRGCIGISFALASAEYILANLLYWFDWKIPHETGKPMQDVDMTEIWGLTVIKKLPLHLQPQLNSFGVYN >Vigun07g167900.1.v1.2 pep primary_assembly:ASM411807v1:7:28189290:28191613:-1 gene:Vigun07g167900.v1.2 transcript:Vigun07g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSADPLVISFGEMLIDFVPDTSGVSLAESPAFIKAPGGAPANVACAIAKLGGNAAFIGKVGDDEFGRMLVDILRKNKVNTDGVCFDTEARTALAFVTLRKDGEREFMFYRNPSADMLLAESELNTGLIKKAKVFHYGSISLISEPCRSAHLAAMKVARESGALLSYDPNVRLPLWPSEEAARSGIKSIWFDADFIKVSDDEVQFLTQGDPEKEDVVMSLWHDKLKLLLVTDGEKGCRYYTKNFKGRVNGFSVKAIDTTGAGDSFVGALLTAVAKDTSIFENEQKLREALTFANGCGAICTTVKGAIPALPTAEEASKFISNSKAS >Vigun11g166188.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37355459:37355611:-1 gene:Vigun11g166188.v1.2 transcript:Vigun11g166188.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYLNEICTVNQENQSAIREFHVGQPKIYPFGTCTMY >Vigun07g180500.3.v1.2 pep primary_assembly:ASM411807v1:7:29742470:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLLQILRYSAFSFACTIVAEVSGIAFFLMVTYPNMMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.4.v1.2 pep primary_assembly:ASM411807v1:7:29742470:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLLQILRYSAFSFACTIVAEVSGIAFFLMVTYPNMMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.7.v1.2 pep primary_assembly:ASM411807v1:7:29739869:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.2.v1.2 pep primary_assembly:ASM411807v1:7:29739868:29748667:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVVGAGISGLASAYVLAKSGVNVVLYEKEDWLGGHAKTVNADGVDVDLGFMVFNRVTYPNMMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.8.v1.2 pep primary_assembly:ASM411807v1:7:29742659:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.9.v1.2 pep primary_assembly:ASM411807v1:7:29742659:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.5.v1.2 pep primary_assembly:ASM411807v1:7:29742710:29748667:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.1.v1.2 pep primary_assembly:ASM411807v1:7:29739869:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVVGAGISGLASAYVLAKSGVNVVLYEKEDWLGGHAKTVNADGVDVDLGFMVFNRVTYPNMMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.6.v1.2 pep primary_assembly:ASM411807v1:7:29742710:29748667:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLIPICGSIWSCASEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g180500.10.v1.2 pep primary_assembly:ASM411807v1:7:29742469:29748666:1 gene:Vigun07g180500.v1.2 transcript:Vigun07g180500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLLQILRYSAFSFACTIVAEVSGIAFFLMVTYPNMMEFFENLGVDMELSDMSFSVSLDKGRGCEWGSRTGLSSLFAQKKNVLNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNESLGEFIKSRGYSELFQKAYLVEEELEREGSHVITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDERRILGAFQYAYSDIFLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIKETSLPFFVTLNPDHTPENTLLKWSTGHPVPSVAAFKASLELDHIQGKRKIWFSGAYQGNGFHEDGFKAGMIAAHGILGKHCVLQTNPKHMVPSWKELGARIFVTRFLSCYVNTGCLTLLEEGGTMFNFEGTGGKGILKSVLRIHTPQFYWKVMAQADLGLADAYINGDFSFVDKDEGLLNLILILIANRDSNASNSHLKKNRGWWTPVFFTSALTSAKFFMDHVSRRNTLTQARRNISRHYDLSNELFAIFLDETMTYSCAVFKNKDEDLKDAQRRKISLLIQKAKIDKTHEILEIGCGWGSLAIEVVKQTGCTYTGITLSKEQLKLAEQRVKDAGLQDSIKFLLCDYRQLPKTFKFDRIISCEMIEAVGHEYMEEFFGCCESVLAQNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMATTSRLCVEHVENIGIHYYQTLRCWRKNFMERQNEILALGFNEKFIRTWEYYFDYCGAGFKSLTLGNYQVVFSRPGNIAAFGDPYKC >Vigun07g072400.1.v1.2 pep primary_assembly:ASM411807v1:7:9062918:9066323:-1 gene:Vigun07g072400.v1.2 transcript:Vigun07g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSALCFSTFRFSFVPFRSPPKTFNNSFPFSPSPSVPHPRLLHFAPKASPGGNFSGDDSFAFFPWSDSVNEIQWVPEERITLFTPDGLIQIGGSMVPRRVSSSDKKQGKSKTTQKFQRFQESNYMDPNQGLCLGALFDIAATNGLDMGRKLCIFGFCRSIEMLSDVVEDTVLEHGGEVIAAEKASKGDLHEKLTMTVAVPLLWGVPPASETLHLAVKSGGGIVEKVYWQWDFL >Vigun10g105950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30393073:30393972:1 gene:Vigun10g105950.v1.2 transcript:Vigun10g105950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGIAKIRIILNI >Vigun05g183800.1.v1.2 pep primary_assembly:ASM411807v1:5:35456007:35459612:-1 gene:Vigun05g183800.v1.2 transcript:Vigun05g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTSSKLNIAIIHPDLGIGGAERLIVDAAVELASQGHKVHVFTAHHDKTRCFEETVAGTFPVTVYGSFLPRHVFYRLHALCAYLRCLFVALCVLFMWHSFDVVLADQVSVVIPIFKLKRSIKVVFYCHFPDLLLAQHSTFIRRMYRKPIDYVEEITTGMADLILVNSNFTASTFANTFKSLDAKGIRPAVLYPAVNVDQFKEPSSYKLNFLSINRFERKKNIQLAISAFAMLHSPEGNVQHKDITNASLTIAGGFDKRLKENVEYLEELKDLAEKKGVSSKIRFITSCPTDERNALLSECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVESIKNGVTGFLCDPTPQEFSSAMAKLINDPQEAEKMGREARRHVDQSFSTKSFGQHLNRYLVDIHRGKED >Vigun11g025700.1.v1.2 pep primary_assembly:ASM411807v1:11:3303551:3306850:-1 gene:Vigun11g025700.v1.2 transcript:Vigun11g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPPISLLFLFFLFLPLLLAQTASPSPSPTHATHSPSPSPSKHAPSPSPSSTHAPSPSPSKHAPSPSPSPTHAPSPSPSPSPSPTTAPPPPPPLPPTSPSAACKATLYPKLCRSLLSSIRASPSDPYNLGKFSIKQSLKQAKKLAKVFSNFLKKHQSSSLSSAEIAALEDCSELSQLSVGYLESVSEELKSADPSNTELVEKIETYLSAVATNHYTCYDGLVLIKSNIVNTLAVPLNNVTQLYSVSLGLVTQALKKNQKKHKTRKHGLPTQNNKVRQPLKKLIKLLHTKHSCEAPSNCSTRSERILKESESKGILLKEFVIVNLNGTDNFTSIGEAIAAAPDNLRPEDGYFLIYAKEGSYEEYVTVPSKKKNILLIGDGINKTCITGNHSVVDGWTTFNSSTFAVSGERFVAVDVTFRNTAGPEKHQAVAVRNNADLSTFYHCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQSCNIYARKPLPKQKNAVTAQGRTDPNQNTGISIQNCKIEAAPDLAADLNSTENYLGRPWKLYSRTVYMQSYIGELIQSVGWLEWNGTNGLDTLFYGEFNNSGPGSDTSKRVQWNGYNILSPTQAWNFTVYNFTLGNTWLPDTDIPYSEGL >Vigun08g037300.1.v1.2 pep primary_assembly:ASM411807v1:8:3578304:3581953:-1 gene:Vigun08g037300.v1.2 transcript:Vigun08g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTSYDIELSQIEERTQHELWPLDQIDPTNAKFPCCLVWNPFPVVSWLAPFFGHVGICREDGVIIDFSGSHEVHVDDFAFGSVARYLQLDREQCCFPPNLSAHKCKQRYRHVDYGTAITWDDALQASLRDYETKTHNIFTCNCHSFVANCLNRVCYDGSMDWNIVNVAALIMLKGHWVGFWAIVRSFMPFCMVAFLGVVMIGWPFLLGLLSVSLLLLLWFVVGTYLVGNLSHC >Vigun02g063100.2.v1.2 pep primary_assembly:ASM411807v1:2:21075579:21081632:-1 gene:Vigun02g063100.v1.2 transcript:Vigun02g063100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEIFDSCCFRTQLRNMSSGGYAVEVTGLSPNVTDKDVRDFFAFSGVIEAVEIIRSGDYACTAYVTFKDAYSQETACLLSGATILDQRVCITRWGHYEDEFDFWNRPSYSHEDEAASTTPQSSQFVSSAGEAVTMAQEVVKTMVAKGYVLSKDALAKAKDFDESHQVSATATAKVSELSQRIGLTDKISAGIGAVKSVDQRYNVSETTIAAASTAGRSVAAAANTVVNSSYFSKGALWVSGALTRAAQVASDLGTRRDRQ >Vigun02g063100.1.v1.2 pep primary_assembly:ASM411807v1:2:21075562:21080874:-1 gene:Vigun02g063100.v1.2 transcript:Vigun02g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGYAVEVTGLSPNVTDKDVRDFFAFSGVIEAVEIIRSGDYACTAYVTFKDAYSQETACLLSGATILDQRVCITRWGHYEDEFDFWNRPSYSHEDEAASTTPQSSQFVSSAGEAVTMAQEVVKTMVAKGYVLSKDALAKAKDFDESHQVSATATAKVSELSQRIGLTDKISAGIGAVKSVDQRYNVSETTIAAASTAGRSVAAAANTVVNSSYFSKGALWVSGALTRAAQVASDLGTRRDRQ >Vigun08g057100.1.v1.2 pep primary_assembly:ASM411807v1:8:7173826:7181052:-1 gene:Vigun08g057100.v1.2 transcript:Vigun08g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKHAYKAKPLPALIHNAFSPFFHLLPFSPHYYPKIAEFLIPENMKGRFLFLSVLFCSTFHVSFSIIDGLVANGNFELGPKASDLKGTVVVGGSKSIPEWEISGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQNIKVMKGMYYSITFMVARTCAQEERINISVTPDWGVIPIQTLYTSSGWDPIAFGFKAESETVEMLIHNPGKEEDPACGPLIDSVALRTLYPPRPTNQNLLKNGGFEEGPYVFPNSSWGVIIPPNIEDDHSPLPGWMVESLKAVRYIDSEHFSVPQGTRAVELIAGKESAIAQVARTIPGKTYVLSFSVGDASNSCEGSMIVEAFAGKDTIKVPYESKGKGGFKRAALKFVAVGPRTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLISLRKP >Vigun08g218800.1.v1.2 pep primary_assembly:ASM411807v1:8:37828510:37830245:-1 gene:Vigun08g218800.v1.2 transcript:Vigun08g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEIPTLVLPNSSSEQRVPVVGMGSAPDFTCKKDTKEAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAVELGLVSRQDLFVTSKLWVTDNHPHLVVSALRKSLRTLQLEYLDLYLIHWPISSQPGKFSFPIEVEDLLPFDVKGVWEAMEECQKLGLTRAIGVSNFSVKKLQNLLSVATIRPVVNQVEMNLAWQQKKLREFCNENGIVITAFSPLRKGASKGPNEVMENDMLKEIAEAHGKTIAQVCLRWLYEQGVTFVPKSYDKGRMNQNLQIFDWKLTQEDHHKISEIYQNRLINGPTKPQLNDLWDDEI >VigunL001801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:37753:38909:-1 gene:VigunL001801.v1.2 transcript:VigunL001801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTIDSQVKSNPRNHLIYGQHRCGKGIEGEVISVYTVKLILKNEKNIYGRTVTIKYDPNRNASICLTHYGDESTSTDTPLGTTIHNIEITLGKGGQLVRATGVVTKLIAKRGNQLH >Vigun04g189800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41383634:41384035:1 gene:Vigun04g189800.v1.2 transcript:Vigun04g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNTLCQSKMDDFVQRRLPIVFKARGNPLHFSTILLPISFFSSFNSRSSSFSACFSKTFQHSSSSNCFKRCTLDFMLEATFSPRVVTIILLPGAPYLRGVCTASHSSRLSFQTSSKTRRNLFPDTFSFNLP >Vigun04g157900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37991413:37993268:-1 gene:Vigun04g157900.v1.2 transcript:Vigun04g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSTKFHTFFITLFTFISLFFLHASTAQAQSSMEPVPTYITHHSWEPSVAITVGAIIFALLVMGILSIYLRRCTESRIIITTQTTTTVPCSCAQGINKELLNTFPTLFYSNIKDLKKGEETLECAVCLTDFSDKDALRLLPKCNHVFHPHCIDSWLASHVTCPVCRANLSQDACQVAITVPTLHDEEVSGSEETVPEPNQNTNTNQVCVGSPTHDTTKTVCVNEEQCSSPDVVPELETSSNSVSGDGVVVIAERNLSRSNSTGHSLVGVERYTLRLPEDVRRYILVNHGRSVQRSASAKGTCWSDSEESYGGKRLEKRWVICTPPFVASHG >Vigun03g057600.1.v1.2 pep primary_assembly:ASM411807v1:3:4665239:4668729:1 gene:Vigun03g057600.v1.2 transcript:Vigun03g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVKDLGAGNFGVARLMRNKETKELVAMKYIERGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVANPLRRISLKEIKNHPWFLKNLPRELTESAQAVYYQRGNPSFSVQSVEEIMKIVGEARDPPPVSRPVKGFGWDGEEDEGEEDVEEEEDEEDEYDKRVKEVHASGEFQIS >Vigun06g078600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21000718:21001158:-1 gene:Vigun06g078600.v1.2 transcript:Vigun06g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQHLIQLHFDDNASTFVHSYGQSFFLLLLFFAVLIFVPSLFFCIHLCRRCFSRHGRSTATTVVSPLPDLQCVGIELTNNIMVGFEKEECCICLSLFQGNEKLKVLIQCEHVFHSHCLDLWLTTHPSCPLCRASLHLRQNLTK >Vigun08g131900.1.v1.2 pep primary_assembly:ASM411807v1:8:30284574:30285901:1 gene:Vigun08g131900.v1.2 transcript:Vigun08g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRVHRRTVLYRSIQKLRSITNSHARRKTSLILSASRYIRGLKKKLQELNQLAVAAAQNAIDNSSTPVIKVETKEEGFMIKVVCEKSCQGLLTFILEAFEKLGLDVLHARASCVQSFSIEAFAIKENNTSNVDAQAIEEKVSQAIKNWKEVTKQC >Vigun02g030800.6.v1.2 pep primary_assembly:ASM411807v1:2:12945616:12950216:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIHDN >Vigun02g030800.5.v1.2 pep primary_assembly:ASM411807v1:2:12945616:12950035:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIVSMIINETLRLYPPAVMLMRQASKDVMLGSINVPAKTQLYLALTAVHHDREIWGEDCHNFNPTRFSEPRKHLAAFIPFGLGPRTCVGQNLAIVEAKIALSLIIQSYSFVLSPNYVHAPVLFITLQPQCGAQIIFRRISY >Vigun02g030800.2.v1.2 pep primary_assembly:ASM411807v1:2:12945595:12950248:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIVSMIINETLRLYPPAVMLMRQASKDVMLGSINVPAKTQLYLALTAVHHDREIWGEDCHNFNPTRFSEPRKHLAAFIPFGLGPRTCVGQNLAIVEAKIALSLIIQSYSFVLSPNYVHAPVLFITLQPQCGAQIIFRRISY >Vigun02g030800.4.v1.2 pep primary_assembly:ASM411807v1:2:12943321:12950217:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIVSMIINETLRLYPPAVMLMRQASKDVMLGSINVPAKTQLYLALTAVHHDREIWGEDCHNFNPTRFSEPRKHLAAFIPFGLGPRTCVGQNLAIVEAKIALSLIIQSYSFVLSPNYVHAPVLFITLQPQCGAQIIFRRISY >Vigun02g030800.3.v1.2 pep primary_assembly:ASM411807v1:2:12943321:12950216:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIVSMIINETLRLYPPAVMLMRQASKDVMLGSINVPAKTQLYLALTAVHHDREIWGEDCHNFNPTRFSEPRKHLAAFIPFGLGPRTCVGQNLAIVEAKIALSLIIQSYSFVLSPNYVHAPVLFITLQPQCGAQIIFRRISY >Vigun02g030800.7.v1.2 pep primary_assembly:ASM411807v1:2:12945616:12950216:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIHDN >Vigun02g030800.1.v1.2 pep primary_assembly:ASM411807v1:2:12945593:12950253:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIVSMIINETLRLYPPAVMLMRQASKDVMLGSINVPAKTQLYLALTAVHHDREIWGEDCHNFNPTRFSEPRKHLAAFIPFGLGPRTCVGQNLAIVEAKIALSLIIQSYSFVLSPNYVHAPVLFITLQPQCGAQIIFRRISY >Vigun02g030800.8.v1.2 pep primary_assembly:ASM411807v1:2:12945616:12950035:-1 gene:Vigun02g030800.v1.2 transcript:Vigun02g030800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLAAIVLLVFFAVKFLYTNLWVPRRTERHFKKQGIGGPGYRPIVGNSSEIRRLYAEAKSEKNPAFEHDNILARVVPFYERWSRAHGKTFLYWFGSTPRLAITEPDMVKEVLTNTRGEYGKVPYNPQSKLLFGQGLVGLEGEQWALHRRIINLAFNLELVKGWVPDIVASVTKMMEKWEDQRRGKDEFEIEVHKELHDLSADVISRTAFGSSYEEGMHIFNLQEQQMYLFSQAVRSVYIPGFRYLPTRKNRERWRLEKETRESILKLIENKSNRGENARNVLSSLMCSYKNEVGGEEKLGVEEIIDECKTIYFAGKETTANLLTWTLLLLAKHQEWQSKAREEVLRVIGHNQLPVADNLSDLKIHDN >Vigun06g100800.1.v1.2 pep primary_assembly:ASM411807v1:6:23090783:23094812:1 gene:Vigun06g100800.v1.2 transcript:Vigun06g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCMWQRRFGLFRAAAVAGFGSAVLCCSDYDRFRISVAVRVPRPLPDFLWLTCPTLFDSQCLLFSHKSGIDALYSYRDSPVPSSDVSGSVDDKSKPNDYIGRDTISNAAAKVAPAVVNISIHQDFFGIASGKSIGSGTIINKDGTILTCAHLVVDFMGTRGSTKGKIEVTLQDGRKFEGKVVNVDLHSDIAIVKINSETPLPEAKLGSSSRLRPGDWVIAVGCPLSLQNTVTHGIVSCVDRKSSDLGFSGMPREYLQTDCAINVGSSGGPLVNMDGEIIGVNMMKLAAADGLGFSVPIDSVSKIIEHFKRSGRVIRPWLGLKMLDLNEMIIAQLKKQDASFPNVTKGILVPMVTPRSPGERAGFCPGDVVIEFDGKPVERVKEVIEILGDKVGVPIKVVVKRKGDQLVTLSVIPEEANLDI >Vigun01g123400.1.v1.2 pep primary_assembly:ASM411807v1:1:30017342:30020587:-1 gene:Vigun01g123400.v1.2 transcript:Vigun01g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKVHWGGLIQQSHGLSGDFNSEFGNHYCQYFDIRQAWNMGPLTVVGGEATDQLPNIGHVKSSGTIISRFESPASAFYAAENCMGFAEYDCQLGIQSQLCKINDLEFPLYQSPRENLFLDSTNQPDSSYDLSNTLQSIVKSQLNNNQCRVSPEKSNKISCGNFPTTKFLPIEQQKLFIDGVIRGSSFPNKGIQDRMVGRGSFNLPVAHMRFSSQIEKLSPTLSAGSVSTVGNSASNGAVVSSKTRIRWTKDLHEKFVECVNRLGGSEQATPKAILKMMDTDGLTIFHVKSHLQKYRIAKFIPEPSQGKSDKRTHIKNVHHLDVKTGLQIREALKLQLDAQRCLHEQLEVQRKLQLRIEEQGRQLKKMFDQQQKTTNNLSNTQDSGTDETTITHKDGEVSVSFFTSKASPSQ >Vigun01g123400.2.v1.2 pep primary_assembly:ASM411807v1:1:30017342:30020587:-1 gene:Vigun01g123400.v1.2 transcript:Vigun01g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKVHWGGLIQQSHGLSGDFNSEFGNHYCQYFDIRQAWNMGPLTVVGGEATDQLPNIGHVKSSGTIISRFESPASAFYAAENCMGFAEYDCQLGIQSQLCKINDLEFPLYQSPRENLFLDSTNQPDSSYDLSNTLQSIVKSQLNNNQCRVSPEKSNKISCGNFPTTKFLPIEQQKLFIDGVIRGSSFPNKGIQDRMVGRGSFNLPVAHMRFSSQIEKLSPTLSAGSVSTVGNSASNGAVVSSKTRIRWTKDLHEKFVECVNRLGGSEQATPKAILKMMDTDGLTIFHVKSHLQKYRIAKFIPEPSQGKSDKRTHIKNVHHLDVKTGLQIREALKLQLDAQRCLHEQLERKLQLRIEEQGRQLKKMFDQQQKTTNNLSNTQDSGTDETTITHKDGEVSVSFFTSKASPSQ >Vigun01g116800.2.v1.2 pep primary_assembly:ASM411807v1:1:29328760:29330621:-1 gene:Vigun01g116800.v1.2 transcript:Vigun01g116800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKYIVSAVLGSFGIAWVCDYYVSDKKIFGGSTPSTITNKEWEEETDKKFQAWPRTAGPPVVMNPISRQNFVVKSRSE >Vigun01g116800.1.v1.2 pep primary_assembly:ASM411807v1:1:29328750:29330621:-1 gene:Vigun01g116800.v1.2 transcript:Vigun01g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKYIVSAVLGSFGIAWVCDYYVSDKKIFGGSTPSTITNKEWEEETDKKFQAWPRTAGPPVVMNPISRQNFVVKSRSE >Vigun07g102500.1.v1.2 pep primary_assembly:ASM411807v1:7:18454746:18456861:1 gene:Vigun07g102500.v1.2 transcript:Vigun07g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRSCFVFILLLVVIYVSHCNAYPLSTYNRWIMDEATGQRVKLVCANWAGHLQPMIPEGLDKRAMKDIVGELVKHKFNCVRLTYAIYMWTRYADENVNATFASLDVPEVVEGIAKNNPSVLSMTHVQAFDAVVHELGVQNMKVLLDNHVSEPMWCCDDDDENGFFHDRHFNPQEWLHGLTLAAKHFSENHVVVAMSLRNELHGPRQNLKDWYRYMSQGAVAIHKTNPNVLVLISGLNYDTELQFLRRKPLKIDLGKKMVFETHLYSWSGIGTLKLKEIWTKQPLNRICANNVKAIDYRAGFLTTGKNATPLIFTEFGFNEEGSSVEDNRFLTCLQTYLLGKDLDWGFWAFQGTYYIKKDQVQVDESFGVMDETWHHLRYPNFTDKFQLLQRKNLVNDKNEVELGSCKTKNRWVRGENATKILLHGTKKCLTAAGEGIAVVVSDCEGNISSWKFVSLSKLHLATMNQHKGQLCLQKDSNSSTIITSKCICVKDDSLCLDDPQSQWFQLVQTNV >Vigun03g396300.2.v1.2 pep primary_assembly:ASM411807v1:3:60273090:60274307:1 gene:Vigun03g396300.v1.2 transcript:Vigun03g396300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLFVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKDIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Vigun03g396300.1.v1.2 pep primary_assembly:ASM411807v1:3:60272958:60274395:1 gene:Vigun03g396300.v1.2 transcript:Vigun03g396300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLFVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKDIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Vigun02g019420.1.v1.2 pep primary_assembly:ASM411807v1:2:6780557:6781051:1 gene:Vigun02g019420.v1.2 transcript:Vigun02g019420.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSFMLALLFVALALEVCCGHSFPEEVNPKKSDVVIAPCRSYSDCIRLIRSLPCGPRTVSCVHGICACNPPQIRYS >Vigun09g178500.1.v1.2 pep primary_assembly:ASM411807v1:9:35083508:35086729:-1 gene:Vigun09g178500.v1.2 transcript:Vigun09g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLIIGIGRAFRRKRTSSLDILSSKRAPRGYYKGKNCKPTGFHTRKGGYVLMQEKLPNYVVPDLTDFKLKPYVSQCPIEVKTSEASQPAK >Vigun05g054800.1.v1.2 pep primary_assembly:ASM411807v1:5:4682729:4685826:-1 gene:Vigun05g054800.v1.2 transcript:Vigun05g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVCVSPNPKWVASPSLDSGSSLRPSLGSGFGNINIPSVNLNLSTRTTSLSLRRNFSVRSSLETAGPTVTVGQVTEVNKDTFWPIVKAAGDKTVVLDMYTQWCGPCKVMAPKFQELSKKYDDVVFLKLDCNQDNRPLAKELGIKVVPTFKILKDNKVVKEVTGAKYDDLVAAIDNVRLS >Vigun07g128100.1.v1.2 pep primary_assembly:ASM411807v1:7:23568424:23575812:-1 gene:Vigun07g128100.v1.2 transcript:Vigun07g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLTYNILSGLEISVTLVSICSAMVSKTHSQLIFLFLFLLSSLNIASSSYTHNVANFDKWISFNVKKHEERKNDPGPDLKLRQAESNKVTITVSQDGSGHFKTISEALNSIPPRNTRRVIVSISPGVYREKVMIPRTMAFVTLSGNAGNPLTITGNDTASASGRNGSPLGTFQSATVAVDGSYFVAINIKFENSAPHEIGRRGEQGVALRISGTKAAFYNCSFSGAQDTLYDHKGLHFFNNCYIQGSVDFIFGSARSLYQNCYLNSTTKKVASITAQKRTNSSLEGGFSFKNCTVTGSGHVYLGRAWGDYSRVVFSYTYMDNLVLPKGWSDWGHQNRDSRVYYGEYMCSGPGANFAGRVPWARVLNDEEAKPFIGTQFIEGDTWLIDTW >Vigun09g022600.1.v1.2 pep primary_assembly:ASM411807v1:9:1791962:1792938:-1 gene:Vigun09g022600.v1.2 transcript:Vigun09g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKIDRYANTEYESPGKYLSNGKSSNQKGSSKGTEKSQEFMGDYAGENKGCAGKEGSSKGTEKSYESTGDHVGEKKDSEGKKGSRSKR >Vigun08g189800.1.v1.2 pep primary_assembly:ASM411807v1:8:35770674:35775973:-1 gene:Vigun08g189800.v1.2 transcript:Vigun08g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNEMMKFKSYQNRANLFVKEYLLADPLIPYTSIIGGIFACKMFYDLTQLFSTVHFKSYSSLTRIQRVEWNNRSISTIHAIFVTTMSLYFVFCSNLYSDDQFSELVTFRSSSWSTFALGVSVGYFIADLGMIFWFFPSLGGYEYVIHHLLSLVAVAYSMLSGEGQLYTYMVLISETTTPGINLRWYLDAAGMKKSKAYIINGVVIFIAWMVARILLFVYMFYHVYLHFDQVEQMQSFGQVLVIIVPLVLSIMNLVWFSKIIKGLKKTLAKRQ >Vigun06g203300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31770639:31772988:1 gene:Vigun06g203300.v1.2 transcript:Vigun06g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAPNPAFTAFPSSQTPKPFLRFALPFSPLPNAPLHSQRRSLKLSRAISDAAAAAKSTAAEPFASRFGLEEPRKGADILVEALERQGVKDVFAYPGGASMEIHQALTRSATIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVNEAFFLATSGRPGPVLIDIPKDIQQQLAIPNWDQPIRLTGYMSRLPKSPNEKHLELIVRLLFEAKKPVLYVGGGCLNSSEELRRFVQLTGVPVASTLMGLGAYPIADENSLQMLGMHGTVYANYAVDRCDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADLKLALKGINHVLESRGAGGKLDFRGWREELNEQKRKFPLSYKTFEEEISPQYAIQVLDELTNGEAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAMGAAVANPGATVVDIDGDGSFIMNVQELATIKVEKLPVKILLLNNQHLGMVVQWEDRFYKSNRAHTYLGDPSNDKDIFPNFLKFADACGIPSARVAKKEDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSNGTFQDVITEGDGRTSY >Vigun07g093250.1.v1.2 pep primary_assembly:ASM411807v1:7:14929951:14930550:-1 gene:Vigun07g093250.v1.2 transcript:Vigun07g093250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMENFPLLSFFSCAEDWFRLHLLPSTAADGDWLNGRGFPGSSTMMAGTIFCK >Vigun01g015900.1.v1.2 pep primary_assembly:ASM411807v1:1:1712496:1715719:-1 gene:Vigun01g015900.v1.2 transcript:Vigun01g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKRLRCCANFLENSKHHFAMIALQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAFIFERKAQPRITFKIFMQIFILALLGPVIDQNFYYAGLKLTSPTFSCAMSNVLPAMTFVMAVLCRMEKLNMKKVRCQAKVVGTLVTVGGAMVMTLYKGPLVEMVWNKHAHNNQTTNATTTNNNVATPSDKDWLIGSILLIIATLAWASLFVLQAKAIETYKNHQLSLTSLICFLGTIQAIAVTFVMEHDPSVWTIGWDMNLLAAAYAGIVSSSLSYYVQGLVIKTKGPVFATAFSPLMMIIVAIMGSFILAEQIFLGGVVGAILIVIGLYSVLWGKHKEEVENNNNNKVVENIPLPLKGAMVEANNNPDSSNNISSLIINVTTEPPFKSNS >Vigun05g208500.1.v1.2 pep primary_assembly:ASM411807v1:5:39925692:39930755:1 gene:Vigun05g208500.v1.2 transcript:Vigun05g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNIEKMASIDAQLRLLAPRKVSDDDKLVEYDALLLDRFLDILQDLHGEDIRQTVQDCYELSAEYEGEHRPEKLEELGNMLTGLDAGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLLKKGDFADENSAMTESDIEETLKRLVTQLKKTPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFELSMWRCTDELRVRAHELHRSSKRDAKHYIEFWKQIPPNEPYRVILGDIRDKLYNTRERARQLLANGTSDIAEETTFTNVDQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVMDAITKHLEIGSYREWSEEKRQEWLLSELSGKRPLFGHDLPKTEEITDVLETFHVIAELPKDNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPASVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWALYRAQEELVKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPNPAWRALMDQMAVIATQEYRSVVFREPRFVEYFRRATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDPKNLQMLQDMYNQWPFFRVTIDLVEMVFAKGDPGIAALFDKLLVPEELRPFGESLRAKYEETKSFLLQVAGHKDLLEGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPDYHVKLRPHLSKDYMESSKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >Vigun04g087033.4.v1.2 pep primary_assembly:ASM411807v1:4:14830367:14831259:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKYLRRVNRKTMSRDTHIMVRGKAIFATLRFKHFCCIEFHMQL >Vigun04g087033.5.v1.2 pep primary_assembly:ASM411807v1:4:14830227:14832371:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKVSDRNWETHFDVVVQEKIFLLIY >Vigun04g087033.6.v1.2 pep primary_assembly:ASM411807v1:4:14830227:14832371:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKESRSAAIGMA >Vigun04g087033.3.v1.2 pep primary_assembly:ASM411807v1:4:14830227:14832371:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKYLRRVNRKTMSRDTHIMVRGKAIFATLRFKHFCCIEFHMQL >Vigun04g087033.1.v1.2 pep primary_assembly:ASM411807v1:4:14830227:14832371:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKRKVGLLLLEWHEWSHRRMQIWFKHLEGAMFEMKTKLALLCFLNI >Vigun04g087033.2.v1.2 pep primary_assembly:ASM411807v1:4:14830227:14832371:1 gene:Vigun04g087033.v1.2 transcript:Vigun04g087033.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVGLKGNDVFSAAASSARETQRLRSGRLFWPLVRALPEERNHDILLKDRPDIKYLRRVNRKTMSRDTHIMVRGKAIFATLRFKHFCCIEFHMQL >Vigun10g169900.1.v1.2 pep primary_assembly:ASM411807v1:10:38914490:38918958:1 gene:Vigun10g169900.v1.2 transcript:Vigun10g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFDKSQKIKGTVVLMPKNVLDANDLTSVQNGGLGSVVSGFFDAVGDVAGQVVDSATAILSRNVSFKLISATSTDANGNGKVGKETYLEKYLPTLPTFGDRRDAFAVRFEWDANFGIPGAFYIRNFMNDEFFLVSVTLEDIPNHGSIHFVCNSWIYNFKTYKKDRIFFANNTYVTSATPAPLVKYRKEELEVLRGDGTGERKEWERVYDYDVYNDLGNPDSDTNLARPVLGGSTTYPYPRRVRTGRKPTKKDPKTEKLAAEFYIPRDEKFGHLKSSDFLTYALKSLSQMLLPSLENVFDSDLTWNEFDSFQEVRDLYEGGIKLPTGVLSDISPLPVFKEIFRSDGENVLQLPPPHVIRVNKSAWMSDEEFAREMIAGVNPNVIRCLQEFPPKSKLDPTLYGDQTSTVTKEKLEINMGGVTVEEALAAKRLFILDYHDAFIPYLTRINRLQTAKAYATRTILFLKDDGTLKPLVIELSKPHPSGDNLGPVSKVVLPATDGVDSTIWLLAKAYVIVNDSGYHQLVSHWLNTHAVIEPFAIATNRNLSVIHPIYKLLYPHYRDTININALARRNLINANGIIEQSFLPGRYSLEISSVVYKNWVFTEQSLPADLIKRGLATEDSSAPHGLRLLIKDYPYAVDGLEIWDAIKTWVKEYVSLYYPTDVAVQQDTELQAWWKEAVEKGHADLKDKPWWPKMKTIEDLIKSCSIIIWTASALHAAVNFGQYPYGGFILNRPTLSRRFIPEPGTKEYDEMVKSPQTAYLRTITPKREAIIDLTVIEILSRHASDEIYLGKRDNPNWTSDSKALEAFKKFGSKLTEIEAKITARNTDSTMKNRSGPVELPYTLLIPSSEQGLTFRGIPNSISI >Vigun07g174300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28940922:28941840:-1 gene:Vigun07g174300.v1.2 transcript:Vigun07g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHPRRNFPGGNSRKAKLAEVDKSAPPKAAEPPPSNGLLAGYLAHEFLTKGTLLGRRIELDSARPDLSGSTSVEQKRIRLNPQTGEVKPSAVKEHGSYEEVSNLLKIKGTCIKGIVNPTQLSNWINK >Vigun09g249600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41673427:41675440:1 gene:Vigun09g249600.v1.2 transcript:Vigun09g249600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLKSGMKIEEKKGKKTAQASSRKGCMRGKGGPENATCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFETSHDAALAYDAAARKLYGPDAKLNLPDLSLPPLKPPQFPPPPPPGTSTQTLDMENLQQPLMQNNIDIPTCSNFNFNPAVSMASQEVGVTPIYTSDCIVSLPLDTNPKPIATYPKLEGIEGEFSPSWETMNETLPVFDDSIWAEAAMSLDLPLIAAENEIYTPENNNLADVDMWDPQTPWCM >Vigun11g024900.4.v1.2 pep primary_assembly:ASM411807v1:11:3240599:3244638:1 gene:Vigun11g024900.v1.2 transcript:Vigun11g024900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENDYTMEFRSYRDGAWYTARVSFEGEMLRVWCFDFPGECYDAFEASQFGEFEQLHHFQSRFRPLSKQLQDSECGSVAKGTRVCACQHFAHDDVRFYDAVVDGVKRSKHSSTEKEKSCLCTFILFWLNGPKEGNLTATTIEDICIVQSEGELDPILVSFLEMAKGKIDLFSSRSVSASKGVSSMEMTPEGDKSLGTTCGIGYLELIEKEKRHAKKSAAKVFSHEGLFLCKSRIRFDSPVSCDDNEDLDLEGTKNAFMILIANIDGELCPSTVTLFLQRHTSVSARVLIFPNLSSEVYTRGAIILDSEKEFQEMCGFLNDPNCIITSSSGRPWVILEKLVGLKEIKSSLGTLGHISKSISRKVKSGTSNDLKVLHSGTEEFKRASDMRDLFLEFISHQERLHKRLALEERRIFADEQLTCSD >Vigun11g024900.2.v1.2 pep primary_assembly:ASM411807v1:11:3240599:3244611:1 gene:Vigun11g024900.v1.2 transcript:Vigun11g024900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENDYTMEFRSYRDGAWYTARVSFEGEMLRVWCFDFPGECYDAFEASQFGEFEQLHHFQSRFRPLSKQLQDSECGSVAKGTRVCACQHFAHDDVRFYDAVVDGVKRSKHSSTEKEKSCLCTFILFWLNGPKEGNLTATTIEDICIVQSEGELDPILVSFLEMAKGKIDLFSSRSVSASKGVSSMEMTPEGDKSLGTTCGIGYLELIEKEKRHAKKSAAKVFSHEVSCDDNEDLDLEGTKNAFMILIANIDGELCPSTVTLFLQRHTSVSARVLIFPNLSSEVYTRGAIILDSEKEFQEMCGFLNDPNCIITSSSGRYSAMGDT >Vigun11g024900.3.v1.2 pep primary_assembly:ASM411807v1:11:3240599:3244611:1 gene:Vigun11g024900.v1.2 transcript:Vigun11g024900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENDYTMEFRSYRDGAWYTARVSFEGEMLRVWCFDFPGECYDAFEASQFGEFEQLHHFQSRFRPLSKQLQDSECGSVAKGTRVCACQHFAHDDVRFYDAVVDGVKRSKHSSTEKEKSCLCTFILFWLNGPKEGNLTATTIEDICIVQSEGELDPILVSFLEMAKGKIDLFSSRSVSASKGVSSMEMTPEGDKSLGTTCGIGYLELIEKEKRHAKKSAAKVFSHEGTKNAFMILIANIDGELCPSTVTLFLQRHTSVSARVLIFPNLSSEVYTRGAIILDSEKEFQEMCGFLNDPNCIITSSSGRPWVILEKLVGLKEIKSSLGTLGHISKSISRKVKSGTSNDLKVLHSGTEEFKRASDMRDLFLEFISHQERLHKRLALEERRIFADEQLTCSD >Vigun11g024900.1.v1.2 pep primary_assembly:ASM411807v1:11:3240599:3244611:1 gene:Vigun11g024900.v1.2 transcript:Vigun11g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENDYTMEFRSYRDGAWYTARVSFEGEMLRVWCFDFPGECYDAFEASQFGEFEQLHHFQSRFRPLSKQLQDSECGSVAKGTRVCACQHFAHDDVRFYDAVVDGVKRSKHSSTEKEKSCLCTFILFWLNGPKEGNLTATTIEDICIVQSEGELDPILVSFLEMAKGKIDLFSSRSVSASKGVSSMEMTPEGDKSLGTTCGIGYLELIEKEKRHAKKSAAKVFSHEVSCDDNEDLDLEGTKNAFMILIANIDGELCPSTVTLFLQRHTSVSARVLIFPNLSSEVYTRGAIILDSEKEFQEMCGFLNDPNCIITSSSGRPWVILEKLVGLKEIKSSLGTLGHISKSISRKVKSGTSNDLKVLHSGTEEFKRASDMRDLFLEFISHQERLHKRLALEERRIFADEQLTCSD >Vigun04g086100.1.v1.2 pep primary_assembly:ASM411807v1:4:14564433:14567850:1 gene:Vigun04g086100.v1.2 transcript:Vigun04g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVKIGINGFGRIGRLVARVAMQRDDVELVAVNDPFISIDYMTYMFKYDTVHGQFKNCDVKSKDDKTLLFGSSAVSVYGFRNPEEIPWGQAGADYVVESTGVFTDKDKASAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKSDITVVSNASCTTNCLAPLAKVINEKFGIVEGLMSTVHSITATQKTVDGPSMKDWRGGRAASCNIIPSSTGAAKAVAKVLPALNNKLTGMAFRVPTVDVSVVDLTVRLEKGASYDEIKAAVKEASEGSMKGILGYTEDDVVSTDFIGDSRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSTRVVDLIRHMASV >Vigun08g113400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27988187:27989866:-1 gene:Vigun08g113400.v1.2 transcript:Vigun08g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKGVLSTLDKAKTQRYHYKAIGIAGMGFFTDAYDLFCITAVIKLIGRLYYYDPMSQSPGKLPKNVSNAITGVALCGSLLGQLFFGWLGDKLGRKKVYGITLATMVGCTLASGLSFGSTAKSVVATLCFFRFWLGFGIGGDYPLSAVIMSECANTKTRGAFVAAVFAMQGVGILFAGGVAMLVSKLFLWAYPACVYAVNPVQCTQPQGDFVWRIVLMFGAFPAAFTYYWRMKMPETARYTFLVEGNHKKAVEDMAKVLENDVPLEESNIRVAATATPSLSYGFISSEFLEKHGLHLLGTTSTWFFLDIAFYSLQLTQQDIYPATGFLPNASQMNGIEEVFQLSKAMSAVALFATVPGYWCTVYFIDKIGRFKIQLGGFFAMSVCMLFLGLNYTKYREDERNPDENYDDSAGKNTLFVILFEVTLFFSNFGPNSTTFIVAAELFPARFRSTCHGISAAAGKAGAIIGAFVVQSYINSTQDKSEAMKTALMTLSVVNMLGFLCTFLVPETRGRSLEEISGEDKELTGNIAQDNANEKTTDTEKETKNSNADISPANSMV >Vigun05g141900.2.v1.2 pep primary_assembly:ASM411807v1:5:17945038:17952803:-1 gene:Vigun05g141900.v1.2 transcript:Vigun05g141900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQERKKKIKNGSYGFGSIFMHADRKDLLFMILGTFGSVGEGFATPLVLFISSRMMNNIGSSSNMQGNTFIHNIDKNAVAWLYLALGSFAVCFMEGYCWTRTSERQAARMRFRYLKAVLRQDIAYFDMHVTSTSEIITSVSNDSLVIQDVLSEKVPNFLMNISLFVGSYIAAFAMLWRLAIVGFPFIVLLVIPGLIYGKTLLGLSSKIRDEYNEAGTVVEQAISSIRTVYSFVGESQTLNAFSNALQGTVKLGLKQGWAKGLAIGSNGVVFAIWSFMCYYGSRLVIYHGVKGGTVFAVGAAIAVGGLGLGAALSNVKYFSEAGAAAERIKEVIKRVPRIDSESEEGEILERVYGEVEFDRVEFAYPSRPESAVLKGLSVRIPKLQVKWLRSQLGLVSQEPALFATSIKENILFGREDATEDQVVEAAKAAHAHDFISLLPHGYQTQVGERGIQMSGGQKQRIAIARAIIKKPRILLLDEATSALDTESEHLVQKALDNAAAGCTAIIIAHRLSTIQNADLIVVVAGGKVVEMGSHDELLENDTSAYASSFRLQQQTKKANVAESAETDAPGSVTSSASSKDTENALPVGPFGGSGTDVAEGKKVQAPSFHRLVSLSVPEWKHVVMGCLNAMVFGAVQPVYAFTMGSTILLYFHADHEEIVRKTRIYSFAFLGLFVVSFIANVGQHYCFAYMGEYLTKRVRETVLSKILTFEIGWFDLDENSSGAICSRLAKDANVVRSLVGDRMALVVQTFSAVITAYTMGLIISWKLSIVMIAVQPIIIGCFYTRRVLLKSMSNMSMKAQQQSSKLASEAVSNLRTVTAFSSQERILKMLEAAQEGPSRENIRQSWFAGIGLGISQGLASCVWALDFWYGGKLISYGQITTKSFFESFMVLVSTGRIIADAGSMTTDIARGADVVASTFGIIDRSTKIEPDEPNGYKTEKLVGEIEFHEVHFTYPTRPDVAIFQGFSMKMEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGMVTIDGMDIRRYNLKSLRKHIALVSQEPTLFGGTIRENITYGRGGRVDESEMIEAARAANAHDFIAGLKEGYETWCGEKGVQLSGGQKQRIAIARAILKNPKVLLLDEATSALDSQSEKVVQDTLMRVMIGRTSVVVAHRLSTIHHCDAIAVMEKGRVVETGTHSSLLAKGPCGAYYSLVTLQTRHAATLNNTCTKASHSLT >Vigun05g141900.1.v1.2 pep primary_assembly:ASM411807v1:5:17945051:17952803:-1 gene:Vigun05g141900.v1.2 transcript:Vigun05g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQERKKKIKNGSYGFGSIFMHADRKDLLFMILGTFGSVGEGFATPLVLFISSRMMNNIGSSSNMQGNTFIHNIDKNAVAWLYLALGSFAVCFMEGYCWTRTSERQAARMRFRYLKAVLRQDIAYFDMHVTSTSEIITSVSNDSLVIQDVLSEKVPNFLMNISLFVGSYIAAFAMLWRLAIVGFPFIVLLVIPGLIYGKTLLGLSSKIRDEYNEAGTVVEQAISSIRTVYSFVGESQTLNAFSNALQGTVKLGLKQGWAKGLAIGSNGVVFAIWSFMCYYGSRLVIYHGVKGGTVFAVGAAIAVGGLGLGAALSNVKYFSEAGAAAERIKEVIKRVPRIDSESEEGEILERVYGEVEFDRVEFAYPSRPESAVLKGLSVRIPAGKRVALVGESGSGKSTVIALLQRFYDPLGGEVRLDGVGIQKLQVKWLRSQLGLVSQEPALFATSIKENILFGREDATEDQVVEAAKAAHAHDFISLLPHGYQTQVGERGIQMSGGQKQRIAIARAIIKKPRILLLDEATSALDTESEHLVQKALDNAAAGCTAIIIAHRLSTIQNADLIVVVAGGKVVEMGSHDELLENDTSAYASSFRLQQQTKKANVAESAETDAPGSVTSSASSKDTENALPVGPFGGSGTDVAEGKKVQAPSFHRLVSLSVPEWKHVVMGCLNAMVFGAVQPVYAFTMGSTILLYFHADHEEIVRKTRIYSFAFLGLFVVSFIANVGQHYCFAYMGEYLTKRVRETVLSKILTFEIGWFDLDENSSGAICSRLAKDANVVRSLVGDRMALVVQTFSAVITAYTMGLIISWKLSIVMIAVQPIIIGCFYTRRVLLKSMSNMSMKAQQQSSKLASEAVSNLRTVTAFSSQERILKMLEAAQEGPSRENIRQSWFAGIGLGISQGLASCVWALDFWYGGKLISYGQITTKSFFESFMVLVSTGRIIADAGSMTTDIARGADVVASTFGIIDRSTKIEPDEPNGYKTEKLVGEIEFHEVHFTYPTRPDVAIFQGFSMKMEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGMVTIDGMDIRRYNLKSLRKHIALVSQEPTLFGGTIRENITYGRGGRVDESEMIEAARAANAHDFIAGLKEGYETWCGEKGVQLSGGQKQRIAIARAILKNPKVLLLDEATSALDSQSEKVVQDTLMRVMIGRTSVVVAHRLSTIHHCDAIAVMEKGRVVETGTHSSLLAKGPCGAYYSLVTLQTRHAATLNNTCTKASHSLT >Vigun10g067500.2.v1.2 pep primary_assembly:ASM411807v1:10:15753998:15758170:-1 gene:Vigun10g067500.v1.2 transcript:Vigun10g067500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSSSSSSSSFLKSEPQFIYDVFINFWGENMSRKFVSHLHSVLLQAQVKTLINEENLQQGKKLEEHMRAIAASKIAIIVFSQTYTESTCCLRELEKIVECRETFGQIVLPIFYEIYPFDVRHQKGDFRKALEEAAHKSYSGEQVEHAFSRWSNALTTVAGITGWNVRDFRHDAELVEVTVSRVQTILDYADLSLTRFPVGLDPHVRKVIECIENHSSKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIGNIREDWNNNPVHLQENLLYDVLKSKFEVESVGLGRTMIENEFSRKKLLIVLDDVNEFSQLENLCGNRDWFGQGTVIIITSRDVHVLNRLKVNYIYKMNGMNENDSLELFSCHAFRDSKPRKGFDEFARSITAHCGGLPLALEVLGSYLCGRTKDWWESALAILKVLPTIQVQDTLRISFKSLCDDMEKDIFLDICCFFIGEDRGYVTQILNGCGLHADIGITVLIERGLIEVGRNNKLEMHPLLRDMGREIIRRRCPEKPGERSRLWFEDDIKDVLKRNTGTKGTQGLSLKHKSTRGDCFEAHAFKKMKRLKLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYIPNNFHMENVIAIDLKHSHLQLVWKQPQVLERLKFLNLSHSKYLIETPDFSGLPSLEKLILKDCPSLCKIHILEEDIMQMKSLITLVTENTAVKKVPCSIVSSKSIGYISLRGFEGLPHNIFPSIIRSWMSPTMNPQSYISLLCLDMENDNLSDLVPLYRSLANLRSILIECNTDFQLSEQVKAILVEYGVNFTESRISNHPLRFSLIGVGSYNEFFNTLNDSISEVLARSESCDVSLPGDNYPFWLANMGDGHSVSFTVPRDRNMKGMIFCVVYLSTPEIVATECLTSVFIVNYTKCTLQMHNHDTVISFKDEDWHGIMSNLGSGDKVEIFVNCSNGLVIKNTTIYLIYGESNDLEMEPCLEPKENALNKFIMKMVMCDFW >Vigun10g067500.1.v1.2 pep primary_assembly:ASM411807v1:10:15753998:15758170:-1 gene:Vigun10g067500.v1.2 transcript:Vigun10g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSSSSSSSSFLKSEPQFIYDVFINFWGENMSRKFVSHLHSVLLQAQVKTLINEENLQQGKKLEEHMRAIAASKIAIIVFSQTYTESTCCLRELEKIVECRETFGQIVLPIFYEIYPFDVRHQKGDFRKALEEAAHKSYSGEQVEHAFSRWSNALTTVAGITGWNVRDFRHDAELVEVTVSRVQTILDYADLSLTRFPVGLDPHVRKVIECIENHSSKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIGNIREDWNNNPVHLQENLLYDVLKSKFEVESVGLGRTMIENEFSRKKLLIVLDDVNEFSQLENLCGNRDWFGQGTVIIITSRDVHVLNRLKVNYIYKMNGMNENDSLELFSCHAFRDSKPRKGFDEFARSITAHCGGLPLALEVLGSYLCGRTKDWWESALAILKVLPTIQVQDTLRISFKSLCDDMEKDIFLDICCFFIGEDRGYVTQILNGCGLHADIGITVLIERGLIEVGRNNKLEMHPLLRDMGREIIRRRCPEKPGERSRLWFEDDIKDVLKRNTGTKGTQGLSLKHKSTRGDCFEAHAFKKMKRLKLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYIPNNFHMENVIAIDLKHSHLQLVWKQPQVLERLKFLNLSHSKYLIETPDFSGLPSLEKLILKDCPSLCKVHQSIGHLCNLLLINLKDCTNLSSLPREVYKLTSLRTFILSGCFKIHILEEDIMQMKSLITLVTENTAVKKVPCSIVSSKSIGYISLRGFEGLPHNIFPSIIRSWMSPTMNPQSYISLLCLDMENDNLSDLVPLYRSLANLRSILIECNTDFQLSEQVKAILVEYGVNFTESRISNHPLRFSLIGVGSYNEFFNTLNDSISEVLARSESCDVSLPGDNYPFWLANMGDGHSVSFTVPRDRNMKGMIFCVVYLSTPEIVATECLTSVFIVNYTKCTLQMHNHDTVISFKDEDWHGIMSNLGSGDKVEIFVNCSNGLVIKNTTIYLIYGESNDLEMEPCLEPKENALNKFIMKMVMCDFW >Vigun05g090900.1.v1.2 pep primary_assembly:ASM411807v1:5:8787267:8790126:1 gene:Vigun05g090900.v1.2 transcript:Vigun05g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVLVINPSYCVPHSITLQINTEKRVAYSETDDRLFYIEDTFFTLHDRRVLYDNAKNPIVTFYKKSSEECKVFKGESSDSSKFLFRVKKMKKSSTIPCGITKLNVFLAKNRDEEKSDFRVIIYGSKRSCSVYVGESPTIVTQVENNGGFKVSVNPNVDYAFIVSLLMIVNDMKCSDSCTQDSLNPIKIASAILSLPS >Vigun07g133400.1.v1.2 pep primary_assembly:ASM411807v1:7:24316048:24320574:1 gene:Vigun07g133400.v1.2 transcript:Vigun07g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILLYIVAFLCTCGAIALSLLHIYKHLLNYTEPTYQRFIVRIVFMVPVYALMSFLSLVLPVGSIYFNSIREIYEAWVIYNFLSLCLEWVGGPGAVVLSLSGRNLKPSWFLMTCCLPPVALDGRFIRKCKQGCLQFVILKPILVVVTLVLYAKGKYKDGNFSPKQSYLYLTITYTFSYTMALYALALFYVACKDLLQPFNPIPKFIIIKSVVFLTYWQGVLVFLAAKSEYVKDADEAALLQDFFICVEMLVAAVGHFYAFPYKVYAGANIGANRGLTASLAHALKLNDFYHDTVHQFAPTYHDYVLYNHGESGEEGTRKYRSRTFVPIGPEMDTVRRNKHLFGNKSADDIQLSSLSSSSSAASISGLNSEVSQSGGMKSSLLEDASNSLSGGMKSSLLDDASNSSSVPYDMTLIDLDTPSYPEKVPAADKAGTRW >Vigun09g106720.3.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20697389:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRVN >Vigun09g106720.5.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20693962:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRRR >Vigun09g106720.6.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20693057:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRRR >Vigun09g106720.4.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20697299:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRRR >Vigun09g106720.2.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20697526:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRRR >Vigun09g106720.1.v1.2 pep primary_assembly:ASM411807v1:9:20684494:20697464:1 gene:Vigun09g106720.v1.2 transcript:Vigun09g106720.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQALSLNNNYYYYVGFVICILVKTLSSNLHFFFHHFSRHNILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRRR >Vigun06g233300.1.v1.2 pep primary_assembly:ASM411807v1:6:33923359:33926958:1 gene:Vigun06g233300.v1.2 transcript:Vigun06g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLLPLMFAVFYCMSLSSAAPSPSPTSTCPMDLNYVRRIPWNTSACHNFQQTLAAKNGTDANNCCISLLSLFGIGLAQHLKETSQFQLPNLATSLSCIHDFQSKLSSLSLPDNLVDSCFDPLQFVISPNICAGIQTISDWTKRLGPSTPLDTACKPDLTDLSLCDVCLGAGLQVKQELISKDGNTSHSIDCFYFAILYAAGIVNEFGPESNGAVTCIFSMSVYSQGGSGGKRHQALVFGLTGAGVALLVMSFLLGLYVWYDRKQRTKKLETFQFDFDPEEQGSRRRLRPNTGSIWFKIGELEKATDNFSSKNFIGRGGFGLVYKGTLPDGTVVAVKRILESDFQGDVEFCNEVEIISNLKHRNLVPLRGCCVAEESEDYDERGSQRYLVYDYMPNGNLEDHLFVSQDSQKAKGSLTWPERKSIILDVAKGLAYLHYGVKPAIFHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLVKAGKLEEALDGSLVKDENFPSSNPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPQIPDRPMPLGHPSFYNDGSTFSISPALSGPKLHTGDMLRFINEG >Vigun08g080100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:16600349:16601653:1 gene:Vigun08g080100.v1.2 transcript:Vigun08g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARLPTTEPNKGKRRRRASSSSPERPISNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLDDPLPKFDPEIVREFYANAYFEDNPSEKRSKVRGRWVNYDRTTISEFLGNPLPLQPGQCCDFTTRRRSHEPYDENEVALLICAANRSYQVEPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCEEQGVEVELTEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAENQPAEDQPAMEEQQIGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVCGPYPGMTPPEFFTYLQWPRDSPIFPGGSGPVAGEGPSGAVDTDGADIEDEIDFGGD >Vigun07g113500.1.v1.2 pep primary_assembly:ASM411807v1:7:21011580:21017213:1 gene:Vigun07g113500.v1.2 transcript:Vigun07g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPTSPSDRPTMAPPPMLLSDSVAKDAILAWFRGEFAAANAIIDALCAHLATSTHDYDAAFTAIHRRRLNWIPVLQMQKYHSIADITLELRRVSDRNHNADDQPKSDDKTTSPESVVENGAADEHEEYESPESEITDSGSQEMQASSMNVNLCSNHELCEGRPSQFKLTKGFTAKESVKGHMVNVVKGLKLYEDIFTDLELCKLTDFVNEIHAAGQNGELCGETFILFNKQMKGNKRELIQLGVPIFGQIKEDVKSNIEPIPALLQGVIDHMIQWQLLPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPVSTLLLSESTMAFGRVLMSENDGNYKGPLMLSLKQGSLLVMRGNSADMARHVMCPSPNRRVSITFFRVRPDSNQYQSPTPAMTSAMTLWQPGIASPYALPNGALSSYEGMDMMPKWGVLRGPMVMLTPVRPVALTPRKLAGGGTGVFLPWNVPSRKPARHLPPRAQKGRLLTLPSPVVEPQMGESTSEPSSICVEG >Vigun07g113500.2.v1.2 pep primary_assembly:ASM411807v1:7:21011580:21017213:1 gene:Vigun07g113500.v1.2 transcript:Vigun07g113500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPTSPSDRPTMAPPPMLLSDSVAKDAILAWFRGEFAAANAIIDALCAHLATSTHDYDAAFTAIHRRRLNWIPVLQMQKYHSIADITLELRRVSDRNHNADDQPKSDDKTTSPESVVENGAADEHEEYESPESEITDSGSQEMQASSMNVNLCSNHELCEGRPSQFKLTKGFTAKESVKGHMVNVVKGLKLYEDIFTDLELCKLTDFVNEIHAAGQNGELCGETFILFNKQMKGNKRELIQLGVPIFGQIKEDVKSNIEPIPALLQGVIDHMIQWQLLPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPVSTLLLSESTMAFGRVLMSENDGNYKGPLMLSLKQGYILKNLYSLLSYLPFIN >Vigun07g113500.3.v1.2 pep primary_assembly:ASM411807v1:7:21011580:21015461:1 gene:Vigun07g113500.v1.2 transcript:Vigun07g113500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPTSPSDRPTMAPPPMLLSDSVAKDAILAWFRGEFAAANAIIDALCAHLATSTHDYDAAFTAIHRRRLNWIPVLQMQKYHSIADITLELRRVSDRNHNADDQPKSDDKTTSPESVVENGAADEHEEYESPESEITDSGSQEMQASSMNVNLCSNHELCEGRPSQFKLTKGFTAKESVKGHMVNVVKGLKLYEDIFTDLELCKLTDFVNEIHAAGQNGELCGETFILFNKQMKGNKRELIQLGVPIFGQIKEDVKSNIEPIPALLQGVIDHMIQWQLLPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPVSTLLLSESTMAFGRVLMSENDGNYKGPLMLSLKQGYILKNLYSLLSYLPFIN >Vigun06g070650.1.v1.2 pep primary_assembly:ASM411807v1:6:20099400:20102347:-1 gene:Vigun06g070650.v1.2 transcript:Vigun06g070650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEDRFEAFVWDKSDPGEKGRTVYIGAYSTEVDAAKAHDLVSIRIGGLKALTNCHVRCHSKDMDEMRRMSKWDYICAIRGDLRHQLFGKHHRRHNRSRSP >Vigun06g080000.1.v1.2 pep primary_assembly:ASM411807v1:6:21186745:21191403:1 gene:Vigun06g080000.v1.2 transcript:Vigun06g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSNATTITINVKFNGVNIPVSISPNSTIKDLKSLLLPSTNVLPRGQKLIFKGKVLEDPVTLTASNLTNGSKLMLVASQGLYQGDGPILKKANVVPKSRKDSHSGSSNDVKKIPVKNRMERWKATGVVALSESNLEAIPEEVWVCGSSVRVLDCNNNSIKSVPVEIARLTSLEKLFMNSNEIEDESIKWEGLTTLKHITVLTLNYNNLTTLPSALGSLTSLKELHVSNNKLGGFPDEIGHLTKLEVLQANNNRISIISDTIGNCHSLVEVDFSSNFLSELPETFSSLKNLKALYLSNNAMKSLPSKLFKTCFQLSTLDLHNTEITIDLLRQFEGWDNFDERRRSKHQKQIDFRVGVSRDFDEGADKN >Vigun07g176200.1.v1.2 pep primary_assembly:ASM411807v1:7:29134139:29141904:-1 gene:Vigun07g176200.v1.2 transcript:Vigun07g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQWEVVVHPAPYPLSFWPNKNQHGYVFPHRKVNKKQNTPQTEQKQKELLPLHLTTSSFSLSSFTNTNVIMAVVPTRPFFDSFHSSPFFCNSLFRHSLTPSPNSTFLRPKSLIIFRCNPAKRFSSENNWLKRWSHPANQYSRPKPPRAVLDYQGSGNGHASKSGFSSSDEEGGGNSRESTMDRIVEKLKKIGYASDGIENKERVIEKGSVEDIFYVEEGMLPNARGGFSPESPLGFGRFGRDDGEARFPWEKPEAEELEERKDIRKRSKTSLAELTLPESELKRLLRLTFEKKHKTRIGGSGVTQAVVDKIHERWKTAEIVRLKFEGEAALNMRRMHEILERKTGGLVIWRSGNSVSLYRGVSYEVPSVHQNKQMYKKSENSSMLLPTPSYNPVRNSPNIASNSGTSEPLANLESTNDQKERDDLPKVSYELEVDKLLDGLGPRYTDWSGCDPLPVDADMLPATVPGYQPPFRVLPFGVRSTLGLREATALRRIARTLPPHFALGRNRQLQGLAVAMIKLWEVSSIAKVALKRGVQLTTSERMAEEIKKLTGGILLSRNKDFLVFFRGKNFLSADVTQALLERERTAKIMQDEEEQARLRASSLLIPSNNTSELLAEAGTLGETLDADAKWGKTLDERHKQKIMREVEQLRHANLVKKLEQNLSLAERKLMRAEKALSKVELSLKPSEYKADPDSITDEERFMFRKLGLKMKAFLLLGRREVFDGTIENMHLHWKYRELVKIILKAKSFEQVKKIALALEAESGGVLVSVDKISKGYSIIVFRGKNYQRPSTLRPKNLLTKRKALARSIELQRHEAILKHMTTIQAKVRKLRSEIEQMEKVKDEGDEALYDKLDSAYATDDENSEVEDGEDEDEAYLETYNSENDSEIQSEVENDNMYSPI >Vigun01g121100.1.v1.2 pep primary_assembly:ASM411807v1:1:29794615:29796220:1 gene:Vigun01g121100.v1.2 transcript:Vigun01g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSRDRRVTSVDLAAAFARNRAFFIYNDPPPHRTPPRTLPRGRARAFLGSENTPPSTRRARGRVSSRSLLPAWYPRTPLRDITAVARAIERRRARLGEVEGQRTESTVSTADQVVLEPSETASASVASSSKSPKSVGVKLRTPLGCKVPKIYLDISELPEGESETLTPQKKLLNNIDQVQEAVLEELKKLKRTPSAKKAEREKRVRTLMSMR >Vigun05g051600.1.v1.2 pep primary_assembly:ASM411807v1:5:4416034:4419347:1 gene:Vigun05g051600.v1.2 transcript:Vigun05g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSSPSNNTIAVNGTIPVASTFASATAPMENPLPAKGSGDRKPIALWPGMYHSPVTTALWEARGQIFERLLDPPRDAPPQSELLTRTPSQSRTSILYNFSSDFVLREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDEASTTRPLIVVTASVDKIVLKKPISVNVDLKIVGSVIWVGHSSIEIQLEVTQSNEEGKDSDSVALTANFIFVARDSKTGKAAPVNRLSPETAQEKVLFEQAEARSNLRKRKRGGEKKEFENGEKNRLKALLAEGRIFCDMPALADRDSILLKDTSLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRLKSCVLYTEVHDPDQPLINVEVVAHVTRPELRSSEVSNTFYFSFTVRPEAKVTKNGFKLRNVVPATEEEARRILERIDADNLNEFFRT >Vigun04g099100.1.v1.2 pep primary_assembly:ASM411807v1:4:23030363:23032959:1 gene:Vigun04g099100.v1.2 transcript:Vigun04g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSILNSAQVHSNPNLPSSAIIPTLFFLLFLLFLLLLRGFVFMVQFCKDVFSK >Vigun07g180000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29712095:29713104:1 gene:Vigun07g180000.v1.2 transcript:Vigun07g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVKFILAVILVLAVVHVEPNIGRVLKMEEELKLMSLDNKGPVTPSGPSTGGKNCPPLEEMDVAGNFHHHADRLYFFIYGL >VigunL020400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000270.1:8493:9814:-1 gene:VigunL020400.v1.2 transcript:VigunL020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETISLIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQIPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIYTLSCLSDKTFERKLKLFFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPRILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun11g211350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40625212:40625822:1 gene:Vigun11g211350.v1.2 transcript:Vigun11g211350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQATLYYFFWCLSKTLFLFFLHQPQTQYLTNTDQNLHARPRCNGRECSFLCFRSLGSACGTRS >Vigun01g052000.2.v1.2 pep primary_assembly:ASM411807v1:1:8587742:8600098:-1 gene:Vigun01g052000.v1.2 transcript:Vigun01g052000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQMTWQPSLLSQKRKTGPPIGLRNLGNSCYLNSVLQCLTYTPPLANFCLTLQHSSLCDFSASTCPFCILEKQIARSLKLDLSHDAPSKIQSCIRIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLHRKGGDANGGGGDGGGSTVVKEIFGGALQSQVKCLCCGYESNKVDEIMDISLDVFHSNSLQDSMQKFFQPEVLDGNNKYKCDSCKKLVAAKKQMSILEAPNILVIQLKRFEGILGGKIDKAVAFEEVLVLSSFMCKASQPEYKLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCDDSSVSVATLQEVLSEKVYILFFSRTNQRPVSSSNSIASNGVKPYSNGSQASEFSKVGVPLKDVHAKSSSDLSPWKDMPHVAKTAKVHSSPRVKFDINGGSTSKRSPAPLSVNGKVDVSRNQPLLINGHVKDSVSLENGKKDPSSLPAKNGIDKNKVDVDKFKRKESTFTNGHSDNQMVDIRSVKSDPRQDTDKSRVAGKGSDNFKLENNGLGNKPKILGSKRKVHEDPFFLLAHDGQSQAKVQKLKDNLVKEAKSHLRSCGWTNEVYEFMRSRKLRAQEDGNLGNGDETRKSLIREAQLAFNTKIPESLRKDLIERLKPSSKKTIQF >Vigun01g052000.1.v1.2 pep primary_assembly:ASM411807v1:1:8587742:8600098:-1 gene:Vigun01g052000.v1.2 transcript:Vigun01g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQMTWQPSLLSQKRKTGPPIGLRNLGNSCYLNSVLQCLTYTPPLANFCLTLQHSSLCDFSASTCPFCILEKQIARSLKLDLSHDAPSKIQSCIRIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLHRKGGDANGGGGDGGGSTVVKEIFGGALQSQVKCLCCGYESNKVDEIMDISLDVFHSNSLQDSMQKFFQPEVLDGNNKYKCDSCKKLVAAKKQMSILEAPNILVIQLKRFEGILGGKIDKAVAFEEVLVLSSFMCKASQDPQPEYKLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCDDSSVSVATLQEVLSEKVYILFFSRTNQRPVSSSNSIASNGVKPYSNGSQASEFSKVGVPLKDVHAKSSSDLSPWKDMPHVAKTAKVHSSPRVKFDINGGSTSKRSPAPLSVNGKVDVSRNQPLLINGHVKDSVSLENGKKDPSSLPAKNGIDKNKVDVDKFKRKESTFTNGHSDNQMVDIRSVKSDPRQDTDKSRVAGKGSDNFKLENNGLGNKPKILGSKRKVHEDPFFLLAHDGQSQAKVQKLKDNLVKEAKSHLRSCGWTNEVYEFMRSRKLRAQEDGNLGNGDETRKSLIREAQLAFNTKIPESLRKDLIERLKPSSKKTIQF >Vigun07g076250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:10136555:10136923:-1 gene:Vigun07g076250.v1.2 transcript:Vigun07g076250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ADETVSHLFFTCKVVTHIWKNCENGYEDLLFIIVMQIHFEQFVILEYSSKCDKVWRCVWVTIVWSIWNHRNDVIFRNAKVDAEKFFTLAKMREHGHASRINIQKPFFLLRLMLMPTSCLILV >VigunL004900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:61385:62902:-1 gene:VigunL004900.v1.2 transcript:VigunL004900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun06g010500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4837916:4838248:-1 gene:Vigun06g010500.v1.2 transcript:Vigun06g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPATTSIGIKRLVAIIEVLCSVFLPPSRLHVKPCHCRHSHCCNVRTTLPSYTNATPLLLRAMITFSRSNPMGFFSNPLHEANPNQTPHGFSHPKPFVVDSHHQNMPP >VigunL080801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:24073:24420:-1 gene:VigunL080801.v1.2 transcript:VigunL080801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSPPPCGWSTGFITTPLTIGRLPSQHLDPALPKFFWFTPTFPTCPTVAEQFLDIKRTSPEGNFNVADFPSFAISFATAPAALANCPPFPSVISMLCMAVPKGISVEVDSSF >Vigun05g128700.2.v1.2 pep primary_assembly:ASM411807v1:5:14810143:14822491:1 gene:Vigun05g128700.v1.2 transcript:Vigun05g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNALRDRIFADNEHEEIPDSFVCCVCLDLLYKPIVLSCGHICCFWCVYNSMNCLRESQCPVCRHQYYHFPTVCQMLHFLLLKIYTVPYKRREEQTLEEEKKSGFYSPQFDPETCESQAKFGHSGIPSSSSIMNLTSNSCNVGTSECMEQSGSTTHERDEGTVYSNGEPDIVGTPAKRKKLPQEDISLEQKLSVADVTCTMCKQLLFRPVVLNCGHVYCQTCVVNTDEDMLRCQVCQSPHPNRSPKVCLELDHFLEEQFPEEYRQRRDAIELKQIKVKLETPSCSLDKGNKGENTDWWSDPDSKVHIGVGCDFCGMFPIIGDRYRCADCTEKMGFDLCGDCYASRSKLPGRFNQQHTSEHKFKLEQSNIIHNIMLRLATAQLGDTSIDLESVGNLEIASDSPTMFDDGEDNHSDSETTN >Vigun05g128700.1.v1.2 pep primary_assembly:ASM411807v1:5:14810140:14822494:1 gene:Vigun05g128700.v1.2 transcript:Vigun05g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNALRDRIFADNEHEEIPDSFVCCVCLDLLYKPIVLSCGHICCFWCVYNSMNCLRESQCPVCRHQYYHFPTVCQMLHFLLLKIYTVPYKRREEQTLEEEKKSGFYSPQFDPETCESQAKFGHSGSTTHERDEGTVYSNGEPDIVGTPAKRKKLPQEDISLEQKLSVADVTCTMCKQLLFRPVVLNCGHVYCQTCVVNTDEDMLRCQVCQSPHPNRSPKVCLELDHFLEEQFPEEYRQRRDAIELKQIKVKLETPSCSLDKGNKGENTDWWSDPDSKVHIGVGCDFCGMFPIIGDRYRCADCTEKMGFDLCGDCYASRSKLPGRFNQQHTSEHKFKLEQSNIIHNIMLRLATAQLGDTSIDLESVGNLEIASDSPTMFDDGEDNHSDSETTN >Vigun01g119400.5.v1.2 pep primary_assembly:ASM411807v1:1:29572923:29578870:-1 gene:Vigun01g119400.v1.2 transcript:Vigun01g119400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEVIEQIGRGAFGSAFLVLHKSEKKRYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDAWVEKEDHICIITGYCEGGDMADNIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNAEDLTSSVVGTPNYMCPELLADIPYGYKSDMWSLGCCMFEIAGHQPAFRAPDMAGLINKINRSCISPLPIVYSSTLKQLIKSMLRKNPEHRPTAAELLRHPLLQPYVLRCHNASSNILPVYPIVNSKDKTRRSNKSNGGKDHKEKEASLVNRLERIHPIEGNGDTHVSNLPNDAVTISTSAEDNMETRMVDLTSYIVESSTSISDSKDGSTTSESTICSVCKEDFKGRPPRETTNNEISLKSSQESLHEEQIYAAKHFHKLEDGSVDAVTPEVEDASCNEGLNNAEAPREDSSHDISGKSTMSSEGSSSSDKDKSINEEKPSLTVNPIRVENDTESGNHLNVFTEGSHMNGLTSDCNDALLVKVDDTANRHILSTHKDGSTVEVDQTSGVALSVITEVDGDETNLLDSPCQQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLAKSLISAQKFNPQI >Vigun01g119400.1.v1.2 pep primary_assembly:ASM411807v1:1:29572775:29578887:-1 gene:Vigun01g119400.v1.2 transcript:Vigun01g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METENGDTKSKKMEDYEVIEQIGRGAFGSAFLVLHKSEKKRYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDAWVEKEDHICIITGYCEGGDMADNIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNAEDLTSSVVGTPNYMCPELLADIPYGYKSDMWSLGCCMFEIAGHQPAFRAPDMAGLINKINRSCISPLPIVYSSTLKQLIKSMLRKNPEHRPTAAELLRHPLLQPYVLRCHNASSNILPVYPIVNSKDKTRRSNKSNGGKDHKEKEASLVNRLERIHPIEGNGDTHVSNLPNDAVTISTSAEDNMETRMVDLTSYIVESSTSISDSKDGSTTSESTICSVCKEDFKGRPPRETTNNEISLKSSQESLHEEQIYAAKHFHKLEDGSVDAVTPEVEDASCNEGLNNAEAPREDSSHDISGKSTMSSEGSSSSDKDKSINEEKPSLTVNPIRVENDTESGNHLNVFTEGSHMNGLTSDCNDALLVKVDDTANRHILSTHKDGSTVEVDQTSGVALSVITEVDGDETNLLDSPCQQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLAKSLISAQKFNPQI >Vigun01g119400.4.v1.2 pep primary_assembly:ASM411807v1:1:29573078:29578871:-1 gene:Vigun01g119400.v1.2 transcript:Vigun01g119400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEVIEQIGRGAFGSAFLVLHKSEKKRYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDAWVEKEDHICIITGYCEGGDMADNIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNAEDLTSSVVGTPNYMCPELLADIPYGYKSDMWSLGCCMFEIAGHQPAFRAPDMAGLINKINRSCISPLPIVYSSTLKQLIKSMLRKNPEHRPTAAELLRHPLLQPYVLRCHNASSNILPVYPIVNSKDKTRRSNKSNGGKDHKEKEASLVNRLERIHPIEGNGDTHVSNLPNDAVTISTSAEDNMETRMVDLTSYIVESSTSISDSKDGSTTSESTICSVCKEDFKGRPPRETTNNEISLKSSQESLHEEQIYAAKHFHKLEDGSVDAVTPEVEDASCNEGLNNAEAPREDSSHDISGKSTMSSEGSSSSDKDKSINEEKPSLTVNPIRVENDTESGNHLNVFTEGSHMNGLTSDCNDALLVKVDDTANRHILSTHKDGSTVEVDQTSGVALSVITEVDGDETNLLDSPCQQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLAKSLISAQKFNPQI >Vigun01g119400.3.v1.2 pep primary_assembly:ASM411807v1:1:29572936:29578740:-1 gene:Vigun01g119400.v1.2 transcript:Vigun01g119400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLYSEIILFLVVKSVFDSGKFHKPNIGLTKNLREEYVNVKPRPWYIYSLYLTLLQCPHSLSTCSFVLENGDTKSKKMEDYEVIEQIGRGAFGSAFLVLHKSEKKRYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDAWVEKEDHICIITGYCEGGDMADNIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNAEDLTSSVVGTPNYMCPELLADIPYGYKSDMWSLGCCMFEIAGHQPAFRAPDMAGLINKINRSCISPLPIVYSSTLKQLIKSMLRKNPEHRPTAAELLRHPLLQPYVLRCHNASSNILPVYPIVNSKDKTRRSNKSNGGKDHKEKEASLVNRLERIHPIEGNGDTHVSNLPNDAVTISTSAEDNMETRMVDLTSYIVESSTSISDSKDGSTTSESTICSVCKEDFKGRPPRETTNNEISLKSSQESLHEEQIYAAKHFHKLEDGSVDAVTPEVEDASCNEGLNNAEAPREDSSHDISGKSTMSSEGSSSSDKDKSINEEKPSLTVNPIRVENDTESGNHLNVFTEGSHMNGLTSDCNDALLVKVDDTANRHILSTHKDGSTVEVDQTSGVALSVITEVDGDETNLLDSPCQQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLAKSLISAQKFNPQI >Vigun01g151700.1.v1.2 pep primary_assembly:ASM411807v1:1:33447320:33448186:-1 gene:Vigun01g151700.v1.2 transcript:Vigun01g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALCLSSPRATPPSESLGVSIESHANSKHIGVNYVFIGPLTTKYRPVIVKAAKEDRNTKPNSVICADCDGNGAVQCSQCKGNGVNSVDIFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >Vigun01g151700.2.v1.2 pep primary_assembly:ASM411807v1:1:33447320:33448186:-1 gene:Vigun01g151700.v1.2 transcript:Vigun01g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALCLSSPRATPPSGVSIESHANSKHIGVNYVFIGPLTTKYRPVIVKAAKEDRNTKPNSVICADCDGNGAVQCSQCKGNGVNSVDIFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >Vigun02g187000.2.v1.2 pep primary_assembly:ASM411807v1:2:32585300:32588903:-1 gene:Vigun02g187000.v1.2 transcript:Vigun02g187000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQLPHKPSSSYYCTHPFSFSFVFSLCSSHCMAANSSSRGITALGKRVANRIWTSKSPSPPPSSRKAGGGAAYSSSSLYDKNPDDQIQSGPVPDDVIHATQSGKYWAPHPQTGVFGPPGDHLATPPTSTTGGGERPFHSDVLEEKAWFRPTSLEDLEKPHTLP >Vigun02g187000.1.v1.2 pep primary_assembly:ASM411807v1:2:32585300:32588903:-1 gene:Vigun02g187000.v1.2 transcript:Vigun02g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQLPHKPSSSYYCTHPFSFSFVFSLCSSHCMAANSSSRGITALGKRVANRIWTSKSPSPPPSSSRKAGGGAAYSSSSLYDKNPDDQIQSGPVPDDVIHATQSGKYWAPHPQTGVFGPPGDHLATPPTSTTGGGERPFHSDVLEEKAWFRPTSLEDLEKPHTLP >Vigun03g063200.2.v1.2 pep primary_assembly:ASM411807v1:3:5182573:5186009:-1 gene:Vigun03g063200.v1.2 transcript:Vigun03g063200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGPPEFDYLFKLLMIGDSGVGKSSLLLSFTTEAFEDLSPTIGVDFKVKYVTMEGKTLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNPDCIKMLVGNKVDKEGDRAVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLADGSKGVRKNIFKERPPQSDASTSSCC >Vigun03g063200.1.v1.2 pep primary_assembly:ASM411807v1:3:5182573:5186009:-1 gene:Vigun03g063200.v1.2 transcript:Vigun03g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGPPEFDYLFKLLMIGDSGVGKSSLLLSFTTEAFEDLSPTIGVDFKVKYVTMEGKTLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNPDCIKMLVGNKVDKEGDRAVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLADGSKGVRKNIFKERPPQSDASTSSCC >Vigun02g062800.1.v1.2 pep primary_assembly:ASM411807v1:2:21031826:21033012:1 gene:Vigun02g062800.v1.2 transcript:Vigun02g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGASAEITDAQITDLVSKLQQLIPELRARLSDKVSAAKVLQETCNYIKNLHREVDDLSDRLSELLANTDSNSAQAAIIRSLLM >Vigun08g063000.1.v1.2 pep primary_assembly:ASM411807v1:8:8648126:8656890:1 gene:Vigun08g063000.v1.2 transcript:Vigun08g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERAWPQFIRNLLIMVGSRSRPQLRRPTWIIVLVSIVCVFLIAAYVYPPRSPSSCSLFSSRGCGGSIIDLPPAAQSRQLTDAEVESRVVINEILNYYPVITKKPKVAFLFLTPSSLPFERLWHMFFKGHEGKFSVYVHASKDKPTHVSPYFIGRDIHSAPVGWGKISMVEAERRLLANALLDPDNQHFVLLSESCIPVRRFDFVYNYLLLTNVSFIDSYVDPGPHGNGRYIEYMLPEVEKKDFRKGSQWFSMKRQHAIIIMADSLYFTKFKHHCRPNMEGNRNCYADEHYLPTFFTMLDPGGIANWSVTYVDWSEGKWHPRSFRARDVTYQVMKNIAYIDESPHFTSDAKRTVVITPCVLNGSKRSCYLFARKFFPETQERLIQLYSNSTIF >VigunL059626.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:15224:15385:-1 gene:VigunL059626.v1.2 transcript:VigunL059626.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun06g081100.1.v1.2 pep primary_assembly:ASM411807v1:6:21288232:21291566:1 gene:Vigun06g081100.v1.2 transcript:Vigun06g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDEQLSFLRSLIHGRSFCDATIRFLESLLVSKDVKSFIEVRSSLTDFLRSESLSVIRSIASKTVHEKLLVLEFFVRAFALVGDQQSCLALRYEALVMRELKSASCQWLRVSPEEWLRFVKDAVRNRFHAVAEKACENALSCLENNDDHKPGGDMVSKNLKSIISEITRLRNCAMTSVSSRSVQVQTAEYLKRKTTLQQKSDLLNKQKRCLASTSFRNGIKRQNIRKLHEHQRLLLISEDGIQI >Vigun06g081100.4.v1.2 pep primary_assembly:ASM411807v1:6:21288232:21291566:1 gene:Vigun06g081100.v1.2 transcript:Vigun06g081100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDEQLSFLRSLIHGRSFCDATIRFLESLLVSKDVKSFIEVRSSLTDFLRSESLSVIRSIASKTVHEKLLVLEFFVRAFALVGDQQSCLALRYEALVMRELKSASCQWLRVSPEEWLRFVKDAVRNRFHAVAEKACENALSCLENNDDHKPGGDMVSKNLKSIISEITRLRNCAMTSVSSRSVQVQTAEYLKRKTTLQQKSDLLNKQKRCLASTSFRNGIKRQNIRKLHEHQRLLLISEDGIQI >Vigun06g081100.2.v1.2 pep primary_assembly:ASM411807v1:6:21288232:21291566:1 gene:Vigun06g081100.v1.2 transcript:Vigun06g081100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDEQLSFLRSLIHGRSFCDATIRFLESLLVSKDVKSFIEVRSSLTDFLRSESLSVIRSIASKTVHEKLLVLEFFVRAFALVGDQQSCLALRYEALVMRELKSASCQWLRVSPEEWLRFVKDAVRNRFHAVAEKACENALSCLENNDDHKPGGDMVSKNLKSIISEITRLRNCAMTSVSSRSGSDGRVPKKEDNIAAEVGLTQQTKTMSSKHFFQKWN >Vigun06g081100.5.v1.2 pep primary_assembly:ASM411807v1:6:21288232:21291566:1 gene:Vigun06g081100.v1.2 transcript:Vigun06g081100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDEQLSFLRSLIHGRSFCDATIRFLESLLVSKDVKSFIEVRSSLTDFLRSESLSVIRSIASKTVHEKLLVLEFFVRAFALVGDQQSCLALRYEALVMRELKSASCQWLRVSPEEWLRFVKDAVRNRFHAVAEKACENALSCLENNDDHKPGGDMVSKNLKSIISEITRLRNCAMTSVSSRSVQVQTAEYLKRKTTLQQKSDLLNKQKRCLASTSFRNGIKRQNIRKLHEHQRLLLISEDGIQI >Vigun06g081100.6.v1.2 pep primary_assembly:ASM411807v1:6:21288232:21291567:1 gene:Vigun06g081100.v1.2 transcript:Vigun06g081100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDEQLSFLRSLIHGRSFCDATIRFLESLLVSKDVKSFIEVRSSLTDFLRSESLSVIRSIASKTVHEKLLVLEFFVRAFALVGDQQSCLALRYEALVMRELKSASCQWLRVSPEEWLRFVKDAVRNRFHAVAEKACENALSCLENNDDHKPGGDMVSKNLKSIISEITRLRNCAMTSVSSRSGSDGRVPKKEDNIAAEVGLTQQTKTMSSKHFFQKWN >Vigun08g027700.1.v1.2 pep primary_assembly:ASM411807v1:8:2506230:2508764:1 gene:Vigun08g027700.v1.2 transcript:Vigun08g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAVHGGAGVDPNLPLERQEEAKQLLTRCLNLGMSALRSNVSAIDVVERVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGTKRRCGAVSGLTTVKNPVSLARLVMDKSPHSYIGFSGAEAFARQQGVEVVDNEYFITPDNVGMLKLAKEANTILFDYRIPNSGTCGSGVGTPLQMNGLPISVYAPETVGCVVVDREGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYACNLCGVSCTGEGEAIIRSTLAREVAAVMEYKNLKLHDAVDFVIKHRLDEGKAGLIAVSNTGEVACGFNCNGMFRACATEDGFMEVAIWE >Vigun06g187301.1.v1.2 pep primary_assembly:ASM411807v1:6:30621573:30623736:-1 gene:Vigun06g187301.v1.2 transcript:Vigun06g187301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTRNAGSHKRKIYVTAASGNWSEASSCFRIHPHWWQIALSARGITALHVAVSMGKTSFVENLVNCMKMQDLEIRMADGNTPFCLAAITGNVEIAKILLDKNPRPHSNHRIPISTNFGGPTQQSKLQRYRQLIFLYHHQQHLQYAHPPSQSKAFSVASELLDRYPKLVTVENEKQSTPLQILAQSSLSEEIIEHRDIVSSMFKGMEEVKESLNYVQLSKAMFDAAKSGNIMILEILLEYHPDLLFEVNSEQRSLLHIAILYQQRAIYRLILSKGDSKNVMTQLVDFEGNNVLHLAAKPVPKPTIGSPTDRIIMRRQAFFQAVEKIVPPAIKRMRNNEGCTPEEVFYLCNEELHKKSVSGVKSSANTLLLVVTLIITLGITATITIPVHDIDSTITPIFSKKTWYALFLVSVSVGTYLCGSSLMFYSSIILPSGGEHIQLVRTKFIFGNMTLFASLGFMFTAIISCTILIFDFLPHWIFCVLALFGCIPFLVHPTLDYKLRNRSVTAGFYFCLHRIQDLFN >Vigun06g187301.2.v1.2 pep primary_assembly:ASM411807v1:6:30621573:30623736:-1 gene:Vigun06g187301.v1.2 transcript:Vigun06g187301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTRNAGSHKRKIYVTAASGNWSEASSCFRIHPHWWQIALSARGITALHVAVSMGKTSFVENLVNCMKMQDLEIRMADGNTPFCLAAITGNVEIAKILLDKNPRPHSNHRIPISTNFGGPTQQSKLQRYRQLIFLYHHQQHLQYAHPPSQSKAFSVASELLDRYPKLVTVENEKQSTPLQILAQSSLSEEIIEHRDIVSSMFKGMEEVKESLNYVQLSKAMFDAAKSGNIMILEILLEYHPDLLFEVNSEQRSLLHIAILYQQRAIYRLILSKGDSKNVMTQLVDFEGNNVLHLAAKPVPKPTIGSPTDRIIMRRQAFFQAVEKIVPPAIKRMRNNEGCTPEEVFYLCNEELHKKSVSGVKSSANTLLLVVTLIITLGITATITIPVHDIDSTITPIFSKKTWR >Vigun11g096200.1.v1.2 pep primary_assembly:ASM411807v1:11:27999283:28001087:-1 gene:Vigun11g096200.v1.2 transcript:Vigun11g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGNEDHSSKLLQAQTHIFNQTFGFINSMSLKCAIDLCIPDVIHNYGQPMPLSQLIASLPIHPSKACFVSRLMQILVQSGFISQNSVTDDDDEKKEFSTWFTNDEPTPFHAHNGMSFWDYASREPKLNHLFNDAMTNDTRLISSVMIEKCKGVLEGLESLVDVGGGTGTMAKAIAKSFPHLKCIVFDLPHVVHGLQGTDNIEYVGGDMFQAIPSAHSIMLKTIMHNWNDEECLKILKRCKEAIEKKDKGKVIIIDVVIGNEKGDSELDQTKLYYDMEMMVLVTGKERNQKDWANLFFSAGFSHYKITPVLGFKSLIEVYP >Vigun02g157950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30391236:30396492:-1 gene:Vigun02g157950.v1.2 transcript:Vigun02g157950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDRFEVVVHHGGYFERNEGRWSYSNGLTSTLACDPDRWSFFEIMGILREMGYVNIKDLWYNVSSSEVLENNLKILNDDMGAMQMVRIARRNGQVHMYVNHSICEAEMQQDMEYELEDDADSHHNIRVEPQTEFEETGVDVVVEGDETGADGLGVDKETGVDAEVEGDEAGDDGVGVDKETGVGAEGDEAGGDGVGVNNETGVGAEGDEAGGDGVGVNNETGVGAEGDEAGGDGVGEGDEAEGDEDETGSDLVDVSVHYEDNLWEGNGEEDSEDDDLEFDCSDHVDMRDRGLFDDGWESDEMYSDDPSSDDSLASRTQ >Vigun06g156200.7.v1.2 pep primary_assembly:ASM411807v1:6:27968903:27970909:-1 gene:Vigun06g156200.v1.2 transcript:Vigun06g156200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLGMRFQGKVAIVTASTLGIGFSIAQRLGLEGASVVISSRKQDWKNSVSLLQQNVDKAAGKLRAQGIQVLPIVCHVANAQQRKNLIDKTLQDAAPHLKKGSSVVLISSLAAYNPHHLLWLCME >Vigun06g156200.3.v1.2 pep primary_assembly:ASM411807v1:6:27968903:27970909:-1 gene:Vigun06g156200.v1.2 transcript:Vigun06g156200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLGMRFQGKVAIVTASTLGIGFSIAQRLGLEGASVVISSRKQQNVDKAAGKLRAQGIQVLPIVCHVANAQQRKNLIDKTLQKYEKIDVVVSNAAVHPSVDPILQTQESILDKLWEINVKSTILLLKDAAPHLKKGSSVVLISSLAAYNPHHLLWLCME >Vigun06g156200.6.v1.2 pep primary_assembly:ASM411807v1:6:27968903:27970910:-1 gene:Vigun06g156200.v1.2 transcript:Vigun06g156200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLGMRFQGKVAIVTASTLGIGFSIAQRLGLEGASVVISSRKQQNVDKAAGKLRAQGIQVLPIVCHVANAQQRKNLIDKTLQKYEKIDVVVSNAAVHPSVDPILQTQESILDKLWEINVKSTILLLKDAAPHLKKGSSVVLISSLAAYNPHHLLWLCME >Vigun06g156200.4.v1.2 pep primary_assembly:ASM411807v1:6:27968903:27970909:-1 gene:Vigun06g156200.v1.2 transcript:Vigun06g156200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLGMRFQGKVAIVTASTLGIGFSIAQRLGLEGASVVISSRKQDWKNSVSLLQQNVDKAAGKLRAQGIQVLPIVCHVANAQQRKNLIDKTLQKYEKIDVVVSNAAVHPSVDPILQTQESILDKLWEINVKSTILLLKDAAPHLKKGSSVVLISSLAAYNPHHLLWLCME >Vigun06g156200.2.v1.2 pep primary_assembly:ASM411807v1:6:27968903:27970909:-1 gene:Vigun06g156200.v1.2 transcript:Vigun06g156200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLGMRFQGKVAIVTASTLGIGFSIAQRLGLEGASVVISSRKQDWKNSVSLLQQNVDKAAGKLRAQGIQVLPIVCHVANAQQRKNLIDKTLQKYEKIDVVVSNAAVHPSVDPILQTQESILDKLWEINVKSTILLLKDAAPHLKKGSSVVLISSLAAYNPHHLLWLCME >Vigun01g010000.3.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSFVIIFLLPLFSVVVGNETVQSEEYPLVVSTWPFVEAVRAAWKAVDGGSSAVDSVVEGCSACEELRCDGTVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPW >Vigun01g010000.6.v1.2 pep primary_assembly:ASM411807v1:1:1149381:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRLWIPWLRVALPVRNSVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAMARIARKFPDFLGAVVALNKKGEHAGACHGWTFQYSVRSPAMKDVEVFTVLP >Vigun01g010000.8.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAMARIARKFPDFLGAVVALNKKGEHAGACHGWTFQYSVRSPAMKDVEVFTVLP >Vigun01g010000.2.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSFVIIFLLPLFSVVVGNETVQSEEYPLVVSTWPFVEAVRAAWKAVDGGSSAVDSVVEGCSACEELRCDGTVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPW >Vigun01g010000.7.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRLWIPWLRVALPVRNSVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAMARIARKFPDFLGAVVALNKKGEHAGACHGWTFQYSVRSPAMKDVEVFTVLP >Vigun01g010000.4.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSFVIIFLLPLFSVVVGNETVQSEEYPLVVSTWPFVEAVRAAWKAVDGGSSAVDSVVEGCSACEELRCDGTVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAMARIARKFPDFLGAVVALNKKGEHAGACHGWTFQYSVRSPAMKDVEVFTVLP >Vigun01g010000.5.v1.2 pep primary_assembly:ASM411807v1:1:1149325:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRLWIPWLRVALPVRNSVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPW >Vigun01g010000.1.v1.2 pep primary_assembly:ASM411807v1:1:1149381:1152735:1 gene:Vigun01g010000.v1.2 transcript:Vigun01g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRDLGEQWYNLHHQSISMSAKSFVIIFLLPLFSVVVGNETVQSEEYPLVVSTWPFVEAVRAAWKAVDGGSSAVDSVVEGCSACEELRCDGTVGPGGSPDENGETTIDALIMDGLTMEVGAVAAMRYVTDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSPESMEKWTKWKDGCCQPNFRKNVSPANSCGPYSPTHYLQHPGETCSSTGHMLTTNSGLPRVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAVEEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAMARIARKFPDFLGAVVALNKKGEHAGACHGWTFQYSVRSPAMKDVEVFTVLP >Vigun08g118000.1.v1.2 pep primary_assembly:ASM411807v1:8:28554208:28560007:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.3.v1.2 pep primary_assembly:ASM411807v1:8:28554208:28559999:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.8.v1.2 pep primary_assembly:ASM411807v1:8:28554208:28559977:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.4.v1.2 pep primary_assembly:ASM411807v1:8:28554298:28560000:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.5.v1.2 pep primary_assembly:ASM411807v1:8:28554302:28559963:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGSWYFRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.10.v1.2 pep primary_assembly:ASM411807v1:8:28554301:28559963:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.7.v1.2 pep primary_assembly:ASM411807v1:8:28554302:28559963:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGSWYFRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.6.v1.2 pep primary_assembly:ASM411807v1:8:28554301:28559964:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGSWYFRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >Vigun08g118000.9.v1.2 pep primary_assembly:ASM411807v1:8:28554301:28559963:-1 gene:Vigun08g118000.v1.2 transcript:Vigun08g118000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVYMNPHEAIEARVKHLLSLMTLKEKIGQMTQIERSVATPSAIKHFSIGSVFSAPHNGVNGPFEKVLSSDWADMVDGFQKSALESRLGIPIIYGVDAVHGNNSVYGATIFPHNVGLGATRDAHLVQRIGAATALELRASGIHYTFAPCVAVCKDPRWGRCYESYSGNTEIVRKMTSVVLGLQGHPPERHPRGYPFVDGRNNVIACAKHFVGDGGTLKGVNEGNTILSYEELERIHMAPYVDCIAKGVSTIMVSYSSWNGNKLHGHHFLLTEILKEKLGFKGFVISDWEGIDELCSHYGSDYRYCISTAINAGIDMVMVPFKYEIFIEELMSLVQSGEIPIARIDDAVERILRVKFAAKLFEFPLTDKTLLDVVGCKLHRDLAREAVRKSMVLLKNGKDTSKPFLPLNKNAKRILVAGTHADDIGYQCGGWTGTKYGSSGQITIGTSILDAVKETVGNEVEVIYEQCPSADTIERYEVSFAIVVVGEGSYAECGGDNSELVIPFNGDGIISLVAEKIPTLVILISGRPLLLEQCLLEKIDALVAAWLPGTEAQGITDVIFGDHDFKGQLPMTWFRRVEQLDQTVVEVGSSDPLFPLGYGLD >VigunL059617.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:65973:66332:1 gene:VigunL059617.v1.2 transcript:VigunL059617.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >VigunL040266.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:360294:364386:-1 gene:VigunL040266.v1.2 transcript:VigunL040266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELPKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHPPGFINLQILPQLVKRMKLADIMTILEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQRIGPEYTGPFGVLQALADGTKLLFKENLIPSRGDIRLFSFGPSISVISIIISYSVIPFGYNFVLSDLNIGVFLWIAISRYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSTVDIVDAQSKYGFWGWNLWRQPMGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGVKTYLFIFVSITTRWTLPRLRIDQLLNLGWKFLLPISLELVLNKRKKKIFRAIHDIEQIIRAARYIGQGLMITLSHANRLPVTIQYPYEKIISSERFRGRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun06g171400.6.v1.2 pep primary_assembly:ASM411807v1:6:29280606:29283967:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNVHLDLDQERSMSAL >Vigun06g171400.1.v1.2 pep primary_assembly:ASM411807v1:6:29280538:29284960:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNSGTSGLGSGEVNVSTVTRTKSKRVKGASELSATTGLDVYRSVRNGFSDLQSCPQHVARSPAIVVPSPACPTSVTSVHSH >Vigun06g171400.4.v1.2 pep primary_assembly:ASM411807v1:6:29280606:29284942:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNSGTSGLGSGEVNVSTVTRTKSKRVKGASELSATTGLDVYRSVRNGFSDLQSCPQHVARSPAIVVPSPACPTSVTS >Vigun06g171400.3.v1.2 pep primary_assembly:ASM411807v1:6:29280606:29284942:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNVHLDLDQERSMSAL >Vigun06g171400.2.v1.2 pep primary_assembly:ASM411807v1:6:29280538:29284960:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNSGTSGLGSGEVNVSTVTRTKSKRVKGASELSATTGLDVYRSVRNGFSDLQSCPQHVARSPAIVVPSPACPTSVTS >Vigun06g171400.5.v1.2 pep primary_assembly:ASM411807v1:6:29280606:29284942:1 gene:Vigun06g171400.v1.2 transcript:Vigun06g171400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCTRYPSPSVGGSRDFRELGPYSTQRKHILTQSSLQFVPVPSHNFTLEYSVLTQRGYYPDSPDKENQDSFCIRTQFQGNPSVHFFGVYDGHGEFGAQCSNFVKDRLVENLSSDFTLLEDPVKAYTSAFLTTNEDLHKNEIDDSLSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRVVAEDLSTDQTPFRRDEYERVKLCGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMVPGAAFTRSVGDMLAETIGVIAVPEVSTVQLTPNHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGLSNSGTSGLGSGEVNVSTVTRTKSKRVKGASELSATTGLDVYRSVRNGFSDLQSCPQHVARSPAIVVPSPACPTSVTSVHSH >Vigun08g088266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20027412:20027894:-1 gene:Vigun08g088266.v1.2 transcript:Vigun08g088266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNWKKKNKKKKKKKKNWKKEKEKENEKEKEKEKKKEKEKEKKKEKEKEKEKEKEREREKEKEKEKEKEKEKEKKREKEKEKEKEKEKEKEKEKEKENENEKEKKKEKDKEKGKKKEKRKRRRRRRRRMRMRRRKRRRRGRRRRKGKGEGEGEGEGEGE >Vigun06g019900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9528315:9529206:-1 gene:Vigun06g019900.v1.2 transcript:Vigun06g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAIVIPSSSSHSSFDHSTDSDSVAGSPSFQKPSSSTTRHHHLSRKRKLGRPVGSKNKPKPLLLIDRANKNVVKPVFIEVPDTYDVIRTIVEFARHHHVSIVVLNASGTVSNVTIRNTHYHASPFTLYGPFKLISLTGTYLHNAAFHGSSSLSSILNNDPRCSFGVSVSGIKEQCFIGGVGGKVVAENGVMVAAVIVNKF >Vigun09g035800.1.v1.2 pep primary_assembly:ASM411807v1:9:3159445:3161706:1 gene:Vigun09g035800.v1.2 transcript:Vigun09g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYHKGEMGDFNCCSEQAQQQQQMDKGCGMEESVDLRNGVELATSVSDKHLDLLRPSARNYSIFRGQAMEGGDPEKGTYTLIRGPEDFQTGLYDRPLPCYGCGIGWFSFLLGFLCPPLWYYATILYFGNYYRKDPRERAGLGASAIAALIFTVALLIIGTILHLRSP >Vigun09g035800.3.v1.2 pep primary_assembly:ASM411807v1:9:3160085:3161706:1 gene:Vigun09g035800.v1.2 transcript:Vigun09g035800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVDLRNGVELATSVSDKHLDLLRPSARNYSIFRGQAMEGGDPEKGTYTLIRGPEDFQTGLYDRPLPCYGCGIGWFSFLLGFLCPPLWYYATILYFGNYYRKDPRERAGLGASAIAALIFTVALLIIGTILHLRSP >Vigun09g035800.2.v1.2 pep primary_assembly:ASM411807v1:9:3159424:3161706:1 gene:Vigun09g035800.v1.2 transcript:Vigun09g035800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGCGMEESVDLRNGVELATSVSDKHLDLLRPSARNYSIFRGQAMEGGDPEKGTYTLIRGPEDFQTGLYDRPLPCYGCGIGWFSFLLGFLCPPLWYYATILYFGNYYRKDPRERAGLGASAIAALIFTVALLIIGTILHLRSP >Vigun05g181700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34800958:34801314:-1 gene:Vigun05g181700.v1.2 transcript:Vigun05g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPSSMQPSNSTMEISITITQRRGISVLQPKTKLSGGRSPHPQTYQTTTPKPNHMLTKSDQKGDKGKGPQTT >Vigun08g104900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26094783:26095952:1 gene:Vigun08g104900.v1.2 transcript:Vigun08g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHMECPAPPPAPRIMNLYHDPARFCLLILLLVPPVAAQFQNSPPSPSQLDPFTRLRFDKTMAAVLVILVVVFFALGFVSIYTRQCAERRMRGRLDLAVAIAGGMERRQHHGLDRATVDTFPTFVYSEVKALKIGRATLECAVCLNEFRDDETLRLIPKCCHVFHPDCIDSWLVNHSTCPVCRANLSPKPEDAPPPVEIHIPDSARPNGPNAEPDYDPNYINPVREGEGEGERNRIATEPLSIDDPNRARPIRSRSTGFGVSRLFPRSHSTGHSLVRPGEDCERFTLRLPEDVRNQLMSSGTLNRTKSCGVTWERQSSGRRGYRTRSVGRSYLRYERFGGEWRLDRWGFMWTPPFWGRTGSGRSAKSTKKKDEVDVGERSSDLLFTRD >Vigun01g229100.4.v1.2 pep primary_assembly:ASM411807v1:1:40163765:40166864:1 gene:Vigun01g229100.v1.2 transcript:Vigun01g229100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRLRNHGRNFRMVSIVTMENYYDSGWHMGTTWAQCSDHSSSFAVPTQILPHVTDSASLQFGEFHSWPLPIEGAAEDRAMSASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIEHVKDLKRKAMDVSRAFTVPTEIDEVSIDCDHVEDESCTKVNKLKENIVIKASVCCDDRPELFPELIQVLKGLRLTAVKADIASVGGRIKSKLVLCSKDSEEGVCLTTLKQSLKSAVTKIASSSMTSNCPTRSKRQRFFLPSRCLQ >Vigun01g229100.2.v1.2 pep primary_assembly:ASM411807v1:1:40163765:40166114:1 gene:Vigun01g229100.v1.2 transcript:Vigun01g229100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRLRNHGRNFRMVSIVTMENYYDSGWHMGTTWAQCSDHSSSFAVPTQILPHVTDSASLQFGEFHSWPLPIEGAAEDRAMSASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIEHVKDLKRKAMDVSRAFTVPTEIDEVSIDCDHVEDESCTKVNKLKENIVIKASVCCDDRPELFPELIQVLKGLRLTAVKADIASVGGRIKSKLVLCSKDSEEGVCLTTLKQSLKSAVTKIASSSMTSNCPTRSKRQRFFLPSRCLQ >Vigun01g229100.5.v1.2 pep primary_assembly:ASM411807v1:1:40163583:40166329:1 gene:Vigun01g229100.v1.2 transcript:Vigun01g229100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRLRNHGRNFRMVSIVTMENYYDSGWHMGTTWAQCSDHSSSFAVPTQILPHVTDSASLQFGEFHSWPLPIEGAAEDRAMSASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIEHVKDLKRKAMDVSRAFTVPTEIDEVSIDCDHVEDESCTKVNKLKENIVIKASVCCDDRPELFPELIQVLKGLRLTAVKADIASVGGRIKSKLVLCSKDSEEGVCLTTLKQSLKSAVTKIASSSMTSNCPTRSKRQRFFLPSRCLQ >Vigun01g229100.1.v1.2 pep primary_assembly:ASM411807v1:1:40163765:40166009:1 gene:Vigun01g229100.v1.2 transcript:Vigun01g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRLRNHGRNFRMVSIVTMENYYDSGWHMGTTWAQCSDHSSSFAVPTQILPHVTDSASLQFGEFHSWPLPIEGAAEDRAMSASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIEHVKDLKRKAMDVSRAFTVPTEIDEVSIDCDHVEDESCTKVNKLKENIVIKASVCCDDRPELFPELIQVLKGLRLTAVKADIASVGGRIKSKLVLCSKDSEEGVCLTTLKQSLKSAVTKIASSSMTSNCPTRSKRQRFFLPSRCLQ >Vigun01g229100.3.v1.2 pep primary_assembly:ASM411807v1:1:40163360:40166864:1 gene:Vigun01g229100.v1.2 transcript:Vigun01g229100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRLRNHGRNFRMVSIVTMENYYDSGWHMGTTWAQCSDHSSSFAVPTQILPHVTDSASLQFGEFHSWPLPIEGAAEDRAMSASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIEHVKDLKRKAMDVSRAFTVPTEIDEVSIDCDHVEDESCTKVNKLKENIVIKASVCCDDRPELFPELIQVLKGLRLTAVKADIASVGGRIKSKLVLCSKDSEEGVCLTTLKQSLKSAVTKIASSSMTSNCPTRSKRQRFFLPSRCLQ >Vigun06g238866.2.v1.2 pep primary_assembly:ASM411807v1:6:34334026:34336927:-1 gene:Vigun06g238866.v1.2 transcript:Vigun06g238866.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRKVLPSRITLVIPSKRLPNYKLKQKRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIERKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLECKCCLSFKEVEYKHLVFEGNQCLSSACLLLQWFTGCACLVVMPIDYYYAYQHDNMK >Vigun06g238866.1.v1.2 pep primary_assembly:ASM411807v1:6:34334026:34336927:-1 gene:Vigun06g238866.v1.2 transcript:Vigun06g238866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKNEHDSSIKDLFTTYSLGSLPTSPFSDEVALNLSRRVKSRLADLEKDLDEKKKANDNELEMAWDCYMDANDRWKDIEAKIKAMQGIKDGILKRIEEKKNELDSSEHQMTNVNLSHIDERERHLKKEIERKESQLSQRQFESIIPQMQNEIFSVDQKIRAVNSEKDIMTADSADRVMLSHKKAELENRKKKHKKMFDDLKDKIRKVLKGRVPLDKDVKKEITQALRAVGAEFDDMNAKYRDAEKEVNMLQIKIQEVNGNLSKHRKDLECKCCLSFKEVEYKHLVFEGNQCLSSACLLLQWFTGCACLVVMPIDYYYAYQHDNMK >Vigun03g116300.1.v1.2 pep primary_assembly:ASM411807v1:3:10750144:10751998:1 gene:Vigun03g116300.v1.2 transcript:Vigun03g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNGLKKGPWTTEEDQKLVDYIQKHGYGNWRVLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFTFEEEETIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHRPRLDLLDLSSILSSSLYGPTHMNIQRLLGTQTMVNPELLKLASSLFSSQHRENLNMCAQNCQDSFLSDPQINQIPQHLVQTQDFAQQPCQTLDNTMNPPCSSLSMPFAQEQHLVEYPSVFHDSCFQHHSQPSDLQCNGIDASYVAQLPSYNYHGSDYDANLMDPSESSTYNHSQNFSFASVMSTPSSSPTPLNSNSTYVNGSSSTEDEIESYVSSNLLRLIEIPDMLGVNEFI >Vigun11g042900.2.v1.2 pep primary_assembly:ASM411807v1:11:6208943:6211914:1 gene:Vigun11g042900.v1.2 transcript:Vigun11g042900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDSARKFSFNRLISNGDLVIVYERHDIMKAVTVSEGSVLQNRYGVFKHSDWLGKPFGSKMFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAGSARDDFERTGLGNLVTVGVRDIQGEGFPDEFTGLADAVFLDLPQPWLAIPSAAKMLKQDGTLCSFSPCIEQVQRSCEVLQISFTDIRTFEVLLRTYEVREEKLEDDGSNGSLPCKRKQCSDGGNLISSPISSVMARPCGEAKGHTGYLTFSRLRCL >Vigun11g042900.1.v1.2 pep primary_assembly:ASM411807v1:11:6209027:6211914:1 gene:Vigun11g042900.v1.2 transcript:Vigun11g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDSARKFSFNRLISNGDLVIVYERHDIMKAVTVSEGSVLQNRYGVFKHSDWLGKPFGSKMFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAGSARDDFERTGLGNLVTVGVRDIQGEGFPDEFTGLADAVFLDLPQPWLAIPSAAKMLKQDGTLCSFSPCIEQVQRSCEVLQISFTDIRTFEVLLRTYEVREEKLEDDGSNGSLPCKRKQCSDGGNLISSPISSVMARPCGEAKGHTGYLTFSRLRCL >Vigun02g144500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29279813:29281205:1 gene:Vigun02g144500.v1.2 transcript:Vigun02g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVRGDSLGSGTFATVNIAVPANCSTRFPSPAAVKSSDSLNAGSLEHEKEILDRLGSSPYIINCFGHDRTVENGEEFYNVFLEHAAGGSLADQVKKHGGSLPEPLVRRCARSIVEGLKHIHHHGFVHCDVKLQNVLVFDNGEVKIADFGLAKEKGEKQGKCEFRGTPLFMSPESVNDNEYEPPADIWALGCAVVEMVTGKPAWDVSNGSSIWSLLIRIGMGEELPKIPEELSQEGKDFLEKCFVKDPKNRWSAEMLLKHPFIKVDSFSFEKVHEPLPSPAPSPRTHFDFPDWTSTATASLPSSVDSDERPGWSCSPESRLRRLVTDKRPENWSESDGWISVR >Vigun01g184600.1.v1.2 pep primary_assembly:ASM411807v1:1:36498338:36502879:-1 gene:Vigun01g184600.v1.2 transcript:Vigun01g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGAAVWQGAVLGGILFWIVSASYLDVTRKLRSLFQPWVSHHVETQTSVVLKIQSYGSGFLDALFSGLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYLGNCIKDVVSAPRPASPPVKRVTATKDEEENALEYGLPSSHTLNTVCLSGYLLHYVLTHTQIQGAYVTYLGISLAFMLVLLIGLGRIYLGMHSVVDVLAGLLFGLLILAFWLTVHEHIDNFVISGQNVTSFWAVLSFLLLFAYPTPELPTPSFEFHTAFNGVALGIVAGVQQTYHQFHHGDVPRLFSSEMSVAVFAGRMLVGIPTILTVKFCSKALAKWIIPMVANTLGIPIKSTSYIPTLNGSAALKKTDKLRQGYFQKLLSRHKAFDVDTGIRFLQYAGLAWSVVDLVPSLFSYMSL >Vigun04g054900.1.v1.2 pep primary_assembly:ASM411807v1:4:5346752:5349776:-1 gene:Vigun04g054900.v1.2 transcript:Vigun04g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSSSTRRAQCLVLAYPAYGHINPALQLSKLLVREGVRVTFVTTIFYAKIVQKVPPPIALETISDGFDEVGYAGAATHKEYTDKFTHVGSLTFAELLQKHGRSEDDRVDCVIYDSFYPWALDVAKRFGIPGASYLTQNMTVNSIYYHTHFGKLQVPLQQHEISLPYLPKLLPQDLPTFFLTYENQQSLLRFLLDQFSNIDKADWILCNTFYDMDKEIVDWFMEIWPKFRCIGPNIPSFFLDKQYEEDKDYGVTELKRDECIEWLDDKPKESVVYVSFGSIATFEKEQMEEIASCLKECSHYFLWVVRKSEESNLPKDFEKKTEKGFVVTWCSQLKVLAHEAIGCFVTHCGWNSTLETLCLGVPTIAIPFWSDQSTNAKLMEDVWKMGIRAPFDEKRVVRREALKHCIREIMENEKGNELKNNANQWRTLAVKAVKSGGSSHKNILEFVNSLFH >Vigun06g038800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15736406:15742141:-1 gene:Vigun06g038800.v1.2 transcript:Vigun06g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGAIRAWNNIQEPWERTIKYLLLAMCDWCTCRVGFSLTHCHHYNFFFFSFFFSFFFSIFFFFFFSSFFFFFFFFFSFSFSLFFFSFFSFFYLFFSFFFSFLYFFYLFFSIFSFFSFFSFFSFSFFSFSFFSFFYIFYFIYFFFFSFSFFFFFSIFFSIFSFSYFFFSFFSLFSLFFFFYFFFFSFFFYLFFSFFFSFFSFFFSLFFFLFFYFFYLFFSFFFSFFFFSFFFSFSFFSFFFSFFSFFFYFFYFFFFFSVSFFSFFSFFISFSFFSFFFFSFSFFSFFFYFIYFFYFFFSFFFFFFFFFFFFFSFFFSLVLFSFFFFFSFFSFFFSFSFFSFSLFTFYLFFFFSFFFFFSFFFSSFFSFLFSFLFSFLFFSFFFSFFFSFFFSIFFSFFFSSFFYFFFSFFFFSFFFSFFTFFFSFFSFFFYFFFCLLFSFFFFFSFFFSFFSFFSFSFFFSFFSFFSFFFSFSFFSFFFSFFSFFFYFFYFFFFSFFFFVSFFSFFISFSFFSFFFSFSFSFSPSSSTSSTSSTSSSPSSSSSSSSSPSSSPWSYSPSSFSSPSSSPSPSFPSPCSPSTSSSTSPSSFSSPPSSLSSFPSSSHSSSSPSSSSPSSSPSSSPSSSPPSSPSSTSSTSSSSPSSPSSSPSSPSSSTSSSTYYSPSSSSSPSSTSSTYSSPSSSPSRSSTSSPSSPSSPSSSTFSTFSTSYPFSFSSSSPSSSPSSPPPPSSSSSSPSSSSPSPSSPSSSSPSPSSPSSPSSSSPSSSPGYSPSPSSPSHSSPSPSSSPSSSSSSHSSSSPSPSSPFSSSPFSSSPSSSPSSSLTSSPSSSPSSSSSSSPSSSTSSSTYSSPSSSPSSSPQSSHPFSSSSPSSTSSYYSPSFSPSPSSTSSPSSPSSSPSAYSSTFSTSSPFSFSFSSPFSFSFSSPSSSSPSSSPPSSPTSSPPSSSPSSSSSPSPSSSSHSSSSPSSSPSSSTSSTYSSPSSSPSSSPHSPPSSSSPSSTSSTYSSPSSSSPSSSSPSSSTSSSTYSSPYSSHSSSPSSSPLSSPLSSSSSPCFTSSSYSSPSPSFSPSPSSTSSPSSPSSSSPSSSTSSTSSSSSPSSPSSSPSSSPSSSPSPSPSPSASPSSSPSPSSPHSSPSPSSTSSPFSPSSFPSSPSSTSSTSSSSPSPFSSPSSSPSSSPSSSPSSPSSSPSSSSSSPSPSSPSSSSSSSSTPPSSSSSCSPSSSFSFSFSPSSYPSSPSSSPSSSSPSSFPSSPPSSSPSSSSPSSPSSSPSSSPSPSSPSSSFSPPPSSSSPSSSSSTYSSPFPSSSSPSSSPSSFSLSSSSPSFSPSSSTSSSTYSSPSSSPHSSSSSPSSTSSYSSPSFSPSPSSSSFPSSPFSSSFPSSPSSSPSSTSSSTSSTSSSSHSSSPSSPSPPPSSSPSSSSSPSPSPSSSSSTASSTYSSPSSSPSSSPHSSSSSSPSSPSSYSSPSFSPSPSSPSSPSSASSSTSSTSSPFSSSPSFSTSSSSPSYPSPSSSSSSSCSLSSSFSFSSSSSPSSRSSSPSSSPSSSPSSSPSSPSSSFSPSPSSPSSSSSTYSSPYSSSPPSSSSSPSSSPSSSSSSASSSPSSFSLSSPSPSFSPSSSTSSSTSSSTYSSPSSSPSSSPHFSPPPSSSPSSTSFSPSPSSSSFPSSTSSSPSSTSSSTSSTSSTSSPPSSSPSSSPSSSSPSPSPSSSSSTASSTYSSPSSSPSSSPHSSSSSSSPSSPSSYSSPSFSPSPSSPSSPSSSPSSASSSTSSTSSPSSSPSSSPSSSPSSSLSAYPSSSSPSSFTSSPSYPSPSYPSSYPSSSSSSSPSSSPSSSPSSSSSPSSSPFSFHSSSPSSSSPSSSPSSSPSSPSSSPSPSSPPSSSFCSSTYSSPSSSPSPSPSSPSPSPSFPSK >Vigun01g139800.1.v1.2 pep primary_assembly:ASM411807v1:1:32039348:32043727:-1 gene:Vigun01g139800.v1.2 transcript:Vigun01g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQKDYDVKPSFTQITVAISVGLFFIVIFHFRLKHSRDRKIIPRIRLSRASHVPKLERFSHYVARQMGFKDRRFCPHLCRLASEYIRKYEGLEDDIYAFFENEPDADSLYVKLVEEFERCILSYLAFHWNYGDTIMSQVLSSENEPKKKLKHIVMAATREQRFERLAKNLKVARVFNTLVEEMKAMGIATTDDSQCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGAAGNAVIIEADAFKESDVIYKALSSRGHHDMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYRVKDDGSVIENYWERIQGEEPEQVEGKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVNSQLKSHKRFAQAFMTYCQLVDNARLYFTNALEGPPKLIGWKDRDKTLLVDPDEFDCLKRLAKLNENANSIYELYKHPNPACEAGSVWKDIVLSPSRLNIQQELKYSIQRIESVRYSFTLE >Vigun01g186100.1.v1.2 pep primary_assembly:ASM411807v1:1:36622299:36623598:-1 gene:Vigun01g186100.v1.2 transcript:Vigun01g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKLNLGQHMIVSPVIPRTIAFTPSSIAVTLTNQHASSSLTLCYTPTHSPTRVRSRSHPFTESDDQVEDLRVPNHWLQPTISLQESEWLRVTLHKWLDDEYCPEETNVEISRVAANSYYNSLLQKQTDLGEILLKMARELESINYKESFHGAFSSANAAIDLIAQRIEQFSQSI >Vigun04g137250.1.v1.2 pep primary_assembly:ASM411807v1:4:34289710:34292855:1 gene:Vigun04g137250.v1.2 transcript:Vigun04g137250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLERFYALLLLLMHAAGPVLGFNNTSEIKCNERERQALLSFKLDLVDVNGMLSTWRDDEKSRDCCKWKNIQCDNQTGLVTILRLRGSETQYLRGALNITSLFPLQNIQYLDLSYNFFMGNDIAEFIGSLTNLRYLNLSNSFFSGSIPIQLGSLTHLRYLDLSYNYLDQELPYQLGSLKYLRYLDLNYNYLEGKLPSQLANMSQLRYLGLSCNYFSGALPFQVGNFPYLQTLILAGDFDVKPKDAKCLRDGSFLISDISITNSSSSLASLDLSSNLLISSSIFYWLFNSTTNLRTLDLYENVLEGPIPDGFGKVMKSLEFLDLYGNKLQGEIPSSFGNMCTLQRLDLSYNELSGEISSLFQNSSWCNRHVFQSLDLSNNQITGMLPTIIGLLSELEYLFLDGNRLEGPTFPSWLQTQRSLAFLDISDNGLNGSVPKLFWNNLENVQCLNMSQNNLTGAIPNTSMKLLNRPFIILNSNQFEGKIPSFLLQASELRLSDNKFSDLFSFICDQSSSAMTILDLSNNQLKGKLPECWKHVNRLLFLDLSNNKLSGKIPVSMGSLVKLEVLVLRNNNLTGELASTLKNCNNLTTLDVGENMLFGPIPSWIGESMKQLIVLNMRKNDFSGNLPSELCYLKHIQFLDLSKNMLSKGLPTCLNNLTAMFEKSIHTSGFINYIKLLNMNGVFYGSFPTGEYTLNISLMWKGVEQGFKNPELKLKSIDLSSNKLTGEIPKEIISTWLDLSHNSLSGRIPSGRHFGTFDVSSFEGNVGLCGEQLNRTCPEDGNQRTIKTEEHGNDDEDNVLYEALYMSMGIGYFTGFWVLFGPMLLWRSWRNTYLRFLNRLTYGIYVLLVL >VigunL002800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:5766:6134:-1 gene:VigunL002800.v1.2 transcript:VigunL002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun06g140000.1.v1.2 pep primary_assembly:ASM411807v1:6:26540609:26547451:-1 gene:Vigun06g140000.v1.2 transcript:Vigun06g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSHPHICQCLSRLLGFRRHFPVTVAGNRRKTGQQLVEEVLSLAQGLLHLGLAPGHVVAISAYNSDRYLEWLLAIAFVGGIAAPLNYRWSFEEARLALAAVKPVLLVTDESSSTWYSKLQQNDIPSLKWHILLDSASLDFTKWNVFTPEMIKRYPAKLEPFDYSWAPEGTVIICFTSGTTGKPKGVTLSHGALIIQSLAKIAIVGYNEDDVYLHTAPLCHIGGLSSAMTMLMVGGCHVLMPKFDAESAVGAIEQYAVTSFITVPAIMASLISVIRPKDIWKGGETVKKILNGGGSLSHELIKDTSIFFHRAKLISAYGMTETCSSLTFLMLYDPMDEKTNMSLQSFGVAGSKFIQQPQGVCVGKPAPHVELKISADASGQIGRILTRGPHIMLRYWETHTNPLSPNNEAWLDTGDIGSIDHYGNLWLLGRTNGRIKSGGENIYPEEVEAILLQHPGITSVVVVGIPDAHLTEMVAACIQLRENWQWSEQLIVSNEEFKLSRKNLQLHCIENHLSRFKIPKMFIAWKKPFPLTTTGKIRRNEVRKEVISQLQSLHSNL >Vigun10g104500.1.v1.2 pep primary_assembly:ASM411807v1:10:30046214:30048020:1 gene:Vigun10g104500.v1.2 transcript:Vigun10g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYLFLQNNFNIMKTFNDGLSFCRGKGTSFLAPLWSCTFWSFRMVSPLVTVACLFLPLLLLIFFQNRKGKNSKFPPGPRGLPIVGNLLSVDSTTLHLQLWELSKKYGPIVSLRFGSRKAIAVCTSELAREVLKDRDLECCGRPKLLGQQTLFFKGYDVIFSPYGEFWREIRKICVLNVLSQKQVSTFSPIRHSELKDMMKRISRNASSGKLYNFTEATISLTSTIICRILFGRSYEDNEADGSRFYSLLKGCQEMMVAFFFSDFIPSLGWIDTVTGKKARLRAIFQEVDSFFQDAIDEHLDPKRVVSPENQDITDIILQLQKQRTSSIELTDDVVKAILMDLLLASTDSSSASLVWNMTALLKNPRVMKKLQDEIRGKANGKEFLDDEDVQNLPYLRAVIKETFRYYPPGPILVQRETNEDCVIGGYEIPAKTTLYVNAWAIHKDENNWKNPFEYYPERFMDSNITFRGTDYEFLPFGSGRRVCPGMPMAIASLDLILANLLNSFDWELPNGMKPDDLDVLTDPGLTVHKRNPLHILPKRVI >Vigun10g118100.1.v1.2 pep primary_assembly:ASM411807v1:10:32441508:32451058:1 gene:Vigun10g118100.v1.2 transcript:Vigun10g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGSSQPPYGYPSPYFYPGNTPQYPPPDPYARPPYPYPPNAPDTFNYSYPPPSPPPSAPYSSHTRHFTYPSPSHPPPSPPSHDSYDISMPQSTDTYPYPDHDPPSSDSYKPPVVSIHPPLDDHMSIVRLSETTSTLALLTQSPSISNYYRDEFFGFSIYSPSDLDKEDPTRPSAQSDDSMSNQSLQIVPVQNKASLPVLLLHGNLDIWVHRAKHLPNMDMFHKTLGDVFGKLPVNVNKVDGTVSRKITSDPYVTISVSNAVIGRTSVISNSENPVWEQHFYAPVAHHVAEVHFVVKDSDVVGSQLIGVVAVPAEQIYSGEKINGNYPILNSSGKPCKPGAVLRLSIQYIPIEKLITYDQGVGAGPEYHGVPGTYFPLRKGGTVTLYQDAHVPSGSLPNVMLNHGLHYNHGKCWNDIFEAIRAAQRLVYITGWSVWHKVRLLRDTDNATDSTLGELLRTKSSEGVRVLLLVWDDPTSRSILGYKMDGVMATHDEETRRFFKHSSVQVLLCPRIAGKRHSWAKQKEVETIYTHHQKTVIVDADAGNGKRKIVAFVGGLDLCDGRYDNPHHPIFRTLETLHKDDYHNPTFTGNTGGCPREPWHDLHSKIDGPAAYDVLTNFEERWLRAAKPKGLKKFTSSYDDALLKLDRIKDVISSSDDLDLDEDNNPASWHVQIFRSIDSNSVKGFPKVSRNASSANLVCGKNVLIDMSIHTAYIKAIRAAQHYIYIENQYFIGSSYNWSQYRDLGANNLIPMEIALKIAAKIRANERFAVYIVIPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEAAFSPQDYLNFFCLGNREAVDVYENVTVSGNPPPANSPQAISRNNRRFMIYVHSKGMIVDDEYVIVGSANINQRSMEGTRDTEIAMGAYQPYHTWARRQFYPLGQIHGYRMSLWAEHTGTIEDCFSEPESLECVRRIRTMGELNWKQYASNDATEMKGHLLKYPVEVDRKGKVRSLPGQDEFPDVGGKIIGSFIAIQENLTI >Vigun03g165400.2.v1.2 pep primary_assembly:ASM411807v1:3:18928567:18929663:-1 gene:Vigun03g165400.v1.2 transcript:Vigun03g165400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNIHSLIGNFSGSLSHMESLSEAHSRIPKSESTVPLGFLFFRYLLIISCYGTSFDKGRIIVKEESAGSVSFSHHLVRIEKPII >Vigun03g167301.1.v1.2 pep primary_assembly:ASM411807v1:3:19390207:19390382:1 gene:Vigun03g167301.v1.2 transcript:Vigun03g167301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIVAFDSSAFVFSDWLNHNFELVQQL >Vigun06g203100.1.v1.2 pep primary_assembly:ASM411807v1:6:31751212:31755680:-1 gene:Vigun06g203100.v1.2 transcript:Vigun06g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIASMGRSFKDSLKLLEADIHHANTLASDFPREYDGACLQMRMSYSMAAHLFLFLVQWTDCNLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQKGVTDTEDKKQKAVCLERYRKRDEEEHRQPSDIDIEREEECGICMEMNSKIVLPDCNHVMCLKCYHEWRTRSQSCPFCRDSLKRVNSGDLWVFTDGRDVVDMATVTRENLRRLFMYIDKLPLIIPDSLFDTYDSHLR >Vigun03g370800.7.v1.2 pep primary_assembly:ASM411807v1:3:57334624:57344198:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKVRNFSRLFCSVY >Vigun03g370800.2.v1.2 pep primary_assembly:ASM411807v1:3:57331903:57344205:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.9.v1.2 pep primary_assembly:ASM411807v1:3:57331864:57344187:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFYGMLKITFQTILELRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.1.v1.2 pep primary_assembly:ASM411807v1:3:57331863:57344205:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.3.v1.2 pep primary_assembly:ASM411807v1:3:57331815:57344317:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.8.v1.2 pep primary_assembly:ASM411807v1:3:57331863:57344188:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFYGMLKITFQTILELRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.5.v1.2 pep primary_assembly:ASM411807v1:3:57331903:57344184:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQGCSTKPMKKTASS >Vigun03g370800.6.v1.2 pep primary_assembly:ASM411807v1:3:57331817:57344308:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVVGFLQYIISFKTLKRLCFTLRVYVYRVGTWMH >Vigun03g370800.10.v1.2 pep primary_assembly:ASM411807v1:3:57331909:57344185:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFYGMLKITFQTILELRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun03g370800.4.v1.2 pep primary_assembly:ASM411807v1:3:57331910:57344184:-1 gene:Vigun03g370800.v1.2 transcript:Vigun03g370800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLFFRKPPDGLLEICERVHVFDCCFTTDTWKEGNYNYKDYMDGIVGRLKENLPDASILIFNFREEGTKTQMGSIMSEHDITIMDYPLHYQGVPVLKMELIHHFLRSGESWLSLGQDNMLLMHCEPGGWPVLAFMLAALLIYRKAYTGEQRTLDMVYRQAPHELLHLLSPLNPIPSQLRYLLYISRRNVALDWPPLDRALMLDCIILRLFPNFDSEGGCHPVFRIYGQDPFNADKFPKMLYSTPKRSKNVRAFKQGECELIKIDINCHIQGDVVIETINFNGDMESERMMFRIMFNTAFVRSNILMLNRDEIDILWDAKDHFPNDFRVEILFSEMDAAIVVADRTSCFEEKDGLPMEAFAKVQEIFSHVDWMNPKADVALNALQLISDSTMNDSLDEKGPRTPQGNLNEEVRSSFSTKTSRMSRKEEKTNKFEGIPQQHSIPNNICQDSVRTSKRTSESNKCPTRPTNLDIKLQAPHPAIFSTDTSFSPRTPPLRPQSTSDKEAHDSPCQTKSPPSYYVVPLQSRHQSQDRSHSSISVPTPDTQLSSTFHSKLLADIISCPSAPTLTSTQSSPSLSSKNVDEIPPIKTRIESSPPRPPTPPPPPTPPVNDHRLVRARPPPPPPPPPPLPPKKELHVKAAPHPSPLSHMNVAPQVRDGPSPPLSLKEEQPTRFKPPCLSGEVAGFTIVPPPPPPPLSSEVLYSNHTNSSLQKSLAPPSPPEAPAPPPPPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAPPPPPGAPAAPPPPMPFGKGGLKSGSSFSGSLSVNARSSSATNLKGILSRTITSKNNTKRLKPLHWLKLSRAVQGSLWAESPKSGEASKAPEIDMSELENLFSAAVPTSGIAKKSNVQSSAGPKSEKVQLIEHRRAYNCEIMLSQVKVPLHDLMTSVLALEESALDSDQVENLIKFCPTKEEMELLKGYNGDREKLGRCEQFLMELMKVPRVESKLRVFSFKIQFRSQVSDLRKSLLVVNAASEEIRNSVKLKRIMHTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARDKKMTLMHYLCKVLVDKLPDVLDFSNDLSDLEPAAKIQLKFLAEEMQAINKGLEKVVQELSTAECDGPISETFRKKLKEFLGSAEAEVRSIASLYSTVGRNVDALILYFGEDPSRCPFEQVASTLLNFTRMFNKAHEENCKQLELEMKKTENEKKKCESERILPTPIRTGNVK >Vigun11g205900.1.v1.2 pep primary_assembly:ASM411807v1:11:40260779:40263982:-1 gene:Vigun11g205900.v1.2 transcript:Vigun11g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNPTCPPPMKATSNGAFQHENPLDYALPLLILQICLVVVFTRFLAFILKPLRQPRVIAEVIGGILLGPSAIGRNEKFLNTIFPKRSLTVLETVANLGLLFFLFLVGLELDMRSIRKTGHKALAIAVAGITLPFVLGIGTSYALRATVSKKSNPTSFLIFMGVALSITAFPVLARILAELKLLTTDAGRIAMSAAAVNDVAAWILLALAIALSGSNSSPLVSLWVILCAAAFVLFAIFAIKPLLAMMAKRSLEGEPVQEIYICITLTLVLACSFITDTIGIHALFGAFVVGIVMPKDGPFAGVLIEKVEDLVMSLLLPLYFVSSGLKTDVTTIRGTLSWCMLVLVIFTACFGKISGTFVVSLLCKVPFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMALFTTFITTPIVMAVYKPARRGLPYTHKTVQRKDPDTELRVLACFHSTYNIPTLINLIESSRGTRKRGKLCVYSMHLMELSERPSAITMVHTARNNGMPFWNKKHDNKDQMVVAFQAYGHLSSVNVRPMTAISAFSSMHEDICTSAHQKRVALILLPFHKHQHFDGTMESLGNSLRVMNGHVLSRAPCSVGILVDRGLGGTRQVQASDVSYDVVVGFFGGRDDREALAYGMRLAEHPGISLTVVKFVALPGQTFAFGAKLVGITANKDKKIINVAQGTGSDEDKQEDEKFWSEFVSVRSKNTESITYEERVVESKEDLKTVLREKNRSNLILVGRMPQVAPLAEKSDCPELGPIGSYLASSEFSTLSSVLVLQQYNPETDVYPMVMEVSDYKDMPEKPTGSGLSS >Vigun09g166500.6.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDFSRCCTDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.2.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33445137:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVMDCSPSSSAAPPRRSGRKKLVQSTLFPLKPPEPVEKKDKEDEVDEDYSEAENNKKKRKRSKAKATPPKKVSSKRPTPRKKATANGTKGSTSQQVLTNSDQVKAPVYDLFLEAKLAAEEDSRIYAGRQIHPFFSLWKEGKKVQDVSESGSNLPTAKSNHKRATCGPIHVFENVKDDTLSVDWRNWTFVENMISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQESMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.4.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDFSRCCTDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.5.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDFSRCCTDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.9.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.3.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDFSRCCTDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.1.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33445137:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVMDCSPSSSAAPPRRSGRKKLVQSTLFPLKPPEPVEKKDKEDEVDEDYSEAENNKKKRKRSKAKATPPKKVSSKRPTPRKKATANGTKGSTSQQVLTNSDQVKAPVYDLFLEAKLAAEEDSRIYAGRQIHPFFSLWKEGKKVQDVSESGSNLPTAKSNHKRATCGPIHVFENVKDDTLSVDWRNWTFVENMISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQESMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.7.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun09g166500.8.v1.2 pep primary_assembly:ASM411807v1:9:33435026:33444565:-1 gene:Vigun09g166500.v1.2 transcript:Vigun09g166500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNYGQESVNASVLEGSVESLNFDKLHSSLNRLGISISQNALSSDRLSIIRKKPEEVSPSNPSSLVEQSACLTCENAELDMKVDESVTTTVQAGIFRKSKDTEPLSRFLQERAKRRCLLLNQSSCQSSQNESENCLFHINRNLLENMRSYYRSCLDMEECNLWTNKYKPTKAVEVCGNDESVNFLSDWLHRWHERQYKSRKDNTGTNKSGMQDVEDDDDDYKYSYSDCDSEDINEKDSLPKVLLITGPIGSGKSSAVHACAKEQGFIILEINSSNSTNGTAIRNLEHSLDSLGFKRQSGNSESSHKTSTKSARALAMLSGKAADEANGVDELVTISDDETCTHSGSTLKLHGENDDTEKYKIRRLVLVEDVDILFAEDRGCMAAIQRIAETSKGPIILTSNSDNPGLPHKFDMLHVPFVLPSPKELLCHLYSVCLAEGVNIHPLLLEKFIHSCDGDIRKSIMHLQFWFQSKTFQKDEKAQTGYGSRPFDLELGHQILPKIMPWDFPSVISDLIENEIAKQTNIMEENSKGLITEKLNVSEQQKDLNVNYIGTDDIEAMKVEMINRNGSLTDYCELEIQRSTISEFSNSSGSPLASSRHNGRRKHVVMSDDEDSNCGYPVDSHDEANMRQLMKETNGCPSEFQLNGNYPGTSVDRLVCSDLEHSEADSAYLNETCKSFDVSCVPESTYVPETEIENGTETLSGAVSSGPALPFVSLREVSVNNELKPFNFNVRRRLTKLLQNPDLLADTEIPDHSFQGVQPNVLDEHTETIVKVMDECSRMDFKPKPTLSQSNPLDETEKIQNVWRDLRDHRVDLRQHSISEELGAFQVVKLASGLCNLISDVDLFPYLDKMEPSMFLSNEAASNGYCEQMMSTVAEHGFCFYAKVIADEGSKLGCANCVDITSEMLASSTDIMALGKLSRQDLSKMKAFRTGNEVEWNTPINNMQKSEKKRSLIEVIQSIAPARTSLAMKGYAFNEYLSSLRQISRSEAFRISQVVEKKRGGRVRGVHHYLSTNTELSPEDISLVTDGDPYRKISSQHTT >Vigun10g143300.4.v1.2 pep primary_assembly:ASM411807v1:10:36047500:36051594:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun10g143300.1.v1.2 pep primary_assembly:ASM411807v1:10:36046271:36051677:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun10g143300.7.v1.2 pep primary_assembly:ASM411807v1:10:36047738:36051553:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun10g143300.3.v1.2 pep primary_assembly:ASM411807v1:10:36047414:36051594:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun10g143300.6.v1.2 pep primary_assembly:ASM411807v1:10:36047092:36051616:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun10g143300.2.v1.2 pep primary_assembly:ASM411807v1:10:36041572:36051676:1 gene:Vigun10g143300.v1.2 transcript:Vigun10g143300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEEFNMERVIEEFERVTKDAERIQRETLKKILEDNASAEYLMNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITTMSLSSGTTQGKPKFVPWNEELFDTTLQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQMVSSTFAHSIVSAFRTFEQVWEELCLDIKEGVLSSKVTVPSVRTAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGEVPLLTSDYGSSEGWIATNVKPRVPPELATYTVLPQIGYFEFIPLTETNADDSFLCMDPKLVGLTDVKVGEEYEIVITNPAGLYRYRLGDVVKVVGFHNSAPEVKFVRRNNLLLNINIDKNTEKDLQIAVEAASQLLAEENLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILEHYVALGSAANQFKTPRYIGPTNTRVLQILNENVVKNYLSTAFN >Vigun07g058000.1.v1.2 pep primary_assembly:ASM411807v1:7:6384285:6387447:-1 gene:Vigun07g058000.v1.2 transcript:Vigun07g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHSPKEEEEYIRPAPVLANDIEVPVA >Vigun08g025700.2.v1.2 pep primary_assembly:ASM411807v1:8:2231566:2237513:-1 gene:Vigun08g025700.v1.2 transcript:Vigun08g025700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTIGSLQETIEFDTSTTAAVDQHIFWNNMRNPAENHFALPPSDLNPSYPNPINHEWQNLSGWSLGEPSSSNTQNEVTNNEQKGELGLSSSISSGAIAAPRLEERRFEPNSTFSLDNVNTSSMYMRSSNSHLVPQNLNLNASLADSGTDNGYRVEHPPNLNKSSGPVNEHIQPSLGSGPFLLPSGTNGFLVEDTTDARPGCSLDTRRVSCKRKAVERSVGQSSGGGSSSYSQHTDGSAWNTLPTQDYGGNSFNRSASTEQVNARLGLSTGDGASESITWSNVAGGSESFHRNFRVRINPSSQQISVPPPAIPSGGVLRNSGVSSSSPMLQRFHSVDNSLDLRSAPPVVDTMVAQSQQPLVIHVPALPRNVQPFRWNGGSSSTNNHPPNTVICADRNNLPNEEPSSRSMPRNIVEYPMFVPATNLRNVVRNPARASNSANLSIPGNVGSSSRAASNSAVNPPSAPPAAAWVSRPNPQQYPRRLSEYVRRSLFSPGLEAAGSSSNNYASFRGPSTSSGVNPFERQGDGEFGIQYSLRSLAAGGEGSSRLVSELRNVLGLMRRGGNLRFEDVMILDHSVFAGIADMHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILKHMKNKKYSAEPGSQHEAEPCCVCQEEYKDGDDLGSLDCGHDYHTECIKQWLMHKNLCPICKTTGLAT >Vigun08g025700.1.v1.2 pep primary_assembly:ASM411807v1:8:2231566:2237513:-1 gene:Vigun08g025700.v1.2 transcript:Vigun08g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGTIGSLQETIEFDTSTTAAVDQHIFWNNMRNPAENHFALPPSDLNPSYPNPINHEWQNLSGWSLGEPSSSNTQNEVTNNEQKGELGLSSSISSGAIAAPRLEERRFEPNSTFSLDNVNTSSMYMRSSNSHLVPQNLNLNASLADSGTDNGYRVEHPPNLNKSSGPVNEHIQPSLGSGPFLLPSGTNGFLVEDTTDARPGCSLDTRRVSCKRKAVERSVGQSSGGGSSSYSQHTDGSAWNTLPTQDYGGNSFNRSASTEQVNARLGLSTGDGASESITWSNVAGGSESFHRNFRVRINPSSQQISVPPPAIPSGGVLRNSGVSSSSPMLQRFHSVDNSLDLRSAPPVVDTMVAQSQQPLVIHVPALPRNVQPFRWNGGSSSTNNHPPNTVICADRNNLPNEEPSSRSMPRNIVEYPMFVPATNLRNVVRNPARASNSANLSIPGNVGSSSRAASNSAVNPPSAPPAAAWVSRPNPQQYPRRLSEYVRRSLFSPGLEAAGSSSNNYASFRGPSTSSGVNPFERQGDGEFGIQYSLRSLAAGGEGSSRLVSELRNVLGLMRRGGNLRFEDVMILDHSVFAGIADMHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILKHMKNKKYSAEPGSQHEAEPCCVCQEEYKDGDDLGSLDCGHDYHTECIKQWLMHKNLCPICKTTGLAT >Vigun03g450700.1.v1.2 pep primary_assembly:ASM411807v1:3:65206746:65210415:-1 gene:Vigun03g450700.v1.2 transcript:Vigun03g450700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRENRRVEMESAAENPNYIMVPSAGATAVVEPQSQLPLAVTSTHNCKRNLFSFPRRPALRVTSEFDSESAVFFHKISCKLLDSLAKFKFSFNHSGKGDISEPQISFVSKHLSLHYDLEDHNALVKTSLDVGPRLQLTASHDVKAQQGEVTMLANLAPPGYSLQLSTPVPSVGLPKATLRFPLGEVSLQEKEDEEVKSLLSVSGILKGQFLNGVCSAQYKDEEVKLRYCYKDDEMSFLPILSLPSNAPSFAFKRRFGPSDKLSYWYNCDSNYWSAVYKRTYGKDFKFKAGYDSEVRLGWASLWVGDEGGKAKTAPMQMKVQFMLQVPQDDIKSSVLMFRIKKRWDF >Vigun10g032700.2.v1.2 pep primary_assembly:ASM411807v1:10:4307214:4312832:-1 gene:Vigun10g032700.v1.2 transcript:Vigun10g032700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIENIGEVWDQVYRTHVDLQENFLYDVLKSKLKLESVGMGRTMIKNELSRRKLLIVLDDVNEFGQLENLCGNPEWFSQGTVIIITTRDVRLLKRIEVNYVYKMDGMNENDSLELFCCHAFGEARTRNLNEIARNRVSYCGGLPLALEVLGSFSCKKTMREWESVLSKVKLIPLEVEKKLKLSFDGLNKEEMDIFLDVCCFFIGKERGYVTEILNGCELHADIGITVLIECGLIKFGRNNKLEMHPLFRDMGREYICQRFLKEPWKRKRLGFQGDAKYVLKKNIGTEATEGLFLKMHSTSRDYFEAHAFKKMKRLRLLQLDHVQLAGDYGYLSKQLRWICWKGFPSKYIPNNFHMENLIAMDLKYSNLLLVWKQSQVLEQLKFLNLSHSKYLRETPDFSGLPSLERLILKDCPCLCKVHPSIGDLCNLQLINLKDCASLSNIPREVYKLKSLKSFILSGCFKIEILEEDIVQMKSLITLVTENTAVRRVPCSVVSSKSIGYISLRGFEGLSQNLFPSIIRSWMRPMVNPQSYFSPFCMDMDNNNWRDLAPLHSGLANIRSLLVQCDTTFQLSEQVKTILVEYSLNFTEQRISNHYLRFSLIGVGSYSEFLNTLSDSISKGLASSESCDVCLPGDNHPYWLAHIGEGRSVSFTVPQERDLKRMALCVVYLSAPEFMANECFQSVLVVNYTKCTLQIHNHGTVISFNDRDWEGIISNLGSGDKVEFFVTFGHGLVVKNTAIYLNIW >Vigun10g032700.3.v1.2 pep primary_assembly:ASM411807v1:10:4307214:4312832:-1 gene:Vigun10g032700.v1.2 transcript:Vigun10g032700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSSSSASSSSSFLKSEPVFIYDVFINFGGEDIGRRFVSHLHSSLLQAQVKTFISQENLQEGMKLEEHMGEIAASKIAIIVFSKTYAESTCCLFELEKKGDFGKALEEAAHKSYSGEQLEHVLFRWSCALTKAAGISGWDLRNFRHDAELVEVTVNRVQKLLDYQGLFITQFPIGLESHVEEVIGCIENHSTKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIENIGEVWDQVYRTHVDLQENFLYDVLKSKLKLESVGMGRTMIKNELSRRKLLIVLDDVNEFGQLENLCGNPEWFSQGTVIIITTRDVRLLKRIEVNYVYKMDGMNENDSLELFCCHAFGEARTRNLNEIARNRVSYCGGLPLALEVLGSFSCKKTMREWESVLSKVKLIPLEVEKKLKLSFDGLNKEEMDIFLDVCCFFIGKERGYVTEILNGCELHADIGITVLIECGLIKFGRNNKLEMHPLFRDMGREYICQRFLKEPWKRKRLGFQGDAKYVLKKNIGTEATEGLFLKMHSTSRDYFEAHAFKKMKRLRLLQLDHVQLAGDYGYLSKQLRWICWKGFPSKYIPNNFHMENLIAMDLKYSNLLLVWKQSQVLEQLKFLNLSHSKYLRETPDFSGLPSLERLILKDCPCLCKVHPSIGDLCNLQLINLKDCASLSNIPREVYKLKSLKSFILSGCFKIEILEEDIVQMKSLITLVTENTAVRRVPCSVVSSKSIGYISLRGFEGLSQNLFPSIIRSWMRPMVNPQSYFSPFCMDMDNNNWRDLAPLHSGLANIRSLLVQCDTTFQLSEQVKTILVEYSLNFTEQRISNHYLRFSLIGVGSYSEFLNTLSDSISKGLASSESCDVCLPGDNHPYWLAHIGEGRSVSFTVPQERDLKRMALCVVYLSAPEFMANECFQSVLVVNYTKCTLQIHNHGTVISFNDRDWEGIISNLGSGDKVEFFVTFGHGLVVKNTAIYLNIW >Vigun10g032700.1.v1.2 pep primary_assembly:ASM411807v1:10:4307214:4312832:-1 gene:Vigun10g032700.v1.2 transcript:Vigun10g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSSSSASSSSSFLKSEPVFIYDVFINFGGEDIGRRFVSHLHSSLLQAQVKTFISQENLQEGMKLEEHMGEIAASKIAIIVFSKTYAESTCCLFELEKVIECHQTFGQIVLPIFYEIDPLDVYLQKGDFGKALEEAAHKSYSGEQLEHVLFRWSCALTKAAGISGWDLRNFRHDAELVEVTVNRVQKLLDYQGLFITQFPIGLESHVEEVIGCIENHSTKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIENIGEVWDQVYRTHVDLQENFLYDVLKSKLKLESVGMGRTMIKNELSRRKLLIVLDDVNEFGQLENLCGNPEWFSQGTVIIITTRDVRLLKRIEVNYVYKMDGMNENDSLELFCCHAFGEARTRNLNEIARNRVSYCGGLPLALEVLGSFSCKKTMREWESVLSKVKLIPLEVEKKLKLSFDGLNKEEMDIFLDVCCFFIGKERGYVTEILNGCELHADIGITVLIECGLIKFGRNNKLEMHPLFRDMGREYICQRFLKEPWKRKRLGFQGDAKYVLKKNIGTEATEGLFLKMHSTSRDYFEAHAFKKMKRLRLLQLDHVQLAGDYGYLSKQLRWICWKGFPSKYIPNNFHMENLIAMDLKYSNLLLVWKQSQVLEQLKFLNLSHSKYLRETPDFSGLPSLERLILKDCPCLCKVHPSIGDLCNLQLINLKDCASLSNIPREVYKLKSLKSFILSGCFKIEILEEDIVQMKSLITLVTENTAVRRVPCSVVSSKSIGYISLRGFEGLSQNLFPSIIRSWMRPMVNPQSYFSPFCMDMDNNNWRDLAPLHSGLANIRSLLVQCDTTFQLSEQVKTILVEYSLNFTEQRISNHYLRFSLIGVGSYSEFLNTLSDSISKGLASSESCDVCLPGDNHPYWLAHIGEGRSVSFTVPQERDLKRMALCVVYLSAPEFMANECFQSVLVVNYTKCTLQIHNHGTVISFNDRDWEGIISNLGSGDKVEFFVTFGHGLVVKNTAIYLNIW >Vigun02g174600.1.v1.2 pep primary_assembly:ASM411807v1:2:31692974:31696747:1 gene:Vigun02g174600.v1.2 transcript:Vigun02g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQEKGNRSKRQPEKNPSASNNGRIPGAVKFSNDTERLQHVNSIRKAPVGAQMKRVIDLLFETRKALTMEQINEACYVDMRANKDVFESMRKNPKIRYDGEKFSYKSKHDVRDKSQLLVLVRKFPEGIAVVDLKDSYPTVMEDLQALKASREVWLLSNFDSQEDIAYPNDPKVPIKVDDDLKQLFREIELPRDMIDIERDLQKNGMKPATNTAKRRSAAQMEGISTKPKPKKKKNEITKRTKLTNAHLPELFQNLK >Vigun03g416900.2.v1.2 pep primary_assembly:ASM411807v1:3:62372368:62380648:1 gene:Vigun03g416900.v1.2 transcript:Vigun03g416900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDLDSIARKIDVDNRIPLRYYYRIADSLLKQASIYREENNVVDLYIILVRFLSLVSETIPYHRDYHASPPNERAAYKKKSRPVLDELESLKPEFKRRVEKLKDLHVKAPLPEENGFNKALQSSVNSSLEWPVVNKSNNLSVDFKQPSGVGSHSSWNYNSMSSSDSRPIDKQFQKLSLSLPAPNKETLSRHSFLGPNGLRGQWLGPSAEIKVQYPSSDLTHVNDSSLNQTGLYDILAIKDSDQGPVTSTMDSVLSLDDGRWSRPSVEYGSSVVTESREDPLQSLNIKQPLPPPVLAQVHPECAHIPPSKVADPRPGPAKSSHDSGLGPTTYQHLHIPVKMMEDFLRLASQNTRKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTTSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPSDGSPIYEHCSHVYMNANMGFDVVDLRDR >Vigun03g416900.3.v1.2 pep primary_assembly:ASM411807v1:3:62373125:62380648:1 gene:Vigun03g416900.v1.2 transcript:Vigun03g416900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIYREENNVVDLYIILVRFLSLVSETIPYHRDYHASPPNERAAYKKKSRPVLDELESLKPEFKRRVEKLKDLHVKAPLPEENGFNKALQSSVNSSLEWPVVNKSNNLSVDFKQPSGVGSHSSWNYNSMSSSDSRPIDKQFQKLSLSLPAPNKETLSRHSFLGPNGLRGQWLGPSAEIKVQYPSSDLTHVNDSSLNQTGLYDILAIKDSDQGPVTSTMDSVLSLDDGRWSRPSVEYGSSVVTESREDPLQSLNIKQPLPPPVLAQVHPECAHIPPSKVADPRPGPAKSSHDSGLGPTTYQHLHIPVKMMEDFLRLASQNTRKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCSTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTTSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPSDGSPIYEHCSHVYMNANMGFDVVDLRDR >Vigun03g416900.1.v1.2 pep primary_assembly:ASM411807v1:3:62372368:62380648:1 gene:Vigun03g416900.v1.2 transcript:Vigun03g416900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDLDSIARKIDVDNRIPLRYYYRIADSLLKQASIYREENNVVDLYIILVRFLSLVSETIPYHRDYHASPPNERAAYKKKSRPVLDELESLKPEFKRRVEKLKDLHVKAPLPEENGFNKALQSSVNSSLEWPVVNKSNNLSVDFKQPSGVGSHSSWNYNSMSSSDSRPIDKQFQKLSLSLPAPNKETLSRHSFLGPNGLRGQWLGPSAEIKVQYPSSDLTHVNDSSLNQTGLYDILAIKDSDQGPVTSTMDSVLSLDDGRWSRPSVEYGSSVVTESREDPLQSLNIKQPLPPPVLAQVHPECAHIPPSKVADPRPGPAKSSHDSGLGPTTYQHLHIPVKMMEDFLRLASQNTRKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCSTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTTSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEPSDGSPIYEHCSHVYMNANMGFDVVDLRDR >Vigun03g334400.1.v1.2 pep primary_assembly:ASM411807v1:3:53258336:53260513:1 gene:Vigun03g334400.v1.2 transcript:Vigun03g334400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLLVLCFLLGLLFLVQAHGNHKKIGFYELKRGNFKLNFTNYGATILSVVTPDKHGKLADIVLGYDSIDSYKNDTTYFGALIGRVANRIGGAKFTLDGKTYHLPANDHGNTLHGGTRGFSDVIWSVESHKKHSHVTFSYHSHENEQGFPGKLKVQVTYKLIGAHQLAVKMIAKSVDKATPVNLAQHTYWNLGGHNSGDILSHNVQIFGSYITPVDKVLIPTGETRSVKGTPYDFLEPKKVVTHIRELPDLYDMNYVLDKSFQKQLSKAAVVRDPVSGRNMELWSNQLGMQFYTSGQLNGTKGKHGAIYHKFAAIALETQGFPDSVNHPYFPTQIVKPGETYEHIMLYRFTAS >Vigun09g051200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5050380:5050604:-1 gene:Vigun09g051200.v1.2 transcript:Vigun09g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMKAIHNWGEVAPTLLLQSAYKRPSRCFTLEPIMEEEQPHGSGMLNNRVFVSMPLLLFCGFLYIFLYRGLLI >Vigun02g146600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29464182:29466749:1 gene:Vigun02g146600.v1.2 transcript:Vigun02g146600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLKETLESGIPSSPNSGNRSTEFPQQQQQEEEDKFQQEPTESLQVVISGEDGEKSREEPNSPGSEWVVMIKEKLEQAGQDDVASSWSKLSIYRIPHYLRDSTGEDKAFAPQIVSIGPYHHGKKRLRPMDRHKWRSLNHVFKRTKHDIRIYLDSMKEIEERARSCYEGSISLSSNEFVEMLVLDGCFVLELFRGATEGFKQLGYSRNDPVFAMRGSMHSIQRDMIMLENQLPLFVLDRLLGIQLGNPDLKGLVTALALRFFDPLMPTDEPMTESDRNKLELSLGSAITFDPLSDQEGLHCLEVFRRSLLRAGPQPVPRIWIRRRSNAQRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWDIKFKDGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCRNDITSYIIFMDNLINSPEDVGYLHYRGIIEHWLGSDAEVADLFNRLCQEVVFDINNSYLSPLSEDVNRYYNHRWNTWCASLRHNYFSNPWAIISLVAAVVLLLLTLAQTYYSIYGYYRPAR >Vigun02g146600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29464182:29466754:1 gene:Vigun02g146600.v1.2 transcript:Vigun02g146600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLKETLESGIPSSPNSGNRSTEFPQQQQQEEEDKFQQEPTESLQVVISGEDGEKSREEPNSPGSEWVVMIKEKLEQAGQDDVASSWSKLSIYRIPHYLRDSTGEDKAFAPQIVSIGPYHHGKKRLRPMDRHKWRSLNHVFKRTKHDIRIYLDSMKEIEERARSCYEGSISLSSNEFVEMLVLDGCFVLELFRGATEGFKQLGYSRNDPVFAMRGSMHSIQRDMIMLENQLPLFVLDRLLGIQLGNPDLKGLVTALALRFFDPLMPTDEPMTESDRNKLELSLGSAITFDPLSDQEGLHCLEVFRRSLLRAGPQPVPRIWIRRRSNAQRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWDIKFKDGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCRNDITSYIIFMDNLINSPEDVGYLHYRGIIEHWLGSDAEVADLFNRLCQEVVFDINNSYLSPLSEDVNRYYNHRWNTWCASLRHNYFSNPWAIISLVAAVVLLLLTLAQTYYSIYGYYRPAR >Vigun08g001700.2.v1.2 pep primary_assembly:ASM411807v1:8:202410:205522:1 gene:Vigun08g001700.v1.2 transcript:Vigun08g001700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIDPRSGFCVSNSVFYSKRKPLPLAPNNALDVTTFISSRAHRGTTAFIDAATGRHLSYSQLWRAVEGVAGSLWDMGIRKGNVVLVLSPNSIDFPVVCLAIMSLGAVITTTNPLNTTREIAKQIADSKPLLAFTTTLLLPKVTQAAPSLPIVLMDATTHPSIPDAKIVATLHDMAQKEPSAQRVRERVEQDDTATLLYSSGTTGPSKGVLSSHRNLIAMVQIVLGRFHAGDIETYICTVPMFHIYGLVAFATGLLASGSTIVVLTKFEMHDMLSAIQRFRATYLPLVPPILVAMLNNADAIKKKYDIGSLHWVLSGGAPLSKEVIEGFVDKYPNVTILQGYGLTESTGVGASTDSLEESRRYGTAGLLSPGTQAMIVDPDSGQSLPVNRTGELWLRGPTIMKGYFSNEEATTSTLDSKGWLRTGDVCYIDNDGFIFIVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDREAGQFPMAYVVRKDGSSLSESQVMDFVAEQVSCSFNKHQGCVVLLLL >Vigun08g001700.1.v1.2 pep primary_assembly:ASM411807v1:8:202282:205522:1 gene:Vigun08g001700.v1.2 transcript:Vigun08g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIDPRSGFCVSNSVFYSKRKPLPLAPNNALDVTTFISSRAHRGTTAFIDAATGRHLSYSQLWRAVEGVAGSLWDMGIRKGNVVLVLSPNSIDFPVVCLAIMSLGAVITTTNPLNTTREIAKQIADSKPLLAFTTTLLLPKVTQAAPSLPIVLMDATTHPSIPDAKIVATLHDMAQKEPSAQRVRERVEQDDTATLLYSSGTTGPSKGVLSSHRNLIAMVQIVLGRFHAGDIETYICTVPMFHIYGLVAFATGLLASGSTIVVLTKFEMHDMLSAIQRFRATYLPLVPPILVAMLNNADAIKKKYDIGSLHWVLSGGAPLSKEVIEGFVDKYPNVTILQGYGLTESTGVGASTDSLEESRRYGTAGLLSPGTQAMIVDPDSGQSLPVNRTGELWLRGPTIMKGYFSNEEATTSTLDSKGWLRTGDVCYIDNDGFIFIVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDREAGQFPMAYVVRKDGSSLSESQVMDFVAEQVAPYKRIRKVAFISSIPKNPSGKILRKDLIKLATSKL >Vigun08g067400.10.v1.2 pep primary_assembly:ASM411807v1:8:10085117:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.7.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.4.v1.2 pep primary_assembly:ASM411807v1:8:10083231:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.5.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.9.v1.2 pep primary_assembly:ASM411807v1:8:10084189:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.8.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.2.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.1.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFKVRAVSMLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.6.v1.2 pep primary_assembly:ASM411807v1:8:10078606:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFKVRAVSMLEAVQEIAIYIHRFHNLDLFQQGWYQIKLTMRWEDDEDVSFGIPARVVQYEAHDLRASSIYGIWRIDDTDNSFSTQPFRIKYARQDIHLCMMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun08g067400.3.v1.2 pep primary_assembly:ASM411807v1:8:10082970:10101577:1 gene:Vigun08g067400.v1.2 transcript:Vigun08g067400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFKLSLSRFEVLPTTGVILKFELMYAPTFENGADLQASLDAYPAAVHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLLKAASNQSASKVPSFSRNAAFVADRSYDSLNQVSSDVASVKLKNAMLVKALLTAHDILFEELQKLSKAVGQAIDVSQVLSKRNSMKLINCVPQFDQFTTEVEISGQDKPQNGPEGGNGALASENAEKLSSLSTRELLNCYHSVGNQLLYLWNIFLKFHRDNKIKILEFLHETWAKDRKAEWSIWMVYSKVEMPHHYINSQSDESPHRAANKRISSMWKLPDEPPETAATRAELRRRSIAQMRINNRSIQDMHIFGDPLHIPIVIVERVMNAPRRTISDNSYLRNLELTSIQTGLNLDSANDISAPQSSPRVLKIVVFVHGFQGHHLDLRLIRNQWLLLDPKIEYLMSETNEDKTSGDFREMGRRLAKEVVSFVKKKMDKAAKYGSLGDIRLSFVGHSIGNLIIRTALAESMMEPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDQDIQNTFLYKLCKRKTLSHFRNIILLSSPQDGYVPYHSARIESCQAASHDNSKKGRVFLEMLNDCLDQIRANPSERRVFIRCDVNFDATSYGKNLNSMIGRAAHIEFLESDTFARFIMWSFPELFR >Vigun05g006800.1.v1.2 pep primary_assembly:ASM411807v1:5:582569:586992:-1 gene:Vigun05g006800.v1.2 transcript:Vigun05g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPCHLQRLRCSVKNYDWGLPGRVSEVARLHALNSNTPFHAEDPYAELWIGTHDSGPSFLASNGVSLKSWISDNPDVLGDQVLRKWGSDLPFLFKVLSVGKALSIQAHPDKELARILHKLHPDLYKDGNHKPEMALAITNFEALCGFITLKELKGVLHSTPEIVELVGATNTDLVLQTNDEDGEEKVKPVLKAVFTDLMSASKERVTDAVNRLKSRLLQENEVRQLTDKEQLVLRLERQYPSDVGVIAAFFLNLVKLNPGEALFLGANEPHAYLSGECVECMATSDNVVRAGLTPKHRDVQTLCSMLTYKQGSPEILHGVSLNQYVNKYTPPFEEFEIDRCILPQGERVVFPAVPGPSIFLVTVGEGNMNTGSPKGHVVTQGDVLFAAANTEISVTSASELHLYRTGVNSKVFQAS >Vigun05g193600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37536475:37538086:1 gene:Vigun05g193600.v1.2 transcript:Vigun05g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCFFLICVLHSAIALTCGVLMVFYSKEICVLGHGPKTASKLQGSTPHDQLLIQTSDSFSGLLLFTIGFLVFMVACVKDWEFQSFFAKGCVFLHISMAVWRFYFGRKLEDLAHDWPRHAVGDIALATSWLFFLVYLWREKYD >Vigun05g193600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37536475:37538086:1 gene:Vigun05g193600.v1.2 transcript:Vigun05g193600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYSKEICVLGHGPKTASKLQGSTPHDQLLIQTSDSFSGLLLFTIGFLVFMVACVKDWEFQSFFAKGCVFLHISMAVWRFYFGRKLEDLAHDWPRHAVGDIALATSWLFFLVYLWREKYD >Vigun05g028400.1.v1.2 pep primary_assembly:ASM411807v1:5:2274595:2276186:-1 gene:Vigun05g028400.v1.2 transcript:Vigun05g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKCFGESSSSGRPYPTVIEELCRHFSLADIRKSTNNFDENRVIVDGACGKLFKGCLRHNDGSYYEVAVKRFYGQGSKIFKREVELLCQLHHPNCESIVGFCNHKKEKIIVYEYMSNRSLYTHLQDEGREALPWKKRIEICIGAARGLHYLHAGLKRTIIHRNITPGHILLDDNMHPKLSGFWLSILGAHFKERPKPIQMDLEGTLGHLSVEYVRDGTVTDKCDVYSFGVVLLQVMTGKIIYGQDKDHCLAKELLEKCVFEELIDPKIKGKIAAECWQVFIDITLRCIKIEADERPAMGEVEVELELALLLQEQADLTNINNSDYTLLSETILNPESEWGWG >Vigun08g176300.1.v1.2 pep primary_assembly:ASM411807v1:8:34668854:34673282:-1 gene:Vigun08g176300.v1.2 transcript:Vigun08g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEPMIIYPRKYTIRTKTPHSQTHSVICIQLLHFSTWSRGSTSSVEMGAISAPLLVVLVFCCLVSVSQAEYLKYKDPTQSLDVRIKDLLQRMTLEEKIGQMVQIERSIATPEVMKKYFIGSVLSGGGSVPETNASAETWIQMVNGIQNGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRDPVLIKKIGDATALEVRATGIQYVFAPCIAVCRDPRWGRCYESYSEDPKIVEAMTEIIPGLQGDISGNSIKGVPYVAGKNKVAACAKHYVGDGGTSRGINENNTLINYRGLLNIHMPAYHDSIVKGVSTVMVSYSSWNGKKMHANHFLVTDYLKNKLNFRGFVISDWLGIDKITSPPHSNYSYSIQAGVGAGIDMIMVPFNFTEFIDVLHYQVKNDIIPESRIDDAVERILRVKFVMGLFENPLADPSLVNQLGSEEHRLLAREAVRKSLVLLKNGKSAENPLLPLPKKAANILVAGTHADNLGYQCGGWTITWQGLGGNNLTVGTTVLDAVKQTIDPATKVFYEESPDPAFVKSNNFSYAIVIVGELPYAETFGDSLNLTIPEPGPSTITNVCEYIQCLVVLITGRPVVIQPYLSKIDALVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKTVNQLPMNVGDKNYDPLFPFGFGLTTNPTKH >Vigun08g176300.2.v1.2 pep primary_assembly:ASM411807v1:8:34668854:34673282:-1 gene:Vigun08g176300.v1.2 transcript:Vigun08g176300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGIQNGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRDPVLIKKIGDATALEVRATGIQYVFAPCIAVCRDPRWGRCYESYSEDPKIVEAMTEIIPGLQGDISGNSIKGVPYVAGKNKVAACAKHYVGDGGTSRGINENNTLINYRGLLNIHMPAYHDSIVKGVSTVMVSYSSWNGKKMHANHFLVTDYLKNKLNFRGFVISDWLGIDKITSPPHSNYSYSIQAGVGAGIDMIMVPFNFTEFIDVLHYQVKNDIIPESRIDDAVERILRVKFVMGLFENPLADPSLVNQLGSEEHRLLAREAVRKSLVLLKNGKSAENPLLPLPKKAANILVAGTHADNLGYQCGGWTITWQGLGGNNLTVGTTVLDAVKQTIDPATKVFYEESPDPAFVKSNNFSYAIVIVGELPYAETFGDSLNLTIPEPGPSTITNVCEYIQCLVVLITGRPVVIQPYLSKIDALVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKTVNQLPMNVGDKNYDPLFPFGFGLTTNPTKH >Vigun10g074400.1.v1.2 pep primary_assembly:ASM411807v1:10:19884387:19888834:-1 gene:Vigun10g074400.v1.2 transcript:Vigun10g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1 MEGKEEDVRVGANRYGERQPIGTSAQVQDAKDYREPPSAPLFEPGELSSWSFYRAGIAEFVATFLFLYITILTVMGVAKSDTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQPNLYERLGGGANSIALGYSKRSGLGAEILGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPVTGTGINPARSLGAALVYNKDTIWDEHWIFWVGPFIGAALAALYHQVVIRAIPFSSK >Vigun11g028800.1.v1.2 pep primary_assembly:ASM411807v1:11:3755306:3755813:-1 gene:Vigun11g028800.v1.2 transcript:Vigun11g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFSLFLIVLLSVGIGNEGAMKVAEGKICDVQLYDHCDENCFTDCPQKYGPGAVGICNDPPVCICRRQC >Vigun10g090732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26138902:26139483:-1 gene:Vigun10g090732.v1.2 transcript:Vigun10g090732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGHFCNWITNIENRLYIGWFDVLMIPTLLTANSVFIIAFIAAPPVDIDGIRELVSGSLLYGNNIIFGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYLALVVAATTVFLIYPIGQGSFSNGMPIGISGTFNFMIISG >Vigun04g202400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42543117:42544284:-1 gene:Vigun04g202400.v1.2 transcript:Vigun04g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCTLSTAGNKHWRGIKVIFPSGEIEEFEEGVKAAELMLEMPSFFVVNTRSLHIGRRFCALNADEELECGNVYVMHPMKRLNSVVKPSDMGALLLTAKRVTAKVRVVPEKQVEETKLKLDDIEEFSSPEFMHRLSITRSKKPLLETIAEEPLCARS >Vigun06g060700.2.v1.2 pep primary_assembly:ASM411807v1:6:18855114:18857269:-1 gene:Vigun06g060700.v1.2 transcript:Vigun06g060700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPESETGSGLRKRNGTTISELISELRDSFLTSDFDRIEKVLLAREERLEAELDKKEREIGSLKEKIAIERLGRINAELELKKLRQKKVAISDTGFGLGCETVVNWEKCNVDGGGEVEGGKVGALGKKERRVAALESKKERDVCEQKKEVVGISGSVSLKTDEGGLGASGGKIDVTIGSDVDEATLDKKETSNDHRSSAAAFQKNLLSGLTASIGNLKRKFVSSPKLDANVLKGLDSDASSSSSSSSSSSSSSSPFDMDSLPISNNKKARADATSLRLNSLQR >Vigun06g060700.1.v1.2 pep primary_assembly:ASM411807v1:6:18855114:18857269:-1 gene:Vigun06g060700.v1.2 transcript:Vigun06g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPESETGSGLRKRNGTTISELISELRDSFLTSDFDRIEKVLLAREERLEAELDKKEREIGSLKEKIAIERLGRINAELELKKLRQKKVAISDTGFGLGCETVVNWEKCNVDGGGEVEGGKVGALGKKERRVAALESKKERDVCEQKKEVVGISGSVSLKTDEGGLGASEGGKIDVTIGSDVDEATLDKKETSNDHRSSAAAFQKNLLSGLTASIGNLKRKFVSSPKLDANVLKGLDSDASSSSSSSSSSSSSSSPFDMDSLPISNNKKARADATSLRLNSLQR >Vigun05g051800.1.v1.2 pep primary_assembly:ASM411807v1:5:4427023:4431973:-1 gene:Vigun05g051800.v1.2 transcript:Vigun05g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLLLSPYPLSLKPHKPKTFKTFSHFSNHNPQHSTIKPFQSYSSISLSSPQTPSPKALAKPFFSFALFNLFTPFPCIADVAAEEAGKINIESVLVSIDGFFNRYPFFVGGCAFIWLVAIPLAEEYFKKCKFVSAIDAFRRLRDDPNSQLLDIRDEKSLRFLRSPNLKFIEKEVVQVEFTDGGNEDEFVKKVLGKFKDASNTVLCVLDSFDDNSMKVAELLFKNGFKEAYAIKGGVRGEQGWMAIQDSLLPPSVHIRKRVKSSKEPNKNGNGAIQQKDSNNKSSLSQEISPVGNQKMDNGNVKSSVESTPEVKTGPVVSSSPYPNYPDLKPPSSPTPSKPQ >Vigun09g086200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:11325048:11325911:-1 gene:Vigun09g086200.v1.2 transcript:Vigun09g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHHQRPQVEVEEPSFHHQKPSPSPSFSSYSSETLAEIAARVIEELRRDPHSDDDALFPAWENDDDNDFTVQNDESTAQNDDDDNSFEFAFVSHDLASPVSADDIFYNGQIKPMYPIFGVPSHDDVLSVPNTTGSTAATPEGTVRRRRLPLRKLMFEEGETASCSSSTDESVDLEGVAEGAYCVWTPNAVGRERKKSNSTGSASKRWKLRDLLLRSHSEGKDKQQQKQQQQVVFQIPTNKVKPQNGTVGTENHAEQNKRKSFLPYRPELVGLFTNVNGLGRNLRPF >Vigun06g230200.1.v1.2 pep primary_assembly:ASM411807v1:6:33704391:33706288:-1 gene:Vigun06g230200.v1.2 transcript:Vigun06g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGPCSHCRINTTPLWRNGPAEKPVLCNACGSRYKLKGHLENYLPKHYKNYGENHINVEVSDQLSEHGNSNESNPDFNYISEQDFGNNIPTRKRSRVVYRQMTALEEFEKQLLSLYRSEKQPNERSTEEDILLDNVNEFIPSTEIGLGVVLLRQILDRYQPQP >Vigun06g168100.3.v1.2 pep primary_assembly:ASM411807v1:6:28990000:28993046:1 gene:Vigun06g168100.v1.2 transcript:Vigun06g168100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNGIQMAKLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYNKKCSGPEKQGSLLVQDGKATFEEVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLLGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun06g168100.1.v1.2 pep primary_assembly:ASM411807v1:6:28990000:28993033:1 gene:Vigun06g168100.v1.2 transcript:Vigun06g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNGIQMAKLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYNKKCSGPEKQGVRLGLYSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEEVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLLGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun06g168100.4.v1.2 pep primary_assembly:ASM411807v1:6:28990000:28993046:1 gene:Vigun06g168100.v1.2 transcript:Vigun06g168100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYNKKCSGPEKQGVRLGLYSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEEVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLLGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun06g168100.2.v1.2 pep primary_assembly:ASM411807v1:6:28990000:28993046:1 gene:Vigun06g168100.v1.2 transcript:Vigun06g168100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNGIQMAKLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIARITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVERFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYNKKCSGPEKQGSLLVQDGKATFEEVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLLGEEPILFNESIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun10g061800.1.v1.2 pep primary_assembly:ASM411807v1:10:12865097:12867736:-1 gene:Vigun10g061800.v1.2 transcript:Vigun10g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVTLAVWIVFERSGYTLLSLVSNVFLLLIVILFLWAKSAAILNRPAPPLPQLHLSEELANEVTDFIRTRVNDLLSVSQDIALGKDSKLFLKVAAYLWLISIIGGFTDFLTLAYTSLFIVLTVPAIYERYEEYIDMYILKGYRKLCFLHVKIKEKYVSRVHNWILEKKKLS >Vigun08g096700.1.v1.2 pep primary_assembly:ASM411807v1:8:23246151:23251249:1 gene:Vigun08g096700.v1.2 transcript:Vigun08g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAIGLLLWLLLAKGLSSEGSVGVTFSSRLVHRFSKEAKIHLASRGNGSALQSWPERNSSEYLRLLLRSDVTRQRMRLGSQYETLYPSEGGETFSFGNVLYWLHYAWIDIGTPNVSFLVALDAGSDMLWVPCDCIECASLSAGNYNVLDRDLNLYTPSLSNTSRHLPCGHKLCDQQSFCKGSKDPCPYSVQYATDNTTSSGILFEDKLHLASDGRHTEQNSVQASIILGCGRKQTGEYLEGASPDGVLGLGPGNISVPSLLAKAGLTQNSFSICLGENESGRIIFGDQGHATQHSTPFLPINGEFAAYMVGAESFCVGNLCLKETRFQLLIDSGSSFTFLPNEVYQKVVMEFDKQVNATRIILSESEWDYCYNVSSQELINVPPLKLVFSRNQTLLFQNPIFTAFASQEQDYTVFCLPISPTDNDYATIGQNYLMGYRMVFDRENLRFGWSRWNCQDKASLTSPFNGGSPNPLPANQQQSVPNARAVPPAIAGHASAKRSAATAGLISKHSVVSMLLICHLWLWSYC >Vigun08g096700.2.v1.2 pep primary_assembly:ASM411807v1:8:23246151:23251249:1 gene:Vigun08g096700.v1.2 transcript:Vigun08g096700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLVQDRDLNLYTPSLSNTSRHLPCGHKLCDQQSFCKGSKDPCPYSVQYATDNTTSSGILFEDKLHLASDGRHTEQNSVQASIILGCGRKQTGEYLEGASPDGVLGLGPGNISVPSLLAKAGLTQNSFSICLGENESGRIIFGDQGHATQHSTPFLPINGEFAAYMVGAESFCVGNLCLKETRFQLLIDSGSSFTFLPNEVYQKVVMEFDKQVNATRIILSESEWDYCYNVSSQELINVPPLKLVFSRNQTLLFQNPIFTAFASQEQDYTVFCLPISPTDNDYATIGQNYLMGYRMVFDRENLRFGWSRWNCQDKASLTSPFNGGSPNPLPANQQQSVPNARAVPPAIAGHASAKRSAATAGLISKHSVVSMLLICHLWLWSYC >Vigun03g069700.1.v1.2 pep primary_assembly:ASM411807v1:3:5771504:5774116:-1 gene:Vigun03g069700.v1.2 transcript:Vigun03g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQRKRTLLKVIVLGDSGVGKTSLMNQYVYRKFSLQYKATIGADFVTKEIQVDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNIHKTFDTLNNWHDEFLKQGDMNDPEAFPFVLLGNKVDVDGGNSRRVTEKKARDWCASRGNIPYFETSAKEGYNVEEAFLCVAKIALENEHQQDIYFRGISDAVSEAEQQRSGCAC >Vigun03g069700.2.v1.2 pep primary_assembly:ASM411807v1:3:5771504:5774116:-1 gene:Vigun03g069700.v1.2 transcript:Vigun03g069700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQRKRTLLKVIVLGDSGVGKTSLMNQYVYRKFSLQYKATIGADFVTKEIQVDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNIHKTFDTLNNWHDEFLKQGDMNDPEAFPFVLLGNKVDVDGGNSRRLCHMLQVTEKKARDWCASRGNIPYFETSAKEGYNVEEAFLCVAKIALENEHQQDIYFRGISDAVSEAEQQRSGCAC >Vigun10g027000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3269407:3269840:1 gene:Vigun10g027000.v1.2 transcript:Vigun10g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPSPLMPSIFLLCLGFLIYWPSLFPFIDTLSSSFQWISEVEEKSVTTLILVFLVLLVYMFIHLPSSFPFVNSSNYGVNRHGSISNHDIGGFEFGLGTVLFFLLFILLYNLF >Vigun05g252800.1.v1.2 pep primary_assembly:ASM411807v1:5:44734844:44737353:-1 gene:Vigun05g252800.v1.2 transcript:Vigun05g252800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSHQRMLHTSSKTIITWLFLITIVLYTLYSSNIILFHDDQEDCTTPTTKENLQISNTSSETTETMDTTDEGDENKKPLVDKLPRPREVVVVDNDGDGDDDGGGGGGDGEVEAEVEAHAEEETNKEGEEYENNSPVVLRLTPKQMYGRQETDVKHIVFGIAGSSNLWHIRKEYIKIWWRPNKTRGVVWLDQKVKTQRNEGLPEIHISGDTSKFRYTNRQGQRSALRISRVVTETLKLGMKDVRWFVMGDDDTVFMVDNVVRILSKYNHKHFYYIGSSSESHVQNMHFSYAMAYGGGGFAISYPLAQELAKMQDRCIQRYPSLYGSDDRIQACMAELGVPLTKEAGFHQYDVYGDLLGLLGAHPVAPLVSLHHLDVVQPIFPRMTRVLALKHLMRSVNQDSGSIMQQSICYDKHNYWSISVSWGYVVQILRGVLSPREMEMPSRTFLNWYRRADYTAYAFNTRPVARHPCQKPFVYYMSKTHFDPATKQVIGIYNHDKSKPPFCRWRMNSPEKITSVVVTKKPDPSRWKKSPRRDCCRILSPQKKATTLYLWVGNCHEGEVTEL >Vigun08g191600.1.v1.2 pep primary_assembly:ASM411807v1:8:35910913:35918684:-1 gene:Vigun08g191600.v1.2 transcript:Vigun08g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSFGSSSSTQSSSSFSFTNPSSSSSSSSPFSFGSSSTPAFSFGSSSLFTTATNPTSAAASVFGAATSASPLFSSSSSATTPFGASSSSTLTTSFGAPSSSPSTLFGAASSAATTPFGAPSSASTTLFGAASPAPTTPFGGTSSASTPLFGGTTSASTTPFGVTPSASTPSFGVKPSASTPAFGGTASASTPAFGGTASASTPAFGGTPSSFIPAFGATPSASTTAFGGASSASTTPFGGASSTSATLFGSASSASTTPFGVATSASTTGAASSTSTTPFAAASSVSTTSFGASTASASPFGASSASLFGATTSAATPPFGGTTSSASTAAFAASSSASPLFGGSSSAATTPFGALAVSSASSTSASSSPLFSGVFATGPSSTSTSTTIPAFAKPSASATTTTTTVSSSSSGLSFASQPSFGFPNAASATASSSAASGASASKPTGSFSFTTSSAPLFSTVTTTTASTVATGSTTLSSSVPAFGATTASSATASTLAVPAASTGAAPSASTGSTFTGFGVGSTASDVSLGTGFPFANKSTTVSTATTSAFGVSTTTSTPAILGSSTSATQTSSAVVVASTTGTTSTVSTSVTAAPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQANAIAEWDRRILNNRDVLLRLEIEVAKVVETQSNLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQSELIERELEQMTEQIKSVIQSLNSNQGGELDTLDGMTPLDAVVRILNNQLTSLMWIDEKAEEFSSRIQKLANQGSASNREPTGPGIWMS >Vigun02g056500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20029451:20031069:-1 gene:Vigun02g056500.v1.2 transcript:Vigun02g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLNLNPKITLKHRKQNPKESFFSFHQPSLTARQLHCLTAPTKRTHLRCTRSGHHAALAPGTRVCSTLNASDDNGHRRRSCRRSCHRSSLAFAFANLDSHDLDCRRDTITAC >Vigun07g149050.1.v1.2 pep primary_assembly:ASM411807v1:7:25981086:25981702:1 gene:Vigun07g149050.v1.2 transcript:Vigun07g149050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLYYVECRIPRFVSYRDDCWRRSSSSSELCDFLSRGVISLCDIFSNLKPCSDFHNYFKYVRSKHFEYVFLLNYECHQPAKVAKSCYLAEKVTEK >Vigun01g163700.1.v1.2 pep primary_assembly:ASM411807v1:1:34534217:34538289:-1 gene:Vigun01g163700.v1.2 transcript:Vigun01g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTCILLLSLLSFFSPLGTAMLNLTLPGAHPDPEAVAHEVHRKVNASIARREMLSVSERDESSCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAKGGKGGEFYVVTDSSDGDPVNPKPGTLRYAVIQNEPLWIVFPSNMMIKLSQELIFNSYKTIDGRGADVHIVGGGCITLQYISNVIIHNIHIHHCHPSGNTNVRSSPEHYGFRTLSDGDGISIFGAKDIWIDHCTLSRCKDGLIDAVMGSTGITISNNYLSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTEWEMYAIGGSGEPTINSQGNRYMAPQNPFAKEVTKRVDTQQSKWKGWNWRSEGDILLNGAFFVASGEGLEVKYEKAYSVEPKSADRISLLTMSSGVLGNARDNNLGMWSRGPGDESTESGMEYTDEMSTTATIIPPSLFLLLLSSTTIFTVIL >Vigun07g084000.1.v1.2 pep primary_assembly:ASM411807v1:7:12434643:12441380:1 gene:Vigun07g084000.v1.2 transcript:Vigun07g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLTPDQSNKKVGSGMTASPSPFLTPRPERRRPDSRGSDRCPNRQDKDKETNVQVLLRCRPFSEDELRSNVPRVVTCSENKREVTVMQTLGNKQVDRVFTFDKVFGPKAQQRSIYEQAIAPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDILEAQNADYNMKVTFLELYNEEITDLLSPDENSRPTDEKQKKPITLMEDGKGCVFVRGLEEESVYSVSEIYTLLERGASKRRTAETLLNKRSSRSHSVFTITVYVKETVIGDEELIKCGKLNLVDLAGSENIMRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHVPYRDSKLTRILRDSLGGKTKTCIIATISPSAYCMDETLSTLDYASRAKSIKNKPEANQKVSKAVLLKDLYIEIERMKEDIRAAREKNGVYISHERFAKEETEKKERNEKIEQLENDLSLSEKQVESFRELYLTEQEQKLDLESELKDCKGNLEKTRNTLHDLQENYKQVVSTLKEKEYTISKLMKSETALIDRAKEMCTDLKNASDDINSLSSNLDHKERLEAENQKIILNFGSLLNESLKDLHTTIMGSVSQQQKQLKSMEDHVSSYLASKSDAAQTLESRIKKMTGIYTSGVETMKELSNTLHMKATSDMELIQSKVSSQTLAVENFLATAVSEAKDVICNIQNSIDEQKQLLAFSLQQQEQGLQRSITSARVASEAAINFFDDIHLRSSSVMKVLEETQNERFEQLANFEKKFKEEVEREEAQALQKIAVILATLTSNRTAMVSEASTNIKDASMQQSKRLQLEMLNMQQVSKDGTKEVSGYVENVKSHFVEQIFSASDINATMENCLLDCSKTVECSRKQWENVNSSSCNLLKNNLAEIEFTVKENVLSNHALKQEIVSASLSVDSDYDAVTHTLLADVNGALMLDHENKKAIDSMTTTWLEQLNSLQDKHGEDVSNIHVQAEKSLVKDYLVDQNERSQKRIISVPSPASFEEMRTLISEDKCAENPLKSIHAESKTPNRTPFADVNSVN >VigunL008300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000147.1:42752:47567:-1 gene:VigunL008300.v1.2 transcript:VigunL008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHDYHQKAPMKMNISHFGVCICMLGVLLSTNTKKIQFTQRLPLGSELHMGKERCCFRGLDHLHGPTSHSICGNLMIYKPSLTNDRLMFEHDESLRADLLPLNFPASYENGKLENFLHRWMKNREHNNFGLIMFPEKRYFRETTSTTEVAIHTNPFTDRYASIGTGSSRTGGWYTTIMKLPFLFFIRIGFMLASSGGSRSLLRQLQKDELRWNRESSVEFKIALIKGVFNPLNQ >Vigun11g221800.1.v1.2 pep primary_assembly:ASM411807v1:11:41351725:41360714:-1 gene:Vigun11g221800.v1.2 transcript:Vigun11g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPPPPPHSSHKPGQDHPAPGKPSSPAGRAKLLKIPPIPIRRSNLGNEEEEEEEDECSESSSILLASSLGLNNIRTRSSASPLRYSSSVGAPSFLTQNAAVTINDARFRSKFNSHPKRDLGEKAHLNQTKSLRAHSQLIPVLEGHHGAFAKEMQSPRFQEILRLTSGRKKRNPDIKSFSHELNSKGVRPFPIWKHRAFGHMEEVMGAIRAKFEKLKEEVDSDLGGFAGDLVGILEKNLVCDREWRERLEDLLIVAQQCAKMSATQFWIKCESIVQNLDDKRQELPVGILKQAHTRLLFILTRCTRLVQFQKESGFEQDHILGLHQLSDLGVYSEQILKAAQQNSSIPPAGHETADKQLKMSRSKEKDKPMTTQGKADQQVSVAVGNPEVSTAKSVESTSGSYRMSSWRKFPTAEKKRKDQDAADTPPSKGEMDNLQVNAENLDTSSCHPDNSQSSSRTRKISWGFWGDHHTLAYGDSMICRICEVEIPIVHVEEHSRICTIADRCDLKGLTVNERLERVCETIERIMESWTPKSTPKSTDTPGESFDLASSNVLEEFNDLSLEKNNLTLRCSEDILDSTTDPDNTFLMDDLNLSPRTSCEARICVKPDHGTKVSSAGSLTPRSPLVTPRTTQVEMLLSGRRTLSELESCEQISKLVEIARAVANVNNCDYSALEYMLDRLEDLKYAIQDRKVDALIVETFGRRIEKLLQEKYISLCGQIEDEKVDSSTSVADEESSVEDDAVRSLRASPINTCSKDRTSIEDFEIIKPISRGAFGRVFLTRKRATGDLFAIKVLKKADMIRKNAVQSILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSMLRNLGCLDENMTRVYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTDDLSAPSFSAILEDNDKPKPRHSSKREDRQRQSVVGTPDYLAPEILLGMGHGATADWWSVGVIMYELLVGIPPFNAEHPQQIFDNIINRDIQWPKIPDEMSFEAYDLINKLLNENPVQRLGATGATEVKRHAFFKDTNWDTLARQKAMFIPSAEALDTSYFMSRYIWNPEDEHCLGGSDFDEISGSGSSGSGSAVLDEDGDECGSLAEFGAPPLEVQFSFSNFSFKNLSQLASINYDLVIKNSTRESTQ >Vigun03g388500.7.v1.2 pep primary_assembly:ASM411807v1:3:59461673:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQDTMFSIPHSFSSSGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCND >Vigun03g388500.5.v1.2 pep primary_assembly:ASM411807v1:3:59461551:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGSSFCFPEDSYSYRTHPN >Vigun03g388500.10.v1.2 pep primary_assembly:ASM411807v1:3:59463629:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQDTMFSIPHSFSSSGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLG >Vigun03g388500.2.v1.2 pep primary_assembly:ASM411807v1:3:59458881:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNPRIDDNHATWLHLQIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGRLWVAGLVNLNNDKLGAWNTQEREIIYICDS >Vigun03g388500.13.v1.2 pep primary_assembly:ASM411807v1:3:59463629:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLG >Vigun03g388500.9.v1.2 pep primary_assembly:ASM411807v1:3:59461301:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCND >Vigun03g388500.6.v1.2 pep primary_assembly:ASM411807v1:3:59458881:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTRVFSTCDRFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNPRIDDNHATWLHLQIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGRLWVAGLVNLNNDKLGAWNTQEREIIYICDS >Vigun03g388500.4.v1.2 pep primary_assembly:ASM411807v1:3:59458881:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGRLWVAGLVNLNNDKLGAWNTQEREIIYICDS >Vigun03g388500.3.v1.2 pep primary_assembly:ASM411807v1:3:59461673:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQDTMFSIPHSFSSSGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNPRIDDNHATWLHLQIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGSSFCFPEDSYSYRTHPN >Vigun03g388500.1.v1.2 pep primary_assembly:ASM411807v1:3:59461301:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCNPRIDDNHATWLHLQIRTSSLPFVNPVHFKDFRKKKTKASVDGRWTLSFKDEETCKSAFLMTVEEINFLSNEVHRRLKPLLNLETPIDLPGSSFCFPEDSYSYRTHPN >Vigun03g388500.12.v1.2 pep primary_assembly:ASM411807v1:3:59461598:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTRVFSTCDRFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCND >Vigun03g388500.8.v1.2 pep primary_assembly:ASM411807v1:3:59458869:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRSRDRFSLDQLRYLTDQLTKVQIINEVNKGFVIEALRSIAELITYGDQHDPSFFEFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCND >Vigun03g388500.11.v1.2 pep primary_assembly:ASM411807v1:3:59458881:59471330:-1 gene:Vigun03g388500.v1.2 transcript:Vigun03g388500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTRVFSTCDRFFMEKQVMGEFIRVLKLTRTVSVPLQLLQTVSIMVQNLKSEHAIYYMFSNEYINYLITYPFDFRNEELLSYYISFLRAISGKLNKNTVSLLVKTHGDEVVSFPLYVEAIRFAFHEESMVRTAVRTVTLNVYHVGDDSVNRYITSTPHKDYFSNLVSFFRKQSMDLNKLLSDTQLNPGPDSMSAIIAAIDEIEDNLYYFSDVVSAGIPDVGRLITDGIMMILTFPLLLPSLRVMPNNDMQHGAAPSLYLLCCILRIVKIKDLANTIAAALFYPSKAFTNSSQGFHSDGILVQNDCTSSNLSLREVLLAYVTKGNDVLVLGSLSVLAILLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEQLFSSKSNRDGIGTDINVYHQKIKEQYGVSFLPSDAGISPHIHRFQVINALVSLSCRSDISAEILWDGGWLLRQLLPYSVSEFNNHHLELLKVSYKSYASALVEEVRGIWSDLLISVLCDEWRKCKRAMESSSPRKEPNCILLLPWKISSGGHIPEGSSFAAGKRMHELVKVFVLLHQLQIFTLGRTLSEQPLIYPPGDLPANCRAQGSGIDVSGPKAGTEVSLGNALPCRIAFEKGKELHVYFLAISLGISGWLVVAEELPLKKSHGVVRSVAPLAGCND >Vigun04g187400.1.v1.2 pep primary_assembly:ASM411807v1:4:41202289:41204913:1 gene:Vigun04g187400.v1.2 transcript:Vigun04g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSAASSAIRSLLSSSRNLHAQGERKKIVGVFYKGGEYAKSNPNFVGCVEGALGIREWLESKGHQYIVTDDKEGPNSELDKHLPDAHIIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHVDLNAAAAAGLTVAEVTGSNVVSVAEDELLRILVLVRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTIGTVGAGRIGKLLLQRLKPFNCNLLYYDRLRMNTELEKEIGAKFEEDLDAMLPKCDVIVINMPLTEQTRGLFDKNRIAKCKKGVVIVNNARGAIMDTQAIADACTSGHVAGYGGDVWPVQPAPKDHPWRFMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRHFRGEDFPQQNYIVKEGQLASQYR >Vigun10g096600.1.v1.2 pep primary_assembly:ASM411807v1:10:28388861:28390798:1 gene:Vigun10g096600.v1.2 transcript:Vigun10g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARVPLLLLLGILFLASVSVSFGIVHHEHQESQQESREQNNPFYFSSDRWFHTLFKNQYGHLRVLQRFDQRTKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSFILEQGHVQRIPAGTTFFLVNPNDNDNLRIIKLAIPVNNPHKFQDFFLSSTEAQQSYLQGFSKNVLEASFDSEFKEINRVLFGEGQQQQGEESQEEGVIVELKREQIRELLKHAKSGSRKALSSSQNEPLNLRNRKPIYSNKFGRWYEITPEKNPQLQDLDVFISSVDMKEGGLLVPHYNSKAMVMLVMNRGEANIELVGQNQNQQQQKQHQQEDEEEESWEVQRYSAELSEDDLFIIPATYPVAINATSNLNFFAFGINAENNQRYFLAGEEDNVISEIPTEVLDLTFPASGEKVEKLIKKQSESYFVDARPDEEQKDRSKGRNGPFVY >Vigun08g154800.1.v1.2 pep primary_assembly:ASM411807v1:8:32735741:32738036:1 gene:Vigun08g154800.v1.2 transcript:Vigun08g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFDAAFGFPSEFPYEFHSPGGEPVESGSTGTESSDEEDFFAGLTRRLSHASLYDTKKEQLLTVPICNGDKAESQKMKARGLAGSPQSILSGIGSWSGRSGGSGDGSPNGSSRVPSPTTTPFKATNDAWDVLYAAAGQVARLRMNDEVSSKFEFQNGGVFGGVSPAVVAENAFFVNHGGPQARYPQVRPEQMLKQQCGSVWGRQEKVAWVTQQHQPQVQNRVRDLREFGYDYEAMNCTRALPHSAWHRPLQVKPQNQPVSHFGTGSRPGLQAGSGGVNKRGCAGTGVFLPRQYGAPPPESRKKTGCAAPVLVPAKVIHALNLNIDDINAASQQRFSGAFGADYDALLAKRNALILQQKFNMRREDAANHELRLPQEWTY >Vigun09g015700.4.v1.2 pep primary_assembly:ASM411807v1:9:1153418:1157516:1 gene:Vigun09g015700.v1.2 transcript:Vigun09g015700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQATKDIFREEGMRGFWRGNVPALLMVMPYTSIQFTVLHKLKTLASGSSKTENHMNLSPYLSYMSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRTAFVDIVQTRGFQGLYAGLSPTLVEIIPYAALQFGTYDTFKRWAMAWNQRQHSNSTTENISSFQLFLCGVSAGTCAKLATHPLDVVKKRFQIEGLQRHPRYGARVEHRAYNNMLDAIKRILQMEGWAGLYKGIVPSTVKAAPANAVTFVAYELISDWLESLLT >Vigun09g015700.1.v1.2 pep primary_assembly:ASM411807v1:9:1152708:1157516:1 gene:Vigun09g015700.v1.2 transcript:Vigun09g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSQLKRAAIDATAGALSGAVSRTVTSPLDVIKIRFQVQLEPTSSWTLLRKDLSTPSKYTGMLQATKDIFREEGMRGFWRGNVPALLMVMPYTSIQFTVLHKLKTLASGSSKTENHMNLSPYLSYMSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRTAFVDIVQTRGFQGLYAGLSPTLVEIIPYAALQFGTYDTFKRWAMAWNQRQHSNSTTENISSFQLFLCGVSAGTCAKLATHPLDVVKKRFQIEGLQRHPRYGARVEHRAYNNMLDAIKRILQMEGWAGLYKGIVPSTVKAAPANAVTFVAYELISDWLESLLT >Vigun09g015700.5.v1.2 pep primary_assembly:ASM411807v1:9:1152744:1158193:1 gene:Vigun09g015700.v1.2 transcript:Vigun09g015700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSQLKRAAIDATAGALSGAVSRTVTSPLDVIKIRFQVQLEPTSSWTLLRKDLSTPSKYTGMLQATKDIFREEGMRGFWRGNVPALLMVMPYTSIQFTVLHKLKTLASGSSKTENHMNLSPYLSYMSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRTAFVDIVQTRGFQGLYAGLSPTLVEIIPYAALQFGTYDTFKRWAMAWNQRQHSNSTTENISSFQLFLCGVSAGTCAKLATHPLDVVKKRFQIEGLQRHPRYGARVEHRAYNNMLDAIKRILQMEGWAGLYKGIVPSTVKAAPANAVTFVAYELISDWLESLLT >Vigun09g015700.3.v1.2 pep primary_assembly:ASM411807v1:9:1152709:1158193:1 gene:Vigun09g015700.v1.2 transcript:Vigun09g015700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTTQGFVYSFKIYRHASSNQRYFQRRRDAGFLAGKCASFAHGYAIYKYTIYCSTQVEDSCLWFFQNRSIMFIALLSVAAENHMNLSPYLSYMSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRTAFVDIVQTRGFQGLYAGLSPTLVEIIPYAALQFGTYDTFKRWAMAWNQRQHSNSTTENISSFQLFLCGVSAGTCAKLATHPLDVVKKRFQIEGLQRHPRYGARVEHRAYNNMLDAIKRILQMEGWAGLYKGIVPSTVKAAPANAVTFVAYELISDWLESLLT >Vigun03g379900.1.v1.2 pep primary_assembly:ASM411807v1:3:58458679:58464495:-1 gene:Vigun03g379900.v1.2 transcript:Vigun03g379900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLGRTLLLFLCLKVFCYAAVATPPTCPASNVDQCGDSDGWEGEFFPGIPKIKYEGPSSKNPLSFKWYNPEEEILGKKMKDWFRFSVAFWHTFRGTGGDPFGAPTKYWPWEDGTNSLNMAKRRMRANFEFISKLGVDFWCFHDRDIAPDGKTLEESNANLDEVVALAQELQTKAKKRVLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELNHMARFFEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLEGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLVDIQEATLIMLSVIRNGGLSPGGFNFDAKLRRESTDVEDLFIAHIAGMDTLARGLRNAVKLIEDGSLSELVRKRYQSFDTEIGAQIEAGKADFEYLEKKVKEWGEPKVASAKQELAEMIFQSAV >Vigun06g195500.1.v1.2 pep primary_assembly:ASM411807v1:6:31141987:31145338:1 gene:Vigun06g195500.v1.2 transcript:Vigun06g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNPHHQWQHDINPSLISTGCHRSPYTSGTGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNSKNQNLEAQQNPTSLPQITAPSSSSSSSEKSSPKELIPTKVFSIGFSNVTDVVPNSPTTSVNQTYFQTHHESSMLPPPPPAAAAAQTGGFFFPVQHHGQGIPPSTVASQGFCFSELSNVVQLQSPPQHNVGPCTSLLLSEIVGHGAAASKKDLEQDKSLKIMHQPSLFNFCVTPTTTAPTTPSAIPPITTSSTTVLSPVIAQVQGMGDPTAAARSTVFINDVAFEVAVGPFNVREAFGDDAVLIHATGQPVLTNQWGVTLHSLQHGACYYLI >Vigun06g195500.2.v1.2 pep primary_assembly:ASM411807v1:6:31142643:31145338:1 gene:Vigun06g195500.v1.2 transcript:Vigun06g195500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNPHHQWQHDINPSLISTGCHRSPYTSGTGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNSKNQNLEAQQNPTSLPQITAPSSSSSSSEKSSPKELIPTKVFSIGFSNVTDVVPNSPTTSVNQTYFQTHHESSMLPPPPPAAAAAQTGGFFFPVQHHGQGIPPSTVASQGFCFSELSNVVQLQSPPQHNVGPCTSLLLSEIVGHGAAASKKDLEQDKSLKIMHQPSLFNFCVTPTTTAPTTPSAIPPITTSSTTVLSPVIAQVQGMGDPTAAARSTVFINDVAFEVAVGPFNVREAFGDDAVLIHATGQPVLTNQWGVTLHSLQHGACYYLI >Vigun06g185400.2.v1.2 pep primary_assembly:ASM411807v1:6:30476523:30480357:-1 gene:Vigun06g185400.v1.2 transcript:Vigun06g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWGEVVGTYEKDKKAHTAKITKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKRKEALGIQNNRKNTALHLAASMGSVQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPEPLHYYSNCRRTDGDTILHSAIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGRLGRFERIVYNAIMVKKLEVAPNSQQQLPTTDKEKSSYPENYETCVGLWRVAKKFALVVTEPLRQFHKKSPHNDQIGTERDLEALKDIATNNGAETKSPGSESSTWSHPLFPVNYQSCVNLFKFVFMMLSIIFGTGSANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSVPVNILENKDQRTDPYSFDEAGNVTLAGITEVEQHFTTKGEPNQQNNGEEKANGKEKKTCETPILIAAKNGVTEMVEKIMDSFPVAVHDMDASKKNIVLLAVENRQTYLYDLLLKKKNLKESIFDKVDNEGNSALHLAAKLGEYKPWLIPGEALQMHWEIKWYLFVKESMRPHFFSRYNDKNKTPRDVFSETHRELLKSGGEWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENKPEFKAFAIASLIALCCSVTSLVMFLSILTSRYQERDFGKNLPRKLIVGLTSLFMSITSMMVCFCAGHFFILKDKLKSVAFPVYAVTCLPVTLFALAQFPLYIDLIWATFKKVPQRGYKTSLH >Vigun06g185400.4.v1.2 pep primary_assembly:ASM411807v1:6:30476559:30480298:-1 gene:Vigun06g185400.v1.2 transcript:Vigun06g185400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWGEVVGTYEKDKKAHTAKITKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKRKEALGIQNNRKNTALHLAASMGSVQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPEPLHYYSNCRRTDGDTILHSAIAGDYFVNESGLTPLHLLANKPSVFKSGGRLGRFERIVYNAIMVKKLEVAPNSQQQLPTTDKEKSSYPENYETCVGLWRVAKKFALVVTEPLRQFHKKSPHNDQIGTERDLEALKDIATNNGAETKSPGSESSTWSHPLFPVNYQSCVNLFKFVFMMLSIIFGTGSANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSVPVNILENKDQRTDPYSFDEAGNVTLAGITEVEQHFTTKGEPNQQNNGEEKANGKEKKTCETPILIAAKNGVTEMVEKIMDSFPVAVHDMDASKKNIVLLAVENRQTYLYDLLLKKKNLKESIFDKVDNEGNSALHLAAKLGEYKPWLIPGEALQMHWEIKWYLFVKESMRPHFFSRYNDKNKTPRDVFSETHRELLKSGGEWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENKPEFKAFAIASLIALCCSVTSLVMFLSILTSRYQERDFGKNLPRKLIVGLTSLFMSITSMMVCFCAGHFFILKDKLKSVAFPVYAVTCLPVTLFALAQFPLYIDLIWATFKKVPQRGYKTSLH >Vigun06g185400.3.v1.2 pep primary_assembly:ASM411807v1:6:30476559:30480298:-1 gene:Vigun06g185400.v1.2 transcript:Vigun06g185400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWGEVVGTYEKDKKAHTAKITKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKRKEALGIQNNRKNTALHLAASMGSVQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPEPLHYYSNCRRTDGDTILHSAIAGDYFVNESGLTPLHLLANKPSVFKSGGRLGRFERIVYNAIMVKKLEVAPNSQQQLPTTDKEKSSYPENYETCVGLWRVAKKFALVVTEPLRQFHKKSPHNDQIGTERDLEALKDIATNNGAETKSPGSESSTWSHPLFPVNYQSCVNLFKFVFMMLSIIFGTGSANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSVPVNILENKDQRTDPYSFDEAGNVTLAGITEVEQHFTTKGEPNQQNNGLLLRAGEEKANGKEKKTCETPILIAAKNGVTEMVEKIMDSFPVAVHDMDASKKNIVLLAVENRQTYLYDLLLKKKNLKESIFDKVDNEGNSALHLAAKLGEYKPWLIPGEALQMHWEIKWYLFVKESMRPHFFSRYNDKNKTPRDVFSETHRELLKSGGEWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENKPEFKAFAIASLIALCCSVTSLVMFLSILTSRYQERDFGKNLPRKLIVGLTSLFMSITSMMVCFCAGHFFILKDKLKSVAFPVYAVTCLPVTLFALAQFPLYIDLIWATFKKVPQRGYKTSLH >Vigun06g185400.1.v1.2 pep primary_assembly:ASM411807v1:6:30476559:30480298:-1 gene:Vigun06g185400.v1.2 transcript:Vigun06g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWGEVVGTYEKDKKAHTAKITKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKRKEALGIQNNRKNTALHLAASMGSVQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPEPLHYYSNCRRTDGDTILHSAIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGRLGRFERIVYNAIMVKKLEVAPNSQQQLPTTDKEKSSYPENYETCVGLWRVAKKFALVVTEPLRQFHKKSPHNDQIGTERDLEALKDIATNNGAETKSPGSESSTWSHPLFPVNYQSCVNLFKFVFMMLSIIFGTGSANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSVPVNILENKDQRTDPYSFDEAGNVTLAGITEVEQHFTTKGEPNQQNNGLLLRAGEEKANGKEKKTCETPILIAAKNGVTEMVEKIMDSFPVAVHDMDASKKNIVLLAVENRQTYLYDLLLKKKNLKESIFDKVDNEGNSALHLAAKLGEYKPWLIPGEALQMHWEIKWYLFVKESMRPHFFSRYNDKNKTPRDVFSETHRELLKSGGEWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENKPEFKAFAIASLIALCCSVTSLVMFLSILTSRYQERDFGKNLPRKLIVGLTSLFMSITSMMVCFCAGHFFILKDKLKSVAFPVYAVTCLPVTLFALAQFPLYIDLIWATFKKVPQRGYKTSLH >Vigun05g175200.1.v1.2 pep primary_assembly:ASM411807v1:5:32217654:32219097:1 gene:Vigun05g175200.v1.2 transcript:Vigun05g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESRNSNLKRLRRWKQRKCLFKWLKLIWKREGKKANTKANLEGRPTFSCLKYTSSSRRMDC >Vigun03g047000.1.v1.2 pep primary_assembly:ASM411807v1:3:3757969:3762455:1 gene:Vigun03g047000.v1.2 transcript:Vigun03g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWMLGEGRRTVCEVACTYNKVALRHMGGGPRTFPGGVNKWKWKRMHEKRARDKQKRLIEQEKQLYEARIRSHIRSTLSPDHRSAATATHRPLSPNDQIKALADRFVKEGAQDLWNTNDGPLTPNPTPTPTPNLNFRPKHSRAYRSVPEVRNNRVGAHKYRFWRKGSDDSSSDESESESENENELSLKTGSSASLGEYDVKREKRVVPKPSEEVEFIRRELIKRKLRQIEEQESEKQNSHESILSNTRFDESGISPQTIKALSSAGYVHMTRVQEASLSICLEGLDALVKSKTGTGKSVAFLLPAIETVLKAMTSNKSQRVPPIYVLILCPTRELASQIAAVAKVLLKYQDGIGVQTLVGGIRFKVDQKRLESEPCQILVATPGRLLDHIENKSGISLRLMGLRMLVLDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHKYVDTVGMGCVETPVQVKQSYLVAPHESHFQLVHHILKEHILQTPDYKVIVFCITGMVTSLMYNLLREMKMNVREMHSRKPQLYRTRISDEFKESKQLILVSSDVSSRGMNYPDVTLVIQVGIPSDREQYIHRLGRTGREDKEGEGILLIAPWEKYFLEEIKDLPLQELPLPGIYPQAKLKIENSMAKIDNDIKEAAYHAWLGYYNSIREIGREKTTVAELANQFSESIGLQRPPALFRKTAIKMGLKDIPGIRIRK >VigunL071100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000499.1:18257:18912:-1 gene:VigunL071100.v1.2 transcript:VigunL071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVCVWRRFEYGVQALGFQKDCWFYKEWTGFKPKNLGVKKFGGERVILGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun11g107650.1.v1.2 pep primary_assembly:ASM411807v1:11:30655695:30666213:1 gene:Vigun11g107650.v1.2 transcript:Vigun11g107650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDEILTKYIQANGEGSWRTLPKNAGSSKDILVVAGLVADGRQIVAQAKSEATMTECWKVWKDLQPRKHVIK >Vigun07g111550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20668225:20668533:1 gene:Vigun07g111550.v1.2 transcript:Vigun07g111550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVLLFNFAMEMIAWIQQAYGEKNWKTINLSSCFLNARFVNRHGYLVSSLLFGTLQHYEYIYDVVSCIAGQVDAFLRENLSNIAVYK >Vigun05g299600.1.v1.2 pep primary_assembly:ASM411807v1:5:48364481:48366365:1 gene:Vigun05g299600.v1.2 transcript:Vigun05g299600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNSLKSFKILLVAASMTFSILIIFFCTYQNSISIGPKNNLFEDVKDNLILSKITDDKLLGGLLVSSFGEASCISRFESYLYRKTSSYKPSKYLISKLRNYEHLHQSCGPNTKSYNETMKEGAQFEKHDVDSRCKYVIWIAENGLGNRMLSMVSTFLYAILTDRLVIVRFDDDMSNLFCEPFPNSSWLLPKNSPFSNDLKQFKTFESMLTNNNENNSQELLPSIIFLTLMNYNLGDTRFHCDQNQDLLQKIPILILQADQYFAPTFFMISSFRQDLYKMFPDKDTIFHHLGRYLFHPSNEVWEGIRKYYETHLGKENEKIGVQIRVFNAEQTPEIIINETISCIHQNKLLTKFNMQSSMKPPLEKNTSKVVLVVSLYSKYGESLKSIYESNTSLSTGIIKVYQPSHEEHQKLGDNMHNIKAWTEIYLLGLCDALVTSTWSTFGYVANGLAGLKPLILQNVHGKIVPNPPCQQNNLEPCLHIPPKYDCRTNTPFNDTFTFYNTKHCEDYPGGIKIINMYD >Vigun04g133600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33481964:33485569:1 gene:Vigun04g133600.v1.2 transcript:Vigun04g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYFLKTFYVVLLLSSLASGISATLKNSSESGEAKCIERERQALLSFKQGITDDFGVLSSWSNHRNNTDCCKWKHIQCNHQTGHVHLLDLNGNYDYSQPLRSVLNLTSLTHLTNIQYLDLSHNYFVMSYIPEFMGSFSNLRYLDLSNSYFSGRIPSTLGNLLELRYLDLGDNYLWGEIPIQIGNLKHLQYLDLGGYFLSGKIPYEIGNLRKLQYLSLGSNTFLDRMHMENYISDSLSGAIPFRKGNLPLLRTLRLVGNFDIKVKDVQWLSTLYCLTVLELSSLHSLKSSRQWLQTISKIIPNLIELRLVDCNLVDNDIKSLFHSQSSNNSISLTILDLSFNMLTSSTLPLLFNFSLHLQELYLSHNNIASPSLCPNFSSLKILDLSYNNLALLMFMENFNISSKLQKLHLAKCSIMDRSFLVSSSRTMNSLSSLLYLDLSDNLLKSSSIFPRLSNLTTNLRTLYLDYNLLEGSIPHEFGKAMSSLENLYVSNNKLQGKMPSSFGSMCRLQRLDLSSNRLHGKFPSFIQNSSWCSRHIFRVFNLSYNQFTGNIPKSIRLLSELEFLSIEGNSLEGDVTESHLSNFSKLLSLYLSHNSLSLKFVSGWVPPFQLRFLFLASCKIGPNFPSWIQTQNSLIQLDISDNELNDFVPEWFWNKLQILYTLNMSHNNLIGSIPNLQLKLRLRPSIILNSNKFEGKVPLFLLQASELLLSANKFSAFFCVNVTTTRLATLDLSANQIKGQLPDCWKSINQLMFLDLSSNQLSGKIPISMGTLVKLEALVLRNNNLTGELPSSLKNCNNLIMLDVSENKLSGPVPPWVGESMQQLIVLIMRGNQFSGNIPFHLCYLKRIQLLDLSRNKMSQGIPTCLNNLTALSQKTIDRAETESRVHWYNTTYYEIYNFFGGSYYTLHITWMWKGVERSFTRPEVILQSIDFSCNNLTGEMPKEMTYMLGLVSLNISRNNLSGEIPLEIGNLGSLDSLDLSRNHFSGKIPSTLSNIDRLAMIDLSNNNLSGRIPWGRQLQTFNASSFEGNVDLCGKPLEKSCPGDETVVKSKGAEVQDEDHSVFYEALYMSLGLGFFTGFWGLLGPLLFWKSWRMAYLRFLNRLMDYVSVMVEVNIGRCQRWPKD >Vigun08g115266.1.v1.2 pep primary_assembly:ASM411807v1:8:28194702:28195174:1 gene:Vigun08g115266.v1.2 transcript:Vigun08g115266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVEVGLEMKSSSFYPDFNFFRTTPWLQIIFIFISLCIFASFVIFFVLVLFWKFCQMNELSRSWVTSTFFLSRSIFFFKILKERVHLHLLLLIFVPTHLLLMLFFFCLQA >Vigun06g136150.1.v1.2 pep primary_assembly:ASM411807v1:6:26223173:26225366:1 gene:Vigun06g136150.v1.2 transcript:Vigun06g136150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSTTLLPILLCLSLLSSYFAPNSADNTFVHCLVNQSESSHPISATIFSQNTSSFSSVLQAYIRNLRFNTSTTPKPFLIITPFDVSHVKAAVVCAKKHNLLMKIRSGGHDYEGASYVSSKPFFLLDMFNLRSIEIDIESESAWVQAGATLGEVYYGIAEKSKTHGFPAGVCPTVGVGGHISGGGYGNLMRKYGTAVDNVVDAQIVDAQGRLLNRRTMGEDLFWAVKGGGGGSFGVILAYKIKLVRIPEKVTVFKVGKTLEQNATNIVYNWQHAAPSIDNNLFIRLILEVVNGTEVGTKTVRATFIALFLGDSESLVSVMKDKFPQLGLKESDCIETSWLQSVMFWDNIDIATPVEILLERQPQSLKYLKRKSDYVKKPISKEGWEGIWKKMIELEKSVMFFNPYGGRMAEIPSTETPFPHRAGNLWKIQYQANWFEAGEEVAQHHMKQLRELYKYMTPFVSQNPRQAFMCYKDLDLGTNHHGFLGYLKGRAYGVQYFHDNFKRLVEIKSKVDPTNFFWTEQSIPVLNYVEFRRLITGKSVLSVMFGLFAIHWIRNLK >Vigun03g153300.3.v1.2 pep primary_assembly:ASM411807v1:3:16205196:16211212:1 gene:Vigun03g153300.v1.2 transcript:Vigun03g153300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKHLGGKLGSSLQSDLGVNTVGDLLQFSEEKLQQWYGINTGTWLWNISRGINGEEVEGRLLPKSHGSGKTFPGPQALKTIDSVQHWLNQLCEELNERLNSDLDQNKRIAQTLTLHARAYKTGDSDSLRKFPSKSCPLRYGTRKIQEDALILFQAGLRDFLGSYSSKVHGNENSNWGVTSLSLSASKIVSIPSGTHSIAKYFGGQFPSSSSTSNPSIDVVIDEAVPSSPSGNENCTAMVPYDLQLQHPHYTEMKHSSACLDPQDPLNHLSSKAEGLTEESSFMSPSGSEDRMTDGGRNRVLPAKDPSHVSNVSSMKAVVGKKKRAGKMLHENCSIINIFNNYHNSRSSLEQKNVSNAHDVKISSSLGSQSANDSYSTCNEEIDTNKDGCSVGVSQGREAWSSYNIDEIDPSIIDELPPEIQQEFRTLLRPQKRPNLAKRGSSIKHYFLPDKSR >Vigun03g153300.2.v1.2 pep primary_assembly:ASM411807v1:3:16205196:16211212:1 gene:Vigun03g153300.v1.2 transcript:Vigun03g153300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMESSPESMQDFEEEVLKSHVLGLEIEDGSDAKEEVRKWLCRSDASYQDKLLACGALIVSDLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVERLLATLPIKKMKHLGGKLGSSLQSDLGVNTVGDLLQFSEEKLQQWYGINTGTWLWNISRGINGEEVEGRLLPKSHGSGKTFPGPQALKTIDSVQHWLNQLCEELNERLNSDLDQNKRIAQTLTLHARAYKTGDSDSLRKFPSKSCPLRYGTRKIQEDALILFQAGLRDFLGSYSSKVHGNENSNWGVTSLSLSASKIVSIPSGTHSIAKYFGGQFPSSSSTSNPSIDVVIDEAVPSSPSGNENCTAMVPYDLQLQHPHYTEMKHSSACLDPQDPLNHLSSKAEGLTEESSFMSPSGSEDRMTDGGRNRVLPAKDPSHVSNVSSMKAVVGKKKRAGKMLHENCSIINIFNNYHNSRSSLEQKNVSNAHDVKISSSLGSQSANDSYSTCNEEIDTNKDGCSVGVSQGREAWSSYNIDEIDPSIIDELPPEIQQEFRTLLRPQKRPNLAKRGSSIKHYFLPDKSR >Vigun03g153300.1.v1.2 pep primary_assembly:ASM411807v1:3:16204448:16211212:1 gene:Vigun03g153300.v1.2 transcript:Vigun03g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARRETCDARVIAHVDMDCFYVQVEQRKQPNLRGLPTAVIQYNSYKGGALIAVSYEARRCGVKRSMRGDEAKEACPQIQLVQVPVARGKADLNSYRNAGSEVVSVLSQKGRCERASIDEVYLDLTHAAETMLMESSPESMQDFEEEVLKSHVLGLEIEDGSDAKEEVRKWLCRSDASYQDKLLACGALIVSDLRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVERLLATLPIKKMKHLGGKLGSSLQSDLGVNTVGDLLQFSEEKLQQWYGINTGTWLWNISRGINGEEVEGRLLPKSHGSGKTFPGPQALKTIDSVQHWLNQLCEELNERLNSDLDQNKRIAQTLTLHARAYKTGDSDSLRKFPSKSCPLRYGTRKIQEDALILFQAGLRDFLGSYSSKVHGNENSNWGVTSLSLSASKIVSIPSGTHSIAKYFGGQFPSSSSTSNPSIDVVIDEAVPSSPSGNENCTAMVPYDLQLQHPHYTEMKHSSACLDPQDPLNHLSSKAEGLTEESSFMSPSGSEDRMTDGGRNRVLPAKDPSHVSNVSSMKAVVGKKKRAGKMLHENCSIINIFNNYHNSRSSLEQKNVSNAHDVKISSSLGSQSANDSYSTCNEEIDTNKDGCSVGVSQGREAWSSYNIDEIDPSIIDELPPEIQQEFRTLLRPQKRPNLAKRGSSIKHYFLPDKSR >Vigun03g291800.1.v1.2 pep primary_assembly:ASM411807v1:3:47603958:47606350:-1 gene:Vigun03g291800.v1.2 transcript:Vigun03g291800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTQRVVWIMRVVRVCNPSFHSLAMGIASCVHALASLPLLGRPKFKTLRILYHNITHHTSQPFEFEMEEKFRSRNACDTCCSCHIMCILNKWRQLRVWGFATLLIKPMRVRER >Vigun07g177800.1.v1.2 pep primary_assembly:ASM411807v1:7:29371406:29374695:-1 gene:Vigun07g177800.v1.2 transcript:Vigun07g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIAAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNQAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRAIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun02g039301.1.v1.2 pep primary_assembly:ASM411807v1:2:16333198:16334563:1 gene:Vigun02g039301.v1.2 transcript:Vigun02g039301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRRRYKGIHNMLLSDHQQNPVRNVYTIFSDFHHIRPLLRKMIGEVVKSKDQVFEIDIQDARSKKIP >Vigun05g175700.1.v1.2 pep primary_assembly:ASM411807v1:5:32345203:32349938:1 gene:Vigun05g175700.v1.2 transcript:Vigun05g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMTTFPTHHSYSHTQTTFHTTLPPIQSLSFRTPNHNFRLLCFSSDPSYSPPVVVVGSANADIYVEIDRLPLEGETLSAKSGETLAGGKGANQATCSAKLSHPTYFIGQVGDDAFGSLVTGALRSGGVRLDSLTVVPSVPTGHAVVMLQSNGQNSIIIIGGANSSGWPSSLPHHHLDLVTQAGIVLLQREIPDYVNVQVAQAARNAGVPVVLDAGGMDGPLPPQLLNFVDILSPNETELARISGMPTESFEQIAQAALKCHELGVKQVLVKLGHKGSALFVEGEKPIQQPAILAKTVVDTTGAGDTFTAAFAVALVEGKSRKESLRFAAAAACLCVQVKGASPSMPDRKSVLDLLNRQ >Vigun05g175700.2.v1.2 pep primary_assembly:ASM411807v1:5:32345203:32349938:1 gene:Vigun05g175700.v1.2 transcript:Vigun05g175700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTWNKITISGRGKDPENRVFCDMMKLTLEQRIRTSLILGKKIEKLHLLDPHRNSYAQKRSVHPTIKPIEYNPKNEMTTLMTTFPTHHSYSHTQTTFHTTLPPIQSLSFRTPNHNFRLLCFSSDPSYSPPVVVVGSANADIYVEIDRLPLEGETLSAKSGETLAGGKGANQATCSAKLSHPTYFIGQVGDDAFGSLVTGALRSGGVRLDSLTVVPSVPTGHAVVMLQSNGQNSIIIIGGANSSGWPSSLPHHHLDLVTQAGIVLLQREIPDYVNVQVAQAARNAGVPVVLDAGGMDGPLPPQLLNFVDILSPNETELARISGMPTESFEQIAQAALKCHELGVKQVLVKLGHKGSALFVEGEKPIQQPAILAKTVVDTTGAGDTFTAAFAVALVEGKSRKESLRFAAAAACLCVQVKGASPSMPDRKSVLDLLNRQ >Vigun08g040500.5.v1.2 pep primary_assembly:ASM411807v1:8:4014667:4023086:-1 gene:Vigun08g040500.v1.2 transcript:Vigun08g040500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATLLEIKKSFRDVDNVLYDWTDSPSSDYCAWRGITCDNVTFNVVALNLSGLNLDGEISPAIGKLQSLVSIDLRENRLSGQMPDEIGDCSSLKNLDLSFNEIRGDIPFSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLALNNLSGEIPRLLYWNEVLQYLGLRGNNLIGSLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTTFQVLDLSYNQLTGEIPFNIGFLQIATLSLQGNKLSGHIPSVIGLMQALAVLDLSCNMLSGPIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMTKLHYLELNDNHLSGHIPPELGKLTDLFDLNVANNNLEGTIPSNISSCKNLNSLNVHGNKLNGTIPPALQSLESMTSLNLSSNNLQGAIPIELSRIGNLDTLDISNNHLVGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVMEIDLSNNELSGLIPEELSQLQNMISLRLENNKLTGDVASLSNCLTLSVLNVSYNKLFGVIPTSNNFTRFPPDSFIGNPGLCGNWLNLPCHGSRPAERVTLSKAAILGITLGALVILLMVLLAACRPHSPPFPDGSLDKPVNYSPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRIYSQYPQCIKEFETELETVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGPTKKKKLDWDLRLKVALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKAATNAVMETVDPDITATCKDLGAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSNTPQKQAVAPPPASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >Vigun08g040500.4.v1.2 pep primary_assembly:ASM411807v1:8:4014928:4023048:-1 gene:Vigun08g040500.v1.2 transcript:Vigun08g040500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFGVLILPLLISLSVNSVESDDGATLLEIKKSFRDVDNVLYDWTDSPSSDYCAWRGITCDNVTFNVVALNLSGLNLDGEISPAIGKLQSLVSIDLRENRLSGQMPDEIGDCSSLKNLDLSFNEIRGDIPFSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLALNNLSGEIPRLLYWNEVLQYLGLRGNNLIGSLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTTFQVLDLSYNQLTGEIPFNIGFLQIATLSLQGNKLSGHIPSVIGLMQALAVLDLSCNMLSGPIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMTKLHYLELNDNHLSGHIPPELGKLTDLFDLNVANNNLEGTIPSNISSCKNLNSLNVHGNKLNGTIPPALQSLESMTSLNLSSNNLQGAIPIELSRIGNLDTLDISNNHLVGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVMEIDLSNNELSGLIPEELSQLQNMISLRLENNKLTGDVASLSNCLTLSVLNVSYNKLFGVIPTSNNFTRFPPDSFIGNPGLCGNWLNLPCHGSRPAERVTLSKAAILGITLGALVILLMVLLAACRPHSPPFPDGSLDKPVNYSPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRIYSQYPQCIKEFETELETVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGPTKKKKLDWDLRLKVALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKAATNAVMETVDPDITATCKDLGAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSNTPQKQAVAPPPASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >Vigun08g040500.3.v1.2 pep primary_assembly:ASM411807v1:8:4014928:4023048:-1 gene:Vigun08g040500.v1.2 transcript:Vigun08g040500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFGVLILPLLISLSVNSVESDDGATLLEIKKSFRDVDNVLYDWTDSPSSDYCAWRGITCDNVTFNVVALNLSGLNLDGEISPAIGKLQSLVSIDLRENRLSGQMPDEIGDCSSLKNLDLSFNEIRGDIPFSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLALNNLSGEIPRLLYWNEVLQYLGLRGNNLIGSLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTTFQVLDLSYNQLTGEIPFNIGFLQIATLSLQGNKLSGHIPSVIGLMQALAVLDLSCNMLSGPIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMTKLHYLELNDNHLSGHIPPELGKLTDLFDLNVANNNLEGTIPSNISSCKNLNSLNVHGNKLNGTIPPALQSLESMTSLNLSSNNLQGAIPIELSRIGNLDTLDISNNHLVGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVMEIDLSNNELSGLIPEELSQLQNMISLRLENNKLTGDVASLSNCLTLSVLNVSYNKLFGVIPTSNNFTRFPPDSFIGNPGLCGNWLNLPCHGSRPAERVTLSKAAILGITLGALVILLMVLLAACRPHSPPFPDGSLDKPVNYSPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRIYSQYPQCIKEFETELETVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGPTKKKKLDWDLRLKVALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKAATNAVMETVDPDITATCKDLGAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSNTPQKQAVAPPPASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >Vigun08g040500.1.v1.2 pep primary_assembly:ASM411807v1:8:4014626:4023087:-1 gene:Vigun08g040500.v1.2 transcript:Vigun08g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFGVLILPLLISLSVNSVESDDGATLLEIKKSFRDVDNVLYDWTDSPSSDYCAWRGITCDNVTFNVVALNLSGLNLDGEISPAIGKLQSLVSIDLRENRLSGQMPDEIGDCSSLKNLDLSFNEIRGDIPFSISKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLALNNLSGEIPRLLYWNEVLQYLGLRGNNLIGSLSPDMCQLTGLWYFDVRNNSLTGSIPENIGNCTTFQVLDLSYNQLTGEIPFNIGFLQIATLSLQGNKLSGHIPSVIGLMQALAVLDLSCNMLSGPIPPILGNLTYTEKLYLHGNKLTGFIPPELGNMTKLHYLELNDNHLSGHIPPELGKLTDLFDLNVANNNLEGTIPSNISSCKNLNSLNVHGNKLNGTIPPALQSLESMTSLNLSSNNLQGAIPIELSRIGNLDTLDISNNHLVGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVMEIDLSNNELSGLIPEELSQLQNMISLRLENNKLTGDVASLSNCLTLSVLNVSYNKLFGVIPTSNNFTRFPPDSFIGNPGLCGNWLNLPCHGSRPAERVTLSKAAILGITLGALVILLMVLLAACRPHSPPFPDGSLDKPVNYSPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRIYSQYPQCIKEFETELETVGSIKHRNLVSLQGYSLSPYGHLLFYDYMENGSLWDLLHGPTKKKKLDWDLRLKVALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDADFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKAATNAVMETVDPDITATCKDLGAVKKVYQLALLCTKRQPADRPTMHEVTRVLGSLVPSNTPQKQAVAPPPASNPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >Vigun07g106000.1.v1.2 pep primary_assembly:ASM411807v1:7:19409408:19411246:-1 gene:Vigun07g106000.v1.2 transcript:Vigun07g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLPSNLLPDEGSPEWMNKGDNMWQLTAGTLVGLQSVPGLVILYGSIVKKKWALNSAFMALYAFAVVLVCWVGWCYQMSFGERILPFLGRPNVALDQQFLRDKAFSGDFPNATMVYFQVVFAAITLILIAGALLGRMNFYAWMLFVPLWVTFSYTVCAFSIWSPAGWLFKMGLIDYSGGFVIHLSSGIAGFIAASWVGPRTVKDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYAASIDASLAVLNTHVCTAMSLLTWLFLDILFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAMIMGIMSGSIPWYTMMVLHKEIRLLKQVDDTMAVFHTHAVAGCLGGILAGFFADPDLCRLFYGVEDSVHYTGLVYGIFRGRPKAGLRQMGVQLLGIVFVTLLNVTTTSIVCLVVKTIVPLRLQEDELEVGDDAVHGEVAYALWGDGERLETATEAVSFEMNHEWPTVDVKTSTDAEME >VigunL010400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000176.1:6290:11545:-1 gene:VigunL010400.v1.2 transcript:VigunL010400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun08g108400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26933753:26936621:-1 gene:Vigun08g108400.v1.2 transcript:Vigun08g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNATRNEAERLLGIAEKLLQNRDLMGSREFAILAQETEPLLEGSDQILAIVDVLLAADKRVNNHPDWYAILQVDRRSDDLDLIKKQYRRLALLLHPDKSRFAYADHAFKLVADAWALFADPVKKAVYDKELSFYSRVDLSVPGWVQQEKLSVRRPGPGPGPEPGPSTRNSASARDQIHADESSRRRNSTFWTACPYCYRLYEYPRVYEGNCLRCQNCDRSFHGVAVPTLPPLVPGQDAYYCCWGFFPMGFVMGNFGSPEKEAEVTVPAPQPPPPPPPASQPASSLPNWMPVPANNGAQATPVPARVTRSAATVAARAPNGTGPKKRGRPRKYPLQS >Vigun03g298350.1.v1.2 pep primary_assembly:ASM411807v1:3:48606043:48606874:1 gene:Vigun03g298350.v1.2 transcript:Vigun03g298350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSDRFRRLPAAPQLHCHGVAVRIPRARPQDLFHTPPEAATDGDGPYAVNHAADVDAGTNGLVDLCEGSELVDLGRDSELGFPEVQRSKKLKVSEVQSQKGEEVMGNCVGNLVFEAEKASDSSAKILETVVVGIVSLSESEKVASKSSDGAVEGSGMGKKSDEERVEKKTFDVLRFLSEISNEKRKNPDNMNLLEVAKACGMTFLQGGGRKALTVEGNCETIIFDVLMSLLQCFVDDRMVGF >Vigun03g276800.1.v1.2 pep primary_assembly:ASM411807v1:3:45343362:45345304:-1 gene:Vigun03g276800.v1.2 transcript:Vigun03g276800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMYNSEHKGRHHHHHCAPVSPRISFSNDFVDAQQATKQEHSSASRSEPPALSSDFEFSVTNYSMMSADELFFKGKLLPFKDTKRATTTLREELLVDDDDDEGYQDFSLRPPKGSSTRWKGFLGLRKSHLGSKKVDKSEPSSDTPVETRRAGFFNEGARLHVNSQELLNEGGSGSRDVEIGL >Vigun02g178100.1.v1.2 pep primary_assembly:ASM411807v1:2:31946281:31949616:-1 gene:Vigun02g178100.v1.2 transcript:Vigun02g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKEEEGIKRVMIHGGRYVQYNVYGNLFELPSKFVPPLRPIGRGAYGIVCAAVNCDTHEEVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENIIAVRDIIRPPRKDTFNDVYIVYELMDTDLHHIIRSDQPLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIADFGLARITSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCIFGEILTREPLFPGKDYVHQLRLITELLGSPDDASLAFLRSENAKRYIRQLPRYRKQNFSARFPSMSPEALDLLEKMLVFDPNKRITVDEALSHPYLSSLHDINDEPVGPGQFNFDFEQPTCSEEHIKELIWRESVKFNPDPPSQ >Vigun01g167900.1.v1.2 pep primary_assembly:ASM411807v1:1:34954922:34956633:-1 gene:Vigun01g167900.v1.2 transcript:Vigun01g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHYTTKPFLPHPDTLGFFYNYNNNNRYPGVEMKEATMLETGEGSIPAMYNGGKEKKKRLTSNQLELLERSFQEEIKLDPERKMKLSRELGLQPRQIAVWFQNRRTRWKTKQLEHLYDVLKHQYDVISSEKQKLQEEVMKLKAMLSKEQGFGTYSRYQEISGVETVESTSEGLRGSNKGQGKNKIEQVADEGFCCLSVEDYNTVSVPFCQWPVAPY >Vigun02g048200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18776926:18782503:-1 gene:Vigun02g048200.v1.2 transcript:Vigun02g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGIFKSFLSSRAFCTSLISPHQPFPQNHDFASPSTLLSSALQHFINSETPTHGQKIHSCILKSGFVPNTNISIKLLILYLKCNYLRYARQVFDDLGDRTLSAYNYMISGYIKQCQVEESLGLVRRLLVSGEKPDGFTFSMILKASTSGCNVALLGDLGRIVHTQILKSDVERDEVLCTALIDSYVKKGRTAYARTVFDVMSEKNVVCSTSLISGYMNQGSFEDAERIFNKTLDRDVVVFNAMIEGYSKTSEYARRSLEVYIDMQRLKFRPNVSTFASVIGACSMLAAFEIGQQVQSQLMKTPLYADIKLGSALIDMYSKCGRVSDAQRVFNHMHVKNVFSWTSMIDGYGKNGFPDEALELFGKMQKEYDIVPNNVTCLSALSACAHAGLVDKGWEIFHRMENEYLVKPGMEHHACMVDLLGRAGRLNQALEFVMRMPERPNSDVWSALLSSCRLHGNIEMAKSAANELFKLNATGRPGAYVALSNTLADAGKWESVTELREIMKERGISKDTGRSWVGADNVF >Vigun01g011000.1.v1.2 pep primary_assembly:ASM411807v1:1:1243068:1249299:1 gene:Vigun01g011000.v1.2 transcript:Vigun01g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFFLVLVLIATFSSFSVSSYHWLSKPLLGDDDGRIYACSHSNFFAFESNGTIAWTLHLDYKCNLGTAPVRGGHGKVYLIADNRILVIKYGSIVAFEAEAEVFFGPRPGEPAEAEIIGLSVSTSGSTVYINVKNRGLFAYHSHGSLLWSVGPVLYQFGYRQGCRKNITDCYFDSPPVLDQCEDSIYISNTEGELYCLSIRSRYFRWIQDFSSLDKNFTTTPGNNGHLYVTVPTKTLVLALDVFSGNVLWQRSIGPLSKVDSAPIVDSNGWISIGSLDGFLYSFSPNGVLKKFSRMNAENSMIQVGPFLDCSGFAVYSSQIEMEGKASHTSGEYTLVSAIRPKGALFTMLVPATGSIYWSERYPGHVSPLFSESDLSHFVMNEETVLAFLAASKIGTPLQCRTIGQKLASSCSQERTKLVSIYTGNERAILLFLFFESALMLVLIGLVRFCCTFWAKKKLKDQGLGSFLDKRCSLQLKKKALDKTITELEQKAVEETAMNSEVFEKLGDTVRERECIERKLSTSYSLGRDRTGSQPKPMLPVHQMGRRKSYSFEDAKQKKVTMLHTLTDTSSGESSTEEETSMLEDMVSSTEAKAQTPVVHLDTSSSE >Vigun02g041600.1.v1.2 pep primary_assembly:ASM411807v1:2:17013192:17017525:1 gene:Vigun02g041600.v1.2 transcript:Vigun02g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTMFKIEKTNETYCSYSSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLTPGGNRAWSIIYFLSSWITFLVAEACLIAGATKNAYHTKYRGMIYAQNFSCEALRKGVFVAGAVFIVATMILNVYYYMYFTKATTTPVSHKANRVSSTVGMAGYA >Vigun02g041600.2.v1.2 pep primary_assembly:ASM411807v1:2:17013165:17017525:1 gene:Vigun02g041600.v1.2 transcript:Vigun02g041600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTMFKIEKTNETYCSYSSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLTPGGNRAWSIIYFLSSWITFLVAEACLIAGATKNAYHTKYRGMIYAQNFSCEALRKGVFVAGAVFIVATMILNVYYYMYFTKATTTPVSHKANRVSSTVGMAGYA >Vigun02g187400.3.v1.2 pep primary_assembly:ASM411807v1:2:32605589:32609083:-1 gene:Vigun02g187400.v1.2 transcript:Vigun02g187400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGMMVMMTQTLKTIVSSYHDFDKNSQLCPLPISPPRSRQWRQSRIGTLAIAIAALLISTTAWLSLVFFDATTCCFHSLKDRESRPHFFNWKKYVSHCHTKVTPPPSLQFGTMKDHLHNGSSVAEQEGLSLKHIVFGIAGSSQLWKRRKEYVKLWWRPNDMRGHVWLDEQVLEEPGDDLLPPIMISEDISYFRYTNPTGHPSGLRISRIVKESFRLGLSDVRWFVLCDDDTIFNVNNLVDVLSKYNSSEMIYIGSPSESHSANSYFSHSMAFGGGGIAISQPLAKALSEMLDECIDRYPKLYGSDDRLHACISELGIPLAWERGFHQWDIRGNAHGLLSSHPIAPFVSIHHVEAVNPFYPGLSSLDSLKLFTKAMKTNPKSFLQRSICYDHTQHLTFSVSLGYVVQVLPNIVSPRELERSERTYSAWNGNSDAKEFDFDAREPYKSLCKGSTLFFLKDTRREGNASWGSYVRGRDKDDFKKRILCFPHVPPMRNVGEIQVAVQPLSKNWHLVPRRLCCRQSQAGKEILQISVGECGKGAFTSVY >Vigun02g187400.2.v1.2 pep primary_assembly:ASM411807v1:2:32605438:32609235:-1 gene:Vigun02g187400.v1.2 transcript:Vigun02g187400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGMMVMMTQTLKTIVSSYHDFDKNSQLCPLPISPPRSRQWRQSRIGTLAIAIAALLISTTAWLSLVFFDATTCCFHSLKDRESRPHFFNWKKYVSHCHTKVTPPPSLQFGTMKDHLHNGSSVAEQEGLSLKHIVFGIAGSSQLWKRRKEYVKLWWRPNDMRGHVWLDEQVLEEPGDDLLPPIMISEDISYFRYTNPTGHPSGLRISRIVKESFRLGLSDVRWFVLCDDDTIFNVNNLVDVLSKYNSSEMIYIGSPSESHSANSYFSHSMAFGGGGIAISQPLAKALSEMLDECIDRYPKLYGSDDRLHACISELGIPLAWERGFHQWDIRGNAHGLLSSHPIAPFVSIHHVEAVNPFYPGLSSLDSLKLFTKAMKTNPKSFLQRSICYDHTQHLTFSVSLGYVVQVLPNIVSPRELERSERTYSAWNGNSDAKEFDFDAREPYKSLCKGSTLFFLKDTRREGNASWGSYVRGRDKDDFKKRILCFPHVPPMRNVGEIQVAVQPLSKNWHLVPRRLCCRQSQAGKEILQISVGECGKGAFTSVY >Vigun02g187400.1.v1.2 pep primary_assembly:ASM411807v1:2:32605550:32609151:-1 gene:Vigun02g187400.v1.2 transcript:Vigun02g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGMMVMMTQTLKTIVSSYHDFDKNSQLCPLPISPPRSRQWRQSRIGTLAIAIAALLISTTAWLSLVFFDATTCCFHSLKDRESRPHFFNWKKYVSHCHTKVTPPPSLQFGTMKDHLHNGSSVAEQEGLSLKHIVFGIAGSSQLWKRRKEYVKLWWRPNDMRGHVWLDEQVLEEPGDDLLPPIMISEDISYFRYTNPTGHPSGLRISRIVKESFRLGLSDVRWFVLCDDDTIFNVNNLVDVLSKYNSSEMIYIGSPSESHSANSYFSHSMAFGGGGIAISQPLAKALSEMLDECIDRYPKLYGSDDRLHACISELGIPLAWERGFHQWDIRGNAHGLLSSHPIAPFVSIHHVEAVNPFYPGLSSLDSLKLFTKAMKTNPKSFLQRSICYDHTQHLTFSVSLGYVVQVLPNIVSPRELERSERTYSAWNGNSDAKEFDFDAREPYKSLCKGSTLFFLKDTRREGNASWGSYVRGRDKDDFKKRILCFPHVPPMRNVGEIQVAVQPLSKNWHLVPRRLCCRQSQAGKEILQISVGECGKGAFTSVY >Vigun08g178050.1.v1.2 pep primary_assembly:ASM411807v1:8:34793419:34795162:1 gene:Vigun08g178050.v1.2 transcript:Vigun08g178050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKNGSNNQIPNKYESLGVNSEASQHRSKNYPHLINFMQGVKDVKTQSMVPYASSSSSNITSRTTTTKYDQVLKFGYQNILSPPSQIDSIKNFMQDVKNQSMAPYVSSFNSTTNGSLMAASAYGKTWPLGFPSHSNKNFSFNDFGLQGHQISGFSSHPHGPNSLYHHHHQRNNNNPLMFNYLNPNRVYTNNIHITNPSFVPPIQVQHLELLSPKDLTRERAMKRPLCEFQDIDTIASASKRSKMSFDLPYYCEGKQLQEMLLLKDEEHPLSTPKSKTYADKKNLDLSLCI >Vigun10g086500.1.v1.2 pep primary_assembly:ASM411807v1:10:24795504:24796551:-1 gene:Vigun10g086500.v1.2 transcript:Vigun10g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYLLVAVLALTSSFVSAYDPSPLQDFCVALKEPDGVFVNGKFCKDPKTVKAEDFFLHVEPGNTDNPLNAQVTPVSVDQLPGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDGNRLFTKVLNKGDVFVFPIGLVHFQLNVGYGNAVAIAGLSSQNPGTVIVANALFKAVPPISVEVLARALQVDNKVIEDLQRKSWYGKD >Vigun09g238900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40930797:40931793:1 gene:Vigun09g238900.v1.2 transcript:Vigun09g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIERNVSSDGKRVMTVEQFRRWLTTAFDTNGDGRISKAELRRAVRLTGGLFASWSSGQVFRSADADHDGFIDENEFRNLAQFADKHFNVMIIR >Vigun08g110400.8.v1.2 pep primary_assembly:ASM411807v1:8:27428213:27443026:1 gene:Vigun08g110400.v1.2 transcript:Vigun08g110400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASSSMKSVIDRYNKSKEENCQLGSSASEIKFWQREAAMLRQQLHNLQESHRKIMGEELSGLTVKELQNLENQLEISLRGVRMKKDQLLIDEIQELNRKGNLIRQENVELYKKVNLICQENMELKKKVHGTKDDSETNRDYVLTNGLGIGEDLHVPVNLQLSQPQHHYEAPSKTAKLGRLQLH >Vigun08g110400.10.v1.2 pep primary_assembly:ASM411807v1:8:27428213:27443026:1 gene:Vigun08g110400.v1.2 transcript:Vigun08g110400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASSSMKSVIDRYNKSKEENCQLGSSASEIKFWQREAAMLRQQLHNLQESHRKIMGEELSGLTVKELQNLENQLEISLRGVRMKKDQLLIDEIQELNRKGNLIRQENVELYKKVHGTKDDSETNRDYVLTNGLGIGEDLHVPVNLQLSQPQHHYEAPSKTAKLGLQLH >Vigun08g110400.9.v1.2 pep primary_assembly:ASM411807v1:8:27428213:27443026:1 gene:Vigun08g110400.v1.2 transcript:Vigun08g110400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASSSMKSVIDRYNKSKEENCQLGSSASEIKFWQREAAMLRQQLHNLQESHRKIMGEELSGLTVKELQNLENQLEISLRGVRMKKDQLLIDEIQELNRKGNLIRQENVELYKKVNLICQENMELKKKVHGTKDDSETNRDYVLTNGLGIGEDLHVPVNLQLSQPQHHYEAPSKTAKLGLQLH >Vigun08g110400.2.v1.2 pep primary_assembly:ASM411807v1:8:27428213:27443026:1 gene:Vigun08g110400.v1.2 transcript:Vigun08g110400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASSSMKSVIDRYNKSKEENCQLGSSASEIKFWQREAAMLRQQLHNLQESHRKIMGEELSGLTVKELQNLENQLEISLRGVRMKKDQLLIDEIQELNRKGNLIRQENVELYKKVHGTKDDSETNRDYVLTNGLGIGEDLHVPVNLQLSQPQHHYEAPSKTAKLGRLQLH >Vigun07g123800.3.v1.2 pep primary_assembly:ASM411807v1:7:22902510:22906460:-1 gene:Vigun07g123800.v1.2 transcript:Vigun07g123800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGTSSKPRAASSQPSETSSKRKRGVFQKELQHMMYGFGDDPNPLPESVTLMEDIVVEYVIELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDEPVEG >Vigun07g123800.1.v1.2 pep primary_assembly:ASM411807v1:7:22902510:22905262:-1 gene:Vigun07g123800.v1.2 transcript:Vigun07g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGTSSKPRAASSQPSETSSKRKRGVFQKELQHMMYGFGDDPNPLPESVTLMEDIVVEYVIELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDEPVEG >Vigun07g123800.2.v1.2 pep primary_assembly:ASM411807v1:7:22902486:22906521:-1 gene:Vigun07g123800.v1.2 transcript:Vigun07g123800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGTSSKPRAASSQPSETSSKRKRGVFQKELQHMMYGFGDDPNPLPESVTLMEDIVVEYVIELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDEPVEG >Vigun02g019000.1.v1.2 pep primary_assembly:ASM411807v1:2:6633956:6634824:-1 gene:Vigun02g019000.v1.2 transcript:Vigun02g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVWFKIAFLFAIIFAMEATHGLSFSRIEIEKGGVVDSSQCFTNQDCIPYSPFMSCKGSNAICKDGACVCG >Vigun07g041200.1.v1.2 pep primary_assembly:ASM411807v1:7:4014133:4025080:-1 gene:Vigun07g041200.v1.2 transcript:Vigun07g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSIISLCTLVLLLLFFSPSTHASASDEAALLAKRLISDLNLFPDDAVNVVPVANSSLQPRKIVEKRLRFPNLVASDSEPSVEDLGHHAGYYPIEHSHAARMFYFFFESRNRKEDPVVIWLTGGPGCSSELALFYENGPFKVADDLSLVWNEYGWDKASNLLYVDQPTGTGFSYSTDLRDIRHNEDGVSNDLYDFIQAFFAEHPQYAKNDFFITGESYAGHYIPAFASRIHRGNKAKEGIHINLKGFAIGNGLTNPAIQYKAYPDYALEMGIIKKATRNRLNKVLVPACELAIKLCGTNGKTSCVAAYVACNLIFSNIMLHAGDTNYYDIRKKCEGSLCYDFSNVEKFLNQKSVRDSLGVGKINFVSCSTEVYMAMLVDWMRNLEVGIPALLEDGINLLIYAGEYDLICNWLGNSRWVHALEWSGKKEFTSSLEVPFVVDGSEAGLLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKKWTNGSLAESRAQKENLVAEM >Vigun08g033300.2.v1.2 pep primary_assembly:ASM411807v1:8:3074799:3078658:1 gene:Vigun08g033300.v1.2 transcript:Vigun08g033300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKCSSFSSASKFITVTNPLQFSNPAPKFVSRRSSITRPLHLTLAKDSDSASTSTSSPPSSFANDQPVFVTGEDVPLEGVIQFEKPNSSAQIEKWGRVALLAGADVLALFLFATIGRYRHGLSILDLETLQTADPFIAGWFLGAYFLGGFGEDGRGMNGLSKGVIATAKSWAVGIPLLTSLVRRW >Vigun08g033300.1.v1.2 pep primary_assembly:ASM411807v1:8:3074799:3078658:1 gene:Vigun08g033300.v1.2 transcript:Vigun08g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKCSSFSSASKFITVTNPLQFSNPAPKFVSRRSSITRPLHLTLAKDSDSASTSTSSPPSSFANDQPVFVTGEDVPLEGVIQFEKPNSSAQIEKWGRVALLAGADVLALFLFATIGRYRHGLSILDLETLQTADPFIAGWFLGAYFLGGFGEDGRGMNGLSKGVIATAKSWAVGIPIGIAIRAAGSGHLPNYGFVFVTLGSTAVLLITFRALLYTVLPVDNTKKSDDYRRGSPFELFELLTSLVRRW >Vigun07g252000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37115190:37115907:-1 gene:Vigun07g252000.v1.2 transcript:Vigun07g252000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLIHAMKKQKPGAQRSYRSFSHSESSNRSYHLLLASDGSSHRRTRSDFQAPTTEFLEQRHGADGFFVSPRGHTTAAAAASLSVNVNSSYAAQHSTNITNIRHRN >Vigun07g086400.2.v1.2 pep primary_assembly:ASM411807v1:7:13096475:13098221:1 gene:Vigun07g086400.v1.2 transcript:Vigun07g086400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFCEKCNMHVIKVFPRDAIALLNKGCAYMLEGLAKNAVSGLLPKKFAELVDKSLLFKVESRNDQTFKLEQSFKVKKICFDDAIIDKFNDSSMKSVDIYAGNGEFNREKERIIKDSCVDVAKVP >Vigun07g086400.5.v1.2 pep primary_assembly:ASM411807v1:7:13096729:13098156:1 gene:Vigun07g086400.v1.2 transcript:Vigun07g086400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIKVFPRDAIALLNKGCAYMLEGLAKNAVSGLLPKKFAELVDKSLLFKVESRNDQTFKLEQSFKDIYAGNGEFNREKERIIKDSCVDVAKVP >Vigun07g086400.4.v1.2 pep primary_assembly:ASM411807v1:7:13096475:13098221:1 gene:Vigun07g086400.v1.2 transcript:Vigun07g086400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHCNMHVIKVFPRDAIALLNKGCAYMLEGLAKNAVSGLLPKKFAELVDKSLLFKVESRNDQTFKLEQSFKVKKICFDDAIIDKFNDSSMKSVDIYAGNGEFNREKERIIKDSCVDVAKVP >Vigun07g086400.1.v1.2 pep primary_assembly:ASM411807v1:7:13096475:13098221:1 gene:Vigun07g086400.v1.2 transcript:Vigun07g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIKVFPRDAIALLNKGCAYMLEGLAKNAVSGLLPKKFAELVDKSLLFKVESRNDQTFKLEQSFKVKKICFDDAIIDKFNDSSMKSVDIYAGNGEFNREKERIIKDSCVDVAKVP >Vigun07g086400.3.v1.2 pep primary_assembly:ASM411807v1:7:13094509:13098155:1 gene:Vigun07g086400.v1.2 transcript:Vigun07g086400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSTCFEYCIFFVNKNIQILFATMGNHCNMHVIKVFPRDAIALLNKGCAYMLEGLAKNAVSGLLPKKFAELVDKSLLFKVESRNDQTFKLEQSFKDIYAGNGEFNREKERIIKDSCVDVAKVP >Vigun02g137000.2.v1.2 pep primary_assembly:ASM411807v1:2:28663651:28670289:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTGSFPY >Vigun02g137000.1.v1.2 pep primary_assembly:ASM411807v1:2:28660119:28670289:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTETSRHLLLPLTKSSVRVYPKVPPPSSSNSVLLPARLLDPSPELLCSEVQCRGLYEPISRWARFSWSTRE >Vigun02g137000.4.v1.2 pep primary_assembly:ASM411807v1:2:28660119:28668878:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTETSRHLLLPLTKSSVRVYPKVPPPSSSNSVLLPARLLDPSPELLCSEVQCRGLYEPISRWARFSWSTRE >Vigun02g137000.3.v1.2 pep primary_assembly:ASM411807v1:2:28660119:28670289:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTETSRHLLLPLTKSSVRVYPKVPPPSSSNSVLLPARLLDPSPELLCSEVQCRGLYEPISRWARFSWSTRE >Vigun02g137000.5.v1.2 pep primary_assembly:ASM411807v1:2:28663709:28670289:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTGSFPY >Vigun02g137000.6.v1.2 pep primary_assembly:ASM411807v1:2:28663709:28668878:-1 gene:Vigun02g137000.v1.2 transcript:Vigun02g137000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRKLLKGSDHISRGQYQGKYGEDRIWDNHRNSMDDLTDIEKEDIDRAIALSLSEEDHLKGKKVVEDDSQSEDDKLCKIDDEEDEYLAKIEQEDEYLAKIQQEEDERLAKVQQEEDERLAKIQREDERLAKLQQDEDERLAKIQQDEVERLAKAQLEEDEQLARAIQESLTIGSPPRSDNDSSFLSYPHLFPPGYRICAGCKAEIGHGRFLSCMGGVWHPECFCCNACRRPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFIPTNSSGLIEYRAHPFWLQKYCPSHELDGTPRCCSCERMEPRDAKYLLLDDGRKLCLECLDSSIMDTHECQPLYLEIQEFYEGLNMKLEQQIPMLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTISRRPRIGAGYRVTDMITEPFKLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSELYSGSGNSGASSSSSSSSSSPSSSASSKKGKRSEFEKKLGEFFKHQIESDTSSAYGDGFRSGNQAMLKYGLKSTLDHIHMTGSFPY >Vigun03g277900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45526828:45528348:-1 gene:Vigun03g277900.v1.2 transcript:Vigun03g277900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDIVKASACDTTNMDVMAMMMQMEKFSEFCDPFYSTTPPYTEADMLSSGSSSTSVSTIFNNGTPPTLVDPSPPNVLNFSNNDNTLIQQPMTPSLHPPQPSTTTSFPYPSEKKNSMAAMREMIFRIAVMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQAGANRQHNHNTVAAVGFPIGMSNSNNVNYSSLLIKGCQPCQVFGSTSKQLLS >Vigun01g154700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33742421:33745730:1 gene:Vigun01g154700.v1.2 transcript:Vigun01g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTPPPPPPQPNTILDDRLPFFNQSMDLVQLPNPPTTRTRSRTLADFLKRVQDAQNDAPPEPLAPPHHVLDLSSSSTTHPFVLSFSNLTYSVKLRRKFTFFPTTTVSPPDHETKPSGTKTLLNDISGEARDGEIMAVLGASGSGKSTLIDALANRISKESLKGTVTLNAEVLDSSLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLSKSKKKARVQALIDQLGLRSAASTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDHLIFLSHGNTVYSGSPANLPAFFSEFGHPIPENENRTEFALDLIRELEEQPGGTKSLVDFNKSWQLKEKNSVQSQNDHKPKPSLKDAISASISRGKLVSGANGNGKNSTAAVSVPAFANPFWMEMAVIGKRSLTNSRRMPELFGIRLGAVLVTGVILATIFWHLDDSPKGVQERVGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLSHAIISLPSLLFLSFAFAATTFWAVGLAGGSSGFLFYFLVIVASFWAGSSFVTFLSGVVSHVMIGFTVVVAILAYFLLFSGFFISRDRIPPYWIWFHYLSLVKYPYEGVLQNEFDVNSPRCFVRGIQMFDNTPLGMVPETLKVELLKSMSKTLGMNITRSTCVITGADVLKQQGITQLNKWSCFWITVAWGFFFRFLFYLTLLFGSRNKRR >Vigun05g174900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:32103182:32103355:-1 gene:Vigun05g174900.v1.2 transcript:Vigun05g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWNSAPSFVYFFLFPSCFSSTNCSLPSLPNTWNSYSLPVAFVVLTFVKPFKCVLN >Vigun07g177466.1.v1.2 pep primary_assembly:ASM411807v1:7:29289376:29290847:1 gene:Vigun07g177466.v1.2 transcript:Vigun07g177466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNAMMYCHPFWVSIFNPTKEYIQFDPGFVVYWSKEVRHNINYLVDPRGNKIVIRIGQTSVPENFFCGGYEIASFYKLKENYFVAIKYLGNRVFDLRIFDTDMTEIQYPAPKNPTHVHPEIPLPRFFNCFNVKLSIQQESITLADAFSRFWDPTFASDNFEMIDPVGNIHQIIISRGFLHMRFITKGIPQFIKYYDIKNDHVLRLTYMGDNKFIVRIFDLAGDEITYITESTDECSTTMESNDDNPFYFSTEKTLTEYDIRSSSLYLDAQFADVALVKSQKSYNLSNENGDLWECNIRWSNRSGMGCYITQGWNQFCIENGVEAGNKVMFGVDKNRSRTIHVLIT >Vigun11g167800.3.v1.2 pep primary_assembly:ASM411807v1:11:37469363:37473715:-1 gene:Vigun11g167800.v1.2 transcript:Vigun11g167800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLGNHEEEQTTHVASSFNGNLAADTSPTALAMKHNSGIALDWTPQEQTILEEGLSLFASEPNLTRYAKIAINLNNKTVRDVALRVRWMNKKENCKRRKDEFSRKSKDRKEKVSDPAVRSSHFPAQSNVFPYAPAMKMMDNDDSISHRVIGGPAGELLEQNAQALNNISTNLAALQFQDNINLFSQTRDNIIKILNEVI >Vigun11g167800.1.v1.2 pep primary_assembly:ASM411807v1:11:37468751:37473715:-1 gene:Vigun11g167800.v1.2 transcript:Vigun11g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLGNHEEEQTTHVASSFNGNLAADTSPTALAMKHNSGIALDWTPQEQTILEEGLSLFASEPNLTRYAKIAINLNNKTVRDVALRVRWMNKKENCKRRKDEFSRKSKDRKEKVSDPAVRSSHFPAQSNVFPYAPAMKMMDNDDSISHRVIGGPAGELLEQNAQALNNISTNLAALQFQDNINLFSQTRDNIIKILNDMNDMSEAMKQMPPLPFKINEPIFNFLMPNTIHQML >Vigun11g167800.2.v1.2 pep primary_assembly:ASM411807v1:11:37468782:37473715:-1 gene:Vigun11g167800.v1.2 transcript:Vigun11g167800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLGNHEEEQTTHVASSFNGNLAADTSPTALAMKHNSGIALDWTPQEQTILEEGLSLFASEPNLTRYAKIAINLNNKTVRDVALRVRWMNKKENCKRRKDEFSRKSKDRKEKVSDPAVRSSHFPAQSNVFPYAPAMKMMDNDDSISHRVIGGPAGELLEQNAQALNNISTNLAALQFQDNINLFSQTRDNIIKILNDMNDMSEAMKQMPPLPFKINEPIFNFLMPNTIHQML >Vigun03g196300.1.v1.2 pep primary_assembly:ASM411807v1:3:27727715:27730758:1 gene:Vigun03g196300.v1.2 transcript:Vigun03g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGMYPRNLLLHNPPAFVYGLKLRNIKTTMQASSFRVSAQIPTVNKDSMAESTVPKWAQKTVSLPPLRRGCHLVTSKIVKEIEPDLSGFKCGLAHLFLQHTSASLTINENYDSDVRHDTETFLNRIVPEGSSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITNGKLNMGTWQGIWLCEHRDHPTSRRVVVTLNGI >Vigun03g100300.1.v1.2 pep primary_assembly:ASM411807v1:3:8598905:8607866:-1 gene:Vigun03g100300.v1.2 transcript:Vigun03g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGVQQSQVAAILGADPTAFETLISHLMSSSNEQRSHAEALFNLCKQTDPDNLSLKLAHLLHSSPHHEGRAMSAILLRKQLTRDDSYLWPRLSPQTQSSLKSLLLSSIQTENSKSISKKLCDTISELASGILPDNDWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDSLTPHIKHLHDIFLQCLTNPSVNPDVRIAALNAVINFIQCLSGSADRDRFQDLLPAMMRTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQLVDVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDIEDDPAWHSAETEDEDAGETSNYSVGQECLDRLSISLGGNTIVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGCSKVMIKNLEQVVAMVLNSFPDQHPRVRWAAINAIGQLSTDLGPDLQVKYHQGVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILINATDKSNRMLRAKSMECISLVGMAVGKEKFRADAKQVMEVLMSLQVSQLETDDPTTSYMLQAWARLCKCLGQDFLPYMEFVMPPLLKSASLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAGILVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSLGRDLSYLKFLSDSVIPSLVEALHKEPDTEICASMLDSLNECLQISGMLLDESQVKSVVDEIKQVITASSSRKRERAERTQAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGRDKTPEERRIAICIFDDVAEQCREAAIKYYDTYLPFLLEACNDETPDVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNAVIQHPNALHADNVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCLMAERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTAGRMVNLLRQLQQTLPPSTFASTWSSLQPQQQIALQSILSS >Vigun02g023900.2.v1.2 pep primary_assembly:ASM411807v1:2:8017449:8019025:1 gene:Vigun02g023900.v1.2 transcript:Vigun02g023900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCERRVRNSVVHMKGVKQVEVNRKQSKVTVTGYVDRNRVLKKVQSTGKRADFWPYIPYNLVAYPYVAQAYDKKAPSGYVKNSAQALPAPNSLDDKLTSLFSDENPNACSIM >Vigun02g023900.1.v1.2 pep primary_assembly:ASM411807v1:2:8017449:8019025:1 gene:Vigun02g023900.v1.2 transcript:Vigun02g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDYISELFSVAPSTGKKRKPMQTVEIKVKMDCDGCERRVRNSVVHMKGVKQVEVNRKQSKVTVTGYVDRNRVLKKVQSTGKRADFWPYIPYNLVAYPYVAQAYDKKAPSGYVKNSAQALPAPNSLDDKLTSLFSDENPNACSIM >Vigun06g215200.1.v1.2 pep primary_assembly:ASM411807v1:6:32629354:32636854:1 gene:Vigun06g215200.v1.2 transcript:Vigun06g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTDMFLFRSERFKVVLVSGKSMSTVTVSVNCSISTLKPSFASSLRFRRRSFPLLSPRSALCFSGLSETMDCGGGGPCVFPLHRCKTIHLVRHGQGIHNVEGDKNYDAYMKPEYFDAHITPLGWQEVDNLRKHVRDSGIMKKIDLVIVSPLLRTLQTAVGVFGGGEGYTDRTDVLPLMVENAGNSNRAAISSLNCPPIVAVELCRERLGVHPCDKRRSISEYQSLFPAIDFSLIDSNEDTWWKTDVRETKEEIASRGLKFMNWLGTRKEKEIAIVTHRAFLFHTLSAFGNYSHHLEKKELSKLFANCELRSVVIVDGVTG >Vigun06g215200.3.v1.2 pep primary_assembly:ASM411807v1:6:32629354:32636917:1 gene:Vigun06g215200.v1.2 transcript:Vigun06g215200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTDMFLFRSERFKVVLVSGKSMSTVTVSVNCSISTLKPSFASSLRFRRRSFPLLSPRSALCFSGLSETMDCGGGGPCVFPLHRCKTIHLVRHGQGIHNVEGDKNYDAYMKPEYFDAHITPLGWQEVDNLRKHVRDSGIMKKIDLVIVSPLLRTLQTAVGVFGGGEGYTDRTDVLPLMVENAGNSNRAAISSLNCPPIVAVELCRERLGVHPCDKRRSISEYQSLFPAIDFSLIDSNEDTWWKTDVRETKEEIASRGLKFMNWLGTRKEKEIAIVTHRAFLFHTLSAFGNYSHHLEKKELSKLFANCELRSVVIVDGYEYVLNNFKSPLSSVEIF >Vigun06g215200.4.v1.2 pep primary_assembly:ASM411807v1:6:32629354:32636854:1 gene:Vigun06g215200.v1.2 transcript:Vigun06g215200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTDMFLFRSERFKVVLVSGKSMSTVTVSVNCSISTLKPSFASSLRFRRRSFPLLSPRSALCFSGLSETMDCGGGGPCVFPLHRCKTIHLVRHGQGIHNVEGDKNYDAYMKPEYFDAHITPLGWQEVDNLRKHVRDSGIMKKIDLVIVSPLLRTLQTAVGVFGGGEGYTDRTDVLPLMVENAGNSNRAAISSLNCPPIVAVELCRERLGVHPCDKRRSISEYQSLFPAIDFSLIDSNEDTWWKTDVRETKEEIASRGLKFMNWLGTRKEKEIAIVTHRAFLFHTLSAFGNYSHHLEKKELSKLVTG >Vigun06g215200.2.v1.2 pep primary_assembly:ASM411807v1:6:32630853:32636916:1 gene:Vigun06g215200.v1.2 transcript:Vigun06g215200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTVSVNCSISTLKPSFASSLRFRRRSFPLLSPRSALCFSGLSETMDCGGGGPCVFPLHRCKTIHLVRHGQGIHNVEGDKNYDAYMKPEYFDAHITPLGWQEVDNLRKHVRDSGIMKKIDLVIVSPLLRTLQTAVGVFGGGEGYTDRTDVLPLMVENAGNSNRAAISSLNCPPIVAVELCRERLGVHPCDKRRSISEYQSLFPAIDFSLIDSNEDTWWKTDVRETKEEIASRGLKFMNWLGTRKEKEIAIVTHRAFLFHTLSAFGNYSHHLEKKELSKLFANCELRSVVIVDGVTG >Vigun07g151700.4.v1.2 pep primary_assembly:ASM411807v1:7:26224303:26228523:-1 gene:Vigun07g151700.v1.2 transcript:Vigun07g151700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNDNSNGANASGNSLPVTTNSRNNISMDTTETQEYTPIGVEGCKESVYALAMNEEGTLLVSGGTEQVVRVWDPRSGSKTSKLKGHTDNIRTLLLDSTGRFCISGSSDSMIRLWDIGQQRCIHSYAVHTDSVWALASTSTFSHVYSGGRDLSVYVTDLQTRESALLCTEENPIQRLALHDDNIWVTSTDSSVHRWSAEGRNPRNIFQRDNSLLNGNLSLSKARVSLDESTPDPVYKQPTLTIPGIPAIVQHALLNNKRHVLTKDTSGSVKLWEITKGALVEDYGNVSLEEKVEDLFEKWIPDLDVCLYISTLLNVFWLRCIPKILTL >Vigun07g151700.2.v1.2 pep primary_assembly:ASM411807v1:7:26224303:26228523:-1 gene:Vigun07g151700.v1.2 transcript:Vigun07g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNDNSNGANASGNSLPVTTNSRNNISMDTTETQEYTPIGVEGCKESVYALAMNEEGTLLVSGGTEQVVRVWDPRSGSKTSKLKGHTDNIRTLLLDSTGRFCISGSSDSMIRLWDIGQQRCIHSYAVHTDSVWALASTSTFSHVYSGGRDLSVYVTDLQTRESALLCTEENPIQRLALHDDNIWVTSTDSSVHRWSAEGRNPRNIFQRDNSLLNGNLSLSKARVSLDESTPDPVYKQPTLTIPGIPAIVQHALLNNKRHVLTKDTSGSVKLWEITKGALVEDYGNVSLEEKVEDLFEKVDNIPPWFTVDTRFGCLSVHFDSPQCFLAEMYSEDLNIVGKTKDDKVNMGQETLKGLFGDWLRKRKQRMECAAPSNGELVPGKDIGIRNHTPSSIDGSCDTNAFEFSDISFVSIITEGSHGGQWGKKLTHLDGTEDEKDFPGWLLECLLNDSLPFCNENTNTK >Vigun07g151700.3.v1.2 pep primary_assembly:ASM411807v1:7:26224303:26227928:-1 gene:Vigun07g151700.v1.2 transcript:Vigun07g151700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLWDIGQQRCIHSYAVHTDSVWALASTSTFSHVYSGGRDLSVYVTDLQTRESALLCTEENPIQRLALHDDNIWVTSTDSSVHRWSAEGRNPRNIFQRDNSLLNGNLSLSKARVSLDESTPDPVYKQPTLTIPGIPAIVQHALLNNKRHVLTKDTSGSVKLWEITKGALVEDYGNVSLEEKVEDLFEKVDNIPPWFTVDTRFGCLSVHFDSPQCFLAEMYSEDLNIVGKTKDDKVNMGQETLKGLFGDWLRKRKQRMECAAPSNGELVPGKDIGIRNHTPSSIDGSCDTNAFEFSDISFVSIITEGSHGGQWGKKLTHLDGTEDEKDFPGWLLECLLNDSLPFCNENTNTK >Vigun03g317800.1.v1.2 pep primary_assembly:ASM411807v1:3:51227951:51230746:1 gene:Vigun03g317800.v1.2 transcript:Vigun03g317800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYWSGSALNENKGMVDNLQRYGIITSRKVGEVMETIDRALFVPGGLQPYVDSPMPIGYNATISAPHMHATCLQLLEKNLQPGMRALDVGSGTGYLTGCFALMVGPQGRAVGVEHIPELVSFSIENIKKSAAALPLKDGSLSVHCCDGRQGWPDFAPYEAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLKVVDKNSDGSISIRTETSVRYVPLTSREAQLRG >Vigun09g120500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:26693025:26693723:1 gene:Vigun09g120500.v1.2 transcript:Vigun09g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALNVPMIFIVFFFLFQSSHSSNFISKSCKEASKINPPLSYDFCVAALEETSSNLQPPPTTLEDLVGISVQLAKSNGTNVLSIISKLLKDKSFDQYTKGCLQSCSDFYLQSLKFLDDAIVAFKSKNFSQAADILSYATTQCVMCDDSFEERKTKSPLKTENQVYWELDIISLTFVNMLRQHRKA >Vigun07g268000.1.v1.2 pep primary_assembly:ASM411807v1:7:38331700:38335335:1 gene:Vigun07g268000.v1.2 transcript:Vigun07g268000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSHLAPFLVFHFLLLTVQGSNNPDFDALVAFKTASDTSQKLTTWNTSSTSPCSWSGVSCIGDRVSRLVLENLDLQGSIHPLTSLTQLRVLSLKGNRFSGPVPDLSNLTALKLLFLSRNAFSGEFPGTVTSLFRLYRLDLSSNNFSGEIPATVSHLTHLLTLRLDGNKFSGHIPDFNLPDLQEFNVSGNRLSGEIPKPLSSFPESSFGQNPFLCGAPVKKCASDPTKPGSEGAIASPLLPHNDNNPTTTVSSSPSSMPKTSTPTSSSKSHEKGASKISPVALIAIIVGDVLVLAIVSLLLYCYFWRNYKLKEGKGTKLFESEKIVYSSSPYPAQGGFERGRMVFFEGEKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDAQITGKREFEQHMEVLGRLRHPNVVSLRAYYFAREEKLLVYDYMPNATLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGVAFIHNSCKSLRLTHGNIKSTNVLLDKQGNAHVSDFGLSVFAGPGPLGGRPNGYRAPEASDGRKQTQKSDVYSFGVLLLEILTGKCPSVVESAGSAYGGVVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMTCTAAAPDQRPRMSHVVKMIEELRGVEVSPCHDSTDSVSESPSLSEDACGTSQ >Vigun03g062800.1.v1.2 pep primary_assembly:ASM411807v1:3:5151384:5153932:-1 gene:Vigun03g062800.v1.2 transcript:Vigun03g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVFWVLLFASLSHPLATINAQAPEGTPSNWQPATPNTPSTWPTTTPNTPSTWQTTTPNTPSTWPTTTPNTPSTWQSTTPNTPSTWQTTTPNTPSTWPTTTPNTPSTWETTTPNTPSTWQTTTPNTPSTWQTTTPNTPSTWPTTTPNTWPTTTPNTPSTWETTTPNTPSTWQTTTPNTPSTWQTTTPNTPSTWQTTTPNTWPTTTPNTPSTWPTTTPNTPSTWPTTTPNTPSTWPTTTPNTWPTTTPNTPSTWPTTTPNTPSTWPTSNAPSALPSTTPSAPSTLPTTTPNVPSTLPTATPNAPSTLPTTTPIAPSVAKQPPVVAATPAISQKPPAETSPTSTIKPTPATTSAASPTSVTKPPIVIATPLPSIKPPVATSPTSSKSPVPKVSSPTSSAPAKSPIPKVSSPTSSAPVKSPLPKVSSPTSSAPVKSPVPKVSSPTSSPVKSPVPKAISPTSSAPAKLPVPKTTSPTSAPVKSPIPKDTSPTSAPAKLPDPKPLPPSSAPAKLPVPKDLPPTATPVKPPVPKTAPPKIAPVKPPVPKITPALSPKSPSPKLPPKEAPISPPPLPLPPADSPLLPTPTASPTPAEAPTAPAPAKKAPAPAPAHKKKAPKPSPVPSPLSSTPTPAPTPSIETPTPAPAPEEDTPEPPPHKHRRRRHRHKHRRHHSQSLAPAPAIIRRSPPAPLIEDITTTDSEETPAPAPSPNANGAQAYYKQGNTWPSVGVAIAILLLSVIT >Vigun03g355200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55668345:55670130:-1 gene:Vigun03g355200.v1.2 transcript:Vigun03g355200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGVVRKIVLSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPFPISLTMIHMAFCSSLAYLLVRVFKLVEPVSMSRDLYFKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVMFKKEVFKNETMANMVSISLGVAIAAYGEAKFDSWGVTLQLLAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSVPWIIMEYPSLRDNSSLHLDFGIFGTNSACAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLLGYGLAFLGVAYYNHCKLQALKASEAQKKAQQADEEAGRLLEQKDGDGTGRKNDNQN >Vigun03g208500.2.v1.2 pep primary_assembly:ASM411807v1:3:34262498:34264187:-1 gene:Vigun03g208500.v1.2 transcript:Vigun03g208500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFFPRTGDKLISAFLWPELDLFDLSNRECSCILENDETLLRFVKRTRITSQSHCSSRSPVNPHSSLSSVNKLHPHQHTGWSLNAEISNKRRENNCNNSGKRKLCDLNVNLADNLEYWLPTLTKGSDEEDSDETSDTSSDSSLDSSSDSETYSGPS >Vigun03g208500.1.v1.2 pep primary_assembly:ASM411807v1:3:34262498:34264187:-1 gene:Vigun03g208500.v1.2 transcript:Vigun03g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFFPRTGDKLISAFLWPELDLFDLSNRECSCILENDETLLRFVKRTRITSQSHCSSRSPVNPHSSLSSVNKLHPHQHTGWSLNAEISNKRRENNCNNSGKRKLCDLNVNLADNLEYWLPTLTKGSDEEDSDETSDTSSDSSLDSSSDSETYSGPS >Vigun06g048400.2.v1.2 pep primary_assembly:ASM411807v1:6:17269483:17272340:1 gene:Vigun06g048400.v1.2 transcript:Vigun06g048400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGLGTTSVAQHIRGKAKDQNLFDVMVFIPVTDKPNQEQVQNAIADELGVQFTNGESLVKRRNKLRQRIKREQRTLIIVDDTWGELNPQEFDLEEFGVPPGNEHEGCKVLLTSGNLNFIQYLKGASKLTKVFQLQELQKEEAQMLFEKMVGSVDEDQESSIVEEIVRSCEGSISLIYALAKALQNKGPDALMQLKENISPAKLLSYCLEENEELKSLLYLLTIRGRRFINSYSIYIDMWAGVFKNLETADSARKKRESLISDLKAYGLVVENGKDWVKVDDYIHQTAYRMAQHDRRASVISREWPPEELLKDLYFCNLHPVGDLKLPVRLQCPNLKHLLISRENSTIDVPDSFFEETKLLKVLDFVSFHCPNLPLSFVVLKDLEALSMYQCGLEDITEVCELTNLRMLGLLESRIQQLPAQIVKLEKLLFLDLRDTNLQVIPPNVLSKLTSLEELYLRNSFCNWKIEMSSSENKNASLKELTDLEHLAYIEDMYVPDPQAWPVDLFFGNLRSYTIFIGNGWDRAHYGDHELKTLKLKLNRRFQSENGIKKMLKEVQVLYLDTLNGVQNVVNDMECDGFPQVQSLFIQHNAEVKCLSTGSGNDSLDTFPNLESLSLTILSNLEYICHGGPLSEKSFFKLRVIKVEKCNAMGCLFSKSMINGLPHIATLKVSQCTSIKTIVLFEGAENHPIEFPELCSLTLQGLPALISFCSSEGSSSSTDNTATLFHDKVSCPNLETMVISQVSELTTIWNEEYDAENSFWKLKNVNIKGCEKLRTVFPVNLSKNLDNLKMLEVRNCSSMTSIFTVMRQDSTKPGLQLSFPMIEITLTGLPKLEYVCVTTGFEALKKKFEEEWYAGLPGLSGNARIEHGRKMKKYLEEYLNM >Vigun03g247700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41206381:41207431:1 gene:Vigun03g247700.v1.2 transcript:Vigun03g247700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMSYNRVSSAKLSRCRGFRMNPRKLYVLRLRKRFNFFLRLFDSWKLSYGEAIQLLKKVVCRKGCFKRNNSTCLVSEEKLNDCKMGSCGRSNSFYAEAIADCLEFIKRTSISSMDQTQDPMNHVQDRK >VigunL059414.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:20905:22098:1 gene:VigunL059414.v1.2 transcript:VigunL059414.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLRTQNFRAPRISWYSFGAFGGLSNPHISKTTNFIFSQNSPPSHLAMPWCTDQPWPCAKPSELKTFVHQDFHGIHLGAFGGHSNPHISKTTNFIFSQNSHQAISYAAVHRPTWPCAKPSELKTFVHQEFHGIHLGAFGGHSNPHISKTTNFIFSQNSHQAILAMPRCTDQLGHVQNPPNSKLSCTKNFMVFIWGIWRPFQPSHLKNHEFHFFTKLPPSHLAMPRCTDQLGHVQNPPNSKLSCTKNFMVFIWGHLEAFPTLTSQKPRISFFHKTPTKPFSYAAVHRPTWPCAKPSELKTFVHQEFHGIHLGAFGGLPTLTSQKPRISFFHKTPPKPFSYAVVHRPTLPCAKPSELKTFVHQEFHGIHLGAFGGHSNPHISKTTNFIFSQNSHQAI >Vigun06g100700.1.v1.2 pep primary_assembly:ASM411807v1:6:23089582:23090133:1 gene:Vigun06g100700.v1.2 transcript:Vigun06g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVGNSEQVTMEEATSKGVAGRRGERKKAVSKSIKAGLQFPVGRVTRYLKKGRYSRRLGAGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDDELGKLLQGVTIPSGGVLPNINPVLLAKKNTSTPEEKLSTPTSPMKT >Vigun07g092800.1.v1.2 pep primary_assembly:ASM411807v1:7:14802820:14815599:1 gene:Vigun07g092800.v1.2 transcript:Vigun07g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLVWVSKTKIKKIMEAHPTFSIERSKQLNNMGMSGALSSSLSILPIPTEDMYPRLPESQLDIVEQELVTRPYTNSSYLNSNGVVGHIFSSSSGYSTDLHHSSLSPDEKHSTNARFISQSSTNIAQFPLSYSSNTGPPTSAAPSHFSKENSASWHTESLPSFLDFPAHHSMDDNRVESSDCPIMASEEYCKQNDWQEWAERLISDDDTLTSNWDDLLTDNIRDIEPKVPFQVAKSLSQFPGHQSQGLQLPASYGDNCSGATLSSSSNSTPAKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGVTEKKAGSIEDMASLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSEALKASSSTIETQSGVSLNATRDFAVKNVLEASQVEHCRSEADHANRSTTVEEGSLEKGGNADSPKTQHVIASDDSAEAPKRQRTE >Vigun11g210700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40594685:40598009:1 gene:Vigun11g210700.v1.2 transcript:Vigun11g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVVSFVLDHLAQLVAREANLLYGVEDRVQSLQYELQMIKELLSSTRSKKGMEHTVLNQIRDVSHLAEDVIDTFVAKVSIYKRRTILGRMLRGFGQLRLLHRVADKIDKIKTTLNEIRDNKDKYDAFKETKNQSAAEEEEEEKRAQSVQKLRRNVEEEDVVGFVHDSKDIIKRLLEGGSNRKAVSIVGMGGLGKTTLARKVYNSSQVKQRFMCRAWVYVSNECRAKELLIGLLKHLMPNFEQQGRGKKKGKKSAGDINELSEEELKKLVRNCLEWKRYLVVVDDLWKKQDWDEVQDAFPDNNRGSRILITSRLKEVALHATHDVPHYLQFLNEKESWELFHRKVFRGEDYPSDLESLGKQMVKSCRGLPLSIIVLAGLLANKEKSHREWSKVVGHVNWYLTQDETQVKDIVLKLSYDNLPRRLKPCFLYLGLFPEDFEIPVTPLLQKWVAEGFIQDTGSRDPDDVAEDYLYELIDRSLVQVAKVDTNASAETCRVHDLLRDLCILESKEDRVFEVCTDHNILIPTKPRRLSIHSKMDHYISSSNNDHSCVRSMFFFGSIYYVRSWDWKWLFESLKLVRVLEFGVNGSNKIPSDIGNFIHLRYLRIDIEYVMFVPDSILNLWNLQTIDLGPPRRNVPISFPAKIWKLKHLRHLNTLRAIELRGSCSGSDVKMWNVQTVSSLVINSQATSLIKKGTFPNVKRLGLRVTSESEGELPKLLQSLQQLSYLNKLVIVLRDRDDAGAEHSTDESVKRNSGFKPQELLRNLGQFNCLTILTIENAFDLLTYALTFPPNVTELTLSEINCISDEGMNGLGNHTKLKKLRLLGDVTWSGESFDLNCVEGGFSQLEVIEMEDLKVGKWKLGNGGMLRLHSVMIQNCARLDDLPNEIWSLSSLRKVQVMKPSEEMARMLRNLEIKNGVQLVTEDHQSRTDWTDFNILDCISEI >Vigun01g106700.1.v1.2 pep primary_assembly:ASM411807v1:1:27794926:27799733:-1 gene:Vigun01g106700.v1.2 transcript:Vigun01g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHIGNAGEPDPKSFKPRPKKPSDDFITLFHGSDPVRVELTRLQNELREKDRELGDALAEIKSLRNSERLKEKGVEELTDELNKVDEKLKAAEVLLESKNLEIKKINEEKRAAMAAQFAAEATLRRVHAAQKDDEMPPIEAIITPLEAELKLAKMEVAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEEGKILDKMLRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILEREVARAKVTANRVATVVANEWKDANDKVMPVKQWLEERKFFQGEMQQLRDKLAIAERTAKAEAQMKEKYQLRFKVLEERVKTSNGNFKFTVSDGRNIATGPSRRQSFGGAESLSASSSNGYLSRKTSISRSGSLRSNSANVLLKHAKLSSRSFDGGSRNLERERRTSDANGVDNMPTNTNNQTVTSETITAHKESAHGIQVNKSIAENEDCVSGMLYDMLQKEVISLRKACHEKDQTLKDKDDAIEMLAKKVDTLNKAMEVEARKMRREVASMEKEVAAMRISKEHDHRARRASAPRGSVNSHTISTRSARNF >Vigun09g017700.1.v1.2 pep primary_assembly:ASM411807v1:9:1322118:1325303:-1 gene:Vigun09g017700.v1.2 transcript:Vigun09g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEREKKLYVAVLGNYAAELKLLLTTLLLLCVVATLLQFLPSRFTISASDLRVCISRVTQTTPILSNTTNATSSYPIKASLSHASPPPLPPPSPPPPPPSEQVLPGGIIKRVFNPYGSAAYNFITMGSYRGGLNTFAIIGLASKPLHVYAKPTYECAWHSLAGEKLSTAVGYKILPDWGYGRVYTVVIVNCTFPAPLNADNSGGKLVLSASTSGGGDASFNITDTIEALTEQPGTLDTTLFTSRPKYDYLYCGSSLYGNLNAQRVREWIAYHVKFFGPRSHFVIHDAGGVHAQVREVLQPWIDLGYVTLQDITDQERFDGYYHNQFMVVNDCLHRYKFMTKWMFFFDVDEYIYVPPKSTIKSVLDSLSEYSQFTIEQMPMSSKLCLTSDYGKTYRKWGFEKLVYKDSKKGIRRDRKYAVQPRSLFATGVHMSQNLAGKTTHKTEGKIMYYHYHGTIAERRESCKMLINSTEITYEKTPYVLDTTMRDIAGVIKKFELKMIGNRIQKTRQ >Vigun02g005400.1.v1.2 pep primary_assembly:ASM411807v1:2:2523479:2530674:-1 gene:Vigun02g005400.v1.2 transcript:Vigun02g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSLSFSSLLFLSKITSSTMQPLTNFFQDYTFLLFTSFFFLLLPFLQWWHHHNHKKLPPGSMGWPYLGETLKLYTQNPNSFFSNRQNRYGDIFKTNILGCPCVMISSPEAARTVLVTQAHLFKATYPPSKERLIGPEAVFFQQGAYHSMLKRLVQASFLPSTIKHSVSQVEQIVIKMVPSWTNKTINTLHEMKKYAFEVAAISAFGEIKELEMEEIRELYRCLEKGYNSYPLHLPGTSYWKAMKARKHLNESIRRIIERRKVESSKYGGGLLGVLLQARSSPSRSRSTSSRSSSCGEEKKVFMELTDSQVADNLIGVIFAAHDTTASALTWVLKYLHDNSNLLEAVTREQEGIRSKLAMENRGLSWDDTRQMPFTNRVIQETLRSASILSFTFREAVTDVELEGYTIPKGWKVLPLFRSIHHSAHFFPHPEKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLELLVLLHHLTLSYRWEVVGKEDGIQYGPFPVPKHGLPVKITPRRKIFT >Vigun05g063900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5532300:5534993:-1 gene:Vigun05g063900.v1.2 transcript:Vigun05g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFVGPVLDIIIRLWDCCAYVRDYEENISCLRDVASDLLGLWVDVSVKVQLAEAQHLRRLNEVNDWLVKVEAMQREVQAIQQRVAHAQETRSRCSTNFPTSCRMGRIVSKKIGEIRELIDKGHFDVVAQEMPYAVVDEIPLEVTIGLESTFEELGECFDDNNVGIIGLFGMGGVGKTTLLKKFNNEFLPTKFYDVVIWVVVSKEADVGSVQQSIGNKLNVPVGKWGGKSIDDRAIVLYNFLKRKKFVLLLDDLWERIDLLKLGIPLPDTENGSKVIFTTRSMEVCRNMEANRCIKVECLAPNEAFALFREKVGEETLNSHPEIFLLAQIVAKECEGLPLALITVGRSMARKTLPEWKRAARTLKIYPSRFSGMVDYVYCLLEFSYDSLPSASHKSCFLYCSIFPEDYDIRKDELIQLWIGEGLLAEFGDDVYEARIQGEEIIASLKFACLLEDSEKENRIKMHDVIRDMALWLACDHGSNTRFLVRDGASSGSVETYNQAKWKEVEKLSMWRHSIQKLSGKQDCSNLLTMLVRNTEITNFPDEIFLTANHLRVLDLSGNKRVRELPSSIGELVQLQHLDLSGTDIQKLPRELQNLKKLRCLLLNYICNRLVFPRKLISSLVSLQVFSKLPWEDQFILPDLGEPEETVLLKELECLECLQDISIALFCFSSMQVLLNSSKLQRCIRHLRVLSPFNSTPHVILFSLLTKMQHLEVLSMSVSSPSSLDHVRKKGSPSQVSMTECIPMSSKITEHGYIVGLRELSLEGCGMLNLNWLTRAPSLQLLRIYNCPSLEEVIGEEELGHAETVFSSLEIVDLDSLPKLRSMCSQVLQFPCLKEICVADCPKLIKLPFDSNSARNSLKHINGQKSWWRKLQWEDEATRDHFASKYVPLRKIHRIR >Vigun05g082900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7833470:7835485:1 gene:Vigun05g082900.v1.2 transcript:Vigun05g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGMLCLSPLSGQQQALSFPSLPSTSPKLQNRPLYLTNLPHFPQRLRPSFAGGDGGDGVGRGGGGGGGGKGDDGDSKDSSFGILGLFLNGWRSRVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTLTSSASSVPALFASCPKSHMFEPGAFSLLERFGTLVYKGTIFAVVGFGAGLVGTTLSNGLIKMRKKMDPTFETPNKPPPTILNALTWAGHMGVSSNLRYQTLNGVEFMLERVLNPLAFKSSVLVLRCVNNVLGGMSFVVLARLTGAQSVGEKKESEVGLISEKEKVESEREERLQNQSTEPSK >Vigun09g233200.1.v1.2 pep primary_assembly:ASM411807v1:9:40416325:40419825:-1 gene:Vigun09g233200.v1.2 transcript:Vigun09g233200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQMKKKRVTPIPNNPCNERDQLCINPGCFFCSMGEEDASLRRAGITTCFKELFQGENHEQHVLVLSGLWHIAMTQPSDPEFPSLGIFKCMASLIHKGINDSHWLLANQNIYIPYYAAHIIGSYTMNKEEFAQVAVQSGVIPPLLELLRGRISWVEQRVAVRALGHLASYKSTFESVALHEQEVVKLALNLASTCLEVVYVDFVAVEENKRVEYHRNLLTRGVGDLEMENRKAEEWASQLQCWSLYLLNCFACKDRALDLICKKGFLKDLCDMWGGMINRTSPAGVGLIRILCYSKEGRKNIAELPKVVNALGNLSRSSDDWQYIGIDCLLLLLKDTDTRYKVVDVAAPYLTDLVELNNLGDKSNVGETITKVLLHLKPNAHKVGAVLQEVMNLKVDRREKEKVLSEEKLEERKALVSLIKQQANHKFRLGKVEEALVKYSEALGVCPLKFRKERMVIYSNKAQCHILLKDADSAISDSTRALCLSNPANSHGKSLWRRSQAYDMKGMAKESLMDCIMFMNRSVKSGGETMRVKIPYHPARLICKHMDATWLFATARSRLEKTMQELNQVGGHDQNQKQPRDHNKTMMTMMIENTNSHMHGLSTIIEEPFHAKEGGRRKMERARRRFNKGAVAGAT >Vigun02g204900.1.v1.2 pep primary_assembly:ASM411807v1:2:33847373:33851009:-1 gene:Vigun02g204900.v1.2 transcript:Vigun02g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDGKKSDDMDSLFEGMVLFNPAADIEIGVEAEVRQDNYNGSDALTTSQPLDENLFSDLTLVVDPLQNSLAAEADHDLQSQQQLSVSATAPTSSSSSTQGQVQGQPPRRRKRSGLRIGYGRDALHFNDMPHTLSPLPQPISDSDSLGAGHTVRLPETFPSIVTATADADADADGVTLSQPSTVSSSKSENENREYQHSSAFSEEEPSAESSSKSENENRKQHLVSAFSEEEPSAESSSKSGNENLNQQHASAFPEAEFEQIKVTIREKLNLASQLVKNASSARKDSIRNRRKIVENANLASLKYMELEKQLEEACEAEDFERAEKVSEKLSDAEKEKLSYINSLREADAVVDALDLKLQHALESQLVAEEQCAILLDHYAKNALNNADSTLKKATSVFSKEMDQWLSSSEALEVKKMELEIEAQFMNEARLELNNSIEHSIQDDKREKEILCKRKDVLMGELDQLLALVKQKEKEIADNDSTLEAVENKINKVLSGFEEMQLGINVNYDELQSALAHVKLETETLTLKKGEIDNFFTQEKEMGARLRNFARISSEEAEGYRETVKLRRSLMSSILKSRGDKLTLAENEEKLSRDVKLFQEEVSAARASLQELSSRKSSIQQEIASFKQRIIFIDKRVPELEAEKKVATAARNFKEAARIATEAKSLNVEKESIQIDMDTSSLNLEKLEEEIKDTLNKLQETEGVILLKEKELAMVRYQKLLLASATARAEKAGALEMGDMEEANLLSTEAEAADREAEKLQSTYKIEEKDFVDLGKHLISMDLVSYLDQKQLGELVVSLHLWTG >Vigun03g088300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7428686:7431569:-1 gene:Vigun03g088300.v1.2 transcript:Vigun03g088300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNSMVVLAVARASAEAWECIAEPLSSDQILDLVCCFPLHQLALCLYSFFCAPHFNYSYFPSDSDEDDDDSSRFDYYYQSYSDSTQ >Vigun03g088300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7428686:7431569:-1 gene:Vigun03g088300.v1.2 transcript:Vigun03g088300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNSMVVLAVARASAEAWECIAEPLSSDQILDLVCCFPLHQLALCLYSFFCAPHFNYSYFPSDSDEDDDDSSRFDYYYQSYSDSTQ >Vigun03g088300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7428684:7431589:-1 gene:Vigun03g088300.v1.2 transcript:Vigun03g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNSMVVLAVARASAEAWECIAEPLSSDQILDLVCCFPLHQLALCLYSFFCAPHFNYSYFPSDSDEDDDDSSRFDYYYQSYSDSTQ >Vigun08g067700.3.v1.2 pep primary_assembly:ASM411807v1:8:10193211:10201681:1 gene:Vigun08g067700.v1.2 transcript:Vigun08g067700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSSVFILALLHLLILSFQVNSLSMNVLFASSEQAKTLLLKPSWQSLSQGPIVTPRPKLLHDDHHHHSGKPYPLVPSPYKDPACSQICTDPLTATPFGSPCGCIFPMKVRLVLGVAPLVVFPVIAELEIEVASGTFLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGEKFDNTTAFLLYERFWHKKVHLNRSRFGDYATLYVVYPGIPSPLPPGYPIGSSPQPSETVGFLPITASVMSEHEKLTLKTILIIALCSAVPFLVLVGAFFILLKWWKIRSSSSAIGPSLTSYLNKRSGLESMLSGRIMSSRSMSLVSTIAASILSIKTFSFSELEKATDKFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRDGQNRDREFIAEVEMLSRLHHRNLVKLFGICIEGRRRCLVYELVCNGSVESHLHGADKKNCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKISDFGLAREAGEGNRHISTRVMGTFGYVLRLCPWDLDLALF >Vigun08g067700.2.v1.2 pep primary_assembly:ASM411807v1:8:10193211:10200828:1 gene:Vigun08g067700.v1.2 transcript:Vigun08g067700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSSVFILALLHLLILSFQGYFFAVNSLSMNVLFASSEQAKTLLLKPSWQSLSQGPIVTPRPKLLHDDHHHHSGKPYPLVPSPYKDPACSQICTDPLTATPFGSPCGCIFPMKVRLVLGVAPLVVFPVIAELEIEVASGTFLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGEKFDNTTAFLLYERFWHKKVHLNRSRFGDYATLYVVYPGIPSPLPPGYPIGSSPQPSETVGFLPITASVMSEHEKLTLKTILIIALCSAVPFLVLVGAFFILLKWWKIRSSSSAIGPSLTSYLNKRSGLESMLSGRIMSSRSMSLVSTIAASILSIKTFSFSELEKATDKFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRDGQNRDREFIAEVEMLSRLHHRNLVKLFGICIEGRRRCLVYELVCNGSVESHLHGADKKNCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKISDFGLAREAGEGNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPQGEENLVTWARPLLRCREDLEKLVDPFLAGKYDLDEMAKVTAIASMCVHPEVTQRPFMGEVVQALKLIYNDTNESEKESLWHESDFGGDLVFSDSSWLDGEGVTPTLTYGPESSLVPMEYSSGLLEMEKGTSSLNADETSLPIRHGNRSGPLRTARGKPSFTKLTRSRSDHVVSFQPCL >Vigun08g067700.4.v1.2 pep primary_assembly:ASM411807v1:8:10193211:10200828:1 gene:Vigun08g067700.v1.2 transcript:Vigun08g067700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSSVFILALLHLLILSFQVNSLSMNVLFASSEQAKTLLLKPSWQSLSQGPIVTPRPKLLHDDHHHHSGKPYPLVPSPYKDPACSQICTDPLTATPFGSPCGCIFPMKVRLVLGVAPLVVFPVIAELEIEVASGTFLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGEKFDNTTAFLLYERFWHKKVHLNRSRFGDYATLYVVYPGIPSPLPPGYPIGSSPQPSETVGFLPITASVMSEHEKLTLKTILIIALCSAVPFLVLVGAFFILLKWWKIRSSSSAIGPSLTSYLNKRSGLESMLSGRIMSSRSMSLVSTIAASILSIKTFSFSELEKATDKFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRDGQNRDREFIAEVEMLSRLHHRNLVKLFGICIEGRRRCLVYELVCNGSVESHLHGADKKNCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKISDFGLAREAGEGNRHISTRVMGTFGYVLRLCPWDLDLALF >Vigun08g067700.1.v1.2 pep primary_assembly:ASM411807v1:8:10193211:10200828:1 gene:Vigun08g067700.v1.2 transcript:Vigun08g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSTSSVFILALLHLLILSFQVNSLSMNVLFASSEQAKTLLLKPSWQSLSQGPIVTPRPKLLHDDHHHHSGKPYPLVPSPYKDPACSQICTDPLTATPFGSPCGCIFPMKVRLVLGVAPLVVFPVIAELEIEVASGTFLKQSQVRIIGVSADNQNQWRTIVDIDLVPLGEKFDNTTAFLLYERFWHKKVHLNRSRFGDYATLYVVYPGIPSPLPPGYPIGSSPQPSETVGFLPITASVMSEHEKLTLKTILIIALCSAVPFLVLVGAFFILLKWWKIRSSSSAIGPSLTSYLNKRSGLESMLSGRIMSSRSMSLVSTIAASILSIKTFSFSELEKATDKFSSQRVLGEGGFGRVYSGTLDDGNEVAVKLLTRDGQNRDREFIAEVEMLSRLHHRNLVKLFGICIEGRRRCLVYELVCNGSVESHLHGADKKNCPLDWEARKRIAIGSARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKISDFGLAREAGEGNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELITGRKSVDMSQPQGEENLVTWARPLLRCREDLEKLVDPFLAGKYDLDEMAKVTAIASMCVHPEVTQRPFMGEVVQALKLIYNDTNESEKESLWHESDFGGDLVFSDSSWLDGEGVTPTLTYGPESSLVPMEYSSGLLEMEKGTSSLNADETSLPIRHGNRSGPLRTARGKPSFTKLTRSRSDHVVSFQPCL >Vigun05g232200.1.v1.2 pep primary_assembly:ASM411807v1:5:42614476:42616680:1 gene:Vigun05g232200.v1.2 transcript:Vigun05g232200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGCTCTWRTMYEKPRTMLVNFTNMVVKLGKDDPRRIIHSFKFGFALVLISILQYFRPSFYVLGDNIMWAVITVVLVLEFSVGATLGKGLNRVLATAFAGGLAVALRTIASFFGDKGQVVMISVSVFFVAGTVSFMRFSPRLKAKYEYGMIIFILTFCLVALSESSENELLEVAQERLLTIIIGTCIAITVCICVCPVWIGQDLHNQIASNVVKIADFLEGFRDEYFNNLENTAEEVEEDDKSFLHKYESILSSKINEETMAVLARWEPRHGRFRFRHPWKQYLKIGNQIRLCAYKIKALSVLLLRSDQTPYEIRSRIEEPCANIIIESVKALKESSLIFQHMAKPAMPNLHVANAKNASESLKSVLRTNPWEGADHLQIIPAATVASLLIDVVFRVEKICEAVEELANLAKFEPSELLHRGTVQPISDSDGAVHVITIAE >Vigun11g083533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24812761:24813186:1 gene:Vigun11g083533.v1.2 transcript:Vigun11g083533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKMQRSSSSHTKNFDVLVSFRGTDTRNGFTNHLFGALQRKGVIAFRDDYTIQKGEFLESELLHAIEGSCVFIVVFSKDYASSTWCLKELRKIVDLVEEIGRSMLLIFYDVNPFEVRKQSGEFAKAFAEHEERFKDDLC >Vigun11g047700.1.v1.2 pep primary_assembly:ASM411807v1:11:7368983:7377247:-1 gene:Vigun11g047700.v1.2 transcript:Vigun11g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAMMFVGFLVAFVIIFLTKALSWVWLEPKRAERFLRRQGIKGNPYKLFFGDIKEINTMQHQAQSKPIHIDDDAATRLVPFQNQLVKVSGKNSFFWYGPKITVHIMEPEAIKEVLNMINDFPKPTLTPLAKFLITGLVDLEGDKWSKHRKIINPAFNLVKLKLVLPAMYDSCNQMMKEWKMLVSETGSCMVDVWPFLNNLTADVISRTAFGSNYEEGKIVFQLLKEQTQLTAKVFRSIYIPGWRFLPTTLNRRMKKIDNEIGNVLRGIIQKKEGIMKTNEASNDNLLGLLLESNQKEIEDQGLKKDFGMNTNDVINECKLFYFAGQETTSVLLNWTMVLLSRFPNWQTLAREEVMEIFGTKEPDYDGLSRLKVVTMILYEVLRLYPPITALTRILKKKTKVGNMTLPAGALATIPVVLVHRDSELWGNDAKEFKPERFSEGISKATNGKVSFIPFGWGPRICIGQNFALLEAKMALSLILQNFKFELSSSYVHAPNTVITAQPQFGTHLNLQKL >Vigun01g096800.1.v1.2 pep primary_assembly:ASM411807v1:1:26235473:26238171:1 gene:Vigun01g096800.v1.2 transcript:Vigun01g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLKQKLLNRAITSEEEKEDDSSLVKRVWNESKSMWIVAAPAIFTRFSTFGLNVISQAFVGHIGPRELAAFALVFTVLIRFANGVLLGMASALSTLCGQAFGAKEYSMMGVYLQRSWIVLLLTALVLVPVFIFTSPILILLGQDESIAHVAGTISLWAIPVMFSFIFSFTTQMFLQSQSKNVIIAFLAAFAIVIHVSLSWLLTMNFNLGIPGAMISTILAYWIPNVGQLIFVTCGWCSDTWNGFSFLAFKDLWPVVKMSLSAGAMLCLELWYNTILVLLTGNMENAEIQIDALSICINVNGWEMMISLGFMAAASVRVANELGKGSSKAAKFSILVTVFTSFSIGLILFIIFLLLREKIAYVFTTSEDVAVAVGDLSPLLAFSLLLNSVQPVLSGVAIGAGWQSTVAYVNIGCYYIIGIPFGILLGNVFHLQVKGVWIGMLFGTLIQTIVLIILTYRTNWDEQVMIARIRINRWSKVDPDNETIRSDN >Vigun06g048800.1.v1.2 pep primary_assembly:ASM411807v1:6:17366620:17368527:-1 gene:Vigun06g048800.v1.2 transcript:Vigun06g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRFGAFLRSRRMDSFFSTSSEKRVVDNPNVSIKDESERGSKMGVFVDDDDGWVPVIISWIRIVTCFVSMMITTFIWALIMLVLIPWPYERIRQGNIYGHVTGRMLMWILGNPIKIEGAEYSNKKAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPMAAIESMKKAAHAVVKNNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQTGLPIVPMVLTGTHLAWRKGSLHVRPAPLTVKYLPPITTQNWTLSNIDDYVKMLHNLYAQNLPKSQTPLPSKTE >Vigun03g107000.1.v1.2 pep primary_assembly:ASM411807v1:3:9547243:9549432:1 gene:Vigun03g107000.v1.2 transcript:Vigun03g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSLDEAKHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGLLFFASTTTRMLGRKASMLIGGLFFLVGSLLNGFAMNIEMLIIGRLFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFSMMITLGILVANLINYGTSKHENGWRISLGIAVVPAILLCIGSLCVVETPNSLIERGKYEKAKKMLKKIRGTEKIDEEYQDLVEASETAKHVEHPWKNITRPKYMPQLIFCIFIPTFQQLTGINVIMFYAPVLFQILGFGSDASLMSAVITGVVNVVATLVSILTVDKFGRRVLLLEGGVQMFICQVIVGIMIGLRFGLNGQGAFSRVEADILLFFICAYVAAFAWSWGALGWLVPSEICSLEIRPAGQAINVVTNMLFTFAIAQVFLTMLCHLKFGLFFLFAGFMVIMTIFIALLLPETKNVPIEEMNILWTSHWFWKKMVPNDIDSKSKNSKSVL >Vigun10g008700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:813840:814798:1 gene:Vigun10g008700.v1.2 transcript:Vigun10g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTYCDFSSLQYLQYVLELEHGSSHSDSNGMESIIDEKKVSEIEQSRAAREVHAPPNWKRYRGVRRRLWGKFAAEIRDPKKNGGRVWLGTYVTEEEAGLAYDRAAFKIHGSKAKLNFPNIFASDVLSSSEPLKKIATNKKKKTLVDLLNKMAKNRKRVGL >Vigun06g093100.1.v1.2 pep primary_assembly:ASM411807v1:6:22514096:22515357:-1 gene:Vigun06g093100.v1.2 transcript:Vigun06g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTRNEANKENPEMKGSMMEELAKEELELLEAQYPNQHEYLKHELKSFIFQLQTKNLDSELLLEHNHCNNHFVFLDTEESTSLEGQKKSIDCGLEPALGDRVVTEGEISESSELETPKSNVMKHSSSRKNKRKDRVDLVLERAQVCLKKIKHLKTFLLSSF >Vigun05g029700.1.v1.2 pep primary_assembly:ASM411807v1:5:2369060:2370791:1 gene:Vigun05g029700.v1.2 transcript:Vigun05g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNCLGRSCCKHTTNTNSSQRQFPTVIEELCHKFSLTDLRKATNNFDPNRVIGRGLFSEVYKGCVQQNGASDHTVAIKRFKDQGWEAFNKEIELLCQLRHPRCVSLIGFCNHENEKILVYENMSNGSLDEHLQDADLSWKKRLEICIGVANGLHYLHTGAKRSIFHCILGPSTILLDDHMEPKLTGFGVSVQGSRFKSKQKQINVEHAIGSWIYRATEYVMDGTITDKWDVFSFGLVLLLVVLCRRTNYLKTLTEKELLNKPVEENIDPIIKGKIAPDCWQVFVDVMVNCLKYKPDERPTMGEVEVQLQHALLMQQRADITNSNRDYTLFSKTIIPMGLKKNAM >Vigun04g041500.5.v1.2 pep primary_assembly:ASM411807v1:4:3610871:3614538:1 gene:Vigun04g041500.v1.2 transcript:Vigun04g041500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIYTYIWIKVGTDWFPFILIVGTMMEEEQKLVKGKNLEGSEGKLELTVDEVVEEYVGSLGFSQLVHVLLVSLAWIFDAQSTLVTIFTDAQPPWRCKSGLCQGSSSSNSGSVCELVPGTWEWIGGHTSSTIAEWNLVCDRRFLAAVPASVYFLGSLIGSGVYGHLSDTWLGRKRTVQLSCILTSITAFATSLSPNIWTYAFFRFTNGFARSGIGICCLVLTTESVGRKWRGQVGQYGFFFFTIGFLTLPLVAYPTRTCWRSLYKVLSLIPLAYAVLLLPLVSESPRWLLIRGRTKEALQVLDRFARLNGKQKLPSNLSLTDPTGSSNAAPCTTSEGAEITPNNRENLWTTKWAAIRMVTVMLAGFGVGFVYYGVQLNVENLNFNLYVSVALNAVMEIPAVVIGTFLLGFTNRRLLLSVSAYIAAVSSILCTFFSHKGTTSKGQNNHGGSWGQLIIEGIGFMGASTTFDILYIYCVELFPTNVRNFAVSMLRQAIMLGASVAPLLVVLGRLSPSISFLVFGGFSISSGVLSLWLPETRNAPLYETLKQQEEEEKHSCVSHNDGALELGK >Vigun04g041500.1.v1.2 pep primary_assembly:ASM411807v1:4:3610079:3614538:1 gene:Vigun04g041500.v1.2 transcript:Vigun04g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHARCLFHGQDISHFFSISRTACQFSSSAQPTCHSFHSKGTMMEEEQKLVKGKNLEGSEGKLELTVDEVVEEYVGSLGFSQLVHVLLVSLAWIFDAQSTLVTIFTDAQPPWRCKSGLCQGSSSSNSGSVCELVPGTWEWIGGHTSSTIAEWNLVCDRRFLAAVPASVYFLGSLIGSGVYGHLSDTWLGRKRTVQLSCILTSITAFATSLSPNIWTYAFFRFTNGFARSGIGICCLVLTTESVGRKWRGQVGQYGFFFFTIGFLTLPLVAYPTRTCWRSLYKVLSLIPLAYAVLLLPLVSESPRWLLIRGRTKEALQVLDRFARLNGKQKLPSNLSLTDPTGSSNAAPCTTSEGAEITPNNRENLWTTKWAAIRMVTVMLAGFGVGFVYYGVQLNVENLNFNLYVSVALNAVMEIPAVVIGTFLLGFTNRRLLLSVSAYIAAVSSILCTFFSHKGTTSKGQNNHGGSWGQLIIEGIGFMGASTTFDILYIYCVELFPTNVRNFAVSMLRQAIMLGASVAPLLVVLGRLSPSISFLVFGGFSISSGVLSLWLPETRNAPLYETLKQQEEEEKHSCVSHNDGALELGK >Vigun04g041500.2.v1.2 pep primary_assembly:ASM411807v1:4:3610079:3614538:1 gene:Vigun04g041500.v1.2 transcript:Vigun04g041500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEQKLVKGKNLEGSEGKLELTVDEVVEEYVGSLGFSQLVHVLLVSLAWIFDAQSTLVTIFTDAQPPWRCKSGLCQGSSSSNSGSVCELVPGTWEWIGGHTSSTIAEWNLVCDRRFLAAVPASVYFLGSLIGSGVYGHLSDTWLGRKRTVQLSCILTSITAFATSLSPNIWTYAFFRFTNGFARSGIGICCLVLTTESVGRKWRGQVGQYGFFFFTIGFLTLPLVAYPTRTCWRSLYKVLSLIPLAYAVLLLPLVSESPRWLLIRGRTKEALQVLDRFARLNGKQKLPSNLSLTDPTGSSNAAPCTTSEGAEITPNNRENLWTTKWAAIRMVTVMLAGFGVGFVYYGVQLNVENLNFNLYVSVALNAVMEIPAVVIGTFLLGFTNRRLLLSVSAYIAAVSSILCTFFSHKGTTSKGQNNHGGSWGQLIIEGIGFMGASTTFDILYIYCVELFPTNVRNFAVSMLRQAIMLGASVAPLLVVLGRLSPSISFLVFGGFSISSGVLSLWLPETRNAPLYETLKQQEEEEKHSCVSHNDGALELGK >Vigun04g041500.3.v1.2 pep primary_assembly:ASM411807v1:4:3610079:3614538:1 gene:Vigun04g041500.v1.2 transcript:Vigun04g041500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEQKLVKGKNLEGSEGKLELTVDEVVEEYVGSLGFSQLVHVLLVSLAWIFDAQSTLVTIFTDAQPPWRCKSGLCQGSSSSNSGSVCELVPGTWEWIGGHTSSTIAEWNLVCDRRFLAAVPASVYFLGSLIGSGVYGHLSDTWLGRKRTVQLSCILTSITAFATSLSPNIWTYAFFRFTNGFARSGIGICCLVLTTESVGRKWRGQVGQYGFFFFTIGFLTLPLVAYPTRTCWRSLYKVLSLIPLAYAVLLLPLVSESPRWLLIRGRTKEALQVLDRFARLNGKQKLPSNLSLTDPTGSSNAAPCTTSEGAEITPNNRENLWTTKWAAIRMVTVMLAGFGVGFVYYGVQLNVENLNFNLYVSVALNAVMEIPAVVIGTFLLGFTNRRLLLSVSAYIAAVSSILCTFFSHKGTTSKGQNNHGGSWGQLIIEGIGFMGASTTFDILYIYCVELFPTNVRNFAVSMLRQAIMLGASVAPLLVVLGRLSPSISFLVFGGFSISSGVLSLWLPETRNAPLYETLKQQEEEEKHSCVSHNDGALELGK >Vigun04g041500.4.v1.2 pep primary_assembly:ASM411807v1:4:3610079:3614538:1 gene:Vigun04g041500.v1.2 transcript:Vigun04g041500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEQKLVKGKNLEGSEGKLELTVDEVVEEYVGSLGFSQLVHVLLVSLAWIFDAQSTLVTIFTDAQPPWRCKSGLCQGSSSSNSGSVCELVPGTWEWIGGHTSSTIAEWNLVCDRRFLAAVPASVYFLGSLIGSGVYGHLSDTWLGRKRTVQLSCILTSITAFATSLSPNIWTYAFFRFTNGFARSGIGICCLVLTTESVGRKWRGQVGQYGFFFFTIGFLTLPLVAYPTRTCWRSLYKVLSLIPLAYAVLLLPLVSESPRWLLIRGRTKEALQVLDRFARLNGKQKLPSNLSLTDPTGSSNAAPCTTSEGAEITPNNRENLWTTKWAAIRMVTVMLAGFGVGFVYYGVQLNVENLNFNLYVSVALNAVMEIPAVVIGTFLLGFTNRRLLLSVSAYIAAVSSILCTFFSHKGTTSKGQNNHGGSWGQLIIEGIGFMGASTTFDILYIYCVELFPTNVRNFAVSMLRQAIMLGASVAPLLVVLGRLSPSISFLVFGGFSISSGVLSLWLPETRNAPLYETLKQQEEEEKHSCVSHNDGALELGK >Vigun06g130200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25652398:25653424:1 gene:Vigun06g130200.v1.2 transcript:Vigun06g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRKSVGTLSLFILLVIVTAECRKIKDDELPGGFEGGGFGDGEGGPGGGIGGVVGGDDGAEGGAGGGGKGGHEVGGGGVGGGAGGDPGSGSGGVGDGVGNGDGVGGGMGGGVGGGVGNGSGVGGGHKGGVGGGGAGGGAVGGKGGGVVGVGAGGGLGGGSGAGGAGGEAGHGHGGGVGGGSGGVGGDGGAGGAPGGDGGVGGVGGGGDAGGGSSGGGADGGSGVGGGAGGGIGGGSGGGCEGEAEGGAGWDFGGIGVGSGHAGSDGEIGGGY >Vigun03g095100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8057682:8059489:-1 gene:Vigun03g095100.v1.2 transcript:Vigun03g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGGKKPSPSPSSSSRPSFASHVSPFSWLSKFKHMRMNSEPKPGALKQNAKQNSTPSDTSPHYACGNNRGRFYGGDDEAFWRLSFGEEGNEHRKSDDILKPPKYKLDAEHVIPSSSFPAGLNNAKRQGRREVTQKLKQKDTGLREETTLLNEAARSVKELESLRRRYERKAQRVLQEQLLKLEKAEEEAGFASSPFLENDVLQYESPRTICTPRKHLFVDSKSSGLGNLREARVSSPQLDSEWHNLKQTEEMKLKAKSNQQMQSLHVSRENQRRKSKQNSKVRVHSPRMGSKVEVRKIKAIEEKKKAKLKMKKEEEIVEETEGLDSFAVVKCSLDPQKDFRDSMIEMITEKQIRQPEEMQDLLACYLTLNSNEYHDLIIQVFKQVWFCMSRASSGIQSHKQCCYCD >Vigun11g124800.3.v1.2 pep primary_assembly:ASM411807v1:11:33212242:33215925:-1 gene:Vigun11g124800.v1.2 transcript:Vigun11g124800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTSPPSETTQNTSIFAALHPDIIHAHILTRLDAATLASAASVSSLMHRLCTQEDLWRQICTATWPSLRDPIARRVISTIPGGHRSIYSDAFPSLHHFSLHPRRTPPPPPEELISAVDIYFQGRPVFSRVKRIETQKDWFLSSPLWVDALEPNEMIPTTVKFTRKEEKWLKQVEESLSVSWIVVDPTGKRATNVSSRRAVLARRHWLTREVEVLYAAEMVRERVQCVVKVTCGGKVGGEVHVREVNLVMEDTEGRQLSGKEGVEILQRGMESGVRKKVDAVREKERFEKFTCLMKERRERKFRREKARDLLSTLFALLLSLFFCFLLGF >Vigun11g124800.2.v1.2 pep primary_assembly:ASM411807v1:11:33211884:33214180:-1 gene:Vigun11g124800.v1.2 transcript:Vigun11g124800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTSPPSETTQNTSIFAALHPDIIHAHILTRLDAATLASAASVSSLMHRLCTQEDLWRQICTATWPSLRDPIARRVISTIPGGHRSIYSDAFPSLHHFSLHPRRTPPPPPEELISAVDIYFQGRPVFSRVKRIETQKDWFLSSPLWVDALEPNEMIPTTVKFTRKEEKWLKQVEESLSVSWIVVDPTGKRATNVSSRRAVLARRHWLTREVEVLYAAEMVRERVQCVVKVTCGGKVGGEVHVREVNLVMEDTEGRQLSGKEGVEILQRGMESGVRKKVDAVREKERFEKFTCLMKERRERKFRREKSLERKTPFV >Vigun11g124800.1.v1.2 pep primary_assembly:ASM411807v1:11:33211879:33214264:-1 gene:Vigun11g124800.v1.2 transcript:Vigun11g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTSPPSETTQNTSIFAALHPDIIHAHILTRLDAATLASAASVSSLMHRLCTQEDLWRQICTATWPSLRDPIARRVISTIPGGHRSIYSDAFPSLHHFSLHPRRTPPPPPEELISAVDIYFQGRPVFSRVKRIETQKDWFLSSPLWVDALEPNEMIPTTVKFTRKEEKWLKQVEESLSVSWIVVDPTGKRATNVSSRRAVLARRHWLTREVEVLYAAEMVRERVQCVVKVTCGGKVGGEVHVREVNLVMEDTEGRQLSGKEGVEILQRGMESGVRKKVDAVREKERFEKFTCLMKERRERKFRREKARDLLSTLFALLLSLFFCFLLGF >Vigun04g128900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32420702:32421875:-1 gene:Vigun04g128900.v1.2 transcript:Vigun04g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGQAIKLSPSTPELSGGRISMRKTASKSVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun03g198600.1.v1.2 pep primary_assembly:ASM411807v1:3:28488682:28490872:1 gene:Vigun03g198600.v1.2 transcript:Vigun03g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALKCRLLHTLRGGVPTEALKRRALELEKKRKMRQPKSKDQFIVTVPESLSYLDTATMPMVVAVVGIALLAKLLMMYDESKSQELLERKIKNAPEGQGTVRMVTREEWEKFREIRPRTPFESTLSRPNSRIRTGEPLRMEDVKDWTTDVLMDALHRVEEYGKHGSK >Vigun03g098300.3.v1.2 pep primary_assembly:ASM411807v1:3:8375844:8382470:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGPYGLWLRIYVGLISLWGTQLCLSLNDEGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun03g098300.1.v1.2 pep primary_assembly:ASM411807v1:3:8375844:8382470:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGPYGLWLRIYVGLISLWGTQLCLSLNDEGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRNYKQWNEADSSFIPLKVALKKYANAVLAVALPLLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun03g098300.4.v1.2 pep primary_assembly:ASM411807v1:3:8375845:8382414:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGPYGLWLRIYVGLISLWGTQLCLSLNDEGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun03g098300.6.v1.2 pep primary_assembly:ASM411807v1:3:8375845:8382414:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun03g098300.2.v1.2 pep primary_assembly:ASM411807v1:3:8375845:8382414:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGPYGLWLRIYVGLISLWGTQLCLSLNDEGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRNYKQWNEADSSFIPLKVALKKYANAVLAVALPLLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun03g098300.5.v1.2 pep primary_assembly:ASM411807v1:3:8375845:8382414:1 gene:Vigun03g098300.v1.2 transcript:Vigun03g098300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGLSLLEFRGRITSDPFHALANWNPNDCNPCQWFGVRCVGGKVRKLVLPELSLEGTLAPELGKLSHLKSLILYKNSFAGTIPEELGDLNKLELFDLRGNNMTGCVPAEIGHLLLSEQLLICDKKCEGSDSKEVGKVRLLCSISRSTPLTTLSLGKNRKVAPRNYKQWNEADSSFIPLKVALKKYANAVLAVALPLLKLVKATPHAYVEKWCGILSGSDDSEIDQNVENLVNSARRKLLDESSNLAAAPFNGPTIQISSIPITQSSGAFPAYPDTNKNQNHSPAQLPSPSTDQPSQPTSANVASGKLWEYIIIIAGVAVLVIIIFIMLCIWRKRAAKVIKPWKTGISGQLQKAFITGVPKLNQAELETACEDFSNIINTFDECTIYKGTLSSGVEIAVDSTIVKSARDWSKTMETAYRKKIATLSRVNHKNFTNLIGYCDEEEPFTRMMVFEYAPNGSLFEHLHVKEVEHLDWSARMRVIMGTAYCLQYMHHDLNPPVAHSNLNSIAILLTDDFAAKISEIAFGKNVSSQANTPGHESQKGDLPPHADPETDVYNFGVLLLEIISGKLPYSEEHGNITDWAGEHLNNKQSVSNLIDPSLESFKEEELDVICEVIKECLQSDARLRPTMKDLAPRLREVLHVSPEQAVPRLSPLWWAELEILSLETS >Vigun04g021300.1.v1.2 pep primary_assembly:ASM411807v1:4:1620959:1626992:1 gene:Vigun04g021300.v1.2 transcript:Vigun04g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQTLVNDFVNKLKKRKIEGSQATAKLTAELLRSVISQQRVPHTNQATALIHAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLATAAMAGLGVSAESDDEDDEVRDENPVLSAAAVAAAARSTLRPPSLQTLLEDTSDSAAAPHTSSSGGESDGRSRSIDKGSRVRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHHNEVILTLGSSKTVLEFLYAAKEKQRSFKVFVAEGAPRYQGHILAKELASRGLQTTVISDSAVFALISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGAGSLHVVNPTFDYVPPKFVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPITGS >Vigun04g021300.3.v1.2 pep primary_assembly:ASM411807v1:4:1620959:1626992:1 gene:Vigun04g021300.v1.2 transcript:Vigun04g021300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQTLVNDFVNKLKKRKIEGSQATAKLTAELLRSVISQQRVPHTNQATALIHAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLATAAMAGLGVSAESDDEDDEVRDENPVLSAAAVAAAARSTLRPPSLQTLLEDTSDSAAAPHTSSSGGESDGRSRSIDKGSRVRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHHNEVILTLGSSKTVLEFLYAAKEKQRSFKVFVAEGAPRYQGHILAKELASRGLQTTVISDSAVFALISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGAGSLHVVNPTFDYVPPKFVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPITGS >Vigun04g021300.4.v1.2 pep primary_assembly:ASM411807v1:4:1620961:1626992:1 gene:Vigun04g021300.v1.2 transcript:Vigun04g021300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVSAESDDEDDEVRDENPVLSAAAVAAAARSTLRPPSLQTLLEDTSDSAAAPHTSSSGGESDGRSRSIDKGSRVRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHHNEVILTLGSSKTVLEFLYAAKEKQRSFKVFVAEGAPRYQGHILAKELASRGLQTTVISDSAVFALISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGAGSLHVVNPTFDYVPPKFVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPITGS >Vigun04g021300.2.v1.2 pep primary_assembly:ASM411807v1:4:1620961:1626992:1 gene:Vigun04g021300.v1.2 transcript:Vigun04g021300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQTLVNDFVNKLKKRKIEGSQATAKLTAELLRSVISQQRVPHTNQATALIHAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLATAAMAGLGVSAESDDEDDEVRDENPVLSAAAVAAAARSTLRPPSLQTLLEDTSDSAAAPHTSSSGGESDGRSRSIDKGSRVRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHHNEVILTLGSSKTVLEFLYAAKEKQRSFKVFVAEGAPRYQGHILAKELASRGLQTTVISDSAVFALISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGAGSLHVVNPTFDYVPPKFVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPITGS >Vigun08g212600.1.v1.2 pep primary_assembly:ASM411807v1:8:37392064:37396637:-1 gene:Vigun08g212600.v1.2 transcript:Vigun08g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAGFAGNVVFKLSCQLPSRFSSISRSIWKSPIACLKYRDFSSSLSVRYIACTFYRVKLSETALPVKSRGYCGCTDVNVLKINGGKDAHATIAHCESSQDPNEICSDFFFDDSEQGSFDQIEEVAELTTHQGGDSGDQDLMQIDKFISDVEESAVKLLAARALTALELRKKLLGKRFSPNAVDAVINKFQRRGLINDRLYAETFSQSRWSSSSWGPRRIKQALFKKGVSQADAEKAVEVVFKDNDCAEDHKSVIGLSKHSLDHLYTQVSKQWFRGQNVPKETRKSRIVRWLQYRGFDWNVINFILKKLEGQDQNSP >Vigun07g291900.8.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVFLNWHLICGCCELGHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.1.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSDTGEISGRHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRTEFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.10.v1.2 pep primary_assembly:ASM411807v1:7:40332465:40335863:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.4.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSDTGEISGRHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNIIVMQGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.9.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRTEFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.2.v1.2 pep primary_assembly:ASM411807v1:7:40332316:40336114:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSDTGEISGRHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.6.v1.2 pep primary_assembly:ASM411807v1:7:40332316:40336114:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSDTGEISGRHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.5.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATIRRIVSPCWRPSDTGEISGRHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRKFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNIIVMQGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun07g291900.7.v1.2 pep primary_assembly:ASM411807v1:7:40332466:40335820:1 gene:Vigun07g291900.v1.2 transcript:Vigun07g291900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVFLNWHLICGCCELGHGDSNGRVDGLLWYKDSGRHAHGEFSMAVIQANKLLEDQSQLESGPLSLTEGTPLGTFVGIYDGHGGPEASRFVNDSLFKNIRTEFSSENDGMSADVVHRAFLATEEEFLSLVEKQWLHKPPLASVGSCCLIGIICCGELYIGNAGDSRAVLGRMDEATKEIKAIQLSEEHNASIVSVREELRSLHPDDPQIVVMKHQVWRVKGLIQISRSIGDAYLKRVEFNRAPLLSKFRLPEPFEQPILKAEPAILVQKLCPQDQFLIFASDGLWEHLSSQEAVDIVQSCPRNGVAKKLVKTALCEAAKKRETRYSDLKKIDRGVRRHFHDDITVIVLYLDSNFLARANSRVPLVSIKGGGENGYGIGIIDA >Vigun09g202000.1.v1.2 pep primary_assembly:ASM411807v1:9:37616468:37621329:1 gene:Vigun09g202000.v1.2 transcript:Vigun09g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHCTPLPFLSLRSTLYSSKCTLTSTLTHPTATKTRNPLFLAMNTLAKSEPVSSSGSSSSTYEVPELDANDMDRVAENTFRRYTWNTKRSGKGTAIVWFRNDLRVLDNEALYKAWLSSETVLPVYCVDPRLFATTYHFGFPKTGALRAQFLLECLVDLRKNLMKKGLNLLVQQGKPEEILPSLAKAFQAHTVYAQKETCSEEVNVERLVMEGLKQVGTSSEESSGVTVSKNILKLQLVWGTTMYHLDDLPFDATSLPDMYTQFRKIIEAKCTIRSCIKLPPSLGPPPLIEDWGCLPSLEQLGLGSQNVIKGMKFVGGETAGLSRVYEYFWKKDFLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYENERLANSSTYWVLFELIWRDYFRFLSVKYGNSLFHLGGPRKVHRNWSQDKNLFESWRDGCTGYPLVDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLRKIEKEKRNFPGNMYIPQIVPLKFGTMSGNNNYRSRGARRINDKGNERKWNRR >Vigun09g202000.3.v1.2 pep primary_assembly:ASM411807v1:9:37616468:37619895:1 gene:Vigun09g202000.v1.2 transcript:Vigun09g202000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHCTPLPFLSLRSTLYSSKCTLTSTLTHPTATKTRNPLFLAMNTLAKSEPVSSSGSSSSTYEVPELDANDMDRVAENTFRRYTWNTKRSGKGTAIVWFRNDLRVLDNEALYKAWLSSETVLPVYCVDPRLFATTYHFGFPKTGALRAQFLLECLVDLRKNLMKKGLNLLVQQGKPEEILPSLAKAFQAHTVYAQKETCSEEVNVERLVMEGLKQVGTSSEESSGVTVSKNILKLQLVWGTTMYHLDDLPFDATSLPDMYTQFRKIIEAKCTIRSCIKLPPSLGPPPLIEDWGCLPSLEQLGLGSQNVIKGMKFVGGETAGLSRVYEYFWKKDFLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYENERLANSSTYWVLFELIWRDYFRFLSVKYGNSLFHLGGPRKVHRNWSQDKNLFESWRDGCTGYPLVDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGMWGEGRKKWGAGRSSSFAKFANMSNE >Vigun09g202000.2.v1.2 pep primary_assembly:ASM411807v1:9:37616468:37621326:1 gene:Vigun09g202000.v1.2 transcript:Vigun09g202000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKQVGTSSEESSGVTVSKNILKLQLVWGTTMYHLDDLPFDATSLPDMYTQFRKIIEAKCTIRSCIKLPPSLGPPPLIEDWGCLPSLEQLGLGSQNVIKGMKFVGGETAGLSRVYEYFWKKDFLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYENERLANSSTYWVLFELIWRDYFRFLSVKYGNSLFHLGGPRKVHRNWSQDKNLFESWRDGCTGYPLVDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLRKIEKEKRNFPGNMYIPQIVPLKFGTMSGNNNYRSRGARRINDKGNERKWNRR >Vigun09g125000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27807526:27808755:-1 gene:Vigun09g125000.v1.2 transcript:Vigun09g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARDDLCINVPTFFKCPISLDVMKSPVSLCTGVTYDRSSIQRWLDAGNNTCPATMQLLQTKDFVPNRTLQSLIQIWSDSLRHSNLLSPDQLLLTVAQLETHSLRSASLTKLLHFATDSHHNKLFLAKLEGFVNQLVRFLNNVDDGGLIVRANIQFLEQVVLLLGLILDSVEDRDGLRSSLLKGNKQSLDSLLLVLQRGGCDSRIASARILQFIAADAESKILLAEKEGVVAELLKSAAPENDPALVEAALASLIAISAPKRNKLKLVSLGAVKALSRLLAEAKLGAAAVEKVLKLVEAASSTREGRKEICEDAACVAAVLSKVLKVSSVATEHAVTTLWSVCYLFRDRKAQEAVTQANGLTKILLLMQSNCAPQVRQMCTDLLKIFRVNSKSCLTCYDTKTTHIMPF >Vigun03g034000.1.v1.2 pep primary_assembly:ASM411807v1:3:2616041:2622708:1 gene:Vigun03g034000.v1.2 transcript:Vigun03g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKRWTVTYTKHIKQKRKVYQDGFLEHHTSTSKVCLYDECEKLLECRLLKNDETVISGESLVFNGYLVDIGDLEGDKKSECDLNVDRRSRSFSRFRTPDCAKHTRMSEKENVGRVRSPLSPSQKVIREFKRRELIKYESPKISQQAQNPSIEEWHVVYTTQVTQKAKKYHDGFLRLVLRGSCGAQITLFDTSKKVLDSRFLKKDDVIKPGESIAFDSYLIDIGEHQGGCSPDSKVPGDKFTNVEGTQTDIQKTYFQTDTHVTVGKRDIFPFNLAWQVLYTTDLTKKAKKYHDGFLHIELYGSFGKQVVLYDLSKRPLERRFLKKDEIITTGESVYFDGHFVDVGEPEGSHHSPAKLNERGNGNNVERRGNGQNGCCKVNPSFAKGKPPSELCPVLGSGLDCLVTELEDIKPNRITPPIKPIRDVDQILSILQNPNLKPRESYITGGQSPNGGQNIGDNVSATAAKSSQNFGAKESAAAAKSCQNIGDKESAATKFLDITLSGAACSGDSFQSTENVNLTHQSSQKDAQTNTNEKDSGYQSCTITNEEKSDEEFSCERETIPSFELGF >Vigun01g086500.1.v1.2 pep primary_assembly:ASM411807v1:1:24371020:24373325:-1 gene:Vigun01g086500.v1.2 transcript:Vigun01g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSLSLPKLNLIKASSATNTSTVTFPTADSLTEKFGRKGIKFLESDNIPIVELTIRNGSSLRLSIPDAHVTSYRPKVHWKDDGFEEALYTIPATESGPYKAKGGVGLVMNEVLQPGAKGLLPSTLEWTVTDVDSDAIDALQVELSCTSRFFELTYIVTLYPVSMATAVVAKNLGPKPATLTNAILSHFRSKTRAGTAVQGLRSCSYIPHSPPSSPFQILTPSEATSFEPPRWFSFGAEPEVKFGTWGKQDLTMTLLENKMSRVYSAPPEERLKTFYNTPPSKYETIDQGREIFFRVIRMGFEDIYLSSPGSLSEKYGKGYFICTGPASLLVPVIVNPGEEWRGAQVIEHDNLT >Vigun06g237100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34219238:34222845:1 gene:Vigun06g237100.v1.2 transcript:Vigun06g237100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTEDAGPSAKTKTTKDAPKKEKFSVSAMLASMDEKPDKPKKVSSTSSKPKPKSAPKASAYTDGIDLPPSDDEDDDLLEQEEEKNNASKRGSQQQRPDLKPLDVPIADKELKKREKKDLLAAHAAEQAKKEALKDDHDAFTVVIGSRASVLDGDDDADANVKDITIENFSVSARGKELLKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANEELVKIRQEVASLQNAASAEESVDKDDDEEDDTGEKLAELYEKLQLMGSDAAEAQASKILAGLGFTKNMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCTEIIHLHDLKLHFYRGNFDDFESGYEQRRKEMNKKYEIYDKQLKAAKRSGNRAQQEKVKDRAKFAAAKEASKSKGKGKVDEDDAPSEVPQKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVASEGEVRRSQKLRIGRYSQHFVDLLTMDETAVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVKTFPGTFEDYKEDLMREIKAEVDD >Vigun06g237100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34219238:34222845:1 gene:Vigun06g237100.v1.2 transcript:Vigun06g237100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTEDAGPSAKTKTTKDAPKKEKFSVSAMLASMDEKPDKPKKVSSTSSKPKPKSAPKASAYTDGIDLPPSDDEDDDLLEQEEEKNNASKRGSQQQRPDLKPLDVPIADKELKKREKKDLLAAHAAEQAKKEALKDDHDAFTVVIGSRASVLDGDDDADANVKDITIENFSVSARGKELLKNASVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANEELVKIRQEVASLQNAASAEESVDKDDDEEDDTGEKLAELYEKLQLMGSDAAEAQASKILAGLGFTKNMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCTEIIHLHDLKLHFYRGNFDDFESGYEQRRKEMNKKYEIYDKQLKAAKRSGNRAQQEKVKDRAKFAAAKEASKSKGKGKVDEDDAPSEVPQKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVASEGEVRRSQKLRIGRYSQHFVDLLTMDETAVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVKTFPGTFEDYKEDLMREIKAEVDD >Vigun09g085000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10853046:10853504:-1 gene:Vigun09g085000.v1.2 transcript:Vigun09g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVISLIILFVGIAILVVIHVCIVGRVFRGNNTDEEEGTTQGQNMSGMKRMLGEDNIGDLKNLPCFVYEEAATASAERRECSSLVDCAVCLESFKVGDVCRLLPNCNHTFHLHCIDSWILHTPICPICRTWVLSVREHQSDASENVEIVTS >Vigun03g312800.1.v1.2 pep primary_assembly:ASM411807v1:3:50574989:50578812:1 gene:Vigun03g312800.v1.2 transcript:Vigun03g312800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYREVTLVFTATVIGAMTSAVAFRFFCRSQARVKSSQNGTVSSPQDPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDGIILGIGYNGFPRGCSDDKLPWAKKSRSGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLENSAMAYIASHKLLSLAGVKVRKHQPLMNGIHLKFEEN >Vigun04g139000.2.v1.2 pep primary_assembly:ASM411807v1:4:34528168:34540469:1 gene:Vigun04g139000.v1.2 transcript:Vigun04g139000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTWRDDNRDCCKWEGIECNNETGHIEKLDLRGSTSHYLSGSINITSLVDLQNLEYLDLSYNICLGCQIPASIGSFQSLRYLNLSQLIFIGTIPYELGDLSKLECLDLKSNDLHGEVPSQLGNLSQLKYLDLSGNQLSHSIPSELGNLSHLRYLHLSGNSLSGALPFQVGNLPLLHSLRLDGRNGLNLKDEKWLTSLSSLTTLSLYSFSYLDFSHPWLQIISDHLPNLRELTLVRCGLSDHHLSSLFPSQSNISTSLSILYLSDNILTSSTFQSLSNYSSNLQELHLSGNNIVLSSPPYPNFPSLVLLDLSSNNLTQASIFQGNLNFSTKLQVLMLSECGLTDKSFLVSSAYIKNSHSLVTLHLSNNLLKSSVVFHWLCNFSANIQCLSLHYTLLEGPIPDAVGNVMKSLEVLGIGSNKLKGDIPASLGSICTLKTLYLNSNSLSGKISSFIQNTSRCNNPALEVLDLSNNLIIGEIPESIGLLHQLQFLFLEENYLEGDINELHLTNLSQLLKLDLSDNSLSLKFATTWIPPFQLFQLGLASCKLGPSFPSWLQTLSQLEFLDISDAGIDDFVPDWFWNKIQFISDVNMSYNSLKGVIPNLTMKLMHYGPDAIILNSNKLEGVIPTFLSHDRTLDLSGNKFSDINTLLCRNRVTNYMETLDLSNNQIAGQLPECWEHLSSLRFLDLRNNKLSGRIPQSMGTLVNLRALVLRNNNLNGELPLTLKNCSSLGFLDVSKNLLSGPVPSLIGENMQQLKILSMRINHFFGSVPEHLCYLSQIQVLDLSRNNLSGEMPTCLRNFTTLMKRKISTQESYVDIYDSYLSLAWKGQDYEFWEPENLLKSIDLSSNRLTGEVPKEVGYLVGLVSLNLSRNNFHGEIPSEIGNLSLLEFLDLSRNNLSGSIPSTLSNIDSLGVLDLSNNNLSGRIPLGRHLQTFDASSFEGNIDLCGEQLNKSCPGDETVQETQQPAMDGEEDDSILYGGLYMSLGLGFFAGFWGLLGSMLLWQPWRIAYMRFVDRIIDYILVMAELNVAKCHRWLKG >Vigun05g207400.1.v1.2 pep primary_assembly:ASM411807v1:5:39712167:39714593:-1 gene:Vigun05g207400.v1.2 transcript:Vigun05g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRTLFFVALFILSLLSSSTNAQLSTNFYDKTCPNLQTIMRNVMEQAINGEPRLGASILRLFFHDCFVNGCDASILLDDSDTFVGEKNAAPNRNSMRGYEVIDTIKTKVEAACNGIVSCADILALAARDGVVLLGGPSWTVALGRRDARTASQSAANAELPSPFSDLPTLTTAFAAKGLSARDLTVLSGSHSLGQAQCQFFRARIYNGTNIDTSFAASRRTTCPSSGGDTNLSPLDSLTPVLFDNNYFSDLVARRGLLISDQVLFDGASQDPLVRIYSDSNAAFFRDFAEAMTKMSDITPLTGTAGEIRRNCRLVN >Vigun01g004600.1.v1.2 pep primary_assembly:ASM411807v1:1:558546:577156:-1 gene:Vigun01g004600.v1.2 transcript:Vigun01g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTISNVCALKIPRKYFCTEGVKVGGSAIGEASNEHEKKQTISKIIPQYDIAIVGGGMVGMALACFLASMPMTKQLNVAIVDSNPALSSGLHIKKEDPPDPRVSTVTPASISFLRDSGAWKYVEQNRHAYFNAMQVWDYTGLGYARYHARDVNKDYLGCVAENKVLHNALLSCVKDSDFKTTIYPLRLSSMALNTSSMSVVEENTKSVEPPSAHGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTGDMFSWFKMDATISTNEYFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHKLPIFL >Vigun01g132600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31048866:31049264:-1 gene:Vigun01g132600.v1.2 transcript:Vigun01g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding QQKCVIIGIGLLWLLSCVAESVPNTSIINVLCNSGVYTSGDPFAISLSYLLEDLEKETATKKNHEYHNISPYPNAYAYGHATCNLNLTSSDCKTCLGAAKMALFTTCQAPRIGARSVLHDCTIRYEQYPFDD >Vigun11g156200.2.v1.2 pep primary_assembly:ASM411807v1:11:36529266:36534380:1 gene:Vigun11g156200.v1.2 transcript:Vigun11g156200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRIEYGFNGYQAPAMPRASRSVRRRAKFQRAEDKQKCAFDLLATVAGKLLQERENPAISSDTSSNKDQCRFVKDCHDANKPSKSELSDEGNCDKKSLNEFPHPAIDDHAKIASIVTSSSCLERFIANTWVDDNSHIKMENVTSEVKLDPSGYPKESQFKIDGNTGKGKDGMHELEKVPIGSGIEMYSFGDPLDENPPALISLGGSAKLSGYKDRIPCSLLSKGCNNVPVVSRDDDENSSGCTHPINTKTNAFRPKDCIDDSGISKRLASNFQKVARKPKHDTLSNRDGVWRKTCHNQRKCNKRQISQMNIPFKKRKLFNYKSVSNSSGFTRSGGIYYSPKNCSNPDACDSLPGMHRDPGIPSLEACQHQMLRRIGSHVKLRIKSFRVPELFIEVPETATIGSLKRTVMDAVTAVLGSELHIGVFLQGKKVRDDSKTLLQTGISHHNQLDALGFTLEPNSSQSLPIVCAAHSPCSGADVTPPVLRCPSSPAVIHQSIQGNSDTLPEDQVASFGSQFESDNDSAPSPANTSVDKGMEDFKELVTVLEKGKEELAMVSVPQKPRRSEMVQRRIRRPFSVDEVEALVQAVEKLGTGRWRDVKLCAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLVAHAYWSHQQTKQPQKQHPETETVFSFNRKGQGLSSACGQVDESVLVSDFCY >Vigun11g156200.1.v1.2 pep primary_assembly:ASM411807v1:11:36528895:36534380:1 gene:Vigun11g156200.v1.2 transcript:Vigun11g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRIEYGFNGYQAPAMPRASRSVRRRAKFQRAEDKQKCAFDLLATVAGKLLQERENPAISSDTSSNKDQCRFVKDCHDANKPSKSELSDEGNCDKKSLNEFPHPAIDDHAKIASIVTSSSCLERFIANTWVDDNSHIKMENVTSEVKLDPSGYPKESQFKIDGNTGKGKDGMHELEKVPIGSGIEMYSFGDPLDENPPALISLGGSAKLSGYKDRIPCSLLSKGCNNVPVVSRDDDENSSGCTHPINTKTNAFRPKDCIDDSGISKRLASNFQKVARKPKHDTLSNRDGVWRKTCHNQRKCNKRQISQMNIPFKKRKLFNYKSVSNSSGFTRSGGIYYSPKNCSNPDACDSLPGMHRDPGIPSLEACQHQMLRRIGSHVKLRIKSFRVPELFIEVPETATIGSLKRTVMDAVTAVLGSELHIGVFLQGKKVRDDSKTLLQTGISHHNQLDALGFTLEPNSSQSLPIVCAAHSPCSGADVTPPVLRCPSSPAVIHQSIQGNSDTLPEDQVASFGSQFESDNDSAPSPANTSVDKGMEDFKELVTVLEKGKEELAMVSVPQKPRRSEMVQRRIRRPFSVDEVEALVQAVEKLGTGRWRDVKLCAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLVAHAYWSHQQTKQPQKQHPETETVFSFNRKGQGLSSACGQVDESVLVSDFCY >Vigun11g156200.3.v1.2 pep primary_assembly:ASM411807v1:11:36528895:36534380:1 gene:Vigun11g156200.v1.2 transcript:Vigun11g156200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRIEYGFNGYQAPAMPRASRSVRRRAKFQRAEDKQKCAFDLLATVAGKLLQERENPAISSDTSSNKDQCRFVKDCHDANKPSKSELSDEGNCDKKSLNEFPHPAIDDHAKIASIVTSSSCLERFIANTWVDDNSHIKMENVTSEVKLDPSGYPKESQFKIDGNTGKGKDGMHELEKVPIGSGIEMYSFGDPLDENPPALISLGGSAKLSGYKDRIPCSLLSKGCNNVPVVSRDDDENSSGCTHPINTKTNAFRPKDCIDDSGISKRLASNFQKVARKPKHDTLSNRDGVWRKTCHNQRKCNKRQISQMNIPFKKRKLFNYKSVSNSSGFTRSGGIYYSPKNCSNPDACDSLPGMHRDPGIPSLEACQHQMLRRIGSHVKLRIKSFRVPELFIEVPETATIGSLKRTVMDAVTAVLGSELHIGVFLQGKKVRDDSKTLLQTGISHHNQLDALGFTLEPNSSQSLPIVCAAHSPCSGADVTPPVLRCPSSPAVIHQSIQGNSDTLPEDQVASFGSQFESDNDSAPSPANTSVDKGMEDFKELVTVLEKGKEELAMVSVPQKPRRSEMVQRRIRRPFSVDEVEALVQAVEKLGTGRWRDVKLCAFDNAKHRTYVDLKAWQRNILHVILGLLQDKWKTLVHTARISPQQRRGEPVPQELLDRVLVAHAYWSHQQTKQPQKQHPETETVFSFNRKGQGLSSACGQVDESVLVSDFCY >Vigun01g036100.2.v1.2 pep primary_assembly:ASM411807v1:1:4674185:4676892:1 gene:Vigun01g036100.v1.2 transcript:Vigun01g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGRSSALNPNAPLFIPAALRQVEDFSPQWWDLVKSSTWFRDYWLSQHKEEEFEEAANDSTNDIENMLSESFDLVMEEDFNVLENEFEQLVLSCESQDHPVQDNPNDGKLATPDLNKDVKALLINMAPKSPKERVPKSPSGLAKHVEKAAQRVNVKGVSHRIHQPR >Vigun01g036100.3.v1.2 pep primary_assembly:ASM411807v1:1:4674341:4676886:1 gene:Vigun01g036100.v1.2 transcript:Vigun01g036100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGRSSALNPNAPLFIPAALRQVEDFSPQWWDLVKSSTWFRDYWLSQHKEEEFEEAANDSTNDIENMLSESFDLVMEEDFNVLENEFEQLVLSCESQDHPVQDNPNDGKLATPDLNKDVKALLINMAPKSPKERVPKSPSGLAKHVEKAAQRVNVKGVSHRIHQPR >Vigun01g036100.1.v1.2 pep primary_assembly:ASM411807v1:1:4674104:4676934:1 gene:Vigun01g036100.v1.2 transcript:Vigun01g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGRSSALNPNAPLFIPAALRQVEDFSPQWWDLVKSSTWFRDYWLSQHKEEEFEEAANDSTNDIENMLSESFDLVMEEDFNVLENEFEQLVLSCESQDHPVQDNPNDGKLATPDLNKDVKALLINMAPKSPKERVPKSPSGLAKHVEKAAQRVNVKGVSHRIHQPR >Vigun05g165466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27291987:27292178:-1 gene:Vigun05g165466.v1.2 transcript:Vigun05g165466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRFFHSQKAQLSSFVVTVLEIEYEFGHRDTAVRRINSGSFGCCPASIDTRHRWQLWYLHQ >Vigun09g227200.1.v1.2 pep primary_assembly:ASM411807v1:9:39924712:39927636:1 gene:Vigun09g227200.v1.2 transcript:Vigun09g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKAKILFLWAFFALCIRFNVEAKPSSTLETEIEAKLRQLNKPAVKTIKSEDGDIIDCVNIYDQPAFDHPALKNHTIKMMPDSSTEDDSELELFQTWQRSGSCPKGTIPIRRILREDLLRADSLHTFGRKFSPHFQNLTANQRKNFIPQNRSSAYLVTMGYNYIGAQADINVWNPRVPEAGEFTTAQIWLKNNNNPYFESVESGWMVNPKLYRDGATRFFAYWTRDSYRSTGCFDLTCSGFVQTGQVVLGGAVNPISSRWGKQYAINVGMFLDAHTGNWYLKLNKNIAVGYWPAEILSSLRHSAILVEWGGQVASGNIKKNTPHTRTQMGSGEFADGRFRDACFMRNIRIMDYSLQLKYPSYVSAMADEPYCYSALNEAKYGAEPVFYFGGPGRRPPYCS >Vigun09g227200.2.v1.2 pep primary_assembly:ASM411807v1:9:39925718:39927636:1 gene:Vigun09g227200.v1.2 transcript:Vigun09g227200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDSSTEDDSELELFQTWQRSGSCPKGTIPIRRILREDLLRADSLHTFGRKFSPHFQNLTANQRKNFIPQNRSSAYLVTMGYNYIGAQADINVWNPRVPEAGEFTTAQIWLKNNNNPYFESVESGWMVNPKLYRDGATRFFAYWTRDSYRSTGCFDLTCSGFVQTGQVVLGGAVNPISSRWGKQYAINVGMFLDAHTGNWYLKLNKNIAVGYWPAEILSSLRHSAILVEWGGQVASGNIKKNTPHTRTQMGSGEFADGRFRDACFMRNIRIMDYSLQLKYPSYVSAMADEPYCYSALNEAKYGAEPVFYFGGPGRRPPYCS >Vigun09g227200.3.v1.2 pep primary_assembly:ASM411807v1:9:39926113:39927636:1 gene:Vigun09g227200.v1.2 transcript:Vigun09g227200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMMPDSSTEDDSELELFQTWQRSGSCPKGTIPIRRILREDLLRADSLHTFGRKFSPHFQNLTANQRKNFIPQNRSSAYLVTMGYNYIGAQADINVWNPRVPEAGEFTTAQIWLKNNNNPYFESVESGWMVNPKLYRDGATRFFAYWTRDSYRSTGCFDLTCSGFVQTGQVVLGGAVNPISSRWGKQYAINVGMFLDAHTGNWYLKLNKNIAVGYWPAEILSSLRHSAILVEWGGQVASGNIKKNTPHTRTQMGSGEFADGRFRDACFMRNIRIMDYSLQLKYPSYVSAMADEPYCYSALNEAKYGAEPVFYFGGPGRRPPYCS >VigunL009501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:117220:117381:-1 gene:VigunL009501.v1.2 transcript:VigunL009501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun06g163100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28546414:28548245:1 gene:Vigun06g163100.v1.2 transcript:Vigun06g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRLRHFSTSILSSNSSTPLTSKQKTRSALRLLKSETNPERILEICRAASLTPDSHLDRRAFSLAVSKLAAANHFDGIRLFLDDLKSRPDLRNEKFLSHAIVLYGQAKMLDHALRTFTDDLSAPRSVKSLNSLLFASLLAKNYKEVSRIYLDFPKTYSIQPDVDTYNTVIKAFAESGSSTSVYSVLAEMDRNSVKPNVITLNNALFGFYREEKFEEVGKVLKLMEEKYRVFPALSTHNVRIQSLCKLKRSSEAKALLEGMVCNGRKPNSVTYACLIHGFCREGDLEEAKRLFRDMKRRGYSPDGECYFTLVHFLCRAGEFEAALEVAKECMGKGWVPNFTTMKVLVNGLAGASKVDEAKELIKQIKEKFAENEDKWDEIESGLSQ >Vigun08g072600.2.v1.2 pep primary_assembly:ASM411807v1:8:12067226:12070065:1 gene:Vigun08g072600.v1.2 transcript:Vigun08g072600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPLDLDSQRVRISLEEEGVDYTSHHVNPITGKNLDSSFFKMNRRGRVPVFQNGSHIIYNTIDIIQYIERIAVVSSGADSISTSNREVIEWMQRIQDWDPKFFTLAHIPEKYRVYVSKFIRQVVIARMSESPELAGDYHRKLREAYETEEKLKEADVLRRSKEHLVRLLDEVERQLCETPFLAGQDFTMADVMLIPVLARLKLLDLENDYITGRPNIAEYWLLVQQRPSYKRVIGKYFNGWRKHKTLLKTWFLVRIRSLLKRY >Vigun08g072600.1.v1.2 pep primary_assembly:ASM411807v1:8:12067227:12070065:1 gene:Vigun08g072600.v1.2 transcript:Vigun08g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPLDLDSQRVRISLEEEGVDYTSHHVNPITGKNLDSSFFKMNRRGRVPVFQNGSHIIYNTIDIIQYIERIAVVSSGADSISTSNREVIEWMQRIQDWDPKFFTLAHIPEKYRVYVSKFIRQVVIARMSESPELAGDYHRKLREAYETEEKLKEADVLRRSKEHLVRLLDEVERQLCETPFLAGQDFTMADVMLIPVLARLKLLDLENDYITGRPNIAEYWLLVQQRPSYKRVIGKYFNGWRKHKTLLKTWFLVRIRSLLKRY >Vigun09g074300.1.v1.2 pep primary_assembly:ASM411807v1:9:8372267:8373733:1 gene:Vigun09g074300.v1.2 transcript:Vigun09g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKFLCVLLLALLAISMITTQVMAKDAAYHLERSNNGAGRNYGPGSLKSSQCPSECTRRCSQTQYHKPCMFFCQKCCKTCLCVPPGYYGNKSVCPCYNNWKTKRGGPKCP >Vigun11g175100.1.v1.2 pep primary_assembly:ASM411807v1:11:38015141:38019477:-1 gene:Vigun11g175100.v1.2 transcript:Vigun11g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLVQRLESAVSRLESLSAGFHPSASSAISADDAARDPSVVAFADLIDQHVARFSRAAEVIGGQVLDVSKLVQEAFGVQKELVIELKQTQKPDVAGLEAFLKPLNDVVTKATKLTEGRRSDFFNHLKAAADSLSALAWIAYAGKDCGLCMPIAHVEESWQMAEFYCNKVLVEYKNKDPNHVEWAKALKELYLPGLRDYVKRFHPLGAVWNPKGKVYAPSKASAPAAPAAPPPPSASLFSSQSSQASSSKPKEGMSAVFHQISEGNVTSGLRKVTADMKTKNRTDRTGVVGAIEKESHATSRVPSKAGPPKFELQMGRKWVVENQIEKKDLVIGDCDSKQSVYVYGCKNSVLQIPGKVNNITIDKCTKMGVVFKDVVAAFEIVNSSGVEVQCQGAAPTILVDNTSGCQLYLSKDSLQTSITTAKSSEINVLVPSAETDGDWVEHSLPQQYIHLFKDGHFETTPASHSGG >Vigun08g201000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36539034:36539897:1 gene:Vigun08g201000.v1.2 transcript:Vigun08g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNKFEVVVLFLLALLLGITPLLPSSLRPTFLYFIFNVLIVALGAEAGLLSVFSKPLEVTKQHVEVCEPEEEKEEAFNTDHTEIKTEVVQVFSSDEKIVGVSEVDEVKKCSSMPNLLIIEGGESEQIDEEIETVHGQELFAKAEAFIGNFYKQLEMQKEVYQESF >Vigun05g125000.1.v1.2 pep primary_assembly:ASM411807v1:5:13969818:13972195:1 gene:Vigun05g125000.v1.2 transcript:Vigun05g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLHVDGVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKQMKDKSESKNQYYKFDNELLTLFTSSLYLAALVASFFASTTTRMLGRKASMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPAKIRGALNIGFQMMITIGILVANLINYGTSKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGKYEKAKKMLQKIRGTDKIDEEYQDLIDASEMAKNVEHPWKNITRPKYRPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNDASLMSAVITGVVNVVATLVSIFTVDKFGRRVLLLEGGVQMFICQVIVGTMIGLRFGLNGQGGFSKVEADILLFFICAYVAAFAWSWGPLGWLVPSEICSLEIRPAGQAINVAINMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIALLLPETKNVPIEEMNILWTSHWFWNKIVPNDIDSKSKDNKSVL >VigunL082000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:22154:23892:-1 gene:VigunL082000.v1.2 transcript:VigunL082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKNYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAVESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYEAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCADAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun03g176100.1.v1.2 pep primary_assembly:ASM411807v1:3:21839619:21845409:1 gene:Vigun03g176100.v1.2 transcript:Vigun03g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVIAIRDKLRGKIGQTKVQRYWPGKVPEWADDENEDIAAADIRPSREAALDKLFPRHEEDTAIVRKDDRRLRRLAESRIDNREEVRADHRRIRQAEIVSTIEEEARRQEGLELEEEDENALAERRRLIKEKLLQREQEEALPQEEEEEEEEEEEEEESEYETDSDEEYTGVAMVKPVFVPKSERDTIAERERLEAEEQALEDARKRRLEERRIETKQIVVEEIRKDEEIQKNLEMEANIADVDTDDEVNEAEEYEAWKVREIGRIKRDREDREAMLKEKEEIERVRNMTEEERREWERKNPKPAPPPKQKWRFMQKYYHKGAFFQSESDDRASTVGSEGIFTRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNDKMAAMNAPMSKPKGSRKLKDWESR >Vigun10g165300.1.v1.2 pep primary_assembly:ASM411807v1:10:38431144:38432590:-1 gene:Vigun10g165300.v1.2 transcript:Vigun10g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSKEGLNKGAWTAMEDKILTEYINIHGEGKWRHLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNITNDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTNIGRKLQNGTPGYSITEGGARSTLNTVQDDQNVKNQEWHYDKGSCLVQTKATRWSKVTVSDEISQHNDEDNKSNVGDHNKMKAFLVSPPSSESNYDPVLNFMENLEMDGNFFSELLKMDLPESSSCQGNKIVEDNENPSSVDKGYLSPKSSCETTHFHWGDSLYDPNFDFLPVTTFTETGFDWL >Vigun09g202400.1.v1.2 pep primary_assembly:ASM411807v1:9:37692387:37696251:1 gene:Vigun09g202400.v1.2 transcript:Vigun09g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKFGRCDFFVVVDVVVLVVLVGSVGGIGVNWGTQSTHPLSPSKVVKMLKDNGIQKVKLFDADPGILDALKKSGIQVMVGIPNDMLYTLANSVEAAEKWVSKNVSKHVSSGGVDIRYVAVGNEPFLSTYNGTYETTTLPALQNIQAALTKSGLSNRVKVTVPLNADVYQSSSEKPSDGGFRPDINSLMVQIVKFLNDNGAPFTVNIYPFISLYADPNFPVDYAFFNGYQPAINDNGRTYDNVFDANHDTLVWALQKNGFGNLPIIVGEIGWPTDGDRNANLQYAQRFNQGFMSRYMSGKGTPMRPNPIDAYLFSLIDEDAKSIQPGNFERHWGMFYLDGQPKYQLNLGSARGNNGLVGADGVDHLAKKWCVLKPSANLNDDQVAPSVAYACQNADCTSLGYGTSCGNLDVHGNISYAFNSYYQVNDQMDSACKFPGLSMVTDKDPSVGDCKFRIMIQTDSAVLHGKVGSLTTLLFSFVVFLCCNLLF >Vigun03g201300.2.v1.2 pep primary_assembly:ASM411807v1:3:30879720:30885071:1 gene:Vigun03g201300.v1.2 transcript:Vigun03g201300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYTPIGGIFGPLVDAKCNTKQQQNLAKIMNKNSKQTMPKALVSSHSSKKLMAQNFPKYGEANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQNVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPNEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNMFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun05g111200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11585697:11586526:-1 gene:Vigun05g111200.v1.2 transcript:Vigun05g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIAPTASTFFFFSLFLLSIFTSNLPSAAADVVTDRDGKALMNGGTYHILPLFGVRDGGLELAATGNETCPLTVVQSRTSQIFRGLPVRISSPFRIGYINEGLILNLAFASSPSCAPTPPEWTVVKDLPEGQAVKLPGYRSTVRGWFKIEKSSLEYLYKVVFCARTGGTCGEVGISVDDEGMSRLVVTEEEDEGIIVEFMKATSVSV >Vigun07g161300.1.v1.2 pep primary_assembly:ASM411807v1:7:27284770:27288519:1 gene:Vigun07g161300.v1.2 transcript:Vigun07g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMEFQGLPEGCIAVILSRTTPVDACRLSVVSKLFHSAADSDAVWECFLPSDYHSIISQCSLPNYPSKKALYLALADHPVIFDEGKKSLQLEKKSGKKCYMIAARALSIVWGDTEQYWNWTTDPDSRFPEVAELIDVCWLDIRGVFSTLTLSPDTLYAAYFVFKMINPIGFKNRRVEVSVDFNGDDGSIKHVCLDGSSSGRRVAGLQRPSLRSDGWLEIEMGEFFNGGLEDKVQMSVKEVKAGNWKSGLFVEGIEVRPKYENNQPVFTV >Vigun03g310300.2.v1.2 pep primary_assembly:ASM411807v1:3:50223018:50235481:-1 gene:Vigun03g310300.v1.2 transcript:Vigun03g310300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENETSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGRLYEFSSSTSSINKTVERYQRKIKDLGDSHKGIHENTQILKDGDMSMAKRIEHLENSRRKLLGDELDTCSLDELRQVENQLERSLEKIRARKNQLFKEQIEKLKEEEKCLLQVNKRLRQQYMIERRRYLSDEDLEVVTEKREEEVETELFIGRPEKQMTLKLKPGSHERAHKCLHMEN >Vigun03g310300.1.v1.2 pep primary_assembly:ASM411807v1:3:50223018:50235481:-1 gene:Vigun03g310300.v1.2 transcript:Vigun03g310300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENETSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGRLYEFSSSTSRCYSINKTVERYQRKIKDLGDSHKGIHENTQILKDGDMSMAKRIEHLENSRRKLLGDELDTCSLDELRQVENQLERSLEKIRARKNQLFKEQIEKLKEEEKCLLQVNKRLRQQYMIERRRYLSDEDLEVVTEKREEEVETELFIGRPEKQMTLKLKPGSHERAHKCLHMEN >Vigun11g178600.1.v1.2 pep primary_assembly:ASM411807v1:11:38283627:38286731:-1 gene:Vigun11g178600.v1.2 transcript:Vigun11g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKLMKMAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPSIIHQLGPDNLENLKKLAEQFQKQAPEGAAASGAAQEENDDDVPELVPGQDFETAAEETKAS >Vigun01g078032.3.v1.2 pep primary_assembly:ASM411807v1:1:21982267:21982652:1 gene:Vigun01g078032.v1.2 transcript:Vigun01g078032.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLGACFVESLTLGLSTTLNKTMYFIMGTLLAVLPLLINEHLCGL >Vigun01g078032.2.v1.2 pep primary_assembly:ASM411807v1:1:21982054:21983274:1 gene:Vigun01g078032.v1.2 transcript:Vigun01g078032.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLGACFVESLTLGLSTTLNKTMYFIMGTLLAVLPLLINEHLCGL >Vigun01g078032.1.v1.2 pep primary_assembly:ASM411807v1:1:21981867:21983274:1 gene:Vigun01g078032.v1.2 transcript:Vigun01g078032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLGACFVESLTLGLSTTLNKTMYFIMGTLLAVLPLLINEHLCGL >Vigun02g030500.11.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDLEKEQVID >Vigun02g030500.8.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDVSIDVSDFLSFSSDYCFFWLVRYWFCNGKVP >Vigun02g030500.2.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706212:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDVSIDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun02g030500.1.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706212:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDVSIDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun02g030500.4.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706232:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun02g030500.10.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDLEKEQVID >Vigun02g030500.9.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDLEKEQVID >Vigun02g030500.6.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706212:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun02g030500.12.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDLEKEQVID >Vigun02g030500.3.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706232:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun02g030500.7.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706213:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDVSIDVSDFLSFSSDYCFFWLVRYWFCNGKVP >Vigun02g030500.5.v1.2 pep primary_assembly:ASM411807v1:2:12702765:12706212:-1 gene:Vigun02g030500.v1.2 transcript:Vigun02g030500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRTVEEIFRDFRARRNAIIRALTHDVDEFYGLCDPGKDNLCLYGHPNGAWEVALPSEEVPPELPEPALGINFARDDLSRKDWLSLVAVHSDSWLLAVAFYLGTRLNHNERKRLFGLINALSTVFQVATGNKPIKEKLSMDSGSKCDGGLIKGEHIKIFCGSCGGKYNGDELWIGCDICEWWYHGRCVMINPAKADTLKHYKCPSCSLRRSRS >Vigun09g025900.4.v1.2 pep primary_assembly:ASM411807v1:9:1998991:2004345:-1 gene:Vigun09g025900.v1.2 transcript:Vigun09g025900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPDPSPSLSSRPRPAASSSPTRLSPTTLSYTSTQFSRWSPVIVQKKCSVATVVSCSVEVHLLREGIRWWTQLLFPKSEDALTKGLNSKFFTEANIDLGPLPGSKVKEYTKSSDQFRLVLSSLNPLHVGDRNVTRGVCGILQLSDEVLSLKILARLTPRDIASVGSVCKQLYEQTKNENLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLACSVI >Vigun09g025900.5.v1.2 pep primary_assembly:ASM411807v1:9:1998991:2004345:-1 gene:Vigun09g025900.v1.2 transcript:Vigun09g025900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGDDDAASFMLNDDDDFAGPLPFPVLQTSPCGFVVTDALEPDHPIIYVNAVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGVEFKGSKVKEYTKSSDQFRLVLSSLNPLHVGDRNVTRGVCGILQLSDEVLSLKILARLTPRDIASVGSVCKQLYEQTKNENLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLACSVI >Vigun09g025900.3.v1.2 pep primary_assembly:ASM411807v1:9:1998991:2004345:-1 gene:Vigun09g025900.v1.2 transcript:Vigun09g025900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGDDDAASFMLNDDDDFAGPLPFPVLQTSPCGFVVTDALEPDHPIIYVNAVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGVEFKGSKVKEYTKSSDQFRLVLSSLNPLHVGDRNVTRGVCGILQLSDEVLSLKILARLTPRDIASVGSVCKQLYEQTKNENLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLACSVI >Vigun09g025900.2.v1.2 pep primary_assembly:ASM411807v1:9:1998991:2004345:-1 gene:Vigun09g025900.v1.2 transcript:Vigun09g025900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPDPSPSLSSRPRPAASSSPTRLSPTTLSYTSTQFSRWSPVIVQKKCSVATVVSCSVEVHLLREGIRWWTQLLFPKSEDALTKGLNSKFFTEANIDLGPLPGSKVKEYTKSSDQFRLVLSSLNPLHVGDRNVTRGVCGILQLSDEVLSLKILARLTPRDIASVGSVCKQLYEQTKNENLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLACSVI >Vigun09g025900.1.v1.2 pep primary_assembly:ASM411807v1:9:1998991:2004345:-1 gene:Vigun09g025900.v1.2 transcript:Vigun09g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGDDDAASFMLNDDDDFAGPLPFPVLQTSPCGFVVTDALEPDHPIIYVNAVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGVEFKGELLNFRKDGSPLMNRLHLTPIYGDDEITHVIGIQFFTEANIDLGPLPGSKVKEYTKSSDQFRLVLSSLNPLHVGDRNVTRGVCGILQLSDEVLSLKILARLTPRDIASVGSVCKQLYEQTKNENLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLACSVI >Vigun07g138400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24822237:24823068:1 gene:Vigun07g138400.v1.2 transcript:Vigun07g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWELSMVSSWPGTLASYITPRWSPARLLRWSGMMSVSIVDEVVWRVVTAFESVALVSMLCFFFLFCGCTI >Vigun07g022300.1.v1.2 pep primary_assembly:ASM411807v1:7:1894232:1897292:1 gene:Vigun07g022300.v1.2 transcript:Vigun07g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSNNLDVGPKKIEHEKDEGPLLHCDFCDIEVVHKLAQMFMPALACACVDNTTGDPFKTPGFVAVDLRKEMIEFVTQKSELFVAESIITEDVPDGEALEHPFDIISFFVDEFVNSKRNLLSQFSGWLLSDKREDKIDDFVQEMEINGFWPLDRRETLAKDLLKNVDFKSSFHCGMSFKSAEDLANHVDACNFRSVVCQNLGCNDRFSAGQLKEHDSICDFKIVPCEQKCTANILRRDMDRHCITVCPLKLVDCPFSAIGCRSTIGQSIIRKHCSDDIESHLLLILKGIHQQASDKDLQRRVEQIVQASSRSKLAEAKDVRSFRSIVKDLEIKLGPLEVSDKEKTCAEEVAKKSEDNRTSAAKGVNKEDSEHTENGRQG >Vigun03g414500.1.v1.2 pep primary_assembly:ASM411807v1:3:62143718:62146169:-1 gene:Vigun03g414500.v1.2 transcript:Vigun03g414500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHNLKNPAVKRILQELKEMQSNPSDDYISLPLEENIFEWQFAIRGPRDTEFEGGIYHGRIQLPSEYPFKPPSFMLLTPSGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPSNPNGALGSLNYKKEDRRALAIKSREAPPKFGTPERQKLIDEIHEYMLSKSSPVPEGGPSQVSEGQSIIEEAETLVNLKNPEPLPAGEGIPDKAGDRIVEEQEHPVNANPNLAGVEVSMENTSSVPRNQLLQKSDATVQNLKPETRAPKPDDRLFTLAAIGLTIAIVVLLLKKFVKSTGHGAVFMDES >VigunL022601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:361548:363816:-1 gene:VigunL022601.v1.2 transcript:VigunL022601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTKRLKKATSTQEPPFPPLVCPIPPQPYIAPPIPPTQQPYIPSMSTHPHVPPLMAPTPHPHIPPSMAPTSHPHVPPIVDTTHQPHAGHEQGNTKKIQLTKPGVFEMPRGEHSNNVPINFDSWPKVPKSYKDDCFNILKASERSAERYCSLCMANKYRNEKMNLWNRVYDSSLSREQLIANVPDGIQKDQWSSFVDYHLNEEYKEVNLGRAVGRGELYIETHKKRNGSYVNEEAKSIAEKMTQEMSQSVNSNEISTDDCVSKVLGKDHSGRVRCLGLGGLHSVAFQSTTRFSNVGHNFSKFGSAESSQLKEEVISLREKLATSEENLKTLKSVMLAYIQMKEGHIPHELGVMFDNETNVIDEESGQEVPTPHGGSSLDSNFHGV >Vigun11g022500.1.v1.2 pep primary_assembly:ASM411807v1:11:2721714:2730700:1 gene:Vigun11g022500.v1.2 transcript:Vigun11g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQASDDRRFGIKYFRRRHHRQSTSPTPPGTPEIVSNKLEMIDDDSEEDIEREEKVHLQEKKVDKQEKKIHENIKPIEETNSPSSSGNGVHWDESLKGKHV >Vigun10g112100.1.v1.2 pep primary_assembly:ASM411807v1:10:31277499:31279979:1 gene:Vigun10g112100.v1.2 transcript:Vigun10g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNRKNAPFSSNLQLYSYCYSSCSWRTRFALCLKGFIKYQIKKSKRLNPLHYVPVLVDDNIVVLDSYAIILHLEEKYTQKPLLQVGPQLRALNLQVASIVHSSIQPLHMLSLLKDMEKKFGAESKPWAQFTINKGFSALEKLLKDFAATYASGNVSADVFLVADIEQSVERFGVDMSKFPTLSRLYETYKALPEFQASSHQRQHHSQPSTT >Vigun07g125400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23194634:23201807:-1 gene:Vigun07g125400.v1.2 transcript:Vigun07g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGGVSLSSPTRVFANVKGSDSAPKAQAIRFPLFRPTHKWPGLVGVGKARPIRATPEKIADKVEESIKIAEETCAGGATDAECAAAWDEVEELSAEASHARQKEKESDPLENYCKENPETEECRTYDN >Vigun05g101066.2.v1.2 pep primary_assembly:ASM411807v1:5:9990994:9994224:-1 gene:Vigun05g101066.v1.2 transcript:Vigun05g101066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQEIFQSSGYYVALGNLDEDVNVTLKLSVRSSLHNTTNAYYRCALINKPCTLDIFFLEGSAAVLVTPAPQQYGDEGGAGHRSGGTEPERAALVSQKDDDVSSWGSSYGSLPQDEQDLDFLPGDGQSSNNSTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEASGTCPVCRRNMKKVRKIFTV >Vigun05g101066.1.v1.2 pep primary_assembly:ASM411807v1:5:9990994:9994224:-1 gene:Vigun05g101066.v1.2 transcript:Vigun05g101066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQEIFQSSGYYVALGNLDEDVNVTLKLSVRSSLHNTTNAYYRCALINKPCTLDIFFLEGSAAVLVTPAPQQNASNEWYIKLSYGPRWVTYIFGIGGLTLLVFWSFNLLNKVQYGDEGGAGHRSGGTEPERAALVSQKDDDVSSWGSSYGSLPQDEQDLDFLPGDGQSSNNSTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEASGTCPVCRRNMKKVRKIFTV >Vigun09g097000.1.v1.2 pep primary_assembly:ASM411807v1:9:14736159:14737685:-1 gene:Vigun09g097000.v1.2 transcript:Vigun09g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGYEGHNNPALDPDLDTPDTPDLQFAEFGSGCFWGAELAFQRIVGVVKTEVGYTQGHTPDPDYKLVCTGTTNHVEVVRVQFDPKVCPYTDLLALFWARHDPTSVNRQGNDVGAQYRSGIYYYNEEQARLAQESKEAKQLELKEKIVTEIVPAKRFYKAEEYHQQYLEKGGGKGESNKQSAQKGCTDPIRCYG >Vigun02g011500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4446367:4447335:-1 gene:Vigun02g011500.v1.2 transcript:Vigun02g011500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAATPATITVVGSSGVGSRRRRERSVQFIGGMNSFGGLKAQNSVTSLGFPVCTEQCFAKVVSSLKYPSKGRRGGAASSTCNAAAEIFQIAGIMSGLVLVGVAVGFVLLRIEAYVEETE >Vigun02g011500.1.v1.2 pep primary_assembly:ASM411807v1:2:4445145:4447331:-1 gene:Vigun02g011500.v1.2 transcript:Vigun02g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAATPATITVVGSSGVGSRRRRERSVQFIGGMNSFGGLKAQNSVTSLGFPVCTEQCFAKVVSSLKYPSKGRRGGAASSTCNAAAEIFQIAGIMSGLVLVGVAVGFVLLRIEAYVEETDFNLNKFLELHIRITCNISRMIFEVFGFVI >Vigun02g011500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4446388:4447331:-1 gene:Vigun02g011500.v1.2 transcript:Vigun02g011500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAATPATITVVGSSGVGSRRRRERSVQFIGGMNSFGGLKAQNSVTSLGFPVCTEQCFAKVVSSLKYPSKGRRGGAASSTCNAAAEIFQIAGIMSGLVLVGVAVGFVLLRIEAYVEETE >Vigun05g019100.1.v1.2 pep primary_assembly:ASM411807v1:5:1564439:1566502:1 gene:Vigun05g019100.v1.2 transcript:Vigun05g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRMKLGSQGLEVSVQGLGCMGMSSNYGPAKPEPDMIALIHHAVQSGVTFLDSADIYGPYTNEVLLGKALKEGWRDKVELATKFAIRVTPEGKKEIRGDPEYVRSACEDSLKRLEVDCIDLYYQHRVDTRVPIEVTVGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFFSSGTKLLENLAPDDSRKFLPRFKPGNVEHNNIIFEKVKELATKKGCTTSQLALAWVHHQGNDVCPIPGTTKIENFNDNLGALSVKLTPEEIAELESFAAEDIKGGRYMEGANTWKTGHTPPLSSWKTA >Vigun07g089900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14156700:14160472:1 gene:Vigun07g089900.v1.2 transcript:Vigun07g089900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSDQTEKGAPKNQNPRLCFLASLSAVFWFLLLYFHFVVLARDNNNSNSKSNYNTDVDQHSTLSTTPVSLHYQPAPIHVMDSPQVQAPPRKIGFPQPEVRRSDTHTEKSFPFMPAMRAAENKSDPCGGRYIYVHDLPSRFNEDMLKECRSLSLWTNMCKFTTNSGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTNDSSVAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVEWLMKRPEWSINNGRDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFIWQDRMRQLERKWLFSFAGAPRPGNPKSIRGQLIDQCRRSKVCKLLECDFGESKCHSPDSIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNISIEERLSEIPPEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVAVQAVIDKVTNLRKDIIEGRTHDGFIEENSWKYALLPEGEHEVGPHEWDPFFSKPKDGSGDSNDSSAEAAKNSWKNEQVNQS >Vigun07g089900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14156571:14160472:1 gene:Vigun07g089900.v1.2 transcript:Vigun07g089900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSDQTEKGAPKNQNPRLCFLASLSAVFWFLLLYFHFVVLARDNNNSNSKSNYNTDVDQHSTLSTTPVSLHYQPAPIHVMDSPQVQAPPRKIGFPQPEVRRSDTHTEKSFPFMPAMRAAENKSDPCGGRYIYVHDLPSRFNEDMLKECRSLSLWTNMCKFTTNSGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTNDSSVAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVEWLMKRPEWSINNGRDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFIWQDRMRQLERKWLFSFAGAPRPGNPKSIRGQLIDQCRRSKVCKLLECDFGESKCHSPDSIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNISIEERLSEIPPEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVAVQAVIDKVTNLRKDIIEGRTHDGFIEENSWKYALLPEGEHEVGPHEWDPFFSKPKDGSGDSNDSSAEAAKNSWKNEQVNQS >Vigun07g089900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14156700:14160472:1 gene:Vigun07g089900.v1.2 transcript:Vigun07g089900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSDQTEKGAPKNQNPRLCFLASLSAVFWFLLLYFHFVVLARDNNNSNSKSNYNTDVDQHSTLSTTPVSLHYQPAPIHVMDSPQVQAPPRKIGFPQPEVRRSDTHTEKSFPFMPAMRAAENKSDPCGGRYIYVHDLPSRFNEDMLKECRSLSLWTNMCKFTTNSGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTNDSSVAAAVFVPFYAGFDIARYLWGYNISMRDAASLDLVEWLMKRPEWSINNGRDHFLVAGRITWDFRRLSEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFIWQDRMRQLERKWLFSFAGAPRPGNPKSIRGQLIDQCRRSKVCKLLECDFGESKCHSPDSIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNISIEERLSEIPPEQVKIMREEVISLIPRLVYADPRSKLETLKDAFDVAVQAVIDKVTNLRKDIIEGRTHDGFIEENSWKYALLPEGEHEVGPHEWDPFFSKPKDGSGDSNDSSAEAAKNSWKNEQVNQS >Vigun01g182000.1.v1.2 pep primary_assembly:ASM411807v1:1:36278739:36281712:1 gene:Vigun01g182000.v1.2 transcript:Vigun01g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWSDDHNDDDLRRDISSEGCSTTKVVKYQCKTEEVESGKFVRKCEKTEELLRNCIGKPVEMFQSNKEYTEEDVTDEVLKGSSVPFTSSDRGVFDFPGLRNDIEVMERNVFDSLSRFLETAEEMKNGVFDVFGKSPRIFDAESSSPSVRREIPIASRTEAYPKTLEKESGYTDYVTAAQDV >Vigun01g137100.3.v1.2 pep primary_assembly:ASM411807v1:1:31726717:31728508:1 gene:Vigun01g137100.v1.2 transcript:Vigun01g137100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYPVKEEYFESPPPSSSSSPTSQTGGDESAVVSLPRPMEGLHEVGPPPFLTKTYDAVEDPTTSHIVSWSRGGASFVVWDPHAFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGHRQLLANIRRRKQPSQPSSSQQGQGHCVEVGRFGLDEEVDRLKRDKQVLMMELVKLRQQQQNTRSYLEEMEERLRGTEIKQQQMMSFLARALKNPTFIQQLLQQKEKRKELEEAMSKKRRRPIEQGPSGVGESSIGIRGGRNSVKVESHVFGEYGFGVSELEVLALEMQGYGRGKREQEEEPEALESQERLEKELDEGFWEELFSEGFEGELDIPTSQDPDDEEDVNVLANRFGYLGSSPK >Vigun01g137100.2.v1.2 pep primary_assembly:ASM411807v1:1:31726249:31733127:1 gene:Vigun01g137100.v1.2 transcript:Vigun01g137100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYPVKEEYFESPPPSSSSSPTSQTGGDESAVVSLPRPMEGLHEVGPPPFLTKTYDAVEDPTTSHIVSWSRGGASFVVWDPHAFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGHRQLLANIRRRKQPSQPSSSQQGQGHCVEVGRFGLDEEVDRLKRDKQVLMMELVKLRQQQQNTRSYLEEMEERLRGTEIKQQQMMSFLARALKNPTFIQQLLQQKEKRKELEEAMSKKRRRPIEQGPSGVGESSIGIRGGRNSVKVESHVFGEYGFGVSELEVLALEMQGYGRGKREQEEEPEALESQERLEKELDEGFWEELFSEGFEGELDIPTSQDPDDEEDVNVLANRFGYLGSSPK >Vigun05g059300.2.v1.2 pep primary_assembly:ASM411807v1:5:5082765:5092937:-1 gene:Vigun05g059300.v1.2 transcript:Vigun05g059300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHYAHDDDDDEDDEHHVVSPAVSDRRNSHTFAHSNSLPSSPPSNGMSGHPYASEIEQYKAEIKRLQASEAEIKALSVNYAAILKEKEDHIVRLNKENGSLKQNLDTSPVSTNGGYTVKGNSDQSSNRQHRFTTQMKNLYATNNGTTSALEYDGIQTKMVSKHSNSRVKDKELADMVAGKSSPAAAVQHSDDIHKMKLELELEQKKMAHIQLKLQEEEKLNKLFQEELTLLKLERDKTTYEMSKLHNELNGKISEINRLQMELSRREDEETNSFKRLIESLEKENTTLKLEKHELEAEVKSIRTSGKISPDASQIQRRIPSFNNHQLPDPSKSFPRNEELEKSLHKLSEELEETRKGNEELERSLLRLSKELEETQKERDKAAQQLTRLKQHLLEKESEESEKMDEDSKIIEELRDSNNYLRAQISHLERTLKQGTASQEKLKMENSNEILKSREIIEDLNKKLADCMSTIDAKNTELLNLQTALGQYYAEIEAKEHLEGDLARAKEEKSKLSLLLKDAQCRADVLISEKEEILAKLSQSEKLQSDWRSRVSKLEEDNSRLRRAVEQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSNEDKQRIGVAQQGPGKGVVRGVLGLPGRLVGGILGGGGSTESAANAGADNQICGLIFFSRKQKKERRGSHQEVQINPWMIRMIEVILILLHHLPISGLELEPDTFSIPNNLVRSSQQFPLHLHQIVQNSFPDTKYTFFV >Vigun05g059300.1.v1.2 pep primary_assembly:ASM411807v1:5:5082678:5093009:-1 gene:Vigun05g059300.v1.2 transcript:Vigun05g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHYAHDDDDDEDDEHHVVSPAVSDRRNSHTFAHSNSLPSSPPSNGMSGHPYASEIEQYKAEIKRLQASEAEIKALSVNYAAILKEKEDHIVRLNKENGSLKQNLDTSPVSTNGGYTVKGNSDQSSNRQHRFTTQMKNLYATNNGTTSALEYDGIQTKMVSKHSNSRVKDKELADMVAGKSSPAAAVQHSDDIHKMKLELELEQKKMAHIQLKLQEEEKLNKLFQEELTLLKLERDKTTYEMSKLHNELNGKISEINRLQMELSRREDEETNSFKRLIESLEKENTTLKLEKHELEAEVKSIRTSGKISPDASQIQRRIPSFNNHLPDPSKSFPRNEELEKSLHKLSEELEETRKGNEELERSLLRLSKELEETQKERDKAAQQLTRLKQHLLEKESEESEKMDEDSKIIEELRDSNNYLRAQISHLERTLKQGTASQEKLKMENSNEILKSREIIEDLNKKLADCMSTIDAKNTELLNLQTALGQYYAEIEAKEHLEGDLARAKEEKSKLSLLLKDAQCRADVLISEKEEILAKLSQSEKLQSDWRSRVSKLEEDNSRLRRAVEQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSNEDKQRIGVAQQGPGKGVVRGVLGLPGRLVGGILGGGGSTESAANAGADNQSFADLWVDFLLKETEEREKRESSGSTDKSMDDSHDRSYTNSSPPPSNQWFRTGTGYFQHSEQLGSEFSTVPLTSSSDSSKLLPRY >Vigun05g059300.3.v1.2 pep primary_assembly:ASM411807v1:5:5082765:5092937:-1 gene:Vigun05g059300.v1.2 transcript:Vigun05g059300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHYAHDDDDDEDDEHHVVSPAVSDRRNSHTFAHSNSLPSSPPSNGMSGHPYASEIEQYKAEIKRLQASEAEIKALSVNYAAILKEKEDHIVRLNKENGSLKQNLDTSPVSTNGGYTVKGNSDQSSNRQHRFTTQMKNLYATNNGTTSALEYDGIQTKMVSKHSNSRVKDKELADMVAGKSSPAAAVQHSDDIHKMKLELELEQKKMAHIQLKLQEEEKLNKLFQEELTLLKLERDKTTYEMSKLHNELNGKISEINRLQMELSRREDEETNSFKRLIESLEKENTTLKLEKHELEAEVKSIRTSGKISPDASQIQRRIPSFNNHLPDPSKSFPRNEELEKSLHKLSEELEETRKGNEELERSLLRLSKELEETQKERDKAAQQLTRLKQHLLEKESEESEKMDEDSKIIEELRDSNNYLRAQISHLERTLKQGTASQEKLKMENSNEILKSREIIEDLNKKLADCMSTIDAKNTELLNLQTALGQYYAEIEAKEHLEGDLARAKEEKSKLSLLLKDAQCRADVLISEKEEILAKLSQSEKLQSDWRSRVSKLEEDNSRLRRAVEQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSNEDKQRIGVAQQGPGKGVVRGVLGLPGRLVGGILGGGGSTESAANAGADNQICGLIFFSRKQKKERRGSHQEVQINPWMIRMIEVILILLHHLPISGLELEPDTFSIPNNLVRSSQQFPLHLHQIVQNSFPDTKYTFFV >Vigun05g059300.4.v1.2 pep primary_assembly:ASM411807v1:5:5082679:5092963:-1 gene:Vigun05g059300.v1.2 transcript:Vigun05g059300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHYAHDDDDDEDDEHHVVSPAVSDRRNSHTFAHSNSLPSSPPSNGMSGHPYASEIEQYKAEIKRLQASEAEIKALSVNYAAILKEKEDHIVRLNKENGSLKQNLDTSPVSTNGGYTVKGNSDQSSNRQHRFTTQMKNLYATNNGTTSALEYDGIQTKMVSKHSNSRVKDKELADMVAGKSSPAAAVQHSDDIHKMKLELELEQKKMAHIQLKLQEEEKLNKLFQEELTLLKLERDKTTYEMSKLHNELNGKISEINRLQMELSRREDEETNSFKRLIESLEKENTTLKLEKHELEAEVKSIRTSGKISPDASQIQRRIPSFNNHQLPDPSKSFPRNEELEKSLHKLSEELEETRKGNEELERSLLRLSKELEETQKERDKAAQQLTRLKQHLLEKESEESEKMDEDSKIIEELRDSNNYLRAQISHLERTLKQGTASQEKLKMENSNEILKSREIIEDLNKKLADCMSTIDAKNTELLNLQTALGQYYAEIEAKEHLEGDLARAKEEKSKLSLLLKDAQCRADVLISEKEEILAKLSQSEKLQSDWRSRVSKLEEDNSRLRRAVEQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSNEDKQRIGVAQQGPGKGVVRGVLGLPGRLVGGILGGGGSTESAANAGADNQSFADLWVDFLLKETEEREKRESSGSTDKSMDDSHDRSYTNSSPPPSNQWFRTGTGYFQHSEQLGSEFSTVPLTSSSDSSKLLPRY >Vigun05g059300.5.v1.2 pep primary_assembly:ASM411807v1:5:5081688:5092995:-1 gene:Vigun05g059300.v1.2 transcript:Vigun05g059300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHYAHDDDDDEDDEHHVVSPAVSDRRNSHTFAHSNSLPSSPPSNGMSGHPYASEIEQYKAEIKRLQASEAEIKALSVNYAAILKEKEDHIVRLNKENGSLKQNLDTSPVSTNGGYTVKGNSDQSSNRQHRFTTQMKNLYATNNGTTSALEYDGIQTKMVSKHSNSRVKDKELADMVAGKSSPAAAVQHSDDIHKMKLELELEQKKMAHIQLKLQEEEKLNKLFQEELTLLKLERDKTTYEMSKLHNELNGKISEINRLQMELSRREDEETNSFKRLIESLEKENTTLKLEKHELEAEVKSIRTSGKISPDASQIQRRIPSFNNHLPDPSKSFPRNEELEKSLHKLSEELEETRKGNEELERSLLRLSKELEETQKERDKAAQQLTRLKQHLLEKESEESEKMDEDSKIIEELRDSNNYLRAQISHLERTLKQGTASQEKLKMENSNEILKSREIIEDLNKKLADCMSTIDAKNTELLNLQTALGQYYAEIEAKEHLEGDLARAKEEKSKLSLLLKDAQCRADVLISEKEEILAKLSQSEKLQSDWRSRVSKLEEDNSRLRRAVEQSMTRLNRMSVDSDFLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSNEDKQRIGVAQQGPGKGVVRGVLGLPGRLVGGILGGGGSTESAANAGADNQSFADLWVDFLLKETEEREKRESSGSTDKSMDDSHDRSYTNSSPPPSNQWFRTGTGYFQHSEQLGSEFSTVPLTSSSDSSKLLPRY >Vigun10g045900.5.v1.2 pep primary_assembly:ASM411807v1:10:6753030:6756751:-1 gene:Vigun10g045900.v1.2 transcript:Vigun10g045900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEGPDKKFEGAPQHEAPNPDQSEKQMHQSEGNKVGGMDHHKGPDQEIKRAPHHEAPKHDQSRKHKNQFEDDEKYMDHPKGPDQEIKRAPHHEAPEHDHSRKHKNQFEHDVQHMDRPEGPDQKFKGEPRHHTPEFDHSKKKNHELEDVVGYMDQPKSPKHKLKGPLTPKHGEFKEQKNQVEVEDEYIDYPEGPNQKLKGVTPPHQISESDQSDREVGFMDHSIDPKPTLKDAPQYEATEPDQSKKQDIKSADDDKGKQSLQTTDKEVPPVLEAQNETTEGEDEINSTGIERISRNIPENKNKPEDSSSQLAATPQDQGKGEIAPRKKHFWSNWPVIGDAPKAIVAEQPETDPSKEKQQEHIEVKVDEDNKAAEVVPAETTSSEPSVAENRKTLEILKSVVYGGLIESLTSLSVVTSAASADATTLNIVALSMANLIGGLFILGHNLEELKSEQVDRYVELLGEKENFIVHAFIAVISFLVFGLVAPVVYGFSFGESGDKDLKLAAVAAASLLCITMLSIAKAYIKRPSTYFKYFKTVLYYVSTGAVASLLSYIAGDLVKELIQKLGWFDSASDFSLQIHGIGIQQWGSY >Vigun10g045900.3.v1.2 pep primary_assembly:ASM411807v1:10:6753025:6757036:-1 gene:Vigun10g045900.v1.2 transcript:Vigun10g045900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEGPDKKFEGAPQHEAPNPDQSEKQMHQSEGNKVGGMDHHKGPDQEIKRAPHHEAPKHDQSRKHKNQFEDDEKYMDHPKGPDQEIKRAPHHEAPEHDHSRKHKNQFEHDVQHMDRPEGPDQKFKGEPRHHTPEFDHSKKKNHELEDVVGYMDQPKSPKHKLKGPLTPKHGEFKEQKNQVEVEDEYIDYPEGPNQKLKGVTPPHQISESDQSDREVGFMDHSIDPKPTLKDAPQYEATEPDQSKKQGEVDYMNSPKDSVDESEKQVTQSEPKSIPNPDIKSADDDKGKQSLQTTDKEVPPVLEAQNETTEGEDEINSTGIERISRNIPENKNKPEDSSSQLAATPQDQGKGEIAPRKKHFWSNWPVIGDAPKAIVAEQPETDPSKEKQQEHIEVKVDEDNKAAEVVPAETTSSEPSVAENRKTLEILKSVVYGGLIESLTSLSVVTSAASADATTLNIVALSMANLIGGLFILGHNLEELKSEQVDRYVELLGEKENFIVHAFIAVISFLVFGLVAPVVYGFSFGESGDKDLKLAAVAAASLLCITMLSIAKAYIKRPSTYFKYFKTVLYYVSTGAVASLLSYIAGDLVKELIQKLGWFDSASDFSLQIHGIGIQQWGSY >Vigun10g045900.2.v1.2 pep primary_assembly:ASM411807v1:10:6753025:6757036:-1 gene:Vigun10g045900.v1.2 transcript:Vigun10g045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEGPDKKFEGAPQHEAPNPDQSEKQMHQSEGNKVGGMDHHKGPDQEIKRAPHHEAPKHDQSRKHKNQFEDDEKYMDHPKGPDQEIKRAPHHEAPEHDHSRKHKNQFEHDVQHMDRPEGPDQKFKGEPRHHTPEFDHSKKKNHELEDVVGYMDQPKSPKHKLKGPLTPKHGEFKEQKNQVEVEDEYIDYPEGPNQKLKGVTPPHQISESDQSDREVGFMDHSIDPKPTLKDAPQYEATEPDQSKKQGEVDYMNSPKDSVDESEKQVTQSEPKSIPNPDIKSADDDKGKQSLQTTDKEVPPVLEAQNETTEGEDEINSTGIERISRNIPENKNKPEDSSSQLAATPQDQGKGEIAPRKKHFWSNWPVIGDAPKAIVAEQPETDPSKEKQQEHIEVKVDEDNKAAEVVPAETTSSEPSVAENRKTLEILKSVVYGGLIESLTSLSVVTSAASADATTLNIVALSMANLIGGLFILGHNLEELKSEQVDRYVELLGEKENFIVHAFIAVISFLVFGLVAPVVYGFSFGESGDKDLKLAAVAAASLLCITMLSIAKAYIKRPSTYFKYFKTVLYYVSTGAVASLLSYIAGDLVKELIQKLGWFDSASDFSLQIHGIGIQQWGSY >Vigun10g045900.1.v1.2 pep primary_assembly:ASM411807v1:10:6752990:6756758:-1 gene:Vigun10g045900.v1.2 transcript:Vigun10g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEGPDKKFEGAPQHEAPNPDQSEKQMHQSEGNKVGGMDHHKGPDQEIKRAPHHEAPKHDQSRKHKNQFEDDEKYMDHPKGPDQEIKRAPHHEAPEHDHSRKHKNQFEHDVQHMDRPEGPDQKFKGEPRHHTPEFDHSKKKNHELEDVVGYMDQPKSPKHKLKGPLTPKHGEFKEQKNQVEVEDEYIDYPEGPNQKLKGVTPPHQISESDQSDREVGFMDHSIDPKPTLKDAPQYEATEPDQSKKQGEVDYMNSPKDSVDESEKQVTQSEPKSIPNPDIKSADDDKGKQSLQTTDKEVPPVLEAQNETTEGEDEINSTGIERISRNIPENKNKPEDSSSQLAATPQDQGKGEIAPRKKHFWSNWPVIGDAPKAIVAEQPETDPSKEKQQEHIEVKVDEDNKAAEVVPAETTSSEPSVAENRKTLEILKSVVYGGLIESLTSLSVVTSAASADATTLNIVALSMANLIGGLFILGHNLEELKSEQVDRYVELLGEKENFIVHAFIAVISFLVFGLVAPVVYGFSFGESGDKDLKLAAVAAASLLCITMLSIAKAYIKRPSTYFKYFKTVLYYVSTGAVASLLSYIAGDLVKELIQKLGWFDSASDFSLQIHGIGIQQWGSY >Vigun10g045900.4.v1.2 pep primary_assembly:ASM411807v1:10:6753030:6756751:-1 gene:Vigun10g045900.v1.2 transcript:Vigun10g045900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEGPDKKFEGAPQHEAPNPDQSEKQMHQSEGNKVGGMDHHKGPDQEIKRAPHHEAPKHDQSRKHKNQFEDDEKYMDHPKGPDQEIKRAPHHEAPEHDHSRKHKNQFEHDVQHMDRPEGPDQKFKGEPRHHTPEFDHSKKKNHELEDVVGYMDQPKSPKHKLKGPLTPKHGEFKEQKNQVEVEDEYIDYPEGPNQKLKGVTPPHQISESDQSDREVGFMDHSIDPKPTLKDAPQYEATEPDQSKKQGEVDYMNSPKDSVDESEKQVTQSEPKSIPNPDIKSADDDKGKQSLQTTDKEVPPVLEAQNETTEGEDEINSTGIERISRNIPENKNKPEDSSSQLAATPQDQGKGEIAPRKKHFWSNWPVIGDAPKAIVAEQPETDPSKEKQQEHIEVKVDEDNKAAEVVPAETTSSEPSVAENRKTLEILKSVVYGGLIESLTSLSVVTSAASADATTLNIVALSMANLIGGLFILGHNLEELKSEQVDRYVELLGEKENFIVHAFIAVISFLVFGLVAPVVYGFSFGESGDKDLKLAAVAAASLLCITMLSIAKAYIKRPSTYFKYFKTVLYYVSTGAVASLLSYIAGDLVKELIQKLGWFDSASDFSLQIHGIGIQQWGSY >Vigun03g354400.1.v1.2 pep primary_assembly:ASM411807v1:3:55593085:55595027:1 gene:Vigun03g354400.v1.2 transcript:Vigun03g354400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPRGSGRFMRQRHSQGYSSSGDDLEDDACSRHRPFLSPSHPPKTWIEMLENFLWLASAAFVVYFGDQKSNMIHLLCHDNRIKRLPLYLGMIGIGLNTMIFIYTTFLAWSARRFDEKWGFKKWEITTLSVLPFATAFGIISFCLFSFALWPIWSFLTLPLLFTLFMASMIIIPYLIIGTLRPEYYDELRTD >Vigun05g019200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1566814:1568391:-1 gene:Vigun05g019200.v1.2 transcript:Vigun05g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPKFFDNLRFVFLISFMFCFSLLLLDYYVSVCDRGMEFLIFHMNGTHHAQRHTMMAEPSNSSSTFNETGDCTEKRIHANQNDVSAIPAAQGEVHKRSNVGVVANPVSRNLDSCSGKYIYVHDLGSRFNEDLVKGCHSLMRWFDMCPYMNNLGLGPKVTEKSKEKVLLKENWYATNQFSLEVIFHNTMKHYKCLTKDSSLASAIYVPYYAGLDVGQYLWGGFNVSTRDASPKELVKWLAQQPEWKRMWGRDHFIVGGRISWDFRRVTDSNDDWGNKLMLLPEAKNMSILLIESAGSKENEFPIPYPTYFHPTKEREIFQWQKKMRNVKRPYLFSFVGAPRPDSNSLSSSIRNEIIKQCQSSRSCRFLNCHDSHHHNNCNDPVQVTKVFQSSVFCIQPPGDSFTRRSTFDSILAGCIPVFFDPNSAYNQYFWHLPKNASSYSVYIPVTDVTQKRVIINERLSRVPRRQVLAMKKEIIRLIPRIIYRYPSSRLETVEDAFDIAVKGILGRIEAIKRDTTKVNDSSS >Vigun07g036800.1.v1.2 pep primary_assembly:ASM411807v1:7:3545533:3550842:1 gene:Vigun07g036800.v1.2 transcript:Vigun07g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKENVTAEPSLPASDGQDTISDNSSLPHSIQTIIPPVIAPSVVPPLAPIPTIPPPRPLAPLPVRPPVIRPPVPQNGEVRSSDSDSDNDDSNTRINQGTGEYEISEESRLVRDRQEKAMQELMMKRRAAALAVPTNDMAVRARLRHLGEPITLFGEREMERRDRLRMIMAKLDAEGQLEKLMKAHEDEEAAASAPKDEAEEELQYPFYTEGSKALLDARIYIAKYSLARAALRIQRAQRRRDDPDEDMDAEIDWALKQAGNLSLEFSEIGDDRPLSGCSFSRDGKWLATCSLTGASKLWNMPKIKKHSTVKGHTERATDVAFSPVHDHLATASADRTAKYWNDQGSLLKTFEGHLDRLARIAFHPSGKYLGTASFDKTWRLWDIETGEELLLQEGHSRSLYGLAFHRDGSLAASCGLDSLARVWDLRTGRSILALEGHVKPVLGISFSPNGYHLATGGEDNTCRIWDLRKKKSFYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSGRDFKPVKTLSGHEAKVTSVDVLGDGGHIVTVSHDRTIKLWSSNTNEQAMDVD >Vigun07g258300.1.v1.2 pep primary_assembly:ASM411807v1:7:37552319:37558415:-1 gene:Vigun07g258300.v1.2 transcript:Vigun07g258300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSIGTHLSLFQHLRNSKLPLHGLCTVEPPPFFGSSSCRTRFTGPIPTLLRRNVLARAEDKARDPTSSLQTQQSSQSQNLTPESGNCDPLCSLDETSSQEFEERYQSKTDSLKAVAILAAAATGAVAINHSWVATNQDLAMALLFVLGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSLGAPSTDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRNPRLLLWVIGFVTFFLSAVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTVQTVKGLFVPSAVSLAVPLALMSLTSEVNGKGQNSPNVLASEQMAPRGQLVFSVGLGALVFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGILFFLGILLSVSSLEAAGILREIANYLDAHVASSELIASSIGVISAVIDNVPLVAATMGMYDVVSFPQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKISGFAFAGYAAGIVAYLALHQLNISLPTLAEVPFLSGS >Vigun07g258300.2.v1.2 pep primary_assembly:ASM411807v1:7:37552319:37558415:-1 gene:Vigun07g258300.v1.2 transcript:Vigun07g258300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSIGTHLSLFQHLRNSKLPLHGLCTVEPPPFFGSSSCRTRFTGPIPTLLRRNVLARAEDKARDPTSSLQTQQSSQSQNLTPESGNCDPLCSLDETSSQEFEERYQSKTDSLKAVAILAAAATGAVAINHSWVATNQDLAMALLFVLGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSLGAPSTDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRNPRLLLWVIGFVTFFLSAVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTVQTVKGLFVPSAVSLAVPLALMSLTSEVNGKGQNSPNVLASEQMAPRGQLVFSVGLGALVFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGILFFLGILLSVSSLEAAGILREIANYLDAHVASSELIASSIGVISAVIDNVPLVAATMGMYDVVSFPQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKVFPSVHIRHHDNLT >Vigun07g258300.3.v1.2 pep primary_assembly:ASM411807v1:7:37552319:37558415:-1 gene:Vigun07g258300.v1.2 transcript:Vigun07g258300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSIGTHLSLFQHLRNSKLPLHGLCTVEPPPFFGSSSCRTRFTGPIPTLLRRNVLARAEDKARDPTSSLQTQQSSQSQNLTPESGNCDPLCSLDETSSQEFEERYQSKTDSLKAVAILAAAATGAVAINHSWVATNQDLAMALLFVLGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSLGAPSTDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRNPRLLLWVIGFVTFFLSAVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTVQTVKGLFVPSAVSLAVPLALMSLTSEVNGKGQNSPNVLASEQMAPRGQLVFSVGLGALVFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGILFFLGILLSVSSLEAAGILREIANYLDAHVASSELIASSIGVISAVIDNVPLVAATMGMYDVVSFPQDSEFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKISGFAFAGYAAGIVAYLALHQLNISLPTLAEVPFLSGS >Vigun05g243000.3.v1.2 pep primary_assembly:ASM411807v1:5:43606233:43611830:-1 gene:Vigun05g243000.v1.2 transcript:Vigun05g243000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVHTVTALESTFLRESLSQSSGREGDGGRGGTRSSSVLQMWREIEDEQAVRPVQGRPGEVLLQQTSDELVVDSSQENMPYSNQREGHVIEDAGLGENDSETWSQSQNEFHDEQEELNNSNHENSSDFGEVERERVRQIFREWMNSGSRDRASNNSQGNRGEWRGEIEQERCGETDQERASIIREWVQTSGQQRGASSGENREEQSSETGTQIECVRDGFVYQNEGQTEHTRRGIRKLCGRQVLLDMLKKAQMERQREVQELLDHRVVSHFPHRNRIQALLRGRFLRNDRSNGNNRSTSIAESELGLLRQKQTVSGLREGFFFRKDSFGCSQATSNLSDTSSESDIDVNAIEQTGASSSQAVPTVHSEQSRPINSGSDGLEIPCDQICSQGTPCEKLDWQGSAVHVETIDRESSSSMRVEGGDGTGQTVAMMPAEDSSNDLSQQNLQIEDTEDDSMQELSEVHTEQSQWGDITNDESNLSNQDDRVYSNIVGDVDLIESIALEVEEQEEVIIENDRSDWHQSVDDHQLSNTTNEWPQNILGSEDGENSRMQEQEAPEAWQEDGGFQEAVEIWLGGPSDNEVAPVGRIHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERQGHANVEWELQETTPSSPMAEQDSGQETRDPVVGPQGTVNSSLDHRPLPPTPPPQPLWDRHSRHDNWSQSDINNQRLGIEWDIVNDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSTDSSGTHDRVSPDDKSKWECVRKGLCCICCESNIDSLLYRCGHMCTCSKCANDLHQSRRKCPMCQAPVVEVIRAYSIQ >Vigun05g243000.2.v1.2 pep primary_assembly:ASM411807v1:5:43606233:43611830:-1 gene:Vigun05g243000.v1.2 transcript:Vigun05g243000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVHTVTALESTFLRESLSQSSGREGDGGRGGTRSSSVLQMWREIEDEQAVRPVQGRPGEVLLQQTSDELVVDSSQENMPYSNQREGHVIEDAGLGENDSETWSQSQNEFHDEQEELNNSNHENSSDFGEVERERVRQIFREWMNSGSRDRASNNSQGNRGEWRGEIEQERCGETDQERASIIREWVQTSGQQRGASSGENREEQSSETGTQIECVRDGFVYQNEGQTEHTRRGIRKLCGRQVLLDMLKKAQMERQREVQELLDHRVVSHFPHRNRIQALLRGRFLRNDRSNGNNRSTSIAESELGLLRQKQTVSGLREGFFFRKDSFGCSQATSNLSDTSSESDIDVNAIEQTGASSSQAVPTVHSEQSRPINSGSDGLEIPCDQICSQGTPCEKLDWQGSAVHVETIDRESSSSMRVEGGDGTGQTVAMMPAEDSSNDLSQQNLQIEDTEDDSMQELSEVHTEQSQWGDITNDESNLSNQDDRVYSNIVGDVDLIESIALEVEEQEEVIIENDRSDWHQSVDDHQLSNTTNEWPQNILGSEDGENSRMQEQEAPEAWQEDGGFQEAVEIWLGGPSDNEVAPVGRIHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERQGHANVEWELQETTPSSPMAEQDSGQETRDPVVGPQGTVNSSLDHRPLPPTPPPQPLWDRHSRHDNWSQSDINNQRLGIVRSFAGHNCFSLADEWDIVNDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSTDSSGTHDRVSPDDKSKWECVRKGLCCICCESNIDSLLYRCGHMCTCSKCANDLHQSRRKCPMCQAPVVEVIRAYSIQ >Vigun05g243000.1.v1.2 pep primary_assembly:ASM411807v1:5:43606233:43611830:-1 gene:Vigun05g243000.v1.2 transcript:Vigun05g243000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVHTVTALESTFLRESLSQSSGREGDGGRGGTRSSSVLQMWREIEDEQAVRPVQGRPGEVLLQQTSDELVVDSSQENMPYSNQREGHVIEDAGLGENDSETWSQSQNEFHDEQEELNNSNHENSSDFGEVERERVRQIFREWMNSGSRDRASNNSQGNRGEWRGEIEQERCGETDQERASIIREWVQTSGQQRGASSGENREEQSSETGTQIECVRDGFVYQNEGQTEHTRRGIRKLCGRQVLLDMLKKAQMERQREVQELLDHRVVSHFPHRNRIQALLRGRFLRNDRSNGNNRSTSIAESELGLLRQKQTVSGLREGFFFRKDSFGCSQATSNLSDTSSESDIDVNAIEQTGASSSQAVPTVHSEQSRPINSGSDGLEIPCDQICSQGTPCEKLDWQGSAVHVETIDRESSSSMRVEGGDGTGQTVAMMPAEDSSNDLSQQNLQIEDTEDDSMQELSEVHTEQSQWGDITNDESNLSNQDDRVYSNIVGDVDLIESIALEVEEQEEVIIENDRSDWHQSVDDHQLSNTTNEWPQNILGSEDGENSRMQEQEAPEAWQEDGGFQEAVEIWLGGPSDNEVAPVGRIHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERQGHANVEWELQETTPSSPMAEQDSGQETRDPVVGPQGTVNSSLDHRPLPPTPPPQPLWDRHSRHDNWSQSDINNQRLGIVRSFAGHNCFSLADEWDIVNDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSTDSSGTHDRVSPDDKSKWECVRKGLCCICCESNIDSLLYRCGHMCTCSKCANDLHQSRRKCPMCQAPVVEVIRAYSIQ >Vigun11g049500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7992118:7993508:-1 gene:Vigun11g049500.v1.2 transcript:Vigun11g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKNNINTSPSSGDSFSFVSTPTVESDFEFGSLTPDSPSAEPFATSPADHLFLNGRLRPHSFPLPSSRTSSTRDSLFSSLSNSTNSSCSSARTSSSDSSERKSFHNKLKGAAFMSKTPSHYQPYGCSQRWQFITPMPALNREGSLRRRTDTNQVKDKGTMKKKKKNKNNKKKSKKENKGVRLRFGRRILRWFVMACRECHAMEPSKRCNKDV >Vigun06g226300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33432018:33433445:-1 gene:Vigun06g226300.v1.2 transcript:Vigun06g226300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPVVSSNGNVQKPLHIAMLPWLAAGHVNPYSELAKIFAEKGHSVTFISTPKNIDRMPKIPQPLQPFIKLVSLPLPHTEHLPEGAESTMDIPKSKICHLKLAYDGLQDAVFELLKTSKPDWVFYDFATEWLPAIAKSLGIPCAHYNLTAAWNKVFYDPPKEVLNSKNFSIHDMCNPTWLPFETTLHLRPYEIIRAMSSRKDTDTGRTASFDLGKVYSSCDMFLLRTCREVEGEWLDYLARRYNMPVIPVGLVPPSIQIKDVGEEDKSPDWVKIKEWLDSRESSSVVYIAFGSELELSQEDLTELAHGIEISKLPFFWALKKVKGGSVELPEGFEERTKDRGFVWKSWAPQSKILGHAAIGGCITHCGTNSLVEMLNFGHVLVTIPYLLDQALFSRVVEEKKVGIEVARSEKDGSFTRECVAKTLRLAMVDEEGSSYRNNAQQMGKLFSSTHLHNHYIDDCILALHNYKAPSNT >Vigun03g103400.1.v1.2 pep primary_assembly:ASM411807v1:3:8866724:8909466:1 gene:Vigun03g103400.v1.2 transcript:Vigun03g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVHQLLLGYLGRYFKDIQKEQLKIRLEEVLLENVELILDAFDYLQLPFALKQGRVGKLSIKIPWKKPWDPIIIILEDVFISASQRGDQEWGADAVDKREFAGKKAKLAAAELGKLSRRVCGSQAGQSFISHVTTKILDSIQVDIRNFHVLYTDMQNDMGHVMFGLKFTSLTMKQHLIGSVNGRMRNGQEHKIVEVKGLEFYSRLFHGSMDLVTMNTMGDSYSASNIRLEGKHYYSILAPCDATLILSDNRLEKLDGNTPQYSVTAELSGLVISLDEVQLQHMCLVWDYICTCRLREKYGRFRPWHCLLPRKCEGWQIFWWHYAQQSVLSDVRRKLKKTSWRYFGDRLSFRRKYMNLYKIKLDFLQQEQLVDDDILRDLEQMEKESDLDDILNYRSAAESEMEEFLSRCSTPNSGKINTDIPVEKSCNDEHTVKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSYDVKDMSEATEFHPLVSSSFDSAEKHELYIFSMTFEIDQISATLCSKRHGIGIAEIIVEGGIVKSKIYKDRGIVISKFNSGKMVDLSNKKVVVHIGGPVVENHLLDNLDSCCSIRVKFSSHTDMDMLVKGILKQLEVTVDANILSNLLEFYDVFTSFKFHNERVLLSLNGIENDNTRLLSKAEYISVNHKKLVWDVTIVDVSVNFPWRNTASEYSNLVMKSKSICFKSTNGVESFSSKVEEQPYSVKNFLNSLSTSGICMGIQLQDLYQFLDVTLDNFMITMINSDGSKGISILDKFSVSIFLAFCRIPHETILKQLEVYVSIESLKAHFSPSIYGSLMELMNHIGTLHLMGEFGVLNSSHPPNTVSVVPAYSTFGISIVSKVDLVDLEVDFEYGDSHSELMVSLQKMVLRYVSTEFEEIFVSTKSLVIHANKMKEESLVLLSGNISSPGSTIGEDCVPGSNIEFNQDSDMALVADSCFIMHYESSRTDVVCHRTFMYISNTDIHCYPHITGLLIGFFDRLSAYTSSSEKSSASNTVDFSKIFSGVGLHKFGFSNYFDFGSTDSACIPMDSFPFVTIHNSGSLGNLESTLIHAIGDWRNYFIERDRKIKNSNINMRIGSEFFQVSPSKSKSGIVSNFDIFHTELHLCGIRAHFHDSSCIIGTIMVPTSKSCLLFCEDSMDISSSSEGLILTSSWGPRNFQDYLWGPSSPNLSPILNIRFRKGQNMSSTADLEISIGIQHVYCMLPSEYLSIMMGYFSLSDWDGACNHYSSGEHRDIAVENEKKITYKLEILDSNIILPVESNDHQFIKIEMPQLYCSFIENSGADDVLRNIPPECSVPIHKLAKRNDCLNVFGRDLFVSFLLCKNDLLGLAKTERITEFLTSPLIAPINADVWVRIPYENNSDCKSTSSICFMTSIGSCHIVAEDCHFFDGCTAICDVVEEFSSVDDQSKYFKSDVLQFLNSKRSMEATQTISPIPMASTISTEVKCCTQSLFISFYHRKEDFMDLIAKGDLGFICSACILNDSLAFLDLIFTTFVFYSAHDSVLAKCNPTSFSLSVLGISFSQSIDGKSEIGLCLSSVDIWLHLSEWTEVAKFLNKFHANLEKGPGQANSLSVNASESTSIPFTSEEVKNDVLIMKSENVCIAFHIPVWVGEEACVELQHAEGLNVKPSSVSFDIFEAKDAKLLTVSFNMNGFEITVRSVGIQLKSKMDKISSLIIIVENGKHTSWPLLDVIQVDVVAVFCKNHPNAIKLNVEIICDNANIWISHPAIHSWGAVKFDVLESGSSQNSTISSITFEFRMRKVSILITDGRWSHNGPELEVLVRNIWFHIVASGKQMECSFNGYLQVNYNNIEKVSWEPFIEPWQFLLTLVREQEMTVLPNRSVSTNIVLKSTTQLNINITESLVECLSRATEIFFDGPGLMRLDDHKGNKLLHSSCAEYMSARTCGAPYVLQNLTSVPLSYRVYRGLVNPDEFHGSNENHAKYVQPGSSIPIYMDENTEKKLSRFRPSHSSDSLSEQRTNGFTHHYLIVQLEGTSRSSDPISMDLVGLTCFEVNFSKSYNEAAEDGSLNTAPTLVVPVVFDVSVVRHSKLIRIYSTVVLLNATSTPLELRFDIPFGVSPTLLGPIQPGQQFPLPLHLAEAGCVRWRPMGKSYLWSEAHNLSNLLSVNSKVGNFKSFMCYPSHPSSLPFRCCLSFKNMSLTSSGLLKNKVPADDVKKHYIHHLILSAPLIINNYLPKEILLISESGGVDYTVRVSEVGTSVYHIDPSHDLGLEICIDGFKCSNFKFPRLETFCTVAKFSETKFSSSETLKFEPNNSSGPVYITVEKVMDAYSGSRELIFSVPFILYNCMGFPLCVMEPTGETNERGFVIPSYFDMGENVMLSYKKDGLSLLTSNRELPVEVPHNPRSYMKNHTVSCREDGSANSIGNYHENFGRHQSKVHSILGNPSSGRLKSTLSSRIQSTWKDSGSGNHEHEKVQPCIYSPSPDSSVNDAFVKVSRCFPEDVRQRMPYSLWSNPFSLLPPSGSSTILIPQLTSNSAFILAMSSNSVAEQYAGRTNAITFQPRYVISNACSKEISYKQKGTDVTFYLGIGKHDHLHWTDTTRELLVSICYNESGWQWSGSFLPDHLGDTQLKMRNFVSGTSNMIRVEVQNADISMGDEKIVGNIKGNSGTNLILLSDDDTGYMPYRIDNFSKERLRIYQQRCEMFDTVIHSYTSYLYTWDEPCYPRRLIVEVPGERVLGSYDLDDVKEYMPVCLPSTSEKPERTFYLSVHAEGATKVLSVLDSNYHIFNDVKKSSAAHATEKRLYDQNVVRASEYKEKISIFVPYIGISLIDSYPQELLFVCIRDVQMNLLQSLDRQCLSLLISSLQIDNQLRFTPYPVLLSFDGGYRSGQVDNFKSREDGTRTRIENLSQMSSSSVPVLCLEISKWRKKDISFISYEYVKLRIEDFRLEIEQEVILSLFEFFTNVCSVLQYGIMPSSDHYDGASLENSSLFVQTSDNFRLSADQCPPKIAPMFSGKHKRIASSPSIVPIGAPWQEIYLLARTQKKIYIEMLEVAPIKLTLSFSSAPWMLRNRILSPKEFLIHRGLMALADVEGAHIYLKDLIISHHMASLESIQEILIRHYNRQLLHETYKLFGSAGVIGNPLGFARSMGLGIRDFLSVPAKSIVRSPTGLIMGMAQGTTSLLSNTVYAISDAASQFSKAARKGIVAITYDDRAGSRMEKHQTTVASDSKGVINEVLEGLTGLLQFPVTGAERHGLPGVLSGVALGITGLVAKPAASILEVTGKTALSIRNRSKPSQLRPQHYRVRLRRPLCREFPLKPYSWEEAVGTSVLVEGDDGLKFKDEKLVACKGLKEGGKFVVLTERFVLIVFSPSLINLGKPEFCGIPVDLEWIIEWEIGLENIIHADSSEGVVHIVGSRPESLLRQNQHSPKGRSVRWNQYATHLPFPQTNLELSSKEDAANFLQILLSAIEKEKGKAWDCGRILHRARMK >Vigun04g002900.1.v1.2 pep primary_assembly:ASM411807v1:4:219908:222214:1 gene:Vigun04g002900.v1.2 transcript:Vigun04g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPKPPQPSDPPLPFDPSRMVGIIKRKALIKDLAAVYHAECLAYCQELLELQTKWEEPFIDLKTPEESKKETVRPSKRAKKLR >Vigun06g107800.1.v1.2 pep primary_assembly:ASM411807v1:6:23705924:23706599:-1 gene:Vigun06g107800.v1.2 transcript:Vigun06g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAGICGCISNILSSCFYCLCCCCLLETCCGPIFGRPPPPPPGPWGSGPGPGPGF >Vigun09g165200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33320960:33322747:-1 gene:Vigun09g165200.v1.2 transcript:Vigun09g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARKEIVGGLKELQSQENVRPDPRAFPSHLFGFSHPLKRRRLGIDEVASGNPAANPTGSRHSVSHDRNKPLQEKLPSQPADQAPPTGETNISNKFEFLIRAAKAQTGVLSESQKELVIANKRIADLTKQLDYAREECDSHYAKLNLTSKDYEKCRKKSLEDKLEWEAQEKDLKREKSELSSALEEAKDEIARSFMKGFHRAIEQVNILFPNTDLSVVDPLKIAVDGKIVAE >Vigun11g202200.2.v1.2 pep primary_assembly:ASM411807v1:11:40012028:40016719:-1 gene:Vigun11g202200.v1.2 transcript:Vigun11g202200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQATYKDEEDEEDEVPPPTTATTALATDSESPEAPPATTSTPSDTPTEQPNDARSEPDPSDELSDEEAASDGSKKSPKSGREEGDASDEEPPPKKQKQLSSITAEAAKEDSPVPATAEGANNATTPAANGSAPNAKKSKKKNNNVWATKSTRKGKKKNNRNNNNNGNNNNHANGEDNVLITPVPRFPDKSDDTAEMKICLSKVYKAEKVELSEDRMSAGSTKGYRMVRATRGVVEGAWYFEIRVVKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKIHKASREKYGEEGYKEGDVIGFYINLPDGKNYAPNTPQLVWYKGQRYVCAQDAKEDPPKVVPGSEISFFKNGICQGVAFKDLHGGRYYPAASMFTLPNEPNCTVKFNFGPDFECFPEDFKERPIPRPMIEVPYHGFDNRVENGESNEKKPQKE >Vigun11g202200.3.v1.2 pep primary_assembly:ASM411807v1:11:40012028:40016719:-1 gene:Vigun11g202200.v1.2 transcript:Vigun11g202200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQATYKDEEDEEDEVPPPTTATTALATDSESPEAPPATTSTPSDTPTEQPNDARSEPDPSDELSDEEAASDGSKKSPKSGREEGDASDEEPPPKKQKQLSSITAEAAKEDSPVPATAEGANNATTPAANGSAPNAKKSKKKNNNVWATKSTRKGKKKNNRNNNNNGNNNNHANGEDNVLITPVPRFPDKSDDTAEMKICLSKVYKAEKVELSEDRMSAGSTKGYRMVRATRGVVEGAWYFEIRVVKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKIHKASREKYGEEGYKEGDVIGFYINLPDGKNYAPNTPQLVWYKGQRYVCAQDAKEDPPKVVPGSEISFFKNGICQGVAFKDLHGGRYYPAASMFTLPNEPNCTVKFNFGPDFECFPEDFKERPIPRPMIEVPYHGFDNRVENGESNEKKPQKE >Vigun04g039900.4.v1.2 pep primary_assembly:ASM411807v1:4:3392292:3395994:1 gene:Vigun04g039900.v1.2 transcript:Vigun04g039900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVQIMIFFVLPTRLGASGANYAKNLLRAAILVQYVPRLFRILPLLIGQSATGFIFESAWANFIINLLIFMLASHIVGSCWYLFGLQRVNQCLRDACGKSHIDRCMTVIDCGRRGYIRNNYSDQASALWNNNTDAIACLNSSPGGFPYGIYLNVVPLTTEISLTNKYIYSLFWGFQQISTLAGSLTPSRFWGEVLFTMAIIGLGLLLFAVLIGNIHNFLQGLGRRRLEMQLRGRDVEQWMKHRRLPEDLRKKVRRAERYNWAATKGVNEEMILENLPEDLQRDIRRHLFKFVKKVRIFTLMDEPILDAVCERLRQKTYIKGSIILNQGCLVEKMVFIVRGKLESIGEDGVGVPLSEGDACGEELLTWYLEHSSSVSTDGKKVRLPGQRWLSNRTVKCLTNVEAFSIRAEDLEEVTSRFMRFLRNLRVQGSLRYESPYWRSLAATRIQVAWRYRKKRRSHSMTSRLDQSMSL >Vigun04g039900.3.v1.2 pep primary_assembly:ASM411807v1:4:3389887:3395507:1 gene:Vigun04g039900.v1.2 transcript:Vigun04g039900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIVLLVQLTSRPLCKDFQMLLLCLILSALYGDAKGFGRKILSFCSSCVPGVMNPHSKVVQQWNKLLAIFCMGAIFVDPLFLFLIYAQKDDKCIAIDWGTRKILVFLRTLNDTVYFLNILLQFRLAYVSPESRVVGAGDLVDHPKKIALHYLKGYFLFDLFVVFPLPQIMIFFVLPTRLGASGANYAKNLLRAAILVQYVPRLFRILPLLIGQSATGFIFESAWANFIINLLIFMLASHIVGSCWYLFGLQRVNQCLRDACGKSHIDRCMTVIDCGRRGYIRNNYSDQASALWNNNTDAIACLNSSPGGFPYGIYLNVVPLTTEISLTNKYIYSLFWGFQQISTLAGSLTPSRFWGEVLFTMAIIGLGLLLFAVLIGNIHNFLQGLGRRRLEMQLRGRDVEQWMKHRRLPEDLRKKVRRAERYNWAATKGVNEEMILENLPEDLQRDIRRHLFKFVKKVRIFTLMDEPILDAVCERLRQKTYIKGSIILNQGCLVEKMVFIVRGKLESIGEDGVGVPLSEGDACGEELLTWYLEHSSSVSTDGKKVRLPGQRWLSNRTVKCLTNVEAFSIRAEDLEEVTSRFMRFLRNLRVQGSLRYESPYWRSLAATRIQVAWRYRKKRRSHSMTSRLDQSMSL >Vigun04g039900.2.v1.2 pep primary_assembly:ASM411807v1:4:3388177:3395506:1 gene:Vigun04g039900.v1.2 transcript:Vigun04g039900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEQTGEPSSCETHAQHNGHSRFVKVVSRTRSASISVPVISMEPYEREISLVGHTTTNGTENPLNHTIVVEGNKVVESKTEKFCNLSEKDENHCNNNYDRKNEHFVRSRLLGTCNDPYCTTCPTYFKASMQRLPNASSVFDPQFHFRSALYGDAKGFGRKILSFCSSCVPGVMNPHSKVVQQWNKLLAIFCMGAIFVDPLFLFLIYAQKDDKCIAIDWGTRKILVFLRTLNDTVYFLNILLQFRLAYVSPESRVVGAGDLVDHPKKIALHYLKGYFLFDLFVVFPLPQIMIFFVLPTRLGASGANYAKNLLRAAILVQYVPRLFRILPLLIGQSATGFIFESAWANFIINLLIFMLASHIVGSCWYLFGLQRVNQCLRDACGKSHIDRCMTVIDCGRRGYIRNNYSDQASALWNNNTDAIACLNSSPGGFPYGIYLNVVPLTTEISLTNKYIYSLFWGFQQISTLAGSLTPSRFWGEVLFTMAIIGLGLLLFAVLIGNIHNFLQGLGRRRLEMQLRGRDVEQWMKHRRLPEDLRKKVRRAERYNWAATKGVNEEMILENLPEDLQRDIRRHLFKFVKKVRIFTLMDEPILDAVCERLRQKTYIKGSIILNQGCLVEKMVFIVRGKLESIGEDGVGVPLSEGDACGEELLTWYLEHSSSVSTDGKKVRLPGQRWLSNRTVKCLTNVEAFSIRAEDLEEVTSRFMRFLRNLRVQGSLRYESPYWRSLAATRIQVAWRYRKKRRSHSMTSRLDQSMSL >Vigun04g039900.1.v1.2 pep primary_assembly:ASM411807v1:4:3389888:3395506:1 gene:Vigun04g039900.v1.2 transcript:Vigun04g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEQTGEPSSCETHAQHNGHSRFVKVVSRTRSASISVPVISMEPYEREISLVGHTTTNGTENPLNHTIVVEGNKVVESKTEKFCNLSEKDENHCNNNYDRKNEHFVRSRLLGTCNDPYCTTCPTYFKASMQRLPNASSVFDPQFHFRSALYGDAKGFGRKILSFCSSCVPGVMNPHSKVVQQWNKLLAIFCMGAIFVDPLFLFLIYAQKDDKCIAIDWGTRKILVFLRTLNDTVYFLNILLQFRLAYVSPESRVVGAGDLVDHPKKIALHYLKGYFLFDLFVVFPLPQIMIFFVLPTRLGASGANYAKNLLRAAILVQYVPRLFRILPLLIGQSATGFIFESAWANFIINLLIFMLASHIVGSCWYLFGLQRVNQCLRDACGKSHIDRCMTVIDCGRRGYIRNNYSDQASALWNNNTDAIACLNSSPGGFPYGIYLNVVPLTTEISLTNKYIYSLFWGFQQISTLAGSLTPSRFWGEVLFTMAIIGLGLLLFAVLIGNIHNFLQGLGRRRLEMQLRGRDVEQWMKHRRLPEDLRKKVRRAERYNWAATKGVNEEMILENLPEDLQRDIRRHLFKFVKKVRIFTLMDEPILDAVCERLRQKTYIKGSIILNQGCLVEKMVFIVRGKLESIGEDGVGVPLSEGDACGEELLTWYLEHSSSVSTDGKKVRLPGQRWLSNRTVKCLTNVEAFSIRAEDLEEVTSRFMRFLRNLRVQGSLRYESPYWRSLAATRIQVAWRYRKKRRSHSMTSRLDQSMSL >Vigun04g039900.5.v1.2 pep primary_assembly:ASM411807v1:4:3392083:3395507:1 gene:Vigun04g039900.v1.2 transcript:Vigun04g039900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVLPTRLGASGANYAKNLLRAAILVQYVPRLFRILPLLIGQSATGFIFESAWANFIINLLIFMLASHIVGSCWYLFGLQRVNQCLRDACGKSHIDRCMTVIDCGRRGYIRNNYSDQASALWNNNTDAIACLNSSPGGFPYGIYLNVVPLTTEISLTNKYIYSLFWGFQQISTLAGSLTPSRFWGEVLFTMAIIGLGLLLFAVLIGNIHNFLQGLGRRRLEMQLRGRDVEQWMKHRRLPEDLRKKVRRAERYNWAATKGVNEEMILENLPEDLQRDIRRHLFKFVKKVRIFTLMDEPILDAVCERLRQKTYIKGSIILNQGCLVEKMVFIVRGKLESIGEDGVGVPLSEGDACGEELLTWYLEHSSSVSTDGKKVRLPGQRWLSNRTVKCLTNVEAFSIRAEDLEEVTSRFMRFLRNLRVQGSLRYESPYWRSLAATRIQVAWRYRKKRRSHSMTSRLDQSMSL >Vigun11g182000.1.v1.2 pep primary_assembly:ASM411807v1:11:38537188:38539902:1 gene:Vigun11g182000.v1.2 transcript:Vigun11g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNSGFKMPIIGLGVWRMEGKAIKEIILNSIKIGYRHFDCAADYKNEAEVGEALKEALDSGLVKREDLFITTKLWNSDHGHVPEACKDSLKKLQLTYLDLYLVHFPVAVRHTGVGNTSSTLDDDGVLDIDTTISLETTWHAMEDLVSSGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGGAANSEWFGTVSCLDDQVFKGLAEKYKKTAAQIALRWGIQRNTVVIPKSSKVERLKENFQVFDFELSKEDLMLIGNLDKAYRTNQPAVFWGINLYA >Vigun11g180800.1.v1.2 pep primary_assembly:ASM411807v1:11:38456419:38458470:1 gene:Vigun11g180800.v1.2 transcript:Vigun11g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAKALKPSLRTEFIFPQAICDEIFCFNANNIVVGEDFSVDDLLDFSNGEFQLGKDFDVSEEEEDEEKDSTSGSSQDRTEDDSNSNSTAAGGAGDSDSVFAGELSVPADDVADLEWVSHFVDDSLPELSLLYPVPPEQTRVWAEPKPRPGRAQNGSAIPRRPRTGKSRKPNARVWSFTVSPPSLCFSVLAGSVEFGEPTAKKQKKKAEAQSGAQFQRRCSHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGDIHSNSHRKVLEMRRRKETSEPQTGSDRTQLVPSC >Vigun11g180800.2.v1.2 pep primary_assembly:ASM411807v1:11:38456535:38458440:1 gene:Vigun11g180800.v1.2 transcript:Vigun11g180800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAKALKPSLRTEFIFPQAICDEIFCFNANNIVVGEDFSVDDLLDFSNGEFQLGKDFDVSEEEEDEEKDSTSGSSQDRTEDDSNSNSTAAGGAGDSDSVFAGELSVPADDVADLEWVSHFVDDSLPELSLLYPVPPEQTRVWAEPKPRPGRAQNGSAIPRRPRTGKSRKPNARVWSFTVSPPSLCFSVLAGSVEFGEPTAKKQKKKAEAQSGAQFQRRCSHCQVQKTPQWRTGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGDIHSNSHRKVLEMRRRKETSEPQTGSDRTQLVPSC >Vigun08g078460.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15482179:15482511:-1 gene:Vigun08g078460.v1.2 transcript:Vigun08g078460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun03g063000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5168306:5170464:1 gene:Vigun03g063000.v1.2 transcript:Vigun03g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSQNTIPPLFRCPISLDLLEDPVTLCTGQTYDRSNIEKWLAAGNLTCPVTMQKLHDPSIVPNHTLRHLIDQWLQLGPLFDPSNPETSTSDSLASLKRNLESHESSLDTKLQALTKISLLSDEYCSFNKSCFLQLNFLPLLLELVFGTPVSIDHMNFIELALCCIQKLLPLGSLEPLNIIKDGSKLAMFVVLIEKGSNSVKTSLCRVIESASWSSETEELCYILGNSPKLVHEIVQIVNQNYEVSGDAIKAMSALCSLQSNRESLVRGRAIDGIMRYISSGRRNMAPLAVRTVEKLMELESGRVALVNHPNGVRTLVNMVFKVSDQECSESAVEVLLVVCGEFERARETAIESGVLTRLLLLLQSQSSNTTKSKARMLLKLLRSESRQN >Vigun03g436600.4.v1.2 pep primary_assembly:ASM411807v1:3:64048287:64053940:-1 gene:Vigun03g436600.v1.2 transcript:Vigun03g436600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPCWKRKKRLTLQCVFDAEHPEVVSVFLNKLRKLHTTHSWEFMDMKRGNVVPPDSVFRKARYGEDSIIAHLDTGVWPESPSFSDEGMGPIPSRWKGICQHDMTGFRCNRKLIGARYFNKGYLSFLEEAGETKFNKSQNTVRDYEGHGSHTLSTMGGTFVPGASVFGFGNGTAQGGSPKARVVSYKVCWPEFDGGQCADADIMAGLDMAIHDGVDVLSLSLGTGPMDYFEDGLAIGAFHATMKNIPVVCSGGNSGPYPKTVSNIAPWILTVAASTLDREFRSVVRLHNGQRFKGASLAKAMPEDKLYPLINAADAKCGNESVENATLCLSGTIDPEKARGKILVCLRGKSARMDKSAVALNAGAAGMILCNDESTGNGITADPHMLPASQLTYKDGLAIYAYINSTETPLGYIEPPMTELGIKPSPVMAGFSSRGPNTVTSEILKPDITAPGVDIIAAYSGAVSPTDFDYDKRRVPFITMSGTSMSCPHVAGVVGLLRTLYPTWSSAAIKSAIMTTARTKDNTGKPILDVDGENADSFAYGSGHIRPNRAMDPGLVYDLSMNDYLNFLCVTGYNQTQITTFSGAHYRCPEIIKVLDFNYPSITIPKLYATATLTRRLKNVGSPGTYTARLKVPESLSISVEPRVLKFDKIGEEKSYKITVKVTLPGEATAFGGITWSDGKHHVRSPIVVGGVKG >Vigun03g436600.1.v1.2 pep primary_assembly:ASM411807v1:3:64048287:64053940:-1 gene:Vigun03g436600.v1.2 transcript:Vigun03g436600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSYTRHINGFAAMLEEEEAADIAEHPEVVSVFLNKLRKLHTTHSWEFMDMKRGNVVPPDSVFRKARYGEDSIIAHLDTGVWPESPSFSDEGMGPIPSRWKGICQHDMTGFRCNRKLIGARYFNKGYLSFLEEAGETKFNKSQNTVRDYEGHGSHTLSTMGGTFVPGASVFGFGNGTAQGGSPKARVVSYKVCWPEFDGGQCADADIMAGLDMAIHDGVDVLSLSLGTGPMDYFEDGLAIGAFHATMKNIPVVCSGGNSGPYPKTVSNIAPWILTVAASTLDREFRSVVRLHNGQRFKGASLAKAMPEDKLYPLINAADAKCGNESVENATLCLSGTIDPEKARGKILVCLRGKSARMDKSAVALNAGAAGMILCNDESTGNGITADPHMLPASQLTYKDGLAIYAYINSTETPLGYIEPPMTELGIKPSPVMAGFSSRGPNTVTSEILKPDITAPGVDIIAAYSGAVSPTDFDYDKRRVPFITMSGTSMSCPHVAGVVGLLRTLYPTWSSAAIKSAIMTTARTKDNTGKPILDVDGENADSFAYGSGHIRPNRAMDPGLVYDLSMNDYLNFLCVTGYNQTQITTFSGAHYRCPEIIKVLDFNYPSITIPKLYATATLTRRLKNVGSPGTYTARLKVPESLSISVEPRVLKFDKIGEEKSYKITVKVTLPGEATAFGGITWSDGKHHVRSPIVVGGVKG >Vigun03g436600.2.v1.2 pep primary_assembly:ASM411807v1:3:64048020:64053940:-1 gene:Vigun03g436600.v1.2 transcript:Vigun03g436600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSYTRHINGFAAMLEEEEAADIAEHPEVVSVFLNKLRKLHTTHSWEFMDMKRGNVVPPDSVFRKARYGEDSIIAHLDTGIVIIIRSCVWPESPSFSDEGMGPIPSRWKGICQHDMTGFRCNRKLIGARYFNKGYLSFLEEAGETKFNKSQNTVRDYEGHGSHTLSTMGGTFVPGASVFGFGNGTAQGGSPKARVVSYKVCWPEFDGGQCADADIMAGLDMAIHDGVDVLSLSLGTGPMDYFEDGLAIGAFHATMKNIPVVCSGGNSGPYPKTVSNIAPWILTVAASTLDREFRSVVRLHNGQRFKGASLAKAMPEDKLYPLINAADAKCGNESVENATLCLSGTIDPEKARGKILVCLRGKSARMDKSAVALNAGAAGMILCNDESTGNGITADPHMLPASQLTYKDGLAIYAYINSTETPLGYIEPPMTELGIKPSPVMAGFSSRGPNTVTSEILKPDITAPGVDIIAAYSGAVSPTDFDYDKRRVPFITMSGTSMSCPHVAGVVGLLRTLYPTWSSAAIKSAIMTTARTKDNTGKPILDVDGENADSFAYGSGHIRPNRAMDPGLVYDLSMNDYLNFLCVTGYNQTQITTFSGAHYRCPEIIKVLDFNYPSITIPKLYATATLTRRLKNVGSPGTYTARLKVPESLSISVEPRVLKFDKIGEEKSYKITVKVTLPGEATAFGGITWSDGKHHVRSPIVVGGVKG >Vigun03g436600.3.v1.2 pep primary_assembly:ASM411807v1:3:64048020:64053940:-1 gene:Vigun03g436600.v1.2 transcript:Vigun03g436600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSYTRHINGFAAMLEEEEAADIAEHPEVVSVFLNKLRKLHTTHSWEFMDMKRGNVVPPDSVFRKARYGEDSIIAHLDTGIVIIIRSCVWPESPSFSDEGMGPIPSRWKGICQHDMTGFRCNRKLIGARYFNKGYLSFLEEAGETKFNKSQNTVRDYEGHGSHTLSTMGGTFVPGASVFGFGNGTAQGGSPKARVVSYKVCWPEFDGGQCADADIMAGLDMAIHDGVDVLSLSLGTGPMDYFEDGLAIGAFHATMKNIPVVCSGGNSGPYPKTVSNIAPWILTVAASTLDREFRSVVRLHNGQRFKGASLAKAMPEDKLYPLINAADAKCGNESVENATLCLSGTIDPEKARGKILVCLRGKSARMDKSAVALNAGAAGMILCNDESTGNGITADPHMLPASQLTYKDGLAIYAYINSTETPLGYIEPPMTELGIKPSPVMAGFSSRGPNTVTSEILKPDITAPGVDIIAAYSGAVSPTDFDYDKRRVPFITMSGTSMSCPHVAGVVGLLRTLYPTWSSAAIKSAIMTTARTKDNTGKPILDVDGENADSFAYGSGHIRPNRAMDPGLVYDLSMNDYLNFLCVTGYNQTQITTFSGAHYRCPEIIKVLDFNYPSITIPKLYATATLTRRLKNVGSPGTYTARLKVPESLSISVEPRVLKFDKIGEEKSYKITVKVTLPGEATAFGGITWSDGKHHVRSPIVVGGVKG >Vigun05g227000.3.v1.2 pep primary_assembly:ASM411807v1:5:41983069:41985901:1 gene:Vigun05g227000.v1.2 transcript:Vigun05g227000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMPEPKGLGRTTAMEAEKLNVVSEGCNTRILQEKEVKRETKGIYGEVSKTQNAIQTLDKTISNLEMELAAAKAAQESIRSGAPVPANAKMSESSGKRRYLMVVGINTAFSSRKRRDSVRETWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVNLWDADFYIKVDDDVHVNIATLGQTLVRHRSKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISNNKHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSAERIKEVHKRCGEGEKALWSASF >Vigun05g227000.2.v1.2 pep primary_assembly:ASM411807v1:5:41981677:41985901:1 gene:Vigun05g227000.v1.2 transcript:Vigun05g227000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGDLLPKSVMSKKWVIFLCIGSFCAGMVFTNRMWTMPEPKGLGRTTAMEAEKLNVVSEGCNTRILQEKEVKRETKGIYGEVSKTQNAIQTLDKTISNLEMELAAAKAAQESIRSGAPVPANAKMSESSGKRRYLMVVGINTAFSSRKRRDSVRETWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVNLWDADFYIKVDDDVHVNIATLGQTLVRHRSKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISNNKHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSAERIKEVHKRCGEGEKALWSASF >Vigun05g227000.1.v1.2 pep primary_assembly:ASM411807v1:5:41981677:41985901:1 gene:Vigun05g227000.v1.2 transcript:Vigun05g227000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGDLLPKSVMSKKWVIFLCIGSFCAGMVFTNSRMWTMPEPKGLGRTTAMEAEKLNVVSEGCNTRILQEKEVKRETKGIYGEVSKTQNAIQTLDKTISNLEMELAAAKAAQESIRSGAPVPANAKMSESSGKRRYLMVVGINTAFSSRKRRDSVRETWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVNLWDADFYIKVDDDVHVNIATLGQTLVRHRSKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISNNKHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSAERIKEVHKRCGEGEKALWSASF >Vigun08g157600.1.v1.2 pep primary_assembly:ASM411807v1:8:33010125:33014707:1 gene:Vigun08g157600.v1.2 transcript:Vigun08g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCCGWNRRTEQRFRLLAISTVKSVKIKLLLCCCVALTLLAFSTSASSFVLWNNQTPLSPRSSDPRRGYSIVMNTWKRYDLLKQSIKHYSSCPRLESVHIVWSEPNPPSDILLKFLHHVVKSKPKDGRQVKLKFDINTEDSLNNRFKEIKDLETDAVFSIDDDVIFPCSSVEFAFDVWQSAPDTMVGFVPRVHWMDSLKDNDNKFSYGGWWSVWWTGTYSMVLSKAAFFHKKYFNIYTNEMPSSIKEYVTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSERRTECVNRFAAVYGRMPLISTSVKAVDSRNIWFW >Vigun09g186700.1.v1.2 pep primary_assembly:ASM411807v1:9:35997650:35999820:1 gene:Vigun09g186700.v1.2 transcript:Vigun09g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYDHDFLEELMTLRRETWDTTNPSTEETQFFCNGWSSFDCFDQNSLPYLPNSSSAQEVPQSYNDYHPFNEIYGSLLDESSPQIIDSYYSTTLDTPLNTLGQEDYPLSIMEEEDPGMLGEELKNLELQTTCKAEPTQSSEMPVFNIGTGLERKNRSKKLQGQPSKNLMAERRRRKRLNDRLSMLRAIVPKISKMDRTSILGDTIDYMKELLEKINNLQQEVEVDSKMVGIFKDVKPNEILVRNSPKFEVERTSDTRVEICCAGKPGLLQSTVNTLEALGLEIQQCVISCFNDFTMQASCSEESEQSAMLSSEDIKQALYRSAGYGGRCL >Vigun02g189700.4.v1.2 pep primary_assembly:ASM411807v1:2:32752274:32757728:1 gene:Vigun02g189700.v1.2 transcript:Vigun02g189700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPRQPFREEEEEEPPCRGTISGQSMSTSRSVGSPSSRSEQTMATPASDNTFLRLNNLDIHGDDAGSQGAVASKKKKRGQRTVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGASTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKASYLQELEDQYIGLQKLIERNEQLYSSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERSQNDNKPDNPPDGGEGSSMSSLYHAQIPSSVSNPPARPPSSPPLPGILKARVKNEH >Vigun02g189700.2.v1.2 pep primary_assembly:ASM411807v1:2:32751763:32758377:1 gene:Vigun02g189700.v1.2 transcript:Vigun02g189700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPRQPFREEEEEEPPCRGTISGQSMSTSRSVGSPSSRSEQTMATPASDNTFLRLNNLDIHGDDAGSQGAVAKKKKRGQRTVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGASTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKASYLQELEDQYIGLQKLIERNEQLYSSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERSQNDNKPDNPPDGGEGSSMSSLYHAQIPSSVSNPPARPPSSPPLPGILKARVKNEH >Vigun02g189700.1.v1.2 pep primary_assembly:ASM411807v1:2:32751763:32758377:1 gene:Vigun02g189700.v1.2 transcript:Vigun02g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPRQPFREEEEEEPPCRGTISGQSMSTSRSVGSPSSRSEQTMATPASDNTFLRLNNLDIHGDDAGSQGAVASKKKKRGQRTVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGASTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKASYLQELEDQYIGLQKLIERNEQLYSSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERSQNDNKPDNPPDGGEGSSMSSLYHAQIPSSVSNPPARPPSSPPLPGILKARVKNEH >Vigun02g189700.3.v1.2 pep primary_assembly:ASM411807v1:2:32751763:32758377:1 gene:Vigun02g189700.v1.2 transcript:Vigun02g189700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPRQPFREEEEEEPPCRGTISGQSMSTSRSVGSPSSRSEQTMATPASDNTFLRLNNLDIHGDDAGSQGAVAKKKKRGQRTVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGASTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKASYLQELEDQYIGLQKLIERNEQLYSSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERSQNDNKPDNPPDGGEGSSMSSLYHAQIPSSVSNPPARPPSSPPLPGILKARVKNEH >Vigun03g225800.2.v1.2 pep primary_assembly:ASM411807v1:3:37558766:37568454:1 gene:Vigun03g225800.v1.2 transcript:Vigun03g225800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEDQSLSELEEEIFEKFRAFMTGIAKIDELGIAGSRLLSGFQQAIVHSCTQGLCNLIREAKEILNELEGLLGDVTSAIQTTDGKLLAFSGLDFDVELIEQTTYNDLEEKDALSHSQSPDITSTKKKKSVDVTHLAMVMAFIYSMVKQDYLMQEKIVSALDLKMSSEELESYCQMWSLRPFINDEIVNGAWEHIH >Vigun03g225800.1.v1.2 pep primary_assembly:ASM411807v1:3:37558766:37568454:1 gene:Vigun03g225800.v1.2 transcript:Vigun03g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEDQSLSELEEEIFEKFRAFMTGIAKIDELGIAGSRLLSGFQQAIEFIRRPPIDMNSKLVDKIIVANETKRVKAYINSGCRKLNESIQSLTNFHSCTQGLCNLIREAKEILNELEGLLGDVTSAIQTTDGKLLAFSGLDFDVELIEQTTYNDLEEKDALSHSQSPDITSTKKKKSVDVTHLAMVMAFIYSMVKQDYLMQEKIVSALDLKMSSEELESYCQMWSLRPFINDEIVNGAWEHIH >Vigun05g098900.2.v1.2 pep primary_assembly:ASM411807v1:5:9676283:9678798:-1 gene:Vigun05g098900.v1.2 transcript:Vigun05g098900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGIMKPPRGKLPLPIIIITTCVLVFVAILYAERLSFLSSNSIFKFKPCPRQITKPKSTDAGDKTADEEVVIVNVNANASSWIDDSFDFDPEECNVANGKWVFNSSVTPLYSDISCPYIDRQFSCVKNGRNDSDYRHWEWQPEDCTLPRFNPELALRKLQGKRLLFVGDSLQRNQWESFVCLVEWIIPHKHKSMRLGRVHSVFTAKAYNATIEFYWAPYLVESNSDIDIIDIRKRIIKVDAIAERATNWTGVDILVFNTYVWWMSGIRIKTIWGSFANGQEGYEEFDTPIAYKLALKTWANWIDSTINPNKTRVFFTTMSPTHTRSQDWGNMEGVKCFNETKPVMKKKHWGTGSDKRIMSVVSKVVEEMKVPVTFINITQLSEYRIDGHSSVYTETGGKLLTEEERANPENADCIHWCLPGVPDTWNQILLAML >Vigun05g098900.1.v1.2 pep primary_assembly:ASM411807v1:5:9676232:9679091:-1 gene:Vigun05g098900.v1.2 transcript:Vigun05g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGIMKPPRGKLPLPIIIITTCVLVFVAILYAERLSFLSSNSIFKFKPCPRQITKPKSNAGDKTADEEVVIVNVNANASSWIDDSFDFDPEECNVANGKWVFNSSVTPLYSDISCPYIDRQFSCVKNGRNDSDYRHWEWQPEDCTLPRFNPELALRKLQGKRLLFVGDSLQRNQWESFVCLVEWIIPHKHKSMRLGRVHSVFTAKAYNATIEFYWAPYLVESNSDIDIIDIRKRIIKVDAIAERATNWTGVDILVFNTYVWWMSGIRIKTIWGSFANGQEGYEEFDTPIAYKLALKTWANWIDSTINPNKTRVFFTTMSPTHTRSQDWGNMEGVKCFNETKPVMKKKHWGTGSDKRIMSVVSKVVEEMKVPVTFINITQLSEYRIDGHSSVYTETGGKLLTEEERANPENADCIHWCLPGVPDTWNQILLAML >Vigun07g048400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5000313:5001867:-1 gene:Vigun07g048400.v1.2 transcript:Vigun07g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFSTVLSLSLALFLLLLTQAYSDDFSFNFKSFDQSNLILQGDAVVSTAGRIRLTKVKGNGKPTPASLGRAFYSAPIKIWDSTTGKVASWATSFTFNINAPNKSNSADGLAFALVPVGSEPKSNAGFLGLFDNATYDSSAQTVAVEFDTYSNPKWDPEPRHIGIDVNSIESIRWASWGLANGQNAEILITYDASTQLLVASLVHPSRRTSYIVSERVDLKSVLPETVIIGFSATTGLLAGSTETHDILSWSFASKLSDGNGITTGGLDLAKFVLNKFI >Vigun01g022700.1.v1.2 pep primary_assembly:ASM411807v1:1:2402338:2406791:-1 gene:Vigun01g022700.v1.2 transcript:Vigun01g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQLQKEETEVHIKASADKVYDVFCNKTYLVANIFPEIVQSVEIQQGQWGTDKSIIIWTYFHEGKTSVAKEIVEDIDKENHKMSFKVIEGDVMEHYKSLKFIMQATPKEKGSVVNWVAEYEKQKDTIHDPRTLLQIIVEETKKIDEYLTKNPN >VigunL060727.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:38133:38294:-1 gene:VigunL060727.v1.2 transcript:VigunL060727.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun07g181600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29835354:29838075:-1 gene:Vigun07g181600.v1.2 transcript:Vigun07g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSMGNRYLAQLTHTSLARAVHAHILTSGFKPFPLIINRLIDRYCKSSNITYARHLFDRIPKPDIVATTTLLSAYSAAGNIKLAHQLFNATPLTIRDTVSYNAMITAFSHSHDGHAALQLFIQMKRLGFVPDPFSFSSVLGALSLIADEERHCQQFHCEVLKRGVLCGPSVLNALMSSYVGCASSPLVDSCLLMASARKLFDEAPRGVRDEPSWTTIIAGYVRNGDLVAARELLDGMTDHIAVAWNAMISGYVHRGFYESAFDLLRKMHSLGIQLDEYTYTSVISAASNAGLFYIGRQVHAYVLRTVLQPSQHFVLSVNNVLITFYTRFGKLAEAKQVFDKMPVKDLVSWNAILSGYVNARCIEEANSIFGEMPERSLLTWTVMISGLAQNGFGEDGLKLFNQIKLEGLEPCDYAYAGAIASCSVLGSLDNGQQLHSQIIRLGHDSSLSVGNALITMYARCGLVEAADTVFVTMPYVDSVSWNAMIAALAQHGHGVKAIQLYEQMLKEDILPDRITFLTILSACSHAGLVKEGRHYFDTMRAHYGITPEEDHYSRLIDLLCRAGMFSEAKNVTESMPFEPSAPIWEALLSGCRIHGNTELGIQAAEKLLKLMPQQDGTYISLSNMYATLGQWDEVARVRKLMRERGVKKEPGCSWIEVENMVHVFLVDDAVHPEVHAVYKYLEHLVHEMKKLGYVPDTKYVLHDMESEQKENALSTHSEKLAVVYGIMKIPLGATIRVFKNLRICGDCHSAFKFISKVVDREIIVRDRKRFHHFRNGECSCGNYW >Vigun06g038400.1.v1.2 pep primary_assembly:ASM411807v1:6:15632961:15633630:-1 gene:Vigun06g038400.v1.2 transcript:Vigun06g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FISLFFYCSVEGFQYWIIAQTWPAGFCNHNVCDATKPIPLKFTIHGLWPSNYNMSPPLPCSKSKLNVSLINGIVPILDQDWPSYKTINSYFWNYEWQKHGSCSNMLPFDYFTLALDIYKRNDLQQILKDANILHDNTYGINKIMTAIQTSRVGVQPQLSCEKGDLVEIRLCLNINPIPQYINCPPNKACPTYVNFI >Vigun06g037700.2.v1.2 pep primary_assembly:ASM411807v1:6:15396217:15416751:1 gene:Vigun06g037700.v1.2 transcript:Vigun06g037700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSFEQTSNLKNLSVFSTLEAKRQQENFCSETSDVSTQTGKDSVLQPCQTFEKCSQGRFRSVSELSTAVDTFCGSGAIDLGKALRGLAAPEEHDANDKTADFADGHPVLGNLLSECTLPGQKVPLDLTLKSSMRIVSSSVNWSVMCGTMPQLSFQNSYLKNQNVRGSYGLHSWMYPQSILPPSLISVLSSSTSDGELDFLRKRQVAWEESFRDLYYMLRKDICGLFYVCTVQFVVMFTGGDSSGKSKCSCNAYISQSTQGLRSLLREYDVCFSMPFCHSKGEQVATEDLVELSEIEKQNLGQIKCTETRRAEDIAASYNGSIWKYGESIQGSSNDLCTIEIKDALLPPWIICRICALMSSEGRSFEASFATDFNSVGLNVALKSICEKVKSEAVVDSESVQTQINTFGIPEALVTPQMCSSSLKGVRYSDGSYKVSLSPA >Vigun05g043600.2.v1.2 pep primary_assembly:ASM411807v1:5:3618040:3621371:1 gene:Vigun05g043600.v1.2 transcript:Vigun05g043600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNEEQDEPVSPMGQYFNSSALCIYIVGVLEFEVPIHDLQTFTLIKDVFLPINPRFSSIMVQDKDGEKRWKQVEVNLKHHVQFPKFPKGKTVESYDKFFHDYLSNIAMEQLPQSRPLWEIHVINYPSKDACGSIIFKLHHALGDGYSLVGALLSCLQRADDPSLPLSFPTLRPPKPQLSSTKSFWRRFSWMFSSAINTASDFGWSVLKSSIMSDDKTPIRSGDEGTEFRPISISTMDFSIDHIKNIKSSLRVTINDVITGMVFYGTRLYMQDMDLKSKTADSTALVLLNTRNIEGYQTINDMLNSKAKGPWGNKISFLHVPIPKLNQNTMSNPLHFIWDSHNIIKRKKQSLAVALTGTLLDMENKFRGQEAVAKHLRGTVMNSSAVISSLVGPTQQMSLANHPVKGLYFTLAGGPESLAISVMSYVGVLRITLKTEKDFIDEEKLKACIQSAFQMILKAATENS >Vigun05g043600.1.v1.2 pep primary_assembly:ASM411807v1:5:3617868:3621371:1 gene:Vigun05g043600.v1.2 transcript:Vigun05g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNEEQDEPVSPMGQYFNSSALCIYIVGVLEFEVPIHDLQTFTLIKDVFLPINPRFSSIMVQDKDGEKRWKQVEVNLKHHVQFPKFPKGKTVESYDKFFHDYLSNIAMEQLPQSRPLWEIHVINYPSKDACGSIIFKLHHALGDGYSLVGALLSCLQRADDPSLPLSFPTLRPPKPQLSSTKSFWRRFSWMFSSAINTASDFGWSVLKSSIMSDDKTPIRSGDEGTEFRPISISTMDFSIDHIKNIKSSLRVTINDVITGMVFYGTRLYMQDMDLKSKTADSTALVLLNTRNIEGYQTINDMLNSKAKGPWGNKISFLHVPIPKLNQNTMSNPLHFIWDSHNIIKRKKQSLAVALTGTLLDMENKFRGQEAVAKHLRGTVMNSSAVISSLVGPTQQMSLANHPVKGLYFTLAGGPESLAISVMSYVGVLRITLKTEKDFIDEEKLKACIQSAFQMILKAATENS >Vigun03g108100.2.v1.2 pep primary_assembly:ASM411807v1:3:9672650:9679799:1 gene:Vigun03g108100.v1.2 transcript:Vigun03g108100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLGIGIRSGSYGSLDKQVQNGSGRKAPKMLKEKEKERLFLWICKFAGRKKVGMLFLCLISAAVFIWVLYVGKGEDSQEGNTVPNIGDNESISASDSKSEISRKNAMDLTTKLSSPPPGYFLGYNLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIGLMPALPSPSPVLRNLTYVYEENLSRDGEFGGSEFGGYPTLKQRNDSFDIRESMSVHCGFLRGIKPGRKTGFDIDEADLFEMEQCKGVVVASAIFGNFDEINEPKNVSDYSRKTVCFLMFVDEETEKYLSSSGKLGSSKKIGSWRIIVARNLPYADARRTGKIPKLLLHRMVPNARYSIWLDGKLELVVDPYQILERFLWRNNATFAISKHYRRFDVFVEAEANKAAGKYENASIDFQIEFYKREGLTPYTEAKLPLISDVPEGCVIVREHVPISNLFTCLWFNEVDRFTSRDQLSFSTVRDKILSRVDFHFHMFLDCERRNFVVQKYHRDLLLRLAPPDSFGHSSPPPPSPLTPSHVLETSPEKVANSPIKRGTGKRGKDRKAGQRRHRKVAAGSREMEPS >Vigun03g108100.1.v1.2 pep primary_assembly:ASM411807v1:3:9672650:9679713:1 gene:Vigun03g108100.v1.2 transcript:Vigun03g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLGIGIRSGSYGSLDKQVQNGSGRKAPKMLKEKEKERLFLWICKFAGRKKVGMLFLCLISAAVFIWVLYVGKGEDSQEGNTVPNIGDNESISASDSKSEISRKNAMDLTTKLSSPPPGYFLGYNLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIGLMPALPSPSPVLRNLTYVYEENLSRDGEFGGSEFGGYPTLKQRNDSFDIRESMSVHCGFLRGIKPGRKTGFDIDEADLFEMEQCKGVVVASAIFGNFDEINEPKNVSDYSRKTVCFLMFVDEETEKYLSSSGKLGSSKKIGSWRIIVARNLPYADARRTGKIPKLLLHRMVPNARYSIWLDGKLELVVDPYQILERFLWRNNATFAISKHYRRFDVFVEAEANKAAGKYENASIDFQIEFYKREGLTPYTEAKLPLISDVPEGCVIVREHVPISNLFTCLWFNEVDRFTSRDQLSFSTVRDKILSRVDFHFHMFLDCERRNFVVQKYHRDLLLRLAPPDSFGHSSPPPPSPLTPSHVLETSPEKVANSPIKRGTGKRGKDRKAGQRRHRKVAAGSREMEPS >Vigun09g053500.1.v1.2 pep primary_assembly:ASM411807v1:9:5345548:5349044:1 gene:Vigun09g053500.v1.2 transcript:Vigun09g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQDAEKDMDNASTNSWPLHCHLLLATHMDKKGSFFRTSTPDTTDTTVQTSFPLESIYEDQVIADKKQNQMNLATALRSGEWSDIGERPYMEDTHICIGDLAKKFNYNAPSGEAVSFYGVFDGHGGKSAAQFVRDNLPRFIVEDVNFPLELEKVVKRSFLETDTAFLKSSSQEPSLSSGTTALTAIIFGRSLLVANVGDCRAVLSRHGRAIEMSKDHRPNCVNERTRVESLGGFIDDGYLNGQLGITRAIGDWHLEGMKGTSEREGPLSAEPELKLMTLTKEDEFLIIASDGIWDVFSSQNAVDFARRRLQEHNDEKLCCKEIVQEAIKRESTDNLTVVMVCFNSDPPTPVVVERTRVRRSISAEGLQNLRSLLKE >Vigun09g053500.2.v1.2 pep primary_assembly:ASM411807v1:9:5345548:5349044:1 gene:Vigun09g053500.v1.2 transcript:Vigun09g053500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATALRSGEWSDIGERPYMEDTHICIGDLAKKFNYNAPSGEAVSFYGVFDGHGGKSAAQFVRDNLPRFIVEDVNFPLELEKVVKRSFLETDTAFLKSSSQEPSLSSGTTALTAIIFGRSLLVANVGDCRAVLSRHGRAIEMSKDHRPNCVNERTRVESLGGFIDDGYLNGQLGITRAIGDWHLEGMKGTSEREGPLSAEPELKLMTLTKEDEFLIIASDGIWDVFSSQNAVDFARRRLQEHNDEKLCCKEIVQEAIKRESTDNLTVVMVCFNSDPPTPVVVERTRVRRSISAEGLQNLRSLLKE >Vigun09g053500.3.v1.2 pep primary_assembly:ASM411807v1:9:5346197:5349044:1 gene:Vigun09g053500.v1.2 transcript:Vigun09g053500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDYDTWCVSNQLESIYEDQVIADKKQNQMNLATALRSGEWSDIGERPYMEDTHICIGDLAKKFNYNAPSGEAVSFYGVFDGHGGKSAAQFVRDNLPRFIVEDVNFPLELEKVVKRSFLETDTAFLKSSSQEPSLSSGTTALTAIIFGRSLLVANVGDCRAVLSRHGRAIEMSKDHRPNCVNERTRVESLGGFIDDGYLNGQLGITRAIGDWHLEGMKGTSEREGPLSAEPELKLMTLTKEDEFLIIASDGIWDVFSSQNAVDFARRRLQEHNDEKLCCKEIVQEAIKRESTDNLTVVMVCFNSDPPTPVVVERTRVRRSISAEGLQNLRSLLKE >Vigun09g053500.5.v1.2 pep primary_assembly:ASM411807v1:9:5346586:5349044:1 gene:Vigun09g053500.v1.2 transcript:Vigun09g053500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATALRSGEWSDIGERPYMEDTHICIGDLAKKFNYNAPSGEAVSFYGVFDGHGGKSAAQFVRDNLPRFIVEDVNFPLELEKVVKRSFLETDTAFLKSSSQEPSLSSGTTALTAIIFGRSLLVANVGDCRAVLSRHGRAIEMSKDHRPNCVNERTRVESLGGFIDDGYLNGQLGITRAIGDWHLEGMKGTSEREGPLSAEPELKLMTLTKEDEFLIIASDGIWDVFSSQNAVDFARRRLQEHNDEKLCCKEIVQEAIKRESTDNLTVVMVCFNSDPPTPVVVERTRVRRSISAEGLQNLRSLLKE >Vigun09g053500.4.v1.2 pep primary_assembly:ASM411807v1:9:5346197:5349044:1 gene:Vigun09g053500.v1.2 transcript:Vigun09g053500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATALRSGEWSDIGERPYMEDTHICIGDLAKKFNYNAPSGEAVSFYGVFDGHGGKSAAQFVRDNLPRFIVEDVNFPLELEKVVKRSFLETDTAFLKSSSQEPSLSSGTTALTAIIFGRSLLVANVGDCRAVLSRHGRAIEMSKDHRPNCVNERTRVESLGGFIDDGYLNGQLGITRAIGDWHLEGMKGTSEREGPLSAEPELKLMTLTKEDEFLIIASDGIWDVFSSQNAVDFARRRLQEHNDEKLCCKEIVQEAIKRESTDNLTVVMVCFNSDPPTPVVVERTRVRRSISAEGLQNLRSLLKE >Vigun07g109900.1.v1.2 pep primary_assembly:ASM411807v1:7:20356186:20357885:1 gene:Vigun07g109900.v1.2 transcript:Vigun07g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVTLEIFSKLEHKWLSHCKPNNKTRILSIDGGGTTAIVAGEALIHLEDQIRLHTSDPQAQVADFFDIVAGTGIGAILAAMITAGDAFGRPMYTAREAVRIVSERNSELYKFKSGGVFRRRRKISSSSMDSVLKQVFQRKEDGRLLTLKDTCKPLLIPCFDLKSSAPFVFSRADASESPSFDFELWKVCRATSATPSHFKPFDLASVDGKTLCSAVDGGLVMNNPTAAAVTHVLHNKHDFPSVNGVEDLLVLSLGNGSSNTKACENSTCSTPSVVDIVLDGVSETIDQMLGNAFCWNRTDYVRIQAFGLGSKAMRKEEVLKERGLESLPFGGKRLLTETNGNRIDSFVQRLVAAGKPSPPSSPCKDSAVNPLANGR >Vigun11g185600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38653425:38653703:-1 gene:Vigun11g185600.v1.2 transcript:Vigun11g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRKASLPAIQASSKAVDVPKGYLAVYVGDKMKRFIIPVSCLNQPAFQELLSQTEEEFGYEHPMGGLTIPCREDAFLDITSRLIRC >Vigun07g085200.2.v1.2 pep primary_assembly:ASM411807v1:7:12714512:12720794:-1 gene:Vigun07g085200.v1.2 transcript:Vigun07g085200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAASTNANYIPAAPIFLPEGPWNQIPGGVTAAEGFKAAGIYGGLRAKGEKPDLALVTCDVDAVSAGSFTTNVVAAAPVLYCQRTLDISNTARAVLTNAGQANAATGKEGYQDVIECVESLAKLLKIKPEEVLVESTGVIGQRIKKGALLNSLPTLVNSLSSSVEGADSAAVAITTTDLVSKSVAIEALVGGTKIRVGGMAKGSGMIHPNMATMLGVITTDARVSHHVWRKMVQVAVSRSFNQITVDGDTSTNDTVIALASGLSGLGCISSLDSDEAIQLQACLDAVMQGLAKSIAWDGEGATCLIEVSVTGANSEAEAAKVARSVASSSLVKAAIYGRDPNWGRIAAAAGYSGVSFHQDFLRVELGDILLMEGGEPQLFDRHAASSYLRKAGETHDTVRIQISVGNGPGRGQAWGCDLSYDYVKINAEYTT >Vigun07g085200.1.v1.2 pep primary_assembly:ASM411807v1:7:12714512:12720794:-1 gene:Vigun07g085200.v1.2 transcript:Vigun07g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCIPQHHFLHFHFASSLNTKSFNSSVRNIMIRAASTNANYIPAAPIFLPEGPWNQIPGGVTAAEGFKAAGIYGGLRAKGEKPDLALVTCDVDAVSAGSFTTNVVAAAPVLYCQRTLDISNTARAVLTNAGQANAATGKEGYQDVIECVESLAKLLKIKPEEVLVESTGVIGQRIKKGALLNSLPTLVNSLSSSVEGADSAAVAITTTDLVSKSVAIEALVGGTKIRVGGMAKGSGMIHPNMATMLGVITTDARVSHHVWRKMVQVAVSRSFNQITVDGDTSTNDTVIALASGLSGLGCISSLDSDEAIQLQACLDAVMQGLAKSIAWDGEGATCLIEVSVTGANSEAEAAKVARSVASSSLVKAAIYGRDPNWGRIAAAAGYSGVSFHQDFLRVELGDILLMEGGEPQLFDRHAASSYLRKAGETHDTVRIQISVGNGPGRGQAWGCDLSYDYVKINAEYTT >Vigun01g175300.1.v1.2 pep primary_assembly:ASM411807v1:1:35661795:35663537:-1 gene:Vigun01g175300.v1.2 transcript:Vigun01g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVNRT >Vigun08g154200.1.v1.2 pep primary_assembly:ASM411807v1:8:32655368:32656853:1 gene:Vigun08g154200.v1.2 transcript:Vigun08g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKMSAVFVMGFVFLSLISITSAISGTATNYKVYKPSACYGNQDEGTMIAAASDAMYANGAACGQMYKISCTGATNQGVPQPCKGGSVTVKIVDRCPSPGCQATIDLSQEAFSAIADLNAGKIQIEYNRV >Vigun03g399800.2.v1.2 pep primary_assembly:ASM411807v1:3:60636011:60639875:-1 gene:Vigun03g399800.v1.2 transcript:Vigun03g399800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLNVGVPWIMCQESDAPQPMINTCNGWYCDNFQPNNPNSPKMWTENWVGWFKNWGGRDPHRTAEDVAYSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYDAPLDEYGNIAQPKWGHLKELHSVLKSMEETLTNGKVSETDFGNSVKATVYATNGSSSCFLSNTNTTTDATLTFRGNKYTIPAWSVSLLPDCHHEEYNTAKVNVQTSVMVKETKQEEKEPIALKWTWRSENIDNALHAKSNISAPGLIDQKLMTSDTSDYLWYMTKLHLKHDDPVWSENMSLRINGSGHVIHAFVNGEHIGSHWATYGIHNDKFESKIRLKRGTNTISLLSVTVGLQNYGSHFDTWHSGLVGPIELVSEKGDETITKDLSSHKWLYKVGLNGWNHKFFSEDSPTNWESQQLPTNRMLTWYKTTFKAPMGSDPVVVDLKGMGKGYAWVNGENLGRIWPSYLAEEEGCSDEPCDYRGEYTDTKCVTNCGNPTQRWYHVPRSFLRDDGENSLVLFAELGGNPSLVKFETVVVGSACGNAYENKILELSCQDRPISAIKFASFGDPKGVCGSFTKGSCESKKNALSIMEKECVGKKACSIDVSEKIFGPTTCGSITKRLAVEIVC >Vigun03g106000.1.v1.2 pep primary_assembly:ASM411807v1:3:9155426:9159824:1 gene:Vigun03g106000.v1.2 transcript:Vigun03g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFLVDLDGRSYGCRYCNTPLALAHNILSRNFNCSQGRAYLFSKVVNITLGPPVERMMISGLHTVEDIFCCSCGQLLGWKYVLAYEKNETYKEGKFVLERWTIVDNVEEELELNLDAQAGSQGSQAGSQAGSQADSQAGSSDTENA >Vigun11g177800.1.v1.2 pep primary_assembly:ASM411807v1:11:38201344:38207444:-1 gene:Vigun11g177800.v1.2 transcript:Vigun11g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDEKKERRSDFENSEDERRTRIGSLKKKALNASSKFKHTLKKKSSRRKSDGRVSSVSIEDVRNFEEQQAVDAFRQSLIIDEMLPEKHDDYHVMLRFLKARKFDVERAKHMWADMLQWRKEFGADTIMEDFEFVELNEVMKYYPHGHHGVDREGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFKIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARELILRLQKIDGDNYPETLCQMFIINAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDSSELPEFLGGTCTCADQGGCLRSDKGPWKNPEILKMILSGEARRARPVVKVLNSEGKVIAYARPQYPMVKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEAKVVGKSIYVGGGNLAGYDEYVPMVDKAVDASWNKQASLQRAQTSKGTPSLPDPPQTPIGIPARMLGALTAFFMMLFTLFHSVAYRVTKKLPASSSNDDQCTSETPVSTTSEDNHPPSPTPEDREANLLSSMVKRLGELEEKVDTLQSKPSEMPYEKVELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEDAKSRKKFCW >Vigun02g143200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29161955:29162884:-1 gene:Vigun02g143200.v1.2 transcript:Vigun02g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSFLRFNYSFSWKPSSSRKHSFISRQTSNNEGQSFQPKEEEMKWVFQKFDTNNDGKISLEEYKAAARALDRGIGEAEAVKGFRVMDSDGDGFIDFKEFVEMLNGEGKIKEADIKNAFEVFDLNGDGKISAQELSQVLKRLGESCSLSACKKMVKGVDGNGDGFVDLNEFTRMMMSGKKLG >Vigun04g036400.2.v1.2 pep primary_assembly:ASM411807v1:4:3032187:3035712:-1 gene:Vigun04g036400.v1.2 transcript:Vigun04g036400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALRLSSFTRQLNPRSPPRAAAFNRVLASLARAKSFPAAISLCAQTESRGDVIPCHVTFTILINCFCHVGKVALAFSVFGKLLKRGLPYDVVTLNTLIKGLCLDGAVSSALKFYEEMAAEGFEFTEVTYGTLINGLCDVGKTEAAIRLLRMMQACVANVKPNVIMYSRIIEGLCKEGLVNEACEWYYEMVGNNVEPNVFTYRPLVRALCVAGRLDEAVRMVEEMIRKGEYIDVYVFSVLIDALCKKGMVVEAREVFDEMIKRGCEVNVVVCSALMAGYCLKNEVDEARRLFDAVVTRPDVWSYNVLMNGYCKVRRLDDAMKLLNEMCGKGVVPNLVTYNLLVDGFCKCGMVAYAWQIVKAMCESGVAPDVVTHSILLDSLCKTQRLDVAVVMFNKLLKRGVEPDVWSYSILIDGCCKNQRIDLGESCMRGSFLMRYMAMLHPLTLSITSMHFACTCIFTANALLQLTVDRRAGYYVLA >Vigun01g221600.3.v1.2 pep primary_assembly:ASM411807v1:1:39510048:39514023:-1 gene:Vigun01g221600.v1.2 transcript:Vigun01g221600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFPLTNGVDKGQGYQTAGTPTGAFGQQPTSYWLGIFSVSSYTQYFNVDTDIVVNRLMSSLNPVSGDFFSKIDANPDLYGLIWISTTLVFVLALLGNIATYLTQKHVDNTTSWSFDVSFVNTAAWSIYGYVIVVPLAYYFFLQYMGSNANLIRFWCMWGYSLTIFIMSSFLLLVPVGILRWIIIILTGVASASFVALNLRSFIEGNDVSVAVVAAFFLQIALAVFIKVRFFG >Vigun01g221600.2.v1.2 pep primary_assembly:ASM411807v1:1:39510048:39514320:-1 gene:Vigun01g221600.v1.2 transcript:Vigun01g221600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFPLTNGVDKGQGYQTAGTPTGAFGQQPTSYWLGIFSVSSYTQYFNVDTDIVVNRLMSSLNPVSGDFFSKIDANPDLYGLIWISTTLVFVLALLGNIATYLTQKHVDNTTSWSFDVSFVNTAAWSIYGYVIVVPLAYYFFLQYMGSNANLIRFWCMWGYSLTIFIMSSFLLLVPVGILRWIIIILTGVASASFVALNLRSFIEGNDVSVAVVAAFFLQIALAVFIKVRFFG >Vigun01g221600.1.v1.2 pep primary_assembly:ASM411807v1:1:39510048:39514320:-1 gene:Vigun01g221600.v1.2 transcript:Vigun01g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECESYTISNTSHLLGSVPAVIADEKSRTARNGPYASMQTFPLTNGVDKGQGYQTAGTPTGAFGQQPTSYWLGIFSVSSYTQYFNVDTDIVVNRLMSSLNPVSGDFFSKIDANPDLYGLIWISTTLVFVLALLGNIATYLTQKHVDNTTSWSFDVSFVNTAAWSIYGYVIVVPLAYYFFLQYMGSNANLIRFWCMWGYSLTIFIMSSFLLLVPVGILRWIIIILTGVASASFVALNLRSFIEGNDVSVAVVAAFFLQIALAVFIKVRFFG >Vigun01g032800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4194318:4194651:1 gene:Vigun01g032800.v1.2 transcript:Vigun01g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEQSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun03g084400.1.v1.2 pep primary_assembly:ASM411807v1:3:7009907:7014948:-1 gene:Vigun03g084400.v1.2 transcript:Vigun03g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRSRNQPRTARATTMGGLDYPDPKRKGNFVGKVFLAAVLTTLCIIMIKRSPSLNSSSPFAIHEPGVTHVLVTGGAGFIGSHATLRLLSDNHRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDRISVDKIFLENKFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLLVLEAMARHDVKTLIYSSTCATYGEPEKMPITEETQQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPNGKLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTPDGTCIRDYIDVTDLVDAHVKALEKAQPAKVGIYNVGTGKGSSVKQFVEACKMATGVDIKVEFLPRRPGDYAEVYSDPRKIKRELNWSAKHTDLQQSLRVAWRWQKSHLDGYGVPNEMP >Vigun03g286850.3.v1.2 pep primary_assembly:ASM411807v1:3:46889257:46890542:-1 gene:Vigun03g286850.v1.2 transcript:Vigun03g286850.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGCNCNSKEKVTGVAESKTRLPESSIHARQGSQEWMGKIPRRHKSIGLVTPQKARSRLLRLKDLHTEPNYEILTSQKHNMIATCEGSDGWRTHFGQNDSQ >Vigun03g286850.1.v1.2 pep primary_assembly:ASM411807v1:3:46889257:46890964:-1 gene:Vigun03g286850.v1.2 transcript:Vigun03g286850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGCNCNSKEKVTGVAESKTRLPESSIHARQGSQEWMGKIPRRHKSIGLVTPQKARSRLLRLKDLHTEPNYEILTSQKHNMIATCEGSDGWRTHFGQNDSLY >Vigun03g286850.2.v1.2 pep primary_assembly:ASM411807v1:3:46885345:46890542:-1 gene:Vigun03g286850.v1.2 transcript:Vigun03g286850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGCNCNSKEKVTGVAESKTRLPESSIHARQGSQEWMGKIPRRHKSIGLVTPQKARSRLLRLKDLHTEPNYEILTSQKHNMIATCEGSDGWRTHFGQNDSL >Vigun03g376900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57992189:57993018:1 gene:Vigun03g376900.v1.2 transcript:Vigun03g376900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKWSRHVRGRKRRAKLCLPNNGVKVKPCLQKKLRELQGAVPGSEEIDMQNFFQNIQHYILQLEAKVTVLRCLSNFYGV >Vigun05g072101.1.v1.2 pep primary_assembly:ASM411807v1:5:6170884:6172622:-1 gene:Vigun05g072101.v1.2 transcript:Vigun05g072101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRVGGLRFRVLGFEIRVSDFGSRVLGFRCRVWGFRFGVSGWDFGLRVSGLGFRVTCFWFRVLGFGFRVSSFGFRVSSFGFWVSSFGFEVSDFRLRVSSYAFRVSGLRLGVSDFGLRVLGFRLRVWGFRFCVPGWGFRGTSFGLLVYSLGFRVTCFWFRVSRFMFQVPGFEFRVSDFGLRISGFGFRVTCFWFWV >Vigun03g408100.1.v1.2 pep primary_assembly:ASM411807v1:3:61510870:61517168:1 gene:Vigun03g408100.v1.2 transcript:Vigun03g408100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEFGGKNQYLYGPVVSGMKKAVGNGKRSLEWDLNDWKWDGDLFTAQPLNPVPSDCRSRQFFPPHPEIPAKKTNLANDLSSSVINPGEGKKELEKRRRSVIGEGEGEGLNDEGGSLSLNLGGHGYPFMVEEEEKSGKKTKVIGTTIAPATTSNRPVCQVQDCTADLGNAKDYHRRHKVCDVHSKATVALVGNVMQRFCQQCSRFHVLPEFDEGKRSCRRRLAGHNRRRRKTHPDVTVVNEGSPNDGSGSSYLLMSLLRILTNLHTNGSDHTRNQDILSHLLRNLASLAAPNNGRTLASLLENSKGLLNAGTPGGAHDELNLNSNTPEASRPSGSSIKIDNGFISQDPPISMAQCETRPTNGGTQKCIPSGDGGVENLRPPSGAPFSSISQSRGSLPCQLTSTETTVRRNNLNNVDLNNVYSDMQNTDENHKKPYPHVASEMGFSDLPSWLQCASLKSSPPQTSRNSDSTSNQSPSSSSGEAQSRTDRIVFKLFGKDPSDFPLLLRSQILEWLSHSPTEIESYIRPGCIILTMYLRLEKSAWEEFYCNLGSSLRKLVGSSNHTFWRTGWVFARVQHSVAFLYNGQVVLDVPLCLKSSHHCTISCIKPLAVPASARAHFIVKGCNLSQSSTRLLCALEGKYLANASCHDLNGGADESIQHLNFSCHIPNVTGRGFIEVEDHGLSSCSFPFIVAEKEVCSEICKLENLIEAAETTKDFQIKNQQMEEKTQALHFLQEMGWLLHRSGVKVRLGPMVPSHDLFQFNRFSWLVDFSMDHGWCAVMKKLLDIIFEGSVDAGEQASIELALLDMNLLHKAVKRNNRPMVELLLRFVPVKTSDGGDSEVKQVEKASDRFLFRPDTVGPAGLTPLHVAASMSGSENVLDALTDDPGMVGIEVWKSGRDSAGLSPNDYACLRGNYSYVQLVQRKTNKRGERLHVVDIPSTVAESGNRKQKQSDGYRTGKVCSLQTEKIETTEMRSECRVCQQKVVYGGIRSGVVYRPVLVSMVAIAAVCVCVALLFKSSPRVYYVFQPFNWESLEYGTI >Vigun03g408100.2.v1.2 pep primary_assembly:ASM411807v1:3:61510870:61517168:1 gene:Vigun03g408100.v1.2 transcript:Vigun03g408100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEFGGKNQYLYGPVVSGMKKAVGNGKRSLEWDLNDWKWDGDLFTAQPLNPVPSDCRSRQFFPPHPEIPAKKTNLANDLSSSVINPGEGKKELEKRRRSVIGEGEGEGLNDEGGSLSLNLGGHGYPFMVEEEEKSGKKTKVIGTTIAPATTSNRPVCQVQDCTADLGNAKDYHRRHKVCDVHSKATVALVGNVMQRFCQQCSRFHVLPEFDEGKRSCRRRLAGHNRRRRKTHPDVTVVNEGSPNDGSGSSYLLMSLLRILTNLHTNGSDHTRNQDILSHLLRNLASLAAPNNGRTLASLLENSKGLLNAGTPGGAHDELNLNSNTPEASRPSGSSIKIDNGFISQDPPISMAQCETRPTNGGTQKCIPSGDGGVENLRPPSGAPFSSISQSRGSLPCQLTSTETTVRRNNLNNVDLNNVYSDMQNTDENHKKPYPHVASEMGFSDLPSWLQCASLKSSPPQTSRNSDSTSNQSPSSSSGEAQSRTDRIVFKLFGKDPSDFPLLLRSQILEWLSHSPTEIESYIRPGCIILTMYLRLEKSAWEEFYCNLGSSLRKLVGSSNHTFWRTGWVFARVQHSVAFLYNGQVVLDVPLCLKSSHHCTISCIKPLAVPASARAHFIVKGCNLSQSSTRLLCALEGKYLANASCHDLNGGADESIQHLNFSCHIPNVTGRGFIEVEDHGLSSCSFPFIVAEKEVCSEICKLENLIEAAETTKDFQIKNQQMEEKTQALHFLQEMGWLLHRSGVKVRLGPMVPSHDLFQFNRFSWLVDFSMDHGWCAVMKKLLDIIFEGSVDAGEQASIELALLDMNLLHKAVKRNNRPMVELLLRFVPVKTSDGGDSEVKQVEKASDRFLFRPDTVGPAGLTPLHVAASMSGSENVLDALTDDPGMVGIEVWKSGRDSAGLSPNDYACLRGNYSYVQLVQRKTNKRGERLHVVDIPSTVAESGNRKQKQSDGYRTGKVCSLQTEKIETTEMRSECRVCQQKVVYGGIRSGVVYRPVLVSMVAIAAVCVCVALLFKSSPRVYYVFQPFNWESLEYGTI >Vigun07g151500.1.v1.2 pep primary_assembly:ASM411807v1:7:26210344:26216610:-1 gene:Vigun07g151500.v1.2 transcript:Vigun07g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIIGREVSSGIISEVKEEKSVSVESNKKVDEVPTSSAEENVVEALNGEKEKEKEKEENGGGDGVRGTKDDRRRSKPNPRLSNPPKHLQGEQVAAGWPPWLTAVCGEALSGWIPRKADTFEKIDKIGQGTYSNVYKAKDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSLSLYLVFDYMVHDLAGLAASPDIKFTEPQVKCYTHQLLSGLEHCHSRNVLHRDIKGSNLLINDEGILKIADFGLASFFDPDRRHPMTNRVVTLWYRPLELLLGATEYGVAIDLWSVGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKMPNATLFKPRQPYRRCIRETFKDFPPSSLPLIETLLAIDPAERKTASDALRSEFFTTEPYACDPLSLPKYPPTKEMDAKRRDDEARRSRAAGKTGVDGPKKHRSRERAAKAAPAPEANAELQSNVDRRRLISHANAKSKSEKFPPPHEDGQLGFPLGSSNHIDPDIIPSDISLGSTSYTFSKEPFQAWSGPIGNPASISKQKKHTTGDDALDLSKSYKGTLKHKVKGKKIPA >Vigun07g010700.1.v1.2 pep primary_assembly:ASM411807v1:7:937969:942533:1 gene:Vigun07g010700.v1.2 transcript:Vigun07g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMACIDRASPALNQILLKLYCAEKPLEIDHHLYEFGSLEYHIQSQASDPQLAYLSISMPPLCHGILPKELSSKTIEMVKGLCPNVVEIIDPAKEGYQLTLKLNLNQIPRNKDYDKIIREISSVHSVILSSQLKEILWNVNSDDALQGMYKPIKLVYHPREPFFLIRQPQRIIAVFPIRLKEKSDVTIATTFFQELVDVGSSDKWAKVPPCNWSAIPPPELRGEAFEDLSTNGGFFTFDISSRHVEGNRLDKTVWSLLNFSTYVRYHVKSTKGFIQRRMRKRLESLVEVLHQTNSEENEQTKQHQVYRYTKKLVRSTKYVILKQRWGTFGRKIKRMGFRLKINGFTRFRQRWLRFPKFSTGYTKIE >Vigun07g010700.2.v1.2 pep primary_assembly:ASM411807v1:7:937969:942533:1 gene:Vigun07g010700.v1.2 transcript:Vigun07g010700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMACIDRASPALNQILLKLYCAEKPLEIDHHLYEFGSLEYHIQSQASDPQLAYLSISMPPLCHGILPKELSSKTIEMVKGLCPNVVEIIDPAKEGYQLTLKLNLNQIPRNKDYDKIIREISSVHSVILSSQLKEILWNVNSDDALQGMYKPIKLVYHPREPFFLIRQPQRIIAVFPIRLKEKSDVTIATTFFQELVDVGSSDKWAKVPPCNWSAIPPPELRGEAFEDLSTNGGFFTFDISSRHVEGNRLDKTVWSLLNFSTYVRYHVKVTSILFFLLKVCLVNPLIFQVLKIFVLQSTKGFIQRRMRKRLESLVEVQKH >Vigun07g010700.5.v1.2 pep primary_assembly:ASM411807v1:7:939600:942533:1 gene:Vigun07g010700.v1.2 transcript:Vigun07g010700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPIKLVYHPREPFFLIRQPQRIIAVFPIRLKEKSDVTIATTFFQELVDVGSSDKWAKVPPCNWSAIPPPELRGEAFEDLSTNGGFFTFDISSRHVEGNRLDKTVWSLLNFSTYVRYHVKSTKGFIQRRMRKRLESLVEVLHQTNSEENEQTKQHQVYRYTKKLVRSTKYVILKQRWGTFGRKIKRMGFRLKINGFTRFRQRWLRFPKFSTGYTKIE >Vigun07g010700.3.v1.2 pep primary_assembly:ASM411807v1:7:937969:942533:1 gene:Vigun07g010700.v1.2 transcript:Vigun07g010700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCHGILPKELSSKTIEMVKGLCPNVVEIIDPAKEGYQLTLKLNLNQIPRNKDYDKIIREISSVHSVILSSQLKEILWNVNSDDALQGMYKPIKLVYHPREPFFLIRQPQRIIAVFPIRLKEKSDVTIATTFFQELVDVGSSDKWAKVPPCNWSAIPPPELRGEAFEDLSTNGGFFTFDISSRHVEGNRLDKTVWSLLNFSTYVRYHVKSTKGFIQRRMRKRLESLVEVLHQTNSEENEQTKQHQVYRYTKKLVRSTKYVILKQRWGTFGRKIKRMGFRLKINGFTRFRQRWLRFPKFSTGYTKIE >Vigun07g010700.4.v1.2 pep primary_assembly:ASM411807v1:7:937969:942533:1 gene:Vigun07g010700.v1.2 transcript:Vigun07g010700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMACIDRASPALNQILLKLYCAEKPLEIDHHLYEFGSLEYHIQSQASDPQLAYLSISMPPLCHGILPKELSSKTIEMVKGLCPNVVEIIDPAKEGYQLTLKLNLNQIPRNKDYDKIIREISSVHSVILSSQLKEILWNVNSDDALQGMYKPIKLVYHPREPFFLIRQPQRIIAVFPIRLKEKSDVTIATTFFQELVDVGSSDKWAKVPPCNWSAIPPPELRGEAFEDLSTNGGFFTFGIMSSSSNYDMSYFLTNHKLITQF >Vigun09g154500.1.v1.2 pep primary_assembly:ASM411807v1:9:31991024:31994059:-1 gene:Vigun09g154500.v1.2 transcript:Vigun09g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQTCATLGTCISSKIKISDARPCIAQINNNNKNKSTRLKGALFPLTLVGEKRPKIGLRNWKVGAGESGELVPRSVIESVVKDFHRALNDKNIEELQQLISDDCEYQDYLFYSPYKGQENVIKFLQSVMEAMGPNVKIAVRDINVEDVNVKDVREAKLMATVFWHLEWGNDKKKLPFSKGCRFFWFEEVEGRLVISKITGLEELPIKPGELVLNALKAISTFLDSYPPMASALLNYPAFRDD >Vigun09g154500.2.v1.2 pep primary_assembly:ASM411807v1:9:31991024:31994059:-1 gene:Vigun09g154500.v1.2 transcript:Vigun09g154500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQTCATLGTCISSKIKISDARPCIAQINNNNKNKSTRLKGALFPLTLVGEKRPKIGLRNWKVGAGESGELVPRSVIESVVKDFHRALNDKNIEELQQLISDDCEYQDYLFYSPYKGQNVIKFLQSVMEAMGPNVKIAVRDINVEDVNVKDVREAKLMATVFWHLEWGNDKKKLPFSKGCRFFWFEEVEGRLVISKITGLEELPIKPGELVLNALKAISTFLDSYPPMASALLNYPAFRDD >Vigun01g174000.1.v1.2 pep primary_assembly:ASM411807v1:1:35579701:35582540:1 gene:Vigun01g174000.v1.2 transcript:Vigun01g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARRRGGGIFESLYKVVMRRNSVYVTFVIAGAFLGERAVDYGVHKIWEANNVGKRYEDIPVLGQKLSEE >Vigun06g022500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10571677:10573231:1 gene:Vigun06g022500.v1.2 transcript:Vigun06g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERERESCNSRHFTWLMKSCFPSPNDAVAKLPLPLQPHTKTPAPATTLSSLPDDIVLDCLSRVPPASLPALSLVCRRWSRLLRSPAFSYLRRRLHLLRHTAVALAATDLGLSVATLLDSAWLPSLFVPCFDAISLHDFHFLVAHARACSIGPRIYLVGRSATLQYDTWTASVSMRAATVFPRKKFAVTSVAGKIYVAGGSSRTAAVEEYYPETDTWVVVSNAPRMRYGCIGASVDGIFYVIGGLRIGASAQNLLPRASCRTEAHAAYASSMDLFDVEARVWLRSRTVPNGGCVVAACAAAGRLYVLTSHAVEFSFWSFQVRRKSGGGKGFGEWCRIKSPPLPAQVRVDTRMRFSFVGIGDKVVLIQSLNEARGVKEGFVLVYDCATGEWGRGADLPEVYRRATYVGVEC >Vigun03g075800.3.v1.2 pep primary_assembly:ASM411807v1:3:6307292:6308176:1 gene:Vigun03g075800.v1.2 transcript:Vigun03g075800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKERKKIEDRKIVSLCGKAPKNQRLPLSVARPMMKKQKEREQKMLQERLIMGQFGGKLGGSSKRSAVKHKPENKGLKLSEGHFRNGILNVKHLLNSAPSRDRETGTWKGKGGNKKQGNKGAGKKQRSANPF >Vigun03g075800.1.v1.2 pep primary_assembly:ASM411807v1:3:6306127:6308177:1 gene:Vigun03g075800.v1.2 transcript:Vigun03g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMDKNRKKSRGHSSREPTDMQDQEMDIRKIMKDVENFSYSHMTWKERKKIEDRKIVSLCGKAPKNQRLPLSVARPMMKKQKEREQKMLQERLIMGQFGGKLGGSSKRSAVKHKPENKGLKLSEGHFRNGILNVKHLLNSAPSRDRETGTWKGKGGNKKQGNKGAGKKQRSANPF >Vigun03g075800.2.v1.2 pep primary_assembly:ASM411807v1:3:6305731:6308176:1 gene:Vigun03g075800.v1.2 transcript:Vigun03g075800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMDKNRKKSRGHSSREPTDMQDQEMDIRKIMKDVENFSYSHMTWKERKKIEDRKIVSLCGKAPKNQRLPLSVARPMMKKQKEREQKMLQERLIMGQFGGKLGGSSKRSAVKHKPENKGLKLSEGHFRNGILNVKHLLNSAPSRDRETGTWKGKGGNKKQGNKGAGKKQRSANPF >Vigun05g247300.2.v1.2 pep primary_assembly:ASM411807v1:5:44055165:44058852:1 gene:Vigun05g247300.v1.2 transcript:Vigun05g247300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNSRSARSEDDPELAKFPAGNGDNGFKIKYHIDGTQIPEPSSKMAKKKVTGKFLKARMLSRVFSEDYDKVKRRVLDPRGQIIHRWNKIFLVACLVSLFVDPLFFYLPVVRDEVCIDIGITLEVILTLVRSVGDVFYVIQILLKFRTAFVAPSSRVFGRGELVVGYSKIAVRYLKKSFWLDFVAALPLPQVLIWIIIPTLKGSTMGNTKNVLRFFIVFQYFPRLVLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWRSVCDLEKSFCEYGFFDCHRVQDAHRVSWFIASNITNLCSPNAKHDFYQFGIYADAVTSEVTSSAFFNKYFFCLWWGLRNLSSLGQGLLTSTYVGEIMVAIVIATLGLVLFALLIGNMQTYLQSTTVRLEEWRVKRTDTEQWMHHRQLPPELRESVRKYDQYKWLATRGVDEESLLKGLPVDLQRDIKRHLCLDLVRGVPLFDQMDERMLDAICERLKPALCTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRAGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRHKKRKEVAELRARAINNAEPQTPTYAKRVPRSTRKPVHVSSPTNSGVVSSLPKPAEPDFSVDERY >Vigun05g247300.1.v1.2 pep primary_assembly:ASM411807v1:5:44055165:44058852:1 gene:Vigun05g247300.v1.2 transcript:Vigun05g247300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNSRSARSEDDPELAKFPAGNGDNGFKIKYHIDGTQIPEPSSKMAKKKVTGKFLKARMLSRVFSEDYDKVKRRVLDPRGQIIHRWNKIFLVACLVSLFVDPLFFYLPVVRDEVCIDIGITLEVILTLVRSVGDVFYVIQILLKFRTAFVAPSSRVFGRGELVVGYSKIAVRYLKKSFWLDFVAALPLPQVLIWIIIPTLKGSTMGNTKNVLRFFIVFQYFPRLVLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWRSVCDLEKSFCEYGFFDCHRVQDAHRVSWFIASNITNLCSPNAKHDFYQFGIYADAVTSEVTSSAFFNKYFFCLWWGLRNLSSLGQGLLTSTYVGEIMVAIVIATLGLVLFALLIGNMQTYLQSTTVRLEEWRVKRTDTEQWMHHRQLPPELRESVRKYDQYKWLATRGVDEESLLKGLPVDLQRDIKRHLCLDLVRGVPLFDQMDERMLDAICERLKPALCTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRAGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRHKKRKEVAELRARAINNAEPQTPTYAKRVPRSTRKPVHVSSPTNSGVVSSLPKPAEPDFSVDERY >Vigun05g075600.1.v1.2 pep primary_assembly:ASM411807v1:5:6845055:6856294:-1 gene:Vigun05g075600.v1.2 transcript:Vigun05g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIISTTTETALQIGGGVVKRQLGYFFNYNEKFQELKDYIVMLGDARKRVQNEVKKAERNAEEIEDDVHNWFKQVDGKIKKYASFIVDERHSKISSIGFFPNNLHLRYRLGRNATKMIEEIKADEHWKKKFDRVSYRVFPTVDSALTTTGYESFGSRNKTLEMIMKTLEDSKTNMVGVYGVGGVGKTILVKAIAKKVQEKKLFNMVVMANITRNPDIKNIQGQIAEMLGMRMEEESETLRADLIRKRLKKEKENTLIILDDLWDGLDLNKLGIPSSDDEDDDNQWDVKDISDFGYNKREKEDMSIDFDKMKKDKSSADSNKVKKEKVPIDHKRCKILLTSRSKEVICNQMDVKDQSTFLVGVIDEKEAETLLKKVAGIHSTNSVFDREVTEIAKMCAGLPIALVSIGRALKNKSASVWEDVYRQIKRQSFTEEQESIEFSVKLSYDHLKNDELKCLFLQCARMGNDALIMDLVKFCIGSGLLQGVHTIKEARNRVNVLIEGLKDSSLLVESYSIERFNMHDIVRDVALSISSKEKHVLFMKNGILDEWPHKDELKSKDDLMKIPNNFFEDMFELRVLILTGVNFSRLPSSLKCLKKLRMLSLERCSLGKNLSDIGMLKKLRILTLSGSNIESLPHEFGQLDKLQLFDLSNCPKLRIIPPNIISRMKSLEEFYMRDYSIQEKEEQNIQSLNATLAELMQLNQLRTLDIHIPSVANFPQNMFFDKLESYKIVIGELNMLSLVEFKVLDKYEAVRFLALNLRGNHINIHSQKWIKMLFKNVEYLLLGDLNDVNDVLYEFNVEGFANLKHLYVVNNFGIQFIINSVEQFQPLLAFPKLESMSLYKLENLEKICDNKLTRDSFSRLKIINVKTCGQLKNIFSFSMIECFGMLERIEVCDCDSLKEIVSVEGESYNADAIESEKIEFPQLRFLTLQSLPAFCCLSTNCKMPLISQSFEDQVPNKEIKEITSASEQDNNCFLSLFNGKVSIPKLECLELSSIHIPQIWNDQSLHSFQNLVKLKVSDCDNLKYLLSFPTAGSLVNLQSLFVSGCKLMEDIFSTKDATNIDIFPKLKEMEINYMKQLNTIWQPHMGFNSFNRLDSLIVRKCNKLVTIFPNHIEKGFESLQSLVITDCTSVETIFDFGNIPQTYGRSELNLHDVFLKGLPKLVHIWKLDTDEILNINNLQSIVVHKCKMLEYLFPLSVASGLEKLETLDVSNCWEMKEVVAWNSRSNEEDVTIRFPQLNTLSLQHLFELRNFYPGTHSLEWPLLRKFSLLVCSNLEETTNSQMNPILLATEKVIHNLEYMSISSKEAKWLQFYIVSVHRMHKLKSLVLSGLKNTEIVFWLLHRLPNLESLTLMNCLFKEFWASTSLATDEKIGIVVQLKELVFNNVWYLQSIGFEHGLLLQRVEHLVVSGCPKLKSLMPPLASFSYLTYLEVTDCLGLLNLMTSSTAKSLVQLLTLKVSLCEDMKKIIKKEEKRQVIEFRQLKAIELVSLENLTCFCSSEKCDLKFPSLENLLVSDCPKMETFCEIQSAPNLRKVHVAAGEKDRWYWERNLNATLKKISTDQVSFEDSKELTLMEDSLEDIWYKKVVFPYNYFGNLKKLVVEDIEKEAVIPSQILACLKSLEELQVHKCEAVKFVFDMLDIEMKKTKGIISRLKKLDLDDLTNLTCVWNKNPQGIVSFPYLQELRLVKLQKLENLFPSSVARNLVKLQKLKILRCDGLVEIVAKEDATEHGTTEIFYFPCLSSFSLYKLSKLSCFYPGKHLLECPMLETLDVSYCPMLKLFTSEFYGKGPVRESEVSAPNTVSQLQQPLFSVEKVAPKLKQLTLSEENIILLSQGEWPHLLRTLNKLDLSFEHHDKEKDTLPFDFLFKLPSLQRLVVRRCFGLKEMFSSQQLEVHDRKLPELERLTLLNLQELESIGLEHPWVKPFSVTLKKLTVRFCENIHYLFTFSTAESLVQLEFLYIKKCGLIREIVKKEDEDASAEIKFRRLTTLEMDSLPMLASFYSGKATLHFSRLKTVTVNECPNMRTFSEGSINAPMFHGIETLTDDYGLTFHNDLNSTVQWLLVKQENLEMEEFWHGKAALRDNYFQRVKTLVLKNITENIEISSQILYVLRSLEELQVHSCKAVQVIFDIETMESNGIVSPLKKLTIEKLPNLEWVWRQNTQGMINFPNLQEVSVGECKRLATLFHSSLSKNLVKLETLEIQNCAKLVSIVGKEVAMEQETTTMFEFSCLSSLLLYKLPQLSCFYPGKHHLECPILESLDVSYCPELKLFTSEFIDSDTKEITESEVSSPYSSESEVSSSDTADSEVSSSDTADSEVSSRDTISQLQQPFFFVEKVVPKLKKLTLNEEHFMLLSNKHLPQDLLGKLNYLGLCFEDADSEDADSEDADDEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADIEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADSEDADDEDTDSEEDADSEDADSEEDDGEDADSEDDDSEEDTLLFDFLHKVPNLEHLVVRCLGMKEIFPAEKLQVHEKLQILNLESCPRLKNLVPNSVSFISLKQLSVNFCEKMKYLFKISTAKSLVQLESLIVKSCKSIKEIVKNEAEDDDDDDDDDDDDEIIFGQLTTLRLDSLRGLAGFYSGKATLQFSCLKEVQIAKCPKMKKFSRGVAKAPLFPHINFENNPILIADNDLNNIVKSLFHKEVSD >Vigun05g075600.3.v1.2 pep primary_assembly:ASM411807v1:5:6845055:6857844:-1 gene:Vigun05g075600.v1.2 transcript:Vigun05g075600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIISTTTETALQIGGGVVKRQLGYFFNYNEKFQELKDYIVMLGDARKRVQNEVKKAERNAEEIEDDVHNWFKQVDGKIKKYASFIVDERHSKISSIGFFPNNLHLRYRLGRNATKMIEEIKADEHWKKKFDRVSYRVFPTVDSALTTTGYESFGSRNKTLEMIMKTLEDSKTNMVGVYGVGGVGKTILVKAIAKKVQEKKLFNMVVMANITRNPDIKNIQGQIAEMLGMRMEEESETLRADLIRKRLKKEKENTLIILDDLWDGLDLNKLGIPSSDDEDDDNQWDVKDISDFGYNKREKEDMSIDFDKMKKDKSSADSNKVKKEKVPIDHKRCKILLTSRSKEVICNQMDVKDQSTFLVGVIDEKEAETLLKKVAGIHSTNSVFDREVTEIAKMCAGLPIALVSIGRALKNKSASVWEDVYRQIKRQSFTEEQESIEFSVKLSYDHLKNDELKCLFLQCARMGNDALIMDLVKFCIGSGLLQGVHTIKEARNRVNVLIEGLKDSSLLVESYSIERFNMHDIVRDVALSISSKEKHVLFMKNGILDEWPHKDELKSSKDDLMKIPNNFFEDMFELRVLILTGVNFSRLPSSLKCLKKLRMLSLERCSLGKNLSDIGMLKKLRILTLSGSNIESLPHEFGQLDKLQLFDLSNCPKLRIIPPNIISRMKSLEEFYMRDYSIQEKEEQNIQSLNATLAELMQLNQLRTLDIHIPSVANFPQNMFFDKLESYKIVIGELNMLSLVEFKVLDKYEAVRFLALNLRGNHINIHSQKWIKMLFKNVEYLLLGDLNDVNDVLYEFNVEGFANLKHLYVVNNFGIQFIINSVEQFQPLLAFPKLESMSLYKLENLEKICDNKLTRDSFSRLKIINVKTCGQLKNIFSFSMIECFGMLERIEVCDCDSLKEIVSVEGESYNADAIESEKIEFPQLRFLTLQSLPAFCCLSTNCKMPLISQSFEDQVPNKEIKEITSASEQDNNCFLSLFNGKVSIPKLECLELSSIHIPQIWNDQSLHSFQNLVKLKVSDCDNLKYLLSFPTAGSLVNLQSLFVSGCKLMEDIFSTKDATNIDIFPKLKEMEINYMKQLNTIWQPHMGFNSFNRLDSLIVRKCNKLVTIFPNHIEKGFESLQSLVITDCTSVETIFDFGNIPQTYGRSELNLHDVFLKGLPKLVHIWKLDTDEILNINNLQSIVVHKCKMLEYLFPLSVASGLEKLETLDVSNCWEMKEVVAWNSRSNEEDVTIRFPQLNTLSLQHLFELRNFYPGTHSLEWPLLRKFSLLVCSNLEETTNSQMNPILLATEKVIHNLEYMSISSKEAKWLQFYIVSVHRMHKLKSLVLSGLKNTEIVFWLLHRLPNLESLTLMNCLFKEFWASTSLATDEKIGIVVQLKELVFNNVWYLQSIGFEHGLLLQRVEHLVVSGCPKLKSLMPPLASFSYLTYLEVTDCLGLLNLMTSSTAKSLVQLLTLKVSLCEDMKKIIKKEEKRQVIEFRQLKAIELVSLENLTCFCSSEKCDLKFPSLENLLVSDCPKMETFCEIQSAPNLRKVHVAAGEKDRWYWERNLNATLKKISTDQVSFEDSKELTLMEDSLEDIWYKKVVFPYNYFGNLKKLVVEDIEKEAVIPSQILACLKSLEELQVHKCEAVKFVFDMLDIEMKKTKGIISRLKKLDLDDLTNLTCVWNKNPQGIVSFPYLQELRLVKLQKLENLFPSSVARNLVKLQKLKILRCDGLVEIVAKEDATEHGTTEIFYFPCLSSFSLYKLSKLSCFYPGKHLLECPMLETLDVSYCPMLKLFTSEFYGKGPVRESEVSAPNTVSQLQQPLFSVEKVAPKLKQLTLSEENIILLSQGEWPHLLRTLNKLDLSFEHHDKEKDTLPFDFLFKLPSLQRLVVRRCFGLKEMFSSQQLEVHDRKLPELERLTLLNLQELESIGLEHPWVKPFSVTLKKLTVRFCENIHYLFTFSTAESLVQLEFLYIKKCGLIREIVKKEDEDASAEIKFRRLTTLEMDSLPMLASFYSGKATLHFSRLKTVTVNECPNMRTFSEGSINAPMFHGIETLTDDYGLTFHNDLNSTVQWLLVKQENLEMEEFWHGKAALRDNYFQRVKTLVLKNITENIEISSQILYVLRSLEELQVHSCKAVQVIFDIETMESNGIVSPLKKLTIEKLPNLEWVWRQNTQGMINFPNLQEVSVGECKRLATLFHSSLSKNLVKLETLEIQNCAKLVSIVGKEVAMEQETTTMFEFSCLSSLLLYKLPQLSCFYPGKHHLECPILESLDVSYCPELKLFTSEFIDSDTKEITESEVSSPYSSESEVSSSDTADSEVSSSDTADSEVSSRDTISQLQQPFFFVEKVVPKLKKLTLNEEHFMLLSNKHLPQDLLGKLNYLGLCFEDADSEDADSEDADDEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADIEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADSEDADDEDTDSEEDADSEDADSEEDDGEDADSEDDDSEEDTLLFDFLHKVPNLEHLVVRCLGMKEIFPAEKLQVHEKLQILNLESCPRLKNLVPNSVSFISLKQLSVNFCEKMKYLFKISTAKSLVQLESLIVKSCKSIKEIVKNEAEDDDDDDDDDDDDEIIFGQLTTLRLDSLRGLAGFYSGKATLQFSCLKEVQIAKCPKMKKFSRGVAKAPLFPHINFENNPILIADNDLNNIVKSLFHKEVSD >Vigun05g075600.4.v1.2 pep primary_assembly:ASM411807v1:5:6845055:6857844:-1 gene:Vigun05g075600.v1.2 transcript:Vigun05g075600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIISTTTETALQIGGGVVKRQLGYFFNYNEKFQELKDYIVMLGDARKRVQNEVKKAERNAEEIEDDVHNWFKQVDGKIKKYASFIVDERHSKISSIGFFPNNLHLRYRLGRNATKMIEEIKADEHWKKKFDRVSYRVFPTVDSALTTTGYESFGSRNKTLEMIMKTLEDSKTNMVGVYGVGGVGKTILVKAIAKKVQEKKLFNMVVMANITRNPDIKNIQGQIAEMLGMRMEEESETLRADLIRKRLKKEKENTLIILDDLWDGLDLNKLGIPSSDDEDDDNQWDVKDISDFGYNKREKEDMSIDFDKMKKDKSSADSNKVKKEKVPIDHKRCKILLTSRSKEVICNQMDVKDQSTFLVGVIDEKEAETLLKKVAGIHSTNSVFDREVTEIAKMCAGLPIALVSIGRALKNKSASVWEDVYRQIKRQSFTEEQESIEFSVKLSYDHLKNDELKCLFLQCARMGNDALIMDLVKFCIGSGLLQGVHTIKEARNRVNVLIEGLKDSSLLVESYSIERFNMHDIVRDVALSISSKEKHVLFMKNGILDEWPHKDELKSSKDDLMKIPNNFFEDMFELRVLILTGVNFSRLPSSLKCLKKLRMLSLERCSLGKNLSDIGMLKKLRILTLSGSNIESLPHEFGQLDKLQLFDLSNCPKLRIIPPNIISRMKSLEEFYMRDYSIQEKEEQNIQSLNATLAELMQLNQLRTLDIHIPSVANFPQNMFFDKLESYKIVIGELNMLSLVEFKVLDKYEAVRFLALNLRGNHINIHSQKWIKMLFKNVEYLLLGDLNDVNDVLYEFNVEGFANLKHLYVVNNFGIQFIINSVEQFQPLLAFPKLESMSLYKLENLEKICDNKLTRDSFSRLKIINVKTCGQLKNIFSFSMIECFGMLERIEVCDCDSLKEIVSVEGESYNADAIESEKIEFPQLRFLTLQSLPAFCCLSTNCKMPLISQSFEDQVPNKEIKEITSASEQDNNCFLSLFNGKVSIPKLECLELSSIHIPQIWNDQSLHSFQNLVKLKVSDCDNLKYLLSFPTAGSLVNLQSLFVSGCKLMEDIFSTKDATNIDIFPKLKEMEINYMKQLNTIWQPHMGFNSFNRLDSLIVRKCNKLVTIFPNHIEKGFESLQSLVITDCTSVETIFDFGNIPQTYGRSELNLHDVFLKGLPKLVHIWKLDTDEILNINNLQSIVVHKCKMLEYLFPLSVASGLEKLETLDVSNCWEMKEVVAWNSRSNEEDVTIRFPQLNTLSLQHLFELRNFYPGTHSLEWPLLRKFSLLVCSNLEETTNSQMNPILLATEKVIHNLEYMSISSKEAKWLQFYIVSVHRMHKLKSLVLSGLKNTEIVFWLLHRLPNLESLTLMNCLFKEFWASTSLATDEKIGIVVQLKELVFNNVWYLQSIGFEHGLLLQRVEHLVVSGCPKLKSLMPPLASFSYLTYLEVTDCLGLLNLMTSSTAKSLVQLLTLKVSLCEDMKKIIKKEEKRQVIEFRQLKAIELVSLENLTCFCSSEKCDLKFPSLENLLVSDCPKMETFCEIQSAPNLRKVHVAAGEKDRWYWERNLNATLKKISTDQVSFEDSKELTLMEDSLEDIWYKKVVFPYNYFGNLKKLVVEDIEKEAVIPSQILACLKSLEELQVHKCEAVKFVFDMLDIEMKKTKGIISRLKKLDLDDLTNLTCVWNKNPQGIVSFPYLQELRLVKLQKLENLFPSSVARNLVKLQKLKILRCDGLVEIVAKEDATEHGTTEIFYFPCLSSFSLYKLSKLSCFYPGKHLLECPMLETLDVSYCPMLKLFTSEFYGKGPVRESEVSAPNTVSQLQQPLFSVEKVAPKLKQLTLSEENIILLSQGEWPHLLRTLNKLDLSFEHHDKEKDTLPFDFLFKLPSLQRLVVRRCFGLKEMFSSQQLEVHDRKLPELERLTLLNLQELESIGLEHPWVKPFSVTLKKLTVRFCENIHYLFTFSTAESLVQLEFLYIKKCGLIREIVKKEDEDASAEIKFRRLTTLEMDSLPMLASFYSGKATLHFSRLKTVTVNECPNMRTFSEGSINAPMFHGIETLTDDYGLTFHNDLNSTVQWLLVKQENLEMEEFWHGKAALRDNYFQRVKTLVLKNITENIEISSQILYVLRSLEELQVHSCKAVQVIFDIETMESNGIVSPLKKLTIEKLPNLEWVWRQNTQGMINFPNLQEVSVGECKRLATLFHSSLSKNLVKLETLEIQNCAKLVSIVGKEVAMEQETTTMFEFSCLSSLLLYKLPQLSCFYPGKHHLECPILESLDVSYCPELKLFTSEFIDSDTKEITESEVSSPYSSESEVSSSDTADSEVSSSDTADSEVSSRDTISQLQQPFFFVEKVVPKLKKLTLNEEHFMLLSNKHLPQDLLGKLNYLGLCFEDADSEDADSEDADDEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADIEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADSEDADDEDTDSEEDADSEDADSEEDDGEDADSEDDDSEEDTLLFDFLHKVPNLEHLVVRCLGMKEIFPAEKLQVHEKLQILNLESCPRLKNLVPNSVSFISLKQLSVNFCEKMKYLFKISTAKSLVQLESLIVKSCKSIKEIVKNEAEDDDDDDDDDDDDEIIFGQLTTLRLDSLRGLAGFYSGKATLQFSCLKEVQIAKCPKMKKFSRGVAKAPLFPHINFENNPILIADNDLNNIVKSLFHKEVSD >Vigun05g075600.2.v1.2 pep primary_assembly:ASM411807v1:5:6845055:6857843:-1 gene:Vigun05g075600.v1.2 transcript:Vigun05g075600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIISTTTETALQIGGGVVKRQLGYFFNYNEKFQELKDYIVMLGDARKRVQNEVKKAERNAEEIEDDVHNWFKQVDGKIKKYASFIVDERHSKISSIGFFPNNLHLRYRLGRNATKMIEEIKADEHWKKKFDRVSYRVFPTVDSALTTTGYESFGSRNKTLEMIMKTLEDSKTNMVGVYGVGGVGKTILVKAIAKKVQEKKLFNMVVMANITRNPDIKNIQGQIAEMLGMRMEEESETLRADLIRKRLKKEKENTLIILDDLWDGLDLNKLGIPSSDDEDDDNQWDVKDISDFGYNKREKEDMSIDFDKMKKDKSSADSNKVKKEKVPIDHKRCKILLTSRSKEVICNQMDVKDQSTFLVGVIDEKEAETLLKKVAGIHSTNSVFDREVTEIAKMCAGLPIALVSIGRALKNKSASVWEDVYRQIKRQSFTEEQESIEFSVKLSYDHLKNDELKCLFLQCARMGNDALIMDLVKFCIGSGLLQGVHTIKEARNRVNVLIEGLKDSSLLVESYSIERFNMHDIVRDVALSISSKEKHVLFMKNGILDEWPHKDELKSSKDDLMKIPNNFFEDMFELRVLILTGVNFSRLPSSLKCLKKLRMLSLERCSLGKNLSDIGMLKKLRILTLSGSNIESLPHEFGQLDKLQLFDLSNCPKLRIIPPNIISRMKSLEEFYMRDYSIQEKEEQNIQSLNATLAELMQLNQLRTLDIHIPSVANFPQNMFFDKLESYKIVIGELNMLSLVEFKVLDKYEAVRFLALNLRGNHINIHSQKWIKMLFKNVEYLLLGDLNDVNDVLYEFNVEGFANLKHLYVVNNFGIQFIINSVEQFQPLLAFPKLESMSLYKLENLEKICDNKLTRDSFSRLKIINVKTCGQLKNIFSFSMIECFGMLERIEVCDCDSLKEIVSVEGESYNADAIESEKIEFPQLRFLTLQSLPAFCCLSTNCKMPLISQSFEDQVPNKEIKEITSASEQDNNCFLSLFNGKVSIPKLECLELSSIHIPQIWNDQSLHSFQNLVKLKVSDCDNLKYLLSFPTAGSLVNLQSLFVSGCKLMEDIFSTKDATNIDIFPKLKEMEINYMKQLNTIWQPHMGFNSFNRLDSLIVRKCNKLVTIFPNHIEKGFESLQSLVITDCTSVETIFDFGNIPQTYGRSELNLHDVFLKGLPKLVHIWKLDTDEILNINNLQSIVVHKCKMLEYLFPLSVASGLEKLETLDVSNCWEMKEVVAWNSRSNEEDVTIRFPQLNTLSLQHLFELRNFYPGTHSLEWPLLRKFSLLVCSNLEETTNSQMNPILLATEKVIHNLEYMSISSKEAKWLQFYIVSVHRMHKLKSLVLSGLKNTEIVFWLLHRLPNLESLTLMNCLFKEFWASTSLATDEKIGIVVQLKELVFNNVWYLQSIGFEHGLLLQRVEHLVVSGCPKLKSLMPPLASFSYLTYLEVTDCLGLLNLMTSSTAKSLVQLLTLKVSLCEDMKKIIKKEEKRQVIEFRQLKAIELVSLENLTCFCSSEKCDLKFPSLENLLVSDCPKMETFCEIQSAPNLRKVHVAAGEKDRWYWERNLNATLKKISTDQVSFEDSKELTLMEDSLEDIWYKKVVFPYNYFGNLKKLVVEDIEKEAVIPSQILACLKSLEELQVHKCEAVKFVFDMLDIEMKKTKGIISRLKKLDLDDLTNLTCVWNKNPQGIVSFPYLQELRLVKLQKLENLFPSSVARNLVKLQKLKILRCDGLVEIVAKEDATEHGTTEIFYFPCLSSFSLYKLSKLSCFYPGKHLLECPMLETLDVSYCPMLKLFTSEFYGKGPVRESEVSAPNTVSQLQQPLFSVEKVAPKLKQLTLSEENIILLSQGEWPHLLRTLNKLDLSFEHHDKEKDTLPFDFLFKLPSLQRLVVRRCFGLKEMFSSQQLEVHDRKLPELERLTLLNLQELESIGLEHPWVKPFSVTLKKLTVRFCENIHYLFTFSTAESLVQLEFLYIKKCGLIREIVKKEDEDASAEIKFRRLTTLEMDSLPMLASFYSGKATLHFSRLKTVTVNECPNMRTFSEGSINAPMFHGIETLTDDYGLTFHNDLNSTVQWLLVKQENLEMEEFWHGKAALRDNYFQRVKTLVLKNITENIEISSQILYVLRSLEELQVHSCKAVQVIFDIETMESNGIVSPLKKLTIEKLPNLEWVWRQNTQGMINFPNLQEVSVGECKRLATLFHSSLSKNLVKLETLEIQNCAKLVSIVGKEVAMEQETTTMFEFSCLSSLLLYKLPQLSCFYPGKHHLECPILESLDVSYCPELKLFTSEFIDSDTKEITESEVSSPYSSESEVSSSDTADSEVSSSDTADSEVSSRDTISQLQQPFFFVEKVVPKLKKLTLNEEHFMLLSNKHLPQDLLGKLNYLGLCFEDADSEDADSEDADDEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADIEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADGEDADSEDADDEDADSEDADSEEDADSEDTDSEEDADCEDADSEDADDEDTDSEEDADSEDADSEEDDGEDADSEDDDSEEDTLLFDFLHKVPNLEHLVVRCLGMKEIFPAEKLQVHEKLQILNLESCPRLKNLVPNSVSFISLKQLSVNFCEKMKYLFKISTAKSLVQLESLIVKSCKSIKEIVKNEAEDDDDDDDDDDDDEIIFGQLTTLRLDSLRGLAGFYSGKATLQFSCLKEVQIAKCPKMKKFSRGVAKAPLFPHINFENNPILIADNDLNNIVKSLFHKEVSD >Vigun11g023700.1.v1.2 pep primary_assembly:ASM411807v1:11:2954986:2973745:1 gene:Vigun11g023700.v1.2 transcript:Vigun11g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAVVDSRNNAAVQATNDDASASKLSCVKKGYMKDDYVHLFVRRPVRRSPIINRGYFARWAAIRKLLYQFLDVEKKTDGDAPIKKQILSLGAGFDTTYFQLQDEGKAPDLYVEVDFKEVTSKKAALIETNSQLRNKLGETATISREKGEVVSAQYKLVPADLRDAKQLNDIISVAAMDPSLPTFIISECVLIYLDPDSSRRIVGWASQTFSTAIFFLYEQILPDDAFGQQMIRNLESRGCDLLGIYDTPTLHAKEKLFLDQGWQKSVAWDMLRVYNDFIDAQERRRIERLELFDEFEEWYMMQEHYCVAYAINDGMGLFGDFGFVNDSNEVPS >Vigun08g123100.1.v1.2 pep primary_assembly:ASM411807v1:8:29293354:29297492:1 gene:Vigun08g123100.v1.2 transcript:Vigun08g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKGSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQALSSNSIFYEDKSKDLAVKKKIEKHRERVLHCDSLLQKNQFVKPVPSSILKKCSKNRKTVPNVKVVNQDDNKDDSTMFDLWNDKGEDNKQVKKVSKPTLIPAVEVDPPGCSFNPSFESHQDTLASAVAEEMQKVYKKELGPEPVPLTVPGEAIAEEDMYFLDVDDGSDDDDSNLENEDDNEDAASEKKSIKKKRVTKVELNKRARRKEQLKKEAEAKKIKELSKEIDSIPDIIQEIEQEEEEKKKKHLRRKVAKQEMLKIRPPRIGKHKFEPAPVQVLLSEEITGSIRKLKGCCTLIKDRYKSLEKRGLILPKKRRN >Vigun11g125900.1.v1.2 pep primary_assembly:ASM411807v1:11:33322313:33325515:1 gene:Vigun11g125900.v1.2 transcript:Vigun11g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAARYGSRRLFSSGSGSGKILSEEEKAAENAYFKKAEQEKLEKLARKGPQPEASSGGSVTDAKPSGSGHTGASAERVSTDKHRNYAVVAGTITILGALGWYLKGTAKKPEVQD >Vigun09g246100.1.v1.2 pep primary_assembly:ASM411807v1:9:41458567:41463149:1 gene:Vigun09g246100.v1.2 transcript:Vigun09g246100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHTLGQAQSLIEPQPHPAPSSSATGPDGAQADSELNNVLPHANSPASKIPLRPRKIRKVSPDPSTSESLTEPSKPGKSGGRSTKHVAPSRAMAVVPRLVARSLSCEGEVEIALRFLRNADPLLSPLIDIHQPPTFDNFHTPFLALTRSILYQQLAYKAGTSIYTRFIALCGGENGVVPETVLALTPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEDLPRPSQMDQLCEKWRPYRSVASWYMWRFVEAKGTPSSAVAVATGAGLQQQQQHHHQHQQHEQPQQQQHPPQPQLLDPINSMFNLGAACAWGQ >Vigun06g071600.1.v1.2 pep primary_assembly:ASM411807v1:6:20217620:20219929:1 gene:Vigun06g071600.v1.2 transcript:Vigun06g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRPNRSDVHLSAQEEASLEAKTRDYFDGVAPQRHTKPQRSDYSTRYVDAFSNTKQSSIPEFIHFQNLENDPQEKKLVYNGSEVTEEFVETEYYKDLNSVDKHHHTTGTGFIKVEKSGKSFHIEPDNDTTCHHSCMCNPATNDWVPATSNEVDFNSDKPKRSEN >Vigun03g304600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49428365:49429091:1 gene:Vigun03g304600.v1.2 transcript:Vigun03g304600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVALVLCLNILFFSVVSCTYVPCNPPPKAPKHPPYTPVPKPPSTNYGTCPADTLKFGVCADVLGLIGVQLGKPPKTPCCELIHGLADLEAAVCLCTALKANILGINLNVPVKLNLLLNYCGKKTPKDFLCN >Vigun06g198100.1.v1.2 pep primary_assembly:ASM411807v1:6:31347218:31362297:1 gene:Vigun06g198100.v1.2 transcript:Vigun06g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSSPLFTSSLSFPINSLSPFPSLTHFSSLSVPYATARRSIPLQVSASDSAFASSSTIAVDNSDADDSTAFVIRARSRIGLLQVITRVFKVLGLTVDRATVEFEGDFFVKKFFVTDSHGNKIEDSDSLERIKRALAEAVGGDADGTVSAARPAAANPGVVVRRPGLVDGVGERRAKAERMFTLMDGFLKNDPLSLQKDILNHVEYTVARSRFSFDDFEAYQALSHSVRDRLIERWHDTHSYFKRTKPKRLYFLSLEFLMGRSLSNSVINLGIQDQYAEALSQLGFEFEVLAEQEGDAALGNGGLARLSACQMDSLATLDYPAWGYGLRYEYGLFRQVIVDGFQHEQPDYWLNFGNPWEIERIHVTYEVKFYGTVEEVDMNGEKHRVWVPGETVEAVAYDNPIPGYGTRNTLNLRLWAAKPSNQFDLEAYNTGDYINSVVNRQRAETISNVLYPDDRNHQGKELRLKQQYFFVSASLQDIIRRFKEAHNSFDELPDKVALHLNDTHPSLSIAEIMRILVDEEHLHWNKAWDIACKVFSFTTHTVVAEGLEKIPVDLLGSLLPRHLEILYEINFNFMEELKKKIGLDYNRLSRMSIVEEGAVKNIRMANLAIVGSHIVNGVSKLHLDTLKMTTFKDFYELWPEKFQFKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRNADLLTGLRDHVDNTNFHQEWKMVKRVNKMRLAEYIEAMSGVKVSLDAMFDVQVKRIHEYKRQFLNILGIIHRYDCLKNMDKNERRKVVPRVCIIGGKAAPGYEIAKKIIKLCHSVAEKINNDTDIGDLLKLVFIPDYNVSVAELVIPGADLSQHLSTAGHEASGTGSMKFLMNGCLLLATGDGSTIEIIEEIGSDNLFLFGAKVQEVAELREKGSTLKVPLQFARVLRMVRDGYFGYKEYFKSLCDTVEIGKDFYLLGADFSSYLEAQAAADKAFVEPEKWIKMSILSVAGSGRFSSDRTIQEYAERTWKIVPSRCPL >Vigun06g198100.2.v1.2 pep primary_assembly:ASM411807v1:6:31347218:31362297:1 gene:Vigun06g198100.v1.2 transcript:Vigun06g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSNSVINLGIQDQYAEALSQLGFEFEVLAEQEGDAALGNGGLARLSACQMDSLATLDYPAWGYGLRYEYGLFRQVIVDGFQHEQPDYWLNFGNPWEIERIHVTYEVKFYGTVEEVDMNGEKHRVWVPGETVEAVAYDNPIPGYGTRNTLNLRLWAAKPSNQFDLEAYNTGDYINSVVNRQRAETISNVLYPDDRNHQGKELRLKQQYFFVSASLQDIIRRFKEAHNSFDELPDKVALHLNDTHPSLSIAEIMRILVDEEHLHWNKAWDIACKVFSFTTHTVVAEGLEKIPVDLLGSLLPRHLEILYEINFNFMEELKKKIGLDYNRLSRMSIVEEGAVKNIRMANLAIVGSHIVNGVSKLHLDTLKMTTFKDFYELWPEKFQFKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRNADLLTGLRDHVDNTNFHQEWKMVKRVNKMRLAEYIEAMSGVKVSLDAMFDVQVKRIHEYKRQFLNILGIIHRYDCLKNMDKNERRKVVPRVCIIGGKAAPGYEIAKKIIKLCHSVAEKINNDTDIGDLLKLVFIPDYNVSVAELVIPGADLSQHLSTAGHEASGTGSMKFLMNGCLLLATGDGSTIEIIEEIGSDNLFLFGAKVQEVAELREKGSTLKVPLQFARVLRMVRDGYFGYKEYFKSLCDTVEIGKDFYLLGADFSSYLEAQAAADKAFVEPEKWIKMSILSVAGSGRFSSDRTIQEYAERTWKIVPSRCPL >Vigun06g198100.3.v1.2 pep primary_assembly:ASM411807v1:6:31345137:31362297:1 gene:Vigun06g198100.v1.2 transcript:Vigun06g198100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSNSVINLGIQDQYAEALSQLGFEFEVLAEQEGDAALGNGGLARLSACQMDSLATLDYPAWGYGLRYEYGLFRQVIVDGFQHEQPDYWLNFGNPWEIERIHVTYEVKFYGTVEEVDMNGEKHRVWVPGETVEAVAYDNPIPGYGTRNTLNLRLWAAKPSNQFDLEAYNTGDYINSVVNRQRAETISNVLYPDDRNHQGKELRLKQQYFFVSASLQDIIRRFKEAHNSFDELPDKVALHLNDTHPSLSIAEIMRILVDEEHLHWNKAWDIACKVFSFTTHTVVAEGLEKIPVDLLGSLLPRHLEILYEINFNFMEELKKKIGLDYNRLSRMSIVEEGAVKNIRMANLAIVGSHIVNGVSKLHLDTLKMTTFKDFYELWPEKFQFKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRNADLLTGLRDHVDNTNFHQEWKMVKRVNKMRLAEYIEAMSGVKVSLDAMFDVQVKRIHEYKRQFLNILGIIHRYDCLKNMDKNERRKVVPRVCIIGGKAAPGYEIAKKIIKLCHSVAEKINNDTDIGDLLKLVFIPDYNVSVAELVIPGADLSQHLSTAGHEASGTGSMKFLMNGCLLLATGDGSTIEIIEEIGSDNLFLFGAKVQEVAELREKGSTLKVPLQFARVLRMVRDGYFGYKEYFKSLCDTVEIGKDFYLLGADFSSYLEAQAAADKAFVEPEKWIKMSILSVAGSGRFSSDRTIQEYAERTWKIVPSRCPL >Vigun04g118200.1.v1.2 pep primary_assembly:ASM411807v1:4:30072335:30074263:-1 gene:Vigun04g118200.v1.2 transcript:Vigun04g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIKQQSSPLRKMVVVSSIAVGIQFGWALQLSLLTPYVQTLGVPHAWASFIWLCGPISGLLVQPIVGYSSDRCQSPFGRRRPFILAGAIFVAISIFLIGYASDIGHMAGDDITKKTRPRAVAIFVTGFWILDVANNMLQGPCRAFLGDLAAGYAAGSYNDLHKIFPFTETVACNIFCANLKSCFFFSIILLLALCITVLSCVDDPQFTLAHKELKVEEGGKTESSCFYGECCVAFKGLEKPMWMLMLVTAINWIAWFPYVLFDTDWMGREVYGGEVGQRAYDAGVQAGSLGLMLNSVVLAVVSLGVEPLGRLVGGTKWLWAIVNVILGVCMAMTVLITKIAERQRANNPALIGNPSKDVKIGSLAFFCVLGIPLAVTYSVPFALASIYSSSSGAGQGLSLGLLNVAIVIPQMIVSAISGPWDALFGGGNLPAFVLGAVAAAISAILAVVLLPNSKKKDEAKTSSLNMGSFH >Vigun07g190200.6.v1.2 pep primary_assembly:ASM411807v1:7:30834052:30837293:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGAS >Vigun07g190200.4.v1.2 pep primary_assembly:ASM411807v1:7:30834113:30837261:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGARLLWFNIGSVYHLHCTAAESDRRKVLGGSSLSSSI >Vigun07g190200.2.v1.2 pep primary_assembly:ASM411807v1:7:30834113:30837261:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVFLPHEILTEILRRLLPKTLLRFTTVCKSWRSLITHPSFISLHHRHSPSFLHLQFSNRFILPHRHHHPSTTLRLPSFPHHDYHVVSFCNGLVCVAYGEQCQSIIVCNPCIRRFVTLPTPLHYPCYYTSNVALGFDSSQCDYKVVRISCMVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGAS >Vigun07g190200.5.v1.2 pep primary_assembly:ASM411807v1:7:30834052:30837293:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGASS >Vigun07g190200.1.v1.2 pep primary_assembly:ASM411807v1:7:30834113:30837261:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVFLPHEILTEILRRLLPKTLLRFTTVCKSWRSLITHPSFISLHHRHSPSFLHLQFSNRFILPHRHHHPSTTLRLPSFPHHDYHVVSFCNGLVCVAYGEQCQSIIVCNPCIRRFVTLPTPLHYPCYYTSNVALGFDSSQCDYKVVRISCMVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGASS >Vigun07g190200.3.v1.2 pep primary_assembly:ASM411807v1:7:30834113:30837261:1 gene:Vigun07g190200.v1.2 transcript:Vigun07g190200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDERFGLSAPEVEVFSLATGSWRTLDHDITPVCYVAGDTPPGFHDGLVHWVAKRYVAGGWYNFVLSFHFEGEIFGEVMLPESLARTSWVMIKVIGGGNEKSLTVYAVDGGSPCSCNIWVMKEYGEVESWNKAFSFLMSGFCLEVPSLGMMLTDVEIPPMELCVTCSGEVLLLVDVAGRRCLYSLDIKNKSFTDLQIEIGARHFIRQHSQPETCVNSDDGEIFVAMGCFDLEFQIDAIALRRSTFLRSFH >Vigun10g192200.1.v1.2 pep primary_assembly:ASM411807v1:10:40640085:40643108:-1 gene:Vigun10g192200.v1.2 transcript:Vigun10g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLNPPALDSDPQLPQIKIHHPSSPRHPSPAAATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNSDEEAVNAVNNNDHSTKRKLEDDFDAFTASKAADLAKPLREVQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDGRLGSVSDYCVHHCVCPVVVVRYPDDVAATAEAVVAVKEVDEPHKKED >Vigun10g192200.2.v1.2 pep primary_assembly:ASM411807v1:10:40640302:40643039:-1 gene:Vigun10g192200.v1.2 transcript:Vigun10g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLNPPALDSDPQLPQIKIHHPSSPRHPSPAAATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNSDEEAVNAVNNNDHSTKRKLEDDFDAFTASKAADLAKPLREVQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDGRLGSVSDYCVHHCVCPVVVVRYPDDVAATAEAVVAVKEVDEPHKKED >Vigun05g146100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20053031:20053831:1 gene:Vigun05g146100.v1.2 transcript:Vigun05g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFALFLLSALTFYLPSTTAQPVTDGNGNIVTNGGRFYITPIFFGAGGGGIKRARTGDEKSPLSVVQSPFDTDPGQPWIIRSMIRTAFLPEGRVSISYEYVQLGNSVESNEWIAVPDQPEGTVVKVGYPNSLRGFFTIHKASPNNIYKFSFCSNGGTCSDVGVVNDEAGNRILAINQQTPFLFYLRELPSAASK >Vigun09g180200.2.v1.2 pep primary_assembly:ASM411807v1:9:35269028:35272412:1 gene:Vigun09g180200.v1.2 transcript:Vigun09g180200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSESDASADLPVILSPNLGSASSNLKSHYSLEDCSRLKKRCCKEEDAATEPAASFKRRLAGIATAPPCGTSSLITPGRGLKRKIGCIDVATQMGRKKKIEDDYVTGETIGQGKFGSVWLCRSRVSGAEYACKTLRKGEETVHREVEIMQHLSGHSGVVTLQAVYEEADCFHLVMELCSGGRLIDQMVKDGPYSEQRAANVLKEVMLVINYCHDMGVVHRDIKPENILLTASGKIKLADFGLAMRISEGQNLTGLAGSPAYVAPEVLLGRYSEKVDIWSAGVLLHALLVGSLPFQGDSLEAVFEAIKTVKLDFQTGMWESISKPARDLIGRMLTRDISARISADEVLRHPWMLFYTAHSLTMLPIKSRLKNQIGATTQPLVAVPEPGLGGNRIDNYSLSEGSFSESCTSDDQDECVLIDVLASAISHVRISEPKRSRVCGPTGPIVQQGSSNMKPNNLCKAF >Vigun09g180200.1.v1.2 pep primary_assembly:ASM411807v1:9:35269028:35272412:1 gene:Vigun09g180200.v1.2 transcript:Vigun09g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSESDASADLPVILSPNLGSASSNLKSHYSLEDCSRLKKRCCKEEDAATEPAASFKRRLAGIATAPPCGTSSLITPGRGLKRKIGCIDVATQMGRKKKIEDDYVTGETIGQGKFGSVWLCRSRVSGAEYACKTLRKGEETVHREVEIMQHLSGHSGVVTLQAVYEEADCFHLVMELCSGGRLIDQMVKDGPYSEQRAANVLKEVMLVINYCHDMGVVHRDIKPENILLTASGKIKLADFGLAMRISEGQNLTGLAGSPAYVAPEVLLGRYSEKVDIWSAGVLLHALLVGSLPFQGDSLEAVFEAIKTVKLDFQTGMWESISKPARDLIGRMLTRDISARISADEVLRHPWMLFYTAHSLTMLPIKSRLKNQIGATTQPLVAVPEPGLGGNRIDNYSLSEGSFSESCTSDDQDECVLIDVLASAISHVRISEPKRSRVCGPTGPIVQQGSSNMKPNNLCKAF >Vigun11g130400.1.v1.2 pep primary_assembly:ASM411807v1:11:33808656:33814955:1 gene:Vigun11g130400.v1.2 transcript:Vigun11g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRIAYFYDGDVGSVYFGAKHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFLNELTKYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEIGEREGKFYAINVPLKDGIDDLSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHSECVSFVKRFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYIKYFAPEFSLKIPNGQIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERIDQHTQDKHIQRDDEYYEADNDNDQMDVS >Vigun11g130400.4.v1.2 pep primary_assembly:ASM411807v1:11:33810333:33814955:1 gene:Vigun11g130400.v1.2 transcript:Vigun11g130400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFENNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEIGEREGKFYAINVPLKDGIDDLSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHSECVSFVKRFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYIKYFAPEFSLKIPNGQIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERIDQHTQDKHIQRDDEYYEADNDNDQMDVS >Vigun11g130400.3.v1.2 pep primary_assembly:ASM411807v1:11:33808656:33814955:1 gene:Vigun11g130400.v1.2 transcript:Vigun11g130400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRIAYFYDGDVGSVYFGAKHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFLNELTKYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEIGEREGKFYAINVPLKDGIDDLSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHSECVSFVKRFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYIKYFAPEFSLKIPNGQIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERIDHKHIQRDDEYYEADNDNDQMDVS >Vigun11g130400.2.v1.2 pep primary_assembly:ASM411807v1:11:33809169:33814955:1 gene:Vigun11g130400.v1.2 transcript:Vigun11g130400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPATFICGDVGSVYFGAKHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFLNELTKYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEIGEREGKFYAINVPLKDGIDDLSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHSECVSFVKRFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYIKYFAPEFSLKIPNGQIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERIDQHTQDKHIQRDDEYYEADNDNDQMDVS >Vigun04g031150.1.v1.2 pep primary_assembly:ASM411807v1:4:2491868:2492271:1 gene:Vigun04g031150.v1.2 transcript:Vigun04g031150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHIWLPEAHVEAPTAGSVILAGIPSKLGTHGFLRFSIPMFPEATLCSTPLIYTPSAIAIIYTSLTTSRQIDLKKIIAYSSVARMNLVTIGMFSRAATIRPKHGCRACDPSTY >Vigun07g021600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1825172:1826729:-1 gene:Vigun07g021600.v1.2 transcript:Vigun07g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVKHAIVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >Vigun05g289750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47650532:47651041:-1 gene:Vigun05g289750.v1.2 transcript:Vigun05g289750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDSAKAGNGDSPPDGCPVVPETDDPSFPVMTFRTRFLGMASCVLLIFLNTFFTFRTQALTVSSILMQIPLKCGGLQTLLKCRFEALKVRSCSFLQLNRLITCDVSFFLVLNKAMLTTQLELSDELFNRRFTKKFSSNNEVRIHAKFYFGYITKILIYRALHEKEQKS >Vigun04g073400.1.v1.2 pep primary_assembly:ASM411807v1:4:8691074:8696231:1 gene:Vigun04g073400.v1.2 transcript:Vigun04g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVATVSGYHGSERFDLIKLISHAGASYVGTMSKSITHLVCWKFEGKKYDIAMKFKIPVVNHQWIVDCIKEGRRVPEDSYTLQSGHEVGRLLLEVPLTARASRLTKKKFVSDELHDTGTERQNPNFGSGISIAYVLEDSCLMKKHDESTSYSSRLSRKGKRNICNGKGRNLVDDVVLAPSILDFSPDDHLFKADRLQTDAEATSSLSGGVNSNIILQNSEGPNAGLRTESSIINGGSDDIEQIKDSVHISTRRSSTLFVEDAVRVPQTSIDLCSSDDEKFSDGDQVDNGAGLPTSTEMSCVICFTDFSTTRGILPCGHRFCYPCIQSWVDLRTSIRKESTCPLCKQSFLMIKKVEHAAITDQKVYSQTIPCNNSASEIVIPMDQGLSHTTFESTQTGACVICRGREPEDLLENCDICQIQKIHSYCMDPPLRPWTCNHCKELRMLYRTNHY >Vigun10g199900.2.v1.2 pep primary_assembly:ASM411807v1:10:41206741:41215856:-1 gene:Vigun10g199900.v1.2 transcript:Vigun10g199900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEEIPAEPDNMKEQSSQGTVTIGHSRETTSQSGSLGSGGDVPLYPPNVYAPQAQAFYYRGFDNGNGEWDEYSSYVNSEGLEIGSPGVYNENPSLIFHSGYGFNPQMPYGPYSPVTTPLPSVGGDTQLYSSQQFPYTGPPYYPQLIPPSLSYLNSPTPVSQPELTNLVGIDQQVDNMFFGPRAGYPSVGSFGRGSFPVAPGSFGFHESQQGFEGSRSGGIWSDCSKPSERQRSLMPLSPSVSPRPMGSLGSFGPSVGMASHQQQSLYGFGSGSNSYGRGYLPNQGSSFGGTSISNLNDRSCASLENSRRQGRPSASLCNCNGTLDILSEQNRGPRASKLKNQILAEKNSVDNSKNSASTAKFQNESLNRSDFSIDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDSAYRQAMEKQEACPIFLFFSVNASAQFCGVAEMVGPVNFDKSVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLPQGIEMLAIFKNYETDVSILDDFDFYEDRQKAMQERKARQQSSMMTTGLVGENEHRNSANTTGEFMKQMSKSFALVVRLDENNNEVAADRDNLVSHGPIGNVVKSDDGQSVTASSTQTS >Vigun10g199900.4.v1.2 pep primary_assembly:ASM411807v1:10:41206741:41215856:-1 gene:Vigun10g199900.v1.2 transcript:Vigun10g199900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEEIPAEPDNMKEQGTVTIGHSRETTSQSGSLGSGGDVPLYPPNVYAPQAQAFYYRGFDNGNGEWDEYSSYVNSEGLEIGSPGVYNENPSLIFHSGYGFNPQMPYGPYSPVTTPLPSVGGDTQLYSSQQFPYTGPPYYPQLIPPSLSYLNSPTPVSQPELTNLVGIDQQVDNMFFGPRAGYPSVGSFGRGSFPVAPGSFGFHESQQGFEGSRSGGIWSDCSKPSERQRSLMPLSPSVSPRPMGSLGSFGPSVGMASHQQQSLYGFGSGSNSYGRGYLPNQGSSFGGTSISNLNDRSCASLENSRRQGRPSASLCNCNGTLDILSEQNRGPRASKLKNQILAEKNSVDNSKNSASTAKFQNESLNRSDFSIDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDSAYRQAMEKQEACPIFLFFSVNASAQFCGVAEMVGPVNFDKSVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLPQGIEMLAIFKNYETDVSILDDFDFYEDRQKAMQERKARQQSSMMTTGLVGENEHRNSANTTGEFMKQMSKSFALVVRLDENNNEVAADRDNLVSHGPIGNVVKSDDGQSVTASSTQTS >Vigun10g199900.3.v1.2 pep primary_assembly:ASM411807v1:10:41206741:41215856:-1 gene:Vigun10g199900.v1.2 transcript:Vigun10g199900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQPPQAPDRKAEEIPAEPDNMKEQGTVTIGHSRETTSQSGSLGSGGDVPLYPPNVYAPQAQAFYYRGFDNGNGEWDEYSSYVNSEGLEIGSPGVYNENPSLIFHSGYGFNPQMPYGPYSPVTTPLPSVGGDTQLYSSQQFPYTGPPYYPQLIPPSLSYLNSPTPVSQPELTNLVGIDQQVDNMFFGPRAGYPSVGSFGRGSFPVAPGSFGFHESQQGFEGSRSGGIWSDCSKPSERQRSLMPLSPSVSPRPMGSLGSFGPSVGMASHQQQSLYGFGSGSNSYGRGYLPNQGSSFGGTSISNLNDRSCASLENSRRQGRPSASLCNCNGTLDILSEQNRGPRASKLKNQILAEKNSVDNSKNSASTAKFQNESLNRSDFSIDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDSAYRQAMEKQEACPIFLFFSVNASAQFCGVAEMVGPVNFDKSVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLPQGIEMLAIFKNYETDVSILDDFDFYEDRQKAMQERKARQQSSMMTTGLVGENEHRNSANTTGEFMKQMSKSFALVVRLDENNNEVAADRDNLVSHGPIGNVVKSDDGQSVTASSTQTS >Vigun10g199900.1.v1.2 pep primary_assembly:ASM411807v1:10:41206741:41215856:-1 gene:Vigun10g199900.v1.2 transcript:Vigun10g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQPPQAPDRKAEEIPAEPDNMKEQSSQGTVTIGHSRETTSQSGSLGSGGDVPLYPPNVYAPQAQAFYYRGFDNGNGEWDEYSSYVNSEGLEIGSPGVYNENPSLIFHSGYGFNPQMPYGPYSPVTTPLPSVGGDTQLYSSQQFPYTGPPYYPQLIPPSLSYLNSPTPVSQPELTNLVGIDQQVDNMFFGPRAGYPSVGSFGRGSFPVAPGSFGFHESQQGFEGSRSGGIWSDCSKPSERQRSLMPLSPSVSPRPMGSLGSFGPSVGMASHQQQSLYGFGSGSNSYGRGYLPNQGSSFGGTSISNLNDRSCASLENSRRQGRPSASLCNCNGTLDILSEQNRGPRASKLKNQILAEKNSVDNSKNSASTAKFQNESLNRSDFSIDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDSAYRQAMEKQEACPIFLFFSVNASAQFCGVAEMVGPVNFDKSVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLPQGIEMLAIFKNYETDVSILDDFDFYEDRQKAMQERKARQQSSMMTTGLVGENEHRNSANTTGEFMKQMSKSFALVVRLDENNNEVAADRDNLVSHGPIGNVVKSDDGQSVTASSTQTS >Vigun10g060800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12506716:12508242:-1 gene:Vigun10g060800.v1.2 transcript:Vigun10g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNQYTATTSSFEVSRSSIWRDGSGVFARNSFEEDDEEALKWAALEKLPTYNRLKKGLLITSNGEVKEIDATFLKEKFVWAAQPVYKHEEVLEEQWKGTIQLYYYL >Vigun08g140000.1.v1.2 pep primary_assembly:ASM411807v1:8:31229324:31229735:-1 gene:Vigun08g140000.v1.2 transcript:Vigun08g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAMLCGDRVFKFGSTSHGFCTVNNCVSVNRVAPIYVVSDLVGDDAVTVCTMTVRSKDDGEVGRNPIEICSSHIS >Vigun10g053700.1.v1.2 pep primary_assembly:ASM411807v1:10:8902472:8904365:1 gene:Vigun10g053700.v1.2 transcript:Vigun10g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVHMRTISKGAIILLSIMMLLFDTTVSVVVESDQNHIKSATFLSENFEVGPGKIVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPNDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTLIHDCQAEYKIPRNHHNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNITLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun03g144400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14645426:14647879:-1 gene:Vigun03g144400.v1.2 transcript:Vigun03g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPLLLPNATETHSAKFPPAKPLPPSLPDNAVVWDHYTCKSFDCLNRQNPNLGFEPSRDVSKFNSYKSDLDLPINQLFQIAKAAKSVLRLGLDVGGGTGSFAAAMKLRNVTVVTTTMNVAAPNSEAVALRGLVPLHVPLQQRLPLFDGVVDLVRCGRAVNRWIPATVLEFLLFDADRVLRGGGYLWVDHFFSKRVDLEKVYAPLIGKLGYKKVKWATGNKTDASGVKNGEVFLTALLQKPVSR >Vigun01g174800.3.v1.2 pep primary_assembly:ASM411807v1:1:35630300:35635474:-1 gene:Vigun01g174800.v1.2 transcript:Vigun01g174800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMPGTGHRDVLVKNPESPEGNSEPEKPTDSDEQVDFDGDNDQEETMEEEEVEYEEVEEEEEVEVEEEEEEEEEEEGEEEEEIKPSDVEDETGVEDTEDEKKKHAELLALPPHGSEVYIGGIPPKVSEEDLNGFCQSVGEVSEVRIMKGKESGEAKGYAFVTFKTKELASKAIKELNNTELKGKKIKCSTSQAKHRLFIGNVPRNWTEENMEKVVSEIGPGIISVELLKDPQISGRNRGFAFIEYYNNACAEYSRQKMSNSNFKLGSNAPTVSWADPRNYESSANSQVKALYVKNLPGNITQDRLKELFEHHGKITKVVVPSAKAGQEKSRYGFVHFAERSSAMKALKNTEKYEIDGQTLECSLAKPQADQKSSGAANQQKSALLPTYPPHLGYGMIGGAIGAGYGAAGFAQPLMYGPGPTPPGMTMMPMLLPDGRIGYVLQQPGFQQHAPMLESQHRKRGGGSSSGKRSNDNNRNSGGGRYHPY >Vigun01g174800.4.v1.2 pep primary_assembly:ASM411807v1:1:35630300:35635634:-1 gene:Vigun01g174800.v1.2 transcript:Vigun01g174800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMPGTGHRDVLVKNPESPEGNSEPEKPTDSDEQVDFDGDNDQEETMEEEEVEYEEVEEEEEVEVEEEEEEEEEEEGEEEEEIKPSDVEDETGVEDTEDEKKKHAELLALPPHGSEVYIGGIPPKVSEEDLNGFCQSVGEVSEVRIMKGKESGEAKGYAFVTFKTKELASKAIKELNNTELKGKKIKCSTSQAKHRLFIGNVPRNWTEENMEKVVSEIGPGIISVELLKDPQISGRNRGFAFIEYYNNACAEYSRQKMSNSNFKLGSNAPTVSWADPRNYESSANSQVKALYVKNLPGNITQDRLKELFEHHGKITKVVVPSAKAGQEKSRYGFVHFAERSSAMKALKNTEKYEIDGQTLECSLAKPQADQKSSGAANQQKSALLPTYPPHLGYGMIGGAIGAGYGAAGFAQPLMYGPGPTPPGMTMMPMLLPDGRIGYVLQQPGFQQHAPMLESQHRKRGGGSSSGKRSNDNNRNSGGGRYHPY >Vigun01g174800.2.v1.2 pep primary_assembly:ASM411807v1:1:35630300:35635634:-1 gene:Vigun01g174800.v1.2 transcript:Vigun01g174800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMPGTGHRDVLVKNPESPEGNSEPEKPTDSDEQVDFDGDNDQEETMEEEEVEYEEVEEEEEVEVEEEEEEEEEEEGEEEEEIKPSDVEDETGVEDTEDEKKKHAELLALPPHGSEVYIGGIPPKVSEEDLNGFCQSVGEVSEVRIMKGKESGEAKGYAFVTFKTKELASKAIKELNNTELKGKKIKCSTSQAKHRLFIGNVPRNWTEENMEKVVSEIGPGIISVELLKDPQISGRNRGFAFIEYYNNACAEYSRQKMSNSNFKLGSNAPTVSWADPRNYESSANSQVKALYVKNLPGNITQDRLKELFEHHGKITKVVVPSAKAGQEKSRYGFVHFAERSSAMKALKNTEKYEIDGQTLECSLAKPQADQKSSGAANQQKSALLPTYPPHLGYGMIGGAIGAGYGAAGFAQPLMYGPGPTPPGMTMMPMLLPDGRIGYVLQQPGFQQHAPMLESQHRKRGGGSSSGKRSNDNNRNSGGGRYHPY >Vigun01g174800.5.v1.2 pep primary_assembly:ASM411807v1:1:35630300:35635474:-1 gene:Vigun01g174800.v1.2 transcript:Vigun01g174800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMPGTGHRDVLVKNPESPEGNSEPEKPTDSDEQVDFDGDNDQEETMEEEEVEYEEVEEEEEVEVEEEEEEEEEEEGEEEEEIKPSDVEDETGVEDTEDEKKKHAELLALPPHGSEVYIGGIPPKVSEEDLNGFCQSVGEVSEVRIMKGKESGEAKGYAFVTFKTKELASKAIKELNNTELKGKKIKCSTSQAKHRLFIGNVPRNWTEENMEKVVSEIGPGIISVELLKDPQISGRNRGFAFIEYYNNACAEYSRQKMSNSNFKLGSNAPTVSWADPRNYESSANSQVKALYVKNLPGNITQDRLKELFEHHGKITKVVVPSAKAGQEKSRYGFVHFAERSSAMKALKNTEKYEIDGQTLECSLAKPQADQKSSGAANQQKSALLPTYPPHLGYGMIGGAIGAGYGAAGFAQPLMYGPGPTPPGMTMMPMLLPDGRIGYVLQQPGFQQHAPMLESQHRKRGGGSSSGKRSNDNNRNSGGGRYHPY >Vigun06g039300.1.v1.2 pep primary_assembly:ASM411807v1:6:15818309:15825599:1 gene:Vigun06g039300.v1.2 transcript:Vigun06g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILSTGAPTIEEQKPAPVTLRDGGHFNPTKYFVEEVVTSVDESDLYRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQFEWEESQRVAHRRWEREQGRREATEDMSEDLSEGEKGDGVVEMIQSETPKKQIKRQISNLEVWSDDKKEKKLYLVLLSLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSPEIDWSYGEPTEMLTVGGDDDDNIGESSGAYIIRIPFGPRNKYLQKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAAILSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKMMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRFMPRMAVIPPGMDFSNVMSQEDGPEADGEIFQLTASVEGASPKAMPSIWAEVMRFFRNPHKPVILALSRPDPKKNLTTLLKAYGESRPLRELANLVLIMGNRDDIDEMSSGNASVLTTVLKMIDKYDLYGRVAYPKHHKQSDVPEIYRFAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAITDALIKLLSDKNLWHDCRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTNTPGNDINTEESFNDSLKDVQDMSLRLSIDADLAGLGSVSDPQDQVKRLLSKMKKPDSGLNDTNKIPDNVSGKYPLLLRRRRLIVIALDIYDEKGAPGKTMIQIVQRIIKAAQLDPQNARVSGFALSTAMPMLETVEFLKSGNIQANDFDVLICSSGSEVYYPGTYTEDGKLLPDPDYEAHIDYRWGCEGLKKTIGNLMSTAEGEKKPSSPIEEDLKSSNAHCISYKVKDLTKAKRVDDLRQKLRMRGLRCHPMYCRGSSSVQVIPLLASRAQALRYLFVRWGLNVANMFVFLGETGDTDYEELISGTHKTIIMKGVVSNGSEGILRGPGSYPREDVVPNESPLVACISETTEDKIANTLKELSKSGIM >Vigun11g069100.2.v1.2 pep primary_assembly:ASM411807v1:11:20121638:20124494:-1 gene:Vigun11g069100.v1.2 transcript:Vigun11g069100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFSFMIIVAYMLVPALKISAANLTMSQSIIDSETLVSNSGQFELGFFTPGNSTKRYLGIWYKIIPVERVVWVPYGANAINDSSGILAFSKTGNLELRQNDTVVWSATYRKQARNPVAVLLDSGNFVVRNEGETEPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERNLTSWKSPDDPSPGDDSWVLRLNNYPEFYLMQGKHKSNRIGPWNGLFFSGLSLQKPNPIYKFEYVVKSDVLYAPNKVEMSYSLVLKNTSVLVAVTISKGRFRTRRWVETAQSWETYDESNLNDICDMYALCGAYGNCLTTASPLCLCLKGFSPNSRQEWNTMDWSGGCIRKKPLSCKEFYKDEFVKYVGLKVPDTTHTWVDENIDLDDCRSRCLSNCSCMAFTNSDINGPGSGCVMWFGDLVDMRQFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILFFCIFVMYRVRRNIAEKSEKKDNIKRQLEDLDMPLFDLFTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGMTEFITEVKLIAKVQHRNLVKLLGCCIRGEEKILVYEYMVNGSLDSFIFGMPIKENLRLGPLVLFSFCHLMPI >Vigun11g069100.1.v1.2 pep primary_assembly:ASM411807v1:11:20120848:20124494:-1 gene:Vigun11g069100.v1.2 transcript:Vigun11g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFSFMIIVAYMLVPALKISAANLTMSQSIIDSETLVSNSGQFELGFFTPGNSTKRYLGIWYKIIPVERVVWVPYGANAINDSSGILAFSKTGNLELRQNDTVVWSATYRKQARNPVAVLLDSGNFVVRNEGETEPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERNLTSWKSPDDPSPGDDSWVLRLNNYPEFYLMQGKHKSNRIGPWNGLFFSGLSLQKPNPIYKFEYVVKSDVLYAPNKVEMSYSLVLKNTSVLVAVTISKGRFRTRRWVETAQSWETYDESNLNDICDMYALCGAYGNCLTTASPLCLCLKGFSPNSRQEWNTMDWSGGCIRKKPLSCKEFYKDEFVKYVGLKVPDTTHTWVDENIDLDDCRSRCLSNCSCMAFTNSDINGPGSGCVMWFGDLVDMRQFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILFFCIFVMYRVRRNIAEKSEKKDNIKRQLEDLDMPLFDLFTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGMTEFITEVKLIAKVQHRNLVKLLGCCIRGEEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTFGYMAPEYAVHGLFSIKSDVFSFGILLLEIVCGNKNRPLCHGNQTLNLVGYAWELWKEKNALDLIDSNVKDSCVITEALRCIHISLLCVQQYPEDRPTMTSIIQMLGSERELVEPKEPGFFPKRISNDENLNKMSMNEELSITSLNGR >Vigun02g017600.1.v1.2 pep primary_assembly:ASM411807v1:2:6284421:6288960:1 gene:Vigun02g017600.v1.2 transcript:Vigun02g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLELASGEAPSFGYMNRRIPRIMTVAGLISDVDDDPVDSVCSDPSSSATHRDRIIMVANQLPIRAQRRPDGNRSCWCFEWDENALLQLKDGLGDDDLEVIYVGCLKEEVHPSEQDEVSQTLLETFKCVPTFLPADQFSKFYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREEILRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGIEYYGRTISIKILPVGIHMGQLQSVLKMPQTEDKVCELIKQFSDQGRTLLLGVDDMDIFKGISLKLLAMEQLLIQHPEYQGKVVLVQIANPARGRGKDVKEVQAETKATVKRINETFGKPGYDPVILIEEPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLDRVLGLASSPRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDTALEMADSEKELRHEKHYRYVSTHDVGYWARSFLQDLERTCRDHVRRRWWGIGFGLSFRVVALDPNFKKLSMEHIVSAYKRTTTRAILLDYDGTLMPQSSTIDKSPSSKSIEILSSLCRDKNNMVFLVSARSRKMLSEWFSSCENLGVAAEHGYFLRMRRDEEWETHVAATDCSWKQIAEPVMKLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNNVEVKPQGVSKGLVAKRLLSAMQENGMYPDFVLCIGDDRSDEDMFEVITSSMGGPIAPRAEVFACTVCRKPSKAKYYLDDTTEIVRLLQGLACVSEQVVSFSSEQIVC >Vigun02g017600.2.v1.2 pep primary_assembly:ASM411807v1:2:6284421:6288893:1 gene:Vigun02g017600.v1.2 transcript:Vigun02g017600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLELASGEAPSFGYMNRRIPRIMTVAGLISDVDDDPVDSVCSDPSSSATHRDRIIMVANQLPIRAQRRPDGNRSCWCFEWDENALLQLKDGLGDDDLEVIYVGCLKEEVHPSEQDEVSQTLLETFKCVPTFLPADQFSKFYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREEILRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGIEYYGRTISIKILPVGIHMGQLQSVLKMPQTEDKVCELIKQFSDQGRTLLLGVDDMDIFKGISLKLLAMEQLLIQHPEYQGKVVLVQIANPARGRGKDVKEVQAETKATVKRINETFGKPGYDPVILIEEPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLDRVLGLASSPRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDTALEMADSEKELRHEKHYRYVSTHDVGYWARSFLQDLERTCRDHVRRRWWGIGFGLSFRVVALDPNFKKLSMEHIVSAYKRTTTRAILLDYDGTLMPQSSTIDKSPSSKSIEILSSLCRDKNNMVFLVSARSRKMLSEWFSSCENLGVAAEHGYFLRMRRDEEWETHVAATDCSWKQIAEPVMKLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNNVEVKPQGVSKGLVAKRLLSAMQENGMYPDFVLCIGDDRSDEDMFEVITSSMGGPIAPRAEVFACTVCRKPSKAKYYLDDTTEIVRLLQGLACVSEQVVSFSSEQIVC >Vigun10g101300.1.v1.2 pep primary_assembly:ASM411807v1:10:29443779:29451244:1 gene:Vigun10g101300.v1.2 transcript:Vigun10g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTILMLPSTTLFKPPLHHVKHMLFNILANLAHKFPSLTPFFFYLHHISFILHQPFSAFHLLHTVYSLKKHIFFPNVMKFGKRLKQQIQESLPEWRDKYLSYKELKKLVRLISEAPTLLNGSLEYGKTEAEFMCLLKIEIDKFNGFFMEKEEDFIIRHMEVQQRIKRVVDLWGPNGSAPSEADYKEEMAKIRKAIVDFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLEQPFYTTDLISKLVKECECIIDAVFPAEEEAERAKEAKEAITVAGEGIFRNTVAALLTMQEMRKGSSTQSPFSLPPLNLPDSDLIQSIQLNAAVPIV >Vigun03g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8930016:8931867:-1 gene:Vigun03g103600.v1.2 transcript:Vigun03g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGYIVYDLVMVTLSMSLIILGAVLFLACKKKKPDECEEPVAVKHCARVYSLMDIDAVTDSFNPGRVVGKGPLGTVYAGVQENGELVAVKRIHPVLVLSNAGLGFSSALKWLSSVQHPNIVPIIGFSEAPGERVIVMEFGRMMSLEFCLHQNGNGASLLDWNKRIRIAAGAARGLQYLHEVATPNIVHGRVKSSNVLIDVNFCPRICDYGLNFLEKREVVGYVDDEYCDERGGGVSKESDVYGLGVVLLELLSGRGCKERVIVEWGLGLIKELSFGEVLDPRLVIPCDMKNVVRLAKVASACVGNSRKCRPSIGQVATILNSLEMEVCV >Vigun01g019800.1.v1.2 pep primary_assembly:ASM411807v1:1:2103414:2105343:1 gene:Vigun01g019800.v1.2 transcript:Vigun01g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHEFVKAYSSHLKRSGKMELPEWTDIVKTARFKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFQRIYGGSKRNGSRPPHFCKSSGAIARHILQQLQTMNIIELDTKGGRRITSSGRRDLDQVAGRIVVAP >Vigun05g036400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2963449:2964539:-1 gene:Vigun05g036400.v1.2 transcript:Vigun05g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTPSPAQILSLFRSLLRVARDFPDYNIREYTKRRTIDAFRQNASLSDPSSISSAYSHGKSQLGVVKRQAVVYSLYAPPLRSVMELQQVPF >Vigun09g136900.1.v1.2 pep primary_assembly:ASM411807v1:9:29803574:29811325:1 gene:Vigun09g136900.v1.2 transcript:Vigun09g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVVQMVESVGDDEDKKKKEEVKTLSFLKLLSYAEMVDWVLMGLGSVGSIVHGMALPVGYLLLGKALDAFGNNIEDIDAMVKALKKIVPFVWYMAIATFPAGVLEIGCWMYASERQLLRLRLAYLRAVLNQEMGAFDTELTTGKVISGISNHMSLIQDAIGEKTISQIKTVYAFVGERSAIKSFTENMDKQYIISKGEALVKGVGTGMLQTVSFCSWALIVWVGAVVVRAGRATGGDIIAAVMSILFGAISLTYAAPDMQVFNQAKAAGYEVFQVIQRKPLISNESEGKMPSRIKGDIVFQDVHFSYPSRPDKPILQGLSLSIPAGKTVALVGSSGCGKSTVISLVNRFYDPSSGVIFIDGQNIKDLNLKFLRRNIGAVSQEPSLFAGTINDNLKVGKMDADDQEIQKAAIMSNAHSFISQLPNQYLTEVGERGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQEALETATQGRTVILIAHRLSTVVNADIIAVVENGQVAETGTHQSLLDTSRFYSTLFSMQNLESVPEPRATISKTKSARQEDFPTETRPPLEVQGEARKNITEQPVLKEHNKMSSRKRNIFFRIWFGFRKRELVKISIGSIAAAFSGISKPFFGFFIITIGVAYFKEDAKTKVGLYSAIFTAVGMLSLFSHTVQHYFFGMVGEKAMANLRRALYSGLLRNEVGWFDKSDNTVGSLTSRVISDTAMVKVIIADRMSVILQCVSSILIATVVSMAVNWRMSLVAWSVMPCHFIGGLIQAKSAKGFSGDYSAAHSELVALASESTTNIRTIASFCHEEQVMRKAKTSLEIPKKKYRKESIKYGIIQGFSLCLWNIAHAVALWYTTILVDRRQASFENGIRSYQIFSLTVPSITELYTLIPTVISAINILTPAFKTLDRKTEIEPDTPDDSQPERIQGNVEFENVKFNYPTRPEVTVLDNFSLQIEAGLKVAFVGPSGAGKSSVLALLLRFYDPQGGKVLIDGKDIRKYNLRWLRTQIGLVQQEPLLFNCSIRDNICYGNNGAAESEIVEAAKEANIHEFVSNLPNGYSTVVGERGCQLSGGQKQRIAIARTILKKPAILLLDEATSALDAESEGIIVNALNAIHLKEDSGLCSRTTQITVAHRLSTVINSDTIIVMDKGKVVQMGSHSTLIAAESGLYSRMFRLQSFEETS >Vigun11g028500.1.v1.2 pep primary_assembly:ASM411807v1:11:3718957:3719475:1 gene:Vigun11g028500.v1.2 transcript:Vigun11g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITSILFLLLVLSMEIENEGAMKMVEAEVCAEEFNWPDCSLFSCKTECIKRHGKSATAMCDDIFLCICNFPCN >Vigun07g033800.1.v1.2 pep primary_assembly:ASM411807v1:7:3225020:3234086:1 gene:Vigun07g033800.v1.2 transcript:Vigun07g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSPMAATKIGLGVGPVPTHRVTAVAAPSSAAFSPPPQHRLFLLNSRRALRPRPSPQPLRIAAAASSSSSVAAPGKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGISGPDLMDRMRRQAERWGAELYQEDVEAIDVKSSPFTVQSSERKVKSHTVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVIGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVYDNPNVTVHFNTEAVDLVSNTKGQMSGILIRKVDTGEESVLDVKGLFYGIGHSPNTQLLKGQVELDYSGYVQVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVNKDLLVEFHQPKTEEVKKELTERDVHEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDENVHYVEIDIEEDPEIAEAAGIMGTPCVQYFKNKEMLKTVSGVKMKREYREFIEANK >Vigun07g033800.3.v1.2 pep primary_assembly:ASM411807v1:7:3225062:3234086:1 gene:Vigun07g033800.v1.2 transcript:Vigun07g033800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVLSLSKVVNVKSHTVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVIGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVYDNPNVTVHFNTEAVDLVSNTKGQMSGILIRKVDTGEESVLDVKGLFYGIGHSPNTQLLKGQVELDYSGYVQVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVNKDLLVEFHQPKTEEVKKELTERDVHEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDENVHYVEIDIEEDPEIAEAAGIMGTPCVQYFKNKEMLKTVSGVKMKREYREFIEANK >Vigun07g033800.2.v1.2 pep primary_assembly:ASM411807v1:7:3225822:3234086:1 gene:Vigun07g033800.v1.2 transcript:Vigun07g033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEVENFPGFPDGISGPDLMDRMRRQAERWGAELYQEDVEAIDVKSSPFTVQSSERKVKSHTVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVIGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVYDNPNVTVHFNTEAVDLVSNTKGQMSGILIRKVDTGEESVLDVKGLFYGIGHSPNTQLLKGQVELDYSGYVQVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVNKDLLVEFHQPKTEEVKKELTERDVHEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDENVHYVEIDIEEDPEIAEAAGIMGTPCVQYFKNKEMLKTVSGVKMKREYREFIEANK >Vigun07g203200.1.v1.2 pep primary_assembly:ASM411807v1:7:32501864:32504284:-1 gene:Vigun07g203200.v1.2 transcript:Vigun07g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSNPAAGNSFDHRRPPAVPSKQQLPAPHSVDTSAVSQRLQKELMALMMSGGDLGVSAFPDGESIFTWIGTVEGGKGTLYEGLSYKLSLHFPLEYPFKPPQVKFETICFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLEEPNLESPLNSYAAALWNDKEDYRRMVHKQYFSGEAHES >Vigun04g009100.1.v1.2 pep primary_assembly:ASM411807v1:4:670696:675945:-1 gene:Vigun04g009100.v1.2 transcript:Vigun04g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRHSQSAMPVHPIQELPGAPFGDPETYFSESELRETAYEILVAACRSSGPKPLTFISQSERGDRDRAAPAPSLHRSLTSTAASKVKKALGLKTSSSKGSKRAQTTGELVRVQMKISEQSDTRIRRALLRIAAGQLGRRMETVVLPLELIQLFRSSDFPSQQEYDIWLRRNLKVLEVGLLLHPCLPLEKPDPSAQSLRRIIHGALEKSVDIGKNGESIQTFRTAVLSLACRSTSGSISETCHWADGFPLNLWIYQTLLEACFDFHAESSVIEEVDEVLELIKKTWVMLGINETLHNICFSWVLFHRYVVTGQVENDLLLASSNLLAEVGKDSGCSKDPLCSKILRNTLSLILSWAEKRLLAYHDTFDDGNIESMESVVSLAVLSAKVLEDFSHDYNRKKKKEDDVEYTRVDNYIRSSLHAVFIQKLEKLEPNKHPSRKQNKAFPILSILARDITELAYNEKAIFSPKLKRWHPYAAGVAIATLHVCYGNELKKYVKGINELTPDAIEVLMAADKLEKDLVQIAVADSVESEDGGKSIIREMQPYEAEAVIATLVKSWIKIRVDRLGEWVDRNLRQEVWNPQANKEGFAPSAVEVLRIIDDTLEAFFLLPIPMHAELLPDLMSGLDKCLQQYILEAKSGCGSPSSFIPTLPELTRCSTKSKFNGVFRKKERSQVAQRRKAHVGTTNGNSSIDIAQMSVRINTMQRIRMELGVLEKRIVANLSSSNDIANGVSLKFKLSSSAAVEGIHQLCECIAYKIVFHDLSHVLWDGLYVGQVVSARIEFFLQELEQYLEIISSTVHDKVRTRVIVEVMRASFDGFLLVLLGGGPSRAFSLQDSVIIEEDFKFLSGLFWSNGDGLPTELIEKHSATVRGVLPLFSADTEHIIQQFTQLTMEMYGSTAKSRLPLPPTAEQWSPTEPNTLLRVLCNRNDEAAAKFLKKNYNLPTKV >Vigun02g028600.2.v1.2 pep primary_assembly:ASM411807v1:2:11474664:11478975:-1 gene:Vigun02g028600.v1.2 transcript:Vigun02g028600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGDVALFCLALFFLSTSVAGLLSPKGVNYEVQALMSIKNSLMDPHSVLSNWDADAVDPCNWAMVTCSSDHFVTALGIPSQNISGRLSPSIGSLTNLLTVLLQDNNITGPIPSEIGRLQKLQTLDLSDNFFTGQLPDSLSHMKGLHYLRLNNNSLTGPIPSSLANMTQLAFLDISYNNLSGPVPRINAKTFNIVGNPQICATGVEQNCFRTTSIPLAPNNSQDSQSTKRPKSHKAALAFTSSLSCICLLILGLGFLIWWRQRHNKQIFFDVNEQHREEVFLGNLKKFHLRELQLATNNFSSKNMIGKGGFGNVYKGYLQDGTVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVASRLKAKPALDWPTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAVNQKGAMLDWVKKIHQEKKIDLLVDKDLKNNYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRSRGNELSSSERYSDLTDDSSLLAQAMELSGPR >Vigun02g028600.1.v1.2 pep primary_assembly:ASM411807v1:2:11474664:11479090:-1 gene:Vigun02g028600.v1.2 transcript:Vigun02g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGDVALFCLALFFLSTSVAGLLSPKGVNYEVQALMSIKNSLMDPHSVLSNWDADAVDPCNWAMVTCSSDHFVTALGIPSQNISGRLSPSIGSLTNLLTVLLQDNNITGPIPSEIGRLQKLQTLDLSDNFFTGQLPDSLSHMKGLHYLRLNNNSLTGPIPSSLANMTQLAFLDISYNNLSGPVPRINAKTFNIVGNPQICATGVEQNCFRTTSIPLAPNNSQDSQSTKRPKSHKAALAFTSSLSCICLLILGLGFLIWWRQRHNKQIFFDVNEQHREEVFLGNLKKFHLRELQLATNNFSSKNMIGKGGFGNVYKGYLQDGTVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVASRLKAKPALDWPTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAVNQKGAMLDWVKKIHQEKKIDLLVDKDLKNNYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRSRGNELSSSERYSDLTDDSSLLAQAMELSGPR >Vigun02g028600.3.v1.2 pep primary_assembly:ASM411807v1:2:11474664:11478975:-1 gene:Vigun02g028600.v1.2 transcript:Vigun02g028600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKNSLMDPHSVLSNWDADAVDPCNWAMVTCSSDHFVTALGIPSQNISGRLSPSIGSLTNLLTVLLQDNNITGPIPSEIGRLQKLQTLDLSDNFFTGQLPDSLSHMKGLHYLRLNNNSLTGPIPSSLANMTQLAFLDISYNNLSGPVPRINAKTFNIVGNPQICATGVEQNCFRTTSIPLAPNNSQDSQSTKRPKSHKAALAFTSSLSCICLLILGLGFLIWWRQRHNKQIFFDVNEQHREEVFLGNLKKFHLRELQLATNNFSSKNMIGKGGFGNVYKGYLQDGTVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVASRLKAKPALDWPTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAVNQKGAMLDWVKKIHQEKKIDLLVDKDLKNNYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRSRGNELSSSERYSDLTDDSSLLAQAMELSGPR >Vigun04g172200.1.v1.2 pep primary_assembly:ASM411807v1:4:39620901:39622452:1 gene:Vigun04g172200.v1.2 transcript:Vigun04g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEWRTFYLDVTLIPLGLLLNLIYHVWLWYKVRTQASLTVFGIDADGRRLWIPAIIKDIEKKNIIAVQSVRNMMMGSIFMANTSIVLCCGLGAVISSTYRVKKPLIDSVYYGAQGEFVVALKYSTIFTTFLFSFLFHSLSVRFLTQLSILICLPQHVITLVTPDQYLTDLLRKATILNIVGNRLSHTGLFLLLWIFGPVIAFFCSVAMLLVFHEVDFVAGKQKTNLGIREGNDGSLLEV >Vigun04g035800.1.v1.2 pep primary_assembly:ASM411807v1:4:2969488:2973296:1 gene:Vigun04g035800.v1.2 transcript:Vigun04g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPPVPPSPRDDALQLYRAFKGLGCDTSAVINLLAHRDATQRAYIQQEYKTMYSEELSKRLASELSGKLESAVLLWLKDPAGRDASIIRKSLVEVRSLEGATEVICSRTPSQLQYLKQVYHSLFGVYLEHDIQANTSPGSDHQKLLLAYISTPRPEGPEVNREIAQKDAKVLYKAGEKKLGTDEKTFIHIFSERSAAHLAAVSAYYHDMYGHSLKKAIKNETSGLFELALLTIVQCAIHPGKYFAKVLHKAMKGLGTDDSTLIRVIVTRTEVDMQYIKAEYSKKHRKTLNDAVHSETSGHYRAFLLSLLGPNI >Vigun09g011500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:852481:853357:-1 gene:Vigun09g011500.v1.2 transcript:Vigun09g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQEQLRKIGLEGFDLIEKFYGRRFSATGEGIWAVRQLPEDEMENPALKTREVANYSAYPRAKSHNNRWGRPITF >Vigun07g046550.2.v1.2 pep primary_assembly:ASM411807v1:7:4780747:4782502:1 gene:Vigun07g046550.v1.2 transcript:Vigun07g046550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTNGSNSTVQDRIFHAEAAPACKELVWLACNEELEVRSLLFAKGNVENDTCPCCGKYSETTMHALMQCEEAKCIWFGSPLGLRVELLHDITTVSEWIGECASFMDKKVLGMVCVIMWAVWEKRNVWVEQRKKLDFPEVMAKAHSMFFSDEWILPSYPHVKANFSASVKDSVGTAMGVVFRDFAGVPQACATNLMEKQCFEEGIASAMCYMWAFVMLFSKLIANSYTVTGTK >Vigun07g046550.1.v1.2 pep primary_assembly:ASM411807v1:7:4780747:4782502:1 gene:Vigun07g046550.v1.2 transcript:Vigun07g046550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTNGSNSTVQDRIFHAEAAPACKELVWLACNEELEVRSLLFAKGNVENDTCPCCGKYSETTMHALMQCEEAKCIWFGSPLGLRVELLHDITTVSEWIGECASFMDKKVLGMVCVIMWAVWEKRNVWVEQRKKLDFPEVMAKAHSMFFSDEWILPSYPHVKANFSASVKDSVGTAMGVVFRDFAGVPQACATNLMEKQCFEEGIASAMCYMWAFVMLFSKLIANSYTVTGTK >Vigun05g195400.4.v1.2 pep primary_assembly:ASM411807v1:5:37810220:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQIRVVMILLCCVHFHVQSCDEVEDGISRSHFPEGFLFGTSTSSYQIEGAPFEDRKGLSNWDVFTHIPGKIKNNENGDIADDHYHRYLEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISWVLDPLVFGKYPDEMHSILGNQLPVFSPEDNRLIKGSIDFIGINHYGSLYAKDCSLSACSQGADHPITGFVETTGIRDGIPIGEQVP >Vigun05g195400.6.v1.2 pep primary_assembly:ASM411807v1:5:37810220:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQIRVVMILLCCVHFHVQSCDEVEDGISRSHFPEGFLFGTSTSSYQIEGAPFEDRKGLSNWDVFTHIPGKIKNNENGDIADDHYHRYLEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISW >Vigun05g195400.3.v1.2 pep primary_assembly:ASM411807v1:5:37810220:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPIYQEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISWVLDPLVFGKYPDEMHSILGNQLPVFSPEDNRLIKGSIDFIGINHYGSLYAKDCSLSACSQGADHPITGFVETTGIRDGIPIGEQTGMPPFFVVPTGMEKIVDYIKIRYYNIPMYITENGYCSPLKQNVTIDYLLQDFKRIDYHKAYLAALLRAIRKGADVRGYMIWSLMDNFEWARGYDMRFGLYYVNRNTLERIPKLSVQWFSSFLNNTINTNTREDLRFEDATS >Vigun05g195400.5.v1.2 pep primary_assembly:ASM411807v1:5:37810219:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQIRVVMILLCCVHFHVQSCDEVEDGISRSHFPEGFLFGTSTSSYQIEGAPFEDRKGLSNWDVFTHIPGKIKNNENGDIADDHYHRYLEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISW >Vigun05g195400.1.v1.2 pep primary_assembly:ASM411807v1:5:37810220:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQIRVVMILLCCVHFHVQSCDEVEDGISRSHFPEGFLFGTSTSSYQIEGAPFEDRKGLSNWDVFTHIPGKIKNNENGDIADDHYHRYLEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISWVLDPLVFGKYPDEMHSILGNQLPVFSPEDNRLIKGSIDFIGINHYGSLYAKDCSLSACSQGADHPITGFVETTGIRDGIPIGEQTGMPPFFVVPTGMEKIVDYIKIRYYNIPMYITENGYCSPLKQNVTIDYLLQDFKRIDYHKAYLAALLRAIRKGADVRGYMIWSLMDNFEWARGYDMRFGLYYVNRNTLERIPKLSVQWFSSFLNNTINTNTREDLRFEDATS >Vigun05g195400.2.v1.2 pep primary_assembly:ASM411807v1:5:37810220:37813845:-1 gene:Vigun05g195400.v1.2 transcript:Vigun05g195400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLERQIRVVMILLCCVHFHVQSCDEVEDGISRSHFPEGFLFGTSTSSYQIEGAPFEDRKGLSNWDVFTHIPGKIKNNENGDIADDHYHRYLEDIELMSSLGVDVYRFSISWTRILPRGIYGDINPSGIMFYNKIIDNLLLKGIEPFVTINHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGGRVKYWTTINEPSLVTINAYMKGTYPPGHCSPPFGNCSAGNSDIEPLIVMHNRLLSHAKAVELYRKHFQAKQGGTIGIVAHTFMYEPFRNEECDRQAVKRALAFVISWVLDPLVFGKYPDEMHSILGNQLPVFSPEDNRLIKGSIDFIGINHYGSLYAKDCSLSACSQGADHPITGFVETTGIRDGIPIGEQTGMPPFFVVPTGMEKIVDYIKIRYYNIPMYITENGYCSPLKQNVTIDYLLQDFKRIDYHKAYLAALLRAIRKGADVRGYMIWSLMDNFEWARGFLNNTINTNTREDLRFEDATS >Vigun03g342100.3.v1.2 pep primary_assembly:ASM411807v1:3:54076656:54078242:-1 gene:Vigun03g342100.v1.2 transcript:Vigun03g342100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSSSEMVGEKSQNIKMENGVQENPFAVCVAEGEKGLVRFGDLQSMRRVRTGKRLLMKKMQMAMVAAAAAENSFPVSPAGAQPLPPSIDDNFKMFAHPSSDPWHEGGVSSKLQISPKLEMASEKAAKKLKHVSSYHKSDAMKIVREMPCVISAGDEASGKRIEGLLYKYKRGQVCIVCVCHGCFLSPTEFVMHAGGKEVADPMKHITVSSDSFYINGDGAAFTTHPF >Vigun03g342100.2.v1.2 pep primary_assembly:ASM411807v1:3:54076634:54079043:-1 gene:Vigun03g342100.v1.2 transcript:Vigun03g342100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFSWISMEGFYSEKSYTNSEQIDLTLKLSPCGQNAEEKMVLTSSSSEMVGEKSQNIKMENGVQENPFAVCVAEGEKGLVRFGDLQSMRRVRTGKRLLMKKMQMAMVAAAAAENSFPVSPAGAQPLPPSIDDNFKMFAHPSSDPWHEGGVSSKLQISPKLEMASEKAAKKLKHVSSYHKSDAMKIVREMPCVISAGDEASGKRIEGLLYKYKRGQVCIVCVCHGCFLSPTEFVMHAGGKEVADPMKHITVSSDSFYINGDGAAFTTHPF >Vigun03g342100.4.v1.2 pep primary_assembly:ASM411807v1:3:54076656:54078414:-1 gene:Vigun03g342100.v1.2 transcript:Vigun03g342100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFSWISMEQGFYSEKSYTNSEQIDLTLKLSPCGQNAEEKMVLTSSSSEMVGEKSQNIKMENGVQENPFAVCVAEGEKGLVRFGDLQSMRRVRTGKRLLMKKMQMAMVAAAAAENSFPVSPAGAQPLPPSIDDNFKMFAHPSSDPWHEGGVSSKLQISPKLEMASEKAAKKLKHVSSYHKSDAMKIVREMPCVISAGDEASGKRIEGLLYKYKRGQVCIVCVCHGCFLSPTEFVMHAGGKEVADPMKHITVSSDSFYINGDGAAFTTHPF >Vigun03g342100.1.v1.2 pep primary_assembly:ASM411807v1:3:54076656:54079043:-1 gene:Vigun03g342100.v1.2 transcript:Vigun03g342100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQVSRSTHNQPNNMTSTREPFSAFLTSHITQGFYSEKSYTNSEQIDLTLKLSPCGQNAEEKMVLTSSSSEMVGEKSQNIKMENGVQENPFAVCVAEGEKGLVRFGDLQSMRRVRTGKRLLMKKMQMAMVAAAAAENSFPVSPAGAQPLPPSIDDNFKMFAHPSSDPWHEGGVSSKLQISPKLEMASEKAAKKLKHVSSYHKSDAMKIVREMPCVISAGDEASGKRIEGLLYKYKRGQVCIVCVCHGCFLSPTEFVMHAGGKEVADPMKHITVSSDSFYINGDGAAFTTHPF >Vigun03g047300.2.v1.2 pep primary_assembly:ASM411807v1:3:3771062:3774209:-1 gene:Vigun03g047300.v1.2 transcript:Vigun03g047300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEKLDKFKKQQEKCQTTLSSIAASKAAASQKSASHGSANGKNAPHPVKFSNDTERLQHINSIRKAPVGAQMKRVIDLLLETRQALTPEQINQACYVDIKANKDVFENLRKNPKVNYDGQRFSYKSKYGLKDKTELLQLIRKYPEGLAVIDLKDAYPTVMEDLQALKGAGQIWLLSNFDSQEDIAYPNDPKLHIKVDDDLKQLFRSIELPRDMIDIEKDLQKNGMKPATNTAKRRSAAQIQGISSKPKPKKKKSEINKRTKLTNAHLPELFQNLNNS >Vigun03g047300.3.v1.2 pep primary_assembly:ASM411807v1:3:3771062:3774209:-1 gene:Vigun03g047300.v1.2 transcript:Vigun03g047300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEKLDKFKKQQEKCQTTLSSIAASKAAASQKSASHGSANGKNAPHPVKFSNDTERLQHINSIRKAPVGAQMKRVIDLLLETRQALTPEQINQACYVDIKANKDVFENLRKNPKVNYDGQRFSYKSKYGLKDKTELLQLIRKYPEGLAVIDLKDAYPTVMEDLQALKGAGQIWLLSNFDSQEDIAYPNDPKLHIKVDDDLKQLFRSIELPRDMIDIEKDLQKNGMKPATNTAKRRSAAQIQGISSKPKPKKKKSEINKRTKLTNAHLPELFQNLNNS >Vigun03g417100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62390586:62391747:-1 gene:Vigun03g417100.v1.2 transcript:Vigun03g417100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGFSFNLKPAISSEDGAKRFHCRYCRRQFTNSQALGGHQNAHRRERAKLAQFKYLLNLRQQHGHRFKPSYNIGPKGSLVPHPNLSPNVSVGSATSAALFQFHGAGTLLLQVPARDHDVVDVDLNLRL >Vigun03g417100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62390547:62391854:-1 gene:Vigun03g417100.v1.2 transcript:Vigun03g417100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGFSFNLKPAISSEDGAKRFHCRYCRRQFTNSQALGGHQNAHRRERAKLAQFKYLLNLRQQHGHRFKPSYNIGPKGSLVPHPNLSPNVSVGSATSAALFQFHGAGTLLLQVPARDHDVVDVDLNLRL >Vigun05g303200.1.v1.2 pep primary_assembly:ASM411807v1:5:48576117:48583615:1 gene:Vigun05g303200.v1.2 transcript:Vigun05g303200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGGGAAATGAGGEGEDRLNQAVQQQLNLKQVKTRAISLFKAISRILEDFEAYGRTNTTPKWQDILGQYSMVNLELFNIVDDMKKVSKAFVVHPKNVNAENASILPVMLSSKLLPEMEAEENSKREQLLEGMQNQNLPIPTQIEKLKARLDMIAAACEGAEKVLADTRKAYCFGTRQGPIAPTLDKGQAAKIQEQENLLRAAVNAGEGLRIPLDQRQITTAPPIHLADALPGLIDPPAHDASSAPNTMYIKNTPLSSNTMPPQNPLLQTSASQLLGRSAASPSAPTSATSFDNTTPSPMPYANSPRSSTNIMNTPSPQQQTQQQQPPVQQQQQQQQQQQQQQQRQKLLQQLPQQQQHQMLAQHQQLRQSAMQGMGQLHGQHQMQFSQPLGHQQFQGRQLPSGHVQHGIGQSQLNQGNQMTRLSQFSGPANSALFSAAQTTPNTQMIPNISGALPSQTLLPRMQFGLSGNNPQRSHTSQMLSDQMFNMGGGNPSGMMPMQQQQQQHGSQAFGSMASNAQNLQSGMVTLQNTQQNHPNFSQQRQQNPQ >Vigun11g003600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:356750:358255:1 gene:Vigun11g003600.v1.2 transcript:Vigun11g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSFFFLTLLLLAVISAVTPCPPSERAALLAFKAALTEPYLGFFNTWNGTDCCGSWYGIACDPTTGHVTDVNLRGESQDPMFQKLGRSGYMTGTISPEICTLSNLTSLVVADWKGISGEIPACVTSLSSLRLLDLAGNCLTGQIPTDIGNIRSLSVLSLADNEISGEIPTSIVKLARLMHLDLSNNHLSGEIPYDFGKLRMLSRALLSGNQLTGSVPKSVSRMKRLADLDVSLNRLTGFIPVELGKMKVLSTLKLDGNSMTGPVPPTLLSNTGMGILNLSRNGFSGIIPDVFVADSYFMVLDLSFNNFSGRIPSSLSASKFMGHLDLSNNHLCGTIPIGSPFDHIDAASFSNNDCLCGNPLKTC >Vigun03g262700.1.v1.2 pep primary_assembly:ASM411807v1:3:43191769:43193294:-1 gene:Vigun03g262700.v1.2 transcript:Vigun03g262700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLILIPCPYFNLCFDKKGCQNSDNDGEKSCHNAQEIAVFLRQIGFDKDTTVYVTESRWDSSLDSLKDLFPKTYTKEAIMPADKKKKFLDSEFEKVIDFYISAESDVFVPAISGLFYANVVGKRIGSGKTRILVPASSASASNFLSPYVSKSLRKLKKSKSHAQIGSLPLHNSHLTILLLHLECVRTTHTGSSFVCFSLQFSLSLCLQQKPLCLFLLLLATTCAFICLFFPCLNSLKIYLRRKN >Vigun02g089300.1.v1.2 pep primary_assembly:ASM411807v1:2:24399441:24400551:-1 gene:Vigun02g089300.v1.2 transcript:Vigun02g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDLSPSHVDTSRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKLRSFRQSHSHPHATPSLTQSQPSMVKQDKGQSLPVLMPGDELPKFIAMPCPRQPSRPDTIVVTVENPPLKPPQPVAPFC >VigunL051601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:51855:54492:1 gene:VigunL051601.v1.2 transcript:VigunL051601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDVKFSTDARDRMLRGVDILADAVKVTLGPKGRNVVIEKSFGAPRITRTDRFENMGAQMLREVASKTNDLAGDGTTTATVLAQAIVREGSKAVAAGMNPMDLKRGIDLAVAAVIDDLKGHARKISANSEIAQEIGKILAEAMEKVGNEGVITVEEAKSLATELELRVELDDPYILIHEKKLSNLAALVPLLEKVIQSGRPLLIIAEDVEGEALATLVVNKLRGGLKVAAVKAPGFGDRRKAMLEDIAVLTAGNVVSEELGTKLENITVEMLGRAKKVTIDKDNTTIIDGAGQRSEIDSRAAQIRRQIEDTTSDYDREKLQERLAKLAGGVAVVRVGGATEVEVKEKKDGHPAGGGVALLRALKALDGVTPANDDQKAGVDIVRRALQAPARQIVANAGDDASYVVGKVLEKTDYNWGFNAATGEYQDLVQAGVLDPVKVVRTALQDAASVASLLITTEALIAEAPEGGEGAGPGNGLLTSIQAKGVARDDLPAAAAHAAGRRDDLEILAAKHGAVDVVLVRRRGAIGRSVEVADQHGVAGAGHETRDVINQLLARLGPVLLLEEVESPLLGRVVFEHVEAWQAEVRLAAVLMQDVVVDLAGSIVVGEMDQPVAPSLRVCDRERADIARAIVERLEHDALCRAQPRLVADDAVDGAQVAGIDTKVANLALHQVGVGSA >Vigun03g183600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23758218:23758861:-1 gene:Vigun03g183600.v1.2 transcript:Vigun03g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHRLELCITMVRLAIEFVMSVAETVVIVQQRTAEPLGSLNRASTPLPFYGYLR >Vigun10g102800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29523831:29524076:1 gene:Vigun10g102800.v1.2 transcript:Vigun10g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun10g134800.1.v1.2 pep primary_assembly:ASM411807v1:10:34532242:34534139:1 gene:Vigun10g134800.v1.2 transcript:Vigun10g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDWDLHAVVRSCTATSSGATTASTTSSSGFGASVPPSTSHSFFSVYNPAVQGGQVVPPSENPYGVRPSIEELHELCKPFFTKPQPHTFQPSSPLSSFSYSSVPKSPRSHQEQKQPPQPGSASTPKPKRRKNQVKKVCDVAAENLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPTMFIVTYTGEHNHPAPTHKSSLAGSTRYKPQTGGDTAATKAVSPATSSEVAQHSTKSECTEEELEDLMKDDEEANELELTETVVSDDFFEGLEELTGSATDPFTASSSIDRWPLSNNATTAAGGS >Vigun03g379700.1.v1.2 pep primary_assembly:ASM411807v1:3:58394421:58398284:-1 gene:Vigun03g379700.v1.2 transcript:Vigun03g379700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVLGTSFLPFLHSHDKHCGSPSISNITTKISVAIPQRINQVIAAGGDNVCEEVDNTVVKKSYEPNQPLRRSLNFSGEKPSTPVLDTVNYPIHMKNLSIQELEELADELREEIVYTVSKTGGHLSSSLGVADLTVALHHVFNTPQDKIIWDVGHQTYAHKILTGRRSKMHTIRQTGGLAGFPKRDESVHDAFGVGHSSTSISAGLGMAVARDLNGRDNHVISVIGDGAMTGGQAYEAMNNAGFLDTNLIIILNENEQVSLPTATVDGPAPPVGALSKALARLHTSSKFHQLREVAKSTKQIESEAREFASQLDTYVRGMVGGASACLFEELGLFYIGPVDGHDMEDLVHILKSVKGMPTLGPVLIHVITEKGKGYHPAEVAADKMHGVVKFDPKSGKQLKSKTSTLSYTQYFAESLIAEAEVDEKIVAIHAAMGGGTGLNLFQKRFPERCFDVGIAEQHAVTFAAGLAAEGCKPFCAIYSSFLQRGYDQVAHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSDETELMHMIATAAAIDDRPSCFRYPRGNGVGSILPPNNKGTPLEVGKGRVLKEGSRVALVGYGTMVQSCMEAAKVLEAHGISTTVVDARFCKPLDGDLMRQLGREHEILITVEEGSVGGFGSHVSHFLGLNGLLDGNLKWRAMTLPDMYINHGSQKDQIAMAGLSSNHIAATALSLTNVNWDSRLLLSLQI >Vigun10g183000.1.v1.2 pep primary_assembly:ASM411807v1:10:40023814:40025381:1 gene:Vigun10g183000.v1.2 transcript:Vigun10g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVQPAAINGLAGSSLTGTKLSFKPSRQSLKSKNFRNGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSATASGDILPIKKGPQLPPKLGPRGKI >Vigun05g249100.1.v1.2 pep primary_assembly:ASM411807v1:5:44274645:44280380:-1 gene:Vigun05g249100.v1.2 transcript:Vigun05g249100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTVPTSFSSLKTWDSNLGFAKNVDFVRVSDLKSMKAAKKRVSIIRNSNPGQDIVELQPASPGSPLLVPRQKYCESLHKTVRRKTSTVMVGNVALGSEHPIRIQTMTTTDTKDIAGTVEQVMRIADKGADIVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRASELQKGVEPFEEKNRRYFDFQRRSGQLPVQKEGEEMDYRGVLHRDGSVLMSVSLDQLKTPELLYKSLAAKLIVGMPFKDLATVDSILLRELPPLDDAEARLALKRLVDISMGVITPLSEQLTKPLPNALVLVNLKELSTGAYKLLPQGTRLVVSVRGDESFEELDILKGVDATMLLHDLPYTEDKVSRVHAARRLFEFLSDNSLNFPVIHHIQFPNGIHRDDLVIGAGSDAGALLVDGLGDGLLLEAPDKDFEFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGTPGKIDLYVGKTVVKRGIEMEHATNALIDLIKEHGRWVDPPAEE >Vigun04g090500.2.v1.2 pep primary_assembly:ASM411807v1:4:16394850:16413333:-1 gene:Vigun04g090500.v1.2 transcript:Vigun04g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDFTKEAEIPMNLKIEDKFTIESNDSTAPPTPKQPFFIGVAGGTASGKTTVCNMINTQLRDQRVVLISQDSFYYPLSDQTLQKVNEYNFDHPDAFDMKLLLSTMEKLKCGQHVDIPNYDFKHHKRIEAERQVHPGDIIILEGILVLHDSGVRNLLNMKIFVDEDSDVRLSRRMKRLAIDHGRNVENVLDQYSKFVKPSFEDFVLPTKKYADIIIPSGGDNDVAIDLIVQHIRMKLGQNDLCKIHPNIFVIFSTFQIKGMHTLIRDAKATKHDFAFYSDRLIRLVVEHGLGHLPFSEKQVITPTGSVYSGVVFCSRLCGVSVIRSGESMENALRACCKGIKIGKILIHGHGTNGRQLIYEKLPKDIASRHVLLLDPVLATGFTLYTFYLTLSKLFTLPEKNICLKTCLN >Vigun01g165200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34744182:34745983:1 gene:Vigun01g165200.v1.2 transcript:Vigun01g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQERKPHFVLFPLMAQGHMIPMMDIAKILVHRNITVTVVTTPHNATRFTSIFDRYVESGFPIRLVQVQFPCEEAGVPDGCENLDMIPSLGTATGFFQATSLLQQPVEKLFEELTPAPSCVISDMCLPYTSHIAKKFNIPRISFGGVSCFFILCKHNMAIYNVLESVTSESDSFVVPGIADKIEITKAQSAQPMNESWKYFSGEIVAAEMATYGVIMNSFEELEAAYARDYKKVKDGKVWCIGPVSLVNKDHLDKAQRGRASVDESQHVKWLDNQKEGSVIYACLGSLCNLTAPQLIELGLALEASERPFIWVIRGGNHSAALEKWIEENGFEERTSGRSLLIRGWAPQLLILSHPAIGGFITHCGWNSTLEAICAGVPMITWPLFADQFLNESFVVQVLKVGVKVGVEIQMTWGKEEEIGVAVKKEDVERAIVEIMEVTSESEERRKRVRELSVMAERAVEKGGSSHSNVTLLIQDIMQKIERDV >Vigun06g148100.1.v1.2 pep primary_assembly:ASM411807v1:6:27292666:27298292:-1 gene:Vigun06g148100.v1.2 transcript:Vigun06g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCFDGLKQLYTAVINFCDAEYSQQQPRGLLNPEVLARQTVFSVSEIEALYELFKKISSAVLDDGLITKDEFQLALFKTTKKESLFADRVFDLFDTKHHGILDFKEFALALSVFHPNASINDKIEFLFQLYDLKQQGFIQRQELKQMVVVTLAEAGMNLADEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLQHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDV >Vigun09g196600.1.v1.2 pep primary_assembly:ASM411807v1:9:37116061:37120765:1 gene:Vigun09g196600.v1.2 transcript:Vigun09g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIHYGRNGLRHVFRFKSSTGVVTNSARSQPRSCAPLIGNVHFSTTSDSRIVQDLLAEVERDRLRERNERLRLGLDTADIDAEPEQDYMGVGPLIAKLEKEKEKQKGSEDLNRYEEPTDSDDDSDEETQDDADKRHRDFERKYGRHKDLLKSFTDAETLDEAFNCMTKIDKFEHKHFRLRPEYRVIGELTNRLKVATEQKDRFVLQNKLNRALRLVQWKEAYDPDNPANYGVIQREQPDAAAAADAREEAELEKEKEELIGEGDNADEEEEEFDDMKEKDNILLAKLEAIDRKLEEKLAELEYTFGRKGKALEEEIKDLAEDRNELTEQKRKPLYRKGFDTRLIDMNRTCKVTKGGQVVKYTAMVACGNYNGVIGFAKAKGPAVPVALQKAYEKCFQNLHYVERHEEHTIAHAIQTSYKKTKVYLWPAPTTTGMKAGRTVESILHLAGLKNVKSKVIGSRNPHNTVKAVFKALNAIETPRDVQEKFGRTVVEKYLL >Vigun02g091800.1.v1.2 pep primary_assembly:ASM411807v1:2:24701482:24704615:1 gene:Vigun02g091800.v1.2 transcript:Vigun02g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLESALTLFAPQRFSDNYRSSAKPPHSIKLNASTSLFFPSKVSFKSARLCFHLCSALQELPTTEKTPDPTQPTNNLTKLYVANLPWTLSPADIKNLFAQCGTVTDVEIIRNKKGRHMGYAFVTMDSGEEAQAALDKFDTYELSERIIRVEFAKQLNKPPPSPPPPPPGRRNPRETRHVLYVSNLTWKARSTHLREVFTENFKTPVSARVVFDSPAKRSAGYGFVSFLTKEDAEAAISAVDGKELMGRPLRLKFSEKKDKEAGGGKEEDQIKDAGSEDEDQSSDAQPEES >Vigun01g171500.2.v1.2 pep primary_assembly:ASM411807v1:1:35374315:35381531:-1 gene:Vigun01g171500.v1.2 transcript:Vigun01g171500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAGDDLVASVSSRRSWTMGSFREAWMAPPDVFNVSGRHTHVDDEEDLKWAAIQRLPTFERMKKGVLKHVHDDGNVVVDEVDFSNLCLPDKKLLIDSILKIVEEDNEKFLRRLRDRVDRVGIELPKIEIRCENLSVEGDVHVGSRALPSLLNVTLNAFESVLVLFHLAPSRKREIQILKDVSGIVKPSRLTLLLGPPSSGKTTLLLALAGKLDRHLRVSGKVTYCGHELNEFVPQKTCAYISQHDIHFGEMTVRETLDFSGRCLGIGTRYHALVELSRREREAGIKPDPEIDAFMKATALSGQKTNLVTDYVLKILGMDICADIMVGNDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFLRQMAHIMDVTMVVSLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFERTGFKCPERKGFADFLQEVTSKKDQQQYWSRRDEPYRYVSVPEFVEAFNSFDIGEELATELGVPYDKSRTHPAALVKDKYGITNWELLKACFSREWLLMKRSSFVYIFKTVQITIMSIITFTLFLRTEMSVGTFEDGQKFFGALFFSLVNVMFNGMAELSMTISKLSVFYKQRDFNFYPAWAFGLPICLLKIPLSFMESGIWIILTYYTIGFAPSASRFFRSFLTFFSVHQMALSLFRFIAAAGRTLVVSNTLGTLALQLVFVLGGFVISKNDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWSKPNTDSRIDAPTVGKALLKSRGFFTEEYWFWICIGALLGFSIFFNILFILSLTYLNTFGDSKAVISDEGDEKKKSSSKQQTLEGTEIATSSNQEPRRGMVLPFQPLSLAFNHISYYVDMPAEMTSQGIQGDRLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLIFSAWLRLPSDVNAHKRKMFVEEVMELVELKAIRNAIVGLPGVGGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEAIPGIPKIRDGYNPATWMLEISSTLVEANINVDFAEIYAKSTLYRRNEELIVELSTPTPDSKDLHFPTKYSQSFFVQCKANFWKQYCSYWRFPQYNLVRFLMTILEGLMFGVIFWHKAHKTDKQQDLGNLLGAMYASVLFLGAMNATSVQPVVAVERTIFYRERAAGMYSALPYAFGQVAIEAIYNAIQTTIYSLLIFSMIGFEWKAANFFWLYYYMFMCFMYYTLYGMMVVALTPGHQVAAICMSFFMSFWNLFSGFVIPRMQIPIWWRWYYWASPVSWTLYGLITSQLGYRSAELEIPGNGTMELKEYLKQNFGYEYDFLPVVAAVHVGWVVLFLFVFACGIKFLNFQKR >Vigun01g171500.1.v1.2 pep primary_assembly:ASM411807v1:1:35374315:35381520:-1 gene:Vigun01g171500.v1.2 transcript:Vigun01g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAGDDLVASVSSRRSWTMGSFREAWMAPPDVFNVSGRHTHVDDEEDLKWAAIQRLPTFERMKKGVLKHVHDDGNVVVDEVDFSNLCLPDKKLLIDSILKIVEEDNEKFLRRLRDRVDRVGIELPKIEIRCENLSVEGDVHVGSRALPSLLNVTLNAFESVLVLFHLAPSRKREIQILKDVSGIVKPSRLTLLLGPPSSGKTTLLLALAGKLDRHLRVSGKVTYCGHELNEFVPQKTCAYISQHDIHFGEMTVRETLDFSGRCLGIGTRYHALVELSRREREAGIKPDPEIDAFMKATALSGQKTNLVTDYVLKILGMDICADIMVGNDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFLRQMAHIMDVTMVVSLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFERTGFKCPERKGFADFLQEVTSKKDQQQYWSRRDEPYRYVSVPEFVEAFNSFDIGEELATELGVPYDKSRTHPAALVKDKYGITNWELLKACFSREWLLMKRSSFVYIFKTVQITIMSIITFTLFLRTEMSVGTFEDGQKFFGALFFSLVNVMFNGMAELSMTISKLSVFYKQRDFNFYPAWAFGLPICLLKIPLSFMESGIWIILTYYTIGFAPSASRFFRSFLTFFSVHQMALSLFRFIAAAGRTLVVSNTLGTLALQLVFVLGGFVISKNDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWSKPNTDSRIDAPTVGKALLKSRGFFTEEYWFWICIGALLGFSIFFNILFILSLTYLNTFGDSKAVISDEGDEKKKSSSKQQTLEAGTEIATSSNQEPRRGMVLPFQPLSLAFNHISYYVDMPAEMTSQGIQGDRLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLIFSAWLRLPSDVNAHKRKMFVEEVMELVELKAIRNAIVGLPGVGGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEAIPGIPKIRDGYNPATWMLEISSTLVEANINVDFAEIYAKSTLYRRNEELIVELSTPTPDSKDLHFPTKYSQSFFVQCKANFWKQYCSYWRFPQYNLVRFLMTILEGLMFGVIFWHKAHKTDKQQDLGNLLGAMYASVLFLGAMNATSVQPVVAVERTIFYRERAAGMYSALPYAFGQVAIEAIYNAIQTTIYSLLIFSMIGFEWKAANFFWLYYYMFMCFMYYTLYGMMVVALTPGHQVAAICMSFFMSFWNLFSGFVIPRMQIPIWWRWYYWASPVSWTLYGLITSQLGYRSAELEIPGNGTMELKEYLKQNFGYEYDFLPVVAAVHVGWVVLFLFVFACGIKFLNFQKR >Vigun05g300600.2.v1.2 pep primary_assembly:ASM411807v1:5:48418467:48424367:1 gene:Vigun05g300600.v1.2 transcript:Vigun05g300600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASALNVREVANRPSASGRHAFQGVDVLGLKKRGQGLRSWIRVDTSGNSQAIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLHYVMELQRRLTANGVGEVWQSEGSDVNRRRGSRSFDNVFNNSSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMELSFYGDQSMVGYKSVDGASISAPVSPVSSPPDSRKLEKSFSIARSRHESMRSSETTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIALFNVPSAFQWVLIITGICGVFIFSAFVWFFKYRRLMPL >Vigun05g300600.1.v1.2 pep primary_assembly:ASM411807v1:5:48418467:48424367:1 gene:Vigun05g300600.v1.2 transcript:Vigun05g300600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASALNVREVANRPSASGRHAFQGVDVLGLKKRGQGLRSWIRVDTSGNSQAIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLHYVMELQRRLTANGVGEVWQSEGSDVNRRRGSRSFDNVFNNSSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMELSFYGDQSMVGYKSVDGASISAPVSPVSSPPDSRKLEKSFSIARSRHESMRSSETTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIALFNVPSAFQWVLIITGICGVFIFSAFVWFFKYRRLMPL >Vigun03g406100.1.v1.2 pep primary_assembly:ASM411807v1:3:61321509:61327567:-1 gene:Vigun03g406100.v1.2 transcript:Vigun03g406100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLDSKKNRVKDESNKTVPYYKLFSFADSSDYLLMLVGTVSAVGNGITKASTNIVMGEAIDAFTQNGNTKHVVHEVSKVSLKFAVIGVASFLTAFLQVACWVSTGERQAARIRGLYLKAILRQDISFFDKETNTGEVVGRMSGDTFLIQEAMGDKVGKFIQCVACFLGGIAIAFIKGWLLTLVLLSCIPPLVFSGSIMSFAFAKLASRGQAAYSEAATVVDRTIGSIRTVASFTGENQAIAEYSESLTEAYRTAVQDGMAAGLGLGSIRFFINSSFAMAVWFGGKMVLEKDYTGGEVMSIFYALFFGSMSLGQAFTSLTAFTAGQAAALEIFETINRQPKIDAYDTAGRQVDDISGDIELREVCFCYPSRPDELILNGCSISISSGTTAALVGKSGSGKSTTENWPCQPGTSPFTGSIKENIAYGKEGATDEEIRAAIELANAAKFIDRFPHGHDMIVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLDKIMINRTTIIVAHRLNTIRNADTIAVIHQGRVVENGIHAELIKDPDGAYSQLIRLQEINRQSDVTDDSGKIENSRHHSFRISNDIPATLDLLKTSAEPEVLPPAVPHSSPKVSFLHLAYLNKPEIPVLVLGTLAATVTGAILPLVGFLTSCMINTFSEPANELRKDSKFWAAIFIALGAAGFIFHPLRSYFFAVAGSKLIKRIRLMCFEKIIHMEVAWFDKAEHSSGVLGARLSIDVASIRTFVGDALGLMVQDIATVIIALVIAFVANWQLSLIILVLLPLLLVNGQVQMGSMQGYVTDAKKVYEEASQVAIDAVGNIRTVASFCAEEKLMELYQKKCSGPIQTGSFYAAARLVESGKTSVSDVFRVFFTLTLAAIAMTQSGFMVPGASKAKSSVSSIYAILEQKSNIDPSDESGMMLQEVRGEIEFHHVTFRYPTRPDVLVFRDLSLTIHAGETVALAGESGSGKSTVISLLQRFYGPDSGQITLDGTEIKNLQLKWFRQQMGLVSQEPILFNDTIRANIAYGKGGDATEAEIIAAAELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVRVDKTTIVVAHRLSTIKDADSIAVVEHGIIAEQGKHDTLINKGGIYASLVGLHTTHDSS >Vigun09g111100.6.v1.2 pep primary_assembly:ASM411807v1:9:23975751:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSEPGPLVQLGCGTISGTVGATCVYPLQVIRTRLQAQPSNASDAYKGMFDAFRRTFQLEGFVGFYKGLFPNLLKVVPAVSITYVVYESMKKNLGLD >Vigun09g111100.4.v1.2 pep primary_assembly:ASM411807v1:9:23973608:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSEPGPLVQLGCGTISGTVGATCVYPLQVIRTRLQAQPSNASDAYKGMFDAFRRTFQLEGFVGFYKGLFPNLLKVVPAVSITYVVYESMKKNLGLD >Vigun09g111100.5.v1.2 pep primary_assembly:ASM411807v1:9:23973608:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSEPGPLVQLGCGTISGTVGATCVYPLQVIRTRLQAQPSNASDAYKGMFDAFRRTFQLEGFVGFYKGLFPNLLKVVPAVSITYVVYESMKKNLGLD >Vigun09g111100.1.v1.2 pep primary_assembly:ASM411807v1:9:23973608:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGVVSMDHLLVALGETKEEREVRIRSLFNFFDAANNGYLDVAQIEAGLSALQIPPEYKYARELCDVCDANSDGRVEYHEFRRYMDDKELELYRIFQAIDVEHDGNIIPEELYEALLKAGIEMDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSEPGPLVQLGCGTISGTVGATCVYPLQVIRTRLQAQPSNASDAYKGMFDAFRRTFQLEGFVGFYKGLFPNLLKVVPAVSITYVVYESMKKNLGLD >Vigun09g111100.3.v1.2 pep primary_assembly:ASM411807v1:9:23973608:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGVVSMDHLLVALGETKEEREVRIRSLFNFFDAANNGYLDVAQIEAGLSALQIPPEYKYARELCDVCDANSDGRVEYHEFRRYMDDKELELYRIFQAIDVEHDGNIIPEELYEALLKAGIEMDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSEPGPLVQLGCGTISGTVGATCVYPLQVIRTRLQAQPSNASDAYKGMFDAFRRTFQLEGFVGFYKGLFPNLLKVVPAVSITYVVYESMKKNLGLD >Vigun09g111100.2.v1.2 pep primary_assembly:ASM411807v1:9:23973608:23978490:1 gene:Vigun09g111100.v1.2 transcript:Vigun09g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGVVSMDHLLVALGETKEEREVRIRSLFNFFDAANNGYLDVAQIEAGLSALQIPPEYKYARELCDVCDANSDGRVEYHEFRRYMDDKELELYRIFQAIDVEHDGNIIPEELYEALLKAGIEMDDEELARFVDHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHANRSKYFLAGGVAGSISRTATAPLDRLKVVLQVQTAHASIMPAVKKILKQDGLLGFFRGNGLNIVKVAPESAIKFYAFEMLKKVIGEAQGGKSDIGTAGRLFAGGMAGAVAQAAIYPMDLIKTRLQTCPSEGGKVPKLGTLTMNIWVQEGPRAFYRGLVPSLLGMIPYAAIDLTAYDTLKDMSKRYILKDSGMLLLQPQNLLNWLIL >Vigun08g217700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37768260:37770342:1 gene:Vigun08g217700.v1.2 transcript:Vigun08g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPGHSPLHLSSPSPSISQVSVQNPNDSTSLSSSSAKNSRVLDEDTYVEALEKIIERDYFPDISKLRDRLDWLEAIKTGDPVVIREAQLKILERRAGASKVTNPNDTSRTASHTPGSTFVRNFTPLDEFDGKPPQTPRLIPEVKEGESNDGGVDTSLGLDQFLRRYTSEDNQSFSKILEKVNRKRKEKFGYLNEDVKGIEDVKRDRITDGYGTSYQPPSTLEGWNYTAKNLLMYHPADRGEVPLTEEEMAVRIKAATKEINRGNTRFHGKMMDSRPKDDGTVEVLYTPVAGATPAPMSLRDGDKLQKYDLEDLRKTPNPFYLESEKKADNGYSYVKTPSPAPGVDESPFITWGEIEGTPLRLDQEDTPLDIGGSADGPHYKIPSAPVRDAKAHALSREAARKLRERSKMFHKPPLASRVRGGSASPSMRMLSPAAQKFVRNAFAKSSSSVDETLRASYRGSTPALSTPRTVGRSVSRVGRDESTVSRSPSVREDSNPPW >Vigun09g150000.1.v1.2 pep primary_assembly:ASM411807v1:9:31384778:31387919:1 gene:Vigun09g150000.v1.2 transcript:Vigun09g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFNEEEQKPTRSSQSQSSSSSSSSFTAPFDRSNPIAFLQKVFEFVSEQSDFLATETAEKQVVSLLRSAGKKRRDQLKASEEREKLKASEEREKAEKKKREELKASEERKKAEKRLKEEKEHKADEDSGASNVPNKGNGMDLEQYSWTQTLQEVNVNVPVPNGTKSRFVVCEIKKNHLKVGLKGQPPIIEGELYRSVKPDDCYWSIEDQCALSILLTKHDQMEWWKCLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKSMGLPTSEELQKQELMKKFMAEHPEMDFSRAKIS >Vigun03g102400.1.v1.2 pep primary_assembly:ASM411807v1:3:8817548:8819984:-1 gene:Vigun03g102400.v1.2 transcript:Vigun03g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATEKHVRYILSVEKRKDNFESVVMEHLRMSGAYWGLTALDLLGKLDIVDVDEVTSWLLSCQHESGGFGGNVGHDPHILYTLSAVQVLALFDKMDVIDVDKVTNYIVSLQNEDGSFSGDMWGEVDTRFSYIAICCLSILHRLDKINVEKAVKYIISCKNMDGGFGCTPGGESHAGQIFCCVGALAITGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLTMIDRVHWISKEKLIRFIIDCQDTENGGISDRPDDAVDVFHTFFGVAGLSLLEYPGVKPIDPAYALPVDVVNRIFFSK >Vigun10g012300.2.v1.2 pep primary_assembly:ASM411807v1:10:1275815:1277766:-1 gene:Vigun10g012300.v1.2 transcript:Vigun10g012300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVFFVLLFAGQVIGGFSTQKLPQSDSGNTLTILSIDGGGIRGIIPAKVLDHLDKALKGKDPNADLAHYFDVIGGSGTGALITAMLATPSHDDPNRGAFTPAQIVDFYKQNGPHVFNSSRPGDGPKYDGEYLHNITRAVLNDTRLSQTLTNVVIPTFDIKTQKPVIFSTYKVEKYPYFNALLSDICISASAAPTLLPPYYFKNDGVEFNMISGADLSMFEDSLVDGNPTGAAMAEILRYNKYSKILVLSLGTGTPQTREIYDVEKAATWTPQNWTVPLLIFLDRASTAMAEYYKEYSLDLLHSSMTDVRKENMEWLEWTGKKLLGEKVKEINLDTFDIEKGKITNARALDRLADILYRERHHRLKQKSMEKGGRPFAETLRVPSDKTEAFQKNKVM >Vigun03g373601.1.v1.2 pep primary_assembly:ASM411807v1:3:57622480:57625607:1 gene:Vigun03g373601.v1.2 transcript:Vigun03g373601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVYGCSSLNPYGVMLFPNVNDFVDCAAMNAFVGYKSRYENPVTAILTEVYGTLNQCHELKRRKMLCCLPVLYVWFISRVSKGILNATCPVEELLQCKPEIKGANEWAQLCASLSEEKIRWCASWQQGSHIIYHCGNYPNVPLMGIRCCINYNPLLAQRQFGYPMRGSPTSASLATLRIYYEEGTFAEVLHQIRDAWGNIVRAEGDPRSWTVDERIPYNQWLAARVKAVKLPFKLISPDSNVEKRLLNAEVQQAKLLRAELERTKQENVTLTNDLQNLQQNYANLKHDKMESEWVHEELIRKQKQDLTSVNAELRWKSMECGITEISERVRRDLCVMENQGETPEMMKTDIQQLKSQMSQILEALNAMQNPGETCTPQQHRVQENQTCPPSSLPSDYTPPPGVDSRKVYVQNVENNAVKEENKLEAATSTCSGETTHPNIVNMVETKQHDVKSIAAPGDVKDEESKLEMLDKRLKAIEGERNFAFSDATGLCLVQDVVIPPKFKLPEFEKYRGNTCPKNHITMFCRKMTAYAHDEKLLIHFFQESLIGIALNWYTLLEPTHIRSWKDLADAFLRQYGYNSDTTPSRLQLQNMAKKEFEAFKEYARRWREIAAQVVPPLPDKEMATMFIDTLESPFYERMVSNVSSSFADLVIIGERIEIGVKTGKITHASAMIASVNESISKPGKRRDRKAQSCPTAQIPLTYPHSGAFPGQNWRTDSNSTSHRTTVQGNVNQDNRLVSFTPIPMTYAALLPSLLQRGLASICPMKPVKPPYPKTYDADARCDYHGGAIGHSTENCWGLKRKVQSLIDSGQLKFE >Vigun03g215100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35704323:35707325:-1 gene:Vigun03g215100.v1.2 transcript:Vigun03g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVISSYFHCLRYKYHNPFMGFGPKSLTVKFSSSLGSSNARPFPDYSPRKPSVKDTDFVHHISTTIKQRRSEPLRRILKPFEAKFKPDHFIWVLLNIKDDYKLVLDFFNWTRLRRDPSLEALCIVVQIAVASKDLRTAHRLVFEFWEKSHLDVGNSFAQFTERLIYTYKDWGAHPFVFDVFFQVLVEAGLLVEAGKLFEKLVNYGVLVSVDSCNLFLARLSNSFDGKKMAIKVFREYPEVGVCWNTMSYNIILHSLCQLGRLKEAHNLLLQMEFRGSFPDIVSYSVIINGYCQVEQIGKVLKLLAELQGKGCKPNQYTYNSVISLLCKTGRVAEAEQVLREMINQRILPDKVVYTTLISGFGKSGNVSAEYKLFDEMRHNKIVPDFVTYTSMINGLCEAGKVVEARKLFSEMFRKGLEPDEVTYTALIDGYCKAGEMKNAFSLHNQMVEKGLTPNVVTYTALVDGLCKRGEVDVANELLLEMSEKGLQPNVCTYNALINGLCKVGNTEQAIKLMEDMDLAGFYPDTITYTTLMDAYCKMGEMAKAHEMLWVMLGKRLQPTIVTFNVLMNGFCMSGMLEDGERLINWMLEKGIAPNATTFNFLLKQYCIRNNMRATTEIYKRMYAQGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMVEKGFSLTAASYNALIKGFYKRKKFAEAKKLFEEMRTQGFVAEKEIYDIFVDVNYEEGNWENTLELCDEAIEKCLVKKT >Vigun07g279700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39464304:39464630:-1 gene:Vigun07g279700.v1.2 transcript:Vigun07g279700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGWSEKKKKNRALMVGGKGNEVVSYREEGGRVVRMKIVVRKSELKQVLEMMSAVKPTMMLESSVSCVEEQRLKVLWKTKYVSTTNRNRHTCWTPVLQSIPEERLV >Vigun01g208900.1.v1.2 pep primary_assembly:ASM411807v1:1:38416845:38419765:-1 gene:Vigun01g208900.v1.2 transcript:Vigun01g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSGSATGSATGSATGSGSTNGSGSATGSGSTNGSGSPGFGSPARKKGYKNVTDAEKGKKKREEDLAGIRKSKREESLLKKRKDVQGSESCDALLEDIPEAAQLLWSENPDEQLAAAINLGSLLSLEEPPIQEIVATGVVPRFVEFLSREDSPQLQLESVCVLTNISSGTSQYTILIVDEGVIPPLVTLLSSTDVDIREQAVGALGNIAGDSAGHRDLVLHHGALLPLISQLEPDSRLSMLRHASWCLSNVVRGMPPVDLEQVRDALPVLQRLVGFKDEYVVKHICWTLSYLAEGPGATVQAIIELGVCPKLVELLYYPSDIVILPALHTLGNIAAGDDVQTQVVIDNRVLPALHQLLMKDYQKRIFREICWTISNITGGSRSQVQAVIDADVIPPLVEILFNADFEVKRTAAWAVCNISCGGTKENIRYLADQGCIKALCELLTSPEAKLVLGCLQALENILKVGKADQEEGLTQANVFVERVLECQGVHQKISCLLANRNQEITATAWRLSDTIWPGNNNDQDSD >Vigun09g251200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41827544:41829330:1 gene:Vigun09g251200.v1.2 transcript:Vigun09g251200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLCGKPLQRECAKVRRRKKRRLIMLIGVGVAGLCLLALCCCGYVYSLLRWRKKLREGVTGEKKRSPSTSAGSRGSGENGGPKLVMFNNKITYAEALEATRNFDEENVLSRGRYGLVFKASFQDGMVLSIRRFVDGFLDEANFRREAESLGKVKHRNLTVLRGYYAGPPDVRLLVYDYMPNGNLGTLLQEASQQDGHVLNWPMRHLIALGIARGLAFLHSVPIVHGDVKPQNVLFDADFEAHLSEFGLERLTVAAPAEASSSSTPVGTLGYVSAEAVSSGVATKEGDVYSFGIVLLEILTGKKPVMFTEDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTATDPLDRPSMSDVAFMLQGCRVGPEIPSSADPTTLPSPA >Vigun06g094700.1.v1.2 pep primary_assembly:ASM411807v1:6:22604174:22609545:1 gene:Vigun06g094700.v1.2 transcript:Vigun06g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFELKLIIVLTMMLWSSNIGAKGDPQTYLVNSGCSSYNASNVQNFYANINATFSNLRRDISNNSKQFGTTQQAIGEVLTYAMFQCRNYLSKNDCLSCFNTASTQIRNCSAANGARVIYDGCFLRYESEMFFDQTNELGKGVICGKNTSNATGLGLVGQQVIADIQTATPKTKGFYAATKTQVADGTAIYAVAQCVETATEDKCLSCMQVGYNNLQSCLPNTEGRAYDAGCFMRYSTTPFFADNQTIDIAPFLKQGDSSKKWIIIGASVGAVVALLCVLFACRWFTKPKRVPRGDILGATELKGPVNYKYNDLKAATKKFSAENKLGEGGFGDVYKGTLKNGKVVAVKKLVLGKSSKMEDDFEGEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDKFLFGNRKGSLNWKQRYDIILGTARGLAYLHEEFHVSIIHRDIKTSNILLDDDLQPKIADFGLARLLPEDRSHLSTRFAGTLGYTAPEYAIIGQLSEKADTYSYGIVVLEIVSGQKSTEVKGDDDGHEYLLQRTWKLYERGMHLELLDKAIDPNDYDADDVKKIIEIALLCTQASAATRPTMSEVVVLLKSKSLVENLRPTMPVFVETNMKIRESKSSSTSGSSSNATASISVLSAR >Vigun06g094700.2.v1.2 pep primary_assembly:ASM411807v1:6:22604174:22609545:1 gene:Vigun06g094700.v1.2 transcript:Vigun06g094700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFELKLIIVLTMMLWSSNIGAKGDPQTYLVNSGCSSYNASNVQNFYANINATFSNLRRDISNNSKQFGTTQQAIGEVLTYAMFQCRNYLSKNDCLSCFNTASTQIRNCSAANGARVIYDGCFLRYESEMFFDQTNELGKGVICGKNTSNATGLGLVGQQVIADIQTATPKTKGFYAATKTQVADGTAIYAVAQCVETATEDKCLSCMQVGYNNLQSCLPNTEGRAYDAGCFMRYSTTPFFADNQTIDIAPFLKQGDSSKKWIIIGASVGAVVALLCVLFACRWFTKPKRVPRAGDILGATELKGPVNYKYNDLKAATKKFSAENKLGEGGFGDVYKGTLKNGKVVAVKKLVLGKSSKMEDDFEGEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDKFLFGNRKGSLNWKQRYDIILGTARGLAYLHEEFHVSIIHRDIKTSNILLDDDLQPKIADFGLARLLPEDRSHLSTRFAGTLGYTAPEYAIIGQLSEKADTYSYGIVVLEIVSGQKSTEVKGDDDGHEYLLQRTWKLYERGMHLELLDKAIDPNDYDADDVKKIIEIALLCTQASAATRPTMSEVVVLLKSKSLVENLRPTMPVFVETNMKIRESKSSSTSGSSSNATASISVLSAR >Vigun03g265200.1.v1.2 pep primary_assembly:ASM411807v1:3:43483600:43490091:-1 gene:Vigun03g265200.v1.2 transcript:Vigun03g265200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHSRQPNKFPVTVSKKITGAAGGAGFPAETLYDHVYGGATRFAGGHSLSPRFEDYGEIFSSFHAPRASAIPVLDLPSLDDGEVFFDFRNVACDYSEIFRGFDGLDFWLSYEDLFHDSVSEEDDGDDAEEEEAWTPVETDSFSGDLDHFGFHEGMPNDDHFRSSDGITEFNVLYHKVNGTGNEDMSKGKSTISQLRAAPEFGQIFDETTHFHRTDPSLQVVDDVDLDMEFNASQARRNHREMVSLPVNFTSGEQVFGSDLDLRSGCCKNDSHSSETFITVSHISLRTLPSQVPPPSRPPPVLDAKQEYTGGFLSKKEGIDIEETLGDTSPPFLDVEVDTNSSAASIKEVMHRSEAKHRSAKELKERKKRLSESNADSRYDVKVNEAKTSVNITKFDSLNDKGVQRIGKKKISFTDERQKTGKAAPETLELLEGERLLNVFDETHIKESRSSQESDRSTGIGMWKEATEFFELVGTEEPGKVITPTKHSHTKKLVQDIRAHECGKEEREAFNVKAEYKKVGEVEGPQQEECKEKYKVGNGAHEQRKTIKKSKLSDEEFRQREHVKNEEMAEIFGLEKSEKARVVSLHGKTDQKVPKTDQPGCLKDMFETQRKEHKQVEIEKSEEVDRERLSEVQCRMKHMESEKKQKEDEQLLLSMKRHKQSQRMKENGKIQREASALGGVESEQRVKDSVKLEKFERSNEACTLDSHKENMTYKIEDEIILEATQIHKKKQLKETCQNEVGKSRKGSCTMEENHECLKDALEQVKNEKGLKRDFELEMNEKRSGVTFELGENEPCEKDQGKEKLNEICDGYRKGDRLKDMGEGIWLQNVLKQAPELQMNIGNETQRKKEIDCPSDLACDSEGTVDKSREYSVDKALEQTEGDGKGIHLNFAKETSEERNTESDDNLVSAHSSSIHEENICIKELCQDPIADQEIGKSVTACKIDEKKLEEVCSENLKDTGKKGAFEMSQGELEHSGKMTCTSTNVNVNEHSFNSEQACTKETKPAPQMEFDARNGVRTIDGWGERVKTKQHVNVSLNTEESRDRMSLSHANVCVDYGRNTVADEPATVQEAVNIKKSSERGHASNSTKSKDKSLDETSASIEKDAERIRRERELEEDRLRKIEEEMERERERQKDRMAVDRAVLEAERERERGKDRMAVDKATLEARDRTYTEARERAERAAFERATAEARQRVLAEARERLEKACAEARDKTYADKVAAEARLKAQRTAVERATVEARERAMEKVKVERAAFESRERLGRSVSDKFSVPSRNSGRQGSSSSDMLDPFCQNSSSSTHSRYPYSSVYGASSFTERSEREGESAQRCRARLERYRRTAERAAKALEEKNMRDLVAQKEQAERNRLAETLDIEVRRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTDVITSAAVKKAYRKATLCVHPDKLQQRGASIQHKYICEKVFDLLKEAWNKFNSEER >Vigun03g418500.1.v1.2 pep primary_assembly:ASM411807v1:3:62507914:62508493:1 gene:Vigun03g418500.v1.2 transcript:Vigun03g418500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTYTQEYSSTVAPSRMFKALIVDSKNLLPKLLPQFVKDVNVIQGDGEAGSIEQVNFNEASPFKYLKHRIDVLDKENLVCKYTMIEGDPLGDRLESIGYEVKFEATSDGGCLCKMTSNYNTIGEFDVKEEELKEGRESTIAIYKVVESYLLENPQVYA >Vigun09g245300.1.v1.2 pep primary_assembly:ASM411807v1:9:41390739:41399720:-1 gene:Vigun09g245300.v1.2 transcript:Vigun09g245300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISTTRLHHISAAPPFQISKDFHAPDNPVPLSPQWLLPKPGESKPAIETVENHVFSTPPNGNRSEMAKTFGNGEDVNDGLKRKDVFRPSVLDSESGHRDRWRDEERDTKSSSSLHKDRWRNGNKDVIDTRRMDRWTENPSTGHFGEAHRGTSDRWNDSANKDTNFEQRRESKWNTRWGPDDKESEGPREKWSDPGKDGDLHVGKSLSNISYLVKDEKEDHYRPWRPNASQSHDHQNVMPNKQVSALSYGWGRGEHTPPVIAFGRARFGSGGNSINSTYMHSQYPETLLDKVESEHGEAHCFRYNRTNLLDVYRVADMHTHRKLVEFVQIPSITQDEPLEPLGFCAPNSEELSVLKDIEKGEIISSSAPPVQKDGRNTTEFTHSRRIKLVNSPLQDRVEDNGSYRMVDEVPSSRESTFEESNSIHPGATWRGTQLGEHAGTVVHESRDMSSDIKSRNPDMSWSNQPKDTQGQWEHNLDYLSETRDVAKWQSSGYPIKRQLSGILDGEFESRRVQQTCPEDLSLFYKDPQGHIQGPFKGIDIIGWFEAGYFGIDLPVRLENAASHSPWLQLGDAMPHLRAKAGPPPGFSAAKHDSTEAFGWQNSSTFGNMHTGLNEAERLRNDPMQRNSATEAENRYLESLMSGSKNSSPLDSLTLSEGLQGFLCNNSGNLGPSGVDGGNNLYMMAKKMALEQQSSLPTHPYPYWPRRDAAPLPPKSDIFPNAPPHSNILSSLSDNPRQLQPQNSDLNSVIQGVSDRTTTGLSSGIAGWPNFHLQGGLDPLQNKIDFHHDQNYVQMPFGIQQRLQTPNLLPLNNIIAQTSDIPSSILTAEKLLSSGLSQDPQMLNMLQQQHFLQLHSQAAASSQQIPFLDKLLLLKQKQQQEEQLLLLRQQQQLLSQVLQEHQSHQRLGDLSYQQCSGGGVPLGNLHVNLSQIQPPKEIFSTSSQTPIPSVNAELTTNSLNLPLQTSQDTSHNISSESSAHLPDRLFENISHQKSWSATLPEQINDKHQSVALPVSASFKDSVLSEHSIAKEEPNIAQKPLSFSDDKAKIMEQKPDDACPVGDSQVSATSVCGESSQPVQCVAPFVPVSSAGSCGIDLPVSSQVGKDVEIKSGSIEEQQGGRESSNTETTVVDARSVEAREPKKATEKKSKKQKSSKSQSSDQAKGLPKNVTLQQSKKSESEKPNYGEKKLGETNKGEPAQETYLQQTRDKGKQSATATAETDNHQELNGLPTNIPGSNSETFIEDELTAVSSVSTKTSELPSGRAWKPAPGFKAKSLLEIQLEEQKRAQIEMLVSEVATPVNAMSSTTPWVGVVANPDSLKVSNDSHREADDTEYLAKSEKSQDSKTKKSPLHDLLAEDVPKYSERDGKVPDSSIPSQTVHSNSESIDEGDFIEAKDTKRNRKKSAKLKGSGSKVSIPVASSERPISSNHNEKVRSSHSVQLEKEQLPSIPSGPSLGDFVLWKGEPTSPSPPPAWTTDSGRIPKPTSLRDIQKEQEKKSEKKSAAVLSNQLSTPQRSQPAQVARSSSSLRPISTSSPPKTAPSSQINSQTSVSKYRGDDELFWGTVEQSKQENKQSGFSQLASQGSRGSKNIPMKGNSPGLLSRQKSGSGKSVERSLSSSPASSQSLLKLKKDAMTKNSEATDFRVWCENECVRLIGTKDTSFLQFCLKQSRSEAEIILTENLGSYDPDHEFIDKFLNYMDLLPSDVLEIAFQTRNDEKVDGSENTVVQDLGYIDGSFSKGGKKKGKKGKKVSSSVLGFNVVSNRIMMGEIQAVDD >Vigun09g245300.2.v1.2 pep primary_assembly:ASM411807v1:9:41390739:41399720:-1 gene:Vigun09g245300.v1.2 transcript:Vigun09g245300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLIKIQTLNSGARDEKEDHYRPWRPNASQSHDHQNVMPNKQVSALSYGWGRGEHTPPVIAFGRARFGSGGNSINSTYMHSQYPETLLDKVESEHGEAHCFRYNRTNLLDVYRVADMHTHRKLVEFVQIPSITQDEPLEPLGFCAPNSEELSVLKDIEKGEIISSSAPPVQKDGRNTTEFTHSRRIKLVNSPLQDRVEDNGSYRMVDEVPSSRESTFEESNSIHPGATWRGTQLGEHAGTVVHESRDMSSDIKSRNPDMSWSNQPKDTQGQWEHNLDYLSETRDVAKWQSSGYPIKRQLSGILDGEFESRRVQQTCPEDLSLFYKDPQGHIQGPFKGIDIIGWFEAGYFGIDLPVRLENAASHSPWLQLGDAMPHLRAKAGPPPGFSAAKHDSTEAFGWQNSSTFGNMHTGLNEAERLRNDPMQRNSATEAENRYLESLMSGSKNSSPLDSLTLSEGLQGFLCNNSGNLGPSGVDGGNNLYMMAKKMALEQQSSLPTHPYPYWPRRDAAPLPPKSDIFPNAPPHSNILSSLSDNPRQLQPQNSDLNSVIQGVSDRTTTGLSSGIAGWPNFHLQGGLDPLQNKIDFHHDQNYVQMPFGIQQRLQTPNLLPLNNIIAQTSDIPSSILTAEKLLSSGLSQDPQMLNMLQQQHFLQLHSQAAASSQQIPFLDKLLLLKQKQQQEEQLLLLRQQQQLLSQVLQEHQSHQRLGDLSYQQCSGGGVPLGNLHVNLSQIQPPKEIFSTSSQTPIPSVNAELTTNSLNLPLQTSQDTSHNISSESSAHLPDRLFENISHQKSWSATLPEQINDKHQSVALPVSASFKDSVLSEHSIAKEEPNIAQKPLSFSDDKAKIMEQKPDDACPVGDSQVSATSVCGESSQPVQCVAPFVPVSSAGSCGIDLPVSSQVGKDVEIKSGSIEEQQGGRESSNTETTVVDARSVEAREPKKATEKKSKKQKSSKSQSSDQAKGLPKNVTLQQSKKSESEKPNYGEKKLGETNKGEPAQETYLQQTRDKGKQSATATAETDNHQELNGLPTNIPGSNSETFIEDELTAVSSVSTKTSELPSGRAWKPAPGFKAKSLLEIQLEEQKRAQIEMLVSEVATPVNAMSSTTPWVGVVANPDSLKVSNDSHREADDTEYLAKSEKSQDSKTKKSPLHDLLAEDVPKYSERDGKVPDSSIPSQTVHSNSESIDEGDFIEAKDTKRNRKKSAKLKGSGSKVSIPVASSERPISSNHNEKVRSSHSVQLEKEQLPSIPSGPSLGDFVLWKGEPTSPSPPPAWTTDSGRIPKPTSLRDIQKEQEKKSEKKSAAVLSNQLSTPQRSQPAQVARSSSSLRPISTSSPPKTAPSSQINSQTSVSKYRGDDELFWGTVEQSKQENKQSGFSQLASQGSRGSKNIPMKGNSPGLLSRQKSGSGKSVERSLSSSPASSQSLLKLKKDAMTKNSEATDFRVWCENECVRLIGTKDTSFLQFCLKQSRSEAEIILTENLGSYDPDHEFIDKFLNYMDLLPSDVLEIAFQTRNDEKVDGSENTVVQDLGYIDGSFSKGGKKKGKKGKKVSSSVLGFNVVSNRIMMGEIQAVDD >Vigun09g245300.3.v1.2 pep primary_assembly:ASM411807v1:9:41390739:41399720:-1 gene:Vigun09g245300.v1.2 transcript:Vigun09g245300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISTTRLHHISAAPPFQISKDFHAPDNPVPLSPQWLLPKPGESKPAIETVENHVFSTPPNGNRSEMAKTFGNGEDVNDGLKRKDVFRPSVLDSESGHRDRWRDEERDTKSSSSLHKDRWRNGNKDVIDTRRMDRWTENPSTGHFGEAHRGTSDRWNDSANKDTNFEQRRESKWNTRWGPDDKESEGPREKWSDPGKDGDLHVGKSLSNISYLVKDEKEDHYRPWRPNASQSHDHQNVMPNKQVSALSYGWGRGEHTPPVIAFGRARFGSGGNSINSTYMHSQYPETLLDKVESEHGEAHCFRYNRTNLLDVYRVADMHTHRKLVEFVQIPSITQDEPLEPLGFCAPNSEELSVLKDIEKGEIISSSAPPVQKDGRNTTEFTHSRRIKLVNSPLQDRVEDNGSYRMVDEVPSSRESTFEESNSIHPGATWRGTQLGEHAGTVVHESRDMSSDIKSRNPDMSWSNQPKDTQGQWEHNLDYLSETRDVAKWQSSGYPIKRQLSGILDGEFESRRVQQTCPEDLSLFYKDPQGHIQGPFKGIDIIGWFEAGYFGIDLPVRLENAASHSPWLQLGDAMPHLRAKAGPPPGFSAAKHDSTEAFGWQNSSTFGNMHTGLNEAERLRNDPMQRNSATEAENRYLESLMSGSKNSSPLDSLTLSEGVDGGNNLYMMAKKMALEQQSSLPTHPYPYWPRRDAAPLPPKSDIFPNAPPHSNILSSLSDNPRQLQPQNSDLNSVIQGVSDRTTTGLSSGIAGWPNFHLQGGLDPLQNKIDFHHDQNYVQMPFGIQQRLQTPNLLPLNNIIAQTSDIPSSILTAEKLLSSGLSQDPQMLNMLQQQHFLQLHSQAAASSQQIPFLDKLLLLKQKQQQEEQLLLLRQQQQLLSQVLQEHQSHQRLGDLSYQQCSGGGVPLGNLHVNLSQIQPPKEIFSTSSQTPIPSVNAELTTNSLNLPLQTSQDTSHNISSESSAHLPDRLFENISHQKSWSATLPEQINDKHQSVALPVSASFKDSVLSEHSIAKEEPNIAQKPLSFSDDKAKIMEQKPDDACPVGDSQVSATSVCGESSQPVQCVAPFVPVSSAGSCGIDLPVSSQVGKDVEIKSGSIEEQQGGRESSNTETTVVDARSVEAREPKKATEKKSKKQKSSKSQSSDQAKGLPKNVTLQQSKKSESEKPNYGEKKLGETNKGEPAQETYLQQTRDKGKQSATATAETDNHQELNGLPTNIPGSNSETFIEDELTAVSSVSTKTSELPSGRAWKPAPGFKAKSLLEIQLEEQKRAQIEMLVSEVATPVNAMSSTTPWVGVVANPDSLKVSNDSHREADDTEYLAKSEKSQDSKTKKSPLHDLLAEDVPKYSERDGKVPDSSIPSQTVHSNSESIDEGDFIEAKDTKRNRKKSAKLKGSGSKVSIPVASSERPISSNHNEKVRSSHSVQLEKEQLPSIPSGPSLGDFVLWKGEPTSPSPPPAWTTDSGRIPKPTSLRDIQKEQEKKSEKKSAAVLSNQLSTPQRSQPAQVARSSSSLRPISTSSPPKTAPSSQINSQTSVSKYRGDDELFWGTVEQSKQENKQSGFSQLASQGSRGSKNIPMKGNSPGLLSRQKSGSGKSVERSLSSSPASSQSLLKLKKDAMTKNSEATDFRVWCENECVRLIGTKDTSFLQFCLKQSRSEAEIILTENLGSYDPDHEFIDKFLNYMDLLPSDVLEIAFQTRNDEKVDGSENTVVQDLGYIDGSFSKGGKKKGKKGKKVSSSVLGFNVVSNRIMMGEIQAVDD >Vigun09g245300.4.v1.2 pep primary_assembly:ASM411807v1:9:41390739:41396659:-1 gene:Vigun09g245300.v1.2 transcript:Vigun09g245300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVPSSRESTFEESNSIHPGATWRGTQLGEHAGTVVHESRDMSSDIKSRNPDMSWSNQPKDTQGQWEHNLDYLSETRDVAKWQSSGYPIKRQLSGILDGEFESRRVQQTCPEDLSLFYKDPQGHIQGPFKGIDIIGWFEAGYFGIDLPVRLENAASHSPWLQLGDAMPHLRAKAGPPPGFSAAKHDSTEAFGWQNSSTFGNMHTGLNEAERLRNDPMQRNSATEAENRYLESLMSGSKNSSPLDSLTLSEGLQGFLCNNSGNLGPSGVDGGNNLYMMAKKMALEQQSSLPTHPYPYWPRRDAAPLPPKSDIFPNAPPHSNILSSLSDNPRQLQPQNSDLNSVIQGVSDRTTTGLSSGIAGWPNFHLQGGLDPLQNKIDFHHDQNYVQMPFGIQQRLQTPNLLPLNNIIAQTSDIPSSILTAEKLLSSGLSQDPQMLNMLQQQHFLQLHSQAAASSQQIPFLDKLLLLKQKQQQEEQLLLLRQQQQLLSQVLQEHQSHQRLGDLSYQQCSGGGVPLGNLHVNLSQIQPPKEIFSTSSQTPIPSVNAELTTNSLNLPLQTSQDTSHNISSESSAHLPDRLFENISHQKSWSATLPEQINDKHQSVALPVSASFKDSVLSEHSIAKEEPNIAQKPLSFSDDKAKIMEQKPDDACPVGDSQVSATSVCGESSQPVQCVAPFVPVSSAGSCGIDLPVSSQVGKDVEIKSGSIEEQQGGRESSNTETTVVDARSVEAREPKKATEKKSKKQKSSKSQSSDQAKGLPKNVTLQQSKKSESEKPNYGEKKLGETNKGEPAQETYLQQTRDKGKQSATATAETDNHQELNGLPTNIPGSNSETFIEDELTAVSSVSTKTSELPSGRAWKPAPGFKAKSLLEIQLEEQKRAQIEMLVSEVATPVNAMSSTTPWVGVVANPDSLKVSNDSHREADDTEYLAKSEKSQDSKTKKSPLHDLLAEDVPKYSERDGKVPDSSIPSQTVHSNSESIDEGDFIEAKDTKRNRKKSAKLKGSGSKVSIPVASSERPISSNHNEKVRSSHSVQLEKEQLPSIPSGPSLGDFVLWKGEPTSPSPPPAWTTDSGRIPKPTSLRDIQKEQEKKSEKKSAAVLSNQLSTPQRSQPAQVARSSSSLRPISTSSPPKTAPSSQINSQTSVSKYRGDDELFWGTVEQSKQENKQSGFSQLASQGSRGSKNIPMKGNSPGLLSRQKSGSGKSVERSLSSSPASSQSLLKLKKDAMTKNSEATDFRVWCENECVRLIGTKDTSFLQFCLKQSRSEAEIILTENLGSYDPDHEFIDKFLNYMDLLPSDVLEIAFQTRNDEKVDGSENTVVQDLGYIDGSFSKGGKKKGKKGKKVSSSVLGFNVVSNRIMMGEIQAVDD >VigunL043432.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:441571:441953:1 gene:VigunL043432.v1.2 transcript:VigunL043432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSISIEIPIHGIFRRNSIFAYFDDPQYRTQSSEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVRNNSIIEVDTPITVNIRSQVSGLVRLEKKKKRFN >Vigun08g129400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30013065:30015884:1 gene:Vigun08g129400.v1.2 transcript:Vigun08g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLWLVIVGGAFSYSLILLRSNLDPQRRDHAVVAIGSHSQPQGTSHRVTQVDSPQTIITATGNASSQPFSVNDIVLPSTGTTRSAFQVVSPQEGGAGGAPQENIDDTKACFMGCVEALKKENATVISTISMHVDKYLKANILSEDQISVPELHGDDNMVVDSLSSRMISKLRESVEKMVSDGFEEECFHVYSNWRREFLKNSLWTLGLRDQEQNMEDVNKIEKIERLIKAMNIAARILFPNEKRLYYRVFSGSIGEFHFRELCTELANSLMNSALALETWCHFMRNTLQELIQEFESCTSTTVINIVVLLIRQRLYIYEALEDVSAIPGGGIHPITLEVMYNIYSVYKNGEISKLSQDLEEGKISPSEYRVRMTKLSESSLEAESENGNKLKETYYNSLHKSRKSRFSHGLDEGVFSSPVYSARMIQLLESSLEANSKNYKNPTLYYVFIMNNRRFIEVETKLNGLGPIFGDDWLHKNTTKLQQNLELYLRSSWNKIVDFLKLDINQLEPSVAAEVMKDNLYWFNEHFDETCNIQSAWSVCDEELREQIIKFIENMLLPAYGSFLGRFEEFVGKHAYKYIKYGMFEVQDRLNKLFLVRG >Vigun08g129400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30013064:30015884:1 gene:Vigun08g129400.v1.2 transcript:Vigun08g129400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLWLVIVGGAFSYSLILLRSNLDPQRRDHAVVAIGSHSQPQGTSHRVTQVDSPQTIITATGNASSQPFSVNDIVLPSTGTTRSAFQVVSPQEGGAGGAPQENIDDTKACFMGCVEALKKENATVISTISMHVDKYLKANILSEDQISVPELHGDDNMVVDSLSSRMISKLRESVEKMVSDGFEEECFHVYSNWRREFLKNSLWTLGLRDQEQNMEDVNKIEKIERLIKAMNIAARILFPNEKRLYYRVFSGSIGEFHFRELCTELANSLMNSALALETWCHFMRNTLQELIQEFESCTSTTVINIVVLLIRQRLYIYEALEDVSAIPGGGIHPITLEVMYNIYSVYKNGEISKLSQDLEEGKISPSEYRVRMTKLSESSLEAESENGNKLKETYYNSLHKSRKSRFSHGLDEGVFSSPVYSARMIQLLESSLEANSKNYKNPTLYYVFIMNNRRFIEVETKLNGLGPIFGDDWLHKNTTKLQQNLELYLRSSWNKIVDFLKLDINQLEPSVAAEVMKDNLYWFNEHFDETCNIQSAWSVCDEELREQIIKFIENMLLPAYGSFLGRFEEFVGKHAYKYIKYGMFEVQDRLNKLFLVRG >Vigun08g129400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30013065:30015884:1 gene:Vigun08g129400.v1.2 transcript:Vigun08g129400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLWLVIVGGAFSYSLILLRSNLDPQRRDHAVVAIGSHSQPQGTSHRVTQVDSPQTIITATGNASSQPFSVNDIVLPSTGTTRSAFQVVSPQEGGAGGAPQENIDDTKACFMGCVEALKKENATVISTISMHVDKYLKANILSEDQISVPELHGDDNMVVDSLSSRMISKLRESVEKMVSDGFEEECFHVYSNWRREFLKNSLWTLGLRDQEQNMEDVNKIEKIERLIKAMNIAARILFPNEKRLYYRVFSGSIGEFHFRELCTELANSLMNSALALETWCHFMRNTLQELIQEFESCTSTTVINIVVLLIRQRLYIYEALEDVSAIPGGGIHPITLEVMYNIYSVYKNGEISKLSQDLEEGKISPSEYRVRMTKLSESSLEAESENGNKLKETYYNSLHKSRKSRFSHGLDEGVFSSPVYSARMIQLLESSLEANSKNYKNPTLYYVFIMNNRRFIEVETKLNGLGPIFGDDWLHKNTTKLQQNLELYLRSSWNKIVDFLKLDINQLEPSVAAEVMKDNLYWFNEHFDETCNIQSAWSVCDEELREQIIKFIENMLLPAYGSFLGRFEEFVGKHAYKYIKYGMFEVQDRLNKLFLVRG >Vigun03g244900.2.v1.2 pep primary_assembly:ASM411807v1:3:40785644:40794064:-1 gene:Vigun03g244900.v1.2 transcript:Vigun03g244900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADPLSQPEPRDYLEPFRVSVHQILTRVNQLEKQVTEVEQFYESTDNVQGNNSKGVSLVKEKGREKHPTGTKKPLQDASHTEAAAAKRMQELMRQFSTILRQITQHKWAWPFMDPVDVEGLRLHDYYEEKRQLEEEAQAQLDIQLAQETTYANKAKDLSTELYEVDMQLKSLKEMVIQKCRKLTTQEKKMLGVTLGKLSLENLYRALDVVAETNPIFQPSGDEVDLDLDAQSDYTLWRLKAFVKDALEEQEKVDEGAAVNPNDNPDDKKNKKRRDSGDSSGKTNPKRKKLSAL >Vigun03g244900.1.v1.2 pep primary_assembly:ASM411807v1:3:40785644:40794063:-1 gene:Vigun03g244900.v1.2 transcript:Vigun03g244900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDADPLSQPEPRDYLEPFRVSVHQILTRVNQLEKQVTEVEQFYESTDNVQGNNSKGVSLVKEKGREKHPTGTKKPLQDASHTEAAAAKRMQELMRQFSTILRQITQHKWAWPFMDPVDVEGLRLHDYYEVIDKPMDFSTIKSKMEAKEGTGYNNVREIYADVRLIFKNAMKYNNEKHDVHVMAKTLLEKFEEKWLQLLPKVAEEEKRQLEEEAQAQLDIQLAQETTYANKAKDLSTELYEVDMQLKSLKEMVIQKCRKLTTQEKKMLGVTLGKLSLENLYRALDVVAETNPIFQPSGDEVDLDLDAQSDYTLWRLKAFVKDALEEQEKVDEGAAVNPNDNPDDKKNKKRRDSGDSSGKTNPKRKKLSAL >Vigun01g066375.1.v1.2 pep primary_assembly:ASM411807v1:1:17694847:17695053:-1 gene:Vigun01g066375.v1.2 transcript:Vigun01g066375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLFLMFQRLHMLHRVFAPFINTAFFVATPFSLRSVRH >Vigun03g338650.1.v1.2 pep primary_assembly:ASM411807v1:3:53677829:53678457:1 gene:Vigun03g338650.v1.2 transcript:Vigun03g338650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIITKILIIHHIIPKLIPTYSSFHNKITGQQKNTIVKTSLTKIYKQFLNKPKFTKIILLK >Vigun08g199700.1.v1.2 pep primary_assembly:ASM411807v1:8:36458154:36461161:1 gene:Vigun08g199700.v1.2 transcript:Vigun08g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRAVVGAVGALRRPFSSEGGGISPAVNSMLLRSLKEHYQEVAKMNMPPKVSPPSPFTIVKGALDSQGPVLKRSYGEEEVSVYVMRLSAPEEEDSAMDQLFIHVDVSKPSQKESLIFLCGLYEDALGIHSVSMRPKLQDSHYLLIPSQYSGPVFAELDDKMRDAFHNYIEERGVNESLFKFLQAWLYVKEHRNLMRWFKTMGLFIDGKKPATGA >Vigun05g106500.1.v1.2 pep primary_assembly:ASM411807v1:5:10767822:10773640:-1 gene:Vigun05g106500.v1.2 transcript:Vigun05g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASLAGASASDLLRSSTSGFNGVPLRSLGKGRLIVKSRDFSVAAKLRKAKKYDYPWPANPDPNVKGGVLIHLSRFKPLKEKPKLATLDFEKPLVDLQKKIFDVQKMAEETGLDFTDQIQLLETKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFMEIHGDRAGYDDPAIATGIGMIDGRRYMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRMMEYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKAAPKAAEKLRITAPELCKLQVADGIIPEPLGGAHANPEWTSQQIKKAINDTMDELTKMDSEELIKHRMLKFRKIGGFQEGIPIDPKRKVNMKKKDLSITKMPDAELEAEVQKLKKQIVEAKESSPVPPKLDLDEMLKQLEKEINHEYSEAIKAAGLTERWLQLQEEVAAANADYESLDPSVKDKVDKLRVEFEKKLHASPNYAQLQKNLKYFNELSIAKQLSGTSKDSVTFKQELKTRVDQALSNPRLRKEFEALKDEIRSAGASSGSDLDEELKKKVTDFKKEVDSQVVNALQSMGLDVAVKEDNTTYPVSKELKEEMQKQIDRMVNSSEDIKSMMQQLKSEVAKNMKSPSSESKNRISALVQQIKRNLAEAIDSSGLKEHLQSNGILDSVADTVTDEESREKVGANSTFS >Vigun05g106500.2.v1.2 pep primary_assembly:ASM411807v1:5:10767822:10773640:-1 gene:Vigun05g106500.v1.2 transcript:Vigun05g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASLAGASASDLLRSSTSGFNGVPLRSLGKGRLIVKSRDFSVAAKLRKAKKYDYPWPANPDPNVKGGVLIHLSRFKPLKEKPKLATLDFEKPLVDLQKKIFDVQKMAEETGLDFTDQIQLLETKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFMEIHGDRAGYDDPAIATGIGMIDGRRYMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRMMEYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKAAPKAAEKLRITAPELCKLQVADGIIPEPLGGAHANPEWTSQQIKKAINDTMDELTKMDSEELIKHRMLKFRKIGGFQEGIPIDPKRKVNMKKKDLSITKMPDAELEAEVQKLKKQIVEAKESSPVPPKLDLDEMLKQLEKEINHEYSEAIKAAGLTERWLQLQEEVAAANADYESLDPSVKDKVDKLRVEFEKKLHASPNYAQLQKNLKYFNELSIAKQLSGTSKDSVTFKQELKTRVDQALSNPRLRKEFEALKDEIRSAGASSGSDLDEELKKKVTDFKKEVDSQVVNALQSMGLDVAVKEDNTTYPVSKELKEEMQKQIDRMVNSSEDIKSMMQQLKSEVAKNMKSPSSESKNRISALVQQIKRNLAEAIDSSGLKEHLQSNGILDSVADTVTDEESREKVGANSTFS >Vigun06g101100.1.v1.2 pep primary_assembly:ASM411807v1:6:23112957:23125884:-1 gene:Vigun06g101100.v1.2 transcript:Vigun06g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARASSGLQYPERFYAAASYVGFDGSTSPTKTLTSKFANSTSLLLYSLYQQASVGPCNVPEPSTWKLVEHSKWASWNQLGTMSSTEAMRLFVKILEEEDPGWYSRASNSVSEPVIDVQMNHNSNVEPVIENGNSYPETKTISTQNGSEVGTEDKDIVLEGFSSVGVYDQWIAPPVSGQSPKARYEHGAAVVQDKLYIYGGNHNGRYLNDLHVLDLRRWTWSKIETKAGDESPTTSIPCAGHSLIPWGNKLLSVAGHSKDPSESIQVKVLDLQTTTWSTLKTFGKAPVSRGGQSVTLVGGTLVIFGGQDPKRTLLNDLHILDLETMTWDEIDAVGVPPSPRSDHTAAVHVERYLLIFGGGSHATCYNDLHVLDLQTMEWSHPTQLGEIPTPRAGHAGVTVGENWFIVGGGDNKSGVSETAVLNMSTLAWSVVTSVQGRVPVASEGLSLVVGSYNGEDVLISFGGYNGRYNNEVYVLKPSHKSTLQSKVIESSVPDSVSAVPNATNATRDVESEFEAGHEGKNWEVVLDNADPAKSKGDIISALKAEKEELESSLSKEKQHALQLKQELAESESRNTDLYKELQSIRGQLASEQSRCFKLEVEVAELGQKLQTIGTLQKELELLRRQKAASEQAALNAKQRQNSGGGVWGWLAGAPPDQNADDA >Vigun07g285800.1.v1.2 pep primary_assembly:ASM411807v1:7:39876767:39878428:-1 gene:Vigun07g285800.v1.2 transcript:Vigun07g285800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFSNLPDEVLRLIVSFLPNESTLETSLISTRWRDLWNQVLVRHGTTQDVAAAVAGFLARFEQHDPLKHPRKLQFHFAEQHTALSASIAANNKLLLDFPAGNKHLQRKYELQFMLNKDHITHHTFHPTFLVKTLYLKSVSHFTNSVASSIVSSLEQLEKLVIVECSGLDSLCIESESKLQKLTVSECPQLKFLHLRTSKLKNLRYCGPLPRIWPESHFNLRHAMLDFRQGPSCSDSKAQDFNQTLLTIKNCETLTLCGWIFETLIWPSISPSGNFIFYKIRELWWIHNHKAENSINILVSFLKLCPALEQLFVKNDSTSYSTPETKSSLTQASKYTKLEHLRGIKFMGFANRVDEISVAKTLIQLIREEPPKIETSDGFTLIF >Vigun03g243500.1.v1.2 pep primary_assembly:ASM411807v1:3:40575978:40602248:1 gene:Vigun03g243500.v1.2 transcript:Vigun03g243500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARADKAPSTDLASEWRPRGSAFVPCVPKAGEEAAAAAEKPQPSRVVVRKPLVARLTKEIVQTYQICNPQFKYSEDLNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLNHLDKSKRYIVKDLLGHGTFGQVAKCWDSDSNSFVAVKIIKNQPAYYQQALVEVTILSTLNKKYDPEDKHHIVRIYDYFVHQRHLCICFELLDTNLYELIKMNHFRGLSLGIVQLFSKQILCGLALLKEAGVIHCDLKPENILLCTSTVKPAEIKIIDFGSACMENRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIEILGGQPPDYVLRDAKNSSKFFKCVGSLQNIESSDSSKNGRSLYQALTVEEYETRELKKPAIGKEYFNHMNLEAIVTNYPYRKNLAKEDIVKESQIRLALIDFLKGLVELDPSKRWSPVQASKHPFVTGEPFTHPYKPPPETPQMPVVQNIKVDNHPGGGHWFAAGLSPNVQGKSRASLYSSPHFQMVQHQPTNSYGSVGSHGSYNDSIGLGSSYGSYGESTNMFAYYSPIGPSGMNMHNQGSISMLGNSPDARRRVKYQPGNGLGISPSAGNFAPLPLGASPSQFTPPSSYSQVSVVSPGHFGPTSPARGTSHGSPLGKTAAVSQFNRRKNWGHSGSPQAQETAFSSHWQSQYPDSTSHTEGTSQPLGNSPSYLQSNCIPVNRKQRGSGGLSANQNISCLIKPGANLNSQTELVHDNAETGISLPDPGDWDPNYSDELLLQEDGSDESCLANEFGRSMNLGSTETWAGFGRFNHVSSSNTPIIMQRLNGPNQSFTNVEMGSLPTHDLQAAYVPSLSKQFHLMPHILHNSPSRFGHQSVQRYTHGRPPQGADWNQIKIQAPSGFGSVGPRSPRSNSFTNSMTWGRRMDPPVSSMPPTSRTRKDYARID >VigunL008000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000147.1:17542:21724:-1 gene:VigunL008000.v1.2 transcript:VigunL008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKEPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFTGYVLPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFLLVGASLLHLAALHQYGSNNPLGVHSEMDQISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTTDETEM >Vigun08g068500.1.v1.2 pep primary_assembly:ASM411807v1:8:10610234:10615946:1 gene:Vigun08g068500.v1.2 transcript:Vigun08g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITGEDLYKVMCAMVPLYFAMLVAYGSVKWCKMFSPEQCSGINRFVAVFAIPVLSFHFISMNNPYQMNTRFIIADTLSKLLVLLLLSLWTLFFAKGSLEWLITLFSLATLPNTLVMGIPLLQAMYGDFTHSLMVQLLVLQCIIWYTLLLFLFEYRAATLLIQRQFPGQAAASITKFQVDGDVISLDGHDMPLRTESETDDHGRIRVRIRRSVSSAPESSCSIGNAAVLTPRPSNLSNADIFSINTPLHLHDGDPPPAPAPTSLATPPPTRTLCSPRHGPQTSTRWTPAPPSGGAHRSPQEKCASSHPRWPGWCGSRRGNVVEEKRDKVAKTVLSQVELAHAHASFSGTAHKEMSFRDSTKDRVAKEQKMPHAFVMMRLILVVVGRKLSRNPNTYSSVLGLLWSLVSFKWNMEMPSLIKSSVKIISDAGLGMAMFSLGLFMALQPRIIACGTKRAVMGMLIRFLCGPLIMSASSIAIGLRHHILHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPITLLYYIFLGL >Vigun03g192800.1.v1.2 pep primary_assembly:ASM411807v1:3:26814842:26816790:-1 gene:Vigun03g192800.v1.2 transcript:Vigun03g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSFCVKWSFMWLNATNLHPILTFLFTLITVLWLLQWFRNSTNEASSPLPPGPTGLPFLGYLPFLGNHPHLKFHKLAQLYGPIYKLMLGTKTIVVVSSPSLVREIVRDQDTIFANREPLIAALVALYGGTDIASLPHGPQRRKARKILVREMLSGTNMTNSFSHRRVEIKNSIRDVYQNKIGCPTNVGELAFLTATNAIMSMIWGETLQEEEGVAIGVEFRAVVSELMVLLGKPNVSDLFPALAWLDLQGIERRTRKVSQWIDRLFDSAIEKRMNVTEKGENKSNKDFLQYLLELTKSDSESASMTMKEIKAILLDIVVGGTETTSTTLEWVVAKLMQHPEAMKRVEEEMDTVIGLDKGIESESQLLKLQYLEAVIKETLRLHPPLPFLVPHCPSQSSSVGGYTIPKGAQVLLNVLTIQRDPEIWEDALEFRPERFLSDDGKLDYWGNKFEYLPFGSGRRICAGLPLAEKMIMFMLASFLHYFEWRLPSGTVLEFSGKFGLVVKKTKPLVLIPKPRLSKPQLYI >Vigun09g205700.1.v1.2 pep primary_assembly:ASM411807v1:9:38010890:38012399:-1 gene:Vigun09g205700.v1.2 transcript:Vigun09g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCLDFWKDGKIIEEGSEEWKEMSMKVREACESYGCFLLRCDEMNSNGAREELFNNMKALFDLPQETKQKHTSPKPFRGFNSYNYMSTLYESFAIDDVLLSTSVDNLTNLMWPQGNPQFCETLKGTSLKMSDLSLVILKMIVEGYGLPRHHISDVENLKSSCNVRMNMYDTDKKNTVNRNIANDHIDKNTLTILCENEVPGLQVQSKTGEWVDVVIPKNCFVVIVGDALKAWSNGRIHAAMHRVVTNEEKQRFTFGVFVAPREDMKIKVPRELVDEKIHPLQYRAFNYGEFLSFYAENRRTNNGNALEVFAGL >Vigun05g095900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9297985:9300559:1 gene:Vigun05g095900.v1.2 transcript:Vigun05g095900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKYEQCLKFEGWRLKSPLLMMQFGRSGEIFGEFGEGLEVAAEDGIDEHARVVGGVAGGDVHDVGLDDDGARGGARVEGCDGAVVGEAVIAADHAEANDVALVVEDVEALGAHGGREAGDHAHLAERAHVAVAQDDVAALHEVLVGLRVVEAPHHGPHGGDRRRDLLHHGGAALVRGHRVDVVAGHRVWNGSGGRRERGIRHFFEVWIEEGL >Vigun11g070000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20425145:20425953:-1 gene:Vigun11g070000.v1.2 transcript:Vigun11g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVIAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun01g237100.2.v1.2 pep primary_assembly:ASM411807v1:1:40831600:40835513:-1 gene:Vigun01g237100.v1.2 transcript:Vigun01g237100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSLADGEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEREAKAAKNDQETSPPSEKAANGPNNGLPNGSKKSLYYLTYNSFQAAPDNCPTVLFGILTNETRCLRCETVTARDEIFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHVLVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSDTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSSQEYSSNTDHGYILFYESIGSGNRN >Vigun11g072100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20591669:20592154:-1 gene:Vigun11g072100.v1.2 transcript:Vigun11g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMVTEFINYSEQIIRAARYIGQGLMITLSHANRLPVTIQYPYEKIISSERFRGRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIRQKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun01g058800.1.v1.2 pep primary_assembly:ASM411807v1:1:12242913:12247271:1 gene:Vigun01g058800.v1.2 transcript:Vigun01g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIATPLHTTNLDLHPCFSCYLFLRPQMASASATTLFSSNPMFPSDYHSLSPSPTIPKSFTGLCKPFHSRVPTSIPFFHCRRPKFFVTASVIKWRCPKQTLPPNSDTGFQKKLLYLESIGIDSFSLIENHPMLITTSLTDIKSTVEYITSMDFTATEFRRMVGMCPEILTTNVSDIIPVFTFLHSEVHVCCSDIKRVINRRPRLLVCSVSKRLRPTLYFLQSIGIEEVNKHTDLLSCSVEEKFMPRVDYFENIGFSRRDATIMFRRFPQLFCYSIKNNLEPKYSYFVVEMGRDLKELIEFPQYFSFSLENRIKPRHKKCVEMGVCFPLPALLKTKEVKFQSRLEVFVNSSTPLKTSPLWCAGCDLYEV >Vigun11g079600.1.v1.2 pep primary_assembly:ASM411807v1:11:23444030:23446869:-1 gene:Vigun11g079600.v1.2 transcript:Vigun11g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLDYRQFYPDIGMKVLIEKSLISCTRQYIQMHDLLRELGKSIVREKSPKEPRKWNRLWSYKDLQKVMKVNKESENVEAIVIDQHPDEFLLSRLRVDALSKMNHLELLVLNNVNCFGTLNYISNELKYLYWSNFSWMSLPSTFHLDQLVELILPHSNIKKLWEGKKCLPNLKTLDLSHSRNLVGLPNFSEIPHLTKLILEGCIQIMQIDPSIDILKELDHLNLRNCKNLVLNLNILFGISSLGSLNVSGCSKLLNSKMLMEPRNTEHLEKADKNKNIIQLPTSSVYKLLMLPFHFFYPLEAEDSLGLLMSSFSFSAPCLFELDISFCGLLRIPDEIGNLRSLAKLKLGGNKFVTLPSTIKQLSNLQSFNLEHCKQLKYLPELPTITGKNGRYPMGLYVFDCPKLSDMEHCYSMVFPWMTQTLKVYLQPTVSPARMEIVTPGSQIPKWFNKQYSTRSVRMDPSAIIDDPNWIGVAICVLFVTHQDPMNLGEIYGHPYRGIEYGFNNVFHLSKYYLVVPIHFKNDLVTIGLDHLLTVFCCRQEFIHLLGRHPNTMHDLHSIEFETSIRSPKGLRVVVKNCGYRWVFQEDLQQLNSNMFFGENSSYRTRKLLTID >Vigun04g039350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3316038:3316439:1 gene:Vigun04g039350.v1.2 transcript:Vigun04g039350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPETVETLTPATSGIDPLPPYMATSSTEHSKGRSDVWEHFTKQDPYSEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRKKMSSSTTEGASAGPSPTISKFDQNASRMKLVKMFVKSELPF >Vigun06g149500.1.v1.2 pep primary_assembly:ASM411807v1:6:27421289:27427353:1 gene:Vigun06g149500.v1.2 transcript:Vigun06g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLVLHFIVLAIWSVLVEVSSSQEDYPSVAKPGCDSRCGDLQIPFPFGMKSSECYAEKWFEIECRNSATYHQTPYLKSIGVEVTSIDVGRGTVTIIHPVYRSNCGTKDSPPVNLTLEGSPFVYSQEQNKFVAAGCNIIAFLQVNGTGSSGCVSICDKDLKVDDIGKLELKNNDCNGKNCCQNSLPPYLKEYSTQVKGLKENEMDDECSYAMVVQQHLTLYPRYYRPDCRYYPYNPYNPYHTPQSYDFSVYGAVKDLDLVPAVLEWEILNNFSLKLPAANLSECVDTNITSSLYKRSGQRCSCVRGPGNPYFEGGCSDSSDSPPYSDYWHRHQKTIIAAIKGVSASLGSIILLFILWRLFKVTKEVVEKEYRKRFFKRNGGLLLQQILSSNKVSVDKVKLFSLTELEKATDNFNTNRVLGRGGQATVYKGMLIDGTIIAVKKFKVQGKIEEFINEFVILSQINHRNVVKLLGSCLETKIPLLVYEFIPNGNLFEYLHQQNEDLPLTWDMRLRIAAEIAEALFYLHSAASRPIYHKDIKSTNILLDEKYRAKVADFGTSRMISMDVTHLTTVVQGTFGYLDPEFFQTSQFTEKSDVYSFGVVLVELLTGQKPITLLNPEEAKGLAPYFITCVEENCVFDIIDERVMKEGKKDGIREVVNLVSRCLNLNGKRRPTMKELTLELEVIRKLEKKSNAQEDHNELVISEDYQYWDDNSTILEIKSVFDLSSRTPILEDIHSHTI >Vigun07g081400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11528480:11529360:-1 gene:Vigun07g081400.v1.2 transcript:Vigun07g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCISNCVSDARDPRVPVRATYVNLYKWPESDAEFVRRRGSHVCGHPRVVDSVSCRQMYLRSYKFSRKETVPEKTQKCFGKVKERVKGRSHHKGNGFVRRRKCLVWRKMREISCAAFFKIFRRFLSCSASVDVLQTKS >Vigun08g128900.2.v1.2 pep primary_assembly:ASM411807v1:8:29954558:29957316:-1 gene:Vigun08g128900.v1.2 transcript:Vigun08g128900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHFPGKQCYSWFLLGLLSIIASLASAAENHYHEFVIQTAPVKRLCRTHNVLTVNGQFPGPTVEARDGDSLAIKVVNAGPYNISIHWHGLRMLRNPWADGPSYVTQCPIQPGGSYTYRFTIQNQEGTLWWHAHTGFLRATVYGALIIYPKLGSPYPFSMPKKEFPLLLGEWFDRDPMALLRQAQFTGAPPNVSVAYTINGQPGDLYRCSSQETVRVPVDAGETILLRIINSALNQELFFSIANHRMTVVATDAAYTKPFTTNVLMIGPGQTINVLVTADQTPGRYYMAARAYQTAMNAAFDNTTTTAILEYKSVSCGKRNGQVQRPILPVLPAFNDTATATAYTAGIRGLSKINVFTRVDVSLYFVVGLGLINCTNPNSPRCQGPNGTRFAASINNNSFVLPSTTSLMQAYYQGHKHSNN >Vigun08g128900.1.v1.2 pep primary_assembly:ASM411807v1:8:29954558:29957170:-1 gene:Vigun08g128900.v1.2 transcript:Vigun08g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHFPGKQCYSWFLLGLLSIIASLASAAENHYHEFVIQTAPVKRLCRTHNVLTVNGQFPGPTVEARDGDSLAIKVVNAGPYNISIHWHGLRMLRNPWADGPSYVTQCPIQPGGSYTYRFTIQNQEGTLWWHAHTGFLRATVYGALIIYPKLGSPYPFSMPKKEFPLLLGEWFDRDPMALLRQAQFTGAPPNVSVAYTINGQPGDLYRCSSQETVRVPVDAGETILLRIINSALNQELFFSIANHRMTVVATDAAYTKPFTTNVLMIGPGQTINVLVTADQTPGRYYMAARAYQTAMNAAFDNTTTTAILEYKSVSCGKRNGQVQRPILPVLPAFNDTATATAYTAGIRGLSKINVFTRVDVSLYFVVGLGLINCTNPNSPRCQGPNGTRFAASINNNSFVLPSTTSLMQAYYQGIPGVFTTDFPPVPPLQFNYTGNVPRGLWTPVKGTKLFKVKYGSHLQIVLQDTSIVTTEDHPMHVHGFHFFVVGSGFGNFNPATDPARFNLVDPPVRNTIGTPPGGWVAIRFVADNPGIWFLHCHIDSHLNWGLATALLVENGVGPSQSVIPPPPDLPQC >VigunL055300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:20353:22224:-1 gene:VigunL055300.v1.2 transcript:VigunL055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun11g046400.1.v1.2 pep primary_assembly:ASM411807v1:11:7119385:7124503:-1 gene:Vigun11g046400.v1.2 transcript:Vigun11g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYGVIAFVLLLTLELLHHHFPTSVISAESDNLTRRLHTVNESRKLNESDTQEGLPPSDTQTENVLQNTDAEIQITERGPQTSLMPPATQTQKNVSSKSNVKVNRIEIDPQTSLLPRPAQTHKNVSNNSNVEVNRIESDLPTQTLKNLSSNSNVEVNRTERDPQTSLLPPPTQTQKNVSNNSSINVNRTQNDARVPPPSSPTKNQKNVSSNSDLQEKKTQNDDPHDRPPIPPTQTEDANVTENTNIPRRKILSGLPIPPFPPPIQIERDVSINSNLEVNSNVEVQKTEESLSTNNTVLHNAVLDVAMVHDAMLHDEELHDEL >Vigun11g061100.1.v1.2 pep primary_assembly:ASM411807v1:11:13433470:13445105:-1 gene:Vigun11g061100.v1.2 transcript:Vigun11g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHKKILEGLLKLPENKECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQHMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVARNEKPKSPSSFREEKRPVERVGHSYAAVSENTFEDRKKIKPSNAIPGTRICVPAPPKGPELVTPVGKPQHVDKAEPVALPPPQIETSKQTTDTTQNSPPKVDFSTDLFDMLSMDGPSEKGSGAAEAIADDNNWAGFQSATEALPAPKNDTTKSVDSRPQSTSGIEDLFKDTPSVTTSSTPENPQKDVKNDIMSLFEKGNVVSPFAMHQQQLAMLAQQQTLLMAAAAKSGGVDPKYLASLQQQQSLLMAAAAAKSAGGDSKYPAGIQQPGSNIPVQSWPTAGYPIPGIMPMGSQEDLQKLMQTMNLTAAHSAGSSVQYPQSSYYAMGQVAPVNGLSTTSGASKPQAVSPVSSAYPTSAPTSKTGSDYDFSSLTQGMFAKH >Vigun06g031000.1.v1.2 pep primary_assembly:ASM411807v1:6:13493384:13499406:-1 gene:Vigun06g031000.v1.2 transcript:Vigun06g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNKRSPQLTRALCYGNNKQEGRSPSVIVVGGGMAGVAAARALHDASFQVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVSKENPLASVIARLGLPLYRTSGDNSVLYDHDLESYALFDTDGNQVPQELVTKVGGIFETILEETNKIREEFSEDMSVFRGLSIVLDRKPELRLEGLAHKVLQWYLCRMEGWFAADSDTISLKAWDQEVLLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKVIRRYNGVKVTVENGKTFFADAAIIAVPLGVLKAKRIVFEPKLPDWKEAAIADLGIGIENKIILHFENVFWPNVEFLGVVADTSYGCSYFLNLHKAAGHPVLVYMPSGRLAKDIEKMSDEAAANFAFMQLQRILPDASSPIQYLVSRWGSDINSLGSYSYDAVGKSHELFERLRVPVDNLFFAGEATSMSYPGSVHGAFSTGMMAAEDCRMRVLERYGELDLFQPVMGEEASLSIPLQISRM >Vigun11g077800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22980519:22980788:-1 gene:Vigun11g077800.v1.2 transcript:Vigun11g077800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKNNLFFLKNKMFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun02g030300.1.v1.2 pep primary_assembly:ASM411807v1:2:12603025:12607595:1 gene:Vigun02g030300.v1.2 transcript:Vigun02g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDCSVSKPIEHALSTPSIVSGSANMGPPSHEGRKNRSEAWNHFIQLEPKSDKRAQCKYCDILIRYEKGTTAMRNHVLRCPNNPNKEVNKRQKVDGCEILIMSLS >VigunL038250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:321614:321772:1 gene:VigunL038250.v1.2 transcript:VigunL038250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSYLPHYNLQLNVSFFQPPSKALTERVGWFYLKRIFSMIRLDFILHQQAP >Vigun03g226600.1.v1.2 pep primary_assembly:ASM411807v1:3:37702427:37704781:-1 gene:Vigun03g226600.v1.2 transcript:Vigun03g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELVAPRRETWNALSSGFLELLSNGWSFDTFLENPSFPTSNSLFAAFSTPIDRRFECPFTNELPPYPFPDAFTMPLPHLHPANDDPPPPLPPTLEDEDVAFYDNNNNFEEIKSVCKVEEQGVENPQGTEIPLFNTGMSDDAERKQKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEAEEGSSQINLLGISKDQVKPNEAIVRNSPKFDVERRDQDTRISICCATKPGLLLSTVNTLEALGLEIQQCVVSSFNDFSVEASCSEVGEQRNCVSPEEIKQSLFRNAGFGGKCLKEKDGKLIKQFADGVKEATFLL >Vigun11g028900.1.v1.2 pep primary_assembly:ASM411807v1:11:3764122:3764737:-1 gene:Vigun11g028900.v1.2 transcript:Vigun11g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFSVLLIMLLSIGIENEGPMKVAEAKFCDVELYNYCDHSCYTDCPKKYGPKAVGMCNFNPQICICRRPC >Vigun03g314300.1.v1.2 pep primary_assembly:ASM411807v1:3:50720132:50724699:-1 gene:Vigun03g314300.v1.2 transcript:Vigun03g314300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKASSPSSSIPVTAPSLHTTGRLLYHQLWKAKTSPIKELGLRTSVGAKRLQVIKAAARSDTEVVSETVKGGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEQKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELTFFALNPKLNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDQAEYVEIGIESGLPVSVNGKKLSPPSLLAELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAARELEFLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMQKITETTTGSVTLKLYKGSVTVTSRTSPFSLYRQDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEQGL >Vigun11g158400.1.v1.2 pep primary_assembly:ASM411807v1:11:36672177:36674055:1 gene:Vigun11g158400.v1.2 transcript:Vigun11g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERNSSSRWCLQGMTALVTGGSKGIGYSIVEQLAELGATVHTCSRNQAELSESLNQWTTKGYTVTGSVCDVTSRADREELIARVSSHFNGKLNILVNNVGANLEKRTLDLTAEDFSFLVNTNLESCFHLSQLAYPLLKASEAASIIFISSIAGVVAINSGSIIYGATKGAINQVTKNLACEWARDNIRTNCVAPGPIRTPLLEKHCTEDTLMNAVISKTPLGRIGEAEEVASLVAFLCLPAASYITGQTICVDGGFTVNGLNLF >Vigun08g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24555499:24555801:1 gene:Vigun08g100100.v1.2 transcript:Vigun08g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIYDALIMVGDSISHSPFGLVEICIKLEIKDNFKLLFFLNYELLVNELLETLANIALTKEEEIKLKNYDDDLWRLGSAERFLKPVLDIPLAFKRIEVK >Vigun01g111100.1.v1.2 pep primary_assembly:ASM411807v1:1:28479052:28482414:-1 gene:Vigun01g111100.v1.2 transcript:Vigun01g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHSSITYVPLLFMLFLITNTAITEAQSGVCYGRLGNNLPTPTEVVALYNQYNIRRMRIYDPNQQVLQALRGSTIQLLLDIPKDKLQNLAASQDNANKWVQDNIKNYGNVRFRYIAVGNEVKPEESYAQFVVPAMQNIQKAISAAGLGNQIKVSTAIEFGALAESYPPSKGSFKASYRAAFLDGVIRFLVNNNSPLLINIYTYFSYVNDPKVGLEYALFTSPSVVVNDGSLGYQNLFGAMVDAAYSALEKVGGGSVDIVVSESGWPSSGGTATSLHNARTYNTNLLQHVKNGTPKKPGKPIETYVFAMFDENQKHPDFEKFWGLFNPTTKQLKYSINFN >Vigun05g233400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42710761:42711246:1 gene:Vigun05g233400.v1.2 transcript:Vigun05g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKRKRFMRALFKTNGGCGCRNPKSYEVLQPSIHQNTTNPTTSGDNDNNVFSEVHTNHENPNNDNMRKPNSKLIDTVAVEKDSKDPHKDFRESMLQMIFQRQIFTKTDLQDLLECFLRLNAADNYQVIVQAFMEICHETFPKKNINDADANAASFNNKI >Vigun05g075000.2.v1.2 pep primary_assembly:ASM411807v1:5:6695042:6745268:-1 gene:Vigun05g075000.v1.2 transcript:Vigun05g075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIVSATTESALKILGHLVKRHWGYIFNYKSKFEKLKSYVEYLEDNRERLQHDVDKALENAKEIENDVQRCLKLMDEKIKEYRSYINDECHEKKICSTGFLPNNFHLRYQLGRKATKMVEEIIEDGLWKKKFDKVSYQEYPSPDYAFSNSGYVSFASRTRTLETIMKALENSTVDMVGVYGHSGMGKTFLVKEIAKKAREKLFKIVIIANITENPDFEKIQGQIADMLGMTLEEKSEIGRANRIRKRLKKEKKNTLIILDDLWDGLDLNRIGIPCDDDDQDDASRQDVSHISNDFGYGNTENSEWSKVDLNKMKKEKSSKSYKGGKILLTSRKKEVLCDQMDVQQSSTFRVEGLDEKEAQTLLKKVADVKNSDFDSNAIEIAKWSGGIPIALVSIGRTLKNKSLSTWEDICKQIKRQSSTEEWGFTDFSIKLSYNQLKTEQLKCVFLHCARMGNDALIMDLVKFCIGLNLLQGVHTITDARKSVQRMIKELEESSLLVRSYSSDRFNMHDIVRGIALSISSKEKQVLFMKNDILDEWPHEDDFERYTAIFLHSCDIKYEFPKSISCPRVEVLHIDNNTESFEIPDDLFKFMIRLKVLVLTGVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLSGSNIESLPLEFGQLDKLQLFDISNCPNLRKITSNIIARMNILEEFYIRDNLILWEAEENMKSENASLSELRHLNHLQNLEIHIQSFAHFPQNLFLDKLNSYKIVIGEFNLLNLLTVGKFKIPEKYEEVKFLALNLNENVDIHSKKWVKMFFKSVECLLLGELNDVQDIFFELNVEGFPNLKHLSIVNNFGINYVINPMERFHPLLAFPKLESIWLYKLYNLERICDNELVDASFCSLKVIKIKTCAKLVNLFPFCMVRLLTVLETIEVCDCDSLKEIVSKERQTQNVSDDKIEFPQLRHLTLKSLPTFTCLYTVDEMLGSVPLLQGQILQHETTNIVTNAEHGVTNSCLPLFNEKVSIPKLESLELSSIKIQKIWCDQYDHNFQNIEKIWCDQYDHNFQNLLTLNVTDCGNLKYLLSFSMAERLVNLQSIFVSECEMMEDIFRQEDAEYIDVFPKLTKMEIICMGKLSTIWKFDIGLHSFKNLSSLIIRECHKLVTIFPNYMGQRLQSLQSLTVTNCKLVENIFDLANIPHTCDIIETNLENIFLEDLPNLVNVWKGVTGEILKCNNLQSIRVDESPKLKYLFPVSISNDLEKLEVLEVWDCWAMKEIVSLDKHSSENAISFKFPHLNTVSLIDLHELRSFYSGIHTLEWPPLKKLKIVDCSMLEGFTSEITNSQEQPIVLATKKVIYNLEYMSVSLKEAEWLQKYIVNIHRMHKLEELTCYRLKNNEILFWFLHRLPNLKSLTLGLCHMKRIWIPESLSSREKIGGVVELKELELRSMWSLEDIGFEHDVLLQRIECLIILRCKKLTKLASSSVSFSYLTYLEVVNCMMRNLMTLSTAKTLVQLTTMKVGSCPLIVEIVVENEEEKVQEVEFKQLKSLELVSLKNLTSFSSVEKCDLKFPLLENLVVSECPQMKKLSKVQSVPNLQKVHVEAGEKNKWYWEGDLNATLQTHFKDQVYFEYSKEINLVDYPERKVQHGKFAFPDNFFGCLKKLEFDEACERDTLIPSHVLPYLKNLEELNVHGCKSAKLIFDIDESEMMTYGIVFRLKKLILKNLLNLKCVWKETPRGIVSFPNLNIVIVHGCEGLVTLFPSSLARNLKKLETLLISGCEKLVEIAGKEDGMETTIMFEFPCLSYLKLVNMPLLSCFYPGKHHLECPLLEILFVANCPKLKLFTSATEAATEAATEAPISLLQHPMFSIEILASHKLKRLALNQKNFTLLSDTRLPQDLLWKLNFLWLCFEDDSNEKDTLHFDLFHKVPSLEYLIVQKWFGLKEIFPSQKLQVHHNVLAGLKQLFLFQLSELECIGLEHAWVQPYSQKLELLKLYECPLVERIVYGAVSFINLKELYVERCEKMEYLFTFATLKSLVKLETLFIENCESIKEIARNEEEDGCDEMVFGRLRWIYLICLPRLISFYSGNATLQCPCLEWVVVDECPNMITFSEGLIKLPIFSGIQTSKHPDFTFHVDLNTTVQTLFHEKEFFNHSKHMILDDYLELTGVQHIKPAISENFFGSFKELEFDAACKRAIVIPFHVLPYLKNLEKLNVHSSDAIKVIFDIDESEIKTEGIISNLKKLTLNNLSNLKCVWKENVGGIVSFPNLEEVVVNGCGSLVTLLSSSLAKSLQKLKKLHMEMCESLVEIVGDEDEREQGRTLTFEFPCLILVDLWNMPLLSCFYPGKHYLECPILEALWVAYCPKLKLFTSDLDDSHKDEVTEAPITPLQQPLFLLEKVSPKLKQLSVNEKNMMLLRDGCLPHDLLCQLSHLLLCFEDYEIQNDTLPFDLFHMLPSLEYLRLQKCFGLKEIFSSQKFQVEDKVLAGLKKLFLVELSELESIGLEHTWAQPYTKKLEMLTLFTCPRVENIVSCAVSFINLKELSVKHCAKMEYLFTFATLKSLVKLETLSIKKCESIKEIIKKDDEDGCDEMVFGRLRSIKLNSLTKLVSFYSGNATLQCSYLKNLMIVECPNMITFSQGVVKVSMLLEIQPSKDSDSTFQGDLNTTIEILFHEQDFFNYSKLRILDDYLGMMGVLHTKPTLSDNFFGSFRKLEFDTTCNRSFVIPYHVLPYLKNLKELNVHSSDAVQVIFDSDETEVETKGIIFGLEKLTLKHLSNLKCVWKKKLKGIVSFSNLEEVNVDGCGSLVTLFPLSIAKNLKKLETFDIEECEKMEEIVGREDEMEHGTTITFEFPCLSYLILVNLPLLSCFYSGKHHLECPLLDKLYVGYCPKLKLFRPSFDDSHKDEVIEAPINRLQQPLFSIEKVSPTPVGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFSLAVQKWFGLKEIFPSQKLQVHNNVLAGLKRLSLWELSELECIGLEHTWVQPYSTKLELLKLYECPLVERIVYGAVSFINLKELYVERCEKMEYLFTFATLKSLVKLETLFIENCESIKEIIKKDDEDGCDEMVFGRLRSIKLNSLPKLVSFYSGNATLQCSYLKTLMIAECPNMITFSQGVIKVSRFLEIQTSKDSDSTFHGDLNTTIQKLFHNQVIHLS >Vigun04g074400.1.v1.2 pep primary_assembly:ASM411807v1:4:8947373:8948269:-1 gene:Vigun04g074400.v1.2 transcript:Vigun04g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLISFFIIFSLFQNSAKGFYWKMAQTWFTIHGLWPSTNFFPQPSKCTSDLFDLSKIQNIVPQLHQDWPNYLRRRDSEFWEYEWKKHGTCSNMQQFDYFRLALDIYARNDLEAILRNAGISHSHGKTYVTNDIISAIRNSAIGVEPQLICRKTGNLSEIRICLNTDPIPQYINSASQGNCT >Vigun03g403800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61093485:61094115:1 gene:Vigun03g403800.v1.2 transcript:Vigun03g403800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAKIRKEHVVILVVVIGLCSSVVESRKHVSIKNRLGAGNNITLHCQSKDNDLGQQNIENGKEFGWDFSDNVFGTTLFFCDVGWEKVKDYHFNAYSFGRDRVRCDGVGCSWLLSAEGIYGFNGQTGFWEFMYPWPN >Vigun08g209100.1.v1.2 pep primary_assembly:ASM411807v1:8:37187492:37189669:1 gene:Vigun08g209100.v1.2 transcript:Vigun08g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMELVSSGVVFLFVSVFLMWVHVGLSEGENGHGGIRNYISWEDLEVDEQRLTLKRHDDVRVIIVNKYGWGHSETVQGAVDMVPVNNKHRVKIYIYPGIYREKVRVPGNKPYVSFIGKRNQTATPVITWNSKSSDKGTNGQTLGTFDTATVGVDSDYFCATGVTFENSVIATAGVKGMQGVALRVNSARAMFYQVRIKGAQDSLLDNTGNHYFLNCHILGKVDFIFGSAKSLYENCLLQSIAENFGAIAAHHRNSPTEDTGFSFVGCSIRGSGRVYLGRAWGNYSRIIYSKCNMDDIIVPEGWSDWNHSDRKKTAVFGEYECDGKGADRSKRAAWSKSFSYHEAVPFLQKSFIHGDQWLRL >Vigun02g005600.2.v1.2 pep primary_assembly:ASM411807v1:2:2572821:2581260:1 gene:Vigun02g005600.v1.2 transcript:Vigun02g005600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGEVANGSTHKESDGGELYPVSQDPNEAATEELIENIEMQLSDFVESSEPDAGESSRLPHKRLSCPPVVQCFIDAIKKNRDLQRFLRGKLIELEAKIEENKKIRNRIKILKDFQASCNRRTGNVLSLKKDPRVQLISAKKSSASKKSKKKKKVPAMCYGPEENSHAANYRMVLERFPLSLDRKKWSKVERENLLKGIKQQFQEMVLQLSLSIDRTSSEELLREADDMDNIIASVKDLEVTPERIRKFLPKVNWDLISSMYVGGRTGTECESRWLNYEDPLINQGAWTKEEDKSLLLIVQDTGIRNWFEIAASLGTSRTPFQCLSRFQRSLNPSMLNSEWTEEEDAQLYSAVTYFGDGNWQYVASVLERRTGTQCSNRWKKSLCPEKKGSFTQEEDIRLTVAVMLFGRKWNQIAKYVPGRIQSQCRDRYLNSLDPSLKWGGWTEEEDLRLEAAIAKHGYCWSKIAEEVPPRTDSQCRKRWKVLCPEQVPLLQEARKKQRSIISCNFVDRESERPTITLDDFMPLIALAPKSDIGASKLPKKRKSSNVSKKTSSKKRAKRTRRCAKEVQDTEVYNDDEFYAESECSNLPKKNTRSKRHTKRSQNCPQELVDISCSDKVEICIEGLQMFLSRLKVKTHLMIKAACLSHVILLEL >Vigun02g005600.1.v1.2 pep primary_assembly:ASM411807v1:2:2572821:2581260:1 gene:Vigun02g005600.v1.2 transcript:Vigun02g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGEVANGSTHKESDGGELYPVSQDPNEAATEELIENIEMQLSDFVESSEPDAGESSRLPHKRLSCPPVVQCFIDAIKKNRDLQRFLRGKLIELEAKIEENKKIRNRIKILKDFQASCNRRTGNVLSLKKDPRVQLISAKKSSASKKSKKKKKVPAMCYGPEENSHAANYRMVLERFPLSLDRKKWSKVERENLLKGIKQQFQEMVLQLSLSIDRTSSEELLREADDMDNIIASVKDLEVTPERIRKFLPKVNWDLISSMYVGGRTGTECESRWLNYEDPLINQGAWTKEEDKSLLLIVQDTGIRNWFEIAASLGTSRTPFQCLSRFQRSLNPSMLNSEWTEEEDAQLYSAVTYFGDGNWQYVASVLERRTGTQCSNRWKKSLCPEKKGSFTQEEDIRLTVAVMLFGRKWNQIAKYVPGRIQSQCRDRYLNSLDPSLKWGGWTEEEDLRLEAAIAKHGYCWSKIAEEVPPRTDSQCRKRWKVLCPEQVPLLQEARKKQRSIISCNFVDRESERPTITLDDFMPLIALAPKSDIGASKLPKKRKSSNVSKKTSSKKRAKRTRRCAKEVQDTEVYNDDEFYAESECSNLPKKNTRSKRHTKRSQNCPQELVDISCSDKVEICIEGSENQSTCIANSENEDWDSITLSSFIRNKSNEILSRFTKNLSHTSFSSRITDVPEQAESQNPSDDQSGLSQSCDTVGTINLFVPPNTASDRRVRNREDTNTLAGDDDDDHMTLASFLGNKPKKECQPKGHHLSSSKLKTGSVLLNEEHCAGKPTNISDDSGSMSKHVEEMETVLHGCVDKSMDIIVEEKDDELFASPVQNRPRRERKRPSRFL >Vigun06g042600.2.v1.2 pep primary_assembly:ASM411807v1:6:16565115:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.5.v1.2 pep primary_assembly:ASM411807v1:6:16565404:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.1.v1.2 pep primary_assembly:ASM411807v1:6:16565749:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.6.v1.2 pep primary_assembly:ASM411807v1:6:16565749:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.10.v1.2 pep primary_assembly:ASM411807v1:6:16565412:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.14.v1.2 pep primary_assembly:ASM411807v1:6:16565409:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.12.v1.2 pep primary_assembly:ASM411807v1:6:16565115:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.17.v1.2 pep primary_assembly:ASM411807v1:6:16571531:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.3.v1.2 pep primary_assembly:ASM411807v1:6:16565115:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.8.v1.2 pep primary_assembly:ASM411807v1:6:16569256:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.13.v1.2 pep primary_assembly:ASM411807v1:6:16565409:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.9.v1.2 pep primary_assembly:ASM411807v1:6:16565115:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.11.v1.2 pep primary_assembly:ASM411807v1:6:16565716:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIGKGRNSSVPTEVAIAAVVAVMAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.4.v1.2 pep primary_assembly:ASM411807v1:6:16565404:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.15.v1.2 pep primary_assembly:ASM411807v1:6:16565716:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSNISKAEELKVLANEAFNARKYSQAIDLYTQAIELNSGNAVYYSNRAFAHLRLEEYGSAIQDASEAIEIDPKYSKGYYRRGAAYIGLGKFKEALKDFQQVKKMCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.7.v1.2 pep primary_assembly:ASM411807v1:6:16567542:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNDPDASKKLKECEKAVMKLKFEEAIAAPESERHSVAESIDFHTIDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun06g042600.16.v1.2 pep primary_assembly:ASM411807v1:6:16569954:16576886:1 gene:Vigun06g042600.v1.2 transcript:Vigun06g042600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMLFRTSKTTIVIAIVVGLLLLLGAYWWSGHNTDVEPQYSGARIEGDVVTLDFVKKMMEDFKNQKFLHKRYAFQIVLQTREILQSLPSLVDIRVPNGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNDTFVELFAEVFCFLPLAHVINEKVFVVHGGLFSVDGVKLSDIRSINRFCEPPEEGLMCEVLWSDPQPLPGRGPSKRGVGLSFGPDVTEAFLKENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Vigun02g161000.2.v1.2 pep primary_assembly:ASM411807v1:2:30671066:30672505:1 gene:Vigun02g161000.v1.2 transcript:Vigun02g161000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSKHQLGLFCVTLLLPALCSSQDSFTCSRATYYGSPDCYGNPRGACGFGEYGKTVNDGSVAGVSWLWKNGSGCGACYQVRCKIAQLCDENGAYVVVTDYGEGDRTGFIMSPRGYSKLGRNTYASTELFKYGVVDVEYRRVPCRYGGYNLLVKVHEHSRNPHYLAILILYLGGTYDVTAVELWQV >Vigun02g161000.1.v1.2 pep primary_assembly:ASM411807v1:2:30671066:30673094:1 gene:Vigun02g161000.v1.2 transcript:Vigun02g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSKHQLGLFCVTLLLPALCSSQDSFTCSRATYYGSPDCYGNPRGACGFGEYGKTVNDGSVAGVSWLWKNGSGCGACYQVRCKIAQLCDENGAYVVVTDYGEGDRTGFIMSPRGYSKLGRNTYASTELFKYGVVDVEYRRVPCRYGGYNLLVKVHEHSRNPHYLAILILYLGGTYDVTAVELWQEECQEWRRMRRAFGTVFDAENPPRGDIKLRFQLSGNAEQYWVQSKNVISADWEAGAVYDSQIQLG >Vigun05g008100.1.v1.2 pep primary_assembly:ASM411807v1:5:698667:699131:-1 gene:Vigun05g008100.v1.2 transcript:Vigun05g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFKFLATQCAVAGSPTRSPTTSPVIHLRRRKTLRMFLARRRFHPPPQDPPPLPEPRVRHKLKDLFVSSPPPPLNEDKTIFHQQQQHQRKEEEEKEKEEDHLRLRTGSPFRRSAAALRPVSSVFRYRLLRRAWRPVLVTIPE >Vigun01g072050.1.v1.2 pep primary_assembly:ASM411807v1:1:19876193:19877053:1 gene:Vigun01g072050.v1.2 transcript:Vigun01g072050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQCSSCNGGGNQNLSASFCGGSGSTDLGVSVMCYCGEKATLRTARTLKNKGKKFWGCPKYKSGSDQCGGCNYFKWFTDNEIEEKGWSSQKIEGMGGGKLKIEEMGCDGKLSIKNVEEMGCGGKKNAEKAAAVRSVVAEEMEKCMKSIENTAAVRSVVAEEMEKCMKSIENRLTMLTVVVGVLCVLNIIVVYVLVTKA >Vigun09g126600.4.v1.2 pep primary_assembly:ASM411807v1:9:28046233:28050642:-1 gene:Vigun09g126600.v1.2 transcript:Vigun09g126600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSILDTSGVDVWMFIDAAIAVASADCAAELKRRRDSIVESLYSATAAPPQCRNCGDGHRLRPNGHQVAKQNSPSPSPERQPQRRAAAAAANSPATPQSLENDDGGEELDPYGGLFDDEQKKILDIKEQLEEPDQSEDSLVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKLLVRKWKEIVDEWVKLNPQGGSNTLMADGDSPVQKTTQNGHHHQIPDFAYSPNPHNGSSGSDRNNSEAEHKPKVIPRSEPRRKPTPAPSVSTPASASQNRQRDSGFDAERLASARRRLQENYKEAENAKRQRTIQVMDINELPKSKPKNAFFGKNKGGGGSQGRHW >Vigun09g126600.3.v1.2 pep primary_assembly:ASM411807v1:9:28045072:28050642:-1 gene:Vigun09g126600.v1.2 transcript:Vigun09g126600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSILDTSGVDVWMFIDAAIAVASADCAAELKRRRDSIVESLYSATAAPPQCRNCGDGHRLRPNGHQVAKQNSPSPSPERQPQRRAAAAAANSPATPQSLENDDGGEELDPYGGLFDDEQKKILDIKEQLEEPDQSEDSLVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKLLVRKWKEIVDEWVKLNPQGGSNTLMADGDSPVQKTTQNGHHHQIPDFAYSPNPHNGSSGSDRNNSEAEHKPKVIPRSEPRRKPTPAPSVSTPASASQNRQRDSGFDAERLASARRRLQENYKEAENAKRQRTIQVMDINELPKSKPKNAFFGKNKGGGGSQGRHW >Vigun09g126600.2.v1.2 pep primary_assembly:ASM411807v1:9:28045072:28050642:-1 gene:Vigun09g126600.v1.2 transcript:Vigun09g126600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSILDTSGVDVWMFIDAAIAVASADCAAELKRRRDSIVESLYSATAAPPQCRNCGDGHRLRPNGHQVAKQNSPSPSPERQPQRRAAAAAANSPATPQSLENDDGGEELDPYGGLFDDEQKKILDIKEQLEEPDQSEDSLVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKLLVRKWKEIVDEWVKLNPQGGSNTLMADGDSPVQKTTQNGHHHQIPDFAYSPNPHNGSSGSDRNNSEAEHKPKVIPRSEPRRKPTPAPSVSTPASASQNRQRDSGFDAERLASARRRLQENYKEAENAKRQRTIQVMDINELPKSKPKNAFFGKNKGGGGSQGRHW >Vigun09g126600.1.v1.2 pep primary_assembly:ASM411807v1:9:28044987:28050642:-1 gene:Vigun09g126600.v1.2 transcript:Vigun09g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSILDTSGVDVWMFIDAAIAVASADCAAELKRRRDSIVESLYSATAAPPQCRNCGDGHRLRPNGHQVAKQNSPSPSPERQPQRRAAAAAANSPATPQSLENDDGGEELDPYGGLFDDEQKKILDIKEQLEEPDQSEDSLVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKLLVRKWKEIVDEWVKLNPQGGSNTLMADGDSPVQKTTQNGHHHQIPDFAYSPNPHNGSSGSDRNNSEAEHKPKVIPRSEPRRKPTPAPSVSTPASASQNRQRDSGFDAERLASARRRLQENYKEAENAKRQRTIQVMDINELPKSKPKNAFFGKNKGGGGSQGRHW >Vigun03g438000.1.v1.2 pep primary_assembly:ASM411807v1:3:64149039:64150671:-1 gene:Vigun03g438000.v1.2 transcript:Vigun03g438000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLRLLKVIVVQGKRLVIRDFKSSDPYVVVKLGNQTAKTRVIHCSLNPVWNEELSFTLTEPFGLLNLEVFDKDFLKADDKMGNSYLNLQPLMSASRLRDILKVSSASGETTLRKVTPDTENCLARESSISCVNGEVVQNVWLRLRGVESGELQLTIKLITSSN >Vigun04g009900.1.v1.2 pep primary_assembly:ASM411807v1:4:746864:751417:1 gene:Vigun04g009900.v1.2 transcript:Vigun04g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIRPSLSSFMLPSFSSCNPSQKFSLFHLGSGIRRFHNFGLKPSKFLRHDDDHCMRAKASGNTSSTAAPENALDWVKHDKRRMLHVVYRVGDLDKTIKFYTECLGMKLLRQRDMQEQRHTNAFLGYGPEDAHFAVELTYNYGVDKYDIGDGFGHFGLAVDDISRIVELVRAKGGKITREPMLIKGGNSVNAFIEDPDGYQFELLERPPSPEPLCKVMLRVGDLDRSIKFYEKAFGMELLRTQDDPESKNTIAILGYGPEEKSTVLELTYNYGVTKYAKGDAYAQIAIATDDVYQTAEAIKLAGGKITREPGPIPDIKTKITSCEDPDGWKTVFVDNIDFSRELE >Vigun09g034150.1.v1.2 pep primary_assembly:ASM411807v1:9:2996317:2997405:1 gene:Vigun09g034150.v1.2 transcript:Vigun09g034150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVKKNKALFGREERKMDVSGCESLQKVKAKEPKRIGHENGMVLVKKEEEEDDDEDDEEWVPQPFVKKILKMVEDESLDPIVSWGEGRCSFVVWDSAKFSKTILPHYFKHSNFSSFLRQLNNYGFKKVNPRRWEFAREGFEAGKRHLLKSIVRRGRKKRLQGEVEELKKEKNKLALEILKLTQRLKNSQVQLNSVEERLRYVELKQYQTLDFLTRMVQVPGFVERLVHKVQQKDGADMVKRCRFLGPQYHKGTNNSSNFGYYRQEGCEQHGILSETTNTGHGYDTVLEELLSESFDEDVNVNVNESSIYLELESLVGSTSD >Vigun02g131100.2.v1.2 pep primary_assembly:ASM411807v1:2:28213470:28216430:1 gene:Vigun02g131100.v1.2 transcript:Vigun02g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCTCGTSHTQTTSFSMPFSVTNHKQPYQDSDIYDYSFSSSSSSSSSVVDCTLSLGTPSTRFSQDQEKPTRHHQRTSVSNFCWDLLQSKHSPQSQTKSSRTPNTTSNTDPLIARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAAPPQQASGGMMESAQVYNNSWYAHPQSQKMQCFSPGMGSEFCFADDGDRDADNGIPFLSWRLNVADRTSLVHDFTR >Vigun02g131100.1.v1.2 pep primary_assembly:ASM411807v1:2:28214044:28216430:1 gene:Vigun02g131100.v1.2 transcript:Vigun02g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCTCGTSHTQTTSFSMPFSVTNHKQPYQDSDIYDYSFSSSSSSSSSVVDCTLSLGTPSTRFSQDQEKPTRHHQRTSVSNFCWDLLQSKHSPQSQTKSSRTPNTTSNTDPLIARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAAPPQQASGGMMESAQVYNNSWYAHPQSQKMQCFSPGMGSEFCFADDGDRDADNGIPFLSWRLNVADRTSLVHDFTR >Vigun09g054600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5473595:5476558:1 gene:Vigun09g054600.v1.2 transcript:Vigun09g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFARFGLWMTLILCLTFQIQPNYGFYLPGSYPHKYGVTDELWVKVNSLTSIDTEMPFSYYSLPFCKPEGGIKDSAENLGELLMGDRIENSPYRFKMHTNESEIFLCRVESLSGDQFKILKERIDQMYQVNLILDNLPAIRFTQKEGYFMRWTGYPVGVKIEDAYYVFNHLKFNVLIHKYEETNVARVMGTGEGAEMIPVGKEESSEKPGYMVVGFEVIPCSIMHNADMAKNLKMYDKYPSPIRCDPATVAMPIKEGQPLVFSYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCVMVGDGVQILGMSVVTILFAALGFMSPASRGTLITGMLFFYMILSIAAGYVSVRLWRTIAFGDQKGWVSIAWKAACFFPGISFLILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPQQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVLILLVLVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVALYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVLAIMLSTGTIGFLSSFWFVHYLFSSVKLD >Vigun10g046500.1.v1.2 pep primary_assembly:ASM411807v1:10:6867358:6869324:1 gene:Vigun10g046500.v1.2 transcript:Vigun10g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSCLLVFSLGIFIQMSYCHSSITTCLPQKNAALFILGDSLFDNGNNNYINTTTSYQANYYPYGQTFFKYPSGRFSDGRMIPDVVAELAKLPILPPYLHPGRVEHVYGVNFASGGAGALRETAQGFVIDLKTQVSYLKNLKNVFSKRLGKAIAEEIVSKSVYLISIGSNDYGSLLNPDSNPVFPPGNHQGFVDSVIGNLTDAITEIYSLGGRKFGFVNVGAIGCSPGIRVLVNNGSTCFEEVLAIARLHNTALLKRIPELEKQLKGFKYSITDFYSASLEVLNNPTKYGFKETIVACCGGGPYRGDGSCGGRKGIKEYELCNNVDEHVYFDSIHLTDRASQHFGELIWNGNHTVTSPYNLKQLFEF >Vigun03g236200.2.v1.2 pep primary_assembly:ASM411807v1:3:39285391:39288686:-1 gene:Vigun03g236200.v1.2 transcript:Vigun03g236200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQHANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKISQLAEKCVMASSGFQADVKALQKVLSARHLIYQHQHNKQMSCPAMGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAKDAVTPLSESEAVDLVKTVFASATERDIYTGDKVEIVILNASGIRREYMDLRKD >Vigun03g236200.1.v1.2 pep primary_assembly:ASM411807v1:3:39285391:39288686:-1 gene:Vigun03g236200.v1.2 transcript:Vigun03g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQHANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKISQLAEKCVMASSGFQADVKALQKVLSARHLIYQHQHNKQMSCPAMGQLLSNTLYYKRFFPYYAFNVLGGLDNEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAKDAVTPLSESEAVDLVKTVFASATERDIYTGDKVEIVILNASGIRREYMDLRKD >Vigun03g306700.1.v1.2 pep primary_assembly:ASM411807v1:3:49751637:49755680:-1 gene:Vigun03g306700.v1.2 transcript:Vigun03g306700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVGAVCGALKRFSRPHASNWVWKKKVFCCKMSTESPSLTHTITLPNKPNEPVHIVAAPGVSHSDFWNAVESSLFKQWLHNLQTENGILADGTMSLRQVLVQGVDMFGKRIGFLKFKADIFDKETGKKIPGIVFARGPAVAVLILLESESETYAVLTEQARVPLGRIILELPAGMLDDNKGDFVGTAVREVEEEIGIKLNVEDMVDLTAFLDSTTGCRFFPSGGGCDEEISIFLYRGRVDKEIITQLQGKETGLREHGELIKVRVVPYKNLWHTTADCKVLVAVALLEMAMKDGLLPTLST >Vigun04g066500.2.v1.2 pep primary_assembly:ASM411807v1:4:7411522:7437216:1 gene:Vigun04g066500.v1.2 transcript:Vigun04g066500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSAARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKSQWPPSGVEPALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMDQLYKLLKDKNHRFMALDCLHRVLRFYLSVHAANQAPNRIWDNLDSVTSQLLAVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFTMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPDIGHYIPKVKAAIESILRSCHKTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPDLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVKYLPHRRFAVMKGMANFILKLPDEFPLLIQTSLGRLLELMRFWRSCLIDDRILLDADGTSLGHETERLRKSSIQQSGEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLRIHEQSNVMLKYEAEPIFIIDVLEEHGDEIVQNCYWDSGRPFDLKREPDAIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQEAKKEVMQRLTHITPAELGGKAHQSQDIDNKLDQWLMYTMFVCSCPPVARESTKDLYYLIFPSLKSGSDAHVHAATMALGRSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKARREELRVHIANIYRTVAENIWPGMLARKPVFRLHYLKFIDETTRLISTTPESFQDMQPFRYALACVLRSLAPEFVDSRSEKFDVRTRKRLFDLLLSWSDDTCSTWSLDGVSDYRREVDRYKSSQHARSKDSVDKISFDKELNEQVEAIQWASMNAIASLLYGPCFDDNARKMSGRVISWINGLFLEPTPRAPFGFSPADPRTPSYTKFQGEGGRGAAGRDRNKGGHHRVSLAKLALKNLLLTNLDLFPSCIDQCYYSNSSVADGYFSVLAEVYMRQEIPNCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKEGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKNRNISPVLDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVFQLSQRLLEDSIEPVASKGDTSANFVLEFSQGPAVAQMTSVMDNQPHMSPLLVRGSLDGPLRNVSGSLSWRTAGMTGRSVSGPLSPMPPELNVVPVSTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLVDTPNSGEDGLHGGSAMHAVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFRQYLPLLFHVIFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLEQYEVENNDRENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGEEALRWAMECTSRHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLGNPVPQVLGFVMEILMTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYRQVLELFSHVIDRLSFRDRTTENVLLSSMPRDELDSSDLGEFQRTESKSGYEPLQEGSLPTFEGVQPLVLKGLMSSVSHSVAIDVLSRTTVHSCDSIFGDAETRLLMHIIGLLPWLCLQLSKDVVIGPVSPLQHQYQKACSVAVNIAIWCRAKSLDELATVFMIYSRGEIKSIDNFLACVSPLLCNEWFPKHSTLAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDATQSPHIYAIVSQLVESTLCWEALSVLEALLQSCSSLTSTHAYEQGSFENGFGGTEEKLLAPQTSFKARSGPLHYGLGSGFSSAFTHGQFGSTESGMTPREVALQNTRLILGRVLDRSALGKRKDQKKLVPFVPNIGNP >Vigun04g066500.1.v1.2 pep primary_assembly:ASM411807v1:4:7411524:7437216:1 gene:Vigun04g066500.v1.2 transcript:Vigun04g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSAARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKSQWPPSGVEPALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMDQLYKLLKDKNHRFMALDCLHRVLRFYLSVHAANQAPNRIWDNLDSVTSQLLAVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFTMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSKHFGLDIFKGPDIGHYIPKVKAAIESILRSCHKTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPDLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVKYLPHRRFAVMKGMANFILKLPDEFPLLIQTSLGRLLELMRFWRSCLIDDRILLDADGTSLGHETERLRKSSIQQSGEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLRIHEQSNVMLKYEAEPIFIIDVLEEHGDEIVQNCYWDSGRPFDLKREPDAIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQEAKKEVMQRLTHITPAELGGKAHQSQDIDNKLDQWLMYTMFVCSCPPVARESTKDLYYLIFPSLKSGSDAHVHAATMALGRSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKARREELRVHIANIYRTVAENIWPGMLARKPVFRLHYLKFIDETTRLISTTPESFQDMQPFRYALACVLRSLAPEFVDSRSEKFDVRTRKRLFDLLLSWSDDTCSTWSLDGVSDYRREVDRYKSSQHARSKDSVDKISFDKELNEQVEAIQWASMNAIASLLYGPCFDDNARKMSGRVISWINGLFLEPTPRAPFGFSPADPRTPSYTKFQGEGGRGAAGRDRNKGGHHRVSLAKLALKNLLLTNLDLFPSCIDQCYYSNSSVADGYFSVLAEVYMRQEIPNCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKEGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKNRNISPVLDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVFQLSQRLLEDSIEPVASKGDTSANFVLEFSQGPAVAQMTSVMDNQPHMSPLLVRGSLDGPLRNVSGSLSWRTAGMTGRSVSGPLSPMPPELNVVPVSTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLVDTPNSGEDGLHGGSAMHAVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFRQYLPLLFHVIFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLEQYEVENNDRENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGEEALRWAMECTSRHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLGNPVPQVLGFVMEILMTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYRQVLELFSHVIDRLSFRDRTTENVLLSSMPRDELDSSDLGEFQRTESKSGYEPLQEGSLPTFEGVQPLVLKGLMSSVSHSVAIDVLSRTTVHSCDSIFGDAETRLLMHIIGLLPWLCLQLSKDVVIGPVSPLQHQYQKACSVAVNIAIWCRAKSLDELATVFMIYSRGEIKSIDNFLACVSPLLCNEWFPKHSTLAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDATQSPHIYAIVSQLVESTLCWEALSVLEALLQSCSSLTSTHAYEQGSFENGFGGTEEKLLAPQTSFKARSGPLHYGLGSGFSSAFTHGQFGSTESGMTPREVALQNTRLILGRVLDRSALGKRKDQKKLVPFVPNIGNP >Vigun08g193600.1.v1.2 pep primary_assembly:ASM411807v1:8:36037515:36042197:1 gene:Vigun08g193600.v1.2 transcript:Vigun08g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVFYCAISLLVCQLLCSGSSRTVKEVPGHVNVLGHDQVKQEFTVSISTVQRDITTPIPTIPNLIPTTPTISTSPFLNPNSNPDTVSPPSTFPFTTPTTVNSPLSSGASWCIASPTASQTTLQVALDYACGYGGADCSAIQPGGSCYSPNSIRDHASYAFNKYYQKDPVPNSCNFGGTAVIISTNPSTGTCQYPFTSTSTSLLNTTNSNGANVFGSVPVPTNPSPSAASSDKLNSFADICVILWILSSLERNYL >Vigun03g337300.1.v1.2 pep primary_assembly:ASM411807v1:3:53546482:53548664:-1 gene:Vigun03g337300.v1.2 transcript:Vigun03g337300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPLSHSDLDSKCAFTFSLMRLMAFFVATFVLCSVIFSVSVVLRDPPSDAALREPSSLTPLFQITQDLDNDTSDSVQLLRDKLHGGLLVDGFDENSCLSRYQSAEYHLKGLSGNPSSYLISRLRKYEAQHKQCGPYTEFYNKTVEQLRSGKFTESSPCKYVVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGVDMADLFCEPFPDTSWFLPADFPLTAQFNSFSQKSDECHGKMLKNKLVVNSNVPSFVYLHIVHDYDDHDKLFFCDEEQHFLQEVPWLVMKTDNYFVPSLFLMPSFEQELNDLFPNKETVFHFLGRYLFHPTNSVWGLVTRYYQAYLAKADERVGIQIRVFDTEPGPFQHVLDQILACTSKHKLLPDVNNQQDATNSSGSPKSKAVLMASLSSGYFEKVRDMYWEYPTVTGEVVGVYQPSHEGYQQTQKQMHNQKAWAEMYLLSLTDVLVTSSWSTFGYVAQGLGGLKPWILYKPENRTAPDPPCRRAMSMEPCFHAPPFYDCKAKRGTDTGELVPYVRHCEDMSWGLKLVDTDTYR >Vigun09g116600.1.v1.2 pep primary_assembly:ASM411807v1:9:25552438:25555314:-1 gene:Vigun09g116600.v1.2 transcript:Vigun09g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHLMELSTSTTLLVYPASQAEDESKEENGVFGFNSNFQELKGEMPKEFFWPSGDLVKATQEELMEPLVDLGVMRNGDEKAIAIAAELVRNACLKHGFFQVINHGVNPDLIDAAYHEIDTIFKLPITKKLTAQRKPGAVSGYSGAHADRYSSKLPWKETFSFLFHHQGFSNSQIVDYFHSVLGQDLQHTGRVYQEYCEAMKELSSVIMELLGISLGVDGLHYRRFFEDGDSIMRCNYYPPCNSANLTLGTGPHTDPTSLTILHQDQVGGLEVFADNKWRAVRPRSEAFVVNIGDTFMALSNGRYKSCLHRALVNTYRERRSLVYFVCPKGDKVVRPPKFLITKNEERKYPDFTWSSLREFTQKHYRADVATLQSFIQWQSSSNSSNF >Vigun10g045801.1.v1.2 pep primary_assembly:ASM411807v1:10:6721228:6730949:1 gene:Vigun10g045801.v1.2 transcript:Vigun10g045801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNVASTRLFSINLFLSSRVFPLSIPMAIRGVDFKWYDDFLLSTFAIRKQRKLLNEGVD >Vigun04g042650.1.v1.2 pep primary_assembly:ASM411807v1:4:3694388:3700253:1 gene:Vigun04g042650.v1.2 transcript:Vigun04g042650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTYDVFLSFRGGDTRYGFAGNLYKALRDRGIHTFMDDEMILKGEVPITALLNAIEESRIAIVVLSQNYASSCFCLDELAVILNCKNKGLHVIPVFYKVDPSDVRHQKGSYGEALTKHQRRFKDMEKVQKWKMALRQVANLSGYHFEDGVGYEYKFIKRIAEDVYHKIIRDSFTHVSDYPIGLDSQVLEVRKLLDFGTEDGVHMIGIHGMGGIGKSTLARAVYNDLIGENFDGLCFLENVRENTNKHGLKHLQSILLSQILGDKDIYFTSMQQGISTIKCRLKMKKVLLILDDVDNPEQLEAVAGGCDWFGPGSRIIITSRNKQLLENHRVTMTYEVKGLNNNDALQLLKWKAFRNEKVDPEYELVLNSLLTYASGLPLALEVIGGSLVGKSIEGWKTTVKQYEMIPKMEILSILKVNFDALEEEEKSVFLDISRFLNVYAPTEVEDILNACYGRSMKHHIGMLVEKSLLKYDYFDNRLTMHDLVRDMCIRIAWEELRKEPGKNSGLWLHKDVIHVSNNTRICESEFNYLDFSKSVKKRIKGWNASSFKRMKTLQKFHKKFQFFNHFLRSSRTLIWFRFSSNCLPSALDNLLKKFENITVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLEILELSNCSSLENFQRFTTEWESALNHDSVGLESSSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIDDENLQRGEEVTPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKGSFGEALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHRNAYEYEFIGKIVEWVSKKINPGHYPVGIESKVQDVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNNDALQLLKWKAFKKHYFDPSYEDLLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKEKSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTFHDLIEDMGKDIVRQESLIEPGERSRLWLLEDVREVLENNRGTTKTEIICLDFPIFDQEEVVEWDGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELALCKLPCSSISTIELINLLKKLVNLRVLKFSNANV >Vigun06g096800.2.v1.2 pep primary_assembly:ASM411807v1:6:22810506:22815288:1 gene:Vigun06g096800.v1.2 transcript:Vigun06g096800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEPPQHQKLVAFQRWKMLETFIFTMARPSKSLRMPLMARATFFCRIIQGLLQELNIAHQELSHLSYHCQTILLILLIFQLLGLVESLKGITTDNVASPCVLKLYQGGQIEMFNNSDYQKLAEFTSYFLSDDDQQSACNFATFAPFMENTPLQRAEWIKFMGAFANVEARANQVYASVKENYLCLAKIAPTRTTFKPTVAWMKYKSGVWSFTQEKYQLKYVQDAGGEILDANKNTYNISDPDDLEEFHAILCTVEVVIDETLTSDPTNYTLSTFIQNLNVEDRSCFSFISNTSLWRYDKRVHNSVAVDWYNGAVSQPQLALADLVEVLFPTGNYTTTYFRNIAKGELPINIGPEMCDRDTSVAMDPTIVACG >Vigun06g096800.1.v1.2 pep primary_assembly:ASM411807v1:6:22810506:22815288:1 gene:Vigun06g096800.v1.2 transcript:Vigun06g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPSCSWLQAFFLALVWFFNCGYVHGATPAPKVGSFSKVEDAGNFHIYYGQTFKVIKNAADGQSYLLLQNNSRIASRTKYCTSRIKSFVVPLSNYSVDTTYLPVSFLELLGLVESLKGITTDNVASPCVLKLYQGGQIEMFNNSDYQKLAEFTSYFLSDDDQQSACNFATFAPFMENTPLQRAEWIKFMGAFANVEARANQVYASVKENYLCLAKIAPTRTTFKPTVAWMKYKSGVWSFTQEKYQLKYVQDAGGEILDANKNTYNISDPDDLEEFHAILCTVEVVIDETLTSDPTNYTLSTFIQNLNVEDRSCFSFISNTSLWRYDKRVHNSVAVDWYNGAVSQPQLALADLVEVLFPTGNYTTTYFRNIAKGELPINIGPEMCDRDTSVAMDPTIVACG >Vigun02g002600.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367088:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367019:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367088:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367078:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367109:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367019:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun02g002600.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1367109:1375765:1 gene:Vigun02g002600.v1.2 transcript:Vigun02g002600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSPENLPPPPGIPIPASIAAATATTSASPAPTLIPKLEPFDEWLNTPTSQQQQSQDPNFSNGSLDLDLNLLCDGTSEPNNNLFSEFNRISQLFRTAFSANDPQNDAVPDPITDSLQQLRNDAFSNPFAENPQHIKTEADSDPWLQQAPKGTVPDPDSQAIVPVQEEEPSSMMAATTPRRRCKELVRVADLGGPEQRHFRDVVRRTRMVYDSLRVLATVEDERRVDARRGRSDLRASAVMRSCGLWLNRDKRIVGSIPGVCVGDVFLYRMELCVVGLHGQPQAGIDYLPASMSSNGEPIATSVIVSGGYEDDVDEGDVITYSGHGGQDKNSRQVCHQKLEGGNLAMERSKHYGIEVRVIRGVRYEGAASATGKLYVYDGLYTIIDCWFDVGKSGFGVFKYKLCRIDGQAKMGSMIMKEAHMLKKDPLSFKPMCCVSLDISNRKENVGVRLFNDLDRNFDPLRYEYLVKTNFPEFVFHQSGRGTGCDCVDGCVEGCFCAMKNGGEFPYNHSGILLRGKPLVFECGPFCQCPPHCRNRVTQKGLKNRMEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLNREQAELLSMNGDSLIYPNRFTDRWSEWGDLSMIDSNYVRPSYPSLPPLDFALDVSRMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHLMLFAMENIPPMRELSLDYGVADEWTGKLSICN >Vigun05g196800.1.v1.2 pep primary_assembly:ASM411807v1:5:38040532:38041516:1 gene:Vigun05g196800.v1.2 transcript:Vigun05g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNVAMSFFNRRLLSQPKRAQEVVRRVVEPCDVFLNHRSMDTKKTVATLMYDHLKRHGFNPFLDNKTMKPGDKLFDKINRAVMECKIGVAVLSPRYTESYFCLHELALLLGCNKKVIPIFCDVKPSQLRLVNNPKWSQDELRRFRWALEEVKYTVGLTFNSSKGNLSEIVNSASDIIVGTMIELKNEERTQRKNLAIPL >Vigun08g023950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2042447:2043296:-1 gene:Vigun08g023950.v1.2 transcript:Vigun08g023950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFIPTTDPYKVLPPKIIMAENQIREILRRADRNGDGYLSKDELKKAFKEFGSKLPGWRAGRFLKKVDNGGQLNMEELDIVVDYALSRYNFTK >Vigun03g167000.2.v1.2 pep primary_assembly:ASM411807v1:3:19347503:19360103:1 gene:Vigun03g167000.v1.2 transcript:Vigun03g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIKHRKKAKSKNKGSKKEGGSSSSLAPQIPVKVWQPGVDKLEEDEELQCDPSAYNSLHAFHIGWPCLSFDILHDSLGLVRTEFPHTVYFMAGTQAEKPSWNSIGIFKVSHISGKRREPVPKVETDDSGMDGEDSDDDDDSEEEDKDVAQGPSLQLRKVGHQGCINRIRSMPQNPHICAAWADTGHVQVWDLSSHLNALAETETEGVQGVAAVFNQDPLHKFKHKDEGYAIDWSLLVPGRLVSGDCDNSIYLWEPTSAGTWNVDNAPFTGHSASVEDLQWSPTEPHVFASCSVDGNIAIWDIRLGKSPAASFKAHNADVNVISWNRKEILW >Vigun03g167000.1.v1.2 pep primary_assembly:ASM411807v1:3:19347503:19360103:1 gene:Vigun03g167000.v1.2 transcript:Vigun03g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIKHRKKAKSKNKGSKKEGGSSSSLAPQIPVKVWQPGVDKLEEDEELQCDPSAYNSLHAFHIGWPCLSFDILHDSLGLVRTEFPHTVYFMAGTQAEKPSWNSIGIFKVSHISGKRREPVPKVETDDSGMDGEDSDDDDDSEEEDKDVAQGPSLQLRKVGHQGCINRIRSMPQNPHICAAWADTGHVQVWDLSSHLNALAETETEGVQGVAAVFNQDPLHKFKHKDEGYAIDWSLLVPGRLVSGDCDNSIYLWEPTSAGTWNVDNAPFTGHSASVEDLQWSPTEPHVFASCSVDGNIAIWDIRLGKSPAASFKAHNADVNVISWNRLASCMLASGSDDGTISIRDLRLLKEGDSLVAHFEYHKHPITSIEWSPHEASSLAVSSADNQLTIWDLSLEKDEEEEAEFKAKTREQVNAPEDLPPQLLFIHQGQKDLKELHWHAQIPGMIVSTAADGFNILMPSNIQSTLPSDGAL >Vigun01g130600.1.v1.2 pep primary_assembly:ASM411807v1:1:30853935:30858137:1 gene:Vigun01g130600.v1.2 transcript:Vigun01g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLRHSSSTCRRLFPLASQIHSSVSRSPLSTPNDSTSTSSYSFNSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGRQAILKLMDAVDAYIPNPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGVIKVGEEVEVLGLMQGGPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGSVKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELISAVPLEAGQRFALREGGRTVGAGVVSKVLS >Vigun05g258800.1.v1.2 pep primary_assembly:ASM411807v1:5:45255483:45257095:-1 gene:Vigun05g258800.v1.2 transcript:Vigun05g258800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSIALCLASIMWMVEARIPGVYTGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDKQWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCMKHGGMRFTINGFRYFNLVLISNVAGAGDLVHTYIKGSRTGWMPMSRNWGQNWQSNAVLVGQALSFRVTASDRRSSTSWNIAPPHWQFGQTFTGKNFRV >Vigun05g080000.1.v1.2 pep primary_assembly:ASM411807v1:5:7532066:7538540:-1 gene:Vigun05g080000.v1.2 transcript:Vigun05g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALPIVALSLVLGAIIAFLFFNSYFRKRQSEVRSIAKSDLDPNPNPTSKPLPKKPLSKPHSSDKDQNKRHHPLDLNTLKGHGDAVTGICFSHDGRNLATACADGVLRVFKLDDASSKSFKFLRINLPAGGHPTAVAFSDDASSIVVSSYTLSGSSLYMYGEGKPVSSENKQTKLPLPEIKWEHHKVHDKKSILTMFGASATYGSGDGSTIIASCSEGTDIILWHGKTGKSVGHVDTNQLKNNMAAISPNGRFIAAAAFTADVKVWEIVYAKDGSAKEVLNVMQLKGHKSAVTWLCFTPNSEQIITASKDGTMRIWNINVRYHLDEDPKTLKVFPIPLRDSSGAALHYDRLSISPDGKILAATHGSTLQWLCVDTGKVLDTAEKAHDSDISCISWSPKAIPMGNDKVLVLATASADKKVKLWASPSIPSS >Vigun06g163900.2.v1.2 pep primary_assembly:ASM411807v1:6:28614280:28616691:-1 gene:Vigun06g163900.v1.2 transcript:Vigun06g163900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTKCSASSLTCSAFSTRKLPLARPQLLTLPTVNNVEQSISPSQLCSQKPLYLSSTENMALVKRKRVTECQAYEADRSRPIEINIELPGEDAAQRVKIGVYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLMMLISWATRVAEVPKVNFDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPMPVYLSLMPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGMSVSGMNYYACLSIMSLLILTPFAIAVEGPRVWAAGWQSAMSQIGPNFVW >Vigun06g163900.1.v1.2 pep primary_assembly:ASM411807v1:6:28614280:28616691:-1 gene:Vigun06g163900.v1.2 transcript:Vigun06g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTKCSASSLTCSAFSTRKLPLARPQLLTLPTVNNVEQSISPSQLCSQKPLYLSSTENMALVKRKRVTECQAYEADRSRPIEINIELPGEDAAQRVKIGVYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLMMLISWATRVAEVPKVNFDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPMPVYLSLMPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGMSVSGMNYYACLSIMSLLILTPFAIAVEGPRVWAAGWQSAMSQIGPNFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSILIFHTPVQPINALGAAIAILGTFLYSQAKQ >Vigun09g126900.2.v1.2 pep primary_assembly:ASM411807v1:9:28162363:28166630:-1 gene:Vigun09g126900.v1.2 transcript:Vigun09g126900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKAETPINENEIRVTTQGRMRNYITYATTLFQEKESNEIVLKAMGRAINKTVMIAELIKRRIIGLHQNTVIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPVDQVKPLNEYEEEGASPRMRGRGRGRGRGRGRGIYNGGMEYGDGWDGGRGYGGGYGGRGRGRARGRAFRGRGRGYGAQPVGYYDYGEYDAPPAPRGRGRGRGRGRGRGRDRGVAA >Vigun09g126900.3.v1.2 pep primary_assembly:ASM411807v1:9:28162363:28166630:-1 gene:Vigun09g126900.v1.2 transcript:Vigun09g126900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKAETPINENEIRVTTQGRMRNYITYATTLFQEKESNEIVLKAMGRAINKTVMIAELIKRRIIGLHQNTVIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPVDQVKPLNEYEEEGASPRMRGRGRGRGRGRGRGIYNGGMEYGDGWDGGRGYGGGYGGRGRGRARGRAFRGRGRGYGAQPVGYYDYGEYDAPPAPRGRGRGRGRGRGRGRDRGVAA >Vigun09g126900.1.v1.2 pep primary_assembly:ASM411807v1:9:28162363:28166630:-1 gene:Vigun09g126900.v1.2 transcript:Vigun09g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKAETPINENEIRVTTQGRMRNYITYATTLFQEKESNEIVLKAMGRAINKTVMIAELIKRRIIGLHQNTVIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPVDQVKPLNEYEEEGEASPRMRGRGRGRGRGRGRGIYNGGMEYGDGWDGGRGYGGGYGGRGRGRARGRAFRGRGRGYGAQPVGYYDYGEYDAPPAPRGRGRGRGRGRGRGRDRGVAA >Vigun09g126900.4.v1.2 pep primary_assembly:ASM411807v1:9:28162363:28166630:-1 gene:Vigun09g126900.v1.2 transcript:Vigun09g126900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKAETPINENEIRVTTQGRMRNYITYATTLFQEKESNEIVLKAMGRAINKTVMIAELIKRRIIGLHQNTVIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPVDQVKPLNEYEEEGEASPRMRGRGRGRGRGRGRGIYNGGMEYGDGWDGGRGYGGGYGGRGRGRARGRAFRGRGRGYGAQPVGYYDYGEYDAPPAPRGRGRGRGRGRGRGRDRGVAA >Vigun10g168900.1.v1.2 pep primary_assembly:ASM411807v1:10:38787577:38793610:1 gene:Vigun10g168900.v1.2 transcript:Vigun10g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGILGGKGQKIKGTVVLMSKNVLDFNEIVSTANGGVVGVAGGIFGTANKVVGGIVDGATAIFSRNIAIQLISATKTDGLGNGKVGKQTFLEKHLPSLPTLGDRQDAFSVYFEWDESFGIPGAFYIKNFMQSEFFLVSLTLEDIPNHGTIHFVCNSWVYNAKDYKKDRIFFANKNYVPSETPTPLVKYRKEELENLRGDGTGQRKESDRIYDYDVYNDLGNPDKSADLARPVLGGSSAYPYPRRGRTGRKATKTDPKSETPTSDTYIPRDENFGHLKSSDFLTYGIKSLSQNVLPQFQSAFGLNAEFDKFDDVRGLFEGGLYLPTDVISKISPIPVIKEIFRTDGEQVLKFPPPHVIKVSKSAWMTDEEFGREMLAGVNPCLIQRLQEFPPKSKLDASVYGDQTSTITKEHLEINLSGLTVEEALNGNRLFILDHHDAFLPYLRKINDLPTAKSYATRTILFLKDDGTLKPLAIELSLPHPRGDEFGAVSRVILPADQGAESTIWLLAKAYVVVNDSCYHQLMSHWLNTHATIEPFVIATNRHLSVLHPIYKLLLPHYRDTMNINGLARQSLINAGGIIEQSFLPGPFSVEMSSAVYKSWVFTDQALPADLLKRGMAVEDPSSPYGLRLVIDDYPYAVDGLEIWSAIQSWVKDYVSLYYATDDAIKKDTELQTWWKEAVEKGHGDLKDKPWWPKLNTLQDLIHICSIIIWIASALHAAVNFGQYPYGGYILNRPTLTRRLLPEPGTKEYDELSSNHQKAYLRTITGKYEALVDLSVIEILSRHASDEVYLGQRDNPNWTDDTKALQAFQKFGNKLKEIENKISGRNTNSSLRNRVGPVQVPYTVLLPTSEEGLTFRGIPNSISI >Vigun03g411100.1.v1.2 pep primary_assembly:ASM411807v1:3:61878882:61881064:-1 gene:Vigun03g411100.v1.2 transcript:Vigun03g411100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKEELSEQVEENEITLLSEDDEEYNSEDEVDDAEDDEDDDEDDDDDDDEDGNDDDEDDDEDDAPDAGNDDEDDEEEEEGDVQHGADPGDGDDDDDDDEDDDDDDDDEEEEEDHGEEEDLGTDYLIRPLGTAEEEEASSDFEPEENGEEEDEDVDDENGEKAQIPPKRKRSGKDGSDDDDGGEDDDDDERPSKR >Vigun09g140200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30216380:30217012:-1 gene:Vigun09g140200.v1.2 transcript:Vigun09g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKITITSPKHCGAKEGLKIKSNYKKLYFTLSALFTSILLLILLIWLILHPAKPQFSLKEVDIYQLSLLGPNLNSSIQLTLFSKNPNQKVAIYYDEIQVYATYKGQQITGDTPVPPFYQGQEESNLITASLVGNILPVAPSLGFELGRDQTVGRLVLNLKANGKLRWKVGTWVSGRYRFNVNCVAINAFGPSVPSAPLISNQGTQCSTTL >Vigun08g105700.1.v1.2 pep primary_assembly:ASM411807v1:8:26256768:26265062:-1 gene:Vigun08g105700.v1.2 transcript:Vigun08g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pur7 MGDFTVALNPPKTFGAEILSPINRTSAAARGRVTKIAFKPHGFRAIRASVMPREGQQQPSLGDSLVNSPHRNDVVDVIRRSGLSNCLSETNLHNTVPGLVSKTRGKVRDIYDAGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFERTKQIVPNAVVSAPDKNVTIAKKCSVFPVEFVARGFVTGSTDTSLWTVYNKGSRNYCGNVLPDGMVKNQKLSENILTPTTKAADHDVPVTPDEIIERGLMTRSDYEAVSEKALSLFEYGQQVASEHGLILVDTKYEFGKANDGSIMLIDEVHTPDSSRYWIANSYLERFQNGLEPENIDKEFLRLWFKSHCNPYEDEVLPDAPEDLVCELSWRYIFLYETITNSKFEMPLTEEPIHDRISRNVASALSSLK >Vigun09g233300.2.v1.2 pep primary_assembly:ASM411807v1:9:40433058:40434268:1 gene:Vigun09g233300.v1.2 transcript:Vigun09g233300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVYASVLLVFLVLSSSFMEISMAGSEFCDSKCEKRCAKAGVKKSCLKFCGICCKKCNCVPSGTYGNKDECPCYRDMKNSKGKSKCP >Vigun09g233300.1.v1.2 pep primary_assembly:ASM411807v1:9:40433058:40434268:1 gene:Vigun09g233300.v1.2 transcript:Vigun09g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVYASVLLVFLVLSSSFMEISMAGSEFCDSKCEKRCAKAGVKKSCLKFCGICCKKCNCVPSGTYGNKDECPCYRDMKNSKGKSKCP >Vigun09g071800.5.v1.2 pep primary_assembly:ASM411807v1:9:7894483:7902289:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSRSNMSKGREIVNKREAVVTSNVLENGLALDPNHIEIAANEEVLELENEESEVILPENQERDSIGPVDPDAPPDPEKIRQEVAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKFQALARGLKIRKSNVGFEIHQKRSLFKPLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun09g071800.6.v1.2 pep primary_assembly:ASM411807v1:9:7894483:7902289:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSRSNMSKGREIVNKREAVVTSNVLENGLALDPNHIEIAANEEVLELENEESEVILPENQERDSIGPVDPDAPPDPEKIRQEVAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKFQALARGLKIRKSNVGFEIHQKRSLFKPLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun09g071800.4.v1.2 pep primary_assembly:ASM411807v1:9:7895453:7902313:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSRSNMSKGREIVNKREAVVTSNVLENGLALDPNHIEIAANEEVLELENEESEVILPENQERDSIGPVDPDAPPDPEKIRQEVAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKFQALARGLKIRKSNVGFEIHQKRSLFKPLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun09g071800.2.v1.2 pep primary_assembly:ASM411807v1:9:7894483:7902328:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSRSNMSKGREIVNKREAVVTSNVLENGLALDPNHIEIAANEEVLELENEESEVILPENQERDSIGPVDPDAPPDPEKIRQEVAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKFQALARGLKIRKSNVGFEIHQKRSLFKPLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun09g071800.3.v1.2 pep primary_assembly:ASM411807v1:9:7895452:7902314:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSRSNMSKGREIVNKREAVVTSNVLENGLALDPNHIEIAANEEVLELENEESEVILPENQERDSIGPVDPDAPPDPEKIRQEVAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCCMYGIVKFQALARGLKIRKSNVGFEIHQKRSLFKPLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun09g071800.7.v1.2 pep primary_assembly:ASM411807v1:9:7899045:7902314:1 gene:Vigun09g071800.v1.2 transcript:Vigun09g071800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESCRDTEGRIILCNKSSGKITKGEFLEGKLGEPVGISTKISKLSANTFIRKLLASSITIMALRLQYVSGDPNSVLSWLERWSLSYFWKPVSQPKKIRDSKSQRKQGNISNGEVQISKSRRTNRKLPVASFEPVPVQTNPEIEKPKRNFRKTPYQVSDPEQDNPQSELEKVKRNLRKIHNPVVENAVQPEVESETLKQHLEITTVIPGNVGSEQVIITSEEKIKKEEILTISDVPDIEVTPRPLVNKEVSEIPSNYQMSVESEPLTETTTKDRNTSRDEVQNGLGDLPEAIFKEDNSLLTNGDLSHKDLTGNENQKPTRKASNLTKQEDGEDGLKNSPKVPSYMAATESAKAKLRALGSPRTGEDVIEKNNNTAGSGRHSLPSSTGNKISSQSPRTQRSVPAGGKGTKKSDRSMASSKGGNGKVIQAEWRR >Vigun10g099800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29197389:29197997:-1 gene:Vigun10g099800.v1.2 transcript:Vigun10g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFFVDTVGSRKLKRKIENLSSTDDDVDSEFSLSLSLGNKRMVGESSSDFLCKSSTNPKITSNVPKPLEDSNHQVIVSKQYPFSCMFCNKKFSSPQALGGQQNAHKPQRVLLRMNKDMRTFGYGTHMFPHSSIPHYPFHGSIPLYYRHNMHPMTHLSTMPWPHFLPTFGNQEFHESCIRRQQFGMTNPWGIVSETPKKII >Vigun03g344400.1.v1.2 pep primary_assembly:ASM411807v1:3:54360828:54367119:-1 gene:Vigun03g344400.v1.2 transcript:Vigun03g344400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASLSSPKKRAKSQVEEDEDDEEGVCCGICYAERGVSIAGEIDSCSHYFCFVCIMEWAKHESRCPICRQRFSNVRRLPMHGVFSSSRDVKVPHRDQAYHPHGNVSIGPADSYAETKCGVCRAGTDEHLLLLCDLCDTASHTYCVGLGYTVPEGDWFCHDCAISRETNANEDPDQQRVVPEAEPREVVDIFDIVRETGSRLVKRPRTSPLQQNLSSPSAIPLSDRLSRFKGKSPAKGVNSMQRNIQAFRENWNALRSGSLRFHCDADQPSSSVSQKQDSRSLSHGKLYDSHSGASTSVQQSTVQGGPSSKTLNERVIKDDVDKAWKMVDRAKRVQLTHQRISSNRQGVDRPSCSRGARKTSVEHSNFPELKDQHSKELDLRNTKKEKQCDHSRLFQNIKNCSTLKLEDKKKSRVRCEEMIHRVRDHTTHSEGYCESPLSRKVPTNIQGGTPCREEKRNACQVTSADLASSRGKFVSDLSSCKDLDLANEEKRLASSREDGSTGKTSDAKTEIQSLVKLNLKLLTRDKKLGFDTFKVVARQATHTILAACSSEQQKCSTSSSCSVCCHSDNTPQFQKSTLMPNCCRQCFYAFVNNVVSSTIMEKVGCA >Vigun06g236800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34197574:34200843:-1 gene:Vigun06g236800.v1.2 transcript:Vigun06g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRLLLRRLSSIAASRPIFSLIQTRSYAFSSAEEAAAERRRRKRRLRIEPPLNAIRPPPQQGPPRDPNAPRLPDSTSALVGPRLSLHNRVQSLIRAGDLEAASAIARHAVFSVTRPTVFTCNAIIAAMYRSKRYEEAIALFHFFFNQFNIVPNIVSYNNVINTHCDEGRVDVALEVYRHVLANAPFSPSPVTYRHLTKGLIQAGRISEAVDLLREMLTKGHGADSLVYNNLISGFLELENLEKANELFDELKERCLVYDGVVNSTFMEWFFKKGRDKEAMESYRSLLERQFRMTPATCNVLLECLLKHARKTEAWALFDHMLDNHTPPNFQAVNSDTFNIMVNECFKLENFEEALATFKKVGTKPNSKPFAMDVAGYNNIIARFCENGMLSQAETLFEELCSKSLSPDVPTHRTLIEAYLRMERIDDALRVFNRMADAGLRVVAGFGNTVFDKLIKNGKASECAQILSKLGEKDPKPDPTCYEVVIKGLCAHGLLDKSVELLDEVMRYGVGVTSALRESVTEVFKEAGRGDEIERLLDINRFAYTPRPSPPRPAYRGPPVRSPSEMAGAPHNPHSGPPTTQMAAQLYPPPPFQMSGATLKPSGFPTQRAPQSPTPPSPQMTGAHYPPSGFQLPRSQMAGAPHNSPYVAPHQVSGHERPPMHQPSWRFSPPMTGPHTTAPGPSPHMPGQPHHPTTSELPSQTNRAAPQMTAQHYTPPQMAGYHPYGTRHGIQQMSEPRYPSSGVSPPMVGQHHPPAGPAPPVSGSYIPSYGASPKMSPPYRTAPGPSSHVTGPYHPSSGVGSQFEESHQQQSEVPEQVAV >Vigun11g035100.1.v1.2 pep primary_assembly:ASM411807v1:11:4728965:4739353:1 gene:Vigun11g035100.v1.2 transcript:Vigun11g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQAKKRHIPSPTVTRNLSPTPRPSSSPESSRRPPREPPPAQHRGSGKLSAKEKYALIPDNFTTLEQVTTALRNEGLESSNLVLGIDFTKSNEWTGSISFNNKSLHAIGNTPNPYEKAITIIGKTLAPFDDDNLIPCFGFGDATTHDQEVFSFHTDHSSCHGFEEVLACYQKVVPNLRLSGPTSYAPVIEAAIDIVEQSHGQFHVLVIVADGQVTTSGKDGELSPQEARTIKAIADASSYPLSIVLVGVGDGPWDDMKKFDDKIPVRDFDNFQFVNFTDIMSKKSSSSEKEAAFALAALMEIPFQYKAAIELGLLGRVTGRSNKIVPRPPPAPYSRLVPPARVLSNMPTTFMDDERNQMACAICLTNRKDLAFGCGHMTCRDCGSRLTNCPICRQRITNRLRVFSG >Vigun11g035100.2.v1.2 pep primary_assembly:ASM411807v1:11:4728965:4739353:1 gene:Vigun11g035100.v1.2 transcript:Vigun11g035100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQCIHVVIEGSISFNNKSLHAIGNTPNPYEKAITIIGKTLAPFDDDNLIPCFGFGDATTHDQEVFSFHTDHSSCHGFEEVLACYQKVVPNLRLSGPTSYAPVIEAAIDIVEQSHGQFHVLVIVADGQVTTSGKDGELSPQEARTIKAIADASSYPLSIVLVGVGDGPWDDMKKFDDKIPVRDFDNFQFVNFTDIMSKKSSSSEKEAAFALAALMEIPFQYKAAIELGLLGRVTGRSNKIVPRPPPAPYSRLVPPARVLSNMPTTFMDDERNQMACAICLTNRKDLAFGCGHMTCRDCGSRLTNCPICRQRITNRLRVFSG >Vigun02g168700.1.v1.2 pep primary_assembly:ASM411807v1:2:31212660:31216469:1 gene:Vigun02g168700.v1.2 transcript:Vigun02g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSSGDYATAIRHFSDAIALAPDNHVLYSNRSAAYASLKNYSDALIDAKKTVELKPDWSKGYSRLGAAHLGLSQYEDALSAYKKGLEFDPNNEPLRSGLADAQKALAEASRPRSSAANPFGDAFSGPEMWTRLTADPTTRAYLQQPDFVKMMQDIQRDPNKLNLYLKDQRVMQAIGVLLNVKIQTSPSADVDIPDSPPPSTSERKRAAEAEPAKQPEPEPEPEPMEVSEEDKSAKQKKAEALKEKEAGNAAYKKKDFDTAIQHYTKALELDDEDISYLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKCSKDYDPAIETFQKALTEHRNPDTLKKLNEAEKAKKDLEQQEYFDPKLADEEREKGNEFFKQQKYPEAVKHYTESIRRNPKDARAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFVKGYTRKGAVQYFMKEYDKALETYREGLKYDANNQELLEGIRTCIQQINKASRGDLSPEELKERQAKAMQDPEIQSILQDPVMRQVLVDFQENPKAAQEHTKNPMVMNKIQKLVSAGIVQMR >Vigun03g082000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6826610:6827818:-1 gene:Vigun03g082000.v1.2 transcript:Vigun03g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISAMAMINAKCLNPTSPKFPTKLTPSKPSLLSLPKGLIAASPEPTNLSLPTAVAGAIFTALGTCDAAFAAQQIADIAEGDNRGLALLLPIIPAIGWVLFNIFQPALNQINRMRSTKGLIIGLGLGGLAGLMAAAPPHAAAAEVAAIAEAASDNRGQLLLFVVAPAIAWVLFNILQPALNQINRMRSQ >Vigun03g212400.1.v1.2 pep primary_assembly:ASM411807v1:3:35214994:35216836:-1 gene:Vigun03g212400.v1.2 transcript:Vigun03g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKKLFVILFSLAVLIPTLNANSLEKDGYWKSHSNEFDTYWQTRAEEAAKDNKAAYFKDPYSVSGNFTSSVSELIIGKDKRRNLKGKKNHDGPCMATNPIDRCWRCDPNWADNRMKLADCVQGFGRNTVGGKGGKYYVVTDSSDENMVDLKPGTLRHAVTRDGPLWIIFERSMVITLKQELIMTSNKTIDGRGVDVYISGGAGFTLQSINNVIIHGIKVYDISAHGGGMIRDSETHYGFRTQSDGDGISIFGSSNIWVDHVSMRNCYDGLIDVIMGSTAITISNSHFTDHNEAMLFGASDGYDLDKIMQVTLAFNHFGKRLIQRMPRCRHGFFHVVNNDYTHWEMYAIGGSKNPTIISEGNRFIGPDDINAKQITKREYSPESVWSAWQWRSINDEYMNGAYFVQSGPELVNRPFSRFDMIKAKPGTYVARLTRYAGSLKCREGKPC >Vigun05g069250.1.v1.2 pep primary_assembly:ASM411807v1:5:5975351:5977873:1 gene:Vigun05g069250.v1.2 transcript:Vigun05g069250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTTGGSSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPGTRQILMFSATFPVTVRDFKDRYLRKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >Vigun03g329900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52698368:52700886:-1 gene:Vigun03g329900.v1.2 transcript:Vigun03g329900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKSKNEKSLCEKSMKVVVNIIKLSSFSIAQKSLGGTSKKSGPESDMDSDEEAPVPDQFPTTRRSQQPQSRANPTYVIKSCGSNGSTEHLIYQERVPTDVNPNKEQCVDGLASDYISKIRNKLGRGV >Vigun05g153000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24249127:24251590:-1 gene:Vigun05g153000.v1.2 transcript:Vigun05g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKWSFDHTHLKMARIQHQIQQKDGYLSYPPPVLPPPSPPIWGAFHKESMSSSSSSSSTPSPPSPSSSGARISPAVLFIIVILAVLFFISGLLHLLVRFLMKNSSSSASSQSNRNHENATSDALQRQLQQLFHLHDSGLDQAFIDGLPVFQYKEIKGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSSCPLCRGTLLTPGFSLENPVFDFDDLRDDNGEHEFTTRQKTVEIEETVVEKGVFPVRLGKFRRLDVEAEVAAGGETSSNNLDARRCYSMGSYQYVLGKSDLRITLSHDREGRRDAQLLKGTENLERLSVEGDIEAKKITSVCKGESFSVSKIWQWSQKGKFSVSSDTQMNMPSSLITDLPWMRETRRT >Vigun05g153000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24249127:24251590:-1 gene:Vigun05g153000.v1.2 transcript:Vigun05g153000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKWSFDHTHLKMARIQHQIQQKDGYLSYPPPVLPPPSPPIWGAFHKESMSSSSSSSSTPSPPSPSSSGARISPAVLFIIVILAVLFFISGLLHLLVRFLMKNSSSSASSQSNRNHENATSDALQRQLQQLFHLHDSGLDQAFIDGLPVFQYKEIKGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSSCPLCRGTLLTPGFSLENPVFDFDDLRDDNGEHEFTTRQKTVEIEETVVEKGVFPVRLGKFRRLDVEAEVAAGGETSSNNLDARRCYSMGSYQYVLGKSDLRITLSHDREGRRDAQLLKGTENLERLSVEGDIEAKKITSVCKGESFSVSKIWQWSQKGKFSVSSDTQMNMPSSLITDLPWMRETRRT >Vigun03g390350.1.v1.2 pep primary_assembly:ASM411807v1:3:59666374:59667267:1 gene:Vigun03g390350.v1.2 transcript:Vigun03g390350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYPFSISCRFCRHPKALVGCSNLVKAYPINSQSPIISSPSCCTLDQPLCCNMTVFRVYWTISTNLHFSLPLSRMPLATNSCISIPRGM >Vigun03g151000.1.v1.2 pep primary_assembly:ASM411807v1:3:15890406:15893454:-1 gene:Vigun03g151000.v1.2 transcript:Vigun03g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTKEEVGWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun01g254600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41968484:41971111:-1 gene:Vigun01g254600.v1.2 transcript:Vigun01g254600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSGSIFLLLCCPSSSSSSISLVCCYSNTIAKRRVGNGISHLSAATATCAMAKDVWSRTCGSSSSSPIPRESGSRRQQQGTCLDRSVDMEELLAAIGETQNEDELYAVMSPYSGRQLSMRFMVSLLSREPDWQRTLALLDWINEKALYSPSLFAYNVVLRNVLRAKQWHLAHGLFDEMRHKGLSPDRYTYSTLITSFAKHGLFDSSLFWLQQMEQDNVSGDLVLYSNLIDLARKLCDYSKAISIFTRLKASTITPDLIAYNSMINVFGKAKLFREARLLLQEMADNGVQPDTISYSTLLAIYVDNQKFVEALSLFSQMNESKCPLDLTTCNIMIDVYGQLHMPKEADRLFWSMRKMGIQPNVVSYNTLLRVYGEAELFGEAIHLFRLMQSKDVPQNVVTYNTMIHIYGKTLEHEKATNLVQEMKKRGIEPNAITYSTIISIWEKAGKLDRAAILFQKLRSSGVRIDEVLYQTMIVAYERAGLVAHAKRLLHELKRPDNIPRETAIAILARAGRIEEATWVFRQAFDTGEVKDISVFGCMINLFSKNKKYSNVVEVFEKMRQVGYFPDSDVIALVLNAFGKLREFDKADALYRQMHQEGCVFPDEVHFQMLSLYGARKDFMMVESLFEKLDSNPNVNKKELHLVVASIFERADRLNDASRVMNRMNQRAIRSHDHT >Vigun07g101300.2.v1.2 pep primary_assembly:ASM411807v1:7:17927238:17933901:1 gene:Vigun07g101300.v1.2 transcript:Vigun07g101300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATEKHVRYILSVEKRKDNFESVVMEHLRMSGAYWGLTALDLLGKLDSVDVDEVTSWLLSCQHESGGFGGNVGHDPHILYTLSAVQVLALFDKMDVIDVDKVTNYIVSLQNEDGSFSGDMWGEVDTRFSYIAICCLSILHRLDKINVEKAVKYIISCKNMDGGFGCTPGGESHAGQIFCCVGALAITGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLPDIISGLLLVVGSF >Vigun07g101300.1.v1.2 pep primary_assembly:ASM411807v1:7:17927238:17933901:1 gene:Vigun07g101300.v1.2 transcript:Vigun07g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATEKHVRYILSVEKRKDNFESVVMEHLRMSGAYWGLTALDLLGKLDSVDVDEVTSWLLSCQHESGGFGGNVGHDPHILYTLSAVQVLALFDKMDVIDVDKVTNYIVSLQNEDGSFSGDMWGEVDTRFSYIAICCLSILHRLDKINVEKAVKYIISCKNMDGGFGCTPGGESHAGQIFCCVGALAITGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLTMIDRVHWISKEKLIRFIIDCQDTENGGISDRPDDAVDVFHTFFGVAGLSLLEYPGVKPIDPAYALPVDVVNRIFFRK >Vigun09g060800.3.v1.2 pep primary_assembly:ASM411807v1:9:6284274:6286952:1 gene:Vigun09g060800.v1.2 transcript:Vigun09g060800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNKENVDQDERCYNEKSYTSKKIDLTLKLSPCGENAEERRLARSSSVEGEASGVQWGPYLGRSCSLPEEKQRSVTLLSPQVFALVAASAVNANSPSSLPQLKPLPIPSQPSQLHGLRHSDKGVALKIQTSTVKDKNSMVSKKTMTKKISARHGETKVENEAKRHKVGNYCSLKGDVMEILRQMPSVSATGDGPDGKRIEGFLYKYRTGQVCIVCVCHGSFLTPAEFVKHAGGKEVANPMKHITVFSNSF >Vigun09g060800.1.v1.2 pep primary_assembly:ASM411807v1:9:6284076:6287136:1 gene:Vigun09g060800.v1.2 transcript:Vigun09g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCYNEKSYTSKKIDLTLKLSPCGENAEERRLARSSSVEGEASGVQWGPYLGRSCSLPEEKQRSVTLLSPQVFALVAASAVNANSPSSLPQLKPLPIPSQPSQLHGLRHSDKGVALKIQTSTVKDKNSMVSKKTMTKKISARHGETKVENEAKRHKVGNYCSLKGDVMEILRQMPSVSATGDGPDGKRIEGFLYKYRTGQVCIVCVCHGSFLTPAEFVKHAGGKEVANPMKHITVFSNSF >Vigun09g060800.4.v1.2 pep primary_assembly:ASM411807v1:9:6284183:6287089:1 gene:Vigun09g060800.v1.2 transcript:Vigun09g060800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCYNEKSYTSKKIDLTLKLSPCGENAEERRLARSSSVEGEASGVQWGPYLGRSCSLPEEKQRSVTLLSPQVFALVAASAVNANSPSSLPQLKPLPIPSQPSQLHGLRHSDKGVALKIQTSTVKDKNSMVSKKTMTKKISARHGETKVENEAKRHKVGNYCSLKGDVMEILRQMPSVSATGDGPDGKRIEGFLYKYRTGQVCIVCVCHGSFLTPAEFVKHAGGKEVANPMKHITVFSNSF >Vigun09g060800.2.v1.2 pep primary_assembly:ASM411807v1:9:6284019:6287136:1 gene:Vigun09g060800.v1.2 transcript:Vigun09g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCYNEKSYTSKKIDLTLKLSPCGENAEERRLARSSSVEGEASGVQWGPYLGRSCSLPEEKQRSVTLLSPQVFALVAASAVNANSPSSLPQLKPLPIPSQPSQLHGLRHSDKGVALKIQTSTVKDKNSMVSKKTMTKKISARHGETKVENEAKRHKVGNYCSLKGDVMEILRQMPSVSATGDGPDGKRIEGFLYKYRTGQVCIVCVCHGSFLTPAEFVKHAGGKEVANPMKHITVFSNSF >Vigun01g029600.1.v1.2 pep primary_assembly:ASM411807v1:1:3664418:3668818:1 gene:Vigun01g029600.v1.2 transcript:Vigun01g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKVLKSNTETLPRPKRRRQRPKSWPITFSPFARSLVLARMHSLHAPKRRSQNPNPTLPAKEPQPAQSSHHHLNGVVREDFSKPEHSESSDEDSDGVVQADFSFFDPKPDDFHGVKTLLQNYLDNEEWDLSAFVELILEQSTVGTVVKIEDDEDEGIFALVTALNLYRYREHRCMVTLKDFLLHKAHQEKDVADKLRLLLVEQERDVAILVSQRMVNLPPQLLPPLYDALFDEVLWATEDEPTEELRNSFKFKHYIILSKVYVLKNAEQKTKRDNDNDNEEGIIYLKLEDEIFHKLSSWSFYFPWQSQQPAPHELKNYKSTGLIMAVEADKIPKFRQELAAVINET >Vigun09g200000.1.v1.2 pep primary_assembly:ASM411807v1:9:37435344:37439841:-1 gene:Vigun09g200000.v1.2 transcript:Vigun09g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRYEKLVRFSSDDGKQSLELPWEKLDSHKHHEKAFTVYPECKMSIGDKNRMSIKIPSLAKFKVFPENHEPWKKKILDPGSDVILEWNRAFLFSCILALFVDPLFFYLPSVANDGKSSCMATDLNIGIVVTCFRTFADVFYLLNMAIKFRTAYVSPSSRVFGRGELVMDPRLIARRYLRSEFFLDLVATLPLPQIVIWFIMPAIRSSHADHTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNESLPVKCALKYLDCSTLNQDDRMKWVNSTSVFGNCNPENTTNFNYGIFASAVENNVISSVFIEKYLYCLWWGLQNLSSYGQGLTTSTFIGETAFAILMAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMSHRQLPQNLRERVRRFVQYKWLATRGVDEETILRGLPIDLRRDIQRHLCLDLVRRVPFFSQMDAQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVKALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWATCFIQAAWRRFKKRMFAKSLSLRECESFNHDQHNEEEHSAVTSNTAQVKQNLGVTILASRFAANTRRGVQKMKDVELPKLQKPEEPDFSVEPDDD >Vigun09g200000.2.v1.2 pep primary_assembly:ASM411807v1:9:37435344:37439841:-1 gene:Vigun09g200000.v1.2 transcript:Vigun09g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGDKNRMSIKIPSLAKFKVFPENHEPWKKKILDPGSDVILEWNRAFLFSCILALFVDPLFFYLPSVANDGKSSCMATDLNIGIVVTCFRTFADVFYLLNMAIKFRTAYVSPSSRVFGRGELVMDPRLIARRYLRSEFFLDLVATLPLPQIVIWFIMPAIRSSHADHTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNESLPVKCALKYLDCSTLNQDDRMKWVNSTSVFGNCNPENTTNFNYGIFASAVENNVISSVFIEKYLYCLWWGLQNLSSYGQGLTTSTFIGETAFAILMAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMSHRQLPQNLRERVRRFVQYKWLATRGVDEETILRGLPIDLRRDIQRHLCLDLVRRVPFFSQMDAQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVKALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWATCFIQAAWRRFKKRMFAKSLSLRECESFNHDQHNEEEHSAVTSNTAQVKQNLGVTILASRFAANTRRGVQKMKDVELPKLQKPEEPDFSVEPDDD >Vigun11g091700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27278777:27279292:-1 gene:Vigun11g091700.v1.2 transcript:Vigun11g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISYLNKPSFQDLLSKVEEEFGYDHPMDGLTIPCSEEIFHRITSCLNGQ >Vigun11g199700.1.v1.2 pep primary_assembly:ASM411807v1:11:39791207:39791928:1 gene:Vigun11g199700.v1.2 transcript:Vigun11g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQIALPIVGVVAAAAVTFYAVSFAELREKSFRDLEESEYEDGVYRPSPSSRERRARRQANKNNKK >Vigun11g180300.1.v1.2 pep primary_assembly:ASM411807v1:11:38417735:38425490:-1 gene:Vigun11g180300.v1.2 transcript:Vigun11g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLKSLPLSYSLHSSPRQNPNFTLLNHRLPRRFSAPSLPLSLSLSTTASASTFHRTAFVTARAVRSDEIVDEGEEDKDEELSRQGEKKELVNQGIWSQMKDIVMFTGPATGLWICAPLMSLIDTAVIGQRSSVELAALGPGTVVCDYMSYVFMFLSIATSNMVATALAKQDKEEVQHYISILLFVGLSCGIAMLLFTRLFGAAIITAFIGPKNAHVVPAASNYVKIRGLASPALLVGWVAQSASLGMKDSWGPLKALAAASFINISGCIILCICLGYGIVGAAWATMVSQVVAACMMIHTLNNKGYNALAFSIPSGKELLTIFGLAAPVFMTMMSKVAFYSLLIYFATSMGTHTMAAHQVMVQTYSMCTVWGEPLSQTAQSFMPELIYGANRSLSKARLLLRSLVIIGVILGLLLGIVGTSVPWLFPFIFTPDRMVTQEMHKVLIPYFIALAVTPPTISLEGTLLAGRDLRFISLSMAGCFCVGSLVLWALSSRFGLLGCWFSLAIFQWARFSMALQRLLSPKGILYSEDTDRYELLKLRTA >Vigun05g034300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2783585:2785892:1 gene:Vigun05g034300.v1.2 transcript:Vigun05g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSFLFPQTQSTVLPDPSTYFSSNLLSSPLPTNSFFQNYVIPNGSQPEYIHPYLITTSNSSLSASYPFLLFTTALLYQAFVPDLTISSTQTQSHDQRNRVISSFSDLGITLDIPSSNLSFFLSRGSPFITASVTSSTSLSITTLHTILSLSPSNDNNTKYTLKLNNTQTWLIYASDPIYLNRDGASEVTSKPFSGIIRVAVLPDPNYATTLDKFSSCYPLSGDATLKESFRLVYQWEKEGSGDLLMLAHPLHVKLLSNKSNGQVTVLSDFKYRSIDGDLVGVVGDSWVLETDRIPVTWYSSKGVEKDSYDEIVSALVKDVEKLNSSAIGTSSSYFYGKRVGRAARLALIAEEVSFSKVVPTIMDFLKEAIEPWLDGTFVGNGFLYENKWSGLVTKLGSTDSTADFGFGVYNDHHYHLGYFLYGIAVLAKIDPEWGQKYKPQVYSLVTDFMNLGQRYSRIYPRLRCFDLYMLHSWAAGVTEFEDGRNQESTSEAVNAYYSAALVGLAYGDSNLVETGSTLVALEILAAQTWWHVKVEDNLYNEEFAKDNRIVGILWANKRDSKLWWASAECRECRLGIQVLPLLPITETLFSDADYVKELVEWTLPSLSSEGWKGMTYALQGIYDKETALQNIRTLTGFDDGNSYSNLLWWIHSR >Vigun07g106800.4.v1.2 pep primary_assembly:ASM411807v1:7:19627926:19644734:1 gene:Vigun07g106800.v1.2 transcript:Vigun07g106800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIPSEYLTLALGFLFFVTLPFVHAESSTCLTVYKNGGAPAVFQSPKCPLWKLSDYDSIPKSTARCQIAMLQGRRESQEDRALCVLDVRIPFPGVNGIKEVAVDIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLLDSAFSLLSKTSTEPSLRDNANLLHRWEEIPGSKWHGLHFERFQNTFTSNFDDSVHLEILKEGLLRAVHDIDAKFSEEASKNNFHSGSTATIALVADDKILVANIGDSKAILCSKNFQSPKEAKVKELTSDHHPDRDDERNRVETAGGQIQNWSGVPRVNGELAITRSIGDLSFKRYGVISAPEVTDWQPLTANDSYLVVASDGVFEKTSLQDVCDLLWEVHSYSNMRSECAHSSYSLADLIVNNALKKGSMDNVAAIVIPLESVNSSANSLRGSYIGLQESSFKSSVNGISSDLMHLEHPHLVDTKFKRILVEVKDGDFGCFYLSENLDEPEDSKQIAKKTDWDDYLYELPPPPPDSLSHHAPSGGLVDLYNNQNFCFDYGPTVNETEGRCINPEGFASFIGLLESIPLNDTDASNGSSDYSMPDLRYVLKKSFGRGSFGEVWLAFHWNCNQDSNAAKGSRDDTNTNNRTTASDCQNGPSNYTLYILKRIMDAFWLESWRGS >Vigun07g106800.2.v1.2 pep primary_assembly:ASM411807v1:7:19627925:19651851:1 gene:Vigun07g106800.v1.2 transcript:Vigun07g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIPSEYLTLALGFLFFVTLPFVHAESSTCLTVYKNGGAPAVFQSPKCPLWKLSDYDSIPKSTARCQIAMLQGRRESQEDRALCVLDVRIPFPGVNGIKEVAVDIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLLDSAFSLLSKTSTEPSLRDNANLLHRWEEIPGSKWHGLHFERFQNTFTSNFDDSVHLEILKEGLLRAVHDIDAKFSEEASKNNFHSGSTATIALVADDKILVANIGDSKAILCSKNFQSPKEAKVKELTSDHHPDRDDERNRVETAGGQIQNWSGVPRVNGELAITRSIGDLSFKRYGVISAPEVTDWQPLTANDSYLVVASDGVFEKTSLQDVCDLLWEVHSYSNMRSECAHSSYSLADLIVNNALKKGSMDNVAAIVIPLESVNSSANSLRGSYIGLQESSFKSSVNGISSDLMHLEHPHLVDTKFKRILVEVKDGDFGCFYLSENLDEPEDSKQIAKKTDWDDYLYELPPPPPDSLSHHAPSGGLVDLYNNQNFCFDYGPTVNETEGRCINPEGFASFIGLLESIPLNDTDASNGSSDYSMPDLRYVLKKSFGRGSFGEVWLAFHWNCNQDSNAAKGSRDDTNTNNRTTASDCQNGPSNYTLYILKRIMVEKGSSVYLSGLREKYFGEVFLNASTCFEDPLSAGKSTCVLESSSQFGPENSFPNKFRVQRTLYEEGLNHIARYVESFESQANEIWLVFSFEGVSLSKLLYSVEDAYGSDEQERLEQAKQVQILRPSKWWHWLKTTEEGQEEMRNLIRQLLLALKSCHDRNITHRDIKPENMVICFEDQETGRCLKEIPTKVNNSSTKMRIIDFGSGIDEYTLNNLYGSTGPSRAEQTYEYTPPEALLNATWYQGPTSSTLKYDMWSVGVVMLELVLGTPDVFQINALTRTLLDQHLKGWNEGVKELAYKLRSFMELCILIPGVSGSSSFSKKYHTVNKVGVSLASWKCSEEFITRQIKNRDPLKIGFSDIWAFRLVRRLLHWDPEDRPSIDEALQHPYFQHPRRE >Vigun07g106800.1.v1.2 pep primary_assembly:ASM411807v1:7:19627925:19651851:1 gene:Vigun07g106800.v1.2 transcript:Vigun07g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIPSEYLTLALGFLFFVTLPFVHAESSTCLTVYKNGGAPAVFQSPKCPLWKLSDYDSIPKSTARCQIAMLQGRRESQEDRALCVLDVRIPFPGVNGIKEVAVDIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLLDSAFSLLSKTSTEPSLRDNANLLHRWEEIPGSKWHGLHFERFQNTFTSNFDDSVHLEILKEGLLRAVHDIDAKFSEEASKNNFHSGSTATIALVADDKILVANIGDSKAILCSKNFQSPKEAKDFLLKLYRQKEHGGSVSVWDREKYKLASSHGLTHFAVKELTSDHHPDRDDERNRVETAGGQIQNWSGVPRVNGELAITRSIGDLSFKRYGVISAPEVTDWQPLTANDSYLVVASDGVFEKTSLQDVCDLLWEVHSYSNMRSECAHSSYSLADLIVNNALKKGSMDNVAAIVIPLESVNSSANSLRGSYIGLQESSFKSSVNGISSDLMHLEHPHLVDTKFKRILVEVKDGDFGCFYLSENLDEPEDSKQIAKKTDWDDYLYELPPPPPDSLSHHAPSGGLVDLYNNQNFCFDYGPTVNETEGRCINPEGFASFIGLLESIPLNDTDASNGSSDYSMPDLRYVLKKSFGRGSFGEVWLAFHWNCNQDSNAAKGSRDDTNTNNRTTASDCQNGPSNYTLYILKRIMVEKGSSVYLSGLREKYFGEVFLNASTCFEDPLSAGKSTCVLESSSQFGPENSFPNKFRVQRTLYEEGLNHIARYVESFESQANEIWLVFSFEGVSLSKLLYSVEDAYGSDEQERLEQAKQVQILRPSKWWHWLKTTEEGQEEMRNLIRQLLLALKSCHDRNITHRDIKPENMVICFEDQETGRCLKEIPTKVNNSSTKMRIIDFGSGIDEYTLNNLYGSTGPSRAEQTYEYTPPEALLNATWYQGPTSSTLKYDMWSVGVVMLELVLGTPDVFQINALTRTLLDQHLKGWNEGVKELAYKLRSFMELCILIPGVSGSSSFSKKYHTVNKVGVSLASWKCSEEFITRQIKNRDPLKIGFSDIWAFRLVRRLLHWDPEDRPSIDEALQHPYFQHPRRE >Vigun07g106800.5.v1.2 pep primary_assembly:ASM411807v1:7:19627966:19651850:1 gene:Vigun07g106800.v1.2 transcript:Vigun07g106800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIPSEYLTLALGFLFFVTLPFVHAESSTCLTVYKNGGAPAVFQSPKCPLWKLSDYDSIPKSTARCQIAMLQGRRESQEDRALCVLDVRIPFPGVNGIKEVAVDIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLLDSAFSLLSKTSTEPSLRDNANLLHRWEEIPGSKWHGLHFERFQNTFTSNFDDSVHLEILKEGLLRAVHDIDAKFSEEASKNNFHSGSTATIALVADDKILVANIGDSKAILCSKNFQSPKEAKDFLLKLYRQKEHGGSVSVWDREKYKLASSHGLTHFAVKELTSDHHPDRDDERNRVETAGGQIQNWSGVPRVNGELAITRSIGDLSFKRYGVISAPEVTDWQPLTANDSYLVVASDGVFEKTSLQDVCDLLWEVHSYSNMRSECAHSSYSLADLIVNNALKKGSMDNVAAIVIPLESVNSSANSLRGSYIGLQESSFKSSVNGISSDLMHLEHPHLVDTKFKRILVEVKDGDFGCFYLSENLDEPEDSKQIAKKTDWDDYLYELPPPPPDSLSHHARKQDVYYLITQSW >Vigun07g106800.3.v1.2 pep primary_assembly:ASM411807v1:7:19627926:19644734:1 gene:Vigun07g106800.v1.2 transcript:Vigun07g106800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIPSEYLTLALGFLFFVTLPFVHAESSTCLTVYKNGGAPAVFQSPKCPLWKLSDYDSIPKSTARCQIAMLQGRRESQEDRALCVLDVRIPFPGVNGIKEVAVDIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLLDSAFSLLSKTSTEPSLRDNANLLHRWEEIPGSKWHGLHFERFQNTFTSNFDDSVHLEILKEGLLRAVHDIDAKFSEEASKNNFHSGSTATIALVADDKILVANIGDSKAILCSKNFQSPKEAKDFLLKLYRQKEHGGSVSVWDREKYKLASSHGLTHFAVKELTSDHHPDRDDERNRVETAGGQIQNWSGVPRVNGELAITRSIGDLSFKRYGVISAPEVTDWQPLTANDSYLVVASDGVFEKTSLQDVCDLLWEVHSYSNMRSECAHSSYSLADLIVNNALKKGSMDNVAAIVIPLESVNSSANSLRGSYIGLQESSFKSSVNGISSDLMHLEHPHLVDTKFKRILVEVKDGDFGCFYLSENLDEPEDSKQIAKKTDWDDYLYELPPPPPDSLSHHAPSGGLVDLYNNQNFCFDYGPTVNETEGRCINPEGFASFIGLLESIPLNDTDASNGSSDYSMPDLRYVLKKSFGRGSFGEVWLAFHWNCNQDSNAAKGSRDDTNTNNRTTASDCQNGPSNYTLYILKRIMDAFWLESWRGS >Vigun08g011250.1.v1.2 pep primary_assembly:ASM411807v1:8:991244:993203:-1 gene:Vigun08g011250.v1.2 transcript:Vigun08g011250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPKLYEDIALGENVVNDLILSYLIHNGYRESSESFIACTEMNRPAEDLEKMEKRISIVRLIREGDSRTAFEIMDEMSLRVLDNIKLLFDVICLHFVGLVLNKKWVEAVLFAQKYIAHFGNHPVYGQKVKDFMSLLAYKDPLESPMSGLVGEDFRQQVLESLNLAILAHLNLPQLSAMEVLIRQTTVVRDILMQLEWSPPFSLSNFLSDRFTIY >Vigun05g144800.1.v1.2 pep primary_assembly:ASM411807v1:5:19607495:19616852:-1 gene:Vigun05g144800.v1.2 transcript:Vigun05g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSEKSDAVYRKSGRSASFNQQRGSSGGAYGRGGGGGGGAGPSPSLSSNRSFNKKSNNNAQGGQSRVNPALVNSAESNSSYAARTVPNGSHVQPQIHGVSDAPVTNATAKPFESSAVQRSTRAVPKAPTSQPPSMSSDPAAPTTPAKADASKAFPFQFGSISPGFMNGMAIPARTSSAPPNIDEQKRDQARHDSFRPAPSVPTPPVPKQQAAKKDTGVTDQSNTGETHTGTRAKKDTQVSQLPPASQMQKPSVIPLTGISMPMPYHQSQASVHFGGPNPQIQSQGMSSAPLQMPLPMPLPIGSATQVQQPVFVPNLQPHPIHPQGIMHQGQNMGFTPQIGPQLSHQLGNMGIGIGPQYPPQQGGKFAGPRKTTPVKITHPETHEELRLDKRADAYSDGGSSGVRPHSGMASQSQPAQQFAASHPISYYPSSSYGTNSLFYPTASSLPLTSSQITPNSQPSRFNYAVSHGPQNVSFVNSSSHSSLPVNKAGTPIAGNAEPPNPEFSRDVHSAILSAPSGVTSVSIKPSGGSGVTDSFANSSTQKSVAPSSSLTPGDAFSSAQLKGSEIAEVSSQQSKLSTDSPVLSSFPNLSSAKPASASLLLSTSGVSEDSVSVIPNNEGRKKESVSRSNSLKDNQKMIQKKGQSQHQVPVQSVPMVNVPSQAVTGGIPDEVSETVGTGTNDSAVITSENLSTAASDLLSATSGSMPYAVEMKTNDSTQVSTHASAEGSSMVVDDFNNLKSAELDELSQQDKVLQSNIMEVVAKTENLSLEGCKQDVSVGGTELKQTKQGDVKLNTEAVALRSAHPGQDGSTSCSAGYDGTADNTALDRKDVSLIRNDSVISNDAVSTNSSISDQQSADNIETSSKHLKDGSDSTGSGAVSLPASVIKDKLVSEPSKVKPTSKGKKKRKEILLKADAAGSTSDLYNAYKGPEEKKESMISAEKTESDSASGNLEQLPTEVAQSDDVANKQSKQSKAELDDWEDAADMSTPKLEVLDETEHVSEGTAVTGKKYSRDFLLKFSEQCMDLPEGFEITADIAEVLMNPNFSSHVTERDSPSTGRIIDRSGSMSRRGSGIIEEDKWSKGSNAYHSGMRLDGVGGNAGFRTGQGGNFGVLRNPRTQTPVQYAGGILSGPMQSMVNQGGMQRNSPDGERWQRATNFQQRGLIPSPQTPLQMMHKAEKKYEVGKVTDEEEAKQRQLKGILNKLTPQNFEKLFDQVRAVNIDNVVTLNGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANKADEGEVKLSNEEREEKRTKARRRMLGNIRLIGELYKKKMLTERIMHSCIKKLLGQYQDPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFDMMRSLSNNMNLSSRVRFMLKDVIDLRKNKWQQRRKVEGPKKIEEVHRDASQERLAQAGRLGRGPGNNPPRRMPMDFGPRGSSMLSPNAQMGGLRGLPSPARGYGSQDARIDERQTYEARTLSVPLPQRPLGDESITLGPMGGLARGMSIRGPPAVSSSTGLNGYNNLSERTSYSSRDDPASRYTPDRFSGSTYDQPSAQDHNVNYANRDMRNANRIPEKPVVTSPPARTQGTAVSQNISPDRLQDMSMLAIREYYSARDVNEVVLCIKDLNSPSFHASMVSLWVTDSFERKDTERDLLAQLLVKLVKSQDGPLGQAQLIKGFESVLSTLEDAVNDAPKAPEFLGRVFAKAITEHVVSLNEIGQLIHEGGEEPGSLLEAGLAADVLGSTLEVIKMEKGDAVLSDICASSNLRLETFRPPEPLTSRKLEKFI >Vigun05g144800.2.v1.2 pep primary_assembly:ASM411807v1:5:19607495:19616852:-1 gene:Vigun05g144800.v1.2 transcript:Vigun05g144800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSKSEKSDAVYRKSGRSASFNQQRGSSGGAYGRGGGGGGGAGPSPSLSSNRSFNKKSNNNAQGGQSRVNPALVNSAESNSSYAARTVPNGSHVQPQIHGVSDAPVTNATAKPFESSAVQRSTRAVPKAPTSQPPSMSSDPAAPTTPAKDASKAFPFQFGSISPGFMNGMAIPARTSSAPPNIDEQKRDQARHDSFRPAPSVPTPPVPKQQAAKKDTGVTDQSNTGETHTGTRAKKDTQVSQLPPASQMQKPSVIPLTGISMPMPYHQSQASVHFGGPNPQIQSQGMSSAPLQMPLPMPLPIGSATQVQQPVFVPNLQPHPIHPQGIMHQGQNMGFTPQIGPQLSHQLGNMGIGIGPQYPPQQGGKFAGPRKTTPVKITHPETHEELRLDKRADAYSDGGSSGVRPHSGMASQSQPAQQFAASHPISYYPSSSYGTNSLFYPTASSLPLTSSQITPNSQPSRFNYAVSHGPQNVSFVNSSSHSSLPVNKAGTPIAGNAEPPNPEFSRDVHSAILSAPSGVTSVSIKPSGGSGVTDSFANSSTQKSVAPSSSLTPGDAFSSAQLKGSEIAEVSSQQSKLSTDSPVLSSFPNLSSAKPASASLLLSTSGVSEDSVSVIPNNEGRKKESVSRSNSLKDNQKMIQKKGQSQHQVPVQSVPMVNVPSQAVTGGIPDEVSETVGTGTNDSAVITSENLSTAASDLLSATSGSMPYAVEMKTNDSTQVSTHASAEGSSMVVDDFNNLKSAELDELSQQDKVLQSNIMEVVAKTENLSLEGCKQDVSVGGTELKQTKQGDVKLNTEAVALRSAHPGQDGSTSCSAGYDGTADNTALDRKDVSLIRNDSVISNDAVSTNSSISDQQSADNIETSSKHLKDGSDSTGSGAVSLPASVIKDKLVSEPSKVKPTSKGKKKRKEILLKADAAGSTSDLYNAYKGPEEKKESMISAEKTESDSASGNLEQLPTEVAQSDDVANKQSKQSKAELDDWEDAADMSTPKLEVLDETEHVSEGTAVTGKKYSRDFLLKFSEQCMDLPEGFEITADIAEVLMNPNFSSHVTERDSPSTGRIIDRSGSMSRRGSGIIEEDKWSKGSNAYHSGMRLDGVGGNAGFRTGQGGNFGVLRNPRTQTPVQYAGGILSGPMQSMVNQGGMQRNSPDGERWQRATNFQQRGLIPSPQTPLQMMHKAEKKYEVGKVTDEEEAKQRQLKGILNKLTPQNFEKLFDQVRAVNIDNVVTLNGVISQIFEKALMEPTFCEMYANFCFHLAAALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANKADEGEVKLSNEEREEKRTKARRRMLGNIRLIGELYKKKMLTERIMHSCIKKLLGQYQDPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFDMMRSLSNNMNLSSRVRFMLKDVIDLRKNKWQQRRKVEGPKKIEEVHRDASQERLAQAGRLGRGPGNNPPRRMPMDFGPRGSSMLSPNAQMGGLRGLPSPARGYGSQDARIDERQTYEARTLSVPLPQRPLGDESITLGPMGGLARGMSIRGPPAVSSSTGLNGYNNLSERTSYSSRDDPASRYTPDRFSGSTYDQPSAQDHNVNYANRDMRNANRIPEKPVVTSPPARTQGTAVSQNISPDRLQDMSMLAIREYYSARDVNEVVLCIKDLNSPSFHASMVSLWVTDSFERKDTERDLLAQLLVKLVKSQDGPLGQAQLIKGFESVLSTLEDAVNDAPKAPEFLGRVFAKAITEHVVSLNEIGQLIHEGGEEPGSLLEAGLAADVLGSTLEVIKMEKGDAVLSDICASSNLRLETFRPPEPLTSRKLEKFI >Vigun06g107000.1.v1.2 pep primary_assembly:ASM411807v1:6:23641061:23647251:-1 gene:Vigun06g107000.v1.2 transcript:Vigun06g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANQVLNICAPITNQQESVSVEQIVNNMKLAKEEGADTVEVRLDCITNFYPLLHLKIILQNKPLPVLIANRKKWDGSLSKGDESMRLEALQLAVELGADFIEVELKEASCIPALVEHKRNHNSHGKIIVSCYVDGITPPQEELLQLVARMQATGSDIIKLVTHAADITEVTRIFSLFPHCQNLQVPLIAYSYGERGLISHLLSPKFGGFFVYGSLAGNPIPGMPSLDSLKEAYKLEGVNEDTKVFGLISKPVSHSKGPILHNPSFRHVNYNGIYVPMFVDDLKEFFSTYSSPDFSGFSVGIPYKEEVLRFCDEVHPLAQSIGAVNTIIRRAGDGKLVGYNTDCEAAITAIEDALVERGCNDGGASLGSPLAGRLFVLVGAGGAGKALAFGAKSRGARLVIFDIDFDRAKSLACAVSGEAQPYKELVNFQPEKGAILANATPIGMHPNTDRIPVAEATLEHYWLVFDAVYTPRRTRLLKEADAAGATTVGGVEMFLRQAIGQCNLFTGLEAPKELMREIVLSKF >Vigun09g253200.5.v1.2 pep primary_assembly:ASM411807v1:9:42013571:42018110:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSGGDFVSVEIEITAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun09g253200.2.v1.2 pep primary_assembly:ASM411807v1:9:42013439:42018374:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSGGDFVSVEIEITAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun09g253200.7.v1.2 pep primary_assembly:ASM411807v1:9:42013439:42018224:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun09g253200.10.v1.2 pep primary_assembly:ASM411807v1:9:42013571:42018110:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESPVAAAAASLLWYPITWSSL >Vigun09g253200.6.v1.2 pep primary_assembly:ASM411807v1:9:42013439:42018374:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun09g253200.9.v1.2 pep primary_assembly:ASM411807v1:9:42014469:42018110:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVFTF >Vigun09g253200.8.v1.2 pep primary_assembly:ASM411807v1:9:42013571:42018110:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun09g253200.3.v1.2 pep primary_assembly:ASM411807v1:9:42013439:42018224:-1 gene:Vigun09g253200.v1.2 transcript:Vigun09g253200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGDATPPMSGFSNAKGHINKQQSQSQSASSWRRNLTPLSTGFNGSSEYSEKSKKEKVRSLSAVAKALSMGCRVSHSPKPTKKFKFPRKFTKVGNGVDHASVPRKIRSGGDFVSVEIEITAVKKRGRESISGDSEKVNHKMDGMKSPQKDGIKKSKKQRSPCWSTRQVLPGPITKDEEEVAETLYALAGMLPDNDSNTKSEPDSESLPENSRVFQDQEESQSANVTVEASGATTDDGKRSPKGCKKLGSLSETIGHEQTDFTDFPDSANFLVAATQSTVPKVNLQAVSMVKSENGGRVALHDSELSLDMGLNVPIQPQISHIGRKSNVEYQTVGSIDRKQEQHMVKYQKETESPTWWPSLSPTASPGIVASHLPSSAAKTLDWLNTAIGTSKQDLMETCSSGEKISEIVIQEKKSRKRCASHVHISHIIRSLEVSRRLAGKGHELYESHQARLPEGSNCGVIMEAHNLNWTKSGNSNAAGTVHSAAISNSRETKNGILHHGLYHDISRAPSTSGLHGPKKQGFNFLSLSTGGSELKVNESFNKGESKLEPYSKSQVPYFQSLQQQHGLMPFQSPYASNFLDHLPVVGPQVRLQQQQPHYYGTPLRGAHYSSTLSYKQQHQSFWAVQLASQGGSSVNCSIVRAQYPNWQSGGHDSSVASPCLPHSTASFEALGSKISSISDQQHLFTLASSISRANGQDIHLASSVCEESKGRLITQQ >Vigun03g171300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20622957:20623538:-1 gene:Vigun03g171300.v1.2 transcript:Vigun03g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRITRFFMEVAPPQYVTVMRHRTSKMLDTITEDEREISTNYSVISPPKTSAASSVTNAKTMYFLKEVHRSLSILNQ >Vigun09g199800.2.v1.2 pep primary_assembly:ASM411807v1:9:37419227:37422600:1 gene:Vigun09g199800.v1.2 transcript:Vigun09g199800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKARGLEMATAKRLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGYFSGKGRPTYQVALSVILIAAGVLIAALGDFSFDLFGYSMAFVSVFFQTMYLVLVERSGAEDGLSSLEIMFYNSFLSLPFLMFLIIATGEFPKSLSLLFAQSYSFSFLMILILSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVVSTTLGFFLLGGVQVHALNVSGLVINTAGGVWYSFAKYQQKKSKSTKLVPDEEAHRK >Vigun09g199800.1.v1.2 pep primary_assembly:ASM411807v1:9:37419227:37422600:1 gene:Vigun09g199800.v1.2 transcript:Vigun09g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRADAETSSFTSLFAAVAYGFASMAMVFINKAVLMQYAYSMTLLTLQQLATSLLIHAGRRMGFTKARGLEMATAKRLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGYFSGKGRPTYQVALSVILIAAGVLIAALGDFSFDLFGYSMAFVSVFFQTMYLVLVERSGAEDGLSSLEIMFYNSFLSLPFLMFLIIATGEFPKSLSLLFAQSYSFSFLMILILSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVVSTTLGFFLLGGVQVHALNVSGLVINTAGGVWYSFAKYQQKKSKSTKLVPDEEAHRK >Vigun02g001000.1.v1.2 pep primary_assembly:ASM411807v1:2:715916:717982:1 gene:Vigun02g001000.v1.2 transcript:Vigun02g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTSQQPKSLVSFIVLDPYREKKMPVFGYFIMTFQVPDILTLFAFSVLMIVLLKIVLNHEKASSIPNMPPGPWKLPIIGNIHQLLTSAPHQKLRDLAKIHGPLTRLQLGEVTVIIVSSPKYAKEIMKTHDAIFASRPHSVTSDILSYQSTGIASAPYGNYWNVVRKMCTVELLGKNRVTSFQSLRKEELTNLIKMIDSKKESPVNLTETVLSSIYSIVSRAAFGNKCKDQQEFISLVKEGLAVLGDLFPSGRWLQLVTGFRPKLERLHEKIDRILENIIVEHKETKSDGKEGESAESEDLVDILLKLQDGNDRNQNICLTDNNIKATIQEIFSAGGEPSAITIDWAMAAMVKDPRVMKKAQAEVRKVMKMKGRVDESCMNELKYLKVVVKETLRLHPPGPLLLPRECGETCEIDGYHIPAKSMVIVNAWAIGRDPNYWSDPERFYPERFIDSSIDYKGCNFEYIPFGGGRRICPGITFGLANVEMALALLLYHFDWKLPNGMRCEELDNTEEFRVTIRRKDDLFLIPLSPPTLVSQI >Vigun01g144300.1.v1.2 pep primary_assembly:ASM411807v1:1:32570986:32574147:-1 gene:Vigun01g144300.v1.2 transcript:Vigun01g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNKVKGLLKGLRFISQMFDEKDADIQIGHPTDVKHLAHIGWDGQGPSDNNPSWMNEFKSVPGNSSHSSKGEMHSKESNSSIQRSSEDSMERSSRSVKGRCDERQSLESGKCKHSNSTVGNVRESHAKEKFDRPRRTKKTSKPSQQPNDSCQASKDTEHEMQTGDNSHQQEDTDLAPKKSRPRMTKDGSTVGGASAKARSRSEGHGRRTSKLASKHECLEEDGHEVETKSKEDTTKSILTEQ >Vigun08g082600.1.v1.2 pep primary_assembly:ASM411807v1:8:17388470:17394187:-1 gene:Vigun08g082600.v1.2 transcript:Vigun08g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFDNDVIDASGSAGAFRCTRSQAAPDWSVIESLILVNEVAAVEADCSVALSSYQQWNIIAQNCASLDVQRNLAQCRRKWHALLSDYDRFKGTAAAGGKLPPNFDYELFEAVQRVVRAREERGMADPESDTEAGNDARDATVEIGSKRKGQRSKFRHRVQKPKLEQRHEDSHEEEHEDDYSEDEYLKDFLESRPKLKCTREKPPKHLAISPKSLPKVELHENHSESPKPISVEKIAVSREENQETLTLKLQDLAVEIEAIATKSATDYKGGDSKNVEDSCTDFTRGQGDKLITSLGDFSNTLKQLCDILQECK >Vigun07g260700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37710316:37711423:1 gene:Vigun07g260700.v1.2 transcript:Vigun07g260700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPINITPFLFHSHHQIHSTQRSFSHTEKSMQSTSLLNSSRILFHPFSSSKPCCCSGGRKSCCLLSPIKCSMRGSADQNFSGRLVDEGMITLRKRIHEMNMIERNYEPPSDWMDWEKRYYTKYDSIICDALGVLQTQLMNTRPSFALGAMALVAISVPTSSALVFFHLVQLAKTVLAGVPPS >Vigun03g161100.2.v1.2 pep primary_assembly:ASM411807v1:3:17866647:17868970:-1 gene:Vigun03g161100.v1.2 transcript:Vigun03g161100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIATLATSHTCIIPKPSNLKVPIRCSATASSPSSISTRSKASDFDLRTYWASLMVQINQKLDEAIPVQFPSQIYEAMRYSVLAKGVKRAPPVMCISACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDSPSRRGQPSNHTIYGVDMAILAGDALFPLGFRHIVSQTPSDLVPEPNLLRVIAEMARSVGSTGMAAGQFLDLEGGSNAVEFIQEKKFGEMGECSAVCGGLLAGAEDHEIERLRRYGRAVGLLYAVVDDILEDRLKSEGGVDRKNKGKSYAEVNGVEKAIEKAEELRETAKEELDGFEKYGERVFPLYSFVDYAFDRSFSVLDDASG >Vigun03g161100.1.v1.2 pep primary_assembly:ASM411807v1:3:17866613:17869095:-1 gene:Vigun03g161100.v1.2 transcript:Vigun03g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIATLATSHTCIIPKPSNLKVPIRCSATASSPSSISTRSKASDFDLRTYWASLMVQINQKLDEAIPVQFPSQIYEAMRYSVLAKGVKRAPPVMCISACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDSPSRRGQPSNHTIYGVDMAILAGDALFPLGFRHIVSQTPSDLVPEPNLLRVIAEMARSVGSTGMAAGQFLDLEGGSNAVEFIQEKKFGEMGECSAVCGGLLAGAEDHEIERLRRYGRAVGLLYAVVDDILEDRLKSEGGVDRKNKGKSYAEVNGVEKAIEKAEELRETAKEELDGFEKYGERVFPLYSFVDYAFDRSFSVLDDASG >Vigun09g186500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35967138:35968274:1 gene:Vigun09g186500.v1.2 transcript:Vigun09g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTSEKMKTDSPPFVHEDLIIEILLKLPTKSVLRLKYLSKSWFSVISDPQFARRHFDAAVAPTYKLLNLVNGSVEAYCVDIESVFCEDSWHAVSVSGFNIPSSLCVVGSCRGFLLLEWECLLLTCLRYYYDFDFVLWNPSTGMHKEIHRGACPTHDVSGMGYDPVDDDIVLVTVTLRKNNNGMIRYFCLRTNCWTCVECALPYSTCKLKTKHGQFWNGAIYWILKCADGLRHVVIAFDVREKRLSEIPLPEYLAILPVGSDVYFLKVVEHFPLCLVVHKHASPFEIWSMKNYKEETVWTKTFVFLSDFTFFLNRIFPVCFTEKEEILAFFHKNKLVKINKKGEITFGKQHSIHFYLLHCGIYRESFIPIPGGECED >Vigun08g166400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33825301:33828391:-1 gene:Vigun08g166400.v1.2 transcript:Vigun08g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDNGKDEIEESNGNKVNDVASIDISTSGRTLVGDENSQRKFQSTLSFLPNRINLLKFGSASAKFKRLATEKDQISQGVPSPSSLRLRERFSGMFAKKLDWGSLKKMSVEWIRDPMNMALFVWILCVAVSGAILFLVMTGMLNSALPSKSQRNTWFEVNNQILNALFTLLCLYQHPKRCYHLVLLCRWNPQDIPKLRKVYCKNGTYKPHEWAHMMVVIILLNLNCFAQYALCGLNWGYNRSDRPAIGVGICISFAIGAPAVAGLYSILSPLGKDYNSEMDEEAQVEDSVAQRQDQLRVKSFQKKYSFASRQQQRVIESRPQWSGGILDIWDDISQAYLSLFCTFCVFGWNMERLGFGNMYVHIATFMLFCMAPFWIFTLAAVNIENDTVRQALVATGIILCFFGALYGGFWRIQMRKRFNLPSYNFCFGKPSASDCTLWLCCCWCSLAQEVRTANSYDIVEDKLCRKEGSYSDQQPMSPLPREDVASIKSGTSSPLGNNSSPSMIKPSSPLSSSSFLKEYRSPERPLSTVKEELSERGKDDTMNPPIPPLMQRESLTP >Vigun03g260700.1.v1.2 pep primary_assembly:ASM411807v1:3:42746786:42753413:-1 gene:Vigun03g260700.v1.2 transcript:Vigun03g260700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEEAMQGSAGSEERILVSVRVRPLNEKEFLRNDLSEWECINHTTIMYRSNLSATERSLYPTAYTFDRVFSIDSDTRQVYEEAAKEVALSVLSGINSSIFAYGQTSSGKTYTMSGITEYAVADIFNYIEQHMERDFVLKFSALEIYNESVRDLLSVDSTPLRLLDDPEKGTVVERLTEETVRNWNHFQELIFFCEAQRQIGETALNEVSSRSHQILRLTVESSASEFMGNTNSFAASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLAGNAKTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNARVNVVMSDKLLVKQLQKELARLESELKILRPTKPDSSALLKEKDLLIEMLKREVMDLRMQRDLANSQIKDMLQVVGDDTSSSELDSLGHQYPKLRVRSSFDFENRTTEPPNSLSFDCIESIRSFDASQYSDGHSNSSDENYFQLPDLEKSLPVRISSPVLSVESLDDAENDLDQKSVEEQHQDNLEEGCREVTCIGSEDTITNTRKHSNSADRSKILYTESVASSPTVSGLTEVGNRDKGNPDMWSAGLKDIITNTHKHSNSADISKILCRESVVSSPTVSGLTEVDNRDKEKPDLWSAGLKDNKEINSLEERFVVPSSEKISRSLTQSGASSSKTVKLTRSRSCKETLMRDTSSDWFDQEEMIQNTTPIGTEKDFTRAPEDPQKKTSTPNYNANSERLSWDDHENSQESTADIQNTKTYIDNESCDDNSLPPGKKEKDDLESSNLQANPEVPANELQSDNTPKKFKDVGSNPLQSEEEKQVEWSSEFKRLQKQIIGLWDACHVSLVHRTYFFLLFKGDPSDSIYMEVELRRLFYLKQTFAKGNQTVEDGYILNADTSHRYLRAERQMLSKQMEKKLSKSERENLYIKWGVSLSSKYRRLQLSHRLWSKTDDMDHIRESATIVAKLVGSVEPDQAFKEMFGLNFAPRTPKRRSFGWTTSMKNIL >Vigun08g106500.1.v1.2 pep primary_assembly:ASM411807v1:8:26442836:26443834:-1 gene:Vigun08g106500.v1.2 transcript:Vigun08g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRHQNFRKNNTVPSRLKNNTLILLCLSSPSQPATSHRPPRNSTATNATQPPKHDGVQSTAIPTKGDHHEQLKLLP >Vigun10g007400.1.v1.2 pep primary_assembly:ASM411807v1:10:715126:719979:1 gene:Vigun10g007400.v1.2 transcript:Vigun10g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDDGLGLGLSLRWGENDDNNMNEQQQHPFNMHKPPQPVPNQRASSFNNLFHLHGASHVTNRSSEPPPFFFGIDVNLPPPPPPSAPCYEENGVSSPNSAVSSISGKRSEREENERGSCSRGGSEDDDGGGCGGDGDGDGDTSRKKLRLSKEQALVLEETFKEHNTLNPKQKQALAKQLNLSPRQVEVWFQNRRARTKLKQTEVDCEYLKRCYENLTEDNRRLQKEVQELRALKFSPQLYMHMNPPTTLTMCPSCERVAVSSASSSSASLPSVPPPPANHNPLGPTIRRPVPVNPWAAMSIQRRPPP >Vigun01g181900.12.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277445:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISDFSCIKNQSLSTEWFVQVGEIEMVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.9.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36276860:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISDFSCIKNQSLSTEWFVQVGEIEMVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.6.v1.2 pep primary_assembly:ASM411807v1:1:36273026:36277477:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.11.v1.2 pep primary_assembly:ASM411807v1:1:36274289:36277445:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.16.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277460:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.1.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277506:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.14.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277460:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.3.v1.2 pep primary_assembly:ASM411807v1:1:36273022:36277513:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.8.v1.2 pep primary_assembly:ASM411807v1:1:36273343:36277506:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.5.v1.2 pep primary_assembly:ASM411807v1:1:36273020:36277509:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.4.v1.2 pep primary_assembly:ASM411807v1:1:36273020:36277509:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.13.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277445:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISDFSCIKNQSLSTEWFVQVGEIEMVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.7.v1.2 pep primary_assembly:ASM411807v1:1:36273026:36277477:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFRQIETNPNLKELNHKKVAPASPELNLSRSMEVNPIDSSSLKSGTIVEQTVSDNKPLNNYAEDMKKSTKEMRYRYCCFMN >Vigun01g181900.15.v1.2 pep primary_assembly:ASM411807v1:1:36273073:36277445:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISDFSCIKNQSLSTEWFVQVGEIEMVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun01g181900.2.v1.2 pep primary_assembly:ASM411807v1:1:36273022:36277513:1 gene:Vigun01g181900.v1.2 transcript:Vigun01g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGNIVQYRERLDKSLALPDLTDEQILKTLVKSQLQGSSKVEIEGCNEKLVETKTKEIYDFLSMLRSASGDNSAGSSTSRSDWKLKQDTEDFRVMYREGPEGTPFHTLLVEGYVDGPLDLSLCLSWESFLYKKWWPQFTIPSFKVLVSERLQRVQIGEHISLVRMKVPWPLSTREAIVRYYLFEYFQDDLVVVLLNTVSESTSIDNFNMNAIPEAKDVVRIDLLGGYAMQKVSSERSYFRIIGNLDIKLDFVPPSLINFISRQLIGSGFRLYQKAVASMMSNDKDKDISKALEDPLYVRIREALVTGEELKQDARFVQAEELIQSEKNGVKEKDISCEYISNQRAGGEEDVEAGCKEIVQVEEDVNKVLEVPIEEGDSLSVLINGKENGEIEGADSEEIVDIEGADSEEIVEIEKEMNKVGGIPTEKDGARSVLKDKRNGYVRSDVRRALETIERVISTVKEHGFHPRMPTSNSDEQKFQMEKVGTVDSSSAKPIQVCLKDDDSVKESSSNIREETSEEPATIQSFR >Vigun03g298800.1.v1.2 pep primary_assembly:ASM411807v1:3:48671307:48673456:-1 gene:Vigun03g298800.v1.2 transcript:Vigun03g298800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDASESLPKLQIEAVMSVRPVKVSEPRRVRRVLMSDTDSIVKTKGIHGCYQIVLYYESLKEEEGDKFLAGWIVESLGSALVEHPLLSGRLKKKDSDQDETVLEIVPNDSGIRLYEVRFPMLLSKFLSLRAEKEHLEAELVFWKEIDQHNPQFSPLFYVQVTHFECGGYTVGISCSLLLADALVVENFLKTWADIHNSMLLQREEIKAPIFHHSHLVKNDQPPPAEVINRTRCGKAQSMAFKFIGKDLNLNQEFWREVAMLCVEEAEQKLDKKVWTEFSLFVKEMCEVMKVEGHSKSGYCKKVLDQSLKNEISGGTWNDFGEFVMFHEGNKPVHVSRWIGSIADDGNVVVIPCPEEKASAVIIVSLP >Vigun04g092000.3.v1.2 pep primary_assembly:ASM411807v1:4:17346823:17360539:-1 gene:Vigun04g092000.v1.2 transcript:Vigun04g092000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSIKDFEDDEAPASTRNSQLLRAVPEVEHEVHHQTPSKKLAAEIPTPQFVIVDTYERDYSCTFSQPTSYLRARGARAEIGEFVEYDLDNEDEDWLYHFNKERKILAPEMFESLLFKLEVLDHKARERAGLITPTLGSPIPVQLRLDTAIEALQAQGFKYPLIQSVYDYWKEKRERWQKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKSLLEALIKREEKKREVMESEVTLQRMQMKYKHETEFLEDNLALSGFTPFSSKFVSSEEEYFDSDDVMTNRLPRTRSTAVQGLPSHDTNLPMVTAASTKQEFRRRYVPHGWPHKLDPLEPVLLFTKPLLPDKLAMAGIMPPDSIATNGVSSTSYRFRGRMGRGGRIIFDRWNPLMQTPIDCGNSYYMPPKPRPSTCN >Vigun04g092000.2.v1.2 pep primary_assembly:ASM411807v1:4:17346788:17360865:-1 gene:Vigun04g092000.v1.2 transcript:Vigun04g092000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSIKDFEDDEAPASTRNSQLLRAVPEVEHEVHHQTPSKKLAAEIPTPQFVIVDTYERDYSCTFSQPTSYLRARGARAEIGEFVEYDLDNEDEDWLYHFNKERKILAPEMFESLLFKLEVLDHKARERAGLITPTLGSPIPVQLRLDTAIEALQAQGFKYPLIQSVYDYWKEKRERWQKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKSLLEALIKREEKKREVMESEVTLQRMQMKYKHETEFLEDNLALSGFTPFSSKFVSSEEEYFDSDDVMTNRLPRTRSTAVQGLPSHDTNLPMVTAASTKQEFRRRYVPHGWPHKLDPLEPVLLFTKPLLPDKLAMAGIMPPDSIATNGVSSTSYRFRGRMGRGGRIIFDRWNPLMQTPIDCGNSYYMPPKPRPSTCN >Vigun04g092000.1.v1.2 pep primary_assembly:ASM411807v1:4:17346729:17360979:-1 gene:Vigun04g092000.v1.2 transcript:Vigun04g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSIKDFEDDEAPASTRNSQLLRAVPEVEHEVHHQTPSKKLAAEIPTPQFVIVDTYERDYSCTFSQPTSYLRARGARAEIGEFVEYDLDNEDEDWLYHFNKERKILAPEMFESLLFKLEVLDHKARERAGLITPTLGSPIPVQLRLDTAIEALQAQGFKYPLIQSVYDYWKEKRERWQKPVLRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKSLLEALIKREEKKREVMESEVTLQRMQMKYKHETEFLEDNLALSGFTPFSSKFVSSEEEYFDSDDVMTNRLPRTRSTAVQGLPSHDTNLPMVTAASTKQEFRRRYVPHGWPHKLDPLEPVLLFTKPLLPDKLAMAGIMPPDSIATNGVSSTSYRFRGRMGRGGRIIFDRWNPLMQTPIDCGNSYYMPPKPRPSTCN >Vigun01g252800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41862514:41863840:1 gene:Vigun01g252800.v1.2 transcript:Vigun01g252800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSGLLVEAAQVFYQIPRRSLVTWNVFLTGLIKWEEVELALSVFDQMPSRSVVSWTLVIDGYTRRNQPMKALTLFREMIEVDGIEPTQVTLLTIFPAIANIGCIKICQSAHGYAEKRGFNSSDIRITNALIDLYAKCGCIASVNRFLQELPDQRKNLVSWTSAISGFAMNGMGREALETFENMEKAGLRPNRVTFLSVLSACSHGGLVEEGLNFFVKMVKDCEVVPDIKHYGCVIDMLGRAGRLEEAEKVASEVPHEVANAVMWRTLLGACSVHNNVEIGQRVTRKVLEMERGHGGDYVLMSNILVGVGRFKDAQRLRDMIDERIAFKLPGYSIV >Vigun09g250600.2.v1.2 pep primary_assembly:ASM411807v1:9:41790444:41791367:1 gene:Vigun09g250600.v1.2 transcript:Vigun09g250600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNENHASLFCTADEAALCDDCDHRVHHANKLASKHHRFSLTHPSAKHFPVCDVCQEKRAFVFCQQDRAILCKDCDVSIHSVNELTKNHSRFLLTGIKFSTSAMPYSSSSSPKKNPNPLLVTSHAPSNPPSTPSPPKPGGILQTNQAPGFTGSSISEYLINTIPGMKFEDFLDSHSTFDCSKVSFIN >Vigun09g250600.1.v1.2 pep primary_assembly:ASM411807v1:9:41790444:41792012:1 gene:Vigun09g250600.v1.2 transcript:Vigun09g250600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNENHASLFCTADEAALCDDCDHRVHHANKLASKHHRFSLTHPSAKHFPVCDVCQEKRAFVFCQQDRAILCKDCDVSIHSVNELTKNHSRFLLTGIKFSTSAMPYSSSSSPKKNPNPLLVTSHAPSNPPSTPSPPKPGGILQTNQAPGFTGSSISEYLINTIPGMKFEDFLDSHSTFDCSKNGDEMLSAFGEGNMVSFSSGGIWVPQAPPSAGAAACWEEMGEKNGYREGRKGSVRSSFGDDNNFVVPQMSPPSNVPKKSRFLW >Vigun06g023300.1.v1.2 pep primary_assembly:ASM411807v1:6:10840319:10866174:-1 gene:Vigun06g023300.v1.2 transcript:Vigun06g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAVEHLDVLTKTGLKTGVSRPRGDVHRDGDYHRAVHVWLFAERTQELLLQRRASCKDSWPDLWDISSAGHISAGDSSLITARRELEEELGVILPKDAFELIFISLQHSVINDGKYINNEFNDVYLVTTLDPIPLEAFALQESEVSAVKYISYEEYKRLLAKEDSDYVPYDVNGQYSQLFDVIEKRYKENTVARNLTLQKQISRYAPVSLSAELSGLSDLDREALGLVVKAAAVMDEIFLLQSWYSNPALRDWLKEHADSSELNKLKWSYYQINKSPWSSLDEDVAFLTTADSAIRLFSNATKTVRDWKGVEYRAAFSVPKPAGANFYPPDMDKMEFDLWKDSLEKDEQKEATGFFSVIKRHSEFILDSHQSDNKGSSHDLYIVPYSEEYQPLLAKAADLLHKAGDITDSPSLKRLLHSKADAFLSNNYYDSDIAWLELDSKLDVTIGPYETYEDKLFGYKATFEAYIGIKDDEATAQLKLFGDNLQLLEQNLPMDSAYKSKDVSAAPIRVIQLLYNAGDVKGPQTLAFNLPNDESIVKDRGTSMVMLKNVSEAKFKHILLPIAAACVAEEQQELVDFESFFTHTICHECCHGIGPHTITLPNGQKSTVRLELQEFHSAVEEAKADIVGLWALRFLISQDLLSESLLKSMYVSFLAGCIRSVRFGLEEAHGKGQALQFNWLYEKGAFVWNTEGTISVDFTKIEEAVESLSREILTLQAKGDKEAAGLLLQKYSVLSEPLKVALKKLENIQVPVDVAPTFPIASKILQ >Vigun10g173700.1.v1.2 pep primary_assembly:ASM411807v1:10:39228881:39235653:-1 gene:Vigun10g173700.v1.2 transcript:Vigun10g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKLIVWNVPLLLVVVCAWSLIGHASASVSYDHKAITINGQRRILLSGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFGGNYDLVRFIKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNGPFKFQMERFTKKIVDMMKAERLFESQGGPIILSQIENEYGPMEYEVGAPGRAYTQWAAHMAVGLGTGVPWIMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGAVPQRPAEDLAFSIARFIQKGGSYVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLARQPKWGHLKDLHRAIKLCEPALISGDPTVQRLGNYEEAHVFRSKSGACAAFLANYNPQSYATVAFGNQHYNLPPWSISILPNCKHTVYNTARVGSQSTTMKMSRVPIHGGLSWKAFNEETTTTDDSSFTVTGLLEQINATRDLSDYLWYSTDVVINPNEEFLRNGKNPYLTVLSAGHALHVFINNQLAGTAYGSLEAPKLTFSESVRLRAGVNKISLLSVAVGLPNVGPHFERWNAGVLGPITLSGLNEGRRDLTWQKWSYKVGLKGEALNLHSLSGSSSVEWLQGYLVSRRQPLTWYKTTFDAPVGVAPLALDMASMGKGQVWINGQSLGRYWPAYKASGSCGYCNYAGTYNEKKCASNCGQPSQRWYHVPHSWLKPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLVSYEMQASGKVKSPVRPKAHLSCGPGQKISSIKFASFGTPVGTCGSYREGSCHAHKSYDAFQKNCVGQSSCTVTVSPEIFGGDPCPSVMKKLSVEAICT >Vigun08g084700.1.v1.2 pep primary_assembly:ASM411807v1:8:18519677:18521299:-1 gene:Vigun08g084700.v1.2 transcript:Vigun08g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNSSPIFPQFTLDTTKNNNPDHFIVEDLLDFSNDDVVNADTIFDSLPVNSTDSSNVTAVDSCNSSSFSGYDPNTIPDIGSRNLSDGHFSGDLCVPYDDIAELEWLSNFVEESFSSEDLQKMQLISGMNARNDHVSKARGFHSEPTRNGFHSEPTRNNPIFNSEVSVPAKARSKRSRGPPCNWASRLLVLSPTTSSSDTEVVSPVSTHSGTKKPAKATPRKKDSGDGSGGDGRRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMVRAQQHQQQQFLQHHHHQNMLLDVSNSEDYLVHQHMGPDYRQLI >Vigun03g286700.2.v1.2 pep primary_assembly:ASM411807v1:3:46870640:46877349:-1 gene:Vigun03g286700.v1.2 transcript:Vigun03g286700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPTNGYCSSSGEEDGDAAWRAAIHSIAQTTTYVSSTTKHAHPNDDDDDYSKPKTQQLKHHQLKAQKLLNDILENTIEIVRKPVPVEDDDPKIDECGIRLFKYAKPGIVFDHVDEPQPPRKRPRILPGEDINEKSKKFRKRIRSVAVNGIDILAAANDSCKKSLARVEAKDAAAKAKAKREEERVENLKKIRGERWLPSMAKEMKNQPSRLLLRERALLGFSRFSHPVDSNQFSASLPKHTLEPWCPWLPLSSCTSIFQTREVTVVNEGETNPLLSLLGSDFRSFLVYL >Vigun03g286700.1.v1.2 pep primary_assembly:ASM411807v1:3:46874590:46877419:-1 gene:Vigun03g286700.v1.2 transcript:Vigun03g286700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPTNGYCSSSGEEDGDAAWRAAIHSIAQTTTYVSSTTKHAHPNDDDDDYSKPKTQQLKHHQLKAQKLLNDILENTIEIVRKPVPVEDDDPKIDECGIRLFKYAKPGIVFDHVDEPQPPRKRPRILPGEDINEKSKKFRKRIRSVAVNGIDILAAANDSCKKSLARVEAKDAAAKAKAKREEERVENLKKIRGERWLPSMAKEMKVKTQR >Vigun03g258400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448531:42450664:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g258400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448570:42455186:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g258400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448531:42450664:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g258400.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448531:42455248:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g258400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448570:42455186:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g258400.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42448531:42455248:1 gene:Vigun03g258400.v1.2 transcript:Vigun03g258400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHQIVQSPARLGLANPNSPSIPNPTPPKLPPTQTQQPQDRNSSTPSSALLSLLPPLPRAQALLLQMASLTSKLFEVSPNRSLWVTAFRGSIPTFLSSHSSTPLDASPSTVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDREIRSQDSMLLAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKEVESKDKIVEAIVEPPPQMDTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPTDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun01g047500.1.v1.2 pep primary_assembly:ASM411807v1:1:7103427:7105534:-1 gene:Vigun01g047500.v1.2 transcript:Vigun01g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSQKKKKHLPIGTTFKLPADIPIWPQGGGFATGTIDLGGGLLVSQITTFNKVWTSFEGGPNNLGVTFFEPTGLSEGFFMLGCYCQPNNKPLHGWVLVGKDNSSLSNGALAKPVDYNLVWTTRCLKKKQDEEEGYIWLPIAPDEYKPVGYVVTTSPEKPSLDRIRCVRSYLTDECTRHHSMKLWRTESKRFGVFDVRPMKRGIEAEGVSVGTFLAQSGGGTNTKPLPIACLKNTKAKFSYMPNLSQVEAMIKAYSPYMYLHPMEEYLPSSVDWFFSNGAVLMEKRKGVTRENAIKPNGSNLPQGGSFDDEVTYWLDLPLDEAKRDKVKKGDLASAEAYVHVKAMLGGSFSDIVMWVFYPFNGGARAKVACTNIPLRRKGEHVGDWEHVTLRVSNFNGELWKVYLSQHSGGEWVDACELEFQNGNRVVAYSSLHGHALFSKPGVVMQGMRGLGVRNDAAKSDAVMDMANGFQIVGAECLGSQIRVAPWLNYCMNWGPKDGPKGPKQKDSWEGDERCK >Vigun06g070000.1.v1.2 pep primary_assembly:ASM411807v1:6:19994690:19997256:1 gene:Vigun06g070000.v1.2 transcript:Vigun06g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSVVGSSVMESHTGPCLCVDALPTTTSVNLKSGGDVVLCKSLMGRKNLTKHGGGTMKLSSSFIDPGREWRLFVSRSCKRQRKDRRVAIVNELGGQYEESFEDVKTQMLNYFTYKAVRTVLHQLYEMNPPKYTWFYNFVATNKPGDGKRFIRSLGKEQQELAERVMVTRLHLYSKWVKKCNHAEIYKEISDENLELMRERLMETVIWPSDDTNTEKIG >Vigun06g070000.2.v1.2 pep primary_assembly:ASM411807v1:6:19994690:19997256:1 gene:Vigun06g070000.v1.2 transcript:Vigun06g070000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSVVGSSVMESHTGPCLCVDALPTTTSVNLKSGGDVVLCKSLMGRKNLTKHGGGTMKLSSSFIDPGREWRLFVSRSCKRQRKDRRVAIVNELGGQYEESFEDVKTQMLNYFTYKAVRTVLHQLYEMNPPKYTWFYNFVATNKPGDGKRFIRSLGKEQQELAERVMVTRLHLYSKWVKKCNHAEIYKEISDENLELMRERLMETVIWPSDDTNTEKIG >Vigun06g184800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30415794:30417885:1 gene:Vigun06g184800.v1.2 transcript:Vigun06g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKVKAIGIDLGTTYSCVAVWQHNRVEVIPNDQGNRTTPSYVAFNDTQRLLGDAAMNQRCLNPQNTVFDAKRLIGRRFSDQSVKQDMKLWPFKVVPGSRDKPMISVTYRDEEKRLAAEEISSMVLFKMKEVAEAYLGHAVNDAVITVPAYFSNAQRQATKDAGKIAGLNVLRIINEPTAAAIAYGLDKKGWREGEQNVLVFDLGGGTFDVSLVTIDEGMFKVKATVGDTHLGGVDFDTNLVNYLVSIFKRRYKKDIAENPKSLGRLRLACEKAKRILSSSSQTTIELDSLCGGIDLHAILTRALFEELNKELFMKCMETVEKCLVEARVDKSKVHELVLVGGSTRIPKVQQLLKDMFSVNGKAKELCKSINPDEAVAYGAAVQAAILGGEGDKKIEDLLLLDVMPLSIGIETGGGDMSVLIPKNTMIPTKKESVFSTFSDNQTSVLIKVYEGEEAKTEDNFLLGKFELSGFAPSPRGGPQINVGFDVDVDGIVEVTAKDRSTGLKKKITISNKHGRLSSEEMRRMVRDAERYKAEDEEAKKKVRAKNLLENYAFEMRDRVKNLEKVVEATIEWLDTNQLAEADEFEYKMQELEERVLKFM >Vigun03g200400.1.v1.2 pep primary_assembly:ASM411807v1:3:29280514:29285998:1 gene:Vigun03g200400.v1.2 transcript:Vigun03g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNSEDQDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFILPNQQNSESSERLVGLSDDVGAGFISGHSSQPATSSELNVDRSVDLPPQDEIGNLGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTVANVRTVDPPQR >Vigun04g021700.2.v1.2 pep primary_assembly:ASM411807v1:4:1651903:1655361:1 gene:Vigun04g021700.v1.2 transcript:Vigun04g021700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASALGARTARACESCLKVRARWYCAADDAFLCHGCDNMVHSANQLARRHERVKLQTASSKVNYSLTLNHNNKVAWHSGFTRKARTPRHNSKHKKIHEEGEEEEVFFKNTISLPLVPELGSEEALHNDESEEQLLCRVPVFDAELCSVYSEVKEEVLAVEEVFDLENFSSELLPSDMDLAEFAADVESFLGNGADEDSSEHVKGSELLLDCKEEDEEMDACVGGVGAKEAMVKVKDEEELDADTPCHLDSMILDMNSEAFNWNDIVESESLAQEQEEEMSTKRTNKKDMFLRLNYEDVITAWASQGSPWTTGTPPNFNSDDCWPDFLGSNGGDVQCCYGEMISLRGHADGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTSFVGATA >Vigun04g021700.1.v1.2 pep primary_assembly:ASM411807v1:4:1651903:1655361:1 gene:Vigun04g021700.v1.2 transcript:Vigun04g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASALGARTARACESCLKVRARWYCAADDAFLCHGCDNMVHSANQLARRHERVKLQTASSKVNYSLTLNHNNKVAWHSGFTRKARTPRHNSKHKKIHEEGEEEEVFFKNTISLPLVPELGSEEALHNDESEEQLLCRVPVFDAELCSVYSEVKEEVLAVEEVFDLENFSSELLPSDMDLAEFAADVESFLGNGADEDSSEHVKGSELLLDCKEEDEEMDACVGGVGAKEAMVKVKDEEELDADTPCHLDSMILDMNSEAFNWNDIVESESLAQEQEEEMSTKRTNKKDMFLRLNYEDVITAWASQGSPWTTGTPPNFNSDDCWPDFLGSNGGDVQCCYGEMISLRGHADGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTSFVGATA >Vigun04g153400.1.v1.2 pep primary_assembly:ASM411807v1:4:37064796:37075752:1 gene:Vigun04g153400.v1.2 transcript:Vigun04g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLVDCGWNDHFDPSLLQPLSRVASTIDAVLLSHADTLHLGALPYAMKQLGLSAPVYSTEPVYRLGLLTMYDQYLSRKQVSEFDLFTLDDIDSAFQSVTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNQPYRRQKDKEFGDILKKTLRAGGNVLLPVDTAGRVLELILLLESYWSDENLNYPIYFLTYVASSTIDYVKSFLEWMSDSIAKSFEKTRENIFLLKYVTLLINKTDLENAPEGPKVVLASMASLEAGFSHDIFVEWANDSKNLMLFTERGQFATLARMLQADPPPKAVKVVVSKRVPLVGEELIAYEEEQDRIKKEALKASLMKEEELKTSHGSDNNNSDPMVIDSGNNHVPPEVAGPRGGGYRDIFIDGFVPPSTSVAPMFPCYENTSEWDDFGEVINPDDYVIKDEDMNQIAMHGGGDINGKLDEGAASLILDTKPSKVVSDERTVQVKCLLVYMDFEGRSDGRSIKNILSHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIDETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEVAWVDAVVGKTESDTLSLLPVSEAAPPHKSVLVGDLKLADIKQFLSGKGVQVEFAGGALRCGEYVTLRKVGDATQKGGGSGAQQIVIEGPLCEDYYKIRDYLYSQFYLL >Vigun02g187700.1.v1.2 pep primary_assembly:ASM411807v1:2:32619465:32620791:1 gene:Vigun02g187700.v1.2 transcript:Vigun02g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTLITQLHSIAGPVVTLLYPLYASVVAIESQSKLDDEQWLAYWIIYSFLSLAEMVFQPVLDWIPIWYDVKLLTVAWLVLPQFEGASYLYERFVREHIRKYITEREHLQYHPQQSKKKKFVEFVTPKKGDQEAY >Vigun03g042000.1.v1.2 pep primary_assembly:ASM411807v1:3:3290176:3291344:-1 gene:Vigun03g042000.v1.2 transcript:Vigun03g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGERSGGGQSSLNYLFGSEEKPKSSPPKTVTCSLPPYGIDIDNDNPPPSQGQHLGNLVTNRPSTKVKSVPGGHSSLGYLFGDK >Vigun08g053150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6331792:6332610:-1 gene:Vigun08g053150.v1.2 transcript:Vigun08g053150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSKRNGQDFINEVATIGRIHHQHVVQLIGFCVSGSKRALVYEFMPNGSLDKLIFSRTGSIHLTYEKIYNISIGVARGIDYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVTITTARGTIGYMAPELFYNNIGGISHKADVYSFGMLLMEMASKRKNLNVHAEHSSQLYFPLWIYNHIREEENIDIKDLTDEEKIIAKKLIIVALWCIQLRPNDRPSMNRVVEMLEGGIEDLEIPPKPTLFPDEMSVKDETTNSI >Vigun03g400100.1.v1.2 pep primary_assembly:ASM411807v1:3:60693515:60699887:1 gene:Vigun03g400100.v1.2 transcript:Vigun03g400100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTSSLCTWLVAACMSVTCDADRSRTPQAMFRSSKKSRTSQFNVSSLSGSAHSGKTMAVALQPAQEVTTIKKPPTKQRRVVVTGLGVVTPLGHDPDIFYNNLLDGVSGISEIETFDCAEYPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALVDAGITEDLMDQLNKEKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNTDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPRPDGAGVILCIEKALAQSGVSKEDVNYINAHATSTPAGDLKEYQALIHCFGKNPELKVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPDTGVDAKVLVGSKKERVDIKAALSNSFGFGGHNSSIIFAPFK >Vigun03g400100.2.v1.2 pep primary_assembly:ASM411807v1:3:60694597:60699887:1 gene:Vigun03g400100.v1.2 transcript:Vigun03g400100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQPAQEVTTIKKPPTKQRRVVVTGLGVVTPLGHDPDIFYNNLLDGVSGISEIETFDCAEYPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALVDAGITEDLMDQLNKEKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNTDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPRPDGAGVILCIEKALAQSGVSKEDVNYINAHATSTPAGDLKEYQALIHCFGKNPELKVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPDTGVDAKVLVGSKKERVDIKAALSNSFGFGGHNSSIIFAPFK >Vigun06g125900.1.v1.2 pep primary_assembly:ASM411807v1:6:25304389:25307089:-1 gene:Vigun06g125900.v1.2 transcript:Vigun06g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEKLKMFVVQEPVVAASCLIAGFGLFLPAFVRPMLDSYQATKQPPQPALSDVVAGMSGKK >Vigun06g199200.1.v1.2 pep primary_assembly:ASM411807v1:6:31428457:31450998:1 gene:Vigun06g199200.v1.2 transcript:Vigun06g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDSPVPDNIETDPSEDEEEVEEEIEEEEAEEEVEEEEIEEEVEEEDVEEEVEEEEVEEVYEEEVEEEEVEEVEEEEEVEEMELEEEEEEEQEVEEVEVEEEEIEGEEVEEEEEEVEVEVEEEEEEEEEEGEQEVEEGEEEVQEEEGEEEKQEQAVENKKEEQQQQQREAVEEKKEEHPQQQEKVTKEKKEEQRQQPEEAVEEKKKEQEQQQEEAVEEKKKEQQLQQEAMKEKEGQQKGVEAVGEDRLALTGSPPDADEGPKDLSVHMESKEQDKNLGPDYSVAENPEVIPPSSVHCMEENDAMLKISVPSCEILTSKKEELHLKYDISSHSKSTENLTNVENCRSQGLEVDGENAGSLLQKETQDGYSELGCNSKASLCHDNDINSRVRDTKSSSVTGQDMVIGLLPKDCIVEDASGDQNSRNNIKQLEMESRDDMKQTLSRTRSMSPSAEIKNRNKRPKIICDFFAKGWCIRGSSCSFLHTIDTVDKTDQEAEADLVTTHQKRKLKVEEGVRENVQRIRMNEQEQTPSWHPSQEKQNFTPRDNLFPENRFAFSSTSNCFNPKQDGMATLRNQHMYKGYASTLLSHSPNSSLVTQFPASSMSLSQRISSQSACSLPFSSSLGAGNLNAQTLTSKSTFSGSGREDFPLVSSSSVPSYPTGYKSKICSYDWEPSVPFRPSFFITPMNVSSPADLYDPLRDSIEIPNIGDGSLKASLLIQGSNVLASSQAPIYGDSAGIGKYTSSVNDDKSSVSSHNKFYENEPNKNSVPHEKDYLPNETEITSGTYSNYQNGKIGTGQNTFDVADSTKKEREMTEHDARRHGEGSGHKTKRGDRDKKNHEIDVDFQMDGSMQKEPKALKMLRAALVDHVKELLKPVWHEGRLSKDAHIMIVRKSVDKVVSTIEPHQIPTIDTAKQYVSSSRAKIAKLVNGYVNKYGKS >Vigun01g000250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:67823:68503:-1 gene:Vigun01g000250.v1.2 transcript:Vigun01g000250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYCDNQATLHIASNPVFHERTKHIEIDCHFVREKLLSKDLVIEFVGSNERLADILTKSLRRPRIQFICSKLGAYDLYAPA >Vigun03g179500.1.v1.2 pep primary_assembly:ASM411807v1:3:22547379:22551236:-1 gene:Vigun03g179500.v1.2 transcript:Vigun03g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSFYEKSSSSGSDISGLFNPTLQTVGASNGDVANGGLSSSHSLVYESEKGELVKFSSAARVGKNEICEAKALAALKNHSEAERRRRERINGHLATLRGLVPSTEKMDKATLLAEVIKQVKELKKSAVEASKGFVIPMDADEVKVEPCDGSMSYSATICCDFRPEIISDLRQTLDSLPLHLEKAEISTLAGRMKNVFVFTCCKGNVSIDTEASQAVARTVHQALCSVLEKASASLEFSPRTSHANKRRRLCFIETSTSSCNHGSCLC >Vigun05g245600.1.v1.2 pep primary_assembly:ASM411807v1:5:43858407:43866612:-1 gene:Vigun05g245600.v1.2 transcript:Vigun05g245600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFLNGERAVAVFFIGILLYSLPLSLLFHGVALSLLTLASFSLEISFDSSNAPSLFRTRPGASSGILLGAVTLPSLLLSKLVQLSRGFSLAQLQIQEIQYLTLQYWATSASACGVVLFLAFARRRSPLSPSHWGASFSLCSIFLQVFVSVAALATTSQIGMHPALKLAWVFCHGLASVKLIQHFLRTFPSCASIGEAFLVTSGIVLYFCDMLLLTTKKLHGLLVSSESVTAEYEISRSEISIIIQGLVLGLLVYPIALKYFLQIWEWSINSSAGERRYYEIGRTVTFLASLGFVLIVIVPSWMQFVKEFRVHPFFWVISFVFSEPSKRLSMCIYWMCIICVSVLRFYNISKNSKIERILLRKYYHLMAVLMFLPALIFQPKFLDLAFGAALAIFLMLEIIRVWRIWPLGQPINQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSTGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSVLAACSLLLPLLASTGYFLTQHWFSLLLAVTVSGLLEAYTAQLDNAFIPLFFYSLLCL >Vigun05g245600.2.v1.2 pep primary_assembly:ASM411807v1:5:43858407:43866612:-1 gene:Vigun05g245600.v1.2 transcript:Vigun05g245600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFLNGERAVAVFFIGILLYSLPLSLLFHGVALSLLTLASFSLEISFDSSNAPSLFRTRPGASSGILLGAVTLPSLLLSKLVQLSRGFSLAQLQIQEIQYLTLQYWATSASACGVVLFLAFARRRSPLSPSHWGMHPALKLAWVFCHGLASVKLIQHFLRTFPSCASIGEAFLVTSGIVLYFCDMLLLTTKKLHGLLVSSESVTAEYEISRSEISIIIQGLVLGLLVYPIALKYFLQIWEWSINSSAGERRYYEIGRTVTFLASLGFVLIVIVPSWMQFVKEFRVHPFFWVISFVFSEPSKRLSMCIYWMCIICVSVLRFYNISKNSKIERILLRKYYHLMAVLMFLPALIFQPKFLDLAFGAALAIFLMLEIIRVWRIWPLGQPINQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSTGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSVLAACSLLLPLLASTGYFLTQHWFSLLLAVTVSGLLEAYTAQLDNAFIPLFFYSLLCL >Vigun04g032100.2.v1.2 pep primary_assembly:ASM411807v1:4:2601878:2605374:-1 gene:Vigun04g032100.v1.2 transcript:Vigun04g032100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSPKPETFELSNGSMKILLTNLGCTIISLSVPGKDGVLSDVVLGLDSVESYQKGLSPYFGCIVGRVANRIKDGKFTLDGVQYSLPLNKPPNSLHGGNVGFDKRVWEVVEHKKGETPSITFKYHSQDGEEGYPGDITFTATYTLTSNTTLRLDMEGIPKDKPTIVNLAQHTYWNLAGHNSGNVLDHSIQIWANHLTPVDENTVPTGEIKPVKGTPFDFTSEKRIGNNISEVGLGYDHNFVLDCGEEKDGLRHVAKVRDPSSSRVLNLWTSAPGVQFYTGNYVNGVVGKGGAVYEKHAGLCLETQGFPNAINQPNFPSVVVRPGEKYQHTMLYEFSVE >Vigun04g032100.1.v1.2 pep primary_assembly:ASM411807v1:4:2601878:2605374:-1 gene:Vigun04g032100.v1.2 transcript:Vigun04g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSPKPETFELSNGSMKILLTNLGCTIISLSVPGKDGVLSDVVLGLDSVESYQYVIDDNIRNLCTKGLSPYFGCIVGRVANRIKDGKFTLDGVQYSLPLNKPPNSLHGGNVGFDKRVWEVVEHKKGETPSITFKYHSQDGEEGYPGDITFTATYTLTSNTTLRLDMEGIPKDKPTIVNLAQHTYWNLAGHNSGNVLDHSIQIWANHLTPVDENTVPTGEIKPVKGTPFDFTSEKRIGNNISEVGLGYDHNFVLDCGEEKDGLRHVAKVRDPSSSRVLNLWTSAPGVQFYTGNYVNGVVGKGGAVYEKHAGLCLETQGFPNAINQPNFPSVVVRPGEKYQHTMLYEFSVE >Vigun10g065950.1.v1.2 pep primary_assembly:ASM411807v1:10:14861440:14861973:1 gene:Vigun10g065950.v1.2 transcript:Vigun10g065950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVTKSLVAQLDDDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYQKIVPNLKLSANRWTKSKLMVNPTATKLL >Vigun05g222400.2.v1.2 pep primary_assembly:ASM411807v1:5:41429073:41432571:1 gene:Vigun05g222400.v1.2 transcript:Vigun05g222400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLLHLIFLLSPINTHHSFNNPITSNPISLACDSRATSRAHTHVPSATSPFLIQFSFASSHSSKPQTVYTVLNFVPVCADVTTTVHDAVSLSLSPEKEGSCLWLGQHWRLPHPAGEFLCFIRNKMFSRTIRSRVDDLGAMT >Vigun05g222400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41428832:41430197:1 gene:Vigun05g222400.v1.2 transcript:Vigun05g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLLHLIFLLSPINTHHSFNNPITSNPISLACDSRATSRAHTHVPSATSPFLIQFSFASSHSSKPQTVYTVLNFVPVCADVTTTVHDAVSLSLSPEKEGSCLWLGQHWRLPHPAGEFLCFIRNKMFSRTIRFLPVNSCYYVTGRQKMSIL >Vigun02g160700.1.v1.2 pep primary_assembly:ASM411807v1:2:30649876:30651444:-1 gene:Vigun02g160700.v1.2 transcript:Vigun02g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWNLSSSSKFLSKQVAYASHLYAEEIRNMGNSRGSQLTILYDGQVVVFDDVQADKAKDIISFANTGMSQNQNGYVCSYPAAISASSSRPFPFLMNIIPTTANNSVQEHPQAPSKSVICDLPLARKASLHRFLEKRKDRIAARAPYQTSNHMEALNKPGESTPWLTLASKSLQDESDSDSSSSFGLF >Vigun09g262600.1.v1.2 pep primary_assembly:ASM411807v1:9:42725299:42728072:1 gene:Vigun09g262600.v1.2 transcript:Vigun09g262600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYGEDSKALRIHAKEKLAIFPNTYLQVHGELDTKFGQPSSSGAFIRYFNPNLSSTLGFGLRYDKREKLRYTVNAKKTYTVGVLNFKVKGASEVEREFKEVPSVLNIKIKGAHDMDNKFKEGKSRIAAEVLLNLFNFKQDQDIRLKIGCEYFEKVPYLQIRENNWTINADYKGRWNVRYDL >Vigun10g176800.2.v1.2 pep primary_assembly:ASM411807v1:10:39517011:39522576:1 gene:Vigun10g176800.v1.2 transcript:Vigun10g176800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKHHEKEIQGTVVLVFQPAEEGGGGAKKIVEAGALENVTAIFGLHVSRDFPLGEVGSRSGPMLAGNGFFEAIIRGKGGHAAIPQQSIDPILATSNVIISLQNLVSREADPLDSQVVTVGKFQGGSAFNIIPDSVTIGGTFRAFSKESFQQLRHRIEQVVIAQAAVQRCNATVNFLQDLNPFFPVTINDDDLHQHFRSVAGNLLGVDKVNDMQPLMGAEDFSFYQEVIPGYFFMVGMQNASHEKLEQVHSPYFKVNEDVLPYGAALHASLAVSYLLKNPQDIAPAEGKYHDEL >Vigun10g176800.3.v1.2 pep primary_assembly:ASM411807v1:10:39519342:39522576:1 gene:Vigun10g176800.v1.2 transcript:Vigun10g176800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKHHEKEIQGTVVLVFQPAEEGGGGAKKIVEAGALENVTAIFGLHVSRDFPLGEVGSRSGPMLAGNGFFEAIIRGKGGHAAIPQQSIDPILATSNVIISLQNLVSREADPLDSQVVTVGKFQGGSAFNIIPDSVTIGGTFRAFSKESFQQLRHRIEQVVIAQAAVQRCNATVNFLQDLNPFFPVTINDDDLHQHFRSVAGNLLGVDKVNDMQPLMGAEDFSFYQEVIPGYFFMVGMQNASHEKLEQVHSPYFKVNEDVLPYGAALHASLAVSYLLKNPQDIAPAEGKYHDEL >Vigun10g176800.1.v1.2 pep primary_assembly:ASM411807v1:10:39517011:39522576:1 gene:Vigun10g176800.v1.2 transcript:Vigun10g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNLVSLFIVFHVFAATPRIFSLTDSSTEPPINFLDAAKKPEVFDYMVGIRRKIHENPELGYEEFETSKLIRAELDQLGIPYKHPLAITGIIGFIGTGRSPFVAIRADMDALPMQEMVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKHHEKEIQGTVVLVFQPAEEGGGGAKKIVEAGALENVTAIFGLHVSRDFPLGEVGSRSGPMLAGNGFFEAIIRGKGGHAAIPQQSIDPILATSNVIISLQNLVSREADPLDSQVVTVGKFQGGSAFNIIPDSVTIGGTFRAFSKESFQQLRHRIEQVVIAQAAVQRCNATVNFLQDLNPFFPVTINDDDLHQHFRSVAGNLLGVDKVNDMQPLMGAEDFSFYQEVIPGYFFMVGMQNASHEKLEQVHSPYFKVNEDVLPYGAALHASLAVSYLLKNPQDIAPAEGKYHDEL >Vigun08g065400.2.v1.2 pep primary_assembly:ASM411807v1:8:9443734:9447544:-1 gene:Vigun08g065400.v1.2 transcript:Vigun08g065400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRFRRSPSYGRSPRGRSPRRRSLSPRGRSYSRSPPYRGREEVPYANGNGLRERRRSRS >Vigun08g065400.5.v1.2 pep primary_assembly:ASM411807v1:8:9443734:9447544:-1 gene:Vigun08g065400.v1.2 transcript:Vigun08g065400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRFRRSPSYGRSPRGRSPRRRSLSPRGRSYSRSPPYRGREEVPYANGNGLRERRRSRS >Vigun08g065400.1.v1.2 pep primary_assembly:ASM411807v1:8:9443734:9447544:-1 gene:Vigun08g065400.v1.2 transcript:Vigun08g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRFRRSPSYGRRSYSPRGRSPRRRSLSPRGRSYSRSPPYRGREEVPYANGNGLRERRRSRS >Vigun08g065400.3.v1.2 pep primary_assembly:ASM411807v1:8:9443734:9447388:-1 gene:Vigun08g065400.v1.2 transcript:Vigun08g065400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRFRRSPSYGRSPRGRSPRRRSLSPRGRSYSRSPPYRGREEVPYANGNGLRERRRSRS >Vigun08g065400.4.v1.2 pep primary_assembly:ASM411807v1:8:9443734:9447388:-1 gene:Vigun08g065400.v1.2 transcript:Vigun08g065400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRELDGKNGWRVELSHNSRGGGGGRGGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRFRRSPSYGRRSYSPRGRSPRRRSLSPRGRSYSRSPPYRGREEVPYANGNGLRERRRSRS >Vigun08g149200.1.v1.2 pep primary_assembly:ASM411807v1:8:32145167:32153446:1 gene:Vigun08g149200.v1.2 transcript:Vigun08g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSNKDIDTSTSRLSWWYVDISYQELKKGTYKVKNSDETFICPYCPERKQDYKYRELLNHASGVGRSSSEKRTAKEKANHLALVKYLEKDLAYVDGTSKPADKGVKLFNPGETVMSQFSSKDTDTSQISWWYVDTSYEELKKGSYNVKTSDVTFICPYCPRRKQDYLYRELLEHAYMVGRSSSEKKSARERANHLALVKYLENDLIIVDGLSEPVDKGTKLSSGQPEIAQCSNKETGTSASPPINWWYVDKFYKELKKGNHIVQSSDETFSCPYCPKKKKQDYVYRELFDHASGVGQSTSQKRNFREKATHLALMKYLKNDLMHLSDSSKSVNEGNHPVTRVDQSSSQERRVKEDVTHMTLAKDLKKDVMNVRGSSSKPVNEGTIIISPGKTAIGCCSDKDSNMSSSQIGRYVDKFYEELKMGRHCVKTSDETFTCPYCPNKKINRDYVYREILEHASGVGQSRSQKRSFIERANHLALEKYLKKDVMNVGASFPSKPMDQGTITATPGETVTGHYSHKDNNIRATQISGWYVHKSYEALKKGSHIVKTSEMTFSCPYCPNKKRKRDYVFREILEHASGVGQSISQKRSATEKANHLALMKYLEMDLMNVDGTPKTADKGNILFEEQFVWPWTGILVNIPTGQTEDGRCVGETGSKLRDEHRIRGFNPCRVRTLSDIRGHSGTAVMEFNKDWTGLANALAFERAYELDHHGKKDWLANTEQKSGIYAWIARADDYKTNNIIGEQLQKMGDIQTISELMKEEARIHDKLVSCLNNTLQVKKKRLMEMEVKYNETSRRMEIVMGEIDKLTQDHNQEMKKIQSSATQHFQNIFNGHERLKLQLESQKRELELRRIELEKREAHNESESKKLEEEIMENALKNSSLEMAVLEQQKAGENIWKLAADQKRQKEHLRHKIIRLEKQLEVKQKLELEIQQLKGKLNVMQYIEEDEDSEVLNKVDALHKHLREKEQSLRDLDALNQTLIIKERQSNDELQEARKELINGIKEISCRAGVGVKRMGELDIRPFLEAMKKKYNDEDAEERASELCSLWDEYIKDPGWHPFKITIIEGKDQEIIDNEDEKLKALKNEFGEGVYKAVVTALTEINTYNPSGRYTTSELWNYEEGKRATLQDGVKLLLMQYKLSKQKRGTV >Vigun08g149200.2.v1.2 pep primary_assembly:ASM411807v1:8:32145167:32153446:1 gene:Vigun08g149200.v1.2 transcript:Vigun08g149200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSSKDTDTSQISWWYVDTSYEELKKGSYNVKTSDVTFICPYCPRRKQDYLYRELLEHAYMVGRSSSEKKSARERANHLALVKYLENDLIIVDGLSEPVDKGTKLSSGQPEIAQCSNKETGTSASPPINWWYVDKFYKELKKGNHIVQSSDETFSCPYCPKKKKQDYVYRELFDHASGVGQSTSQKRNFREKATHLALMKYLKNDLMHLSDSSKSVNEGNHPVTRVDQSSSQERRVKEDVTHMTLAKDLKKDVMNVRGSSSKPVNEGTIIISPGKTAIGCCSDKDSNMSSSQIGRYVDKFYEELKMGRHCVKTSDETFTCPYCPNKKINRDYVYREILEHASGVGQSRSQKRSFIERANHLALEKYLKKDVMNVGASFPSKPMDQGTITATPGETVTGHYSHKDNNIRATQISGWYVHKSYEALKKGSHIVKTSEMTFSCPYCPNKKRKRDYVFREILEHASGVGQSISQKRSATEKANHLALMKYLEMDLMNVDGTPKTADKGNILFEEQFVWPWTGILVNIPTGQTEDGRCVGETGSKLRDEHRIRGFNPCRVRTLSDIRGHSGTAVMEFNKDWTGLANALAFERAYELDHHGKKDWLANTEQKSGIYAWIARADDYKTNNIIGEQLQKMGDIQTISELMKEEARIHDKLVSCLNNTLQVKKKRLMEMEVKYNETSRRMEIVMGEIDKLTQDHNQEMKKIQSSATQHFQNIFNGHERLKLQLESQKRELELRRIELEKREAHNESESKKLEEEIMENALKNSSLEMAVLEQQKAGENIWKLAADQKRQKEHLRHKIIRLEKQLEVKQKLELEIQQLKGKLNVMQYIEEDEDSEVLNKVDALHKHLREKEQSLRDLDALNQTLIIKERQSNDELQEARKELINGIKEISCRAGVGVKRMGELDIRPFLEAMKKKYNDEDAEERASELCSLWDEYIKDPGWHPFKITIIEGKDQEIIDNEDEKLKALKNEFGEGVYKAVVTALTEINTYNPSGRYTTSELWNYEEGKRATLQDGVKLLLMQYKLSKQKRGTV >Vigun08g149200.3.v1.2 pep primary_assembly:ASM411807v1:8:32145593:32153440:1 gene:Vigun08g149200.v1.2 transcript:Vigun08g149200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKPFLFTFIFFCTACCLRGSSYLCTDIVLSLGETIMVQCSNKDIDTSTSRLSWWYVDISYQELKKGTYKVKNSDETFICPYCPERKQDYKYRELLNHASGVGRSSSEKRTAKEKANHLALVKYLEKDLAYVDGTSKPADKGVKLFNPGETVMSQFSSKDTDTSQISWWYVDTSYEELKKGSYNVKTSDVTFICPYCPRRKQDYLYRELLEHAYMVGRSSSEKKSARERANHLALVKYLENDLIIVDGLSEPVDKGTKLSSGQPEIAQCSNKETGTSASPPINWWYVDKFYKELKKGNHIVQSSDETFSCPYCPKKKKQDYVYRELFDHASGVGQSTSQKRNFREKATHLALMKYLKNDLMHLSDSSKSVNEGNHPVTRVDQSSSQERRVKEDVTHMTLAKDLKKDVMNVRGSSSKPVNEGTIIISPGKTAIGCCSDKDSNMSSSQIGRYVDKFYEELKMGRHCVKTSDETFTCPYCPNKKINRDYVYREILEHASGVGQSRSQKRSFIERANHLALEKYLKKDVMNVGASFPSKPMDQGTITATPGETVTGHYSHKDNNIRATQISGWYVHKSYEALKKGSHIVKTSEMTFSCPYCPNKKRKRDYVFREILEHASGVGQSISQKRSATEKANHLALMKYLEMDLMNVDGTPKTADKGNILFEEQFVWPWTGILVNIPTGQTEDGRCVGETGSKLRDEHRIRGFNPCRVRTLSDIRGHSGTAVMEFNKDWTGLANALAFERAYELDHHGKKDWLANTEQKSGIYAWIARADDYKTNNIIGEQLQKMGDIQTISELMKEEARIHDKLVSCLNNTLQVKKKRLMEMEVKYNETSRRMEIVMGEIDKLTQDHNQEMKKIQSSATQHFQNIFNGHERLKLQLESQKRELELRRIELEKREAHNESESKKLEEEIMENALKNSSLEMAVLEQQKAGENIWKLAADQKRQKEHLRHKIIRLEKQLEVKQKLELEIQQLKGKLNVMQYIEEDEDSEVLNKVDALHKHLREKEQSLRDLDALNQTLIIKERQSNDELQEARKELINGIKEISCRAGVGVKRMGELDIRPFLEAMKKKYNDEDAEERASELCSLWDEYIKDPGWHPFKITIIEGKDQEIIDNEDEKLKALKNEFGEGVYKAVVTALTEINTYNPSGRYTTSELWNYEEGKRATLQDGVKLLLMQYKLSKQKRGTV >Vigun03g023500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1738894:1739439:1 gene:Vigun03g023500.v1.2 transcript:Vigun03g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTKNSNTNNNKSISFLPFDVPSAPKEQHSFEMSDSNSCSDASDEEEEVSLEELLNDGNAKKKIELLAAMVGVNTTEPAIVLTEVVRALKLFNHYYKQF >Vigun07g233201.1.v1.2 pep primary_assembly:ASM411807v1:7:35490547:35496715:-1 gene:Vigun07g233201.v1.2 transcript:Vigun07g233201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLCNAACADLTGAGRKIGGCRKKNPSKTIKSCSRYFRKGWVPKEKLTVQVETPNICLQLYTNARRSIMSLKLFVYWKYQLNPRPVI >Vigun09g187500.1.v1.2 pep primary_assembly:ASM411807v1:9:36135412:36139525:-1 gene:Vigun09g187500.v1.2 transcript:Vigun09g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKPSPSSSSSSPFLCPTKAHIITPDPKLKNHPPLHRQLQDELHRWPTPNEVIAEMKALGKISCPTALTGLLLYSRAMISMLFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKTLGLTLQRTVLLLLSTSIPISLTWLNMKSILLWCGQDHQISSTAQTFILFSIPDLFLLSLLHPLRIYLRTQSITLPLTYCSALSLLLHLPLNFLLVVHFKMGVSGVAIAMVWTNLNLFLFLSSFVYFSGVYKDSWVPPSSDCLRGWSSLLALAVPTCVSVCLEWWWYELMIILCGLLLNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPARARISMIVSLACAVALGLAAIAFTTLMRHQWGRFFTADTDILDLTASVLPIVGLCELGNCPQTTGCGVLRGSARPTVGANINLASFYLVGMPVAVLLGFVVKMGFPGLWLGLLAAQASCASLMIFVLCTTDWNAQVQRANDLTTANSASPKLPTTLTPTTSNHVSLPETLATHDHLPHTPSLETDPLIIHTVN >Vigun08g080400.3.v1.2 pep primary_assembly:ASM411807v1:8:16695153:16710297:1 gene:Vigun08g080400.v1.2 transcript:Vigun08g080400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCDVGTLPSSTVADAHLNNDVKIFYRTYGGGPTKVLLIIGLAATHEAWGPQIKALTGTTVLNDDDDVVWNGEEGNGGIHVCAFDNRGVGRSSVPVEKSEYSTKIMAKDAIALLDHLGWKRAHIFGHSMGAMIANKVAAMFPDRVLSLALLNVTGGGFQCFPKLDRQTFSVAYRFLKAKTPEQRAAVDLDTHYSQEYLEEYVGTDKRRAILYQQYVKGISATGMQTNYGFDGQLNACWTHKMTDDEIEVIKSAGFLVSIIHGRHDIIAQIYYAKRLAQRLYPVARMVDLHGGHLVSHERPEEVNQALFDLIKASEANMNPQDWTNLPKKQSCKYSRL >Vigun08g080400.1.v1.2 pep primary_assembly:ASM411807v1:8:16695153:16710296:1 gene:Vigun08g080400.v1.2 transcript:Vigun08g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCDVGTLPSSTVADAHLNNDVKIFYRTYGGGPTKVLLIIGLAATHEAWGPQIKALTGTTVLNDDDDVVWNGEEGNGGIHVCAFDNRGVGRSSVPVEKSEYSTKIMAKDAIALLDHLGWKRAHIFGHSMGAMIANKVAAMFPDRVLSLALLNVTGGGFQCFPKLDRQTFSVAYRFLKAKTPEQRAAVDLDTHYSQEYLEEYVGTDKRRAILYQQYVKGISATGMQTNYGFDGQLNACWTHKMTDDEIEVIKSAGFLVSIIHGRHDIIAQIYYAKRLAQRLYPVARMVDLHGGHLVSHERPEEVNQALFDLIKASEANMNPQDWTNLPKKQSWWKEKRVLIITNNQAGRNDSLKCYVLEKLQIFLLYFFGLLMLAFEYGRKLLRRLKPVRVGGSTSYTESQ >Vigun08g080400.2.v1.2 pep primary_assembly:ASM411807v1:8:16695153:16708964:1 gene:Vigun08g080400.v1.2 transcript:Vigun08g080400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCDVGTLPSSTVADAHLNNDVKIFYRTYGGGPTKVLLIIGLAATHEAWGPQIKALTGTTVLNDDDDVVWNGEEGNGGIHVCAFDNRGVGRSSVPVEKSEYSTKIMAKDAIALLDHLGWKRAHIFGHSMGAMIANKVAAMFPDRVLSLALLNVTGGGFQCFPKLDRQTFSVAYRFLKAKTPEQRAAVDLDTHYSQEYLEEYVGTDKRRAILYQQYVKGISATGMQTNYGFDGQLNACWTHKMTDDEIEVIKSAGFLVSIIHGRHDIIAQIYYAKRLAQRLYPVARMVDLHGGHLVSHERPEEVNQALFDLIKASEANMNPQDWTNLPKKQSSWWVVSVM >Vigun05g005700.1.v1.2 pep primary_assembly:ASM411807v1:5:479266:480322:1 gene:Vigun05g005700.v1.2 transcript:Vigun05g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPNLLPTFNSKALTFPSVKFKPSLSFPLSRSHRPPLTTPTRFTLAASAAETVVAQEEAPPTPQPEKLGVVVKAPEKPRLVLKFIWMEKNIGIALDQTIPGHGTIPLSPYYFWPRKDAWEELKELLESKPWISQKQMIILLNQATDIINLWQQSGGNLS >Vigun10g085250.1.v1.2 pep primary_assembly:ASM411807v1:10:24309506:24314016:1 gene:Vigun10g085250.v1.2 transcript:Vigun10g085250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTFTSLLTNDKQFAALHLPPKAPTSNKNKSIVNEGGEILFQARNVLKTHENPSLMYLGTEHVLAPPNDDVEDLDRDSDSKLEAQMKRKQANRVYSARYRLRKQAKAQNLKNQAEALEAEVAMFRSQLLYWKSIHDGIAEENAVIRVQCDSASKLVAEKEALNWALRQEIDMLTQGC >Vigun05g165100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27006566:27008615:-1 gene:Vigun05g165100.v1.2 transcript:Vigun05g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDSPHIHLNLSDYKHPFRLMFGFGYNEWNDTYQVVLLDNNNKNQSQKLEVRVCCLGDTSWRNTLTCDAVATVGRRRWRETCDAFVSGTLNWLVFPKSRCSDDERGGTKMNELEIFCYDLKKKTCSCFSMPDGILEVPPFDTELKVLNGCLCLSHHDDNFVVWLKRDFSDEKSWSKLLNYKNRPSTCYCCRHYMEIICMREKDDVVLLAETGLQAEFTLCNIRDNRIKVRQIYNENKQSLFL >Vigun11g197700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39666435:39666797:1 gene:Vigun11g197700.v1.2 transcript:Vigun11g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFYPKKSAEWKQELTLTEQTMETASLSVNLHLFVIFGIVLSLLWLSHYTTYKSQQNHIALYVQLLLSLSPILLILFLLSYSICGRLNFCFMPSTAVSPWNLAATIATVITLLLIFILF >VigunL012675.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:54103:54435:1 gene:VigunL012675.v1.2 transcript:VigunL012675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun03g202208.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31348626:31348949:1 gene:Vigun03g202208.v1.2 transcript:Vigun03g202208.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVKAAEKQSTSSLPFDESVGGKMNVDFIFFSDGRVTKFLHMLMVDILLVIGERDFEVEGSNQTLARRRHVFRNCPRATSRGSTPLRWPSTRIMWRGGHRASDPQS >Vigun10g143500.1.v1.2 pep primary_assembly:ASM411807v1:10:36079602:36082499:1 gene:Vigun10g143500.v1.2 transcript:Vigun10g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVEDFNMERVMEEFERVTKDAERIQRETLKRILEDNASVEYLLNLGLNGRTDPESFKAFVPLVTHKDLEPYINRILDGDTSSVLTGKPITAMSLSSGTTQGKPKFVPWNEELFDTTVQIYHTSFAFRNREFPMNNGKALGFVYSSKQFKTEGGVLAGTATTNVFRSPGFRTAMKAIQSPFCSPDEVIFGPDFHQSLYCHLLCGLIFREEVHMVSSTFAHSIVSAFRTFEQVWEELCVDIKEGVLNSKITVPSVRIAMSKLLKPDPELANLIHNKCMGLSNWYGLIPELFPNVKYVYGIMTGSMEPYLKKLRHYAGELPLLTSDYGSSEGWIGTNVKPRVPPELATYTVLPQIGYFEFIPMREPAETNANGSFLCMDPKPVGLTDVKVGEEYEVVITNPAGLYRYRLGDVVKVMGFHNSAPEVKFVRRSNLLLTINIDKNTEKDLQIAVEAASQLLAEEKLEVIDYTSHIDLSKEPGHYVIFWEISGEASEEVLGGCCNCMDKAFVDVGYTSSRKVNCIGALELRVVRKGTFQKILEHYVALGSAANQFKTPRYVGPTNTRVLQILNENVVKNYLSTAFN >Vigun04g189366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41347049:41349109:-1 gene:Vigun04g189366.v1.2 transcript:Vigun04g189366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEECERKTAAFPRLEFLKVYQCPKLKGLPDQLVKVKYLDICGSMKASCFERCEHTVSHNSLEDLNFCDFLIMNIPMSCSFDLLEQIGIISSCVSLTTFPLDFFPNLKSLFLLECRNLQIISQKHTHNRLMHLTIVSCSRFESFPSEGVSAPRLQIIKIDGAGNLKLLPKRMQILLPSLSELQIINCPKVEMFPDEGLPPNVKDVSLSSFKLMASLRQTLGTNTCLQSLYIKNMDVKFFTDEILLPHSITSLRIDHCPNLKKMHYKGLCHLSSLTLHACPNLQCLPEDGLPKSISSLEIWNCPLLEQRCQNPQGQDWKKIAHIEKLSVRSKF >Vigun06g155300.1.v1.2 pep primary_assembly:ASM411807v1:6:27888790:27896251:-1 gene:Vigun06g155300.v1.2 transcript:Vigun06g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSFVEVHPDSHFPIQNLPYGVFKPQPSSSPRPGVAIGDYVLDLSEIASAGLFDGPLLRNSDSFLQPNLNKFVSLGRPAWKEARATLQKLLSATEPTLRDNKELRQKALVPVSSVELLLPVVVGDYTDFFTSLHHTKNCGLIFRGPQTPVLDNWYRLPIAYHGRASSIVISGTDIVRPRGQAHPSGGSTPYFGPTLKLDFELEMATIVGPGNELGKPVDINNAEDHIFGLVLMNDWSARDIQAWEYIPLGPFLGKSFGTTISPWIVTLEALEPFASQAPKQDPPPLPYLTEKVSKSYDISLEAHIKPAGHEDSGVVTRTNLNHLYWTLTQQLAHHTINGCNLRPGDLLGTGTVSGPERESGGCLLELTWNGQNALSVNGLERKFLEDGDEVILTGYCKGSDYTIGFGTCSGKVVPAAP >VigunL074866.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:212331:213341:1 gene:VigunL074866.v1.2 transcript:VigunL074866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLTIRNTQIQIMHNILFESRSWDGLGHIDEGRLGTKYTTVWKMFKRLSCFSSFLSPGHSKGSNSHEATSLSLSSFPSCHSVPSDFSDEGDPHAHSSFPAPFLGRASLSPFWGSSYFFSRREQIACCPTKESPFVNLPSTPFLLIFFFRGLPLPFGGLPLPSGGLPSPPGVSPFPPGVSPFPPPSPSWTPL >Vigun03g329000.1.v1.2 pep primary_assembly:ASM411807v1:3:52601208:52605006:1 gene:Vigun03g329000.v1.2 transcript:Vigun03g329000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQSVKQRVFACLTKLSDRDTQSLATAELESIARCLDAATVPAFLSCMYSTDPSDKPPVRKQCVQLLGFLAETHGNMLAPYLSKIIGSVVRRLRDADSSVRSACVSSVAALSRHVNRQPLHSFVKPLAEALFTEQDQNAQVGAALCLAAAIDGAPDPDPARLAKLLPRFEKLLKRDGFKAKPGLLTLVGSVVASGGASGHAPLKSLVPCLVEALGSDDWATRKAAAETLVVVANVERDFLSEFKADCVRVFENRRFDKVKLVREVMNQMLEAWKQIPDVSDEVTPPPKSQPSSKENSSDGRYPAVSQNSCSPRSVMANLRKKTTPFSRFSPADSSSPSSAKNRSASSGNNKRTSSIVSRKLNNKNWDTQVAVVDQGDLQEMDGIVLESNKMDKSRFSKPEMKRALLYRGSDDRIHKYGGSKAGSRVVPYHEESQDSVPVSDVSKDLHRNDKESEDLSLIRDQLHQIEKQQSSLLDLLQKFMGSSQNGMRSLETRVHGLELALDEISYDLAISSGRMTKSDVHGNTCCMLPGAEFLSSKFWRKTHDRHSIPRFSRSGGITSSLAASNYQADKNGETKLTNHRFRLDGGFITNPLAEIHADSRNFATSELV >Vigun05g237100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43017795:43019418:-1 gene:Vigun05g237100.v1.2 transcript:Vigun05g237100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAPRLCVNNCGFFGSPATQNLCSKCYRDLQLNEQQSSNAKMVLNQSLVVPAAPAPVSQPSSSKAADPASAVVEEAPRAAEEAKAPQQNRCMTCRRRVGLTGFKCRCGMMLCGTHRYPEQHACEFDFKGMGRDQIAKANPVVKGEKLEKI >Vigun07g174200.2.v1.2 pep primary_assembly:ASM411807v1:7:28933772:28937588:1 gene:Vigun07g174200.v1.2 transcript:Vigun07g174200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVNHVFKKYLHQLQHHPLRTKAITAAVLAGFSDAVAQKISGAKKLQLRRLLLFMLYGFAYSGPFGHFLHKLMDKIFKGQKGNETVAKKVILEQITSSPWNNFFFLMYYGLVIEGRPWSTVTDKIKKDYPSVQLTAWKFWPIVGWVNYQYMPLQLRVVFHSFVAACWGIFLNLKARSVAIKKA >Vigun07g174200.1.v1.2 pep primary_assembly:ASM411807v1:7:28933904:28937588:1 gene:Vigun07g174200.v1.2 transcript:Vigun07g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVNHVFKKYLHQLQHHPLRTKAITAAVLAGFSDAVAQKISGAKKLQLRRLLLFMLYGFAYSGPFGHFLHKLMDKIFKGQKGNETVAKKVILEQITSSPWNNFFFLMYYGLVIEGRPWSTVTDKIKKDYPSVQLTAWKFWPIVGWVNYQYMPLQLRVVFHSFVAACWGIFLNLKARSVAIKKA >VigunL059858.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:30855:31457:1 gene:VigunL059858.v1.2 transcript:VigunL059858.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRDSFSGFRFRVSGLGFGFGVSSFGLQVTGFGSHVSGVVFQVSGFGFRILAFEFRVSDLGIRVWVFGFWGLRVTDFGFRVSTFRLSFGFGILSFGFRVSGLEFRITSFLFRVSNLGFMVLAFRDSIFGFQISGFGFGFWVWGFEFRVTSYKLRVLGLMFRVSYFRFLVSGFGFLVSSFGFLVSSFAIGFGVSGLGF >Vigun09g190200.1.v1.2 pep primary_assembly:ASM411807v1:9:36491104:36495304:-1 gene:Vigun09g190200.v1.2 transcript:Vigun09g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTKLRQQALFIRTSLFDEGFLDEQFIQLEELQDDVNPNFVEEIVTLFYSDSVRLIYNIERGLMSNPPNFTKLDDYMHQFKGSCSSIGAKKVKTECGRFSEYCAAENFEGCFRTFQQINVEYTTLKKKLQTYFQLMKESCSD >Vigun09g150600.2.v1.2 pep primary_assembly:ASM411807v1:9:31482782:31489602:1 gene:Vigun09g150600.v1.2 transcript:Vigun09g150600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDDELSLRHHIDSKKMDELRSPEHDNQLERRMRKKRDDYSDGDKHLDNVGDGYDRHLSSRDEAKDGKKKDDRRKEEKYRDKYKEEIDRENKHRHDKQRDERPAKDHTTIRSDDKHSREEKNSLESRQKRTKLPESDREHNRDRDGDHDLDSVRDPAHHSERERDRDYDVDRDHDYDRDRDWDWDRERDHERERDRDRDRRRDRDGSHVDDRSARGKDSGTKKRTLDDRDDYTDSKSRAVKSYYPDAEKRSLSTSRADSDVDRGRSQHRQAHADSTGTSNKHRSSPASNTHIGKDDYRNAKAEDSKYRDPTVEQRTKGSREGYSGTSDRGPKYKLMEKPIKIDESHAADLSTERSSSTKASPGGLMDRSPSSTSIDRRYVNRSGVRRNLEIDENGRRNSTDVRDFSTSDDRLGRESTLEKPLLDEPSQADSSLYGRTSQSNASQIPPPPGFRATLDRPYMGSLDDDVRDNSNSRYRRSSEPGFGRGHGGNSWRAVPNWNSPLPNGFVPFPPGPAHGGFQTMVPQFTSQPLFGVRPPMEVNHAGIPYHIADADRFPGHLRPLGWPNLMDGTGTAHLHGWDSSNGVFRDDPHLYGSSDWDRNRHSANSHGWESGPETWKEQNCDSKKELPSPVRKDESVPALADNGLTDLTSQMSQDEHNREELAEKSPERKLSSLSSPAEVQQHSLSSTLLEKEADTLTPSDDTSLFSRFYLSKLDISVDLVSPELYDQCVCALNVDKSASVDAIASTELLFKNGSRTRQKYAATLSRRSPFPEIDNSIFQIAMDLYKKHTVKLPNKGEVDNIVVSSMMQADQSIPITSLENGHASVSASSGIIDVPLPTLESGKVETMSPAKEQLEEINQTCSQMEQDHDNSGMSCPSSGHVDQAAAVAGLQEKEPKISTDTVDSGDAEEDYSLAAKNEAQLASTLHAEGDNIDCKAKTTGFAHCADEKLGFGDTKVNPNPLIVEDGSPKACDALMPGSNESESLILSRIHHSPESTH >Vigun09g150600.1.v1.2 pep primary_assembly:ASM411807v1:9:31481028:31489602:1 gene:Vigun09g150600.v1.2 transcript:Vigun09g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKHKSSKHSSRDAREHSDSERDSGAKDRRSKEESGSAKASKDSGSGEKRRLDSKEAHGNGEYSDEYASSSKRRKDGGGGDRWNGGEEGTKKSKAAGDSKSRRRDGSVGVYGEGEELKRSSGKGDGKHRDSASGRSREGATEKERKFKEGGRSEESVDEQEQRVSKQVFEINDSKKMDELRSPEHDNQLERRMRKKRDDYSDGDKHLDNVGDGYDRHLSSRDEAKDGKKKDDRRKEEKYRDKYKEEIDRENKHRHDKQRDERPAKDHTTIRSDDKHSREEKNSLESRQKRTKLPESDREHNRDRDGDHDLDSVRDPAHHSERERDRDYDVDRDHDYDRDRDWDWDRERDHERERDRDRDRRRDRDGSHVDDRSARGKDSGTKKRTLDDRDDYTDSKSRAVKSYYPDAEKRSLSTSRADSDVDRGRSQHRQAHADSTGTSNKHRSSPASNTHIGKDDYRNAKAEDSKYRDPTVEQRTKGSREGYSGTSDRGPKYKLMEKPIKIDESHAADLSTERSSSTKASPGGLMDRSPSSTSIDRRYVNRSGVRRNLEIDENGRRNSTDVRDFSTSDDRLGRESTLEKPLLDEPSQADSSLYGRTSQSNASQIPPPPGFRATLDRPYMGSLDDDVRDNSNSRYRRSSEPGFGRGHGGNSWRAVPNWNSPLPNGFVPFPPGPAHGGFQTMVPQFTSQPLFGVRPPMEVNHAGIPYHIADADRFPGHLRPLGWPNLMDGTGTAHLHGWDSSNGVFRDDPHLYGSSDWDRNRHSANSHGWESGPETWKEQNCDSKKELPSPVRKDESVPALADNGLTDLTSQMSQDEHNREELAEKSPERKLSSLSSPAEVQQHSLSSTLLEKEADTLTPSDDTSLFSRFYLSKLDISVDLVSPELYDQCVCALNVDKSASVDAIASTELLFKNGSRTRQKYAATLSRRSPFPEIDNSIFQIAMDLYKKHTVKLPNKGEVDNIVVSSMMQADQSIPITSLENGHASVSASSGIIDVPLPTLESGKVETMSPAKEQLEEINQTCSQMEQDHDNSGMSCPSSGHVDQAAAVAGLQEKEPKISTDTVDSGDAEEDYSLAAKNEAQLASTLHAEGDNIDCKAKTTGFAHCADEKLGFGDTKVNPNPLIVEDGSPKACDALMPGSNESESLILSRIHHSPESTH >Vigun08g053300.1.v1.2 pep primary_assembly:ASM411807v1:8:6364415:6371606:-1 gene:Vigun08g053300.v1.2 transcript:Vigun08g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRETTLLVVLLLLIHRSHARCLPSSCGNITHVSYPFRLQADPEKCGNKRYELVCENNVTVLYLHSAKYHVQAINYNNYTVRVVDPAIQHHNCSSLPLRSLSRSNFSDTYDDYTDPYQAGLRAYGNWKALSFEHIVFLNCNHSLRGNGKYVETEKCVKWESKGYAYAVGGDLKAEDFEVGCDVKLVAPTSLWTFNNHSYASMHRGLAYGFEISWPTFRIVDVLTLQASGVFALVIVFALVIVVWACKIVFGVLLIVALVICKWKKRCASMFENIENYLEQNSLAPIRYSYKEIKKMTGSFKEKLGEGGFGYVFKAKLCSGPFVAIKMLGRSKGNGQDFINEVATIGRIHHQNVVQLIGFCVSGSKRALVYEFMSNGSLDKLIVSKEESAQLSYEKIYNISVGVARGVAYLHHGCEMKILHFDIKPHNILLDENFIPKISDFGLAKLYPIENSIVTMTTARGTIGYMAPELFYKNIGGISHKADVYSFGMLLMEMASKRKNLNPHVERSSQLYFPFWIYDHIREEENIDIQDLTEEEKKIAKKMIIVALWCIQLKPNDRPSMNCVVKMLEGNIEDLEIPPEPTLYPDETSTYDQTINSASTTHSFI >Vigun11g067231.1.v1.2 pep primary_assembly:ASM411807v1:11:18563642:18564340:-1 gene:Vigun11g067231.v1.2 transcript:Vigun11g067231.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVHVPIHSIIRGSSLINGGGGAGGGGRGGGACVGGGGGSRGSCGDGRGGGGGGGGCGGGGGGSGDGGGRGRGGGGRGGGGRGGGRGGDGGGGRSYDKGGIGRGGGCDGGGGGRGNGGRGGGGGGGDSVVSGGRGGGGGEGSGGGARGGCACVGGGGGYRGCGDGRGGGGRSGGGAVVVVVVVVLVLVVMVDVGLSCSF >Vigun04g043800.1.v1.2 pep primary_assembly:ASM411807v1:4:3764843:3768932:1 gene:Vigun04g043800.v1.2 transcript:Vigun04g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSPAFRYDVFLSFRGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEEITPALQKSIEESRIAIVVLSQNYASSSFCLDELVTILHCHTQGLLVIPLFYKVKPSDVRHHRGSYGEAVSNHQKRFKDKKEKVHKWKMALRHVADLSGCHFKEGDGYEYKFIGSVVDEVCHKINPTRLHVTDYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIAVCFDGSCFLQNVTEKSNKHGLEHLQSILLSKILGDKDINIASEHEGISMIHQRLQRKKVLLILDDVDKCEQLQRLVGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLTWKAFKTEHVNPSYVEVLNGVVTYASGLPLVLEVIGSNLFAKGVEQWKSAINQYKRIPNKKILEIMKVSFDALEEEEKSVFLDIACCFKGYKLTEVEIMLRALYDDCMKHHIGVLVEKSLIKVSRGGTVELHDLIKDMGRQIDQKESPKEPGKRKRLWLPKDIIQVLKCNKGTGEIEMVCLDLSPSDRGEVVEWNGMAFQDMENLKILIIRNCKFSKGPKYLPNKLTVLEWWRYPSPCLPYDFNANKLVICKLPDSCFTSFGFHGSSKKFENLTVLKFDYCKHLTRIHDISDLPNLEKLSFKWCESLVSVHNSVGFLTKLKILIAERCEKLRRFLPLNLTSLERLELSYCSSLENFPEILGNMGNIRKLSLLKLPIKELPDSFQNLTGLQELDLNCDFVHLSGNVLTPELTRLYVLTCKEWKWLKSKEGEEDVGSTVSSNVQSFWGSCNLDDEFFSAGFTQLAQVRQLWLVNGNVTFLPERMKEFHHLNALDVSYCENLHEIRGLPPNLKSFRAIKCTSLTSLGSSMLLNQQLHEVGGTEFIFPGGRIPEWLDKQSNGPSISFWFRNKFPAKVLCLLIAPVMCSSLFVRPMVFIHGKVTKCLSNPMKTKVEMLESDYTHLFDLRRFLSHGALMEVGLENEWKHVEVTYEGVFESSVIKAMGIHVVKDKNINMEDIRYDDPYTNIKVENQE >Vigun06g166500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28859697:28861733:1 gene:Vigun06g166500.v1.2 transcript:Vigun06g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISISACACKQELPLVSFQKGLTMESLFHHRNKDKVVVIMGATGTGKTKLAVDVAKHFQPAEIVNSDKMQVYKGLDITTNKVTEEECGGVPHHLLGTVDPNINFTASDFCRHATSAIDSIVERNGLPIIAGGSNSYLDTLVNHHAEFRLRYQCCFLWVDVSLPVLHSSLQARVDRMIDAGQVNEVRDFFDPRADYTRGIRRAIGVPEFHDFLRAEASGTEDHTTTKRLLEAAIARIKINNCTLANRQIQKIHRLHGLWKRNMHRLDATEVFLGSPDAWHDHVLAKSLVVLHKFLFEEKKTHVPAGIVSPKDVIAAVSAPSVAMAATH >Vigun01g091100.1.v1.2 pep primary_assembly:ASM411807v1:1:25194313:25201328:1 gene:Vigun01g091100.v1.2 transcript:Vigun01g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETTSIDYVMEAASGAHFSGLRLDGLLPSSATAAATPDSTLAPDSHPNRPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLRPEELERVHEYNFDHPDAFDTEQLLECMGKLISGQGVHVPIYDFKKHQRSSDSFRQVNASDVIILEGILVFHDQHVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPENHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVELNEEYRVIPGLGEFGDRYFGTDD >Vigun09g220700.1.v1.2 pep primary_assembly:ASM411807v1:9:39445022:39448107:1 gene:Vigun09g220700.v1.2 transcript:Vigun09g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGTPAHVSSNRPLQCSTRKAKRVRCAASSPRWREGRRTVSFSLLLSHLLLIPHRAAVLASPFDNYVKRKKLDPLEAYVPAVILTEFQIKDLEKTLEGDEPQYDFCRSLLRSGPAASLRVNIRAVAQYASDGGNGKTAFNDVDECLRSLEELDSLLLHATRKDPEASVKSMKAKINSALNALDSLLKTVPSDVLSKGKVIADSYREPEGEETGSLDPELKQLESIL >Vigun11g019200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2376235:2380098:1 gene:Vigun11g019200.v1.2 transcript:Vigun11g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVKSKSKSQEKASARAGKEQHKTSPKTSVSPTHGSGVPASPQQNPILGTFQTPETLLVASSIRVHDTGNFTKVEDADEHSSSPLGAVSESDSVSINGSCSGESEESKEKVAVTNSSTRPDSVPGCDSDRREKIRLKNERKHQRQRERRAQELHDRCRGYLLSRKLESLAQQLVAMGFSSERATLALMLNDGKLEQSVSWLFEANEEQSQTKDSTSLVSEGNLKIDITDELAQISALEVKYNCSKQEVERAIVACEGDLQKAENTLKTQKQEHHGTQSKSEDSGQNSNSPIRSQGLPAESVSMQQRESESDFNHYNKDKDGGSDSMFPDLESGNVQSVQPNHPNFTTERRWSGVPGSSPSSAMLAMAPSMQAVSPLIKMEAGMPIGVANEGRMIQQGLGREPVMMQHPQFTNAKQNSMVSLNSFPSGAAGWYVNSIPGVENVRSNGKLLQTQSVRCISPNHLEQFCQAPYKEYSHFFGPVDYSSAGVGGFCKPMGASSTPPPSIPPPRHHGSWSMNAPPSPALAVPTSLGLFSGHHSAARTFSSNPHMDWNTGGLMPEFDYTSIDWSLNVTSSSGGACLGISSLLRNSYGNRRSSPCMSGLQNVGMNRETSSSAGLREWTTPFAGKDLFSVPRQFVTSPPM >Vigun02g078900.1.v1.2 pep primary_assembly:ASM411807v1:2:23096210:23099363:-1 gene:Vigun02g078900.v1.2 transcript:Vigun02g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEQWKEQLSHLSHLAMDRLREVPPNQLYVAAAIAIFTTLLLLSVRLFKRSKSNTVVLTGLSGSGKTVLFYQLRDGSTHEGTVTSMEPNEDTFILHNEKTRKGKIKPVHIVDVPGHSRLRPKLDEFLPQAAGVVFVVDALDFLPNCRAASEYLYDLLTKGSVVRKKIPVLILCNKTDKVTAHTKEFIRRQMEKEIDKLRASRSAISDADVANEFTLGVPGEPFSFTQCSNKVTAADASGLTGEISQLEEFIREHVKQ >Vigun05g124500.1.v1.2 pep primary_assembly:ASM411807v1:5:13899564:13900139:1 gene:Vigun05g124500.v1.2 transcript:Vigun05g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFYHPFLFSVLFVALVLISGEVAVVEAAKGMIDDLKCLGACTPSCKVDCQAKGFMNGYCIQQGSLLQCCCL >Vigun04g087800.1.v1.2 pep primary_assembly:ASM411807v1:4:15207009:15210054:1 gene:Vigun04g087800.v1.2 transcript:Vigun04g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSCFILLFALSLLTLSFISSSAVPDPELVVQEVQRSINASRRNLGYLSCGTGNPIDDCWRCNPNWQNNRQRLADCAIGFGKDAIGGKNGRIYEVTDSGDDDAVNPKPGTLRYAAIQDEPLWIIFKRDMVITLKQELLVNSFKTIDGRGASVHIAGGGCLTIHYVSNVIVHGIHIHDCKPTGNTNIRDSPEHSGFWTKSDGDGISIFNSKHIWVDHCSLSNCQDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDIRFSKEVTKHEDAPQSEWMSWNWRSEGDMFLNGAYFRQSGAGASSIYARASSLSARPSSLVGSMTVTAGALTCRKGNRC >Vigun07g206400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32797509:32800822:-1 gene:Vigun07g206400.v1.2 transcript:Vigun07g206400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGGLCANNCKTSSEPPPFYGFGIESPFNEFNPPSPAIQSVLPGQSNTDVEIPDRENCLLSDSSNTSKLQDWNPSAMLNNLSFLEEKIHQLRDLVHLIVNKKCQPFGQSHELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTNPLDQLHGINLPFGSGPSSGINQQNNSGNKLFDKSTPHDLPNTCEMEQNYNMEEHEPKDEEDVDEGESLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEIGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKGVAEPPDPQNRECSNKVGSVNFCFGSKPSSENVVQNMMDMKGNIDDPMNYFSSLNFEGCNFGAFSELPQTPFEDSEGSFSFLMSGSFNYAPKFGGGESSSDNLL >Vigun07g206400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32797509:32800822:-1 gene:Vigun07g206400.v1.2 transcript:Vigun07g206400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGGLCANNCKTSSEPPPFYGFGIESPFNEFNPPSPAIQSVLPGQSNTDVEIPDRENCLLSDSSNTSKLQDWNPSAMLNNLSFLEEKIHQLRDLVHLIVNKKCQPFGQSHELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTNPLDQLHGINLPFGSGPSSGINQQNNSGNKLFDKSTPHDLPNTCEMEQNYNMEEHEPKDEEDVDEGESLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEIGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKGVAEPPDPQNRECSNKVGSVNFCFGSKPSSENVVQNMMDMKGNIDDPMNYFSSLNFEGCNFGAFSELPQTPFEDSEGSFSFLMSGSFNYAPKFGGGESSSDNLL >Vigun07g206400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32797509:32800655:-1 gene:Vigun07g206400.v1.2 transcript:Vigun07g206400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGGLCANNCKTSSEPPPFYGFGIESPFNEFNPPSPAIQSVLPGQSNTDVEIPDRENCLLSDSSNTSKLQDWNPSAMLNNLSFLEEKIHQLRDLVHLIVNKKCQPFGQSHELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTNPLDQLHGINLPFGSGPSSGINQQNNSGNKLFDKSTPHDLPNTCEMEQNYNMEEHEPKDEEDVDEGESLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEIGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKGVAEPPDPQNRECSNKVGSVNFCFGSKPSSENVVQNMMDMKGNIDDPMNYFSSLNFEGCNFGAFSELPQTPFEDSEGSFSFLMSGSFNYAPKFGGGESSSDNLL >Vigun07g206400.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32797569:32800639:-1 gene:Vigun07g206400.v1.2 transcript:Vigun07g206400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGGLCANNCKTSSEPPPFYGFGIESPFNEFNPPSPAIQSVLPGQSNTDVEIPDRENCLLSDSSNTSKLQDWNPSAMLNNLSFLEEKIHQLRDLVHLIVNKKCQPFGQSHELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTNPLDQLHGINLPFGSGPSSGINQQNNSGNKLFDKSTPHDLPNTCEMEQNYNMEEHEPKDEEDVDEGESLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEIGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKGVAEPPDPQNRECSNKVGSVNFCFGSKPSSENVVQNMMDMKGNIDDPMNYFSSLNFEGCNFGAFSELPQTPFEDSEGSFSFLMSGSFNYAPKFGGGESSSDNLL >Vigun07g206400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32797509:32800655:-1 gene:Vigun07g206400.v1.2 transcript:Vigun07g206400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGGLCANNCKTSSEPPPFYGFGIESPFNEFNPPSPAIQSVLPGQSNTDVEIPDRENCLLSDSSNTSKLQDWNPSAMLNNLSFLEEKIHQLRDLVHLIVNKKCQPFGQSHELVTQEQQLVTADLTSIIVQLISTAGSLLPSVRHTLTNTNPLDQLHGINLPFGSGPSSGINQQNNSGNKLFDKSTPHDLPNTCEMEQNYNMEEHEPKDEEDVDEGESLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEIGSEPKLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDIKGVAEPPDPQNRECSNKVGSVNFCFGSKPSSENVVQNMMDMKGNIDDPMNYFSSLNFEGCNFGAFSELPQTPFEDSEGSFSFLMSGSFNYAPKFGGGESSSDNLL >Vigun01g191300.3.v1.2 pep primary_assembly:ASM411807v1:1:36965328:36968650:-1 gene:Vigun01g191300.v1.2 transcript:Vigun01g191300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQEKKLPGVGFKKLKKILKRCRKNSPSQKPLNAPLAAKTCPDQCPVCDGTFFPSLLNEMSDVVGCFNQRAQKLLELHLASGFRKYFFWIKGRLQGNHSALIQEGKDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKVKSMKAHALFDGCSLTFKDEKPSLTCELFDSIKLDVDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKSADSKKKCPLCRKNAVYEGAVHLEELNILLGRSCQEYWEQRLETERVERIKQIKEHWDSQCRAFVGV >Vigun01g191300.2.v1.2 pep primary_assembly:ASM411807v1:1:36965328:36968624:-1 gene:Vigun01g191300.v1.2 transcript:Vigun01g191300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKRCRKNSPSQKPLNAPLAAKTCPDQCPVCDGTFFPSLLNEMSDVVGCFNQRAQKLLELHLASGFRKYFFWIKGRLQGNHSALIQEGKDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKSADSKKKCPLCRKNAVYEGAVHLEELNILLGRSCQEYWEQRLETERVERIKQIKEHWDSQCRAFVGV >Vigun01g191300.5.v1.2 pep primary_assembly:ASM411807v1:1:36965328:36968650:-1 gene:Vigun01g191300.v1.2 transcript:Vigun01g191300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQEKKLPGVGFKKLKKILKRCRKNSPSQKPLNAPLAAKTCPDQCPVCDGTFFPSLLNEMSDVVGCFNQRAQKLLELHLASGFRKYFFWIKGRLQGNHSALIQEGKDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKSADSKKKCPLCRKNAVYEGAVHLEELNILLGRSCQEYWEQRLETERVERIKQIKEHWDSQCRAFVGV >Vigun01g191300.4.v1.2 pep primary_assembly:ASM411807v1:1:36965328:36968624:-1 gene:Vigun01g191300.v1.2 transcript:Vigun01g191300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKRCRKNSPSQKPLNAPLAAKTCPDQCPDVVGCFNQRAQKLLELHLASGFRKYFFWIKGRLQGNHSALIQEGKDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKVKSMKAHALFDGCSLTFKDEKPSLTCELFDSIKLDVDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKSADSKKKCPLCRKNAVYEGAVHLEELNILLGRSCQEYWEQRLETERVERIKQIKEHWDSQCRAFVGV >Vigun01g191300.1.v1.2 pep primary_assembly:ASM411807v1:1:36965328:36968624:-1 gene:Vigun01g191300.v1.2 transcript:Vigun01g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKRCRKNSPSQKPLNAPLAAKTCPDQCPVCDGTFFPSLLNEMSDVVGCFNQRAQKLLELHLASGFRKYFFWIKGRLQGNHSALIQEGKDLVTYALINAIAIRKILKKYDKIHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKVKSMKAHALFDGCSLTFKDEKPSLTCELFDSIKLDVDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKSADSKKKCPLCRKNAVYEGAVHLEELNILLGRSCQEYWEQRLETERVERIKQIKEHWDSQCRAFVGV >Vigun11g099066.1.v1.2 pep primary_assembly:ASM411807v1:11:29132872:29136415:1 gene:Vigun11g099066.v1.2 transcript:Vigun11g099066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTKLWLVLSFVYLASKCMQQMSMENQKCLSLLSLEILYLMLEITTINNLPTTTKSNYIPYGIDFPSGPTGRFTNGKTTIDLIAQHLGFEGFIPPYVNINGSDILKGVNYASRELLAHNVIHYSVGLNLGDFGKAEQHLNKCLYYINIGSDYINNYFLSSYIYTLQDYSNILVNQFSQNIQAIVLLFDVVIGIGRIGYTPNVISKNRKNGSYCDEERNNVAFMFNAKLKSLVDQFNTNFSSNSKFIFINSTALESSLGKFAFVITKMDKFLKNYAFRQIVSSSYVFIQRNRAPQHNGLCIPNKTPCKNRTSYLFWDQFHPTESVNRIIAMNSYNGSNPAFTYSMDIKHLVHS >Vigun11g054000.1.v1.2 pep primary_assembly:ASM411807v1:11:9740156:9744572:1 gene:Vigun11g054000.v1.2 transcript:Vigun11g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGMKGTVAEFCKNIVLAGVGSLTLVDDRVATEEALSSNFLIPPVEDVYGGKTLAELCCNSLKDFNPMVRVSVEKGDLSSFGVEFFSKLDVVVVSCCSLSAKKLANDKCRKLSKRVAFYAVDCRDSCGEVFVDLQDYKYSKKKQDETVECDLKYPSFEDALSVPWRSLPKRMSKLYFAMRVIEKFEEAEGRSAGEVSIADLSAVLKLKKDLCTAQSLNESHVPDTLLERLVTNATEFPPVCALMGGILGQEVIKAISGKGDPLKNFFFFDVFDGKGIIEDISAN >Vigun01g053700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:10149581:10150147:-1 gene:Vigun01g053700.v1.2 transcript:Vigun01g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKLTSKDADQIASEGSKSNDHPTLTRIMTSRKSFWDEGFPHLVHGQTTNYFATDEVMLAKRTPATMHEDLLRCLHQAEASSLFLVKRTESLMEKESKTRTDLDTSEKEVKRLQTELSKAQRTSKDKESELTSLMIRFDEMTLKAKDYEVQIEGLTTRCQKLEDEKEEITNQLCTTLKQGFQMALD >Vigun01g181000.2.v1.2 pep primary_assembly:ASM411807v1:1:36200865:36203404:-1 gene:Vigun01g181000.v1.2 transcript:Vigun01g181000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFSSSICNRIYNLSLTHSSISRTSNFPQRPIFQKPLNLNHKSQNFSLSFLPLHRLSPPSATFDGSEVGQDITESRQDEPVTETSEKTEQEAEQKVSDSNDNEAGRLYIGNLPYSITNSQLEELFGEAGTVLSVEIVYDRVTSRSRGFAFVTMASVEDAKEAIRMFDGSQVGGRTAKVNFPEVPKGGERLVMAARVRNNNRGFVDSPYKIYCGNLGWGVNSQSLREAFAEQPGVLSAKVVYEKDSGRSRGFGFVSFTTAESAAAALDFMNGSTRSPFAVEYG >Vigun01g181000.1.v1.2 pep primary_assembly:ASM411807v1:1:36200866:36203404:-1 gene:Vigun01g181000.v1.2 transcript:Vigun01g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFSSSICNRIYNLSLTHSSISRTSNFPQRPIFQKPLNLNHKSQNFSLSFLPLHRLSPPSATFDGSEVGQDITESRQDEPVTETSEKTEQEAEQKVSDSNDNEAGRLYIGNLPYSITNSQLEELFGEAGTVLSVEIVYDRVTSRSRGFAFVTMASVEDAKEAIRMFDGSQVGGRTAKVNFPEVPKGGERLVMAARVRNNNRGFVDSPYKIYCGNLGWGVNSQSLREAFAEQPGVLSAKVVYEKDSGRSRGFGFVSFTTAESAAAALDFMNGLEVQGRPLRLNMAETRTASSPPVIGEDSGINVESSELVSSART >Vigun06g043000.1.v1.2 pep primary_assembly:ASM411807v1:6:16670519:16672550:1 gene:Vigun06g043000.v1.2 transcript:Vigun06g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSMKLHKWSSPAFPEKIALICTCLTDKSKRSIFRLKVSPERVLLRLAESVCDHHPVRLFHMEVAAQRDAMNCFNFLQVRVVVDDTSQQTQYKGEDSLSDLCGRKSCFYEVMRKVESNGSVETVSWEFFHSYRVTLTRHIRYHVIIECDKETGIRANIRGPFECEGSVVREECPKGSPIQLVEKGKPSKAMRAFAESEFKGKEYILFACGASRNQSVNIVNTGAVFHGHGNASTYIDCKIDMQTSVENSPSS >Vigun03g041100.1.v1.2 pep primary_assembly:ASM411807v1:3:3230881:3233628:1 gene:Vigun03g041100.v1.2 transcript:Vigun03g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAMEQTHLLSKMAISDGHGESSPYFDGWKAYDENPFHPTDNPNGVIQMGLAENQLTSDLVEDWILNNPEASICTPEGINDFRAIANFQDYHGLPEFRNAVANFMARTRGNRITFDPDRIVMSGGATGAHEVTAFCLANPGEAFLVPIPYYPGFDRDLRWRTGVQLVPVMCDGSNNFMLTKEALDDAYEKARNDNIRVKGLLITNPSNPLGTIMDRKTLRTVVSFINEKRIHLVCDEIYAATVFSHPGFISIAEILEEETDIECDRNLVHIVYSLSKDMGFPGFRVGIIYSYNDAVVNCARKMSSFGLVSTQTQYLLASMLNDDEFVERFLAESAKRLAQRFRVFTGGLAKVGIKCLQSNAGLFVWMDLRQLLKKPTLESEMELWKVIIHEVKINVSPGSSFHCTEPGWFRVCYANMDDMAVQIALQRIRTFVLQNKEVVVPNKKHCWHSNLRLSLKTRRFDDIMMSPHSPLPQSPMVKATN >Vigun07g041300.1.v1.2 pep primary_assembly:ASM411807v1:7:4025790:4029048:-1 gene:Vigun07g041300.v1.2 transcript:Vigun07g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPFNLRISELAILLLFASVCLTMSDSAATPLDQHATGSSPAPAVHIIYTERPQDEEPEAYHIRTLSAVLGSEEAAKEALLYSYKSAASGFSAKLTPEQVEQISKQPGVLQVVPSRTYQLHGANNLH >Vigun01g244400.2.v1.2 pep primary_assembly:ASM411807v1:1:41317263:41321884:1 gene:Vigun01g244400.v1.2 transcript:Vigun01g244400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKPRPIDFYKEEGARDMMIEVVSNGELPPPPPHHPPPMILGESSGEDPEVEIKAPKKRAETWVQDETRSLIGLRREMDALFNTSKSNKHLWEQISSKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGGSGSAKMSYYKEIDEILRERSKNVQYKSPTPPPKVDSFMQFADKGIDDTSISFGPVEGGESQSCCGRVISVKWCDYTRRIGIDGTPEAIKEAIRAAFRLRTKRAFWLEDEDQIIRSIDREMPIGSYTLHLDEGMAIKLCLYDESEHLPVHTEDKVFYTENDYRDFLTRRGWVCLREFDGYRNIDNLDDLRPGAIYRGVS >Vigun01g244400.1.v1.2 pep primary_assembly:ASM411807v1:1:41317264:41321884:1 gene:Vigun01g244400.v1.2 transcript:Vigun01g244400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKPRPIDFYKEEGARDMMIEVVSNGELPPPPPHHPPPMILGESSGEDPEVEIKAPKKRAETWVQDETRSLIGLRREMDALFNTSKSNKHLWEQISSKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGGSGSAKMSYYKEIDEILRERSKNVQYKSPTPPPKVDSFMQFADKGIDDTSISFGPVEATGRPTLNLERSLDHDGHPLAITTADAVAASGVPPWNWRETSGNGGESQSCCGRVISVKWCDYTRRIGIDGTPEAIKEAIRAAFRLRTKRAFWLEDEDQIIRSIDREMPIGSYTLHLDEGMAIKLCLYDESEHLPVHTEDKVFYTENDYRDFLTRRGWVCLREFDGYRNIDNLDDLRPGAIYRGVS >Vigun04g038200.3.v1.2 pep primary_assembly:ASM411807v1:4:3194092:3202386:-1 gene:Vigun04g038200.v1.2 transcript:Vigun04g038200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNSVEVILDFLRRNRFTRAEAALRSELSNCTDVNGFLQKLTLEEKDLRGGLQSDKGKPVVENHGLNSRDGVEVSKELIVKEIECGTGRNAAEESKWKTVAPTGERNKSSEVVGTSEKNFTFSKSSEDSVLDLYSWKFNPTNGPVEPYQNDSGSRPSNALKAPVSQQSKYQTGEVPAATNSNVKSGEGEVNSIPAEKTALWLGSSGRSSTEPKYDLMHNKEPKELDMQLNYNASSLKENLTDNHLSRTDENVNSSTDLWKDCSVKTVFPFSKGDMSTSYNGSTFSDRQEEKRRAENGDVMTSIKEQVDEVGRALYLGKLQGSSGSLNFPLDLENPKEEFPRLPPVKIKSEDKPLTFNWGDKFESDGLAVKLTGADNSFLIGSYLDVPIGQDIKTTGVRKSIGGSWLSVSQGISEDTSDLVSGFATIGDGLSESVDYPNEYWDSDEYDDDEDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERSPAKDEEDDQSFAEEDSYFSGEQYILPKNVEPVTSDDPIGLTITEMYGRTNGNDIMTQYDTQLMDVEELNLMHIEPVRQGFVTHQNDLIMLGDGRVLNHGARPRIEDMEDDQHGSVRSIGVGINSDAADIGSEVHGSLIGGSSEGDLEYFRDHDTMHSGTKHTHQDLDKSFCNKSVKSNKKTDKNESNKYVIDSNKDACSQIKTHTDGNFSFPQSLRDGQMIQAGSSKSPWTSNGNVDETDDCLNAFAGSDDMLSSWRRKSSDSSPVKSSRDDNNALVVRSRNSSPTTVSNYGYTDGEHVKLEKDEKVSVVREDDIGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPSDKFHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPVDQSLLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >Vigun04g038200.4.v1.2 pep primary_assembly:ASM411807v1:4:3194090:3202048:-1 gene:Vigun04g038200.v1.2 transcript:Vigun04g038200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNSVEVILDFLRRNRFTRAEAALRSELSNCTDVNGFLQKLTLEEKDLRGGLQSDKGKPVVENHGLNSRDGVEVSKELIVKEIECGTGRNAAEESKWKTVAPTGERNKSSEVVGTSEKNFTFSKSSEDSVLDLYSWKFNPTNGPVEPYQNDSGSRPSNALKAPVSQQSKYQTGEVPAATNSNVKSGEGEVNSIPAEKTALWLGSSGRSSTEPKYDLMHNKEPKELDMQLNYNASSLKENLTDNHLSRTDENVNSSTDLWKDCSVKTVFPFSKGDMSTSYNGSTFSDRQEEKRRAENGDVMTSIKEQVDEVGRALYLGKLQGSSGSLNFPLDLENPKEEFPRLPPVKIKSEDKPLTFNWGDKFESDGLAVKLTGADNSFLIGSYLDVPIGQDIKTTGVRKSIGGSWLSVSQGISEDTSDLVSGFATIGDGLSESVDYPNEYWDSDEYDDDEDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERSPAKDEEDDQSFAEEDSYFSGEQYILPKNVEPVTSDDPIGLTITEMYGRTNGNDIMTQYDTQLMDVEELNLMHIEPVRQGFVTHQNDLIMLGDGRVLNHGARPRIEDMEDDQHGSVRSIGVGINSDAADIGSEVHGSLIGGSSEGDLEYFRDHDTMHSGTKHTHQDLDKSFCNKSVKSNKKTDKNESNKYVIDSNKDACSQIKTHTDGNFSFPQSLRDGQMIQAGSSKSPWTSNGNVDETDDCLNAFAGSDDMLSSWRRKSSDSSPVKSSRDDNNALVVRSRNSSPTTVSNYGYTDGEHVKLEKDEKVSVVREDDIGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPSDKFHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPVDQSLLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >Vigun04g038200.2.v1.2 pep primary_assembly:ASM411807v1:4:3194092:3202386:-1 gene:Vigun04g038200.v1.2 transcript:Vigun04g038200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNSVEVILDFLRRNRFTRAEAALRSELSNCTDVNGFLQKLTLEEKDLRGGLQSDKGKPVVENHGLNSRDGVEVSKELIVKEIECGTGRNAAEESKWKTVAPTGERNKSSEVVGTSEKNFTFSKSSEDSVLDLYSWKFNPTNGPVEPYQNDSGSRPSNALKAPVSQQSKYQTGEVPAATNSNVKSGEGEVNSIPAEKTALWLGSSGRSSTEPKYDLMHNKEPKELDMQLNYNASSLKENLTDNHLSRTDENVNSSTDLWKDCSVKTVFPFSKGDMSTSYNGSTFSDRQEEKRRAENGDVMTSIKEQVDEVGRALYLGKLQGSSGSLNFPLDLENPKEEFPRLPPVKIKSEDKPLTFNWGDKFESDGLAVKLTGADNSFLIGSYLDVPIGQDIKTTGVRKSIGGSWLSVSQGISEDTSDLVSGFATIGDGLSESVDYPNEYWDSDEYDDDEDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERSPAKDEEDDQSFAEEDSYFSGEQYILPKNVEPVTSDDPIGLTITEMYGRTNGNDIMTQYDTQLMDVEELNLMHIEPVRQGFVTHQNDLIMLGDGRVLNHGARPRIEDMEDDQHGSVRSIGVGINSDAADIGSEVHGSLIGGSSEGDLEYFRDHDTMHSGTKHTHQDLDKSFCNKSVKSNKKTDKNESNKYVIDSNKDACSQIKTHTDGNFSFPQSLRDGQMIQAGSSKSPWTSNGNVDETDDCLNAFAGSDDMLSSWRRKSSDSSPVKSSRDDNNALVVRSRNSSPTTVSNYGYTDGEHVKLEKDEKVSVVREDDIGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPSDKFHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPVDQSLLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >Vigun04g038200.1.v1.2 pep primary_assembly:ASM411807v1:4:3194092:3202386:-1 gene:Vigun04g038200.v1.2 transcript:Vigun04g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNSVEVILDFLRRNRFTRAEAALRSELSNCTDVNGFLQKLTLEEKDLRGGLQSDKGKPVVENHGLNSRDGVEVSKELIVKEIECGTGRNAAEESKWKTVAPTGERNKSSEVVGTSEKNFTFSKSSEDSVLDLYSWKFNPTNGPVEPYQNDSGSRPSNALKAPVSQQSKYQTGEVPAATNSNVKSGEGEVNSIPAEKTALWLGSSGRSSTEPKYDLMHNKEPKELDMQLNYNASSLKENLTDNHLSRTDENVNSSTDLWKDCSVKTVFPFSKGDMSTSYNGSTFSDRQEEKRRAENGDVMTSIKEQVDEVGRALYLGKLQGSSGSLNFPLDLENPKEEFPRLPPVKIKSEDKPLTFNWGDKFESDGLAVKLTGADNSFLIGSYLDVPIGQDIKTTGVRKSIGGSWLSVSQGISEDTSDLVSGFATIGDGLSESVDYPNEYWDSDEYDDDEDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERSPAKDEEDDQSFAEEDSYFSGEQYILPKNVEPVTSDDPIGLTITEMYGRTNGNDIMTQYDTQLMDVEELNLMHIEPVRQGFVTHQNDLIMLGDGRVLNHGARPRIEDMEDDQHGSVRSIGVGINSDAADIGSEVHGSLIGGSSEGDLEYFRDHDTMHSGTKHTHQDLDKSFCNKSVKSNKKTDKNESNKYVIDSNKDACSQIKTHTDGNFSFPQSLRDGQMIQAGSSKSPWTSNGNVDETDDCLNAFAGSDDMLSSWRRKSSDSSPVKSSRDDNNALVVRSRNSSPTTVSNYGYTDGEHVKLEKDEKVSVVREDDIGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVLAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPSDKFHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPVDQSLLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >Vigun05g081100.2.v1.2 pep primary_assembly:ASM411807v1:5:7641496:7645642:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPGESRSRTIILIITSSIVVSGILFTFCYYFIRRKNRKDNRTILQENFGQQSSTIESLQFNMPIIEAATNNFSHDNKIGKGGFGEVYKGILYDGRSIAVKRLSKNSRQGIQEFKNEVLLIAKLQHRNLVAFIGFCLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKNC >Vigun05g081100.8.v1.2 pep primary_assembly:ASM411807v1:5:7641516:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPGESRSRTIILIITSSIVVSGILFTFCYYFIRRKNRKDNRTILQENFGQQSSTIESLQFNMPIIEAATNNFSHDNKIGKGGFGEVYKILNKKKF >Vigun05g081100.3.v1.2 pep primary_assembly:ASM411807v1:5:7641516:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPGESRSRTIILIITSSIVVSGILFTFCYYFIRRKNRKDNRTILQENFGQQSSTIESLQFNMPIIEAATNNFSHDNKIGKGGFGEVYKILNKKKF >Vigun05g081100.7.v1.2 pep primary_assembly:ASM411807v1:5:7641516:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPGESRSRTIILIITSSIVVSGILFTFCYYFIRRKNRKDNRTILQENFGQQSSTIESLQFNMPIIEAATNNFSHDNKIGKGGFGEVYKGILYDGRSIAVKRLSKNSRQGIQEFKNEVLLIAKLQHRNLVAFIGFCLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKVIHRDLKPSNILLDENMNPKISDFGLARIVEIDQEEESTNRIIGT >Vigun05g081100.9.v1.2 pep primary_assembly:ASM411807v1:5:7641516:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKVIHRDLKPSNILLDENMNPKISDFGLARIVEIDQEEESTNRIIGT >Vigun05g081100.4.v1.2 pep primary_assembly:ASM411807v1:5:7641516:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKNC >Vigun05g081100.1.v1.2 pep primary_assembly:ASM411807v1:5:7641517:7645642:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPGESRSRTIILIITSSIVVSGILFTFCYYFIRRKNRKDNRTILQENFGQQSSTIESLQFNMPIIEAATNNFSHDNKIGKGGFGEVYKGILYDGRSIAVKRLSKNSRQGIQEFKNEVLLIAKLQHRNLVAFIGFCLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKVIHRDLKPSNILLDENMNPKISDFGLARIVEIDQEEESTNRIIGTYGYMSPEYAMFGQFSEKSDVYSFGVMTLEIISGKKNIGSYESHRVADGLLNFVWRHWRDETTLNTLDPKLKENYSNIEVMRCIQIGLLCVQEDPDVRPTMVEIVSYLSSHTIELPSPQEPTFLLNHRINSIVVLESSSGQDGSSSIPSSTNNISISEFYPR >Vigun05g081100.5.v1.2 pep primary_assembly:ASM411807v1:5:7641517:7645643:1 gene:Vigun05g081100.v1.2 transcript:Vigun05g081100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMSWNPFKLILLCVTIFHFVTGKAKGADVDYIGRVCSDQERSSDNSPYQTNLNTLLSSLSSNATANANGFYKNTILPTSSSDTVYGLFMCRGDVLSDACKDCVANATHTLSSDTNCSLSKSGVIWYGDCMVRYSNRSFFSTVDTSPAYWMWNVANISSNLSSFNTLLADTIRETAREAANSNERYSAKQQNLPEFQTLYCLTQCTQDLSPQQCSDCLDSAISDIPSCCDGKQGGRVLYPSCNIRYELYPFYRVTDEGPKGLVPETKYGNTDSEYSEDPGYISHNCSNDKTDAALESNLRTLLSGLSSNATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIRNATDRITSECGLASAEAVIWYNHCWLRYSDRNFFSSYETSPRFRNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSSGLSTDGCSGCLNDVIGTAIPWSSLGSLGGRVLYPSCILRFELFQFYDLKPPPPPSPPDTKQEKVLSWSERHKIIGGIARGIVYLHEHSRLKVIHRDLKPSNILLDENMNPKISDFGLARIVEIDQEEESTNRIIGTYGYMSPEYAMFGQFSEKSDVYSFGVMTLEIISGKKNIGSYESHRVADGLLNFVWRHWRDETTLNTLDPKLKENYSNIEVMRCIQIGLLCVQEDPDVRPTMVEIVSYLSSHTIELPSPQEPTFLLNHRINSIVVLESSSGQDGSSSIPSSTNNISISEFYPR >Vigun10g089400.2.v1.2 pep primary_assembly:ASM411807v1:10:25823354:25854231:1 gene:Vigun10g089400.v1.2 transcript:Vigun10g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPFGKAAEGAIDIVWKHGVRHVTYIINYKQNVLELNDSAKDLGFEKERINHQRDEAEKNLNNIEGKVTEWVRKVSEIETVIDEFENDDGHKRARSPNCYVFPYLWNRHKLGRKAKKMEVDVKKLIDESPEFDEVSYRQNITSNDATLYNYGFVEFGSTKSTMEKVMRQLEDSSVRMIGLYGPGGVGKSTLVKEIARKAKDEKLFDVVVKVEITVNPNVQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKIKKGSTLLILDDLWDKLDLSKLGVPLDDDDDDDLSNDKVLEKDDNNKDPNRKVLKKEKILGGVKGCKILLTSRDKKVLCDEMDVKSTFCVKELDDKDALMLFQKLVGIHNEISDSKQEIVKKYCAGLPMAIVTVARALKSKSESVWEATLEKLKKQELVGVQISMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLVMDLVRYCFGLGLLEGVSSLWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDMVRDAALSIASKDRNVFTLRNAKLDDWPEFESCTSISICNCDIIDGLPEVINSPQLKFFQIETKDTSLIIPENFFEGMKDLKVLILTGFRLSRLPSSIKCLLKLRMLCLERCYVDDNLSIGELKKLRILSFSGSQLQNFPIELGCLDKLQLLDISDCSIETNIPLNLLSSLKHLEELYIRKSLIKMLAEGETSQYQKLFFSELKNLCELKVVDLSIPCATVLPNHLFFDKLKDYKIVIGDLEMFGDFIMPDKYETFRVLALQLHDNTNIHSQEDIKSLFKTVQSLLLGKVNGVQNVVSDLNIDGFPDLKHLSIINNNHIKCVSSSKFFNSADVFPNLESLCLYGLGNLEMISYGPITVASFAKLKAINVKMCYQLKNLYSVYNFKFSTSGKICEISECNFMDQFRASVEIIEVCECGSLKEILQIPMDYGKVEFLKLHTLTLQSLPSFTSFYTEVKTSCSPHPAEPQTTSYGNREITSEDEQSVKTPTVFGELVEIPNLESLNLSALNIHKIWSDQPSSSFCFQNLIKLVVKECDKLTYLCSLSVAHSLKKLKSLVIIECAIMEKIIETKGNILEKVCVFPKLEEIHLTKMNALTDVWQTNASVDSFSSLISVTIEECNKLDKIFPSHMEGWFESLENLRVSRCESVEVTFEINDSQEIDASGGIDTSLQVILLNDLPKLKQLWSIDPDGILNFKKLRTIEVYSCGELRNLFPASIANDVPKLERMSALYCENMVEIIASEDASEDDKDPLVFPELTYMRLYWLRNIKHFYKGRRPIKCPKLKEFSIDNYVNKFIKETSKTNEEENFVFSAQEVFSNLECMEIDFYQAQKLLPKFQMHRLKELSLISVKSVNLLHQFPYAMPNLQKLKLFSSYGEDLVASANSVPQNGLGIVLELKELVMQFLGIKDLGLGQLPVLRKLEFLSLEHCDELKNLGPSSVSLTYLTHLELKSCQGLRNLMASSTAKSMVQLKTMKVIDCDGVDQIVSNEGSEEVNEMKIVFPKLISIGLVGLKNMTSFCRSKEFEFEFPSLEILIVRECPKMERFSERKSITPKLKNVFGVEGDEKTKWQWEGDLNATIQKVFNDKVTYAYTEQLALGRFSYTEFIQQIWHDSHSVHQSSFRSLKRLSAYKVNLLRVIPSHLLSCFENLEELDIRSCSATQFIFNINENRVRKPSGIFRLKSLYLFNLPKLEHVWDKDPKGIIGLKVLKEVRVSRCECLKSLFPASVAKDLTRLEVLEVTECEELAEIFWKDEKGEEGEGTTPQFVFARLTSLTLKQLPGFKYSIRCSKEEEIISNLSERDIEELCLGSRPIPNSCFGLLESLTVDGCQFLSNVLLPFNLLISLTNLETLEVRNSDFVKTIFDVKCTTQNRDVTSVGQTLPFSLKKLTLSMLPNLENVWNEDPHRILSMHHLKQVLVDNCKCLTSLFPASVAKDFVELEHLEVKDCEGLMTIVAEDNTDPSGTKQELPCPPVWSLLLRGLPKFKYFYYSSLQCDNFATENEVYVEKCLSLGEKGLEMILSGEFQRDLLYNLKVLALWFERDVFPHEILEQVPNIEKLLLCGGSFREMFCCESSNNVDYNGLLLQLKVLHLESLGNLISIAACKLTFSNLTYLNVKGCNNLRYLFTSSAAKNLGQLKRMEIKWCESIEEIVSKEVEDSSNEDEIIFPQLNCLNFEYLENLRIFYQGNLSFPSLEEFSVTDCHQLITLSTGTLEVHKLSHVTIDSKEIIFPLKSDLNTIMRKTFLAEISELEQLDLESSPKLQKIWHDLVYIPDLCFSELTTLIVYNCQFLSDVVLPFHLLPSLPKLETLRVQNCHYVKAIFDVKCAQEIVTFPLKKMVLWELQNLKNVWNEDPHEILTMHQLQNVYIKKCKGLTSVFPASVAKGIVKLKKLTVKRCKELMTIVADMKGTNVEVKFPCPSVRSLKLRRLPRFKYFYYCSLKSDVYTHLGSHNEDRVATEKCLSLGEKGMEMILYGEFQKSLLYNLKVLTLYFQSDVFRYEIIEQVPNIKKLVVRDGSFKEMFCSQNPNYNVEYSGVLSQLKELRLDSLKELVSIGLDNSWTHPFVRTLETFEVIKCSSLETLVACKVAFSNLTYLNVESCNSLSYLFTSLTAKSLLQLKRMVIKQCESIEEIVLFMEADEADEDKIIFPHLNCLNIEYLTNLRRFYRGSLSFPSLQELSVTECDKMITLCTGTLEADKLSQVTVDISETIPLQTDLHLTMRKKFLRKNGWQQSLEFRDRADLHEIWRVSLQIPYFCFRGLSILVVDGCQFLSDVLPFSLLPLLPGLKTLEVQYCYSVKTIFDVKCTTQAALITFPPLRKLVLWKLPNLETVWNEDPAEIVNPAHPKHTNLKLTFPSVTSLTLWDLPKLKRNSIYCIHDSTPTFELITPNLQRLSVGEDELKMIVDGEFQENHFNKLKILTLCFLTESGVFLEFLQLVPNVENLIVYGGSLKEIFCSQSSNNVDYSGLPLQLKGLLFESLGELISIGFENSWTEPFVRNLETFEVISCSSLKNLVASKVFLSNLTYLKIESCDNLSYLFTSSTAKSLRELKEMVIKRCKSIEEIVSKEGEEWCEDKEIIFEKLQVLYLKSLDELRCFYPGNFTLSFPSLERIHVINCSSMKTFSAFNEIDHFTQWYNAEYAIPFEETDLNSAVHRTHEEEVIPPEEGDLNTVVHRTLEEEGLDHWSFSSLSSYSDGYKDGDSVYDSIP >Vigun10g089400.6.v1.2 pep primary_assembly:ASM411807v1:10:25823354:25854231:1 gene:Vigun10g089400.v1.2 transcript:Vigun10g089400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPFGKAAEGAIDIVWKHGVRHVTYIINYKQNVLELNDSAKDLGFEKERINHQRDEAEKNLNNIEGKVTEWVRKVSEIETVIDEFENDDGHKRARSPNCYVFPYLWNRHKLGRKAKKMEVDVKKLIDESPEFDEVSYRQNITSNDATLYNYGFVEFGSTKSTMEKVMRQLEDSSVRMIGLYGPGGVGKSTLVKEIARKAKDEKLFDVVVKVEITVNPNVQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKIKKGSTLLILDDLWDKLDLSKLGVPLDDDDDDDLSNDKVLEKDDNNKDPNRKVLKKEKILGGVKGCKILLTSRDKKVLCDEMDVKSTFCVKELDDKDALMLFQKLVGIHNEISDSKQEIVKKYCAGLPMAIVTVARALKSKSESVWEATLEKLKKQELVGVQISMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLVMDLVRYCFGLGLLEGVSSLWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDMVRDAALSIASKDRNVFTLRNAKLDDWPEFESCTSISICNCDIIDGLPEVINSPQLKFFQIETKDTSLIIPENFFEGMKDLKVLILTGFRLSRLPSSIKCLLKLRMLCLERCYVDDNLSIGELKKLRILSFSGSQLQNFPIELGCLDKLQLLDISDCSIETNIPLNLLSSLKHLEELYIRKSLIKMLAEGETSQYQKLFFSELKNLCELKVVDLSIPCATVLPNHLFFDKLKDYKIVIGDLEMFGDFIMPDKYETFRVLALQLHDNTNIHSQEDIKSLFKTVQSLLLGKVNGVQNVVSDLNIDGFPDLKHLSIINNNHIKCVSSSKFFNSADVFPNLESLCLYGLGNLEMISYGPITVASFAKLKAINVKMCYQLKNLYSVYNFKFSTSGKICEISECNFMDQFRASVEIIEVCECGSLKEILQIPMDYGKVEFLKLHTLTLQSLPSFTSFYTEVKTSCSPHPAEPQTTSYGNREITSEDEQSVKTPTVFGELVEIPNLESLNLSALNIHKIWSDQPSSSFCFQNLIKLVVKECDKLTYLCSLSVAHSLKKLKSLVIIECAIMEKIIETKGNILEKVCVFPKLEEIHLTKMNALTDVWQTNASVDSFSSLISVTIEECNKLDKIFPSHMEGWFESLENLRVSRCESVEVTFEINDSQEIDASGGIDTSLQVILLNDLPKLKQLWSIDPDGILNFKKLRTIEVYSCGELRNLFPASIANDVPKLERMSALYCENMVEIIASEDASEDDKDPLVFPELTYMRLYWLRNIKHFYKGRRPIKCPKLKEFSIDNYVNKFIKETSKTNEEENFVFSAQEVFSNLECMEIDFYQAQKLLPKFQMHRLKELSLISVKSVNLLHQFPYAMPNLQKLKLFSSYGEDLVASANSVPQNGLGIVLELKELVMQFLGIKDLGLGQLPVLRKLEFLSLEHCDELKNLGPSSVSLTYLTHLELKSCQGLRNLMASSTAKSMVQLKTMKVIDCDGVDQIVSNEGSEEVNEMKIVFPKLISIGLVGLKNMTSFCRSKEFEFEFPSLEILIVRECPKMERFSERKSITPKLKNVFGVEGDEKTKWQWEGDLNATIQKVFNDKVTYAYTEQLALGRFSYTEFIQQIWHDSHSVHQSSFRSLKRLSAYKVNLLRVIPSHLLSCFENLEELDIRSCSATQFIFNINENRVRKPSGIFRLKSLYLFNLPKLEHVWDKDPKGIIGLKVLKEVRVSRCECLKSLFPASVAKDLTRLEVLEVTECEELAEIFWKDEKGEEGEGTTPQFVFARLTSLTLKQLPGFKYSIRCSKEEEIISNLSERDIEELCLGSRPIPNSCFGLLESLTVDGCQFLSNVLLPFNLLISLTNLETLEVRNSDFVKTIFDVKCTTQNRDVTSVGQTLPFSLKKLTLSMLPNLENVWNEDPHRILSMHHLKQVLVDNCKCLTSLFPASVAKDFVELEHLEVKDCEGLMTIVAEDNTDPSGTKQELPCPPVWSLLLRGLPKFKYFYYSSLQCDNFATENEVYVEKCLSLGEKGLEMILSGEFQRDLLYNLKVLALWFERDVFPHEILEQVPNIEKLLLCGGSFREMFCCESSNNVDYNGLLLQLKVLHLESLGNLISIAACKLTFSNLTYLNVKGCNNLRYLFTSSAAKNLGQLKRMEIKWCESIEEIVSKEVEDSSNEDEIIFPQLNCLNFEYLENLRIFYQGNLSFPSLEEFSVTDCHQLITLSTGTLEVHKLSHVTIDSKEIIFPLKSDLNTIMRKTFLAEISELEQLDLESSPKLQKIWHDLVYIPDLCFSELTTLIVYNCQFLSDVVLPFHLLPSLPKLETLRVQNCHYVKAIFDVKCAQEIVTFPLKKMVLWELQNLKNVWNEDPHEILTMHQLQNVYIKKCKGLTSVFPASVAKGIVKLKKLTVKRCKELMTIVADMKGTNVEVKFPCPSVRSLKLRRLPRFKYFYYCSLKSDVYTHLGSHNEDRVATEKCLSLGEKGMEMILYGEFQKSLLYNLKVLTLYFQSDVFRYEIIEQVPNIKKLVVRDGSFKEMFCSQNPNYNVEYSGVLSQLKELRLDSLKELVSIGLDNSWTHPFVRTLETFEVIKCSSLETLVACKVAFSNLTYLNVESCNSLSYLFTSLTAKSLLQLKRMVIKQCESIEEIVLFMEADEADEDKIIFPHLNCLNIEYLTNLRRFYRGSLSFPSLQELSVTECDKMITLCTGTLEADKLSQVTVDISETIPLQTDLHLTMRKKFLRKNGWQQSLEFRDRADLHEIWRVSLQIPYFCFRGLSILVVDGCQFLSDVLPFSLLPLLPGLKTLEVQYCYSVKTIFDVKCTTQAALITFPPLRKLVLWKLPNLETVWNEDPAEIVNPAHPKHTNLKLTFPSVTSLTLWDLPKLKRNSIYCIHDSTPTFELITPNLQRLSVGEDELKMIVDGEFQENHFNKLKILTLCFLTESGVFLEFLQLVPNVENLIVYGGSLKEIFCSQSSNNVDYSGLPLQLKGLLFESLGELISIGFENSWTEPFVRNLETFEVISCSSLKNLVASKVFLSNLTYLKIESCDNLSYLFTSSTAKSLRELKEMVIKRCKSIEEIVSKEGEEWCEDKEIIFEKLQVLYLKSLDELRCFYPGNFTLSFPSLERIHVINCSSMKTFSAFNEIDHFTQWYNAEYAIPFEETDLNSAVHRTHEEEVIPPEEGDLNTVVHRTLEEEGLDHWSFSSLSSYSDGYKDGDSVYDSIP >Vigun10g089400.5.v1.2 pep primary_assembly:ASM411807v1:10:25823354:25854231:1 gene:Vigun10g089400.v1.2 transcript:Vigun10g089400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPFGKAAEGAIDIVWKHGVRHVTYIINYKQNVLELNDSAKDLGFEKERINHQRDEAEKNLNNIEGKVTEWVRKVSEIETVIDEFENDDGHKRARSPNCYVFPYLWNRHKLGRKAKKMEVDVKKLIDESPEFDEVSYRQNITSNDATLYNYGFVEFGSTKSTMEKVMRQLEDSSVRMIGLYGPGGVGKSTLVKEIARKAKDEKLFDVVVKVEITVNPNVQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKIKKGSTLLILDDLWDKLDLSKLGVPLDDDDDDDLSNDKVLEKDDNNKDPNRKVLKKEKILGGVKGCKILLTSRDKKVLCDEMDVKSTFCVKELDDKDALMLFQKLVGIHNEISDSKQEIVKKYCAGLPMAIVTVARALKSKSESVWEATLEKLKKQELVGVQISMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLVMDLVRYCFGLGLLEGVSSLWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDMVRDAALSIASKDRNVFTLRNAKLDDWPEFESCTSISICNCDIIDGLPEVINSPQLKFFQIETKDTSLIIPENFFEGMKDLKVLILTGFRLSRLPSSIKCLLKLRMLCLERCYVDDNLSIGELKKLRILSFSGSQLQNFPIELGCLDKLQLLDISDCSIETNIPLNLLSSLKHLEELYIRKSLIKMLAEGETSQYQKLFFSELKNLCELKVVDLSIPCATVLPNHLFFDKLKDYKIVIGDLEMFGDFIMPDKYETFRVLALQLHDNTNIHSQEDIKSLFKTVQSLLLGKVNGVQNVVSDLNIDGFPDLKHLSIINNNHIKCVSSSKFFNSADVFPNLESLCLYGLGNLEMISYGPITVASFAKLKAINVKMCYQLKNLYSVYNFKFSTSGKICEISECNFMDQFRASVEIIEVCECGSLKEILQIPMDYGKVEFLKLHTLTLQSLPSFTSFYTEVKTSCSPHPAEPQTTSYGNREITSEDEQSVKTPTVFGELVEIPNLESLNLSALNIHKIWSDQPSSSFCFQNLIKLVVKECDKLTYLCSLSVAHSLKKLKSLVIIECAIMEKIIETKGNILEKVCVFPKLEEIHLTKMNALTDVWQTNASVDSFSSLISVTIEECNKLDKIFPSHMEGWFESLENLRVSRCESVEVTFEINDSQEIDASGGIDTSLQVILLNDLPKLKQLWSIDPDGILNFKKLRTIEVYSCGELRNLFPASIANDVPKLERMSALYCENMVEIIASEDASEDDKDPLVFPELTYMRLYWLRNIKHFYKGRRPIKCPKLKEFSIDNYVNKFIKETSKTNEEENFVFSAQEVFSNLECMEIDFYQAQKLLPKFQMHRLKELSLISVKSVNLLHQFPYAMPNLQKLKLFSSYGEDLVASANSVPQNGLGIVLELKELVMQFLGIKDLGLGQLPVLRKLEFLSLEHCDELKNLGPSSVSLTYLTHLELKSCQGLRNLMASSTAKSMVQLKTMKVIDCDGVDQIVSNEGSEEVNEMKIVFPKLISIGLVGLKNMTSFCRSKEFEFEFPSLEILIVRECPKMERFSERKSITPKLKNVFGVEGDEKTKWQWEGDLNATIQKVFNDKVTYAYTEQLALGRFSYTEFIQQIWHDSHSVHQSSFRSLKRLSAYKVNLLRVIPSHLLSCFENLEELDIRSCSATQFIFNINENRVRKPSGIFRLKSLYLFNLPKLEHVWDKDPKGIIGLKVLKEVRVSRCECLKSLFPASVAKDLTRLEVLEVTECEELAEIFWKDEKGEEGEGTTPQFVFARLTSLTLKQLPGFKYSIRCSKEEEIISNLSERDIEELCLGSRPIPNSCFGLLESLTVDGCQFLSNVLLPFNLLISLTNLETLEVRNSDFVKTIFDVKCTTQNRDVTSVGQTLPFSLKKLTLSMLPNLENVWNEDPHRILSMHHLKQVLVDNCKCLTSLFPASVAKDFVELEHLEVKDCEGLMTIVAEDNTDPSGTKQELPCPPVWSLLLRGLPKFKYFYYSSLQCDNFATENEVYVEKCLSLGEKGLEMILSGEFQRDLLYNLKVLALWFERDVFPHEILEQVPNIEKLLLCGGSFREMFCCESSNNVDYNGLLLQLKVLHLESLGNLISIAACKLTFSNLTYLNVKGCNNLRYLFTSSAAKNLGQLKRMEIKWCESIEEIVSKEVEDSSNEDEIIFPQLNCLNFEYLENLRIFYQGNLSFPSLEEFSVTDCHQLITLSTGTLEVHKLSHVTIDSKEIIFPLKSDLNTIMRKTFLAEISELEQLDLESSPKLQKIWHDLVYIPDLCFSELTTLIVYNCQFLSDVVLPFHLLPSLPKLETLRVQNCHYVKAIFDVKCAQEIVTFPLKKMVLWELQNLKNVWNEDPHEILTMHQLQNVYIKKCKGLTSVFPASVAKGIVKLKKLTVKRCKELMTIVADMKGTNVEVKFPCPSVRSLKLRRLPRFKYFYYCSLKSDVYTHLGSHNEDRVATEKCLSLGEKGMEMILYGEFQKSLLYNLKVLTLYFQSDVFRYEIIEQVPNIKKLVVRDGSFKEMFCSQNPNYNVEYSGVLSQLKELRLDSLKELVSIGLDNSWTHPFVRTLETFEVIKCSSLETLVACKVAFSNLTYLNVESCNSLSYLFTSLTAKSLLQLKRMVIKQCESIEEIVLFMEADEADEDKIIFPHLNCLNIEYLTNLRRFYRGSLSFPSLQELSVTECDKMITLCTGTLEADKLSQVTVDISETIPLQTDLHLTMRKKFLRKNGWQQSLEFRDRADLHEIWRVSLQIPYFCFRGLSILVVDGCQFLSDVLPFSLLPLLPGLKTLEVQYCYSVKTIFDVKCTTQAALITFPPLRKLVLWKLPNLETVWNEDPAEIVNPAHPKHTNLKLTFPSVTSLTLWDLPKLKRNSIYCIHDSTPTFELITPNLQRLSVGEDELKMIVDGEFQENHFNKLKILTLCFLTESGVFLEFLQLVPNVENLIVYGGSLKEIFCSQSSNNVDYSGLPLQLKGLLFESLGELISIGFENSWTEPFVRNLETFEVISCSSLKNLVASKVFLSNLTYLKIESCDNLSYLFTSSTAKSLRELKEMVIKRCKSIEEIVSKEGEEWCEDKEIIFEKLQVLYLKSLDELRCFYPGNFTLSFPSLERIHVINCSSMKTFSAFNEIDHFTQWYNAEYAIPFEETDLNSAVHRTHEEEVIPPEEGDLNTVVHRTLEEEGLDHWSFSSLSSYSDGYKDGDSVYDSIP >Vigun10g089400.7.v1.2 pep primary_assembly:ASM411807v1:10:25823354:25854231:1 gene:Vigun10g089400.v1.2 transcript:Vigun10g089400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPFGKAAEGAIDIVWKHGVRHVTYIINYKQNVLELNDSAKDLGFEKERINHQRDEAEKNLNNIEGKVTEWVRKVSEIETVIDEFENDDGHKRARSPNCYVFPYLWNRHKLGRKAKKMEVDVKKLIDESPEFDEVSYRQNITSNDATLYNYGFVEFGSTKSTMEKVMRQLEDSSVRMIGLYGPGGVGKSTLVKEIARKAKDEKLFDVVVKVEITVNPNVQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKIKKGSTLLILDDLWDKLDLSKLGVPLDDDDDDDLSNDKVLEKDDNNKDPNRKVLKKEKILGGVKGCKILLTSRDKKVLCDEMDVKSTFCVKELDDKDALMLFQKLVGIHNEISDSKQEIVKKYCAGLPMAIVTVARALKSKSESVWEATLEKLKKQELVGVQISMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLVMDLVRYCFGLGLLEGVSSLWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDMVRDAALSIASKDRNVFTLRNAKLDDWPEFESCTSISICNCDIIDGLPEVINSPQLKFFQIETKDTSLIIPENFFEGMKDLKVLILTGFRLSRLPSSIKCLLKLRMLCLERCYVDDNLSIGELKKLRILSFSGSQLQNFPIELGCLDKLQLLDISDCSIETNIPLNLLSSLKHLEELYIRKSLIKMLAEGETSQYQKLFFSELKNLCELKVVDLSIPCATVLPNHLFFDKLKDYKIVIGDLEMFGDFIMPDKYETFRVLALQLHDNTNIHSQEDIKSLFKTVQSLLLGKVNGVQNVVSDLNIDGFPDLKHLSIINNNHIKCVSSSKFFNSADVFPNLESLCLYGLGNLEMISYGPITVASFAKLKAINVKMCYQLKNLYSVYNFKFSTSGKICEISECNFMDQFRASVEIIEVCECGSLKEILQIPMDYGKVEFLKLHTLTLQSLPSFTSFYTEVKTSCSPHPAEPQTTSYGNREITSEDEQSVKTPTVFGELVEIPNLESLNLSALNIHKIWSDQPSSSFCFQNLIKLVVKECDKLTYLCSLSVAHSLKKLKSLVIIECAIMEKIIETKGNILEKVCVFPKLEEIHLTKMNALTDVWQTNASVDSFSSLISVTIEECNKLDKIFPSHMEGWFESLENLRVSRCESVEVTFEINDSQEIDASGGIDTSLQVILLNDLPKLKQLWSIDPDGILNFKKLRTIEVYSCGELRNLFPASIANDVPKLERMSALYCENMVEIIASEDASEDDKDPLVFPELTYMRLYWLRNIKHFYKGRRPIKCPKLKEFSIDNYVNKFIKETSKTNEEENFVFSAQEVFSNLECMEIDFYQAQKLLPKFQMHRLKELSLISVKSVNLLHQFPYAMPNLQKLKLFSSYGEDLVASANSVPQNGLGIVLELKELVMQFLGIKDLGLGQLPVLRKLEFLSLEHCDELKNLGPSSVSLTYLTHLELKSCQGLRNLMASSTAKSMVQLKTMKVIDCDGVDQIVSNEGSEEVNEMKIVFPKLISIGLVGLKNMTSFCRSKEFEFEFPSLEILIVRECPKMERFSERKSITPKLKNVFGVEGDEKTKWQWEGDLNATIQKVFNDKVTYAYTEQLALGRFSYTEFIQQIWHDSHSVHQSSFRSLKRLSAYKVNLLRVIPSHLLSCFENLEELDIRSCSATQFIFNINENRVRKPSGIFRLKSLYLFNLPKLEHVWDKDPKGIIGLKVLKEVRVSRCECLKSLFPASVAKDLTRLEVLEVTECEELAEIFWKDEKGEEGEGTTPQFVFARLTSLTLKQLPGFKYSIRCSKEEEIISNLSERDIEELCLGSRPIPNSCFGLLESLTVDGCQFLSNVLLPFNLLISLTNLETLEVRNSDFVKTIFDVKCTTQNRDVTSVGQTLPFSLKKLTLSMLPNLENVWNEDPHRILSMHHLKQVLVDNCKCLTSLFPASVAKDFVELEHLEVKDCEGLMTIVAEDNTDPSGTKQELPCPPVWSLLLRGLPKFKYFYYSSLQCDNFATENEVYVEKCLSLGEKGLEMILSGEFQRDLLYNLKVLALWFERDVFPHEILEQVPNIEKLLLCGGSFREMFCCESSNNVDYNGLLLQLKVLHLESLGNLISIAACKLTFSNLTYLNVKGCNNLRYLFTSSAAKNLGQLKRMEIKWCESIEEIVSKEVEDSSNEDEIIFPQLNCLNFEYLENLRIFYQGNLSFPSLEEFSVTDCHQLITLSTGTLEVHKLSHVTIDSKEIIFPLKSDLNTIMRKTFLAEISELEQLDLESSPKLQKIWHDLVYIPDLCFSELTTLIVYNCQFLSDVVLPFHLLPSLPKLETLRVQNCHYVKAIFDVKCAQEIVTFPLKKMVLWELQNLKNVWNEDPHEILTMHQLQNVYIKKCKGLTSVFPASVAKGIVKLKKLTVKRCKELMTIVADMKGTNVEVKFPCPSVRSLKLRRLPRFKYFYYCSLKSDVYTHLGSHNEDRVATEKCLSLGEKGMEMILYGEFQKSLLYNLKVLTLYFQSDVFRYEIIEQVPNIKKLVVRDGSFKEMFCSQNPNYNVEYSGVLSQLKELRLDSLKELVSIGLDNSWTHPFVRTLETFEVIKCSSLETLVACKVAFSNLTYLNVESCNSLSYLFTSLTAKSLLQLKRMVIKQCESIEEIVLFMEADEADEDKIIFPHLNCLNIEYLTNLRRFYRGSLSFPSLQELSVTECDKMITLCTGTLEADKLSQVTVDISETIPLQTDLHLTMRKKFLRKNGWQQSLEFRDRADLHEIWRVSLQIPYFCFRGLSILVVDGCQFLSDVLPFSLLPLLPGLKTLEVQYCYSVKTIFDVKCTTQAALITFPPLRKLVLWKLPNLETVWNEDPAEIVNPAHPKHTNLKLTFPSVTSLTLWDLPKLKRNSIYCIHDSTPTFELITPNLQRLSVGEDELKMIVDGEFQENHFNKLKILTLCFLTESGVFLEFLQLVPNVENLIVYGGSLKEIFCSQSSNNVDYSGLPLQLKGLLFESLGELISIGFENSWTEPFVRNLETFEVISCSSLKNLVASKVFLSNLTYLKIESCDNLSYLFTSSTAKSLRELKEMVIKRCKSIEEIVSKEGEEWCEDKEIIFEKLQVLYLKSLDELRCFYPGNFTLSFPSLERIHVINCSSMKTFSAFNEIDHFTQWYNAEYAIPFEETDLNSAVHRTHEEEVIPPEEGDLNTVVHRTLEEEVIQFMTAYHDYQSDYC >Vigun10g089400.1.v1.2 pep primary_assembly:ASM411807v1:10:25823354:25854231:1 gene:Vigun10g089400.v1.2 transcript:Vigun10g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGPFGKAAEGAIDIVWKHGVRHVTYIINYKQNVLELNDSAKDLGFEKERINHQRDEAEKNLNNIEGKVTEWVRKVSEIETVIDEFENDDGHKRARSPNCYVFPYLWNRHKLGRKAKKMEVDVKKLIDESPEFDEVSYRQNITSNDATLYNYGFVEFGSTKSTMEKVMRQLEDSSVRMIGLYGPGGVGKSTLVKEIARKAKDEKLFDVVVKVEITVNPNVQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKIKKGSTLLILDDLWDKLDLSKLGVPLDDDDDDDLSNDKVLEKDDNNKDPNRKVLKKEKILGGVKGCKILLTSRDKKVLCDEMDVKSTFCVKELDDKDALMLFQKLVGIHNEISDSKQEIVKKYCAGLPMAIVTVARALKSKSESVWEATLEKLKKQELVGVQISMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLVMDLVRYCFGLGLLEGVSSLWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDMVRDAALSIASKDRNVFTLRNAKLDDWPEFESCTSISICNCDIIDGLPEVINSPQLKFFQIETKDTSLIIPENFFEGMKDLKVLILTGFRLSRLPSSIKCLLKLRMLCLERCYVDDNLSIGELKKLRILSFSGSQLQNFPIELGCLDKLQLLDISDCSIETNIPLNLLSSLKHLEELYIRKSLIKMLAEGETSQYQKLFFSELKNLCELKVVDLSIPCATVLPNHLFFDKLKDYKIVIGDLEMFGDFIMPDKYETFRVLALQLHDNTNIHSQEDIKSLFKTVQSLLLGKVNGVQNVVSDLNIDGFPDLKHLSIINNNHIKCVSSSKFFNSADVFPNLESLCLYGLGNLEMISYGPITVASFAKLKAINVKMCYQLKNLYSVYNFKFSTSGKICEISECNFMDQFRASVEIIEVCECGSLKEILQIPMDYGKVEFLKLHTLTLQSLPSFTSFYTEVKTSCSPHPAEPQTTSYGNREITSEDEQSVKTPTVFGELVEIPNLESLNLSALNIHKIWSDQPSSSFCFQNLIKLVVKECDKLTYLCSLSVAHSLKKLKSLVIIECAIMEKIIETKGNILEKVCVFPKLEEIHLTKMNALTDVWQTNASVDSFSSLISVTIEECNKLDKIFPSHMEGWFESLENLRVSRCESVEVTFEINDSQEIDASGGIDTSLQVILLNDLPKLKQLWSIDPDGILNFKKLRTIEVYSCGELRNLFPASIANDVPKLERMSALYCENMVEIIASEDASEDDKDPLVFPELTYMRLYWLRNIKHFYKGRRPIKCPKLKEFSIDNYVNKFIKETSKTNEEENFVFSAQEVFSNLECMEIDFYQAQKLLPKFQMHRLKELSLISVKSVNLLHQFPYAMPNLQKLKLFSSYGEDLVASANSVPQNGLGIVLELKELVMQFLGIKDLGLGQLPVLRKLEFLSLEHCDELKNLGPSSVSLTYLTHLELKSCQGLRNLMASSTAKSMVQLKTMKVIDCDGVDQIVSNEGSEEVNEMKIVFPKLISIGLVGLKNMTSFCRSKEFEFEFPSLEILIVRECPKMERFSERKSITPKLKNVFGVEGDEKTKWQWEGDLNATIQKVFNDKVTYAYTEQLALGRFSYTEFIQQIWHDSHSVHQSSFRSLKRLSAYKVNLLRVIPSHLLSCFENLEELDIRSCSATQFIFNINENRVRKPSGIFRLKSLYLFNLPKLEHVWDKDPKGIIGLKVLKEVRVSRCECLKSLFPASVAKDLTRLEVLEVTECEELAEIFWKDEKGEEGEGTTPQFVFARLTSLTLKQLPGFKYSIRCSKEEEIISNLSERDIEELCLGSRPIPNSCFGLLESLTVDGCQFLSNVLLPFNLLISLTNLETLEVRNSDFVKTIFDVKCTTQNRDVTSVGQTLPFSLKKLTLSMLPNLENVWNEDPHRILSMHHLKQVLVDNCKCLTSLFPASVAKDFVELEHLEVKDCEGLMTIVAEDNTDPSGTKQELPCPPVWSLLLRGLPKFKYFYYSSLQCDNFATENEVYVEKCLSLGEKGLEMILSGEFQRDLLYNLKVLALWFERDVFPHEILEQVPNIEKLLLCGGSFREMFCCESSNNVDYNGLLLQLKVLHLESLGNLISIAACKLTFSNLTYLNVKGCNNLRYLFTSSAAKNLGQLKRMEIKWCESIEEIVSKEVEDSSNEDEIIFPQLNCLNFEYLENLRIFYQGNLSFPSLEEFSVTDCHQLITLSTGTLEVHKLSHVTIDSKEIIFPLKSDLNTIMRKTFLAEISELEQLDLESSPKLQKIWHDLVYIPDLCFSELTTLIVYNCQFLSDVVLPFHLLPSLPKLETLRVQNCHYVKAIFDVKCAQEIVTFPLKKMVLWELQNLKNVWNEDPHEILTMHQLQNVYIKKCKGLTSVFPASVAKGIVKLKKLTVKRCKELMTIVADMKGTNVEVKFPCPSVRSLKLRRLPRFKYFYYCSLKSDVYTHLGSHNEDRVATEKCLSLGEKGMEMILYGEFQKSLLYNLKVLTLYFQSDVFRYEIIEQVPNIKKLVVRDGSFKEMFCSQNPNYNVEYSGVLSQLKELRLDSLKELVSIGLDNSWTHPFVRTLETFEVIKCSSLETLVACKVAFSNLTYLNVESCNSLSYLFTSLTAKSLLQLKRMVIKQCESIEEIVLFMEADEADEDKIIFPHLNCLNIEYLTNLRRFYRGSLSFPSLQELSVTECDKMITLCTGTLEADKLSQVTVDISETIPLQTDLHLTMRKKFLRKNGWQQSLEFRDRADLHEIWRVSLQIPYFCFRGLSILVVDGCQFLSDVLPFSLLPLLPGLKTLEVQYCYSVKTIFDVKCTTQAALITFPPLRKLVLWKLPNLETVWNEDPAEIVNPAHPKHTNLKLTFPSVTSLTLWDLPKLKRNSIYCIHDSTPTFELITPNLQRLSVGEDELKMIVDGEFQENHFNKLKILTLCFLTESGVFLEFLQLVPNVENLIVYGGSLKEIFCSQSSNNVDYSGLPLQLKGLLFESLGELISIGFENSWTEPFVRNLETFEVISCSSLKNLVASKVFLSNLTYLKIESCDNLSYLFTSSTAKSLRELKEMVIKRCKSIEEIVSKEGEEWCEDKEIIFEKLQVLYLKSLDELRCFYPGNFTLSFPSLERIHVINCSSMKTFSAFNEIDHFTQWYNAEYAIPFEETDLNSAVHRTHEEEVIPPEEGDLNTVVHRTLEEEGLDHWSFSSLSSYSDGYKDGDSVYDSIP >Vigun06g014700.1.v1.2 pep primary_assembly:ASM411807v1:6:6921590:6934145:-1 gene:Vigun06g014700.v1.2 transcript:Vigun06g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEHMNEELELRDSLDKEKKLEDELKLNVVTEEVRGGVLAENGCLSLEDGSPKRSTEIVGTSVSGVKRARINVDEHEPSVHFTYTSLTRGSRQKLQELLRQWSEWHAKHAMSSNDESEVLESGEETFFPALHVGLEKTSAVSFWMENQTRNDKNTDFIPLADHSVPLYDRGYTLGLTSADGSSNVDGGLEIIDDPARCFNCGSYNHSLRECQRPRDNTAVNNARKQHKSRRNQNSSSRNPTRYYQNSPAGKYDGLRPGVLDDATRQLLGLGELDPPPWLNRMREIGYPPGYLDVDDEDQPSGIMIYTDREIADQEDGEIMEVESDSSKPKRRMTVKFPGINAPIPDNADERLWGSGNEPSSSDISRNLLAPHRSNYSSDYGSRGHHRENRLGGDLSDDGPPGDPGHSSSKFSFHPRFSGHDGTRSPSSSRSLSDWSRSPLHDEEPPRPFSFHSLHYSPSERDYGRPWNSNYESINDRDRDLSSRFKDRSDERHHRSWW >Vigun03g273100.1.v1.2 pep primary_assembly:ASM411807v1:3:44843245:44846142:-1 gene:Vigun03g273100.v1.2 transcript:Vigun03g273100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCLLHLLRGVVVALLSVCLVQGEDSYKYYTWTVTYGTLSPLGSPQQVILINGEFPGPQLDLVTNDNVVLNLVNKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPVPPNSNYTYKFQAKDQIGTFTYFPSTSLHKAAGGFGGLNVYHRSVIPVPYPYPDGDFTLLIGDWYKTNHKALRETLDSGKSLAFPDGLLINGQAHTTVNGDQGKTYMFRISNVGMSTSINFRIQGHTLKLVEIEGSHLVQNVYDTLDVHVGQSATVLVTLNQPPKDYYIVASTRFSRKIFTATAVLHYSNSNSPASGPLPSPPIHQYHWSVKQARTYRWNLTANAARPNPQGSYHYGKITPTKTIVLSNSASLINGKLRYTVNRVSYVNPDTPLKLADYFNIPGIYSVDSLQSLPSDNTPLSVATSVVPTSLHDFIEVVFQNNENTMQSWHLDGYDFWVVGYGFGEWTPVKRRTYNLVDALTRHTAQVYPNGWTSILVSLDNQGMWNLRSAMWERQYLGQQLYLRVWTSERSLHNEYDIPNNVLLCGKAVGHNNNNNNP >Vigun03g362700.1.v1.2 pep primary_assembly:ASM411807v1:3:56649283:56653998:1 gene:Vigun03g362700.v1.2 transcript:Vigun03g362700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPDLGTEILIPVCAVIGIAFALFQWFLVSKVKLSAVRDASPNAAAKNGYNDYLIEEEEGINDHNVVVKCAEIQNAISEGATSFLFTEYKYVGIFMVAFAILIFLFLGSVEGFSTSPQPCTYDKTKTCKPALATAIFSTVSFLLGGVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGLNHEFTAMLYPLIVSSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLVISTVLMTIGVAVVSFVALPTSFTIFNFGVQKDVKSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Vigun10g200300.1.v1.2 pep primary_assembly:ASM411807v1:10:41258092:41266810:-1 gene:Vigun10g200300.v1.2 transcript:Vigun10g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNATASATAANSSFYSQTQCRLSSDIRGSIPFSKMKRFLFFNDVHPRKYPLMLVPRAADPNTGKDSDVGEDEVQKQQRVMQQPPMSLSLDDVNPVGLGRRSRQLFDEVWRKFSGLGQISRTIRSDDQEALDALLVREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYSVKALVRKADQEVVDLLPRSVEIVIGDVGDPTTVKAAVEGCNKIIYCATARSAITGDLFRVDHRGVYNLTKAFQDHNNKLAQSRAGKSSKSKLLIAKFKSESSLHGWDVRQGTYFQDVLATKYDGGMDAKFEFTENGDAVFSGYVFNRGGYVELSKSFPYH >Vigun09g064600.1.v1.2 pep primary_assembly:ASM411807v1:9:6799304:6832859:1 gene:Vigun09g064600.v1.2 transcript:Vigun09g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKQLLGTIESALLGASSPTAAQRVELLHALRTSVTSFQSLLSYPPPKPSDRSQVQSKSVRLPDSPPISLDDQDVHIALKLSDDLHLNEVDSVRLLVSANQEWGLMGREPLEILRLAAGLWYTERRDLITALHLLLRAVVLDQGLQDDILVDIQKYLEDLISSGLRQRLISLIKELNREEPSGLGGLQCERFILDSRGSLVERQAVVSRERLILGHCLVLSILVVRTSPKDIKDIFSVLKDNASEVSEINTIVKHQITFCLLFALVIAFVSDGLSTVPDKASVLSSNTSFKHEFHELLMAAGNDPIVEGFVGGVRLAWAVHLMLIQDGAVTRETISSGSSNELGYLSQCLEVIFSNNVFQFLLDKVLRTAAYQTEDEDMVYMYNAYLHKLITCFLSNPLARDKIKETKERSMSVLSPYRVVGSHDFAQDTNSSSLNGPLPFNSILDFVSDIYQKEPELLSGNDVLWTFANFAGEDHTNFQTLVAFLNMLSTLACSQEGASKVYELLQGKAFRSIGWSTLFECLTIYDEKFKQSLQTAGAMWPEIQEGDAKALVAYLNVLKKVVENGNPTERRTWFPDIEPLFKLLSYENVPPYLKGALRNAIATFIQVSPILKDSIWTYLEQYDLPVVVGPDIQNSSQSMGTQVYDMRFELNEIEARREQYPSTISFLNLINALIAEESDLSDRGRRFIGIFRFIYDHVFGPFPQRAYADPCEKWQLVGACLKHFHMVLSMYGIKDEDYEGVVDQSRLTATKESSSLQTQLPVLELLKDFMSGKTAFRNIMSILLPGVNSVIAERSSQLYGKLLENAVQLSLEIIILVFDKDLLLSDYWLPLYQPLDIILSHDHNQIVALLEYVRYDFQPKVQQSSIKIMSILSSRMVGLVQLLLKCNASNSLIEDYAACLELRSEEFQNLENSSDDPGILIMQLLIDNISRPAPNITHLLLKFDLDTPIERTVLQPKFYYSCLKVILDILEELLKPNVNALLHEFCFQLLYELCVDPVTCVPTMDLLSNKKYQFFVKHLDTIGIAPLPKRNNNQSLRNSSLHQRAWLLKLLAVELHAGDVSSSNHREACQTILSYLFSHGIKDIGGGQAMYPFLHHDTSQNAALGTVSKSKVFELLEIIQFRCPDSTTKLSDIVAGMKYDLPAEDILGNSGNDGVYYYSERGDRLIDLAAFHDKLWQKYNSAYTQASNIGNEVELSNVRETIQQLLRWGWKYNKNLEEQAAQLHMLTAWSQIVEVSASRRLIMIEDRTEILFQVLDASLSASASQDCSLKMAFILSQVALTCMAKLRDERFLFPGSISSDNITCLDLIVVKQLSNGACLTILFKLIMAILRNESSEALRRRQYAFLLSYFQYCQNVVDPDVPSTVLQFLLLNEQDNEYIDLPKIDNEQAELAHANFSTLRKEAQSILNLVIKDATHGSEPGKTISLYVLDALISIDHERYFLSQLQSMGFLRSCFTAISNVCNQDGGNLSLDSLQRACTFEAELALLLRISHKYGKSGAQVLFSMGILEHFSSGKAINLQGSLRWVETRLRRDVAVDFDRQRMIITPVMRLVFSLTSLVDTSDFLEVKNKIVREVIDFVKGHQSLFDQVLRLDIAEADELRMEQINLVVGILSKVWPYEESDEYGFVQGLFGMMRALFSRDSKIPSFAQSRVSPENQRNSELRMFNLCYSLSSYLYFLVIKKSLRLQPSDASSSYPTSVELQQPTLSLLNSLLSSVTTALERAAEEKSVLLNKIRDINELSRQEVDEIISMCVRQDTVSSSDNIQKRRYIAMVEMCRVVANRDQLIILLLPLSEHVLNIILVHLQESSAALDSTLTTKTITYGAKYDAQQDVSLLCGKLVPTLERLELLGEDKVGHNLKVFRRLATSAKELAIQKLI >Vigun05g266300.1.v1.2 pep primary_assembly:ASM411807v1:5:45826478:45829919:-1 gene:Vigun05g266300.v1.2 transcript:Vigun05g266300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLRALTTPLRVSPKWELRTAKPNRIVCKAQNEDVEDSDATNLSLLSRRLALGTALIGGAAAAGTKASPAGAVDIAPSVEPPPTTIPSYDNEEYPASIVDALSLNRTSFPEGFIFGTASSAYQYEGAASKDGREASVWDTFTHEHPEKIDDGSNADVAIAEYYRYVEDIKIMKDMNLDAYRFSISWSRILPNGKPGENEEGVNKKGIEYYNKLINHLIANGLEPYVTLFHWDTPQALETEYGGFASRRIIDDFRNYAQICFKYFGDRVKHWITLNEPWAYSREGYSVGLFAPGRCSPQQDPTCLGGNSATEPYIVTHNLLLAHAAAVDEYRKEYKESQNGEIGITLISRWFEPHDPDSEEDKNAAKRALDFLFGWYMEPLASGKYPQTMRDRVGDRLPEFTDEESTLVAGSYDFLGLNYYTTNYAANETAPGPFPSYEYDAGVRYLTEREGIPVGTPTGSSWLFVCPKGFKELLLYVKEKYHNPLIYITENGRGNDINEETLEDALLDTYRIDYYYRHLYYLLSAIREDVNVKGYFAWSLFDNFEWKNGYLVGFGLYHVDRNDNLKRRAKLSGKWFQTFLQKPQQKPSLKG >Vigun02g204400.2.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784971:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFWQMIWGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQVLIVSYETFRMHCSKFSSSDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRFILRRTNALLSNHLPPKIVEVVCCKLTPLQSDLYKHFIQSKNVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQVGSPLEEDLGSWGHHFLPNSVPDAILQASAGDEVTFVFTNQVNGLLVPIESMSPKLQKKEPNKELLKSLPTPFSLQNRLPLQSASGVAGMSNSVGISKNISNYSLVSKLLPHKRSHPANIRHHNFE >Vigun02g204400.3.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784971:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMVWCSSDSCSSDSGDEDIEERKSQNVDALVRGNLVVKRQSLLPRLLSVSQGAAVCRKPFKPPSSKSHASTYNQDLTRRLSARKRFVPWGSSSPIPRPAFSDFQFNAPVTESLLDVHVPVSEEPKPSLPPGIDPLVLWHSQDSHIDPSNTNFTTITVDPLLVRYLRPHQREGVQFMFDCVSGLCTTPNIHGCILADDMGLGKTLQSITLLYTLLCQGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQVLIVSYETFRMHCSKFSSSDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQVGSPLEEDLGSWGHHFLPNSVPDAILQASAGDEVTFVFTNQVNGLLVPIESMSPKLQKKEPNKELLKSLPTPFSLQNRLPLQSASGVAGMSNSVGISKNISNYSLVSKLLPHKRSHPANIRHHNFE >Vigun02g204400.4.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784971:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMVWCSSDSCSSDSGDEDIEERKSQNVDALVRGNLVVKRQSLLPRLLSVSQGAAVCRKPFKPPSSKSHASTYNQDLTRRLSARKRFVPWGSSSPIPRPAFSDFQFNAPVTESLLDVHVPVSEEPKPSLPPGIDPLVLWHSQDSHIDPSNTNFTTITVDPLLVRYLRPHQREGVQFMFDCVSGLCTTPNIHGCILADDMGLGKTLQSITLLYTLLCQGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRFILRRTNALLSNHLPPKIVEVVCCKLTPLQSDLYKHFIQSKNVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQVGSPLEEDLGSWGHHFLPNSVPDAILQASAGDEVTFVFTNQVNGLLVPIESMSPKLQKKEPNKELLKSLPTPFSLQNRLPLQSASGVAGMSNSVGISKNISNYSLVSKLLPHKRSHPANIRHHNFE >Vigun02g204400.6.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784971:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFWQMIWGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQVLIVSYETFRMHCSKFSSSDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQVGSPLEEDLGSWGHHFLPNSVPDAILQASAGDEVTFVFTNQVNGLLVPIESMSPKLQKKEPNKELLKSLPTPFSLQNRLPLQSASGVAGMSNSVGISKNISNYSLVSKLLPHKRSHPANIRHHNFE >Vigun02g204400.1.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784971:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMVWCSSDSCSSDSGDEDIEERKSQNVDALVRGNLVVKRQSLLPRLLSVSQGAAVCRKPFKPPSSKSHASTYNQDLTRRLSARKRFVPWGSSSPIPRPAFSDFQFNAPVTESLLDVHVPVSEEPKPSLPPGIDPLVLWHSQDSHIDPSNTNFTTITVDPLLVRYLRPHQREGVQFMFDCVSGLCTTPNIHGCILADDMGLGKTLQSITLLYTLLCQGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQVLIVSYETFRMHCSKFSSSDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRFILRRTNALLSNHLPPKIVEVVCCKLTPLQSDLYKHFIQSKNVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQVGSPLEEDLGSWGHHFLPNSVPDAILQASAGDEVTFVFTNQVNGLLVPIESMSPKLQKKEPNKELLKSLPTPFSLQNRLPLQSASGVAGMSNSVGISKNISNYSLVSKLLPHKRSHPANIRHHNFE >Vigun02g204400.5.v1.2 pep primary_assembly:ASM411807v1:2:33774249:33784976:1 gene:Vigun02g204400.v1.2 transcript:Vigun02g204400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMVWCSSDSCSSDSGDEDIEERKSQNVDALVRGNLVVKRQSLLPRLLSVSQGAAVCRKPFKPPSSKSHASTYNQDLTRRLSARKRFVPWGSSSPIPRPAFSDFQFNAPVTESLLDVHVPVSEEPKPSLPPGIDPLVLWHSQDSHIDPSNTNFTTITVDPLLVRYLRPHQREGVQFMFDCVSGLCTTPNIHGCILADDMGLGKTLQSITLLYTLLCQGFDGKPLVRKSIIVTPTSLVSNWEAEIKKWVGERVRLVALCESAREDVISAIDCFTSPERNLQVLIVSYETFRMHCSKFSSSDSCDLLICDEAHRLKNDQTITNRALAALPCKRRILLSGTPLQNDLEEFFAMVNFTNPGILGSIAHFRRYYEAPIICGREPAATVEEKKIGAERSAELSVNVNRFILRRTNALLSNHLPPKIVEVVCCKLTPLQSDLYKHFIQSKNVKRAITEELKQSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEECIRFFPPEMLSGRSGSWTGGHGAWVELSGKMHVLARLLAHLRHRTNDRIVLVSNYTQTLDLFGQLCRERRYPHLRLDGSTSISKRQKLVNCFNDLSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMSKEGLQKVIQQEQTDSFVSQGNFLSTEDLRDLFTFHENIKSEIHEKMQCSRCETHGGPQSTDAQSTADNESGEETSDIGGFAEIAGCLQNLKRSEMQGAHWKKI >Vigun08g133700.1.v1.2 pep primary_assembly:ASM411807v1:8:30498422:30501725:-1 gene:Vigun08g133700.v1.2 transcript:Vigun08g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKTPSLVNRTHLSSVSFFFLLSVSTLIFSSRITTASTIPCLDTKCPMFTKANTDFQVGKENNGKIGESLVSGGKRDVLSMGWPRRRLLGGPGSSPPRCTSKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >Vigun10g081600.1.v1.2 pep primary_assembly:ASM411807v1:10:22788436:22791904:1 gene:Vigun10g081600.v1.2 transcript:Vigun10g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGTMKPLRVKLPLPIIITTICVLLFIAVLYAERLSFPNSTSIFKFKTCSRKHSKSKSNDKKSEEVFANASWTDDRFDFDPEECNVANGKWVFNSSLKPLYSDSSCPYIDRQFSCVKNGRNDSDYLHWEWQPEDCTLPPFNPELALKKLQGKRLLFVGDSLQRNQWESFVCLVQGVIPEKKKSMKRGRVHSVFKAKEYNATIEFYWAPFLVESNSDIHIIGDPKKRIIKVDEITERAKNWTGVDILVFNTYVWWMSGLRVKALWGSFANGEEGYEELDTPVAYKFGLRTWANWVDSTIDPNKTRVFFTTMSPAHTKSADWGHKDGIKCFNETKPVKKKNHWGSGSNKDMMSVVAKVVKRMKVPVNVINITQISEYRIDGHSSVYSEIGGKILTEEERVNARNADCIHWCLPGVPDTWNQIFLAML >Vigun05g109300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11207718:11211178:-1 gene:Vigun05g109300.v1.2 transcript:Vigun05g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSIMAPFSISHHFAAFVFLIFFLKTQAFDPVSSFSFTDFEKDPDFKSSVELYGNAKVINEGAEVLLSGNDGRVMYKKPIKLVHGVTRELVSFSTYVGFSMSLDSGKGSLAFVMVPSGVEGEVFRNSSYGFSFGLKQKDFKIVGVQFGVEGSNGSSGSCVVSINVGSSVPVKTINASSVIMGLKGEGKLHAWIDYEASSKRLEVRLNHYGQSRPSDPLLWHSIDLSNVWGTEEMFAGFSTLKGNTSQASFLYSWSFIVRHFPHWMHSEPLDPKDLSKNAETPAVKSRSDCLLRVLAAMIFGAGCGALTAFIVLYLWTIFGNKRPVVPEEYAMQPVDFEYKKVDIVVDKTIKDAKE >Vigun10g174200.1.v1.2 pep primary_assembly:ASM411807v1:10:39289023:39292320:-1 gene:Vigun10g174200.v1.2 transcript:Vigun10g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSITTVEETPKLLHGTIEATIFNASPYSPLFPFNSFSCFQCLCTNGKPAYVTIKIDNQKFAKTSEESNRVWNQTFQIQCAHPADSCITITLKTSHSSILGKFHIQAQQLLKKGGLINGLFPLLMDNGKPNPKLKLKFMLWFKPANLEPSWAKMLSNDWEFQGLKEASFPLRSNCQVKLYHDAHHSSSFQPPFDLCGAPKKLWEDVYKAIEGAKYLVYIAGWSFNPMTVLVRDPHTEIPHARGIKLGDLLKKKAEEGVAVRVMLWDDETSLPFVKNKGELNNQDEEAFAFFNHTKVICRKCSRLHHMFPTLFAHHQKTITVDTKAPKSVDDRELMSFVGGLDLCDGRYDTEQHSLFQTLIRESHCYDFYQTSIAEASLNKGGPRAPWHDAHACVIGEAAWDVLTNFEQRWTKQCDPSFLIPSSTLANLMPKTSSSTHRERNWKVQIYRSIDHISVGELSTVERSIHEAYVEAIRRAERFIYIESQYFIGGCHWWKKDRHCGCTNLIPIEIALKVVSKIKAKERFSVYIVIPMWPEGEPESEPVQDILHWTRETMAMMYRLIGEAIQESEEPAHPRDYLNFFCLANREQKGPGEYLPLDSPQPETQYWNAQKNRRFMVYVHSKFMIVDDIYILIGSANINQRSMDGKRDTEIAMGCYQFQDEDDDHQMNIDEVQAYRLSLWYEHTVSVDELFLEPERLECVERMRSIGDEMWEIYSSEEIRDMEGVHLVSYPVRVTPEGYVKDLIDGVHFPDTNSLVKGKRSKILPPIFTI >Vigun10g174200.2.v1.2 pep primary_assembly:ASM411807v1:10:39289023:39292320:-1 gene:Vigun10g174200.v1.2 transcript:Vigun10g174200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSITTVEETPKLLHGTIEATIFNASPYSPLFPFNCLCTNGKPAYVTIKIDNQKFAKTSEESNRVWNQTFQIQCAHPADSCITITLKTSHSSILGKFHIQAQQLLKKGGLINGLFPLLMDNGKPNPKLKLKFMLWFKPANLEPSWAKMLSNDWEFQGLKEASFPLRSNCQVKLYHDAHHSSSFQPPFDLCGAPKKLWEDVYKAIEGAKYLVYIAGWSFNPMTVLVRDPHTEIPHARGIKLGDLLKKKAEEGVAVRVMLWDDETSLPFVKNKGELNNQDEEAFAFFNHTKVICRKCSRLHHMFPTLFAHHQKTITVDTKAPKSVDDRELMSFVGGLDLCDGRYDTEQHSLFQTLIRESHCYDFYQTSIAEASLNKGGPRAPWHDAHACVIGEAAWDVLTNFEQRWTKQCDPSFLIPSSTLANLMPKTSSSTHRERNWKVQIYRSIDHISVGELSTVERSIHEAYVEAIRRAERFIYIESQYFIGGCHWWKKDRHCGCTNLIPIEIALKVVSKIKAKERFSVYIVIPMWPEGEPESEPVQDILHWTRETMAMMYRLIGEAIQESEEPAHPRDYLNFFCLANREQKGPGEYLPLDSPQPETQYWNAQKNRRFMVYVHSKFMIVDDIYILIGSANINQRSMDGKRDTEIAMGCYQFQDEDDDHQMNIDEVQAYRLSLWYEHTVSVDELFLEPERLECVERMRSIGDEMWEIYSSEEIRDMEGVHLVSYPVRVTPEGYVKDLIDGVHFPDTNSLVKGKRSKILPPIFTI >Vigun10g119250.1.v1.2 pep primary_assembly:ASM411807v1:10:32559609:32559931:1 gene:Vigun10g119250.v1.2 transcript:Vigun10g119250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPVLKPLVTMNFSIMKAFSADCHMKKAAHVIQVNWEPPECGWVKCSTDVAAVNDGFLRAACSRTF >Vigun01g171300.2.v1.2 pep primary_assembly:ASM411807v1:1:35342209:35348827:-1 gene:Vigun01g171300.v1.2 transcript:Vigun01g171300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGAGKTTLLLALAGKLDPDLKVCGRVSYCGHELNEFVCRKTCAYIGQHDIHYGEMTVRETLDFTGRCLGVGTRYEMLEELLRREKYKGIKPDSHIDAFMKATVISGQNTNLQTDYVLKILGLDICAETMVGNDMRRGISGGQRKRVTTGEMLVGPAKALLMDEISTGLDSSTTFQICKFMKQMVHIMDETMVISLLQPPPETYELFDDIILLSEGHIVYQGPRQHVLEFFQNMGFKCPPRKGVADFLQEVTSKKDQQQYWFRTDEPYRYVSVPEFAKSFHLFHIGEKLGTEYKVPYDKSQTHPAALVKDKYGISNWELLKACFSREWLLMKRDMFVYVFRIIQLVVLSVLGFTVFLRVKMPVGTVEDGGKFFGALFFSLVNIMFNGFSEQAMIVSRLPVFYKQRDFMFYPAWAYALPICVLRIPISFVESAIWIVLTYYPIGFAPSPSRFFKQLLTLFGVHQMAISLFRLVGAIGRTYVASNILSGVVYQLVFVLGGFVVSKDNIKPWLKWGYYVSPMSYGQNAIVLNEFLDERWSKPNTDSRFDAPTIGKVLLKSKGFYTEDYWFWICIGALFGFVLLFNLLCIAALTYLNAIGDSKAFITDEDDKKNEGGQGIDLAVRNASQRERRKGMVMPFQPLSLAFNHVNYYVDMPAEMKSEGINKDRLQLLHDTSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPSDVNTHTRKMFVEEIMELVELKSIKDALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGHVIYAGPLGYHSQQLIEYFETIPGVPKINEGYNPATWMLEISTPSYEAQLGIDFSEIYANSTLFLNNQELIKELDTPPPGSNDLLFPTKYSQSFFVQCKACFWKQYWSYWRTASYNGTRFFFTILIAILFGLIFLNKAKNIKKQQDVWDVVGAMYTAVMFLGTQNTMGVQPIVDIERTVLYRERAAGMYSTLTYAISQKWRQFTTLFKQQSLVSYSTPLLGLNGQRPNFFHSTTSCSFV >Vigun01g171300.1.v1.2 pep primary_assembly:ASM411807v1:1:35342196:35348859:-1 gene:Vigun01g171300.v1.2 transcript:Vigun01g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGAGKTTLLLALAGKLDPDLKVCGRVSYCGHELNEFVCRKTCAYIGQHDIHYGEMTVRETLDFTGRCLGVGTRYEMLEELLRREKYKGIKPDSHIDAFMKATVISGQNTNLQTDYVLKILGLDICAETMVGNDMRRGISGGQRKRVTTGEMLVGPAKALLMDEISTGLDSSTTFQICKFMKQMVHIMDETMVISLLQPPPETYELFDDIILLSEGHIVYQGPRQHVLEFFQNMGFKCPPRKGVADFLQEVTSKKDQQQYWFRTDEPYRYVSVPEFAKSFHLFHIGEKLGTEYKVPYDKSQTHPAALVKDKYGISNWELLKACFSREWLLMKRDMFVYVFRIIQLVVLSVLGFTVFLRVKMPVGTVEDGGKFFGALFFSLVNIMFNGFSEQAMIVSRLPVFYKQRDFMFYPAWAYALPICVLRIPISFVESAIWIVLTYYPIGFAPSPSRFFKQLLTLFGVHQMAISLFRLVGAIGRTYVASNILSGVVYQLVFVLGGFVVSKDNIKPWLKWGYYVSPMSYGQNAIVLNEFLDERWSKPNTDSRFDAPTIGKVLLKSKGFYTEDYWFWICIGALFGFVLLFNLLCIAALTYLNAIGDSKAFITDEDDKKNEGGQGIDLAVRNASQRERRKGMVMPFQPLSLAFNHVNYYVDMPAEMKSEGINKDRLQLLHDTSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPSDVNTHTRKMFVEEIMELVELKSIKDALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGHVIYAGPLGYHSQQLIEYFETIPGVPKINEGYNPATWMLEISTPSYEAQLGIDFSEIYANSTLFLNNQELIKELDTPPPGSNDLLFPTKYSQSFFVQCKACFWKQYWSYWRTASYNGTRFFFTILIAILFGLIFLNKAKNIKKQQDVWDVVGAMYTAVMFLGTQNTMGVQPIVDIERTVLYRERAAGMYSTLTYAISQVEVETIYNAIQTTIFSFILYSLIGFEWTAAKFFSFYYFMLVCLIYYTLYGMMIVALTPSFQVAAVCNTFFLSIWNTFSGFVIPRTQIPVWWRWYYWLTPNAWTLYGIVTSQLGDENAEVEIPGAENIGLKELLKKIFGYDYHFLPVVVVVHLGWVLLFLFVFAYSIKFLNFQKR >Vigun01g171300.3.v1.2 pep primary_assembly:ASM411807v1:1:35342196:35348860:-1 gene:Vigun01g171300.v1.2 transcript:Vigun01g171300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGAGKTTLLLALAGKLDPDLKVCGRVSYCGHELNEFVCRKTCAYIGQHDIHYGEMTVRETLDFTGRCLGVGTRYEMLEELLRREKYKGIKPDSHIDAFMKATVISGQNTNLQTDYVLKILGLDICAETMVGNDMRRGISGGQRKRVTTGEMLVGPAKALLMDEISTGLDSSTTFQICKFMKQMVHIMDETMVISLLQPPPETYELFDDIILLSEGHIVYQGPRQHVLEFFQNMGFKCPPRKGVADFLQEVTSKKDQQQYWFRTDEPYRIPISFVESAIWIVLTYYPIGFAPSPSRFFKQLLTLFGVHQMAISLFRLVGAIGRTYVASNILSGVVYQLVFVLGGFVVSKDNIKPWLKWGYYVSPMSYGQNAIVLNEFLDERWSKPNTDSRFDAPTIGKVLLKSKGFYTEDYWFWICIGALFGFVLLFNLLCIAALTYLNAIGDSKAFITDEDDKKNEGGQGIDLAVRNASQRERRKGMVMPFQPLSLAFNHVNYYVDMPAEMKSEGINKDRLQLLHDTSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLFSAWLRLPSDVNTHTRKMFVEEIMELVELKSIKDALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGHVIYAGPLGYHSQQLIEYFETIPGVPKINEGYNPATWMLEISTPSYEAQLGIDFSEIYANSTLFLNNQELIKELDTPPPGSNDLLFPTKYSQSFFVQCKACFWKQYWSYWRTASYNGTRFFFTILIAILFGLIFLNKAKNIKKQQDVWDVVGAMYTAVMFLGTQNTMGVQPIVDIERTVLYRERAAGMYSTLTYAISQVEVETIYNAIQTTIFSFILYSLIGFEWTAAKFFSFYYFMLVCLIYYTLYGMMIVALTPSFQVAAVCNTFFLSIWNTFSGFVIPRTQIPVWWRWYYWLTPNAWTLYGIVTSQLGDENAEVEIPGAENIGLKELLKKIFGYDYHFLPVVVVVHLGWVLLFLFVFAYSIKFLNFQKR >Vigun10g049700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7709707:7711975:-1 gene:Vigun10g049700.v1.2 transcript:Vigun10g049700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNPLLTDGWSSLRVFYKLQHIHQILFRFVGNSTFQITVFSDMTAISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLVNNVLLYCFLYAILFIYVFIYLTVYFFFQDLPTDFAAYVRKCSFKRLELQGSTNTCIVCKLLVRNSPKKSTKIGKGWKDLCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun10g049700.5.v1.2 pep primary_assembly:ASM411807v1:10:7709751:7711975:-1 gene:Vigun10g049700.v1.2 transcript:Vigun10g049700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNPLLTDGWSSLRVFYKLQHIHQILFRFVGNSTFQITVFSDMTAISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLDLPTDFAAYVRKCSFKRLELQGSTNTCIVCKLLVRNSPKKSTKIGKGWKDLCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun10g049700.6.v1.2 pep primary_assembly:ASM411807v1:10:7709707:7711975:-1 gene:Vigun10g049700.v1.2 transcript:Vigun10g049700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEKLALICFLLVSTVISLIKIDSFKRLELQGSTNTCIVCKLLVRNSPKKSTKIGKGWKDLCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun10g049700.3.v1.2 pep primary_assembly:ASM411807v1:10:7709751:7711975:-1 gene:Vigun10g049700.v1.2 transcript:Vigun10g049700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNPLLTDGWSSLRVFYKLQHIHQILFRFVGNSTFQITVFSDMTAISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLDLPTDFAAYVRKCSFKRLELQGSTNTCIVCKLLVRNSPKKSTKIGKGWKDLCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun10g049700.1.v1.2 pep primary_assembly:ASM411807v1:10:7709706:7711975:-1 gene:Vigun10g049700.v1.2 transcript:Vigun10g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNPLLTDGWSSLRVFYKLQHIHQILFRFVGNSTFQITVFSDMTAISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLDLPTDFAAYVRKCSFKRLELQGSTNTCIVCKLLVRNSPKKSTKIGKGWKDLCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun11g193200.1.v1.2 pep primary_assembly:ASM411807v1:11:39247340:39251097:1 gene:Vigun11g193200.v1.2 transcript:Vigun11g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSSTASFVVTTLVLLATVCDAQLSSKFYDSTCPNALSTIRTVIRSAVSKERRMAASLIRLHFHDCFVQGCDASILLDDSSSIESEKTALQNVDSVRGYNVIDQAKTEVEKVCPGVVSCADIVAVAARDASFAVGGPSWTVNLGRRDSTTASKSEATGDLPLFTDDLDTLISRFQKKGLTARDMVTLSGAHTIGQAQCFTFRGRIYSNASDIDAGFASTRRRGCPSLNNNENDKKLAALDLVTPNSFDNNYFKNLIQKKGLLHSDQVLFSGGSTDSIVSEYSNNPTTFKSDFAAAMIKMGDIQPLTGSAGIIRKICSSVN >Vigun11g133700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34319209:34319847:1 gene:Vigun11g133700.v1.2 transcript:Vigun11g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLLFSQARSTLDSVWLLRNLNQIMEFSYRRLLMQNEDVPISTSMPPLPHAKHATNASSPQVSIGSPLEVSIRPVFTSSVAYVFLILFTTFFFIGFVFLYFQQHHSSSEPESGAIRRRSQSESVVAEKSLAVVADAARQGDCAICLEEVGEGEAVKMIAYCKHVFHADCIDRWLEKHVTCPVCRCCCEKCGEVESVTVRGDEGEDAEAFP >Vigun01g193500.1.v1.2 pep primary_assembly:ASM411807v1:1:37115014:37116877:-1 gene:Vigun01g193500.v1.2 transcript:Vigun01g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFNSFYALTLFVSLLLSFFTSISIADNSHAVVPPETLCHSTLDPSYCKFVLANQNGSIYEYCQISVRKSLSQSRKFLNTMYSYLQNPSSLSQPTILALEDCQILSELNFEYLSTTLDTVDKAKDVLPTSQTDDVHTLLSAVLTNQQTCLDGLQTSASDPRVKNGLFSQLLDDMKLNSVSLYLFTKAWVPENRIWQPHGAIQNDGMSNKVRAIYDSAKGQGRKLLQTSDDSESVLVSDIVVVSKDGTGNFTTINDAIAAAPNNTAVTDGYFIIVISEGIYQEYVSIAKNKKFLMLIGNGINRTIITGDHNVVDGSTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVAVRNGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNMYPRLPLSGQFNAITAQGRTDPNQNTGISIQNATIKAAQDLAPKVGTVETYLGRPWKEYSRTVYIRSFMDSLIAPAGWHEWNGSFALSTLYYAEYDNMGPGSNTANRVNWSGFHVIDATDAANFTVSSFLDGDDWVPRTSIPYQTSL >Vigun05g037100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3002916:3004868:-1 gene:Vigun05g037100.v1.2 transcript:Vigun05g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSLISGSVGLKNEYDSPKSIQPASEYSDDDTSMVSREERLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSLRLVYQGNLKFPRKNYFLLWMVESMNGDGVKSHSTVCGDHQPVWQIKDSLATVSQVSHGSLQREQICHPESPVSNQSHGNTSNYLSIETLHTSLRKSLLLFIHLTAKFPLIIIFLLIVLYAIPASAAILALYILITILFALPSFLTLYFAYPSLDWLVREIIN >Vigun05g037100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3002901:3004875:-1 gene:Vigun05g037100.v1.2 transcript:Vigun05g037100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSLISGSVGLKNEYDSPKSIQPASEYSDDDTSMVSREERLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSLRLVYQGNLKFPRKNYFLLWMVESMNGDGVKSHSTVCGDHQPVWQIKDSLATVSQVSHGSLQREQICHPESPVSNQSHGNTSNYLSIETLHTSLRKSLLLFIHLTAKFPLIIIFLLIVLYAIPASAAILALYILITILFALPSFLTLYFAYPSLDWLVREIIN >Vigun06g178300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29836342:29836647:-1 gene:Vigun06g178300.v1.2 transcript:Vigun06g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPKIVQIETRYVETDAINFRDVVQSLTGKNSSTDWIGRNVKSAAESCSKGGIAESKEIGAVAAAAAGTNETLFNNISFKDFDGFMLPPTELMPWSYSY >Vigun03g070600.1.v1.2 pep primary_assembly:ASM411807v1:3:5833600:5837625:1 gene:Vigun03g070600.v1.2 transcript:Vigun03g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWETTMAYSPLLPKPYYYYHYQQHQRCLKINLPKWITRASSAAPGVDLNTLQSAISKKDSDAVKEALDQLGQVGWAKKWSSQPYVSRRTTSLRELTSLGIKNAENLAIPSVRNDAAFLFTVVGTTGFFAVLAGQLPGDWGFFLPYLIGSISLVVLAVGSISPGLLQAAISSFSTLFPDYQERIARHEAAHFLVAYLLGLPILGYSLDIGKEHVNLIDQRLEKLIYSGQLDAKELDRLAVVSMAGLAAEGLTYDKVVGQSADLFTLQRFINRTKPPLSKDQQQNLTRWAVLFAASLLKNNKGLHEALMEAMAKKASVLECIQTIENAAA >Vigun05g224800.1.v1.2 pep primary_assembly:ASM411807v1:5:41721119:41722953:-1 gene:Vigun05g224800.v1.2 transcript:Vigun05g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQKQIKTQILIKFIIVCSSFFAISICVSVLLLAKTKIVEPSYSPQQVSQSDLTTVDHLVFGIASTGASWSWRKQYIKLWWNTIPNKTMRGCVFVDTLPNENVNNDASLPPLCVSQDTSKFLYTYVGGLRSAIRLTRIVKEIVALNHSGVRWYVFGDDDTIFFPHNLLKTLSKYDHRLWYYVGSTSEIYDATQVFGFEMAFGGGGFAISSSLAQVLAKVLDSCIQRYPHLYGSDARVYSCITELGVGLTHEPGFHQVDLRGNIFGLLASHPLTPLLSLHHPDHTDPIFPNMSTEKSLQHLFEAVHVDSERILQQTVCYEKKLSWTISVSWGYAVQVFQNNMLLPEVVRVEKTFRQWLPGNVLKGIYNFNTRELHPDPCKRSTIFYLDEVSSAKDGSISSYKKNFQDCSYNSSMSKLEVIKVMTNKLNLDNKQTPRRHCCDVLPSNGGNLMEIAIRECNYEELIFLN >Vigun08g030000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2736920:2738626:1 gene:Vigun08g030000.v1.2 transcript:Vigun08g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTHMFIMSHSPPMDPKIWSKLPPEILEYILSFLPLKTFLNLRSTCKGFWSLVFSPSFISKHSFPSSSSSSSSSSSSPFSSFLLLSHPQFHRHFPLYDCTLGTWRNISLSLSDSSLQCFPSLTTLVSSGGLFCLSDSLSCSLIVCNLLAKSSRKIQYPSFSLHLEHLTFVTTPTGYTIFVLCSEAATNSAFVYDSKVRTWKRFDGFGPVLGDNHHQKGVFFKGGLYFATAEPCCVVIFDLGSGRWEKPVVGLPSQLTFVRLVSDGAGKLYLVGGVGNNGISRSIKLWELGGDGNWVEVQSLPDLMCKKFVSVCYHNYEHVYCFWHEGMICICCYTWPEILYYLVSRRTWHWLPRCPSLPLKCSCGFKWFSFVPNLYASV >Vigun06g004001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:1958146:1958526:-1 gene:Vigun06g004001.v1.2 transcript:Vigun06g004001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGCYCCPSECWKITSSSISISLATIIFPSSPSLARLPSQNPNTTPQTTRITFICHHHCHILVFTNTQLAHERANICLDSPSSSSTCIKIRITALTHAIQYCIGSKPHSSLIFSDQSHPIIAQR >Vigun06g016125.1.v1.2 pep primary_assembly:ASM411807v1:6:7647169:7648896:-1 gene:Vigun06g016125.v1.2 transcript:Vigun06g016125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIQEFTTVIEKLKRDVETISLQIDITVDSYQLGREDPKIVPRFWIAIDSLLASRKQQIMKRGDEGVQDFATREIKRLLFLKQDPKGWVILSKGYNVKLLGHGEAMSRTIKDLSLWHVKLHEEVSFDVAFKEYYESIKDKTSPKKCEHGEIFNYPTDILDHLPSNNMDCGRSMEVTSVNYKCYHGSDEICVGSEAQYSTKCGINVANRMEPGPTEIMTQNKASRKQSAEEKNTGSRERTDVGHSGCEESDEGDEQREEKESKRNTNKDKGKGLEVKEEIESEDEYMMLINTAEVDPRSFKMKEKVQKGESSKMRKHNERGDLTNNTKTQQRRQRNRRIKRSLSEGKRK >Vigun07g013800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1250104:1251209:1 gene:Vigun07g013800.v1.2 transcript:Vigun07g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNTQDRQNYVMLLHIAAAVVVICLFGMTVMLMCVVFTPRIPSFEVTSLTVTPTATATAAPLNATARQKQTVTFHFQGLMENPNAVLAVWYKNPNLLMWFEHSSVASVPLEAPHLAKGVPANIPFQVEFTVDNKKVLRELAAKRRVFGSVNFGITFHAWIKFKFGGINSKPRFLSFNCQALQVGFSPVYNVNNESNIGMLVDPIQCEL >Vigun09g182000.1.v1.2 pep primary_assembly:ASM411807v1:9:35557735:35563705:-1 gene:Vigun09g182000.v1.2 transcript:Vigun09g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSRRSKQSSPVHAPTSESNEKQIYEERIRSLETENKAYQIEIAELRQQQLGSDSSASKNGVEKLKQEYLQKLNLLEDQVSELKMKLGTRSQFSTQRKRVDESTKQLQFEIQGLKAQKVQLQCKIKLESVQFRLSKALLEKEILQLKKDGRRNEIKTQSLLDSNERLKMVLQRKTEEAFAATKILKDMIATRKAISHKSAGARSRNDKLIQDAEEELDVTTKLHKLCSQYESKVEKMAGEIVQLKEEIEMLRQEKSGPELQEEEYDNLEKDHDIHDLKEQMSSLDCLLRELQSRKEKIDVKDKKQGNLVLSHLSEETSDKTKVGTPEMSSASENSVKTERTTGGLCCSCSKKSLCKTNKCKCRSSGGSCGASCGCTRFKCTNRESNQSAGNEPLKSNNTECSLDEDGSVIASECAKLLQSALVQKPASCKDNPVPIKKPLRDIQNSMVRLDDQKQGKKKKARKPVIQLVTRDPMSSPPENSSSTESDPTRFDKMAKPDTENDSGQSNELAASITNAPGFRRLRNPSRQAKFVVGKEKF >Vigun11g163700.1.v1.2 pep primary_assembly:ASM411807v1:11:37126031:37138388:-1 gene:Vigun11g163700.v1.2 transcript:Vigun11g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKMNMFPLLWVFVTSSIITLTQSKVVPPILDVSYLNRTSFPKGFVFGTASASYQYEGAAREDGKGPSIWDTFTHKYPEKIKDHSTGDVTTDQYHRYKEDIGIMKDMNLDAYRFSISWPRVLPKGKLSAGVNKAGINYYNNLINELLKNGMEPFVTIFHWDVPQALEDEYGGFLSRHIIDDFRDFAELCFKEFGDRVKYWITLNEPSTVALNGYTFGEHAPGRCSDWLKLNCTGGDSGTEPYLVSHNLLLSHAAAANLYKTKYQKSQKGLLGITLNSDWFLPGSDDITDRDAARRAIDFRFGWYMDPLTKGEYPTSMQHLVGNRLPRFSKEEAKQLKGSFDFLGLNHYATVYAVNAPDLRGSKPSPLNDLLVHVTNQRDGQILCPYAASTWLCVYPRGLRQLLVYIKKNYNNPVIYITENGYDEFNDPTLSLEEKLLDTPRVDYLYRYLYYVKMAIGDGVNVKGYFVWSFLDNMEWASGYTVRFGFIFVDFKNGLKRYPKLSAQWFKNFLTKS >Vigun11g163700.2.v1.2 pep primary_assembly:ASM411807v1:11:37126050:37138388:-1 gene:Vigun11g163700.v1.2 transcript:Vigun11g163700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQRAETLTQITMMALHLDLFPLLCVLSLFVTSFVNITHSKAISPIHDASFLNRSSFPQDFVFGTASSAYQYEGAAREGGRGPSIWDTFTHKYPQKIKDGSNGDVADDSYHRYKEDIGIMKYMNLDAYRFSISWSRILPKGKLSAGVNHEGINYYNNLINELMANGLQPYVTLFHWDVPQALEDEYGSFLNRHIVDDFRDYAEVCFKEFGDRVKHWITLNEPRSVSKNGYANGRFAPGRCSDWLKLNCTGGDSGTEPYLTSHYQLLAHAAAAKLYKTKYQASQKGSIGITLNSDWYVPVSEQKSDRDAAHRGLDFMFGWFMEPLTKGEYPKSMRSMLGNRLPEFTREESRQLKGSFDFLGLNYYSSFYAAHAPHQLGVRPALQTDSLVNVTNQHDGKLLGPMAASNWLCIYPRGFRRLLLFIKKHYNNPVIYITENGYDEFNDPTLSLEESLLDTYRVDYIYRHLYYLQTAINFPKGFVFGTASASYQYEGAAREDGKGPSIWDTFTHKYPEKIKDHSTGDVTTDQYHRYKEDIGIMKDMNLDAYRFSISWPRVLPKGKLSAGVNKAGINYYNNLINELLKNGMEPFVTIFHWDVPQALEDEYGGFLSRHIIDDFRDFAELCFKEFGDRVKYWITLNEPSTVALNGYTFGEHAPGRCSDWLKLNCTGGDSGTEPYLVSHNLLLSHAAAANLYKTKYQKSQKGLLGITLNSDWFLPGSDDITDRDAARRAIDFRFGWYMDPLTKGEYPTSMQHLVGNRLPRFSKEEAKQLKGSFDFLGLNHYATVYAVNAPDLRGSKPSPLNDLLVHVTNQRDGQILCPYAASTWLCVYPRGLRQLLVYIKKNYNNPVIYITENGYDEFNDPTLSLEEKLLDTPRVDYLYRYLYYVKMAIGDGVNVKGYFVWSFLDNMEWASGYTVRFGFIFVDFKNGLKRYPKLSAQWFKNFLTKS >Vigun01g116700.1.v1.2 pep primary_assembly:ASM411807v1:1:29321217:29325933:1 gene:Vigun01g116700.v1.2 transcript:Vigun01g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCLLHILLAWCLSCFELVGAELQEQAILQAINQELRVPGWGDANNSDYCTWQGVNCGNHSMVESLDLSHRNLRGNVTLMSELKALKRLDLSNNNFDGLIPPDFGNLSDLEVLDLSSNKFQGSIPPQLGGLRNLKSLNLSNNVLVGEIPMELQGLEKLQDFQISSNHLSGLIPSWVGNLTNLRLFTAYENRLDGKIPDDLGSISELQILNLHSNQLEGPIPASIFVPGKLEVLVLTQNNFSGELPEEIGNCKALSSVRIGNNHLVGTIPKTIGNLSSLTYFEADNNDLSGEVVAEFSQCSNLTLLNLASNGFTGTIPQDFGQLMNLQELILSGNSLFGDIPISILSCKNLNKLDISNNRFNGTIPNEICNITRLQYLLLDQNFIRGEIPHEIGNCAKLLELQLGSNILTGTIPPEIGRIRNLQIALNLSFNHLHGLLPPELGKLDKLVSLDVSNNRLSGNIPPELKGMLSLIEVNFSNNLLAGLVPTFVPFQKSPSSSFLGNKGLCGEPINPSCGTLYDDHKAYHHRVSYRIILAVIGSGLAVFMSVTIVVLLFMIRERQEKVAKDAGIVDESINDNPTIIAGTVFVDNLKQAVDLDAVVKATLKDSNKLSSGTFSTVYKAIMPSGVVLSVRRLKSVDKTIIHHQNKMIRELERLSKVCHDNLVRPIGYVIYEDVALLLHHYFPNGTLAQLLHESTRKPEYQPDWPSRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFKPLVGEIEISKLLDPTKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHSAPVRGETPEQILDARLSTVSFGWRKEMLAALKVALLCTDNTPAKRPKMKNVVEMLREIKQN >Vigun01g116700.2.v1.2 pep primary_assembly:ASM411807v1:1:29321217:29325914:1 gene:Vigun01g116700.v1.2 transcript:Vigun01g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCLLHILLAWCLSCFELVGAELQEQAILQAINQELRVPGWGDANNSDYCTWQGVNCGNHSMVESLDLSHRNLRGNVTLMSELKALKRLDLSNNNFDGLIPPDFGNLSDLEVLDLSSNKFQGSIPPQLGGLRNLKSLNLSNNVLVGEIPMELQGLEKLQDFQISSNHLSGLIPSWVGNLTNLRLFTAYENRLDGKIPDDLGSISELQILNLHSNQLEGPIPASIFVPGKLEVLVLTQNNFSGELPEEIGNCKALSSVRIGNNHLVGTIPKTIGNLSSLTYFEADNNDLSGEVVAEFSQCSNLTLLNLASNGFTGTIPQDFGQLMNLQELILSGNSLFGDIPISILSCKNLNKLDISNNRFNGTIPNEICNITRLQYLLLDQNFIRGEIPHEIGNCAKLLELQLGSNILTGTIPPEIGRIRNLQIALNLSFNHLHGLLPPELGKLDKLVSLDVSNNRLSGNIPPELKGMLSLIEVNFSNNLLAGLVPTFVPFQKSPSSSFLGNKGLCGEPINPSCGTLYDDHKAYHHRVSYRIILAVIGSGLAVFMSVTIVVLLFMIRERQEKVAKDAGIVDESINDNPTIIAGTVFVDNLKQAVDLDAVVKATLKDSNKLSSGTFSTVYKAIMPSGVVLSVRRLKSVDKTIIHHQNKMIRELERLSKVCHDNLVRPIGYVIYEDVALLLHHYFPNGTLAQLLHESTRKPEYQPDWPSRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFKPLVGEIEISKLLDPTKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHSAPVRGETPEQILDARLSTVSFGWRKEMLAALKVALLCTDNTPAKRPKMKNVVEMLREIKQN >Vigun10g163400.1.v1.2 pep primary_assembly:ASM411807v1:10:38243728:38249484:1 gene:Vigun10g163400.v1.2 transcript:Vigun10g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKQIMGSSLLERSLFVPSSPSSSLFNQTRFLVPLESKRVVRVRKAAKFPVATISEDLLKGSSSSSSSSSSPSSSSTSLVSAEKPVKFKVRAVITVRNKIKEDLKETIVKHIDALADKIGRNVVLELVSTEIDPKTKAAKKSSEAVLKDWSKKSNLKVERVNYTAEFIVDSNFGEPGAITVTNKHQKEFFLESITIEGFATGPVHFPCNSWVQARKDHPGKRIFFSNKPYLPGDTPAGLRLFREKELRNLRGDGKGVRNLSDRIYDYDIYNDLGNPDKGIEYARPNLGGSDMYPYPRRCRTGREPSDTDMYAESRVEKPLPMYVPRDERFEESKQNTFTVKRLKAVLHNLIPGLKASLSASNQDFNEFSDVDGLYSEGLLIKLGLQDDVLKKIPFVSKIQESSQGLLKYDTPKIISKDKFAWLRDDEFARQAIAGVNPVSIEKLKVFPPVSKLDPQIYGPQESALKEEHILSQLDGMTVQEAINENKLFIVDYHDIYLPFLEGINALDGRKSYATRTIFFSTPRGTLKPVAIELSLPFAGPSSRSKRVVTPPVDATTNWMWMLAKAHVCSNDAGVHQLVNHWLRTHANLEPFILAAHRQLSAMHPIYKLLDPHMRYTLEINALARQSLINADGIIENCFTPGRYAMEISSAAYKNFWRFDMDSLPADLIRRGMAEPDPTQPHGLKLTLEDYPYAADGILIWSAIEDWVRTYVNHYYPHASLICNDKELQAWYSESINVGHADLRHESWWPTLNNSEDLVSILSILIWNASAQHAALNFGQYPYGGYVPNRPPLTRRLIPEEGDPEYASFLADPQKYYLNALPSLLQATKFMAVVDTLSTHSPDEEYLGERQQPSIWSGDAEMIEAFYDFSAKVRQIEKVIDSRNLDRSLKNRCGAGVLPYELLAPSSESGVTCRGVPNSVST >Vigun07g269300.1.v1.2 pep primary_assembly:ASM411807v1:7:38481709:38483873:-1 gene:Vigun07g269300.v1.2 transcript:Vigun07g269300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHKIQLLVTLSFILLLPNTKGWGEDGHAIVCRIAQSRLSDSAAEAVKNLLPKYAQNDLGSVCSWADRVRFYLHWSAALHFADTPDNLCNFQYDRDCKDQDGEKGRCVVGAIKNYTDQLLDYGKNTQYNLTQALLFLSHFMGDVHQPLHVGFTSDKGANLINIHWYRRKQNLHHVWDVNIIETAEERFYDSDINSFTKAIQENITKTWSDQVPEWETCNSKETTCPDIYASEGIEAACKWAYKGAPEGSVLEDNYFLSRLPEVSLRLAQGGVRLAATLNRIFG >Vigun02g203400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33719812:33720636:1 gene:Vigun02g203400.v1.2 transcript:Vigun02g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYYYCVIMFLVVLASFSVNVEGVRPLKDDPSSSSSFFLTVIMERAYSGPSHRGRGH >Vigun07g112200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20837478:20838810:1 gene:Vigun07g112200.v1.2 transcript:Vigun07g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMFMCRLRQPMVNIPCSGKKLNVRQIEKEKVVVVMGATGAGKSRLSIDLATCFPSEIINSDKIQVYQGLDIVTNKIPKEEQRGVHHHLLGTINPDIDFTPSDFIDTSSSAIDAITTAQKLPIIVGGSNSYLEALIDDDDYKFRSRYDFLCLWVDVAMPVLQSYVAERVDHMFRNGMVDELRPFYSPDGDYSRGIRRAIGVPEFDEYFRREQVVDEETKQRLLEDAIKEIKLNTWKLAVKQLGKIHRLRNVKRWEIHRLDATPVFRRRGEEANEAWKKMVAEPSAMVVARFLCNSKNNVNVVSGLTLLPPSPSENVMAAATC >Vigun10g122600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33024984:33025870:-1 gene:Vigun10g122600.v1.2 transcript:Vigun10g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTTLQLTLLSAEGLHVRGKPANTNVFAVVRADSIASHTTATATETNGELSWEETFSLEVGPHARCLTIEVKFRTGAGERDIGVARIALSDFLGRSVPGNSLKLCYRLRDWDGRENGIVNFSVGVAAPPQPNAAADENGCESSLGGVVTGINV >Vigun05g165650.1.v1.2 pep primary_assembly:ASM411807v1:5:27406891:27408810:-1 gene:Vigun05g165650.v1.2 transcript:Vigun05g165650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFMQGTIAEKILLFDPEIERTTRCNRSIARKKKQERKVGPSIDKKEHISIMAEEQPMRMTLLDYSMPNTNNYQGSINQFGGAGSEDPNSHMENFLAICDILKINGVSDDAIRLRLFPFSLRDKAKSWLQTQPKGKTESLYEAWERYKELLRKCAHHALPDWLQVQIFYNASNTVNMQFDWQNRKFVLEVNTLDAILAQNKLLTQKITELTQKLGNMQANTVNTTSLLCDFCGGMHQNGECKVTQQEAQVNGMGQQQNQFANNFSNWRSPPNTPWSGSNESNQPRPPYQYQAQSSNQGNKMSTLDSAVEKLTMQTSTFVEQTSNFMNETRTNLKNQEASIRKMENQIGQLSRQISERSLGTFPSDTIPNPKEQCKAIQLRSGRLVENEKKSDVGSEKNAQGDEIVKESEKGKMREYVPTIPFPQRFFDVFKKLHINIPFAEALEKMPSYTRFMKDLLSKKRKLQEDEAIILIAECSAIIKQKLRPKLKDPGSFVIPCEIGNIVVNKALCDLGASIKLMPLSIFKRLGIGEMKPTMITL >Vigun01g124300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30120540:30126145:1 gene:Vigun01g124300.v1.2 transcript:Vigun01g124300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTFVPPSNRKSEVDPLKRVPCEKPPFSLSQIKKAIPPHCFQRSVLRSFSYVIYDLTIAFCLYYVATHYFHTLPHPLSFLAWPIYWAFQGCILTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSGMQWFSKYLNNPPGRVLTLLVTLTLGWPMYLAFNVSGRPYPRFACHYDPYGPIYTDRERLQIYISDAGIVAVCYGLYHLAMTKGFAWVVCVYGVPLLIVNGFLVLITFLQHTHPALPHYNSSEWDWLRGALATIDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYRLDETPFVKAMWREARECLYVEPDHGTENKGVFWYNNKL >Vigun01g124300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30119925:30126145:1 gene:Vigun01g124300.v1.2 transcript:Vigun01g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTFVPPSNRKSEVDPLKRVPCEKPPFSLSQIKKAIPPHCFQRSVLRSFSYVIYDLTIAFCLYYVATHYFHTLPHPLSFLAWPIYWAFQGCILTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSGMQWFSKYLNNPPGRVLTLLVTLTLGWPMYLAFNVSGRPYPRFACHYDPYGPIYTDRERLQIYISDAGIVAVCYGLYHLAMTKGFAWVVCVYGVPLLIVNGFLVLITFLQHTHPALPHYNSSEWDWLRGALATIDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYRLDETPFVKAMWREARECLYVEPDHGTENKGVFWYNNKL >Vigun01g124300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30119911:30126145:1 gene:Vigun01g124300.v1.2 transcript:Vigun01g124300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTFVPPSNRKSEVDPLKRVPCEKPPFSLSQIKKAIPPHCFQRSVLRSFSYVIYDLTIAFCLYYVATHYFHTLPHPLSFLAWPIYWAFQGCILTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSGMQWFSKYLNNPPGRVLTLLVTLTLGWPMYLAFNVSGRPYPRFACHYDPYGPIYTDRERLQIYISDAGIVAVCYGLYHLAMTKGFAWVVCVYGVPLLIVNGFLVLITFLQHTHPALPHYNSSEWDWLRGALATIDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYRLDETPFVKAMWREARECLYVEPDHGTENKGVFWYNNKL >Vigun02g080600.1.v1.2 pep primary_assembly:ASM411807v1:2:23329248:23331055:1 gene:Vigun02g080600.v1.2 transcript:Vigun02g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIEPTLSFSQHRKMARIFLTTLSLLLLLLAPSVAGQAYTPVSSYESQVPFLGRHEDSNTKLTGDSPEERKKFDDRTRYTIPQNAYHSPNKLIEGYYDKTCPDAEKMVATGFRQIVRLNPGAIGHIIRLQFHDCFVNGCDGSILLDFTPSGDMVEKSSMFNGLLLKGSDIIDDIKTRLEKKCPGIVSCADVLAFTTNEAMTMAGLPARRPLGGRRDSIVSLASIVEADNLPMSDWNIDQMMELFGRKGFNIEEMVVMIGAHSVGIAHCDFFMQRALNFNGTGIPDPTLGVEAIEEIRKACPDAGTALFRNPPVNFDATPTVLDNLFFKDMVEKRRSLLITDSHLLEDPRTRPIVEQMAGDPTLFPRRFAEVMIKLTSLNVLTGTEGEVRKICRSTN >Vigun07g097400.1.v1.2 pep primary_assembly:ASM411807v1:7:16769894:16771181:1 gene:Vigun07g097400.v1.2 transcript:Vigun07g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTANDAASASSSSLGSPCGACKFLRRKCAADCIFAPYFCSEQGAARFAAIHKVFGASNVSKLLLRIPDNDRFEAMVTIAYEAQARIRDPVYGCVSHIFALQQQVASLQAQLVQMKAQLDQNQMVYRSMENHWSENVGQTFNPFCLTSMNNPISPQSSLDSIDYTSNINDGISMQDVHNGDDFSFQACSWKRSYSNDLGELQELALRMMRKCD >Vigun01g123101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29996557:29999478:-1 gene:Vigun01g123101.v1.2 transcript:Vigun01g123101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFRKLHAQCFFFLLKPCQNPRFQTTKSATKFAGKATVKQAQAALLEYLHSTRSLNFLDADNMCKNSPSFLQDLLAKTHLHTPHVDTKRSISRYLRYHPINEFEPFFESAGLSPSEYAPLLPPHMIYLNDDVLLMENHQALCNYGVPRTKMGRVFKLAPQVFRYKPGVLISKLREYENLGVSRKTLINVVAFSPCILVGGVDFDFVKVVEMLKRVIGKDCEWIGEHLLINKGCCNWRVMFQVLSLLSTVFNEKQLCDLITRHPGLIFEESGGCVLSLIGFLLKFGLSVNRVCVMLHEFPEIRAVKFLSNLRLCFLFLTEIKMEASEIGRILQSHCLVLGSFTMKRTITLLTNLNVGKKRLCRVVRDDPVVMKSWALGRRIEPLVNSYVEYESKALKKEFMLRLGYEENSKAMNESIKLFRGRGAELQERLEFIVNAGLDYEVVCKMIRESPRILSQTTDRINMKIESLVNEGYSMSDLASFPSFLSYSAERVKLRFSMYNWLKRHGAAEAGLALSTIIACSEKAFEKMYVNRHPSGLQVWRDLKAQISSES >Vigun03g194600.1.v1.2 pep primary_assembly:ASM411807v1:3:27254642:27257814:-1 gene:Vigun03g194600.v1.2 transcript:Vigun03g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLGLIKLRIKKGSNLKARDTRTSDPYVSVTMGEQQLRTSVVRGTLNPEWNEELTLYVKDVNTPILLTVTDKDTFTPDDNMGDAEINLKPYLECVKMELSDLEEGHIVKQIQPDETNCLTEDSNCIWKNNKLIQEMTLRLRNVNHGEITVEIGWLTLPDSKGLSQVEF >Vigun01g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23352413:23354066:1 gene:Vigun01g082600.v1.2 transcript:Vigun01g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRASGLLKQIISNLSSMAKSKTMALKSKTNAIRARLLIFSLMKNKKLLMSSLSDKFHAVWGHDSQSKDNDCLLEDGSDQSKAIVLYNNLRNPSETLAVEEQEQEGYEGCYYNYGIDDGDDDDKYPDLTHTLFDSEDLDLGGSVIDLVKNSKEEEGKEFKLEDEIDFAADLFIMKFRRQMVLQKQESFKRKREMQKKGA >Vigun02g166500.1.v1.2 pep primary_assembly:ASM411807v1:2:31057923:31068139:1 gene:Vigun02g166500.v1.2 transcript:Vigun02g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNESQDNRELYALLNLSPDASDEEIRKAYRQWAQAYHPDKYQAPHMKDIATENFQRICEAYEILSDPHKRQIYDIYGMEGLTSGLELGPTLNGAEEIKAELERLKRMKEREKLAAHFLPSGTILANMSLPRYSDGGGLLVGMAMTSEVQSHISKRNAFTIGGNLAVNGGEGGGAANALFRHQLSEVSSVEFVASVGLRALVGVQTTRNLSSHSTATMGVAMSLRDGSLNLSNLWSRQLSETASGHIELNLGQQSSIAVGWQKKDERRSASGELKFGTGLFEAAVHCTHRFSPKSLGCIAGRVGSSSLEIEVGGGRKLSKFSSVRWLYVIGIQGISWKFELYRGGQKIILPILLTRHLNPVFATGAFFIPASLYFGLKKFLIKPYYLRRSKQKALEEEKESSAQVKEARAAAEKAQKLQQNVANRKRSKQLETGGLVITRALYGNQIVLSNLRSSSETSFESTSDVIDVTIPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGRPKELYVEYVYAGNEFKVWVGDYEELQIPKGSHRI >VigunL042700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:422834:423797:1 gene:VigunL042700.v1.2 transcript:VigunL042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLPRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun05g144900.1.v1.2 pep primary_assembly:ASM411807v1:5:19630775:19641289:-1 gene:Vigun05g144900.v1.2 transcript:Vigun05g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEAEIPEDNDVDAADMEEDVDGDLADLENLNYDDLDSVSKLQKTQRYIDIIQKVEEALKMGSDVSTQGVNLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLSKTVEACDRALDLDSAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLASLAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYLEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSIRGDPSGNTGRAFKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKRFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >Vigun05g133700.5.v1.2 pep primary_assembly:ASM411807v1:5:15736141:15740660:-1 gene:Vigun05g133700.v1.2 transcript:Vigun05g133700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVETGTRRSVKERLDGNFLTDSTRQHKVTGKRQRQDDKWKHDLYDDDEHQLYNRKVTAQDLRFKLQKKGLHPGGQTGKSSFPVERDLRERLSGTMTPQPKNYDPPKPKASAKPSSKSIDVETPSVHIKRSANPTPKKVSRKADASLDEFLLSLGLEKYLISFQAEEVCYISYFSFRRLQKGK >Vigun05g133700.2.v1.2 pep primary_assembly:ASM411807v1:5:15736240:15740657:-1 gene:Vigun05g133700.v1.2 transcript:Vigun05g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVETGTRRSVKERLDGNFLTDSTRQHKVTGKRQRQDDKWKHDLYDDDEHQLYNRKVTAQDLRFKLQKKGLHPGGQTGKSSFPVERDLRERLSGTMTPQPKNYDPPKPKASAKPSSKSIDVETPSVHIKRSANPTPKKVSRKADASLDEFLLSLGLEKYLISFQAEEVDMTALNHMTDEDLKAMGIPMGPRKKILLALEAKG >Vigun05g133700.4.v1.2 pep primary_assembly:ASM411807v1:5:15736240:15740657:-1 gene:Vigun05g133700.v1.2 transcript:Vigun05g133700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVETGTRRSVKERLDGNFLTDSTRQHKVTGKRQRQDDKWKHDLYDDDEHQLYNRKVTAQDLRFKLQKKGLHPGGQTGKSSFPVERDLRERLSGTMTPQPKNYDPPKPKASAKPSSKSIDVETPSVHIKRSANPTPKKVSRKADASLDEFLLSLGLEKYLISFQAEEVDMTALNHMTDEDLKAMGIPMGPRKKILLALEAKG >Vigun05g133700.1.v1.2 pep primary_assembly:ASM411807v1:5:15736240:15740657:-1 gene:Vigun05g133700.v1.2 transcript:Vigun05g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVETGTRRSVKERLDGNFLTDSTRQHKVTGKRQRQDDKWKHDLYDDDEHQLYNRKVTAQDLRFKLQKKGLHPGGQTGKSSFPVERDLRERLSGTMTPQPKNYDPPKPKASAKPSSKSIDVETPSVHIKRSANPTPKKVSRKADASLDEFLLSLGLEKYLISFQAEEVDMTALNHMTDEDLKAMGIPMGPRKKILLALEAKG >Vigun11g135300.2.v1.2 pep primary_assembly:ASM411807v1:11:34463369:34467758:1 gene:Vigun11g135300.v1.2 transcript:Vigun11g135300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHFRSSFRLSFCFSRTVRVSSLVSFPLSLSSVPLRAMSKPPSAFDALMSAAKKNSKSKTPKLPSSPKKRKSSLSTSQNPSNPKSPKLPSPAITVEPEQAVKQEVVKPEAVKPEAVKAEEAVKAEPKKVRQLSSSSSNGKTEELKKLVPRLKQKPSSFDPASMIAWEKGQPVPFLFLALAFDMISQESGRIVITDIVCNVLRTVMLATPEDLVPVVYLSANRIAPAHEGLELGIGDSIISKALAEAYGRNENWIKAQYQKKGDLGLVAKECRSSQPMMWKPEALTIRKVFNTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGYAEQTLLAALGQAAVYTEEHSKPPPEIQSPLDKASDIVKQVYSVLPDYDKIISALLTEGLWKLQKNCKFTPGVPIRPMLSKAAKSVTEILNKFQDVEFTCEYKYDGERAQIHYLENGSVEIYSRQAERNTEKFPDVAAAVKRLKKANVSSFILDCEIVAYDRTTQKLLSFQTLSTRARKKVEIEDIKVNVCVFAFDLLYLNGQELLQENLRVRREVPTFIFAQPIVSCF >Vigun11g135300.1.v1.2 pep primary_assembly:ASM411807v1:11:34463369:34471744:1 gene:Vigun11g135300.v1.2 transcript:Vigun11g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHFRSSFRLSFCFSRTVRVSSLVSFPLSLSSVPLRAMSKPPSAFDALMSAAKKNSKSKTPKLPSSPKKRKSSLSTSQNPSNPKSPKLPSPAITVEPEQAVKQEVVKPEAVKPEAVKAEEAVKAEPKKVRQLSSSSSNGKTEELKKLVPRLKQKPSSFDPASMIAWEKGQPVPFLFLALAFDMISQESGRIVITDIVCNVLRTVMLATPEDLVPVVYLSANRIAPAHEGLELGIGDSIISKALAEAYGRNENWIKAQYQKKGDLGLVAKECRSSQPMMWKPEALTIRKVFNTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGYAEQTLLAALGQAAVYTEEHSKPPPEIQSPLDKASDIVKQVYSVLPDYDKIISALLTEGLWKLQKNCKFTPGVPIRPMLSKAAKSVTEILNKFQDVEFTCEYKYDGERAQIHYLENGSVEIYSRQAERNTEKFPDVAAAVKRLKKANVSSFILDCEIVAYDRTTQKLLSFQTLSTRARKKVEIEDIKVNVCVFAFDLLYLNGQELLQENLRVRREHLYASFEEESGFLQFATALTSNDVEEIQTFLDQAVGASCEGLIIKTLNEEATYEPAKRSLNWLKLKKDYMDNLGDSVDLVPIAAFHGRGKRTGVYGAFVLACYDNNNEEFQSICKIGTGFTEAELIECSTSLGSQVISKPKSYYRFGETMNPDVWFEASKVWEVKAADLTISPVYRAAAGIVDPNKGISLRFPRLIRVRHDKAPEQATSSEQIAELYKAQKHNQANKQDAEDEEDY >Vigun04g027700.1.v1.2 pep primary_assembly:ASM411807v1:4:2132035:2132921:1 gene:Vigun04g027700.v1.2 transcript:Vigun04g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLYINWVWISAFNTHKIFGMARTTLSSVSLFFFILFIITFTFFMQPIPVTADPRMRKLGVMASPPPPPFEGINIKIGCHGKC >Vigun01g255100.2.v1.2 pep primary_assembly:ASM411807v1:1:42006346:42008004:-1 gene:Vigun01g255100.v1.2 transcript:Vigun01g255100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTMTMSVCMTSTTITSLCFPRYRSRCHSPVQNKIYFPRRFVSKLNIDAEDEVQTRVWNWRGYSIRYQHSGNNGPALLLVHGFGANSDHWRNNISVLAQSHRVYAIDLIGYGYSDKPNPRQIGDHSFYTFETWASQLNEFCLGVIKDEAFFLCNSIGGVVGLQAAAVAPHICRGIILLNISLRMLHIKKQPWYGKPFIRSFQRLLRDTALGKFFYKTVATKESVRNILCQVLGGVVGWLPPFPNITHNSLPFPSSLFCLYPTAKWSGCY >Vigun01g255100.1.v1.2 pep primary_assembly:ASM411807v1:1:42003014:42008004:-1 gene:Vigun01g255100.v1.2 transcript:Vigun01g255100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTMTMSVCMTSTTITSLCFPRYRSRCHSPVQNKIYFPRRFVSKLNIDAEDEVQTRVWNWRGYSIRYQHSGNNGPALLLVHGFGANSDHWRNNISVLAQSHRVYAIDLIGYGYSDKPNPRQIGDHSFYTFETWASQLNEFCLGVIKDEAFFLCNSIGGVVGLQAAAVAPHICRGIILLNISLRMLHIKKQPWYGKPFIRSFQRLLRDTALGKFFYKTVATKESVRNILCQCYHDTSKVTDELVQIILGPGLEPGAAEVFLEFICYSGGPLPEELLPQVKCPVLIAWGDKDPWEPIEIGRNYENFDSVEDFIVLPNVGHCPQDEAPHLVNPLVESFVARHTKSSSSTSIVN >Vigun03g088400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7433229:7433770:1 gene:Vigun03g088400.v1.2 transcript:Vigun03g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKWLGMVMLALLMRLEMIDAAAATTCSQGGGKNVDAERVPFSACLEKCMPICMKIAAAKIAQCDKGCRLGCQQLQGQGSIFYRPKKT >Vigun06g147700.1.v1.2 pep primary_assembly:ASM411807v1:6:27279243:27282088:1 gene:Vigun06g147700.v1.2 transcript:Vigun06g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRLGGVLFLWLLCGTLVPMVESAIGVNWGTISSHRLKPTTVVDLLRNNKISKVKLFEADSDVLRALMGSGIQVMVGIPNEMLPLLSSSPAAADLWVRQNVSAYVGRGGADIRYVAVGNEPFLSSYNGQYQNLVMPAILNIQQSLLKANLGGYVKLVVPCNADAYQSSALPSQGAFRPELTQIMTQLVQFLNSNGSPFVVNIYPFLSLYDNKDFPQEYAFFEGTTHAVQDGSNVYTNAFDGNHDTLVAALIKLGYGQIPIVIGEIGWPSDGAIGANTTAAKVFNQGLINHIASNKGTPLRPNAPPMDVYLFSLFDEGAKSTLPGNFERHWGIFSFDGQAKYSLNLLLGNKELKNARNVEYLPSRWCVANPSSDLTNVVNHMRLACSVADCTTLNYGGSCNEIGEKGNVSYAFNSYYQLQMQDSRSCDFDGLGIVTFLDPSIGDCRFLVGVTDKGTDSSSSQTTYQWWILLLVLILQGTFMLPM >Vigun03g171450.1.v1.2 pep primary_assembly:ASM411807v1:3:20641134:20643072:-1 gene:Vigun03g171450.v1.2 transcript:Vigun03g171450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKRKGEVVASVHSTLFKESESLDGKCAKIEGYDFNHGVNYPLLLRSMATTGFQASNLSDAIQVINQMLDWRLVDEAVTEDCSDHERDLEYRKSVTCRVFMGFTSNLISFGVRDIVRFLLHHRVDVVVTTTGGIEDLIKCLAPTFIGDLSLPGAYLRSKGLNRIGNFLNVLWTPSKLIARIRKEINNESSYLYWAYKTGMIILGGGLPKYHICNANMMRNGADYAVFINTAQEYDGSDSGARSDEAVSWGKIRGSVKTVKVHCDATIAFPLLVAETFATRVKPHH >Vigun03g019200.1.v1.2 pep primary_assembly:ASM411807v1:3:1379362:1387639:-1 gene:Vigun03g019200.v1.2 transcript:Vigun03g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEKFLTKVSGVQSLSSSVQSTPEKNGHSDGASKSSELLQQFLKCGVKKELLRTCFDKDKKNISIKSRMTETKSTGKMIKKQDTKKVSSFSHQPSRKQSRKGENPTRLIPPPDQSSDFGHSNTWICKNAACRAVLSIDDTFCRRCSCCICHLFDDNKDPSLWLVCTSESTQGDSCGLSCHIECALLHKKVGVVDHGQLMQLDGGYCCASCGKVTGILGCWKKQLNIAKDARRVDVLCYRIYLSYRLLDGTTKFKDLHQIVQEAKAKLETEVGPVNGVSAKMARGIVSRLPIANDIQKLCSLAIEKADSWLATVHNANSDSTGGSLPAACKFVFEEVTTSSVKIILIELSNICSEEIKGYKLWYYTSRDESPSKDPVSVFPKSQRRILISNLKPCTEYTFRIISYTDTRDLGHSEAKCFTKSIEVIKNNPSPAVPMNHEKESLLSRGNSSGSKMVPNDAMGNYGFKVRDLGKILRLAWAQEQGYLEEFCCANTKNCCGQSERLDKPRIPEAQLPSVSRGLDLNVVSVPDLNEELTPPFEYSRDEDNGCSLLHTVEGDDDAASHDLEKNGLARSHGSGDSQTWTHGPTGEVSAVDSRLDMCRKRIASTMEETHDCDSTLINGSPLRISDGSCSLDENFEYCVKVIRWLECEGHIKQEFRLKLLTWFSLRATEQERRVVNTFIQTLMDDPSSLAGQLVDSFSDIISNKRQKNGFSIKAGASN >Vigun05g100400.4.v1.2 pep primary_assembly:ASM411807v1:5:9877544:9880776:-1 gene:Vigun05g100400.v1.2 transcript:Vigun05g100400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHHHHHQRQQHQQQHHHQQQHHHPHNITTSANVDATDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNRMKEKGFHRSAEQCKCKWKNLVTRYKGCEAIEPEAMRQQFPFYNEVQAIFASRMQRMLWAEAEGGGSKKKVVQLSSEEEEEGNEESEGDQKGSVMKKKKKGKMVIGGGSGGSNNSNMEGLKEMMEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMDQRWREREEQRRIREELRAEKRDALITALLNKLRREEI >Vigun05g100400.2.v1.2 pep primary_assembly:ASM411807v1:5:9875481:9880776:-1 gene:Vigun05g100400.v1.2 transcript:Vigun05g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHHHHHQRQQHQQQHHHQQQHHHPHNITTSANVDATDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNRMKEKGFHRSAEQCKCKWKNLVTRYKGCEAIEPEAMRQQFPFYNEVQAIFASRMQRMLWAEAEGGGSKKKVVQLSSEEEEEGNEESEGDQKGSVMKKKKKGKMVIGGGSGGSNNSNMEGLKEMMEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMDQRWREREEQRRIREELRAEKRDALITALLNKLRREEI >Vigun05g100400.1.v1.2 pep primary_assembly:ASM411807v1:5:9877503:9880776:-1 gene:Vigun05g100400.v1.2 transcript:Vigun05g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHHHHHQRQQHQQQHHHQQQHHHPHNITTSANVDATDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNRMKEKGFHRSAEQCKCKWKNLVTRYKGCEAIEPEAMRQQFPFYNEVQAIFASRMQRMLWAEAEGGGSKKKVVQLSSEEEEEGNEESEGDQKGSVMKKKKKGKMVIGGGSGGSNNSNMEGLKEMMEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMDQRWREREEQRRIREELRAEKRDALITALLNKLRREEI >Vigun05g100400.3.v1.2 pep primary_assembly:ASM411807v1:5:9875481:9880776:-1 gene:Vigun05g100400.v1.2 transcript:Vigun05g100400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHHHHHQRQQHQQQHHHQQQHHHPHNITTSANVDATDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNRMKEKGFHRSAEQCKCKWKNLVTRYKGCEAIEPEAMRQQFPFYNEVQAIFASRMQRMLWAEAEGGGSKKKVVQLSSEEEEEGNEESEGDQKGSVMKKKKKGKMVIGGGSGGSNNSNMEGLKEMMEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMDQRWREREEQRRIREELRAEKRDALITALLNKLRREEI >Vigun05g100400.5.v1.2 pep primary_assembly:ASM411807v1:5:9877602:9880776:-1 gene:Vigun05g100400.v1.2 transcript:Vigun05g100400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHLHHHHHQRQQHQQQHHHQQQHHHPHNITTSANVDATDRFPQWSIQETKEFLVIRAELDQTFMETKRNKQLWEVISNRMKEKGFHRSAEQCKCKWKNLVTRYKGCEAIEPEAMRQQFPFYNEVQAIFASRMQRMLWAEAEGGGSKKKVVQLSSEEEEEGNEESEGDQKGSVMKKKKKGKMVIGGGSGGSNNSNMEGLKEMMEEFMRQQMQMEAQWMEAFEARENERRMKEMEWRQTMEALENERMMMDQRWREREEQRRIREELRAEKRDALITALLNKLRREEI >Vigun09g117500.1.v1.2 pep primary_assembly:ASM411807v1:9:25862208:25864913:1 gene:Vigun09g117500.v1.2 transcript:Vigun09g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKAKRRDEDGASDADSEGHAPPKKTFKKDSDEESDSIVVCEISKNRRVSVRNWQGKVVVDIREFYSKDGKQLPGKKGISLTMDQWNVLRTHVEEIDKAVSENS >Vigun09g117500.2.v1.2 pep primary_assembly:ASM411807v1:9:25862401:25864913:1 gene:Vigun09g117500.v1.2 transcript:Vigun09g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKAKRRDEDGASDADSEGHAPPKKTFKKDSDEESDSIVVCEISKNRRVSVRNWQGKVVVDIREFYSKDGKQLPGKKGISLTMDQWNVLRTHVEEIDKAVSENS >Vigun11g058500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12334146:12338940:1 gene:Vigun11g058500.v1.2 transcript:Vigun11g058500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRTLYLVLLLFTSILISQEARLLPPSTFSSTNQSHNNAFAAKSSHTKQLLKEILLRKQLLDKDYYEPNRLSPGGPDPSHH >Vigun11g058500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12334146:12338940:1 gene:Vigun11g058500.v1.2 transcript:Vigun11g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRTLYLVLLLFTSILISQEARLLPPSTFSSTNQSHNNAFAAKSSHTKQLLKEILLRKQLLDKDYYEPNRLSPGGPDPSHH >Vigun06g073400.3.v1.2 pep primary_assembly:ASM411807v1:6:20414281:20420275:-1 gene:Vigun06g073400.v1.2 transcript:Vigun06g073400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHANVTSLVCKNGNHASQSKFPSSTFLPGFDVVGRVSSAWKKELVPSSMTLVPRATLTFDPPATNADKTKQTKHTIDPASPDFLPLPSFEQCFPKSTKEHREVIHEETGHVLKVPFRRVHLSGEEGHFDTYDTSGPQNINPRIGLPKLREEWVDRRQKIGPPRFTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEKYGYGTAEEALLRGMDAMSAEFQAAKKTISGEQHGEAGGEIYLPEDYVNSKER >Vigun06g073400.1.v1.2 pep primary_assembly:ASM411807v1:6:20413993:20420360:-1 gene:Vigun06g073400.v1.2 transcript:Vigun06g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHANVTSLVCKNGNHASQSKFPSSTFLPGFDVVGRVSSAWKKELVPSSMTLVPRATLTFDPPATNADKTKQTKHTIDPASPDFLPLPSFEQCFPKSTKEHREVIHEETGHVLKVPFRRVHLSGEEGHFDTYDTSGPQNINPRIGLPKLREEWVDRRQKIGPPRFTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEKYGYGTAEEALLRGMDAMSAEFQAAKKTISGEQHGEAGGEIYLPEDYVNSKERTA >Vigun06g073400.2.v1.2 pep primary_assembly:ASM411807v1:6:20414281:20420275:-1 gene:Vigun06g073400.v1.2 transcript:Vigun06g073400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHANVTSLVCKNGNHASQSKFPSSTFLPGFDVVGRVSSAWKKELVPSSMTLVPRATLTFDPPATNADKTKQTKHTIDPASPDFLPLPSFEQCFPKSTKEHREVIHEETGHVLKVPFRRVHLSGEEGHFDTYDTSGPQNINPRIGLPKLREEWVDRRQKIGPPRFTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSSVPVGTVPIYQALEKVNGIAENLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDVRKYAEKYGYGTAEEALLRGMDAMSAEFQAAKKTISGEQHGEAGGEIYLPEDYVNSKERTA >Vigun11g009000.5.v1.2 pep primary_assembly:ASM411807v1:11:1044910:1047777:-1 gene:Vigun11g009000.v1.2 transcript:Vigun11g009000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRSVSQTLISSVSSTPFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTSKAIEGDFKQGQSCLIIEDLVTSGTSVLETAEPLRAAGLKVSDAVVLIDREQGGRENLENNGIKLHAILKLTEMVKILREKGKLNEHMLEVVMRFLEENQKIAALATRTKFNAFPLLERAKLSKNPTAKRLFEIMAEKESNLCLAADVATAAELLEIADKVGPEICLLKTHVDIFPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVEGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGAPVNPSFIHATPGVQMVTGGDALGQQYNTPYSVVCDRGSDIIIVGRGIIKAKNPSHAAREYRLQGWNAYLAKCS >Vigun11g009000.3.v1.2 pep primary_assembly:ASM411807v1:11:1044838:1049091:-1 gene:Vigun11g009000.v1.2 transcript:Vigun11g009000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRSVSQTLISSVSSTPFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTSKAIEGDFKQGQSCLIIEDLVTSGTSVLETAEPLRAAGLKVSDAVVLIDREQGGRENLENNGIKLHAILKLTEMVKILREKGKLNEHMLEVVMRFLEENQKIAALATRTKFNAFPLLERAKLSKNPTAKRLFEIMAEKESNLCLAADVATAAELLEIADKVGPEICLLKTHVDIFPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVEGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGAPVNPSFIHATPGVQMVTGGDALGQQYNTPYSVVCDRGSDIIIVGRGIIKAKNPSHAAREYRLQGWNAYLAKCS >Vigun11g009000.2.v1.2 pep primary_assembly:ASM411807v1:11:1044836:1049091:-1 gene:Vigun11g009000.v1.2 transcript:Vigun11g009000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRSVSQTLISSVSSTPFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTSKAIEGDFKQGQSCLIIEDLVTSGTSVLETAEPLRAAGLKVSDAVVLIDREQGGRENLENNGIKLHAILKLTEMVKILREKGKLNEHMLEVVMRFLEENQKIAALATRTKFNAFPLLERAKLSKNPTAKRLFEIMAEKESNLCLAADVATAAELLEIADKVGPEICLLKTHVDIFPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVEGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGAPVNPSFIHATPGVQMVTGGDALGQQYNTPYSVVCDRGSDIIIVGRGIIKAKNPSHAAREYRLQGWNAYLAKCS >Vigun11g009000.1.v1.2 pep primary_assembly:ASM411807v1:11:1044904:1048216:-1 gene:Vigun11g009000.v1.2 transcript:Vigun11g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRSVSQTLISSVSSTPFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTSKAIEGDFKQGQSCLIIEDLVTSGTSVLETAEPLRAAGLKVSDAVVLIDREQGGRENLENNGIKLHAILKLTEMVKILREKGKLNEHMLEVVMRFLEENQKIAALATRTKFNAFPLLERAKLSKNPTAKRLFEIMAEKESNLCLAADVATAAELLEIADKVGPEICLLKTHVDIFPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVEGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGAPVNPSFIHATPGVQMVTGGDALGQQYNTPYSVVCDRGSDIIIVGRGIIKAKNPSHAAREYRLQGWNAYLAKCS >Vigun11g009000.4.v1.2 pep primary_assembly:ASM411807v1:11:1044903:1049044:-1 gene:Vigun11g009000.v1.2 transcript:Vigun11g009000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLVISYPSVLRSVSQTLISSVSSTPFDLVCGVPYTALPIATCVSVTQNIPMVMRRKEIKDYGTSKAIEGDFKQGQSCLIIEDLVTSGTSVLETAEPLRAAGLKVSDAVVLIDREQGGRENLENNGIKLHAILKLTEMVKILREKGKLNEHMLEVVMRFLEENQKIAALATRTKFNAFPLLERAKLSKNPTAKRLFEIMAEKESNLCLAADVATAAELLEIADKVGPEICLLKTHVDIFPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVEGLKLKGLPRGRGLLLLAEMSSSGNLAKGDYTTAAVKIAEDHSDFVIGFISVNPASWPGAPVNPSFIHATPGVQMVTGGDALGQQYNTPYSVVCDRGSDIIIVGRGIIKAKNPSHAAREYRLQGWNAYLAKCS >Vigun08g212400.1.v1.2 pep primary_assembly:ASM411807v1:8:37366298:37369933:-1 gene:Vigun08g212400.v1.2 transcript:Vigun08g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTDGESLGRGTKITLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEKKEEEGKVEEVDEDKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFIPKRAPFDIFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKSKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESRKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEELKEKFDGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADADMPPLEDADADAEGSKMEEVD >Vigun05g028300.2.v1.2 pep primary_assembly:ASM411807v1:5:2271523:2274173:-1 gene:Vigun05g028300.v1.2 transcript:Vigun05g028300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSEKKLVRIDVSSDTVCPWCFVGKKNLDKAIAASNDKYNFEIIWHPFQLNPDAPKEGIDKREYYRRKFGSQSERMEARMLEVFKNVGLEYSLSGLTGNTMDSHRLIYFARQQGLDKQHDLVEELNIGYFTQGKYIGDHKFLLESAAKVGVQGAEEFLKDPNNGLREVEEELKTYSGNITGVPYYVINGNHKLSGGQPPEVFLRAFQVATT >Vigun05g028300.1.v1.2 pep primary_assembly:ASM411807v1:5:2271523:2274173:-1 gene:Vigun05g028300.v1.2 transcript:Vigun05g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSEKKLVRIDVSSDTVCPWCFVGKKNLDKAIAASNDKYNFEIIWHPFQLNPDAPKEGIDKREYYRRKFGSQSERMEARMLEVFKNVGLEYSLSGLTGNTMDSHRLIYFARQQGLDKQHDLVEELNIGYFTQGKYIGDHKFLLESAAKVGVQGAEEFLKDPNNGLREVEEELKTYSGNITGVPYYVINGNHKLSGGQPPEVFLRAFQVATT >Vigun01g103400.1.v1.2 pep primary_assembly:ASM411807v1:1:27258472:27261960:-1 gene:Vigun01g103400.v1.2 transcript:Vigun01g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESMHAVEPSPEAAVLPASDPLFDEFSTEFGSLPLLTMDSLFNSDTLPFTSDLEFGMDFDDNNGEFEITFDDLDEICIPSDAEDFLLPDACNPNNTSVFPPIDESSAKNSDSPRSDTSAVSGDRSSGVSRFFNSQASDSVSEGNSCKEGSHDAVDVRVSNISSPESEFCDREESSGGPVSSQGSGNGGSGVYEAINSPSPDSGSFARDITSSHADAVMDKGVKLEEISGCDLKRKKESCQGSATKHRRYSSSSVETKIERQIPSDVNAIDDDDEKRKARLMRNRESAQLSRQRKKHYVEELEEKVRSMNSIIADLSSKISYMVAENATLRQQVGAGVMCAPPPPAPGIYPHPPMAPMPYPWMPCAPYVVKPQGSQVPLVPIPRLKPQQPTSAPKGKKSESKKSEGKTKKVASISFLGLFIFIMLFGGLVPIVDFKFGGLVDNVPDTGMSSYVSDRVFGHGGGKVWSVNGPRNGSERDEEVGFSNERFSVKGKMNYERGRHLGEERGERQGRDDSGRQGNASEPLVASLYVPRNDKMVKIDGNLIIHSIMASEKTMASQTADAKEKRETGLAIPKDWDSALAIPEVGRHPHVYRVPAEQRKALASGSTKALKDHMKSSATDGKMQQWFREGLAGPMLSSGTCTEVFQFDVSSPAIVPAPSVSNVSTEKRENATSVKKSRNRRTLHGLPDSLTGSSLNVTEEHVKNLQKNHLHGNESSMVVSVLVDPKEDGDVDGMMRPKSLSRIFVVVLIDSVKYVTYSCGLPRASPHLVTAYV >Vigun07g059500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6681004:6682101:1 gene:Vigun07g059500.v1.2 transcript:Vigun07g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENALWFLLAIFSLSVAITLASDPDPVQDFCIPNPRFGAMKTAHAMHYILPCKNSSEATTEDFVFSGLRASGTFSDTGLAVVSASATNFPGLNTLGLSFARADIEVGGINPPHFHPRATELVHVVQGKVYAGFVDSSNRVFTRVLEKGEVMVLPKGLVHFMMNVGDEAATLFGSFNSQNPGIQKVPSALFGSGIDEELLQKAFGLSSKQIGSLRKKFDPKTAR >Vigun04g044500.2.v1.2 pep primary_assembly:ASM411807v1:4:3842834:3846527:1 gene:Vigun04g044500.v1.2 transcript:Vigun04g044500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNGLQNGGGGGGGNGLSYIEHQVSKFDTLAGVAIKYGVEVADIKRMNGLATDLQMFALKTLKIPLPGRHPPSPAPGPQDEPAKSGDSSIERKPLRIGQSAMKEPLQSLRLKPPQPNVSPAMSILQKFYGLKSSDSKDTFNGTEMAVYTPLSSDHSRGEWLPKASPISDLQSATNDYPKSTNLVYDLLTGDGDYIPLAEIVDERSDEKSVRRRQKAEVDNGATTPEKLLKEGNGNGSNGFSSTGKTLAMRPKSASRAALFPDSESGWLDSIPVGLGESIFTDALSGVRKSSSASSLREQEKMNSATAWPPAIWNLKPDLQAAISKPIFDGLPIPITGRRSKAALD >Vigun04g044500.1.v1.2 pep primary_assembly:ASM411807v1:4:3842730:3846527:1 gene:Vigun04g044500.v1.2 transcript:Vigun04g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNGLQNGGGGGGGNGLSYIEHQVSKFDTLAGVAIKYGVEVADIKRMNGLATDLQMFALKTLKIPLPGRHPPSPAPGPQDEPAKSGDSSIERKPLRIGQSAMKEPLQSLRLKPPQPNVSPAMSILQKFYGLKSSDSKDTFNGTEMAVYTPLSSDHSRGEWLPKASPISDLQSATNDYPKSTNLVYDLLTGDGDYIPLAEIVDERSDEKSVRRRQKAEVDNGATTPEKLLKEGNGNGSNGFSSTGKTLAMRPKSASRAALFPDSESGWLDSIPVGLGESIFTDALSGVRKSSSASSLREQEKMNSATAWPPAIWNLKPDLQAAISKPIFDGLPIPITGRRSKAALD >Vigun03g127100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12261061:12261543:1 gene:Vigun03g127100.v1.2 transcript:Vigun03g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLCYTSLTCLVLLPSSAPKFCYSCYWWKYLPTAAKFEIEKEEFKYGICKIIFPFTSFTKENCNFRLAITPSRS >Vigun04g098166.1.v1.2 pep primary_assembly:ASM411807v1:4:22252582:22255254:-1 gene:Vigun04g098166.v1.2 transcript:Vigun04g098166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GSSKVDKGKANMHDNLDELLEDTFMMPECDFDDILDEDEEFDVEMVRIYNLVGDSRQKAYPEHENENACPNCGASKYKERECLNLKKKVSCKVLRNLDVNEDVKWLSKGPNTVARRFYAYAINGYKFVIESRERSKKDENPLVENVTY >Vigun05g259000.4.v1.2 pep primary_assembly:ASM411807v1:5:45270712:45273380:1 gene:Vigun05g259000.v1.2 transcript:Vigun05g259000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLIELSLSYEQLKLKWYGSKSVVKYPRCSIRRHSTYADSDEDISMAFALASLWSLFLALGCSACVWPILYTVNTAYQKAFDSGGFSYGSQASGVGLLFVVNGIILTALGLVIGYPVASASVKVLQGLWRGDLAALKGSCPNCGEEVFAFVRTDKGNNSSHRADCHVCECLLEFRTKAEQSDLRLGRQWVYGRIYLVRRSRRQREP >Vigun05g259000.2.v1.2 pep primary_assembly:ASM411807v1:5:45270712:45273380:1 gene:Vigun05g259000.v1.2 transcript:Vigun05g259000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSSNAFSAAQLTILSTRSRRFRSNRTVSLFSVRASDDPPRGPSCIYVGPLHTAAKETLEALYSQARDAYYSGEPLILDDMFDRVELKLKWYGSKSVVKYPRCSIRRHSTYADSDEDISMAFALASLWSLFLALGCSACVWPILYTVNTAYQKAFDSGGFSYGSQASGVGLLFVVNGIILTALGLVIGYPVASASVKVLQGLWRGDLAALKGSCPNCGEEVFAFVRTDKGNNSSHRADCHVCECLLEFRTKAEVIK >Vigun05g259000.3.v1.2 pep primary_assembly:ASM411807v1:5:45270712:45273380:1 gene:Vigun05g259000.v1.2 transcript:Vigun05g259000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRVELKLKWYGSKSVVKYPRCSIRRHSTYADSDEDISMAFALASLWSLFLALGCSACVWPILYTVNTAYQKAFDSGGFSYGSQASGVGLLFVVNGIILTALGLVIGYPVASASVKVLQGLWRGDLAALKGSCPNCGEEVFAFVRTDKGNNSSHRADCHVCECLLEFRTKAEQSDLRLGRQWVYGRIYLVRRSRRQREP >Vigun05g259000.1.v1.2 pep primary_assembly:ASM411807v1:5:45270712:45273380:1 gene:Vigun05g259000.v1.2 transcript:Vigun05g259000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSSNAFSAAQLTILSTRSRRFRSNRTVSLFSVRASDDPPRGPSCIYVGPLHTAAKETLEALYSQARDAYYSGEPLILDDMFDRVELKLKWYGSKSVVKYPRCSIRRHSTYADSDEDISMAFALASLWSLFLALGCSACVWPILYTVNTAYQKAFDSGGFSYGSQASGVGLLFVVNGIILTALGLVIGYPVASASVKVLQGLWRGDLAALKGSCPNCGEEVFAFVRTDKGNNSSHRADCHVCECLLEFRTKAEQSDLRLGRQWVYGRIYLVRRSRRQREP >Vigun07g139600.1.v1.2 pep primary_assembly:ASM411807v1:7:24958353:24962328:1 gene:Vigun07g139600.v1.2 transcript:Vigun07g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFYKLNSLLSCTQDVVREVNINVSAASELNLGLVANNFLHLEHPETSDMSERFIKSQIATHPLYPNLVSAYIECRKVGAPPELASLLEEIARENHPTNALREIGDDPELDEFMESYCEVLHRYKQELSKPFDEATLFLCSIELQLSNLCKGTLALPLDNNRSDEAGGTSEDELSWEKMEAVEGHESSGTRPGDQELKEMLLRKYGGYLSSLRKEFLKKRKKGKLPKEARRILLDWWTTHYRWPYPTEEEKVQLSEMTGLDQKQINNWFINQRKRHWKPSEDMRFAIMDGVSGSAIGGGPM >Vigun09g173700.1.v1.2 pep primary_assembly:ASM411807v1:9:34446383:34450876:-1 gene:Vigun09g173700.v1.2 transcript:Vigun09g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTHHFHLEDTRQIETTLWVLEPPNPPPLWNKLLTPLKKSISSFSSNNKPFLQRLLSFLKTLFPILCWFKNYKASKFKNDLLAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGSSREIAIGPVAVVSLLLSSLVPKVVDPAVDPDAYRSVVFTVTLFAGIFQAAFGIFRLGFLVDFLSHAALVGFMAGAAIVIGLQQLKGLLGITHFTNKTDVISVLESVYKSLHQQITSTEKWYPLNFVIGCSFLIFLLIARFVGRRNKKLFWLPAIAPLVSVLLSTLIVYLSKADKNGVNIVKHVKGGLNPSSVHELQFHGSNVGQIAKIGLISAVIALTEAIAVGRSFASIKGYHLDGNKEMLAMGCMNIAGSLSSCYVATGSFSRTAVNFSAGCETSVSNIVMAVTVFLCLELFTRLLYYTPMAILASIILSALPGLIDINEACYIWKVDKFDFLACIGAFFGVLFVSVETGLIIAVSISFAKILIQSIRPGIEVLGRVPRTEAFCDVSQYPMATSTPGILVIRISSGSLCFANANFIRERILKWVMEEENELAKGRVHAVILDMGNLMNVDTSGILVLEELHKRLLSRRVQLAMVNPRWLVIYKLKVANFVDKIGKKWVFLTVGEAVDACLSSKFPDP >Vigun02g045500.3.v1.2 pep primary_assembly:ASM411807v1:2:18217135:18222392:1 gene:Vigun02g045500.v1.2 transcript:Vigun02g045500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVPEEKGYLFSDEMDFSLDAFMRSRRTLVEWDNKSNFERDGFNSDREVVKSMEFVDLGFPDLLQKSFHGSQPLETSSYELDSNSSRRGNSSTHVIALDSTMGEEESDSKHLSSLVETKTHDSSLIDLKLGRLADCKGASSDKVAKEGFTLTSINPTTLSKRARTSSLSAQAPVCQVYGCNMDLSSSKDYHKRHKVCDAHSKTAKVIVNGLEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPQFDYMTGKQHKILQSYQGTKYLGSSLQNKPQFPFQNIFQSGILFPGKQSQNSQSGRIKLEEDSLYSSQLAAPVTLGQELSSCALSLLSDQSQYPSRHAAGNPLAGARILHGIHIQDRDDLVSETSLRITSSADKYAANESFPCNTASKEVIKNQTAPSFSNAGHALQDHHGDAICQPSDLFDIKHRLSSEHGATVDLFQLSSHLQRVEQQRNSVLVKWENEDYCFPTV >Vigun02g045500.4.v1.2 pep primary_assembly:ASM411807v1:2:18217135:18222392:1 gene:Vigun02g045500.v1.2 transcript:Vigun02g045500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVPEEKGYLFSDEMDFSLDAFMRSRRTLVEWDNKSNFERDGFNSDREVVKSMEFVDLGFPDLLQKSFHGSQPLETSSYELDSNSSRRGNSSTHVIALDSTMGEEESDSKHLSSLVETKTHDSSLIDLKLGRLADCKGASSDKVAKEGFTLTSINPTTLSKRARTSSLSAQAPVCQVYGCNMDLSSSKDYHKRHKVCDAHSKTAKVIVNGLEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPQFDYMTGKQHKILQSYQGTKYLGSSLQNKPQFPFQNIFQSGILFPGKQSQNSQSGRIKLEEDSLYSSQLAAPVTLGQELSSCALSLLSDQSQYPSRHAAGNPLAGARILHGIHIQDRDDLVSETSLRITSSADKYAANESFPCNTASKEVIKNQTAPSFSNAGHALQDHHGDAICQPSDLFDIKHRLSSEHGATVDLFQLSSHLQRVEQQRNSVLVKWENEDYCFPTV >Vigun02g045500.5.v1.2 pep primary_assembly:ASM411807v1:2:18216935:18222418:1 gene:Vigun02g045500.v1.2 transcript:Vigun02g045500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVPEEKGYLFSDEMDFSLDAFMRSRRTLVEWDNKSNFERDGFNSDREVVKSMEFVDLGFPDLLQKSFHGSQPLETSSYELDSNSSRRGNSSTHVIALDSTMGEEESDSKHLSSLVETKTHDSSLIDLKLGRLADCKGASSDKVAKEGFTLTSINPTTLSKRARTSSLSAQAPVCQVYGCNMDLSSSKDYHKRHKVCDAHSKTAKVIVNGLEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPQFDYMTGKQHKILQSYQGTKYLGSSLQNKPQFPFQNIFQSGILFPGKQSQNSQSGRIKLEEDSLYSSQLAAPVTLGQELSSCALSLLSDQSQYPSRHAAGNPLAGARILHGIHIQDRDDLVSETSLRITSSADKYAANESFPCNTASKEVIKNQTAPSFSNAGHALQDHHGDAICQPSDLFDIKHRLSSEHGATVDLFQLSSHLQRVEQQRNSVLVKWENEDYCFPTV >Vigun02g045500.2.v1.2 pep primary_assembly:ASM411807v1:2:18216883:18222454:1 gene:Vigun02g045500.v1.2 transcript:Vigun02g045500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVPEEKGYLFSDEMDFSLDAFMRSRRTLVEWDNKSNFERDGFNSDREVVKSMEFVDLGFPDLLQKSFHGSQPLETSSYELDSNSSRRGNSSTHVIALDSTMGEEESDSKHLSSLVETKTHDSSLIDLKLGRLADCKGASSDKVAKEGFTLTSINPTTLSKRARTSSLSAQAPVCQVYGCNMDLSSSKDYHKRHKVCDAHSKTAKVIVNGLEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPQFDYMTGKQHKILQSYQGTKYLGSSLQNKPQFPFQNIFQSGILFPGKQSQNSQSGRIKLEEDSLYSSQLAAPVTLGQELSSCALSLLSDQSQYPSRHAAGNPLAGARILHGIHIQDRDDLVSETSLRITSSADKYAANESFPCNTASKEVIKNQTAPSFSNAGHALQDHHGDAICQPSDLFDIKHRLSSEHGATVDLFQLSSHLQRVEQQRNSVLVKWENEDYCFPTV >Vigun02g045500.1.v1.2 pep primary_assembly:ASM411807v1:2:18216883:18222454:1 gene:Vigun02g045500.v1.2 transcript:Vigun02g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVPEEKGYLFSDEMDFSLDAFMRSRRTLVEWDNKSNFERDGFNSDREVVKSMEFVDLGFPDLLQKSFHGSQPLETSSYELDSNSSRRGNSSTHVIALDSTMGEEESDSKHLSSLVETKTHDSSLIDLKLGRLADCKGASSDKVAKEGFTLTSINPTTLSKRARTSSLSAQAPVCQVYGCNMDLSSSKDYHKRHKVCDAHSKTAKVIVNGLEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPQFDYMTGKQHKILQSYQGTKYLGSSLQNKPQFPFQNIFQSGILFPGKQSQNSQSGRIKLEEDSLYSSQLAAPVTLGQELSSCALSLLSDQSQYPSRHAAGNPLAGARILHGIHIQDRDDLVSETSLRITSSADKYAANESFPCNTASKEVIKNQTAPSFSNAGHALQDHHGDAICQPSDLFDIKHRLSSEHGATVDLFQLSSHLQRVEQQRNSVLVKWENEDYCFPTV >Vigun08g022200.1.v1.2 pep primary_assembly:ASM411807v1:8:1943127:1945736:1 gene:Vigun08g022200.v1.2 transcript:Vigun08g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISESSVEQIQPPTYGNLVTILSIDGGGIRGIIPATIIDFLESQLQELDGPEARLADYFDVISGTSTGGLVTAMLTAPGKNNRPLFAAKDIRPFYLEHCPKIFPQQSGLGGTIIANLVRSLGGPKYDGKYLHQVVREKLGEIRLHQTLTNVVIPTFDIKSMQPIIFSSYQMKMSTCLDAKLSDICISTSAAPTYLPAHNFKNQDSEGNIHEFNLIDGGVCANNPTLVAMNEVTKQIMKQNSDFFPMKPMEYNRFLIISIGTGTAKNEEKFNAKIASKWGLLDWLTYDGSTPLTDVFTQSSADMVDFHLSAVTQALRSEDNYLRIQDDTLSGTDSSVDIATKENLEKLSRIGENLLKKAVSRVNLENGLFEPLKNGETNQQALTRFSKILSQERRLRQMRSPHTKNPF >Vigun03g371600.1.v1.2 pep primary_assembly:ASM411807v1:3:57445710:57451360:1 gene:Vigun03g371600.v1.2 transcript:Vigun03g371600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATIFVCFKTSSSSPFLTRFPSRFHFATFPLRSPARKLMSQTLARATLGLTHPSNIETPKISFTAKDVDVTEWKGDILAVGVTEKDLARDAKSRFENVILSKIDSKLGGILAEVSSEEDFSGKVGQSTVVRITGHGSKRVSLIGLGQSPSTPAPFKGLGEAVVAAAKSYQASSVAVVLASSEGLSAPSKLSTAYAIASGAVLGLFEDNRYKSEAKKPTLQSVDIIGLGTGPELEKKLKYAGDVSSGIIFGRELVNSPANVLTPGVLAEEAANIASTYSDVFTAKILNAEQCAELKMGSYLGVAAASANPPHFIHLCYKPPSGPVNVKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGTAKALGLIKPPGVEVHFIVAACENMISGTGMRPGDVVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVVALGPSIAGVFTPSDDLAKEIFEASDVSGEKLWRLPMEESYWETMKSGVADMVNTGGRQGGAIIAALFLKQFVDEKVQWMHIDMAGPVWNDKKRSATGFGIATLVEWVLKNGSNAK >Vigun09g241200.3.v1.2 pep primary_assembly:ASM411807v1:9:41105726:41107778:1 gene:Vigun09g241200.v1.2 transcript:Vigun09g241200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMIYYLQGFGILAVQAKSHKLRPIPCKDLAVTQMNECKAATGGQVAMLYSGLYLVALGTGGIKAALPALGADQFDEKDPKEAPKLSSFFNWFLFSLTIGSIIGVTFIVWIGANQGWDWSFIICTIAILFSIVFICMGNSLYRNNVPKGSPLVRIIQVFVAAFRNRKLQIPDNTDELHEIHEKQRGDNVEILKRTEQFRFLDRAAVVESSTGSSTSEHWKLCTVTQVEETKILIRMLPIILSTIFMNTCLAQLQTFTVQQSTTMNTKIGGFKVPGASLPVIPLLFMFILIPLYDRVFVPIARRITGIPTGIRHLQRIGIGLVLSAASMAVAGFVETRRKSVAMEHDMVDSTEPLPMSVFWLGFQYGIFGAADMFSLIGLLEFFYAESSAGMKSLGTAISWCSVAFGYFTSTVVVEVVNKVSGGWLASNNLNRDKLNYFYWMLSVISVLNFGFYLFCASWYTYKTVEVQQDDSKNNVDITKV >Vigun09g241200.2.v1.2 pep primary_assembly:ASM411807v1:9:41105658:41107748:1 gene:Vigun09g241200.v1.2 transcript:Vigun09g241200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNECKAATGGQVAMLYSGLYLVALGTGGIKAALPALGADQFDEKDPKEAPKLSSFFNWFLFSLTIGSIIGVTFIVWIGANQGWDWSFIICTIAILFSIVFICMGNSLYRNNVPKGSPLVRIIQVFVAAFRNRKLQIPDNTDELHEIHEKQRGDNVEILKRTEQFRFLDRAAVVESSTGSSTSEHWKLCTVTQVEETKILIRMLPIILSTIFMNTCLAQLQTFTVQQSTTMNTKIGGFKVPGASLPVIPLLFMFILIPLYDRVFVPIARRITGIPTGIRHLQRIGIGLVLSAASMAVAGFVETRRKSVAMEHDMVDSTEPLPMSVFWLGFQYGIFGAADMFSLIGLLEFFYAESSAGMKSLGTAISWCSVAFGYFTSTVVVEVVNKVSGGWLASNNLNRDKLNYFYWMLSVISVLNFGFYLFCASWYTYKTVEVQQDDSKNNVDITKV >Vigun09g241200.1.v1.2 pep primary_assembly:ASM411807v1:9:41104253:41107748:1 gene:Vigun09g241200.v1.2 transcript:Vigun09g241200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEVLIPKEVAHSVPKKAPTMGICRNMVTQPRQQRRLGGNRAALFVNAMEGLESMAFVSNAVSLVTYFFGYMNFSITKSATTLTNFLGTAFLLPLFGGIISDTYLSRFKTCVLFACMELLGFGILAVQAKSHKLRPIPCKDLAVTQMNECKAATGGQVAMLYSGLYLVALGTGGIKAALPALGADQFDEKDPKEAPKLSSFFNWFLFSLTIGSIIGVTFIVWIGANQGWDWSFIICTIAILFSIVFICMGNSLYRNNVPKGSPLVRIIQVFVAAFRNRKLQIPDNTDELHEIHEKQRGDNVEILKRTEQFRFLDRAAVVESSTGSSTSEHWKLCTVTQVEETKILIRMLPIILSTIFMNTCLAQLQTFTVQQSTTMNTKIGGFKVPGASLPVIPLLFMFILIPLYDRVFVPIARRITGIPTGIRHLQRIGIGLVLSAASMAVAGFVETRRKSVAMEHDMVDSTEPLPMSVFWLGFQYGIFGAADMFSLIGLLEFFYAESSAGMKSLGTAISWCSVAFGYFTSTVVVEVVNKVSGGWLASNNLNRDKLNYFYWMLSVISVLNFGFYLFCASWYTYKTVEVQQDDSKNNVDITKV >Vigun09g256000.1.v1.2 pep primary_assembly:ASM411807v1:9:42213384:42215804:-1 gene:Vigun09g256000.v1.2 transcript:Vigun09g256000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTINKNQIIDESNRSETKVLDENKEEEEEEDLQKLLVPDVQNLPTTPPSAVESNFATFFAIDFTKPAHDQYIYRHANGLCVVGLAPSHVAFKDEGGITAVDFNVGKSDRSEMKVTGKRKKNAQHFESNTALCKISTKNDTYIVRCCVKGSLLEVNQQLIKQPELLNVSADREGYIAIIMPKPADWLKVKASLVSLQEYKKLKEVS >Vigun04g019400.1.v1.2 pep primary_assembly:ASM411807v1:4:1453950:1457591:1 gene:Vigun04g019400.v1.2 transcript:Vigun04g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQALAILSITLSFIVFVFFRKKHHGRTAPGPKPLPIIGNLHMLGKLPHRSLQSLATKYGPIMSLKLGQVPAIVVSSPETAELFLKTHDIVFASRPKTQATEFLSYGSKGLAFSEYSAYWRNMRKVCTLQLLSASKVEMFAPLRREELGMLVKSLKNCAESGEVVDLSHLLGELMENIVFKMVLGRAKDDRFDMKLLTHEVMNLLGAFNLADYMPWVGVFDPQGRTKRLKKAAKSFDEFLEQIIQEHESNPYDEDKNHNMDFVDILLSLMHQPNDLQNHQYVIDRTNVKAILFDMITATFDTSSTTVEWAMSQLLRQPCEMKKLQQELQHVVGMNRHVEEKDLEKLSYLNMVVKETLRLHPVGPLLVPHECREDVTIDGYFIRKRSRVIINAWAIGRDPKVWEMAEIFNPTRFENNNIDIRGKDFRIIPFGSGRRGCPGIHLGLTMVSLILAELVHCFDWVLPLGMSCDELDMEEIFGLTVPRKKHLLIRPIYRLAV >VigunL059053.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000359.1:8370:11170:-1 gene:VigunL059053.v1.2 transcript:VigunL059053.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMAVLALLMPVDRTHLDADLFGAAPSTIAEDPERPVGRTDDRVSAPSTPTDLQTSESPASGAHQHHADGPSLFGSTAHAIEPTVSLAMVVRFHLTNDRSTGLTSNPQDPRRRARSAWSAIRRRPERWRRAKRTGLPPGRPPRSDVGAGSTTPGRRRGKSGRRTTRPRSTRRENSTARSASPTRRRGLEPGAGPAPQPPQHDGRAESQKVDRIEPRQARPEKRAVGEATFRPGAHIDVGQDEARQDEEQVHPKVALVDHADLLEVEAEPVSEVINEHPSGRDDAQARQGFEVSWIHVVPRRRRDGTRASPNAGGAPGAARPSLRRSSRRRGGRAARCDDGGRYRRRPGPCPGSPDRHRPPLRASRPKRRAWTGYRPSRRSGAVQAPGRGPWRHAAPPRRRPPRCAAPPRQRCPWRGRARSAPRHRPRRSRSPRRRDRSSGCAGRLGPRVTSLSKILARPGTRTRLTLIQPAA >Vigun04g068500.1.v1.2 pep primary_assembly:ASM411807v1:4:7820839:7822783:-1 gene:Vigun04g068500.v1.2 transcript:Vigun04g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMNIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITDENGKKKLKIEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASIANDSKSIEIRNFLGEKKVRKVDMLDGVSVVRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTILVEE >Vigun01g046300.1.v1.2 pep primary_assembly:ASM411807v1:1:6889404:6891592:1 gene:Vigun01g046300.v1.2 transcript:Vigun01g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTVVKSVSEIITVLEEISCVTVARTLKFNLGNDGWNYLVCNVCTKRTHEVGSFKCLSCDAFNDYPRIRYKLKIQVTDGKKVAKFMLWDQDCMNLICVSVADLRKKMIKASQVSECMVSGSSDIVPDICNSSAMVIGKCINASVCDLPTSSGTSLMCLSSTANDEPDIVLCMTPTKDVCASIDDVQDIPSSIMEFDFLEDIPLAQLSATKTTKSINNIKKEKL >VigunL032500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:213290:218407:-1 gene:VigunL032500.v1.2 transcript:VigunL032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKLLFLTSSFIGWIIGHTFFIKWIEFLLICIQQNNWIKSNVRIQSKKDILSEFRNYMFKIFAVFLFVTCLYYLGRTPLPFFNKKLLVLESKQSNEISKKGKKKDKDMLQKPLINILFNYKRWKRPFRYIKNNEFENMVKNEISEFFFHTYQRDGREKISFTYPQNLSSFEKLMETKIDFFTKKKISSDDLYNDSYYRNEEKINKLSNEFINRTKLIDKKLISLDIFENRIRFCDDETKKKYLTKRKDPLLNGPFRGQIKNGFSTSIQHEKTYKKNNIFINKIQDIFLYNKISKKNNSNSPKLEENRKTFDKKLLVTTFLFNLISQFSLVSSLNSHVPYLFTEPEQVKMNYNYDAEKKQIIKILFDAITTDLNEKRKVNRKNTKSIKRNEICKKVPRWSYKLMDELEQLGGKIEADNSQIRSRKGKRVVILTNKDKFFKKYKTYRDRGDTENNEQKNELALRRYSQQSDFRRDIIKGSIRAQRRKTVTWKFFQKRVHSPLFLDKIEKSIFFSFHSLKSMKRFFMLNIWIRKKTEFQILGSIEEKTKKSPKKKEEEAKKENAERKRIEIAEAWDSIIFAQVIRGVLLITQCFIRKYILLPSFIIIKNTIRILFFQIPEWSEDYMDWKREIYIKCTYNGVQLSEREFPQKWLTDGIQIKILFPFRLKPWHKSKIRCNENKKNSKKKKNFCFLTVWGMEVELPFSSSTKNPLSFFDPILKELKKKKKKFEFFTFLVLKVLSEKFKLFLNIVIEKAKGIIQSILEIIRKSIVFLTKKKQEFLNLKYFFIKRKPPKLDELSENKISEATISIQSIKSTNFSLKKKSIKDLNTKRKVVIKKIEKMKKEEKKRGLGIPETNIHSKKKIYDSKRIEFEKKILQIFQRRNISLTKKSHSFFQFLMKKIYIDIFLYIICIPKIQLQLFLESTKKFLNKWLYDNEPNTERSYKTNQSIIPFLLKLHKYFNNKNKNANSHNYFDVSFLSQPYVFLNLLQTRIININIYKLRLLFQYNKNFFFLKNEIKDSFFGAQGIVHSKRKQNNLLNSELNQWTNWLKNNYYQYNLSNSSWSKLVSQKWRNRITKCGVTQNKNLTKWDSYGKSPLILYKEQQGIPLKKKIRKQYKYDLLSYNFMNYANKKDSYIYRYRSLFQSNKNTMISSNYNTYKKDLFDRISNIFIKNYIAEDTIIINPDRKYLDWTGINRKILNRSICNPEFWFFSKFVIFYNTFRGNSQIIPIKLLYLHSLFLHSKGNKNVSEKNITRKKKKIDVFRTSKKKPKNNMEAELQFLVRNFLNFHLNWKNFLGQKIFNNVKVYCLLIRLTNLRKITIASIQRGELGLDIMMIQKQTNLTLSGLRKKKNNKFRKKELFVIEPVRLSRKNNKQFFKYKMMGFSLIHKNKRKIYKKYSEKIHVNKKFFDKYITRTKDQKITENKENEKFNLLVPENILSARRRRELRIRICLDPNNINSMHRNTIFYNENKVQNCFKVLTKKRNEKEKKKLMNFKIFLWPKYRLEDLACINRYWFNTHNGSRFSIVRIHMYPRVKI >Vigun03g370600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57306187:57308087:-1 gene:Vigun03g370600.v1.2 transcript:Vigun03g370600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEKEKGVGEGQSTLLHGKYELGRVLGHGTFAKVYHARNLKTGEHVAMKVVGKEKVIKVGMMEQVKREISVMKMVKHSNIVELHEVLASKSKIYIAMELVRGGELFNKVSKGRLKEDVARLYFQQLISAVDFCHSRGVYHRDLKPENLLLDEHGNLKVSDFGLTAFSDHLKEDGLLHTTCGTPAYVSPEVIAKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVAMYKKIYRGDFKCPPWFSAEARRLVTRLLDPNPNTRISISKVMESSWFKKPVPRKVEEVAKVDLEEMCERPATMNAFHIISLSEGFDLSPLFEEKKREEMRFATAGTPSSVISRLEEVAKAGKFDVKSSETKVRLQGQERGRKGKLAIAADIYAVTPSFMVVEVKKDNGDTLEYNQFCSKQLRPALKDIFWNSPQNSTPAIVLE >Vigun02g047400.2.v1.2 pep primary_assembly:ASM411807v1:2:18619170:18626151:-1 gene:Vigun02g047400.v1.2 transcript:Vigun02g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDGQKNRGELRIHESLDELMTDLADYVAELSEASVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWSKWYIFWADERVVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAAEDYEFVIRQLVKTRVVSVSEISDCPKFDLILLGLGPDGHIASLFPNHSALNEREEWVTFITDSPKPPPERITFTMPVINSASNVAVVVTGDSKAESVHLAIDDVGPDSPLIPARMVQPAMGKLVWFLDKLAASKLEDSNLNK >Vigun02g047400.1.v1.2 pep primary_assembly:ASM411807v1:2:18619170:18626123:-1 gene:Vigun02g047400.v1.2 transcript:Vigun02g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDGQKNRGELRIHESLDELMTDLADYVAELSEASVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWSKWYIFWADERVVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAAEDYEFVIRQLVKTRVVSVSEISDCPKFDLILLGLGPDGHIASLFPNHSALNEREEWVTFITDSPKPPPERITFTMPVINSASNVAVVVTGDSKAESVHLAIDDVGPDSPLIPARMVQPAMGKLVWFLDKLAASKLEDSNLNK >VigunL054000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000031.1:44391:44519:1 gene:VigunL054000.v1.2 transcript:VigunL054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARAVRCWVKSRNERNPRV >Vigun05g194400.1.v1.2 pep primary_assembly:ASM411807v1:5:37626765:37628692:-1 gene:Vigun05g194400.v1.2 transcript:Vigun05g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHSSSSSLMHSITMEEQQHQQQPKLIKDHGFKENPSQTIMRLASLAIGFNVRLKSSDMPAHMQEHALRHTRSLLSLSTPSPKLSNTLIARALKKEFDTKYGLAWHCVIGKSFGSFVSHTGGGFIYFSIDTLSVLLFKTEVHLVRQPPP >Vigun10g143900.1.v1.2 pep primary_assembly:ASM411807v1:10:36111083:36112255:-1 gene:Vigun10g143900.v1.2 transcript:Vigun10g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFDNFFEIFSRLPAKAIYKFMSTCMILSKFPKETYFASKQAQHAFSRDDTCFFIQPETPIEVTQWCNLPVEFHPLPGEESSSGVSRNVLAYFSKRIKILCSSNGLVLCSVASENEVNFYIINPATQSCSLIPTPDHIQNSSFYDHKIGFLCELDGNFMIYHFIDNLDEWSSYFDCKVYKDGVWKAKEKFSTGSRSLRFDMPVHHRGAIHFISDCSSYLTRSNPYFRPYIMSYNFEDEKSSMLRVPKEARKDQSICLVRLRKRVFTVWVLTKYESSLWRKILKIRVKAMGVLENDPSQIVVKSFILLNGELLVFSTQKKVYQYGLRDKKIHKFWDYESEFNFLRFTPYKDTLHTCDIGTRNLSLPIHV >Vigun05g295500.1.v1.2 pep primary_assembly:ASM411807v1:5:48065354:48068269:1 gene:Vigun05g295500.v1.2 transcript:Vigun05g295500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCPIFCCGNGSDRKGRGKKQPPWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAESLLDWSRRMNIAIGSAEGIAYLHHQSTPHIIHRDIKASNVLLDSDFQARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVFSFGILLLELASGKKPLEKLSSTVKRSINDWALPLACEKKFSEMADPKLEGNYVEEELKRVVLIALLCAQNQPEKRPTILEVVELLKGESKVKLIQLENNEIFNNPPAAGHTDDETFAAEGSSDFISEEKESKPELEEKTGP >Vigun07g267480.1.v1.2 pep primary_assembly:ASM411807v1:7:38303912:38305524:1 gene:Vigun07g267480.v1.2 transcript:Vigun07g267480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLLYHMKMKMYDVYVILLFCHLTVLHLSAGNANEHTSDCPDLFDCGSLGNISFPFTTSEHPNCGALAIQGCNNPNQTALKQVRLSSGGKLLQVTNIVGGWRWKISIIDKDYRNLLENSSCNALSYNITVPPSSPFGYFSLENNITALNCSHHKNLNLSKDFINYTRCSPFDFYFAPSSSDQNYLRSLTSSCSMVQLPVRQDSQFFKDPFGFLNPQITFQFQSSSACWRCRDRGGNCRLDSNAKLYCAMRKSGAWNRKLPLMLGNLHNLTFPFQI >Vigun04g197400.1.v1.2 pep primary_assembly:ASM411807v1:4:42229726:42233717:1 gene:Vigun04g197400.v1.2 transcript:Vigun04g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYILLGTRICYAPIFSRCNRRFSLMASSDAVVDDAKHGFSRPEMYKENLAGTVDAYDRHVFLCYKNHSVWPPRIEASEADPLPNRVASVWKARKNDVAVKTKITVCEAREEAGFADGDVLIFPDMIKYKGLEESNVDGFFDDVIVSGKEWSSGGEQSVLSGSHIFVCAHASRDVRCGVCGPVLMDKLNEEIELRGLKDQISVVACSHVGGHKYAGNVIIFCPGPDGKITGHWYGYVTPDDVAALLDRQIAKGEVIQKLWRGQMGAGVAEVKVAADEQKVGNGDDNGKGSVSKKENVGGCCQGSNGVSCCRSASVEQKKETGAYKRQGSTLSCNWPSLEKRDILTVSGILGALAAVAIAYKFYRRST >Vigun09g142400.1.v1.2 pep primary_assembly:ASM411807v1:9:30461392:30462246:-1 gene:Vigun09g142400.v1.2 transcript:Vigun09g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYGASKFMTFVAVAALLLLMFSMVASADQPAIKLGRKLFENELPPAYGLYPGGGGYTPTPPSP >Vigun11g004500.2.v1.2 pep primary_assembly:ASM411807v1:11:459924:466530:1 gene:Vigun11g004500.v1.2 transcript:Vigun11g004500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRQMGLHYVDAGFPYAVNENFVDFFQGFSHVPVNYAFAGSMPDQESVYWSMNMNPYKFGLSGPGSTSYYSSYEVNGHLPRMEIERAEWEYPSTITAVEPATTDSPPRRDGITSMQTIPEECSPNHLESNSSSQELLDLGEAVGTQNRGLSQELIDMLPTSKYKFGSLFKRKNSGKRCVICQMTYRRGDQQMKLPCSHVYHSECITKWLSINKKCPVCNTEVFGEESTH >Vigun11g004500.1.v1.2 pep primary_assembly:ASM411807v1:11:459924:466530:1 gene:Vigun11g004500.v1.2 transcript:Vigun11g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRQMGLHYVDAGFPYAVNENFVDFFQGFSHVPVNYAFAGSMPDQESVYWSMNMNPYKFGLSGPGSTSYYSSYEVNGHLPRMEIERAEWEYPSTITAVEPATTDSPPRRDGITSMQTIPEECSPNHLESNSSSQVIWQDNIDPDNMTYEELLDLGEAVGTQNRGLSQELIDMLPTSKYKFGSLFKRKNSGKRCVICQMTYRRGDQQMKLPCSHVYHSECITKWLSINKKCPVCNTEVFGEESTH >Vigun07g243900.1.v1.2 pep primary_assembly:ASM411807v1:7:36528995:36533106:-1 gene:Vigun07g243900.v1.2 transcript:Vigun07g243900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKGIYKSFKYITQIFVVKEREMEIGYPTDVKHVAHIGWDGPSGTGPSWMNDFKTAPDFSTSLGNLGELSDPNAMGATTSWSSQDFEESTGSQSSSNFYKGIQSAGVSHVSKKSKKKKSKSASSESLSASSRQSRSTKSKATYSDREATPISQN >Vigun07g243900.2.v1.2 pep primary_assembly:ASM411807v1:7:36529128:36532853:-1 gene:Vigun07g243900.v1.2 transcript:Vigun07g243900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKGIYKSFKYITQIFVVKEREMEIGYPTDVKHVAHIGWDGPSGTGPSWMNDFKTAPDFSTSLGNLGELSDPNAMGATTSWSSQDFEESTGSQSSSNFYKGIQSAGVSHVSKKSKKKKSKSASSESLSASSRQSRSTKSKATYSDREATPISQN >Vigun09g104500.1.v1.2 pep primary_assembly:ASM411807v1:9:18984209:18985232:1 gene:Vigun09g104500.v1.2 transcript:Vigun09g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQVMLPTASTSSTALSSQNQSDKEAKHHRYAFQTRSPVDVLDDGYQWRKYGKKIVKNNTFPRSYYRCAHRECNVKKQIQRHSDDEQIVVTTYEGTHTHPVDKSVETFDQILGNLLIDNLLNNAPPTIE >Vigun09g104500.2.v1.2 pep primary_assembly:ASM411807v1:9:18984208:18985232:1 gene:Vigun09g104500.v1.2 transcript:Vigun09g104500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQVMLPTASTSSTALSSQNQSDKEAKHHRYAFQTRSPVDVLDDGYQWRKYGKKIVKNNTFPSYYRCAHRECNVKKQIQRHSDDEQIVVTTYEGTHTHPVDKSVETFDQILGNLLIDNLLNNAPPTIE >Vigun05g263200.1.v1.2 pep primary_assembly:ASM411807v1:5:45570311:45572774:1 gene:Vigun05g263200.v1.2 transcript:Vigun05g263200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPFCSNHFNSWFDLNSPFLFSSSSSSSSSSPCSNPPFYFPSTFHHNQSSSPPKEALPLLNLSPARGEDLESSFSAMKVNREKEREASISSNNNSNSMDDETVTVALHLGLPNTTASASADSSSNTYSPHKEKACEYSPSRINKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPECKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIKAFGNGHKAFGIDCFDQDDEAASEIEHENVNESLLSSHS >VigunL014785.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:295046:296088:-1 gene:VigunL014785.v1.2 transcript:VigunL014785.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTILLYLLSCSARSVAQDLWSLPGPDEKNGITSYGLVEKYSNIVHGLLEVEGALVGSSHTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYKSEIWRPWGFLFDCIERPNNLGFPYWARSFLDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSRWLKINSSSSKGFSPSNTLFESYQYLSNLFLSNKALLDQMTKTLLRKRRLFLDEIVVASCSNNESLV >Vigun07g280400.2.v1.2 pep primary_assembly:ASM411807v1:7:39517832:39522279:-1 gene:Vigun07g280400.v1.2 transcript:Vigun07g280400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSFKSYGSFKQSKRFSGAGNPDSDHEQLPILLEQERNRHNAMPAGDYVVKIDDAANPSQANKIWRDSSYDFWRGEASSSAAAAATDQSFDFRQTEDPPSQLIGRFLHKQRASGEMQLDMDLEMEELQQDGVEGRLTPVEESPVNFRNSRELKVSFDEPLPNTNLLEQQNDTFRRRHSKEASTMADFQRPPHPPQHDHRRSPSPSPVEDGEVLRCTSNASFERNLSMQRKSALLKAKTRSRLLDPPEEPERKSGRVVKSGQLQSGILGKKGDEEDDDPFLEEDFPDEFKKTHFSLWILLEWVSLFLITGFLIATLCIPFLREKHLWQLKLWKWEVMVLVLICGRLVSDWVIRIAVYCIERNFLLRKRVLYFVYGVKKAVQNCVWLGLVLIAWHLLFDQRVQRETNSNFLEYVNKVLVCFLVGTLVWLLKTLMVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIKKAEEEEERLADEVQKLQNAGVTIPPDLKATAFSNMKSGRYRSGVLAKSPRAKSGKFSRPLSKGADDNVITIDNLNKLNPNNISAWNMKRLMNMVRHGALSTLDEQILDSANDDENATQIRSENEAKVAAKKIFHNVARRGCRFIYPDDLMRFMQEDEAAKTMNLFEGASDSGKISKAALKNWVVNAFRERRALALTLNDTKTAVNKLHRMLNFIVGIVVLIIWLLILELATTKFLVFLSSQIVLVTFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGIQMIVEEMNILTTIFLKYDNHKVIIPNSVLATKAIFNYYRSPDMQDIIEFYIHVCTPVEKISLIKHRISSFCDNKKEHWYPSPTVVVRDHLDIHMVKMAIWPNHRMNFQDQTERHNRRSLLLEELIKIFRELDLNYRLLPLDVNVRAVPTSSERLPPSWQSTPS >Vigun07g280400.3.v1.2 pep primary_assembly:ASM411807v1:7:39517832:39522354:-1 gene:Vigun07g280400.v1.2 transcript:Vigun07g280400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSFKSYGSFKQSKRFSGAGNPDSDHEQLPILLEQERNRHNAMPAGDYVVKIDDAANPSQANKIWRDSSYDFWRGEASSSAAAAATDQSFDFRQTEDPPSQLIGRFLHKQRASGEMQLDMDLEMEELQQDGVEGRLTPVEESPVNFRNSRELKVSFDEPLPNTNLLEQQNDTFRRRHSKEASTMADFQRPPHPPQHDHRRSPSPSPVEDGEVLRCTSNASFERNLSMQRKSALLKAKTRSRLLDPPEEPERKSGRVVKSGQLQSGILGKKGDEEDDDPFLEEDFPDEFKKTHFSLWILLEWVSLFLITGFLIATLCIPFLREKHLWQLKLWKWEVMVLVLICGRLVSDWVIRIAVYCIERNFLLRKRVLYFVYGVKKAVQNCVWLGLVLIAWHLLFDQRVQRETNSNFLEYVNKVLVCFLVGTLVWLLKTLMVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIKKAEEEEERLADEVQKLQNAGVTIPPDLKATAFSNMKSGRYRSGVLAKSPRAKSGKFSRPLSKGADDNVITIDNLNKLNPNNISAWNMKRLMNMVRHGALSTLDEQILDSANDDENATQIRSENEAKVAAKKIFHNVARRGCRFIYPDDLMRFMQEDEAAKTMNLFEGASDSGKISKAALKNWVGKESARFDTK >Vigun07g280400.1.v1.2 pep primary_assembly:ASM411807v1:7:39517786:39522404:-1 gene:Vigun07g280400.v1.2 transcript:Vigun07g280400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSFKSYGSFKQSKRFSGAGNPDSDHEQLPILLEQERNRHNAMPAGDYVVKIDDAANPSQANKIWRDSSYDFWRGEASSSAAAAATDQSFDFRQTEDPPSQLIGRFLHKQRASGEMQLDMDLEMEELQQDGVEGRLTPVEESPVNFRNSRELKVSFDEPLPNTNLLEQQNDTFRRRHSKEASTMADFQRPPHPPQHDHRRSPSPSPVEDGEVLRCTSNASFERNLSMQRKSALLKAKTRSRLLDPPEEPERKSGRVVKSGQLQSGILGKKGDEEDDDPFLEEDFPDEFKKTHFSLWILLEWVSLFLITGFLIATLCIPFLREKHLWQLKLWKWEVMVLVLICGRLVSDWVIRIAVYCIERNFLLRKRVLYFVYGVKKAVQNCVWLGLVLIAWHLLFDQRVQRETNSNFLEYVNKVLVCFLVGTLVWLLKTLMVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIKKAEEEEERLADEVQKLQNAGVTIPPDLKATAFSNMKSGRYRSGVLAKSPRAKSGKFSRPLSKGADDNVITIDNLNKLNPNNISAWNMKRLMNMVRHGALSTLDEQILDSANDDENATQIRSENEAKVAAKKIFHNVARRGCRFIYPDDLMRFMQEDEAAKTMNLFEGASDSGKISKAALKNWVVNAFRERRALALTLNDTKTAVNKLHRMLNFIVGIVVLIIWLLILELATTKFLVFLSSQIVLVTFIFGNTCKTIFEAIIFLFVMHPFDVGDRCEIDGIQMIVEEMNILTTIFLKYDNHKVIIPNSVLATKAIFNYYRSPDMQDIIEFYIHVCTPVEKISLIKHRISSFCDNKKEHWYPSPTVVVRDHLDIHMVKMAIWPNHRMNFQDQTERHNRRSLLLEELIKIFRELDLNYRLLPLDVNVRAVPTSSERLPPSWQSTPS >Vigun01g150100.4.v1.2 pep primary_assembly:ASM411807v1:1:33237202:33241638:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNNPYPILTPSGLPRQSKPSIAEDDVMELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.2.v1.2 pep primary_assembly:ASM411807v1:1:33237110:33241641:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.7.v1.2 pep primary_assembly:ASM411807v1:1:33237202:33241638:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.5.v1.2 pep primary_assembly:ASM411807v1:1:33237110:33241641:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.3.v1.2 pep primary_assembly:ASM411807v1:1:33237111:33241640:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNNPYPILTPSGLPRQSKPSIAEDDVMELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.6.v1.2 pep primary_assembly:ASM411807v1:1:33237082:33241638:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun01g150100.1.v1.2 pep primary_assembly:ASM411807v1:1:33237081:33241704:1 gene:Vigun01g150100.v1.2 transcript:Vigun01g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNNPYPILTPSGLPRQSKPSIAEDDVMELLWHNGQVVMQSQNHRSLRKPTPPSRNSHDASAAGPSESREIRPQLDNFNQHLFMQEGEMASWLHYPIDDDDDVPPLDQAFCADFLYSPPTVNNSTAMQTLATSQLTDHRPMSAPPRPPIPLPRRLEPKTPNFAYFARHNTRADEQSVKVAAKESTVVDSCETPAATAAAVSRVSETVRNAVEATEGGAGAPVPSTSGGGGRSTMMCDVTMASSPGGSSSSGEPVQVAPAEERKRKGREAEGWESQSEDVDFESEAKKQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAISYLKSLQLQVQMMSMGCGMVPMMFPGIQQYMPAMGMGVGMGMGMEMGMNRPVMPFPNMLPGSALPAATAAAHLGPRFPMPPFHMPRVPAPDSSRMQPENQSDNNNNMVAPAPPHPNQSRLPNFTDPYQQYLGPHQMQFQVIQNQAMNQPNVGKPSTSRDNPENR >Vigun02g146200.1.v1.2 pep primary_assembly:ASM411807v1:2:29421794:29426584:-1 gene:Vigun02g146200.v1.2 transcript:Vigun02g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKTGTDWEGGYFPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDATEYKRRVRQQAKQYPPLV >Vigun02g146200.2.v1.2 pep primary_assembly:ASM411807v1:2:29421794:29426435:-1 gene:Vigun02g146200.v1.2 transcript:Vigun02g146200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKTGTDWEGGYFPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDATEYKRRVRQQAKQYPPLV >Vigun11g224200.1.v1.2 pep primary_assembly:ASM411807v1:11:41501938:41503955:1 gene:Vigun11g224200.v1.2 transcript:Vigun11g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRDTVKEETGREEMAEKMNAIQYEKCGGGPAGLKHVEVGVPSPKTKEVLVKVEAVSINPVDWKIQKGLMRPLFFPGNFPHTPCTDIAGEVVQIGAQVKDFKVGDKVLSKLSTRYGGGLAEFAVASESLTASRPPEVSAAEAAALPIAGLTARDALTKIAGVKLDGTGEPKNVLVTAASGGVGHYAVQLAKLGNNHVTATCGARNIEFVKGLGADEVLDYRTPEGAALKSPSGRKYDAVINCTTGIPWSTFDANLTEKAVVVDLTPNPSSFVTAALKKVTFSKKRLVPFFVDVKREGLDYLLQLLKDGKLKTVIDSRFPLSKAEDAWAKSIDGHATGKIIVEP >Vigun10g069700.1.v1.2 pep primary_assembly:ASM411807v1:10:16793447:16798155:1 gene:Vigun10g069700.v1.2 transcript:Vigun10g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPKTVLVTGSGGRTGQIVYKKLKERPNQYVTRGLVRTEESKQKIGGANDVFIGDIRDAGSITPAFQGIDALIILTSAVPQMKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDAAKAAGVKHVVLVGSMGGTNTNHPLNSLGNGNILIWKRKAEQYLADSGLPYTIIRPGGLLDKEGGIRELIVGKDDELLQTETKTIPRADVAEVCIQALNFEEVQFKAFDLASKPEGVGTPTKDFKALFANITARF >Vigun10g069700.2.v1.2 pep primary_assembly:ASM411807v1:10:16793463:16798153:1 gene:Vigun10g069700.v1.2 transcript:Vigun10g069700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPKTVLVTGSGGRTGQIVYKKLKERPNQYVTRGLVRTEESKQKIGGANDVFIGDIRDAGSITPAFQGIDALIILTSAVPQMKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDAAKAAGVKHVVLVGSMGGTNTNHPLNSLGNGNILIWKRKAEQYLADSGLPYTIIRPGGLLDKEGGIRELIVGKDDELLQTETKTIPRADVAEVCIQALNFEEVQFKAFDLASKPEGVGTPTKDFKALFANITARF >Vigun04g125700.2.v1.2 pep primary_assembly:ASM411807v1:4:31810854:31822333:-1 gene:Vigun04g125700.v1.2 transcript:Vigun04g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRSPHLQQRTWAEICCFGWSCIQLFWAKLVMRKWLNMGSYDSDYSADPDEDDDDSESASDNEEWGRQSRFADNSGYDDETPSESTDFLPRLRKQKSSTFRSQYINKNELKICVGTWNVGGKLPPDDLVIDDWLGVTEPADIYVLGLQEIVPLNPANIFGAEDTRPVPKWEDIIRETLNRVRPELPKIKSFSDPPSPSKFKPSDDVPDIEEEILLESDSDIGEEVHPLDEDNNFCDGRADGTIIDATETTNLLASDAADIVNSNLPVKTGLQRQFSFPKMFDRQQGLSENMDTSFSQQATKLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSLKSFKSSKSFKTYNSFKSIMGEIPGTELIPEIDLEALIKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISISMSIHQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTQFHSLSYIGLPKRILDHERIIWLGDLNYRINLSNVETKALISKKQWSKLVEKDQLMRELKNGVFGGWSEGILNFPPTYKYEVNSDKYYGEDPKVGKRSPAWCDRILSYGKGMKLLSYRRAELKLSDHRPVTATYMVEVETFSPRKLQRALTFTDAEIENEQAITNSSNWN >Vigun04g125700.4.v1.2 pep primary_assembly:ASM411807v1:4:31810768:31817549:-1 gene:Vigun04g125700.v1.2 transcript:Vigun04g125700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRSPHLQQLFWAKLVMRKWLNMGSYDSDYSADPDEDDDDSESASDNEEWGRQSRFADNSGYDDETPSESTDFLPRLRKQKSSTFRSQYINKNELKICVGTWNVGGKLPPDDLVIDDWLGVTEPADIYVLGLQEIVPLNPANIFGAEDTRPVPKWEDIIRETLNRVRPELPKIKSFSDPPSPSKFKPSDDVPDIEEEILLESDSDIGEEVHPLDEDNNFCDGRADGTIIDATETTNLLASDAADIVNSNLPVKTGLQRQFSFPKMFDRQQGLSENMDTSFSQQATKLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSLKSFKSSKSFKTYNSFKSIMGEIPGTELIPEIDLEALIKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISISMSIHQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTQFHSLSYIGLPKRILDHERIIWLGDLNYRINLSNVETKALISKKQWSKLVEKDQLMRELKNGVFGGWSEGILNFPPTYKYEVNSDKYYGEDPKVGKRSPAWCDRILSYGKGMKLLSYRRAELKLSDHRPVTATYMVEVETFSPRKLQRALTFTDAEIENEQAITNSSNWN >Vigun04g125700.5.v1.2 pep primary_assembly:ASM411807v1:4:31810854:31822333:-1 gene:Vigun04g125700.v1.2 transcript:Vigun04g125700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLNMGSYDSDYSADPDEDDDDSESASDNEEWGRQSRFADNSGYDDETPSESTDFLPRLRKQKSSTFRSQYINKNELKICVGTWNVGGKLPPDDLVIDDWLGVTEPADIYVLGLQEIVPLNPANIFGAEDTRPVPKWEDIIRETLNRVRPELPKIKSFSDPPSPSKFKPSDDVPDIEEEILLESDSDIGEEVHPLDEDNNFCDGRADGTIIDATETTNLLASDAADIVNSNLPVKTGLQRQFSFPKMFDRQQGLSENMDTSFSQQATKLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSLKSFKSSKSFKTYNSFKSIMGEIPGTELIPEIDLEALIKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISISMSIHQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTQFHSLSYIGLPKRILDHERIIWLGDLNYRINLSNVETKALISKKQWSKLVEKDQLMRELKNGVFGGWSEGILNFPPTYKYEVNSDKYYGEDPKVGKRSPAWCDRILSYGKGMKLLSYRRAELKLSDHRPVTATYMVEVETFSPRKLQRALTFTDAEIENEQAITNSSNWN >Vigun04g125700.3.v1.2 pep primary_assembly:ASM411807v1:4:31810854:31822333:-1 gene:Vigun04g125700.v1.2 transcript:Vigun04g125700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRSPHLQQLFWAKLVMRKWLNMGSYDSDYSADPDEDDDDSESASDNEEWGRQSRFADNSGYDDETPSESTDFLPRLRKQKSSTFRSQYINKNELKICVGTWNVGGKLPPDDLVIDDWLGVTEPADIYVLGLQEIVPLNPANIFGAEDTRPVPKWEDIIRETLNRVRPELPKIKSFSDPPSPSKFKPSDDVPDIEEEILLESDSDIGEEVHPLDEDNNFCDGRADGTIIDATETTNLLASDAADIVNSNLPVKTGLQRQFSFPKMFDRQQGLSENMDTSFSQQATKLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSLKSFKSSKSFKTYNSFKSIMGEIPGTELIPEIDLEALIKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISISMSIHQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTQFHSLSYIGLPKRILDHERIIWLGDLNYRINLSNVETKALISKKQWSKLVEKDQLMRELKNGVFGGWSEGILNFPPTYKYEVNSDKYYGEDPKVGKRSPAWCDRILSYGKGMKLLSYRRAELKLSDHRPVTATYMVEVETFSPRKLQRALTFTDAEIENEQAITNSSNWN >Vigun04g125700.1.v1.2 pep primary_assembly:ASM411807v1:4:31810768:31817549:-1 gene:Vigun04g125700.v1.2 transcript:Vigun04g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRSPHLQQRTWAEICCFGWSCIQLFWAKLVMRKWLNMGSYDSDYSADPDEDDDDSESASDNEEWGRQSRFADNSGYDDETPSESTDFLPRLRKQKSSTFRSQYINKNELKICVGTWNVGGKLPPDDLVIDDWLGVTEPADIYVLGLQEIVPLNPANIFGAEDTRPVPKWEDIIRETLNRVRPELPKIKSFSDPPSPSKFKPSDDVPDIEEEILLESDSDIGEEVHPLDEDNNFCDGRADGTIIDATETTNLLASDAADIVNSNLPVKTGLQRQFSFPKMFDRQQGLSENMDTSFSQQATKLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSLKSFKSSKSFKTYNSFKSIMGEIPGTELIPEIDLEALIKRKRRSSYVRIVSKQMVGIFITVWVRRSLRKHIQNLKVSTVGVGIMGYIGNKGSISISMSIHQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTQFHSLSYIGLPKRILDHERIIWLGDLNYRINLSNVETKALISKKQWSKLVEKDQLMRELKNGVFGGWSEGILNFPPTYKYEVNSDKYYGEDPKVGKRSPAWCDRILSYGKGMKLLSYRRAELKLSDHRPVTATYMVEVETFSPRKLQRALTFTDAEIENEQAITNSSNWN >Vigun04g024966.1.v1.2 pep primary_assembly:ASM411807v1:4:1923158:1930035:1 gene:Vigun04g024966.v1.2 transcript:Vigun04g024966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFANALKLNMFRRLTLFFLFSATLLLPTACHVNCNASSMLLGQNHSKIVNKVGDVFTGCDDRKNYSSSRFMGNNSAYVARILNDVSQHVSINSTTTTTTVVCNNTSCVTNETTHTSSSPSPVRFSSPPPPQFSSPPPPLRFSSPPPPLRFSSPPPPRSRRSPPISLSPAPALAPTFSGGSDYTYNTNNHRGTRIIIIIIIIGVVSAVIGVFFFILCCLRRKSLTRPIKFCFTTNNDSNVQEFLRNHGAIAQKRYKFSEVQKMTNSFKVKIGQGGFGTVYEGKLLTGIPVAVKLLNASKRNDEEFINEVVSISKTSHVNIVTLLGFCLEGSKKALIYEFMANGSLDKFIYKREQEPETITSLTWEDLYQIAIGIARGLEYLHRGCSTKILHFDIKPHNILLDENFCPKISDFGLAKLCPRNESIISISGTRGTMGYLAPEMTNRHFGGISQKSDVYSYGMMLLEMVGGRRNINPKADHTSEIYFPHFAYRRLDVDNDVRPYEVMNAEEDEIAKRMSVVGLWCIQSLPNDRPTMSRVVDMLEGNVNSLEMPPKPLFSSPTRSET >Vigun09g207200.2.v1.2 pep primary_assembly:ASM411807v1:9:38182639:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun09g207200.6.v1.2 pep primary_assembly:ASM411807v1:9:38183453:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun09g207200.1.v1.2 pep primary_assembly:ASM411807v1:9:38183081:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun09g207200.3.v1.2 pep primary_assembly:ASM411807v1:9:38183158:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun09g207200.5.v1.2 pep primary_assembly:ASM411807v1:9:38183081:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun09g207200.4.v1.2 pep primary_assembly:ASM411807v1:9:38183007:38188244:1 gene:Vigun09g207200.v1.2 transcript:Vigun09g207200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSSMSSGSGSSRRAFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGEISEDAPIDLEGLDASAAHVANLLSTEPPNIKLGIGGFSMGAATALYSATCHVLGHYRNGNTYPINLSAVVSLSGWLPCSRTLKNQIEQSRDGIRRAASLPLFLCHGKGDDVVAYEHGERSAMALSSAGFPNLMFRSYNGLGHYTVPEETDEVCRWLTSNLGLEGFRLN >Vigun02g010150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4005608:4005907:1 gene:Vigun02g010150.v1.2 transcript:Vigun02g010150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding CLTFLIPKLSVYVAFIILIILNEMVIGGSGKGKFASCAHALNWRNILNCVASFSLVSSAQVSSSNGFTQAQRHLYHKAFSSPKGYPSIKVGVVGRSGSG >Vigun10g056800.2.v1.2 pep primary_assembly:ASM411807v1:10:10479497:10493696:-1 gene:Vigun10g056800.v1.2 transcript:Vigun10g056800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSSAESEEQSKQKRKAETVPFRKLFAFADCADITLMVVGSVGAIGNGLGFPLMTLLFGEIIDAFGANQNSPGIVEKVSQVSLKFVYLALGSGVAAFLQVTCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRISGDTVLIQDAMGEKVGKFVQLIATFVGGFAIAFVKGWLLTLVMLCLFPLLVLSGAAMAIMQGRMASRGQAAYAKAAHIVQQTIASIRTVASFTGEKQALSDYRKFLVEAYVTGVHEGSVAGVALGTVMLLVFSGYALAVWFGAKMIIDNGEKYNGGTVLNVIASLLTASMSLGEASPIMSAFAAGQAAAYKMFETIARKPEIDAYDPNGKILEDIEGELELRDVCFSYPARPEELILNRFSLYISSGTSVALVGQSGSGKSTVISLIERFYDPQEGQVLIDGISLKEFQLRWMRGKIGLVNQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTMVGEQGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERTVQEALDRVMVNRTTVIVAHRLSTVRNADSIVVIHRGKVVEKGTHSELVKDLEGAYTQLIRLQEVNKESEETTSNHSKSELSTESSTQLTKKRSTRRSISRGSSLGSSSRHSFSLSGLPKGVSVPDTESEDALLETQAQEVSLRRLASLNKPEVPVLLIGCLAAIANGVILPIFGVLISIAVKIFYEPVDEMKKDSKFWALMFVVLGLASFLVIPARAYFFSVAGCKLIQRIRVICFEKVVNMEVGWFDEPSNSSGAISARLSAIAASVRALVGDALGLLVQNLTCALAGLIIAFIACWQLALIILVLIPLIGANGYVQTKFMKGFSKDAKMMYEGASQVAKDAVGNIRTVASFCAEDKVMELYRKKCEDPMKTGIWQGLISGLGFGVSFFLLFCVYATSFYAGARLVAAGETTFSKVFRVFFALTMAAIGVSQFSTISPDSGKAKSATAAIFGIIDKKSEIDPSDETGTTLDIVEGEIELRHVSFNYPSRPKIQIFRDLNLIFHSGKTVALVGESGCGKSTVISLLQRFYVPNSGEITLDGVPIGELQLKWFRQQMGLVSQEPVLFNDTIRGNIAYGKGGEASEAEIIAAAEQANAHVFISALQQSYDTVVGERGTQLSGGQKQRVAIARALIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSAIKNADLIAVVKDGLIVEKGSHETLVKVKDGFYASLVQLHSNASKTRVP >Vigun10g056800.1.v1.2 pep primary_assembly:ASM411807v1:10:10479497:10493525:-1 gene:Vigun10g056800.v1.2 transcript:Vigun10g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSSAESEEQSKQKRKAETVPFRKLFAFADCADITLMVVGSVGAIGNGLGFPLMTLLFGEIIDAFGANQNSPGIVEKVSQVSLKFVYLALGSGVAAFLQVTCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRISGDTVLIQDAMGEKVGKFVQLIATFVGGFAIAFVKGWLLTLVMLCLFPLLVLSGAAMAIMQGRMASRGQAAYAKAAHIVQQTIASIRTVASFTGEKQALSDYRKFLVEAYVTGVHEGSVAGVALGTVMLLVFSGYALAVWFGAKMIIDNGEKYNGGTVLNVIASLLTASMSLGEASPIMSAFAAGQAAAYKMFETIARKPEIDAYDPNGKILEDIEGELELRDVCFSYPARPEELILNRFSLYISSGTSVALVGQSGSGKSTVISLIERFYDPQEGQVLIDGISLKEFQLRWMRGKIGLVNQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTMVGEQGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERTVQEALDRVMVNRTTVIVAHRLSTVRNADSIVVIHRGKVVEKGTHSELVKDLEGAYTQLIRLQEVNKESEETTSNHSKSELSTESSTQLTKKRSTRRSISRGSSLGSSSRHSFSLSGLPKGVSVPDTESEDALLETQAQEVSLRRLASLNKPEVPVLLIGCLAAIANGVILPIFGVLISIAVKIFYEPVDEMKKDSKFWALMFVVLGLASFLVIPARAYFFSVAGCKLIQRIRVICFEKVVNMEVGWFDEPSNSSGAISARLSAIAASVRALVGDALGLLVQNLTCALAGLIIAFIACWQLALIILVLIPLIGANGYVQTKFMKGFSKDAKMMYEGASQVAKDAVGNIRTVASFCAEDKVMELYRKKCEDPMKTGIWQGLISGLGFGVSFFLLFCVYATSFYAGARLVAAGETTFSKVFRVFFALTMAAIGVSQFSTISPDSGKAKSATAAIFGIIDKKSEIDPSDETGTTLDIVEGEIELRHVSFNYPSRPKIQIFRDLNLIFHSGKTVALVGESGCGKSTVISLLQRFYVPNSGEITLDGVPIGELQLKWFRQQMGLVSQEPVLFNDTIRGNIAYGKGGEASEAEIIAAAEQANAHVFISALQQSYDTVVGERGTQLSGGQKQRVAIARALIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSAIKNADLIAVVKDGLIVEKGSHETLVKVKDGFYASLVQLHSNASKTRVP >Vigun10g056800.4.v1.2 pep primary_assembly:ASM411807v1:10:10479497:10493696:-1 gene:Vigun10g056800.v1.2 transcript:Vigun10g056800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSSAESEEQSKQKRKAETVPFRKLFAFADCADITLMVVGSVGAIGNGLGFPLMTLLFGEIIDAFGANQNSPGIVEKVSQVSLKFVYLALGSGVAAFLQVTCWMVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRISGDTVLIQDAMGEKVGKFVQLIATFVGGFAIAFVKGWLLTLVMLCLFPLLVLSGAAMAIMQGRMASRGQAAYAKAAHIVQQTIASIRTVASFTGEKQALSDYRKFLVEAYVTGVHEGSVAGVALGTVMLLVFSGYALAVWFGAKMIIDNGEKYNGGTVLNVIASLLTASMSLGEASPIMSAFAAGQAAAYKMFETIARKPEIDAYDPNGKILEDIEGELELRDVCFSYPARPEELILNRFSLYISSGTSVALVGQSGSGKSTVISLIERFYDPQEGQVLIDGISLKEFQLRWMRGKIGLVNQEPVLFASSIKDNIAYGKEGATIEEIRSASELANAAKFIDKLPQGLNTMVGEQGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERTVQEALDRVMVNRTTVIVAHRLSTVRNADSIVVIHRGKVVEKGTHSELVKDLEGAYTQLIRLQEVNKESEETTSNHSKSELSTESSTQLTKKRSTRRSISRGSSLGSSSRHSFSLSGLPKGVSVPDTESEDALLETQAQEVSLRRLASLNKPEVPVLLIGCLAAIANGVILPIFGVLISIAVKIFYEPVDEMKKDSKFWALMFVVLGLASFLVIPARAYFFSVAGCKLIQRIRVICFEKVVNMEVGWFDEPSNSSGAISARLSAIAASVRALVGDALGLLVQNLTCALAGLIIAFIACWQLALIILVLIPLIGANGYVQTKFMKGFSKDAKMMYEGASQVAKDAVGNIRTVASFCAEDKVMELYRKKCEDPMKTGIWQGLISGLGFGVSFFLLFCVYATSFYAGARLVAAGETTFSKVFRVFFALTMAAIGVSQFSTISPDSGKAKSATAAIFGIIDKKSEIDPSDETGTTLDIVEGEIELRHVSFNYPSRPKIQIFRDLNLIFHSGKTVALVGESGCGKSTVISLLQRFYVPNSGEITLDGVPIGELQLKWFRQQMGLVSQEPVLFNDTIRGNIAYGKGGEASEAEIIAAAEQANAHVFISALQQSYDTVVGERGTQLSGGQKQRVAIARALIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSAIKNADLIAVVKDGLIVEKGSHETLVKVKDGFYASLVQLHSNASKTRVP >Vigun11g115500.2.v1.2 pep primary_assembly:ASM411807v1:11:31952995:31955376:1 gene:Vigun11g115500.v1.2 transcript:Vigun11g115500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQIIHEQIVEEEARFEAEVAEVQAWWNSERFKLTKRPYSERDVVSLRGNLRQSYGSGEMAKKLWRILKNHQANGTASRTFGALDPVQVTQMAKHLDTIYVSGWQCSATHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQKEERMNMSREERARTPYVDYMRPIIADGDTGFGGTTATVKLCKLFVERGAAGIHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAANLIQSNIDTRDHQFILGVTNPSLRGRSLAGLMAKGMAGGRSGAELQALEDEWLSKAELKTLSEAVMEAIEKQEIGEEEKRRKLNEWMHLSSYERCISNEEGREIAERLGVRKLFWDWDLPRTREGFYRFKKCVTASVVRGWAFAPHADVIWMETARPDVGECREFSEGVRSKHPEMMLGYNLSPSFNWDASGMSDEEMREFIPKIAKLGYVWQFITVGGLHSNALITSTFARDFAKRGMLAYVEKIQREERNNGVDTLAHQKWAGANYYDRYLKTVQGGVASTAAMGKGVTEEQFKESWTRPGAVDIGGGTIVVAKARM >Vigun11g115500.1.v1.2 pep primary_assembly:ASM411807v1:11:31952089:31955376:1 gene:Vigun11g115500.v1.2 transcript:Vigun11g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFMPSSIVEEEARFEAEVAEVQAWWNSERFKLTKRPYSERDVVSLRGNLRQSYGSGEMAKKLWRILKNHQANGTASRTFGALDPVQVTQMAKHLDTIYVSGWQCSATHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQKEERMNMSREERARTPYVDYMRPIIADGDTGFGGTTATVKLCKLFVERGAAGIHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAANLIQSNIDTRDHQFILGVTNPSLRGRSLAGLMAKGMAGGRSGAELQALEDEWLSKAELKTLSEAVMEAIEKQEIGEEEKRRKLNEWMHLSSYERCISNEEGREIAERLGVRKLFWDWDLPRTREGFYRFKKCVTASVVRGWAFAPHADVIWMETARPDVGECREFSEGVRSKHPEMMLGYNLSPSFNWDASGMSDEEMREFIPKIAKLGYVWQFITVGGLHSNALITSTFARDFAKRGMLAYVEKIQREERNNGVDTLAHQKWAGANYYDRYLKTVQGGVASTAAMGKGVTEEQFKESWTRPGAVDIGGGTIVVAKARM >Vigun07g019500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1610732:1612038:-1 gene:Vigun07g019500.v1.2 transcript:Vigun07g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIRPAVSHRRMPCLGQSEPGTRLGEAVGGTAAVCCCFSFGLANMVYVAVYKVPARLYQKMLQRKRQRQLKSFKERLEAAAAAAHVRRCTCGACDDIMGAGCVYPLCIDDVVDVAALRRRSEAENDAELLELENMMWERFRGTGFWRSPSQIERNVIAASSSSSSSASSASNVQVPL >Vigun04g161400.1.v1.2 pep primary_assembly:ASM411807v1:4:38365992:38371839:-1 gene:Vigun04g161400.v1.2 transcript:Vigun04g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMTPSRVTGGLTQSSSHSGIFFQGDGQSQSVVNTHLSSSIVNSSSTVTGARRTNLGPVSGDMNNVVLNSVANSAPSVGASSLVTDANSALSGGPHLQRSASVNADSYLRLPASPMSFTSNNISISGSSVIDGSSVVQQSSHQDHNVQQLQQNQQQLQGAASATSLPASQTGPSSLHMGAHVPGSFIHDPNNVSQLSKKPRMDIKQEDIMQQQQQQVMQQILQRQDSMQLQGRNPQLQALFQQHQRLRQQQIFQSMPQLQRMHLQQQHQQQQQLQQQQMQYRQQLQQQVMQPSSAVKRPGDNGVSGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSPRAKKRWCLSLYNNVGHHALGVFPQAATDAWQCDICGCKSGRGFEATYEVLPRLNEIKFGGGVIDELLFLDLPREIRFSSGAMMLEYAKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARQHEELLPRRLVTPQVNHLVNVAQKCQSTIAESGADGVSQQDLQSKSSTVLTTGRQLAKMLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLIDICDEQNVGAIESLKSYPLLTNASKLQMQKMQEMEQLASAHGLPTDRNTLNKLMAMNPGLNNQINNGQNVVNRGALSGSAHSAVLTNYQNLLMRQNSMNSSPGSLQREGSSFNNSNPSPSSSMQGAGPSLVPGSMQNSPVGGFPGSHQTLQQQLPLPQLLQQRTLSANGLLQQNHPQGSQGNQALQQQQQMIHQLVKEVSNNNGGMQSQPLVGPIANGNMAKNALGFGGNTPSISGGGSASVPRNNGPISRNNSFKTASNSDSSAAAGNSGFNPRTSDMPQSLHLQDVVQDIGHEFADNPFFNSDLDDDMGFGWKA >Vigun03g091700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7740881:7741955:1 gene:Vigun03g091700.v1.2 transcript:Vigun03g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCLSYLTPILLAYVVSIILIFLNETVIGGSVPGKFASCARAVNWRNISKKHSALVRFPSSFSFLASFFFEQVTQAQRHLYRKSFFFPKRLSFHKGWCCGSQWKQIAEFSRVVWVVGGGGFDGKWWVPFGALLGRTLVGVVVGLLKAIHTTNIAIT >Vigun06g008100.1.v1.2 pep primary_assembly:ASM411807v1:6:4001350:4004658:1 gene:Vigun06g008100.v1.2 transcript:Vigun06g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKRQNEEPELEPSAGEDDGIILSQQLFCTPDYLTPEDQFPLKGFHSDIDKVEEDDPCPKSPRKEKPYETKFKRRRVEDGDTLSFTDDGEPVVKLGSNHEPFVGFESHFDRVEDFHILRTHTSRSAGCRPPLCNRNSFLNSNSEDEENIFRIQTLKWNDYGNGPPSIIGSNGSSRYINDFREIKEIGKGNFNKVFKVMNRLDGCMYAVKRTIERLRTEPERLKAFNEVQSLAAIGRHKNIVTYYYSWIEVEQMYTQMEFCDHNLSYENCPILLTERHELQVLYQVSSALQFIHEKGIAHLHVKPQNIYVKDGIYKLGGFRCATLLDNSLHVEAGDAQYMPPEILNENYDHLGKADIFSLGASMFDIIQRSRLPKAETEFSKLKEGKVPHLPGVTIQFQNLLKVMMDPDPLKRPSATEILGNSIFRGSLKMT >Vigun05g046500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3891293:3894613:1 gene:Vigun05g046500.v1.2 transcript:Vigun05g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPYTKPHFPLSRIQPKPNNQGKSCSGFIVKALFLALFIIVLPLFPSQAPDFVSQTILNKFWELLHLLFIGIAVTYGLFSRRNSELDTHLEIETTLSSADDNAVAPSYVSKVFPVSTIFDDAYENENAIENPCGIGENRMKMMMHCWNPQYFDAGAGVVSSNGGGTVGVFDEQYKTHLPISEPSFGYSSVGCDGNGTNVVQAWNSEYYHSEPVVVVAQPNYNTGECGEVVDYKPLGLPIRSLRSVARDVDSPKYANESDSSSGSRGSSRASDKSRDKEFRDLGPSNLETQFSDAGGGGGGSTSPIPWRSRNRKMDREKIYGNDTGHAHFRPLSVDETKFETPFFPSHNMYSSLDSISRDNINAQEEEVKQLRASYVSAAEKKNFQQEDVGQLNTSFVSVSEIMNFLDEDVGTRKASYDPISENTSFQKIDLGKKIFHGSSSKNRRMGIKGKYGAASFPSHFRPMSVDETQFDSLGSKSFESVRPFSSHTRIYSSLDSISSDMDFQEEDMGQKTSRMHATENMNFEEEDMGQKKTSYLQASENVNFQAEDMEQKQTSYVPASENMNFQEVDLGKKNFQMSSRNDMLESKGKYVADSRPSHLRSMPVDETQLELLSSRSFQSMGSFSSQSSLSSSLDSVLSENMNLEKEDLGEKKSSHGSSSSSPSPLPRRNSEASLQAFQAQGYGNGSSLPDDIKNSLSDDLRSLNEIGGEDPPSNKESRIHALQSDSEKPASLVKAPSRGKSVRTRRTSGLISGTMRIGETSSKQSDEKVEKNVNNVESVLKKDKMNSGEPLKGASKKTLDFYCPKPEIKFSNHRTRDKLEETKSLSKQDSDIELENTRMSSDESGVPEFVNDSDLDSEVDKKASEFIAKFKAQIRLQKMGSIDRAKEQKTIGNKMIR >Vigun01g093400.2.v1.2 pep primary_assembly:ASM411807v1:1:25599828:25601749:-1 gene:Vigun01g093400.v1.2 transcript:Vigun01g093400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMFICIGTAVSLAVGVIALVSALIQSLYGCWASPRFEYGTRILSVSIAFPPNKTQGLTLYSILIGILYCCFLVAGIGGARAIENRTKLSPFFIFLILLSLGWTMQFLKNAMYVTISRVKYMAFSGGVDMDTRIAFCDTIKHLTGCVSIGSILVPIIVLFRGFARATSLVGGDTDEFMFSCVSCYTGIASLLVIRGNRWGLVHVGVYNKGFVQASSDTWEMFNRVGLVELIDLDLTGVFCFLSGVGTGAICSLVGGIWSLVTHKSYATEVSIYAFLIGYFMCRLAIAWAQACVAAYYVAYAENPQSTHFDSTIPVRLEQLNRSQALQIFGANNFS >Vigun01g093400.1.v1.2 pep primary_assembly:ASM411807v1:1:25599828:25602272:-1 gene:Vigun01g093400.v1.2 transcript:Vigun01g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLNNSNPTTQSQSIQIQAQLPQQRLPFTVPIKAQDSNFPNRTTVAGQTARRVFQILFYLYLFLVSVLVIFLVIYGLVSDAHTHHFHPQKWYPPLLASTACAGIVGFTWQRITARHSTRVVRLAFWLSPLLTCAMGIMFICIGTAVSLAVGVIALVSALIQSLYGCWASPRFEYGTRILSVSIAFPPNKTQGLTLYSILIGILYCCFLVAGIGGARAIENRTKLSPFFIFLILLSLGWTMQFLKNAMYVTISRVKYMAFSGGVDMDTRIAFCDTIKHLTGCVSIGSILVPIIVLFRGFARATSLVGGDTDEFMFSCVSCYTGIASLLVIRGNRWGLVHVGVYNKGFVQASSDTWEMFNRVGLVELIDLDLTGVFCFLSGVGTGAICSLVGGIWSLVTHKSYATEVSIYAFLIGYFMCRLAIAWAQACVAAYYVAYAENPQSTHFDSTIPVRLEQLNRSQALQIFGANNFS >Vigun02g125500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27778193:27780091:-1 gene:Vigun02g125500.v1.2 transcript:Vigun02g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCVSLSAIFAIWCLVLLGAESKTHWEDAEVLKELKRGLEPASVIPGSCLSSWDFTVDPCDNLFGEKFTCGFRCDLVVSGLSRVTELALDQAGYVGSLTFTWNLPFLQTLDLSKNHFSAQIPDSFSNLTRLRRLSLSSNSFSGEIPPSLATLPTLEELYLDNNNLIGTIPQGFHNLKRLELQSNKLSTYLSNFDSFTNLKYLDLSDNSIAGVLPASLPVSLVQISIRNNNLSGVLSSESFRNLTRLQVVDFSSNRISGSIPSVFFELPSLQQLTLSFNEFTRVEAPYKGVESRSGLIAVDLSNNSLEGFLPSFMAMMPKLSSLSLENNEFTGRIATQFAVKTVSPQTGVSQLGRLLLGGNYLVGGIPRPLLTLKRDSANVSLVDNCLYRCPHSFFFCQGGQQKPLKQCNRFVSTSE >Vigun09g269900.1.v1.2 pep primary_assembly:ASM411807v1:9:43246501:43251362:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun09g269900.6.v1.2 pep primary_assembly:ASM411807v1:9:43246651:43251362:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun09g269900.2.v1.2 pep primary_assembly:ASM411807v1:9:43245865:43251393:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun09g269900.8.v1.2 pep primary_assembly:ASM411807v1:9:43246487:43251393:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun09g269900.7.v1.2 pep primary_assembly:ASM411807v1:9:43245897:43251393:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun09g269900.4.v1.2 pep primary_assembly:ASM411807v1:9:43246651:43251362:1 gene:Vigun09g269900.v1.2 transcript:Vigun09g269900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTGEELVKWEKMGGMGGHEEKILVLVRLRPLNQKEVDANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGVKAIALSVVGGINSSIFAYGQTSSGKTYTMIGITEYAVADIFDYIRKHEERAFVLKFSAIEIYNENIRDLLSSENTPLRLRDDPERGPIIEKLIEETLRDWTHLKELLLFCEAQRQVGETYLNDKSSRSHQIIRLTIESSAREFMGKSSSTTLAATVNFVDLAGSERASQALSAGSRLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRILQPSLGGNSRTAIICTLSPARCHVEQTRNTLLFACCAKQVTTKAQVNVVMSDKVLVKQLQKEVARLESELRTPCPPSTNCDCAAIMRKKNLRIEKMEREIQELIKQRDLAQSQVEDLLRMVGNDQNSKKERVDTWEDEDSISESSSIYPSDLRIGEFNNPHYINENGESSPDKHPDEYCTEIQSVGLEESSKDGLEYPDPVLALTWYADENVTSQEILTPVAEDSEERLNQDNATDGVLEQSNDSPLSMSGTVSNCRNLKLTRSWSCREFYTTGSPGNVGEIVRTPASSFEKCFPGRPDGLPRKFLPLTYSASTKFSMNGSPPSIGTPSTDDLRTNSTRTSTNEDITSLQTFVAGMKEMVKLEYEKRLVDDDQVKEAETTYFRFEKNMKDVGVDSTLEAGGGPVEWPLQFKQQQTEILELWEACNVSLFHRTYFFLLFRGDPTDSIYMEVERRRLCFLKGTFGGGNQWVKDGPTVTLASSAKGVERERETLVKLMKRRLSEEERQKVFGKWGIALDSKRRRKQLANRVWSNTDMKHVMESAAVVAKLVRFTWQGDNALKEMFALSFSPHRMSCSSKTLF >Vigun08g046200.1.v1.2 pep primary_assembly:ASM411807v1:8:4930372:4933856:-1 gene:Vigun08g046200.v1.2 transcript:Vigun08g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPFQNAIIFWWLICGIMFNHICCSFNIGCHQQDMHALLNFKQGVTDTSGVLSSWSTKLDCCEWKGVICNNITSRVTGITLTCFTTLPTYRDQVEKSHCLTGVFHS >Vigun10g027700.1.v1.2 pep primary_assembly:ASM411807v1:10:3347771:3350706:-1 gene:Vigun10g027700.v1.2 transcript:Vigun10g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAYKCRNCQTPIAYRDELLSKNYMAKTGQAFMFSHARNIVFGQKQETNLITGVYTIAGIFCSKCGEELGWKYLQASEARQKFKEGKFIIECSKISKEY >VigunL079600.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000592.1:33583:35400:-1 gene:VigunL079600.v1.2 transcript:VigunL079600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMAEHFCAGCNRLRLLADGNFKVCLFGPSEVSYIQIIKAQCTMLVP >VigunL079600.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000592.1:32540:35400:-1 gene:VigunL079600.v1.2 transcript:VigunL079600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVVR >VigunL079600.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000592.1:33583:35400:-1 gene:VigunL079600.v1.2 transcript:VigunL079600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVVR >Vigun07g239400.2.v1.2 pep primary_assembly:ASM411807v1:7:36072816:36077589:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVSLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRAENAGKLVVVIFPSFGERYLSSVLFESVRREAESLTFES >Vigun07g239400.9.v1.2 pep primary_assembly:ASM411807v1:7:36074589:36077424:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVSLLDEVVQPIFATK >Vigun07g239400.5.v1.2 pep primary_assembly:ASM411807v1:7:36073475:36077037:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPDCHCEHLGPHKIQGIGAGFVPGVLEVSLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRAENAGKLVVVIFPSFGERYLSSVLFESVRREAESLTFES >Vigun07g239400.3.v1.2 pep primary_assembly:ASM411807v1:7:36073475:36077423:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVSLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRAENAGKLVVVIFPSFGERYLSSVLFESVRREAESLTFES >Vigun07g239400.7.v1.2 pep primary_assembly:ASM411807v1:7:36073475:36077037:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVSLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRAENAGKLVVVIFPSFGERYLSSVLFESVRREAESLTFES >Vigun07g239400.8.v1.2 pep primary_assembly:ASM411807v1:7:36074589:36077424:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVSLLDEVVQPIFATK >Vigun07g239400.6.v1.2 pep primary_assembly:ASM411807v1:7:36073475:36077423:-1 gene:Vigun07g239400.v1.2 transcript:Vigun07g239400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGIAKDVTELIGKTPLVYLTKITEGSVARVAAKLELMEPCSSVKDRIAFSMIADAEEKGIITPGQSTLIEATSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVHKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWEGSGGEVDALISGIGTGGTITGAGKFLKEQNHNIKLYGVEPVESPVLSGGKPDCHCEHLGPHKIQGIGAGFVPGVLEVSLLDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRAENAGKLVVVIFPSFGERYLSSVLFESVRREAESLTFES >Vigun10g039600.4.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQDLRWLKVLNLSHSKYLIETPDFSGLPSLEQLILKDCTGLREVHQSIGCLCNLTLLNLKDCTRLRNLPRDISVSQIFEVFWCNVTPSFNYLNK >Vigun10g039600.7.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQNQEFQSSNSGLL >Vigun10g039600.6.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQVFYYFQFSIKPQHLTKIQIVTYYCFISTFFSNVCPKTYLGVGFEVAEGP >Vigun10g039600.2.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQVLANSESCDVSLPSDNLPYWLAYMGEGNSVSFTVPWDRDMKGMALSVVYLSTPEIVATECLTGVLIVNYTKCTLQIHNYDTITSFNDIDWQCIMSNLGPKDKVEIFVTFGDELVVKNTILYLICGESNYLKKEIESKKNCLLRFITKIVMCDFW >Vigun10g039600.3.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQDLRWLKVLNLSHSKYLIETPDFSGLPSLEQLILKDCTGLREVHQSIGCLCNLTLLNLKDCTRLRNLPRDICKMKSLKTLILSGCPMIDLLEKDVVQMESLRTLIAENTTMKHVPFSIVSSKSIGHISLCGFERLSRNFFPSIIRSWMSPVMNPISYIHSLCMDMNNNWDDIAPLLSSLTNLRSILVQCDSEFQLSEQVKFILVEYFANFTESGISKQQFRSPLIGLGTYQEFFNSVSDNISEVLL >Vigun10g039600.5.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQVFYYFQFSIKPQHLTKIQIVTYYCFISTFFSNVCPKTYLGVGFEVAEGP >Vigun10g039600.1.v1.2 pep primary_assembly:ASM411807v1:10:5399014:5403020:1 gene:Vigun10g039600.v1.2 transcript:Vigun10g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSIEVASSTTKLPRKYDVLINFNGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYSQSAWCLHQLQQIIQWHQTYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPVMSATKFPVGLQSNVEDLIRTIKDKSSEVCIVGITGAGGSGKTTLAKAIYNQIHDTFREKSFIEDIGQVSRPRGHRGLQEQFLFDVLKTKVEITSVDMGRRMIRERLTGRRVFLVLDDLTENFTLFDLWECREWFSGGTVIIITTRDVDLPRILKVDSVFGIKLMSAKESLELLSWHAFREPKPKEEYNDLAESVVTHCGGLPLALEVVGNCLFERTKKEWKSVLLKLEKIPLHNVQQKLKISFDGLRNEIEKDLFIDICCSFVGEGRAYVTKILNDCGVDADSGIRVLIECSLITVKKNNKLGMHPLLQEMGREIIREIDEEEFWREWPPGFDDAEYVLTDNTGRRAIERLPVKLRSVRREPSRLLKHTENSDSLSKKLRWISLDWFSSEYLPDEFYLHEAIAIDLKHSDLRFVLKEPQDLRWLKVLNLSHSKYLIETPDFSGLPSLEQLILKDCTGLREVHQSIGCLCNLTLLNLKDCTRLRNLPRDICKMKSLKTLILSGCPMIDLLEKDVVQMESLRTLIAENTTMKHVPFSIVSSKSIGHISLCGFERLSRNFFPSIIRSWMSPVMNPISYIHSLCMDMNNNWDDIAPLLSSLTNLRSILVQCDSEFQLSEQVKFILVEYFANFTESGISKQQFRSPLIGLGTYQEFFNSVSDNISEVLANSESCDVSLPSDNLPYWLAYMGEGNSVSFTVPWDRDMKGMALSVVYLSTPEIVATECLTGVLIVNYTKCTLQIHNYDTITSFNDIDWQCIMSNLGPKDKVEIFVTFGDELVVKNTILYLICGESNYLKKEIESKKNCLLRFITKIVMCDFW >Vigun09g278800.2.v1.2 pep primary_assembly:ASM411807v1:9:43852815:43858762:-1 gene:Vigun09g278800.v1.2 transcript:Vigun09g278800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAIGNLLQGWDNATIAGSILYIKREFLLESQPTVEGLIVAMSLIGATVVTTCSGALSDLLGRRPMLIISSLLYFVSSLVMLWSPNVYILLFARLLDGLGIGLAVTLVPLYISETAPPEIRGLLNTLPQFTGSAGMFLSYCMVFGMSLTKAPSWRLMLGVLSIPSLVYFALALFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGDTAIEEYIITPVDELGDEEDPYREKDQIKLYGPEQGQSWVARPAAPGQSSIGLVSRKGSVANQSGLVDPLVTLFGSVHEKHTETGSMRSTLFPHFGSMFSVGGNQPRNEDWDEESFAREGDDYVSDAAVGDSDDNLQSPLISRQTTSLDKDIPPTAHSNLSSTRQGSLLHGNAGEPTGSTRIGSGWQLAWKWTEREDPNGNKEGGFKRIYLHQEGGPGLRGSVVSLPGGDLPNDGETVQAAALVSQPALYNKDVMRQRPVGPAMIHPSETTAKGPNWSDLSEPGVKHALMVGVGLQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSSSFLISAVTTLLMLPCIAVAMRLMDISGRRTLLLSTIPVLIASLIILVLGSFVDLGTTANASISTISVIVYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLSGVFGIYAVVCFIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQVEDAKVQLT >Vigun09g278800.1.v1.2 pep primary_assembly:ASM411807v1:9:43852815:43858755:-1 gene:Vigun09g278800.v1.2 transcript:Vigun09g278800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAIGNLLQGWDNATIAGSILYIKREFLLESQPTVEGLIVAMSLIGATVVTTCSGALSDLLGRRPMLIISSLLYFVSSLVMLWSPNVYILLFARLLDGLGIGLAVTLVPLYISETAPPEIRGLLNTLPQFTGSAGMFLSYCMVFGMSLTKAPSWRLMLGVLSIPSLVYFALALFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGDTAIEEYIITPVDELGDEEDPYREKDQIKLYGPEQGQSWVARPAAPGQSSIGLVSRKGSVANQSGLVDPLVTLFGSVHEKHTETGSMRSTLFPHFGSMFSVGGNQPRNEDWDEESFAREGDDYVSDAAVGDSDDNLQSPLISRQTTSLDKDIPPTAHSNLSSTRQGSLLHGNAGEPTGSTRIGSGWQLAWKWTEREDPNGNKEGGFKRIYLHQEGGPGLRGSVVSLPGGDLPNDGETVQAAALVSQPALYNKDVMRQRPVGPAMIHPSETTAKGPNWSDLSEPGVKHALMVGVGLQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSSSFLISAVTTLLMLPCIAVAMRLMDISGRRTLLLSTIPVLIASLIILVLGSFVDLGTTANASISTISVIVYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLSGVFGIYAVVCFIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQVEDAKVQLT >Vigun09g278800.4.v1.2 pep primary_assembly:ASM411807v1:9:43850278:43858750:-1 gene:Vigun09g278800.v1.2 transcript:Vigun09g278800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAIGNLLQGWDNATIAGSILYIKREFLLESQPTVEGLIVAMSLIGATVVTTCSGALSDLLGRRPMLIISSLLYFVSSLVMLWSPNVYILLFARLLDGLGIGLAVTLVPLYISETAPPEIRGLLNTLPQFTGSAGMFLSYCMVFGMSLTKAPSWRLMLGVLSIPSLVYFALALFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGDTAIEEYIITPVDELGDEEDPYREKDQIKLYGPEQGQSWVARPAAPGQSSIGLVSRKGSVANQSGLVDPLVTLFGSVHEKHTETGSMRSTLFPHFGSMFSVGGNQPRNEDWDEESFAREGDDYVSDAAVGDSDDNLQSPLISRQTTSLDKDIPPTAHSNLSSTRQGSLLHGNAGEPTGSTRIGSGWQLAWKWTEREDPNGNKEGGFKRIYLHQEGGPGLRGSVVSLPGGDLPNDGETVQAAALVSQPALYNKDVMRQRPVGPAMIHPSETTAKGPNWSDLSEPGVKHALMVGVGLQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSSSFLISAVTTLLMLPCIAVAMRLMDISGRRTLLLSTIPVLIASLIILVLGSFVDLGTTANASISTISVIVYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLSGVFGIYAVVCFIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQVEDAKVQLT >Vigun09g278800.3.v1.2 pep primary_assembly:ASM411807v1:9:43849830:43858750:-1 gene:Vigun09g278800.v1.2 transcript:Vigun09g278800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAIGNLLQGWDNATIAGSILYIKREFLLESQPTVEGLIVAMSLIGATVVTTCSGALSDLLGRRPMLIISSLLYFVSSLVMLWSPNVYILLFARLLDGLGIGLAVTLVPLYISETAPPEIRGLLNTLPQFTGSAGMFLSYCMVFGMSLTKAPSWRLMLGVLSIPSLVYFALALFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGDTAIEEYIITPVDELGDEEDPYREKDQIKLYGPEQGQSWVARPAAPGQSSIGLVSRKGSVANQSGLVDPLVTLFGSVHEKHTETGSMRSTLFPHFGSMFSVGGNQPRNEDWDEESFAREGDDYVSDAAVGDSDDNLQSPLISRQTTSLDKDIPPTAHSNLSSTRQGSLLHGNAGEPTGSTRIGSGWQLAWKWTEREDPNGNKEGGFKRIYLHQEGGPGLRGSVVSLPGGDLPNDGETVQAAALVSQPALYNKDVMRQRPVGPAMIHPSETTAKGPNWSDLSEPGVKHALMVGVGLQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSSSFLISAVTTLLMLPCIAVAMRLMDISGRRTLLLSTIPVLIASLIILVLGSFVDLGTTANASISTISVIVYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLSGVFGIYAVVCFIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQVEDAKVQLT >Vigun10g103100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29567912:29568770:-1 gene:Vigun10g103100.v1.2 transcript:Vigun10g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNLKFVFVVVCLAVCIGTTWGDVLESAKGSAHDAKEAAAPTFKAVGDTASSAAESAKEVTAPAVDAATPAVQTIGEKTESFAQWAYGKISGGLGLSSEDEKPQSK >Vigun03g272800.1.v1.2 pep primary_assembly:ASM411807v1:3:44806091:44811811:-1 gene:Vigun03g272800.v1.2 transcript:Vigun03g272800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELELEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFDVTYVQCGEAELEKKIDEKIEQFVCWVEKHPNKKSQICLSFYEVKNKQASWFSNKIERLYWEQWYINLNVAQHKVHSSKYHHPKVVDPGEGALEDRNARSAALEASLREVLFQIIKFVNEKKDHVPPIPNLEGAVSFPYEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >Vigun01g161400.1.v1.2 pep primary_assembly:ASM411807v1:1:34327395:34329873:1 gene:Vigun01g161400.v1.2 transcript:Vigun01g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALTVGVFGVLVLFHAAYSTIHYRGLLKITEEEFSGPPYNVVIELFVGLMLCFWAALTVPGKFKSIHPHSEENRIVSLPANLDFMIFNHRGKVFPVELDAKLRQ >Vigun05g026900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2175852:2180137:-1 gene:Vigun05g026900.v1.2 transcript:Vigun05g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLDTCVHDEMVVATFKGPPSECCENKKMERKPSGRRRVFVQTDTGCVLGMELDRCDNAHTVKRKLQVAFNVPTQESSLTCGDMVLKNDLSVVRNDSPLLLTKTFLHRSSSTPCLSPTGRDLQQRDQGGPIEVIGCSDMFAETKQLVKDIMKAIKVGIEPIPIHGGLGGAYFFRNSNGENVAIVKPTDEEPYAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHDHFANVPCTALVKVRHSIFNVNDRVNGSMHHNKKQISKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDVRILNTDRHAGNLLVRKLEGSGRFDQVELFPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELDYIYNLDPFRDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFQGHEEEPSELELICIETKKQLDQEELFSFEAEVVDKDVIQFELDCEYQDLNLNTKVEEKLSVMSPFQVGARNGVVRNTLCKLEESVMEEDETGSEGELPPLGGHEYNGVGNGVPNVSKLSLSVKNTRAGEKGWQPSGIKQKGGFLAGTSSGNTTVNDLPASSSFVKLTDMDEDRWNQFLENFPRLLIPAFFNCRQGNASKKQRQRLGTSCQF >Vigun05g034200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2778354:2780650:1 gene:Vigun05g034200.v1.2 transcript:Vigun05g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSFMFPQTQSTVLPDPSTYFSSNLLSSPLPTNSFFQNFVLSKGSQPEYIHPYLIQTSKSSLSASYPLLFFTAAVLYQTFVPDLTISSSQTLPTQQNHVVSSFSDLGVTVDIPSSNLRFFLSRGSPFITASVTSSTSLSITTLHTILSLSPSNDKNTKYTLKLNNTQTWLIYASSPIYLNRDGASQVTSKPFSGIIRVAALPDDNPNNVAILDKFSSSYPSSGNATLHDPFRLVYQWQKEGSGDLLMLAHPLHAKLLSHNNTGNVNILRDFKYRSIDGDLVGVVGDSWKLEMNPIPVTWHSNKGVGKESYNEIVSALSKDVQTLNSPISTPSSYAIGKLIGRAARLALIAEEVSFPNVVPTIKEFLKRNIQPWLDGTVQGNGFLYEKKWGGLVTKMGSTDSSADFGFGVYNDHHYHLGYFLYGIAVLAKIDNEWGQKYKPQVYALLSDFMNLEQQNAHYPRLRCFDLYRLHSWASGVTEFADGRNQESTSEAVNAYYSAALVGVAYGDKSLVSAGSTLLAMEILGTQTWWHVKAEDKLYNEEFAKNNKIVGVLWSNKRDSGLWWAPATCRECRLGIQVLPLSPITETLFSDAGYVKGLVEWTLPSLSSEAWKGMTYALQGVYDKQTALQNIRRLKGFDDGNSFTNLLWWIHSR >Vigun03g088700.1.v1.2 pep primary_assembly:ASM411807v1:3:7444082:7450371:-1 gene:Vigun03g088700.v1.2 transcript:Vigun03g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWCRWESSDYRVSSNVKSEQNQVTKERNDYDSKLPSNPEEVEDLRRDSAANPLIVFSYDELKIITANFRPDRVLGGGGFGSVYKGFISEELRLGLPTLAVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEYMSRGSVEHNLFSKILLPMPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDQDYNAKLSDFGLAKDGPVGDKSHVSTRVMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKLRPAREQNLTEWAIPLLKEKKKFVNIIDPRLDGDYPIKAVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQAYTEVPMGKTVTIISEVPESGLKIKDAKSL >Vigun05g281900.1.v1.2 pep primary_assembly:ASM411807v1:5:47098959:47100055:1 gene:Vigun05g281900.v1.2 transcript:Vigun05g281900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIVLCTCLIIFMAATNTSVHASIHYHVGGSLGWHQPDPNNTEFYSQWAQRNRFQVGDALVFEYQNDSVLSVEKLDYMNCDASNPITAFDNGKSTFNLDRPGDFYFISGNNEHCKNGQRLDVDVIHEHRFSSPPPISLPPQGLPPMAPPPSDQGFEDSTSASVMLTATSMSVLVITFVIVVLLAP >Vigun02g040900.1.v1.2 pep primary_assembly:ASM411807v1:2:16713547:16717675:1 gene:Vigun02g040900.v1.2 transcript:Vigun02g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNVRRQGVERCRSVKETQKILNHNFFSRNLKKVYPIGLQKSTSSLSLSSISLSLSQNSNDSSQADSLTPLDEKISLALRLISPRDTREDTIASKPLQQHQPPTSPPTTGTGEFKRCNWITKNSDNAYIEFHDECWGVPAYDDNKLFELLAMSGLLMDYNWTEILKRRETLREVFAGFDANTVAKMEEKEIMEIASNKALSLADSRVMCIVDNAKCITKIVKECGSLSSYIWGYVNHKPIINRYRYPRNVPLRSPKAEILSKDLVKRGFRFVGPVIVHSFMQAAGLTIDHLVDCYRHHECVSLAERPWRHI >Vigun04g199600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42368571:42369137:1 gene:Vigun04g199600.v1.2 transcript:Vigun04g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIFKLGLLLSLLLTILYPFQLKGSESGVVASEVEDSFFPPSKVDVIIINFMAKKVLTFHCKDKHHDLGTQVLGFSERFTFSFKPNKFMKITLYFCRFTWVGGSHHFDIYDEYRDDCTECIWQIFESGPCIFHPKFNKCYVWNN >Vigun01g161900.4.v1.2 pep primary_assembly:ASM411807v1:1:34361903:34370025:1 gene:Vigun01g161900.v1.2 transcript:Vigun01g161900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVSLVGIILFIGFIPLGIAIIEPWYAFKLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPIKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELLSSIVTLFKRIGITESDVGFKVSSRKVLQEVLNCYSVPEQLFGKVCVIIDKIEKIPVDEIKKELEAVGLSQEAVQNLLQVLSVKSLAELEERLGSSGEAIADLKQLFSLAEKIGFSKWVQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLFSTFGADDIAACGFGFGDAVIVELLKEKGLLPELNLHVDDIVCALDEDLQGCAAMVANILREKGHSVELVLENKPLKWVFKRASRVNAERLVLVGNSEWQRGVVGVKILSTAEQYEVKLDDLK >Vigun01g161900.1.v1.2 pep primary_assembly:ASM411807v1:1:34360285:34370025:1 gene:Vigun01g161900.v1.2 transcript:Vigun01g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSFSCSFLTLQPRFNSYVNPIFRSLSFQLSSSPLPFNAHFSSIRLRSSLSRPAETDSPTGGRSGALTPGPSVGADVQKIDVNPPKGTRDFPPEDMRLRNWLFNHFKEVSRLYGFEEVDYPVLESEALFTRKAGEEIKDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPIKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELLSSIVTLFKRIGITESDVGFKVSSRKVLQEVLNCYSVPEQLFGKVCVIIDKIEKIPVDEIKKELEAVGLSQEAVQNLLQVLSVKSLAELEERLGSSGEAIADLKQLFSLAEKIGFSKWVQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLFSTFGADDIAACGFGFGDAVIVELLKEKGLLPELNLHVDDIVCALDEDLQGCAAMVANILREKGHSVELVLENKPLKWVFKRASRVNAERLVLVGNSEWQRGVVGVKILSTAEQYEVKLDDLK >Vigun01g161900.3.v1.2 pep primary_assembly:ASM411807v1:1:34361902:34370026:1 gene:Vigun01g161900.v1.2 transcript:Vigun01g161900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPIKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELLSSIVTLFKRIGITESDVGFKVSSRKVLQEVLNCYSVPEQLFGKVCVIIDKIEKIPVDEIKKELEAVGLSQEAVQNLLQVLSVKSLAELEERLGSSGEAIADLKQLFSLAEKIGFSKWVQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLFSTFGADDIAACGFGFGDAVIVELLKEKGLLPELNLHVDDIVCALDEDLQGCAAMVANILREKGHSVELVLENKPLKWVFKRASRVNAERLVLVGNSEWQRGVVGVKILSTAEQYEVKLDDLK >Vigun01g161900.2.v1.2 pep primary_assembly:ASM411807v1:1:34360285:34370025:1 gene:Vigun01g161900.v1.2 transcript:Vigun01g161900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSFSCSFLTLQPRFNSYVNPIFRSLSFQLSSSPLPFNAHFSSIRLRSSLSRPAETDSPTGGRSGALTPGPSVGADVQKIDVNPPKGTRDFPPEDMRLRNWLFNHFKEVSRLYGFEEVDYPVLESEALFTRKAGEEIKDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPIKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELLSSIVTLFKRIGITESDVGFKVSSRKVLQEVLNCYSVPEQLFGKVCVIIDKIEKIPVDEIKKELEAVGLSQEAVQNLLQVLSVKSLAELEERLGSSGEAIADLKQLFSLAEKIGFSKWVQFDASVVRGLAYYTGIVFE >Vigun09g181500.1.v1.2 pep primary_assembly:ASM411807v1:9:35522801:35531250:1 gene:Vigun09g181500.v1.2 transcript:Vigun09g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSDLVRAVESFLGVSLGDSVSDSLLLIATTSAAVVIGLLVFFWKKSSDRSKEVKPLVVPKGLLMEEEEEVDVAAGKTKVTIFFGTQTGTAEGFAKALADEIKARYEKAAVKVVDLDDYAADDDLYEEKLKKESLVFFMLATYGDGEPTDNAARFYKWFTEGKDEKGTWLEKLTYGVFGLGNRQYEHFNKIGKVVDEDLAEQGAKRLVALGLGDDDQSIEDDFSAWKESLWPELDQLLRDEDDANTVSTPYTAAILEYRVVIHDPTATSNYDNHSTVANGNAVFDIHHPCRVNVAVQKELHKPVSDRSCIHLEFDVSGTGITYETGDHVGVYAENCNETVEETGKLLGQNLDLFFSLHTDKEDGTSLGGSLLPPFPGPCSLRTALARYADLLNPPRKAALVALAAHASEPSQAERLKFLSSPQGKDEYSKWVVGSQRSLIEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRFAPQRVHVTCALVYGPTPTGRIHKGVCSTWMKNAIPLEKSQDCSSAPIFIRPSNFKLPVDHSVPVIMVGPGTGLAPFRGFLQERYALKEDGVQLGPALLFFGCRNRQMDFIYEDELKNFVEQGSLSELIVAFSREGAEKEYVQHKMMDKAAHLWSLISQGGYLYVCGDAKGMARDVHRTLHSIVQEQENVDSTKAEAIVKKLQTDGRYLRDVW >Vigun05g106300.1.v1.2 pep primary_assembly:ASM411807v1:5:10746316:10747528:-1 gene:Vigun05g106300.v1.2 transcript:Vigun05g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNVSLILLIFVLVEVIWCEGCWKEEREALLGLNARFGFPLSWQWEGTNCCEWEGVECNSSTERVAKLDLQRLWSVAFFPSDWHLNYADLAVFKDLKSLNLSRSSGIFDCADSEGWENLEVLDLSDSNIDNVTDILVCLNGLPSLKSLYLSYNSFHATFNAFETLSSKLLRLEVLDISQNSLTNDILPSLGGFTSMKELYLSDTGLDSDSHIQGLCSMLKNLEVLDLSYNNFSDSDIASALSGLSSLKSLNLGYTQLTPRSILNISKIRSLEILT >VigunL059420.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000197.1:35691:36050:-1 gene:VigunL059420.v1.2 transcript:VigunL059420.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >VigunL059200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000450.1:28156:28344:1 gene:VigunL059200.v1.2 transcript:VigunL059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFLFLTFFVVLSLNTGEVEASRKLLSSSLPDLGNIPVFEFPPGTPWPEYRLPPFLKSN >Vigun03g144700.1.v1.2 pep primary_assembly:ASM411807v1:3:14696935:14710544:1 gene:Vigun03g144700.v1.2 transcript:Vigun03g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLPYFSPRKKPLALSPLRPLPEHEDMVHPMTPMEFKDRLIFGPVCATPRDPTPLADALTLPHNSPKCSSSTSQDCASPSRFDSQSQQPSSWLLDPNYSCRKTNLHRSKTAPAMAVISDFNPQSSVPRPQFGSQSVVRQGVILLALYLALGVVIYWFNRNNFSASETHPVVDALYFCIVTMCTIGYGDITPNSTATKLFSILFVLVGFGFIDILLSGMVSYVLDLQESHMLTAVKGRRGEKDGKSYIIDVKKGRMRIRLKVALALGVVVICIGVGVGVMHFVEKLGWLDSFYLSVMSVTTVGYGDHAFKTMHGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRMMAKWILGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQVSEKFDRLDAGNCGKITLADLMEPHS >Vigun07g152900.1.v1.2 pep primary_assembly:ASM411807v1:7:26399488:26404005:-1 gene:Vigun07g152900.v1.2 transcript:Vigun07g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCAIKKDVTELIGNTPMVYLNNIVEGCVARIAAKLEYMQACCSVKDRIALSMIEDAEKKGLITPGKTVLIEYTSGNTGIGLAFISALRGYKLQVAMPSYVSLERRIILRAFGAEVYLTDPAKGIAGVIEKGEELLAKSADGYILNQFDNPANPNIHYETTGPEIWRDSGEKIDALVAGIGTGGSITGAGKFLKEKNPDIKLYGVEPAESAVLNGGKPGKHLIQGLGAGIIPNILDVNILDEVIEISSEEAIETAKLLAVKEGLLMGISSGAAAAAAIKVGKRPENAGKLIVVIFPSFGERYLSSPLFESIRKEAEQMTHD >Vigun07g152900.2.v1.2 pep primary_assembly:ASM411807v1:7:26399524:26403800:-1 gene:Vigun07g152900.v1.2 transcript:Vigun07g152900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCAIKKDVTELIGNTPMVYLNNIVEGCVARIAAKLEYMQACCSVKDRIALSMIEDAEKKGLITPGKTVLIEYTSGNTGIGLAFISALRGYKLQVAMPSYVSLERRIILRAFGAEVYLTDPAKGIAGVIEKGEELLAKSADGYILNQFDNPANPNIHYETTGPEIWRDSGEKIDALVAGIGTGGSITGAGKFLKEKNPDIKLYGVEPAESAVLNGGKPGKHLIQGLGAGIIPNILDVNILDEVIEISSEEAIETAKLLAVKEGLLMGISSGAAAAAAIKVGKRPENAGKLIVVIFPSFGERYLSSPLFESIRKEAEQMTHD >Vigun11g219000.1.v1.2 pep primary_assembly:ASM411807v1:11:41171938:41174358:-1 gene:Vigun11g219000.v1.2 transcript:Vigun11g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEDKKHHSSLHFTHFHSFFQIHATTSPLPLCPPKHHDQARTMSFRSENENPNPTTPVPPSKKRWSDLWAKSKPINQMVMAMKLHSLSPRRAAKANATLSPFPAAAIADRTLLLSDQILLKILALVCDSPAQRNSNSLVCKRWLNLQGRLLRFLTISDWNFLLSGRLIHRFPNLNHVDLLSAAFVSPGNSAILLSHRVLSVHLHSDLAPNWCFCQENMLPVEVIDNGLATLAAGCPNLRRLHVIGASEMGLLSVAEECPTLQELELQCCSDNVLRGIAACANLQILKLVGHVDGLYSSVVSDIGLTILAQGCKRLVRLELCGCEGSFDGIKAIGKCCVMLEELTFSDHKMDDGWLAAIPFCENLKTLRFQSCKRIDPNPGMEEYLGSCSALERLHLQKCQLRDKKSVSALFSVCRDVREIVLQDCWGLDNSIFSFAMMCRRVRLFYLEGCSLLTTEDLENVIHSWKELQSLRVVSCKNIKDCEISTALATLFTSLKELRWSPDTKSLLQSSVTGITMAKKGGKFFKRAR >Vigun09g254300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42087407:42092575:-1 gene:Vigun09g254300.v1.2 transcript:Vigun09g254300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVQELVSVMQKEKSCDVKNSADATRQWAAVASTIAATENKDCLDLFIQLDGLCFINGWLKDAQKFEVDAKDSFVEESITAMLRAVEKLHLDCEMSISSGIRITVSNLLGHHSAKVQDRARTLFDSWKGAENVDTESHDVELAKVDCATNEIVKEESQPSAVDEAGNDNDSASGLNGTVNCLSKSSDNLECDGVKEGSVNHCAGVPSSAQVAPPLCPADVITSVEGQSSMVQLTDLAKVEKQEQNVNVPPEKLGAPEICSVSSEPVPESVSCEAKAPESVKGPALEQNVEHNEDEACHNLPISTSMKTPASDRESGEDDAGTVTGFTQVSRAVENDNDCSNALQDTLVSDSKLGKTEVSGMSVCGAGYVSPSKEGKGHVDNNKVTSIGSDSCKPEIDFRRSNIVDKRGSGNELDCGIVDPLEFARQVAQEVNREVSSSSEKFSYGRTRQPGSPDSVRKEEELTPVPPEEVSSRHSLATEACSMEGRASILDNTEAEPECRPDVVCLEVTEAAQDSGGNSEKRPCGFDLNEVGSDDMDVCVNTTSTSIPVVSASRPTPTPGLPGAPLQFEGTLGWKGSAATSAFRPASPRKYCDSERNLSDTNIDTSRPRQEWLDIDLNVAEGEEGNAKPIAESSGRRSEQSTVEFSSKSSSMLGFDLNSTGDDGHGQPSDHRMEGQLFLGRNGYWSPSPTSSSSSMQPYVRNIDLNDRPCLQTDLVDLGSCKSSHIINGYDCSKSPDAPVISLLGAKVEVGKKERVPQSFLPNGNAVEPAIDLSMSRTGGTIGMAPAASFNQSSVFGYNGVTSASAAPTMPFSSAMYGSGGTVPYMVDSRGAPAVPQVGGPSLNILSSSYSQPPPFFMNMTGTQLGLNGFGPSRPNFDLNSGFMIEGGNRETFAARQFFFPGQGRAVEEQVRTMPQPSSSVVGGKRKEPDSSWEPYPYSYKHSQPPWK >Vigun09g254300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42087194:42092665:-1 gene:Vigun09g254300.v1.2 transcript:Vigun09g254300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVQELVSVMQKEKSCDVKNSADATRQWAAVASTIAATENKDCLDLFIQLDGLCFINGWLKDAQKFEVDAKDSFVEESITAMLRAVEKLHLDCEMSISSGIRITVSNLLGHHSAKVQDRARTLFDSWKGAENVDTESHDVELAKVDCATNEIVKEESQPSAVDEAGNDNDSASGLNGTVNCLSKSSDNLECDGVKEGSVNHCAGVPSSAQVAPPLCPADVITSVEGQSSMVQLTDLAKVEKQEQNVNVPPEKLGAPEICSVSSEPVPESVSCEAKAPESVKGPALEQNVEHNEDEACHNLPISTSMKTPASDRESGEDDAGTVTGFTQVSRAVENDNDCSNALQDTLVSDSKLGKTEVSGMSVCGAGYVSPSKEGKGHVDNNKVTSIGSDSCKPEIDFRRSNIVDKRGSGNELDCGIVDPLEFARQVAQEVNREVSSSSEKFSYGRTRQPGSPDSVRKEEELTPVPPEEVSSRHSLATEACSMEGRASILDNTEAEPECRPDVVCLEVTEAAQDSGGNSEKRPCGFDLNEVGSDDMDVCVNTTSTSIPVVSASRPTPTPGLPGAPLQFEGTLGWKGSAATSAFRPASPRKYCDSERNLSDTNIDTSRPRQEWLDIDLNVAEGEEGNAKPIAESSGRRSEQSTVEFSSKSSSMLGFDLNSTGDDGHGQPSDHRMEGQLFLGRNGYWSPSPTSSSSSMQPYVRNIDLNDRPCLQTDLVDLGSCKSSHIINGYDCSKSPDAPVISLLGAKVEVGKKERVPQSFLPNGNAVEPAIDLSMSRTGGTIGMAPAASFNQSSVFGYNGVTSASAAPTMPFSSAMYGSGGTVPYMVDSRGAPAVPQVGGPSLNILSSSYSQPPPFFMNMTGTQLGLNGFGPSRPNFDLNSGFMIEGGNRETFAARQFFFPGQGRAVEEQVRTMPQPSSSVVGGKRKEPDSSWEPYPYSYKHSQPPWK >VigunL019300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:21334:21786:-1 gene:VigunL019300.v1.2 transcript:VigunL019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCFGSGVITSDRAKIGRTKERAMPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSL >Vigun02g021000.1.v1.2 pep primary_assembly:ASM411807v1:2:7365640:7368957:1 gene:Vigun02g021000.v1.2 transcript:Vigun02g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSLNHKQEEVAGVVGEKSISLEDNVLQKHAAFFDINKDGVIYPWETFQGMREIGSGILLSIGGAIFINLFLSQTTRPGKFPSLLFPIEVKNIQLGKHGSDSGVYDTEGRFVPSKFEAIFSKHAHTNPNYLTYDELKEMIKANREPKNLTGRIGSFVEWNILYKLAKDKSGLLQKDTIRGVYDGSLFEQLKNQHSSGKKK >Vigun11g108300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30825076:30825519:1 gene:Vigun11g108300.v1.2 transcript:Vigun11g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASSFLALVLISHILIAIAVAGRSISQQTNSQDKKEPQFLFKSHDRVYIPGIGPVGFPPKFHVTPHNPFTHANGGVGAGTGSGTGTGTGAGAGTGSGIGSGTGTGSGSTGRSYVPGGDDTFVPNPGYEVPIPGSGGSVPAPVHP >Vigun08g084300.2.v1.2 pep primary_assembly:ASM411807v1:8:18405112:18407518:1 gene:Vigun08g084300.v1.2 transcript:Vigun08g084300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAILTIRKINCFHQNGIGAACRFSTNPYMIGFGIVEIFVSQIPHFHKLSWLSVIAALTSFGYALIGSGLSLAIVFTGKGKSTSLMWGSNVQKPIHKFLNILIALGNLATANSYSQIVIDIQDSLKSSPPENKVMKKANKIGISTMTMLFLICGCSGYAAFGSDTPGNILLSSGFKEPFWLIDLANVFIVVHLVGAYQVVVQPIFTIVETCARQRWERSSFVNKEYPMSIGKMKLRLNLFRLVWRSIFVVVLTVMAMAMPFFNEMLALLGAIGFWPLTIYFPVEMYIARKNIKKGTKWFGLKTLSFSFLLLSLAIACAAIHGIDHALHQYKPFQYEA >Vigun01g186900.1.v1.2 pep primary_assembly:ASM411807v1:1:36697062:36699901:-1 gene:Vigun01g186900.v1.2 transcript:Vigun01g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEERSDNRKKHSMKRNISRLGGSGLSLEAFANAKSKNKQYNPALIKKQREFYKNAKNVNKFKKLVKQQNQHNGRSLAQEHDKSVNETDEYKDKSERRMRKNSAFSLEELYRKQHEEKEKERIEREAVLRVRREEREQAETRRKAMREKMLKKTRRGQPVMKYRIEHLLETIQGSTKNAAGSKS >Vigun07g074400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9573563:9574156:1 gene:Vigun07g074400.v1.2 transcript:Vigun07g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQSRKKFIIKIYSSCLENNEGVCELKNKKRYYAKAIWVDVKNASKSCESNQKVGYEECDKTKDKEIMQKEWKKREKKQKVEVAMRMDNCKRMQCWTMMKRLMVGKYAWIFKKNDQNKKVMSLRNIELKLKRSEYSKVDDFGDDMRKVFSYPLGYPFKSEVYKIAREISQAFELNWNNMKKKWISQEKIEKRNV >Vigun03g134101.1.v1.2 pep primary_assembly:ASM411807v1:3:13142777:13149271:1 gene:Vigun03g134101.v1.2 transcript:Vigun03g134101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KCSVGAGLKPILRQRNHHQSGVQVLSQPHHHRHSRPHRSRSRPQEPRFAGPGTCRRGARPGQDAAQGVHHTLPRGLQRLEQRHHQARRHAGRSRARQDCHRLHQARHQDPSVRPPSDVEVFLSPPPSTLDGCILGDSPFFTSVPSGRVGCGTGFLYSSNDEFKQAVSFREIEDVASLEEKLGRALSKQERSRIGVSKLRLFLEELLQKRYINNVPLIIPLLEKEYRSVTRKLSDINQELSTLDEAKLKEKGRAFHDMFLTKLSLLLKGTVVAPPDKFGETLQDERINGGAFIGADGVQFPHKLIPNASMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGSRLLYILKRLLPISVYLLQKDNEYLSGHEVFLRRVASAFNNFAESTEKSCHEKCMEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEQSNNASNNPTTNIISQTSAHDREDTKSQPDVKLSHVASGIDSSSSIQTTETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPALLREDLESAFEDDLDNVFDITNLQHSFGQQKRDTEIELKRIQRLKEKFRMIHEQLIQNQVM >Vigun04g185200.1.v1.2 pep primary_assembly:ASM411807v1:4:41023290:41026008:1 gene:Vigun04g185200.v1.2 transcript:Vigun04g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKSTSPLSPFSSHFFTLSSLRRHHHHHHAHRTTTKPTSRVKHFSVKAYMENSNSLGSFANRVIGALPVVGLIARIFSDEGGVGDDLVDFAEFRRRVGNKCSQADSTAFYEFQDRRGRAGDPLYVLLCCWLAAVGAGLLKTEDILEGVSRLRISNDIEFEEQNFMSLMSEAKERRAKLKADPPAIAMEVRAGKALDAIYVCCFERDAVEEEDERLLTAMLGAVFPTVQRDVIQRLVKDKAEKIAAGVDDGFVSELKPLSKEAVEIQMKDLQFLQQNSET >Vigun05g145000.7.v1.2 pep primary_assembly:ASM411807v1:5:19643735:19651268:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSANLSRKCSSTSLVSDQELLEAGMADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.8.v1.2 pep primary_assembly:ASM411807v1:5:19643824:19651261:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSANLSRKCSSTSLVSDQELLEAGMADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.2.v1.2 pep primary_assembly:ASM411807v1:5:19643735:19651288:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.6.v1.2 pep primary_assembly:ASM411807v1:5:19643735:19651268:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSANLSRKCSSTSLVSDQELLEAGMADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.4.v1.2 pep primary_assembly:ASM411807v1:5:19643798:19650549:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRDKVFEQQKIFADLRRNIENLEKNSVTSLRTLVNLGSEVYLQAEVPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.3.v1.2 pep primary_assembly:ASM411807v1:5:19643735:19651288:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARREKVRKYEEFVDKRLKPDLIHAISQRPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun05g145000.5.v1.2 pep primary_assembly:ASM411807v1:5:19643735:19650549:-1 gene:Vigun05g145000.v1.2 transcript:Vigun05g145000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHSDSHHMEDSARREKVRKYEEFVDKRLKPDLIHAISQRPDTQRIFVDVGFGFHVEFTWSEALNYIEKREEKIARQIDEYTRLIASIKAQIKLVCEGIRELLQLPAEKSLPERIF >Vigun04g118700.1.v1.2 pep primary_assembly:ASM411807v1:4:30292079:30296830:-1 gene:Vigun04g118700.v1.2 transcript:Vigun04g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKDQDFFSHKFPTTSQVVEEMKELWGMALPITGMNMLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLAAGLEPVCSQAYGSKNWDLLSLSLQRMVLILLMAIIPISLLWLNLERIMLFMGQDSAITGMASIYCFYSLPDLLTNTLLQPLRVFLRSQKVTKPMMYCSLVAVVFHVPLNYLMVVVMELGVPGVAMASVLTNLNMVVLMAGYVCVCRKREVVLKWGCGGGVVAGLCSGLGQLMEFAVPSCLMICLEWWWYEIVTVLAGYLPRPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGFINVTWTVILGQRWAGLFTNDEPVKALAASVMPIMGLCELGNCPQTTGCGILRGTARPGVGAHINLGSFYFVGTPVAVGLAFWFKVGFSGLWFGLLSAQVACAVSIMYVVLVKTDWEAEALKAEKLTRVEMGSCNGLRNKDNEKDEEMKGLLGNGNRNNKGDIC >Vigun04g118700.2.v1.2 pep primary_assembly:ASM411807v1:4:30292079:30296265:-1 gene:Vigun04g118700.v1.2 transcript:Vigun04g118700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKDQDFFSHKFPTTSQVVEEMKELWGMALPITGMNMLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLAAGLEPVCSQAYGSKNWDLLSLSLQRMVLILLMAIIPISLLWLNLERIMLFMGQDSAITGMASIYCFYSLPDLLTNTLLQPLRVFLRSQKVTKPMMYCSLVAVVFHVPLNYLMVVVMELGVPGVAMASVLTNLNMVVLMAGYVCVCRKREVVLKWGCGGGVVAGLCSGLGQLMEFAVPSCLMICLEWWWYEIVTVLAGYLPRPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGFINVTWTVILGQRWAGLFTNDEPVKALAASVMPIMGLCELGNCPQTTGCGILRGTARPGVGAHINLGSFYFVGTPVAVGLAFWFKVGFSGLWFGLLSAQVACAVSIMYVVLVKTDWEAEALKAEKLTRVEMGSCNGLRNKDNEKDEEMKGLLGNGNRNNKGDIC >Vigun04g100400.2.v1.2 pep primary_assembly:ASM411807v1:4:23478211:23484318:1 gene:Vigun04g100400.v1.2 transcript:Vigun04g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDRGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKDVVYESLTYSAELYISTGLIWKSSRDIQKQTIFVGNIPLMNSLGTSIVNGIYRIVINQILQSPGTYYRSELDPNGISVYTGTIISDWGKISILVLSSAMGSNLSEILENVCYPEIFVSFLNDKDKKKIGSKENAILEFYRQFACVGGDPNNTFLLPRDILTAADHLIGMKFGMALLDDINHLKNKRIHSVADLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFLDYTHYLKQLSYLGPGGLMGRTASLRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGIWGAIESPFFEISERPKRIHMLYLSPNIDEYYRVATGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSEIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDDVLISERLVYEDIFTSFHIRKYEIQTHMTSYGSERITNKIPHLEAKLLRNLDKNGIVILGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIRISILQKREIKVGDKVARRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQIFECSLGLSGGMLDRHYRITPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYLGKSKIFDGRTGNSFKQPALMGKTYILKLIHQVDDKIHGRSNGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIQTRQEVLGTTIIGGTIPKPTDAPESFRLLYKHQQLRIGSISPQQISAWAKRILPNGEIVGEVKKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIRDKKDDPKFCEQCGVEFIDSRIRRYQMGYIKLACVVTHAWYLKRLPSYIANLLDKPLKELESLVYGDVGRSYWIGSYLNFSFARPVVKKPTFLQLRGSFKYEIQSWKHSIPLFFTTRGFDIFQNREISSGAVAIREQLADLDLRIIMDYSLIEWKELGKEGSSDNENEWEDRKVGRRKNFLVRRIELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVICQEKLVQAAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKQVDYSGRSAIIVGPSLSLHRCGLPGEIAIELFQTFLFRGLIRKHFASNIGIAKSKIRQKEPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAIHVPLSLEAQAEARLLMFSHTNLLSPAIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPQKTITYQQKRITFDSPLWLRWRLDLRIISSREVPIEVHYESLGTYHEIYGHYLVVRSHISFYREIEEAVQGFCRAYSYGI >Vigun11g060032.1.v1.2 pep primary_assembly:ASM411807v1:11:13068495:13069203:-1 gene:Vigun11g060032.v1.2 transcript:Vigun11g060032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VWSWQGRKGIGQGRCEEAQESSSRQHPGHNETGNSAIGEKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun01g226000.1.v1.2 pep primary_assembly:ASM411807v1:1:39884401:39889032:1 gene:Vigun01g226000.v1.2 transcript:Vigun01g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVTSANASLSFSRRVVPSGSRLSPVTLTGISFSRAPLTLRCSSLKSSSYRTKFAEAAKKGNLIPLYQCIFSDQLSPVVAYRILLKEDDREAPSFLFESAEPSYHASSVGRYSVVGAHPSMEVVAKENKVAIIDHVAETLTERTVDDPVMIPREISEGWKPYLIDELPDAFCGGWAGYFSYDTVRYAEKKKLPFSNAPVDDRNLCDMHLGLYESLIVFDHVEKKAYLIQWVRIDRYSTVESAYNDGIERLEKLAAKLQDVKAQRLAPGFVDLHTHHFGPPLKKSSMTTEAYKEAVLRAKEHIKAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRIKKNKIVNRPLAGTTRRGNTPEEDARLEEMLLKDEKQIAEHVMLVDLGRNDVGKVAKSGSVKVEELMNIERYSHVMHISSTVTGEMQEHLSSWDVLRSALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGFGYISFFGEMDIALALRTMVFPTGTRYDTMYSYKNLDQRQEWVAYLQSGAGIVADSVPADEHQECQNKAAALARAIDLAESAFVHH >Vigun02g177600.2.v1.2 pep primary_assembly:ASM411807v1:2:31920783:31925069:-1 gene:Vigun02g177600.v1.2 transcript:Vigun02g177600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEKVTWDQGRCPAQARSSSSHKLMVWLMLFVSLIYMLYTLKLVSTSSRDCNHAPFIINHLSSSSSFPNANVTGKRESSSATGKRRDQKTELRHVVFGIAASSKLWEHRKNYIKIWYRKKEMRGVVWLDDRVKIDPEEGLPPVKVSTDTSNFVYTNKLGHRSAIRISRIVTETLRLGLKDVRWFVMGDDDTVFVSDNLLKILNKYDHNHMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKIQDRCIQRYPSLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLLGLLAAHPVTPLVSLHHLDVVEPIFPNVTRIEALQRLTIPMKLDSASLIQQSICYDKKRKWTVSVSWGFAVQIFRGIFTQREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYFSKAKLNSTLQHTVTEYERHLVPHPECHWKMPDPSQLLKVEVHKKPDPHLWDRAPRRNCCRVMKTNKTGMMKIDVGICRDGEIHEPLSL >Vigun02g177600.1.v1.2 pep primary_assembly:ASM411807v1:2:31920783:31925069:-1 gene:Vigun02g177600.v1.2 transcript:Vigun02g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEKVTWDQGRCPAQARSSSSHKLMVWLMLFVSLIYMLYTLKLVSTSSRDCNHAPFIINHLSSSSSFPNANVTGKRESSSATGKRRDQKTELRHVVFGIAASSKLWEHRKNYIKIWYRKKEMRGVVWLDDRVKIDPEEGLPPVKVSTDTSNFVYTNKLGHRSAIRISRIVTETLRLGLKDVRWFVMGDDDTVFVSDNLLKILNKYDHNHMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKIQDRCIQRYPSLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLLGLLAAHPVTPLVSLHHLDVVEPIFPNVTRIEALQRLTIPMKLDSASLIQQSICYDKKRKWTVSVSWGFAVQIFRGIFTQREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYFSKAKLNSTLQHTVTEYERHLVPHPECHWKMPDPSQLLKVEVHKKPDPHLWDRAPRRNCCRVMKTNKTGMMKIDVGICRDGEIHEPLSL >Vigun02g177600.3.v1.2 pep primary_assembly:ASM411807v1:2:31920783:31925069:-1 gene:Vigun02g177600.v1.2 transcript:Vigun02g177600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEKVTWDQGRCPAQARSSSSHKLMVWLMLFVSLIYMLYTLKLVSTSSRDCNHAPFIINHLSSSSSFPNANVTGKRESSSATGKRRDQKTELRHVVFGIAASSKLWEHRKNYIKIWYRKKEMRGVVWLDDRVKIDPEEGLPPVKVSTDTSNFVYTNKLGHRSAIRISRIVTETLRLGLKDVRWFVMGDDDTVFVSDNLLKILNKYDHNHMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKIQDRCIQRYPSLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLLGLLAAHPVTPLVSLHHLDVVEPIFPNVTRIEALQRLTIPMKLDSASLIQQSICYDKKRKWTVSVSWGFAVQIFRGIFTQREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYFSKAKLNSTLQHTVTEYERHLVPHPECHWKMPDPSQLLKVEVHKKPDPHLWDRF >Vigun06g012400.1.v1.2 pep primary_assembly:ASM411807v1:6:5599307:5603988:-1 gene:Vigun06g012400.v1.2 transcript:Vigun06g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLESSKPHVVCVPYPAQGHVNPLMKFAKLLHCKGFHITFVNTEFNHKRFVRSHGAEFVKGLPDFVFETIPDGLPPSDKDATQDITLLCDSTRKNCYGPFKELLLKLNSSADVPSISCIVADGVMGFAATVAKDLGIPEVQLWTASACGFVGYLQYDDLVERGIVPFKDENFEVDGSLDQSLDWISGMKNIRLKDIPTFIRVTSLDDIMFDFLRSEVKNCWRSSSIIINTFEDIEVEALDALRAKNPNIYTIGPLDLLGRHFPEKDKGFMSGGSSLWKNDSNCLTWLDKWEPNSVVYVNYGSITVMTNHHLKEFAWGLANSKLPFLWIKRADVVADESDSLPQEFFDEIKDRGYITSWCMQEQVLSHPSVGVFLTHSGWNSTLESISAGVPMICWPFFAEQQTNSRYVCANWGIGMEVNHDVKREEITKLVIEMMKGEKGMQMRQKSLAWKKKTVGATDVGGSSYNNFYKLMKEVFHHNAI >Vigun05g058800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5040461:5041934:1 gene:Vigun05g058800.v1.2 transcript:Vigun05g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGEKTKKVVASPLHHHTTAAPIDAGDVGLVPGGLTTPFRARIQPEISSPRCNHPKTATATPPQTSTRAQDTRPSLSLLPGTTRTVAAPTPKATADNAQLTATQTAVAAPLLGTRNTAGDSSSVPFSSRQRSSTQP >Vigun05g143250.1.v1.2 pep primary_assembly:ASM411807v1:5:18912116:18912519:-1 gene:Vigun05g143250.v1.2 transcript:Vigun05g143250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKLLMRASLPLNNVTSLTFMCMHLLCASMLPSFRQKNLLKHTKRKLRMCASLTVNRVAS >Vigun11g164900.1.v1.2 pep primary_assembly:ASM411807v1:11:37222101:37225672:-1 gene:Vigun11g164900.v1.2 transcript:Vigun11g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTQGVRTRKRAKHPSKPTSPRTHGHGHGNNGTEQTTRRSSVVAPRSSVTARPLNVVANPSPGNIFDKYQFGKELGRGEFGVTHRVVDLVSGEAFACKKIAKTKLRTEIDVEDVRREVQIMRHLPRHPNIVAFKEAYEDRDAVYLVMELCEGGELFDRIVAKGHYTERAAANVAKTILEVCKVCHEHGVIHRDLKPENFLFADTNEAAALKSIDFGLSTFYVPGERFSEIVGSPYYMAPEVLRRNYGQEIDVWSTGVILYILLCGVPPFWAETEEGIAQAIIKGKVDFTRDPWPKVSDEAKHLVKRMLDPSPFTRITVQEVLDHPWIQNREHGRTISLGDQVRMRIKQFSLMNRFKRKVLRVVADNLSDEQIEAFKKMFDMMDKDKNGSLSFEELKDGLSMIGHAIPDPEVQMLLEAADADGNGTLNYEEFITMSVHLKKIEGDEHLTQAFRYFDKNQTGYVEFEELKDALSDDESEVMNDQVIKDIINDVDLDKDGRISFAEFKAMMKTGGDWKMASRQYSRALLNALSFKMFKDTPTKA >Vigun11g219800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41244426:41245055:-1 gene:Vigun11g219800.v1.2 transcript:Vigun11g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAGDSSTVKKINSGCDVDALKKCLEENKGDYVKCQKQIEAFKSSCSLKKPNQSLDSASR >Vigun09g251700.1.v1.2 pep primary_assembly:ASM411807v1:9:41871418:41875504:1 gene:Vigun09g251700.v1.2 transcript:Vigun09g251700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGHRENGRHKADQYKSAQGQWLMQHQPSMKQIMAIMAERDAAIQERNLAISEKKAAYAERDMAFLQRDAAIAERNNAILERDNAIATLQYRESSIGSGSMPSCPPGCQISRGVKHIHHPQQQVHHIPNMGDPSYNAREMHTTDALPAAPITSEAGKSRRAKRPKEPKSTSPNKKTPKAAKKVKKESEDLNKVMFGKAHEWKNGQEMVNGGDDLNKQLVVSKADWKGQDLGLNQVAYDESTMPAPVCSCTGVLKQCYKWGNGGWQSACCTTTLSMYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDHWAKHGTNRYITIK >Vigun08g010500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:908105:909273:1 gene:Vigun08g010500.v1.2 transcript:Vigun08g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRNFRILFLLLVATMVGHRGEEVKNGTTIATLSHHEGENHEPKGKHNASLNEVLFNTSKDNYRGGGGGGGGGGGFRWGWGGGGGGGGGGGGGGGSGWGWGGGGGGWWKWGCGGEGRHRHKHRHGNGKEYIMGEFAECMGRTRCGGMRLDCPLHCGGPCFYDCLHMCKAHCRRRP >Vigun06g131300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25770869:25771501:-1 gene:Vigun06g131300.v1.2 transcript:Vigun06g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIDRTHRPRSHVYTVEIDGDYVTVTVTSHASVVRRWLNTILYFRRREVYLERLVVGLGVQWTPGGSDPPADTLQLCVGHRCLIFQLAHADTVPNILRVFLNHSSHIFVGFWNHSDRRKLEHSDHGLDMCRDPLDLRLVAVTKNNENLERDSVEEIVEKCLGFEVEQRQEISMSDWDRKYLSHEQIAYATVDAYCAFLVGRNSRVWNV >Vigun06g217700.1.v1.2 pep primary_assembly:ASM411807v1:6:32829897:32838679:-1 gene:Vigun06g217700.v1.2 transcript:Vigun06g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSSSTTAPAASATSPLGSSVISLVNRLQDIFARVGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKPDAPDDEYGEFLHLPGRKFHDFSDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYSGLADSCGVPQLAKKLNQILAQHIKAVLPGLRARISTSLVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHMIEMEMDYINTSHPNFIGGSKALEIAVQQSKSSRAAIPVSRQKDPLESDKGSASERSVKSWSILARQANGVDTDPGVRAASDVEKSVPSGNTGGSSWGISSIFGGGDSRMTVKENMASKPPTEPIHSVEHSFSMINLGQVCVHDTFIVSLSLINMFYRYKPPTEPIHSVEHSFSMINLRQPPPVLRPSESNSETEVIEIAVTKLLLRSYYDIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEIALKRKRCRELLRAYQQAFKDLEELPMEAETVERGYSLPETTGLPKIHGLPTSSMYSTSNSGDYYAASPKYSKSKRSSHSGELPSPMHVAISDSNGSGRPFTSGFYPTVDA >Vigun06g217700.3.v1.2 pep primary_assembly:ASM411807v1:6:32829897:32838679:-1 gene:Vigun06g217700.v1.2 transcript:Vigun06g217700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSSSTTAPAASATSPLGSSVISLVNRLQDIFARVGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKPDAPDDEYGEFLHLPGRKFHDFSDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYSGLADSCGVPQLAKKLNQILAQHIKAVLPGLRARISTSLVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHMIEMEMDYINTSHPNFIGGSKALEIAVQQSKSSRAAIPVSRQKDPLESDKGSASERSVKSWSILARQANGVDTDPGVRAASDVEKSVPSGNTGGSSWGISSIFGGGDSRMTVKENMASKPPTEPIHSVEHSFSMINLGQCGAFILYDQLETATSCLEAI >Vigun06g217700.2.v1.2 pep primary_assembly:ASM411807v1:6:32829897:32838679:-1 gene:Vigun06g217700.v1.2 transcript:Vigun06g217700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSSSTTAPAASATSPLGSSVISLVNRLQDIFARVGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKPDAPDDEYGEFLHLPGRKFHDFSDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYSGLADSCGVPQLAKKLNQILAQHIKAVLPGLRARISTSLVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHMIEMEMDYINTSHPNFIGGSKALEIAVQQSKSSRAAIPVSRQKDPLESDKGSASERSVKSWSILARQANGVDTDPGVRAASDVEKSVPSGNTGGSSWGISSIFGGGDSRMTVKENMASKPPTEPIHSVEHSFSMINLGQVCVHDTFIVSLSLINMFYRYKPPTEPIHSVEHSFSMINLRQPPPVLRPSESNSETEVIEIAVTKLLLRSYYDIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIKKLYRTWRNCQWKLRRLKEDTVCPKQLDCPKFMDCQHHLCTQLAILETIMQLLQSTPSRKGLHIQGNFHHRCMLLYQIPMEVEGHSRQAFIPRLMHELYVT >Vigun02g115500.2.v1.2 pep primary_assembly:ASM411807v1:2:26902021:26906809:-1 gene:Vigun02g115500.v1.2 transcript:Vigun02g115500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSRHHHRAWLTTQDMLQRCPLSAHHRRLHDDADSNRIYVPSLSSAYRLFPVYAMGARDPTPEPELDGPDPIWHAVREEAKLEAEKEPILSSFLYASILAHDCFEQALAFVVANRLQNATLLATQLMDIFCNVILHDKPIQRSIRLDVQAFKDRDPACLSYCSALLYMKGYHALQVHRIAHALWHQGRKVLALALQSRVSEVFGVDIHPAAKIGEGILLDHGTGVVIGETAIVGNRVSLMHGVTLGGTGKEIGDRHPKVGEGALIGASATILGNIKIGEGAMIAAGSLVLKNVPPHSIAAGIPAKVISGLEDHDPSLTMKHDATKDFFTQVATNFRDEKPNGAQNPDRNEVNN >Vigun02g115500.1.v1.2 pep primary_assembly:ASM411807v1:2:26902021:26906809:-1 gene:Vigun02g115500.v1.2 transcript:Vigun02g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSRHHHRAWLTTQDMLQRCPLSAHHRRLHDDADSNRIYVPSLSSAYRLFPVYAMGARDPTPEPELDGPDPIWHAVREEAKLEAEKEPILSSFLYASILAHDCFEQALAFVVANRLQNATLLATQLMDIFCNVILHDKPIQRSIRLDVQAFKDRDPACLSYCSALLYMKGYHALQVHRIAHALWHQGRKVLALALQSRVSEVFGVDIHPAAKIGEGILLDHGTGVVIGETAIVGNRVSLMHGVTLGGTGKEIGDRHPKVGEGALIGASATILGNIKIGEGAMIAAGSLVLKNVPPHSIAAGIPAKVISGLEDHDPSLTMKHDATKDFFTQVATNFRDEKPNGAQNPDRNEVNN >Vigun01g153500.1.v1.2 pep primary_assembly:ASM411807v1:1:33604123:33605534:1 gene:Vigun01g153500.v1.2 transcript:Vigun01g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSSSAAYIRLVHRLIEECILFNMNKEECMEALSKHANIKPVITSTVWKELEKENPEFFEAYSRSRAEKGSGSERETRQRIQNMVLDSSNQRV >Vigun03g148400.1.v1.2 pep primary_assembly:ASM411807v1:3:15244395:15250226:1 gene:Vigun03g148400.v1.2 transcript:Vigun03g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEEVRAAHKREFADFLDQDVGKGIYMDEIKALINHKRHRLIINISDLHNFRDLGNRIIRSPSEYIQPFCDAVTDATRAIDPKYLKEGEQVLVGFEGPFVSRRVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPTTGNFTSREYRDITSNLGLPTGSVYPTRDENGNLLVTEYGLCKYKDHQTLSIQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALAGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDAFDMLSNSLAPSIYGHSWIKKAVILLMLSGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDEDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRRISEHVLRMHRFRSAVDGGEATLNGSSGYGREDEADTDSSIFVKYNRMLHGKKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASENIATAYAELRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVSKSDVEAALKVLNFAIYHKELTEMEEREQEREKELGRKRKADHNENDGPNRGSKDTRGSTLGTDAMEVDETPAAEANVGLTPARIEAFNSLFGQHMRANRLDLIAIADLENVINRGADSPYTAADILLLLERLQDDNRVMIVDGMVHMIS >Vigun03g073600.1.v1.2 pep primary_assembly:ASM411807v1:3:6063077:6069951:-1 gene:Vigun03g073600.v1.2 transcript:Vigun03g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTEFSQTLQSNMALSPVETPKVEEKVSSEHYEWSQWVLNAPDPPTTWHKLKGSVSNTISQYREMCSSLRTRNGPKILLSFLGGIFPILHWAPNYSPTKFRNDLLAGLTIASLCIPQSIGYATLAKLDPEYGLYTSVVPPLMYALMGSSREIAIGPVAVVSLLLSSMIEKLTDPAIDPNGYRKLVFTATFFAGIFQAAFGVFRLGFLVDFVSHAAIVGFMGGAAIIIGLQQLKGLFGINHFTNKTDIISVMKSVWESVHHPWNPRNFVLGCSFFIFILVTRFLGKRNKKLFWLPAISPLLSVLLSTLIVFLTRADKSGVNIVRHIKGGLNPSSINQIELNTPHIGALAKTGLFVAAVALTESVAVGRSFASMKGYHLDGNKEMVSLGFMNIIGCMSSCYVATGSFSRTVVNFTAGCETLASNIVMATVVIISLQCLTKLLYFTPTAILASIILSALPGLIDINEAYKIWKVDKLDFLACVGAFFGVIFASVELGLLVAVAISFTKIIWISIGAGTETLGRLPGTDLFCDVQQYPMAVKTPGVVIIRVKSALLCFSNANSVRERILKWITREDAKGKIEGTTGSIVQLVILDTSNLVSIDTSGIASLEELRKSLVSSGKQLAIANPRWQVIYKLKATNFVARIGGRVFLTIGEAIDCNLDF >Vigun03g073600.2.v1.2 pep primary_assembly:ASM411807v1:3:6063077:6069951:-1 gene:Vigun03g073600.v1.2 transcript:Vigun03g073600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTEFSQTLQSNMALSPVETPKVEEKSIGYATLAKLDPEYGLYTSVVPPLMYALMGSSREIAIGPVAVVSLLLSSMIEKLTDPAIDPNGYRKLVFTATFFAGIFQAAFGVFRLGFLVDFVSHAAIVGFMGGAAIIIGLQQLKGLFGINHFTNKTDIISVMKSVWESVHHPWNPRNFVLGCSFFIFILVTRFLGKRNKKLFWLPAISPLLSVLLSTLIVFLTRADKSGVNIVRHIKGGLNPSSINQIELNTPHIGALAKTGLFVAAVALTESVAVGRSFASMKGYHLDGNKEMVSLGFMNIIGCMSSCYVATGSFSRTVVNFTAGCETLASNIVMATVVIISLQCLTKLLYFTPTAILASIILSALPGLIDINEAYKIWKVDKLDFLACVGAFFGVIFASVELGLLVAVAISFTKIIWISIGAGTETLGRLPGTDLFCDVQQYPMAVKTPGVVIIRVKSALLCFSNANSVRERILKWITREDAKGKIEGTTGSIVQLVILDTSNLVSIDTSGIASLEELRKSLVSSGKQLAIANPRWQVIYKLKATNFVARIGGRVFLTIGEAIDCNLDF >Vigun02g131200.3.v1.2 pep primary_assembly:ASM411807v1:2:28222501:28232397:1 gene:Vigun02g131200.v1.2 transcript:Vigun02g131200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAMAMESTELKVSELLKEVNVDYSPQFTKLVDDTVSAIKASIDKIPNDFKVTADLASRFVSDIGADKVEFKFKKPEFIKTGGSYSIQSIARPEVNADLIIRLPKECFHEKDYLNYRYYAKRCLYLCLIKKYLERSSSIDRVEWSTMQNEARKPLLIVYPAAKLVEVPGFFVRIIPSAKAVFSIAKLNLKRNNIHNLRIDLQATPKYNSSILEDMFIEDAEFVDKYFVGWKELREALILLKVWARQRSSIYVHDCLSGFLISVILAYLASKQHISNSMKATEIFRVTLNFIATSESWNRGLYFPKEGEIHITKEQKVQLKESFPILICHPSGGFNLAFRMSRIGFTRLQDEAAMTLRCLQKCNDGGFEEVFMTKIDYAVKYDYCMRINLKGKNEVFAFGFCLDDECWRSYEDKIHGILSKGLNDRARAIQVTWRNTQCKWSVDDGLSVFDKVPLFIGISVSTLEKAYRMVDIGPNSESKEEALEFQKFWGEKAELRRFKDGRIAESTVWESEQWARHLVLKRISEHVLSRHLSLSSEDIVVVVDQLDFSLIHGGGDPISYAGSLLGAFDVLSKRLRLIEDLPLKVSSVLPLDSAFRFSSVFPPEPHLLANEKIESLRLSKFVPSCIQALEVMIQLEGSGNWPMDEIAIEKTKSSFLFQIGLSLQKKWGMTCTATEDNVDVLMSGYAFRLKILHERGLSLLKKEVGDVQAKQVSSVDKKLFIHSQHANMINGLQSRYPIFGPVARLAKRWAASHLFSACLVEEAVELLVAYLFLNPLPFDVPCSRITGFLRFLRLLSNYDWTFSPLVVDINNDLSQSDEKEIKDNFSLRRKGQGESGQSVGPAMFLATVYDKESEAWTRLSPSGMELKRLVAYARSSANLLTKLTFQEEIGPYRWECLFRTPLNNYDAVILLHKDNLPYPQRLLFPSEVNHGIHVAEGQASKCFQPFFLPKDLKGRAEELKNKLLVDFDPSKCFIRDLKHEFSASFQIWHDYLGGDIIGLTWGESYSSKKRKHEEVVDEEYNPLKVLKTVGEIGKGFVRSVYFLKPPKLRN >Vigun02g131200.4.v1.2 pep primary_assembly:ASM411807v1:2:28223996:28232397:1 gene:Vigun02g131200.v1.2 transcript:Vigun02g131200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLLMLVVMVVNASTSESWNRGLYFPKEGEIHITKEQKVQLKESFPILICHPSGGFNLAFRMSRIGFTRLQDEAAMTLRCLQKCNDGGFEEVFMTKIDYAVKYDYCMRINLKGKNEVFAFGFCLDDECWRSYEDKIHGILSKGLNDRARAIQVTWRNTQCKWSVDDGLSVFDKVPLFIGISVSTLEKAYRMVDIGPNSESKEEALEFQKFWGEKAELRRFKDGRIAESTVWESEQWARHLVLKRISEHVLSRHLSLSSEDIVVVVDQLDFSLIHGGGDPISYAGSLLGAFDVLSKRLRLIEDLPLKVSSVLPLDSAFRFSSVFPPEPHLLANEKIESLRLSKFVPSCIQALEVMIQLEGSGNWPMDEIAIEKTKSSFLFQIGLSLQKKWGMTCTATEDNVDVLMSGYAFRLKILHERGLSLLKKEVGDVQAKQVSSVDKKLFIHSQHANMINGLQSRYPIFGPVARLAKRWAASHLFSACLVEEAVELLVAYLFLNPLPFDVPCSRITGFLRFLRLLSNYDWTFSPLVVDINNDLSQSDEKEIKDNFSLRRKGQGESGQSVGPAMFLATVYDKESEAWTRLSPSGMELKRLVAYARSSANLLTKLTFQEEIGPYRWECLFRTPLNNYDAVILLHKDNLPYPQRLLFPSEVNHGIHVAEGQASKCFQPFFLPKDLKGRAEELKNKLLVDFDPSKCFIRDLKHEFSASFQIWHDYLGGDIIGLTWGESYSSKKRKHEEVVDEEYNPLKVLKTVGEIGKGFVRSVYFLKPPKLRN >Vigun02g131200.1.v1.2 pep primary_assembly:ASM411807v1:2:28222501:28232397:1 gene:Vigun02g131200.v1.2 transcript:Vigun02g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAMAMESTELKVSELLKEVNVDYSPQFTKLVDDTVSAIKASIDKIPNDFKVTADLASRFVSDIGADKVEFKFKKPEFIKTGGSYSIQSIARPEVNADLIIRLPKECFHEKDYLNYRYYAKRCLYLCLIKKYLERSSSIDRVEWSTMQNEARKPLLIVYPAAKLVEVPGFFVRIIPSAKAVFSIAKLNLKRNNIHNLSNGIDLQATPKYNSSILEDMFIEDAEFVDKYFVGWKELREALILLKVWARQRSSIYVHDCLSGFLISVILAYLASKQHISNSMKATEIFRVTLNFIATSESWNRGLYFPKEGEIHITKEQKVQLKESFPILICHPSGGFNLAFRMSRIGFTRLQDEAAMTLRCLQKCNDGGFEEVFMTKIDYAVKYDYCMRINLKGKNEVFAFGFCLDDECWRSYEDKIHGILSKGLNDRARAIQVTWRNTQCKWSVDDGLSVFDKVPLFIGISVSTLEKAYRMVDIGPNSESKEEALEFQKFWGEKAELRRFKDGRIAESTVWESEQWARHLVLKRISEHVLSRHLSLSSEDIVVVVDQLDFSLIHGGGDPISYAGSLLGAFDVLSKRLRLIEDLPLKVSSVLPLDSAFRFSSVFPPEPHLLANEKIESLRLSKFVPSCIQALEVMIQLEGSGNWPMDEIAIEKTKSSFLFQIGLSLQKKWGMTCTATEDNVDVLMSGYAFRLKILHERGLSLLKKEVGDVQAKQVSSVDKKLFIHSQHANMINGLQSRYPIFGPVARLAKRWAASHLFSACLVEEAVELLVAYLFLNPLPFDVPCSRITGFLRFLRLLSNYDWTFSPLVVDINNDLSQSDEKEIKDNFSLRRKGQGESGQSVGPAMFLATVYDKESEAWTRLSPSGMELKRLVAYARSSANLLTKLTFQEEIGPYRWECLFRTPLNNYDAVILLHKDNLPYPQRLLFPSEVNHGIHVAEGQASKCFQPFFLPKDLKGRAEELKNKLLVDFDPSKCFIRDLKIHIIYHLQHEFSASFQIWHDYLGGDIIGLTWGESYSSKKRKHEEVVDEEYNPLKVLKTVGEIGKGFVRSVYFLKPPKLRN >Vigun02g131200.2.v1.2 pep primary_assembly:ASM411807v1:2:28222495:28232496:1 gene:Vigun02g131200.v1.2 transcript:Vigun02g131200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAMAMESTELKVSELLKEVNVDYSPQFTKLVDDTVSAIKASIDKIPNDFKVTADLASRFVSDIGADKVEFKFKKPEFIKTGGSYSIQSIARPEVNADLIIRLPKECFHEKDYLNYRYYAKRCLYLCLIKKYLERSSSIDRVEWSTMQNEARKPLLIVYPAAKLVEVPGFFVRIIPSAKAVFSIAKLNLKRNNIHNLSNGIDLQATPKYNSSILEDMFIEDAEFVDKYFVGWKELREALILLKVWARQRSSIYVHDCLSGFLISVILAYLASKQHISNSMKATEIFRVTLNFIATSESWNRGLYFPKEGEIHITKEQKVQLKESFPILICHPSGGFNLAFRMSRIGFTRLQDEAAMTLRCLQKCNDGGFEEVFMTKIDYAVKYDYCMRINLKGKNEVFAFGFCLDDECWRSYEDKIHGILSKGLNDRARAIQVTWRNTQCKWSVDDGLSVFDKVPLFIGISVSTLEKAYRMVDIGPNSESKEEALEFQKFWGEKAELRRFKDGRIAESTVWESEQWARHLVLKRISEHVLSRHLSLSSEDIVVVVDQLDFSLIHGGGDPISYAGSLLGAFDVLSKRLRLIEDLPLKVSSVLPLDSAFRFSSVFPPEPHLLANEKIESLRLSKFVPSCIQALEVMIQLEGSGNWPMDEIAIEKTKSSFLFQIGLSLQKKWGMTCTATEDNVDVLMSGYAFRLKILHERGLSLLKKEVGDVQAKQVSSVDKKLFIHSQHANMINGLQSRYPIFGPVARLAKRWAASHLFSACLVEEAVELLVAYLFLNPLPFDVPCSRITGFLRFLRLLSNYDWTFSPLVVDINNDLSQSDEKEIKDNFSLRRKGQGESGQSVGPAMFLATVYDKESEAWTRLSPSGMELKRLVAYARSSANLLTKLTFQEEIGPYRWECLFRTPLNNYDAVILLHKDNLPYPQRLLFPSEVNHGIHVAEGQASKCFQPFFLPKDLKGRAEELKNKLLVDFDPSKCFIRDLKHEFSASFQIWHDYLGGDIIGLTWGESYSSKKRKHEEVVDEEYNPLKVLKTVGEIGKGFVRSVYFLKPPKLRN >Vigun01g099600.1.v1.2 pep primary_assembly:ASM411807v1:1:26639890:26642273:1 gene:Vigun01g099600.v1.2 transcript:Vigun01g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISLLLADFVLSFMWVCSGVLLRVIVFKHLGFGHSPLAEVIKTTFSVANMFFFAFLVKISRGGAYNPLTVLADSITGNFNNFLYCVGARIPAQVVGSIVGVKFLIDTIPEVGQGPRLNVDIHQGALTEGLLTFAIVTISLGLATTIRENFFMKTWISSLTKLTLHILGSDLTGGCMNPASVMGWAYARGDHITKEHFFVYWLAPIEATILAVWTFKLLVQPAKEDNTTKKNKSD >Vigun09g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6411408:6412787:-1 gene:Vigun09g061700.v1.2 transcript:Vigun09g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIFRLWFALLTIIGVSHSSSILSIPLYQRSMVKHETVMEHEEANAEATADIFPADDAYAMFLWVGTPVQILFLLIHTGSPITWFQCGPCTHCYPMHRPLFNSRASTSFRQLGCYSDTCLIPMMRGIFGNCTGRSCRYSVEYDMKSQSRSFGVMVTDTLNFEHSNVEVKDFVMGCGNSYEGPFRTQFSGVLGLGRGPLSMQSQLHAKAFSFCVVSVGSEKPSSLEFYETLPKQHGNTNKSIMVPLRENSRYPFDYFVQLVGIGINGFMLDIPSRVWGYGLNYDGGVVIDTGKVLTYLPSEAYSAFRSEMLRTDGNFTEKKGYGELEFCYVESPTNVYPTVEFYFENGNIAGENIVSFKLNNRQLLITPQEGIVCLSFAEAKDSALTVIGTNHLQGTLLTYNLVDEIIIFTYNNC >Vigun03g161300.1.v1.2 pep primary_assembly:ASM411807v1:3:17925325:17929674:1 gene:Vigun03g161300.v1.2 transcript:Vigun03g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTLVANKIFKKRLGSTNFIADYPSCKEPLLGIVDFDHNSRSIHNDHKGTQKCKVFVSTWNVGGIAPEEDLNIDDLLETCNDSCDIYILGFQEIVPLKASNVLGSENNDISMKWNSIIREALNKKSHKRRGKDQSDGENLQNKCLNEKEKSAKFCEAPHDDVQCIISKQMVGIFISVWTRRDLCPFIRHPSVSCVGCGIMGCLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKYRNSNVTEIFSRTSFPRGPLLDLPRTILDHDHVILLGDLNYRISLPEETTRLLVEKGDWDSLLENDQLKMELMSGNTLRGWHEGAIEFAPTYKYCPNSDIYYGCFYQGKKVEKRRAPAWCDRIVWHGEGLKQVEYTRIESKLSDHRPVKAMFTAEVRVLPKFMKNLQSLFLSERFDQIKTPFEVSTTDDFVRTKRSSFRL >Vigun05g214100.2.v1.2 pep primary_assembly:ASM411807v1:5:40511629:40514456:1 gene:Vigun05g214100.v1.2 transcript:Vigun05g214100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEEDVPMPLSPMADYFSSSLINVFVMAVAESEIPIDESKVLPLLKNKLLPICSRFSSIMVTEKNGKKVWKQVDVNLKDHVKIPKFTSNNRTLKLYDQCFDEYMEKIAMEQIREDKPLWEVHLFKYPTSKCEGTFIFKLHHALGDGFSFMTTFLSIVESADNPSIPVKFPSSKSSTSTNGMPKQLSQTASVMFKSAVDFGWSLLKNSLIPDQQTPLRSGHEDVGFRPMRIVNVSLSLDSIKEVKNKLKVSVNDVLVGAIFLGIELYMKAKNHRSRSGESTALVLLNTRKIRAYIPAKEMHATNSTAPWGNRFHFMHVPLPMLSHNKKLNPLEFVLDAKKNINRQRNSLAVPMTGVLLRLLNQIKGPQAATKYMYKIMNNASLSISHMVGPSEKVSLADHPINGFYFMTVGLSQSMTVTITSYMGYLRVGFGVEEGFIDEYQLKSCFEISLQMILEAAKNLPI >Vigun02g171800.1.v1.2 pep primary_assembly:ASM411807v1:2:31445670:31452917:1 gene:Vigun02g171800.v1.2 transcript:Vigun02g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFTPPPPMSSSQHNHVKRMLDSNRFKSPSKTIYSDRFIPSRSASNFALFNLTPSTEDGCSCSPYGSALRSALFGPSTPNKFESPNIFRFKSETRQSMHSLSLTPFTSQDVLLPGYDYNHKPLKRPRKIPPSSFKVLDAPALQDDFYLNLVDWSASNVLAVALENSVYLWNASSSKVTKLCDLGVDDSVCSVGWAPLGTYLAVGSNSGKVQIWDVSQGKSIRTMEGHRLRVGTLAWSSSLLSSGSRDKNIYQRDIRVQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLLVWNQNSTQPILKFCEHTAAVKAIAWSPHSSGLLASGGGTADRSIRFWSTTTNSPLNCIDTGSQVCNLVWSKNVNELVSTHGYSQNQIMVWKYPTMSKLATLTGHTYRVLYLSISPDGQTIVTGAGDETLRFWNVFPSRKSQNTESEIGASSFGRSIIR >Vigun02g171800.2.v1.2 pep primary_assembly:ASM411807v1:2:31445670:31452917:1 gene:Vigun02g171800.v1.2 transcript:Vigun02g171800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFTPPPPMSSSQHNHVKRMLDSNRFKSPSKTIYSDRFIPSRSASNFALFNLTPSTEDGCSCSPYGSALRSALFGPSTPNKFESPNIFRFKSETRQSMHSLSLTPFTSQDVLLPGYDYNHKPLKRPRKIPPSSFKVLDAPALQDDFYLNLVDWSASNVLAVALENSVYLWNASSSKIWDVSQGKSIRTMEGHRLRVGTLAWSSSLLSSGSRDKNIYQRDIRVQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLLVWNQNSTQPILKFCEHTAAVKAIAWSPHSSGLLASGGGTADRSIRFWSTTTNSPLNCIDTGSQVCNLVWSKNVNELVSTHGYSQNQIMVWKYPTMSKLATLTGHTYRVLYLSISPDGQTIVTGAGDETLRFWNVFPSRKSQNTESEIGASSFGRSIIR >Vigun11g201900.2.v1.2 pep primary_assembly:ASM411807v1:11:39980567:39986993:1 gene:Vigun11g201900.v1.2 transcript:Vigun11g201900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFAAVTLTLISLTCHLCASDLCNLNPINNNSSSSSFIHSFIFSLSISFSNSVDDSINGQTSIPITMEIQAAAAAAAAAATDRNKGLNWGGAAATETQRDLPYAGFDRGSFLAWQDLRVVLPNFGKGPTKRLLNGLNGYAEPGRIMAIMGPSGSGKSTLLDALAGRLSKNVVMTGNVLLNGKKKGLGYGGVAYVTQEDVLLGTLTVKETVSYSAHLRLPTSMSKEEINNLVDGTIIEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTMPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFTLFDDLFLLSGGETVYFGEAKSAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDIVTATLKGSQRIHDVPNSADPFMNLATAEIKSMLVEKYRRSTYARRAKTRIQELSTDEGLEPQPQNGSQASWWKQLSTLTKRSFVNMCRDVGYYWLRIIIYIIVSICVGTVYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVAAYILANFLSSFPFLVAIALTSSIITYNMVKFRPGISHFVFFTINIYSCISVIESLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGSWAIQGSYKNDLLGLEFEPLIPGDQKLTGEYVITHMLGIELNHSKWWDLAALFVILIVYRVLFFTVLKFKERASPLFQTLYAKRTIQQLEKRPSFRKMPSFPSQRHQPLHSLSSQDGLDSPLN >Vigun11g201900.3.v1.2 pep primary_assembly:ASM411807v1:11:39980896:39986993:1 gene:Vigun11g201900.v1.2 transcript:Vigun11g201900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQAAAAAAAAAATDRNKGLNWGGAAATETQRDLPYAGFDRGSFLAWQDLRVVLPNFGKGPTKRLLNGLNGYAEPGRIMAIMGPSGSGKSTLLDALAGRLSKNVVMTGNVLLNGKKKGLGYGGVAYVTQEDVLLGTLTVKETVSYSAHLRLPTSMSKEEINNLVDGTIIEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTMPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFTLFDDLFLLSGGETVYFGEAKSAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDIVTATLKGSQRIHDVPNSADPFMNLATAEIKSMLVEKYRRSTYARRAKTRIQELSTDEGLEPQPQNGSQASWWKQLSTLTKRSFVNMCRDVGYYWLRIIIYIIVSICVGTVYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVAAYILANFLSSFPFLVAIALTSSIITYNMVKFRPGISHFVFFTINIYSCISVIESLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGSWAIQGSYKNDLLGLEFEPLIPGDQKLTGEYVITHMLGIELNHSKWWDLAALFVILIVYRVLFFTVLKFKERASPLFQTLYAKRTIQQLEKRPSFRKMPSFPSQRHQPLHSLSSQDGLDSPLN >Vigun11g201900.1.v1.2 pep primary_assembly:ASM411807v1:11:39980567:39986993:1 gene:Vigun11g201900.v1.2 transcript:Vigun11g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFAAVTLTLISLTCHLCASDLCNLNPINNNSSSSSFIHSFIFSLSISFSNSVDDSINVPTSLGQTSIPITMEIQAAAAAAAAAATDRNKGLNWGGAAATETQRDLPYAGFDRGSFLAWQDLRVVLPNFGKGPTKRLLNGLNGYAEPGRIMAIMGPSGSGKSTLLDALAGRLSKNVVMTGNVLLNGKKKGLGYGGVAYVTQEDVLLGTLTVKETVSYSAHLRLPTSMSKEEINNLVDGTIIEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTMPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFTLFDDLFLLSGGETVYFGEAKSAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDIVTATLKGSQRIHDVPNSADPFMNLATAEIKSMLVEKYRRSTYARRAKTRIQELSTDEGLEPQPQNGSQASWWKQLSTLTKRSFVNMCRDVGYYWLRIIIYIIVSICVGTVYFDIGYSYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVAAYILANFLSSFPFLVAIALTSSIITYNMVKFRPGISHFVFFTINIYSCISVIESLMMVVASLVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGSWAIQGSYKNDLLGLEFEPLIPGDQKLTGEYVITHMLGIELNHSKWWDLAALFVILIVYRVLFFTVLKFKERASPLFQTLYAKRTIQQLEKRPSFRKMPSFPSQRHQPLHSLSSQDGLDSPLN >Vigun01g149100.2.v1.2 pep primary_assembly:ASM411807v1:1:33053433:33059029:-1 gene:Vigun01g149100.v1.2 transcript:Vigun01g149100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLISKRLLRCSSGSLPSLGRCYFNSPNAGSAAFYKSRDVYSRLSGEGLRSAECCLVRSVIRRFSTSILTPGPDEGAFPSDLLSRKTLATSERTIGLCQDLLIPVTNFHNEDKGFMVLPGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWKQKGTGRARHGSLRGPQFRGGATMHGPKPRSHAFKVNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTRNIVNYYNQMGNTKKLLLVDGGPIDEKLKLSTQNLHYVNILPSIGLNVYSILLHDTLAMSRAAVNRIVERMRTPINR >Vigun01g149100.1.v1.2 pep primary_assembly:ASM411807v1:1:33053414:33059029:-1 gene:Vigun01g149100.v1.2 transcript:Vigun01g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLISKRLLRCSSGSLPSLGRCYFNSPNAGSAAFYKSRDVYSRLSGEGLRSAECCLVRSVIRRFSTSILTPGPDEGAFPSDLLSRKTLATSERTIGLCQDLLIPVTNFHNEDKGFMVLPGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWKQKGTGRARHGSLRGPQFRGGATMHGPKPRSHAFKVNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTRNIVNYYNQMGNTKKLLLVDGGPIDEKLKLSTQNLHYVNILPSIGLNVYSILLHDTLAMSRAAVNRIVERMRTPINR >Vigun11g181000.1.v1.2 pep primary_assembly:ASM411807v1:11:38467454:38472871:1 gene:Vigun11g181000.v1.2 transcript:Vigun11g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKAWRRLFYVAVFEAALIGMLIGKGSGHDYWDALSKAIIFFEGQRSGVLPQDQRMSWRQNSGLSDGWNYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVLEFGDLMPPNELRNALVAVRWATDYLLKTLSQPNRIFVQVGDPNSDHDCWERPEDMDTARTTYAIDAPNAASDVAGETAAALAASSMAFRSSDPGYSETLLRNAITAFEFADNYRGAYSDNENLKYNACPYYCDFNGYQDELLWGAAWLRRATQDDNFLNYIESNGKTLGAEDTINEFGWDNKHAGLNVLLSKEVIEGNMYSLESYKSSAESFICTMIPESPSSNMEYTPGGLVYRSGGSNLQHATSIAFLELVYANYLTHTSQVITCGNVYVSAQTLRQHAKRQVDYILGDNPMGLSYMVGYSNYYPQRIHHRASSLPSIKDHPHFIACKEGSIYYNSTNPNPNLLVGAIVGGPDQNDDYVDDRFDFRESEPTTYINAPFVGVLAYFAANPSFS >Vigun09g084500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10676096:10677358:-1 gene:Vigun09g084500.v1.2 transcript:Vigun09g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPSEHDSTAGGDERSNSLLTNARCSFCFTCCFGSRRRSASVGFAWWERVRATSSLSDSHSEPATGSPVARRWWSPGATALMKVREWSELAAGPRWKTFIRRFNRTRSGGSRHAPGKYQYDPLSYALNFDEGHNGDFDDEGYDGLRNFSTRYAAAPPLKSVSTNSNQNVAVLD >Vigun04g037900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3175411:3178327:1 gene:Vigun04g037900.v1.2 transcript:Vigun04g037900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCFQQQRLQPLPSCQILPFSGGLQFQLSFSAFKAKKRVSVPEAKLNYKPQLRVSKRAPKQSRDHPKLLSPDADVDFSSELSAAQCNAILKRLEENAEDDAKTLSFFEKMRETGKLERNAGAYSVMLRVLSRRGDWEGAEKLISEMKVSFGSELSFNVFNTVIYACCKRNMVKLGTKWFRMMLDCGVAPNVATIGMLMGLYRKGWNLEEAEFAFSQMRGFGIVCESAYSSMITIYTRFRLYEKALGVIELMRKDEVVPNLENWLVMLNAYSQQGKLEDAERVLEAMQKAGFSANIIAYNTMITGYGKAGEMDSAQRLFMRMQQSLQLDPDETTYRSMIEGWGRADNYEYATRYYKELKELGFKPSSSNLFTLIKLEAKYGDDEAVVEILDDMVECGCQYSSIIGTLLHVYESAGKVHKVPHLLKGVFYQHVLVNQSSCSTLVMAYVKHRLVDDALKVLNDKKWRDPRYEDNLYHLLICSGKEAGFLDDAVKIYTQMPKCDDNPNLHIACTMIDIYSVMGLFKDAEVLYLKLKSSGVVLDMIAFSIVIRMYVKAGSLNDACAVLDAVQKRSDIVPDKFLLCDMLRIYQRCNMVDKLSDLYYKISKNREDFDQELYNCVINCCAQALPVDELSRLFDEMIQREFVPSTITFNVMLDVLGKAKLFKKVRRLYFMAKKEGLVDVITYNTIIAAYGKNKDFDNMSLTVQKMEFDGFSVSLEAYNSMLDAYGKNGQMETFRSVLQRMKDSNCASDHYTYNTMINIYGEQGWIDEVANVLAELKECGLRPDLCSYNTLIKAYGIAGMVEEAVGLIKEMRKNGIEPDKKTYTNLITALRRNDNFLEAVKWSLWMKQMKL >Vigun04g037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3175397:3178326:1 gene:Vigun04g037900.v1.2 transcript:Vigun04g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDEVVPNLENWLVMLNAYSQQGKLEDAERVLEAMQKAGFSANIIAYNTMITGYGKAGEMDSAQRLFMRMQQSLQLDPDETTYRSMIEGWGRADNYEYATRYYKELKELGFKPSSSNLFTLIKLEAKYGDDEAVVEILDDMVECGCQYSSIIGTLLHVYESAGKVHKVPHLLKGVFYQHVLVNQSSCSTLVMAYVKHRLVDDALKVLNDKKWRDPRYEDNLYHLLICSGKEAGFLDDAVKIYTQMPKCDDNPNLHIACTMIDIYSVMGLFKDAEVLYLKLKSSGVVLDMIAFSIVIRMYVKAGSLNDACAVLDAVQKRSDIVPDKFLLCDMLRIYQRCNMVDKLSDLYYKISKNREDFDQELYNCVINCCAQALPVDELSRLFDEMIQREFVPSTITFNVMLDVLGKAKLFKKVRRLYFMAKKEGLVDVITYNTIIAAYGKNKDFDNMSLTVQKMEFDGFSVSLEAYNSMLDAYGKNGQMETFRSVLQRMKDSNCASDHYTYNTMINIYGEQGWIDEVANVLAELKECGLRPDLCSYNTLIKAYGIAGMVEEAVGLIKEMRKNGIEPDKKTYTNLITALRRNDNFLEAVKWSLWMKQMKL >Vigun05g075300.1.v1.2 pep primary_assembly:ASM411807v1:5:6783785:6794115:-1 gene:Vigun05g075300.v1.2 transcript:Vigun05g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFASNNDISDFGYNRLEIKELPKVDLDKMKKEMLSSHYKGGKILLTSRNKQVLCNEMDVQQSSIFSVGVLDEKEAETLLKKVAGVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKNKSLSTWEDVCQQIKRQNFTSEWGFTDFSIKLSYDHLKNEELKCIFLHCARMGNDALIMDLVKFCVGLNLLPGVHTITGARKRVKEMIQELEESSLLVKSYSIDRFNMHDIVRDVALSISSKEKDVLYMKNAILDEWPHENDFERYSAIFVHYCDINDKLPESIQCPRLEVLQIYNKSESFEIPDDFFKSMIRLRVLVLTGINLSCLPSSIKCLKKLRMLCLEGCTLEENLSIIGELKNLRILTFSGSNIESLPLEFGQLDKLQFFDISNCSKLRQITSNIIPRMTILEEFYIRDNLILWEAEDNMKNENASLSELRYLNKLQNLDIHIHSSSHFSQNLFFDRLNSYKIVIGEFNWFNMLKVEEFKIPDKYEEVKFLALNLKRGIDIHFEKWAKMSFKSVEYLLLGELNDVQDIFYELNVEGFPNLKHLSIVNNFDINYIINPRERFHSLSAFPKLESIWLYKLDKLEIICYNQLVETSFCNLKVIKIKTCMKLVNLFVFSMVRLLTALETIEVCDCDSLKEIVSKERKTHTISDGNIEFPQLRLLTLKYLPTFICLYNVDKIPGSAHSLQDQVFQQRNKDIVVDVEDMVTNYCLPLFNEKVSTPKLEWLELSSINIHKIWSDQCNHCFQNLLTLNVTDCGNLKYLLSFSMVGSLVNLQSLFVSECETMEDIFRPEDEEHIDIFPKLKKMEIINMDKLNTIWKSHFGLHSFCSLDSLIIKECHKLIMIIPGYMMQRFRSLQSLTIINCKLVENIFDFTNIPQTSDITETNFDNILLEKLPNLVNVWKDDIGEIPKYKNLQRIKIVDSPNLKCLFPLSIANDLEKLEILEVWNCWVMKEIVACNKHSSGSDINFRFPHLNTLSLINLYDLRSFFSETHTLEWPSLKKLDIANCSMLEGFTSEITNIQEQTIALAIKKVIYNMEYMSMSLKEAEWLQIYIVNVHRMHKLEELTLYGLKDNEILFWFLHRLPNLKRLTLGLCHLKRIWALQSLISHGKIGGVVQLKELKLESMWSLEEIGFEHEVLLQRVERLSIQRCTKLKTLVSSSVTFSYLTYLEVMNCKLMRNLMTCSVAKTLVQLTTMKVCSCPMIMEIVAENKVEKVQEIEFKQLKSLELVSLQNLTSFSTITKCELKFPLLEKLVVSECPLMTKFAEVQSAPNLQKVLVEAGEKDKWYWEGDLNATLQTHFSDQVSFEYSKHARLVDYPERKDVGLGKSAFPDNFFGCLKKLEFGEACRRNILIPSYLLPYLRNLEELNVENCKATQVIFDMDESELKMMGMIFRLKKLNLKNLSNLKCVWKENSGGIVSFPNLHRVDVNGCGTLVTLFSSSLAKNIKKLETLTITKCEKLVEIVGKEDGTEDGTRIIFEFPCFLVLCVDNVPLLSCFYPGKHHLKCPLLQGLFVGNCPKLKLFTSEFDDNQRATFAKTILLQPLFSVEILASPKLVKLLLNEENIMLLRDADLPKDLFCKLNLLWLHFEDDNEKDI >Vigun05g010100.1.v1.2 pep primary_assembly:ASM411807v1:5:825539:829898:1 gene:Vigun05g010100.v1.2 transcript:Vigun05g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLQPEDSDVSQQSRAVAVAASDLVSDDDRSVAADSWSIKSEYGSTLDDDQRHADAAEALSNANLRPNSDYSSDKDEPDSEAVSSMLGFQSYWDAAYADELTNFREHGHTGEVWFGVDVMEVVASWTKALCVEISQGRIPNDVDAVKAEASELDDKLLSSWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSERAVNLAQSLADRDGFSNIKFLVDDVLETKLEQEFQLVMDKGTLDAIGLHPDGPVKRMMYWDSVSKLVAPGGILVITSCNSTKDELIQEVESFNQRKISTAQEIEASKDEESCREPPFQYVSHVRTYPTFMFGGSVGSRVATVAFLRK >Vigun06g197100.2.v1.2 pep primary_assembly:ASM411807v1:6:31249102:31260113:1 gene:Vigun06g197100.v1.2 transcript:Vigun06g197100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGSSSVSELGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLEIALRPVQGSGRDITAKNEIRDSVRALFPDRECFTLVRPLNNENDLQRLDQISLEKLRPEFRSGLDALTKFVFERTRPKQVGATMMTGPVLIGITESYLDALNHGAVPTISSSWQSVEEAECQKAYDSAADIYLSSFDRTKPPEEVSLREAHEKAVRISMGAFTANAVGVGVVRTKYEGMLHRFFKKEFEDYKQNAYIEADLQCSNAIQSMEKRLRAACNSSDAKIDNVAKVFDALLCEYEKSVQAPGKWQKLAVFLHQSFEGPVLDLTRRLVDKVESDKSSLNLNRRLIEDKMTLLYKRLETSENEKSEYIKRYEDAINDKKELTDQYMNSITDLRTSCCSLDERYSSLSKTLDSTKQGTMDWKRKYEQVLLRQKSEEDQASSELAALKSDSSAAETRLAAAREQSLSAQEEAAEWKRKYDIAVTEVKAALEKAATVQDYTNKQTQLREDALREEFSCTLVEKEDRLKEKAAKIEHGERCLTTLKLQLKAAESKIKNYETEISPLRLEIIKLTERLKAENARALSYEKDVMVMQQEISHLKEKYKSECKTFEEVKEICQNAEKEAVRVTEVADKARAEAALAQKEKSEMQRLAMERLALIERAERKIENLEREKGNLENELRRVGVSERDALLRVSTLEEKVEQREKDIDSLLEKDGTHRRNSTQILDQLLETERGACAQAISRADSLSLQLQSAQVKIDSLHQELTKFRLNETILDSELKTASRGKRLRVDDDDEGAESVQDMDSSPRILKGTKRSKTTSIPPKFTSPEDNGSIGGDEDIHSQHTDVDDYKKFTVQKLRQELTKHNYGDQLLELRNPNKKAILTLYEKCVLQKS >Vigun06g197100.1.v1.2 pep primary_assembly:ASM411807v1:6:31247574:31260113:1 gene:Vigun06g197100.v1.2 transcript:Vigun06g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINFFSRRRDNPADFSPSATPSSSPVTGPARPIRLVYCDENGQFRMDPEAVAILQLVKEPVGVVSVCGRARQGKSFILNQLLGRSSGFHVASTHRPCTKGLWLWSTPLKRTSLDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGSSSVSELGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLEIALRPVQGSGRDITAKNEIRDSVRALFPDRECFTLVRPLNNENDLQRLDQISLEKLRPEFRSGLDALTKFVFERTRPKQVGATMMTGPVLIGITESYLDALNHGAVPTISSSWQSVEEAECQKAYDSAADIYLSSFDRTKPPEEVSLREAHEKAVRISMGAFTANAVGVGVVRTKYEGMLHRFFKKEFEDYKQNAYIEADLQCSNAIQSMEKRLRAACNSSDAKIDNVAKVFDALLCEYEKSVQAPGKWQKLAVFLHQSFEGPVLDLTRRLVDKVESDKSSLNLNRRLIEDKMTLLYKRLETSENEKSEYIKRYEDAINDKKELTDQYMNSITDLRTSCCSLDERYSSLSKTLDSTKQGTMDWKRKYEQVLLRQKSEEDQASSELAALKSDSSAAETRLAAAREQSLSAQEEAAEWKRKYDIAVTEVKAALEKAATVQDYTNKQTQLREDALREEFSCTLVEKEDRLKEKAAKIEHGERCLTTLKLQLKAAESKIKNYETEISPLRLEIIKLTERLKAENARALSYEKDVMVMQQEISHLKEKYKSECKTFEEVKEICQNAEKEAVRVTEVADKARAEAALAQKEKSEMQRLAMERLALIERAERKIENLEREKGNLENELRRVGVSERDALLRVSTLEEKVEQREKDIDSLLEKDGTHRRNSTQILDQLLETERGACAQAISRADSLSLQLQSAQVKIDSLHQELTKFRLNETILDSELKTASRGKRLRVDDDDEGAESVQDMDSSPRILKGTKRSKTTSIPPKFTSPEDNGSIGGDEDIHSQHTDVDDYKKFTVQKLRQELTKHNYGDQLLELRNPNKKAILTLYEKCVLQKS >Vigun03g032200.1.v1.2 pep primary_assembly:ASM411807v1:3:2462594:2466548:1 gene:Vigun03g032200.v1.2 transcript:Vigun03g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFYLSLLLLFVSLVTTFFFFLFHKHRSPFSAPNLPPGATGFPVIGESLQFLSTGWKGHPEKFIFDRMIKYSSDLFKTSILGEPAVVFCGAASNKFLFSNENKLVAMWWPESVNKVFPTTALTNSMEESKKMRKLLPQFLKPEALQRYVPIMDTIAQTHFSSLWDNHTHLTVYPLAKRYTFLLACRLFMSVEDENHVAKFEKPFHLLASGIISVPIDLPGTPFNKAIKAAKSIRKELLKIIRERKVGLGEGKASATQDILSHMLLTCDENGHFMTELDIADKILGLLIGGHDTASAACTFVVKFLAELPHIYDAVYREQMEIAKSKLPGELLNWDDINKMKYSWNVACEVMRIAPPLQGGFREVMHDFTFNGFSIPKGWKLYWSANATHKNPEYFEEPEKFDPSRFEGKGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKLIPDEKIIVDPMPIPANNLPIRLYPHKP >Vigun11g117800.1.v1.2 pep primary_assembly:ASM411807v1:11:32386895:32390358:1 gene:Vigun11g117800.v1.2 transcript:Vigun11g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSFYLARQNKENQTPRCYYNLMEHKTLLHRMMEVFFCCCLLLQLCINQSLSINERWFLKATLVSCSSAIGSKFSLPQILGYKAMSHKEPNSSCFQENTYTDLFKMKMKL >Vigun11g117800.2.v1.2 pep primary_assembly:ASM411807v1:11:32386895:32390376:1 gene:Vigun11g117800.v1.2 transcript:Vigun11g117800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSFYLARQNKENQTPRCYYNLMEHKTLLHRMMEVFFCCCLLLQLCINQSLSINERWFLKATLVSCSSAIGSKFSLPQILGYKAMSHKEPNSSCFQLLQVCFT >Vigun11g117800.3.v1.2 pep primary_assembly:ASM411807v1:11:32387201:32390358:1 gene:Vigun11g117800.v1.2 transcript:Vigun11g117800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSFYLARQNKENQTPRCYYNLMEHKTLLHRMMEVFFCCCLLLQLCINQSLSINERWFLKATLVSCSSAIGSKFSLPQILGYKAMSHKEPNSSCFQENTYTDLFKMKMKL >Vigun10g080000.3.v1.2 pep primary_assembly:ASM411807v1:10:21897512:21928896:1 gene:Vigun10g080000.v1.2 transcript:Vigun10g080000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTMSMAATSREKLASLLNAAKLASDIPSKLESLRQLRHELPPEDPVLLTEFLPSLFFFHSDPFGPVRKFVTEMLGEIGLKNTEFLSDIVPVLIEVLNDDTPAVVRQALLCGIELFRGTLEKIVVQGLYSSDLDGALESAWEWMLKFKEKVYSIAFQHGSGGAKLLALKFVEAVVRLYTPDPSGSSEPTSHQGKPVEFNISWLRRGHPVLNIGDLKIEASHSVGLLLDQLRFSNVKSLSNSVIIVLIKSLSAIANERPAFYGRILPVLLSLQPSSTVVNGFCVSAAHLALKNAFLTCSKCTHPSAAPWRDRLAGALKEIQSEGKADRVFHLISSSNGSIEREKDDQPVIKEEEPAINSDDSVHSNLARKRSGSQIEGDLAEDVPGKRVRATIDALEEPKKELDERTISNSQDETPSNVPASSKGDMDNGPVRQLVATFGALIAQGEKAVGHLEILISSISADLLAEVVMANMQNLPPKFPNTEGNEQLQDINMIGSDDKAKYPPSFVAAVMSLSSTFPPIASLLDAQQSVSNEIEKSQGEEEISATAVNSGAVHSGMNLVSENVPSPTDFPTSDASIPGVENGCTTMPPDIHDVGNSESGIPGLDSFGRSDALSQSFDPSLLASTEVGLEDGSQEQDTSLDLRSPLNLAPSVSTDRSEELSPKAAVRDVNSMVSSTATSVVLPSRLILPKMIAPVVELEDEQKDHLQKSCFMRIIDAYKQIAAAGGSKVRFSILAYLGVEFPLELDPWQLLQKHILIDYTSHEGHELTLRVLYRLFGEAEEEPDFFSSTTAASVYEKFLLTVAEALKDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDRGEKELQSLNADRVTQGLSAVWSLILLRPPIRNTCLQIALQSAVHHMEEVRMKAIRLVANKLYPLSSISQQIEDFAKEMLFSVTSSDVSELTDAEGSIADSQKGPDVEKVSNEQSSLSGSTKDVSDNRQSCTSESVSPDSVSEAQRCMSLYFALCTKKHSLFRQIFVIYRSTSKAVKQAVHRQIPILVRTMGSSLDLLETISDPPNGSENLLMQVLHTLTDGTVPSKDLISTVKRLHDSKLKDAEVLIPVLPFLSNEEVIPIFPHIVNLPLEKFQTALGRVLQGSSQSGPVLSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVIARVLNQLVEQIPPPLLFMRTVLQAIGAFPSLARVSDLRPSSRWNPFGLPRFDSSESSELIYPCVLHFGGLYHGDSFSPCDEADMEISEVVGGILEVCAADETTVF >Vigun10g080000.4.v1.2 pep primary_assembly:ASM411807v1:10:21897512:21928896:1 gene:Vigun10g080000.v1.2 transcript:Vigun10g080000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTMSMAATSREKLASLLNAAKLASDIPSKLESLRQLRHELPPEDPVLLTEFLPSLFFFHSDPFGPVRKFVTEMLGEIGLKNTEFLSDIVPVLIEVLNDDTPAVVRQALLCGIELFRGTLEKIVVQGLYSSDLDGALESAWEWMLKFKEKVYSIAFQHGSGGAKLLALKFVEAVVRLYTPDPSGSSEPTSHQGKPVEFNISWLRRGHPVLNIGDLKIEASHSVGLLLDQLRFSNVKSLSNSVIIVLIKSLSAIANERPAFYGRILPVLLSLQPSSTVVNGFCVSAAHLALKNAFLTCSKCTHPSAAPWRDRLAGALKEIQSEGKADRVFHLISSSNGSIEREKDDQPVIKEEEPAINSDDSVHSNLARKRSGSQIEGDLAEDVPGKRVRATIDALEEPKKELDERTISNSQDETPSNVPASSKGDMDNGPVRQLVATFGALIAQGEKAVGHLEILISSISADLLAEVVMANMQNLPPKFPNTEGNEQLQDINMIGSDDKAKYPPSFVAAVMSLSSTFPPIASLLDAQQSVSNEIEKSQGEEEISATAVNSGAVHSGMNLVSENVPSPTDFPTSDASIPGVENGCTTMPPDIHDVGNSESGIPGLDSFGRSDALSQSFDPSLLASTEVGLEDGSQEQDTSLDLRSPLNLAPSVSTDRSEELSPKAAVRDVNSMVSSTATSVVLPSRLILPKMIAPVVELEDEQKDHLQKSCFMRIIDAYKQIAAAGGSKVRFSILAYLGVEFPLELDPWQLLQKHILIDYTSHEGHELTLRVLYRLFGEAEEEPDFFSSTTAASVYEKFLLTVAEALKDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDRGEKELQSLNADRVTQGLSAVWSLILLRPPIRNTCLQIALQSAVHHMEEVRMKAIRLVANKLYPLSSISQQIEDFAKEMLFSVTSSDVSELTDAEGSIADSQKGPDVEKVSNEQSSLSGSTKDVSDNRQSCTSESVSPDSVSEAQRCMSLYFALCTKKHSLFRQIFVIYRSTSKAVKQAVHRQIPILVRTMGSSLDLLETISDPPNGSENLLMQVLHTLTDGTVPSKDLISTVKRLHDSKLKDAEVLIPVLPFLSNEEVIPIFPHIVNLPLEKFQTALGRVLQGSSQSGPVLSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVIARVLNQLVEQIPPPLLFMRTVLQAIGAFPSLARVSDLRPSSRWNPFGLPRFDSSESSELIYPCVLHFGGLYHGDSFSPCDEADMEISEVVGGILEVCAADETTVF >Vigun10g080000.2.v1.2 pep primary_assembly:ASM411807v1:10:21897512:21928896:1 gene:Vigun10g080000.v1.2 transcript:Vigun10g080000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTMSMAATSREKLASLLNAAKLASDIPSKLESLRQLRHELPPEDPVLLTEFLPSLFFFHSDPFGPVRKFVTEMLGEIGLKNTEFLSDIVPVLIEVLNDDTPAVVRQALLCGIELFRGTLEKIVVQGLYSSDLDGALESAWEWMLKFKEKVYSIAFQHGSGGAKLLALKFVEAVVRLYTPDPSGSSEPTSHQGKPVEFNISWLRRGHPVLNIGDLKIEASHSVGLLLDQLRFSNVKSLSNSVIIVLIKSLSAIANERPAFYGRILPVLLSLQPSSTVVNGFCVSAAHLALKNAFLTCSKCTHPSAAPWRDRLAGALKEIQSEGKADRVFHLISSSNGSIEREKDDQPVIKEEEPAINSDDSVHSNLARKRSGSQIEGDLAEDVPGKRVRATIDALEEPKKELDERTISNSQDETPSNVPASSKGDMDNGPVRQLVATFGALIAQGEKAVGHLEILISSISADLLAEVVMANMQNLPPKFPNTEGNEQLQDINMIGSDDKAKYPPSFVAAVMSLSSTFPPIASLLDAQQSVSNEIEKSQGEEEISATAVNSGAVHSGMNLVSENVPSPTDFPTSDASIPGVENGCTTMPPDIHDVGNSESGIPGLDSFGRSDALSQSFDPSLLASTEVGLEDGSQEQDTSLDLRSPLNLAPSVSTDRSEELSPKAAVRDVNSMVSSTATSVVLPSRLILPKMIAPVVELEDEQKDHLQKSCFMRIIDAYKQIAAAGGSKVRFSILAYLGVEFPLELDPWQLLQKHILIDYTSHEGHELTLRVLYRLFGEAEEEPDFFSSTTAASVYEKFLLTVAEALKDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDRGEKELQSLNADRVTQGLSAVWSLILLRPPIRNTCLQIALQSAVHHMEEVRMKAIRLVANKLYPLSSISQQIEDFAKEMLFSVTSSDVSELTDAEGSIADSQKGPDVEKVSNEQSSLSGSTKDVSDNRQSCTSESVSPDSVSEAQRCMSLYFALCTKKHSLFRQIFVIYRSTSKAVKQAVHRQIPILVRTMGSSLDLLETISDPPNGSENLLMQVLHTLTDGTVPSKDLISTVKRLHDSKLKDAEVLIPVLPFLSNEEVIPIFPHIVNLPLEKFQTALGRVLQGSSQSGPVLSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVIARVLNQLVEQIPPPLLFMRTVLQAIGAFPSLGDFIMGILSRLVTKQIWKYPKLWVGFLKCVQLTKPQSFSVLLQGCAGCSWTCIRFSGFKSSTNYSNTNFSDTDYSDTN >Vigun10g080000.1.v1.2 pep primary_assembly:ASM411807v1:10:21897512:21928895:1 gene:Vigun10g080000.v1.2 transcript:Vigun10g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKTMSMAATSREKLASLLNAAKLASDIPSKLESLRQLRHELPPEDPVLLTEFLPSLFFFHSDPFGPVRKFVTEMLGEIGLKNTEFLSDIVPVLIEVLNDDTPAVVRQALLCGIELFRGTLEKIVVQGLYSSDLDGALESAWEWMLKFKEKVYSIAFQHGSGGAKLLALKFVEAVVRLYTPDPSGSSEPTSHQGKPVEFNISWLRRGHPVLNIGDLKIEASHSVGLLLDQLRFSNVKSLSNSVIIVLIKSLSAIANERPAFYGRILPVLLSLQPSSTVVNGFCVSAAHLALKNAFLTCSKCTHPSAAPWRDRLAGALKEIQSEGKADRVFHLISSSNGSIEREKDDQPVIKEEEPAINSDDSVHSNLARKRSGSQIEGDLAEDVPGKRVRATIDALEEPKKELDERTISNSQDETPSNVPASSKGDMDNGPVRQLVATFGALIAQGEKAVGHLEILISSISADLLAEVVMANMQNLPPKFPNTEGNEQLQDINMIGSDDKAKYPPSFVAAVMSLSSTFPPIASLLDAQQSVSNEIEKSQGEEEISATAVNSGAVHSGMNLVSENVPSPTDFPTSDASIPGVENGCTTMPPDIHDVGNSESGIPGLDSFGRSDALSQSFDPSLLASTEVGLEDGSQEQDTSLDLRSPLNLAPSVSTDRSEELSPKAAVRDVNSMVSSTATSVVLPSRLILPKMIAPVVELEDEQKDHLQKSCFMRIIDAYKQIAAAGGSKVRFSILAYLGVEFPLELDPWQLLQKHILIDYTSHEGHELTLRVLYRLFGEAEEEPDFFSSTTAASVYEKFLLTVAEALKDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDRGEKELQSLNADRVTQGLSAVWSLILLRPPIRNTCLQIALQSAVHHMEEVRMKAIRLVANKLYPLSSISQQIEDFAKEMLFSVTSSDVSELTDAEGSIADSQKGPDVEKVSNEQSSLSGSTKDVSDNRQSCTSESVSPDSVSEAQRCMSLYFALCTKKHSLFRQIFVIYRSTSKAVKQAVHRQIPILVRTMGSSLDLLETISDPPNGSENLLMQVLHTLTDGTVPSKDLISTVKRLHDSKLKDAEVLIPVLPFLSNEEVIPIFPHIVNLPLEKFQTALGRVLQGSSQSGPVLSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVIARVLNQLVEQIPPPLLFMRTVLQAIGAFPSLGDFIMGILSRLVTKQIWKYPKLWVGFLKCVQLTKPQSFSVLLQLPPAQLENALNRISALKAPLIAHASQQDIQSKLPRAVLVVLGLASDSQVSSQAQTTQTQTSQTQTTQTQTSQTQTTQTQTSQTQTTQTQTSQTQTSQTQTGETSNSDKDTVTEKSKESSTAS >Vigun04g047666.1.v1.2 pep primary_assembly:ASM411807v1:4:4120745:4121612:1 gene:Vigun04g047666.v1.2 transcript:Vigun04g047666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKKLQQYDWIRSMIPLYAILIYHVSGYLFVDESQTILRRDGAALIGGISARVFSYSIALWSGVAAFTIWDWP >Vigun04g014900.1.v1.2 pep primary_assembly:ASM411807v1:4:1082760:1086720:1 gene:Vigun04g014900.v1.2 transcript:Vigun04g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNHIARSQDQRSRSAKPATIHGCALSGDLAGLQRLLRDNPSLLNEKNPVMAQTPLHVSAGQNRADIVKFLLDWQGPDKVEMEAKNMYGETPLHMAAKNGCNEAAQLLLARGAVVEARANNGMTPLHLAVWYSLRSEEFLTVKTLLEYNADCSAKDDEGMTPLNHLSQGPGTEKLRELLHWHLEEQRKRRAIEACGETKAKMEQLEKELSNIVGLNDLKVQLRKWAKGMLLDERRRALGLHVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILSTDKVTEVQRTDLVGEFVGHTGPKTRRKIMEAEGGILFVDEAYRLVPLQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIGSNEGFCRRVTKFFQFNDFNSEELAQILHIKMHTLTEDSLLYGFKLQPECSVKAIAALIERETTEKQRKETNGGLVATMLVNARENLDLRLSFDCMDTEELLTITLVDLEAGLQLLTQ >Vigun04g140850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34898620:34899069:1 gene:Vigun04g140850.v1.2 transcript:Vigun04g140850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASSTFSSWKSSTTSPSKTTCYCGIPCKVQISRTAKNPGRKFFGCGNYNGGDNHCKYFLWCDCLNEEDDNSSMIELLSSQTSSIGNAVEKVEHITKEIKLLVEKVDSLTEEVVNLNHNVEAWVENGKRLKAIFWSICAIIVAKWIF >Vigun04g095000.1.v1.2 pep primary_assembly:ASM411807v1:4:19680352:19685138:-1 gene:Vigun04g095000.v1.2 transcript:Vigun04g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVLGVEKLIPSKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYDSVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLADMTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWVCDDSNRQHVKVPDDLLEEAKAAAKAALEEMDAD >Vigun04g048800.4.v1.2 pep primary_assembly:ASM411807v1:4:4219682:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAIHAKTVAPHLSVVVIEKGKPLSKVKVSGGGRCNVTNGHCVDNMILAENYPRGHKELRGSFFNIHGPVDTMSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGENAWSGGFIAGSTIGGLALESCNGLK >Vigun04g048800.5.v1.2 pep primary_assembly:ASM411807v1:4:4220987:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGEILNVDGVTGGFNFQNAWSGGFIAGSTIGGLALESCNGLK >Vigun04g048800.1.v1.2 pep primary_assembly:ASM411807v1:4:4219682:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLHIMAMRQCFAGSGQANRSVFSLGFSSILPTFHYKSTKLMKRSCTTHAICHATKSNEELLVVVGGGAAGMYGAIHAKTVAPHLSVVVIEKGKPLSKVKVSGGGRCNVTNGHCVDNMILAENYPRGHKELRGSFFNIHGPVDTMSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGEILNVDGVTGGFNFQNAWSGGFIAGSTIGGLALESCNGLK >Vigun04g048800.2.v1.2 pep primary_assembly:ASM411807v1:4:4219682:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAIHAKTVAPHLSVVVIEKGKPLSKVKVSGGGRCNVTNGHCVDNMILAENYPRGHKELRGSFFNIHGPVDTMSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGEILNVDGVTGGFNFQNAWSGGFIAGSTIGGLALESCNGLK >Vigun04g048800.3.v1.2 pep primary_assembly:ASM411807v1:4:4219682:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLHIMAMRQCFAGSGQANRSVFSLGFSSILPTFHYKSTKLMKRSCTTHAICHATKSNEELLVVVGGGAAGMYGAIHAKTVAPHLSVVVIEKGKPLSKVKVSGGGRCNVTNGHCVDNMILAENYPRGHKELRGSFFNIHGPVDTMSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGENAWSGGFIAGSTIGGLALESCNGLK >Vigun04g048800.6.v1.2 pep primary_assembly:ASM411807v1:4:4221450:4227233:1 gene:Vigun04g048800.v1.2 transcript:Vigun04g048800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFASHGVELKVEDDGRVFPVSNSSSSIIDCLMSEVKQRGVSLQTRKVVAAISILSSGKFLLEIQQHTSVHVERVEADYLLLASGSSRQGYTLASQLGHSIVDPVPSLFTFKIEDLRLRELSGVTFPKVKVRLKLDSVQRNIPKLTQVGPMLVTHWGLSGPVILRLSAWGARFLFSSSYKGKLFVDFVPDLHVESLKSILSHHKLQYAKQKVLNSCPPEFGITKRFWSYVLERQGFSGDVLWASISNSSLMSIGSLLKDCMFEVTGKGQFKDEFVTAGGVPLSEISLNTMESKVCQRLFFAGEILNVDGVTGGFNFQNAWSGGFIAGSTIGGLALESCNGLK >Vigun08g140800.2.v1.2 pep primary_assembly:ASM411807v1:8:31293270:31296884:-1 gene:Vigun08g140800.v1.2 transcript:Vigun08g140800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGEGLKIVRESSGNSTTLDESIEIPPPRPKRKPIHPYPRKLIEIPKKEISNSEHPLRSNSLKSSDFGQENNSPKSVLSTVISENLGSSDSDTPTGSLSPVSSISGVPSNRFPLAEPKTSFEEEGSLPSSAHDEQPLVKLKLFHKETVCTKDDAAEESCGRTLKLFGTTLLVTDTSKPFSLRTEPFKPIPAAATYLMQLQNGCSDLAEGPASIVPRWTFSHNALSVPLRELKGKHLYSNVGDFEQKEVQKEGSWTGSNTSSINDGGDNTVPSETSAIFELRVRPKTCGKGFVPYKRCMAEREKNKYSSVCDEEREEQRIKLSL >Vigun08g140800.1.v1.2 pep primary_assembly:ASM411807v1:8:31293270:31296884:-1 gene:Vigun08g140800.v1.2 transcript:Vigun08g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGKSAFTRSRGGPPIGDEICLSPGVHSVADIPLHDQFSCGNDYALKVRKPYTITKQRERWTDEEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKIVRESSGNSTTLDESIEIPPPRPKRKPIHPYPRKLIEIPKKEISNSEHPLRSNSLKSSDFGQENNSPKSVLSTVISENLGSSDSDTPTGSLSPVSSISGVPSNRFPLAEPKTSFEEEGSLPSSAHDEQPLVKLKLFHKETVCTKDDAAEESCGRTLKLFGTTLLVTDTSKPFSLRTEPFKPIPAAATYLMQLQNGCSDLAEGPASIVPRWTFSHNALSVPLRELKGKHLYSNVGDFEQKEVQKEGSWTGSNTSSINDGGDNTVPSETSAIFELRVRPKTCGKGFVPYKRCMAEREKNKYSSVCDEEREEQRIKLSL >Vigun02g040601.1.v1.2 pep primary_assembly:ASM411807v1:2:16600646:16601077:-1 gene:Vigun02g040601.v1.2 transcript:Vigun02g040601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKPIPRRKCLKFLNVDYLDSNRFVGKDSTFTHNSLFSFQVFTSAVSPTQCYSFSPLID >Vigun08g145500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31857005:31858666:-1 gene:Vigun08g145500.v1.2 transcript:Vigun08g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANAVKGERSGGEKRKKMFEEEENEDKLLAGVGYKVCSSDMVDVAHKLDQLEMVMEEDGIPNLASDTVHYDPTDLYGWVQNILNNAPNHTPTTASNNAAIPSPLITVPENDRTAIQLPYIEAYPQTENQNCHKRFKSSPSPSPLWPAISSDNVLPVVLVEEAGVHLVHALMACAEAIQLGNASLASDLVKHAGNLAASQGGSMGKVASFFAQALARRIYGFYPHETLDTSYSDLLHTHFYESSPYLKFAHFTANHAILEALATADTVHVIDFGLKQGLQWPAFMQALAVRPGGPPAFRLSGIGPPRLDDPDSDSLSQVGLRLAELARRIRIPFEFRGFVCNSLADLDPSVLEIRAGEFVAVNSIFELHRLLARPVDIEKVLATVKTIKPEIFTVVEQEANHNGPVFLERFTEALYYYSSLFDSMEGSMAAPSEQALVMAEMYLGRQICNVVACEGDERVERHETLGQWRERFGLGGFEPVRMGSNAFRQARMLLGLYDEGGEGYRVEENNGCLLLGWHTRLVVASSAWRVAPNGLHPVRDQSEMNQDSRYC >Vigun08g068900.1.v1.2 pep primary_assembly:ASM411807v1:8:10740051:10743183:-1 gene:Vigun08g068900.v1.2 transcript:Vigun08g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAHFLFGIFGNASGLFLFLAPTVTFKRVIANKSTEKFSGVPYPMTLLNCLLSTWYGLPFVSPHNILVSIVNGTGAVIEIIYVFIFVLFAPKREKAKILGLFSFVVAVFSGVVLVSLLALHGNTRTLFCGFAAAIFSIIMYGSPLSIMRLVIRTKSVEFMPFFLSLFVFLCGTSWFIYGLIGRDPFVAVPNGVGSLLGATQLILYFIYRHSKSDPKKETATEEEEGTVEMPNQNQTNSNRTTPQEERV >Vigun03g390200.1.v1.2 pep primary_assembly:ASM411807v1:3:59657915:59665388:1 gene:Vigun03g390200.v1.2 transcript:Vigun03g390200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKNRRIKRKRKEKPSARELHRPRSSDANAIFALLLASLCSRPNSVIFINKCLFKLRRSLLISQTSLTSTLALLPTLLRSTRVEIVCLAADIIGAASLVSFDANEEIASDSETVKGLISLLHSRKRKVLLSACNAILDFSTTTFARRQLLKFSALNKLMFVFLQIFDGLECVCLWSEGDGSFCSLKIGIKEDKLSLVFLTATVVLINACEAEQLQGIPQSLSEAFLGILKQIRVRVSDQEVIKGAGKWNEEGHLCKSSITVSNLAECIFRLSINAPQLTGSLSFEVVQRGLFGASDTSFKDFISNYWEVSPFLLARTMRDPDMHDMFGAFVESLSWKGSVPSLLSSILQGLLACFPIASDEQNILNFLNEAKDRLGCPMVYQQDIRVVKTERQSTKEMHYFRYFNSGCIKEPQYFTYHEILKCGQAYNEGYTVALRGLEFRYQSISAIADTLALMFGQPSVGANLYLTPPNSQGLACHFDDHCVFVCQIFGSKQWTVYSPPSQLLPRLYDNLLGSVVDCTKAGRREFFLREGDILYIPRGFPHKAYTESGVGDGSPEFSLHLTLSIEVEPPFEWGGVAHFALHHWSENQRRLFYDGSNFLSQKLLLVSLNLLHVAIGIISNLDPCFRKACLTAAVSLPPVVYDSLFQSQRNTFFYLIDKIRTESRFMEVISSIEVAVHKNEDPFQQIRWLWVLCMEKETNSEYNTNKSFMSEDILSFCAQHKDKLEALFLNVKSRFCSEVVFEEVVTSHRMLLQKYRSTRKQYINGMVSLHDKL >Vigun05g218900.1.v1.2 pep primary_assembly:ASM411807v1:5:41076297:41079147:-1 gene:Vigun05g218900.v1.2 transcript:Vigun05g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNVVISIQLRRMLREATTRGFSLIKLPLFRFRFNLSLPLSTIIRFPDYSTEASPGIKIVTKELLKEFLAEVENTPASSANVYCTYTDKVLQIFNDKNVVVSLDAYNLLLEEASHDIDLTCQVFKKLLLSCKSPSATSCLKFAKAFTKENDCVELLKFLEEISDIMSSSTSSSFINKIIFAFAKCGQKDKSLVIFDHFRTQRYGLDLFTYNIVLDILGHMGRVDEMLDVFSSIKDTGFIPDTVSYNTLMNGLRKVGRFDMCFVYYKEMTENGIEPDLLTYTALIDIFGRSGNVEESLKYFREMKQKGILPSVYIYRSLIQNLNKTGKVELATELLEELNSSSTCLAGPEDFKQKTRQRNTFKVKFF >Vigun08g000700.1.v1.2 pep primary_assembly:ASM411807v1:8:94174:110519:-1 gene:Vigun08g000700.v1.2 transcript:Vigun08g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHPLVCPLSVSSSSSDLFRCSLWSSCYRSVSFAPKRRNSSKTEQRSLICNASWQELAGVLLFSAIPFTAVKAIANSPLGESLQRKTEEEKKSAEKNSSKFKALANKARKESCWYGEDRPRWLGPISYEYPSYLTGDLPGDYGFDIAGLGKDPVALHKYFNFEILHARWAMLASIGALIPELLDLLGAFHFVEPVWWRVGYSKLKGDTLDYLGIQGLHFAGSQGVVVIAICQALLMVGPEYARYCGTEALEPLGIYLPGDINYPGGALFDPLNLSNDPEAFEELKVKEIKNGRLAMVAWLGFYVQAALTGKGPVQNLVDHISDPFHNNFLNSLNIMKFVI >Vigun10g108400.1.v1.2 pep primary_assembly:ASM411807v1:10:30786468:30794486:1 gene:Vigun10g108400.v1.2 transcript:Vigun10g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESDVPENNLFCVNCAKPANLQCPKCMELKLPRAGSAFCSQECFKSSWSSHKSVHLKAKLSSPDTQNSGSLSEGWLYCLKSGQARTQKLPYFDWTGSLRPSPISSKRIVPDHIDKPDWADDGIPKIEPNSVLQYTVEVKTPDQIERMRETCHIAREVLDAAARIIQPGVTTDEIDRVVHEATIAAGGYPSPLNYNFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVSVYYKGVHADLNETYFVGNVNEESCQLVKCTYECLEKAISIVKPGVRFREIGEVISRHASMSGFSVARSYCGHGIGELFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTDVTADGKRSAQFEHTLLVTDTGVEVLTGRLQTSPNVFPWLNS >Vigun09g204300.1.v1.2 pep primary_assembly:ASM411807v1:9:37874634:37880734:-1 gene:Vigun09g204300.v1.2 transcript:Vigun09g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLVSEPMPSMQMARLEPLMNKIDSSGRQMEMGILVPVSSDIVSQPMGSSNEHVGLLRAVPGESRFQGMPLSTMQSGRVEAQPSNPGMHQILSANRQSMQMGRLPNSAGPQQQQQPTTPKRKAPTELSSSSSFNKRVAQMGNRPWLQQVPNASNRGSLQMQSPSNASRTQHSAASSKRKTQLDSTSGKAGTPRSVNSKSQNSQIKQSSKAQTESSESVRSKMRESLAAALALVSQQGKPQIPNNNRPTDDATNTQGKLENNSQCSGSTPTSINAPLEQSISQSVNSSFAEVDSVGRVETEHMQSTSFKEDFPEKYKDYEAGSTNASNNESILTSMQVLNCDKQDFQSCYTLTTDDVPFSDSFFMKDDLLQGNGLSWVLSDMVDVGNQRESQTNIEQRSEPEEKGEGCREEAPLPELLASKIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMFGQIPPEQLCSMTAEELASKELSQWRIAKAEELAQMVVLPNSDVDFRRLVKKTHKGEFQVEVEHEDNVSIEEVSGGTNSVARSQTAKRDVEGTSSKPDVNSDAEKRNLQKDDTFSITISSTDGTDPMQGLMTDDALKDPDFLPPIVSLDEFMESLHSEPPFENLPLETGKVTPALDKDDSGVGSKSKSSDLTPNEQAVVTPEKFPSTRVTSDAEKEKKADAESGTISSDTAGYSGSQADMKSTDDRTKEMSIDNAKPAPSDTDFKGNQSHAEGRYGNDNKYMKDAVPTKGECLWEGMLQPNISTTQSVISFYKSGEKTAAKDWPGFLEIKGRVRLDAFEKFLQDLRLSRSRAIMVSHFLSKELDEQSTLREVAESYISDERVGFAEPVHGVELYFCPPHKKTVEMLSNILPKEQIEAVNSIDNGLIGIIVWRKTNLTSSISPTTATHHKHSSKRQQYLSRRQQDINVNANSTHKAVPPTDLKTTENENDDDDDVPPGFGPPAARVEDDLPEFNFSSSSIPPHLAQKPKELSNMVALHSVNPAPPPARPVEQMRELVYKYGQNKASAPSSVNWQDKFGGTIQPWNDDDDDIPEWQPQTSQNQFLPQQTMHNFHLRPHIVNQSLPGSQQQPIMTPQYLQPPMNVTHTQRNFDPQWLPSPQATNLPPRGPPPYGAPSQGTTWPQNVSRTSRGF >Vigun09g204300.2.v1.2 pep primary_assembly:ASM411807v1:9:37874549:37880735:-1 gene:Vigun09g204300.v1.2 transcript:Vigun09g204300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLVSEPMPSMQMARLEPLMNKIDSSGRQMEMGILVPVSSDIVSQPMGSSNEHVGLLRAVPGESRFQGMPLSTMQSGRVEAQPSNPGMHQILSANRQSMQMGRLPNSAGPQQQQQPTTPKRKAPTELSSSSSFNKRVAQMGNRPWLQQVPNASNRGSLQMQSPSNASRTQHSAASSKRKTQLDSTSGKAGTPRSVNSKSQNSQIKQSSKAQTESSESVRSKMRESLAAALALVSQQGKPQIPNNNRPTDDATNTQGKLENNSQCSGSTPTSINAPLEQSISQSVNSSFAEVDSVGRVETEHMQSTSFKEDFPEKYKDYEAGSTNASNNESILTSMQVLNCDKQDFQSCYTLTTDDVPFSDSFFMKDDLLQGNGLSWVLSDMVDVGNQRESQTNIEQRSEPEEKGEGCREEAPLPELLASKIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMFGQIPPEQLCSMTAEELASKELSQWRIAKAEELAQMVVLPNSDVDFRRLVKKTHKGEFQVEVEHEDNVSIEEVSGGTNSVARSQTAKRDVEGTSSKPDVNSDAEKRNLQKDDTFSITISSTDGTDPMQGLMTDDALKDPDFLPPIVSLDEFMESLHSEPPFENLPLETGKVTPALDKDDSGVGSKSKSSDLTPNEQAVVTPEKFPSTRVTSDAEKEKKADAESGTISSDTAGYSGSQADMKSTDDRTKEMSIDNAKPAPSDTDFKGNQSHAEGRYGNDNKYMKDAVPTKGECLWEGMLQPNISTTQSVISFYKSGEKTAAKDWPGFLEIKGRVRLDAFEKFLQDLRLSRSRAIMVSHFLSKELDEQSTLREVAESYISDERVGFAEPVHGVELYFCPPHKKTVEMLSNILPKEQIEAVNSIDNGLIGIIVWRKTNLTSSISPTTATHHKHSSKRQQYLSRRQQDINVNANSTHKAVPPTDLKTTENENDDDDDVPPGFGPPAARVEDDLPEFNFSSSSIPPHLAQKPKELSNMVALHSVNPAPPPARPVEQMRELVYKYGQNKASAPSSVNWQDKFGGTIQPWNDDDDDIPEWQPQTSQNQFLPQQTMHNFHLRPHIVNQSLPGSQQQPIMTPQYLQPPMNVTHTQRNFDPQWLPSPQATNLPPRGPPPYGAPSQGTTWPQNVSRTSRGF >Vigun07g133000.2.v1.2 pep primary_assembly:ASM411807v1:7:24288538:24291149:1 gene:Vigun07g133000.v1.2 transcript:Vigun07g133000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTESGGSRKRMKLEVEEKVGDEVESKTVEEEEFEANIVGSEEMELNISLILEKIENYTQRVSELLESGKTMLRALTDEFEEKIVMIHKHQVEKWQEEIRELRALDASNEEANALLHNARYLLQPIRDN >Vigun07g133000.3.v1.2 pep primary_assembly:ASM411807v1:7:24288538:24291149:1 gene:Vigun07g133000.v1.2 transcript:Vigun07g133000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTESGGSRKRMKLEVEEKVGDEVESKTVEEEEFEANIVGSEEMELNISLILEKIENYTQRVSELLESGKTMLRALTDEFEEKIVMIHKHQVEKWQEEIRELRALDASNEEANALLHNARYLLQPIRDN >Vigun07g133000.1.v1.2 pep primary_assembly:ASM411807v1:7:24288538:24291149:1 gene:Vigun07g133000.v1.2 transcript:Vigun07g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILLSLQTSMSTLSTESGGSRKRMKLEVEEKVGDEVESKTVEEEEFEANIVGSEEMELNISLILEKIENYTQRVSELLESGKTMLRALTDEFEEKIVMIHKHQVEKWQEEIRELRALDASNEEANALLHNARYLLQPIRDN >Vigun07g133000.4.v1.2 pep primary_assembly:ASM411807v1:7:24288538:24291149:1 gene:Vigun07g133000.v1.2 transcript:Vigun07g133000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSTESGGSRKRMKLEVEEKVGDEVESKTVEEEEFEANIVGSEEMELNISLILEKIENYTQRVSELLESGKTMLRALTDEFEEKIVMIHKHQVEKWQEEIRELRALDASNEEANALLHNARYLLQPIRDN >Vigun05g098200.1.v1.2 pep primary_assembly:ASM411807v1:5:9591014:9596959:-1 gene:Vigun05g098200.v1.2 transcript:Vigun05g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEREEKELDLSSAEVVTKYKTAAEIVNKALKLVISECKPKTKIVDICEKGDSYIREQTGNVYKNVKRKIERGVAFPTCISVNNVVCHFSPLASDESVLEEGDILKIDMACHIDGFIAAVAHTHVLQEGPITGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSLSNPDTRVEEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKYPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRVTSHPLQELQPTKSIDDPDIKAWLALGTKTKKKGGGKKKKGKKGDKVDESVEAEPMDSTDGATPQG >Vigun05g098200.2.v1.2 pep primary_assembly:ASM411807v1:5:9591014:9596959:-1 gene:Vigun05g098200.v1.2 transcript:Vigun05g098200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEREEKELDLSSAEVVTKYKTAAEIVNKALKLVISECKPKTKIVDICEKGDSYIREQTGNVYKNVKRKIERGVAFPTCISVNNVVCHFSPLASDESVLEEGDILKIDMACHIDGFIAAVAHTHVLQEGPITGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSLSNPDTRVEEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKYPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGKIPLASTITK >Vigun03g000600.1.v1.2 pep primary_assembly:ASM411807v1:3:58068:63643:1 gene:Vigun03g000600.v1.2 transcript:Vigun03g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDLRLRKQRMASSQTSNQSHHFSIDAYAYTKQAYRGSRNIKANEIVTSRTGVTLNSSSRSNRSVNNGQVSNQMVPYGKGQSSRLTGDMSLALAFALENGGKLKRSDSIMGFLHQINRGTLEFSMSERQLATTSNYPIQINEISKGAHKLNQILRACTNGLNMDTYSIQFAKELLQGAIDLEESLRMLVDLQNNSQFMITSQKKNRITLLEEDNDDNDDTGMEMQLTRSTFSFDRHTTENTQQLGKAIFMQRPITLTRSKEDSNSNNENKTVKRQVSQKRSTKTSSISTSAIKNVNAISEGKNQTASNPEKGRIPNVIAKLMGLDILPDRVEMDSKHVMLQKREGISSKHTAKGSHKKTELQRKETDNLVPIKRQKDIEAFKTPATKVEEMISGSNKNFLVEKTSSELSLQNGKPLLRDPDGIKALKEFDKQTKSSAEKNLTRDGQNDAQGIIRKKDHPNNNNREQKGTIRGGTDYPVLYNMLSQLEQVKERSEVKPSIQPDKVVNANNVQPEKKNTNKNITNNEKKSRNNNGIQKTHVVSKNGLHEEKHRREQQLQVREEQMLMMRPQGGSEITSKNSPKFPPPQKKQLSMNQGFKKNHGEKNVAAMKSEGFLTNHHDLVRDEASNNTNEKVKEIVHRKSGQISSPRDQEYERAKRSGIRTLMDEKHVYKLASKKIKNTKKQNVDVIGKIDQVLTGRKGAKLITKPGKQQIPTSDKFEVLNEAEQERISLFRETDAHLISPNEQVYVDATEPLDVKHQSHKESELPPTFSSSVGGELQSQQHLVATVPSDLHCQDVLSLQDPVAADERFVTGEVALQKTNGILEDRLRVKHSNLEDQNIREKSFQQPLTESENCLKWILVMSQIFVNTAEGLFKLNIPFNVLQCGGREIQDEGSKLILDCGYEVMKRKGIRQELNKVHSYSRISMGTTNIISFDELVRQLNKDMEKLKFYGRKTSCQVDVEDYLPKMLEHDVYGKDPDVNCMWDLGWNDETVAFIEKYDAIRDTEKNILSVLLDEITLDFCTFNHTPKQ >Vigun03g240700.1.v1.2 pep primary_assembly:ASM411807v1:3:40131508:40135508:-1 gene:Vigun03g240700.v1.2 transcript:Vigun03g240700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRLILLLFLFLPTCFSFTFQTPSINEFTNQSSSLRQQILELANSPSTVKWIKRIRREIHEHPELANEEFRTSALIRRELDQLGVAYHWPVAGTGVVAKLGSGSPPFVALRADMDALPIQERVDWEHKSKVDGKMHACAHDAHVAMLLGAAKILQEMKDMLQTTVVLIFQPAEEKGTGAREMIREKVLEDVGAILGLHLVAEYETGVVASRPGEFLAGCGGFKAKISGKRGLSGVSQDCYDPVLAASTSVISLQTIVSREVDPLDSQVLSVAMINAGYAHDIIPDSATFGGTFRAFSKKSFYGLRKRIEEVIKGQAEVHRCYAEVEFLGNEHPTIPPTTNDVRIYELAHQVSSKIVGEDNIEFAPLFTGSEDFAFYLEKVPGTFLLLGTRNDKRGSTYTAHSPYFFIDEDVLPIGAAIHAAFALSYHS >Vigun05g117300.1.v1.2 pep primary_assembly:ASM411807v1:5:12556920:12559916:1 gene:Vigun05g117300.v1.2 transcript:Vigun05g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYLSMPGFMKAMFLMLFAVMTLPEITHAKHARVTRHYKFNIKMQNFTRLCQTKSIVTVNGRFPGPRIIAREGDRVVIKVVNHVQYNVSLHWHGVRQLRSGWADGPAYITQCPIQTGQSYVYNFTIIGQRGTLWWHAHISWLRTTLYGPIVILPKRHVPYPFPQPFREVPIILGEWWKADTEAVINQATQTGLAPNISDAHTINGLPGPVSNCPAKDTFKLKVKPGKTYLLRLINAALNDEMFFSIANHSLTVVEADAVYVKPFSTKIVLITPGQTVNVLLRAKSKAPNATFAISARPYATGPAAFDNTTATGFLEYKNHSLSNNKSNTKKLPLLRAVFPKFNDSVFAMNFHNKVRSLANARFPAKVPKTVDRRFFFTVGLGISQCSKKEQCQGPNNTRVAAAVNNVTFVMPKIALLQAHFFNQSKGVYTTDFPANPPFKFNYTGTPPTNIMVTSGTKAVVLPFNTRVELVLQDTSIIGAESHPLHLHGFNFFIVGQGNGNFDPQKDPKKFNLVDPAERNTAGVPSGGWVAVRFLADNPGVWFMHCHLEVHTSWGLKMAWIVQDGKRRNQKLPPPPSDLPKC >Vigun09g157100.1.v1.2 pep primary_assembly:ASM411807v1:9:32302040:32303134:1 gene:Vigun09g157100.v1.2 transcript:Vigun09g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGRDSAKSLVLVSVICLLCLLVLLEGANAATYTVGGPSGWTFNTDTWPNGKRFRAGDVLVFKYDSTSHNVVAVDKNGYSKCRSTAGAKVLSSGNDQIKLARGQNYFICNYPGHCESGMKISINAV >Vigun10g018200.2.v1.2 pep primary_assembly:ASM411807v1:10:2046961:2049473:-1 gene:Vigun10g018200.v1.2 transcript:Vigun10g018200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVHIAVVPGPGFSHLVPILQFSKRLLQLHPNFHVTCLIPSLGSPSTASKSILEALPPNIESIFLEPVKPEDLPQRVAIETQIQFTVTLSLPSIHQALKNITSNTPFVALVADSFAFEALDFAEEFNLLSYIYFPSAATTLSWYLYALKLDKETSCEYRDLPEPVKIPGCVPIHGRDLNNQAQDRSSPMRALKEEGRGYPQVFSVGPIVQTGDDAKGLECLTWLDKQEDGSVLYVSFGSGGTLTQEQVNELAYGLELSDHKFLWVVREPSSLAFDAYLRAQKSVDPLHFLPEGFLERTKEKGMVIPSWAPQVEVLAHSSVGGFLTHCGWNSILESVVHGVPLITWPLFAEQRMNAVVLSEGLKVGVRPRVSENGLVERVEIVKVIKCLMEEEEGREMRKRMKELKEDAANAIKEDGSSTKTLSELVLKWESLV >Vigun10g018200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2046961:2049473:-1 gene:Vigun10g018200.v1.2 transcript:Vigun10g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVHIAVVPGPGFSHLVPILQFSKRLLQLHPNFHVTCLIPSLGSPSTASKSILEALPPNIESIFLEPVKPEDLPQRVAIETQIQFTVTLSLPSIHQALKNITSNTPFVALVADSFAFEALDFAEEFNLLSYIYFPSAATTLSWYLYALKLDKETSCEYRDLPEPVKIPGCVPIHGRDLNNQAQDRSSQVYKLFLQRAQRFCSVDGIFINTFFEMETGPMRALKEEGRGYPQVFSVGPIVQTGDDAKGLECLTWLDKQEDGSVLYVSFGSGGTLTQEQVNELAYGLELSDHKFLWVVREPSSLAFDAYLRAQKSVDPLHFLPEGFLERTKEKGMVIPSWAPQVEVLAHSSVGGFLTHCGWNSILESVVHGVPLITWPLFAEQRMNAVVLSEGLKVGVRPRVSENGLVERVEIVKVIKCLMEEEEGREMRKRMKELKEDAANAIKEDGSSTKTLSELVLKWESLV >Vigun01g186800.1.v1.2 pep primary_assembly:ASM411807v1:1:36686849:36687614:1 gene:Vigun01g186800.v1.2 transcript:Vigun01g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHWVNVGATQLTTTPWPATRKLLKGRRRRNIAIMACTEFCIDLMGLLMALVIALTLIVICSNPKRQVVVYRYV >Vigun03g035200.2.v1.2 pep primary_assembly:ASM411807v1:3:2707113:2710410:1 gene:Vigun03g035200.v1.2 transcript:Vigun03g035200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNPLTETMSMSMSCFCLKKTRPKTKLGLSTVLASETSFTVNEIEALLCTFKKLSSDDDFLHKEEFHLALFDNGNKQNLFADRVFDMFDIKRDGVISFGEFVRSLSIFHPNASEEKKIEFAFRLFDLTQSGYIEHHETMLEVDSKGDGKIDNEEWRDYVTKNPSLLKIMTLPYLKDITLAFPSFILHSEMED >Vigun03g035200.1.v1.2 pep primary_assembly:ASM411807v1:3:2707113:2710410:1 gene:Vigun03g035200.v1.2 transcript:Vigun03g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNPLTETMSMSMSCFCLKKTRPKTKLGLSTVLASETSFTVNEIEALLCTFKKLSSDDDFLHKEEFHLALFDNGNKQNLFADRVFDMFDIKRDGVISFGEFVRSLSIFHPNASEEKKIEFAFRLFDLTQSGYIEHHELKEMVLATLTESDVTVPDDVVEAIVDRTMLEVDSKGDGKIDNEEWRDYVTKNPSLLKIMTLPYLKDITLAFPSFILHSEMED >Vigun11g115700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31990764:31991060:1 gene:Vigun11g115700.v1.2 transcript:Vigun11g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVENLTGTLFYIEVKNDATIEDLKKEIETQQKLPGDRFILVLHAHNESLIMGKEQEKLSLFDSGIQDESHIYLFFTPLNHDSIVNFGFTNPDFYY >Vigun03g329700.1.v1.2 pep primary_assembly:ASM411807v1:3:52667035:52671989:-1 gene:Vigun03g329700.v1.2 transcript:Vigun03g329700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun03g329700.3.v1.2 pep primary_assembly:ASM411807v1:3:52667033:52671989:-1 gene:Vigun03g329700.v1.2 transcript:Vigun03g329700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun03g329700.4.v1.2 pep primary_assembly:ASM411807v1:3:52667671:52671946:-1 gene:Vigun03g329700.v1.2 transcript:Vigun03g329700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun03g329700.2.v1.2 pep primary_assembly:ASM411807v1:3:52667054:52671946:-1 gene:Vigun03g329700.v1.2 transcript:Vigun03g329700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun03g329700.5.v1.2 pep primary_assembly:ASM411807v1:3:52667034:52671990:-1 gene:Vigun03g329700.v1.2 transcript:Vigun03g329700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun06g178150.1.v1.2 pep primary_assembly:ASM411807v1:6:29823492:29824944:1 gene:Vigun06g178150.v1.2 transcript:Vigun06g178150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFCNTVKNLSCQIDMTCLCIKINQCSNTFKLAKSNFDADLPMSPGPDKTLNQTHFPKQRLRNRKTPTHQSVTRHQLFFSQEIHQKP >Vigun11g083800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24944772:24945326:-1 gene:Vigun11g083800.v1.2 transcript:Vigun11g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIVFATILLTLIIACNGYSLRSISRIGVDGKCHESKECKFILPNCKSRVVCEDGSCTCVKIKAWSNKQCDTNMDYWKICPPSCDMAHCDMKTHNCDCSCQE >Vigun09g162000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973704:32977231:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCSYRKSILSKWGLFTKMHSTSEAILDIGEASEKVSKVMMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun09g162000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973633:32977240:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCSYRKSILSKWGLFTKMHSTSEAILDIGEASEKVSKVMMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun09g162000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973704:32977231:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun09g162000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973633:32977240:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCSYRKSILSKWGLFTKMHSTSEAILDIGEASEKVSKVMMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun09g162000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973704:32977235:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCSYRKSILSKWGLFTKMHSTSEAILDIGEASEKVSKVMMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun09g162000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32973633:32977240:-1 gene:Vigun09g162000.v1.2 transcript:Vigun09g162000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCSYRKSILSKWGLFTKMHSTSEAILDIGEASEKVSKVMMTCPTLALDTALNQTGVRVSPDLVENVLKRFENAGMSAFRFFEWAEKQRGYSHSVRAYHLMIESLARIRQYQIVWDLVNAMRKKGMLNVETFCIMMRKYARANKVDEAVYTFNVMDKFDVVPNLAAFNGLLSALCKSNNVRKAQEIFDAMKGRFEPDAKTYSILLAGWGKAPNLPRAREVFGEMVRAGCDPDVVTYGIMVDVLCKAGRVDEAVEVVKEMDESNCRPTSFIYSVLVHTYGVEHRIEDAIDTFLEMERKGIKADVAVYNALIGAFCKVNKFKNVHRVLQEMKINGVAPNSRTCNVIISSMIGQGQTDRAFRVFRRMIKLCEPDADTYTMMIKMFCEKNDLEMALRIWKFMKSKQFVPSMHTFSALVNGLCEKGDAAKACVVLEEMIEKGIRPSRITFGRLRQLLVKEGREDVLKFLHEKMNLLVKEPLYG >Vigun04g117600.1.v1.2 pep primary_assembly:ASM411807v1:4:29897322:29900044:1 gene:Vigun04g117600.v1.2 transcript:Vigun04g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSSLQVETAVLKQPSPFRKMFAVSSIAAGIQFGWALQLSLLTPYVQTLGVPHAWSSFIWLCGPISGLVVQPIVGYTSDRCTSRFGRRRPFILSGALAVAISVFLIGYAADIGHATGDDITKKTRPRAVAIFVIGFWILDVANNMLQGPCRAFLGDLAAGDQKKTRTANASYSFFMAIGNVLGYAAGSYDKLHHLFPFTETKACNVFCANLKSCFFFSILLLLILCVVVLTYVEDPQFIPDVNNTNNAENQEVQTGLWYSCFGELSGAFKGLEKPMWMLMLVTAVNWVAWFPYVLFDTDWMGREVYGGDVGTAAYDAGVHAGSLGLMLNSVVLAVMSVGVEPLGRLVGGVKWLWAGVNVILAVGLAMTLVITKAARHERRFDGVIAGHPSTAVKAGALSFFSVLGIPQAITFSVPFALASIYSSTSGAGQGLSLGVLNVSIVVPQMIISAISGQWDKWFGGGNLPAFMLGAVAAAVSAVLAVVLLPTPKPADVSKASVPVGGFH >Vigun03g183300.1.v1.2 pep primary_assembly:ASM411807v1:3:23651836:23653466:1 gene:Vigun03g183300.v1.2 transcript:Vigun03g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQDPQHRPKLNTIQAEEIPVIDLSPITHDSVSDPSSIEGLVKEIGSACKEWGFFQVINHGVPITLRQNIEQGSRMFFGQTLEEKRKVRRNEFSPYGYYDTEHTKNVRDWKEVFDFQVKDPTFIPVTSDEHDDRITHWTNQSPQYPPNFRDIIEEYIEEMEKLSFRLMELIALSLGLEAKRFEEFFMKDQTSFIRLNHYPPCPCPHLALGVGRHKDAGALTLLAQDEVGGLQVKRKADQEWVRVKPTPDAYIINVGDIIQVWSNDLYESVEHRVMVNSEKERFSIPFFFFPAHDTEVKPLEELTDEKNPPKYRPYKWGKFLVRRKGSNFKKQNVENIQIYHYKIT >Vigun07g110800.2.v1.2 pep primary_assembly:ASM411807v1:7:20571778:20574857:1 gene:Vigun07g110800.v1.2 transcript:Vigun07g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHVISITDNVAPRKNTTKTFKFSLPPVFSRLRENKIHLWDKQMKDAKKIIHSIKVGISLVLISLLYLLDPLYEQVGENAIWAIMTVVVTFEFSAGKGLNRGIGTILGGGLGCMAAVLAQNIGGVGNSIIIGASVFIFGTIATYFRLFPSVKKRYDYGVMIFILTFSLIVVSGVRTEDQKVWEIAVERLLTIVMGFVVCICVSFLIFPLWASDELHDSIVSRFQHIANSLQGCMEEYVKFVSEKENKKPGASFSVCKSLLDSKSKDEVLANFAKWEPWHGKFGFFYPWEKYLKIGDVLRELAAITLALGGCFQASETAMKMEAVSESVEREACEAIGSGIVWSLQELGESMKQMRKCEADISEMLKTVREEISLVISTSKMAAIDNMDAVAVASFVFLLKKVVEKVEELTKEVEQLGDLAAFPAHSTLV >Vigun07g110800.1.v1.2 pep primary_assembly:ASM411807v1:7:20571778:20574857:1 gene:Vigun07g110800.v1.2 transcript:Vigun07g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHVISITDNVAPRKNTTKTFKFSLPPVFSRLRENKIHLWDKQMKDAKKIIHSIKVGISLVLISLLYLLDPLYEQVGENAIWAIMTVVVTFEFSAGATLGKGLNRGIGTILGGGLGCMAAVLAQNIGGVGNSIIIGASVFIFGTIATYFRLFPSVKKRYDYGVMIFILTFSLIVVSGVRTEDQKVWEIAVERLLTIVMGFVVCICVSFLIFPLWASDELHDSIVSRFQHIANSLQGCMEEYVKFVSEKENKKPGASFSVCKSLLDSKSKDEVLANFAKWEPWHGKFGFFYPWEKYLKIGDVLRELAAITLALGGCFQASETAMKMEAVSESVEREACEAIGSGIVWSLQELGESMKQMRKCEADISEMLKTVREEISLVISTSKMAAIDNMDAVAVASFVFLLKKVVEKVEELTKEVEQLGDLAAFPAHSTLV >Vigun11g065875.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15900873:15901247:1 gene:Vigun11g065875.v1.2 transcript:Vigun11g065875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVIKRSQGKRQREDKHEKDDVNKSFPVKRQKGDDPSVESELTNMDTKPTYIDDEKAISENDKSNIYKEDVVKMKDESNGEEDPEEDSEECEEMDDGSPKHDASDDINEEQEVNADIKLENIR >Vigun04g081200.1.v1.2 pep primary_assembly:ASM411807v1:4:12220532:12225175:1 gene:Vigun04g081200.v1.2 transcript:Vigun04g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESGVQKESTSMNDTMVEEVEERDPESNPLNEPLLKRNRTLSSNPLALVGAKVSYIESLDYEINENDLFKQDWRSRSRTQVLQYIFWKWALAFLVGLLTGVIATLINLAIENIAGYKLLAVVNFIQKERYLTGFLYFTGANFILTFVASILCVCFAPTAAGPGIPEIKAYLNGVDTPNMYGATTLFVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRATIELCHKGKCGLFGEGGLIMFDVSDVTVNYNVMDVIPVVIIGVLGGVLGSLYNYLLHKVLRLYNLINQKGKMYKLLLSLSVALFTSICQYCLPFLAKCTPCDPSLSEMCPTNGRSGNFKQFNCPNGHYNDLATLLLTTNDDAVRNIFSTNTPFEYQPFSILIFFALYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGILMRPHTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFMDANPEPWMRNLTVGELVDVKPAVISFRGVEKVANIVDALRNTTHNGFPVMDCGVVPATGVASEATELHGIILRAHLIQVLKKKWFLKEKRRTEEWEVREKFTWVELAEREGNIEDVAVTKEEMEMFVDLHPLTNTTPFTVLESMSVAKAMVLFRQVGLRHMLVVPKYQASGVSPVIGILTRQDLLAHNILTVFPHLSKSKRK >Vigun06g218000.1.v1.2 pep primary_assembly:ASM411807v1:6:32862730:32874833:-1 gene:Vigun06g218000.v1.2 transcript:Vigun06g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSSSTTAPAASATSPLGSSVISLVNRLQDIFARVGSQSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKRKPDAPDDEYGEFLHLPGRKFHDFSDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKTPTCLILAVTPANSDLANSDALQMAGIADPDGNRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYGGLADSCGVPQLAKKLNQILAQHIKAVLPGLRARISTSLVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPKSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHMIEMEMDYINTSHPNFIGGSKALEIAVQQSKSSRAAIPVSRQKDPLESDKGSASERSVKSWSILARQANGVDTDPGVRAASDVEKSVPSGNTGGSSWGISSIFGGGDSRMTVKENMASKPPTEPIHSVEHSFSMINLRQPPPVLRPSESNSETEVIEIAVTKLLLRSYYDIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEIALKRKRCRELLRAYQQAFKDLEELPMEAETVERGYSLPETTGLPKIHGLPTSSMYSTSNSGDYYAASPKYSKSKRSSHSGELPSPMHVAISDSNGSGRPFTSGFYPTVDA >Vigun04g183700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40843103:40844012:-1 gene:Vigun04g183700.v1.2 transcript:Vigun04g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSPATAAESGQDAVRVAVAVANRRCMFLCLPCFPSETSSATWWQDLLSPANKERWWYRRWRTVRDWKTFVRRFNNYYSRNHSKRHGSFRYDPLSYALNFDDGTAAEDDGHCYKGFSERFASVPQPEKSSVYSSEEHAPPVLKLEK >Vigun02g022666.1.v1.2 pep primary_assembly:ASM411807v1:2:7641889:7642351:-1 gene:Vigun02g022666.v1.2 transcript:Vigun02g022666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLILMYTSDGDFLDMHLVENSDNSDVFSYGIMLL >Vigun07g287700.1.v1.2 pep primary_assembly:ASM411807v1:7:40042818:40045819:-1 gene:Vigun07g287700.v1.2 transcript:Vigun07g287700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQMLPYGDVDSNLRAMAGCAEGFGRHAIGGLHGSLYIVTNLADDGPGSLREGCRRNEPLWIVFEVSGTINLSSYLSVSSYKTIDGRGHKVKLTGKGLRLKECEHIIVCNLEFEGGRGHDVDGIQIKPNSRHIWIDRCTLRDYDDGLIDITRQSTDITVSRCYFGQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWAIYAVCASVESQIYSQCNIYEAGTKKKTFEFYTEKAADKEEQKSGNIVSEGDMFLNGAEPCLVTENREVAMFHPTEYYQTWTMETAAHSLREILQLCTGWQSIRRPVDRMVPN >Vigun03g281100.1.v1.2 pep primary_assembly:ASM411807v1:3:46067684:46070216:1 gene:Vigun03g281100.v1.2 transcript:Vigun03g281100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAIVPTLLFLFFTFSHVTAMSVVNYSENEVMDMYEKWLVKHRKVYNGLGEKDKRFEVFKENLGFIQEHNAQNNTYTLGLNKFADITNEEYRAMYLGTRTDAKRRVMKTQRTGHRYAYNSGDKLPVFVDWRLKGAVAPIKDQGSCGSCWAFSTVASVEAINNIVTGKFVSLSEQELVDCDREYNEGCNGGLMDYAFQFIIKNGGIDTEEDYPYKGHDGTCDPTKKKAKVVQIDDYEDVPAYDENALKKAVSHQPISVAIEASGRALQLYQSGVFTGKCGTNLDHGVVVVGYGTENGVDYWLVRNSWGTGWGEDGYFKMERNVRRSSTGKCGIAMEASYPVKYGLNSAVPSSGYESNEVSISSA >Vigun07g069350.1.v1.2 pep primary_assembly:ASM411807v1:7:8424971:8427232:1 gene:Vigun07g069350.v1.2 transcript:Vigun07g069350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTFESDYSKIQKKRRIEALIASQKEVVSDKDNFDSQINDNEEEIERLNNDSTFDKNIYDRKKVSIKITDIDFPKNIHSRHFSSSNYIQTLPNGEKYERRWLIYSRDLDRTNMFKEQINRDREHWRNVLLRIIAVVNTLGKNDLALHGNNEKIYQEANVNFLSLIEMIAELDPIMQKHIRRIKDDEIHNHYLGHNIQNELINLLANISHQEQMLCRQGYDNGSNMKGKHQGVSFYTPCGCHILNLVLCDMANSCPKTTYFFGVLQRIYALFSSSTKRWKILQDHIHNLTLKSLSQTRWENHIESVKVFLLGKNICKNLQSKYMCIDEAIEQLKGLLSFFEKYRENEFENALISSNEIAFEMNLMKQLDENVDNEIVKSLRESFRIDYFLYIVDKTITTLQKLNILREIIRVENDKPIDILNYIKRIDSFPNAYIVYTIMLTILVLVASDERSYSKLKIIKTYLRSTMSQERLNG >Vigun03g025000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1865703:1866080:-1 gene:Vigun03g025000.v1.2 transcript:Vigun03g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNVNHEISENRDHIAVMLLSPALMVNNFIDLGGFDLDEALAVVEGESGCVVAACESYVSKLPTVNEVKRDEVCAVCMERFSRRNEEGEKKRVPCGHVYHSSCITIWLEHCNSCPLCRRRILF >Vigun06g023900.1.v1.2 pep primary_assembly:ASM411807v1:6:11158807:11160970:-1 gene:Vigun06g023900.v1.2 transcript:Vigun06g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSNLHTDSGLKSLNDFLSGKTYVSGDQFTKDDIKVYAAVLEKPGDAFPSVGKWYEVVSSNLAASFPGNAQGVRFSGQASTPEAVPAKPEASAAEDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMPGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEQLTVEPINEYVQSCDIVAFNKI >Vigun03g115700.2.v1.2 pep primary_assembly:ASM411807v1:3:10606135:10610040:-1 gene:Vigun03g115700.v1.2 transcript:Vigun03g115700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGLSRSIGYFLEPLIVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVILSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIVNPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHARDDDTSSLADDAKRDLMPPEDIGVGTANALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQHGVETLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun03g115700.5.v1.2 pep primary_assembly:ASM411807v1:3:10606138:10610030:-1 gene:Vigun03g115700.v1.2 transcript:Vigun03g115700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGLSRSIGYFLEPLIVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVILSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIVNPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHARDDDTSSLADDAKRDLMPPEDIGVGTANALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQHGVETLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun03g115700.3.v1.2 pep primary_assembly:ASM411807v1:3:10606135:10610040:-1 gene:Vigun03g115700.v1.2 transcript:Vigun03g115700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGLSRSIGYFLEPLIVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVILSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIVNPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHARDDDTSSLADDAKRDLMPPEDIGVGTANALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQHGVETLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun03g115700.1.v1.2 pep primary_assembly:ASM411807v1:3:10606135:10610040:-1 gene:Vigun03g115700.v1.2 transcript:Vigun03g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGLSRSIGYFLEPLIVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVILSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIVNPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHARDDDTSSLADDAKRDLMPPEDIGVGTANALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQHGVETLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun03g115700.4.v1.2 pep primary_assembly:ASM411807v1:3:10606135:10610040:-1 gene:Vigun03g115700.v1.2 transcript:Vigun03g115700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGLSRSIGYFLEPLIVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVILSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIVNPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHARDDDTSSLADDAKRDLMPPEDIGVGTANALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQHGVETLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun06g125100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25204334:25206273:-1 gene:Vigun06g125100.v1.2 transcript:Vigun06g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKKTTNGLLFLSLALALSLSATATLPSQLQTQTLTLHSLPHPPTLSWPEAEALAEPDPEDALSLNLHHIDALSSDKTPEQLFHLRLKRDAKRVESLLTLAALNSSHARRSGSGFSSSIISGLAQGSGEYFTRIGVGTPARYVYMVLDTGSDVVWLQCAPCRKCYSQTDPVFDPSKSRTYAGIPCGAPLCRRLDSPGCNKNKVCQYQVSYGDGSFTFGDFSTETLTFRRTRVTRVALGCGHDNEGLFIGAAGLLGLGRGRLSFPVQTGRRFNQKFSYCLVDRSASAKPSSVVFGDSAVSRTARFTPLLKNPKLDTFYYVELLGISVGGAPVRGLSASLFRLDAAGNGGVIIDSGTSVTRLTRPAYIALRDAFRIGASRLKRAPEFSLFDTCFDLSGLTEVKVPTVVLHFRGADVSLPATNYLIPVDSSGSFCFAFAGTMSGLSIIGNIQQQGFRVSYDLAGSRVGFAPRGCV >Vigun04g011000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:824214:826446:1 gene:Vigun04g011000.v1.2 transcript:Vigun04g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRVVLDNYIGSAPFPCHLQLGPSTSIDLSRDLRPRNPAGVVKEIWSVTYKVDEKNYDARLKHEVYQHGIFGKVEFTLLHGRVTRARFCMVITDINYIRVDPCEWGTYLDSKLSTKSKRSFDARGGVMDTTVHLYGSESRWSLLVVESKKKRREEEAEVVTLAHYFVNSSGTSFYESSGTDIGLSVVAKFRVSNGKFQITVEGPEQHPVSALLYMFDQVHRTETWKPTMCPHCDHKRRGKLFWQSNSEDSEDSESVSMPLPRATPRNSRGVSNGGRFQGNGNGNIFENNVMVFKRR >VigunL059427.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:15568:15726:1 gene:VigunL059427.v1.2 transcript:VigunL059427.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun03g362300.1.v1.2 pep primary_assembly:ASM411807v1:3:56578650:56582139:1 gene:Vigun03g362300.v1.2 transcript:Vigun03g362300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFTRGCLPMNRWDELNSFFQKAGAKVIFGLNELAGKSIKSGFAVGPWNYTNAESLIRYTVRKKYHIHGWELGNELCGNGIGVSVAADQYASDVAALRSIVENEYRGIEPKPLVIAPGGFFDENWFKEFISKSGKSADVVTHHIYNLGPGVDDHLTQTILDPSKLDGPADTFRRLKGILKNSTNKVTAWVGEAGGAYNSGHHLVSDAFVYSFWYLDQLGMSAVYDTRTYCRQSLIGGNYGLLNTNTFMPNPDYYSALLWHRLMGGRVLSTTFYGTKKIRTYAHCAKESKGVTILVLNLDNSTTVEVNVALKFNNLPHSGVHEPARREYHLTAPERNLHSQIMLLNGKVLSVNSAGEIPALEPIYVDSTKPITVGPVSIVFAHIPNVLLAACS >Vigun01g116050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29255178:29257895:1 gene:Vigun01g116050.v1.2 transcript:Vigun01g116050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSRYIILSIKLVYQLCFSIFVKNMAEYFVFDIAESLLRKLASFVCEEASRVCEVYEDIKGIKDTLSIVKGVLLDAEHKKEQRHGLREWIRQIQTVCLDAEDVLDGLECQNLRKQVLKASDSTRMKVAHFFSSSNSLVFRFRMAHQIKHVRRRLDKIAADGNKFGLERIDVDNRLVQRREVTYSHVDASGVIGRESDREEIIKLLMQPHPHGDGCGDESVCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCISDDFDIRQILIKIINSVSASDPAPTIALAHQENIKNFDIEQLQIVLKLKLSGQKYFLVLDDIWNDNRAKWIELKDLIKVGAVGSKILVTTRSNSIASMMGTVPSYVLEGLSMENCYALFNKWAFKEGEEKAYSNLVEIGKEIVKKCRGVPLAVRTLGSSLFLVFDLERWEFVRDHEIWNIKQNKDDILPALKLSYDQMPSCLRHIFAFFSLYPKDYGFCSAEILILWESLGLLQSLGGNRKLENIARQYIDELHARSFLEDFEDFGHYYYFKVHDLVHDLALFVGKEEHLMVNSFTRYIPEQIRYLSVVENDSLNYVLFPKSSRVRTILFPLKGTAVESKTLLHTWTTRYKHLRFLDLSDSSFDTLPSSIAKLKHLRTLFLDKCKIKRLPHFLCKLHNLQILSLRGCMELETLPKSLGMLISLRKLYITTKQSILPENEFASLRNLHTLSFEYCPNLKLLFRKEQLALLEVLIIQSCRSLEFLPLHVLPKLEVLIVSRCEMLNLNYGSPIHRLRMKFLHIEHCPKLHTLPQWIEGSVETLRTLLILNCHTLKMFPEWITTMSGLKRLHIVNCPKLLCLPGEMHSLTALDDLTIEGCPELCRKCEPKNGEFWSFIAHIKRVSIGETRKGKLLVRMLQQMRLRLRDQ >Vigun05g170420.1.v1.2 pep primary_assembly:ASM411807v1:5:29952051:29953944:1 gene:Vigun05g170420.v1.2 transcript:Vigun05g170420.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSDKSASRIHSDRWVDSIVREYFSKYKWSSSIRRFAEAYAILDEDSLDEAVSLDRVGRVDNACHGREGYSDEFFYMYAVLFTNLHVRLPFDEFTIGVLRILNVAPSQLHPNAWAALQAFRFLCQILGLKPSPAVFLHHYSTRPKEPVRWLSLFGQPRIGLLAPYSSSFKNYKNTFFRVVVNPVGRSYFFDGDTPKFPFYWTRNPLHYDEWPRTMMSAEDCEVLNLLDSLPRRLPTKRIVAILSSPRPRRDMLALMASHEGVGAGQKSRFHLLREKLNERKKGGDNAPGTSSATQPKGAIGVGSPRPPPAAEKRKRKTAQKDAGSSGSPSPKRSRVSGDASYQRLMGAEMQIYDGMSITISQEEANLITESPLPILMKAFAEFQSRALVIGRHMGHELIKVGQTEDLEAEVALLKKQLRVANTEKDKLAGEVSDVQKQLQQAIGDRKSWRNRCLEAEEKLKKSSEEALALKHSLDEMKTAHAELDKEVWELREGVVEEHELGFRKALRQAALLFDIPADDDQFDVGKDVYQKALVRIEDIPVISDQAEDTPPTPTVEDTKRSRDGNVDGAGDRD >Vigun07g002700.1.v1.2 pep primary_assembly:ASM411807v1:7:225833:229100:1 gene:Vigun07g002700.v1.2 transcript:Vigun07g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGTCAAGAPHRWVHLHQQHGNREWMRVRATARGGKEDGDTSYLEMWKKAVERERKSANFKTIAERVASTREEGTAHDDLEKKTSEFNKLLQIPSEERDRVQRMQVIDRAAAAIAAAKALIQDRGTAGSGDSDGGDGDNGDHERQRELDSGIGVRSGVIPVQESAAQGNGVPGPDFWSWTPPVESDLPSGEDSGLQLNTKASVRPTLPSAVVEKERTPQFLSIPFESLLSRSEQSDTLPPFQSLLEVEKAESASEQQLSSSSLTLEEEQLLGESSSGYAEEAAHALSEANKWSPIGVNPDGSRWWKDTGIERRPDGVICRWTMTRGVSADTEIEWQEKYWEASDDFGYKELGSEKSGRDAYGNVWREFWRESMSQEDGLMNFEKTADKWGSNGKGNEWQEKWGERYNAAGQSEKWADKWCSIDPNTPLAPGHAHVWHERWGGKYDGYGGSIKYTDKWAERSVDGGWEKWGDKWDENFDPNANGVKQGESWWEGKHGERWNRTWGEHHNGTGWIHKYGKSSSGEHWDTHVNEETWYERFPHYGFFHCYENSVQLRQVPKPSEIQEVPKPSEMEVPKSEIQQVQEP >Vigun03g110500.1.v1.2 pep primary_assembly:ASM411807v1:3:9963644:9978897:-1 gene:Vigun03g110500.v1.2 transcript:Vigun03g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKIVVSSVTAVIPLGGEEIHLVAMHSRNDDRPCFWGFIVALGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDALQRKINSEVDPQRISGMQAEVKRYLDDKNILKQYAENDQVVDNGRVIKVQSEIVPALSDNHQPIVRPLIRLHDKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSKELLGRIVCVKSGLKKSLFNVFQDGLCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTIPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIKDIPTPPDVSNYLVSEDDGSSAISNGNRDPFLFDGMADAEVDRKLKDALSAASTIPVTTANLDPRLTSLQYTMSSGSVPPPTAQASMMPFTHVQFPQPAALVKPMGQAAPSESSLHSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHASTEPTYAIRHPMPVSAPRVSSRGGWFPAEEDIGSQPLNRVVPKEFSVDSGPLGIEKHRPHHPSFFSKVESSISSDRVLHDSHQRLPKEMYHRDDRPRSNHMLSSYRSLSGDELPFSRSSSSHRDLDSESGHSVFHADTPVVVLQEIALKCGTKVEFMSSLVASAELQFSIEAWFSGKKIGHGFGRTRKEAQHKAAEDSIKHLADIYLSSAKDEPGSTYGDVGGFPNANDNGYMVIASSTNQSLPKEDSASFSTASDSSRVLDPRLEVSKRPMGSISALKELCMMEGLGVNFLSAPAPVSTNSLQKDEVHAQVEIDGKVFGKGIGLTWDEAKMQAAEKALGSLRSKLGQSIQKRQSTPSFLDLAGCLHPFDFRGWLHLFKLPVTMKNLPHNMKPYPLEAYRFP >Vigun07g031400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2920299:2923305:1 gene:Vigun07g031400.v1.2 transcript:Vigun07g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLFSFAESLLRKLATVAVQEASLALGVRSELKEMTETMEIIRGVLLDAQQKTPQSTALSEWLKRVKRVFSDAEDIVDDFECEALRKHVVDTSGSHSMKVRHFFSSSNPVVYRLRMAHRIQDINTRLAKLADQRNMFGLQIINQDMRVVRVREMTHSYVNPTKVTGREHDKNEIVKLLVQDGHHKSLSVIPIVGMGGLGKTTLAKLVFNDTNIHACFPLKMWVCVSNDFELRNLLVKILNSIPSPTSENFNNFETEQLQIHLRNKLEDQKFLLVLDDVWNEDPARWHDLEEIIDLDVKGSKILVTTRSHAVASIMHTKSSNSYLLECLSEEDSLSLFVKCAFEDGEEKKHPELLEIGKKIVEKCVGLPLALRTVGSSLFRNVDKKEWESVRDNEIWNLQKNETGILPALKLSYDQLPSYLKPCFTSFFLYQFDVNIFCYDLTTVWDSLGFLPLPKEGESMSDVLNKVLCELRTRSFLSDDLDFGIDNVYEIHDLVSDLAVYIGKGEFERVNRRNPKISENAQHLVFEENSFYGEDLLPTGLRSVVFRDGGSNIDFLNALVSRCRYLRILDLRYSEYESLPHCIGKLKHLRFLCLAKNEKLKELPDSVCKLQNLKTLVLSGCIRLQKLPKGIKYLISLRHLAITTAQTDFSEEEIANFTSLENLSFTQCDNLESLFEEVQLSTLQTLTLIDCGNLKSVSLHCIRNLEALTIINCNKLESLSCQIPELKLKYLFLFDLPLLVKLPQWFQGSANSLQHLAIRNCVNLGELPDWLPTLICLKVLEISDCPKLLSLPDNIHHLTNLEKLDITGCPELRKRFKPKVGQDWHKISHIKQVDIDDSDDEEDLSE >VigunL068700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:73264:74789:-1 gene:VigunL068700.v1.2 transcript:VigunL068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLVSVHIMHTTLVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVIGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEACKRGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDEIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Vigun03g362850.1.v1.2 pep primary_assembly:ASM411807v1:3:56658331:56660066:1 gene:Vigun03g362850.v1.2 transcript:Vigun03g362850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAILIFLFLGSVEGFSTSPQPCTYDKTKTCKPALATAIFSTVSFLLGGVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGLNHEFTAMLYPLIVSSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLVISTVLMTIGVAVVSFVALPTSFTIFNFGVQKDVKSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFTFAAMYGIAVAALGMLSTFATGLAIDAYGPISDNAGGIGMSAEESLSPLICV >Vigun01g160466.1.v1.2 pep primary_assembly:ASM411807v1:1:34226511:34232323:-1 gene:Vigun01g160466.v1.2 transcript:Vigun01g160466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRACLPSVKLSLVDIVVLFDSDFDPQNDLRGLQKMSISTQLKQLTIFRLYSYYTVEEKILMLAKEGISLDSNVRLISHSISHTLLKWGTSHMFNKLDDLHASVTAVCTPDIADQTLLHDVLCELSSQLVCDADDIDYRECSFISTIQQNRGEYSRNILLLGERIMKELHSEPGAFSWSDLEGKYPQWKFLSVSSRRMRSRAKHSDYIINESECEDHTIMGKRTASNASKKRKVTKDKVEPKRRKVSKDIVETEGRELSNHAIDLKTRKVGNGIVNSGRRKMSKKMLASKYRKPRLRSKKNSSVANSKSKSNGTNGLSSVLDSSGHQTTGETVTNMQLSEKRKLLEGPNSIKFLQKSDISGLCEILHFPKNVKVVALRILEHIFKQYNVNFQEVSTVQAFEISVCWLAASLLKHDIDKKDSLTLAKLYLNFNCTEEEATDVYSELWKHAKTFSNYIQNGLCVEKYNINGASETNVPELNDLTEEKQKAFLDTCVTELTPASIPVEAIALSSEFGSENDVMESTPLITSEDSALEHGGNDVNPRTDYLEKQSPIRSTDITQSDGKVCEDPQILVNELAAIENFMNMPTHSLQLESVEANAVTSIGSAVPEASQWGSVMSPVCDEPTGLKFAETTFPNTQPSDANLWSLPLVRLRSLLDPMILLLQFCFNLTPVQFHVSYSYIVKTIACALRLILPGMTWLTMARVKKLNRSRRLML >Vigun03g043400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3470547:3473325:1 gene:Vigun03g043400.v1.2 transcript:Vigun03g043400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGSEPAGFPKPDSNIVSIDVGGQVFQTTKQTLTSAGPKTFFSRIAESSGIYTPFIDRDPEMFSLLLSLLRTGNLPSKAKAFDLQDLIIESKFYGIETLLINSLSNSSQLEPFNLQKSLLLPLNGRDSPSALAADAACGALHVAHGSKITSFDWSLRRKATVLTHFTAVDSLLALSPTLVAAGANDFSGLQILDLENGRVRETLNWENVTKSGSTVQAIGASSENMFVSFESSRRNSNSIMVYDLHSLTPITEIGHNEIFGADIDSAIPATKLQWIEGYNLLMASGSHSGPSGVSGNIRLWDVRSGNVVWEISEKVDCFADVAVCDPLSVIFKVGVNSGEASYVDMRNLSTESAWVCLGDKRKVTNNGKKEGIGCKIETQGNQVFCTKGGDVELWSEVVMGGGNGGRIFKKNLMGRMRDMGGAKITNLAFGGSTMFLTRKDQQCVEVWQSSSREF >Vigun03g043400.1.v1.2 pep primary_assembly:ASM411807v1:3:3470836:3473081:1 gene:Vigun03g043400.v1.2 transcript:Vigun03g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGSEPAGFPKPDSNIVSIDVGGQVFQTTKQTLTSAGPKTFFSRIAESSGIYTPFIDRDPEMFSLLLSLLRTGNLPSKAKAFDLQDLIIESKFYGIETLLINSLSNSSQLEPFNLQKSLLLPLNGRDSPSALAADAACGALHVAHGSKITSFDWSLRRKATVLTHFTAVDSLLALSPTLVAAGANDFSGLQILDLENGRVRETLNWENVTKSGSTVQAIGASSENMFVSFESSRRNSNSIMVYDLHSLTPITEIGHNEIFGADIDSAIPATKLQWIEGYNLLMASGSHSGPSGVSGNIRLWDVRSGNVVWEISEKVDCFADVAVCDPLSVIFKVGVNSGEASYVDMRNLSTESAWVCLGDKRKVTNNGKKEGIGCKIETQGNQVFCTKGGDVELWSEVVMGGGNGGRIFKKNLMGRMRDMGGAKITNLAFGGSTMFLTRKDQQCVEFLFRKLSI >Vigun03g177200.7.v1.2 pep primary_assembly:ASM411807v1:3:22041504:22043732:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun03g177200.6.v1.2 pep primary_assembly:ASM411807v1:3:22041708:22043762:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun03g177200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22041504:22043732:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVMGLGFEYEAEEGEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun03g177200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22041670:22043830:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVMGLGFEYEAEEGEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun03g177200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22041670:22043830:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVMGLGFEYEAEEGEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun03g177200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22041504:22043732:-1 gene:Vigun03g177200.v1.2 transcript:Vigun03g177200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVADGSLAKMRTREKKFKPIACHVNSVHHVRDPVTYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPEFSGSGGGDCDDGSEFDWVEGLTHWSNFLRYKDVFGDVALVVGGHGGNELMAIEDGDHVDRHGDHGDGFLAGGGGMDMVEFGQMGHSGDGDFGAAMDGVDNEVMGLGFEYEAEEGEVNYNGSGREREDAAENGFVFEEEGEVNGSSLKKRKVVKGMEKKVWRILGNQLGQLREMEARFEQREVERERERQRRENLRVELDKQWEKKLEEREKEREERDKERDKLRRQRMAEWEAMEKENEEMERKRREEELIHERECEERMNCRRLEWKKRVDEMLSQHRAEMGQMQTRFLHEQQNLTSQLLGLFSQWHAQPAGLSDHTSASNHYLSQMMQNLHHVNGIVHGDTRVEGDNQEDQFIVDG >Vigun09g072700.1.v1.2 pep primary_assembly:ASM411807v1:9:8044094:8046215:1 gene:Vigun09g072700.v1.2 transcript:Vigun09g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPLLCQPNQPSDTEEQPPSPPPNKHHPSLGSTVELCIGEFNWSQFLQSLLVSVAWLFDAQQTFITVFTDAPPSWRCTSADNDCAAAATLCDLPRESWAWDGPAHSSTVSEWGLECANSVISGLPASSFFLGCLVGGFLLASLADSSLGRKNMLFFSCLIMGITSFLATLSPNVWIYSALKFLCGFARATIGTSALVLATEIVGKRRRGQTSVIGFFCFTIGFLSLPAMAYANKSSSWRNLYLWTSISTIIYCILVKVFVTESPRWLLVRGRTEEAVATLKCITSVTQSNVDLAINNMSHKEENWNVDLFSALKILLQKKWSSRRLVSIMAMGIGIGLVYYGMPLGLQNLSFNLYLSVTFNALSELPSALIVFFFVDKFNRRTTILLFTILSGVFSMLSIIEVKPWSNLQIGFELISFFSACSSFNVYLIYTTELFPTCVRNSALSMARLAVVFGGAFSPMLVSAGRANKFLCYGVFGLVIGFSGVFGIFLPETRGRALCDTMDEEESKEKIASDMLA >Vigun08g212100.1.v1.2 pep primary_assembly:ASM411807v1:8:37354740:37357766:1 gene:Vigun08g212100.v1.2 transcript:Vigun08g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRCFLHPQQDFSMVPFSSNSVTEDRVSSARNRPPRVTPPSFLVRVAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALVVQITITTFTLAISKRERPIWPMRIWISGYDIGVVLNLLLLYGRYRQIYLTQGDALGVSDIEQQRNHEETSVCRMSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFEQAPKLHVLCITLLAWNAVCYSFPFLLFLLLCCFVPLISTLLGYNMNIASFDKGASEDQISQLPSWRHKEGRAKSELGNDSDGSEKLIDEDQECCICLTKYKDKEEVRQLPCSHVFHLKCVDQWLKITSCCPLCKQGLEM >Vigun08g135000.1.v1.2 pep primary_assembly:ASM411807v1:8:30625895:30628926:-1 gene:Vigun08g135000.v1.2 transcript:Vigun08g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTYTYVNPYLILSSTSNSTMDHDHTNKDMQEKPILVSLEDLYQGIPDESVNLTFQHLAQVNTSEKRKPATTLTPSRSLTKLPSLDFTKGLQGSAHHHDQHHVQDFGHGGSSPWGHPGHFNHHSGGGSQRSPHCTISGDDRSKCGVSFDGMSVASGRGSRRPRPGIPHSKICATCNTYIYIFRTRCLVCGRVYCRQCVEIGMGEMVEGRKCIECLGLRFSHRYIERAGNVGCCSWRYPKTLKQVELKCAEKGPRRSGRYGHGGMTNSRSRSPVSPRRNHGVASNEHSFINSSSFSPFSPHYSLPL >Vigun01g143300.3.v1.2 pep primary_assembly:ASM411807v1:1:32446215:32450761:1 gene:Vigun01g143300.v1.2 transcript:Vigun01g143300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNIQDFIDTCNSDNTCNLIANSTTTNLTTSATSSSSAASTSIASSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQQPMPLPHHPLPPPGASATH >Vigun01g143300.2.v1.2 pep primary_assembly:ASM411807v1:1:32448527:32450736:1 gene:Vigun01g143300.v1.2 transcript:Vigun01g143300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNIQDFIDTCNSDNTCNLIANSTTTNLTTSATSSSSAASTSIASSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQQPMPLPHHPLPPPGASATH >Vigun01g143300.1.v1.2 pep primary_assembly:ASM411807v1:1:32448393:32450761:1 gene:Vigun01g143300.v1.2 transcript:Vigun01g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNIQDFIDTCNSDNTCNLIANSTTTNLTTSATSSSSAASTSIASSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQQPMPLPHHPLPPPGASATH >Vigun07g017100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1451520:1451804:1 gene:Vigun07g017100.v1.2 transcript:Vigun07g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMPGIVRQGSFSASKATCKGLEVPKGYLAVYVGVNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTILCNEDEFLNLTSRLKELL >Vigun03g009100.1.v1.2 pep primary_assembly:ASM411807v1:3:634357:638854:1 gene:Vigun03g009100.v1.2 transcript:Vigun03g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVPPPPAAADTAVDYLNLPCPIPFEELHREAFMSLKPDLFEGMRFDFTKMLNQKFTLNHSVLMGPTEIPSQSTETIKIPTAHYEFGSTFIDHPRLLLWGRILTDGRLNARVKWDLAENLTFKANAQLTNEPHMSHGVFNFEYKGKDYRSQFQLGNGALLGANYIQSVTQHLSLGGEVFWAGQHRKSGVGYGARYNTDKMVATGQVASTGMVVLSYVQKVSEKVSLASEFMCNYLSRDATASFGYDYILRQCRLRGKIDSNGCVAAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVGE >Vigun04g027000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2080715:2081882:1 gene:Vigun04g027000.v1.2 transcript:Vigun04g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDFVPVCGRTKKCRTKGRYHKLDSSSTEVSDSGEMNNVGMLRPKVKMVEVIPKLHQPKISRLSINMWKRLRDGYVKHMLSLAEHVAHLNNAEICFLNKIREDDVQLLLAPSNLSF >Vigun05g200000.1.v1.2 pep primary_assembly:ASM411807v1:5:38651415:38652644:1 gene:Vigun05g200000.v1.2 transcript:Vigun05g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNKPKRSLFTCLKPATCLMDVLPSSPPAPKRSARDPFLSYLAVADKHGAVLPAMLSSMFGVGDMFQIRRRKWKAIRSALNETSLMRLVVKRRKANKNRLSLSSTSVHKENTEKISAPKPNKSGYGTCSNVASPICSSSSRASYRLTSSVSSLSTGHVSRFSAPQALPSPNVVAKPKQRGIDQDKKVVYDSNMALCFVLSFILLILMVWGKFIAILFTSLWLYLVPRCGRRARCNQGDLCEGSELEMAKSNSTKKKVVIYGIGD >Vigun07g171800.1.v1.2 pep primary_assembly:ASM411807v1:7:28701481:28706345:1 gene:Vigun07g171800.v1.2 transcript:Vigun07g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MGQGQSKDELLYQQVSYGNTEGIKSLHREGAGLEWRDKDAKTPLIVACMNPHLYNVAKTLIELGANVNAYRPGRHGGTPLHHAAKRGFESIIKLLLVHGANPLILNDDCLTPLEVARAKGHGGAVRTIESHLCLFSGWLRELHGPGFLEVVVPQLLSRKVWVVILPVGSRTLTQPYKLELAVYSSLQDAQPRTVIALWKAHLEDTKLHQSDPSVAIVSLATKTRLKLGPANENDKQQLAFLCNACKGIPQANPGFLQNNVPTGPPTAPPAAEDTGLAMAINASLQSAMQERPSFPDTQPNSDASSSNSAVNTGNHSFLGTPNSNTSESELVQQAKTGGNSQHHQSHVKASGLDFNPSAPPFAGDIPTDGPIQYPSIDLSPVDMASSDVEKLSNGEGTSVSGSGSSCVICLDAPAEGACIPCGHVAGCMSCLNEVKSKKWGCPVCRSKIDQIIKLYHV >VigunL075400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:3:303:1 gene:VigunL075400.v1.2 transcript:VigunL075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMDARALRRKDAFRGERPWGDTVCDPWISDRVNVSKLRG >Vigun01g056900.1.v1.2 pep primary_assembly:ASM411807v1:1:11376305:11383579:1 gene:Vigun01g056900.v1.2 transcript:Vigun01g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFLRCGGNICMNNDDVENNCRREEEASGNVIEENTLRSSEERRSEEAKTHGWWLLPKRTYEEIKRKNPEYDWKREINNDSDTIKQQTPMENTVLHIAALYGNDECMERVVEIGPHLLRAKNSNGDTPLHVAARAGNISALRKLVIAVSRNSKFEQLKEALSETNNQGNNLFHEALLNGHKDVIDILNLSEGLKKFIMENLFVSRNNKKTSVVDLAFEKCYENIIDVVLSGIIPNPGRILTADLSMANARMATCVENHGILSPPFVAILKQKPGILEKIVSKKKEWIHFKDDRGRNVLHYAASTGYLHGVKYLLENCETCNMVGDNDGLFPLHLASACGHIEVLKKLLENCPNPREIVDKKGRNIVHIAAIMGKFNVVRYVLQDTNDGVIDMIKDKDCDGNTPLHLAASHCHSKIVQALTWDTRVDLHCLNNNNQTALDAFEQFKEEDYPPFPQRLTWCQLKSAGIQNAEIGSHSIEVPSSPCKPKSKDAEFYKDRINTLMLVSTLITTIAFAGGFTLPGGTNSSTPGQGMALMLNHVWFKPYILCTTISMYGGISVTIILIWAQLGDVTLVLFALKVARPILGVTLATLSIAFLAGVHLVISDLNWLATTVLILCVIFILLLLLLYTLLWFPSKSSNLMMRYISFYPFQFLTWLLEKDSI >Vigun01g056900.2.v1.2 pep primary_assembly:ASM411807v1:1:11376305:11383579:1 gene:Vigun01g056900.v1.2 transcript:Vigun01g056900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFLRCGGNICMNNDDVENNCRREEEASGNVIEENTLRSSEERRSEEAKTHGWWLLPKRTYEEIKRKNPEYDWKREINNDSDTIKQQTPMENTVLHIAALYGNDECMERVVEIGPHLLRAKNSNGDTPLHVAARAGNISALRKLVIAVSRNSKFEQLKEALSETNNQGNNLFHEALLNGHKDVIDILNLSEGLKKFIMENLFVSRNNKKTSVVDLAFEKCYENIIDVVLSGIIPNPGRILTADLSMANARMATCVENHGILSPPFVAILKQKPGILEKIVSKKKEWIHFKDDRGRNVLHYAASTGYLHGVKYLLENCETCNMVGDNDGLFPLHLASACGHIEVLKKLLENCPNPREIVDKKGRNIVHIAAIMGKFNVVRYVLQDTNDGVIDMIKDKDCDGNTPLHLAASHCHSKIVQALTWDTRVDLHCLNNNNQTALDAFEQFKEEDYPPFPQRLTWCQLKSAGIQNAEIGSHSIEVPSSPCKPKSKDAEFYKDRINTLMLVSTLITTIAFAGGFTLPGGTNSSTPGQGMALMLNHVWFKPYILCTTISMYGGISVTIILIWAQLGDVTLVLFALKVARPILGVTLATLSIAFLAGVHLVISDLNWLATTVLILCVIFILLLLLLYTLLWFPSKSSNLMMRYISFYPFQFLTWLLEKDSI >Vigun06g199800.1.v1.2 pep primary_assembly:ASM411807v1:6:31515615:31517095:-1 gene:Vigun06g199800.v1.2 transcript:Vigun06g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFITRTTLFRFSKSLPHAPYLSFKPSRVTFASSPNSSEWRNASEGTRDARRNWTYDSPEPKRKADDASERAKETAREGVEMAKDQAREAKDRTKEYAQDAKEKTMDAAGSVRDSAENAKERAKEYAYETKESAKEAAGTVAEKGKEGAERTKQKTEEVAASAGETLKNVGEKAKQGVQGAWDAAKDTTHKIKETLVGKDDDDNDGHGHGGFVLKDDDDDVSGLKRRVGKSSDEKGYY >Vigun09g125100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27823354:27824331:1 gene:Vigun09g125100.v1.2 transcript:Vigun09g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRTRHQFLLLLLILFLCTLSHCHGSRTTTNNVFKFKPKSPHRGHFLGFLPKRLPIPYSSPSKKHNDIGLQSWRSP >Vigun01g216100.1.v1.2 pep primary_assembly:ASM411807v1:1:38987525:38990072:-1 gene:Vigun01g216100.v1.2 transcript:Vigun01g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVERGVNLAIRDVVSSDPYVVIKLGKQKLKTRVVKKNLNPEWNDDLTLSISDPHAPIHLHVYDKDTFSLDDKMGDADFSIGPFTEAVKMRLTGLPNNTIVTKVLPSRQNCLAEESHIVWKDGKVVQNLVLRLRNVECGEVELQLHWIDIPGSRHL >Vigun10g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29233458:29234066:-1 gene:Vigun10g100100.v1.2 transcript:Vigun10g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFFVDTVGSRKLKRKIENLSSTDDDVDSEFSLSLSLGNKRMVGESSSDFFCKSLTNPKTTSSVPKPLEDSNHQVIMSKQYQFSCMFCNKKFSSPQALGGHQNAHKPQRVLLRMNKDMRTFGYGTHMFLHSSIPHYPFHGSIPLYYRHNMHPMTHLSTMPWPHFLPTFGNQEFHESCIRRQQFGMTNPWGIVPETPKKII >Vigun10g116300.1.v1.2 pep primary_assembly:ASM411807v1:10:32130094:32131317:-1 gene:Vigun10g116300.v1.2 transcript:Vigun10g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFDPYAHLGFIKNPDGTITRLSKSPTVEANPDPSPGITVVSKDVTIDAEKKTWVRIFRPTKLPSNDNTVARLPIVIYLHNGGFVLFTPSSIDSHKKCSLIADDVPSIVVSASFRLAPEHRLPTQYQDAFDAVLWVKNQLNNPNGEKWLKDYGDPSRCYLYGSDCGANIAFNVSMQTADTDLKPLRIRGLVLNQPMFGGEKRTGSELKFAADQVLPLPVLDLMWSMALPKGTDRDHRYCNPMVKGPHLDNVRKVVKCLVIGFNGDIMVDRQQDFVTMLVKCGVQVEARFDQVGFHDIDMVDPARASAVVNIAKDFILG >Vigun05g106900.1.v1.2 pep primary_assembly:ASM411807v1:5:10832232:10834733:1 gene:Vigun05g106900.v1.2 transcript:Vigun05g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGNKLRESLRALSSSIRELSISYNDLKGTIVADDFHDLRKLERLTLDGNNNTENEFFKSIGNLTSLKTLSLSHCHINDTPAADWSKMKKLEELHLIDNGFEGFLPNSFVNMTSLRILELSQNNFIGRFDSIIATLTSLEQFDFIENQFEVPVSFSSFANHSNLTTIRGKGNKIILDSQLHTWIPKFQLRELSLSSNIETSPFLLPRFLHYQKDLNILDFSNLKLEGRFPHWLLENNTKMTEVTFRNCSLTGTMQLSLHPLLELRIIDVSNNIIIGGIPSKNISSIYPNLENLNMSSNLIQGSIPYEFGQMKFLRELDLSNNQLSGEILDYIFEAGQLLNFLILSNNKFEGSIFTIPTNLEYLSLNDNKFSGKLPSKIFNTSIISLDVSNNHLVGNIPSILTNISRLSELRMSNNHFEGFIPLELAQQLRNLRYLDLSQNNLTGLVPSFLNSSVKFIHLSNNHLTGLSKKMFNGNSPLVMLDLSYNEISDNIQDMTQDLSYTKLNFLLLKGNHINGDIPKQLCRLIDLTMLDLSDNNFSGDIPRCLGTMPFDNKNLDASLKASKGSFFVQEYSQAPLPSEHKKEKASFTSKRSTYTYIGSILAYMSGINLSQNKLKGNIPYELGNLTRIKTLNLSHNYLSGRIPNSFSNLEQIESLDLSFNKFSGQIPPKLNILTSLEVLSVAHNNLSGPIPEWTNQFATFDESNYEGNPFLCGPPLLKSCSPSSTIIPNETNTHKGNGSLLDIYVFCVSFVVSYILD >Vigun02g074500.1.v1.2 pep primary_assembly:ASM411807v1:2:22605799:22609249:-1 gene:Vigun02g074500.v1.2 transcript:Vigun02g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVNGGRGKLLPFLGMLLAMLTQSGSMVVIKFAMTDGINKYVMVVYSMALSSFFLLPFALFQHRSEGPPLTFSALCSFLLLALFVSSAQIMSYIGIELSSPTLASAILNLVPAFTFVLALIFRMEKVEWRNFSSEAKVLGTVVSISGAFVVILYKGPPIGMKVQFSTQLNWILGGICCVADSLFTSMWYIYQASVAKKYPAVTVILFFQFLFSTIPCGIFALISVRDPTEWKLSFDAGLSVVLYQAIGATMLRYVLCTWCVHREGPLFCAMFKPVGIIFTAIMSAIFLGENLGLGSLIGGVIIVVGFYAVLWGKSREENNDEKKVENLESSCHNLPLLQNRV >Vigun02g074500.2.v1.2 pep primary_assembly:ASM411807v1:2:22605798:22609249:-1 gene:Vigun02g074500.v1.2 transcript:Vigun02g074500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVNGGRGKLLPFLGMLLAMLTQSGSMVVIKFAMTDGINKYVMVVYSMALSSFFLLPFALFQHRSEGPPLTFSALCSFLLLALFVSSAQIMSYIGIELSSPTLASAILNLVPAFTFVLALIFRMEKVEWRNFSSEAKVLGTVVSISGAFVVILYKGPPIGMKVQFSTQLNWILGGICCVADSLFTSMWYIYQASVAKKYPAVTVILFFQFLFSTIPCGIFALISVRDPTEWKLSFDAGLSVVLYQAIGATMLRYVLCTWCVHREGPLFCAMFKPVGIIFTAIMSAIFLGENLGLGRVLCCSVGKIQRGEQ >Vigun02g074500.4.v1.2 pep primary_assembly:ASM411807v1:2:22605799:22609249:-1 gene:Vigun02g074500.v1.2 transcript:Vigun02g074500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVNGGRGKLLPFLGMLLAMLTQSGSMVVIKFAMTDGINKYVMVVYSMALSSFFLLPFALFQHRSEGPPLTFSALCSFLLLALFVSSAQIMSYIGIELSSPTLASAILNLVPAFTFVLALIFRMEKVEWRNFSSEAKVLGTVVSISGAFVVILYKGPPIGMKVQFSTQLNWILGGICCVADSLFTSMWYIYQFLFSTIPCGIFALISVRDPTEWKLSFDAGLSVVLYQAIGATMLRYVLCTWCVHREGPLFCAMFKPVGIIFTAIMSAIFLGENLGLGSLIGGVIIVVGFYAVLWGKSREENNDEKKVENLESSCHNLPLLQNRV >Vigun02g074500.3.v1.2 pep primary_assembly:ASM411807v1:2:22605799:22609249:-1 gene:Vigun02g074500.v1.2 transcript:Vigun02g074500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVNGGRGKLLPFLGMLLAMLTQSGSMVVIKFAMTDGINKYVMVVYSMALSSFFLLPFALFQHRSEGPPLTFSALCSFLLLALFVSSAQIMSYIGIELSSPTLASAILNLVPAFTFVLALIFRMEKVEWRNFSSEAKVLGTVVSISGAFVVILYKGPPIGMKVQFSTQLNWILGGICCVADSLFTSMWYIYQASVAKKYPAVTVILFFQFLFSTIPCGIFALISVRDPTEWKLSFDAGLSVVLYQCPW >Vigun08g147800.1.v1.2 pep primary_assembly:ASM411807v1:8:32054011:32057933:-1 gene:Vigun08g147800.v1.2 transcript:Vigun08g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKALRDLNTTLGTERKNEDSSKACLTKPSVDNADENIEERQKKKTSSSLVSPAVNGNEIVTVNSGAEVVNAEVEYIESENLNDLEDIDTCLKTLLAGLDSKDWVLVCDTLNNVRRLSIFHKEAMLDMLGDVITSIAKSLKSPRSAVCKTAIMTSADIFSTYNDLIIDSLDPLLVQLLLKASQDKRFVCEAAEKALISMTTWISPISLLPKLQPYLKNKNPRIRAKASMCFSRSVPRLGAEGIKTYGIDKLIQVAASQLSDQLPESREAARALLLELQNVYEKFHDHMASAVNEHTIDDHTVNDHTVNEHTVNDHTVNEHTANDHTVNEHPEMSSWESFCQSKLSPLSAQAVLRVTSIAREGLVS >Vigun11g152100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36207064:36207630:-1 gene:Vigun11g152100.v1.2 transcript:Vigun11g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSAENNTPAPWKLEEVVSIIIVCIIFLTVSDCFGVLKRLLCGIFRGRNQVPRRFLDVTVLDDDPSMQVQSRGLEFCVVQSLPTIEFKKNEVEQDKGSNVECAICLGEFEEGVLLKHLPECRHSFHVPCIDKWFQSHSNCPLCRAFVHHHLLHCSVDSSHTLLQPLSREDFERENLSNTQQQFLPP >VigunL059206.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:508880:510189:-1 gene:VigunL059206.v1.2 transcript:VigunL059206.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNDDNISHKVIEDPTSELVEKNAHALIFPFTHTSPRRKKEKVQKTNTKLTKRKLEDLNVEIVTLDKDLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTNKELESYFNAATKLKRKLHLSTLKESVVEGKEIGKRERKPKIHFDGTDLKKLKQEKSHKFAK >Vigun11g119500.1.v1.2 pep primary_assembly:ASM411807v1:11:32564012:32566478:1 gene:Vigun11g119500.v1.2 transcript:Vigun11g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQSKAVLLRVSLVVVALCIAGYIVGPPLYWHFVEGLAAVSHSSPSACAPCVCDCSSQPILSIPQGLSNTSFGDCAKSDPEVSGDTEKNFAELLTEELKLRENQAMENQQHADMALLEAKKIASQYQKEADKCNSGMETCEEAREKSELALVEQKKLTALWELRARQKGWKEGLAKSHARSQGKVQSS >Vigun07g241400.2.v1.2 pep primary_assembly:ASM411807v1:7:36280218:36283381:-1 gene:Vigun07g241400.v1.2 transcript:Vigun07g241400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIINFTSIHECVNFTDPKTESRLHLLNLNVYVPRDEQFGHVKFSDFLAYALKSVAQVLLPEIKSLCDKTINEFDTFEDVFSIYEGSIKMPSGPIACKLRELVPYEIVRELIRNDGERFLKFPVPDVIKASKTAWRTDDEFGREMLAGVNPVIIRRLQEFPPASKLDPKLYGDQTSSIREAHIENSLDGLTIDEAIQKMRLFILDHHDALMPYISRINSTNTKTYASRTLLFLQDDGTLKPLAIELSLPHPQGEQYGAVSKVFTPAQEGVSASVWQLAKAYAAVNDSGYHQLVSHWLCTHAVIEPFIIATHRQLSILHPIHKLLKPHFRDTMHINALARHTLINAGGVLEKTVFPGKFALEMSAIIYKSWVFTDQALPADLLKRGMAVPDSSCRHGLRLVIEDYPFAVDGLEIWDAIETWVTEYCNVYYTSNEMVEGDYELQNWWKEIRNEGHGDLKDRKWWSEMMTKEELIQSCAIIIWLASAFHAAVNFGQYPFAGYLPNRPTVSRRFMPEPGTPEYEELKSDPDLAFLKTITAQFQTLLGVSLIEVLSRHSSEEVYLGQCENPEWTSDAEALAAFERFRQKLLEIENNIMERNKNKKLKNRNGPVKMPYTLLYPNTSDYSKEGGLTGKGIPNSISI >Vigun07g241400.1.v1.2 pep primary_assembly:ASM411807v1:7:36280218:36286686:-1 gene:Vigun07g241400.v1.2 transcript:Vigun07g241400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKEMEEISKRVKGRVVLMKKGLLDFHDIKANVLDRVHELLGKGVSIQLVSATSPDPAKGLQGKQGKVAYLEKWVSTISCLTSASDAEFWVTFDWDHEKMGVPGAFIIRNHHKSQFYLKTVTIEGIPGHDPVNFVCNSWVYPAHRYTHDRVFFSNKVYLPCETPGPLRKLREQELEVLRGKGVGKLNEWDRVYDYACYNDLGTPDDGPHYERPVLGGSKFPYPRRGRTGRPHTKTDPKTESRLHLLNLNVYVPRDEQFGHVKFSDFLAYALKSVAQVLLPEIKSLCDKTINEFDTFEDVFSIYEGSIKMPSGPIACKLRELVPYEIVRELIRNDGERFLKFPVPDVIKASKTAWRTDDEFGREMLAGVNPVIIRRLQEFPPASKLDPKLYGDQTSSIREAHIENSLDGLTIDEAIQKMRLFILDHHDALMPYISRINSTNTKTYASRTLLFLQDDGTLKPLAIELSLPHPQGEQYGAVSKVFTPAQEGVSASVWQLAKAYAAVNDSGYHQLVSHWLCTHAVIEPFIIATHRQLSILHPIHKLLKPHFRDTMHINALARHTLINAGGVLEKTVFPGKFALEMSAIIYKSWVFTDQALPADLLKRGMAVPDSSCRHGLRLVIEDYPFAVDGLEIWDAIETWVTEYCNVYYTSNEMVEGDYELQNWWKEIRNEGHGDLKDRKWWSEMMTKEELIQSCAIIIWLASAFHAAVNFGQYPFAGYLPNRPTVSRRFMPEPGTPEYEELKSDPDLAFLKTITAQFQTLLGVSLIEVLSRHSSEEVYLGQCENPEWTSDAEALAAFERFRQKLLEIENNIMERNKNKKLKNRNGPVKMPYTLLYPNTSDYSKEGGLTGKGIPNSISI >Vigun02g177100.1.v1.2 pep primary_assembly:ASM411807v1:2:31882727:31884761:-1 gene:Vigun02g177100.v1.2 transcript:Vigun02g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLYTFDIPFPRHSDTDNMVSYDADGNLMFFSDNPYSFPFLTASPVHDVAQGNFTNSLHPSFFSFSPQQNQSLSHANPAHPLSNGSYIKSEFRNFSALHGSEVTSEECQMGVDYSSNHHFLSQTFHASDTASKVIQRSFSCNSFGGKPGFPFEPHPDTLMDSPNFHLHPLISPENSFFTGQMRRVCSTGDLQNTKATDMSSQVESPLLEEAYFKVGRYSAEERKEKISRYRAKRSRRKFNKIIKYACRKTLADNRTRIRGRFARNDEISEIPKALSSTSTTEEYEDEFWVEFIEGLNEEVMG >Vigun02g177100.2.v1.2 pep primary_assembly:ASM411807v1:2:31882727:31884761:-1 gene:Vigun02g177100.v1.2 transcript:Vigun02g177100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLYTFDIPFPRHSDTDNMVSYDADGNLMFFSDNPYSFPFLTASPVHDVAQGNFTNSLHPSFFSFSPQQNQSLSHANPAHPLSNGSYIKSEFRNFSALHGSEVTSEECQMGVDYSSNHHFLSQTFHASDTASKVIQRSFSCNSFGGKPGFPFEPHPDTLMDSPNFHLHPLISPENSFFTGQMRRVCSTGDLQFLFRTRKQQICLLKWRVPCWRKRTSKWGVTVPKKEKKKSLDIERREAGGSSTRLSSMHAERR >Vigun04g072300.1.v1.2 pep primary_assembly:ASM411807v1:4:8418432:8425592:-1 gene:Vigun04g072300.v1.2 transcript:Vigun04g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRLDDYEQGPVPSPRAVDRFGFVKPDNTSDGLIKSRSAYEYERYKEERRVRKWRKMIGVGGSDWKHYLRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRNVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLFQFECLVREHLPKLGEHFSNEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALKNFPGNAMNPDTLLPLAYSIKISKRLEELKQEYEKKTGKGARELSEKPMLPGESN >Vigun07g281400.5.v1.2 pep primary_assembly:ASM411807v1:7:39600587:39608285:-1 gene:Vigun07g281400.v1.2 transcript:Vigun07g281400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLPHLPLPPTRTSNGITTVKMSGRMEVVCRGMLKPRKFMQRRRKLEVFKDPADEAHQKNWRRIMTEIDESGSAVSVLSSEKINNQGVPKALIVGTLIRFKQLKKWNLVVEILEWLRTQNWWDFGKMDYIMLITAYGKLGDFNGAEKMLALMNNNGYAPNVVSQTALMEAYGRGGRYNNAEAIFRRMQKWGPEPSALTYQIILKTFVHGDKFKEAEEVFDNLLNDENSPLKPDQKMFNMMIYMFKKAGSYEKARKTFALMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLVSAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMRRDRFFPDLCSYTTMLSAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTLMKGYAKINDLEMLMKKYEEMLERGIKANQTILTTIMDAYGKSGDFDNAVHWFKEMESNGISPDKKAKNVLLSLAKTDHEREEVNELIVHFSENKSLPNGSGVVRFVEEDDEEDNYEYFDDQLTKAYDEHTAERHQQ >Vigun07g281400.4.v1.2 pep primary_assembly:ASM411807v1:7:39600539:39608285:-1 gene:Vigun07g281400.v1.2 transcript:Vigun07g281400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLPHLPLPPTRTSNGITTVKMSGRMEVVCRGMLKPRKFMQRRRKLEVFKDPADEAHQKNWRRIMTEIDESGSAVSVLSSEKINNQGVPKALIVGTLIRFKQLKKWNLVVEILEWLRTQNWWDFGKMDYIMLITAYGKLGDFNGAEKMLALMNNNGYAPNVVSQTALMEAYGRGGRYNNAEAIFRRMQKWGPEPSALTYQIILKTFVHGDKFKEAEEVFDNLLNDENSPLKPDQKMFNMMIYMFKKAGSYEKARKTFALMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLVSAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMRRDRFFPDLCSYTTMLSAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTLMKGYAKINDLEMLMKKYEEMLERGIKANQTILTTIMDAYGKSGDFDNAVHWFKEMESNGISPDKKAKNVLLSLAKTDHEREEVNELIVHFSENKSLPNGSGVVRFVEEDDEEDNYEYFDDQLTKAYDEHTAERHQQ >Vigun07g281400.1.v1.2 pep primary_assembly:ASM411807v1:7:39602462:39608287:-1 gene:Vigun07g281400.v1.2 transcript:Vigun07g281400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLPHLPLPPTRTSNGITTVKMSGRMEVVCRGMLKPRKFMQRRRKLEVFKDPADEAHQKNWRRIMTEIDESGSAVSVLSSEKINNQGVPKALIVGTLIRFKQLKKWNLVVEILEWLRTQNWWDFGKMDYIMLITAYGKLGDFNGAEKMLALMNNNGYAPNVVSQTALMEAYGRGGRYNNAEAIFRRMQKWGPEPSALTYQIILKTFVHGDKFKEAEEVFDNLLNDENSPLKPDQKMFNMMIYMFKKAGSYEKARKTFALMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLVSAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMRRDRFFPDLCSYTTMLSAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTLMKGYAKINDLEMLMKKYEEMLERGIKANQTILTTIMDAYGKSGDFDNAVHWFKEMESNGISPDKKAKNVLLSLAKTDHEREEVNELIVHFSENKSLPNGSGVVRFVEEDDEEDNYEYFDDQLTKAYDEHTAERHQQ >Vigun07g281400.2.v1.2 pep primary_assembly:ASM411807v1:7:39602462:39608285:-1 gene:Vigun07g281400.v1.2 transcript:Vigun07g281400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLPHLPLPPTRTSNGITTVKMSGRMEVVCRGMLKPRKFMQRRRKLEVFKDPADEAHQKNWRRIMTEIDESGSAVSVLSSEKINNQGVPKALIVGTLIRFKQLKKWNLVVEILEWLRTQNWWDFGKMDYIMLITAYGKLGDFNGAEKMLALMNNNGYAPNVVSQTALMEAYGRGGRYNNAEAIFRRMQKWGPEPSALTYQIILKTFVHGDKFKEAEEVFDNLLNDENSPLKPDQKMFNMMIYMFKKAGSYEKARKTFALMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLVSAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMRRDRFFPDLCSYTTMLSAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTLMKGYAKINDLEMLMKKYEEMLERGIKANQTILTTIMDAYGKSGDFDNAVHWFKEMESNGISPDKKAKNVLLSLAKTDHEREEVNELIVHFSENKSLPNGSGVVRFVEEDDEEDNYEYFDDQLTKAYDEHTAE >Vigun07g281400.3.v1.2 pep primary_assembly:ASM411807v1:7:39602462:39608352:-1 gene:Vigun07g281400.v1.2 transcript:Vigun07g281400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLISKSHCHLPHLPLPPTRTSNGITTVKMSGRMEVVCRGMLKPRKFMQRRRKLEVFKDPADEAHQKNWRRIMTEIDESGSAVSVLSSEKINNQGVPKALIVGTLIRFKQLKKWNLVVEILEWLRTQNWWDFGKMDYIMLITAYGKLGDFNGAEKMLALMNNNGYAPNVVSQTALMEAYGRGGRYNNAEAIFRRMQKWGPEPSALTYQIILKTFVHGDKFKEAEEVFDNLLNDENSPLKPDQKMFNMMIYMFKKAGSYEKARKTFALMAERGIEQSTVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLVSAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARTVFKSMRRDRFFPDLCSYTTMLSAYVNASDMEGAEKFFKRLIQDGFEPNVVTYGTLMKGYAKINDLEMLMKKYEEMLERGIKANQTILTTIMDAYGKSGDFDNAVHWFKEMESNGISPDKKAKNVLLSLAKTDHEREEVNELIVHFSENKSLPNGSGVVRFVEEDDEEDNYEYFDDQLTKAYDEHTAEVS >Vigun05g210500.2.v1.2 pep primary_assembly:ASM411807v1:5:40115393:40116995:1 gene:Vigun05g210500.v1.2 transcript:Vigun05g210500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARTRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKRGAATTA >Vigun09g167500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33612227:33618413:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMVCCTCSNFVKYKATQG >Vigun09g167500.10.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618393:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.9.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618406:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.8.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618406:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.11.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618393:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGYLLAS >Vigun09g167500.2.v1.2 pep primary_assembly:ASM411807v1:9:33612217:33618416:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.3.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618393:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGYLLAS >Vigun09g167500.4.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618326:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.7.v1.2 pep primary_assembly:ASM411807v1:9:33612217:33618413:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g167500.1.v1.2 pep primary_assembly:ASM411807v1:9:33612237:33618393:-1 gene:Vigun09g167500.v1.2 transcript:Vigun09g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRELNHLLSRSLHFLHPKQHCSNSSHHPLPGLLPSDDETSSNDSSLQLSQHYAFLRNSLLNSSTHSGDSSNDAVSMSNAIRNGFGSETQNFLRQFRGKLSESLVVEVMNLVKHPELCVEFFLWASRQIGYTHTPVVYNTLIELLCCNDVNERVSDMFLMQIRDDDRVLLRKLLNFLIQKCCRNGMWNVALEELGRLKDFGFKASPTTYNALIQVFLRADKLDTAYLVQKEMSNSGFFMDGYTLSCFAYSLCKAGRCGDALSLIEKEEFVPDTVFYNRMLSGLCEASRFEEAMQILDRMRLNSCIPNVVTYRILVSGCLRKGQLGRCKRILSMMMTEGCYPNREMFNSLVHAYCKSRDYSYAYKLFRKMDNCGCQPSYLLYNIFIGSICSNEELPDSDLLELAEKAYSEMLDSGVVLNKVNVSNFARCLCRAGKFDKAFKIICEMMSKGFIPDDTTYSKVIGFLCDASKVEKAFLLFEEMKKNGIVPSVYTYTILIDSFCKAGLIQQAQKWFDEMLRDGCIPNVVTYTALIHAYLKARKVLDANKLFQMMLVEGCKPNVVTYTALIDGHCKAGQIDKACQIYARMQGDMECSDMDMYFKLDNNDRETPNIITYGALVDGLCKANRVKEARELLDTMSINGCEPNQIVYDALIDGFCKTGELESAREVFVKMSERGYSPNLYTYSSLINSLFKEKRLDLVLKVLSKMLENSCTPNVVIYTEMIDGLCKVGKTDEAYKLMLKMEEVGCYPNVVTYTAMIDGLGKLGKIEQCLELYTRMCSKGCAPNFITYRVLINHCCSAGVLDEAHRLLDEMTQTYWPRHLSSYRKIIEGFNREFVISIGLLDELNENESAPVESLYRILVDSFIKAGRLEVALSLLEEISSSPSLAIANKYLYTSLIESLSLANKVDKAFELYASMTNKSVVPELSTFVYLIKGLTRVGRWQEALQLSDSICQMDICWLHEEITVVD >Vigun09g010400.1.v1.2 pep primary_assembly:ASM411807v1:9:781783:783413:-1 gene:Vigun09g010400.v1.2 transcript:Vigun09g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESDHKTQLVLEVCSISTRSVSCVHTLLSNPSKPPFIDWYCILGVEENAGLVTIRQQYHKLALQLHPDKNKHPKAEIAFKLISEAYMCLSDAAKRKAFDSKRSKNFCFECNRIPYTSKKVPVPGTGSSNGSCLKTRNMSGGSRSYKVWRNIKEMREMLKEEAKVIENCLRANLMSKKESPLYDPADYRDGSRFMNKVEREVPVFNPMKYLYQGYPHLRDHVHQNCETCWYFDTQNLVHNEKREAKYGSPVFEVRSQSKFGYDPS >Vigun10g023000.3.v1.2 pep primary_assembly:ASM411807v1:10:2726405:2729099:-1 gene:Vigun10g023000.v1.2 transcript:Vigun10g023000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRNKQVLLRDHVTGFPKESDLNIVESTITLKLPEASNRVLLKNLYLSCDPYMRNLMNKPEGPPNSRAYVPGSPLTGYGVSKVLESGHPDYKEGDLVWGFTNWEEYSVLSSAQILFKIEHTDVPLTYYTGILGMPGVTAYAGLFEVGSLQKGDKVFVSAASGAVGQLVGQFAKLNDCYVVGSAGSKEKVLL >Vigun10g023000.1.v1.2 pep primary_assembly:ASM411807v1:10:2725431:2729099:-1 gene:Vigun10g023000.v1.2 transcript:Vigun10g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRNKQVLLRDHVTGFPKESDLNIVESTITLKLPEASNRVLLKNLYLSCDPYMRNLMNKPEGPPNSRAYVPGSPLTGYGVSKVLESGHPDYKEGDLVWGFTNWEEYSVLSSAQILFKIEHTDVPLTYYTGILGMPGVTAYAGLFEVGSLQKGDKVFVSAASGAVGQLVGQFAKLNDCYVVGSAGSKEKVDLLTDKFGFDGGFNYKEEPDLDAALKRHFPEGIDVYFENVGGKTLDAVLLNMRLHGRIPVCGMISQYNLTEHEGVTNLAQIIYKRVRLQGFNFMDWVHLYPKFLDFLLPNIREGKVVYVEDIAEGLENGPSALVGLFSGRNVGKQVLVVSHE >Vigun10g023000.2.v1.2 pep primary_assembly:ASM411807v1:10:2725431:2729099:-1 gene:Vigun10g023000.v1.2 transcript:Vigun10g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRNKQVLLRDHVTGFPKESDLNIVESTITLKLPEASNRVLLKNLYLSCDPYMRNLMNKPEGPPNSRAYVPGSPLTGYGVSKVLESGHPDYKEGDLVWGFTNWEEYSVLSSAQILFKIEHTDVPLTYYTGILGMPGVTAYAGLFEVGSLQKGDKVFVSAASGAVGQLVGQFAKLNDCYVVGSAGSKEKVDLLTDKFGFDGGFNYKEEPDLDAALKRSILNSILKIFRSHFFMLIKTLNYCSW >Vigun04g030400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2419409:2420643:1 gene:Vigun04g030400.v1.2 transcript:Vigun04g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPPAPSETDPKPKSDPESFPYFEDMNELEKVFNRFDANGDGKISADELDSVLRTLGSGVSPEDLRRVMEDLDTDRDGFISLTEFAAFCRSDATDGGAGEFRDAFDLYDRDKNGLISAEELHLALNRLGLKCSVDECRDMIKSVDADGDGFVNFEEFKTMMMTSKNRGATNGSVH >Vigun11g059500.1.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHFLKQLTCTVGAHSPTISRRLIHYSSVVPFLSIHHKIVRYQRLPHVRVNLRAAVTNAKFSAASSSPTVSDTSLTPPAPYTSVLIHCPKDTADVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.7.v1.2 pep primary_assembly:ASM411807v1:11:12953947:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSQHSSSTCICQTRRAYQKDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.11.v1.2 pep primary_assembly:ASM411807v1:11:12954971:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHPSTCASKDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.5.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHFLKQLTCTVGAHSPTISRRLIHYSSVVPFLSIHHKIVRYQRLPHVRVNLRAAVTNAKFSAASSSPTVSDTSLTPPAPYTSVLIHCPKDTADVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.6.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSQHSSSTCICQTRRAYQKDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.14.v1.2 pep primary_assembly:ASM411807v1:11:12952775:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.15.v1.2 pep primary_assembly:ASM411807v1:11:12951694:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.2.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.8.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960528:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHPSTCASKDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.10.v1.2 pep primary_assembly:ASM411807v1:11:12952775:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.20.v1.2 pep primary_assembly:ASM411807v1:11:12951694:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.19.v1.2 pep primary_assembly:ASM411807v1:11:12952775:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.12.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.18.v1.2 pep primary_assembly:ASM411807v1:11:12951693:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.9.v1.2 pep primary_assembly:ASM411807v1:11:12952630:12960528:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHPSTCASKDVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.13.v1.2 pep primary_assembly:ASM411807v1:11:12953947:12960527:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g059500.16.v1.2 pep primary_assembly:ASM411807v1:11:12951619:12960528:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHFLKQLTCTVGAHSPTISRRLIHYSSVVPFLSIHHKIVRYQRLPHVRVNLRAAVTNAKFSAASSSPTVSDTSLTPPAPYTSVLIHCPKDTADVLAEALLSFGASSVSMDQDDVSQSTDEICISSIFPEVEDISVSILHAADSIGLKEIPRYEVKICEEDDWMKRSQDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKLALQFQL >Vigun11g059500.17.v1.2 pep primary_assembly:ASM411807v1:11:12951653:12960528:1 gene:Vigun11g059500.v1.2 transcript:Vigun11g059500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQESLHPVQVTEGLWVVPQWCTPPDVQATNIIVNPGLAFGTGEHATTKLCLLLLHGCIKGGEHILDYGTGTGILAIAALKFGASFAVGVDVDSEAIASASQNASLNNIRPDKMQLHLITSETSSSSKDDSTFGVMERENTYEIQTITTYCAKFDVVIANILLNPLMDLADQIISYAKPGAVVGLSGVLSEQVQYIIERYSPFLEDIKVSKMDDWACVSGRKRIYLNVR >Vigun11g049700.1.v1.2 pep primary_assembly:ASM411807v1:11:8036211:8037765:-1 gene:Vigun11g049700.v1.2 transcript:Vigun11g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTVERKRSLVERVRKNVEEEVENEVEEEDESGASFTEEEKKRGFGSSNSGGGRRGSSGGAAAGGGGGVSPPSCQAERCGADLTDAKRYHRRHKVCEFHSKAPVVVVAGLRQRFCQQCSRFHDLAEFDESKRSCRRRLAGHNERRRKSNPEAAGEGSKGHHHPKETTQCRIQMNLPGSSSGYKSFNIR >Vigun02g013400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5046219:5047376:-1 gene:Vigun02g013400.v1.2 transcript:Vigun02g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWIKATGALKDRYSIWVARLLPSGPCRNPDLEMVVIKATSHDEQCMDYKNVQRVFKWLRTSPFYLQPLLYTLSIRMEKTRSWVVALKGLMLIHGVFCFDLPGVQKMGRLPFDLSLFSDAHINPNRAWVYNAFVRAYFLYLDQKSAFVRMEVMREAKRGGKEKEESVMEELQSLEKLLGLIDLLLQVKPRSPHMNVVLVLEAMDCVMDEVLEVYQKFCAGVHRVVERILDMGGEEEARVGLEVARKAELQGNKMTTYFNFCKDIGILNVSDCPEIVRVDEKDIEELVRIRDGKTMESDESDEENGVVAHEDNNCRAIVSFLETKQTDLKTVITNQWEVFEDDLVVDVLNGASVTTNNPFLDYSLSLVPYVPVSNNCVLPDLIVL >Vigun01g149800.3.v1.2 pep primary_assembly:ASM411807v1:1:33172928:33177994:1 gene:Vigun01g149800.v1.2 transcript:Vigun01g149800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQNRKVHNVEKPFPGCLGRMVNLFDLTGGVNGNKLLTDRPHREASSLSRSQSDVARIASPTLGDQIEDKLIVSDSMRALSNKKINGTPIKMLIDQEMSKEVVSKHNPPPNVVAKLMGLEALPRGDPNLSVERSHRGDYSQHMCDHSGTPFKHWQMDDRYMDKEMLHEVHLNTEQIAYKDIYDIWLQSQRTGNVRDKTQEREKWTEDVNGKKMALIRQKFMEAKRLSTDERLRQSKEFDDALEVLSSNNDLLIRLLDSQNLYELQSTPVAETKRITVLKPSKVVDNEKSVGKGKKNDKQIRKPANVGAAWERYSPGYTPPSQKVDEFPVQPTRIVVLKPSPGKAHEIKAVVSPTMLSPRNLPSGNFYQEPEDDDVLESRKVDSEITQQLHEDMRSNQRDETFYSSVFSNGYTGDESSFNKSDHECNAGNFSDLEVMSPSPRHSWDYINRCGSPFSSSSFSRASCSPESSVCREAKKRLSERWAMMASSKGLQEQRHMRRSSTLGEMLALSDIKKSEISELEGIHKEQEPNESVSCSRNFNAETCMDGSPRSLSRSKSVPTSSTVFDNGLSVEVCDNDAGKIHVSGELTKSKSMKSSFKGKVTSFFFSRSKKPTREKSCLSQSKNEPQSTLIGASDSPLSSSGALRDDVSQSFNSGSIGECSLTAPYESSGKMFSDSISNGQGAIPLESGLTLSKPVVPWISSENQGQPSPISVLEPPFEDDNGANESLGYGKGGHLGSRGPLKSNLIDKSPPIESIARTLSWDDSCVEVASPYPLKPSLGSLDTKVEDQDWLVFVEKLLSAAGIDDQVQSDSFYSRWHSLESPLDPSLRENYANLNEKEPQQLHEAKRRQRRSNQKLVFDCVNFSLIEITGYGSDNYLMGRLWSGSHSRFQVPEGAAHPLVDLVVAQMKELISGAMRSVWGDCGDSNSLGVESVVRKEVVGKGWVELMALEMDILVKEVEGKLLEELVEDAVVDLTGRA >Vigun01g149800.2.v1.2 pep primary_assembly:ASM411807v1:1:33172469:33177994:1 gene:Vigun01g149800.v1.2 transcript:Vigun01g149800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQNRKVHNVEKPFPGCLGRMVNLFDLTGGVNGNKLLTDRPHREASSLSRSQSDVARIASPTLGDQIEDKLIVSDSMRALSNKKINGTPIKMLIDQEMSKEVVSKHNPPPNVVAKLMGLEALPRGDPNLSVERSHRGDYSQHMCDHSGTPFKHWQMDDRYMDKEMLHEVHLNTEQIAYKDIYDIWLQSQRTGNVRDKTQEREKWTEDVNGKKMALIRQKFMEAKRLSTDERLRQSKEFDDALEVLSSNNDLLIRLLDSQNLYELQSTPVAETKRITVLKPSKVVDNEKSVGKGKKNDKQIRKPANVGAAWERYSPGYTPPSQKVDEFPVQPTRIVVLKPSPGKAHEIKAVVSPTMLSPRNLPSGNFYQEPEDDDVLESRKVDSEITQQLHEDMRSNQRDETFYSSVFSNGYTGDESSFNKSDHECNAGNFSDLEVMSPSPRHSWDYINRCGSPFSSSSFSRASCSPESSVCREAKKRLSERWAMMASSKGLQEQRHMRRSSTLGEMLALSDIKKSEISELEGIHKEQEPNESVSCSRNFNAETCMDGSPRSLSRSKSVPTSSTVFDNGLSVEVCDNDAGKIHVSGELTKSKSMKSSFKGKVTSFFFSRSKKPTREKSCLSQSKNEPQSTLIGASDSPLSSSGALRDDVSQSFNSGSIGECSLTAPYESSGKMFSDSISNGQGAIPLESGLTLSKPVVPWISSENQGQPSPISVLEPPFEDDNGANESLGYGKGGHLGSRGPLKSNLIDKSPPIESIARTLSWDDSCVEVASPYPLKPSLGSLDTKVEDQDWLVFVEKLLSAAGIDDQVQSDSFYSRWHSLESPLDPSLRENYANLNEKEPQQLHEAKRRQRRSNQKLVFDCVNFSLIEITGYGSDNYLMGRLWSGSHSRFQVPEGAAHPLVDLVVAQMKELISGAMRSVWGDCGDSNSLGVESVVRKEVVGKGWVELMALEMDILVKEVEGKLLEELVEDAVVDLTGRA >Vigun01g149800.4.v1.2 pep primary_assembly:ASM411807v1:1:33172928:33177994:1 gene:Vigun01g149800.v1.2 transcript:Vigun01g149800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQNRKVHNVEKPFPGCLGRMVNLFDLTGGVNGNKLLTDRPHREASSLSRSQSDVARIASPTLGDQIEDKLIVSDSMRALSNKKINGTPIKMLIDQEMSKEVVSKHNPPPNVVAKLMGLEALPRGDPNLSVERSHRGDYSQHMCDHSGTPFKHWQMDDRYMDKEMLHEVHLNTEQIAYKDIYDIWLQSQRTGNVRDKTQEREKWTEDVNGKKMALIRQKFMEAKRLSTDERLRQSKEFDDALEVLSSNNDLLIRLLDSQNLYELQSTPVAETKRITVLKPSKVVDNEKSVGKGKKNDKQIRKPANVGAAWERYSPGYTPPSQKVDEFPVQPTRIVVLKPSPGKAHEIKAVVSPTMLSPRNLPSGNFYQEPEDDDVLESRKVDSEITQQLHEDMRSNQRDETFYSSVFSNGYTGDESSFNKSDHECNAGNFSDLEVMSPSPRHSWDYINRCGSPFSSSSFSRASCSPESSVCREAKKRLSERWAMMASSKGLQEQRHMRRSSTLGEMLALSDIKKSEISELEGIHKEQEPNESVSCSRNFNAETCMDGSPRSLSRSKSVPTSSTVFDNGLSVEVCDNDAGKIHVSGELTKSKSMKSSFKGKVTSFFFSRSKKPTREKSCLSQSKNEPQSTLIGASDSPLSSSGALRDDVSQSFNSGSIGECSLTAPYESSGKMFSDSISNGQGAIPLESGLTLSKPVVPWISSENQGQPSPISVLEPPFEDDNGANESLGYGKGGHLGSRGPLKSNLIDKSPPIESIARTLSWDDSCVEVASPYPLKPSLGSLDTKVEDQDWLVFVEKLLSAAGIDDQVQSDSFYSRWHSLESPLDPSLRENYANLNEKEPQQLHEAKRRQRRSNQKLVFDCVNFSLIEITGYGSDNYLMGRLWSGSHSRFQVPEGAAHPLVDLVVAQMKELISGAMRSVWGDCGDSNSLGVESVVRKEVVGKGWVELMALEMDILVKEVEGKLLEELVEDAVVDLTGRA >Vigun01g149800.1.v1.2 pep primary_assembly:ASM411807v1:1:33172469:33177994:1 gene:Vigun01g149800.v1.2 transcript:Vigun01g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQNRKVHNVEKPFPGCLGRMVNLFDLTGGVNGNKLLTDRPHREASSLSRSQSDVARIASPTLGDQIEDKLIVSDSMRALSNKKINGTPIKMLIDQEMSKEVVSKHNPPPNVVAKLMGLEALPRGDPNLSVERSHRGDYSQHMCDHSGTPFKHWQMDDRYMDKEMLHEVHLNTEQIAYKDIYDIWLQSQRTGNVRDKTQEREKWTEDVNGKKMALIRQKFMEAKRLSTDERLRQSKEFDDALEVLSSNNDLLIRLLDSQNLYELQSTPVAETKRITVLKPSKVVDNEKSVGKGKKNDKQIRKPANVGAAWERYSPGYTPPSQKVDEFPVQPTRIVVLKPSPGKAHEIKAVVSPTMLSPRNLPSGNFYQEPEDDDVLESRKVDSEITQQLHEDMRSNQRDETFYSSVFSNGYTGDESSFNKSDHECNAGNFSDLEVMSPSPRHSWDYINRCGSPFSSSSFSRASCSPESSVCREAKKRLSERWAMMASSKGLQEQRHMRRSSTLGEMLALSDIKKSEISELEGIHKEQEPNESVSCSRNFNAETCMDGSPRSLSRSKSVPTSSTVFDNGLSVEVCDNDAGKIHVSGELTKSKSMKSSFKGKVTSFFFSRSKKPTREKSCLSQSKNEPQSTLIGASDSPLSSSGALRDDVSQSFNSGSIGECSLTAPYESSGKMFSDSISNGQGAIPLESGLTLSKPVVPWISSENQGQPSPISVLEPPFEDDNGANESLGYGKGGHLGSRGPLKSNLIDKSPPIESIARTLSWDDSCVEVASPYPLKPSLGSLDTKVEDQDWLVFVEKLLSAAGIDDQVQSDSFYSRWHSLESPLDPSLRENYANLNEKEPQQLHEAKRRQRRSNQKLVFDCVNFSLIEITGYGSDNYLMGRLWSGSHSRFQVPEGAAHPLVDLVVAQMKELISGAMRSVWGDCGDSNSLGVESVVRKEVVGKGWVELMALEMDILVKEVEGKLLEELVEDAVVDLTGRA >Vigun07g259950.1.v1.2 pep primary_assembly:ASM411807v1:7:37664075:37665925:1 gene:Vigun07g259950.v1.2 transcript:Vigun07g259950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFTSADLNREAVVWDRAFKEVKLNYMFNTARINNLAWSLDSTLVATGSLDTCEVYGVYFKPQNHKGCSFRWSIRINFYPS >Vigun03g160400.2.v1.2 pep primary_assembly:ASM411807v1:3:17710393:17714873:1 gene:Vigun03g160400.v1.2 transcript:Vigun03g160400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSKDRVAESEGENSRSKLSRHYFGANKEYPDEKGVLFLRDSQVGCGGGGGGGVDGVLRPKPTEVVVGSSGFQELTLSYLCDNPKLSFSEKENPGKSLLSSFERISHKGKEVVVCENSNQDGKWVERDFLSLSEPREDSSKRSLEEVVERESNKEKKQKLETLNLSLALPDVSLSLTASNALQNGDQLVRNKPCRPSTTHTSYSNDYTAASLSYSYSHPFSHNPSCSLTRNSTDNFEYSVSKDDQIWNCGEGTNGSVHSRFKPIGDGVALTSHGAGISSFMQGNSQYKTTSSDNHSFFPSELPARPRLEAQSGDSRGRNSENLRILDGLDDGKVRKHSRPERIVREIVSESITVMALTIQELTDDVIASTKEYLKNLIEKPEKKEELVSLQNRLDRRSDLTKESLSKCHKVQLEVLVALKMGLASFLSSKVQLSEMVEIFLYKRCRNVTCMSLLPVDDCDCKICSGNKGFCSSCMCPVCLNFDCANSTCSWIGCDVCSHWCHATCGIQRNLIKPGPSLKGPSGTSEVQFHCLGCGHASEMFGFVKDVFLCCAKDWGLETLMKELDCVRRIFRASEDRKGKELHVKTDDLLLKLQTKMTQIACQIFLLLLVFLQRIWQPLKLTLQRIYHLFQNLTLYYQIMGMRWAILGHILMPCQGK >Vigun03g160400.1.v1.2 pep primary_assembly:ASM411807v1:3:17710393:17714873:1 gene:Vigun03g160400.v1.2 transcript:Vigun03g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSKDRVAESEGENSRSKLSRHYFGANKEYPDEKGVLFLRDSQVGCGGGGGGGVDGVLRPKPTEVVVGSSGFQELTLSYLCDNPKLSFSEKENPGKSLLSSFERISHKGKEVVVCENSNQDGKWVERDFLSLSEPREDSSKRSLEEVVERESNKEKKQKLETLNLSLALPDVSLSLTASNALQNGDQLVRNKPCRPSTTHTSYSNDYTAASLSYSYSHPFSHNPSCSLTRNSTDNFEYSVSKDDQIWNCGEGTNGSVHSRFKPIGDGVALTSHGAGISSFMQGNSQYKTTSSDNHSFFPSELPARPRLEAQSGDSRGRNSENLRILDGLDDGKVRKHSRPERIVREIVSESITVMALTIQELTDDVIASTKEYLKNLIEKPEKKEELVSLQNRLDRRSDLTKESLSKCHKVQLEVLVALKMGLASFLSSKVQLSEMVEIFLYKRCRNVTCMSLLPVDDCDCKICSGNKGFCSSCMCPVCLNFDCANSTCSWIGCDVCSHWCHATCGIQRNLIKPGPSLKGPSGTSEVQFHCLGCGHASEMFGFVKDVFLCCAKDWGLETLMKELDCVRRIFRASEDRKGKELHVKTDDLLLKLQTKMVSPLDACNYIVQFFNYADSMPDFPASAGVSSKDLAASQTNLTKDIPSLSKPNPLLPNYGYEMGYSRSHPDAMSREVVQKDLKASMLSELKNEADFHLGALLRKGGLESLGSIVRIKEAEARMFQTKADEARREAEGFQRMIRTKTAQMEEEYAEKLSKLCLHETEETQRKKMDELKVLENSYFDYYKMKKRMQEEIDGLLRRMEATKQQWV >Vigun07g263100.1.v1.2 pep primary_assembly:ASM411807v1:7:37876217:37879035:1 gene:Vigun07g263100.v1.2 transcript:Vigun07g263100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLEKENGGSGEIRWNVFVEEVKRVGYLAGPMVTVTLSQYFLQIISLMMVGHLGKLALSSTAIAISICAVSGFSVIFGMSCALETQCGQAYGAQQYRKFGVQIYTAIVSLALSCVPLSLLWVYLGKLLNVLGQDPLISQEAGKFAMCMIPALFGYAALQTLVRFFLMQSLISPLVISSSITLLFHVPFSWLMIFKSGLGNFGAAFAISTSYWLNVILLGLYMKFSAQCEKTRVPISMELFNGIGEFIRYAIPSAGMICLEWWSFELLILLSGLLPNPELETSVLSICLNITTTIYTIPESIGSAASTRISNALGAGSPQSARVCVYAAMTLATSEAILVSSIIFACRHILGYVFTNDQDVADYVMSMAPLLSLSVIMDSLHGTLSGIARGCGWQHLGAYVNLGAYYVFGIPPAAILGFCFPLAGKGLWIGILTGATCQTVMLSLITIFTNWEEQAIKARERIFQGSSSVEDALV >Vigun04g006300.1.v1.2 pep primary_assembly:ASM411807v1:4:441972:443819:-1 gene:Vigun04g006300.v1.2 transcript:Vigun04g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGPEIWAAIKIQAVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQARVRSHQSRRLMSTKNEAFRSQNRARRSMERFDDTRSEYAVPIHSRRASSSFDATLNINNSVDGSPKIVEVDTFRPKSRSRRTISDFGDEPSLQALSSPFFAISYRGTPTPTPTPTPTRWSIPDQRNFQDSEWGLTGEECRFSTAQSTPRFTNPCSCDSVAPMTPQSVCPDDNLFLRQYGKFPNYMASTQSFKAKLRSHSAPKQRPEPSPRKRLSLNEMMESRSSLSGVRMQRSCSKAQEVISFKNAVMGKLQKSTESVRETDRNYFHQRGW >VigunL053100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:35874:36698:1 gene:VigunL053100.v1.2 transcript:VigunL053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEEFSKF >Vigun07g296900.1.v1.2 pep primary_assembly:ASM411807v1:7:40720650:40722723:-1 gene:Vigun07g296900.v1.2 transcript:Vigun07g296900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPHSDLFVYYCKHCASHVLITDTQLQKMPKRKTDKAYVLDKTKHLARFNIHHAGKVLLKRGEGKLEKQYRMNCMGCGLFVCYRAEHDFDSSSLIYVLDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLTARQVYEKLLEAVQP >Vigun07g296900.2.v1.2 pep primary_assembly:ASM411807v1:7:40720650:40722723:-1 gene:Vigun07g296900.v1.2 transcript:Vigun07g296900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPHSDLFVYYCKHCASHVLITDTQLQKMPKRKTDKAYVLDKTKHLARFNIHHAGKVLLKRGEGKLEKQYRMNCMGCGLFVCYRAEHDFDSSSLIYVLDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITSTLSLP >Vigun07g296900.3.v1.2 pep primary_assembly:ASM411807v1:7:40721694:40722723:-1 gene:Vigun07g296900.v1.2 transcript:Vigun07g296900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPHSDLFVYYCKHCASHVLITDTQLQKMPKRKTDKAYVLDKTKHLARFNIHHAGKVLLKRGEGKLEKQYRMNCMGCGLFVCYRAEHDFDSSSLIYVLDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITSTLSLP >VigunL059051.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000345.1:3195:4769:1 gene:VigunL059051.v1.2 transcript:VigunL059051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYDVIIVGGGHNGLVAGSYLAKAGRKVVVLERSAVAGGLSRSDPVIPEAPGHMINTGAVELIHIRASPVMHELDLRQHGWNTVETDPTYAYLAPDGGSIALFRDPRRTAEDIARFSRKDAKAYLEFIGLIDALLDFAGAMNKGDPGVTKPSKYLDIAKAAIRNRRLKDKLQLISAAPADQLAAEWFESDAAQALLLGVVAGAGPFDTDGNAVAYALFGLLHRVGVSKPIGGMRMLADTLVRAYAASGGELVLDAEVTEIIIGDGEARGVRLADGRRLEAMAVIATCDPQTAARLTTPGGLDRVTRTRLEYAPAHRANVGPSLINLASSRPFRLKRHQDQRHDGVDLNQAVGLIGTADELRLALAQARRGLTPSSPVFSVSAATNWDPSQAPAGQGTAYIYLPIFPVEVNEGWAVAKAPAAEAIIARAAEFYDGFESELGRWFETCPERAVRANVTKGCVTHVDFGALRSGSRRPAVGLGGPAPLVPGFFLGGAGIHPGGGVSGGPGRLVSQRVSTYLRSRN >Vigun07g211600.2.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300772:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRSENKYKGISGQAT >Vigun07g211600.4.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300772:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRSENKYKGISGQAT >Vigun07g211600.3.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300772:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRSENKYKGISGQAT >Vigun07g211600.6.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300151:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRQASI >Vigun07g211600.1.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300772:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRSENKYKGISGQAT >Vigun07g211600.5.v1.2 pep primary_assembly:ASM411807v1:7:33295264:33300333:1 gene:Vigun07g211600.v1.2 transcript:Vigun07g211600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVLVVTYKPQTASLLFLIFFTAICLCFFLSISMAKISVATGLKTLFTVVGLLMVATLIYTLFIDGLPFRKELLTPWMAATLIDFYINVVVLAIWVAYKESNLVSSILWIILLVCLGSITTSAYIVVQFLKLSSQESSQDPMYYVLLRHPNKNDIAPQRKHSSVMTLRILFSILGLVMLGTLVYTAVTAGSPFRLELLNPWSAATLVDFYINVVALAVWVAYKESSWISAVFWIILLICLGSIATSFYIVLQLFQISAQDPAYLVLVQHGDRKQI >Vigun09g199200.1.v1.2 pep primary_assembly:ASM411807v1:9:37382551:37384538:-1 gene:Vigun09g199200.v1.2 transcript:Vigun09g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTESNNAIIIDIDSPSRSQSFVEKHEQLNVPLLLRQPSYATHELRNLRTTLQCCALDHSSFLKKLISYVVFIFLTITVPLFTSLFVHIPASQLDPFSFNKLLQLPQSALAIFAFFTLSRFFPSYGLRQLLFLDVLQDDSINVRRGYTRHIQKAFRYLACMVLPSFIVELVHKIIFFSRVEISVPGGTLLNWVVFVLVLLSWVYRTGLFLLACVIFRLTCELQIRRFEGLHKLFEGCESDANTIFREHVRIRRQLWITSHRYRFFIISCLVTITVSQLTSLLLVLESKSDTTFFNSGDLVICSAVQLCGFVLCLTGAARITHRAQGIVSIAAKWHMVVTNASETMQSKGQMQEVLPSPSDSDSGSDSSEIHISETPQPCFFHTRHALVTYLHHNCGGITVFGFVLDRGLLHTVFAFEFSMVLWILSMVVV >Vigun06g007240.1.v1.2 pep primary_assembly:ASM411807v1:6:3662975:3663600:-1 gene:Vigun06g007240.v1.2 transcript:Vigun06g007240.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKLNHANVMEVPRSCEIRVVPKAPYDFIIKNGKLAMEIPRGQKFNRHKGNLDSNVSVRFSGRNMGKLHSILDGNGVLRILPRTGRSFRDLEHIRGFNVTIVTSANTQDETLPLWSGFLQKDEGETKLSQRCRRSEIYEIRNADCSRLNMN >Vigun05g096100.3.v1.2 pep primary_assembly:ASM411807v1:5:9333937:9341243:1 gene:Vigun05g096100.v1.2 transcript:Vigun05g096100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSRNFRRRGGGDTEANDEDGDTSTLSSRPPSSAKPKKPQAPKLLSFADDEDNENPRPRSVKPQRSTKPSSAHKITTHKDRIASSSPSVPSNVQPQAGTYTKETLRELQKNTRTLVTSSSSSRPEPKPPAEPVIVIKGLVKPVASEPQGRESDSEGDDKVVEGKLGGLGLHNGKDSFFPDEEMIKAIRAKRERLRQARPAAPDYISLDGGSNHGAAEGLSDEEPEFRGRIAILGEKVDGGKKGVFEEVEERRVGVRFKEEEEDDEEEEKLWEEEQFRKGLGKRMDEGSARVDVPVVQGAQQHKYVVPSAATVYGAVPNAAALVSPGIGGAIESMPALDVLSISQQAESAKKALVENVKKLKESHGRTMSSLGKTDENLSASLLNITALENSLVVADEKYRFMQKLRSYVTNICDFLQHKAFYIEELEEQMKKLHEDRASAISERRTTNNDDEIVEVEAAVKAAMSVLNKKGNIEAAKSAAQEAYTALRKQKDLPVKLDEFGRDLNLEKRMQLKIRAEASQRKRSRVFDSNKPTAMELDDHKIEGESSTDESDSESQAYESQRDMVLQAADEIFGDASEEYGQLSLVKERMEEWKREYSSSYRDAYMSLSLPLVFSPYVRLELLRWDPLHKGIDFSEMKWYKLLLNYGLPEDRKKFVHDDGDADLELVPNLVEKVALPLLHYEISHCWDMLSQQETKNAIAATKLIVDHVSHESEALTGLLVSIRTRLADAVANLTTQITASADLCAVTWKDSGEKKCTRE >Vigun05g096100.2.v1.2 pep primary_assembly:ASM411807v1:5:9333938:9341260:1 gene:Vigun05g096100.v1.2 transcript:Vigun05g096100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSRNFRRRGGGDTEANDEDGDTSTLSSRPPSSAKPKKPQAPKLLSFADDEDNENPRPRSVKPQRSTKPSSAHKITTHKDRIASSSPSVPSNVQPQAGTYTKETLRELQKNTRTLVTSSSSSRPEPKPPAEPVIVIKGLVKPVASEPQGRESDSEGDDKVVEGKLGGLGLHNGKDSFFPDEEMIKAIRAKRERLRQARPAAPDYISLDGGSNHGAAEGLSDEEPEFRGRIAILGEKVDGGKKGVFEEKLWEEEQFRKGLGKRMDEGSARVDVPVVQGAQQHKYVVPSAATVYGAVPNAAALVSPGIGGAIESMPALDVLSISQQAESAKKALVENVKKLKESHGRTMSSLGKTDENLSASLLNITALENSLVVADEKYRFMQKLRSYVTNICDFLQHKAFYIEELEEQMKKLHEDRASAISERRTTNNDDEIVEVEAAVKAAMSVLNKKGNIEAAKSAAQEAYTALRKQKDLPVKLDEFGRDLNLEKRMQLKIRAEASQRKRSRVFDSNKPTAMELDDHKIEGESSTDESDSESQAYESQRDMVLQAADEIFGDASEEYGQLSLVKERMEEWKREYSSSYRDAYMSLSLPLVFSPYVRLELLRWDPLHKGIDFSEMKWYKLLLNYGLPEDRKKFVHDDGDADLELVPNLVEKVALPLLHYEISHCWDMLSQQETKNAIAATKLIVDHVSHESEALTGLLVSIRTRLADAVANLTVPTWSPLVLVAVPDAARVAAYRFGVSVRLLRNICLWNDVFSMSVLEKLALDELLFGKVLPHLRIISENVQDAITRTERVIASLSGVWTGQSVIGDRKHKLQPLLTYVLSLGRILERRNVPESDTSYLARRLKKILVDLNEYDHARSMARTFHLKEAL >Vigun05g096100.1.v1.2 pep primary_assembly:ASM411807v1:5:9333938:9341243:1 gene:Vigun05g096100.v1.2 transcript:Vigun05g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSRNFRRRGGGDTEANDEDGDTSTLSSRPPSSAKPKKPQAPKLLSFADDEDNENPRPRSVKPQRSTKPSSAHKITTHKDRIASSSPSVPSNVQPQAGTYTKETLRELQKNTRTLVTSSSSSRPEPKPPAEPVIVIKGLVKPVASEPQGRESDSEGDDKVVEGKLGGLGLHNGKDSFFPDEEMIKAIRAKRERLRQARPAAPDYISLDGGSNHGAAEGLSDEEPEFRGRIAILGEKVDGGKKGVFEEVEERRVGVRFKEEEEDDEEEEKLWEEEQFRKGLGKRMDEGSARVDVPVVQGAQQHKYVVPSAATVYGAVPNAAALVSPGIGGAIESMPALDVLSISQQAESAKKALVENVKKLKESHGRTMSSLGKTDENLSASLLNITALENSLVVADEKYRFMQKLRSYVTNICDFLQHKAFYIEELEEQMKKLHEDRASAISERRTTNNDDEIVEVEAAVKAAMSVLNKKGNIEAAKSAAQEAYTALRKQKDLPVKLDEFGRDLNLEKRMQLKIRAEASQRKRSRVFDSNKPTAMELDDHKIEGESSTDESDSESQAYESQRDMVLQAADEIFGDASEEYGQLSLVKERMEEWKREYSSSYRDAYMSLSLPLVFSPYVRLELLRWDPLHKGIDFSEMKWYKLLLNYGLPEDRKKFVHDDGDADLELVPNLVEKVALPLLHYEISHCWDMLSQQETKNAIAATKLIVDHVSHESEALTGLLVSIRTRLADAVANLTVPTWSPLVLVAVPDAARVAAYRFGVSVRLLRNICLWNDVFSMSVLEKLALDELLFGKVLPHLRIISENVQDAITRTERVIASLSGVWTGQSVIGDRKHKLQPLLTYVLSLGRILERRNVPESDTSYLARRLKKILVDLNEYDHARSMARTFHLKEAL >Vigun02g155900.1.v1.2 pep primary_assembly:ASM411807v1:2:30234832:30237778:1 gene:Vigun02g155900.v1.2 transcript:Vigun02g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRRIAGFLGIGNHDHDSKDSAADEHDGHPSTTPYRVRETGRPRKGFSVPAQVVVDRHHLPPVLTPSTSGDGGLQGLGWYAKRLRMDEDGDLADEFLEEVLSVTPEVLGEDHHKTQARFKSKKGTKEVRVNKQILLDGKIILHCVEHQGRL >Vigun01g038500.1.v1.2 pep primary_assembly:ASM411807v1:1:5179224:5182521:-1 gene:Vigun01g038500.v1.2 transcript:Vigun01g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPKDPRKVDHLLSLDGAKERLHLVKANLLEEGSFDSAVQGCHAVFHTASPFFNNAKDPQTELLDPAVKGTLNVLKSCVNSPTLKRVVLTSSIAAVAFSDRPKNPDVVVDETWYSDPEYCKRTGLWYNLSKTLAEDAAWKFVKENNIDMVTMNPALVIGPLLQPELNTSSAIVLSLVNGTKTFKNNSLGWVDVKDVAMAHILAYENDSANGRYLLVERVAHFGDAAKILRDLYPALQVAEKCEDDKPWVPIFQVSKEKAKSLGIDYIPLEVSLKDTVESLKEKKFLKV >Vigun03g374200.2.v1.2 pep primary_assembly:ASM411807v1:3:57719912:57726604:1 gene:Vigun03g374200.v1.2 transcript:Vigun03g374200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSGSLVHQGQLLVHIAENGHSFELDCNENTLVEAVMRSIESVTGINFSDQLVLCLDMKLESHRPLSAYKLPSDEREVFIFNKSRLQNNSPPPPPEQVDIPNHLEPPSPASSHDSHPLDDASDPALKALPSYERQFRYHYHRGHAIYTSTVMKYEHCERLWREQMVQERAMEVARGNLDQYYRMINQSYFDFMKRYMQQHRMHSDLLVNFGKNVEKLRSIKLHPALQTANRKCLLDLVKEENLRKSVENCTSSHKQFENKVSQFKQTFGEVKRRAEELLSTRAFLPIKNIEQPIKEHQRYINEQKSIMQSLSKDVNTVKKLVDDCLSSQLSSSLRPHDAVSALGPMYDVHDKNHLPKMQACDRAISKLLEFCKENKNEMNNFVHNYTRNITYVSYLIKDQKLQFPVFKEAMTRQDGLFVDLKLFHGIGAAYRACLAEIVRRKASMKLYMGMAGQMAERLAIKREAELSRREEFLRVHSSCIPKDVLTSMGLFDSPNQCDVNIAPFDGDLLNIDISDVDRYAPEYLTGITSKMEKLGSFKGSTALNSDSSHLAEDVDIAADSIERYDSEGLPDGSELIEIAGTCKMEVENAKLKAELAGRIALICSLCPEVEYESLDDERVNNMLKNAREKTEEALHLKDEYIKHIHSMLKMKQMQCMSYEKRIQELEQKLSDQYMLGQKLSNVNDVAGKEIKSESISSEAHMPSISTSEPMDEVSCISSSLDAKLGLFTEHTGKVLDGVDENMLDSSGVQNPQLDSSMMEPHREEAQSADKDKKDKIIGQLGMSLTNSSTGENIPVSHDLVPFDPTVSQDSESKVNDDKVLLELRSALSDKSDQLTETETKLKNVIEEVVVLKRELEASKKLLDESQMNCAHLENCLHEAREEAQTQKSSADRRASEYSSLRTSVIKTRSFFERLKTCVYSPGGVAGFADSLRNLSQSLANSANDRDDDDIAEFRKCIRVLADKVGFLSRHREELHEKYSRTEAANEQLRKELEEKIDQVKTYYNKHQLEKQANKEKICFGCLEVHEIAAFVLTSGGYYEAITRNCSNYYLSDESVALFAEHVPSRPNYIVGQIVHIERQIVKAAPPRAEKFSTPDKGTDWLTLNSGSTPNPYGLPVGCEYFLVTVAMLPDTTIHSSSPS >Vigun03g374200.1.v1.2 pep primary_assembly:ASM411807v1:3:57719806:57726604:1 gene:Vigun03g374200.v1.2 transcript:Vigun03g374200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSGSLVHQGQLLVHIAENGHSFELDCNENTLVEAVMRSIESVTGINFSDQLVLCLDMKLESHRPLSAYKLPSDEREVFIFNKSRLQNNSPPPPPEQVDIPNHLEPPSPASSHDSHPLDDASDPALKALPSYERQFRYHYHRGHAIYTSTVMKYEHCERLWREQMVQERAMEVARGNLDQYYRMINQSYFDFMKRYMQQHRMHSDLLVNFGKNVEKLRSIKLHPALQTANRKCLLDLVKEENLRKSVENCTSSHKQFENKVSQFKQTFGEVKRRAEELLSTRAFLPIKNIEQPIKEHQRYINEQKSIMQSLSKDVNTVKKLVDDCLSSQLSSSLRPHDAVSALGPMYDVHDKNHLPKMQACDRAISKLLEFCKENKNEMNNFVHNYTRNITYVSYLIKDQKLQFPVFKEAMTRQDGLFVDLKLFHGIGAAYRACLAEIVRRKASMKLYMGMAGQMAERLAIKREAELSRREEFLRVHSSCIPKDVLTSMGLFDSPNQCDVNIAPFDGDLLNIDISDVDRYAPEYLTGITSKMEKLGSFKGSTALNSDSSHLAEDVDIAADSIERYDSEGLPDGSELIEIAGTCKMEVENAKLKAELAGRIALICSLCPEVEYESLDDERVNNMLKNAREKTEEALHLKDEYIKHIHSMLKMKQMQCMSYEKRIQELEQKLSDQYMLGQKLSNVNDVAGKEIKSESISSEAHMPSISTSEPMDEVSCISSSLDAKLGLFTEHTGKVLDGVDENMLDSSGVQNPQLDSSMMEPHREEAQSADKDKKDKIIGQLGMSLTNSSTGENIPVSHDLVPFDPTVSQDSESKVNDDKVLLELRSALSDKSDQLTETETKLKNVIEEVVVLKRELEASKKLLDESQMNCAHLENCLHEAREEAQTQKSSADRRASEYSSLRTSVIKTRSFFERLKTCVYSPGGVAGFADSLRNLSQSLANSANDRDDDDIAEFRKCIRVLADKVGFLSRHREELHEKYSRTEAANEQLRKELEEKIDQVKTYYNKHQLEKQANKEKICFGCLEVHEIAAFVLTSGGYYEAITRNCSNYYLSDESVALFAEHVPSRPNYIVGQIVHIERQIVKAAPPRAEKFSTPDKGTDWLTLNSGSTPNPYGLPVGCEYFLVTVAMLPDTTIHSSSPS >Vigun04g026000.1.v1.2 pep primary_assembly:ASM411807v1:4:2007789:2011118:-1 gene:Vigun04g026000.v1.2 transcript:Vigun04g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIADAKVVTTDVFEMGGIPWKSFNLETSAPSSPPTTLLIFTPTVPAAYTVIVFYHGFFIPPSYYTQLLAHIASHGFIVVAPQLFRNGLPMFGSDEIKYAGKVVDWLVTGLQPLLPENVEAKLKKVVLSGHSKGGKTAFSMALGYAKTTLKFSALIGIDPVGRLSMCMATESPPQILTGVPRSLNLKVPVAVIGTGLGPEKANIFFPPCVLLMGPTTWSFSTRANPPKQILLHQIMVTWTCWTM >Vigun11g016800.1.v1.2 pep primary_assembly:ASM411807v1:11:2133094:2134061:-1 gene:Vigun11g016800.v1.2 transcript:Vigun11g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEGLQAYEEEKLLSLPKERGWGSRHLYLHQHFWCPSTHFQGVINFQNHFQAKDSDVIVASFPKSGTTWLKALTFAIINRQRFSSSHNHPLLTSNSHELVPFLDFIFHADNIHDKLSHLSNMTEPRVFGTHIPFPSLSNSIKESNCKIVYICRNPYLTLSFLQSLHELTIEEALEKYCEGIVGFGPTWEHMLGYWKESIATPNKVLFLKYDELKEDVIFYVRRVAEFLDCPFAEEEESNGVIESIINLCSFERMKNLEVNKSGTFDRNIEKKYLFRKGEIGDWVNYFSPAMTEKLSKTMEEKLGGSGLSF >Vigun03g417800.1.v1.2 pep primary_assembly:ASM411807v1:3:62454839:62459354:-1 gene:Vigun03g417800.v1.2 transcript:Vigun03g417800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGGAAIAGGNRNRNRHLGENRFYSPPPLRKHREKQEQQRSSLSRTSSENRPGSSSDCSISSRATSDMSNLDRLLEHITPLVPTQYFSKTNSRRWKTRETELHPYFVLGDLWESFKEWSAYGAGVPIVLNGSESVTQYYNVSLSAIQLYIDPSKPSTRLRKPSQESDSESARETSSDSSSGYCHERGAKSVHGSRNHLNVLDASNHALERVSQGKPFMGSSSDETESCNPPGQLIFEFFEHESPYNREPLATKISDLERQFPELKTYWSCDLSPASWVSFAWYPIYRIPTGPTLQSLSACFLTYHSLSTALQSSNTDGLHNHYSRGRDISKLSLPIFGLASHKFKISVWDPDGVSECQKANSLSRAAENWLRLLRVNHPDYNYFMTHYTYLR >Vigun02g051000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19257032:19258792:-1 gene:Vigun02g051000.v1.2 transcript:Vigun02g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITEAAGPSRFLEEDLNIFTTPSPPLPSPFLIFPYTQQPQPLKPSLLIVALSASAVALFHRLRTASPAASLLLPELSLSLPDHAAQILPLSPSALLAAIPFSVPAPRAYAVAKALLAGRIRPDSVLVLDSLEPRNFRGKVSSDEAVAFKLETAAERKRSGGEKLLSDLEYYPSGSVVDGLSAAILARCQVMNVPASLCVSWPQFDASVVSLIKGLLQRRVLQGFDFDLSDEEVLKFGRSKDRVLHSELYI >Vigun07g122400.1.v1.2 pep primary_assembly:ASM411807v1:7:22573926:22580120:-1 gene:Vigun07g122400.v1.2 transcript:Vigun07g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFSVASVVEDVLQQHGTRLKDLDLESRKAEEAAFRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPLNSALIPDGAPLSAYQYFENVRNFLVAVHEIGIPTFESSDLEQGGKPARIVNSVLALKSYSDWKQTGSNGVWKFGGTVKPTVSAKSFVRKNSEPFTNSLSRNSSINEKSMNALTSDTESNKMSGPHSLSMLVRAVLLDKKPEEVPLLVESVLNKVVEEFEHRIASQGEQTKILRGAVSQGNGSVSKFVMADKKMDNKIPSVTKKEGFLHKTLVEDEESKRRLLNQQVLFDQQQKDIQDLKNTIQTTKAGMQFLQIKFHEEFSNLGKHVHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQPIYSSTVDNIEDGSITISIPSKNGKGRRSFNFNKVFGPAASQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFLTADQRRDTFCYDVSVQMIEIYNEQVRDLLVTDGSNKRLEIRSNSHRGLSVPDACLVPVSSTRDVIELMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQRNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEVDAVGETISTLKFAERVATVELGAARVNKDGADVKELKEQIASLRAALARRDGESQHSLSGSSEKYRTMASESSPYHANQRGVDIVNDRLGCRQPMLDVGNIELHSNTPLRQKSQSYDFDEMSTNSPPWPPVNNPGQNYREDDRETGSGEWVDKVMVNKQDANKTDNILGCWEADGGNLSEVFYQKYLQDSSKMYSDGSYNMFVGHNQFKSAGSDDMDDLDDTTTDSSEPDLLWQFNHSKVTTIANGNGSKARRPVSKPTNSPILSKNNSSLGPSPSRKQPNGVLHRTGRHPAPVDMKRKTSSKK >Vigun07g122400.2.v1.2 pep primary_assembly:ASM411807v1:7:22573926:22580120:-1 gene:Vigun07g122400.v1.2 transcript:Vigun07g122400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFSVASVVEDVLQQHGTRLKDLDLESRKAEEAAFRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPLNSALIPDGAPLSAYQYFENVRNFLVAVHEIGIPTFESSDLEQGGKPARIVNSVLALKSYSDWKQTGSNGVWKFGGTVKPTVSAKSFVRKNSEPFTNSLSRNSSINEKSMNALTSDTESNKMSGPHSLSMLVRAVLLDKKPEEVPLLVESVLNKVVEEFEHRIASQGEQTKILRGAVSQGNGSVSKFVMADKKMDNKIPSVTKKEGFLHKTLVEDEESKRRLLNQQVLFDQQQKDIQDLKNTIQTTKAGMQFLQIKFHEEFSNLGKHVHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQPIYSSTVDNIEDGSITISIPSKNGKGRRSFNFNKVFGPAASQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFLTADQRRDTFCYDVSVQMIEIYNEQVRDLLVTDGSNKRLEIRSNSHRGLSVPDACLVPVSSTRDVIELMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQRNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEVDAVGETISTLKFAERVATVELGAARVNKDGADVKELKEQIASLRAALARRDGESQHSLSGSSEKYRTMASESSPYHANQRGVDIVNDRLGCRQPMLDVGNIELHSNTPLRQKSQSYDFDEMSTNSPPWPPVNNPGQNYREDDRETGSGEWVDKVMVNKQDANKTDNILGCWEADGGNLSEVFYQKYLQDSSKMYSDGSYNMFVGHNQFKSAGSDDMDDLDDTTTDSSEPDLLWQFNHSKVTTIANGNGSKARRPVSKPTNSPILSKNNSSLGPSPSRKQPNGVLHRTGRHPAPVDMKRKTSSKK >Vigun09g206000.1.v1.2 pep primary_assembly:ASM411807v1:9:38062738:38063961:-1 gene:Vigun09g206000.v1.2 transcript:Vigun09g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTCFLNLPPLTSNQSSKASPIPAKPLSSLKNESCCWKRQCVVMGVASYVIGVEMCNSMTLPHETLQITTFPIAHQVSNVSGGAAAKWSQKRTCPPWQGNSLETIVPENLPRPSARRRYEAVRSSSQTAPPLSAPTRLIPSNHGSCFSM >Vigun07g032800.1.v1.2 pep primary_assembly:ASM411807v1:7:3089812:3093705:-1 gene:Vigun07g032800.v1.2 transcript:Vigun07g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVMRILSLLPFLLTLFLSKSLLVDAELQEQTILFSINKNLRVPGWNATNSDYCSWNGVTCGHRSLVESLSLSKQNLGGDVSSISMLKALKWLDLSNNNFQGMIPNAFGNLSHLEYLDLSSNKFEGSIPIGFGRLKRLKTLNFSNNMLVGEMPKELQGLESLQEFQIYNNHLSGLIPSWVRHWTNLRVFAAYENHFNGMIPDDLGFISELKTLNLHTNHLEGPIPASLFSAWKLQVLILTQNNLSGEIPEEIGNCQTLLSVRIGNNNLQGNIPKSVGNLTSLAYFEADHNNLSGELVSEFSLCYNLTFLNLASNGLAGKISPEFGKLMNLQVLMLSENILSGDIPEPILQIKSLKIVDLSNNRFNGTIPNEICGTAQLQKLRLNQNFLRGVIPHEIGRCRELVELQLGSNDLTGTIPSQIGHMHNLEIALNLSYNHLEGKLHPELGRINKLGSLDLSNNNLSGKIPETFIKLLNLVVVNISNNQLSGPLPKSAAFLLNPASSYLGNKGLCGAPLNTTCEDQDNPHHYAAVGNNNGISYVTIIYILGPILLAFVLFASTVFVNRMCRRQVPNVPEIIEGGETDTKPYIISREVFANNLTEEVDLGAVVEATRDESNKVSSGNFSAVYKALMPSGIVLFVRKMFPMDMTMMQQHNKIVAELQRLRKLNHENLMKPVGYVICNKFALLIYEYISRGTLAQLLHEPAMQPAYELDWPARISIAIEVANGLGFLHSKTMIHHDISSSNVLLDANLNALIGDIEISKFLDQTKESSSIIPYVGSFGYVPPEYAYTMQVTESGNVYSFGVILLEMITSRTAIDEGVELVKWVHEAAERGESPEEIMDATLSSECDGWRNEMLGTLKLAMLCTDNRPIIRPPMINLAGMLRQIRNPVDVYVA >Vigun09g109400.1.v1.2 pep primary_assembly:ASM411807v1:9:23252494:23258631:-1 gene:Vigun09g109400.v1.2 transcript:Vigun09g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKLNDSPMFRQQLQCMEESAESLRARCFKFYKGTRKYTEGLGDAYDGDIAFASALENFGGGHNDPLFVTLGGPVMTKFAIAFREMGTHKEVLRSQAEHMLNDRLLNMLNVDILDVKEARRRFEKASIVHDQAREKFMSLRKSTKIDVATVVEEELHNARTSFEESRFNLVSALHNVEAKKRFEFLEAVTGVMDAHLRYYRQGYQLLHELEPFIVEVLAYTQKARESYNEEQIRLCERMLEYKKHVHQESMMSLTGPYISPSRDGNPVQPFSRISNKVADAITESAENGKIQIIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYFRKPWNGLHGSSQSLHRNCATDNSAGILSRLLSSHYHGAMPDDKCVARHTVNLLTSTIKSDAEQSDLRFCFRIISPSKSYTLQAENAVDQMDWMEKITGVITSLLSVQTLSDVSENGNGPSANKLLLLQGSPDDDLALHGDSMSSEDSQKNKQSSKSEKPIDILRKVSGNDKCADCGKPDPDWASLNLGILICIECSGVHRNLGVHLSKVRSLTLDVKVWDSAVLSMLQSLGNLFANSVWEELLQSSGSSQIDENPDGSKPQKKLFHARKPSHDDPISLKERFIHAKYDAKVFVCRVKNNVLLSQQVAESINANDKRAVYRHIVKSDVNVNAISREAGFSCNMPFSTHDPNTSCENSTQPVEDVQDGSSVLHLACRNCDVAMVELLLQYGADVNASDSKGKTPLHYCTMMGKHATAKVLITRGANPLAVDKEGNTPFKLAPEPDPVDKDTVPLNLETTPPQNSPEK >Vigun08g115600.1.v1.2 pep primary_assembly:ASM411807v1:8:28228185:28231302:1 gene:Vigun08g115600.v1.2 transcript:Vigun08g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLNLLQTHSSAASLAVAASSLLFLFLLLRLFTRRHAGLPRVPAVPGLPLVGNLLQLKEKKPYKTFALMAQKYGPIYSIRAGSSTIIVLNSTNLAKEAMVTRFSSISTRKLSNALTILTSDKRMVATSDYNEFHKTVKKHILANVLGAAAQKRHRLHRENLVENILSQFSEHVKTFSDLAVDFRNIFASELFILALKQALGSNIESIYVEELGATLSRDDIYRILVLDMMEGAIEVDWRDFFPYLRWIPNKSVEKKIQNLYFRREVVMRALMNEQKKRVDSGKVLNCFFDYLVTEAKELSELEISMLLWETIVETSDTTLVTTEWAMYELAKNKTRQARLYAELQNVCGEEKVAEEQLSKLPYLGAVFHETLRKYSPAPIVPIRYAHEDTELGGYHIPAGSQIAINIYGCNMDSEKWENPHEWMPERFVDEKYDPLDLYKTMAFGAGKRVCAGSLQASLIASTAIGRFVQQFEWELGQGEEENVDTLGLTTHRLHPLLVKLRPRNQYIK >Vigun08g115600.2.v1.2 pep primary_assembly:ASM411807v1:8:28229066:28231302:1 gene:Vigun08g115600.v1.2 transcript:Vigun08g115600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFSSISTRKLSNALTILTSDKRMVATSDYNEFHKTVKKHILANVLGAAAQKRHRLHRENLVENILSQFSEHVKTFSDLAVDFRNIFASELFILALKQALGSNIESIYVEELGATLSRDDIYRILVLDMMEGAIEVDWRDFFPYLRWIPNKSVEKKIQNLYFRREVVMRALMNEQKKRVDSGKVLNCFFDYLVTEAKELSELEISMLLWETIVETSDTTLVTTEWAMYELAKNKTRQARLYAELQNVCGEEKVAEEQLSKLPYLGAVFHETLRKYSPAPIVPIRYAHEDTELGGYHIPAGSQIAINIYGCNMDSEKWENPHEWMPERFVDEKYDPLDLYKTMAFGAGKRVCAGSLQASLIASTAIGRFVQQFEWELGQGEEENVDTLGLTTHRLHPLLVKLRPRNQYIK >Vigun11g033600.1.v1.2 pep primary_assembly:ASM411807v1:11:4436025:4443162:-1 gene:Vigun11g033600.v1.2 transcript:Vigun11g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLTYRGNSIQPPQGFAPAPIPRPRPPPPPTSSAVSSFALELFKLITMPFYTGKKFFKRFCVDEFQMASLPYSSFLSNDLLPSLGARINQETRLRRCTVSPFDPRYRAWEMLLIVLVVYSAWICPFEFAFLPQKQDTLFIIDNIVNAFFAIDIVLTFFVAYLDNHSYLLVDNPKKIALRYISTWFIFDVCSTAPFESISLLFTDHKSEIGFKILNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCSKLIAVTLFVAHCAGCFNYLIADRYPDPKLTWIGSVFPNFKEESLWDRYVTSLYWSIVTLTTTGYGDLHAANPREMLFFIFYILLNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVRAASEFASRNHLPHHIQEQMLSHLCLKFKTEGVKQQETLSGMPKAIRASIAHHLFFPVLQRLYLFQGVSHDFLFQLVTEMEAVYFPPKEDVILQNESPTDLYILVSGAVDIIRYVDGNEEVLNKAIAEDIFGEIGVLYCRPQPFTVRTTELSQILRLSRSSLMNSLQAYPDAAQIIMKNLFMRLKGHEGLDFEYSQREPQMHDMDNTEASVPDSSASNSHGETRLHIPEDGKRDFDATFHKDHDEMDENEKSQGPIRWKQKSVVDQKQNKSLCELAVNHENRKILDEHIIDFLQPEIPLNYPLGKRYSNSCSSASNNRTERETDRFFKKRVVIHFLSKHRTSLQEHGKLIMLPDSLQELFHIAGEKFGVSKLTKVITIENAEIDDISVIRDGDHLFFVSSDTEN >Vigun11g033600.2.v1.2 pep primary_assembly:ASM411807v1:11:4436025:4443162:-1 gene:Vigun11g033600.v1.2 transcript:Vigun11g033600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSSLFARLEKDIRFNYFWTRCSKLIAVTLFVAHCAGCFNYLIADRYPDPKLTWIGSVFPNFKEESLWDRYVTSLYWSIVTLTTTGYGDLHAANPREMLFFIFYILLNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVRAASEFASRNHLPHHIQEQMLSHLCLKFKTEGVKQQETLSGMPKAIRASIAHHLFFPVLQRLYLFQGVSHDFLFQLVTEMEAVYFPPKEDVILQNESPTDLYILVSGAVDIIRYVDGNEEVLNKAIAEDIFGEIGVLYCRPQPFTVRTTELSQILRLSRSSLMNSLQAYPDAAQIIMKNLFMRLKGHEGLDFEYSQREPQMHDMDNTEASVPDSSASNSHGETRLHIPEDGKRDFDATFHKDHDEMDENEKSQGPIRWKQKSVVDQKQNKSLCELAVNHENRKILDEHIIDFLQPEIPLNYPLGKRYSNSCSSASNNRTERETDRFFKKRVVIHFLSKHRTSLQEHGKLIMLPDSLQELFHIAGEKFGVSKLTKVITIENAEIDDISVIRDGDHLFFVSSDTEN >Vigun01g122900.2.v1.2 pep primary_assembly:ASM411807v1:1:29964338:29969473:-1 gene:Vigun01g122900.v1.2 transcript:Vigun01g122900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAATKLSSSESLNGLKFGQKIYFEDVGLATPATSLTSAAAVAAGVTSSSSSSSRKGRGGSVQPAQPPRCQVEGCMVDLSDAKAYYSRHKVCGMHSKSPTVIVAGLQQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPSSLLTSRYARLSSSAFDNSGRGGNFLMELASYPKLSLRNSLPTPTSSELAPGSQTSTLSWHGNSETASDIFLQGSVGGTSFASPGHPPGESYTGVTDSSCALSLLSSQTWGSRNTAPGLGLNNMINFNGTPMTQLTASSHGSSLHEFPNTSWCFKGIDSGNCSPEVVPDLGLGHISQPLNGQLHGELDLSQQGRRHYMDLEQSREYESAHWSL >Vigun01g122900.1.v1.2 pep primary_assembly:ASM411807v1:1:29964338:29969354:-1 gene:Vigun01g122900.v1.2 transcript:Vigun01g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAATKLSSSESLNGLKFGQKIYFEDVGLATPATSLTSAAAVAAGVTSSSSSSSRKGRGGSVQPAQPPRCQVEGCMVDLSDAKAYYSRHKVCGMHSKSPTVIVAGLQQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPSSLLTSRYARLSSSAFDNSGRGGNFLMELASYPKLSLRNSLPTPTSSELAPGSQTSTLSWHGNSETASDIFLQGSVGGTSFASPGHPPGESYTGVTDSSCALSLLSSQTWGSRNTAPGLGLNNMINFNGTPMTQLTASSHGSSLHEFPNTSWCFKGIDSGNCSPEVVPDLGLGHISQPLNGQLHGELDLSQQGRRHYMDLEQSREYESAHWSL >Vigun03g130301.1.v1.2 pep primary_assembly:ASM411807v1:3:12661976:12667973:-1 gene:Vigun03g130301.v1.2 transcript:Vigun03g130301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTDEGVSEADESPLFMLNLQSLCRESHNSCTQRVLRGLKGNNLIGS >Vigun09g052000.1.v1.2 pep primary_assembly:ASM411807v1:9:5126173:5128895:-1 gene:Vigun09g052000.v1.2 transcript:Vigun09g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKGGSRPPWVGLGAAVWVQIAAGNTVTFPLYSHSLKSVLGFDQRHVTLLGVAVDVGENFSLLPGIACNKFPPWLILLVGSLSAFLGYGVIFLAVSQTLPSIPFLLLWFCLLVAANSTAWLTTAVLVTNMRNFPASRGSVAGILKGYGGLSAAVWTEIYSILLHNSSSRFLLFLALGIPAICLSMMFLVRPCTPATGDDPAEPYHFQFVQVSSVIMGVYLLVSTILGNIYPFSDAVSYALVTVMVLLLMAPLAVPLKMTLFPRNGTKSEQQVGSSESLTQGKDESAAPLLASSSAAALGSFNDQDDSPEVSELLALGEGAVKQKKRRPKRGEDFKLTEAIVKADFWLLFLVYFVGVGTGVTVLNNLAQIGIARGEEDTTTLLSIFSFCNFLGRLGGGVVSEHFVRTRTVPRTVWMSCTQIIMLIVYLLFAYAVSGTLYAAVAFLGVCYGVQISVIIPTVSEVFGLKHYGVLSSVMGLGNPIGAVLFSVLLAGNIYDSEAAKQHGIGLLDSGVSCLGPNCFKLTFLILAGVCAVGIILSIIVTLRIRPVYRMLYAGGSFRLPQTSSSH >Vigun07g229900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35189072:35189683:1 gene:Vigun07g229900.v1.2 transcript:Vigun07g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNPFGNVPPPPIQVPDNFPHSSSSSPTPTTSSPSPTPSAGFRYRGTRSRSGKWVSEIREPRKTKRIWLGTYPAADMAAAAYDVAALALKGPDTPLNFPNSILSYPIPASLSATDIRAAAAAAAQARLVRTPLEGGQVVNPDMGQESERRDEYYDEDELLNMPNLLDDMARGMQVSPPRITSFSSDDSPANSDGDNLWSYTL >Vigun02g122500.2.v1.2 pep primary_assembly:ASM411807v1:2:27446985:27448393:-1 gene:Vigun02g122500.v1.2 transcript:Vigun02g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKTFSIALLSVFLFLFPSGLYARTLTITNKCSYTVWPAVLSAAGSSPLSTTGFALLPGESNTLSVPPAWSGRLWGRTLCSIDITGKFSCVTGDCGTSTIECAGANPAPPVTLVEFDFNGTGQINLYYINLVEGFNLPVRVVPRGGNCSATGCSSDLNAMCPMELTVIRDGEAVACKSTCRTEPCSSTLFFKTACSGARVYAHDHGFFTCSSPHYTLTFCPTSNSWRKADNKKDPSDKNVIKYTGVLAVISVICGFIIFQIRLRLSNRDWEISLSAGTTTHTTIASNT >Vigun11g081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24079056:24079887:-1 gene:Vigun11g081500.v1.2 transcript:Vigun11g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERIYPCQNSPRLPSFKILPEPQKKLKCVIQKFRWRHKDEKIPNRLSNNTCERKKRELACAFKAAHDKREGDSHVYSLGADLGRVELRSSSLQVASQPKRKEKWKKVEMN >Vigun04g195500.4.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVYEYLPNKSLDHFIFEKDKTRILKWKQRFEIILGIAEGLAYLHGGSEIRIIHRDIKSSNVLLDENLNPKIADFGLARCLGADKTHLSTGIAGTLGYMAPEYLIQGQLTDKADVYSFGVLVLETASGRKNNVFRLETLPIKQIG >Vigun04g195500.2.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVYEYLPNKSLDHFIFEKDKTRILKWKQRFEIILGIAEGLAYLHGGSEIRIIHRDIKSSNVLLDENLNPKIADFGLARCLGADKTHLSTGIAGTLGYMAPEYLIQGQLTDKADVYSFGVLVLETASGRKNNVFREDSGSLLQTVWKLYQSNRLAEAVDPCLGDDFPEREASRVFQIGLLCTQASASLRPSMAQVACMLSNSNLDVPIPKQPPFLNSRLLSQTAPLGFSIDNSSSNTFQKIGVSYSPSQSSSSCSLIRPSKSEETILEA >Vigun04g195500.7.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVKGQNKDSEMEAEV >Vigun04g195500.1.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELVSKNNWGTHSEELSSTTPIYGLAQCFQDLSNLDCLQCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVYEYLPNKSLDHFIFEKDKTRILKWKQRFEIILGIAEGLAYLHGGSEIRIIHRDIKSSNVLLDENLNPKIADFGLARCLGADKTHLSTGIAGTLGYMAPEYLIQGQLTDKADVYSFGVLVLETASGRKNNVFREDSGSLLQTVWKLYQSNRLAEAVDPCLGDDFPEREASRVFQIGLLCTQASASLRPSMAQVACMLSNSNLDVPIPKQPPFLNSRLLSQTAPLGFSIDNSSSNTFQKIGVSYSPSQSSSSCSLIRPSKSEETILEA >Vigun04g195500.3.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELVSKNNWGTHSEELSSTTPIYGLAQCFQDLSNLDCLQCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVYEYLPNKSLDHFIFEKDKTRILKWKQRFEIILGIAEGLAYLHGGSEIRIIHRDIKSSNVLLDENLNPKIADFGLARCLGADKTHLSTGIAGTLGYMAPEYLIQGQLTDKADVYSFGVLVLETASGRKNNVFRLETLPIKQIG >Vigun04g195500.6.v1.2 pep primary_assembly:ASM411807v1:4:42025369:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVKGQNKDSEMEAEV >Vigun04g195500.5.v1.2 pep primary_assembly:ASM411807v1:4:42025368:42029566:1 gene:Vigun04g195500.v1.2 transcript:Vigun04g195500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKCHKNKCKIASFDVVIFTVATLLCFSHSALSDPRISEVGLHCGTHRASPSGSYVPIFTKEMEKLQELVSKNNWGTHSEELSSTTPIYGLAQCFQDLSNLDCLQCFAASRTKLPRCLPSVSGHIYLDGCFLRYDNYSFYTEESDPLRDTVNCTTQYGAVAGEAERLVFGKSVGKVVENVVRVAVNEGRGFAVGEGEGVYALAQCWKSVGEKGCGDCLKKAENEVKGCLPKKEGRALNSGCYLRFSTVKFFNQGGEHGDGDDSSRKRAIITAVSVLAAIVVVLSILVSCVAFTKKRKKNNFIEIPSYLRNSSLNYKYETLEKATDYFSTPRKIGQGGAGSVFKGTLPNGKDVAVKRLVFNNRQWVDDFFNEVNLISGINHKNLVKLLGCSIEGPESLIVKGQNKDSEMEAEV >Vigun07g244300.1.v1.2 pep primary_assembly:ASM411807v1:7:36552810:36555478:1 gene:Vigun07g244300.v1.2 transcript:Vigun07g244300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLTKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >Vigun05g255800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45031567:45032526:1 gene:Vigun05g255800.v1.2 transcript:Vigun05g255800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGREEGELDNMAKCLMLLTKVGESDAKTKHQVGEMGDFKCKTCNRRFSSFQALGGHRASHNKPKLMITDLSCHHQLPSPTTKQQSRMHPCPICGLQFAIGQALGGHMRKHRTAINHGLFNNRRDSGSLSILKKSKDGGRLNLCLDLNLMPLEDDDLKLNLRTPVLDCFI >Vigun01g164400.1.v1.2 pep primary_assembly:ASM411807v1:1:34622429:34632291:1 gene:Vigun01g164400.v1.2 transcript:Vigun01g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRFEPAASFGVIASVDSNISYDSSGKHLLSPALEKIGVWNVRQGLCTKTLTPSTASRGPSLAVTSIASSPSSLIAGGYGDGSIRIWDSDKGTCETTLNGHKGAVTALRYNKAGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDVVFLNSGKKLVSSSKDKFLRVWDLDTQHCMQIVGGHHSEIWSLDVDLDERYLVTGSADSELHFYEIRHESVDGNSVNGDEESSIQNKWEVLRHFGEIQRQSKDRVATVQFNKSGNLLACQVAGKTVEIYRVLDDAEAKRKAKRRVHRKKEKKHSKETLGGVENGDENNANKGDNSSVTHVPTETTNPAVTVPDVFKLLHTIRANKKICSISFCPITPKSSMASLALSLNNNLLEFYTIELGETRKTLAIDLQGHRSDVRSVTLSSDNTFLLSTSHNAVKIWNPITGSCLRTIDSGYGLCSLILPTNKYGLVGTKDGTIEIIDIGSGTCVEVMEAHGGSVRSIAALPHKNGFVTGSADHDVKFWEYQLKQKPGQATKQLVVSNVSTMKMNDDALVVAISPDAKYIAVALLDSTVKVHFADTFKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLKIWGLDFGDCHKSIFAHADSVMAVQFVPKTHYVFSVGKDRLVKYWDADKFELLLTLEGHHADIWCLAVSNRGDFIVTGSHDRSIRRWDRTEEQFFIEEEKEKRLEEMFEADLDNAFENKYASKEEIPEEGAVALAGKQTQETLTATDLVIERLDIAEAEKKRIAEHQVEKNNNNNVAVFQSNPLMNGLSPSDYVLSAFSKVHTNDLEQTLLALPFSDALKLLSYLKDWTSYSDKVELVCRVGTLLLQTHYNQLLATPAARPILTVFSDIFYERVKGWKDIFGFNLAAMDHIQQLMASRSDALFHDARSKLVEIRARQSKRLEERSHTGEVKRKKKKT >Vigun02g130000.1.v1.2 pep primary_assembly:ASM411807v1:2:28128164:28131714:-1 gene:Vigun02g130000.v1.2 transcript:Vigun02g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSMALSVNNPTFPNRCQINRRGGMAFASLSNKASASLGVRVTEGEGNLPKLVLSSPAGSEAEIYLYGGCITSWKVPSGKDLLFVRPDAVFNGNKPISGGVPHCFPQFGPGPIQQHGFARNMDWTLVDSENAEGSPVVTLELKDAPYSRAMWDFSFHALFKVTLNAKSLSTELTVKNTDSKAFSFSTALHTYFRASASDAAVKGLKGCKTLNKDPDPKNPVEGREERDVVTFPGFVDCVYLDASSELQLDNGLGDLISIKNTNWSDAVLWNPYLQMEACYKDFVCVENAKIGSVQLEPEQTWTAVQHLTAA >Vigun10g118900.1.v1.2 pep primary_assembly:ASM411807v1:10:32516050:32519063:-1 gene:Vigun10g118900.v1.2 transcript:Vigun10g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVQQLRRFNSMERRPRMLKDFLSDNLNSCSSSGFKSLPRKPTSMHTLIEMELKSSSSPPSNSPFQTLMKTIRSISFFTSMRKSPSVLSLPRSLSRKLSSSRRRTTTRRSRSQGCNDISITTVKIKDIMRWKSFRDIVEPPPPPLDFTVDPTGATTTTASWSISTGSSWSESDFLTSSWEDPQNDSVEAGKRFSFSPLVVGKDPIATEAATCEEEQNSPVSVLQVGEDEFSPFDQSLANIQRRKQKFIETVQKLESLAKLDLADLDQCLSLDENSLYDEEYEDDDDDIGEEDWSEEKAQQLLHCVKARCSADGCKDHLDTLLLDFFSEELSGSRKQYKNEEELKTLRVAEDWINGSFAFDEGLADKQAYIKDMEKRDQWSRFQEEQEKLAFEIEKAIFHSLVADLVDSEG >Vigun08g045200.4.v1.2 pep primary_assembly:ASM411807v1:8:4759979:4776513:1 gene:Vigun08g045200.v1.2 transcript:Vigun08g045200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLEITERVYVFDYCFTTDVMEEDEYRVYLGGIIGQLRGHFPDASFMVFNMREGDSQSQISNILCDYDMTVIDYPRQYEGCPLLTMEMIHHFLRSGENWLQLGHQNVVLMHCERGGWPLLAFMLAALLIYRKMFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVIIRLIPNMGGEGGCRPIFRIYGQDPFIPADRTPKVLFSTPKRSKLVRYCKQTDCELVKIDIHCHVQGDVVFECIHLHSDLEHEEMMFRVMFNTAFIRSNILMLNRDEIDILWNAKDCFPKNFRVEVLFSDMDASSSVISIDLPRVEEKDGLPVEAFAKVKEIFSNVDWLDSKEEVASVLQHITSSNILLEKFDAGVSAATSGLQRESSENSAAVLKAYSDPNIQTSAVQGKHSTSSLGSSLDFALGNKTEPLESKTLSENDMKTLESLASTDGDSLAKKIDLLGSKEKTIDNSSVSAPMEKNNDSLELKESPENSLRTSASTSQGKQLTPSLDEAKVPYKDAYSMTQDVGPLETKEKDTESLETKSLMEQPVEASTIKTKIEPHELQVSLQLPTQTKIISSPDHEAVDIKEVTHAVTVSPPASDSKVLKTSVDALKTTEKAFESLSPAAATTPPPPPPPSPPPPSFSPAPSLPKGPPPPPPPPALSGFPSSLGINESVSVPSTPPPPPPPPPSLSGSAPPPPPPPPASAFQNSLSENSKSGPPVPPPPPPSLPGSAPSSSPSAPLPPPPPGSTSQSSLSSSTNVPPVPPPPLSANGLSKPGVPGPPGVPGPPGPPGVPGPPSAPFGAKGRGLMRANAKGQSKRSNLKPYHWLKLTRAMQGSLWAETQKLDEFCRAPEFDMSELETLFSAASPASNEKGGKSNRRASGQKVEKVQLIELRRAYNCEIMLTKVKIPLPDLMCAVLAMDDTVLDIDQIENLIKFSPTKEEMETLKNYTGNKDNLGKCEQFFLELMKVPRVESKLRVFSFKMQFLSQVSELKRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLGELLDFHKDLLSLEAATKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSEFFCQILKEFLSYAESEVRSLAQLYANVGRNADALALYFGEDPARCPFEQVVSTLLNFVRLFVKAHDENCKQIELDRKRAEKEAEHEKLKLAAAKKEAEHMMRTTIKSGDIK >Vigun08g045200.1.v1.2 pep primary_assembly:ASM411807v1:8:4759979:4776513:1 gene:Vigun08g045200.v1.2 transcript:Vigun08g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLEITERVYVFDYCFTTDVMEEDEYRVYLGGIIGQLRGHFPDASFMVFNMREGDSQSQISNILCDYDMTVIDYPRQYEGCPLLTMEMIHHFLRSGENWLQLGHQNVVLMHCERGGWPLLAFMLAALLIYRKMFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVIIRLIPNMGGEGGCRPIFRIYGQDPFIPADRTPKVLFSTPKRSKLVRYCKQTDCELVKIDIHCHVQGDVVFECIHLHSDLEHEEMMFRVMFNTAFIRSNILMLNRDEIDILWNAKDCFPKNFRVEVLFSDMDASSSVISIDLPRVEEKDGLPVEAFAKVKEIFSNVDWLDSKEEVASVLQHITSSNILLEKFDAGVSAATSGLQRESSENSAAVLKAYSDPNIQTSAVQGKHSTSSLGSSLDFALGNKTEPLESKTLSENDMKTLESLASTDGDSLAKKIDLLGSKEKTIDNSSVSAPMEKNNDSLELKESPENSLRTSASTSQGKQLTPSLDEAKVPYKDAYSMTQDVGPLETKEKDTESLETKSLMEQPVEASTIKTKIEPHELQVSLQLPTQTKIISSPVRPTVRSASASTSYFNSLKGSPVAISRYRSAPSALGITSVLQDHEAVDIKEVTHAVTVSPPASDSKVLKTSVDALKTTEKAFESLSPAAATTPPPPPPPSPPPPSFSPAPSLPKGPPPPPPPPALSGFPSSLGINESVSVPSTPPPPPPPPPSLSGSAPPPPPPPPASAFQNSLSENSKSGPPVPPPPPPSLPGSAPSSSPSAPLPPPPPGSTSQSSLSSSTNVPPVPPPPLSANGLSKPGVPGPPGVPGPPGPPGVPGPPSAPFGAKGRGLMRANAKGQSKRSNLKPYHWLKLTRAMQGSLWAETQKLDEFCRAPEFDMSELETLFSAASPASNEKGGKSNRRASGQKVEKVQLIELRRAYNCEIMLTKVKIPLPDLMCAVLAMDDTVLDIDQIENLIKFSPTKEEMETLKNYTGNKDNLGKCEQFFLELMKVPRVESKLRVFSFKMQFLSQVSELKRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLGELLDFHKDLLSLEAATKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSEFFCQILKEFLSYAESEVRSLAQLYANVGRNADALALYFGEDPARCPFEQVVSTLLNFVRLFVKAHDENCKQIELDRKRAEKEAEHEKLKLAAAKKEAEHMMRTTIKSGDIK >Vigun08g045200.2.v1.2 pep primary_assembly:ASM411807v1:8:4759979:4776512:1 gene:Vigun08g045200.v1.2 transcript:Vigun08g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLEITERVYVFDYCFTTDVMEEDEYRVYLGGIIGQLRGHFPDASFMVFNMREGDSQSQISNILCDYDMTVIDYPRQYEGCPLLTMEMIHHFLRSGENWLQLGHQNVVLMHCERGGWPLLAFMLAALLIYRKMFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVIIRLIPNMGGEGGCRPIFRIYGQDPFIPADRTPKVLFSTPKRSKLVRYCKQTDCELVKIDIHCHVQGDVVFECIHLHSDLEHEEMMFRVMFNTAFIRSNILMLNRDEIDILWNAKDCFPKNFRVEVLFSDMDASSSVISIDLPRVEEKDGLPVEAFAKVKEIFSNVDWLDSKEEVASVLQHITSSNILLEKFDAGVSAATSGLQRESSENSAAVLKAYSDPNIQTSAVQGKHSTSSLGSSLDFALGNKTEPLESKTLSENDMKTLESLASTDGDSLAKKIDLLGSKEKTIDNSSVSAPMEKNNDSLELKESPENSLRTSASTSQGKQLTPSLDEAKVPYKDAYSMTQDVGPLETKEKDTESLETKSLMEQPVEASTIKTKIEPHELQVSLQLPTQTKIISSPDHEAVDIKEVTHAVTVSPPASDSKVLKTSVDALKTTEKAFESLSPAAATTPPPPPPPSPPPPSFSPAPSLPKGPPPPPPPPALSGFPSSLGINESVSVPSTPPPPPPPPPSLSGSAPPPPPPPPASAFQNSLSENSKSGPPVPPPPPPSLPGSAPSSSPSAPLPPPPPGSTSQSSLSSSTNVPPVPPPPLSANGLSKPGVPGPPGVPGPPGPPGVPGPPSAPFGAKGRGLMRANAKGQSKRSNLKPYHWLKLTRAMQGSLWAETQKLDEFCRAPEFDMSELETLFSAASPASNEKGGKSNRRASGQKVEKVQLIELRRAYNCEIMLTKVKIPLPDLMCAVLAMDDTVLDIDQIENLIKFSPTKEEMETLKNYTGNKDNLGKCEQFFLELMKVPRVESKLRVFSFKMQFLSQVSELKRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLGELLDFHKDLLSLEAATKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSEFFCQILKEFLSYAESEVRSLAQLYANVGRNADALALYFGEDPARCPFEQVVSTLLNFVRLFVKAHDENCKQIELDRKRAEKEAEHEKLKLAAAKKEAEHMMRTTIKSGDIK >Vigun08g045200.3.v1.2 pep primary_assembly:ASM411807v1:8:4759979:4776512:1 gene:Vigun08g045200.v1.2 transcript:Vigun08g045200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFALVGGCVFDYCFTTDVMEEDEYRVYLGGIIGQLRGHFPDASFMVFNMREGDSQSQISNILCDYDMTVIDYPRQYEGCPLLTMEMIHHFLRSGENWLQLGHQNVVLMHCERGGWPLLAFMLAALLIYRKMFTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVIIRLIPNMGGEGGCRPIFRIYGQDPFIPADRTPKVLFSTPKRSKLVRYCKQTDCELVKIDIHCHVQGDVVFECIHLHSDLEHEEMMFRVMFNTAFIRSNILMLNRDEIDILWNAKDCFPKNFRVEVLFSDMDASSSVISIDLPRVEEKDGLPVEAFAKVKEIFSNVDWLDSKEEVASVLQHITSSNILLEKFDAGVSAATSGLQRESSENSAAVLKAYSDPNIQTSAVQGKHSTSSLGSSLDFALGNKTEPLESKTLSENDMKTLESLASTDGDSLAKKIDLLGSKEKTIDNSSVSAPMEKNNDSLELKESPENSLRTSASTSQGKQLTPSLDEAKVPYKDAYSMTQDVGPLETKEKDTESLETKSLMEQPVEASTIKTKIEPHELQVSLQLPTQTKIISSPDHEAVDIKEVTHAVTVSPPASDSKVLKTSVDALKTTEKAFESLSPAAATTPPPPPPPSPPPPSFSPAPSLPKGPPPPPPPPALSGFPSSLGINESVSVPSTPPPPPPPPPSLSGSAPPPPPPPPASAFQNSLSENSKSGPPVPPPPPPSLPGSAPSSSPSAPLPPPPPGSTSQSSLSSSTNVPPVPPPPLSANGLSKPGVPGPPGVPGPPGPPGVPGPPSAPFGAKGRGLMRANAKGQSKRSNLKPYHWLKLTRAMQGSLWAETQKLDEFCRAPEFDMSELETLFSAASPASNEKGGKSNRRASGQKVEKVQLIELRRAYNCEIMLTKVKIPLPDLMCAVLAMDDTVLDIDQIENLIKFSPTKEEMETLKNYTGNKDNLGKCEQFFLELMKVPRVESKLRVFSFKMQFLSQVSELKRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLGELLDFHKDLLSLEAATKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSEFFCQILKEFLSYAESEVRSLAQLYANVGRNADALALYFGEDPARCPFEQVVSTLLNFVRLFVKAHDENCKQIELDRKRAEKEAEHEKLKLAAAKKEAEHMMRTTIKSGDIK >Vigun10g119400.4.v1.2 pep primary_assembly:ASM411807v1:10:32576226:32581300:-1 gene:Vigun10g119400.v1.2 transcript:Vigun10g119400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVSEWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDQFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDSYFKVMPKQPGFEERRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLAFLSA >Vigun10g119400.2.v1.2 pep primary_assembly:ASM411807v1:10:32576226:32581300:-1 gene:Vigun10g119400.v1.2 transcript:Vigun10g119400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDQFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDSYFKVMPKQPGFEERRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLAFLSA >Vigun10g119400.1.v1.2 pep primary_assembly:ASM411807v1:10:32576226:32581300:-1 gene:Vigun10g119400.v1.2 transcript:Vigun10g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFSSTCFTSLPPFFPSSLTKTTKSSPKFSMSMSEDPVSEWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDQFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDSYFKVMPKQPGFEERRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLAFLSA >Vigun10g119400.3.v1.2 pep primary_assembly:ASM411807v1:10:32576226:32581300:-1 gene:Vigun10g119400.v1.2 transcript:Vigun10g119400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEDPVSEWILSEGKATKITKISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTICVPKPYKFGPLPTGGSFIIMEFIQFGASRGYQSELGRKLAEMHKAGKSSKGYGFDVDNTIGSTPQINTWSSDWVQFYGEHRLGYQLKLLLDQFGDRTIYEKGQRLVKSIGRLFANVEIEPCLLHGDLWSGNISSNKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDSYFKVMPKQPGFEERRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLAFLSA >Vigun05g006900.1.v1.2 pep primary_assembly:ASM411807v1:5:615885:619966:-1 gene:Vigun05g006900.v1.2 transcript:Vigun05g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELRNCCDHDQKIFIACVAAFTVLILVLWRTFLLTPFKLITVFLHEASHAIACWLTCGKVEGIQVHANEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFIAALIVVLFLAKNWTLRGLCIGFIVFIAVIWVLQEKTTVHVLRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGFGWGVIWGMISFAFLCASLYLGLVILS >Vigun05g006900.2.v1.2 pep primary_assembly:ASM411807v1:5:617234:619966:-1 gene:Vigun05g006900.v1.2 transcript:Vigun05g006900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELRNCCDHDQKIFIACVAAFTVLILVLWRTFLLTPFKLITVFLHEASHAIACWLTCGKVEGIQVHANEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFIAALIVVLFLAKNWTLRGLCIGFIVFIAVIWVLQEKTTVHVLRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGFGWGVIW >Vigun03g271700.2.v1.2 pep primary_assembly:ASM411807v1:3:44644886:44650527:1 gene:Vigun03g271700.v1.2 transcript:Vigun03g271700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRTMTVIADVVSDDEEEYSFAVAYSGPDPAYDLPRAVPIAVDNIPAAKAVAVSHVSFSDEISLPVVQPLSAPELQFSKELKTLNSESTVSPTSVIAFDRSNDGGSGRTTVSPTSVIAFGDAAAEANDACELSSENSFSDNLGTVRDSSVLLLRHSNCEKESLDFNDSSQQDYASTLSSDYPSSHISPLKTNDPEPDSDGKRGPVVSFEEDEYEAKERVKRESARKGRKGSCYRCLKGNRLTEKEVCLVCDAKYCGNCVLRAMGSMPEGRKCVGCIGFPIDESKRGSLGKCSRILKRLLNHLEIRQIMKAERFCEVNQLPPEYICVNGNALSFEELVTLQSCADPPKNLKPGNYWYDKVSGFWGKEGQKPCRIISPHLNVGGPIKPDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGCIWGKAGTKLVCALLSLPVPSNSKCSNSCGFLSSNLVTRPVPDHFDHGIVHKLLLLGYTGSGTSTIFKQAKILYKNTPFSEDERESIKLTIQTNVYAYLGILLEGRERFEEESLGNLKKNQSFALDTTGTGPKLGDKTIYSIGTRLKAFSDWLLKTMVAGKLDAIFPAATREYAPLIEELWNDAAIKATYERRNEIELLPSVASYFLERAIEILRTDYEPSDLDILYAEGVTSSNGMASVEFSFPQLAAEDIVDTADLHDSLVRYQLITIHARGLAENCKWLEMFEDVGLVVFCVSLSDYDQFSVDGNGCRTNKMILSRKLFEAIVTHPTFEQMDFLLILNKLDEFEEKIERISLSTCDWLSDFRPVISRHRSGMNSSSINNSPSLSQLASYYIAVKFKRLYSSLTGRNMYVSLVKGLEPDSVDAALTYAKEILKWNEERPNFSLSDNSMYSIEASSFSH >Vigun03g271700.1.v1.2 pep primary_assembly:ASM411807v1:3:44643769:44650531:1 gene:Vigun03g271700.v1.2 transcript:Vigun03g271700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRTMTVIADVVSDDEEEYSFAVAYSGPDPAYDLPRAVPIAVDNIPAAKAVAVSHVSFSDEISLPVVQPLSAPELQFSKELKTLNSESTVSPTSVIAFDRSNDGGSGRTTVSPTSVIAFGDAAAEANDACELSSENSFSDNLGTVRDSSVLLLRHSNCEKESLDFNDSSQQDYASTLSSDYPSSHISPLKTNDPEPDSDGKRGPVVSFEEDEYEAKERVKRESARKGRKGSCYRCLKGNRLTEKEVCLVCDAKYCGNCVLRAMGSMPEGRKCVGCIGFPIDESKRGSLGKCSRILKRLLNHLEIRQIMKAERFCEVNQLPPEYICVNGNALSFEELVTLQSCADPPKNLKPGNYWYDKVSGFWGKEGQKPCRIISPHLNVGGPIKPDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGCIWGKAGTKLVCALLSLPVPSNSKCSNSCGFLSSNLVTRPVPDHFDHGIVHKLLLLGYTGSGTSTIFKQAKILYKNTPFSEDERESIKLTIQTNVYAYLGILLEGRERFEEESLGNLKKNQSFALDTTGTGPKLGDKTIYSIGTRLKAFSDWLLKTMVAGKLDAIFPAATREYAPLIEELWNDAAIKATYERRNEIELLPSVASYFLERAIEILRTDYEPSDLDILYAEGVTSSNGMASVEFSFPQLAAEDIVDTADLHDSLVRYQLITIHARGLAENCKWLEMFEDVGLVVFCVSLSDYDQFSVDGNGCRTNKMILSRKLFEAIVTHPTFEQMDFLLILNKLDEFEEKIERISLSTCDWLSDFRPVISRHRSGMNSSSINNSPSLSQLASYYIAVKFKRLYSSLTGRNMYVSLVKGLEPDSVDAALTYAKEILKWNEERPNFSLSDNSMYSIEASSFSH >Vigun05g186200.1.v1.2 pep primary_assembly:ASM411807v1:5:35957396:35962733:-1 gene:Vigun05g186200.v1.2 transcript:Vigun05g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSSPKSFYPRRTTFLILACLFLSLSVFFFAFLGPLRDPPCSNRTPTSVRVLWDHRPIVHHDPPPLHHRHKVMAFVGIQTGFGSVGRRQSLRNTWFPSDPHALHGLEEATGLAFRFVIGRTSDRSKMSALQKEVTQYDDFILLDIEEEYSKLPYKTLAFFKAAYALFEADFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPLSNLLGKEYFLHAYGPIYALSADVVSSLVALKNNSFRMFSNEDVTIGAWMLAMNVNHENSRELCAPECTSTSIAVWDIPKCSGLCNPEKRMLELHQLESCAKTPTLDSDE >Vigun01g252700.2.v1.2 pep primary_assembly:ASM411807v1:1:41857870:41862513:1 gene:Vigun01g252700.v1.2 transcript:Vigun01g252700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKEEESGFTRLASFVCDCEPFTEEELEGIEASLSNPNNKRRFDDLTPRRRRLPESLIALQHPNISSLSRRPGYSRMRLPAIKFSGRIMYSRTFDSVQKAVAKLLQALDVKNREIVQFAIGFDIEWKPSFTKGVPPGKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLLEDPTVLKVGVGIGSDATKVFRDYKISVKGVTDLSFHANQKLGGDHKWGLSSLTEKLLSKQKHNQIMEAVSAWGNTPLATVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALEAFHLDPSRWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSSGKKISATSIYFESLPYKVSSTTGFIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRQVADKCGALLLCDMAHISGLVAAQEANNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQAESAVYDFEDKINFAVFPSLQVGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVALGNYLMNKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAISTTTTISHRFPCLEIAPPKPKHPQHLLSLLLKHPSQPKILQQIHCHIITSGLFHYPFHDTSTCLLLFNNVIRCYSRGPSPT >Vigun01g172800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35495473:35496931:1 gene:Vigun01g172800.v1.2 transcript:Vigun01g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKEFKVPPVAFPSAGNPGGSAPHLQQRRMPAPPFQPNSGIPFMSFDIGSATASTSSGPIYTGPSVGGGGSANFDDEEPLLDELGIHPDQIWSKIRSVLNPFRVNHTVHKDSDLSGPILLYMSFCLFQLLAGKIQFGVILGWIVVSSIFLYFVFNMLAGRTGNLDLHTCTSVVGYCMLPVVIFSALSLFLPVDGVIRLSVAAIFVLWATRASTGLVVSLADGGDEHRGLIAYASFLIYTLFSLLVIF >Vigun07g092266.1.v1.2 pep primary_assembly:ASM411807v1:7:14437914:14438981:1 gene:Vigun07g092266.v1.2 transcript:Vigun07g092266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSEATVGYNQNKSAADRWVQLFCTPYFNVTAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNIKAAILKIGLNEMMTFSKMCFPSVKDSTFMESCDVADLITTCMGGRNRKVVDAYARNGENLIILLGCFLAKRSRVKKERCLQKKL >Vigun01g191600.2.v1.2 pep primary_assembly:ASM411807v1:1:36986042:36989408:-1 gene:Vigun01g191600.v1.2 transcript:Vigun01g191600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RFVSEVWTHNSHRSKGSTKTPGYAFVEFEDAQDAEDAIRGRDGYDFDGHRLRVEPAHGGRGHSSSRDRHSSHSNGRAGRGVSRRSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFHDGRGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGYVRVREYDSRRDSSRSPSRGPSHSRGRSYSRSRSRSRSYSRDRSQSKSPKGKSSQRSPAKSPSRSPARSRSRSKSRSLSGYGNMQHTGNWKLGSWWDLVQLSATHFVVEV >Vigun05g051200.1.v1.2 pep primary_assembly:ASM411807v1:5:4379611:4382377:1 gene:Vigun05g051200.v1.2 transcript:Vigun05g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKRSGPMLNETFLGLLYPIENYKVYGYLTNTKVKFILVTTDLDVKDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSKTFAERVSTIVKSFGFSSAG >Vigun08g055600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6893998:6895104:1 gene:Vigun08g055600.v1.2 transcript:Vigun08g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAEAPKFLQVYSNGSVKRFDPETVSASIESTNAYKSKDVIIDSSKPITGRIFVPSFPTSSKKLPLLVYFHGGGFCIGSTTWLGYHTFLGDFCVKSQTIVLSVDYRLAPEHRLPIAYDDCYTSLQWLSEQVGSESLLQQADTTRVFLSGDSAGGNIAHHVAVKAIQSHACPLRITGLMPIHPYFGSEKRTVKEMVDESAEDVTMNDMFWRLSIPEGSNRDYYGCNFEKHDLGNSVWVKFPPTEVYVAGEDFLKERGVMYVEFLKEKGVKKVTLVEAKEESHVFHVYFPHSSATGLLQTQMTRFIQKY >Vigun07g266100.1.v1.2 pep primary_assembly:ASM411807v1:7:38162614:38164449:-1 gene:Vigun07g266100.v1.2 transcript:Vigun07g266100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNSATNLPQQNQQTLPIHFQSFNFLTNFLTMPIRPREPAQRPGFFHRQRPLHAVLGGGKLADILLWKDKISSATMVAGFSIIWFLFEVVEYNFLTLLCHILMAIMLVLFVWYNAAGLITWSLPQIYDFQIPEPTFRFLYQKLNSFLRNFYDISTGKDLTLFFMTITGLWVMSAIGNYFTTLNLLYILFLCLVTLPIMYERYEQEVNYLASKGNQDVKRLFNTLDNKVLNKIPRGPVKEKKNK >Vigun09g133200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29194583:29195305:1 gene:Vigun09g133200.v1.2 transcript:Vigun09g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCRKKSVKSHEDECSNLDTRVMIDFMKMSKNGDATQESLNTKTIDSSLSESKDEDKKNTNVKEGNTFSCNYCKKEFSTSQALGGHQNAHKQERAMAKRVEGFDVSGLEHFPYYSYYSSLYNSYHLLYGRSYNGALGVRKDSMIQKFSWTPRYEHPLFKRDHGKSIFDGFTVMKNDHYIKSDYNDKTTLQTLPLFTSDASTSSSPLLKTTIVATTDHSVPEETSNTTSCNLDLSLNLYM >Vigun09g040700.2.v1.2 pep primary_assembly:ASM411807v1:9:3707627:3710629:1 gene:Vigun09g040700.v1.2 transcript:Vigun09g040700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQLTAIASSVSTTSFERLRPSAFQMGRVRIGNLPQRSFRGLVVKAATVVAPKYTAIKPLGDRVLIKIKEAEEKTQGGILLPSTAQTKPQGGEVVAVGEGKTVGKSKVDVSVKTGAQVVYSKYAGTEVEFNGTKHLILKDDDIVGILETDEIKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKEKPSIGTVIAVGPGLLDDEGNRKPLSVTPGNTVLYSKYAGNDFKGKDGEYITLRVSDVMAVLS >Vigun09g040700.1.v1.2 pep primary_assembly:ASM411807v1:9:3707627:3710629:1 gene:Vigun09g040700.v1.2 transcript:Vigun09g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQLTAIASSVSTTSFERLRPSAFQMGRVRIGNLPQRSFRGLVVKAATVVAPKYTAIKPLGDRVLIKIKEAEEKTQGGILLPSTAQTKPQGGEVVAVGEGKTVGKSKVDVSVKTGAQVVYSKYAGTEVEFNGTKHLILKDDDIVGILETDEIKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKEKPSIGTVIAVGPGLLDDEGNRKPLSVTPGNTVLYSKYAGNDFKGKDGEYITLRVSDVMAVLS >Vigun11g049900.1.v1.2 pep primary_assembly:ASM411807v1:11:8079332:8081351:-1 gene:Vigun11g049900.v1.2 transcript:Vigun11g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLMAASASTGTVLKPTPFLGQSRATNANPLRDFVSMGHGKYTMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPVAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Vigun05g210700.1.v1.2 pep primary_assembly:ASM411807v1:5:40145928:40147358:-1 gene:Vigun05g210700.v1.2 transcript:Vigun05g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTTWSTVSFNIKIKPLLSFHGKKNSSSSERKLADLTTLNITETRIVTLLYEGKGQKALFCKLHW >Vigun04g124100.3.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKTDAGCGILGLLVKAIQLLNINPIPQQRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTGMNEKLLDITFNYFENTSLRNMCPRESPKHFTDLLRSSIISSSKLGLEKLKECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.6.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKTDAGCGILGLLVKAIQLLNINPIPQQRCHRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.7.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKTDAGCGILGLLVKAIQLLNINPIPQQRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.5.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRDVAIEIERLEREWSEMLHDVKPPEMDEFYMQCIYRVPPDIRENNPEAYTPRIVSIGPYHHNSFGKMEELKLKYFKGFLNRTRLPMREFVVKIKEMEESNIIRSCYAATIQYNVDYFLKMILVDACFIIELFLRCHRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.4.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRDVAIEIERLEREWSEMLHDVKPPEMDEFYMQCIYRVPPDIRENNPEAYTPRIVSIGPYHHNSFGKMEELKLKYFKGFLNRTRLPMREFVVKIKEMEESNIIRSCYAATIQYNVDYFLKMILVDACFIIELFLRCHRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.2.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRDVAIEIERLEREWSEMLHDVKPPEMDEFYMQCIYRVPPDIRENNPEAYTPRIVSIGPYHHNSFGKMEELKLKYFKGFLNRTRLPMREFVVKIKEMEESNIIRSCYAATIQYNVDYFLKMILVDACFIIELFLRCHRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTGMNEKLLDITFNYFENTSLRNMCPRESPKHFTDLLRSSIISSSKLGLEKLKECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun04g124100.1.v1.2 pep primary_assembly:ASM411807v1:4:31553614:31556135:-1 gene:Vigun04g124100.v1.2 transcript:Vigun04g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSFNQMEGELRDVAIEIERLEREWSEMLHDVKPPEMDEFYMQCIYRVPPDIRENNPEAYTPRIVSIGPYHHNSFGKMEELKLKYFKGFLNRTRLPMREFVVKIKEMEESNIIRSCYAATIQYNVDYFLKMILVDACFIIELFLRCHRYSEWEEKDPLLLKPWMLNYIQRDLLLLENQLPFFVLEQLYNLTGMNEKLLDITFNYFENTSLRNMCPRESPKHFTDLLRSSIISSSKLGLEKLKECEEVNHVYSASQLTEAGLKFKASPNNSLLDLTYSKHGVLSMPILNIHATTEALFRNMMAYEDCHLSSTKIIIQYVALLDFLINTEKDVDILVDKKIIVNWMGDAKAVVTMINHLSSSIVMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTSAAIMLLLLTFIQTVCSIISVVRGK >Vigun05g197000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38073546:38074588:-1 gene:Vigun05g197000.v1.2 transcript:Vigun05g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIYLSRSFPRSNSSLFLCSGKTLQSEVLHLGEEMFLVDAGRGTPRICIQDEPTGVPINRATRFENKVGSLDLVVGESLIKKKILERLFIDLVAGESLIKERAAAGFNDLVGSTDVVAGEPLLLPRRFRQNLAWMELNKIWRTNTKVKGFILKKVKGGYSVAIAGFITFLRFRSHNKRRRKKISNNRFTIKSINPKRTNIVVF >Vigun03g064466.1.v1.2 pep primary_assembly:ASM411807v1:3:5319227:5328201:-1 gene:Vigun03g064466.v1.2 transcript:Vigun03g064466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRNTSSKLDSGDAPQFASYGTTSSNNSKSSHLERDDKLDPRMGFSKEESFSGGRGSNKDDIVSKDYRVSDAQNTDGSNKMELSPVKEDLENKDNESPETGCSRLVRSRSRSRSRSPRRRSRWDSITNDRYEMRAACRNFADGKCRRGSQCLFRHSDNQKSEDSRVSSRRQDGRCRMGASCNENSSKFNEASMDESSREMEIDRRCTGSSLKQSHGRNRTSEIPCKFYAFGNCRYGKHCRFLHDSKALWSPSRDFKDDLLRSSGGDQALDGPKSSDEVSLHGKIIDDTRGLDGSAAGAENKTGIMVDPEPGFNTLPVGDERDHSLDKNTVHGESSFSSDVKETKNDSSNVPTILPGNEKMSHNWNCGVRSPIPIKEEQEQSKQQVAPEQVIHQNVNASHSSSCEEVGQSQVVAPSTVPPSVRTIESVQKQEVFAEKKQTTEANVMDANISQVGSSNARIQNMTTEEQLAQLTSLPAPLLLQILGALLSAASVSLHAKATPLQSNTEGSGNPVSITPTKPGPAVGLPKLRDPRRKFRESMIANEKEVVPTISPSQNIPKHTAEIPSLLSDSGQHLEESKKPAFSEEKLVKSEHSIELPKGENVEDSNEKNKTVAEGKPSSTTCEAEEAKTVKDEKGILAFKFALVEFVKELLNPAWNCGKIKKEDYKAIVKKVADKVIDTVQPPHIPQTKEQIDSYLSLSKSKIDKLVQAYVEKFQKA >Vigun08g121600.1.v1.2 pep primary_assembly:ASM411807v1:8:29096747:29101764:1 gene:Vigun08g121600.v1.2 transcript:Vigun08g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSEEFLEHGMSQQNLKRTSCATVLTLAYQSLGIVYGDLSTSPLYVYKTTFSGKLRLKENDEEIFGVLSLIIWTFTITALFKYVFIVMSADDNGEGGTFALYSLLCRHAKLSILPNQQATDEKLSAYTTQDSEETWLSSHLKLFIEKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVFSAVSGVQVKIKELHDNYVVIISCVILVVLFSIQHHGTHRVAFMFAPVVAAWLLCISGIGIYNIFHWNRQIYRALSPFYMLKFLKTTGLEGWMSLGGVVLSITGVEAMYAALGHFSALSIKVAFTCLVYPCLIFAYMGEAAFLSKHHHAIQDSFYKAIPETVFWPVFIVATFAAIVGSQAVISATFSIVSQCCALNCFPPVKIVHTSSRIYGQIYVPEVNWILMCLCLAVTIGLRDIDMMGHAYGLAITTVMFVTTCLMTLVMVIVWKQGIIKAIIFLVLFGSIELLYISASYCKIPEGGWIPLVLSFAFMSVMFTWNYGTMKKHEFDVENKVSMSKILSLGPCLGMVRVPGIGIIFSNLASGVPAIFGHFVTNLPAFHQVLVFVCVKSVQVPCVSDNERLIISRIGPKEYRMFCCIVRYGYKDLQQENYNFENKLVSAIIQFVEIEESDPAPTPEELCMDGGNLSMEHLAVSPHTLNNSCYIEKSFPFSCVLRVKKNENDHLQESPYKDESMQILRAKESGVTYILGHSYAEAKKSSSILKKFAIDVVYAFLSKNCRDPDVYLNVAHTSLLEVGMVYHV >Vigun08g121600.3.v1.2 pep primary_assembly:ASM411807v1:8:29097603:29101764:1 gene:Vigun08g121600.v1.2 transcript:Vigun08g121600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIENLKRTSCATVLTLAYQSLGIVYGDLSTSPLYVYKTTFSGKLRLKENDEEIFGVLSLIIWTFTITALFKYVFIVMSADDNGEGGTFALYSLLCRHAKLSILPNQQATDEKLSAYTTQDSEETWLSSHLKLFIEKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVFSAVSGVQVKIKELHDNYVVIISCVILVVLFSIQHHGTHRVAFMFAPVVAAWLLCISGIGIYNIFHWNRQIYRALSPFYMLKFLKTTGLEGWMSLGGVVLSITGVEAMYAALGHFSALSIKVAFTCLVYPCLIFAYMGEAAFLSKHHHAIQDSFYKAIPETVFWPVFIVATFAAIVGSQAVISATFSIVSQCCALNCFPPVKIVHTSSRIYGQIYVPEVNWILMCLCLAVTIGLRDIDMMGHAYGLAITTVMFVTTCLMTLVMVIVWKQGIIKAIIFLVLFGSIELLYISASYCKIPEGGWIPLVLSFAFMSVMFTWNYGTMKKHEFDVENKVSMSKILSLGPCLGMVRVPGIGIIFSNLASGVPAIFGHFVTNLPAFHQVLVFVCVKSVQVPCVSDNERLIISRIGPKEYRMFCCIVRYGYKDLQQENYNFENKLVSAIIQFVEIEESDPAPTPEELCMDGGNLSMEHLAVSPHTLNNSCYIEKSFPFSCVLRVKKNENDHLQESPYKDESMQILRAKESGVTYILGHSYAEAKKSSSILKKFAIDVVYAFLSKNCRDPDVYLNVAHTSLLEVGMVYHV >Vigun08g121600.2.v1.2 pep primary_assembly:ASM411807v1:8:29096747:29101764:1 gene:Vigun08g121600.v1.2 transcript:Vigun08g121600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDNGEGGTFALYSLLCRHAKLSILPNQQATDEKLSAYTTQDSEETWLSSHLKLFIEKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVFSAVSGVQVKIKELHDNYVVIISCVILVVLFSIQHHGTHRVAFMFAPVVAAWLLCISGIGIYNIFHWNRQIYRALSPFYMLKFLKTTGLEGWMSLGGVVLSITGVEAMYAALGHFSALSIKVAFTCLVYPCLIFAYMGEAAFLSKHHHAIQDSFYKAIPETVFWPVFIVATFAAIVGSQAVISATFSIVSQCCALNCFPPVKIVHTSSRIYGQIYVPEVNWILMCLCLAVTIGLRDIDMMGHAYGLAITTVMFVTTCLMTLVMVIVWKQGIIKAIIFLVLFGSIELLYISASYCKIPEGGWIPLVLSFAFMSVMFTWNYGTMKKHEFDVENKVSMSKILSLGPCLGMVRVPGIGIIFSNLASGVPAIFGHFVTNLPAFHQVLVFVCVKSVQVPCVSDNERLIISRIGPKEYRMFCCIVRYGYKDLQQENYNFENKLVSAIIQFVEIEESDPAPTPEELCMDGGNLSMEHLAVSPHTLNNSCYIEKSFPFSCVLRVKKNENDHLQESPYKDESMQILRAKESGVTYILGHSYAEAKKSSSILKKFAIDVVYAFLSKNCRDPDVYLNVAHTSLLEVGMVYHV >Vigun11g171400.1.v1.2 pep primary_assembly:ASM411807v1:11:37698839:37700323:-1 gene:Vigun11g171400.v1.2 transcript:Vigun11g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMGKCFTEEQEALVVKSWNVMKKNSGELGLKFFLKIFEIAPSAQKLFSFLRDSTVPLEQNPKLKPHATSVFVMTCDSAVQLRKAGKVTVRESTLKKLGATHLRTGVANEHFEVTKFALLETIKEAVPEMWSPEMKNAWGEAYDQLVDAIKSEMKPPSA >Vigun10g134200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34412637:34413185:1 gene:Vigun10g134200.v1.2 transcript:Vigun10g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVRPRPICLDRGFRGREAITLNILYVQVRSVAIETNPLHVLVAFTFFALLGFIQIRYPQNPTPFQLHPKTTMLSIATFLLYCLGFLGTLMFGIRVHHFDILMHVFGSLSLISLLLLLLPETWDSLGFVVYTLWFITLLLFIVIKTRLREILLQRQQIRRVVRPLLPTTSWDFNYNGDIY >Vigun09g261400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42665578:42666378:1 gene:Vigun09g261400.v1.2 transcript:Vigun09g261400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLQHTLKKHTQTLAKIKMYSTMAPFGLSTTVIFSFLVLTLLSANSHTMVVGTRNLLEPTLSKPEVPQLPKVPELPKVPEIPKELPELFKVPELPKVPELPKVPEELPKVPELPKVSELPKIPELLKVPELPKIPEIPKVSELPKVSEIPKVHELPKVPEIPKLEAPKVLELPKLPEIPKIPEFTKAFPATHP >Vigun04g098100.1.v1.2 pep primary_assembly:ASM411807v1:4:22232242:22235909:1 gene:Vigun04g098100.v1.2 transcript:Vigun04g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSIWMRHGNKYSYMTLSPSFPTKDLEKLIMESHLILDDFPLDWLQEGITGYSKLDLSKKFKLMILLCDETLNTEKLRRYIKDENSRYAKGVKEAKLKIAATKEKVKCLEQKLRNEKAKVVPSTVSPFTMDDHDALIKLRTEVDEAQADMFRLKSTNKKEKLGCDATRINPEFVDNNGMIFWNLQHDNDEPVILLQDIKIEDETATSPEESWSVYSPAEKDEVDKYISLRTKRLNSRKDSHLLVSESSSQDF >Vigun05g178100.1.v1.2 pep primary_assembly:ASM411807v1:5:33297100:33300164:-1 gene:Vigun05g178100.v1.2 transcript:Vigun05g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAVFSICKVAKDAAGVAGNVFAFGLFVSPIPTFRRIIRNGSTEMFSGLPYIYSLLNCLICMWYGTPLISTDNLLVTTVNSIGAVFQFGYIILFLMYAEKSKKVRMIVLLVAVLGVFAIILVGSLQIDDIQMRRFFVGFLSCASLISMFASPLFIIKLVIQTKSVEFMPFYLSLSTFLMSTSFFLYGLFNDDAFIYVPNGIGTVLGVTQLILYFYYEKKSRDSSREPLIVSYV >VigunL003101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:6537:6845:1 gene:VigunL003101.v1.2 transcript:VigunL003101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVLKNAKEGLKKVEKIETPQLTRTPAQRYKDLEARLDEMNRSGEGSGSDPQKSEAPVPSSEGQKPPVGEGPKPSIESSDSLSEILPPRISCFLFLRIGS >Vigun03g234000.2.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVIRGKLSEKADVYSFGVLVIEIVSGKRNSSFIMNSSSLLQTRKHTDYFR >Vigun03g234000.8.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGGIWLQSMSFVGSCLRKQMFTVLEF >Vigun03g234000.1.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVIRGKLSEKADVYSFGVLVIEIVSGKRNSSFIMNSSSLLQTVWNLYGSNRLSEVVDPTLEGPFPAEEAYRLLQIGLLCAQASAELRPSMSVVVKMINHSHEIPQPTQPPFINSGSSELSRSGLPGYNFQPGSNTQSSANTMTESLVEPR >Vigun03g234000.3.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVIRGKLSEKADVYSFGVLVIEIVSGKRNSSFIMNSSSLLQTTI >Vigun03g234000.5.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966343:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGGIWLQSMSFVGSCLRKQMFTVLEF >Vigun03g234000.6.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVIRGKLSEKADVYSFGVLVIEIVSGKRNSSFIMNSSSLLQTVWNLYGSNRLSEVVDPTLEGPFPAEEAYRLLQIGLLCAQASAELRPSMSVVVKMINHSHEIPQPTQPPFINSGSSELSRSGLPGYNFQPGSNTQSSANTMTESLVEPR >Vigun03g234000.7.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVIRGKLSEKADVYSFGVLVIEIVSGKRNSSFIMNSSSLLQTVTVFIWHVATA >Vigun03g234000.9.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGTL >Vigun03g234000.4.v1.2 pep primary_assembly:ASM411807v1:3:38962688:38966316:1 gene:Vigun03g234000.v1.2 transcript:Vigun03g234000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSVSFLLLFLSVFTPSALSDPRAQRAALLCTNRTVSSLSLRQVFIANFLAAMDALTPMTTTRQHGAVVKGSGNVTVYAMGECMKDLSQSDCNVCLAQCKTQLLSCLPFQKGTRGGRLFFDGCYLRYDDYNFFAESFGNQDTTVCGTSGSINSNSNNSVGANSSRIYKANALELVRNLSEVAPKNDGFLVGSVGRKNVSVYGLAQCWEFVNGSACKKCLADAATRVSSCATQEARALNAGCYLRYSAQKFYNNSTDVATSGNHGRRSLSKILAASAAAAALLLVVATVVFFIRKKIVTRRRERRQFGAFLDRVNKSRLNIAYEILEKATDYFNDANKLGQGGSGSVYKGVMPDGTTVAIKRLRFNTTQWVDHFFNEVNLISDIQHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLSVRRISHPLSWEFRHKIILGIAEGLAYLHEESHLRIIHRDIKLSNILLEEDFTPKIADFGLARLFPEDKSHISTAIAGGIWLQSMSFVGSCLRKQMFTVLEF >Vigun03g000700.1.v1.2 pep primary_assembly:ASM411807v1:3:63644:69265:1 gene:Vigun03g000700.v1.2 transcript:Vigun03g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSRSGMGFEFLTKKPYTPPSWASHLHPLPSHVFSLAHLPTPIHRWNLPNLPANTELWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADSIITIGGIQSNHCRATAVAAKYLNLDCFLILRTSNLLVDRDPGLTGNLLVERLAGANVHLISKEEYSKIGSVTLTNVLKEKLIKEGRRPYVIPVGGSNSLGTWGYIEAVREIEQQVQRGADNTKFDDIVVACGSGGTIAGLSLGSSLSSLEANVHAFSVCDDPDYFHNFAQGLIDGLNAGVNSRDIVHIQNAKGLGYAMNTSEELNFVKDVAAATGVILDPVYSGKAAYAMVKDMIENPKKWEGRKILFIHTGGLLGLYDKVDQLASGVGNWQRMDVNESVPRQDGIGKMF >Vigun03g000700.2.v1.2 pep primary_assembly:ASM411807v1:3:63644:69265:1 gene:Vigun03g000700.v1.2 transcript:Vigun03g000700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSYTELVQKIELGMASSFSRSGMGFEFLTKKPYTPPSWASHLHPLPSHVFSLAHLPTPIHRWNLPNLPANTELWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADSIITIGGIQSNHCRATAVAAKYLNLDCFLILRTSNLLVDRDPGLTGNLLVERLAGANVHLISKEEYSKIGSVTLTNVLKEKLIKEGRRPYVIPVGGSNSLGTWGYIEAVREIEQQVQRGADNTKFDDIVVACGSGGTIAGLSLGSSLSSLEANVHAFSVCDDPDYFHNFAQGLIDGLNAGVNSRDIVHIQNAKGLGYAMNTSEELNFVKDVAAATGVILDPVYSGKAAYAMVKDMIENPKKWEGRKILFIHTGGLLGLYDKVDQLASGVGNWQRMDVNESVPRQDGIGKMF >Vigun08g207200.1.v1.2 pep primary_assembly:ASM411807v1:8:37010066:37012926:1 gene:Vigun08g207200.v1.2 transcript:Vigun08g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLYPYKPLLYFSTPSCFQSQFRNLERGKLDSARLKSLVKLAAHSNPKIIKTNRKSKYGGALSMYDSDEDDEETDEEEDDEDDDDWLSDEEFAEPAKFDVRNKRLKSKTVKGKDKEKEWEWGLRSLDNEQSIRLPRSERVASLQRNESGKAPNGDKVSRNVKNKKYPRLSEEIPLDSKWLPLLDYLSTFGMKESHFVQIYERHMQALQINVGSAQERLEYLLSVGVKHRDVRRILLRQPQILEYTVENNLKSRVAFLRGLGIPNSRIGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGKVIQLSPQILVQRIDISWNMRYMFLTKELGAPRDSIVKMVTKHPQLLHYSIDDGLLPRINFLRSIGMKNSDILKVLTSLTQVLSLSLEENLKPKYLYLVNELNNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSFVPTDECFCEQWAGTSLDKYLAFRQRLLLQKFAEKYERKM >Vigun08g207200.3.v1.2 pep primary_assembly:ASM411807v1:8:37010066:37012926:1 gene:Vigun08g207200.v1.2 transcript:Vigun08g207200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLYPYKPLLYFSTPSCFQSQFRNLERGKLDSARLKSLVKLAAHSNPKIIKTNRKSKYGGALSMYDSDEDDEETDEEEDDEDDDDWLSDEEFAEPAKFDVRNKRLKSKTVKGKDKEKEWEWGLRSLDNEQSIRLPRSERVASLQRNESGKAPNGDKVSRNVKNKKYPRLSEEIPLDSKWLPLLDYLSTFGMKESHFVQIYERHMQALQINVGSAQERLEYLLSVGVKHRDVRRILLRQPQILEYTVENNLKSRVAFLRGLGIPNSRIGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGIAL >Vigun08g207200.2.v1.2 pep primary_assembly:ASM411807v1:8:37010066:37012926:1 gene:Vigun08g207200.v1.2 transcript:Vigun08g207200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLYPYKPLLYFSTPSCFQSQFRNLERGKLDSARLKSLVKLAAHSNPKIIKTNRKSKYGGALSMYDSDEDDEETDEEEDDEDDDDWLSDEEFAEPAKFDVRNKRLKSKTVKGKDKEKEWEWGLRSLDNEQSIRLPRSERVASLQRNESGKAPNGDKVSRNVKNKKYPRLSEEIPLDSKWLPLLDYLSTFGMKESHFVQIYERHMQALQINVGSAQERDSIVKMVTKHPQLLHYSIDDGLLPRINFLRSIGMKNSDILKVLTSLTQVLSLSLEENLKPKYLYLVNELNNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSFVPTDECFCEQWAGTSLDKYLAFRQRLLLQKFAEKYERKM >Vigun10g111250.1.v1.2 pep primary_assembly:ASM411807v1:10:31159565:31160965:-1 gene:Vigun10g111250.v1.2 transcript:Vigun10g111250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding DTLFQVPSTLIILAIDTNLTILEFIKKTCNQYGIQVLAYSESLPALNALQERKGCIDWILVEVDMPIVNGYEFLSFLNKEQINVPLITMSWDDSMVSMMRAFRLVAHDYWIKPLHEIRFKSMRTHFLKKCVSEEDTSQKETETDCLIRRISDNSEPASSMEDDDVDESINPPSTKKPRVVWEEKLHGAFINAIKQIGIENVVPKKILEAMNVPGLERGHVASHLQKYKKYLKQKNDMSWNTEPRMCAVERVHLQPLSATAMTNFYPGFTGNMEEEALAHDHHPLGTVGNKEEALAHDHNLASNQNFQVGTLDDDPTMHHNQMYPMYFQPSSTMISGNHQPFVSQNCNFGMNMDHVSQPIQQGEAIVNEYSTGDSIYRPQFQNADLPSGAFR >Vigun08g114800.2.v1.2 pep primary_assembly:ASM411807v1:8:28140301:28140670:1 gene:Vigun08g114800.v1.2 transcript:Vigun08g114800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYKGSYFWSLGLRAFYFSFPLFMWIFGPIPVFCTSLALVFMLYFLDVTFDSCPGVADTDMAEVFQLNRHHVDVEIGSDN >Vigun11g186050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38660532:38660840:-1 gene:Vigun11g186050.v1.2 transcript:Vigun11g186050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTSLIFSSSHVCFIVDKYLCRVLKIFIQWLHLYNGIHCDNSLHDKSSPTARKVLEIELSISTSEFTTEQVQSNIQFNCRLRHCLLHWYIPLLTLLCCVFE >Vigun01g083800.1.v1.2 pep primary_assembly:ASM411807v1:1:23754556:23756516:1 gene:Vigun01g083800.v1.2 transcript:Vigun01g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGQKSASPLSDLKVTIHETSTIFPSKQTEKKCLFLSNIDKVVNFDVETLHFFAANKAFSLQKVAEKLKKALEDVLVHYSFLAGRLRQNAETKRLEIDCNAKGAAFVVASCKHKLSELGDLAYPNPAFAKLVHKSKDKDLPLAVQLTSFDCGGFAMGFTTSHAAFDGLSFKTFLDNLAALAANKPMPVMPCHDRHLLAARSPPRVTFAHPELAELDYSPIASTESNVFDASKGPKSNVFDVSKGLESNVFDASKGTESNVFDASKGTKSNVFDASKGTGSNVFDVSKGTGSNVFDDSKGTESNVFDASKGTGSNVFDVSKGPESNVFDASKGTKSNVLDVSKGPESNVFDASKGTESNVFDASKEKLDFRVFKLTPEDIVSLKEKAKGSTNDSNTGFNAITAHIWRCKALSAPYDPTGSSTVLFPVDIRSKLNPPLPEGFAGNAVLTAFATAKYEELEKGEFSRLVEMVKEGAERMNDEYARSVIDHGELCDGFPRGDVLISSWQRLGFEKVEYPWGKPKYCCPVVHQRKEIILLFPPFVAGGDDGINIIVALPPKKLEKFENLFHTFLRSV >Vigun07g211800.2.v1.2 pep primary_assembly:ASM411807v1:7:33316987:33321216:1 gene:Vigun07g211800.v1.2 transcript:Vigun07g211800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSPMTQSVTATAAPATALAGGTFSNASLYVGDLDGNVNEEQLYDLFSQVAQIVSIRVCRDQSKRSSLGYAYVNFSNAQDATNAMELLNFTPVNGKPIRIMFSQRDPSIRKSGHGNVFIKNLDTSIDNKALHDTFAAFGTVLSCKVATDHNTGLSKGYGFVQYDNEDSAQSAIKQLNGMLINDKQVYVGLFIRRQARTNGSPKFTNVYVKNFSEAFTDEDLNKLFSSYGTITSATIMKDMNGKSRCFGFVNFQSPDSAAAAVERLTGTTINDKVLYVGRAQKKAEREAELKAKIEQERISRYEKLQGANLYLKNLDDNFSNEKLKDLFSEFGTITSCKVTVDSNGHSKGSGFVAFSTPEEASKALNEMNGKLIGRKPLYVAVAQRKEERKAHLQAHFAQIRTSGGMTPLPAGIPVYHHPGAPRLAPQQLYFGQGSPGFMPPQPAGYSFQQQILPGMRPGFAPNFITPYPLQRQAQSGHRTGVRRNGNLQPMQQSQMMIHRNSNQGGFRYMPNGRNGPTMPMHFDGSGINAAPNDGQRSGPLSTTLASALASATPENQRMMLGEHLYPLVERMTLNHYTAKVTGMLLEMDQSEVINLIESPEDLKTKVSEAMQVLYEAAPEPGVADQIGSLTVNE >Vigun07g211800.1.v1.2 pep primary_assembly:ASM411807v1:7:33316987:33321216:1 gene:Vigun07g211800.v1.2 transcript:Vigun07g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSPMTQSVTATAAPATALAGGTFSNASLYVGDLDGNVNEEQLYDLFSQVAQIVSIRVCRDQSKRSSLGYAYVNFSNAQDATNAMELLNFTPVNGKPIRIMFSQRDPSIRKSGHGNVFIKNLDTSIDNKALHDTFAAFGTVLSCKVATDHNTGLSKGYGFVQYDNEDSAQSAIKQLNGMLINDKQVYVGLFIRRQARTNGSPKFTNVYVKNFSEAFTDEDLNKLFSSYGTITSATIMKDMNGKSRCFGFVNFQSPDSAAAAVERLTGTTINDKVLYVGRAQKKAEREAELKAKIEQERISRYEKLQGANLYLKNLDDNFSNEKLKDLFSEFGTITSCKVTVDSNGHSKGSGFVAFSTPEEASKALNEMNGKLIGRKPLYVAVAQRKEERKAHLQAHFAQIRTSGGMTPLPAGIPVYHHPGAPRLAPQQLYFGQGSPGFMPPQPAGYSFQQQILPGMRPGFAPNFITPYPLQRQAQSGHRTGVRRNGNLQPMQQSQQMMIHRNSNQGGFRYMPNGRNGPTMPMHFDGSGINAAPNDGQRSGPLSTTLASALASATPENQRMMLGEHLYPLVERMTLNHYTAKVTGMLLEMDQSEVINLIESPEDLKTKVSEAMQVLYEAAPEPGVADQIGSLTVNE >Vigun10g136800.1.v1.2 pep primary_assembly:ASM411807v1:10:35227093:35229421:1 gene:Vigun10g136800.v1.2 transcript:Vigun10g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEVTIPLRYWVDDEKRRVIVAEATGDFIDVLFSFLTLPLGTIIRLANKFEQRVELGCINKLYQSVDNLESDVFWNNVCKKMLLSPRNPLESSYQRLKVRVDDTEPTRYFMCHTCSKEKDLLMSTFDGANCKCGKLMKKETKLLVESKEERAKDNGVFVKPDAMFLIFDDLRVLRSSPGNSVQTFLKHRHKNFNKITENSQNVGMKEVTLSFKVVDAQEFSFAKILSILKQAVSSKSPLSDALLENRGSKKYCFSGDTGPVHWKGSVEMKVMVSKSKNKILFAEADGDFVDFLVSFLTIPIGSIMKVMKGKLCLGSIGNLYTSVKDLNPSWFVGSSNESLLNIKIAPHFGCKSNPLEEEDSPQYWYGPVVEKHNEGRTMISNRKDMLRDPKKVKLFDPRSFDGAREAGVGFMKRPCLFVVSDDLRVIPMTTASSISYLKELGNGKLNDLEEHYVKVRKSHEAVELLRASLTSNEGALTESLFYLLWKWKCQRCIPFWSVLGIRHRNKKEKNKEEKVILGNKNYDESEGDMKEMK >Vigun08g082100.1.v1.2 pep primary_assembly:ASM411807v1:8:17197852:17201381:-1 gene:Vigun08g082100.v1.2 transcript:Vigun08g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWEGSCFLLHCVRELFSNNITGKIPIELGSLRNLVSLELNLNKITGPIPEEIGNLKNLRFMRLNNNNLSGKIPMPLTNLYKLQVLFMNNPLLKFTSLQSPPAAASLPTPSEICLFLEIPGNAPMEQSINDLRAEMGVESSPSPGPTAKPTEEETKEGGSA >Vigun06g092900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22507986:22509047:1 gene:Vigun06g092900.v1.2 transcript:Vigun06g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEHQRIHPVHDVEAPHRPLVPGNSTKSDRVFPKRSFPVMHSKPPKRRRSCCCRFLCWTLSILLILIIAIGITVGIMYLVFRPKLPKYSVDQLRITQFNVSDNNTLSATFNVAITATNPNKKIGIYYEGGSHIRALYMGTQLCEGSLPKFYQGHRNTTVLDLSLSGEAQDASSLTSRIQEQLQQTNNIPLDLMVNQPVRVKLGKLKLFKVKFRVKCKLVVDNLGASNDISISSSSCKFRLRL >Vigun03g087100.1.v1.2 pep primary_assembly:ASM411807v1:3:7227321:7230236:-1 gene:Vigun03g087100.v1.2 transcript:Vigun03g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGLASDSGSGSGSGSSEELTLRVRWSGKEYTVRVCGDDTVGELKRRICELTNVLPPRQKLLYPKLGSKLNDDSVFLSQLPLNSSFKMTMIGTTEEDLLLDPVDSPEILDDLELPKDEAVDIKDMEVNKRKLTRRINQVKIELRNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTSVYAEYDIMIWSATSMKWINLKMGQLGVLDNPNYKITALLDHMAMITVQSPSRGVFDCKPLGLIWAQFPEFYSASNTIMFDDLKRNFVMNPQNGLTIKPFRKAHANRDSDQELVKLTQYLLAIAELDDLSNLDHHNWELFTEDNAKRRRHR >Vigun03g087100.4.v1.2 pep primary_assembly:ASM411807v1:3:7227321:7230163:-1 gene:Vigun03g087100.v1.2 transcript:Vigun03g087100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGLASDSGSGSGSGSSEELTLRVRWSGKEYTVRVCGDDTVGELKRRICELTNVLPPRQKLLYPKLGSKLNDDSVFLSQLPLNSSFKMTMIGTTEEDLLLDPVDSPEILDDLELPKDEAVDIKDMEVNKRKLTRRINQVKIELRNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPCNFCPFFVFCFLFFTRSVY >Vigun03g087100.3.v1.2 pep primary_assembly:ASM411807v1:3:7227321:7230163:-1 gene:Vigun03g087100.v1.2 transcript:Vigun03g087100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGLASDSGSGSGSGSSEELTLRVRWSGKEYTVRVCGDDTVGELKRRICELTNVLPPRQKLLYPKLGSKLNDDSVFLSQLPLNSSFKMTMIGTTEEDLLLDPVDSPEILDDLELPKDEAVDIKDMEVNKRKLTRRINQVKIELRNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTSVYAEYDIMIWSATSMKWINLKMGQLGVLDNPNYKITALLDHMAMITVQSPSRGVFDCKPLGLIWAQFPEVYICICDKKKKNTMYLLDDGNLIELC >Vigun03g087100.2.v1.2 pep primary_assembly:ASM411807v1:3:7227321:7230163:-1 gene:Vigun03g087100.v1.2 transcript:Vigun03g087100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGLASDSGSGSGSGSSEELTLRVRWSGKEYTVRVCGDDTVGELKRRICELTNVLPPRQKLLYPKLGSKLNDDSVFLSQLPLNSSFKMTMIGTTEEDLLLDPVDSPEILDDLELPKDEAVDIKDMEVNKRKLTRRINQVKIELRNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTSVYAEYDIMIWSATSMKWINLKMGQLGVLDNPNYKITALLDHMAMITVQSPSRGVFDCKPLGLIWAQFPEFYSASNTIMFDDLKRNFVMNPQNGLTIKPFRKAHANRDSDQELVKLTQYLLAIAELDDLSNLDHHNWELFTEDNAKRRRHR >Vigun02g093000.1.v1.2 pep primary_assembly:ASM411807v1:2:24830907:24837151:1 gene:Vigun02g093000.v1.2 transcript:Vigun02g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKQQRGAEDSFFAPNASAAHHNLGSPNHRRPARRNRGPHQPNLKYRVVNKPAAVSSFNSHSVEDVNEDVTVASLNHHGEDAGGASELNTVDGTDRVVCSSKVEEGGGDNDDDDDGDDDDDIQTRLDKLLCKIEEPDLSEEQIRINDQLQQDEILVVESIYGENVFGLERWKGLRCFQIHIHIDVLGEIAITAKLNSFNQLETVNSSSDEFLYTFKVQYLPPVVLTCLLPKSYPSHQPPIFTLSVKWLEPAKILRLCSKLDSIWAEQQGQEVIYPWVEWLHGSSLSHLGFDEEITLGPYGMNVVQDERVVSGAECIDIDIPFLRNYNDKRHNENFLKELHQCNICFGEYAGSEFIRLPCMHFFCLKCLKTFAQIHVKEGTVSNLQCPEAKCTVMIPPGLLKHLLDDRDYERWESMMLEKTLASMSDVVYCPRCETPCIEDEDQHAQCPKCYFSFCTLCRERRHVGIACMSLDMKLQILQDRQNSSHLKEDQKRREREKINEMLSMKEIHRDSKLCPSCDMAISRTEGCNKMKCGNCEQYFCYRCNKAIDPLDPYGHFRDGSCELFPREMVESWQERINDRQVVGQLHAELFPQRGLTCPNCRQFNAKIGNNNHLFCWACQSHYCYLCKEIVRRGTKHYGPKGCKQHSEG >Vigun07g234300.1.v1.2 pep primary_assembly:ASM411807v1:7:35618647:35622060:1 gene:Vigun07g234300.v1.2 transcript:Vigun07g234300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLKSEESSGQLQLVEREDIEDDEDLFEAIDKLIAQGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLKRKSVIRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTNMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFSGRGELAERQQRLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFVTDPKKPAGGHVLAHAATVRLMFRKGKGEQRICKVFDAPNLPEAEAVFQITPGGIADAKD >Vigun08g053400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6424823:6426479:1 gene:Vigun08g053400.v1.2 transcript:Vigun08g053400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTTKSSGPVLRSSLSHSTKFCSYSTSNAPFRSSSSSFFDNSHSHMPHRSTSPTRVNVISTAPSPSVRFALDRSISPSRTVSSHVIVKKHHRHVTVQKKTCMCSPTNHPGSFRCSLHKNSHNHTSAGSFPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFEPRPSRLSIMSKSEEDL >Vigun08g053400.5.v1.2 pep primary_assembly:ASM411807v1:8:6424405:6426479:1 gene:Vigun08g053400.v1.2 transcript:Vigun08g053400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTTKSSGPVLRSSLSHSTKFCSYSTSNAPFRSSSSSFFDNSHSHMPHRSTSPTRVNVISTAPSPSVRFALDRSISPSRTVSSHVIVKKHHRHVTVQKKTCMCSPTNHPGSFRCSLHKNSHNHTSAGSFPSNRLNMRRSAMKNSLVRIGGVEGFESPPH >Vigun08g053400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6424916:6425512:1 gene:Vigun08g053400.v1.2 transcript:Vigun08g053400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTTKSSGPVLRSSLSHSTKFCSYSTSNAPFRSSSSSFFDNSHSHMPHRSTSPTRVNVISTAPSPSVRFALDRSISPSRTVSSHVIVKKHHRHVTVQKKTCMCSPTNHPGSFRCSLHKNSHNHTSAGSFPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFEPRPSRLSIMSKSEEDL >Vigun08g053400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6424823:6426479:1 gene:Vigun08g053400.v1.2 transcript:Vigun08g053400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTTKSSGPVLRSSLSHSTKFCSYSTSNAPFRSSSSSFFDNSHSHMPHRSTSPTRVNVISTAPSPSVRFALDRSISPSRTVSSHVIVKKHHRHVTVQKKTCMCSPTNHPGSFRCSLHKNSHNHTSAGSFPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFEPRPSRLSIMSKSEEDL >Vigun07g138500.1.v1.2 pep primary_assembly:ASM411807v1:7:24828104:24830729:1 gene:Vigun07g138500.v1.2 transcript:Vigun07g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAWLQLQRIITKGGVNLISTLPHSSPCSSSRFFSKSSPYMVKVGIPEFLNGIGKGVESHVPKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRVESIKA >Vigun06g063600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19228345:19230090:1 gene:Vigun06g063600.v1.2 transcript:Vigun06g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHLRPAMLPVLAAAFLLLATLAQAHNITRILAQHPEFSTFNHYLTLTHLAPEINGRTTITVCAVDNAAMDDLLSKHPSIYTVKNILSLHVLLDYFGAKKLHQITNGTALAATMYQATGTAPGSAGFVNITDLHGGKVAFGAENNDGTLTSTFVKSVEEIPYNISVIQISKILPSAAAEAPAPAPTQQNLTSIMSKHGCKIFADTLSNYSDALSTFNDNLDGGLTVFCPLDDAFKAFLPKFKNLTASGKLALLEFHGVPVYQSLATLKSNNGLQNTLATDGANKYDFTVQNDGEEVTLKTKLTTAKITDTLIDEQPLAVFAINKVLLPKELFKGEALAPAPAPEPSAADAPAPAKKGKKKKKAADAPAADASSDSPADSPGDAADDTADDSNGAVSGGRYGNVIVALGLVLGLPLVL >Vigun08g121700.3.v1.2 pep primary_assembly:ASM411807v1:8:29108053:29110574:1 gene:Vigun08g121700.v1.2 transcript:Vigun08g121700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGETMNESEITSLKKKLEVHMARDELLQKENQELREEVGRLKSQVVLLKAHNLERKSVLWKKIQKSIDGNNNNNSEPIQLKASPVQVITCEKSSENANTHTNPDFQDSAPRKEKPAIVPAPPPRPSAALLLPLHKKEKVLKVQPLAPPPPPTPPKLSLVGLKAVRRVPEVIELYRSLTRKDANMENKIHSNGIPTVAFSRNMIEEIENRSTYLSAIKSEVQRQGEFISFLIKEVESASFADVSEVETFVKWLDGELSSLVDERSVLKHFQQWPEQKVDALREAACNYRDLKNLESEVSSYEDNPKEPLCQTLRKIQALQDRRACWREV >Vigun08g121700.2.v1.2 pep primary_assembly:ASM411807v1:8:29108053:29110574:1 gene:Vigun08g121700.v1.2 transcript:Vigun08g121700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGETMNESEITSLKKKLEVHMARDELLQKENQELREEVGRLKSQVVLLKAHNLERKSVLWKKIQKSIDGNNNNNSEPIQLKASPVQVITCEKSSENANTHTNPDFQDSAPRKEKPAIVPAPPPRPSAALLLPLHKKEKVLKVQPLAPPPPPTPPKLSLVGLKAVRRVPEVIELYRSLTRKDANMENKIHSNGIPTVAFSRNMIEEIENRSTYLSAIKSEVQRQGEFISFLIKEVESASFADVSEVETFVKWLDGELSSLVDERSVLKHFQQWPEQKVDALREAACNYRDLKNLESEVSSYEDNPKEPLCQTLRKIQALQDRLERSVSAKERMRESISKRYRNFHIPWEWMLDSGLIGQMKLSSLRLAKEFMKRITKEMESNEVLQEDNLFVQGVKFAFRAHQVALILRPYKHFKN >Vigun08g121700.4.v1.2 pep primary_assembly:ASM411807v1:8:29108053:29110574:1 gene:Vigun08g121700.v1.2 transcript:Vigun08g121700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGETMNESEITSLKKKLEVHMARDELLQKENQELREEVGRLKSQVVLLKAHNLERKSVLWKKIQKSIDGNNNNNSEPIQLKASPVQVITCEKSSENANTHTNPDFQDSAPRKEKPAIVPAPPPRPSAALLLPLHKKEKVLKVQPLAPPPPPTPPKLSLVGLKAVRRVPEVIELYRSLTRKDANMENKIHSNGIPTVAFSRNMIEEIENRSTYLSAIKSEVQRQGEFISFLIKEVESASFADVSEVETFVKWLDGELSSLVDERSVLKHFQQWPEQKVDALREAACNYRDLKNLESEVSSYEDNPKEPLCQTLRKIQALQDRRACWREV >Vigun08g121700.1.v1.2 pep primary_assembly:ASM411807v1:8:29108053:29110574:1 gene:Vigun08g121700.v1.2 transcript:Vigun08g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGETMNESEITSLKKKLEVHMARDELLQKENQELREEVGRLKSQVVLLKAHNLERKSVLWKKIQKSIDGNNNNNSEPIQLKASPVQVITCEKSSENANTHTNPDFQDSAPRKEKPAIVPAPPPRPSAALLLPLHKKEKVLKVQPLAPPPPPTPPKLSLVGLKAVRRVPEVIELYRSLTRKDANMENKIHSNGIPTVAFSRNMIEEIENRSTYLSAIKSEVQRQGEFISFLIKEVESASFADVSEVETFVKWLDGELSSLVDERSVLKHFQQWPEQKVDALREAACNYRDLKNLESEVSSYEDNPKEPLCQTLRKIQALQDRLERSVSAKERMRESISKRYRNFHIPWEWMLDSGLIGQMKLSSLRLAKEFMKRITKEMESNEVLQEDNLFVQGVKFAFRAHQFAGGFDPETIQAFQELKKIGCAIPSYSNLIKCPKLVKTCHKPVS >Vigun03g118100.1.v1.2 pep primary_assembly:ASM411807v1:3:10985361:10987674:-1 gene:Vigun03g118100.v1.2 transcript:Vigun03g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHSIFALPNKCSAILAVKLSPEHAHCSKSHFTIALQQDIDISKKGKARDELQIRHAKTLEEVKRELLGKAVQKSESTISLKQLFRTDTEIISSMYLKEIFALSKWWKELGLGNDLTFARDEPIKWYMWSMACLPDPRFSEARIEITKPLSLAYIIDDMFDFCANIDELTLFTEAVKRWDIAAVEQLPEYMKGCFRALYGITNEFAFKVQLKHGWNPITTLVKLWVMLINAFLEEAKWFRSGHVPKTEEYLKNGIVSTGVHMILVHAFFFIGEGITEETVAVMEGLPTLISTTATILRLCDDLEGDQDVKGDDNDGSYLKCYMMEHPEASIEEAREHATELISNAWKRLNQECLRDANPLPSSFTKVCLDAARMVPLMYSYDKNTLSKLEEYVKSLLHGGAMQSILQDQTSVSSNNLTSTDIM >Vigun03g059300.7.v1.2 pep primary_assembly:ASM411807v1:3:4855630:4859030:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKNCSHTKKALTAYLIHFFLMLLQAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLEKHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAVYNAIFHRTL >Vigun03g059300.6.v1.2 pep primary_assembly:ASM411807v1:3:4855630:4859030:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKNCSHTKKALTAYLIHFFLMLLQAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLEKHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAGRFDIARALEKWGGLNQVSRLLSLKVRRQRSKQGNLDKDKKVEDDVASSPDVYIEIKTPSRPTVSQDPQKWLTELTQLDINWVD >Vigun03g059300.1.v1.2 pep primary_assembly:ASM411807v1:3:4853888:4858984:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSPTSSSTTNLGGAQPLSLRFLSKPPLLSLTLFFPSHSAYKGIAVSSTQCKPRVRCEKEAGNGNHNGVEEENEEVEREVQCEVQVVSWRERRVKAEISVNADIESTWNALTDYEHLADFIPNLVWSGKIPCPYPGRIWLEQRGFQRSMYWHIEARVVLDLQEFINSAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLESKQNTNSRQQTASSGFADDDSYCSAEELSDCNAQSLSQQRRRVPGLQRDIEVLKSEILKFIAEHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAGRFDIARALEKWGGLNQVSRLLSLKVRRQRSKQGNLDKDKKVEDDVASSPDVYIEIKTPSRPTVSQDPQKWLTELTQLDINWVD >Vigun03g059300.5.v1.2 pep primary_assembly:ASM411807v1:3:4853888:4859030:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSPTSSSTTNLGGAQPLSLRFLSKPPLLSLTLFFPSHSAYKGIAVSSTQCKPRVRCEKEAGNGNHNGVEEENEEVEREVQCEVQVVSWRERRVKAEISVNADIESTWNALTDYEHLADFIPNLVWSGKIPCPYPGRIWLEQRGFQRSMYWHIEARVVLDLQEFINSAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLEKHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAVYNAIFHRTL >Vigun03g059300.2.v1.2 pep primary_assembly:ASM411807v1:3:4853888:4858984:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSPTSSSTTNLGGAQPLSLRFLSKPPLLSLTLFFPSHSAYKGIAVSSTQCKPRVRCEKEAGNGNHNGVEEENEEVEREVQCEVQVVSWRERRVKAEISVNADIESTWNALTDYEHLADFIPNLVWSGKIPCPYPGRIWLEQRGFQRSMYWHIEARVVLDLQEFINSAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLESKQNTNSRQQTASSGFADDDSYCSAEELSDCNAQSLSQQRRRVPGLQRDIEVLKSEILKFIAEHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAVYNAIFHRTL >Vigun03g059300.3.v1.2 pep primary_assembly:ASM411807v1:3:4853829:4859030:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSPTSSSTTNLGGAQPLSLRFLSKPPLLSLTLFFPSHSAYKGIAVSSTQCKPRVRCEKEAGNGNHNGVEEENEEVEREVQCEVQVVSWRERRVKAEISVNADIESTWNALTDYEHLADFIPNLVWSGKIPCPYPGRIWLEQRGFQRSMYWHIEARVVLDLQEFINSAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLEKHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEISRFQRSWGMDPSFMPSRKSFERAGRFDIARALEKWGGLNQVSRLLSLKVRRQRSKQGNLDKDKKVEDDVASSPDVYIEIKTPSRPTVSQDPQKWLTELTQLDINWVD >Vigun03g059300.4.v1.2 pep primary_assembly:ASM411807v1:3:4853828:4858984:1 gene:Vigun03g059300.v1.2 transcript:Vigun03g059300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSPTSSSTTNLGGAQPLSLRFLSKPPLLSLTLFFPSHSAYKGIAVSSTQCKPRVRCEKEAGNGNHNGVEEENEEVEREVQCEVQVVSWRERRVKAEISVNADIESTWNALTDYEHLADFIPNLVWSGKIPCPYPGRIWLEQRGFQRSMYWHIEARVVLDLQEFINSAWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNVSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALAFRVERIISGNQKLSLPENDLDKTSSDIYGSCTQKTNSSSSENNKLSPGENKEDLVRSISGTMPLSSSEVNVNNWGAFGKTCSLDRPCVVDEIHLRRFDGLLENGGVHRCVFASITVKAPVSDVWNAMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEYLEQEISFEQVEGDFDSFQGKWTFEQLGNHHTLLKYSVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIENQTASNTLESKQNTNSRQQTASSGFADDDSYCSAEELSDCNAQSLSQQRRRVPGLQRDIEVLKSEILKFIAEHGQEGFMPMRKQLRLHGRVDIEKAINRMGGFRKIAIMMNLALAYKHRKPKGYWDNLENLHDEVNCKSSFLVTTQNLIS >Vigun07g254000.1.v1.2 pep primary_assembly:ASM411807v1:7:37247160:37250348:-1 gene:Vigun07g254000.v1.2 transcript:Vigun07g254000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMKSSKLKLGIPALSFLCSLFFVAGFFVSPLLFQDLDDVGSKSRILQESEKKVCEPVEHGESGESFFDSIPFQILSWRPRALYFPNFTSVEACGQIIEMAKPKLEPSKLALRTGETAESTKDTRTSSGTFISASGDKSGILDMLERKIAKVTMIPRSHGEKFNILKYEVGQKYDSHYDAFNPDEYGTVKSQRMASFLLYLSNVEAGGETMFPYEGGLHIDTDYDYRKCIGLKVKPRQGDGLLFYSLLANGEIDKTSLHGSCPVIKGEKWVATKWIDDKEQ >Vigun07g254000.2.v1.2 pep primary_assembly:ASM411807v1:7:37247160:37250348:-1 gene:Vigun07g254000.v1.2 transcript:Vigun07g254000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMKSSKLKLGIPALSFLCSLFFVAGFFDLDDVGSKSRILQESEKKVCEPVEHGESGESFFDSIPFQILSWRPRALYFPNFTSVEACGQIIEMAKPKLEPSKLALRTGETAESTKDTRTSSGTFISASGDKSGILDMLERKIAKVTMIPRSHGEKFNILKYEVGQKYDSHYDAFNPDEYGTVKSQRMASFLLYLSNVEAGGETMFPYEGGLHIDTDYDYRKCIGLKVKPRQGDGLLFYSLLANGEIDKTSLHGSCPVIKGEKWVATKWIDDKEQ >Vigun11g219500.1.v1.2 pep primary_assembly:ASM411807v1:11:41229285:41231716:1 gene:Vigun11g219500.v1.2 transcript:Vigun11g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSFHGEAAKNSIKCFSRDSSTSDCSHDHKIKSSYLVLSSSPCKRGSFSVSQIPVSSSSSHSDDADHPNKVFVDDDEALFGAVPSPTEIQTALTSLKQVFGCSSHVKDTPLALVDQVDSDLSEADWKEPSLCSYNPSILQADVFDRASFAIHLLQTDACVERMVRSLSSDKSVWDAVQKNQAVQKLRNALNAERDENSDETVDNDSPDTKNVILRMSGAVIAKLMEAIENMTEIVKKLFQSARHKRAAYAGKSNSFKMKLRVSVMLSIMIFLFVVVGRFY >Vigun08g053700.1.v1.2 pep primary_assembly:ASM411807v1:8:6476489:6481398:1 gene:Vigun08g053700.v1.2 transcript:Vigun08g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLRRPSHAGSWYTDNPKQLSEELDGWLQSCGLAKSPEVRGVIAPHAGYSYSGRAAAYAFGNIDPSNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGYQVKIVPILVGAVSAENEAMYGQILAKYVDNPSNFFSISSDFCHWGSRFNYMHYDKKHGPIYKSIEALDKMGMDIIETGDPDSFKQYLLEYENTICGRHPISVFLHMLRNSSTKIKIKFLRYEQSSQCKSDRDSSVSYASAAAKTDG >Vigun04g192300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41655122:41660259:-1 gene:Vigun04g192300.v1.2 transcript:Vigun04g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSNFFNSTFSSFNKKIESEMKEVLQKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNDNHPSIFSIVGMGGLGKTTLAHHVYRDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHALKDDDLELNDDLKEIGRRIVEKCKGLPLALKTIGCLLRTKSSISYWKSVLENDIWELPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDHEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKKTRHFSFAFDDVECFDGFGSFTDAKRLRSFFPYEEFGGRNIDYYPLQFKILVHELFSNFKFLRVLSLDGYSELKEVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCLSLEELPLNLHKLTKLHCIEFENTKVTKMPMHFGELKNLQVLSPVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPVDALEANLKNKDLVELELKWESDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVFLRLEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLESLAFSKMKELEECERKTAAFPRLEYLDVYECPKLKGLPDQLVNVKNLYIRKASCLERCEHTVSHNSLEALTFLVFPIMNISMSRSFDLLEEILIFDSCDSLTTFPLDFFPNLKYLSLCSCRNLQIISQKHTHNRLKHLTIRSCSRFDSFPSEGLSAPQLLFIDIDGVENLKLLPKRIRILLPSLYILNIINCPKVEMFPDGGLPPYVGQVSLSSLKLIASLKETLGTNTFMKRLCIENIDVEFFPDEVLLPHSITSLEICRCPNLKKMEYKGLCHLSYLKLYDCPNLQCLPEDGLPKSISSLRIWSCPLLERRCQNPEGQDWNKIAHIET >Vigun03g228433.1.v1.2 pep primary_assembly:ASM411807v1:3:37975356:37984974:1 gene:Vigun03g228433.v1.2 transcript:Vigun03g228433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIFGFQDVADVVLDGLPELGAKATDEEKRNYKAQQKFDKPTGLRRMLSPTPGSFQDREDLIKHVRDFGANQGYVVTIKKSRKDRRVILGCDRGGVYRNRQKIDESKRKRKATSQLINCPFEAIGKKEEEAWVLTIKNGDHNHEPLKDISEHPYSRRFTEEEVRQIKQMTKAGIKPRQVLKALEQSNPDMQSTPRHLYNLKAKIRQGNLSGKFVGQCTVSFIPL >Vigun08g172900.1.v1.2 pep primary_assembly:ASM411807v1:8:34361495:34364400:-1 gene:Vigun08g172900.v1.2 transcript:Vigun08g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLTVSTLLLSLTLLTLADGGSIGVNYGRIANNLPSAVKVVHLLKSQGLNRVKVYDTDPAVLRALSGSGIKVTVDLPNMQLFAAAKAPSFASSWVERNVVAYYPHTQIEAIAVGNEVFVDTHNTTKFLVPAMKNIHQALVKHNLDSDIKVSSPIALSALANSYPSSSGSFRPDLVEPVFKPMLEFLRQTGSYLMVNVYPFFAYESNADVIPLDYALFRENPGVVDPGNGLRYYNLFDAQIDAVFSALSALKYDDVNIVVTETGWPSKGDSNEVGASVENAAAFNGNLVRKILTGGGTPLRPKADLTVYLFALFNENQKPGPTSERNFGLFNPDETRVYNVPLTQDELKDYHDHPSPVKGSSPKQNTPAPAPVVSGGVSKSTTGNTWCVANPDADKVKLQAALDFACGEGGADCAPIQHGATCYDPNTVVAHASFAFNSYYQKQSRKGGSCYFGGTSYVVTQEPRYGNCVFPTGY >Vigun08g145400.2.v1.2 pep primary_assembly:ASM411807v1:8:31851439:31852978:1 gene:Vigun08g145400.v1.2 transcript:Vigun08g145400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVNKPQSLPPYPELILKALEALNEPSGSSKSAISKYIESTYGELPDSTVLGNQLNKMKDSGELVFSRNNYLKADPSAPPKRGRGRPPKAKAPVPPGTVVSPPRPRGRPPKDPNAPPKSPKPKPTPGSGRPRGRPKKIPRSPAAASVSPAAVSSGRPRGRPPKVKPQLTEVSVEL >Vigun08g145400.1.v1.2 pep primary_assembly:ASM411807v1:8:31851622:31853432:1 gene:Vigun08g145400.v1.2 transcript:Vigun08g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVNKPQSLPPYPELILKALEALNEPSGSSKSAISKYIESTYGELPDSTVLGNQLNKMKDSGELVFSRNNYLKADPSAPPKRGRGRPPKAKAPVPPGTVVSPPRPRGRPPKDPNAPPKSPKPKPTPGSGRPRGRPKKIPRSPAAASVSPAAVSSGRPRGRPPKVKPQLTEVSVEL >Vigun05g016900.1.v1.2 pep primary_assembly:ASM411807v1:5:1397119:1405956:1 gene:Vigun05g016900.v1.2 transcript:Vigun05g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEITYASPSFYDLPAAAASTMPSSMTGARPVRIIPLQHPTATSSSSSPSANVAFARWTEKLRRMTWLEWLEFFLPCLRWIRIYKWREYFQVDLMAGITVGVMLVPQSMSYAKLAGLEPIYGLYSGFVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLSGITDSSGELYTELAILLSLMVGIMECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFVGYDIDRSSKIIPVVKSIIDGADKFSWPPFVMGSIMLTILLVMKHLGKSRKYLRFLRAAGPLTAVVLGTLFAKVFHPPSISLVGDIPQGLPKFSVPKAFEYAKSLIPTAILITSVAILESVGIAKALAAKNGYELDSNQELFGLGVSNVLGSFFSAYPTTGSFSRSAVNHESGAKSGVSGLVSGIIMICALMFLTPVFEYIPQCTLAAIVISAVIGLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYSYNGIVIVRVDAPIYFANTSFIKDRLREYEVHVDSSKNRGPEVERIYFVILEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPSPEVLLTFSKSGLVELIGKEWYFVRVHDAVQVCLQHVQSLKAGPDSSLTPLSSFEDKPSLFARLSKDRAEKFSVTDLESGNGRPPHPKDRDSQLEPLLPKER >Vigun05g016900.2.v1.2 pep primary_assembly:ASM411807v1:5:1397028:1405381:1 gene:Vigun05g016900.v1.2 transcript:Vigun05g016900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEITYASPSFYDLPAAAASTMPSSMTGARPVRIIPLQHPTATSSSSSPSANVAFARWTEKLRRMTWLEWLEFFLPCLRWIRIYKWREYFQVDLMAGITVGVMLVPQSMSYAKLAGLEPIYGLYSGFVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLSGITDSSGELYTELAILLSLMVGIMECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFVGYDIDRSSKIIPVVKSIIDGADKFSWPPFVMGSIMLTILLVMKHLGKSRKYLRFLRAAGPLTAVVLGTLFAKVFHPPSISLVGDIPQGLPKFSVPKAFEYAKSLIPTAILITSVAILESVGIAKALAAKNGYELDSNQELFGLGVSNVLGSFFSAYPTTGSFSRSAVNHESGAKSGVSGLVSGIIMICALMFLTPVFEYIPQCTLAAIVISAVIGLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYSYNGIVIVRVDAPIYFANTSFIKDRLREYEVHVDSSKNRGPEVERIYFVILEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPSPEVLLTFSKSGLVELIGKEWYFVRVHDAVQVCLQHVQSLKAGPDSSLTPLSSFEDKPSLFARLSKDRAEKFSVTDLESGNGRPPHPKDRDSQLEPLLPKER >Vigun08g094900.1.v1.2 pep primary_assembly:ASM411807v1:8:22542780:22556835:1 gene:Vigun08g094900.v1.2 transcript:Vigun08g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELEETDERSPRAVGKIEGDLSEEHREKHSVRAETQNVDCDCSEFGNDQHIGHDALKFDDEQHSMPNRVDEVIDVELNIQEERKDNVAGESQLGRRLGDSCDLLCGPQQENLETGTVPDDLFQKDHDSDSMESGIEVSIVGQECSGVKGDSGDFEPKSQEVSLDNSFVRQGLFIEKDDSRSVGSAQMNQKTENTSEGNNLEPDVGGAVVPGTEAGCSTSMDTLHTNPENLRGVEKTKSLRDFVKEKSIIAVSMVLRRLSVKRDEYTVVKSDDMGKDPSYLSRESDTKEVPEKTVEKTIWNPLNYIKTSSVDAENRTVSREEPINEGPSLPIPMKGRIILYTRLGCPECKEVRKFLYMKRLRYVEINIDVFPSRKMELEKNSGSTSIPKVFFNGILIGGLSTLKSLSESGKLNEKIDYLINEAPSFECPVPPLSGEDDVSSRGALDEMALIVRKMKECIVVKDRFCKMRRFTNCFVASDAVDFLSEDQLLERKEAVEFARKLASKLFFQHVFDENLFEDGNHLYRFLDDDPTVASFCYNIPRGIITVKPKPMTEVASRLRLLSYAMFEAYASEDGRHVDYRSMHGSEEFARYLRIIEELQRGEVCDLSREEKLAFFINLYNMMTIHAILVWGHPSGALERRKLFEDFQYVIGGFTYSLSAIQNGILRANQRQPYTLMKPFGAKDKRLRVALPFPESLIHFALVYGTRSGPSLRCYSPGDIDRELMDAARNFLRNGGLSIDFTAKVAHATKILKWFSVDFGRNEAEVLKHVSSYLHPADSELLLDLLATSELKVIYQPYDWGLNC >Vigun03g338300.1.v1.2 pep primary_assembly:ASM411807v1:3:53610093:53617153:1 gene:Vigun03g338300.v1.2 transcript:Vigun03g338300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDSILVRTEKPPKSSSIPSPSSGNLPNEQLQMEVSCKILRTSIHSFLRNYHYFTSTAAFLAFPFSASILLSQALVPTPSSLLPQIYTRLRILFDAAGFPSSSQFFTILNLKVSQTITSSFLTLPFSLTFLLIAKAFIIQALNHHKPTLHPSFNSLLSLYKPLLHTFFCNCFLILSANATSFGLMFLAFSFIESFSYFSSPGNLILFVSVSGAVLFSVILANALVICNMALALSGMEGHGGYFAILKACLLLRGRTSMALFLALPVNVALAAIEALFQFRIVRPYHVAGIAGPCVALEGIFIAYLYSIFIILDTIVSCIFYKSVKTGLWIHQEDKYLFRIGFPDEDQYGYMSTEELP >Vigun10g189400.2.v1.2 pep primary_assembly:ASM411807v1:10:40448522:40451121:1 gene:Vigun10g189400.v1.2 transcript:Vigun10g189400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDFCDGVGIARLLNATLVLPKFEVASYWNETSGFADVYDVDYFIQHMNGFVKVVKELPPEVASKEPVPVDCSKRKGQFDYVESVLPSLLQHKYISITPAMSQRRDRYPLYAKAALCQACYKALRLSRSLEMKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYKGLSPASMKAIEEAQIDRKPWTGDLARIWRLRGKCPLTPNETAVVLESLSIPPTTNIYLAAGDGLMEMEGLTETYANIFTKSSLLSREDFTNMHGNTKAALDYYVSINSDSYVATYFGNMDKMVAAMRAFKGLYKTLFLSRRGFAELTSKGLRGKELMAALWKVHRDDFAIGRGSALPECFCEFKL >Vigun10g189400.1.v1.2 pep primary_assembly:ASM411807v1:10:40448522:40451121:1 gene:Vigun10g189400.v1.2 transcript:Vigun10g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSVKPFFSVLLVSLSLLFLLVLLSPPSLLSQIPISGGEVVGIWNVRRLVEWRPCNWWLQGQQTALPLETNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVASYWNETSGFADVYDVDYFIQHMNGFVKVVKELPPEVASKEPVPVDCSKRKGQFDYVESVLPSLLQHKYISITPAMSQRRDRYPLYAKAALCQACYKALRLSRSLEMKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYKGLSPASMKAIEEAQIDRKPWTGDLARIWRLRGKCPLTPNETAVVLESLSIPPTTNIYLAAGDGLMEMEGLTETYANIFTKSSLLSREDFTNMHGNTKAALDYYVSINSDSYVATYFGNMDKMVAAMRAFKGLYKTLFLSRRGFAELTSKGLRGKELMAALWKVHRDDFAIGRGSALPECFCEFKL >Vigun04g034100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2826010:2828175:1 gene:Vigun04g034100.v1.2 transcript:Vigun04g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMRPVPPIFQFGQILGSLTKMKHYSTTISLIKQMELKGIQSNFVILNILINCFCHSDQMPFAFSIFGKILKLGYHPGIITLSTLIKGLCLKGEVRKALNFHDKVVAQGFRLNEVTYGMLIDGLCKIGETEAAIKLLRTVEGGLSKSNVIMYATIIDSLFKDNLAKEAYDFLNKMISNNIRPDVYIYSIMIDALCKEGKITEAKNVLAVMVKAGVKPSRVTLNILMDGYCLVKEVKSAKHIFNDMIRIGVTPDVHSYNIIINGLCKNKRVDEAMNLFRDMDTRNMVPDTVTYSTLIDGLCKSGRIAYVWDLIDEMHDRNQQQDVITYNCLLDVLCKNYHLDKAYELLKNMMEKGIQPDMYTWNILIDGMCRGGRVEKAQEIFHDLLIKGYPLNVYSYSIMINGFCRKGLLDEALSLWSKMEDNGCLPSAVTFEIMIMALFEKDETDKAESFLREMISRGLL >Vigun04g034100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2826008:2828175:1 gene:Vigun04g034100.v1.2 transcript:Vigun04g034100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGTTLRFCLSGSISKFAPFLPNPSFPFSFHFHSHSPLLDHDNVHGAAVSQFDSMLHMRPVPPIFQFGQILGSLTKMKHYSTTISLIKQMELKGIQSNFVILNILINCFCHSDQMPFAFSIFGKILKLGYHPGIITLSTLIKGLCLKGEVRKALNFHDKVVAQGFRLNEVTYGMLIDGLCKIGETEAAIKLLRTVEGGLSKSNVIMYATIIDSLFKDNLAKEAYDFLNKMISNNIRPDVYIYSIMIDALCKEGKITEAKNVLAVMVKAGVKPSRVTLNILMDGYCLVKEVKSAKHIFNDMIRIGVTPDVHSYNIIINGLCKNKRVDEAMNLFRDMDTRNMVPDTVTYSTLIDGLCKSGRIAYVWDLIDEMHDRNQQQDVITYNCLLDVLCKNYHLDKAYELLKNMMEKGIQPDMYTWNILIDGMCRGGRVEKAQEIFHDLLIKGYPLNVYSYSIMINGFCRKGLLDEALSLWSKMEDNGCLPSAVTFEIMIMALFEKDETDKAESFLREMISRGLL >VigunL032700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:234207:241080:-1 gene:VigunL032700.v1.2 transcript:VigunL032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKIERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLYYTKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun02g011200.2.v1.2 pep primary_assembly:ASM411807v1:2:4407960:4412537:1 gene:Vigun02g011200.v1.2 transcript:Vigun02g011200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRKLRPSSAPVAAVKTEDSINSSYEYSIAMVYNGPPLCYSIPEIPAFKIDQIPVATIASLSHDDFSVPVIQPLSKSLNKRKQNPCSPDSAVPQNLDSRTIDSPALLSGTDGDDDMHESLETLKVPCARDGAILNITLDTTESGPGSGSGSTSLFASSDGICSLGEEEQATSPKHVKRVSAVTFCDPESNYMESDSDEFGDSQVESVPVMERAVRPGKKGSCYKCLKGNRFTPKEVCIVCSAKYCRSCVVRAMGSMPQGRKCVTCIGYRIDERKRRKLGKSSRMMKQLLSELIVSEVMDNEKSCEENQIPPELVFVNSQPLDREQLLLLLNCRNPPKHLKPGSYWYDKASGFWGKEGQPPSEVISPQLVVGGRLDKYASNGDTNVIINDRLITKKELWVLKLAGVPCEGTPNFWVNADGSYREEGQKNDRGCIWEKRTARLACAVLSLPVPSKSATLSCEGETPNKDSLPRKILHKFLLVGSVNSGACTIFKQAKLLYNVPFSENELENIKLVIQSNLFSYLGILLEGRANFETEFLLKNRRERPVAESTSSENISSDEVETTPYSIGPRLKAFSDWLLKYMVSGNLDAIFPAAIREYGPLVEGVWKDKAIQATYDRRNELEMLPRSANYFLDRAVEISKTDYKPSDMDILYAEGISLPKSLTSMEFSFPKLSTEDSLHADYQHDSSLRYQLIRLHPKSLGPKCKWLEMFEDTDVVIFSVALSDYDEYITDSEGVSTNKMMVAKALFENIIAHPAFHNKKFLLVLTKFDLLEEKIEDVPLRKCEWFSDFKPFISPNMKKGCSNGNNPLLAQSAFQYIAVKFKRLFHSLTHRILFVSLVNGLEPDTVDEALRNGREVMEWEKWDPSIVTDPKSEITSTSIEEPSYT >Vigun02g011200.1.v1.2 pep primary_assembly:ASM411807v1:2:4407960:4412537:1 gene:Vigun02g011200.v1.2 transcript:Vigun02g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRKLRPSSAPVAAVKTEDSINSSYEYSIAMVYNGPPLCYSIPEIPAFKIDQIPVATIASLSHDDFSVPVIQPLSKSLNKRKQNPCSPDSAVPQNLDSRTIDSPALLSGTDGDDDMHESLETLKVPCARDGAILNITLDTTESGPGSGSGSTSLFASSDGICSLGEEEQATSPKHVKRVSAVTFCDPESNYMESDSDEFGDSQVESVPVMERAVRPGKKGSCYKCLKGNRFTPKEVCIVCSAKYCRSCVVRAMGSMPQGRKCVTCIGYRIDERKRRKLGKSSRMMKQLLSELIVSEVMDNEKSCEENQIPPELVFVNSQPLDREQLLLLLNCRNPPKHLKPGSYWYDKASGFWGKEGQPPSEVISPQLVVGGRLDKYASNGDTNVIINDRLITKKELWVLKLAGVPCEGTPNFWVNADGSYREEGQKNDRGCIWEKRTARLACAVLSLPVPSKSATLSCEGETPNKDSLPRKILHKFLLVGSVNSGACTIFKQAKLLYNVPFSENELENIKLVIQSNLFSYLGILLEGRANFETEFLLKNRRERPVAESTSSAENISSDEVETTPYSIGPRLKAFSDWLLKYMVSGNLDAIFPAAIREYGPLVEGVWKDKAIQATYDRRNELEMLPRSANYFLDRAVEISKTDYKPSDMDILYAEGISLPKSLTSMEFSFPKLSTEDSLHADYQHDSSLRYQLIRLHPKSLGPKCKWLEMFEDTDVVIFSVALSDYDEYITDSEGVSTNKMMVAKALFENIIAHPAFHNKKFLLVLTKFDLLEEKIEDVPLRKCEWFSDFKPFISPNMKKGCSNGNNPLLAQSAFQYIAVKFKRLFHSLTHRILFVSLVNGLEPDTVDEALRNGREVMEWEKWDPSIVTDPKSEITSTSIEEPSYT >Vigun10g197800.1.v1.2 pep primary_assembly:ASM411807v1:10:41073245:41079875:1 gene:Vigun10g197800.v1.2 transcript:Vigun10g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVQPSDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLVENKVSEDGFLVVMLSKSKASGSAAAPSVQPASTPATTVSTSNSNPAPDPPVQTQAANNSTSSTHAPTTNVSADTYGQAASNLVAGSNLEQTIQQIIDMGGGNWDRDTVTRALRAAYNNPERAIDYLYSGIPEAAEVAVPVPNYPGSQTTETAGIPSGAVPVGPNSSPLNMFPQETISSTGAGLGSLDFLRNNPQFQALRSMVQSNPQILQPVLQELGKQNPGLLRMIQEHHGEFLQLINEPVEGSEGDIFDQPEQDMPHAINVTPAEQEAIGRLEAMGFDRALVIEAFLACDRDEQLAANYLLENGDFEED >Vigun11g021932.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2663027:2667089:1 gene:Vigun11g021932.v1.2 transcript:Vigun11g021932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALCGAVLQVLLDKLDSHKVVDYFRGRKLDEKLLKYLRRKLVSINAVVDDAELKQFVDTYVKSWLDEVRDVLFDAEDLLDEIDYEFSKSASELEYRSSASKVSSLESKLKEVVDDLESLLNQKDDLGLKNAASVRSELGNKVLERKNESSSLVAEDVIYGRDDDKEIILNWLTSDSNNHNRLSILSIVGMGGMGKTTIAQHVYNDPKMKEVRFDEKAWVCVSDEFDVLKVSKAIIGAFTKVKDDSETIEMVHGKLKEKLTGRKFLLVLDDVWNEDRKDWKTLKTPLRCGAKGSKITRSHKVASIMQSTYIHHLKQLDEDHSWQVFAKHAFQDENSRLNYELKEIGMKIVGKCKGLPLGLETLGCLLHTKSFTSEWEGVLRSEIWDLAIEESKIIPALLLSYYHLPSHLKRCFAFCALFPKDHKFDKESLILLWMAQNFLQCSQRSKSPEEVGEHYFNDLLSRSFFQPSLIYNYPCFVMHDLLNDLAKYVSGEMCFRLGVDRAERVPKTIRHFSTVIDPVRCHKSLCDAKGLRTFISIRGGCGMALQELISNFKFLRLLSLPWCCNIKEMSDSIGNLIHLRSLDLSNTKIKEVSDTLGNLIYLCSLDLSGTRIERLPNSTYLPCNLQVLKLNKCLYLKELPSTLHELTNLCRLELVGTTLRKDPLHLGKLENLQLWMDRFEVGKSNESIIQLGEIDLHGKLSIRNVENIVNPCDALLVNLKDKTHLKLLQTFCLTERGFGNQPFPRIFVYWRCGCGAFSG >Vigun03g441900.1.v1.2 pep primary_assembly:ASM411807v1:3:64451266:64459305:1 gene:Vigun03g441900.v1.2 transcript:Vigun03g441900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLLKPTCGGCGSTTDLYGSNCKHMTLCLTCGKSMAENKAKCVDCGVTVTRLIREYSVRATSGSDKHYFIGRFVSGLPDFSKKKSAENKWALQKEGLQSRQVTDALREKYKNKPWLLEDETGQSQFQGHLEGAQSATYYLLMMERKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYERWMMKAANNGPAAFGERGRFDEKESIAGGRGKSSKKTDDDEGHVSDKGEEDEDGEVGRRSRLGLNKKGGDDDDEGPRGGDLDLDDDDIEKGDDWEHEEIFTDDDEAVGNNPEEREDLASEVPAPPEIKQDDEDEDEENEEGGGLSKSGKELKKLLRTSSLNESDAEDDDYDDDVDDEAGLPPVIVSKQKGAPKEEPTDNSPSKPAITGTVRGTVSTSKPSKGKRKFNEETKSTNGAPPKKVKIENEPKSTVKDENGSASKNNAPAKGVPPAPSSKGGSSPIVSGPVSEEEIRAVLMQKTPLTTQDLVAKFRARLRSPEDKKAFADILKRISKIQKTNTSSYVILRDR >Vigun03g385200.12.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59064914:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSKLWLRLEVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.6.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59070438:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSESGEFWGCFGGFAPLPRKTVSDDDKFADSHPPELLCVDKGKTEPVETDSLTKELLETNKCYILDCGLEVYVWMGRNTSLDVRKSASGAADKLVSGTGRPKSHVVRVIEGFETVMFKSKFDSWPQASQVMSEDGRGKVAALLKRQGLDVKGLLKSEPVKEEPQAYIDCTGHLQVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.11.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59064914:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSKLWLRLEVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKVGSGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.5.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59070438:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSESGEFWGCFGGFAPLPRKTVSDDDKFADSHPPELLCVDKGKTEPVETDSLTKELLETNKCYILDCGLEVYVWMGRNTSLDVRKSASGAADKLVSGTGRPKSHVVRVIEGFETVMFKSKFDSWPQASQVMSEDGRGKVAALLKRQGLDVKGLLKSEPVKEEPQAYIDCTGHLQVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKVGSGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.1.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59074445:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFKGAGQKDGLEIWRIENFNPVPIPQSSYGKFFTGDSYMILKTTASKSGALRYDIHYWLGKGTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGAASGFKHVEAEKHTTRLFICKGKHVVHVKEVPFARSSLNHDDVFILDTESKIFQFNGSNSCIQERAKALEVVQFIKDTYHDGKCEIASVEDGKLMSDSESGEFWGCFGGFAPLPRKTVSDDDKFADSHPPELLCVDKGKTEPVETDSLTKELLETNKCYILDCGLEVYVWMGRNTSLDVRKSASGAADKLVSGTGRPKSHVVRVIEGFETVMFKSKFDSWPQASQVMSEDGRGKVAALLKRQGLDVKGLLKSEPVKEEPQAYIDCTGHLQVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKVGSGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.10.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59065378:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSKLWLRLEVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.2.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59074445:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFKGAGQKDGLEIWRIENFNPVPIPQSSYGKFFTGDSYMILKTTASKSGALRYDIHYWLGKGTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGAASGFKHVEAEKHTTRLFICKGKHVVHVKEVPFARSSLNHDDVFILDTESKIFQFNGSNSCIQERAKALEVVQFIKDTYHDGKCEIASVEDGKLMSDSESGEFWGCFGGFAPLPRKTVSDDDKFADSHPPELLCVDKGKTEPVETDSLTKELLETNKCYILDCGLEVYVWMGRNTSLDVRKSASGAADKLVSGTGRPKSHVVRVIEGFETVMFKSKFDSWPQASQVMSEDGRGKVAALLKRQGLDVKGLLKSEPVKEEPQAYIDCTGHLQVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g385200.9.v1.2 pep primary_assembly:ASM411807v1:3:59057587:59065378:-1 gene:Vigun03g385200.v1.2 transcript:Vigun03g385200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTSKLWLRLEVWRVNSKEKILLPATDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWVGKNSIEEERASALSLASKMVESMKFIPCQVRIYEGSEPIQFHAILQSCIVFKGGLSDGYKNYIAEKEIPDETYSEDGLALFRIQGFGPDNMQAIQVEPVASSLNSTYCYILHSDSTVFTWSGGLATSDDQELVDRMLDLIKPDLPCKSHKEGVESELFWDLLGGKSEYTSQKIDRDEESDPHLFSCDFSKGNLKVKEIYNFSQDDLMTEDIYILDCHSEIFVWVGQQVDPKSKMQALTIGEKFLEHDFLLEKLSRETPIYIVMEGSEPPFFTRFFKWESAKSAMLGNSFQRKLAILKNGGAALVLKHKRRASVSYGGRSGGVPDKSQRSRSMSVSPDRVRVRGRSPAFNALAANFENTNTRNLSTPPPMVRKLYPKSGAQDTVKPASKFSAISHLTSSFELPSARENLIPRSQKVGSGTSISNPETTTEGVSMSKRIESLTIKEDVQEGEAEDDESVPVYPYDRINTDATDPITDIDVTKREAYLSPAEFQEKLGMAKNEFSKLPKWKQNKLKMAARLF >Vigun03g226100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37599869:37601242:1 gene:Vigun03g226100.v1.2 transcript:Vigun03g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKDAFDRVTKKQKLSCSKTQEAIDQIRQEIESVLDTIQSVNNADHELDYKTVMNELKASLLKAAPLSQMEGTQKELNVALNKYGKLLEKYFNPDISKAYRNIDIDIHTLNQIIANHFYRQGLFEIGDNFMNVVGEPESAAIMKSPFLEMYQILEAMQNQNLEPALNWAVTNSDKLDLSGSDIVLKLHTMQFVKVVQNGSREEALSYARTHLSPFASRYMTEIQKLMGCLLWTGKLDRSPYHALLSPSNWDKLAEELKRQFCNLLGQSYNSPLSVTVAAGIQVLPSLLKFMNVMAGKKHEWQSMNQLPVPVELDSEFQFHSIFVCPVSKEQATGDNPPMLMACGHVLCKQSILKISKNCTKVFKCPYCPFDIDAGQCRQLYF >Vigun09g087400.2.v1.2 pep primary_assembly:ASM411807v1:9:11856576:11860861:-1 gene:Vigun09g087400.v1.2 transcript:Vigun09g087400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAFTLSPSLSLPLRNTSLNASRPSLPVRLFAKHTEGVNSNGASSTSFLRRSWTLSSSSSFKFRPLPPSPPRAAENAVPDSAPAENSLFKTLELGSLFGLWYLFNIYFNIYNKQVLKAFHFPVTVTVVQFAVGTVLVAFMWGLNLYKRPKISGAMLGAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEFPTPWVVGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKKEDSMDNITLFSIITVMSFFLLAPVAVFMEGVKFTPAYLQSAGLNVKQLYIRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPVNAFGTAIALAGVFLYSRVKRIKSKPKTA >Vigun09g087400.1.v1.2 pep primary_assembly:ASM411807v1:9:11855656:11860861:-1 gene:Vigun09g087400.v1.2 transcript:Vigun09g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAFTLSPSLSLPLRNTSLNASRPSLPVRLFAKHTEGVNSNGASSTSFLRRSWTLSSSSSFKFRPLPPSPPRAAENAVPDSAPAENSLFKTLELGSLFGLWYLFNIYFNIYNKQVLKAFHFPVTVTVVQFAVGTVLVAFMWGLNLYKRPKISGAMLGAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEFPTPWVVGSLVPIVGGVALASVTEASFNWAGFWSAMASNVTNQSRNVLSKKVMVKKEDSMDNITLFSIITVMSFFLLAPVAVFMEGVKFTPAYLQSAGLNVKQLYIRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPVNAFGTAIALAGVFLYSRVKRIKSKPKTA >Vigun09g113533.1.v1.2 pep primary_assembly:ASM411807v1:9:24913456:24914498:1 gene:Vigun09g113533.v1.2 transcript:Vigun09g113533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINEVYVRITLQVEPGHTILVHAAADGVGSLLCQWANALGATVIGIVSNKEKAAQAKEDGCHHVIIYKEEDFVARIKGITSGNGVEVVYIPLRDLWHA >Vigun09g150500.22.v1.2 pep primary_assembly:ASM411807v1:9:31463511:31467224:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFANPPEFYYFPTLQFEPFGGMPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRPGVRITAEGWLMGSAKAAELLASKEEGCRTARLLTTAELLMMAIERVVMFTKQVATAMKGIVMVT >Vigun09g150500.15.v1.2 pep primary_assembly:ASM411807v1:9:31463511:31466849:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRPGVRITAEGWLMGSAKAAELLASKEEGCRTARLLTTAELLMMAIERVVMFTKQVATAMKGIVMVT >Vigun09g150500.25.v1.2 pep primary_assembly:ASM411807v1:9:31464083:31467224:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFANPPEFYYFPTLQFEPFGGMPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRVCALISCDVTF >Vigun09g150500.26.v1.2 pep primary_assembly:ASM411807v1:9:31464338:31467224:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFANPPEFYYFPTLQFEPFGGMPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQLSWGWFVWQNSSTVLSFLSGSIVCLS >Vigun09g150500.23.v1.2 pep primary_assembly:ASM411807v1:9:31463511:31467224:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFANPPEFYYFPTLQFEPFGGMPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRPGVRITAEGWLMGSAKAAELLASKEEGCRTARLLTTAELLMMAIERVVMFTKQVATAMKGIVMVT >Vigun09g150500.27.v1.2 pep primary_assembly:ASM411807v1:9:31465299:31467224:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFANPPEFYYFPTLQFEPFGGMPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQVSSDFIVGFVGSGVQGPGSHL >Vigun09g150500.20.v1.2 pep primary_assembly:ASM411807v1:9:31463511:31467080:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVSFPHVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRPGVRITAEGWLMGSAKAAELLASKEEGCRTARLLTTAELLMMAIERVVMFTKQVATAMKGIVMVT >Vigun09g150500.24.v1.2 pep primary_assembly:ASM411807v1:9:31463511:31467080:-1 gene:Vigun09g150500.v1.2 transcript:Vigun09g150500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLTRAPPPAIFFPVVETPLANTIVIQIDYYFSDANLVRDEYLRSNMDEQGWVPISLIVRSLRSNIKLIRETVEVQCSGLEFDDIFMTFSRLRMQTLLVKSMTRPGVRITAEGWLMGSAKAAELLASKEEGCRTARLLTTAELLMMAIERVVMFTKQVATAMKGIVMVT >Vigun09g247850.1.v1.2 pep primary_assembly:ASM411807v1:9:41561881:41562533:1 gene:Vigun09g247850.v1.2 transcript:Vigun09g247850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILQEEDAGKWVYRGEGAANLVLAYTGSFPTFKSSVSRTAPFKVQAKATCKSMITSSICT >Vigun06g009800.1.v1.2 pep primary_assembly:ASM411807v1:6:4571617:4574345:-1 gene:Vigun06g009800.v1.2 transcript:Vigun06g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILRLTISILCFSMLASTLLPMCDAMYHGRGITGHRIHKYTLIKSSKKLKVKSLKRLNKYSPFDSFGFSDFNSHGFPSSLPLPPYNSLAPSTSIAPSLAPPSIGGIGNPSHPAFSPLPSQASHGPPSPRSIVTSPPPPYISSSPPKHSPSPLGPPKSVPSLSPSPLVYLPPVVFPPPPSPSAHRKRPPQYALWCVAKPTVPDPIIQEAMDYACGSGADCKSIQPNGLCFQPNTLLSHASFAFNSYWQNTKIGGGTCDFGGTAMLVTVDPSYDKCNFMLT >Vigun01g045600.1.v1.2 pep primary_assembly:ASM411807v1:1:6842880:6852862:1 gene:Vigun01g045600.v1.2 transcript:Vigun01g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKPDAQLFQLLSNLLLQVEELTNQEEVELRSKIETLGVEVTKVPSKSTQHLNEVEIAKELDKLSARLDDLDEMISTSMASDPQVQSLLSDTADVWMPVITATSEERRNFTAVTGDNTAQPDAEKSQ >Vigun03g016200.1.v1.2 pep primary_assembly:ASM411807v1:3:1133716:1141136:-1 gene:Vigun03g016200.v1.2 transcript:Vigun03g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSETINIAASTQKLDVDNRLALRFYYRIADNILKQADIFRAENNIVDLYVMLLRFSSLVSETIPRHRDYRSSPQIKKEILRKKLLNSLNELEKLKPKVQQKINEFNNSRRAYHHHNGLENCYSNNLMVSPVKKQSLASYDRTKAIVPTTGAFVYHGPRGQQFSSVRPVEENMRRLSLSLLRPKEETLSRHSILGPNGLKGQWRPPASDQGVRYPTIIDLSPVEIPSLQQPSEDGSLSEKDNSISEQQRSDVESFLTPSDNFQEKRADEAPSLISFEATEIPTPIQVIRQPSPPPVLAEVQDLIPAVSPHVIEEGCIKEIPTSDSIVRGESPLQLHISTSMMKSFMELAKSNTDKNLETCGILAGLLKNRKFYITALIIPKQEATSSSCQATNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPTDSSRSHGIFRLTTPGGMSVIRQCQQRGFHPHNQPPDGGPIYDTCTDVYMNPDLKFDVIDLR >Vigun10g096400.1.v1.2 pep primary_assembly:ASM411807v1:10:28315495:28317515:-1 gene:Vigun10g096400.v1.2 transcript:Vigun10g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARVPLLLLLGILFLASVSVSFGIVHHEHQESQQESREQNNPFYFSSDRWFHTLFKNQYGHLRVLQRFDQRTKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSFILEQGHVQRIPAGTTFFLVNPNDNDNLRIIKLAIPVNNPHKFQDFFLSSTEAQQSYLQGFSKNVLEASFDSEFKEINRVLFGEGQQQQGEESQEEGVIVELKREQIRELLKHAKSGSRKALSSSQNEPLNLRNRKPIYSNKFGRWYEITPEKNPQLQDLDVFISSVDMKEGGLLVPHYNSKAMVMLVMNRGEANIELVGQNQNQQQQKQHQQEDEEEESWEVQRYSAELSEDDLFIIPATYPVAINATSNLNFFAFGINAENNQRYFLAGEEDNVISEIPTEVLDLTFPASGEKVEKLIKKQSESYFVDARPDEEQKDRSKGRNGPFVY >Vigun09g154900.1.v1.2 pep primary_assembly:ASM411807v1:9:32023314:32025604:-1 gene:Vigun09g154900.v1.2 transcript:Vigun09g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVSSTFESERDPVIYVHTVSEAGRLLPSASRWNSIALDFKLAPHSSTAYESIPSQYPKSVDYNLVITDRKHFHCFLFVLVTIILAILAAVLLVHFLPQKHKHQGSSIDLKVAINQALTFYDAQKSGHYPRNSPVKFRGDSGLKDGDSANNDLTGGFYDSGNNIKFTFTTAYTMTLLSWTVMEYQSKYADIGELDHVRDIIRWGSDYLLKVFTPPNSADGSNLMLYSQVGSTISINNEPNDLSCWQRPEDMKYERPVSICNGSATDLAGEIVAALSAASMVFKEDRDYSKRLKDAAEGLFGAIPTKQGTHTMVDACGKQATMLYNSTSYQDELAWGATWLFLATANTNYLEIATETFFSAKSSESSVDKGVVYWNNKLNAVEVLLTGIRYFRDPGFPYEDVLKLSSNSTDALMCSYVFNKYFSRTPGGLIILKPDYEPLLQFAATASFLSKLYSDYLDHLKMSSASCKTDTFSVKMLRDFATSQVNYILGQNPLQMSYLVGYGDRYPVQVHHRSASIPWNNQPYNCDDGKKWLNSKDPNPQVLLGAMVGGPDTNDNFMDQRTNQKFTEPNIASNAGLVAALIALQDPPYSSRDLKSTLWGWT >Vigun04g189500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41356023:41359553:-1 gene:Vigun04g189500.v1.2 transcript:Vigun04g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSGFLQVAFDRLASPQVVQFFRGRKLDEKLLSKLNIVLHSINDLADDAEQKQFRNPHIKAWLFAVKDVVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFSSFNKKIESEMKDVLEKLEYLAKQKGALGLKEGIYSGDGSGSKESQKLPSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDPKIEEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKEKISGRKFLLVLDDIWNERREEWEAVRTPLSCGAPGSRIIVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKNDDDELNDERKEIGKRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLSKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQHINNVEEIGEQYFEDLLTRSFFLQSSIKTRFSMHDLLNDLAKYVCANFCFRFKFDKGNFVPNTTRHFSFRLEDARCFDGLGSLTDAKRMRSFLPIRETATTKSYHYKLPCQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTYIQKLPESISVLYNLLILKLNGCSYLEELPSNLHKLTKLHCLEFEDTKVTKMPLNFEELKNLHVLNMFLVDRNGESSVKQLGGLNLHGKLSINEVQNIVNPLDALEANLKDKHLVELQLEWKSNHVCDDSEKEKKVLENLQPSKHLEHLSITNYGGTQLPSWVFNNSLSNLVFLRLKSCKYCVCLPSLGLLSSLKTLEIIGLKGIYSIGVEFYGSNSSFMSLETLNFIDMKEWEEWECKTTSFPRLQHLFVNRCPKLKGLSNQLLHLKHLVICYCDKLIISETNMDTRSLEFLKLNSCPLVSIPTTHYNLLKEMSIDDGCDSLTTFSLDFFPNLCYLYLRRCRNLQRISQEDTHNHLQRMTIAVCPQFESFPSEGLSAPQLVSFEIFGAQNLKLLPKHMQVLLPSLNQLWIIDCPQVEMPPDGGLPSNVKHMHLSNVKLMASMRVNLDNTLQIGKLDVECFPDEGLLPRSLTTLLITESPNLKRLNYKGLCHLSSLTLNGCTNLECLPEEGIPKSISSLTIWGCPLLQKRCQNPEGKDWEKIAHIQQLRVGKWE >Vigun10g183600.1.v1.2 pep primary_assembly:ASM411807v1:10:40050111:40055495:-1 gene:Vigun10g183600.v1.2 transcript:Vigun10g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPAETASGTNSTATASPSPPLPDETPLVPNTTVSPPPPPDTTNAAVSPPPPLAAAPSSLSGSGLSPGTLSGIIIGAVLGAVGMLIVGGVFFCVYRNWKKKRSQNNYSQPSQPKADMAGVSPTPYGKGGLSPKPTPGGLGNQKLSSSGLPTPTVNSSGTASSLGSEKVKPSPGISLALSSQNTFTHDELVMATDGFSYSNLLGQGGFGYVHKGVLPNGKIVAVKQLKSESRQGEREFHAEVEVISRVHHRHLVSLVGYCIADNQKMLVYEYVPNNTLEFHLHGKDRPPMDWSTRMKIAIGSGKGLAYLHEDCNPKIIHRDIKASNILIDDSFEAKVADFGLAKFSSDTETHVSTRVMGTFGYMAPEYAASGKLTEKSDVFSFGVVLLELITGRKPVDKTHPFLDDSMVEWARPLLSQAFENGNFDGLVDPKLQKNYNHDEMIRMAACAASCVRHSARLRPRMSQVVRALEGNISLEDLNEGSAPGHSRVFGSFEGSSYDSIQYSEDMKNFRKLALESQEQGISEYSGPSSDYGRHPSVSTSSGQQNTQEIELGEREG >Vigun11g053250.2.v1.2 pep primary_assembly:ASM411807v1:11:9373939:9378775:1 gene:Vigun11g053250.v1.2 transcript:Vigun11g053250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRKMFVECCRTRGSQPCFLLLLILLNFFSFLWSNCIFTVLLAINSKVFKASSSVFRLNDPPLATSRIALKDGARPL >Vigun11g053250.1.v1.2 pep primary_assembly:ASM411807v1:11:9373940:9378774:1 gene:Vigun11g053250.v1.2 transcript:Vigun11g053250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRKMFVECCRTRGSQPCFLLLLILLNFFSFLWYDDEQCTIYVFHCHGVVFSYCTTSGLQRFLGMRSNCIFTVLLAINSKVFKASSSVFRLNDPPLATSRIALKDGARPL >Vigun01g140750.1.v1.2 pep primary_assembly:ASM411807v1:1:32156372:32157736:-1 gene:Vigun01g140750.v1.2 transcript:Vigun01g140750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHISCWKTHKAPITHTHTHHTHQNEIELPKLHNNTMQQNSSLCALSPPSVFDSLSEKDIKFITGVFQERTRQKPPSKYIKTIDQMIKVEIF >Vigun04g051200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4599802:4602160:1 gene:Vigun04g051200.v1.2 transcript:Vigun04g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCANSKPKGCQHCHCDAPYYSSVPRSFSVHVHHPPQTKGDSYHVVALTSTTLGSLDQVPHINHGNGLRLPSGKVIDSDSFRPQDDDDEDDDEEEEEKGKGQREEKTWSEMIEQMLPKAIMKTPISTPPCEPETINTWELMEGLEDTSPFRSPKHLKSFSFDVNLKGHVHVHDPPKPCGFVENGNDSAKSIGHQKREEEEEEGSNGCSGVNPAASDFDDNQVVVVYSSDESLLQGNMTRKGFSFSTEEEKKMSNDDDDDDLKGTPFRKEKVVLYFTSLRGVRKTYEDSCHVRLILKGLGVRVDERDVSMHSGFKEELKELLGHGYGKGGLGLPRVFIGRNYIGGAEDIQQLHEDGKLEKLLDCCEKIKDNVDEEGVCEACGDVRFVPCETCYGSCKIYYEGYGEEEQEEEQEDDDGEVGDYGFHRCPDCNENGLIRCPICCY >Vigun02g065800.1.v1.2 pep primary_assembly:ASM411807v1:2:21530771:21535545:1 gene:Vigun02g065800.v1.2 transcript:Vigun02g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEKTEKKDEKREQVVWSWGAGTEGQLGTKIVKDELFPQLLHQPSLSSISSLACGGAHVIALTSAGKVFSWGRGNSGQLGHGEVVSNALVPKGVTSLDGYFITHVAAGWSHSGFVSDSGCVFTCGDGTFGQLGHGDYASHCSPVKLPCFGDQRVAQVACGMRHSLVLLKDCVGNQVYGFGSGKRGQLGVSNDKVKSVNVPRAVTGFDGVEIIGIAANGDHSAALSVDGHVYTWGRGFKGFEDAPVPHCLNSSLNFIKVALGWNHALAMTGEGEVYMLGGNHLGVLSDLQNISPQAMHVPVDLREVNVEKVPGLEGMKITDIATGAEHSVIVTDNGEIRTWGWGEHGQLGLGDTRDQISPVTVNLGYDLNEAESIKVFCGSGFTFAVTMP >Vigun06g042900.1.v1.2 pep primary_assembly:ASM411807v1:6:16653021:16654330:-1 gene:Vigun06g042900.v1.2 transcript:Vigun06g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLHNWSSSEYKELVLIYSEGSKSNILGLRYDQNRVLLRLRNSFCGSGATRELLVEVTAEKGNTREGFYFWAVKVTTGRLDDTRNHNGFNVCDNKYWSELCGKESCFYEVIRKVGNRNSEEKVNWEFSHWYSVSGGTRIAYNVIIKCDKTSGLKAELKGPFKCLNLEKEPESETALTLQKKKKEPTKEAERKFVESKIEGKEYILLAGGCDQRATVVNNIINSGTFNGGGNGSKYENCKIDMRSDFKSSS >Vigun09g213500.1.v1.2 pep primary_assembly:ASM411807v1:9:38763540:38763909:-1 gene:Vigun09g213500.v1.2 transcript:Vigun09g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Vigun09g080700.1.v1.2 pep primary_assembly:ASM411807v1:9:9592640:9595368:-1 gene:Vigun09g080700.v1.2 transcript:Vigun09g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGEVIEKAYGGCWRAHRSPDRPYLVEKITRNDPSEVIICFPGSDAVKDWYSRRNFGETKIDLSRFPSLRSIGNDEPALVNEAFLKRFQGILLAKPSLPDEVEKAMGKKKQIVFAGHCSGGPMAILATLWTLEKYRAPNSHGGIPPLCVTFGSPLVGNHIFSHATRRENWSRYFFHCVMRYDIVPRILFAPLSSLDQSFEQISQSFNPRSRSFMSESVGRSSAISDFYITIMSNAATVTSHDACKLMCITDTTLETLENFIPLSPYRPFGTYIFCTGNGNLGKKIVITNPDAVLQVLFFSAQLSTEAEAAQVAYKSLREHTIYGTELQQIAAQNVVHLDQQQLQKFPLSEDDAGGSNDLGLSLRGRLCLRAAAELEERKCEKEKKLKEKRSFIEQKLTKELGEYREMWAHQRVGFYDGFREHKRAEDFKANVTRLEIAGVWDEIIEKLRNHELPDEFEADREWVDLGTRCRELVEPLDIANYYRHGRHYEDDASSYMVKGRPKRYRYPQRWLEHAQRRPRETISTSCFWAEVEELRYKTANGDASYRNVEERVVGLVGQIEEWCKKGELAKDVFLEGSTFVKWWKTLHPRHKNQPSIISLIGA >Vigun04g171500.4.v1.2 pep primary_assembly:ASM411807v1:4:39556586:39563259:-1 gene:Vigun04g171500.v1.2 transcript:Vigun04g171500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEDDVNRGDYEHDIEIEGLLDGYEENRRYWSGLSDRSMSHTKPLLVKRTNTTSQIAIVGSNLSPIESLDYEIFYNDILKHDWRSRQKHQQIQYTVLKWGFALLIGLGTGLVGFFNSFAVENISGFKLLLTTTLMTKNRYLEAFLAYAGVNICLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHYILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTCSWLRFFKNDRDRRDMITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRVAIQFCATGKCGLFGAGGLILYDVGSANITYSASDIFAVLLLGAVGGILGSIYNYLVDKVVRTYSIINEKGPAFKISLVVTIALLTSCCFYFLPWVAHCIPCPSSSTLACPSVDESGEYQNFQCPPGYYNDLASLFLNTNDDAIRNLLSPKITKEFHISSLFIYFATIYCLGIITYGIAIPSGLFIPVILAGATYGRLVSRIFEPITGLDRGLFALLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLVSKTVADSFNKGVYDLILQIKGLPYLEAHAEPYMKNLVARDVVSGPLITFSGIEKVGIIWHALNATGHNGFPVIDEPPLTEAPELCGVVLRSHLLVMLKEKIFSNDKDFINQSSFQRISTLDFGKAGSGKGIKLEDIDIDEEEMDMYVDLHPITNASPYTVVETMPLAKAAIIFRQHGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHIKSRKQHLGISVKNIISSVLECCIH >Vigun04g171500.2.v1.2 pep primary_assembly:ASM411807v1:4:39556570:39563278:-1 gene:Vigun04g171500.v1.2 transcript:Vigun04g171500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEDDVNRGDYEHDIEIEGLLDGYEENRRYWSGLSDRSMSHTKPLLVKRTNTTSQIAIVGSNLSPIESLDYEIFYNDILKHDWRSRQKHQQIQYTVLKWGFALLIGLGTGLVGFFNSFAVENISGFKLLLTTTLMTKNRYLEAFLAYAGVNICLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHYILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTCSWLRFFKNDRDRRDMITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRVAIQFCATGKCGLFGAGGLILYDVGSANITYSASDIFAVLLLGAVGGILGSIYNYLVDKVVRTYSIINEKGPAFKISLVVTIALLTSCCFYFLPWVAHCIPCPSSSTLACPSVDESGEYQNFQCPPGYYNDLASLFLNTNDDAIRNLLSPKITKEFHISSLFIYFATIYCLGIITYGIAIPSGLFIPVILAGATYGRLVSRIFEPITGLDRGLFALLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLVSKTVADSFNKGVYDLILQIKGLPYLEAHAEPYMKNLVARDVVSGPLITFSGIEKVGIIWHALNATGHNGFPVIDEPPLTEAPELCGVVLRSHLLVMLKEKIFSNDKDFINQSSFQRISTLDFGKAGSGKGIKLEDIDIDEEEMDMYVDLHPITNASPYTVVETMPLAKAAIIFRQHGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHIKSRKQHLGISVKNIISSVLECCIH >Vigun04g171500.3.v1.2 pep primary_assembly:ASM411807v1:4:39556570:39563278:-1 gene:Vigun04g171500.v1.2 transcript:Vigun04g171500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEDDVNRGDYEHDIEIEGLLDGYEENRRYWSGLSDRSMSHTKPLLVKRTNTTSQIAIVGSNLSPIESLDYEIFYNDILKHDWRSRQKHQQIQYTVLKWGFALLIGLGTGLVGFFNSFAVENISGFKLLLTTTLMTKNRYLEAFLAYAGVNICLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHYILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTCSWLRFFKNDRDRRDMITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRVAIQFCATGKCGLFGAGGLILYDVGSANITYSASDIFAVLLLGAVGGILGSIYNYLVDKVVRTYSIINEKGPAFKISLVVTIALLTSCCFYFLPWVAHCIPCPSSSTLACPSVDESGEYQNFQCPPGYYNDLASLFLNTNDDAIRNLLSPKITKEFHISSLFIYFATIYCLGIITYGIAIPSGLFIPVILAGATYGRLVSRIFEPITGLDRGLFALLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLVSKTVADSFNKGVYDLILQIKGLPYLEAHAEPYMKNLVARDVVSGPLITFSGIEKVGIIWHALNATGHNGFPVIDEPPLTEAPELCGVVLRSHLLVMLKEKIFSNDKDFINQSSFQRISTLDFGKAGSGKGIKLEDIDIDEEEMDMYVDLHPITNASPYTVVETMPLAKAAIIFRQHGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHIKSRKQHLGISVKNIISSVLECCIH >Vigun04g171500.5.v1.2 pep primary_assembly:ASM411807v1:4:39556586:39563186:-1 gene:Vigun04g171500.v1.2 transcript:Vigun04g171500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEDDVNRGDYEHDIEIEGLLDGYEENRRYWSGLSDRSMSHTKPLLVKRTNTTSQIAIVGSNLSPIESLDYEIFYNDILKHDWRSRQKHQQIQYTVLKWGFALLIGLGTGLVGFFNSFAVENISGFKLLLTTTLMTKNRYLEAFLAYAGVNICLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHYILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTCSWLRFFKNDRDRRDMITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRVAIQFCATGKCGLFGAGGLILYDVGSANITYSASDIFAVLLLGAVGGILGSIYNYLVDKVVRTYSIINEKGPAFKISLVVTIALLTSCCFYFLPWVAHCIPCPSSSTLACPSVDESGEYQNFQCPPGYYNDLASLFLNTNDDAIRNLLSPKITKEFHISSLFIYFATIYCLGIITYGIAIPSGLFIPVILAGATYGRLVSRIFEPITGLDRGLFALLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLVSKTVADSFNKGVYDLILQIKGLPYLEAHAEPYMKNLVARDVVSGPLITFSGIEKVGIIWHALNATGHNGFPVIDEPPLTEAPELCGVVLRSHLLVMLKEKIFSNDKDFINQSSFQRISTLDFGKAGSGKGIKLEDIDIDEEEMDMYVDLHPITNASPYTVVETMPLAKAAIIFRQHGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHIKSRKQHLGISVKNIISSVLECCIH >Vigun04g171500.1.v1.2 pep primary_assembly:ASM411807v1:4:39556586:39562969:-1 gene:Vigun04g171500.v1.2 transcript:Vigun04g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATSIRAFSIQLKLLLFCFLVNCHNVFAFGLGIYRIWFIWVEEPLVCAGKMNKEDDVNRGDYEHDIEIEGLLDGYEENRRYWSGLSDRSMSHTKPLLVKRTNTTSQIAIVGSNLSPIESLDYEIFYNDILKHDWRSRQKHQQIQYTVLKWGFALLIGLGTGLVGFFNSFAVENISGFKLLLTTTLMTKNRYLEAFLAYAGVNICLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHYILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTCSWLRFFKNDRDRRDMITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRVAIQFCATGKCGLFGAGGLILYDVGSANITYSASDIFAVLLLGAVGGILGSIYNYLVDKVVRTYSIINEKGPAFKISLVVTIALLTSCCFYFLPWVAHCIPCPSSSTLACPSVDESGEYQNFQCPPGYYNDLASLFLNTNDDAIRNLLSPKITKEFHISSLFIYFATIYCLGIITYGIAIPSGLFIPVILAGATYGRLVSRIFEPITGLDRGLFALLGAASFLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLVSKTVADSFNKGVYDLILQIKGLPYLEAHAEPYMKNLVARDVVSGPLITFSGIEKVGIIWHALNATGHNGFPVIDEPPLTEAPELCGVVLRSHLLVMLKEKIFSNDKDFINQSSFQRISTLDFGKAGSGKGIKLEDIDIDEEEMDMYVDLHPITNASPYTVVETMPLAKAAIIFRQHGLRHMCVVPKSQGRPPVVGILTRHDFMPEHVLGLYPHIKSRKQHLGISVKNIISSVLECCIH >Vigun01g215200.1.v1.2 pep primary_assembly:ASM411807v1:1:38901899:38905316:1 gene:Vigun01g215200.v1.2 transcript:Vigun01g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFLLLLLFAVYVAAIDEEPFIGVNIGRDLSDMPHPTQVVALLKAQQIRHVRLYDADQAMLIALANTGIQVAVSVPNEEILAIGQSNTTAAKWVSRNVVAHYPATNITSICVGSEVLTALPNAAKVLVSALKFIHSALLASNLDNQIKVSTPLSSSMILDSFPPSQAFFNRSLNPVLVPMLDFLQSTGSYLMLNTYPYYDYMKSNGVFPLDYALFKPLPPNKEVIDSNTLLHYSNVFDSVIDAAYFAMAFHNYTNIPVVVSETGWPSKGDSNEPDATVENANTYNSNLIKHVLNVTGTPKHPGIGVSAYIYELYNEDAKAGSLSEKNWGLFDANGKPVYVLQLTESGGVLANDTTNQTYCVAKDGADPKMLQAGIDWACGPGKVDCSPLMQGEACYEPDNVVAHANYVFDTYFHQMGKSPQSCDFNDMATISTTNPSHGSCVFPGSGNNGTFPNVTLSSMNSTNSDSSAWNLHHGELGIRSLVMMMVLLLTGVVWL >Vigun01g063066.1.v1.2 pep primary_assembly:ASM411807v1:1:14836700:14838974:1 gene:Vigun01g063066.v1.2 transcript:Vigun01g063066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTKRLKKTASTQEPLVPPLVCPIPPQPYIAPPIPPTQQPHIPSMSTHPCVPPLMDPTPHPHIPPPMAPTSHPYVPPLVDTTQQPHAGRESSRVWTVHLTKPGVFEMPRGEPCGEAASLLSSACGRIATDSNNVPINFDSWPKVPKSYKDDCFNILRNLFHFHASERFVERYCSLCMENKYRNEKMNLWNRVYNSSLSREQLIANVPDGIQKDYEEYKKLSKRNVEVRKAQKIPHTGGAKLLSTKQHEMEVNLRRVMGRGELYIETHKKRNGSYVNEEAKSIAISLVKEKMTQEMSQSVNSNEISADDCVSKVFGKDHSGRVRCLGLGGLHSVAFQSMIRFSNAGHNFSNFGSAKSSQLKEEVISLREKLATSEENLKTLKSVMLAYIQMKEGHIPHELGVMFDNETNDEESGQEVPTPHGGSSLDSNFHGV >Vigun06g201200.1.v1.2 pep primary_assembly:ASM411807v1:6:31629603:31634021:-1 gene:Vigun06g201200.v1.2 transcript:Vigun06g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALCCNVSGWSSLPNSFLIKRQRTVSMFPTFSEMKTMLQLPAPLSHSPPFTKLHESSKRDLASNSLNVTLTIAGTVTIKNSEITDHKEMMMATMHQQRGLVLQLVSTQIDPRTMETTLSNPVEFELLKQFKVRAERTTYKVEFEIDSDFGFPGAITVTNKYDNEIFLEGFSIEGVVDIVCNSWVQPEKIHPEERIFFSNKAYLPCDTPAGLKELRKEELKLLRGNGKGARKRCERVYDYDVYNDLGNPDKGRENVRPILGTTEYPSPRRCRTGRAPSTTDKKFESRVTSSEETYVPRDEVFEGMRKKAFDVGKLKGTTRNLIPFIRTCITKCGDFKQLSDVQQIYKRKHVNEMKPEKGASTKWSLLMNMTSRIKNSVEEHLKFNTPRIINGGSACCMKDEELGRQALAGINPLSIKRLEAFPPVSDLDPSIYGAQKSALKEEHIISHLDGMSVQEAMAEKKLFILDYHDAYLPFLNGMNAQEDRKAYATRTILFLTPLGTLKPIVIELSLSEGESSKQVLTPPLDATSHWLWQIAKAHVCSNDAGVHQLVHHWLRTHACMEPFIIATHRQLSVMHPIFKLLKPHLKHTLQINALAREALINEGGIIESIFSPGKHSTEIISAAYRDWRFDMEALPADLIRRGVAEADPTQPHGLRLLIEDYPYANDGLLMWFALENLVRTYVNYYYRDGNMVESDNELQAWYSEVINVGHVDHANASWWWTLSTPSDLTSILTTFIWVVSVQHAAVNFGQYPLGGYVPMRSPHMKKLLPQEGNPEYKEFMDDPEGYLLSCLPNMFETTKFLAVVNILSQHSPDEEYIGQRKELSEWAGEPEIVEAFYRFSMDVKRVEKEIEKRNKDPKRRNRCGAGIPPYDLLMASSDPGVTCRGVPNSITI >Vigun03g428700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63337104:63337661:1 gene:Vigun03g428700.v1.2 transcript:Vigun03g428700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTFLAFGSFIKLLPTGTVFVFQFLNPVVTSSGNCNATNRCLSVILLVSCSFCCVFSSFTDSYIGSDNQRHYAIVTRKGLWPSPASDSVDLSRYRVWAGDYVRAGLSLSVFAVLGLLDTNTVHCFYPGFEPTQKTLLQVLPTVIGTIAGGLFMIFPDKRHGIGYPLTSDSNHTPNPNDSALSL >Vigun04g191501.1.v1.2 pep primary_assembly:ASM411807v1:4:41585758:41589976:-1 gene:Vigun04g191501.v1.2 transcript:Vigun04g191501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQVIALGILSVMFERLSKDGRQFEVETRDHIKRYAEAGLRTLVVTYRELDEEEYKLWDKEFSKVKTSVTEDRDALVDAASDKMERDLILLGATAVEDRLQKGVPECIEKLARAKIKLWVLTGDKMETAVNIGYACSLLRKDMKKIVITLDSSDILYLEKQGDKQALAKASLESIKKQIGEGISQINSAKESSNANKGSSSGFGLIIDGKSLDYSLSKNLERSFFELAISCASVICCRSSPKQKARVTRLVKLGTGKTTLSIGDRANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGVFDQDVSAKLCLKYPFLYLEGVEDTLFSWPRILGWMLNGVLSSLVIFFLTTNSVLNQAFRRDGKVVDFEILGVTMYTCVVWTVNCQMALSINYFTWIQHFFIWGSIAFWYVFVLVYGYLSPEISTTSYMVFVEACAPSGSYWLVTLLVVVCVLLPYFCYRSFQSRFLPMYHDIIQREQVEGIEIGLSDDELPKQVQGKLIHLRERLKQREL >Vigun04g182700.1.v1.2 pep primary_assembly:ASM411807v1:4:40698570:40702130:-1 gene:Vigun04g182700.v1.2 transcript:Vigun04g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGITDLIRNVFSNVLHLFIHDDFHEAVAKMTIIDAFLFFIVHSIDKLGIWPRLPVFLGLFYLATRRHLHQEYNLFNVGTTPTGVRFNPSDYPYRTDDGKYNDPFNANAGSDGTFFGRNMLPVAQKEKLSKLSKPDPMVVATKLLARKKYKDTGKQFNVIAASWIQFMIHDWVDHLEDTEQIELTAPKEFASQCPLNSFKFFKTKESPTGFFEIQSGSSNIRTPWWDASVVYGSNGEVLAKVRTSQDGKIKISSDGNLLHNENGTAIAGDIRNSWAGVSTLQSLFIQEHNAVCDSLKKNYPDMNDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRGNWYGLLGKKFKETFGHVGGSILGGLVGLKKPENHGVPYSLTEEFVSVYRMHPLLPDKLQLRDISATPGPNKSLPVIEEIPMKDLIGLTGEKKLKEIGVERQLVSMGHQACGALELWNYPQFLRDLVPQNVDGTERPEHVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWKDLTDEKEAIEVLKEVYGDDVEQLDLLVGLMAEKKIKGFAISETAFIIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSRPNPPNHVPLYLRFPR >Vigun03g084300.1.v1.2 pep primary_assembly:ASM411807v1:3:7004999:7007526:1 gene:Vigun03g084300.v1.2 transcript:Vigun03g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLMQSLLITVITMVASVYVLQKRRTGGTKTLPPGSFGWPLMGETFQFLFLKSEHFIQERVKKYSSQNFHTNILGEPTVVLSGPAANKFVSTNETKLLKVWYMKTQRRFFNIPDQKIHAPKQEPASSAPVKILGILRPEGIARYMGNKVESTMHQHFTTHWEGKTEVKVYPLVKAFSLTLASQFFLGIDEPKLATEFEHLYFGIYSAPVNFPGSSYRRALKASSAIRKEIQFLIKEKIEALSKGQVVDDLLAHVVGAEQGGKYVPRIQISNIIMGMMNSSHMPIAITLAFMIKHIGQRPDIYQKIVSEHAGVTKLKRSGAALDWDSIQKLKYTWAVAQETMRLYPTAPGVFREVITDITYEGFTIPKGWKIFWSLVGTNKDPNHFPKPESFDPSRFEGNNILPAPYTWIPFGAGPRSCPGQDYTRFVVLNFIHILINKFKWEVTVPDEKVSEGLIPTPAEGVSIRLYHR >Vigun01g105000.6.v1.2 pep primary_assembly:ASM411807v1:1:27552750:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHKILQRGRPFLNTPLQLASSPASASHTFPSCGDESLHVREITNTREVRNEFGKYHIKDLSQCLNWTSSPNYCSHGYVVNRHFASERNDICTKGFSSKLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.5.v1.2 pep primary_assembly:ASM411807v1:1:27556303:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVQRGSQLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.4.v1.2 pep primary_assembly:ASM411807v1:1:27556293:27564926:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHKILQRGRPFLNTPLQLASSPASASHTFPSCGDESLHVREITNTREVRNEFGKYHIKDLSQCLNWTSSPNYCSHGYVVNRHFASERNDICTKGFSSKLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.8.v1.2 pep primary_assembly:ASM411807v1:1:27556303:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.7.v1.2 pep primary_assembly:ASM411807v1:1:27556303:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.9.v1.2 pep primary_assembly:ASM411807v1:1:27556303:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.3.v1.2 pep primary_assembly:ASM411807v1:1:27555140:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVQRGSQLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.1.v1.2 pep primary_assembly:ASM411807v1:1:27555140:27564909:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHKILQRGRPFLNTPLQLASSPASASHTFPSCGDESLHVREITNTREVRNEFGKYHIKDLSQCLNWTSSPNYCSHGYVVNRHFASERNDICTKGFSSKLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun01g105000.2.v1.2 pep primary_assembly:ASM411807v1:1:27555634:27564932:-1 gene:Vigun01g105000.v1.2 transcript:Vigun01g105000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFMFGNFLWEITNTREVRNEFGKYHIKDLSQCLNWTSSPNYCSHGYVVNRHFASERNDICTKGFSSKLLKTIPYHVKIVEVGARDGLQNEKAIIPTNIKVELIELLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAIQNVEGARFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASAAGSLSIPVRGYISCVVGCPLEGSIAPEKVAYVAKSLYEMGCAEISLGDTIGVGTPGTVIPMLEAVFDVVPTDMLAVHFHDTYGQALSNILISLQMGISTVDSSVAGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMLAGDFICKHLGRASGSKAATALSKVTSHASKL >Vigun07g087000.4.v1.2 pep primary_assembly:ASM411807v1:7:13341432:13343721:1 gene:Vigun07g087000.v1.2 transcript:Vigun07g087000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQCQVCNEAPSKYKCPSCYLPYCSLVCYKKHKELPCVKPQPLEALTTAVSESLVEKAVVVGETSEVLQKFQLEAIASSSEIRDSLNDKALQDLICRIDSSSNAEDELDKAMAEEAFRLFTDKILSTINP >Vigun07g087000.2.v1.2 pep primary_assembly:ASM411807v1:7:13336578:13343721:1 gene:Vigun07g087000.v1.2 transcript:Vigun07g087000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQCQVCNEAPSKYKCPSCYLPYCSLVCYKKHKELPCVKPQPLEALTTAVSESLVEKAVVVGETSEVLQKFQLEAIASSSEIRDSLNDKALQDLICRIDSSSNAEDELDKAMAEEAFRLFTDKILSTINP >Vigun07g087000.1.v1.2 pep primary_assembly:ASM411807v1:7:13336372:13343724:1 gene:Vigun07g087000.v1.2 transcript:Vigun07g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQCQVCNEAPSKYKCPSCYLPYCSLVCYKKHKELPCVKPQPLEALTTAVSESLVEKAVVVGETSEVLQKFQLEAIASSSEIRDSLNDKALQDLICRIDSSSNAEDELDKAMAEEAFRLFTDKILSTINP >Vigun07g087000.3.v1.2 pep primary_assembly:ASM411807v1:7:13336578:13343721:1 gene:Vigun07g087000.v1.2 transcript:Vigun07g087000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQCQVCNEAPSKYKCPSCYLPYCSLVCYKKHKELPCVKPQPLEALTTAVSESLVEKAVVVGETSEVLQKFQLEAIASSSEIRDSLNDKALQDLICRIDSSSNAEDAMAEEAFRLFTDKILSTINP >Vigun09g222400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39551095:39553412:-1 gene:Vigun09g222400.v1.2 transcript:Vigun09g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTAEDDSGSEIHIPAEIDWHMLDKSKFFFLGAALFSGVSFTLYPMVVLKTRQQVSSSRFSCLNMSCAIMRYEGLRGFYKGFGTSLMGTIPARALYMASLEVTKSNVGTALLKLGFSETTAVAVANAAAGVTSAMAAQLVWTPVDVVSQRLMVQGSGGSKTILANLNSESYRNGFDAFRKILCADGARGFYRGFGISILTYAPSNAVWWTSYSMVHRLIWGAFGSYMSKGKGESNDNLSGFRPNSKTVVAVQGLSAVMASGVSAIVTMPLDTIKTRLQVLDNEEHGRRRPLTFVQTVRNLVKEGGLAACYRGLGPRWASMSLSATTMITTYEFLKRMSTKSQ >Vigun03g267700.1.v1.2 pep primary_assembly:ASM411807v1:3:43861411:43865351:-1 gene:Vigun03g267700.v1.2 transcript:Vigun03g267700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNKKSAPHNNTPDDATPDDATPDNSTPDDATPDDATPDNATPDNATPDKSSKGSHKSSSSSHSPPSKDSHKSLSHDKLPESKSEDSTNKAVLGAGIGVGFVLLVLLIVCLICCCRRKKRKQQQQKNNNNNNYYYASPSKGKPSNHYNAQQSLPHWQPGGGPPPPHMGEHVVRVQQQQGMGMQMGMGMQMGMGMGPPSGGWGTSHPQMSSGDMSSNYSVGMGSTSPGLNLTLKSGTFTYEELAAATKGFANDQIIGQGGFGYVHKGVLPNGKEVAVKSLKAGSGQGEREFQAEIEIISRVHHRHLVSLVGYCICGGQRMLVYEFVPNSTLEHHLHGKGMPTMDWPTRMRIAIGSAKGLAYLHEDCSPRIIHRDIKASNVLLDQSFEAKVSDFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTHVMEDSLVDWARPLLNKGLEDGNFGMLVDPFLEGNYNVQEMTRMAACAAASIRHSAKKRSKMSQIVRALEGDVSLDDLKDGMKLTPGNNSSAYASSGSSEYDTMQYNADMLKFRQAIMSSQEFNDSGELSSKEMGYR >Vigun01g198701.1.v1.2 pep primary_assembly:ASM411807v1:1:37535304:37544023:-1 gene:Vigun01g198701.v1.2 transcript:Vigun01g198701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDPLEGVRGFCRNMEKKDPLEVVADKVFVSCFNKEASKKEIEEIFSPYGHVEDIFIATSRGYAFVKFSNREMALAAIKGLNRTFTMRGCDHPLIVRFADPKKPRTGESRSNYLSVNANFGPCSQEPAVWPLPNFGDPNCGGSMLPIAPHHSSIPHPQVTTHMQNWEPGAPVVQHSFPPQQLHSQLGSMPFGSIQAPKLPSQSQPFITEVQRQPYPADSSVQNIEQHLSSQLASQTGSNPSTAAGNTPPDMPSSPQDEEFPECDWSEHYCPDGVKYYYNCVTCESRWEKPEEYALYEKESQKQPELEDNCCSLSQLSSCSSQQVAEKHQETNHDHRQSNTSPVVA >Vigun03g208000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34101369:34103272:1 gene:Vigun03g208000.v1.2 transcript:Vigun03g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSSSTHTIQTFDAGTKTTPPINPTNNTTTKSLSFTNGTPKRHPSTTAAPPPQPPSMVVTYKECLKNHAASLGGHALDGCGEFMPSSSTNPTDPRSLKCAACGCHRNFHRRDPQEHSNLNNNNIHGANPNAAVTANNNPTFLSCIYTPSAPAPISQRAMSQSTSPSLSSSPSHSPSPMSSPSPPPLSHVPPYHASAPHMLLALGTGYSTPSDEHPQHRGLTFSSVMLKSENPKKRYRTKFSKEQKEKMQNFSEKLGWRMQKGDDGLVQQFCNDIGVSRGVFKVWMHNNKNTFRRRLDQGENANPNANANSPQSIGDHDDGNNTSGGGGFDSDINNPYNPNTNNNDIHMNEGDGCGNVHVSLNGLSS >Vigun01g155400.3.v1.2 pep primary_assembly:ASM411807v1:1:33807593:33809069:-1 gene:Vigun01g155400.v1.2 transcript:Vigun01g155400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDNNNNNPAPENPIKTLDPTLPDPVLVATEAAEDTDATEAAEAEAEAKTSPPPESGNDAPLSDIQKKIRRAERFGISVQLSEKEKRNSRAERFGTAPASQGSEPSKSEELKRKARAERFHFSVSLFLFLTLTYISHCE >Vigun01g155400.1.v1.2 pep primary_assembly:ASM411807v1:1:33806909:33809080:-1 gene:Vigun01g155400.v1.2 transcript:Vigun01g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDNNNNNPAPENPIKTLDPTLPDPVLVATEAAEDTDATEAAEAEAEAKTSPPPESGNDAPLSDIQKKIRRAERFGISVQLSEKEKRNSRAERFGTAPASQGSEPSKSEELKRKARAERFGMPSPTTAADEEAKKKARLARFAPASKVDPQEEDKRKARALRFANPSSTSIANGNGEGNIEPKAAIAGKAGGGT >Vigun01g155400.2.v1.2 pep primary_assembly:ASM411807v1:1:33806909:33809080:-1 gene:Vigun01g155400.v1.2 transcript:Vigun01g155400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDNNNNNPAPENPIKTLDPTLPDPVLVATEAAEDTDATEAAEAEAEAKTSPPPESGNDAPLSDIQKKIRRAERFGISVQLSEKEKRNSRAERFGTAPASQGSEPSKSEELKRKARAERFGMPSPTTAADEEAKKKARLARFAPASKVDPQEEDKRKARALRFANPSSTSIANGNGEGNIEPAAIAGKAGGGT >Vigun02g028800.1.v1.2 pep primary_assembly:ASM411807v1:2:11952886:11954292:-1 gene:Vigun02g028800.v1.2 transcript:Vigun02g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSSKSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >Vigun02g108700.1.v1.2 pep primary_assembly:ASM411807v1:2:26278060:26285529:-1 gene:Vigun02g108700.v1.2 transcript:Vigun02g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGIRLSKRIANGEVDYKTKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHANRQRRVEEVSREFAQEQEFFRQTALISKKEKEKVELMQAVSFMYVRPPGYNAESAKAAEMNDEKKREDMDKGPQHDDAHDGPSSSIPQSTNLNEEKKKPRPKDVFGRPLPTEEEFEVLKNAPRLETGVPARVKPFAVEVRNVKCLRCGNYGHQSGDRECPLKDVIMPSEESRLKRDDPLNAILAHTDPTEPLKWELKQRPGISPPRGGFKPDDPNQQIVAEDIFDEYGGFLGMDNIPELLTNFSKKPKKSKRSKHKRRQQINSDSEASSDDGERRRKKKKVKVKKKKQDHVRSSSSETSVSEEGHRRSRHKSLHLSEDSDSYRNYQSRSKQEPSFLSKGSDRRRHGRNKHDRRKHPYSSEDSDSQTDNQSRKNVQKRERKYTKKSKHDRRKHTYSSEDSDSQRDDQSRKNVSKHDRKDTKRRRSYSNEDSGPAFDHTDNKSRDVISYSSDSSHQRQPKFKQSSHKHPSTAYSDSKKHNINFGFDRYQGNQKSEAVQSDSPEDSHVDRDYQNIRIKPRYTPKYSEHPENDTDKQNRKKQYSSKDSSADGYNRSKKSRYERSYSSDYSDHREKHHESRRNYKR >Vigun06g129900.1.v1.2 pep primary_assembly:ASM411807v1:6:25633805:25640373:1 gene:Vigun06g129900.v1.2 transcript:Vigun06g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASASGAENSSVPPQPQIHNSRTKLSQHNMDSMSKAIAQYTEDARLHAVFERSGESGRSFDYSQSVRLTAESVPEQQITAYLLKIQRGGFIQPFGCMIAVDEPSFRILAYSENARDMLGITPQSVPSIDDKVDAFALGADVRTLFTHSSALLLEKAFAAREISLMNPIWIHSRTSGKPFYGILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDVKLLCDTVVESVRELTRYDRVMVYKFHEDEHGEVVAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHASAVRVVQDEALVQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIINGNDEEGVGGRSPMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLAAQSLEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGNYYPLGVTPTEAQIRDIIEWLLAFHGDSTGLSTDSLADAGYPGAALLGDAVCGMAVAYITEKDFLFWFRSHTGKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDTEHNNSKAVVDPRVAELELQGVDELSSVAREMVRLIETATAPIFAVDIDGHINGWNAKVSELTGLAVEEAMGKSLVHDLVFKESEETVDKLLSRALKGEEDKNVEIKMKTFRPEHQNKAVFVVVNACSSKDYTNNIVGVCFVGQDVTGQKIVMDKFINIQGDYKAIVHSPNPLIPPIFASDDNACCLEWNTAMEKLTGWGRGDVIGKMLVGEVFGSCCPLKGSDSITKFMIVLHNALGGQDTDKFPFSFLDRHGKYIQTVLTANKRVNMDGQIIGAFCFLQIVSPELQQALKAQRQQEKTSFARMKELAYISQGVKNPLSGIRFTNSLLEATGLTDEQKQFLETSAACEKQMLKIIRDIDLESIEDGSLELEKGEFLLGSVINAVVSQVMLLLRERTLQLIRDIPEEIKTLAVYGDQLRIQQVLADFLLNIVRYAPSPDGWVEIHVHPRIKQISDGLTLLHAEFRMVCPGEGLPPELIQDMFNNSRWVSQEGLGLSMSRKILKVMNGEVQYIREAERCYFFILLELPVTRKNSKKGSTGIL >Vigun07g203700.3.v1.2 pep primary_assembly:ASM411807v1:7:32548120:32553486:-1 gene:Vigun07g203700.v1.2 transcript:Vigun07g203700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLQWEANLHKKPHPVPVHRLGRGTSGILLCAKTKLARARLASYFADGTSHIGGKRDTNQEIGKIAKIYRGLASGVVENDQVTINQSIGVVKYPGVAKGLYVASQSGKPALSVVSILERNVQENSTLVQVKIQSGRPHQIRIHLSFMGHPLLGDPLYGVGGQPKCLDCDSIDESFAEDGGYQRPTKAVPGDCGYNLHAHKLVLSHPLTNEIIEINAPLPSVLQTPEEAKEIATMEQSA >Vigun07g203700.2.v1.2 pep primary_assembly:ASM411807v1:7:32548120:32554582:-1 gene:Vigun07g203700.v1.2 transcript:Vigun07g203700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRKFGIPWPECNDGLSYDDVVRTSDSGLTLIEFYSTKYKSSAPLQGVGSKLVYHRLPWKEPDAPHMIEVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLQWEANLHKKPHPVPVHRLGRGTSGILLCAKTKLARARLASYFADGTSHIGGKRDTNQEIGKIAKIYRGLASGVVENDQVTINQSIGVVKYPGVAKGLYVASQSGKPALSVVSILERNVQENSTLVQVKIQSGRPHQIRIHLSFMGHPLLGDPLYGVGGQPKCLDCDSIDESFAEDGGYQRPTKAVPGDCGYNLHAHKLVLSHPLTNEIIEINAPLPSVLQTPEEAKEIATMEQSA >Vigun07g203700.1.v1.2 pep primary_assembly:ASM411807v1:7:32548120:32554582:-1 gene:Vigun07g203700.v1.2 transcript:Vigun07g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRKFGIPWPECNDGLSYDDVVRTSDSGLTLIEFYSTKYKSSAPLQGWLQRIKSGQITFDGRVVTDSNAVLRVGSKLVYHRLPWKEPDAPHMIEVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLQWEANLHKKPHPVPVHRLGRGTSGILLCAKTKLARARLASYFADGTSHIGGKRDTNQEIGKIAKIYRGLASGVVENDQVTINQSIGVVKYPGVAKGLYVASQSGKPALSVVSILERNVQENSTLVQVKIQSGRPHQIRIHLSFMGHPLLGDPLYGVGGQPKCLDCDSIDESFAEDGGYQRPTKAVPGDCGYNLHAHKLVLSHPLTNEIIEINAPLPSVLQTPEEAKEIATMEQSA >Vigun07g180400.4.v1.2 pep primary_assembly:ASM411807v1:7:29733893:29737638:1 gene:Vigun07g180400.v1.2 transcript:Vigun07g180400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGHDLLSIIYFNELEKEIESLNLYTIGTWKEGRKMEETLPLSVSHVNKSLVLTNRLYMLLHFTALCFLVYYRLCFFFQDPQTREAPLFPWLLVFASEIILSFIWILDQAFRWHPISRTVFPERLPQDHNLPPLDVFICTADPTKEPTLDVMNTLLSAMALDYPPEKLHLYVSDDGGSPVTLNAMKEASKFARWWLPFCTRYRIECRCPKAYFSISESHGGDFDGSFEFLADKKMIKEKYEAFEEGIKRVKEDQDNSGDTTVKRGQNHPPVIEVIQENSCSEIEQVKLPFLVYVSREKKPSQPHHFKAGALNALVLWQGMDGLMGPVLSGTGFYMKRESLYGNYKIKDTDLELQQYVGKSNEFIKSLNQNCTTDSVTVGRTLPLKETLLLASCDYEIGTQWGKEVGFLYGTVCEDVHTGFILNCNGWNSVLCDPPEAQFLGNSTTNLNDLLVQGTRWYCGLLDIGLSRFCPLICGPLRMSLLQSLCYAQLTYFPLYCLPLWCLAIVPQLCLLSGIPLYPKVSDPFFFIFLFIPLSALTKHLSEVLSTGGTFRKWIIEQRIWMIVSITSHLYGCLDAILKKFGLKDASFLPTNKVEDEEQTRLYQMDKYDFRTSNMFLVPMVALITINISCFIGGIYRVVSVGNWDQMFMQLLLTSHIIVFNYPIIEGLLIRKDVGRIYPSLPLVITSNILATTITCTLYSLLKKV >Vigun07g180400.3.v1.2 pep primary_assembly:ASM411807v1:7:29733893:29737638:1 gene:Vigun07g180400.v1.2 transcript:Vigun07g180400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGHDLLSIIYFNELEKEIESLNLYTIGTWKEGRKMEETLPLSVSHVNKSLVLTNRLYMLLHFTALCFLVYYRLCFFFQDPQTREAPLFPWLLVFASEIILSFIWILDQAFRWHPISRTVFPERLPQDHNLPPLDVFICTADPTKEPTLDVMNTLLSAMALDYPPEKLHLYVSDDGGSPVTLNAMKEASKFARWWLPFCTRYRIECRCPKAYFSISESHGGDFDGSFEFLADKKMIKEKYEAFEEGIKRVKEDQDNSGDTTVKRGQNHPPVIEYRVSAVISNAPYILVLDCDMFCNSPASARQALCFHLNPQISPSLAFVQFPQKYHNISKNDIYDSQHRSAYKVLWQGMDGLMGPVLSGTGFYMKRESLYGNYKIKDTDLELQQYVGKSNEFIKSLNQNCTTDSVTVGRTLPLKETLLLASCDYEIGTQWGKEVGFLYGTVCEDVHTGFILNCNGWNSVLCDPPEAQFLGNSTTNLNDLLVQGTRWYCGLLDIGLSRFCPLICGPLRMSLLQSLCYAQLTYFPLYCLPLWCLAIVPQLCLLSGIPLYPKVSDPFFFIFLFIPLSALTKHLSEVLSTGGTFRKWIIEQRIWMIVSITSHLYGCLDAILKKFGLKDASFLPTNKVEDEEQTRLYQMDKYDFRTSNMFLVPMVALITINISCFIGGIYRVVSVGNWDQMFMQLLLTSHIIVFNYPIIEGLLIRKDVGRIYPSLPLVITSNILATTITCTLYSLLKKV >Vigun07g180400.2.v1.2 pep primary_assembly:ASM411807v1:7:29733893:29737638:1 gene:Vigun07g180400.v1.2 transcript:Vigun07g180400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGHDLLSIIYFNELEKEIESLNLYTIGTWKEGRKMEETLPLSVSHVNKSLDPQTREAPLFPWLLVFASEIILSFIWILDQAFRWHPISRTVFPERLPQDHNLPPLDVFICTADPTKEPTLDVMNTLLSAMALDYPPEKLHLYVSDDGGSPVTLNAMKEASKFARWWLPFCTRYRIECRCPKAYFSISESHGGDFDGSFEFLADKKMIKEKYEAFEEGIKRVKEDQDNSGDTTVKRGQNHPPVIEVIQENSCSEIEQVKLPFLVYVSREKKPSQPHHFKAGALNALYRVSAVISNAPYILVLDCDMFCNSPASARQALCFHLNPQISPSLAFVQFPQKYHNISKNDIYDSQHRSAYKVLWQGMDGLMGPVLSGTGFYMKRESLYGNYKIKDTDLELQQYVGKSNEFIKSLNQNCTTDSVTVGRTLPLKETLLLASCDYEIGTQWGKEVGFLYGTVCEDVHTGFILNCNGWNSVLCDPPEAQFLGNSTTNLNDLLVQGTRWYCGLLDIGLSRFCPLICGPLRMSLLQSLCYAQLTYFPLYCLPLWCLAIVPQLCLLSGIPLYPKVSDPFFFIFLFIPLSALTKHLSEVLSTGGTFRKWIIEQRIWMIVSITSHLYGCLDAILKKFGLKDASFLPTNKVEDEEQTRLYQMDKYDFRTSNMFLVPMVALITINISCFIGGIYRVVSVGNWDQMFMQLLLTSHIIVFNYPIIEGLLIRKDVGRIYPSLPLVITSNILATTITCTLYSLLKKV >Vigun07g180400.1.v1.2 pep primary_assembly:ASM411807v1:7:29733893:29737638:1 gene:Vigun07g180400.v1.2 transcript:Vigun07g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGHDLLSIIYFNELEKEIESLNLYTIGTWKEGRKMEETLPLSVSHVNKSLVLTNRLYMLLHFTALCFLVYYRLCFFFQDPQTREAPLFPWLLVFASEIILSFIWILDQAFRWHPISRTVFPERLPQDHNLPPLDVFICTADPTKEPTLDVMNTLLSAMALDYPPEKLHLYVSDDGGSPVTLNAMKEASKFARWWLPFCTRYRIECRCPKAYFSISESHGGDFDGSFEFLADKKMIKEKYEAFEEGIKRVKEDQDNSGDTTVKRGQNHPPVIEVIQENSCSEIEQVKLPFLVYVSREKKPSQPHHFKAGALNALYRVSAVISNAPYILVLDCDMFCNSPASARQALCFHLNPQISPSLAFVQFPQKYHNISKNDIYDSQHRSAYKVLWQGMDGLMGPVLSGTGFYMKRESLYGNYKIKDTDLELQQYVGKSNEFIKSLNQNCTTDSVTVGRTLPLKETLLLASCDYEIGTQWGKEVGFLYGTVCEDVHTGFILNCNGWNSVLCDPPEAQFLGNSTTNLNDLLVQGTRWYCGLLDIGLSRFCPLICGPLRMSLLQSLCYAQLTYFPLYCLPLWCLAIVPQLCLLSGIPLYPKVSDPFFFIFLFIPLSALTKHLSEVLSTGGTFRKWIIEQRIWMIVSITSHLYGCLDAILKKFGLKDASFLPTNKVEDEEQTRLYQMDKYDFRTSNMFLVPMVALITINISCFIGGIYRVVSVGNWDQMFMQLLLTSHIIVFNYPIIEGLLIRKDVGRIYPSLPLVITSNILATTITCTLYSLLKKV >Vigun07g282300.1.v1.2 pep primary_assembly:ASM411807v1:7:39665174:39669243:1 gene:Vigun07g282300.v1.2 transcript:Vigun07g282300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSVSRAISHHFRDCDLHFHFTLTYCTFQTFHLSSPRPLKPCWPDTAITHKHTRVPSNFRCFGTPSKPPGEIPLLFDCFSQQEDEREILSDGTSPVAGGIVALGKFDALHIGHRELAIQASKAGPPFLLSFVGMDKVLGWEPRAPIVAKCDRKRVLSSWVPHCCNTVPEEFQVEFSSVRHLSPRQFVEKLSQELRVRGVVAGENYRFGYKAAGDALELVKLCEEYGMEAYIIKSVMDKNRCSADMNSITNSKEKGQVSSTRVREALSVGDVRYVSELLGRPHRLILMATDQERFSCEQHKISAPRSCTLNLAPKEGLYEKCSLLLDQENVVQCRVVIDSKFVHIETDYGGLSDNFGSQNLQFLHIEFGDSCT >Vigun08g164600.1.v1.2 pep primary_assembly:ASM411807v1:8:33665092:33666032:-1 gene:Vigun08g164600.v1.2 transcript:Vigun08g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIIGVMIMMMVILGCTQAIPITPEKTSTFSETGTPDKVCSVKCFFECITSKDLSCYHDCKEKCNHRSLPGVYYECINGCGMTKSTIGDRAVVTADINSCLQKCRSKI >Vigun08g029700.1.v1.2 pep primary_assembly:ASM411807v1:8:2706402:2708483:-1 gene:Vigun08g029700.v1.2 transcript:Vigun08g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGAFPDGEWDCFRRMFDHEHDFTQEFPLLLDGGDELNIVTQQANFCAAPEAAENELMFYSLDAHNNSNSSQYISQESSYSNSSNCSGDDTVFIANPGSSNYYFSYPDHMLANNACVYSLDEKHFAPFAPSLGNIATEESVKLYQELASDRLENSECNQMEPVVFPSKRKLDDVPAEGKINNRSENHKKKARVLKEVQGCMKNNTWSKKNEKHDSNREDAEERNAGSDGQSSSSYMSDDDNKENIGGANSESKSALNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVNYVKFLQLQIKLLSSDDLWMYAPLAYNGLDIGINLNLKNSLPL >Vigun04g132200.2.v1.2 pep primary_assembly:ASM411807v1:4:33260900:33264071:1 gene:Vigun04g132200.v1.2 transcript:Vigun04g132200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRGRWGDIGFAALEDCIT >Vigun04g132200.1.v1.2 pep primary_assembly:ASM411807v1:4:33260900:33264071:1 gene:Vigun04g132200.v1.2 transcript:Vigun04g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >Vigun01g098400.1.v1.2 pep primary_assembly:ASM411807v1:1:26508348:26511680:-1 gene:Vigun01g098400.v1.2 transcript:Vigun01g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSSAVSPSSSRRETPPEKLQAKTIGCMSGILHFISSSNARRSRRFLTFGKRQINKNSAPAAAENSRPENAKAAASERKLSSEVPRSPTLPAEIRRSNVKAPPEENRREGPAIVARLMGLEAAPAPTDSVVEKRQKLLGALQRCDEDLKALKKIIEAVRLADPPRKSPSPAVASVCLDDKLRTVSEVKCSVVNGEQQQQQPSPVSVLDEFTRSPLSPSCPSGRHSFARIQQQQQKQQLLKKPGEEEMSKAVWSVNKKNEDIYERMTCESVNKKVNEEEHWVMWSSKGMIKSVDEVCRDVDWGEKRELGRIGLALQDYICKDLIEEIVRDLGCFYTLPFEACKRRLCF >Vigun10g088700.1.v1.2 pep primary_assembly:ASM411807v1:10:25543612:25543825:-1 gene:Vigun10g088700.v1.2 transcript:Vigun10g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGVHEYVLFFAWLLLGKEVKSKKRKMFAEEVMNFVEPQGI >Vigun01g000900.2.v1.2 pep primary_assembly:ASM411807v1:1:157669:159532:-1 gene:Vigun01g000900.v1.2 transcript:Vigun01g000900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVESSILMEDRRRKHGGRSAKRESNHFLKRIPEEFIKRFGNELSTVATITVPDGCVWKMRLEKCGKDVFFGSEWREFVNYYSLGYGSHLIFRYVGNSKFRVLIFDITAAEICYPRQTRGTNEPNSANWKRSKFENEQHDGHETKKKELMVGNGVATGDEDDVNLIRPSGAKVRKRKGDFSHGKQVKSGCRGNHSSWEIGKHVATPSDRLMPRNPFVTCTIKSSRLYVSTEFACKYLKPGVGMMLQNSNGEQWNVSCSCHSRSRALIISRGWAKFMRDNDLSEGDLCMLELMKRDPIVLRLTLHGKAQLIL >Vigun01g000900.1.v1.2 pep primary_assembly:ASM411807v1:1:157567:159536:-1 gene:Vigun01g000900.v1.2 transcript:Vigun01g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVESSILMEDRRRKHGGRSAKRESNHFLKVILPSAIHANQTRIPEEFIKRFGNELSTVATITVPDGCVWKMRLEKCGKDVFFGSEWREFVNYYSLGYGSHLIFRYVGNSKFRVLIFDITAAEICYPRQTRGTNEPNSANWKRSKFENEQHDGHETKKKELMVGNGVATGDEDDVNLIRPSGAKVRKRKGDFSHGKQVKSGCRGNHSSWEIGKHVATPSDRLMPRNPFVTCTIKSSRLYVSTEFACKYLKPGVGMMLQNSNGEQWNVSCSCHSRSRALIISRGWAKFMRDNDLSEGDLCMLELMKRDPIVLRLTLHGKAQLIL >Vigun01g000900.3.v1.2 pep primary_assembly:ASM411807v1:1:157599:159534:-1 gene:Vigun01g000900.v1.2 transcript:Vigun01g000900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVESSILMEDRRRKHGGRSAKRESNHFLKVILPSAIHANQTRIPEEFIKRFGNELSTVATITVPDGCVWKMRLEKCGKDVFFGSEWREFVNYYSLGYGSHLIFRYVGNSKFRVLIFDITAAEICYPRQTRGTNEPNSANWKRSKFENEQHDGHETKKKELMVGNGVATGDEDDVNLIRPSGAKVRKRKGDFSHGKQVKSGCRGNHSSWEIGKHVATPSDRLMPRNPFVTCTIKSSRLLVFLMQYVSTEFACKYLKPGVGMMLQNSNGEQWNVSCSCHSRSRALIISRGWAKFMRDNDLSEGDLCMLELMKRDPIVLRLTLHGKAQLIL >Vigun04g168633.1.v1.2 pep primary_assembly:ASM411807v1:4:39306171:39306933:-1 gene:Vigun04g168633.v1.2 transcript:Vigun04g168633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLVLAFSFLAFLTNLPSAFSDNAPTPVLDVMNNTVETDRQYYIIPSIRGPPDFSEVFRGRSVKFTTGGDSTVVLTGQNLVIEFVNKPECAESGKWEVILDFKFPVPYVGISAQQRVVSGSFQIQKYRFGVGYKLVFCRDGGETCLNIGRFDAKNGEDGTRLRLDDPDPFDVVFVEAFEDK >Vigun07g009800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:822592:823319:1 gene:Vigun07g009800.v1.2 transcript:Vigun07g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRRPRRQPSRLQSHAPSSLQINRSVQWNVAIPLLSPLASSPPPPPPPPQKDEPLQHQRPPEKIVFKKWQHPAAPFCYEPPSVVAPFVNV >Vigun08g195200.2.v1.2 pep primary_assembly:ASM411807v1:8:36143798:36150474:-1 gene:Vigun08g195200.v1.2 transcript:Vigun08g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLQLRFSLGRQSSLAPERDGAGDVSEALDPTVRLMYLANEGDLDGIEELLDAGSDVNFTDIDGRTALHVAACQGRTDVVELLLRRGAEVDPRDRWGSTPLADAMYYKNHDVVKLLEKHGAKPPITPMHVENAREVPEYEIDPSELDFTNSVCITKGTFRIALWRGTRVAVKTLGEEVFADDDKVRAFHDELTLLEKIRHPNVVQFLGAVTQSTPMIIVTEYLPQGDLRAYMKRKGALKPVTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEDKPVTSLDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCPPFYEKPENEVPKAYVENERPPFRALPKLYAYGLKQLIEECWDEKPHRRPTFRQIIDRLEDINSHFVQKRRWKIGAAGCIQHLEALFRGNRTSPSSRSSRSTAR >Vigun08g195200.1.v1.2 pep primary_assembly:ASM411807v1:8:36144326:36150470:-1 gene:Vigun08g195200.v1.2 transcript:Vigun08g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLQLRFSLGRQSSLAPERDGAGDVSEALDPTVRLMYLANEGDLDGIEELLDAGSDVNFTDIDGRTALHVAACQGRTDVVELLLRRGAEVDPRDRWGSTPLADAMYYKNHDVVKLLEKHGAKPPITPMHVENAREVPEYEIDPSELDFTNSVCITKGTFRIALWRGTRVAVKTLGEEVFADDDKVRAFHDELTLLEKIRHPNVVQFLGAVTQSTPMIIVTEYLPQGDLRAYMKRKGALKPVTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEDKPVTSLDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCPPFYEKPENEVPKAYVENERPPFRALPKLYAYGLKQLIEECWDEKPHRRPTFRQIIDRLEDINSHFVQKRRWKIGAAGCIQHLEALFRGNRTSPSSRSSRSTAR >Vigun08g195200.4.v1.2 pep primary_assembly:ASM411807v1:8:36143798:36150474:-1 gene:Vigun08g195200.v1.2 transcript:Vigun08g195200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLQLRFSLGRQSSLAPERDGAGDVSEALDPTVRLMYLANEGDLDGIEELLDAGSDVNFTDIDGRTALHVAACQGRTDVVELLLRRGAEVDPRDRWGSTPLADAMYYKNHDVVKLLEKHGAKPPITPMHVENAREVPEYEIDPSELDFTNSVCITKGTFRIALWRGTRVAVKTLGEEVFADDDKVRAFHDELTLLEKIRHPNVVQFLGAVTQSTPMIIVTEYLPQGDLRAYMKRKGALKPVTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEDKPVTSLDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCPPFYEKPENEVPKAYVENERPPFRALPKLYAYGLKQLIEECWDEKPHRRPTFRQIIDRLEDINSHFVQKRRWKIGAAGCIQHLEALFRGNRTSPSSRSSRSTAR >Vigun08g195200.3.v1.2 pep primary_assembly:ASM411807v1:8:36143798:36150470:-1 gene:Vigun08g195200.v1.2 transcript:Vigun08g195200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLQLRFSLGRQSSLAPERDGAGDVSEALDPTVRLMYLANEGDLDGIEELLDAGSDVNFTDIDGRTALHVAACQGRTDVVELLLRRGAEVDPRDRWGSTPLADAMYYKNHDVVKLLEKHGAKPPITPMHVENAREVPEYEIDPSELDFTNSVCITKGTFRIALWRGTRVAVKTLGEEVFADDDKVRAFHDELTLLEKIRHPNVVQFLGAVTQSTPMIIVTEYLPQGDLRAYMKRKGALKPVTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEDKPVTSLDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCPPFYEKPENEVPKAYVENERPPFRALPKLYAYGLKQLIEECWDEKPHRRPTFRQIIDRLEDINSHFVQKRRWKIGAAGCIQHLEALFRGNRTSPSSRSSRSTAR >Vigun07g161400.1.v1.2 pep primary_assembly:ASM411807v1:7:27293521:27295594:1 gene:Vigun07g161400.v1.2 transcript:Vigun07g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGLPEGCIADILSCTTPVDACRLSVVSKLFHSAADSDAVWESFLPTDYHSVVSECSLPSYPSKKALYLALADHPVIVDEGKKSLQLDKKSGKKCYMLAARALSIIWGGTEQYWNWTTDPDSRFPEVAELRNVCWLEIGGVLNTLALSPDTRYAAYFVFKMIDPRGFRNLRVDVSVGFNGDCSTQNVCLDRSSNGRRVAGLQHPSLRSDGWLEIEMGEFFNVGLEDNVHMSVKEVNAGNWKSGLFVEGIEVRPKYEN >Vigun01g215900.1.v1.2 pep primary_assembly:ASM411807v1:1:38973844:38975945:-1 gene:Vigun01g215900.v1.2 transcript:Vigun01g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFEKMVLQKNKKKGRYEDETRRKSTRFLVTINIMGSAGPIRFVVNEKEVVSAVIETALKSYAREGRLPVLGFDATNFFLYCANAGFDAVSPLEAIGSFGVRNFVLCKKQVYSSKTAPQSELVSQKSGGGWKAWLNKSLGLKILSH >Vigun10g019700.3.v1.2 pep primary_assembly:ASM411807v1:10:2246673:2252534:-1 gene:Vigun10g019700.v1.2 transcript:Vigun10g019700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEATNKDANGSPAEKNPTVVFVLGGPGSGKGTQCANIVDNFKFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMKESGNDKFLIDGFPRNEENRAAFEKVTGIEPAFVLYFDCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLESSLPVINYYDAKGKVRKINAARSVEEVFETVKGIFGSKNEKAE >Vigun10g019700.2.v1.2 pep primary_assembly:ASM411807v1:10:2246630:2252534:-1 gene:Vigun10g019700.v1.2 transcript:Vigun10g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEATNKDANGSPAEKNPTVVFVLGGPGSGKGTQCANIVDNFKFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMKESGNDKFLIDGFPRNEENRAAFEKVTGIEPAFVLYFDCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLESSLPVINYYDAKGKVRKINAARSVEEVFETVKGIFGSKNEKAE >Vigun10g019700.1.v1.2 pep primary_assembly:ASM411807v1:10:2246711:2252397:-1 gene:Vigun10g019700.v1.2 transcript:Vigun10g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEATNKDANGSPAEKNPTVVFVLGGPGSGKGTQCANIVDNFKFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMKESGNDKFLIDGFPRNEENRAAFEKVTGIEPAFVLYFDCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLESSLPVINYYDAKGKVRKINAARSVEEVFETVKGIFGSKNEKAE >Vigun09g079200.1.v1.2 pep primary_assembly:ASM411807v1:9:9326513:9329930:1 gene:Vigun09g079200.v1.2 transcript:Vigun09g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMLRAKQISTLSSNARSFFLGGSRCNAGDGNSCTCPEDETCVSRKQQRKTSEDLLVQKPSSLVSKTTSQVVGTLVSGSLANGPVSLKTGDVGQSGCVQQIRSTSYAPSRPDSVAYACGADGVQDHVTHSSSLNADQFYRAGIAAVNFISDVVNYKFPLSDGMGILNYPKNYMVDPARALPSIRSSNVQQIRKESFTAVHSKPPVSTHPEPSKHTNSHHGAKGKGDKSNLAKGFKPVASPGTEKSGAAPNIPVNSHDRRALPQRTRSRSNRFVTNFGSNMPSSNPQMAGPFKESFGKYTRNANMSAGIAHSNRHFTNSGHVVDMVKDILRQLKWGPATEKALYNLNFSIDAYQANQILKQLQDHTVALSFFYWLKRQPGFWHDGHSYTTMVGILGRAREFGAINKLLEQMIEDGCQPNVVTYNRLIHSYGRANYLREALNVFNKMQEMGCEPDRVTYCTLIDIHAKAGFLDVAMSMYERMQEVGLSPDTFTYSVMINCLGKSGNLSAAHRLFCEMVDQGCVPNIVTYNILIALQAKARNYQIALKLYRDMQNAGFKPDKVTYSIVMEVLGHCGYLEEAEAVFIEMKQNNWVPDEPVYGLLIDLWGKAGNVEKAWEWYQAMLRAGLLPNVPTCNSLLSAFLRVHRLPDAYNLLQNMVALGLNPSLQTYTLLLSCCTDAQSSYDMCFCRELMAVTGHPAHAFLQSMPAAGPDGQNVRDHASRFLDLMHSEDREGKRGLVDAVVDFLHKSGLKEEAGSVWEVAAEKNVYPDAVKEKSSCYWLINLHVMSDGTAVTALSRTLAWFRRQMLTSGVGPNRIDIITGWGRRSRVTGSSLVRQTVHELLHLFSFPFFTENGNSGCFVGCGEPLSQWLHHSYVERMHLL >Vigun03g013500.1.v1.2 pep primary_assembly:ASM411807v1:3:913898:917092:1 gene:Vigun03g013500.v1.2 transcript:Vigun03g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNSKEPPTAVKEEINDDGYEDSSGFTKGSIAQWSFSNKVSSIPNLMSFKASQDDKTKKMLSGPITAGLLSILNQDAIESSHKRSAGESQKSFNRDGQGGPHFSLTPFPVQHDANSVHRPHDVKMFSVPNQAISVSMGNPFLNNHFATGGQNMNGTSAKQPLLGGIPVSVPHLVIPNAGAVAGMVESCNSVKPSPPSAQLTIFYGGTVKVFDDISAEKAQAIMLLAGSSVAIAPSMVQPKVHAPVPVSVSKLAAAGDGVAATQPANTPPGSGISSPISVSSHSGAQSGSGSTSTDEFLAAKTTGVPITPVSIVEPPKIVTATTMLASAVPQARKASLARFLEKRKERVMSAAPYNLNRKSEECAPA >Vigun03g013500.2.v1.2 pep primary_assembly:ASM411807v1:3:913898:917092:1 gene:Vigun03g013500.v1.2 transcript:Vigun03g013500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNSKEPPTAVKEEINDDGYEDSSGFTKGSIAQWSFSNKVSSIPNLMSFKASQDDKTKKMLSGPITAGLLSILNQDAIESSHKRSAGESQKSFNRDGQGGPHFSLTPFPVQHDANSVHRPHDVKMFSVPNQAISVSMGNPFLNNHFATGGQNMNGTSAKQPLLGGIPVSVPHLVIPNAGAVAGMVESCVKPSPPSAQLTIFYGGTVKVFDDISAEKAQAIMLLAGSSVAIAPSMVQPKVHAPVPVSVSKLAAAGDGVAATQPANTPPGSGISSPISVSSHSGAQSGSGSTSTDEFLAAKTTGVPITPVSIVEPPKIVTATTMLASAVPQARKASLARFLEKRKERVMSAAPYNLNRKSEECAPA >Vigun05g210800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40151413:40154568:1 gene:Vigun05g210800.v1.2 transcript:Vigun05g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSTGGEIVQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKNAIDKLAELPPWHPTANAADAENNHTNAGSSDMAIAEQSESSGYNFQLQRQLGEDHDNHHSPFIPAPIDTDAIAFFPTTTATSSINFQTYPPDIISRTNNSTEDLGLSLHSFQDPGLIHGQSQANANQTQTPSNHQTLFSGSTQVGFEVNYPRILTWNNDAGTDMNRTGYMVNSPALLGQGGSAAYSQRGTLQSSFSPSLRPWSDIPMASSSEHHKSQPIQQASIFGSRFLSDALPGFCIPARIQGEDGSHGVGPDKTSSSSPNSHHRPQT >Vigun01g243100.1.v1.2 pep primary_assembly:ASM411807v1:1:41211872:41217462:-1 gene:Vigun01g243100.v1.2 transcript:Vigun01g243100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAVKGGCPTDYIAIALSILSFTVLVLWCIVPFIVHKVPRTKGSGFWIPVIQIVASFFLLLSLVISNNYLKLGERHWLRSCYLWGAWGEGPLGFGLLLSCRITQASQLYFIFVKRRLPLIRSYIFLPLILLPWIACAAVIHWMKPLSSRCHMRAQWTIPVITLHSVYIAILVGVTTAVHHIEFRFDELKDLWRGILVSAVSIVVWVTAYILNEVYDNISWLEVASRFLLLVVASILVVAFFSISSSQPLLSQISLRRRETREFRTMSQALGIPDSGVLAQSEPISRIDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFFDEVYELSKIPEDDCVRRIYMARHVIQKYIVAGAAMEVNISHRSKQEILSTSNLARLDLFQNALNEIIQLMRTNLARDYWSSMFFLKFQEDSNLRSNEYELEQMTGWNFSPRLSSVHGADDPFHQDHLLKSSGCSSDTTTDL >VigunL080401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:16941:17249:-1 gene:VigunL080401.v1.2 transcript:VigunL080401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVWKEEDSMIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSG >Vigun05g006250.1.v1.2 pep primary_assembly:ASM411807v1:5:539870:545122:1 gene:Vigun05g006250.v1.2 transcript:Vigun05g006250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKCVEFDDDVSGVVGSLFEKKPITLRDIIKKIKNLVVSDDDVENVCRLYLLLCFIVFYFPRTSRTVTNMPFKVLDNVDNLSEYNWAESVHSFLISALNRGCKVVREKINTRSLNLAGSVVVVQVLAARRLGLEDVEGEVKFPRFLRWPSVKIRTPNIGSVLLLKEVAIFRPFGYLNITLRNIVKVFKYDITHPTEEEVQTSMPVVRLNYAVKQNVETDAQPSKNSSPNANVNTNFGPNLHPNVEPTNTANVEDILQMMVPPSTNIPCVEVGESSKVQSSVLDNISLT >Vigun08g170200.1.v1.2 pep primary_assembly:ASM411807v1:8:34103823:34105923:1 gene:Vigun08g170200.v1.2 transcript:Vigun08g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNFFTQTPKDQTSINNPNQYLFYHNFTSYHTPKSPYSTHIMEGHGRRITTLLCFHIVLLHLLSLVGETVAKVPAVIVFGDSSVDAGNNNYIPTIARSNFQPYGRDFEGGKATGRFCNGKIPTDFISEAFGLKTYVPAYLDPKYNISDFASGVTFASAATGYDNATSDVLSVIPLWKQLEYYKGYQKNLSAYLGESKAKETITDALHLTSLGTNDFLENYYTMPGRASQFTPQQYQVFLAGIAENFIRSLYELGARKISLGGLPPMGCLPLERTTNIAGGNDCVARFNNIALEFNDRLKNLTIKLNQELPGIKLVFSNPYYIMLNIIKKPELYGFQSTSVACCATGMFEMGYACSRGQMFSCTDASKYVFWDSFHPTEKTNSIVAKYMVLRVLYQFLQ >Vigun11g160600.1.v1.2 pep primary_assembly:ASM411807v1:11:36801559:36805763:1 gene:Vigun11g160600.v1.2 transcript:Vigun11g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVAVRWNWKPMASLPLTTFAYKQQQFHTLNPRPPCRTFRLLCRTSSSAVAHTSLPLSADLNRYLRCSMPQSSPLRVAVLVSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCSQVDVPLEVVHLTDEYWNNVVSYLIEEYSSGRTPNPDVLCNTRIKFGAFLDAIGGMGFDYVASGHYANVIHPCADGMNEPSVLELSQDTVKDQTYFLSHLSQSQLKQLLFPLGCIPKDEVRRLATKFDLPNKDRKDSQGICFLGKIRFSEFVARHIGEREGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSFDKRRRVFRVGSFKWLSEVPPGQTSQLQCKVRHGPGFYDCNLQMEVEGDGQGHSAVVRIFEDDQGLAAGQFAAFYEGRRCLGSGVILEFWDDHSFPVCTKALEIARMEDKSMLGNPVKIKVKPDSPQEVCDSAELASKTS >Vigun11g160600.2.v1.2 pep primary_assembly:ASM411807v1:11:36801559:36805763:1 gene:Vigun11g160600.v1.2 transcript:Vigun11g160600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNFENFWSECPWEEDLKYAKAVCSQVDVPLEVVHLTDEYWNNVVSYLIEEYSSGRTPNPDVLCNTRIKFGAFLDAIGGMGFDYVASGHYANVIHPCADGMNEPSVLELSQDTVKDQTYFLSHLSQSQLKQLLFPLGCIPKDEVRRLATKFDLPNKDRKDSQGICFLGKIRFSEFVARHIGEREGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSFDKRRRVFRVGSFKWLSEVPPGQTSQLQCKVRHGPGFYDCNLQMEVEGDGQGHSAVVRIFEDDQGLAAGQFAAFYEGRRCLGSGVILEFWDDHSFPVCTKALEIARMEDKSMLGNPVKIKVKPDSPQEVCDSAELASKTS >Vigun10g121600.2.v1.2 pep primary_assembly:ASM411807v1:10:32879186:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAVAAFQRITGAPEFVAVQKLEEYGGNLNEAINAHFLEGDRHILSGQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLEVKFQN >Vigun10g121600.7.v1.2 pep primary_assembly:ASM411807v1:10:32879186:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAVAAFQRITGAPEFVAVQKLEEYGGNLNEAINAHFLEGDRHILSGQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLELLFEFIDVCGAQKPGTYRFVSIPFPTLLISRFNLCV >Vigun10g121600.3.v1.2 pep primary_assembly:ASM411807v1:10:32879186:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAVAAFQRITGAPEFVAVQKLEEYGGNLNEAINAHFLEGDRHILSGQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLELLFEFIDVCGAQKPGTYRFVSIPFPTLLISRFNLCV >Vigun10g121600.1.v1.2 pep primary_assembly:ASM411807v1:10:32879186:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAVAAFQRITGAPEFVAVQKLEEYGGNLNEAINAHFLEGDRHILSGQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLELLFEFIDVCGAQKPGTYRFVKSYPRRAYSINDCSSTFNEVGLNKSNEALFLEFI >Vigun10g121600.6.v1.2 pep primary_assembly:ASM411807v1:10:32880182:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAVAAFQRITGAPEFVAVQKLEEYGGNLNEAINAHFLEGDRHILSGQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLEVKLVLEYDENQILKISLRK >Vigun10g121600.4.v1.2 pep primary_assembly:ASM411807v1:10:32879186:32885225:-1 gene:Vigun10g121600.v1.2 transcript:Vigun10g121600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMPIFLKGIGTCQGQNLAAAPRHNNTGASNQDRGSGSNGILPFLNAARRFRPSLLLDPNYRRELRDLYNGTGGAGATAYTNRPPPLTSHPAEVREVPAWINNPFEPHYQSGLSTTGEDLSSHGLGIRGTDGYQNQYPLAQSNASHGPDTETEEAMLQAAIEASKMETRGGSSWERIGVVNNSSDGGLPQTLVQQEDDDLARAIASSLETAEQEKTRRELLVEEGKEGQLGVHHLLDKGKKTNSSRNQLELGTASDQNVAQDVVQPVSSHLSNKSAGGHSQQNEDIFLADKWGGISAEEINEALLVETALFAETSTHSFPSLPNLQRRPKENMDPETKCMSSSMSQLLIDSRLLKQQQDADYLASLRADKQKELNSFNKTESQSSQEEESCKKMLEEKELMKQLDMKELRLSKEPLLSDEVITIVVRMPDGGRCERRFLKTDKLELLFEFIDVCGAQKPGTYRFVKSYPRRAYSINDCSSTFNEVGLNKSNEALFLEFI >Vigun03g088200.3.v1.2 pep primary_assembly:ASM411807v1:3:7405753:7409326:-1 gene:Vigun03g088200.v1.2 transcript:Vigun03g088200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPVKTIQSPDGDTIDCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPVRRTKEEDVLRASSVRRYGRKKHRAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQKPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLVDSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNC >Vigun03g088200.2.v1.2 pep primary_assembly:ASM411807v1:3:7405753:7409326:-1 gene:Vigun03g088200.v1.2 transcript:Vigun03g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPVKTIQSPDGDTIDCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPVRRTKEEDVLRASSVRRYGRKKHRAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQKPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLVDSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNC >Vigun03g079400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6620483:6621921:1 gene:Vigun03g079400.v1.2 transcript:Vigun03g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTHLIGIIKDKASQSKAALLSKRTTLSLLRATSHDCSAPPTSKHLDMLLSSGDGPRATASAAVEVLMDRLQGTNNAAVALKCLIAVHYIIRRGSFILQDQLSVYPNSGGRNYLNLSHFRHTTDPTTWQLSSWVRWFAQHIEQLLCASRVLGFFLGTAGDKENREDRASGLCNGDLLSEFDSLVALVEGLCKRPEPNGNLLVEEIVKLARGDWGVVQAEVRVRLNEFKERFGGFKFGEAVELVCCLKRLEQCEEKMLDTMDEARDLKLWDMVTLVKDMAEIELYREESKLRRDTPKVRVSESDRFSGRVLSNRHLLLFPSGRLL >Vigun11g155600.2.v1.2 pep primary_assembly:ASM411807v1:11:36487601:36491600:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun11g155600.1.v1.2 pep primary_assembly:ASM411807v1:11:36488634:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun11g155600.10.v1.2 pep primary_assembly:ASM411807v1:11:36488634:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGGLWTRTDHQGSRIWWNGQSHTWQTRESFCVCWITVLKDNMT >Vigun11g155600.6.v1.2 pep primary_assembly:ASM411807v1:11:36487670:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun11g155600.9.v1.2 pep primary_assembly:ASM411807v1:11:36488295:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTFFSHFNFFISLSFLILPSFYLKLWSTCSLTYEWSFCSLSRDHTQVNTNNKVYMSANLCFSLPASPVEASCNKAQGISVPSIMGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGGLWTRTDHQGSRIWWNGQSHTWQTRESFCVCWITVLKDNMT >Vigun11g155600.7.v1.2 pep primary_assembly:ASM411807v1:11:36488071:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun11g155600.5.v1.2 pep primary_assembly:ASM411807v1:11:36488295:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTFFSHFNFFISLSFLILPSFYLKLWSTCSLTYEWSFCSLSRDHTQVNTNNKVYMSANLCFSLPASPVEASCNKAQGISVPSIMGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun11g155600.3.v1.2 pep primary_assembly:ASM411807v1:11:36487601:36491600:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGGLWTRTDHQGSRIWWNGQSHTWQTRESFCVCWITVLKDNMT >Vigun11g155600.8.v1.2 pep primary_assembly:ASM411807v1:11:36488168:36491524:1 gene:Vigun11g155600.v1.2 transcript:Vigun11g155600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSTKTKTGLNSKHVSADAKDLSSRISKVSEVSVPQSLESEGEMLNSSNLKSFSLTELTAATRNFRKDSVLGEGGFGSVFKGWIDDNSLSATNPGTGIVVAVKRLSQDSFQGHEEWLARRSITQEASLKDEVNCLGQLSHPHLVKLIGYCFEDRDRLLVYEFMPRGSLENHLFMRGSYFQPLSWGLRLKVALGAAKGLAFLHSAETKVIYKDFKTSNVLLDSHYNAKLADLGLSKEAPTLDKSSVSTRVMGTYGYAAPEYLATGHVSAKSDVFSFGVVMVELLSGRRAVDKNRPSGQQNLVEWAKPYMANKRKLLRVLDNRLEGQYDIDEAFKVATLCLQCLATESKLRPNMHEVVTDLEQLQVPHVHHNRSVNTSRGRRKSADDLTNGRSVKASVSPLSHDTANKRP >Vigun06g118100.1.v1.2 pep primary_assembly:ASM411807v1:6:24621433:24628030:1 gene:Vigun06g118100.v1.2 transcript:Vigun06g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSVFGSSMFLCLLLVLITWNNVVVVPQAEARAFFVFGDSLVDNGNNNYLLTTARADAYPYGIDYPTHRATGRFSNGLNIPDIISERIGSEPTLPYLSPALDGERLLVGANFASAGIGILNDTGIQFINIIRISRQLQYFEQYQQRVSALIGAEQAQRLVNQALVLITLGGNDFVNNYFLVPFSARSRQFALPDYVVYLISEYRKILVRLYELGARRVLVTGTGPLGCVPAELAQHSRNGECAADLQQASALFNPQLVQLVNQLNSEIGSVIFISANAFASNMDFISNPQAYGFITSKVACCGQGRFNGIGLCTPVSNLCPNRNVYAFWDPFHPSERANRLIVETFMIGDTKYMNPMNLSTIMHLDSRT >Vigun07g000700.1.v1.2 pep primary_assembly:ASM411807v1:7:75194:76265:-1 gene:Vigun07g000700.v1.2 transcript:Vigun07g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFPEKLLGEIFWDQPLLPTDQSDVIQNSHMIKAEAFPPATQSAFVQYIDLPRRNNPLNGSNSESMSKRMLAFLRKSSPVERNNVEENERDRSFRHMINERMRRQRQRQCCLALHSILPHGTKTDNNSVIQTAAKEIVRLQGCREELKRKNCEAEANAEGVCVRNEDGGSKIAYLRVADPECGIDSMVERLKWLKEEGVESRRIKSSFSPTELFAVLEIEW >Vigun07g184100.1.v1.2 pep primary_assembly:ASM411807v1:7:30120071:30121968:-1 gene:Vigun07g184100.v1.2 transcript:Vigun07g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSYLDGGLGTTQSHDFIWFAQPTNYSENIQEDKKIRDWIKKFIQKNRRISSSVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIKELQTNMHKKLNCVNRKLNIVIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun06g103300.2.v1.2 pep primary_assembly:ASM411807v1:6:23299530:23303216:-1 gene:Vigun06g103300.v1.2 transcript:Vigun06g103300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILTGEHAVVHGSTAVATSIDLYTYVSVHFATPSDDGDLLKLQLKDTALEFSWPISRIKTAFPESVLSCTPTSCSVEVAKSIAALVEELDIPEAKIGIASGVSAFLWLYSSIQGVKPSTVVVTSELPLGSGLGSSAAFCVALASALLAYTDSVSLDLSHKGWLSFTEKDLELVNKWAFEGEKIIHGKPSGIDNTVSAYGNIISFKSGNITRTKSTVTLKMLITNTKVGRNTKALVAGVGERMLRHPEIMAFVFSAVDSISKELTSILKSPTPDELSLTEKEEKIEELMEMNQGLLQSMGVSHATIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTIVDKVISELESCGFQCFIAGIGGGGVEVNFVMSS >Vigun06g103300.3.v1.2 pep primary_assembly:ASM411807v1:6:23299530:23303176:-1 gene:Vigun06g103300.v1.2 transcript:Vigun06g103300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILTGEHAVVHGSTAVATSIDLYTYVSVHFATPSDDGDLLKLQLKDTALEFSWPISRIKTAFPESVLSCTPTSCSVEVAKSIAALVEELDIPEAKIGIASGVSAFLWLYSSIQGVKPSTVVVTSELPLGSGLGSSAAFCVALASALLAYTDSVSLDLSHKGWLSFTEKDLELVNKWAFEGEKIIHGKPSGIDNTVSAYGNIISFKSGNITRTKSTVTLKMLITNTKVGRNTKALVAGVGERMLRHPEIMAFVFSAVDSISKELTSILKSPTPDELSLTEKEEKIEELMEMNQGLLQSMGVSHATIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTYINSHI >Vigun06g103300.1.v1.2 pep primary_assembly:ASM411807v1:6:23299530:23303198:-1 gene:Vigun06g103300.v1.2 transcript:Vigun06g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILTGEHAVVHGSTAVATSIDLYTYVSVHFATPSDDGDLLKLQLKDTALEFSWPISRIKTAFPESVLSCTPTSCSVEVAKSIAALVEELDIPEAKIGIASGVSAFLWLYSSIQGVKPSTVVVTSELPLGSGLGSSAAFCVALASALLAYTDSVSLDLSHKGWLSFTEKDLELVNKWAFEGEKIIHGKPSGIDNTVSAYGNIISFKSGNITRTKSTVTLKMLITNTKVGRNTKALVAGVGERMLRHPEIMAFVFSAVDSISKELTSILKSPTPDELSLTEKEEKIEELMEMNQGLLQSMGVSHATIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTIVDKVISELESCGFQCFIAGIGGGGVEVNFVMSS >Vigun02g069300.1.v1.2 pep primary_assembly:ASM411807v1:2:21943566:21945800:-1 gene:Vigun02g069300.v1.2 transcript:Vigun02g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTCSQCGNNGHNSRTCTDGGAAVSGGASPRESGFMLFGVRVTEGISSFRKSASMNNLSQYDADSNTADAGYASDDVVHASGRTRERKRGVPWTEEEHRLFLLGLHKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRHNQNRRRRRSSLFDITTDTVMESSTIMEEEQVQQETAAAPIPAAYPPSHYGCFPGAGFPMSLSPVVLPATGERLAKPIRPTPILPVPPSSKMASLNLKEKASPTNLIEPLPLSLNLPSPTPRSQDQSPANSDHSSPSSSSSTFQAISAGKFSGGGDSIISVA >Vigun02g155500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30208391:30210660:1 gene:Vigun02g155500.v1.2 transcript:Vigun02g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGLDLGTASRFVQSLQRPDFNQHHHHHEPEEDDKQSDPFSTEDGAQQASPGDLVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFDSVASYARRRQRGICILSGSGTVTNVTLRQPAASGAVVTLHGRFEILSLAGSFLPPPAPPGATSLSVYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEEEEEQVQISGGGGVGNNSNNNIINNNPFPDPSSGLPFFSLPMNVQFPVDGWAGNSASRSPF >Vigun02g155500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30208390:30210660:1 gene:Vigun02g155500.v1.2 transcript:Vigun02g155500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGLDLGTASRFVQSLQRPDFNQHHHHHEPEEDDKQSDPFSTEDGAQQASPGDLVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFDSVASYARRRQRGICILSGSGTVTNVTLRQPAASGAVVTLHGRFEILSLAGSFLPPPAPPGATSLSVYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEEEEEQVQISGGGGVGNNSNNNIINNNPFPDPSSGLPFFSLPMNVQFPVDGWAGNSASRSPF >Vigun04g086900.9.v1.2 pep primary_assembly:ASM411807v1:4:14750154:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGEGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQVLILHIG >Vigun04g086900.5.v1.2 pep primary_assembly:ASM411807v1:4:14750154:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGYTIPLLLFSFKTFHIDKFGAQTLKKAYKVFSLTSEKILLLNREGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun04g086900.7.v1.2 pep primary_assembly:ASM411807v1:4:14750154:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGYTIPLLLFSFKTFHIDKFGAQTLKKAYKVFSLTSEKILLLNREGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQVLILHIG >Vigun04g086900.4.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGTA >Vigun04g086900.3.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGEGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGTA >Vigun04g086900.10.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun04g086900.2.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun04g086900.8.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun04g086900.6.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGEGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun04g086900.1.v1.2 pep primary_assembly:ASM411807v1:4:14750155:14754528:-1 gene:Vigun04g086900.v1.2 transcript:Vigun04g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLSSFCYKFRYDVFLSFRGKDTRHGFTGNLYKSLCHRGIHSFFDDHHLPKGDHISSELENTIQDSRIFIIILSENYASSSFCLNELDYILRFIKHKGRLVFPVFYGVDPSDVRHHMGTFGEALAHHEKKLNSYYNMEKLERWKMALHQVANLSGYHFKHGEGYEYEFIERIVELVCSKINRVALHVSDYPVGLESQVLQVKMLLDVGSDDVVHMVGFHGLGGVGKTTLVVAVYNSIADHFEALCFLENVREASSKHGLLHLQSTLLSETVGEIKLTSVKKGISIIQHRLQQKKVLLILDDVDKEEQLQALAGRPHWFGLGSRVIITTRDKQLLKSHGVERTYEVKELNEENALELLTWKAFKFENFDPSYKDVLNLAVTYASGLPLALEVIGSNLFGRNIEQWKSGLDQYKKIPKMDIQDTLKVSYDALEEDEQSVFLDIACFFKNYDLAEVEDILRAHHGHNIKHHIDVLVEKSLIKISLDGKVTLHDLIKDMGREIVRRESPKEPGKRSRLWFLEDIVQVLEDNKGSGKIETICMDFPSFEEVEIEWDGDAFKKMKNLRTLIIRNGHFSTGPKHLPNSLRVMEWWRYPSQNFPQDFHPKKLSIFKLPYCEFTSLELNDLVRQKFVNMTSLNFDECRYLKQIPDVSCLTHLENLSFRWCPKLSSLHYSVGFLEKLKILDAEGCSKLKSFPPIKLTSLEQLKLRYCHSLENFPEVLGNMENVRELDLKDTPIKKFPLSFQNLTRLQKLHLCLSCGVMENGCDGVPLSSICMMPELVDIVANEWKGELFCKANEGVEKVSSIFYTNVQYLQLRCCNLTDDFFLTLLPWFANMKNLDLSGNNFIIIPECIKEFHFLTRLNLNFCEQLQEIKGIPPNLKYFSAIDCISLTSSCRSMLLNQELHEAGSTFFYLPGAKIVEWFEFQTLEVPICFWFHGKLPAMVICLAMERMCEYSSSKGCKYRPLVIHSTFRLMSPIVIINGNEHLLQTWEMMDDCTCVFDLRETKLKNDFDEQLLENEWNHAEVTCRYVSLGQTLIKHGIHVLKQDSSVEEIRFTDPCKKRNLDIMSSIAQNHSSNNC >Vigun09g261900.2.v1.2 pep primary_assembly:ASM411807v1:9:42688131:42691011:-1 gene:Vigun09g261900.v1.2 transcript:Vigun09g261900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALASTRIPTNTRLPSKPSHSFPSQCTSKRLEVTEFSGLRSSSCVTYANNARESSFFDTVAAQLTPKQTNGSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKILDNETITVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYTHEISNIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPKLKGKLNGIALRVPTPNVSVVDLVVNVEKKGLTAEDVNGAFRKAAEGPLKGVLDVCDVPLVSIDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLADLVASKWPGTAKAGSGDPLEEFCETNPADEECKVYE >Vigun09g261900.1.v1.2 pep primary_assembly:ASM411807v1:9:42688065:42691106:-1 gene:Vigun09g261900.v1.2 transcript:Vigun09g261900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALASTRIPTNTRLPSKPSHSFPSQCTSKRLEVTEFSGLRSSSCVTYANNARESSFFDTVAAQLTPKTNGSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKILDNETITVDGKPIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYTHEISNIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPKLKGKLNGIALRVPTPNVSVVDLVVNVEKKGLTAEDVNGAFRKAAEGPLKGVLDVCDVPLVSIDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLADLVASKWPGTAKAGSGDPLEEFCETNPADEECKVYE >Vigun05g013000.1.v1.2 pep primary_assembly:ASM411807v1:5:1031147:1032129:-1 gene:Vigun05g013000.v1.2 transcript:Vigun05g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPSSSTVSRTALILLRVLTFIFLFIALILIAVAKQTDDNTGEKIKFSDFYTYRYMISTIIIGFVYNLLQMGFSIFTVVSGNRVLNGDGGYLFDFFGDKIISYFLISGSSAGFGLTVELRRGAPSNSFTDKAHASASLLLIGFLFTVIASTFTSFALSKKTNS >Vigun07g214200.3.v1.2 pep primary_assembly:ASM411807v1:7:33538817:33543391:-1 gene:Vigun07g214200.v1.2 transcript:Vigun07g214200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMSWLQKFQKCEYAKTKLFKTEGRGWGLLADEDLKAGQFVIEYCGEVISWKEAKRRSQAYDDQGLKDAFIICLNASESIDATRKGSLARFINHSCQPNCETRKWNVLGEIRVGIFAKHDIPIGTELAYDYNFEWFGGAKVRCLCGALKCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPVYDSAEDEPVSNVNGRTESSLDVMLKAEQLSESTGFNVQSLDSVQMKDLDVKKIKTEVADEDMHLYSHDTEQALSQKNAMISRIRGNAAGRNYHIGPRSMSTKRSRAYNGGRFKNLVEKKIDAKFAAGLLASKEAQEEILHCEKIKDDATSTLDSLYDEIRPAIEEHERDSQDSVSTTVAEKWIQACCLKLKAEFDLYSSIVKNVACTAHRPPGQPKPTEVDNENEIKFLTG >Vigun07g214200.1.v1.2 pep primary_assembly:ASM411807v1:7:33538817:33546188:-1 gene:Vigun07g214200.v1.2 transcript:Vigun07g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINKDIVTLKDPFSEELPQYIHINQNEFFMRRHKKQKEEDIAICECKYDADDTDSACGDSCLNVLTSTECTPGYCPCDILCKNQKFQKCEYAKTKLFKTEGRGWGLLADEDLKAGQFVIEYCGEVISWKEAKRRSQAYDDQGLKDAFIICLNASESIDATRKGSLARFINHSCQPNCETRKWNVLGEIRVGIFAKHDIPIGTELAYDYNFEWFGGAKVRCLCGALKCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPVYDSAEDEPVSNVNGRTESSLDVMLKAEQLSESTGFNVQSLDSVQMKDLDVKKIKTEVADEDMHLYSHDTEQALSQKNAMISRIRGNAAGRNYHIGPRSMSTKRSRAYNGGRFKNLVEKKIDAKFAAGLLASKEAQEEILHCEKIKDDATSTLDSLYDEIRPAIEEHERDSQDSVSTTVAEKWIQACCLKLKAEFDLYSSIVKNVACTAHRPPGQPKPTEVDNENEIKFLTG >Vigun07g214200.2.v1.2 pep primary_assembly:ASM411807v1:7:33538817:33546188:-1 gene:Vigun07g214200.v1.2 transcript:Vigun07g214200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSEELPQYIHINQNEFFMRRHKKQKEEDIAICECKYDADDTDSACGDSCLNVLTSTECTPGYCPCDILCKNQKFQKCEYAKTKLFKTEGRGWGLLADEDLKAGQFVIEYCGEVISWKEAKRRSQAYDDQGLKDAFIICLNASESIDATRKGSLARFINHSCQPNCETRKWNVLGEIRVGIFAKHDIPIGTELAYDYNFEWFGGAKVRCLCGALKCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPVYDSAEDEPVSNVNGRTESSLDVMLKAEQLSESTGFNVQSLDSVQMKDLDVKKIKTEVADEDMHLYSHDTEQALSQKNAMISRIRGNAAGRNYHIGPRSMSTKRSRAYNGGRFKNLVEKKIDAKFAAGLLASKEAQEEILHCEKIKDDATSTLDSLYDEIRPAIEEHERDSQDSVSTTVAEKWIQACCLKLKAEFDLYSSIVKNVACTAHRPPGQPKPTEVDNENEIKFLTG >Vigun01g127050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30451310:30451645:1 gene:Vigun01g127050.v1.2 transcript:Vigun01g127050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPINSLQRTTRNHIKKKIRKQYKYDLLSYNFMNYANKKDSYIYRYRSLFQSNKNTMISSNYNIYKKDLFDRISNIFIKNYIAEDTIIINPDRKYLDWTGINRKILNWFF >Vigun07g098700.1.v1.2 pep primary_assembly:ASM411807v1:7:17253670:17258807:1 gene:Vigun07g098700.v1.2 transcript:Vigun07g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMQCLLYIKVPSVSRMLNWLRNISASNVFNWKRQGVQDVSPDIEMTDYRRIPNTYSENPSGLVNDESINVQPIADLDFFFERIYSYYCEKGLWCIILRWIAELLSLGFIICFSGFIFLYVDWNGLRNAKCGMAAVESGREPCDLAKEAIHQHPLIPLTVHRAIIVGYLGVFFVYWIFCLLKFFLQLKDTLKIHLFYYNSLCVTDHEIQTMSWPTILEKIVHLQKSQQLCVVKNLSAHDIVMRLMRKENYLIAMINKGVLTFPISKWVPGAGSTVKYGTDGTQYRLILPRTLELALDWCILQSMFDRNFCVRMSFVSDSKTLKRRLMVVGIAMLVLSPFLIMFMLSHLFLRYAEQIYNQPSTISSRRWSNLSRWVFREFNEVDHLFKHRTNSGVSHASIYMKQFPSPMISIIEKFISFVSGGFVAILIILAFLEESLLEGHILGRNLLWYAAIFGAITALKRVAFTENEVLVTDPEGAMSMVVDHTHYMPKRWRGKESTEMVRHEFKTLFQYSGMMLLEEMASIFVTPFLLLFVVPERADDILQFIENFTVYVEGVGDVCSFSVFNFQEYGNKSYGSPSNVPRSQRSSQGKLEKSFLSFHNSYPFWEPNNEGKQFLQNLRRFRDKKLAEHVKRHGFVPIRPWRDDNNMGRNGDKNSFTSKEMSHGTFVTGNHLGSLLVTESSNRNNHPYLLDWYYISQPRYATPGHVSTNRFEATKHHSHSILEENEHEYYQHMNEFCNERGASYLGASTSSFIFRESLIEDSHSIDVSRTTKSRWWDRSQPRNEDSHKSFLEPPDFNDQRTHNYHDRFSDRGSEDQGQEHLCQGQHDHTSFSEPQDFYHQTTYNFHDKFSDEGQYLYGGDYHELPSMAEADNLDAGKLNLRFGESTPKSPTVNPSLHAAF >Vigun06g133100.1.v1.2 pep primary_assembly:ASM411807v1:6:25960057:25964452:-1 gene:Vigun06g133100.v1.2 transcript:Vigun06g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAMMASQLRWGWRPPPKQQRRRMAPVVCSVAVENAQRKERVRLKKLFEEAYERCRSAPTEGVSFTLQQFTDALDKYDYESEVGAKVKGTVFATDAGGAYVDITAKSTAYLPLQEACIQKIKKVEEAGIVPGLREEFMIIGENGTDDTLILSLRSVQYDLAWERCRQLQAEDAVVKGKVVSANKGGLVAQVEGLKGFVPFSQISAKSTGEELLEQEISFKFVEVDEEQSRLVLSHRKAVAESQGQLGIGSVVIGSVQSIKPYGAFVDIGGISGLLHVSQISHDRITDIATVLQPGDILKVMILSHDRERGRVSLSTKKLEPSPGDMIRNPKLVFAKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLSLSGEGILGPLSSDLPPEGVNLTEVPLAEES >Vigun04g148500.1.v1.2 pep primary_assembly:ASM411807v1:4:36411745:36412319:-1 gene:Vigun04g148500.v1.2 transcript:Vigun04g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTASEIVKKLNLQPNPEGGGSPVSTSIYYLLPSGTLSRLHRIPCAETWHHYIGDPLTVVELNDKDGSVKFTCLGSDLCENQMPQYTVPPNVWFGSFPTNDYTFSTDGVFKSVTSPPRDGERNYSLVGCTCAPAFQYQDFQLAKPSYLIPRLPHLEPLITALTFPE >Vigun02g059400.2.v1.2 pep primary_assembly:ASM411807v1:2:20458894:20462856:-1 gene:Vigun02g059400.v1.2 transcript:Vigun02g059400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAKDSAIKLFGRTISLLRHNEISANGSSSEPAPPQDYSHHHSPPSSSSFPREVTSTTNHEPERDKEPSRNELTSTQDDEALHEQLKSPTSSCNLKNPKTPSTEIETTLMKSPKKGDQSDTTSASEDKTPKKPDKILPCPRCNNMDTKFCYYNNYNVNQPRHFCKHCQRYWTAGGTMRNVPVGAGRRKNKNSSSAASHYRQIMVPDTLQNGSLHSAVLTFGSDFPLCDSMASVLSLAEKAQNGVLNGFHSPKHNVNNNNTGDDINSVGASVMASSLSEKRDNATTSPHEESVDKIYHQGFSPQLCLPAFWRCMPPPPSWSVNYQSAPTSGPNSPTLGKHSREGDILFQKPGTESSNTVLIPKTLRIDDPTEAAKSSIWSTLGIKNEKGNSLNGGGLFKAFASKGGSDDKKNHVVVEASPVLQANPAALSRSLVFRERT >Vigun02g059400.1.v1.2 pep primary_assembly:ASM411807v1:2:20458894:20462856:-1 gene:Vigun02g059400.v1.2 transcript:Vigun02g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAKDSAIKLFGRTISLLRHNEISANGSSSEPAPPQDYSHHHSPPSSSSFPREVTSTTNHEPERDKEPSRNELTSTQDDEALHEQLKSPTSSCNLKNPKTPSTEIETTLMKSPKKGDQSDTTSASEDKTPKKPDKILPCPRCNNMDTKFCYYNNYNVNQPRHFCKHCQRYWTAGGTMRNVPVGAGRRKNKNSSSAASHYRQIMVPDTLQNGSLHSAVLTFGSDFPLCDSMASVLSLAEKAQNGVLNGFHSPKHNVNNNNTGDDINSVGASVMASSLSEKRDNATTSPHEESVDKIYHQGFSPQLCLPGSSSSSSSSSPWPYPWNPAMTPSAFYQPVSFYPTPAFWRCMPPPPSWSVNYQSAPTSGPNSPTLGKHSREGDILFQKPGTESSNTVLIPKTLRIDDPTEAAKSSIWSTLGIKNEKGNSLNGGGLFKAFASKGGSDDKKNHVVVEASPVLQANPAALSRSLVFRERT >Vigun11g107600.1.v1.2 pep primary_assembly:ASM411807v1:11:30635876:30638934:-1 gene:Vigun11g107600.v1.2 transcript:Vigun11g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQENGNGEMGLSAIPLGAKNKYKRMNSELPEDNDDVLLQQQQQEERRRSTRKYVLACAIFASLNNVLLGYDVGVMSGAVIFIKEDLKISEVQVEFLVGILSIISLFGSLGGGRTSDIIGRKWTMALAAVVFQMGGLTMTLAPSYAILMIGRFLAGIGIGFGVMISPIYIAEISPNLNRGSLTTFPEIFINVGIMLGYVSNYAFSGLSVHTSWRVMLAVGILPSVFIGFALFVIPESPRWLVMQNRVEEARSVLLKTNEDEKEVEERLAEIQQAAGFSNSEKYEQKPVWRELLFPPPPLRRMLITGLGIQCFQQISGIDATVYYSPEIFQAAGIEDNSKLLAATVAVGITKTIFILVAIILIDKLGRRPLLMISTIGMTVCLFCMGATLALFGKGSFVIALAILFVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASALGAVANRVCSGLVAMSFLSVSEAISVAGTFFLFSAISALAIAFVITLVPETKGKSLEQIEMMFQNEYEIQGKEMELGDVEQLVQNKTDLTN >Vigun11g107600.2.v1.2 pep primary_assembly:ASM411807v1:11:30635876:30638934:-1 gene:Vigun11g107600.v1.2 transcript:Vigun11g107600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQENGNGEMGLSAIPLGAKNKYKRMNSELPEDNDDVLLQQQQQEERRRSTRKYVLACAIFASLNNVLLGYDVGVMSGAVIFIKEDLKISEVQVEFLVGILSIISLFGSLGGGRTSDIIGRKWTMALAAVVFQMGGLTMTLAPSYAILMIGRFLAGIGIGFGVMISPIYIAEISPNLNRVHTSWRVMLAVGILPSVFIGFALFVIPESPRWLVMQNRVEEARSVLLKTNEDEKEVEERLAEIQQAAGFSNSEKYEQKPVWRELLFPPPPLRRMLITGLGIQCFQQISGIDATVYYSPEIFQAAGIEDNSKLLAATVAVGITKTIFILVAIILIDKLGRRPLLMISTIGMTVCLFCMGATLALFGKGSFVIALAILFVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASALGAVANRVCSGLVAMSFLSVSEAISVAGTFFLFSAISALAIAFVITLVPETKGKSLEQIEMMFQNEYEIQGKEMELGDVEQLVQNKTDLTN >Vigun07g048000.1.v1.2 pep primary_assembly:ASM411807v1:7:4959246:4963380:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun07g048000.4.v1.2 pep primary_assembly:ASM411807v1:7:4959738:4963391:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun07g048000.6.v1.2 pep primary_assembly:ASM411807v1:7:4959246:4963380:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun07g048000.7.v1.2 pep primary_assembly:ASM411807v1:7:4959246:4963380:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun07g048000.5.v1.2 pep primary_assembly:ASM411807v1:7:4959246:4963391:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun07g048000.3.v1.2 pep primary_assembly:ASM411807v1:7:4958924:4963381:1 gene:Vigun07g048000.v1.2 transcript:Vigun07g048000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFDLNVDINHDADAGSSCAQKEAKLQSFPTEISDSRTSNSSVWNPADEDSSNNSSPLIFDILKKERGGSEFDAATEANKEQNMAEKGEIVTRTLFPVTSSDKGGRVPDLKSGLWGKTQLLNLSFPESDEQNGQRNLQQKLPHVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDRAAIKFRGVDADINFSLRDYEEDLKQMRGLSKEEFVLVLRRQINGISRRSATYRSALALHKGGQGEPSMGPFIGKTFYPKSSIKYDEGKVETSFRPFSSKEQIIANSSIAGTCHNLDLSLGISPSSKKLKNCDYGGEYSFGCMACKIPQEIGSMEKSMVERIRSVPLQKISNLGSHLCSSNGNIGSRALQSNAASSGFVSSSSGCIISHTSNHHTY >Vigun01g174600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35612575:35615579:-1 gene:Vigun01g174600.v1.2 transcript:Vigun01g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVETLVSACAGGSSDRKIACETLAGDHTTDPPEPHPDSPPESFWLSRDEEYDWWDRNAVYERKESTKANSNSTNLNLNINPGTSSNNNSQRFSLSLKSKASTIIGLPKPQKTSFVDAKNRRNHKPGNTRLFPKRSVSVGKSESGFVEPSSPKVSCMGRVRSKLDRNRKLVCPRKDSQGTHTKEKPNRNGKKHGFFESFRAIFRSGRKEKPDRKPDDANVVTKSAAVNLKARDSTSSVNDASFEDSVTMSRNSVSESEPPGLGGMMRFASGRRSESWGVGDSEGHVPR >Vigun01g174600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35612575:35615579:-1 gene:Vigun01g174600.v1.2 transcript:Vigun01g174600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVETLVSACAGGSSDRKIACETLAGDHTTDPPEPHPDSPPESFWLSRDEEYDWWDRNAVYERKESTKANSNSTNLNLNINPGTSSNNNSQRFSLSLKSKASTIIGLPKPQKTSFVDAKNRRNHKPGNTRLFPKRSVSVGKSESGFVEPSSPKVSCMGRVRSKLDRNRKLVCPRKDSQGTHTKEKPNRNGKKHGFFESFRAIFRSGRKEKPDRKPDDANVVTKSAAVNLKARDSTSSVNDASFEDSVTMSRNSVSESEPPGLGGMMRFASGRRSESWGVGDSEGHVPR >Vigun05g058900.1.v1.2 pep primary_assembly:ASM411807v1:5:5049351:5051633:-1 gene:Vigun05g058900.v1.2 transcript:Vigun05g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKARVVFPLSFMLFITHWFQLAHHGSAAESCTYIVHMDRSLFPSVFSTHHDWFESTVQSTKSATLGHSFMESQTQIVYSYNHAMYGFGAVLTSEELEGIKNSRGFVAAYPDRNVTIDTTHTFEFLSLASSSGLWHASNLGEDVIVGVIDTGVWPESESFRDDGMTKKIPSKWKGTCQEAQDFNTSMCNFKLIGATYFNKGVIAANSTVRISMNSARDTDGHGTHTSSTVGGNYVSGASYFGYAKGVARGIAPRARLAMYKVIWEEGRYASDVLAGMDQAIADGVDVISISMGFDGVPLYEDPIAIASFAAMEKGVVVSSSAGNGGPHLGTLHNGIPWLLTVAAGTIDRTFGSLILGNGQTIIGSTVFPANALVENLPLVYHKNISACNSVKMLSKLKTEVIIVCDLVPDTKLMYKQMSVVYEARLSGAVFFLNVQRRYATSHSKPIASIKFQQTFVGMKPAPAVAVYSSRAAAIGNNVWLPSDYNLLSGTSMACPHASGVAALLKAAHPEWSAAAIRSALVTTASPLDNTQNPIRDYGYPSQYASPLAMGAGQIDPNKALDPGLIYDATPQDYVDLLCALNYTMKQILVITRSGSYNCAKPSFDLNYPSFIAIYSNKTMSVVQKFRRTVTNVGVGGGTYRAKVTEPKGSVVTVSPETLSFRYKNEKLSYSVVIKYRKHKKEEISYGDVIWMEDGGAHSVRSPIVVAPSGIV >Vigun03g446200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64773166:64775308:1 gene:Vigun03g446200.v1.2 transcript:Vigun03g446200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGHCCAVVSISHAKISSHFSFSHFFFHLLPSLYSIFLFFTLFNFHTTQSMDNNPSTSTQQPRPCEKASSMKKRFLKRAKEHRSRLYILKRCIIMLLCWQKYEQY >Vigun03g446200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64773394:64775307:1 gene:Vigun03g446200.v1.2 transcript:Vigun03g446200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGHCCAVVSISHAKISSHFSFSHFFFHLLPSLYSIFLFFTLFNFHTTQSMDNNPSTSTQQPRPCEKASSMKKRFLKRAKEHRSRLYILKRCIIMLLCWQKYEQY >Vigun03g446200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64773394:64775173:1 gene:Vigun03g446200.v1.2 transcript:Vigun03g446200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGHCCAVVSISHAKISSHFSFSHFFFHLLPSLYSIFLFFTLFNFHTTQSMDNNPSTSTQQPRPCEKASSMKKRFLKRAKEHRSRLYILKRCIIMLLCWQKYEQY >Vigun03g446200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64773167:64775307:1 gene:Vigun03g446200.v1.2 transcript:Vigun03g446200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGHCCAVVSISHAKISSHFSFSHFFFHLLPSLYSIFLFFTLFNFHTTQSMDNNPSTSTQQPRPCEKASSMKKRFLKRAKEHRSRLYILKRCIIMLLCWQKYEQY >Vigun03g446200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64773167:64775307:1 gene:Vigun03g446200.v1.2 transcript:Vigun03g446200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGHCCAVVSISHAKISSHFSFSHFFFHLLPSLYSIFLFFTLFNFHTTQSMDNNPSTSTQQPRPCEKASSMKKRFLKRAKEHRSRLYILKRCIIMLLCWQKYEQY >Vigun05g154700.2.v1.2 pep primary_assembly:ASM411807v1:5:24701364:24723579:1 gene:Vigun05g154700.v1.2 transcript:Vigun05g154700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPNIRFRHSSIHLSHVPKCTFVPYISTKSQTHFLSSLPPVCSETFRIIAPKSRLLGPLFAKRVGLLTSILPGGSWWALPELREDGVEPTAALIALRRIWELVADERWVAFVAVGSLVIAALSEISMPSILAASIFSAQSGETVVFSRNALFLLLLCVTSGICSGLRCGCFGILNVTLVKHLRENLYATILFQDISYFDKERVGDLTSRLTADCQRLSHVIGNDLQLILRNTCQGTGAILNLMVLSWPLALSALVICSILSAIFLVYGQYQRKAAKLIHDFTACANDVALETLSSVRTVRAYGTEKREFGRYKQWLQSLALITLRQNMVSGLWDLIFSTLYRSMQMPVLEHLDFSIRGKSSHCHSLPCQGWSEW >Vigun01g162300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34415549:34418223:1 gene:Vigun01g162300.v1.2 transcript:Vigun01g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVNYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHMTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLSTKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMIPIRVHTVLISTQHDETVTNEKIAEDLKEKVIKPVIPAKYIDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCLVQVSYAIGVPEPLSVFVDTYQTGKIPDKDILALIKEKFDFRPGMIAINLDLMRGGKCRYLKTAAYGHFGRDDPDFTWETVKILKPNA >Vigun01g087600.4.v1.2 pep primary_assembly:ASM411807v1:1:24577574:24579973:-1 gene:Vigun01g087600.v1.2 transcript:Vigun01g087600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEERNQKGCKGSEPEFFLQWGHRKRLRCVRVKDPRISTRLNGGIRRKLGSALDHRSGVTVAEKEASHNHHQQPNRLTRNSDGAILRSSVGETRKSASPEKEDRYYTTRGSAEESGKVAGDGNNGEERALVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSMESDSE >Vigun01g087600.3.v1.2 pep primary_assembly:ASM411807v1:1:24577577:24579888:-1 gene:Vigun01g087600.v1.2 transcript:Vigun01g087600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEERNQKGCKGSEPEFFLQWGHRKRLRCVRVKDPRISTRLNGGIRRKLGSALDHRSGVTVAEKEASHNHHQQPNRLTRNSDGAILRSSVGETRKSASPEKEDRYYTTRGSAEESGKVAGDGNNGEERALVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSMESDSE >Vigun01g087600.1.v1.2 pep primary_assembly:ASM411807v1:1:24577577:24579888:-1 gene:Vigun01g087600.v1.2 transcript:Vigun01g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVVLCGVGMETEERNQKGCKGSEPEFFLQWGHRKRLRCVRVKDPRISTRLNGGIRRKLGSALDHRSGVTVAEKEASHNHHQQPNRLTRNSDGAILRSSVGETRKSASPEKEDRYYTTRGSAEESGKVAGDGNNGEERALVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSMESDSE >Vigun01g087600.2.v1.2 pep primary_assembly:ASM411807v1:1:24577577:24579891:-1 gene:Vigun01g087600.v1.2 transcript:Vigun01g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEERNQKGCKGSEPEFFLQWGHRKRLRCVRVKDPRISTRLNGGIRRKLGSALDHRSGVTVAEKEASHNHHQQPNRLTRNSDGAILRSSVGETRKSASPEKEDRYYTTRGSAEESGKVAGDGNNGEERALVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSMESDSE >VigunL008901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:106743:106904:-1 gene:VigunL008901.v1.2 transcript:VigunL008901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun02g050700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19202716:19205571:1 gene:Vigun02g050700.v1.2 transcript:Vigun02g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPEIEVVVVDIAAPRINAWNSDHLPIYEPGLDDVVKKCRGKNLFFSTDVEKHVAEANIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKTDKIVVEKSTVPVKTAEAIERILTHNRKGINFTILSNPEFLAEGTAIADLFKPDRVLIGGRETPEGQKAIHALRDVYAHWVPVDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHSIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKTRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVSEDQITRDLAMKKFDWDHPAHLQPLSPTSNKQVSVVWDAYEAIKDAHGICILTEWDEFKNLDYKKVFDCMQKPAFVFDGRNVVDVNKLREIGFIVYSIGKPLDSWLKDMPAVA >Vigun08g166500.1.v1.2 pep primary_assembly:ASM411807v1:8:33829118:33832256:-1 gene:Vigun08g166500.v1.2 transcript:Vigun08g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLARAQDFESKAEKRLNGWGLFGSKFEDAADLFDKSANSYKLAKSWDKAGSTYIKLANCHLKLESKHEAAQAYVDAAHCYKKTNTNEAVSCLDNAVNIFCEIGRLSMAARYLKEIAELYEAEQNIEQAVIYFERAADFYENEEVNTSANQCKQKVAQYSAQLEQYQRSVEIYEEIARQSLNNNLLKYGVKGHLLNAGICQLCRGDVVAITNALERYQDLDPTFSGTREYRFLADIAAAIDEEDVAKFTEVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Vigun07g072150.1.v1.2 pep primary_assembly:ASM411807v1:7:9016007:9016656:1 gene:Vigun07g072150.v1.2 transcript:Vigun07g072150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLFFLISFIILTILFCIVFVLLSNSGGVFLFGEATFLYILHLVKLSFYISTFLFFFFMFLKVIFYNVFVSL >Vigun04g116250.1.v1.2 pep primary_assembly:ASM411807v1:4:29121415:29122662:1 gene:Vigun04g116250.v1.2 transcript:Vigun04g116250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGFHVVLLLVVIISRKKTNFQMFLFLLTLVGVYLAERLNRIMGKNWKSFSGQNYFDPSGLFMSVLWSGPLLIISMIILINTLFSLCYLIVRWKRAELRNRARAARNKQE >Vigun11g080501.1.v1.2 pep primary_assembly:ASM411807v1:11:23834998:23840250:1 gene:Vigun11g080501.v1.2 transcript:Vigun11g080501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMEQEHELEGAGCSCLFNHIRTFVNAKVKWVRDPYLDNAVLKEKDLKQICFLKNLIISSPSKSLFMSKRFTQFQPGPGLPPVVKLTPQSFYIHQQEMVVHNSPTNRENTLKWDMGLPHDYVTTLLADYPHYFDVSVVEDPSSGKEVLALELVLGRKELFGSDDLEKRARNLGITGDKRGFDLVNRVKTWVENCQKLPYVSERQFSPYEDTFHLESNSDQVEKCTVAILHELLSLLV >Vigun03g089700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7554815:7555583:1 gene:Vigun03g089700.v1.2 transcript:Vigun03g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFALVFFAGVVLAGASRELRPSEHGLTFQTLSPAGAHSSPEMRSFFNSANSSPAMSSSSDVALPRAMDSGDASPPAWWQSAGGHGGDGVGKALTVASLVCGVAGAVLLVVSGLIYLFKHRNRKQKKLNAAFRVENGNEGENDEVENNKLQLVVRNP >Vigun08g187900.1.v1.2 pep primary_assembly:ASM411807v1:8:35652077:35652725:1 gene:Vigun08g187900.v1.2 transcript:Vigun08g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFVSFFTLLVLVFTVAAKEPSKGLTCDQEKTLVAPCVDFLTKKTDTPATPCCEGLKKIIESSPTKKEKKAACKCLKEAASQVPNLDKDRANNLCKTCKITVDFLFSKNFECEKL >Vigun05g080200.1.v1.2 pep primary_assembly:ASM411807v1:5:7549967:7552001:-1 gene:Vigun05g080200.v1.2 transcript:Vigun05g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKGTVCVTGGTGFIGSWLIKSLLEHGYSVNTTIRSDPGRKRDVSFLTNLPGASEKLRFFNADLSDPESFGPAVEGCVGIFHTATPIDFAVNEPEEVVTQRAIEGALGILKAAVKSKTVKRVVYTSSASTVSFTGPEPQDVVDESAWSDVDLLRNLKPFSWSYTVSKVLTEKAVFEFGAQNGLEVVSLVPPFVVGSFICPKLPDSVERALILLFGKKEEIGVIRYHMVHVDDLARAHIFLLEHPNPKGRYNCSPFIVPIEEIAEIISTKYPEFQILSAEEVKEIKGARLPHLDSQKLVDAGFEFKYSVEDMFTDAIECCKQNAYL >VigunL059457.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:12116:13069:1 gene:VigunL059457.v1.2 transcript:VigunL059457.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRNSGFGFGFWVWGFEFCVTSYKLRVLGLIFRVSDFRFLVSGLRFRVSGYGLRVSGYGFSVWSFGFRVSVSRLGFRISGFVFGFSGFGDFSLPISGFGFQHSGFEFRVWGLEFRVSSFWFWVSGLEFRVSGFEFQVTSYGFWDSCFGCWISSFLFRISSLWFRVLSLEFGVRVSNLGFMVLAFRDSVFRFQISGFGFGFWVSGFEFRVTSYKLRVLGLMFRVSDFRFLVSDFGFRLSSFGFSSFGFRVSNLRIRLWVFGFWGFRVTDFRFRVSTFRLSFGFGIEFRISGLGFRVWGFEFRVTSFGFGVCDLGF >Vigun09g181900.1.v1.2 pep primary_assembly:ASM411807v1:9:35549316:35554025:-1 gene:Vigun09g181900.v1.2 transcript:Vigun09g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVAETLNIIHNEGESFTQRAEMYYRKRPQLIGYVEEVYRAYRALAQRYDHISKELQSANRTIATVFPEQVHYGIDEDDSEESFPGPKSSSSSSSSQDPINQIPKKGIPKPPDIPKDFRKQCPVRKIANSAKSPPISPTSGLTTAEALTAVDKLQKEILALQTEKEFVRISYEHSYEKYWKIEDKITEMQRRVCNFEDEFGINNIIEDNEARALMEATALNSCKETLLMLQEVKAQSSEEAKESYEIIKEAQGKLKILKDWFFSEDKSERDPKSTEEEDMFSMEKEMHECEVGEKLEKDSGSSLTLVEMADKIDDLVNKVVTLETAVSSQTGLVKRLVSEAEELQKITLMLEEDEEKLIEDTEETRKKLEEVEEKLRTAKILNQRVKMQENSLQRLFTRASFEHEHLSGKINNVKHDEEGENLLLHKNRSASDVELKEESEKPGDDNTETKKDLKTKKKKKDDYSVNLGYVRNEDNKDNLNKSIGLMIEKDLKTKKKKKDDYSVNLGYVRNEDNKDNLNKSIGLMIEKDLKTKKKKKDDYSVNLGYVRNEDNKYNLNKSIGLMIENIPELTPVNKDDFSESKSNVDTESLDKVTGEKDQTNWSQMFASGLDDREKILSEFNSVVKNYEDVKGKLNDAEKKNQDNILELALQIRELKDTVETKEKQINILQQKLTCSETNPEERPRITLPYYNYTSPLLGIAPHETEKQDTENPTSNTDAGAGAVSTSDADHHQQGVDKRTKFGILVKVTSNQHKRSRSLSILEKKFRSAINILLEENLEFWMRFSTSMHQTHKFQDSIEDLKCEVRSIRDDNMSNENSNIMQSEIKAIIKHLREIRSELLLWLEHSEILHEELQRRHPSLCTLQDEIARAATPNSASNMAELSGFQAAKFQGEVLNMKQENNKVRNQLQAGVVFVKGLKAQVDKMLKKLSIEIGVNNQEQITKQSTNRARIPLKSFLFGVKLRKQRQPVIEGESPTEQRQHSDQGIVDDHD >Vigun09g181900.2.v1.2 pep primary_assembly:ASM411807v1:9:35549316:35554025:-1 gene:Vigun09g181900.v1.2 transcript:Vigun09g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRAATSAYSWWWASHIRTKQSKWLEQSLQDMENVVAETLNIIHNEGESFTQRAEMYYRKRPQLIGYVEEVYRAYRALAQRYDHISKELQSANRTIATVFPEQVHYGIDEDDSEESFPGPKSSSSSSSSQDPINQIPKKGIPKPPDIPKDFRKQCPVRKIANSAKSPPISPTSGLTTAEALTAVDKLQKEILALQTEKEFVRISYEHSYEKYWKIEDKITEMQRRVCNFEDEFGINNIIEDNEARALMEATALNSCKETLLMLQEVKAQSSEEAKESYEIIKEAQGKLKILKDWFFSEDKSERDPKSTEEEDMFSMEKEMHECEVGEKLEKDSGSSLTLVEMADKIDDLVNKVVTLETAVSSQTGLVKRLVSEAEELQKITLMLEEDEEKLIEDTEETRKKLEEVEEKLRTAKILNQRVKMQENSLQRLFTRASFEHEHLSGKINNVKHDEEGENLLLHKNRSASDVELKEESEKPGDDNTETKKDLKTKKKKKDDYSVNLGYVRNEDNKDNLNKSIGLMIEKDLKTKKKKKDDYSVNLGYVRNEDNKDNLNKSIGLMIEKDLKTKKKKKDDYSVNLGYVRNEDNKYNLNKSIGLMIENIPELTPVNKDDFSESKSNVDTESLDKVTGEKDQTNWSQMFASGLDDREKILSEFNSVVKNYEDVKGKLNDAEKKNQDNILELALQIRELKDTVETKEKQINILQQKLTCSETNPEERPRITLPYYNYTSPLLGIAPHETEKQDTENPTSNTDAGAGAVSTSDADHHQQGVDKRTKFGILVKVTSNQHKRSRSLSILEKKFRSAINILLEENLEFWMRFSTSMHQTHKFQDSIEDLKCEVRSIRDDNMSNENSNIMQSEIKAIIKHLREIRSELLLWLEHSEILHEELQRRHPSLCTLQDEIARAATPNSASNMAELSGFQAAKFQGEVLNMKQENNKVRNQLQAGVVFVKGLKAQVDKMLKKLSIEIGVNNQEQITKQSTNRARIPLKSFLFGVKLRKQRQPVIEGESPTEQRQHSDQGIVDDHD >Vigun09g179300.1.v1.2 pep primary_assembly:ASM411807v1:9:35164609:35166562:-1 gene:Vigun09g179300.v1.2 transcript:Vigun09g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDPFPYACKDYFYSPVGAAPNYGFQAAEEEKLLLGIINNNIEDNLYTTWDSSSTSVLQNVKEQWDSHSSPEACTVDESMIPPLSSSAEATATATTTTTRRKRRRTKSAKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYVQRGDQASIIGGAINFVKELEQVLQSMEGEKRRKQGEEENVGLNGWTTPFAEFFTFPQYTTRGTQKQEQKQWAVADIEVTMVDSHANLKILAKKQPSHLIKIVLGLQSLRLTILHLNVTTLHHMVLYSISVKVEEGCELNTVDEIAAAVNQLLGTIQDVAFS >Vigun11g197100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39622215:39623189:-1 gene:Vigun11g197100.v1.2 transcript:Vigun11g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPKVFFDMTIAGQPAGRIVFELFADTTPRTAENFRALCTGEKGIGRSGKPLHYKGSVFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFVKKHTGPGILSMANAGPGTNGSQFFICTTKTEWLDGKHVVFGQVVEGLNVLKEIERLGSSSGKTSRPVVIADCGQLS >VigunL088050.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000069.1:28290:28571:1 gene:VigunL088050.v1.2 transcript:VigunL088050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSVVSSKAFLEASFDWNWKLPRILQYISEEIPCCFLLGFFIEFAAKHHFLHLSHPSMSGV >Vigun08g058700.1.v1.2 pep primary_assembly:ASM411807v1:8:7498421:7501387:1 gene:Vigun08g058700.v1.2 transcript:Vigun08g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGLKIPCLKFCGLKEGSEEWREMSKKVKDACESHGCFIMVYDKIPKGLCHHMSLFIKELFDLPEETKRKHTSPKPYNGYNSDSPVYQCFGLEDASLLQTSQAFTNLMWPQGNPTFCETLNLTSSKLVDLSLEIMKMIVEGYGLPKKYSSKIEEFKSSCHFRLMKYKVAENGEVCEAALLPHTDKSALTILFDNQVEGLQVLTKSNQWIPVKIPQEGFVVMVGDAFKAWSNGRLDAATHRVVMKGEKERYSFGLFALPKEEVNIEVPGELVEDNIHPLRYRPFNYGDFLKYFLFTLSPNALDVFAAASSYPQGS >Vigun03g058900.1.v1.2 pep primary_assembly:ASM411807v1:3:4815258:4820921:1 gene:Vigun03g058900.v1.2 transcript:Vigun03g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLWTRPGEFSSEIKEVYMFRRNLSRVPLKILTRWLIFLTILKVSSAIKDPDIEGEALLDLLHFLNDSNKQITDWDGHMVSPCFSWSHVTCKNGHVISLAMASVGFVGTLSPSITKLKYLVSVELQNNNLSGPLPDYISYLKDLQYLNLAGNNFSGSIPDKWDELSNLKYLDLSSNALTGRIPMQLFSVPMFNFSDTHLQCGPGFQQSCTSKSENPDSSHKSKLAKIVRYASCGAFALLCLGAILTYRHHQMRRNQREVFVDVSGEDESKISLGQLRRFSWRELQLATKNFSEGNIIGQGGFGKVYKGVLCDNTKVAVKRLVDYHNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYRLRELKPGEKGLDWPTRKRIAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEDEDVLLIDYVKKMVREKRLEDMVDRNLERYDPKEVETILQVALLCTQGYPEDRPSMSEVVKMLQGVGLADRWADWQQLEEARNQEFSLMTHQFVWNDESTLDQEAIQLSRAR >Vigun03g058900.2.v1.2 pep primary_assembly:ASM411807v1:3:4814996:4820968:1 gene:Vigun03g058900.v1.2 transcript:Vigun03g058900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRNLSRVPLKILTRWLIFLTILKVSSAIKDPDIEGEALLDLLHFLNDSNKQITDWDGHMVSPCFSWSHVTCKNGHVISLAMASVGFVGTLSPSITKLKYLVSVELQNNNLSGPLPDYISYLKDLQYLNLAGNNFSGSIPDKWDELSNLKYLDLSSNALTGRIPMQLFSVPMFNFSDTHLQCGPGFQQSCTSKSENPDSSHKSKLAKIVRYASCGAFALLCLGAILTYRHHQMRRNQREVFVDVSGEDESKISLGQLRRFSWRELQLATKNFSEGNIIGQGGFGKVYKGVLCDNTKVAVKRLVDYHNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYRLRELKPGEKGLDWPTRKRIAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEDEDVLLIDYVKKMVREKRLEDMVDRNLERYDPKEVETILQVALLCTQGYPEDRPSMSEVVKMLQGVGLADRWADWQQLEEARNQEFSLMTHQFVWNDESTLDQEAIQLSRAR >Vigun03g058900.5.v1.2 pep primary_assembly:ASM411807v1:3:4815020:4820958:1 gene:Vigun03g058900.v1.2 transcript:Vigun03g058900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPCFSWSHVTCKNGHVISLAMASVGFVGTLSPSITKLKYLVSVELQNNNLSGPLPDYISYLKDLQYLNLAGNNFSGSIPDKWDELSNLKYLDLSSNALTGRIPMQLFSVPMFNFSDTHLQCGPGFQQSCTSKSENPDSSHKSKLAKIVRYASCGAFALLCLGAILTYRHHQMRRNQREVFVDVSGEDESKISLGQLRRFSWRELQLATKNFSEGNIIGQGGFGKVYKGVLCDNTKVAVKRLVDYHNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYRLRELKPGEKGLDWPTRKRIAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEDEDVLLIDYVKKMVREKRLEDMVDRNLERYDPKEVETILQVALLCTQGYPEDRPSMSEVVKMLQGVGLADRWADWQQLEEARNQEFSLMTHQFVWNDESTLDQEAIQLSRAR >Vigun03g058900.4.v1.2 pep primary_assembly:ASM411807v1:3:4815258:4820967:1 gene:Vigun03g058900.v1.2 transcript:Vigun03g058900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRNLSRVPLKILTRWLIFLTILKVSSAIKDPDIEGEALLDLLHFLNDSNKQITDWDGHMVSPCFSWSHVTCKNGHVISLAMASVGFVGTLSPSITKLKYLVSVELQNNNLSGPLPDYISYLKDLQYLNLAGNNFSGSIPDKWDELSNLKYLDLSSNALTGRIPMQLFSVPMFNFSDTHLQCGPGFQQSCTSKSENPDSSHKSKLAKIVRYASCGAFALLCLGAILTYRHHQMRRNQREVFVDVSGEDESKISLGQLRRFSWRELQLATKNFSEGNIIGQGGFGKVYKGVLCDNTKVAVKRLVDYHNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYRLRELKPGEKGLDWPTRKRIAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEDEDVLLIDYVKKMVREKRLEDMVDRNLERYDPKEVETILQVALLCTQGYPEDRPSMSEVVKMLQGVGLADRWADWQQLEEARNQEFSLMTHQFVWNDESTLDQEAIQLSRAR >Vigun03g058900.3.v1.2 pep primary_assembly:ASM411807v1:3:4815012:4820964:1 gene:Vigun03g058900.v1.2 transcript:Vigun03g058900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRNLSRVPLKILTRWLIFLTILKVSSAIKDPDIEGEALLDLLHFLNDSNKQITDWDGHMVSPCFSWSHVTCKNGHVISLAMASVGFVGTLSPSITKLKYLVSVELQNNNLSGPLPDYISYLKDLQYLNLAGNNFSGSIPDKWDELSNLKYLDLSSNALTGRIPMQLFSVPMFNFSDTHLQCGPGFQQSCTSKSENPDSSHKSKLAKIVRYASCGAFALLCLGAILTYRHHQMRRNQREVFVDVSGEDESKISLGQLRRFSWRELQLATKNFSEGNIIGQGGFGKVYKGVLCDNTKVAVKRLVDYHNPGGEAAFEREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYRLRELKPGEKGLDWPTRKRIAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEAVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGERAIDLSRLEEDEDVLLIDYVKKMVREKRLEDMVDRNLERYDPKEVETILQVALLCTQGYPEDRPSMSEVVKMLQGVGLADRWADWQQLEEARNQEFSLMTHQFVWNDESTLDQEAIQLSRAR >Vigun09g191900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36681228:36682464:1 gene:Vigun09g191900.v1.2 transcript:Vigun09g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHASNSNSHPNNSNNSNNHHHHPPRFKPSQPISDRIVRALRHRLRLLHRAGSTFFIFGATGNVYTVTLSSTPSCTCPDRTTPCKHILFVFIRVLGVSLDDVCLRRRTLRPCQLQRLLGKPTLPEAVAGGSLRQRFHQLFLGGGSRKAEIETEEGATCPVCLEEMVKEQKLVACGTCRNPIHEECLMRWKRSRGRRSASCVICRARWRDRAEQDQYLNLSAYVSEDDMAEPAGGLCTG >Vigun11g134900.1.v1.2 pep primary_assembly:ASM411807v1:11:34431611:34433545:-1 gene:Vigun11g134900.v1.2 transcript:Vigun11g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTYLHQETLLHIDDFELQDFVEDPNLDQLINFIRLEKKDAICDFNSELINEAFNDNSLLPHPATPYDQCNINAVNVYDSNSFSCFDGEAKREAEKEEDDMEHSSATTSTTTTTTTTTPTKSLVAKSKPKSDRSKTLISERRRRDRMKEKLYALRSLVPFITKMDKASIIGDAVSYMHELQAQANMLKSEVEALETSLLVSENYQGSIENPVKDDFTNNILSIRKKIIQMDMFQVDAKGFYVKIVCNKGEGVAASLYEALESLSGFHVQNSNLSTVGNSFQLTFSLNVKSSGPEINLHNLKIWVSEAFVKQGFEFIPLLMLDSFNL >Vigun04g100200.13.v1.2 pep primary_assembly:ASM411807v1:4:23392172:23398269:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.8.v1.2 pep primary_assembly:ASM411807v1:4:23392091:23400854:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.4.v1.2 pep primary_assembly:ASM411807v1:4:23392090:23400855:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.7.v1.2 pep primary_assembly:ASM411807v1:4:23392091:23400854:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.11.v1.2 pep primary_assembly:ASM411807v1:4:23392089:23398251:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTECENARRNCFFI >Vigun04g100200.10.v1.2 pep primary_assembly:ASM411807v1:4:23392089:23398269:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.14.v1.2 pep primary_assembly:ASM411807v1:4:23392172:23398269:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.1.v1.2 pep primary_assembly:ASM411807v1:4:23392172:23398269:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.12.v1.2 pep primary_assembly:ASM411807v1:4:23392089:23398251:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFSNSSIESGFSPAQQKNMELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTECENARRNCFFI >Vigun04g100200.6.v1.2 pep primary_assembly:ASM411807v1:4:23392172:23400855:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.5.v1.2 pep primary_assembly:ASM411807v1:4:23392090:23400855:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun04g100200.9.v1.2 pep primary_assembly:ASM411807v1:4:23392091:23400854:-1 gene:Vigun04g100200.v1.2 transcript:Vigun04g100200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGEKKPKRCNSRGVRIVGSRIYDSDNGKTCHQCRQKTRDFCASCKNLKNGKPCSIKFCHKCLLNRYGEDAEKAEQLDNWACPKCRNICNCSFCRKKKGELPTGPLFHTAKATGFKSVSEMLVANTSKYNEMGSIMEHEHVSSSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSSQTNSSLQLDPVNTQRNSTKISKKTAREELKEICNAKNGDNAGKKKSYKKRKICQEVPNEEKGNANDDTRACSKVAEKETKEHRNHHLISVDPANDFIDPMTSTPKKNVQHMHAENWNCTVPIDSCAGAEVQVEMARFASVGMNSVMDKTEEEIPLPLGAELTKVLDIELPPENVGNALQLLEFCRVFGKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRMLTLIFNDSENEYPSLTASDGSNSWLKALKDLIAESDYIMKDFPLDWLEEGASGYHNLDLSRKLTLLNFLCDEALGTEKLRCCIEDQNAKHAEDVKEAKSKVAEAVDKEKGLRKKLQSETVNGIMLKVTPLQMEKHNALLTKLKSEVVQAHDEVLKLKGALPKEKRGSDAMRIKPEFVDNDGKVFWKLKSYNDESSVLLQDVKMQDETASSSEEKWFVYGPEKKEEVDKYIHSRAKRLKSHKG >Vigun03g126500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12054750:12055949:-1 gene:Vigun03g126500.v1.2 transcript:Vigun03g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLNLVISTSMIYLVFLVILVILKILISLFDFISVFDLVNLLILVNLVSLVILVSLVNPVSLVNLVNLVIPVSLVNLVSLVNPVSLVILVSLVIPVSLVNPVNLVIPVNPVSLVILVSLVNPVNLVNPISLVIPISLVDLVILVSLVNLVILVSLAILVNLVILAILMSQFDLVSLVDPINLVSLVIPISLVIPIILISPVRFNLQIKLNSHCHSHICTLFLLSKSYHFTFHSLLHHFQPTFYIGYGCGFPSFTACFL >Vigun03g125700.1.v1.2 pep primary_assembly:ASM411807v1:3:11881438:11883383:-1 gene:Vigun03g125700.v1.2 transcript:Vigun03g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRIGSRNMEEVEKVKAEALQIIGVFQLLPKLVVFDLDYTLWPFYCECRSKHDTPYLFPHSRGILHALKSQNIHAAIASKSPTPDIATTYLDKLGIRSMFVAEEIFYSWTHKTDHFQKIHSKTGVPYNSMLFFDDDNSNIQEISKIGVTSILVRNGVNLEAFRNGLAKFSQNGNASKKNKQKRPK >Vigun03g125700.2.v1.2 pep primary_assembly:ASM411807v1:3:11881438:11883383:-1 gene:Vigun03g125700.v1.2 transcript:Vigun03g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRIGSRNMEEVEKVKAEALQIIGVFQLLPKLVVFDLDYTLWPFYCECRSKHDTPYLFPHSRGILHALKSQNIHAAIASKSPTPDIATTYLDKLGIRSMFVAEEIFYSWTHKTDHFQKIHSKTGVPYNSMLFFDDDNSNIQER >Vigun03g065000.2.v1.2 pep primary_assembly:ASM411807v1:3:5363358:5369017:-1 gene:Vigun03g065000.v1.2 transcript:Vigun03g065000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDGDIDVFFDSLESLSAQDSVLGKEEFGSEGCGCDYGDIWVKEPVSVKERRERFLQDLGLDEASSTMVCYQDRMNFDDSSISLGFERIRECSGAISNASILHNDQVSEKSVLSGGKAASEAKVSLDEVKGCPQGEEDANFEGKEHDFPSTNQEHREADSQEFQDFDMGKRKRKNWWKRFVNERKGGEGNVRSKFNAGANKTRRIKVRQNKKRWLEFSGLFIGQEVRAHKGVIWTMKFSPCGQYLASGGEDGVVRIWRVTTLDKSSICFTSKDSTSNTKVERKNTSPKKKNSYRPFIFLPNSIFQIEESPLQEFFGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIDCNQCLRVFHHNDYVTCIQFNPVDENYFISGSIDGKVRIWGIREERVIDWANIRDVISAISYQEDGKGFVVGSVTGTCRFYVASGKYFQLEAQIDLHGKKKASGNKITGIQFSQKSTQRIMITTEDSKIHIYDGIELVQKYKGLPKSGSQMSGSFTSSGKHIISVGEDSHVYIWDLDELGNASSKNTKSERSCEYFFSKGVTVAIPWSDVKAYERGSSSNFSHHSSEIAPPEIRDQERFSLGNWFAIDGTCRGSMTWPEEKLPSWDLPIAEDEYDEQQLCQKNTCHDRIVSETWGLSIVVAASDGTIKTFHNFGLPIRL >Vigun03g065000.1.v1.2 pep primary_assembly:ASM411807v1:3:5363360:5368992:-1 gene:Vigun03g065000.v1.2 transcript:Vigun03g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDGDIDVFFDSLESLSAQDSVLGKEEFGSEGCGCDYGDIWVKEPVSVKERRERFLQDLGLDEASSTMVCYQDRMNFDDSSISLGFERIRECSGAISNASILHNDQVSEKSVLSGGKAASEAKVSLDEVKGCPQGEEDANFEGKEHDFPSTNQEHREADSQEFQDFDMGKRKRKNWWKRFVNERKGGEGNVRSKFNAGANKTRRIKVRQNKKRWLEFSGLFIGQEVRAHKGVIWTMKFSPCGQYLASGGEDGVVRIWRVTTLDKSSICFTSKDSTSNTKVERKNTSPKKKNSYRPFIFLPNSIFQIEESPLQEFFGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIDCNQCLRVFHHNDYAVTCIQFNPVDENYFISGSIDGKVRIWGIREERVIDWANIRDVISAISYQEDGKGFVVGSVTGTCRFYVASGKYFQLEAQIDLHGKKKASGNKITGIQFSQKSTQRIMITTEDSKIHIYDGIELVQKYKGLPKSGSQMSGSFTSSGKHIISVGEDSHVYIWDLDELGNASSKNTKSERSCEYFFSKGVTVAIPWSDVKAYERGSSSNFSHHSSEIAPPEIRDQERFSLGNWFAIDGTCRGSMTWPEEKLPSWDLPIAEDEYDEQQLCQKNTCHDRIVSETWGLSIVVAASDGTIKTFHNFGLPIRL >Vigun03g065000.3.v1.2 pep primary_assembly:ASM411807v1:3:5363326:5369024:-1 gene:Vigun03g065000.v1.2 transcript:Vigun03g065000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDGDIDVFFDSLESLSAQDSVLGKEEFGSEGCGCDYGDIWVKEPVSVKERRERFLQDLGLDEASSTMVCYQDRMNFDDSSISLGFERIRECSGAISNASILHNDQVSEKSVLSGGKAASEAKVSLDEVKGCPQGEEDANFEGKEHDFPSTNQEHREADSQEFQDFDMGKRKRKNWWKRFVNERKGGEGNVRSKFNAGANKTRRIKVRQNKKRWLEFSGLFIGQEVRAHKGVIWTMKFSPCGQYLASGGEDGVVRIWRVTTLDKSSICFTSKDSTSNTKVERKNTSPKKKNSYRPFIFLPNSIFQIEESPLQEFFGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIDCNQCLRVFHHNDYVTCIQFNPVDENYFISGSIDGKVRIWGIREERVIDWANIRDVISAISYQEDGKGFVVGSVTGTCRFYVASGKYFQLEAQIDLHGKKKASGNKITGIQFSQKSTQRIMITTEDSKIHIYDGIELVQKYKGLPKSGSQMSGSFTSSGKHIISVGEDSHVYIWDLDELGNASSKNTKSERSCEYFFSKGVTVAIPWSDVKAYERGSSSNFSHHSSEIAPPEIRDQERFSLGNWFAIDGTCRGSMTWPEEKLPSWDLPIAEDEYDEQQLCQKNTCHDRIVSETWGLSIVVAASDGTIKTFHNFGLPIRL >Vigun03g065000.4.v1.2 pep primary_assembly:ASM411807v1:3:5363360:5368802:-1 gene:Vigun03g065000.v1.2 transcript:Vigun03g065000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDGDIDVFFDSLESLSAQDSVLGKEEFGSEGCGCDYGDIWVKEPVSVKERRERFLQDLGLDEASSTMVCYQDRMNFDDSSISLGFERIRECSGAISNASILHNDQVSEKSVLSGGKAASEAKVSLDEVKGCPQGEEDANFEGKEHDFPSTNQEHREADSQEFQDFDMGKRKRKNWWKRFVNERKGGEGNVRSKFNAGANKTRRIKVRQNKKRWLEFSGLFIGQEVRAHKGVIWTMKFSPCGQYLASGGEDGVVRIWRVTTLDKSSICFTSKDSTSNTKVERKNTSPKKKNSYRPFIFLPNSIFQIEESPLQEFFGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIDCNQCLRVFHHNDYAVTCIQFNPVDENYFISGSIDGKVRIWGIREERVIDWANIRDVISAISYQEDGKGFVVGSVTGTCRFYVASGKYFQLEAQIDLHGKKKASGNKITGIQFSQKSTQRIMITTEDSKIHIYDGIELVQKYKGLPKSGSQMSGSFTSSGKHIISVGEDSHVYIWDLDELGNASSKNTKSERSCEYFFSKGVTVAIPWSDVKAYERGSSSNFSHHSSEIAPPEIRDQERFSLGNWFAIDGTCRGSMTWPEEKLPSWDLPIAEDEYDEQQLCQKNTCHDRIVSETWGLSIVVAASDGTIKTFHNFGLPIRL >Vigun03g065000.5.v1.2 pep primary_assembly:ASM411807v1:3:5363360:5368802:-1 gene:Vigun03g065000.v1.2 transcript:Vigun03g065000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDDGDIDVFFDSLESLSAQDSVLGKEEFGSEGCGCDYGDIWVKEPVSVKERRERFLQDLGLDEASSTMVCYQDRMNFDDSSISLGFERIRECSGAISNASILHNDQVSEKSVLSGGKAASEAKVSLDEVKGCPQGEEDANFEGKEHDFPSTNQEHREADSQEFQDFDMGKRKRKNWWKRFVNERKGGEGNVRSKFNAGANKTRRIKVRQNKKRWLEFSGLFIGQEVRAHKGVIWTMKFSPCGQYLASGGEDGVVRIWRVTTLDKSSICFTSKDSTSNTKVERKNTSPKKKNSYRPFIFLPNSIFQIEESPLQEFFGHSSDVLDLAWSNSDILLSSSMDKTVRLWQIDCNQCLRVFHHNDYVTCIQFNPVDENYFISGSIDGKVRIWGIREERVIDWANIRDVISAISYQEDGKGFVVGSVTGTCRFYVASGKYFQLEAQIDLHGKKKASGNKITGIQFSQKSTQRIMITTEDSKIHIYDGIELVQKYKGLPKSGSQMSGSFTSSGKHIISVGEDSHVYIWDLDELGNASSKNTKSERSCEYFFSKGVTVAIPWSDVKAYERGSSSNFSHHSSEIAPPEIRDQERFSLGNWFAIDGTCRGSMTWPEEKLPSWDLPIAEDEYDEQQLCQKNTCHDRIVSETWGLSIVVAASDGTIKTFHNFGLPIRL >Vigun06g169900.1.v1.2 pep primary_assembly:ASM411807v1:6:29146062:29153670:-1 gene:Vigun06g169900.v1.2 transcript:Vigun06g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISIYSTGHLDSVILEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKSACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDSAYFLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQGINTQTWDAGFMVQAFLATNLVDDLGPTIAKAHDFLKKSQVVENRLGDFKSMLHHICKGSWTMADRDHGLQLSDCTAECLKCCLLLSMLQEEIVGEKLEPERLYDAVNFILSLQSKNGGVTAWEPALGQKWLENLNPAEFLAEIVIEHEYIEITGTTIQALVLFKKLYPKHRREEIEKFIVKAKQYIEDQQLPNGSWHANWGVYFTYSSWFALGGLSAAGNTYSNCAAIRKAVKFLLSIQNEDGGWGENYLSSPMMTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEALGAYQRSCILHYPLYRNVFPLWALTEYRNKVLVHSTTL >Vigun06g169900.2.v1.2 pep primary_assembly:ASM411807v1:6:29146081:29153568:-1 gene:Vigun06g169900.v1.2 transcript:Vigun06g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISIYSTGHLDSVILEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKSACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDSAYFLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQGINTQTWDAGFMVQAFLATNLVDDLGPTIAKAHDFLKKSQVVENRLGDFKSMLHHICKGSWTMADRDHGLQLSDCTAECLKCCLLLSMLQEEIVGEKLEPERLYDAVNFILSLQSKNGGVTAWEPALGQKWLENLNPAEFLAEIVIEHEYIEITGTTIQALVLFKKLYPKHRREEIEKFIVKAKQYIEDQQLPNGSWHANWGVYFTYSSWFALGGLSAAGNTYSNCAAIRKAVKFLLSIQNEDGGWGENYLSSPMMTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEALGAYQRSCILHYPLYRNVFPLWALTEYRNKVLVHSTTL >Vigun06g021900.2.v1.2 pep primary_assembly:ASM411807v1:6:10283315:10285639:1 gene:Vigun06g021900.v1.2 transcript:Vigun06g021900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADLSYNLGVGFYSTYLIANKVIVTSKHNDHDQYIWESQPDASFIITKDINAQQSSRGTNITLFLKENQFEYLEEITIKNLVIKYCKHISHRIYLWNESTKDDWQLINIWLHNPKRDNNFLAQKLMNHVPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENDHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYKSSLFSISGERFQNMEKLNWPSQIEEDYPKGIGILGSSSFNGFLCLYVKGMRQIGYLWNPTTREFKAISPSPFENAPHYVDIEIYYHGFGYDCVRDEYKVIREVSFWAISDDDVYVNDPFLLNFVWEMYNVKSNSWTKLELDSSIPSSHADNNKFYLEGMCHWLGYGDGFIQHLVSFDLINKVCIMTPPPLDIPMEIYDNFDMNFVRRQLFMLNESIALMSNYAETTIFYISILVEVGKKETWTKLFVFGPIPYIAFPIGTRNMGNILFQTHDGDVAWFDLSTHTVQKLGVNIQGGYSQLVVYKESLLTVERMNS >VigunL059826.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:20181:20540:-1 gene:VigunL059826.v1.2 transcript:VigunL059826.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun08g008200.2.v1.2 pep primary_assembly:ASM411807v1:8:696843:700197:1 gene:Vigun08g008200.v1.2 transcript:Vigun08g008200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEESLIKMKSRFTRICVFCGTSPGKNPSYQLAAVQLGKQLVEKNIDLVYGGGSIGLMGLISQVVFDGGRHVLGVIPTTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFMDNAVDEGFITLAARHIIVSAQTAQDLMSKLEEYVPKHSGVAPKLSWEMDQQL >Vigun08g008200.4.v1.2 pep primary_assembly:ASM411807v1:8:696843:700197:1 gene:Vigun08g008200.v1.2 transcript:Vigun08g008200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEESLIKMKSRFTRICVFCGTSPGKNPSYQLAAVQLGKQLVEKNIDLVYGGGSIGLMGLISQVVFDGGRHVLGVIPTTLMPREVGLLNVDGYYNSLLSFMDNAVDEGFITLAARHIIVSAQTAQDLMSKLEEYVPKHSGVAPKLSWEMDQQL >Vigun08g008200.3.v1.2 pep primary_assembly:ASM411807v1:8:696843:700197:1 gene:Vigun08g008200.v1.2 transcript:Vigun08g008200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEESLIKMKSRFTRICVFCGTSPGKNPSYQLAAVQLGKQLVEKNIDLVYGGGSIGLMGLISQVVFDGGRHVLGVIPTTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGVVERGWVLQLVVVIHGQCCRRRFHYTSCPSHYCFCPNCPRPHVQA >Vigun08g008200.1.v1.2 pep primary_assembly:ASM411807v1:8:696843:700197:1 gene:Vigun08g008200.v1.2 transcript:Vigun08g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEESLIKMKSRFTRICVFCGTSPGKNPSYQLAAVQLGKQLVEKNIDLVYGGGSIGLMGLISQVVFDGGRHVLGVIPTTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFMDNAVDEGFITLAARHIIVSAQTAQDLMSKLEQEYVPKHSGVAPKLSWEMDQQL >Vigun10g092900.2.v1.2 pep primary_assembly:ASM411807v1:10:26674596:26677350:-1 gene:Vigun10g092900.v1.2 transcript:Vigun10g092900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFFLLLLLFLHGSIFFFSKKSYFHSSSSTKRQSYRTRTKPFGLKISSPSWLFFFFFLFESHFQICFYFFFMKALIFLTFFVFKMVSLSIFFFFTKVSFIKGSPSSKASAYKSFSPLEEQHAQGETFLYDDDGREIQRITMQ >Vigun08g078950.1.v1.2 pep primary_assembly:ASM411807v1:8:15605692:15609858:1 gene:Vigun08g078950.v1.2 transcript:Vigun08g078950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHYITSPRIPHCVSLSFPKSPNFKCPVNGGFTCVFHRQTSPLFLTLVSPDPHDFKSPKSQQQIPNL >Vigun05g007200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:635108:637629:1 gene:Vigun05g007200.v1.2 transcript:Vigun05g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLCSENCGVSSSSVSPRISFSHDFSHSDVIPVEQLPFRSNLNSGIDFDFYVSESFELESSSADELFSHGRILPTEVKRKNVPAKQTVQSAPKNNPPLPPPYAAYAPPNTISKKENPKESKCLNDEVYEKQSSKSFWIFKRSSSCGNGHRRSFCPLPLLSRSNSTGSSTPSVKRNNPLSKEGVVNVKPNSQKHSSTRLTHPYVGYYQKPPLSYKNHGSYGSSVRVNPVLNVPPANLFGLASIFSGNRDKSKRQH >Vigun03g009500.1.v1.2 pep primary_assembly:ASM411807v1:3:657354:660550:1 gene:Vigun03g009500.v1.2 transcript:Vigun03g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEDTAAKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Vigun05g221900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41393129:41396659:-1 gene:Vigun05g221900.v1.2 transcript:Vigun05g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPWFFCISLLTLFFSFFTHNSLAALTTVSANQTLTGDQTLVSEGEIFELGFFKPGNSSNYYIGIWYKRVTKQTIVWVANRDNPVSDKNTATLTISGANLVLLDGSSKQVWSTNMSSSSSSNLVVIATLLDSGNLVLRERHNGASASEPLWQSFDHPTDTWLPGGKIKLDNKTKQPQYLTSWKNNEDPATGLFSLELDPKGTTSYLILWNKSEQYWDSGPWNGHIFSLVPEMRANFLYNFTFVSNDKESYFTYSMYNSSIISRFVMDVSGQIKQLSWLENAQQWNLFWSQPRQQCEVYAFCGAFGSCTENSMPYCNCLPGFEPKSLSDWNLEDHSGGCERRTKLQCENLNPSKGDKDRFLAILNKALPEHAQYVGLGKAGECESTCLNNCSCTAYAYNSRGCFIWIGNLLNLQQLSLDDSSGETLYLKLAASEFHDDKSSKGTVIGVAVGLVVGIGVLLAILLFFLIRRRKRMVGVGKPVEGTLVAFGYRDLQNATRNFSEKLGGGGFGSVFKGTLGDSSVVAVKKLESISQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGAKKLLVYDYMPNGSLDFHLFHNKNSKVLLDWKMRYQIALGTARGLTYLHEKCRDCIIHCDVKPENILLDAEFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEFVSGRRNSEPSEDGQFTFFPTFAANVVVQGGPVVSLLDPGLEGNAETEEVTRIIKVASWCVQDNEAHRPSMAQVVQILEGILEVNMPPIPRSLQVFVENQKSLVFYTDSNSTHSSQEKSNVSMTSSQARSNISSTSSKSTGGEN >Vigun09g092700.1.v1.2 pep primary_assembly:ASM411807v1:9:13252298:13261664:-1 gene:Vigun09g092700.v1.2 transcript:Vigun09g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQKATPPLPPPPSSSCCPAADSAAIPRSAAPPQEWNAATDVPLIRPVDSSTNASAAKGVTAMPRPQSSHPLDPLTSAEISVAVATVRAAGATPELRDSMRFIEVVLLEPDKNVVALADAYFFPPFQPSLLPRTRGGPLIPAKLPPRCARLVVYNKKSNETSIWIVELSQVHAVTRGGHHRGKVISSHVVPDVQPPMDAEEYAECEAIVKSFPPFIEAMKKRGIEDMDLVMVDPWCVGYYSEADAPGRRLAKPLIFCQSESDCPMENGYARPVEGIYVLVDMQNMVVIEFEDRKLVPLPPVDPLRNYTPGETRGGSDRSDVKPLQIIQPEGPSFRVNGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSHGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFMCTVANYEYGFFWHFYQDGRIEAEVKLTGILSLGALLPGEFRKYGTMIAPGLYAPVHQHFFVARMDMSVDSKPGEALNQVVEVNMKIEEPGENNVHNNAFYAEETLLRSELEAMRDCNSLTARHWIVRNTRTCNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNFWVTTYSRNEMFPGGEFPNQNPRVGEGLATWVKQNRSLEETNIVLWYVFGITHVPRLEDWPVMPVEHIGFMLTPHGFFNCSPAVDVPPNACEMDSKDNDIKDNGSSKPIPSGLTAKL >Vigun11g198700.1.v1.2 pep primary_assembly:ASM411807v1:11:39693158:39696919:-1 gene:Vigun11g198700.v1.2 transcript:Vigun11g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRALSSFCSILLLLELVFVAAEDPYRFFDWTVTYGDIYPLGVKQQGILINGQFPGPEIYSVTNDNLIINVRNNLTEPFLLSWNGVQQRRNSYQDGVYGTTCPIPPGQNFTYTLQVKDQIGSFFYFPSLAFHKAAGGFGAIKILSRPRIPVPFPDPAGDFSLLIGDWYQINHKTLQSVLDFGHRLPLPQAVLINGRPNGTTFTVEQGKTYRLRISNVGLENSLNFRIEGHTMTLVEVEGTHTIQTKYSSLDVHVGQSYSVLITADQAPKDYYIAVSTRFTDKIITSTAILHYSNSQQSVSGTIPGGPTDQIDWSIQQARSIRTNLTASGPRPNPQGSYHYGLINISRTITLSSSAAQVNKKQRYAVNSVSFVAADTPLKLADYFKIGGVFKVGSIPDSPSRKPMYLDTSVMGADFRAFVEIVFQNNENIVQSWHIDGYSFWVVGMDGGVWTPQSRNEYNLRDAVSRCTTQVYPKSWTAIYMALDNVGMWNVRSEFWARQYLGQQFYLRVYSPVGSIRDEYPIPKNALLCGKAAGRTSR >Vigun08g188100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35659731:35660278:1 gene:Vigun08g188100.v1.2 transcript:Vigun08g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFVSFLSILSVLLVTVVPTQALTCVQEQFLVSPCLKFLTKVTDHPSRYCCQGLYEIILWTPTKEEQQAACTCLNKAFSYVPNLDMNRANSLSKDCKFNVHHPNSDDDLDCKFSPSS >Vigun03g188300.1.v1.2 pep primary_assembly:ASM411807v1:3:25326826:25331584:-1 gene:Vigun03g188300.v1.2 transcript:Vigun03g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDISFSVSLDKGRSCEWGSRTGLSSLFAQKKNVQNPYFWQMIREILKFKDDVISYLDMLENNPDIDRNEILGEFIKSRGYSELFQKAYLIPICGFIWSCSSEGVLSFSTFSVLSFCRNHHLLQVEEELEREGSHIITNREVHLVSTTEKGCVVYCKDGTEEVYDGCILAVHAPDALRLLGDEATYDE >Vigun08g082501.1.v1.2 pep primary_assembly:ASM411807v1:8:17361417:17362391:1 gene:Vigun08g082501.v1.2 transcript:Vigun08g082501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVWSPDAKLIVILTCIFFLHILKVHLSDKRIHTGGRQPSALCLVIISLVLTEQVPFAVKDLSLLVSCSFLFYNFLHLYEMHQFD >Vigun09g188900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36356104:36358144:1 gene:Vigun09g188900.v1.2 transcript:Vigun09g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFSAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSTSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >Vigun03g409900.1.v1.2 pep primary_assembly:ASM411807v1:3:61763531:61767428:-1 gene:Vigun03g409900.v1.2 transcript:Vigun03g409900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSPKMVAEAEIICQQTIPMLDVKYHICVAQEHNVEVEVSPKSPSPSVPIFGQAVNHSDFIKHSISETPEASFVPKARSGSYAEIGPRVSMDDEHICIDDLGAHLGFVFKYPIRSAFYAVFDGHGGPDAAAFVKRNAMKLFFEDADMLQTYDTDAFFLEKLEDSHRRAFLRADLALADEQTVSSSCGTTALTALVLGRHLLVANAGDCRAVLCRRGVAVDMSNDHRPSFLPERRRVEEVGGFIDDIYLNGYLSVTRALGDWDLKFPLGAASPLIAEPDVRLATLTEEDEFLIIGCDGIWDVISSQVAVSLVRRGLRRHNDPKQCAMELVKEALRLHTSDNLTVIVVCLAPIESIVESCPPQRRRFKACNLSEEARNRLKSFIERN >VigunL006700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:9806:10312:1 gene:VigunL006700.v1.2 transcript:VigunL006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTTINYNPQRTRFRKQHRGRMKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun01g077000.1.v1.2 pep primary_assembly:ASM411807v1:1:21710594:21714842:1 gene:Vigun01g077000.v1.2 transcript:Vigun01g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDQRQREKLLHKTEACAFVAGVAAELRLVAAQGDIANTNNVNSTTTIVSQSHASPRIHDANHLGLVAAVTNLGTVHRKKRMPRQRRSTVATSSTTPTLFLQMHHSDNALPSKHHHPNLPSSTPSASSHVPPSSLQSVKHPTPPRLAARGIDQRNLRFLFKKELKNSDVSSLRRMVLPKKAAETFLPPLESKEGIFMDMEDKDGTRVWNFKYRFWPNNNSRMYVLENTGDFVSTHGLRSGDTIMIYQQNENNKYVINAKKAWEEDEFVGQSSETVNEMFPSDLEVNKPGCFNISYPAVNDAGMSFIYETTISNDSPLDFLGGSMTNFSRIGPVETFGSVENLSLDDFY >Vigun10g168100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38676974:38677603:-1 gene:Vigun10g168100.v1.2 transcript:Vigun10g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIESESGETMKGWRKALRSVSNWLAHKDKDEWLKDMRGILSLVAALIASLTFQSAINPPGGVVPAKESGEVQCRNSSCSGQAVLALVYPNGYTSFLYCNTVCFVSSLAVCLLLVSGLSLNNRFFTWLLSIGMCISLSSLTLTYLFGAQMVVPDLVWGPTTTMFGRVIIVWMILLGLIAFFLSLRLLVWILTKCICRHSEVRVNPTI >Vigun05g217700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40966496:40967819:-1 gene:Vigun05g217700.v1.2 transcript:Vigun05g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSTNQPQNEPQHPQPHRGSLQQNCRIRTPQPLEEEYVKEVLSEIPISKLQQIPTFKPQMKTQLPVIQPPEVPVKKVLEPEEVSLVSETCSNGESFSTTTTATTTTVTENREDEATSKRSNVTRNRKRSYAVNGNRISSGDRRQKSPARKPEIPVRSRPVPRRDSDQVRRLSGQGSIRRSRSPSRGGRSNIRSPTRKVPVTKDVVQRNDGGSIKESLENPHVSLECFIFL >Vigun10g117400.1.v1.2 pep primary_assembly:ASM411807v1:10:32314496:32331614:-1 gene:Vigun10g117400.v1.2 transcript:Vigun10g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASTSTQQSIERYRRHTKTETSTSFRSVDQNMQHLKLEAANMMKKIELLEAAKRKFLGEGVGSCSVEELLRIEQQLERSLSIVRARKLQVFREQIEQLKQKEKALTDENAKLTEDVRLTEKHGMHLQVAATKDQNENQPQNCAESSPSSDVVTELFIGLPDTRTNLGLSNQV >Vigun07g163400.1.v1.2 pep primary_assembly:ASM411807v1:7:27505501:27509728:1 gene:Vigun07g163400.v1.2 transcript:Vigun07g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIFPTPTLIIPIITDLAVFITPLWIAVIVGVILGWAWKPKWAFDPKHALQKLRPPRSPNPAFTYPATTTTAAAGEKRATGNAVVTEHDLLHLWKLVEEKDGGPAWNQMMDRSTPTMSYQAWRRDPESGPPQYRSRTVFEDASPELVRDFFWDDEFRLKWDDMLIHASTIQECALTGAMMVHWVRKFPFFCSDREYIIGRRIWDAGQIYYCVTKGVPCPSMPKHNKPKRVDLYYSSWCIRPVKSRKDGQLTSCEILLFHHEDMGIPWEIAKLGVRQGMWGAVKKFDPGLRTYEKERASGVPLSPCARAANINTKISMDYLSSLENSTTDLLETENEDSSDKPVGRNIPKLLIVGGAIALACTLDQGLLTKAVIFGVARRFAKMGRRL >Vigun06g123800.1.v1.2 pep primary_assembly:ASM411807v1:6:25108987:25109886:1 gene:Vigun06g123800.v1.2 transcript:Vigun06g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILYVFSLLLLLEVPKSCIAVEKEWDHFMFAQHWPKGYCDSLTGKTCHSIPEKFVIHGLWPQKDDGTLPKCRSKTPIGNKDLKTLIKQLESDWPNLIGINFNFWKVEWMKHGGCTEATLPIPEYFKRALHLYEQNNLLNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHVPALFCYHDKKLNATALYQISICLTKNATSIINCPNSDGTCGDQTLLLPK >Vigun09g209000.1.v1.2 pep primary_assembly:ASM411807v1:9:38356835:38358260:-1 gene:Vigun09g209000.v1.2 transcript:Vigun09g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSKNHPQPDSAAAAAAATSSAAASDNAFLSPKALSELLSTKLRKYCKDPAPSLTCLRLDADNSHIGVWQKGAGPHSGSSWVMRVELGKKQTQGESAWSPNSGANHTAANSEVVVEEEEEEEEEDTLALQMIEELLNWN >Vigun09g039600.7.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3541570:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRLPHLIRHLSTPLRLRQPLLRLHRRCPRPTSGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKIFRKDIVFVIDVSGSMRGKLIDDTKNALSVALSQLDPDDSFSIIAFNGDIYQFSKSMELASKDAVERATEWININFVAGGDTNILHPLNTAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.3.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3542853:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRLPHLIRHLSTPLRLRQPLLRLHRRCPRPTSGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKIFRKDIVFVIDVSGSMRGKLIDDTKNALSVALSQLDPDDSFSIIAFNGDIYQFSKSMELASKDAVERATEWININFVAGGDTNILHPLNTAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.4.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3542853:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRLPHLIRHLSTPLRLRQPLLRLHRRCPRPTSGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.8.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3541570:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRLPHLIRHLSTPLRLRQPLLRLHRRCPRPTSGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.1.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3542853:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSKSVEEGLHLSKRIYFGKDRAVSPPKPAPSMSKSNTAFLPTAPMLYAVIHNPAIVDNPDVPSYQPYVHGKCDPPALIPLHMTAVHLQAECHLDAAAFLTLSATFRLHCVSGSRFCDCIVAVPVPHQGSILGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKIFRKDIVFVIDVSGSMRGKLIDDTKNALSVALSQLDPDDSFSIIAFNGDIYQFSKSMELASKDAVERATEWININFVAGGDTNILHPLNTAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.2.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3542853:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSKSVEEGLHLSKRIYFGKDRAVSPPKPAPSMSKSNTAFLPTAPMLYAVIHNPAIVDNPDVPSYQPYVHGKCDPPALIPLHMTAVHLQAECHLDAAAFLTLSATFRLHCVSGSRFCDCIVAVPVPHQGSILGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.6.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3541570:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSKSVEEGLHLSKRIYFGKDRAVSPPKPAPSMSKSNTAFLPTAPMLYAVIHNPAIVDNPDVPSYQPYVHGKCDPPALIPLHMTAVHLQAECHLDAAAFLTLSATFRLHCVSGSRFCDCIVAVPVPHQGSILGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKIFRKDIVFVIDVSGSMRGKLIDDTKNALSVALSQLDPDDSFSIIAFNGDIYQFSKSMELASKDAVERATEWININFVAGGDTNILHPLNTAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >Vigun09g039600.5.v1.2 pep primary_assembly:ASM411807v1:9:3534380:3541570:1 gene:Vigun09g039600.v1.2 transcript:Vigun09g039600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSKSVEEGLHLSKRIYFGKDRAVSPPKPAPSMSKSNTAFLPTAPMLYAVIHNPAIVDNPDVPSYQPYVHGKCDPPALIPLHMTAVHLQAECHLDAAAFLTLSATFRLHCVSGSRFCDCIVAVPVPHQGSILGVEVSVHRKSYSTLLVDMKDKNGKENMIQCQDGGFIGPNLFTLQIPQIDGGSKLSVKFSWYQKILYSNDEFFLNVPFTFPDFVNPVGKMSKKEKVEITVNAIAGSELLCQKSSHPMKKVSDNAGSTGFLYEADVLSWSKTDFSFSYVMPESSSHISGGVLLESAPVDDFDQRDMFCMYIHPGNLQSKKAIEMLSDAQSSVPIIFLVTDGTVEDEREICDVIKNHVTNGESMSPRIYTVGIGPFCNHYFLRMLATISRGQHLEALDADLIESQMLQFFHKASSIVLANITPDIFNGLNEVEVCPFHIPDLSSDGPLILSGRFNGSFPKDLEIKGLLADFSNFVIDMKIEEANDIPVQRICARDQIEYLTAQAWLSKDENLEQRVAKLSLQTGFISEYTLMANLENDLRKNAKESDRKKEVSKKSHHKKTGAKEQGNRMFLLPHLGIGFGNLAATAENIQPGRHEPKGPDAAEIFVKRATNCCSTFCNSCCCMVCIQACSRMNNQCANAITQLCVGLGCLGCLDCCADICCSGNEN >VigunL006801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:37880:38239:-1 gene:VigunL006801.v1.2 transcript:VigunL006801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g132400.12.v1.2 pep primary_assembly:ASM411807v1:7:24231628:24234319:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.15.v1.2 pep primary_assembly:ASM411807v1:7:24230896:24234288:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.10.v1.2 pep primary_assembly:ASM411807v1:7:24231643:24234463:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.5.v1.2 pep primary_assembly:ASM411807v1:7:24231023:24234288:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.9.v1.2 pep primary_assembly:ASM411807v1:7:24231643:24234463:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.11.v1.2 pep primary_assembly:ASM411807v1:7:24231628:24234319:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.4.v1.2 pep primary_assembly:ASM411807v1:7:24231023:24234395:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.13.v1.2 pep primary_assembly:ASM411807v1:7:24230896:24234288:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.8.v1.2 pep primary_assembly:ASM411807v1:7:24231023:24234288:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.3.v1.2 pep primary_assembly:ASM411807v1:7:24231023:24234395:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g132400.14.v1.2 pep primary_assembly:ASM411807v1:7:24230896:24234288:1 gene:Vigun07g132400.v1.2 transcript:Vigun07g132400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALRTVGVKYECLLFDMDDTLYPLSIGLNLFCRKNIQEYMLEHLHIEEDEVPKMCLDLYREYGTTMAGLKVLGYEFDNDEFHAYVHGRLPYEKLKPDPVLRNLLLSMPQRKIIFTNADHAHAVKVLNRMGLEDCFEGIICFETLNPHKQINCMDLPNDDNVLTDLTENGCFNSQTHILCKPSVEAFEAAIRIANVDPKKTIFFDDSVRNVASAKVAGLHTVILGHSDLVPGADHALNSIHNIKEALPEIWEIEEGNQQQKIQPPAVETMVLA >Vigun07g064900.2.v1.2 pep primary_assembly:ASM411807v1:7:7556954:7567796:-1 gene:Vigun07g064900.v1.2 transcript:Vigun07g064900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEITILQQVAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIAMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSMIDPSKDPRKSGAQLILPNSKDKMVLESSGVKDTKMLNGDLVKNHKKKIKRKAKQAAHGCFEKEVSEGVEGNPETSGAVESSPNASSTREHASSSAGTSRLSDADGTKLKEQGNKRGSRSMRQKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYDFSEKDANDLTDFLVPILNFVPEKRPTAGECLLHPWMNGGPRLLEPSVPSNHNPAAETAVLDQKKREKDEREAMEAGMGNIVINSDSKSLMQSPSKKAFQSTQ >Vigun07g064900.1.v1.2 pep primary_assembly:ASM411807v1:7:7556954:7567796:-1 gene:Vigun07g064900.v1.2 transcript:Vigun07g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKHHLDDSSDFTSEDEGTEDYRRGGYHAIRIGDTFNAGRYVVQSKLGWGHFSTVWLAWDVKHSRYVALKVQKSAQHYTEAAMDEITILQQVAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIAMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSMIDPSKDPRKSGAQLILPNSKDKMVLESSGVKDTKMLNGDLVKNHKKKIKRKAKQAAHGCFEKEVSEGVEGNPETSGAVESSPNASSTREHASSSAGTSRLSDADGTKLKEQGNKRGSRSMRQKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYDFSEKDANDLTDFLVPILNFVPEKRPTAGECLLHPWMNGGPRLLEPSVPSNHNPAAETAVLDQKKREKDEREAMEAGMGNIVINSDSKSLMQSPSKKAFQSTQ >VigunL027250.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000391.1:10449:11244:1 gene:VigunL027250.v1.2 transcript:VigunL027250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFKVAKLLYIAIIQLELPLSRLGLMNWSLWHLGQLEIKSEETITRPVSQKWGYIRFMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSMF >Vigun06g002500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:1163559:1165968:1 gene:Vigun06g002500.v1.2 transcript:Vigun06g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFPSAHTLPAPPPHAPPPARGHAVDASHHRQRRRREPNLLSRFSSLLLLPVTAHHTVRAATARPATSERPRRRRRPPSTMSPARTKPPESLLFLASSSRVRDGDYDHRRERHWQHL >Vigun06g232500.6.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTAR >Vigun06g232500.2.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTARLRVQLGVNMPAQATRKIEEDKVAKEVELFEVYDVVLYMGVIDILQSYNLKKKIEHSYKSLKFDPMTVSVVEPKMYDQRFLKFLEEKVFPETP >Vigun06g232500.9.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTAR >Vigun06g232500.7.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTAR >Vigun06g232500.5.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTAR >Vigun06g232500.1.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTARLRVQLGVNMPAQATRKIEEDKVAKEVELFEVYDVVLYMGVIDILQSYNLKKKIEHSYKSLKFDPMTVSVVEPKMYDQRFLKFLEEKVFPETP >Vigun06g232500.3.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTARLRVQLGVNMPAQATRKIEEDKVAKEVELFEVYDVVLYMGVIDILQSYNLKKKIEHSYKSLKFDPMTVSVVEPKMYDQRFLKFLEEKVFPETP >Vigun06g232500.4.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTARLRVQLGVNMPAQATRKIEEDKVAKEVELFEVYDVVLYMGVIDILQSYNLKKKIEHSYKSLKFDPMTVSVVEPKMYDQRFLKFLEEKVFPETP >Vigun06g232500.8.v1.2 pep primary_assembly:ASM411807v1:6:33861488:33866328:-1 gene:Vigun06g232500.v1.2 transcript:Vigun06g232500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGRFYEKSFSNGDVYMGMIKGILPHDQGKYTWSDGTVYEGDWEGEKITGKGLVLWSNGTQYEGEICGGYLHGYGTLTTSSGCSYRGGWKMNSQHGIGLKKYSNSDIYEGLWKEGVPEGSGRYTWNNGNIYVGNWKNGTIDGRGVMKWVNGDTFDGFWSNGVMHGSGVYNFGDGGLYIGTWNRGLKDGKGVFYPAGSKKPSLRILHSPHDSDDNGFLLNIEKHDAPKPRVKRSLSANIAVINRFKSFRQISHRTSSLKINLIRSDDSAQDCVCHDSSLALLPNDLDEDQSEGSVKSTLVYEREYAQGVLIMERISECSESSRKKKQQNKFSVKQVKKSSCLDIFQGRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGDRARIRMYFPRTGSKLTPPHCSINFYWKDYCPMVFRNLREMFKLDAADYMMSICGDSGLRDLSSPGKSGSIFYLSKDDRFVIKTVNKSELKVLLRMLPKYYRHVGDHENTLITKFFGLHRITLRGGKKVRFVVMGNVFCTELQIHRRYDLKGSSQGRYTDNDKINCNTTLKDLDLKYEFHMDKKLRESLLKQISLDCKFLESQHIIDYSLLLGLHFRAPENMKAFVEHHESMQHQENLPSGDVKEEEHLILPKGLLLVSHEPSIVNTAPGPHIRGNTLRAYSMGDSEVDLLLPGTARLRVQLGVNMPAQATRKIEEDKVAKEVELFEVYDVVLYMGVIDILQSYNLKKKIEHSYKSLKFDPMTVSVVEPKMYDQRFLKFLEEKVFPETP >Vigun10g132900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34247865:34250114:-1 gene:Vigun10g132900.v1.2 transcript:Vigun10g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTIHVVMLPWSAFGHLIPFFQLSIALAKAGVHVSFISTPKNIERLPKLPSTLTHLIDLVQLPLPSLDKELLPEGAEATVDVPFEKIQYLKLAYDKLQHAVKQFVANQLPDWIICDFCPHWITDIAQELQVKLIFFSAFSAFTIACLGPPGTRKAPISRHEASGFCANHYEEKENASGIGDLERLEKVFSASKAIIFRSCYEIEGEYLNAFQKLVGKPVIPIGLLPVERGNVEGVRGETFEWLDKQASRSVLFVGFGSECKLSKDQVFEIAHGVEDSGLPFLWALRKPSWASNDEDSLPLGFIERTCERGIVCMGWIPQQQVLAHPCIGGSLFHSGWGSAIESLQFGHALVVLPFIADQPLNARFLVEKGLAIEVKRSADGSFTRNDIATSIKQAMVLEEGKNIRVNTGEAATIVGNVKLHQDHYIAEFVQFLKK >Vigun11g090700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27080947:27081563:-1 gene:Vigun11g090700.v1.2 transcript:Vigun11g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPVIRKALFAANQASPKAMDAQKGHLAVYVGEKMKRFVIPVSYLNQPSFQDLLSKAEEEFGYDHPMGGLTIPCSEDVFRSITSCLN >VigunL084500.8.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9333:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:7594:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVYLLMNLRGIFILMCMCMYMIEEDELQGASSIFNMLLYSVLDNLVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9257:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVYLLMNLRGIFILMCMCMYMIEEDELQGASSIFNMLLYSVLDNLVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:7594:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVYLLMNLRGIFILMCMCMYMIEEDELQGASSIFNMLLYSVLDNLVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.5.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9231:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHFCLARYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.11.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:6138:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTIDTLIVLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.10.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:7187:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPICILEMQTFRVNLFQSLASSQIWNIWNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.9.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9333:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPICILEMQTFRVNLFQSLASSQIWNIWNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9257:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEVYLLMNLRGIFILMCMCMYMIEEDELQGASSIFNMLLYSVLDNLVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.7.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9333:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHFCLARYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >VigunL084500.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000638.1:4563:9333:-1 gene:VigunL084500.v1.2 transcript:VigunL084500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQNNEKIEASKCCSLHGSSNSDLQIFQLLLNFFPVMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >Vigun03g138600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13618833:13620768:1 gene:Vigun03g138600.v1.2 transcript:Vigun03g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAAAAAAAAMSGYHNSTRRQHTDHDLPLPSQRVCVAPPLSRYESQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHAETCAYFGNSHPPGPCSCPLKQAWGSLDALIGRLRAAFEEHGGAPEMNPFGTRAVRLYLREVRDAQAKARGIAYEKKKRKKAETHDDVMSMMGSSDATYCGYGGAFLPQQVLSDSNATTSSSIPDGVSYFSSHCGFN >Vigun03g231900.3.v1.2 pep primary_assembly:ASM411807v1:3:38620738:38629497:-1 gene:Vigun03g231900.v1.2 transcript:Vigun03g231900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQQHHGYMRPPQPPPPPPHAADPHHHPHHFHQIPPPPPQAPWLSSQFQYHPSQTPSPPPQWQQPPPPPPPPPPSNAYSYHPSPFPPPPPRSHAPPHQFTPHSHISQPYPQEWGNPNLPPNQGYPAHNNEEDWAAKARAWAAKAAMESQHPQTHFSPAGRLHEQNHYHDQYQQSIDSHYTDVQNQSHSTSSYQQFSYLDTSAQRISGQSQDAVPVSLETSYAQDGHSYSARDGTSIRDSNVSFEQGNMPANPSVHQQEVPSSYSSVAGKEAADQIQQSYSVFPLSSSSSQERHVQPSMHAPPFASGSHSVDSAISLADQPLDFAPRFNRDNDLQMQSTYSHHDSSTSMNNWAAQVAPGVGYPPILASGPQLDPSISTPGHVAPPFGRFPGPSLPSTIPPSGAPFTLSTGTTIHPTAAFSADAYGVSSVSDRPKKASVPNWLREEIKKTVIAAPAENLKEEAAFVNDGIDKPYTRGDEADSKSIDSSRSAEDEEDEEDQVEVARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLAEDDLTAEVGHKVVTSNLKAPASPPSVTVPKASAKVLVPVKERVVENDGTSEKSNSSSPGDVLGLGNYGSDADDEDNEIESSSVPTPAKDAAYQSGIKQPLPDTHDVSVNGISQLHERSRYETNFVNAQVKAMSIPSNDSASDQLHDDKVTRVSDLSHSSKVVSEDLRDNGLDAIQRSHDRFNGFSSKDTSGIPRSELPGKNIGVEKATDDHSGRESRRKSEKNERPDRNTSEKDFVKELNSSKTRIDEKGHENHKRKDERNHKREKADYGSEAKERVKEHHFRHGEKAKESDSRKRSSHVDVKDDKREAEKSHRGSTTDDTSRKREHTKDKGEHKSRQKDASNPDRHRRRRSSSVSSRGRTNRDRVDHAGNSSEGSDVSKRKLHSRKRDLSPSPVRSKRRYHLLRDP >Vigun03g231900.5.v1.2 pep primary_assembly:ASM411807v1:3:38620738:38629497:-1 gene:Vigun03g231900.v1.2 transcript:Vigun03g231900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQQHHGYMRPPQPPPPPPHAADPHHHPHHFHQIPPPPPQAPWLSSQFQYHPSQTPSPPPQWQQPPPPPPPPPPSNAYSYHPSPFPPPPPRSHAPPHQFTPHSHISQPYPQEWGNPNLPPNQGYPAHNNEEDWAAKARAWAAKAAMESQHPQTHFSPAGRLHEQNHYHDQYQQSIDSHYTDVQNQSHSTSSYQQFSYLDTSAQRISGQSQDAVPVSLETSYAQDGHSYSARDGTSIRDSNVSFEQGNMPANPSVHQQEVPSSYSSVAGKEAADQIQQSYSVFPLSSSSSQERHVQPSMHAPPFASGSHSVDSAISLADQPLDFAPRFNRDNDLQMQSTYSHHDSSTSMNNWAAQVAPGVGYPPILASGPQLDPSISTPGHVAPPFGRFPGPSLPSTIPPSGAPFTLSTGTTIHPTAAFSADAYGVSSVSDRPKKASVPNWLREEIKKTVIAAPAENLKEEAAFVNDGIDKPYTRGDEADSKSIDSSRSAEDEEDEEDQVEVARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLAEDDLTAEVGHKVVTSNLKAPASPPSVTVPKASAKVLVPVKERVVENDGTSEKSNSSSPGDVLGLGNYGSDADDEDNEIESSSVPTPAKDAAYQSGIKQPLPDTHDVSVNGISQLHERSRYETNFVNAQVKAMSIPSNDSASDQLHDDKVTRVSDLSHSSKVVSEDLRDNGLDAIQRSHDRFNGFSSKDTSGIPRSELPGKNIGVEKATDDHSGRESRRKSEKNERPDRNTSEKDFVKELNSSKTRIDEKGHENHKRKDERNHKREKADYGSEAKERVKEHHFRHGEKAKESDSRKRSSHVDVKDDKREAEKSHRGSTTDDTSRKREHTKDKGEHKSRQKDASNPDRHRRRRSSSVSSRGRTNRDRVDHAGNSSEGSDVSKRSIVYS >Vigun03g231900.1.v1.2 pep primary_assembly:ASM411807v1:3:38620738:38629497:-1 gene:Vigun03g231900.v1.2 transcript:Vigun03g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQQHHGYMRPPQPPPPPPHAADPHHHPHHFHQIPPPPPQAPWLSSQFQYHPSQTPSPPPQWQQPPPPPPPPPPSNAYSYHPSPFPPPPPRSHAPPHQFTPHSHISQPYPQEWGNPNLPPNQGYPAHNNEEDWAAKARAWAAKAAMESQHPQTHFSPAGRLHEQNHYHDQYQQSIDSHYTDVQNQSHSTSSYQQFSYLDTSAQRISGQSQDAVPVSLETSYAQDGHSYSARDGTSIRDSNVSFEQGNMPANPSVHQQEVPSSYSSVAGKEAADQIQQSYSVFPLSSSSSQERHVQPSMHAPPFASGSHSVDSAISLADQPLDFAPRFNRDNDLQMQSTYSHHDSSTSMNNWAAQVAPGVGYPPILASGPQLDPSISTPGHVAPPFGRFPGPSLPSTIPPSGAPFTLSTGTTIHPTAAFSADAYGVSSVSDRPKKASVPNWLREEIKKTVIAAPAENLKEEAAFVNDGIDKPYTRGDEADSKSIDSSRSAEDEEDEEDQVEVARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLAEDDLTAEVGHKVVTSNLKAPASPPSVTVPKASAKVLVPVKERVVENDGTSEKSNSSSPGDVLGLGNYGSDADDEDNEIESSSVPTPAKDAAYQSGIKQPLPDTHDVSVNGISQLHERSRYETNFVNAQVKAMSIPSNDSASDQLHDDKVTRVSDLSHSSKVVSEDLRDNGLDAIQRSHDRFNGFSSKDTSGIPRSELPGKNIGVEKATDDHSGRESRRKSEKNERPDRNTSEKDFVKELNSSKTRIDEKGHENHKRKDERNHKREKADYGSEAKERVKEHHFRHGEKAKESDSRKRSSHVDVKDDKREAEKSHRGSTTDDTSRKREHTKDKGEHKSRQKDASNPDRHRRRRSSSVSSRGRTNRDRVDHAGNSSEGSDVSKRKLHSRKRDLSPSPVRSKRRQLSRSPHSKRSQRRHSPYSSLDSSRGRRSRSRSPVRRQR >Vigun03g231900.4.v1.2 pep primary_assembly:ASM411807v1:3:38620738:38629497:-1 gene:Vigun03g231900.v1.2 transcript:Vigun03g231900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQQHHGYMRPPQPPPPPPHAADPHHHPHHFHQIPPPPPQAPWLSSQFQYHPSQTPSPPPQWQQPPPPPPPPPPSNAYSYHPSPFPPPPPRSHAPPHQFTPHSHISQPYPQEWGNPNLPPNQGYPAHNNEEDWAAKARAWAAKAAMESQHPQTHFSPAGRLHEQNHYHDQYQQSIDSHYTDVQNQSHSTSSYQQFSYLDTSAQRISGQSQDAVPVSLETSYAQDGHSYSARDGTSIRDSNVSFEQGNMPANPSVHQQEVPSSYSSVAGKEAADQIQQSYSVFPLSSSSSQERHVQPSMHAPPFASGSHSVDSAISLADQPLDFAPRFNRDNDLQMQSTYSHHDSSTSMNNWAAQVAPGVGYPPILASGPQLDPSISTPGHVAPPFGRFPGPSLPSTIPPSGAPFTLSTGTTIHPTAAFSADAYGVSSVSDRPKKASVPNWLREEIKKTVIAAPAENLKEEAAFVNDGIDKPYTRGDEADSKSIDSSRSAEDEEDEEDQVEVARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLAEDDLTAEGHKVVTSNLKAPASPPSVTVPKASAKVLVPVKERVVENDGTSEKSNSSSPGDVLGLGNYGSDADDEDNEIESSSVPTPAKDAAYQSGIKQPLPDTHDVSVNGISQLHERSRYETNFVNAQVKAMSIPSNDSASDQLHDDKVTRVSDLSHSSKVVSEDLRDNGLDAIQRSHDRFNGFSSKDTSGIPRSELPGKNIGVEKATDDHSGRESRRKSEKNERPDRNTSEKDFVKELNSSKTRIDEKGHENHKRKDERNHKREKADYGSEAKERVKEHHFRHGEKAKESDSRKRSSHVDVKDDKREAEKSHRGSTTDDTSRKREHTKDKGEHKSRQKDASNPDRHRRRRSSSVSSRGRTNRDRVDHAGNSSEGSDVSKRKLHSRKRDLSPSPVRSKRRYHLLRDP >Vigun03g231900.2.v1.2 pep primary_assembly:ASM411807v1:3:38620738:38629497:-1 gene:Vigun03g231900.v1.2 transcript:Vigun03g231900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQQHHGYMRPPQPPPPPPHAADPHHHPHHFHQIPPPPPQAPWLSSQFQYHPSQTPSPPPQWQQPPPPPPPPPPSNAYSYHPSPFPPPPPRSHAPPHQFTPHSHISQPYPQEWGNPNLPPNQGYPAHNNEEDWAAKARAWAAKAAMESQHPQTHFSPAGRLHEQNHYHDQYQQSIDSHYTDVQNQSHSTSSYQQFSYLDTSAQRISGQSQDAVPVSLETSYAQDGHSYSARDGTSIRDSNVSFEQGNMPANPSVHQQEVPSSYSSVAGKEAADQIQQSYSVFPLSSSSSQERHVQPSMHAPPFASGSHSVDSAISLADQPLDFAPRFNRDNDLQMQSTYSHHDSSTSMNNWAAQVAPGVGYPPILASGPQLDPSISTPGHVAPPFGRFPGPSLPSTIPPSGAPFTLSTGTTIHPTAAFSADAYGVSSVSDRPKKASVPNWLREEIKKTVIAAPAENLKEEAAFVNDGIDKPYTRGDEADSKSIDSSRSAEDEEDEEDQVEVARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLAEDDLTAEGHKVVTSNLKAPASPPSVTVPKASAKVLVPVKERVVENDGTSEKSNSSSPGDVLGLGNYGSDADDEDNEIESSSVPTPAKDAAYQSGIKQPLPDTHDVSVNGISQLHERSRYETNFVNAQVKAMSIPSNDSASDQLHDDKVTRVSDLSHSSKVVSEDLRDNGLDAIQRSHDRFNGFSSKDTSGIPRSELPGKNIGVEKATDDHSGRESRRKSEKNERPDRNTSEKDFVKELNSSKTRIDEKGHENHKRKDERNHKREKADYGSEAKERVKEHHFRHGEKAKESDSRKRSSHVDVKDDKREAEKSHRGSTTDDTSRKREHTKDKGEHKSRQKDASNPDRHRRRRSSSVSSRGRTNRDRVDHAGNSSEGSDVSKRKLHSRKRDLSPSPVRSKRRQLSRSPHSKRSQRRHSPYSSLDSSRGRRSRSRSPVRRQR >Vigun07g161600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27303283:27306286:1 gene:Vigun07g161600.v1.2 transcript:Vigun07g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHFVVPFPMMFLITLWLLLAHHAKAESSTYVVHMDKSLMPQLFASHHDWYESIIHSIDLAIADDPSKQQELKLVYTYDDAMHGFSAVLSSEELETLKKVHGFVTAYPDRSATIDTTHTFEFLSLDTPGGIWNASNFGDGVIVGLIDTGIWPESDSFRDDGMSRNIPSKWKGTCEPGQDFDASLCNFKLIGARYFNKGVKAANPNVTISMNSARDTQGHGSHTSSTVAGNYVNDASFFGYAKGVARGVAPQAMLAMYKVLWDEGRQASDVLAGMDQAIADGVDVISISLGFDDVPLYEDPVAIAAFAAMEKGVLVSSSAGNEGPEVGTLHNGIPWVLTVAAGTIDRTFGSLALGNGETIVGWTLFAANSLVENFPLIYNKNLSACNSVKLLSEAATRGIIICDAFDSVSVFDQIDLVTAASVVGAVFISEDPRLIETGRLFSPSIVINPSDAPSVIKYAKRVENPFASINFQQTFVGIKPAPAAAYYTSRGPSPSYQGILKPDVMAPGSNVLAAFVPNKPSARIGTNVFLSSDYNFLSGTSMACPHASGVAALLKAAHPDWSAAAIRSALVTTANPFDNTQSPIRDNGNPLQYASPLAMGAGEIDPNKALDPGFIYDATPQDYVNLLCALGYTQNQILTITRSNFYKCADNPSSDLNYPSFIVLYSNKTRSLVQKFRRTVTNVGDGAATYRVKVKQPKGAVVKVSPETLSFGYKNEKQNYSVTIKYRRNTKEKITFGDIVWVEDGGARKVRSPIVVAPSEIA >Vigun03g289200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47126265:47126980:1 gene:Vigun03g289200.v1.2 transcript:Vigun03g289200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTWTRFSKERGVKNCSRTCEKLDGFGMAATWLCQSFVSAFFASLEWCSCFHVDTTDGPDADSTSATSLILHAEKDDSATFRFFNHT >Vigun05g188100.1.v1.2 pep primary_assembly:ASM411807v1:5:36446735:36453047:1 gene:Vigun05g188100.v1.2 transcript:Vigun05g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYHWQKKLINDSSFDGSHSSRRGITKSLTGYGITMDVDTRQMAIIMVFSVTPLVIMQIPHLFHFSSAATNVTLMIALIVAVTFLISYFIYQVFKPQIEKTRLEYIKHDDLILRIFHHVEKQTLQKILAEDGTPNVAAISGLYHEISQHGGKDLLASEVKELFFGNKLTDTNIKEEQIADLLKVFDRNGDQIITKEEFVTGLTEYINQTKHALDRQYLPKESMNKMYQSFIKPWIEHVRKERELKGHLISEVLKHAQNDMVGRLQNDDGTPDKTAIRRLFEEIDVNRDNHVSRSELERVVKDIHFNKFVDAEEAVTKLAQDLDVNQDDEISETEFVEGFAKWMISNSSQAANSKSSSQGNHQTWEDVEKVMEENQTHGASAWLTAIGYVVLGVTMLALLAEPLIASVQSFSEEAGISSFFISFILVPLATNFREATSAIKEASHKKSSNTSQTIYEIYGAVFMNNILGFVVISVLIYIREITWQFSADVLVVAIVCAVMGLAATFRPTFPLWTSFAAYFMYLIALLLVFLLKDVLNYV >Vigun06g161000.1.v1.2 pep primary_assembly:ASM411807v1:6:28331318:28334605:-1 gene:Vigun06g161000.v1.2 transcript:Vigun06g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNYLIFSFSLLLILAFTQHHAATSDQTNAPFVGVNIGTDVSNLLPAPDLVNFLKHQKITHIRLYDANPDILRALSGTDIHVTISVPNNQLLAIGSSNATASSWIRKNVAAFRPATRIIAVSVGDEVLTTLPSAAPLLLPALLSLHAALVESNLHKDVVVSTPHSASIILNPFPPSQAFFNQTLETFIVPLLRFLSQTNSPLMLNLYPYYVFMQNKNLVPLDNTLFKPLPASKQMVDPNTLLHYTNLLDAMIDAAYFSMKNLNVSDVLVLVTETGWPSKGDSKEPYATLSNAVTFNSNLIKHVLDRSGTPLHPETTSSVYIYELFNEDLRSPPLSEAYWGLFYGNATPAYLLRVSGVGAFLASDNANQTYCVAGDGVDLKVLQAALDWACGPGRANCSEIQPGESCFQPNNVKNHASYAFDSYYQSQGKSPGSCDFKGVAMITTTDPSRGSCIFPGSRNLSNKTKQVVNTTHSSNAGDNLRLRAFRCIEVSAIYDILHNYFVAIFPLLLLLVL >Vigun06g161000.2.v1.2 pep primary_assembly:ASM411807v1:6:28331318:28334605:-1 gene:Vigun06g161000.v1.2 transcript:Vigun06g161000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNYLIFSFSLLLILAFTQHHAATSDQTNAPFVGVNIGTDVSNLLPAPDLVNFLKHQKITHIRLYDANPDILRALSGTDIHVTISVPNNQLLAIGSSNATASSWIRKNVAAFRPATRIIAVSVGDEVLTTLPSAAPLLLPALLSLHAALVESNLHKDVVVSTPHSASIILNPFPPSQAFFNQTLETFIVPLLRFLSQTNSPLMLNLYPYYVFMQNKNLVPLDNTLFKPLPASKQMVDPNTLLHYTNLLDAMIDAAYFSMKNLNVSDVLVLVTETGWPSKGDSKEPYATLSNAVTFNSNLIKHVLDRSGTPLHPETTSSVYIYELFNEDLRSPPLSEAYWGLFYGNATPAYLLRVSGVGAFLASDNANQTYCVAGDGVDLKVLQAALDWACGPGRANCSEIQPGESCFQPNNVKNHASYAFDSYYQSQGKSPGSCDFKGVAMITTTDPSRGSCIFPGRNLSNKTKQVVNTTHSSNAGDNLRLRAFRCIEVSAIYDILHNYFVAIFPLLLLLVL >Vigun01g143400.1.v1.2 pep primary_assembly:ASM411807v1:1:32471533:32473562:1 gene:Vigun01g143400.v1.2 transcript:Vigun01g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPSPAFPDAAAKHSLDESSHIDESALTTLFKSQQSHLNFFFEHIDHSQTLAFTRALLNATGTVFFTGVGKSGFVAHKISQTLVSLGIRSAFLSPVDALHGDIGILTERDVLVLLSKSGATEELLHLVPCARAKGALLIALTSVEGNALAAACDMAVHLPLQRELCPFNLAPVTSTAIQMVFGDTVAIALMEARNLTKEEYAANHPAGKIGKSLIFKVKDVMRKQDDLPICRESDLIMDQLVELTSKGCGCLLVIDDRYRLIGTFTDGDLRRTLRASGEAIFKLTVGKMCNRNPRIIGPEAMAVQAMKKMEAPPSPVQFLPVINDENILIGIVTLHGLVSAGL >Vigun10g069000.1.v1.2 pep primary_assembly:ASM411807v1:10:16420984:16422725:-1 gene:Vigun10g069000.v1.2 transcript:Vigun10g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun07g080900.1.v1.2 pep primary_assembly:ASM411807v1:7:11418284:11422977:-1 gene:Vigun07g080900.v1.2 transcript:Vigun07g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPAEEENSKRNWSLQDFEIGKPLGRGKFGSVYVAREVKSKFVVALKVIFKEQIDKYRIHHQLRREMEIQTSLRHPNILRLYGWFHDTDRVFLILEYAHKGEVYKEMRKKGHFTEKEAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIGDFGWSVQSKSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLFGAPPFEAESQADTFKRIMKVDLSFPSNPSVSLDAKSLISRLLVKDSSRRLSLQKIMEHPWIIKNADFSGTCL >Vigun03g242600.1.v1.2 pep primary_assembly:ASM411807v1:3:40501403:40503676:1 gene:Vigun03g242600.v1.2 transcript:Vigun03g242600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTFKIILQGIYQHHLLKSLAISYSSHILTHSSTMDNCCAGNFFKKKQSLPIDTTFKLPVPVSNSSWPPGGDFATGIIDLGGLQVSQISAFNKVWTTHDGGPDNQGFTVFEPSIPQGFFMLGCYSQPNNKPLFGWVLVAKDVCCENTRNPALKQPVDFTLVWNNASMKISQDDPVYVWLPTPPDGYKALGHVVTTTPEKPSLDKIRCVRSDLTDQCETNSLIWESGSFSIYDVRPSNRGIEAPGVRVGTFVVQNGSANPPSISCLKNTNTLPKYMPNLQQINALLKVYSPNMYLHPSEEYMPSSVDWFFSNGALLYKKGQESNPVPIAPNGTNLPQDHNNDGAYWLDLPAGAANKERVKKGNLQSAKSYVHVKPMLGGTFSDIAIWVFYPFNGPSRAKVKLISFQLGKIGEHVGDWEHVTLRVSNFSGELWQVYFSQHSKGTWVDSSQIEFQSGGNKPLFYSSLHGHASYPHAGLSLQGEDNIGLRNDTDKSNNVMDMGAFELVSAEYLGSAVIQPPWLNYFREWGPKIDYNIDVELKKIEKFLPKNLKSALEKILKSLPNEVLGEEGPTGPMVKNNWNGDEV >Vigun05g045300.1.v1.2 pep primary_assembly:ASM411807v1:5:3747539:3748737:1 gene:Vigun05g045300.v1.2 transcript:Vigun05g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLSSILGGGGGGDETSYSEGASGVTAIHSSARWQLHFNELQDTSKLVVVDFSASWCGPCKFIEPAIHAMAEKFSDVEFIKIDVDELSDVAGEFQVQAMPTFVLLRKGKVIDRVVGAKKDELEKKVQKHRALQA >Vigun05g090400.1.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8719152:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCASSATVAVEKATSELLVIPDWTMNIEICDFINSNRWLPRDVIKALRRRLQHRSSRVQLLALTLLETMVKNCGDLVHLQIAVGNILVEMIKIIKKKESMQVRDKILILLDSWQEAFGGPGGKHPQYYRACKELKQSGVVFPKRVPDAAAIYFPPSSHPSSRNMAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVRPVLHNNSFGGTPASATGQKLFVPSYRLFEDLNVLGNTNGKVKMGPGMVGGRR >Vigun05g090400.6.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8716690:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVRPVLHNNSFGGTPASATGQKLFVPSYRLFEDLNVLGNTNGKVKMGPGMVGGRR >Vigun05g090400.5.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8719152:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDLVHLQIAVGNILVEMIKIIKKKESMQVRDKILILLDSWQEAFGGPGGKHPQYYRACKELKQSGVVFPKRVPDAAAIYFPPSSHPSSRNMAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVI >Vigun05g090400.4.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8719152:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDLVHLQIAVGNILVEMIKIIKKKESMQVRDKILILLDSWQEAFGGPGGKHPQYYRACKELKQSGVVFPKRVPDAAAIYFPPSSHPSSRNMAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVRPVLHNNSFGGTPASATGQKLFVPSYRLFEDLNVLGNTNGKVKMGPGMVGGRR >Vigun05g090400.2.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8719152:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCASSATVAVEKATSELLVIPDWTMNIEICDFINSNRWLPRDVIKALRRRLQHRSSRVQLLALTLLETMVKNCGDLVHLQIAVGNILVEMIKIIKKKESMQVRDKILILLDSWQEAFGGPGGKHPQYYRACKELKQSGVVFPKRVPDAAAIYFPPSSHPSSRNMAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVI >Vigun05g090400.3.v1.2 pep primary_assembly:ASM411807v1:5:8714117:8719153:-1 gene:Vigun05g090400.v1.2 transcript:Vigun05g090400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDLVHLQIAVGNILVEMIKIIKKKESMQVRDKILILLDSWQEAFGGPGGKHPQYYRACKELKQSGVVFPKRVPDAAAIYFPPSSHPSSRNMAAGYWMAGNSSKTLDETMATEIESLSMSSLDSMRYVLDLLSDMLQAVNPNDRAAVKDEVIVDLVDRCRTNQKKLMQMLTTTGDEELLGKGLELNDSIQSLLARHDAIASGTPFPIQGESSNTVPNEAQCTVDQSNINSSSPGESSSTPKATTPAIVISETRSQTDDEEDEFAQLLPRPSKAQPTTSKDATTVPNPSTSVPSNALVLSDPPTSVSTENDQDIIDLLSITLSISPSPETTYSPSASSQAMHQIPVQSRTEGFSHASQTYLENLSYNSYVVPWAQNQSKSEFETLPQQQTFQSQSQTPSPPSSRPTYYEVQQQEQLQPQTLPQSQHVFQQPQHHQYSPHQQHLQSPSEPQPLQYQPQTVNGHQPQPQQQFQNQHLQYPSRYPLPQWAPTPGYANYQNHSPATNVISTQANTTASYSSAPGVRPVLHNNSFGGTPASATGQKLFVPSYRLFEDLNVLGNTNGKVKMGPGMVGGRR >Vigun07g155500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26655099:26658892:-1 gene:Vigun07g155500.v1.2 transcript:Vigun07g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLRRLLLRCSSFSLSSPSPVHPPPPLFPRHSSTHFHFRTLFSPSLFITRHFSSETQIDPIAHNVSSELLKEPNSDPLSVSKRLHLSFSHITPTPNLILQTLDLCPEAGRNVLGFHQWLSSNPQFTHTDHTLSYFVDYFGRRKDFKATHDLLSTAAAVGPKTLESAIDRLVRAGRPSQVVQFFERMERDYGMKRDRSSLKVVVEKLCSKGFASYAEKMVKDLAREFFPDEATCDLLISGWCVDGKLEEAQRLAGEMYRGGFELGVGAYNAMLDCVCKLCREKDPFRLESEAEKVLVEMEFRGVPRNVETFNVLITNLCKIRKTEDALRLFLSMGEWGCYPNESTFLVLIRSLYQAARLEEGDEMIDRMRSAGYGEFLDKKAYYQFLRILCGIERIDHALSVFAMMKADGCVPGVKTYDLLMGKLGAHNRVDRANALFNEAKSSGLPVILKDYAVDPRYLKKKRVVKVVKKRETLPEKMARKRSRLKQIRLSFVKKPKRMMGR >Vigun04g109850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27386554:27388140:1 gene:Vigun04g109850.v1.2 transcript:Vigun04g109850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKGALAWTSATAGTADGGLRQARPRSHEGDECSPCLARVGEERRRRCVVIGIFWWWTALSTPSSPASSPTSLVRQGRDGNGVLVVGGQWQRLGVERDGEEVFVEQLGFRFSFTPCTPMPLMTPSPFVCFKWGQPCSLHLWFYYSHSKSICFLCLLGQIFVLLRAPPFFTILHSHLGFCLLGCPCIISLPRAPPQLLIPHPCTYFLLLY >Vigun06g068700.1.v1.2 pep primary_assembly:ASM411807v1:6:19822983:19826489:1 gene:Vigun06g068700.v1.2 transcript:Vigun06g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNSLPSTTTALVAYDEDGHVKRTGNLWSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPLALLCFAIITYVSSSLLSDCYRTPDPVTGKRNYSYMDAVRVNLGKRRTWLAGFLQFLTLYGTSCAYVLTTANSLRAILRANCYHKEGHEAPCVYGGNIYMVMFGAVQIVMSFIPDLHNMLWVSVLAAIMSFTYSFIGLGLGMAKVIGNGRIMGSITGIPATNTANKLWLVFQALGDIAFAYPYALLLLEIQDTLKSTPPENQTMKKASMVAIIVTTFFYLSCGCFGYGAFGDGTPGNILTGFGFYEPYWLVAFANACIILHLVGGYQMYSQPIYTYADRWCSRRFPESDFANKSYKIKLPLIPGYELNLFRLCFRTVYVISTTGIAILFPYFNQVLGVLGAINFWPLAIYFPVEIYLQQREIGAWTKQWILLRIFSFLCFTVTVVGLVGSIQGIISQKL >Vigun06g068700.2.v1.2 pep primary_assembly:ASM411807v1:6:19823662:19826489:1 gene:Vigun06g068700.v1.2 transcript:Vigun06g068700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNSLPSTTTALVAYDEDGHVKRTGNLWSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPLALLCFAIITYVSSSLLSDCYRTPDPVTGKRNYSYMDAVRVNLGKRRTWLAGFLQFLTLYGTSCAYVLTTANSLRAILRANCYHKEGHEAPCVYGGNIYMVMFGAVQIVMSFIPDLHNMLWVSVLAAIMSFTYSFIGLGLGMAKVIGNGRIMGSITGIPATNTANKLWLVFQALGDIAFAYPYALLLLEIQDTLKSTPPENQTMKKASMVAIIVTTFFYLSCGCFGYGAFGDGTPGNILTGFGFYEPYWLVAFANACIILHLVGGYQMYSQPIYTYADRWCSRRFPESDFANKSYKIKLPLIPGYELNLFRLCFRTVYVISTTGIAILFPYFNQVLGVLGAINFWPLAIYFPVEIYLQQREIGAWTKQWILLRIFSFLCFTVTVVGLVGSIQGIISQKL >Vigun06g068700.3.v1.2 pep primary_assembly:ASM411807v1:6:19818080:19826489:1 gene:Vigun06g068700.v1.2 transcript:Vigun06g068700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNSLPSTTTALVAYDEDGHVKRTGNLWSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPLALLCFAIITYVSSSLLSDCYRTPDPVTGKRNYSYMDAVRVNLGKRRTWLAGFLQFLTLYGTSCAYVLTTANSLRAILRANCYHKEGHEAPCVYGGNIYMVMFGAVQIVMSFIPDLHNMLWVSVLAAIMSFTYSFIGLGLGMAKVIGNGRIMGSITGIPATNTANKLWLVFQALGDIAFAYPYALLLLEIQDTLKSTPPENQTMKKASMVAIIVTTFFYLSCGCFGYGAFGDGTPGNILTGFGFYEPYWLVAFANACIILHLVGGYQMYSQPIYTYADRWCSRRFPESDFANKSYKIKLPLIPGYELNLFRLCFRTVYVISTTGIAILFPYFNQVLGVLGAINFWPLAIYFPVEIYLQQREIGAWTKQWILLRIFSFLCFTVTVVGLVGSIQGIISQKL >Vigun06g088300.1.v1.2 pep primary_assembly:ASM411807v1:6:22067950:22071553:1 gene:Vigun06g088300.v1.2 transcript:Vigun06g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIETSMTLTSLLHHVADKFPSRRAISVAGKYDFTHSRLHRLTESAAARLVAAGIKPGDVVALVFPNTVEFVIMFLAVIRARATAAPLNPAYTEEEFEFYLSDSDSKLLVTSLEGNTPAQAAASKLNIAHAAASLTQAENEEAELSLSLSQSFDSVESLVNDPADVALFLHTSGTTSRPKGVPLTQHNLLSSVRNIDSVYRLTESDSTVIVLPLFHVHGLIAGLLSSLGAGAAVALPAAGRFSASAFWKDMIKYNATWYTAVPTIHQIILERHFNNPEPVYPRLRFIRSCSASLAPAIMGKLEEAFSAPVLEAYAMTEASHLMASNPLPQDGPHKAGSVGKPVGQEMGILDESGRVQDVGVSGEVCIRGPNVTKGYKNNVEANTAAFQFGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHQDIAQAVAFGVPDPKYGEEIYCAVIPREGSNIDEAELLRYSKKNLASFKVPKKVFITDALPKTATGKILRRLVAEHFVSQI >Vigun10g187100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40331362:40333201:-1 gene:Vigun10g187100.v1.2 transcript:Vigun10g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTPLLRRNRWLPASIKLKTTLFSELSGAVGDLGTYIPIVLALSLVNNLNLTTTLVFTSLYNIVTGLLFGLPMPVQPMKSIAAVAISASPPLTIAQISAAGLSVAAVLLVLGATGLMSVLYRYLPLPVVRGVQLSQGLTFAFSAVKYIRYDQDLAKSKSGPPRPWLALDGVAVALAAVLFLVLTTGAGDDPPPPQQEEEENKVRVRRRLRVLSTIPAALIVFLFGLVLCFVRDPSIFGDLRFGPSKISVVKITWEDFKVGFVSAAIPQIPLSILNSVIAVCKLSGDLFPEREASAMHVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLGIAKLVLALVFGNSLGRILGEFPIGILGVLLLFAGIELAMAARDMNTKQESFVMLVCAAVSLTGSSAALGFFVGILLYLFLKLRELECGGFPFGFCSSKTTNSSLQEEEHASLIA >Vigun03g359100.4.v1.2 pep primary_assembly:ASM411807v1:3:56151622:56155317:-1 gene:Vigun03g359100.v1.2 transcript:Vigun03g359100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSMETEKKCGCWAVLKRGVRATETRYLNASNRELCPPIEARLSSDNPDPPPQENKAACQLLQFSFQELKAATGNFRPDSILGEGGFGYVFKGWIEEDGTSPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWPNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKGVQKISQLAYSCLSRDPKSRPNMDEVVKALTPLQELNDLAILSYHSRLSQQGRRKKKDGTPHITYTQSKSMRASPLNTSKQHR >Vigun03g359100.2.v1.2 pep primary_assembly:ASM411807v1:3:56151622:56155333:-1 gene:Vigun03g359100.v1.2 transcript:Vigun03g359100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSMETEKKCGCWAVLKRGVRATETRYLNASNRELCPPIEARLSSDNPDPPPQENKAACQLLQFSFQELKAATGNFRPDSILGEGGFGYVFKGWIEEDGTSPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWPNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKGVQKISQLAYSCLSRDPKSRPNMDEVVKALTPLQELNDLAILSYHSRLSQQGRRKKKDGTPHITYTQSKSMRASPLNTSKQHR >Vigun03g359100.1.v1.2 pep primary_assembly:ASM411807v1:3:56151622:56155333:-1 gene:Vigun03g359100.v1.2 transcript:Vigun03g359100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSMETEKKCGCWAVLKRGVRGACKPSASRDSANTIPRTSLVYDAATETRYLNASNRELCPPIEARLSSDNPDPPPQENKAACQLLQFSFQELKAATGNFRPDSILGEGGFGYVFKGWIEEDGTSPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWPNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKGVQKISQLAYSCLSRDPKSRPNMDEVVKALTPLQELNDLAILSYHSRLSQQGRRKKKDGTPHITYTQSKSMRASPLNTSKQHR >Vigun03g359100.3.v1.2 pep primary_assembly:ASM411807v1:3:56151622:56155317:-1 gene:Vigun03g359100.v1.2 transcript:Vigun03g359100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSMETEKKCGCWAVLKRGVRGACKPSASRDSANTIPRTSLVYDAATETRYLNASNRELCPPIEARLSSDNPDPPPQENKAACQLLQFSFQELKAATGNFRPDSILGEGGFGYVFKGWIEEDGTSPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWPNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKGVQKISQLAYSCLSRDPKSRPNMDEVVKALTPLQELNDLAILSYHSRLSQQGRRKKKDGTPHITYTQSKSMRASPLNTSKQHR >Vigun07g285000.1.v1.2 pep primary_assembly:ASM411807v1:7:39823604:39829139:1 gene:Vigun07g285000.v1.2 transcript:Vigun07g285000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKLFWEVPLGLRLQSNDETVRFSTIDIERNRIFFLSSHNLIYTSHLSSFHETGVWSRNASLPADAVTVDLEPGDSVTSFDYLMENEALLIGTSNGLLILHNVDDGSGATQVVGQLDGGVNAVSLSPDGELIAVTTGYAQLLVMTHDWDVLYEVPLHDDVSDDCHVSEGNSLPVSWRGDGKYFATMNDVSDSGCMLKKIKVWDRDSGELLAFSEPRNFAGAVLEWMPSGAKVAAVCDGKDENECSSIVFFERNGLERSRFSVDAKVKFMKWNCSSDLLAGVVECKSYDAVRIWCFSNNHWYLKHEIRFLKRDEVKFIWNPTKPLQLICWTLGGQVTVFNFVWITAVMDNSVALVVDGSNIRVTPLSLSLMPPPMFLFNLKFSSNVRGMAVYCKNSKNQLAAFLSDGSLCVVELPLIETWEELEGKEFNVEASHTELVLGSFLHLEWLDSHKLLTVSHYGFSHSSDSFQSSVVGGLQGFYLREVELECFEDVIPGLLTCSGWHTTVSEGQALEELVIGIASCPANKQTAYIQFSRGQIQEYVSKSGISRGSLVQEQQGFSAACPWMSVVLVGSAGPSKQVLFGLDELGRLHVNGGIVCNNCSSFSFYSNLADQVITHLILTTKHDLLFIVDIADIFNGELDIKYSNFVRISNRKKEENGSYINIWERGAKIIGVLHGDEAAIILQTTRGNLECIYPRKLVLVSIINALVQKRFKDALLMVRRHRIDFNVIVDYCGWQAFSQSASEIVRQVNNLGYITEFICSVKNENIMEKLYKNYVSVPCPKVLNDTLVGSPPNCPASNKVSSVLMAVRKALEDHITESPARELCILTTLARSDPPLLEDALKRIKVIREKELSHADEQGRMSYPSAEEALKHLLWLADSDAVYEAALGLYDLNLAAIVALNAQKDPKEFLPFLQELERLPAQLMQYKIDLKLKRFEKALRHLASAGDGYYDDCMALVKENPQLFPLALQLFTGHTEKMQFLEAWGDYLSDEKCFEDAATIYLSCFNLDKAMKSYRAINNWSGVLTVAGLLNMGKDQMLSIANELCEELQALGKPGEAAKIALEYCGDVNTGVNLLITARDWEEALRVVFMHRREDLIETVKNASLECASTLIGEYEEGIEKVGKYLARYLAVRQRRLLLAAKLQSEERAASDLEDDAASEASSNFSGMSAYTTGTRKSSAASFSSTATSKARDARRQKKRGKIRPGSPDEEMALVEHLKGMSLTVEARRELKSLLVSLMMFGEGETCRKLQLMGENFQLSHMAAVRLAEDTISSDTINEHAHTLEQYALKVKSELRNSEAFSWRLQVFLPYQSTI >Vigun07g285000.2.v1.2 pep primary_assembly:ASM411807v1:7:39823604:39829139:1 gene:Vigun07g285000.v1.2 transcript:Vigun07g285000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVSDSGCMLKKIKVWDRDSGELLAFSEPRNFAGAVLEWMPSGAKVAAVCDGKDENECSSIVFFERNGLERSRFSVDAKVKFMKWNCSSDLLAGVVECKSYDAVRIWCFSNNHWYLKHEIRFLKRDEVKFIWNPTKPLQLICWTLGGQVTVFNFVWITAVMDNSVALVVDGSNIRVTPLSLSLMPPPMFLFNLKFSSNVRGMAVYCKNSKNQLAAFLSDGSLCVVELPLIETWEELEGKEFNVEASHTELVLGSFLHLEWLDSHKLLTVSHYGFSHSSDSFQSSVVGGLQGFYLREVELECFEDVIPGLLTCSGWHTTVSEGQALEELVIGIASCPANKQTAYIQFSRGQIQEYVSKSGISRGSLVQEQQGFSAACPWMSVVLVGSAGPSKQVLFGLDELGRLHVNGGIVCNNCSSFSFYSNLADQVITHLILTTKHDLLFIVDIADIFNGELDIKYSNFVRISNRKKEENGSYINIWERGAKIIGVLHGDEAAIILQTTRGNLECIYPRKLVLVSIINALVQKRFKDALLMVRRHRIDFNVIVDYCGWQAFSQSASEIVRQVNNLGYITEFICSVKNENIMEKLYKNYVSVPCPKVLNDTLVGSPPNCPASNKVSSVLMAVRKALEDHITESPARELCILTTLARSDPPLLEDALKRIKVIREKELSHADEQGRMSYPSAEEALKHLLWLADSDAVYEAALGLYDLNLAAIVALNAQKDPKEFLPFLQELERLPAQLMQYKIDLKLKRFEKALRHLASAGDGYYDDCMALVKENPQLFPLALQLFTGHTEKMQFLEAWGDYLSDEKCFEDAATIYLSCFNLDKAMKSYRAINNWSGVLTVAGLLNMGKDQMLSIANELCEELQALGKPGEAAKIALEYCGDVNTGVNLLITARDWEEALRVVFMHRREDLIETVKNASLECASTLIGEYEEGIEKVGKYLARYLAVRQRRLLLAAKLQSEERAASDLEDDAASEASSNFSGMSAYTTGTRKSSAASFSSTATSKARDARRQKKRGKIRPGSPDEEMALVEHLKGMSLTVEARRELKSLLVSLMMFGEGETCRKLQLMGENFQLSHMAAVRLAEDTISSDTINEHAHTLEQYALKVKSELRNSEAFSWRLQVFLPYQSTI >VigunL059219.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000678.1:23983:24313:1 gene:VigunL059219.v1.2 transcript:VigunL059219.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVLSFGLLLTALRSRKARSWRYGCVADYLSLSFWDEIGESDNDRDNMLLQLEQECLDIYHRRVKETRKHKADIY >Vigun01g036200.1.v1.2 pep primary_assembly:ASM411807v1:1:4685302:4692946:1 gene:Vigun01g036200.v1.2 transcript:Vigun01g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNNHHHYASLRSNPSSSSSSSSSSHSFVSKILLLLTLLPVSLAAIAFILQWRGGVTDPTTLSSPGGSHQYFPGMEPSALSHLSHSQSNSDCVNLGRTNSPSFPYYHNWKLDFGASLTPKICVTTSTSAGLEQILPWMFYHKVIGVTNFFLFVEGKAASPEVSNVLESIPGVKVIYRTKQLEEQQAKSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWILHLDTDELIHPAGAREYSLRQLLLDVPGHVDMVIFPNYESSIERDDIKEPFSEVSMFKKNYDHLPKDTYFGMYKDSVRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEDEMQKWYNEHVVWGDKDLKLRLLRKGVLTRIYSPMVIIQSLRESGVFSSVIASAPSLSKENFLLSIDSSNSSRAAGSVTLSSRKGGRTKESEAATRKVLSIESAAFHEVAVPPLSPPVVDDNDLIAHN >Vigun08g198400.1.v1.2 pep primary_assembly:ASM411807v1:8:36386113:36389597:1 gene:Vigun08g198400.v1.2 transcript:Vigun08g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGAAISSSYTTTSNSLSVFVSSSLSGPSKTKFLPSLSRFSVKRSGLFSQTRPSIAVNKPSMNLLNKLGFGSARAPENLDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRMPGVTKTEVGYTQGLVHNPIYEDVCSGSTNHSEVVRVQYDPKSCSYESLLDLFWARHDPTTLNRQGNDVGTQYRSGIYYYTEEQEKAARESLEQQQKQLNRTIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >Vigun08g198400.4.v1.2 pep primary_assembly:ASM411807v1:8:36386112:36389597:1 gene:Vigun08g198400.v1.2 transcript:Vigun08g198400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNKLGFGSARAPENLDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRMPGVTKTEVGYTQGLVHNPIYEDVCSGSTNHSEVVRVQYDPKSCSYESLLDLFWARHDPTTLNRQGNDVGTQYRSGIYYYTEEQEKAARESLEQQQKQLNRTIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >Vigun08g198400.2.v1.2 pep primary_assembly:ASM411807v1:8:36386262:36389597:1 gene:Vigun08g198400.v1.2 transcript:Vigun08g198400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGAAAISSSYTTTSNSLSVFVSSSLSGPSKTKFLPSLSRFSVKRSGLFSQTRPSIAVNKPSMNLLNKLGFGSARAPENLDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRMPGVTKTEVGYTQGLVHNPIYEDVCSGSTNHSEVVRVQYDPKSCSYESLLDLFWARHDPTTLNRQGNDVGTQYRSGIYYYTEEQEKAARESLEQQQKQLNRTIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >Vigun08g198400.5.v1.2 pep primary_assembly:ASM411807v1:8:36386223:36389597:1 gene:Vigun08g198400.v1.2 transcript:Vigun08g198400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNKLGFGSARAPENLDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRMPGVTKTEVGYTQGLVHNPIYEDVCSGSTNHSEVVRVQYDPKSCSYESLLDLFWARHDPTTLNRQGNDVGTQYRSGIYYYTEEQEKAARESLEQQQKQLNRTIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >Vigun08g198400.3.v1.2 pep primary_assembly:ASM411807v1:8:36386218:36389606:1 gene:Vigun08g198400.v1.2 transcript:Vigun08g198400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGAAISSSYTTTSNSLSVFVSSSLSGPSKTKFLPSLSRFSVKRSGLFSQTRPSIAVNKPSMNLLNKLGFGSARAPENLDSSIPQGPDDDIPAPGQQFAQFGAGCFWGVELAFQRMPGVTKTEVGYTQGLVHNPIYEDVCSGSTNHSEVVRVQYDPKSCSYESLLDLFWARHDPTTLNRQGNDVGTQYRSGIYYYTEEQEKAARESLEQQQKQLNRTIVTEILPAKKFYRAEEYHQQYLEKGGRFGFGQSAAKGCNDPIRCYG >Vigun09g046000.1.v1.2 pep primary_assembly:ASM411807v1:9:4377875:4380560:1 gene:Vigun09g046000.v1.2 transcript:Vigun09g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSLCLSSSFLPSPIPHTKFPTTQDPIFRVTRFSVSAPSKTSVSAASTRKPAPATKTRNPKDKEFSQTLFFEGMGETPIKKKKKKKKEKRKSDKVWVHTLPEVLNYHIHKKDWAISLELFGMLRGQPYYQPREDTYMRLIVLLGKSGQPHRANDLFKSIHEDGCESTELYTALIAAYCRNNLVDEALSILDEMMNIPSCQPDIITYSTLMEALVDALKFEMVELVYDKMVERSVMPNTLTQNLLLSCYGKAGKFDQMEKMVSSMMESTACKPDVWTMNAVIGVFRDEGEIDMMEKWYEKFCSFGIQPQRSTFNILMGAYSKKRMYEKMSSVMQCMRKEKCPWTTSTYNFVIEAFADVGDAANMERAFDQMVGEGLKADTKTLCCLINGYSKAGIFYKVMSSVVLAEKLQIHMNTSFYNAVISACAKEDSLIEMERFFKRMKDKECQPDDTTYSVMIEAYRKANMNDKIFYLEQEKQMMISDEKKLNELQETIFDSYAV >Vigun07g284300.2.v1.2 pep primary_assembly:ASM411807v1:7:39784279:39791776:1 gene:Vigun07g284300.v1.2 transcript:Vigun07g284300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWILKVKDGQHLLEDELQLLCEYVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRSIDQIRIIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFPDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Vigun07g284300.1.v1.2 pep primary_assembly:ASM411807v1:7:39784279:39791776:1 gene:Vigun07g284300.v1.2 transcript:Vigun07g284300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWILKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRSIDQIRIIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFPDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Vigun03g164500.5.v1.2 pep primary_assembly:ASM411807v1:3:18730999:18759806:1 gene:Vigun03g164500.v1.2 transcript:Vigun03g164500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFSLRSDVTQRLVKCKEWLGIRIQNLGMHISAIREARNSEQSTLEKCLKTLNLKIDKFGKRSLSSSQKKQLDERFNAITQRIECFSPVKKSFCGKHIRFMSSSSEDEDSDSSAPEQSNNIMGNQSNPSSQFTRSSERVSSCPYPSATEEMERLGVRNDMMGNSLVNSSLKNEFCQQPRKKRKFENVTSTRSAAYKLQKRKRLGRVVTPIHNGNKTDKTKVPINMEGNLSITNDSLHLFVTTWKEACLEHTVDEVFERMLQFYKVKHCGWRKIKKLFSSYPFVGLLNVAVSYIKSGMRNNMYDTFQDIIGNELSNSPTKRSSEYETIDVGPCQEKVPVITMDNIENAKCISSGDVVRKIGTYFDHDNEINRVSSDSLMQHRIMLLRKFCNCENWVAKEFGIQNFDSLGYGDFISFLVKHINQLPHELLELFDGDRCENFPFGACMSTNQLTTLVSQALSTLWENEIVTKQMVSILLTRQFPTIMFDVPPNGSLVNLLDAVQGHKSCVTSKCVVFSATITGKNYGESSSDRDNNWSEMTTDWSEMSHAKNNENVMAKNAIELFLKSPMLSDLSKWSHWDLRFAPFLGPLISWLLNDVNTQELLCLVTRDGKVIRLDHSASLDSFLEAALQGSSFQTAVHLLSLIALVGGEKYVPLSLLKCHACHAFEVMFRNSVEDVEVSDDGNGLYQSVEVLSKTKNLTEISNAKMGSEFSKHIDKVSKVASILSRFVLDCLGYLPEEFHSFASDLLLSGMQSVFKDSASAILCECTNIEQRFMLHEIGLSLGISEWINDYHSFISNNTSDIHCTQVSCVKDAKTDIKARGHDQYTLDKSPIPEANIEVTGTVDQEKPNQESNTCCLGNSFQNGADVDAPLLIESIRRDEFGLDSSLSDIDTSMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLTFILQDSGIVVLNNELGFSAQNMRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGEIGFVLPTVIPPCDIGIFRRMASNDSKLYDDGSWKTCIMLPFRSHLLEGMALNSILTMFSDLHPSLLLFLHRLKCIRLRNMLNDTLIVMKKEILGDGIIKVSHGNEKMVWFVVSQKLQTNSIRFDVKTTEISMAFTLQESDNSYIPCSDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPSLFVRAQREFCELPCFRTEPGKGISAFMSFVPLVGEVHGFFSSLPRLIISKLRMMNCLLVDGENSEWAPPCKVLRGWTEQVRDFISDNMLLEHLGLRYLNKNIVLSDALARALGIEDFGPNILVRLLSSLCHRKTNLISMGMSWLATYLNTLYLTMFNSSAAMSINFKMEDVCKNLQKIPFIPLSDGTYSSVDEGTIWLQSNILNPGFDDEHKIEAFPNVCAKLRTVSPSLFSTSSGTLSMNMIFLDNITQLLQGIGVQQLSAHELVKLHILPALSDETMANKNRMLMVEYVCFVMLHLNSTCSDCFTESNHIISEFRCKSLLLTNCGFKCPAETPIHFCTGFGNPVTPKLLADCVNMTWHEVDLIYLTHPVNESVSSAVMKWRNFFEKIGITDFAQIVQVDKSVVDIGDATFKQVMWDRGLISTESLVKDWESPEIVQLLSLLSKGGNLENCKYLLEVLDMLWDACYSNKTTGYFYPKSVGDGRPFKSTFICSLCDIQWVVSTMDTELHYPRDLFYDCETVRMILGDFAPYAVPKVKSERLVKDFGFKTRVTLGDILDVLKALRKSSKTPSKASITQMTKLYTFIWNEMASSKKKTMDDLMSGPFIFIPYSSVYDHNDAVCGTFVYPNEVYWHDSTGSVQQMKEFHPQCNSSYSPINKSLCNIYPSLHGFFVDECQVQEAPPLCSYIQILQQLSTVTLPSQAADKILQVFLKWADGLKSGLLSVEGVSYLKECLSKLEFPVLPTVQDRWVSLHPSFGLICWCDDKKLKKEFKHSENLDFLYFGELTDDCKEMVQEKISIVMKSFGIPAISEVVSREPIYYGHADSSSKKSLVNWALPYAQRYINKFHTDKYDQLKHSGFDILKHLKVVVVEKLFYRNVIKTCGSVSKRRVECSCLLQGNNFYTTKESDYHSLFLELSSLLLDRTSQLHMANFLHMITTMAESGSSEEQIEFFILNSQKVPKLPVEEPVWTLSSVSSLAESDNLKPSDHVPSVNEPIFQRRKTVVCPNWPPADWKTAPDFSYARTNGFKTKPAQISGFSEKKKDDISESIISPPYCAEQESLTVDWTIKEDPPANSVALVVNNNVNFEDQSGNLFEPSAFSIRADSDSVGLDEAIDESPDEAHFNLPAFCNRERLQTGTFDANQGKVTGRLGEFLACKYFVDKVGKTAVRWVNEINETGLPYDLVIGEDNNEEFIEVKATRSPKKDWFNISLREWQFANDRSESYSIAFVALMGNNVARITIFKDPVKLCQQGELQLAVMMPKQHKAFSVVS >Vigun03g164500.4.v1.2 pep primary_assembly:ASM411807v1:3:18730999:18759806:1 gene:Vigun03g164500.v1.2 transcript:Vigun03g164500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFSLRSDVTQVFKITSEEIIQLLSEFLDASRSDKIIKVEQFLDFIAKQRLVKCKEWLGIRIQNLGMHISAIREARNSEQSTLEKCLKTLNLKIDKFGKRSLSSSQKKQLDERFNAITQRIECFSPVKKSFCGKHIRFMSSSSEDEDSDSSAPEQSNNIMGNQSNPSSQFTRSSERVSSCPYPSATEEMERLGVRNDMMGNSLVNSSLKNEFCQQPRKKRKFENVTSTRSAAYKLQKRKRLGRVVTPIHNGNKTDKTKVPINMEGNLSITNDSLHLFVTTWKEACLEHTVDEVFERMLQFYKVKHCGWRKIKKLFSSYPFVGLLNVAVSYIKSGMRNNMYDTFQDIIGNELSNSPTKRSSEYETIDVGPCQEKVPVITMDNIENAKCISSGDVVRKIGTYFDHDNEINRVSSDSLMQHRIMLLRKFCNCENWVAKEFGIQNFDSLGYGDFISFLVKHINQLPHELLELFDGDRCENFPFGACMSTNQLTTLVSQALSTLWENEIVTKQMVSILLTRQFPTIMFDVPPNGSLVNLLDAVQGHKSCVTSKCVVFSATITGKNYGESSSDRDNNWSEMTTDWSEMSHAKNNENVMAKNAIELFLKSPMLSDLSKWSHWDLRFAPFLGPLISWLLNDVNTQELLCLVTRDGKVIRLDHSASLDSFLEAALQGSSFQTAVHLLSLIALVGGEKYVPLSLLKCHACHAFEVMFRNSVEDVEVSDDGNGLYQSVEVLSKTKNLTEISNAKMGSEFSKHIDKVSKVASILSRFVLDCLGYLPEEFHSFASDLLLSGMQSVFKDSASAILCECTNIEQRFMLHEIGLSLGISEWINDYHSFISNNTSDIHCTQVSCVKDAKTDIKARGHDQYTLDKSPIPEANIEVTGTVDQEKPNQESNTCCLGNSFQNGADVDAPLLIESIRRDEFGLDSSLSDIDTSMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLTFILQDSGIVVLNNELGFSAQNMRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGEIGFVLPTVIPPCDIGIFRRMASNDSKLYDDGSWKTCIMLPFRSHLLEGMALNSILTMFSDLHPSLLLFLHRLKCIRLRNMLNDTLIVMKKEILGDGIIKVSHGNEKMVWFVVSQKLQTNSIRFDVKTTEISMAFTLQESDNSYIPCSDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPSLFVRAQREFCELPCFRTEPGKGISAFMSFVPLVGEVHGFFSSLPRLIISKLRMMNCLLVDGENSEWAPPCKVLRGWTEQVRDFISDNMLLEHLGLRYLNKNIVLSDALARALGIEDFGPNILVRLLSSLCHRKTNLISMGMSWLATYLNTLYLTMFNSSAAMSINFKMEDVCKNLQKIPFIPLSDGTYSSVDEGTIWLQSNILNPGFDDEHKIEAFPNVCAKLRTVSPSLFSTSSGTLSMNMIFLDNITQLLQGIGVQQLSAHELVKLHILPALSDETMANKNRMLMVEYVCFVMLHLNSTCSDCFTESNHIISEFRCKSLLLTNCGFKCPAETPIHFCTGFGNPVTPKLLADCVNMTWHEVDLIYLTHPVNESVSSAVMKWRNFFEKIGITDFAQIVQVDKSVVDIGDATFKQVMWDRGLISTESLVKDWESPEIVQLLSLLSKGGNLENCKYLLEVLDMLWDACYSNKTTGYFYPKSVGDGRPFKSTFICSLCDIQWVVSTMDTELHYPRDLFYDCETVRMILGDFAPYAVPKVKSERLVKDFGFKTRVTLGDILDVLKALRKSSKTPSKASITQMTKLYTFIWNEMASSKKKTMDDLMSGPFIFIPYSSVYDHNDAVCGTFVYPNEVYWHDSTGSVQQMKEFHPQCNSSYSPINKSLCNIYPSLHGFFVDECQVQEAPPLCSYIQILQQLSTVTLPSQAADKILQVFLKWADGLKSGLLSVEGVSYLKECLSKLEFPVLPTVQDRWVSLHPSFGLICWCDDKKLKKEFKHSENLDFLYFGELTDDCKEMVQEKISIVMKSFGIPAISEVVSREPIYYGHADSSSKKSLVNWALPYAQRYINKFHTDKYDQLKHSGFDILKHLKVVVVEKLFYRNVIKTCGSVSKRRVECSCLLQGNNFYTTKESDYHSLFLELSSLLLDRTSQLHMANFLHMITTMAESGSSEEQIEFFILNSQKVPKLPVEEPVWTLSSVSSLAESDNLKPSDHVPSVNEPIFQRRKTVVCPNWPPADWKTAPDFSYARTNGFKTKPAQISGFSEKKKDDISESIISPPYCAEQESLTVDWTIKEDPPANSVALVVNNNVNFEDQSGNLFEPSAFSIRADSDSVGLDEAIDESPDEAHFNLPAFCNRERLQTGTFDANQGKVTGRLGEFLACKYFVDKVGKTAVRWVNEINETGLPYDLVIGEDNNEEFIEVKATRSPKKDWFNISLREWQFANDRSESYSIAFVALMGNNVARITIFKDPVKLCQQGELQLAVMMPKQHKAFSVVS >Vigun03g164500.3.v1.2 pep primary_assembly:ASM411807v1:3:18730999:18759806:1 gene:Vigun03g164500.v1.2 transcript:Vigun03g164500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHPFPFRGGHGQPSPPPPPPHSANAPNPNTLFLQPSLPQYPLNVPNPTTAFFHQPPRSFSFPNTTRSFPQNPPPNPKLAIDHAERAAAAAFSAVLAAGGSVSSWEVSQTALSMLQVDSWNSLGIKMQQVPSLHRLMITEGKVNAFVHCFVGVRRITSLYDLEVAICKNEAVDNFEALGLGPLLQHPLVMHYFSLRSDVTQRLVKCKEWLGIRIQNLGMHISAIREARNSEQSTLEKCLKTLNLKIDKFGKRSLSSSQKKQLDERFNAITQRIECFSPVKKSFCGKHIRFMSSSSEDEDSDSSAPEQSNNIMGNQSNPSSQFTRSSERVSSCPYPSATEEMERLGVRNDMMGNSLVNSSLKNEFCQQPRKKRKFENVTSTRSAAYKLQKRKRLGRVVTPIHNGNKTDKTKVPINMEGNLSITNDSLHLFVTTWKEACLEHTVDEVFERMLQFYKVKHCGWRKIKKLFSSYPFVGLLNVAVSYIKSGMRNNMYDTFQDIIGNELSNSPTKRSSEYETIDVGPCQEKVPVITMDNIENAKCISSGDVVRKIGTYFDHDNEINRVSSDSLMQHRIMLLRKFCNCENWVAKEFGIQNFDSLGYGDFISFLVKHINQLPHELLELFDGDRCENFPFGACMSTNQLTTLVSQALSTLWENEIVTKQMVSILLTRQFPTIMFDVPPNGSLVNLLDAVQGHKSCVTSKCVVFSATITGKNYGESSSDRDNNWSEMTTDWSEMSHAKNNENVMAKNAIELFLKSPMLSDLSKWSHWDLRFAPFLGPLISWLLNDVNTQELLCLVTRDGKVIRLDHSASLDSFLEAALQGSSFQTAVHLLSLIALVGGEKYVPLSLLKCHACHAFEVMFRNSVEDVEVSDDGNGLYQSVEVLSKTKNLTEISNAKMGSEFSKHIDKVSKVASILSRFVLDCLGYLPEEFHSFASDLLLSGMQSVFKDSASAILCECTNIEQRFMLHEIGLSLGISEWINDYHSFISNNTSDIHCTQVSCVKDAKTDIKARGHDQYTLDKSPIPEANIEVTGTVDQEKPNQESNTCCLGNSFQNGADVDAPLLIESIRRDEFGLDSSLSDIDTSMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLTFILQDSGIVVLNNELGFSAQNMRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGEIGFVLPTVIPPCDIGIFRRMASNDSKLYDDGSWKTCIMLPFRSHLLEGMALNSILTMFSDLHPSLLLFLHRLKCIRLRNMLNDTLIVMKKEILGDGIIKVSHGNEKMVWFVVSQKLQTNSIRFDVKTTEISMAFTLQESDNSYIPCSDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPSLFVRAQREFCELPCFRTEPGKGISAFMSFVPLVGEVHGFFSSLPRLIISKLRMMNCLLVDGENSEWAPPCKVLRGWTEQVRDFISDNMLLEHLGLRYLNKNIVLSDALARALGIEDFGPNILVRLLSSLCHRKTNLISMGMSWLATYLNTLYLTMFNSSAAMSINFKMEDVCKNLQKIPFIPLSDGTYSSVDEGTIWLQSNILNPGFDDEHKIEAFPNVCAKLRTVSPSLFSTSSGTLSMNMIFLDNITQLLQGIGVQQLSAHELVKLHILPALSDETMANKNRMLMVEYVCFVMLHLNSTCSDCFTESNHIISEFRCKSLLLTNCGFKCPAETPIHFCTGFGNPVTPKLLADCVNMTWHEVDLIYLTHPVNESVSSAVMKWRNFFEKIGITDFAQIVQVDKSVVDIGDATFKQVMWDRGLISTESLVKDWESPEIVQLLSLLSKGGNLENCKYLLEVLDMLWDACYSNKTTGYFYPKSVGDGRPFKSTFICSLCDIQWVVSTMDTELHYPRDLFYDCETVRMILGDFAPYAVPKVKSERLVKDFGFKTRVTLGDILDVLKALRKSSKTPSKASITQMTKLYTFIWNEMASSKKKTMDDLMSGPFIFIPYSSVYDHNDAVCGTFVYPNEVYWHDSTGSVQQMKEFHPQCNSSYSPINKSLCNIYPSLHGFFVDECQVQEAPPLCSYIQILQQLSTVTLPSQAADKILQVFLKWADGLKSGLLSVEGVSYLKECLSKLEFPVLPTVQDRWVSLHPSFGLICWCDDKKLKKEFKHSENLDFLYFGELTDDCKEMVQEKISIVMKSFGIPAISEVVSREPIYYGHADSSSKKSLVNWALPYAQRYINKFHTDKYDQLKHSGFDILKHLKVVVVEKLFYRNVIKTCGSVSKRRVECSCLLQGNNFYTTKESDYHSLFLELSSLLLDRTSQLHMANFLHMITTMAESGSSEEQIEFFILNSQKVPKLPVEEPVWTLSSVSSLAESDNLKPSDHVPSVNEPIFQRRKTVVCPNWPPADWKTAPDFSYARTNGFKTKPAQISGFSEKKKDDISESIISPPYCAEQESLTVDWTIKEDPPANSVALVVNNNVNFEDQSGNLFEPSAFSIRADSDSVGLDEAIDESPDEAHFNLPAFCNRERLQTGTFDANQGKVTGRLGEFLACKYFVDKVGKTAVRWVNEINETGLPYDLVIGEDNNEEFIEVKATRSPKKDWFNISLREWQFANDRSESYSIAFVALMGNNVARITIFKDPVKLCQQGELQLAVMMPKQHKAFSVVS >Vigun03g164500.2.v1.2 pep primary_assembly:ASM411807v1:3:18730999:18759806:1 gene:Vigun03g164500.v1.2 transcript:Vigun03g164500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHPFPFRGGHGQPSPPPPPPHSANAPNPNTLFLQPSLPQYPLNVPNPTTAFFHQPPRSFSFPNTTRSFPQNPPPNPKLAIDHAERAAAAAFSAVLAAGGSVSSWEVSQTALSMLQVDSWNSLGIKMQQVPSLHRLMITEGKVNAFVHCFVGVRRITSLYDLEVAICKNEAVDNFEALGLGPLLQHPLVMHYFSLRSDVTQVFKITSEEIIQLLSEFLDASRSDKIIKVEQFLDFIAKQRLVKCKEWLGIRIQNLGMHISAIREARNSEQSTLEKCLKTLNLKIDKFGKRSLSSSQKKQLDERFNAITQRIECFSPVKKSFCGKHIRFMSSSSEDEDSDSSAPEQSNNIMGNQSNPSSQFTRSSERVSSCPYPSATEEMERLGVRNDMMGNSLVNSSLKNEFCQQPRKKRKFENVTSTRSAAYKLQKRKRLGRVVTPIHNGNKTDKTKVPINMEGNLSITNDSLHLFVTTWKEACLEHTVDEVFERMLQFYKVKHCGWRKIKKLFSSYPFVGLLNVAVSYIKSGMRNNMYDTFQDIIGNELSNSPTKRSSEYETIDVGPCQEKVPVITMDNIENAKCISSGDVVRKIGTYFDHDNEINRVSSDSLMQHRIMLLRKFCNCENWVAKEFGIQNFDSLGYGDFISFLVKHINQLPHELLELFDGDRCENFPFGACMSTNQLTTLVSQALSTLWENEIVTKQMVSILLTRQFPTIMFDVPPNGSLVNLLDAVQGHKSCVTSKCVVFSATITGKNYGESSSDRDNNWSEMTTDWSEMSHAKNNENVMAKNAIELFLKSPMLSDLSKWSHWDLRFAPFLGPLISWLLNDVNTQELLCLVTRDGKVIRLDHSASLDSFLEAALQGSSFQTAVHLLSLIALVGGEKYVPLSLLKCHACHAFEVMFRNSVEDVEVSDDGNGLYQSVEVLSKTKNLTEISNAKMGSEFSKHIDKVSKVASILSRFVLDCLGYLPEEFHSFASDLLLSGMQSVFKDSASAILCECTNIEQRFMLHEIGLSLGISEWINDYHSFISNNTSDIHCTQVSCVKDAKTDIKARGHDQYTLDKSPIPEANIEVTGTVDQEKPNQESNTCCLGNSFQNGADVDAPLLIESIRRDEFGLDSSLSDIDTSMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLTFILQDSGIVVLNNELGFSAQNMRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGEIGFVLPTVIPPCDIGIFRRMASNDSKLYDDGSWKTCIMLPFRSHLLEGMALNSILTMFSDLHPSLLLFLHRLKCIRLRNMLNDTLIVMKKEILGDGIIKVSHGNEKMVWFVVSQKLQTNSIRFDVKTTEISMAFTLQESDNSYIPCSDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPSLFVRAQREFCELPCFRTEPGKGISAFMSFVPLVGEVHGFFSSLPRLIISKLRMMNCLLVDGENSEWAPPCKVLRGWTEQVRDFISDNMLLEHLGLRYLNKNIVLSDALARALGIEDFGPNILVRLLSSLCHRKTNLISMGMSWLATYLNTLYLTMFNSSAAMSINFKMEDVCKNLQKIPFIPLSDGTYSSVDEGTIWLQSNILNPGFDDEHKIEAFPNVCAKLRTVSPSLFSTSSGTLSMNMIFLDNITQLLQGIGVQQLSAHELVKLHILPALSDETMANKNRMLMVEYVCFVMLHLNSTCSDCFTESNHIISEFRCKSLLLTNCGFKCPAETPIHFCTGFGNPVTPKLLADCVNMTWHEVDLIYLTHPVNESVSSAVMKWRNFFEKIGITDFAQIVQVDKSVVDIGDATFKQVMWDRGLISTESLVKDWESPEIVQLLSLLSKGGNLENCKYLLEVLDMLWDACYSNKTTGYFYPKSVGDGRPFKSTFICSLCDIQWVVSTMDTELHYPRDLFYDCETVRMILGDFAPYAVPKVKSERLVKDFGFKTRVTLGDILDVLKALRKSSKTPSKASITQMTKLYTFIWNEMASSKKKTMDDLMSGPFIFIPYSSVYDHNDAVCGTFVYPNEVYWHDSTGSVQQMKEFHPQCNSSYSPINKSLCNIYPSLHGFFVDECQVQEAPPLCSYIQILQQLSTVTLPSQAADKILQVFLKWADGLKSGLLSVEGVSYLKECLSKLEFPVLPTVQDRWVSLHPSFGLICWCDDKKLKKEFKHSENLDFLYFGELTDDCKEMVQEKISIVMKSFGIPAISEVVSREPIYYGHADSSSKKSLVNWALPYAQRYINKFHTDKYDQLKHSGFDILKHLKVVVVEKLFYRNVIKTCGSVSKRRVECSCLLQSLII >Vigun03g164500.1.v1.2 pep primary_assembly:ASM411807v1:3:18730999:18759806:1 gene:Vigun03g164500.v1.2 transcript:Vigun03g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHPFPFRGGHGQPSPPPPPPHSANAPNPNTLFLQPSLPQYPLNVPNPTTAFFHQPPRSFSFPNTTRSFPQNPPPNPKLAIDHAERAAAAAFSAVLAAGGSVSSWEVSQTALSMLQVDSWNSLGIKMQQVPSLHRLMITEGKVNAFVHCFVGVRRITSLYDLEVAICKNEAVDNFEALGLGPLLQHPLVMHYFSLRSDVTQVFKITSEEIIQLLSEFLDASRSDKIIKVEQFLDFIAKQRLVKCKEWLGIRIQNLGMHISAIREARNSEQSTLEKCLKTLNLKIDKFGKRSLSSSQKKQLDERFNAITQRIECFSPVKKSFCGKHIRFMSSSSEDEDSDSSAPEQSNNIMGNQSNPSSQFTRSSERVSSCPYPSATEEMERLGVRNDMMGNSLVNSSLKNEFCQQPRKKRKFENVTSTRSAAYKLQKRKRLGRVVTPIHNGNKTDKTKVPINMEGNLSITNDSLHLFVTTWKEACLEHTVDEVFERMLQFYKVKHCGWRKIKKLFSSYPFVGLLNVAVSYIKSGMRNNMYDTFQDIIGNELSNSPTKRSSEYETIDVGPCQEKVPVITMDNIENAKCISSGDVVRKIGTYFDHDNEINRVSSDSLMQHRIMLLRKFCNCENWVAKEFGIQNFDSLGYGDFISFLVKHINQLPHELLELFDGDRCENFPFGACMSTNQLTTLVSQALSTLWENEIVTKQMVSILLTRQFPTIMFDVPPNGSLVNLLDAVQGHKSCVTSKCVVFSATITGKNYGESSSDRDNNWSEMTTDWSEMSHAKNNENVMAKNAIELFLKSPMLSDLSKWSHWDLRFAPFLGPLISWLLNDVNTQELLCLVTRDGKVIRLDHSASLDSFLEAALQGSSFQTAVHLLSLIALVGGEKYVPLSLLKCHACHAFEVMFRNSVEDVEVSDDGNGLYQSVEVLSKTKNLTEISNAKMGSEFSKHIDKVSKVASILSRFVLDCLGYLPEEFHSFASDLLLSGMQSVFKDSASAILCECTNIEQRFMLHEIGLSLGISEWINDYHSFISNNTSDIHCTQVSCVKDAKTDIKARGHDQYTLDKSPIPEANIEVTGTVDQEKPNQESNTCCLGNSFQNGADVDAPLLIESIRRDEFGLDSSLSDIDTSMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLTFILQDSGIVVLNNELGFSAQNMRALCDVGNSTKKGSNAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGEIGFVLPTVIPPCDIGIFRRMASNDSKLYDDGSWKTCIMLPFRSHLLEGMALNSILTMFSDLHPSLLLFLHRLKCIRLRNMLNDTLIVMKKEILGDGIIKVSHGNEKMVWFVVSQKLQTNSIRFDVKTTEISMAFTLQESDNSYIPCSDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPSLFVRAQREFCELPCFRTEPGKGISAFMSFVPLVGEVHGFFSSLPRLIISKLRMMNCLLVDGENSEWAPPCKVLRGWTEQVRDFISDNMLLEHLGLRYLNKNIVLSDALARALGIEDFGPNILVRLLSSLCHRKTNLISMGMSWLATYLNTLYLTMFNSSAAMSINFKMEDVCKNLQKIPFIPLSDGTYSSVDEGTIWLQSNILNPGFDDEHKIEAFPNVCAKLRTVSPSLFSTSSGTLSMNMIFLDNITQLLQGIGVQQLSAHELVKLHILPALSDETMANKNRMLMVEYVCFVMLHLNSTCSDCFTESNHIISEFRCKSLLLTNCGFKCPAETPIHFCTGFGNPVTPKLLADCVNMTWHEVDLIYLTHPVNESVSSAVMKWRNFFEKIGITDFAQIVQVDKSVVDIGDATFKQVMWDRGLISTESLVKDWESPEIVQLLSLLSKGGNLENCKYLLEVLDMLWDACYSNKTTGYFYPKSVGDGRPFKSTFICSLCDIQWVVSTMDTELHYPRDLFYDCETVRMILGDFAPYAVPKVKSERLVKDFGFKTRVTLGDILDVLKALRKSSKTPSKASITQMTKLYTFIWNEMASSKKKTMDDLMSGPFIFIPYSSVYDHNDAVCGTFVYPNEVYWHDSTGSVQQMKEFHPQCNSSYSPINKSLCNIYPSLHGFFVDECQVQEAPPLCSYIQILQQLSTVTLPSQAADKILQVFLKWADGLKSGLLSVEGVSYLKECLSKLEFPVLPTVQDRWVSLHPSFGLICWCDDKKLKKEFKHSENLDFLYFGELTDDCKEMVQEKISIVMKSFGIPAISEVVSREPIYYGHADSSSKKSLVNWALPYAQRYINKFHTDKYDQLKHSGFDILKHLKVVVVEKLFYRNVIKTCGSVSKRRVECSCLLQGNNFYTTKESDYHSLFLELSSLLLDRTSQLHMANFLHMITTMAESGSSEEQIEFFILNSQKVPKLPVEEPVWTLSSVSSLAESDNLKPSDHVPSVNEPIFQRRKTVVCPNWPPADWKTAPDFSYARTNGFKTKPAQISGFSEKKKDDISESIISPPYCAEQESLTVDWTIKEDPPANSVALVVNNNVNFEDQSGNLFEPSAFSIRADSDSVGLDEAIDESPDEAHFNLPAFCNRERLQTGTFDANQGKVTGRLGEFLACKYFVDKVGKTAVRWVNEINETGLPYDLVIGEDNNEEFIEVKATRSPKKDWFNISLREWQFANDRSESYSIAFVALMGNNVARITIFKDPVKLCQQGELQLAVMMPKQHKAFSVVS >Vigun08g020100.3.v1.2 pep primary_assembly:ASM411807v1:8:1750956:1755440:1 gene:Vigun08g020100.v1.2 transcript:Vigun08g020100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYEHCVASRRTIHVVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELYSHVPVLKNFVEHLKRKNFTVCAVYLLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKDLDDFLDPEPTFLLSELNQRMGPRYAKLNKALIELVNNYSMVSFIPLDLRKEKSIQYVLGQIDNCIQYGEDADVKVKDFDPEEDE >Vigun08g020100.4.v1.2 pep primary_assembly:ASM411807v1:8:1750981:1755234:1 gene:Vigun08g020100.v1.2 transcript:Vigun08g020100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYEHCVASRRTIHVVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELYSHVPVLKNFVEHLKRKNFTVCAVYLLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKDLDDFLDPEPTFLLSELNQRMGPRYAKLNKALIELVNNYSMVSFIPLDLRKEKSIQYVLGQIDNCIQYGEDADVKVKDFDPEEDE >Vigun08g020100.2.v1.2 pep primary_assembly:ASM411807v1:8:1750956:1755440:1 gene:Vigun08g020100.v1.2 transcript:Vigun08g020100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYEHCVASRRTIHVVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLVYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELYSHVPVLKNFVEHLKRKNFTVCAVYLLDSQFMTDVTKFISGCMACLSAMVQLELPHVNILSKMDLVTNKKDLDDFLDPEPTFLLSELNQRMGPRYAKLNKALIELVNNYSMVSFIPLDLRKEKSIQYVLGQIDNCIQYGEDADVKVKDFDPEEDE >Vigun07g203400.1.v1.2 pep primary_assembly:ASM411807v1:7:32519459:32521558:-1 gene:Vigun07g203400.v1.2 transcript:Vigun07g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGFLNLFTLLASIPIIGAGLWMARSSTTCENFLQTPLLVIGFVVLVVSLAGFIGACFHVACALWLYLVVMLFLIAALMGLTIFGFGVTSKGGGVEVPGRVYKEYHLQDYSPWLRKRIQDPRYWSTIRSCILGSKTCEKLASWTPLDYMQRDMSPIQSGCCKPPTACTYNMATMMMTQDPDCYRWNNAPNLLCYECDSCKAGVLEDIRGNWHKLSVLTVTMLVLLIGIYSIGCCAFRNTKRAETDYPYGENRMTKVRPRWDYYCWRWLHDRKEQLY >Vigun07g175800.1.v1.2 pep primary_assembly:ASM411807v1:7:29088551:29092938:1 gene:Vigun07g175800.v1.2 transcript:Vigun07g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGENIVSRIASRYSPNYRAMGIEDTDICIIKEPDHVVVYSDGIPHDSGHEAGGDHHNITESYEQINETTEHHSSEESAKEYEVKECTTEVSVKVSDVNNLKKCEEKLTSDSEDVVSEKSFKSHKTRGKHKPRDTVKNGSRPPAGNTGNIHSRCTGSAQIKPTIPQPFSLATEKRASVGTRPAFEEDNKANNERKSLNKKSVLSPNMFKQNQLKSPLVSRKPLQPDNKKHPDEDDACSVTSITGTSMRSIKSRATVSSAPVFRSTERAEKRKEFYTKLEEKHQAMEAEKNQSEARTKEEMEEAIKQLRKSLTFKASPMPSFYHEGPPPKVELKKLPATRAKSPKLGRQKSNNGAVNLSEGAKEKGAGIRRKHQTLKTNNNDVKSDVNDLCDPKNKLNHIEEIDMAKVTGQADLEIGSQ >Vigun07g175800.3.v1.2 pep primary_assembly:ASM411807v1:7:29088551:29092938:1 gene:Vigun07g175800.v1.2 transcript:Vigun07g175800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDTDICIIKEPDHVVVYSDGIPHDSGHEAGGDHHNITESYEQINETTEHHSSEESAKEYEVKECTTEVSVKVSDVNNLKKCEEKLTSDSEDVVSEKSFKSHKTRGKHKPRDTVKNGSRPPAGNTGNIHSRCTGSAQIKPTIPQPFSLATEKRASVGTRPAFEEDNKANNERKSLNKKSVLSPNMFKQNQLKSPLVSRKPLQPDNKKHPDEDDACSVTSITGTSMRSIKSRATVSSAPVFRSTERAEKRKEFYTKLEEKHQAMEAEKNQSEARTKEEMEEAIKQLRKSLTFKASPMPSFYHEGPPPKVELKKLPATRAKSPKLGRQKSNNGAVNLSEGAKEKGAGIRRKHQTLKTNNNDVKSDVNDLCDPKNKLNHIEEIDMAKVTGQADLEIGSQ >Vigun07g175800.2.v1.2 pep primary_assembly:ASM411807v1:7:29087942:29092938:1 gene:Vigun07g175800.v1.2 transcript:Vigun07g175800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDTDICIIKEPDHVVVYSDGIPHDSGHEAGGDHHNITESYEQINETTEHHSSEESAKEYEVKECTTEVSVKVSDVNNLKKCEEKLTSDSEDVVSEKSFKSHKTRGKHKPRDTVKNGSRPPAGNTGNIHSRCTGSAQIKPTIPQPFSLATEKRASVGTRPAFEEDNKANNERKSLNKKSVLSPNMFKQNQLKSPLVSRKPLQPDNKKHPDEDDACSVTSITGTSMRSIKSRATVSSAPVFRSTERAEKRKEFYTKLEEKHQAMEAEKNQSEARTKEEMEEAIKQLRKSLTFKASPMPSFYHEGPPPKVELKKLPATRAKSPKLGRQKSNNGAVNLSEGAKEKGAGIRRKHQTLKTNNNDVKSDVNDLCDPKNKLNHIEEIDMAKVTGQADLEIGSQ >Vigun09g205000.2.v1.2 pep primary_assembly:ASM411807v1:9:37952298:37963169:-1 gene:Vigun09g205000.v1.2 transcript:Vigun09g205000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLNSSTVQKILDLLYAAGFVHTTDSDAPPSEKVAAGLSQCIAAITDQDKTLGIEESLELVGCPHPLRLSHVQDLDVDALFPVIQWLTSHLPQNQEHRAGEVSHAENTVEVDECRASIKALSGNLDDLNQQKMNVVKQLTTLQERICNEGADSAAQKLISLMTSLKDLEKQENYFQSYRDCKHSELQADISELERQITNYSDNKNLSDGLRNSYSELVEKVDLMKKGKHRQTHKYYATYNALLEIKVLMLKETSLLNSIISQFQEAFSSTDGRIKLVHSMEGIVKGSQQKLEKVDVGLQEEQKIRNDLKGRYAAAVGERKRCYYLSKAFQAQCAKENENCPQDK >Vigun09g205000.1.v1.2 pep primary_assembly:ASM411807v1:9:37952298:37963169:-1 gene:Vigun09g205000.v1.2 transcript:Vigun09g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLNSSTVQKILDLLYAAGFVHTTDSDAPPSEKVAAGLSQCIAAITDQDKTLGIEESLELVGCPHPLRLSHVQDLDVDALFPVIQWLTSHLPQNQEHRAGEVSHAENTVEVDECRASIKALSGNLDDLNQQKMNVVKQLTTLQERICNEGADSAAQKLISLMTSLKDLEKQENYFQSYRDCKHSELQADISELERQITNYSDNKNLSDGLRNSYSELVEKVDLMKKQLAARLRNIVALRRQIDDLPCQSEVIQYEYRLSELYAQIQGKHRQTHKYYATYNALLEIKVLMLKETSLLNSIISQFQEAFSSTDGRIKLVHSMEGIVKGSQQKLEKVDVGLQEEQKIRNDLKGRYAAAVGERKRCYYLSKAFQAQCAKENENCPQDK >Vigun11g018800.1.v1.2 pep primary_assembly:ASM411807v1:11:2332368:2339763:-1 gene:Vigun11g018800.v1.2 transcript:Vigun11g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSFSPSRTTCASLLRELQIIWDEIGDSDNDRDNMLLQLEQECLDIYHRRVEETRKHKADMYQWLADAEAQATNIVSSLGESTVLPRGKGSLKQQIATIKPVLEDLRSKKDERIKEFLKIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKILRQQKVKSHISTISELTEVMSIDFRKTLNEIHPSLDDSLKGTLQSISNDTLARLTGVIHSLKREKQQRLQKMQELAKLLVELWDLMETPTEEKKEFSHVTRLISASADEVSTRGCLSSEVIEQVEGEVQRLNVVKASKIKDLVFKRQNELENIYRGVHMHVDSESARQILTSLIESGNVDLSDLLQNMDGQIRQAKEQALSRRDILDRTEKWKFAAEEEKWLDEYERDENRYTAVRGAHKNLKRAEKARILVSRIPSIVENLTTKVRAWEMEKGIPFLYEKIPLLHSLDEYIVQRQLREEEKRKYREQKRLQEQAAVEQETLFGSRSATKKPLSQSNNANTITGTPTGRRLLTPSGRHGTSGGKERRESGRVNTIIPVNYVALPKDDSVSRGN >Vigun09g065500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6900470:6902940:-1 gene:Vigun09g065500.v1.2 transcript:Vigun09g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLRIGKEALENGDRSRALKFVTKARRLDPTLPVDDLLSTIEADAGDKSPAKEAAAERTVPPDQPSLRRRAAATSAATAGSSSSSSATYTEEQVSIIREIKRKKNFYEILGLEKSCTVEDVRKSYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRRKYDVSGEDESIYEQRAARPAARGYNGYYEADVDAEEIFRNFFFGGMAPAANFGGFSFGPAGFNGFNAHRPAEHASGGFNVRALIQLLPVLLILLLNFLPSSDPLYSLSKSYPYEHRLTTPKGVNYYVKSTKFVQEYPPESEERATLEERVERDYFSVLRQNCHFELQRRQWGYIRETPHCDMLRKFESVN >Vigun06g121400.2.v1.2 pep primary_assembly:ASM411807v1:6:24881143:24885974:-1 gene:Vigun06g121400.v1.2 transcript:Vigun06g121400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYDQGQHVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQAKNIMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKTLSQYEKLRKKQAFIDAYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNMLTGEGNAAGSLDPKSVA >Vigun06g121400.3.v1.2 pep primary_assembly:ASM411807v1:6:24880528:24885974:-1 gene:Vigun06g121400.v1.2 transcript:Vigun06g121400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYDQGQHVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQAKNIMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKTLSQYEKLRKKQAFIDAYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNMLTGEGNAAGSLDPKSVA >Vigun06g121400.1.v1.2 pep primary_assembly:ASM411807v1:6:24879574:24885995:-1 gene:Vigun06g121400.v1.2 transcript:Vigun06g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYDQGQHVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQAKNIMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKTLSQYEKLRKKQAFIDAYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNMLTGEGNAAGSLDPKSVA >Vigun03g340900.1.v1.2 pep primary_assembly:ASM411807v1:3:53973096:53976678:1 gene:Vigun03g340900.v1.2 transcript:Vigun03g340900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALALLLLAFTGTSSATWCVCKDGGDAILQKTLDYACGAGADCNPLHQNGPCFQPNTVRAHCNYAVNSYFQKKGQAQGSCEFAGTATVTATDPSTGGCVYPSSVSAAGTGTTPVTTTPTMGTTPTTGTPSTGTGTGTGTTTGTTPYSTTPGVLGGIGSGMGPSGSGMNDESHGGVRLVHSGFFLITLFSSFMIFCWG >Vigun04g144300.1.v1.2 pep primary_assembly:ASM411807v1:4:35828127:35835707:-1 gene:Vigun04g144300.v1.2 transcript:Vigun04g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKDRQIEEWQGYYINYKLMKKRVRQYAQQIQLGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRITKLGEQRDALQEEPEINKIVELREAYRSLGQDLLKLLFFVEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGALSRNLHELQDRQGSYLSIYDQPTLPLQDPVVDSINAAVDRLTNSTNFLSFLGQHALIMQEELPSPTEEHVDDQRYNFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFLGNVMYALAYDARSIWILLIGRLLCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQINFKVHKLTFNQNTLPGWVMAVAWLVYLAWLWITFKEPSHAAEEDHTPHQSNDEVNSTLEKGLKQPLLISSETKVDEDADQDCDDSEEAPEESRQPVNSIVAAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVVTTYYFNWSTSTVAVFLACLGLTVLPVNIVVGSYISNMFQDRQILLASEIMVLIGVVLSFQAIIPYSEPQYICSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGYVRQSLLLNVTLIPSLFICITSILATCFTYNSLY >Vigun08g001400.1.v1.2 pep primary_assembly:ASM411807v1:8:169042:171595:-1 gene:Vigun08g001400.v1.2 transcript:Vigun08g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKNCPSSAFNSPFWTTNSGAPIWNNNSSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPLIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGLKFPDMVHALKPNPKSHIQENWRILDFFSYVPESLHMFSFLFDDVGIPQDYRHMDGFGVNTYTLISKTGKAHYVKFHWKATCGEKCLLDEEAIRVGGSNHSHATQDLYDSIAAGNYPEWKLYIQTMDPEHEDRFDFDPLDVTKIWPEDVLPLQPVGRMVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYADSQRHRLGPNYLQIPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPVRHAERIPIPHRILDGKREKCMIEKENNFKQPGERYRSWAPDRQERFLRRWVDALSEPRVTHEIRSIWISYWSQADRSLGQKIASHLNLKPSI >Vigun03g061900.1.v1.2 pep primary_assembly:ASM411807v1:3:5081426:5087840:1 gene:Vigun03g061900.v1.2 transcript:Vigun03g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGHIYSFKGRFIFLPLVTLVLFTTLMWTWERNPLIAMTLRSAQEWYNLPPAEAPIESVGTATLEKDVEKSATPSTENRTKIQLDTQVEATVDSIPADSPKSQYNQNFTPSRGTGTPTLEKGGEKSITPTTENGTKLQLDSKGVTTIDSIPAESPKTQYKQNVTASSRSKVCNNYAKGKWVADSRRPLYSGFNCKKWLSSMWSCRITERPDFSYEGYRWQPENCEMQEFDRSAFLRKMQDKTIAFIGDSLGRQQFQSLMCMATGGEESPEVQNVGWEYGLVKARGAIRPDGWAYRFPKTNTTILYYWSASLSDLQPLNISDKLSNVAMHLDRPPAFMSRFLHRFDVLVLNTGHHWNRGKLTANRWIMYVNGKPNEDKQIEVIANAKNLTIYSIARWLDLQLVSHPRLKAFFRTISPRHFFNGDWNTGGSCDNTIPLTNGSEVMQEGSIDTTIEGALKGTKIKILDITALSQLRDEAHMSRYNFRGTLNSSDCLHWCLPGIPDTWNELLVAQI >Vigun02g058400.1.v1.2 pep primary_assembly:ASM411807v1:2:20303469:20307439:1 gene:Vigun02g058400.v1.2 transcript:Vigun02g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNEPFWRTNSSFSPPPTRWDFRFQSEGMQYTVNDSIQLYGSSTSSNDKESGSWVRGNHLYDLHYSASDGTGILLSSPSDLSQAPQWTPPAIQEISIDNYENSARKDPHPSAGRVSFTPTKEGTSVNPNSGGSTSSLSESSESESTTKSHLSCQRNFSNLRSFISKPIHPMSFNDLTTTRDAFGPAVTDFTEFDTSTPLRDGQRWSSASSSQEFADVTESFELETPGQSHFPSNGFRCGLCERFLSQRSPWSSRRIVRSGDMPTIGVLPCCHAFHAECLEQTTPKTRKSDPPCPVCVKMEEENSPDYRGFLRLTNGFPRLKSSRGDGPSRPWGCVQVGDCVEGALHAPPRNAMFMLNRNRVKKNLSLKGSLSKEFPGKVRKNGTFSSQLLSGGSSADGKEVGCSKATAGSSVWR >Vigun06g066200.1.v1.2 pep primary_assembly:ASM411807v1:6:19442681:19449509:-1 gene:Vigun06g066200.v1.2 transcript:Vigun06g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKERRLAALSNSGRRVKLDLFAEPSGELGGSTLHGEAGGDTDSQHRDGLPNSPSSSGQEPQNPLLLLGQYSDDEGDDGSSKRLNDANLQSPVLNEEGKGELDEGSKDVNISVSADLVTQNNELQNAMPNSASVDAGSCERNGSHGVTGNLENGLVSKDQICGSESFDERVVTDVGMGWKIVMHEESQSYYYWNTETGETSWEVPQVLAPADQLASDLIPHASVNDKTESAAVGDSSSVLSTVMLDTSAAFTTDTSLDATRTSHKELYGHGSQMNEGSVEFRSQNQGSDVNGNELTRDDGHMNIFEGEHHSSVSKFSVEEQQVDLDFPSRLVNQCESLLERLESLKKSKENLQGQEFLSKYMLEIEIRLSDIRCLASHGSSLLPFWVHSDRKIKLLESLINDDLLETGKSSHDEVEDKHVPVSEELGDQLNGMEHESEVDQSKNKGSLLTSEACNGSQVDGSAVVVKDIDDKVFTNSQHVPSSSSPGSHMETCVEINSKVEAVINPQEPIHKHGYVSGEDVDMDVDMEVEDINTSDNTTVMDVPVANDSVQINQVVQLNPPIDYHSVLLEEGEFVVPPPPDDEWIPPPPPDNEHVPPPPPPDNDQVPPPPGDPLPPSYSVLPSYAETGQPLSYAQYTLSYPGVAVEYYGQTAAEVPSSNIYGQIAMPPAQIYYNSAVPNMYSENPQVMINPTDTVAYYDVQDGAGSKPIPAININGSGVGGANWVSSDVPSTSSSIQAPATVSVDEGVSLPPASSETAAENNASSVVSKAQSKVARTRKRAVAVGSSLKSNKKVSSLVDKWKAAKEEMLEEEEEPDSVYEALERKRQREIEEWHAKQIASGEAKDNANFQPLGGDWREKVKRKRAQAAKESVRKPQDAIKQNQQQPDPTELAKGLPSNWQAYWDDSTKQVYYGNTITSETTWTRPTR >Vigun03g427900.5.v1.2 pep primary_assembly:ASM411807v1:3:63295063:63302732:1 gene:Vigun03g427900.v1.2 transcript:Vigun03g427900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLVAVAVVLLIVGGCVEGKPHRILVDTDVGTDDLFALLYLIKLNTSQFKLEAITINANAWTSGGHGVNQIYDLLYMMDRDDVAVGVGGEGGILQNGTILPNVGGYLPIIEQGMTTVGDCRYRQAIPIGVGGRLDIDANYGLRKAFLPQGSRKYSPMQQASAQEVLIEKISSGPITLLVIGAHTNIGIFLMNNPHLKKNVERIYIMGGGVRSSNPTGCCPKNASSSCVAGQCGDCGNMYTDYNTNPFAEFNIFGDPFAAYQVIHSGIPVSLVPLDATNTIPITKEFFDEFEKSQDTYEAQYCFKSLKMARDTWFDDQFYSNYFMWDSFAAGIAGSIMSKPNNHNGENEFAEMEYMNITVITSNKPYGVSDGSNPFFDGRRVPKFNLEKGGVHSGHVQQGLRDPLCFVKNGKGRCQDGYTKEVSGPDSVRVLVATKAKPNKDVRSKLDREYFTSFLNVLKDPQNSGRFNFTTQFPYYKEITYKPNFQNKTLGKPVVFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWTNAATIDSIYDLLHMMGRDDIPVGRGDVFAMNQADPIFAAVGECKYIKAIPHGSGGLLDSDTLYGFARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALDVWESILQKTKPGSKITVLTNGPLTNLAKVVLMKNISSRIQDVYVVGGHISSTVNDKGNIFSVPSNQYAEFNMFLDPLAAKTVFESEVNITLIPLNTQRRASSFSAIIDELRRTPRTPEAVFSKRLLSRLHHLKQSHTMYQHMGTFLGEILGAVVLGDSYSGLDPKFEEKPIKVLADGNESSDGKVVVDEKDGKLVRILTTVDTKAYYNLYANKLGQEEQSAKIGSFEEQRRRWSHPQLELEIITSLFTK >Vigun03g427900.1.v1.2 pep primary_assembly:ASM411807v1:3:63295480:63302732:1 gene:Vigun03g427900.v1.2 transcript:Vigun03g427900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLVAVAVVLLIVGGCVEGKPHRILVDTDVGTDDLFALLYLIKLNTSQFKLEAITINANAWTSGGHGVNQIYDLLYMMDRDDVAVGVGGEGGILQNGTILPNVGGYLPIIEQGMTTVGDCRYRQAIPIGVGGRLDIDANYGLRKAFLPQGSRKYSPMQQASAQEVLIEKISSGPITLLVIGAHTNIGIFLMNNPHLKKNVERIYIMGGGVRSSNPTGCCPKNASSSCVAGQCGDCGNMYTDYNTNPFAEFNIFGDPFAAYQVIHSGIPVSLVPLDATNTIPITKEFFDEFEKSQDTYEAQYCFKSLKMARDTWFDDQFYSNYFMWDSFAAGIAGSIMSKPNNHNGENEFAEMEYMNITVITSNKPYGVSDGSNPFFDGRRVPKFNLEKGGVHSGHVQQGLRDPLCFVKNGKGRCQDGYTKEVSGPDSVRVLVATKAKPNKDVRSKLDREYFTSFLNVLKDPQNSGRFNFTTQFPYYKEITYKPNFQNKTLGKPVVFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWTNAATIDSIYDLLHMMGRDDIPVGRGDVFAMNQADPIFAAVGECKYIKAIPHGSGGLLDSDTLYGFARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALDVWESILQKTKPGSKITVLTNGPLTNLAKVVLMKNISSRIQDVYVVGGHISSTVNDKGNIFSVPSNQYAEFNMFLDPLAAKTVFESEVNITLIPLNTQRRASSFSAIIDELRRTPRTPEAVFSKRLLSRLHHLKQSHTMYQHMGTFLGEILGAVVLGDSYSGLDPKFEEKPIKVLADGNESSDGKVVVDEKDGKLVRILTTVDTKAYYNLYANKLGQEEQSAKIGSFEEQRRRWSHPQLELEIITSLFTK >Vigun03g427900.4.v1.2 pep primary_assembly:ASM411807v1:3:63295063:63302732:1 gene:Vigun03g427900.v1.2 transcript:Vigun03g427900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLVAVAVVLLIVGGCVEGKPHRILVDTDVGTDDLFALLYLIKLNTSQFKLEAITINANAWTSGGHGVNQIYDLLYMMDRDDVAVGVGGEGGILQNGTILPNVGGYLPIIEQGMTTVGDCRYRQAIPIGVGGRLDIDANYGLRKAFLPQGSRKYSPMQQASAQEVLIEKISSGPITLLVIGAHTNIGIFLMNNPHLKKNVERIYIMGGGVRSSNPTGCCPKNASSSCVAGQCGDCGNMYTDYNTNPFAEFNIFGDPFAAYQVIHSGIPVSLVPLDATNTIPITKEFFDEFEKSQDTYEAQYCFKSLKMARDTWFDDQFYSNYFMWDSFAAGIAGSIMSKPNNHNGENEFAEMEYMNITVITSNKPYGVSDGSNPFFDGRRVPKFNLEKGGVHSGHVQQGLRDPLCFVKNGKGRCQDGYTKEVSGPDSVRVLVATKAKPNKDVRSKLDREYFTSFLNVLKDPQNSGRFNFTTQFPYYKEITYKPNFQNKTLGKPVVFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWTNAATIDSIYDLLHMMGRDDIPVGRGDVFAMNQADPIFAAVGECKYIKAIPHGSGGLLDSDTLYGFARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALDVWESILQKTKPGSKITVLTNGPLTNLAKVVLMKNISSRIQDVYVVGGHISSTVNDKGNIFSVPSNQYAEFNMFLDPLAAKTVFESEVNITLIPLNTQRRASSFSAIIDELRRTPRTPEAVFSKRLLSRLHHLKQSHTMYQHMGTFLGEILGAVVLGDSYSGLDPKFEEKPIKVLADGNESSDGKVVVDEKDGKLVRILTTVDTKAYYNLYANKLGQEEQSAKIGSFEEQRRRWSHPQLELEIITSLFTK >Vigun02g116800.1.v1.2 pep primary_assembly:ASM411807v1:2:26988378:26989581:1 gene:Vigun02g116800.v1.2 transcript:Vigun02g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFHVRSNSFPTGSHPSITRIEEELNKLKTWEDTSTSTSNSKFGVSQILDEDQQLVSLVRVVREVIGMNMSVFQSLLAFLTVSASKSKATKWLLVAKLMQKGVIACEENTKNSNELMCVEASLSSLVNEGSNVATMKAAHERLEALENGIEIIENGLESVFRRMVRTRACLLNIIAQ >Vigun03g298500.1.v1.2 pep primary_assembly:ASM411807v1:3:48641925:48644599:-1 gene:Vigun03g298500.v1.2 transcript:Vigun03g298500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFNSQSSSRSRWSYDTLKNFREISPVVQNHIKRVYFTLCCAVVAAAVGAFLHVLWNIGGFLTTVGSIGTMVWLLSTPPFEEQKRLSLLMASALFQGASIGPLIDLAIAIDPSLIFSAFVATSLAFGCFSAAALVARRREYLYLGGLLSSGLSILMWLHFASSLFGGSIALFKFELYFGLLVFVGYVIVDTQEIIEMAHFGDLDYVKHALTLFTDSAAIFVRILIIMLKNSSERNERKKKRRD >Vigun06g058500.1.v1.2 pep primary_assembly:ASM411807v1:6:18484749:18488754:1 gene:Vigun06g058500.v1.2 transcript:Vigun06g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAASFASRHVFPKFLEAVKMLRDLPKEVVEVADELESFQDFIHDANKVAEAEEDKNRRDRIRKRLMRLREAAFRMEDAIDDYVICDDEKQPEEDPRCATLLCEAVEFIKTQILRLQVAYQIQDVKSLVRAERNGFENHFPIGSRSNGSRGNENFTWHKLRMDPLFIKEEEVVGFEGPIHALKKWLTEGQEERTVISIVGMAGLGKTTLSKQVFDRVHTDFECHALITVSRSYTVEGLLRDLTNKLCKERMEDPPRDVATMNQMSLIEEVRNRLHNKTYVVLFDDVWNETFWDDIELALINNKNGSRILITTRDEKVAEYCKKALFFEVHKLQPLSKEKSLELLCKKAFGYGFDGCCPKDYEEVGLDIVRKCECLPLAIVAIGSLLYRKCKTPPEWRRFSQNLSSELESNSELHSVTKILSLSFDDLPQNLRSCLLYFGMYPDDYEVKCGRLIQQWIAEGFVKQESGRNLEEVAQQHLMELVSRSLVLVSSFTKDDKARACRVHDSIHEMIRGKMKNTGFGEYIDEDNHLESSGIVRRLTIATNSNDLNGSMEESQHVRSIIIFRRRDVKFTDRLLAKYRRLKVLDFGYAPLYDVPENFGSLIHLKYLRLRNIMIRSLPKSIGKLKNLEILDVRTHRVIEVPKEITKLRKLRHLLGSPISSVAVKDSLGSMTSLEKMHVLIVDEDGVVIRELGKLKQLRNLRLSNVMGNHSDTLSFSINQMQLLERLDISVQYLSEVIDLHITPSLSKIRKLHLYGNLKEFPSWIPRLQNLVKLSLVESRLTNIPLKSLGNMPNLLFISFDYNSYEGDTLYFENGGFQKLKELELKCLDNLSSIFIDIGALQSLEKLKIMTIPQLKRVPSGIQNLKKLQVLDILYMPREFQKRIDPNGGEEHWMIKHVPHIHFVMKDRGLLMVHKVAQILSSRLQRKKATVQFEADNETQIKRIT >Vigun11g114201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31791374:31793105:1 gene:Vigun11g114201.v1.2 transcript:Vigun11g114201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDRWPGSPTKKTWAESRNSARQLPYSPARQACWSVGPIRRPKRASPRPVPKKTPRAYFSIPHTLCLRSPPTQSLPLHSLSAISFLCARFSSTHVVAGHHETHRPPRRRRWPYPLLFSSTLTSTTSLAISRKQRPRPPRKQRSHRRTAIGGRFRPPRPPRR >Vigun10g096500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28324005:28325416:1 gene:Vigun10g096500.v1.2 transcript:Vigun10g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGCYCCPGECWKITSSSISISLATIIFPSSPSPARLPSQNPNTTPQTTRITFICHHHCHILVFTNTQLAHERANICLDSPSSSSTCTKIRITALTHAIQYCIGSKPHSSLIFSDQSHPIIAQR >Vigun01g058400.1.v1.2 pep primary_assembly:ASM411807v1:1:11983143:11989633:1 gene:Vigun01g058400.v1.2 transcript:Vigun01g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNLKGTMLVVCVVLQIAYGQNPMRCIRKEREALLQFKAAIVDHNGMLSSWTTPDCCQWEGIRCNNLTANIISLDLHGEVHYEYFSRGNYEVSQRYISGEIHRSLMKLSQLKYLNLSSNAFRGSRIPEFLGSLRNLRYLDLSSSLFGGKIPSQLDSLSRLKYLNLAFNYYLKGSIPPQLGNLSELQYLDLRGNLLEGDVPSQLGNLSELQYLDLGDNVFEGSVPSQLGNLFQLQYLDLSLNSFEGYIPPQLGNLSNLQELYLGGYYGGLTIVSGDEWLSNLISLTHLSLDFIFNFNSSPSWLRMIAKLPNLRELSLINCGLSDHFLLSFNPSNFNFSTSLSVLDLSHNSFTQSMVFQWLSNTTSNLVELDLRGNLLKGSTSNHFGLVMNSLEHLDLSHNVFKGQDMKSFMNICTLRSLHMSRNNVTEDLPSILHNFSSGCVRYSLQELSLTSNQITGSIPDLSRFSSLKILDLSNNKLSGKIREGIRLPSQMEQLSIGSNILEGGVPKSFGSTCSLQSLDLSNNKLSEDLPVIFNHLSGCSRYSLRELYLNQNKFNGTLPDFSIFLKLEMLDLSGNELKDGVPKSIHNATVLRLLDLSNNSLSENLPAMIHHLSQYARYSLQRLDLSMNQISGTLPNTLSMFSSLKKLYLDSNKLNGTISEDLRFPTELEVLHLMSNSLKGVITDSHFSNKSKLRTLDLSDNLLTIKFSQDFDPSFQLTNIAFRSCKLGPLFPKWLKKQNELRSLDISNTGISDTLPKWFWAKFSLQERININLSCNNLQGMIPNFSLQNHYESLSLASNQFEGSVPLFLRDSIYLDLSNNKFTDSLWFLCSGDVAETLYQLDLSNNNFSGQIPDCWTHFQSLAYLNMSQTKFSGEIPSSMGSLLELQVLLLRSNNLTGEIPSSLRNCTKLVMIDIAENRLSGSIPKWIGGKFLELQFLSLRSNYFNGSLPLEICYLKSIQLLDLSLNNLFGQIPKCIKNFSSMTQVTSMRDYEDHWYLANTTYVEVISYNLNIFFMWKGLEQMFTNNGLSLLKSIDLSNNRLSGEIPKEMEVLFGLISLNLSRNNLIGKIPSNIGKLTSLEFLDLSRNQLVGSIPSSLAQIDRLTTLDLSHNYLSGKIPISTQLQSFDASKYEDNVDLCGPPLKKLCIDGVQRKEPIVKFQENDNLILDREYYISAAIGFIISFWGVFGSILLIRSWRHAYFKFLNSLADILYVIAVVKVFKRLHRAYQRKSEF >Vigun03g104900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9078101:9078927:1 gene:Vigun03g104900.v1.2 transcript:Vigun03g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSLFGGRRSNVYDPFSLDVWDPFKDFSFPESGRENSAFVSTRVDWKETPEAHVFRADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDRWHRVERSSGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKPEVKAIEISG >Vigun07g204000.1.v1.2 pep primary_assembly:ASM411807v1:7:32566871:32576612:-1 gene:Vigun07g204000.v1.2 transcript:Vigun07g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDANQLPEVDSLPDGFVESTTEPLAPATPTPEQEKPLSSYKDDGSSDLIHSNELSNDIGAKEFQTNHDCSVEISCSSCMQQNEGVQITPVAVSVPESPPSICCEVKEPQQGECQSSDGSTVVPLETKALPVKNVCSSETVDSSKNKKSATGEKRKSAKRTLKSEKELLEFTLNYQQVLAERDAALAVRDKLESLCRELQRQNKMLMEECKRVSTEGQNLRLDLSAKFQDAIKDVSNKLEERKDECLSQLKENDMLRNKLKQLAEQYELSEQQYAQKLKQKSLELQLSDLKMKQHEEKLIQEQSQIKLYAEQVSQLLATEKSLRLQLTTDGEKFQQFQEALSKSNEIFETFKQEIEKMAKSIKELKKENQFLKSKSEKSDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQSSSENKSNSSVQT >Vigun07g231200.4.v1.2 pep primary_assembly:ASM411807v1:7:35297061:35300604:1 gene:Vigun07g231200.v1.2 transcript:Vigun07g231200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWHWGGRSSKRGGEAQTEIPSGCICALFQAFDFHPFHFSINHQPSSFKSRTREHHTLPKGAEGPRHSLESEDGTTVSSISKEANFKIPNIQIRTRGSTRSKTEISDLSSEISVSPGTKTPTLVARLMGLDLLPDTHSPSSPCLSTPNLYRPRQHIKIIKHRNSTGSDFLPGIPKMSSARKSDVEHRLSLQINKENTVSYEDFEVPRFSFSKGKYDENNSRSPSHYAKQIARQVRESVSRKVGRDITNTIKNRDHGEEECVTKISFKKTSKTPVNESSPGKLSNSSYSPRLSRFTDTKQKPSTTPKNQSTHSVLKPPSPPHAINIETELSEVSSQPKPQTLARKELQNQKAVTKCKKTTFGKSSRRVNKPPQTSIRNKQEEPFIIRYPLVTNFNGIKTKKKRTRPLSSDLFNGHNTVPNLLPVKIGLSPQKQTRVSDDDDCRDAKRNTQLFSCSRQTYREEAPRPPAPRGPTTCDHDKSHGAFTTISSAALHEGPEFHYVTTILSRTTGSHSNATTRIPHLHFQWFSPTHPLDPSLFHSLERYPTSHSFVSFLEDNKDCVFERKHGLGPRCNRRLLFDLVDELLSEILVRPKRFRGRLLETVWERVRSLPRAKCEVLEDIDGLVEMEEMREEEEEEGLVKEIERNIVEWLVHETLTVMVGGVYT >Vigun07g231200.3.v1.2 pep primary_assembly:ASM411807v1:7:35296603:35300604:1 gene:Vigun07g231200.v1.2 transcript:Vigun07g231200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEAKHKKCLSPQDYDSMKVCNKPDVSLRIMGKEWHWGGRSSKRGGEAQTEIPSGCICALFQAFDFHPFHFSINHQPSSFKSRTREHHTLPKGAEGPRHSLESEDGTTVSSISKEANFKIPKNIQIRTRGSTRSKTEISDLSSEISVSPGTKTPTLVARLMGLDLLPDTHSPSSPCLSTPNLYRPRQHIKIIKHRNSTGSDFLPGIPKMSSARKSDVEHRLSLQINKENTVSYEDFEVPRFSFSKGKYDENNSRSPSHYAKQIARQVRESVSRKVGRDITNTIKNRDHGEEECVTKISFKKTSKTPVNESSPGKLSNSSYSPRLSRFTDTKQKPSTTPKNQSTHSVLKPPSPPHAINIETELSEVSSQPKPQTLARKELQNQKAVTKCKKTTFGKSSRRVNKPPQTSIRNKQEEPFIIRYPLVTNFNGIKTKKKRTRPLSSDLFNGHNTVPNLLPVKIGLSPQKQTRVSDDDDCRDAKRNTQLFSCSRQTYREEAPRPPAPRGPTTCDHDKSHGAFTTISSAALHEGPEFHYVTTILSRTTGSHSNATTRIPHLHFQWFSPTHPLDPSLFHSLERYPTSHSFVSFLEDNKDCVFERKHGLGPRCNRRLLFDLVDELLSEILVRPKRFRGRLLETVWERVRSLPRAKCEVLEDIDGLVEMEEMREEEEEEGLVKEIERNIVEWLVHETLTVMVGGVYT >Vigun07g231200.2.v1.2 pep primary_assembly:ASM411807v1:7:35296603:35300604:1 gene:Vigun07g231200.v1.2 transcript:Vigun07g231200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEAKHKKCLSPQDYDSMKVCNKPDLSEDVSLRIMGKEWHWGGRSSKRGGEAQTEIPSGCICALFQAFDFHPFHFSINHQPSSFKSRTREHHTLPKGAEGPRHSLESEDGTTVSSISKEANFKIPKNIQIRTRGSTRSKTEISDLSSEISVSPGTKTPTLVARLMGLDLLPDTHSPSSPCLSTPNLYRPRQHIKIIKHRNSTGSDFLPGIPKMSSARKSDVEHRLSLQINKENTVSYEDFEVPRFSFSKGKYDENNSRSPSHYAKQIARQVRESVSRKVGRDITNTIKNRDHGEEECVTKISFKKTSKTPVNESSPGKLSNSSYSPRLSRFTDTKQKPSTTPKNQSTHSVLKPPSPPHAINIETELSEVSSQPKPQTLARKELQNQKAVTKCKKTTFGKSSRRVNKPPQTSIRNKQEEPFIIRYPLVTNFNGIKTKKKRTRPLSSDLFNGHNTVPNLLPVKIGLSPQKQTRVSDDDDCRDAKRNTQLFSCSRQTYREEAPRPPAPRGPTTCDHDKSHGAFTTISSAALHEGPEFHYVTTILSRTTGSHSNATTRIPHLHFQWFSPTHPLDPSLFHSLERYPTSHSFVSFLEDNKDCVFERKHGLGPRCNRRLLFDLVDELLSEILVRPKRFRGRLLETVWERVRSLPRAKCEVLEDIDGLVEMEEMREEEEEEGLVKEIERNIVEWLVHETLTVMVGGVYT >Vigun07g231200.1.v1.2 pep primary_assembly:ASM411807v1:7:35296603:35300605:1 gene:Vigun07g231200.v1.2 transcript:Vigun07g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWHWGGRSSKRGGEAQTEIPSGCICALFQAFDFHPFHFSINHQPSSFKSRTREHHTLPKGAEGPRHSLESEDGTTVSSISKEANFKIPKNIQIRTRGSTRSKTEISDLSSEISVSPGTKTPTLVARLMGLDLLPDTHSPSSPCLSTPNLYRPRQHIKIIKHRNSTGSDFLPGIPKMSSARKSDVEHRLSLQINKENTVSYEDFEVPRFSFSKGKYDENNSRSPSHYAKQIARQVRESVSRKVGRDITNTIKNRDHGEEECVTKISFKKTSKTPVNESSPGKLSNSSYSPRLSRFTDTKQKPSTTPKNQSTHSVLKPPSPPHAINIETELSEVSSQPKPQTLARKELQNQKAVTKCKKTTFGKSSRRVNKPPQTSIRNKQEEPFIIRYPLVTNFNGIKTKKKRTRPLSSDLFNGHNTVPNLLPVKIGLSPQKQTRVSDDDDCRDAKRNTQLFSCSRQTYREEAPRPPAPRGPTTCDHDKSHGAFTTISSAALHEGPEFHYVTTILSRTTGSHSNATTRIPHLHFQWFSPTHPLDPSLFHSLERYPTSHSFVSFLEDNKDCVFERKHGLGPRCNRRLLFDLVDELLSEILVRPKRFRGRLLETVWERVRSLPRAKCEVLEDIDGLVEMEEMREEEEEEGLVKEIERNIVEWLVHETLTVMVGGVYT >Vigun02g059200.1.v1.2 pep primary_assembly:ASM411807v1:2:20416895:20419659:1 gene:Vigun02g059200.v1.2 transcript:Vigun02g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKCCMISSQQNQNAPTCYPFPSSPSMGMVCADMMGSLSLATVSNALSSQQSFGYGHHHHGHASGVENGRSTSWSFPFMREFLSSNFEDCSDVGAGESNSNEKTNNNGKFSEESNPNENLLSGKEVDSGHSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRMTQSVYKRVEQNPTFVCNTTEQEPSSSYCLNLLHNGVGLTNNVPSFPSFHGVGGSGEVVDFGLNASPQNITGARETMSNTLQVGFCAQPPQAPFDFFSGGGSNDMTGECNNVQMRSWERSNESVEHHWQQSGSYPHYPQQYLMAMQQVENKRRNNFYSLLNSSSSVAGSRGKEASSSSSACVAGMRDKVVKSDPPDGVPPPFFDFLGVGAT >Vigun05g203700.2.v1.2 pep primary_assembly:ASM411807v1:5:39208943:39210715:1 gene:Vigun05g203700.v1.2 transcript:Vigun05g203700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HYRRRRLLHRLCFRLLLAPPPASNVSVEIDASLVDSDGLKDHALKKRGRSDSCTGSSSKACREKLRRDRLNDKFVELGAILEPGRPPKTDKAAILMDAVRMVTQLREESQKLKESTTSLQEKIKELKAEKNELRDEKQRLKVEKEKLELQVKSISAQPAFLPPPPAIPAALAPQGQAPGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Vigun01g253300.1.v1.2 pep primary_assembly:ASM411807v1:1:41897496:41899807:-1 gene:Vigun01g253300.v1.2 transcript:Vigun01g253300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNRVGNVLRQGAAGSTQAPVASMLNYIRCMSSSKLFIGGLSYGVDDQSLRDAFASFGDVVEARVITDRDTGRSRGFGFVNFSSDESASSALSAMDGQDLNGRSIRVSYANDKPSGPRSGGGGGYGGGGYASRNGGGGGGGYGGGGGGW >Vigun07g102800.1.v1.2 pep primary_assembly:ASM411807v1:7:18469133:18475021:-1 gene:Vigun07g102800.v1.2 transcript:Vigun07g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVYDGQDAGYVPENPEFPQNHSEEHDGGNVIDDSGFQQLQPDEHDEANLAVDADVPENNFDGNDGEGLPENPDFPPQEHAEEQHVEGDMPENFVSEEKQESQEELKVGEVKKWPGWPGENVFRMLVPVQKVGSIIGRKGEFIRKITEETKARIKILDGPPGTAERAVMVSAKEEPDRTIPPAVDGLLRVHKQVVNVEPQSADGGASGAGRTVVTRLLVADTQAGSLIGKQGATIKSFQDSTGCNIRVLGSEHLPVFALRDDSVVEIQGESSGVHKAVELVAVHLRKFLVDRSIVGVFETQMQRPDVRANQNVPHGPPHQPWGPPQGFPAPGPVSGGGPAFPPNPQYMPPSHNYDNYYPPADLPPMDKHLHQGPAPAYGRDTSMGIHSSSVQPQQSVVTKVTQHMQIPLSYADAVIGASGTNISYIRRASGASITIQETRGVPGEMTVEISGTASQIQAAQQLVQNFMAEAASATQEHMGGSMNQGYNSYPTSAPVYASPPSSAAAHAGHVPSADYGPVYGTNYGY >Vigun02g115600.1.v1.2 pep primary_assembly:ASM411807v1:2:26914741:26918565:1 gene:Vigun02g115600.v1.2 transcript:Vigun02g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSAPLLKHLLARLNPARSVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVEQVMDAMHAPVYFEKYEVYGDMKAVPAEVLESVRKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATRYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKVVEQQKANPVALLLSSAMMLRHLQFPAFADRLETAVKKVILEGKYRTKDLGGTSTTQEVVDAVINALD >Vigun05g002100.1.v1.2 pep primary_assembly:ASM411807v1:5:163891:167234:1 gene:Vigun05g002100.v1.2 transcript:Vigun05g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEPEITDSHTAHSTGNVDAIEVTGENDGHREQSPTNGRESKGGWNTVFILLANQALATLAFFGVGVNLVLFLTRVLRQDIVDAANNVSKWTGTVYIFSLIGAFLSDSYWGRYLTCSIFQIIFILGLGMLSLTSWRFLIKPAGCGSEETKCREASSVGVGVFYLSIYLVAFGYGGHQPTLATFGADQFDEKKKNHKENRDAYFGYFYFALNVGSLFSNTVLVYFEDTGMWTLGFLVSLGSAIIAFLLYLAGFRKYRYVKAYGNPVIRVVQVFVAAFKKFKVKAGNEDQLYEVDGPESAIKGSRKIMHSNDFRFMDKAATITERDRDDLKNHWRLCTVTQVEEAKCVMRMLPVWLCTIIYSVVFTQMASLFVEQGDVMNNKVGKFHLPAATMSVFDICSVLVCTGIYRYILVPLVGKLSGYPGGLTELQRMGVGLIIGMLSMVAAGVTEFARLKQVIPGEKASSLSILWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVYMVMEVTERGEKPGWIPNNLNVGHMDRFFFLVAILTALDFVLYLFCARWYKSIKIEEGDDKNQEDQQENISRV >Vigun05g002100.2.v1.2 pep primary_assembly:ASM411807v1:5:164446:167234:1 gene:Vigun05g002100.v1.2 transcript:Vigun05g002100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMEPEITDSHTAHSTGNVDAIEVTGENDGHREQSPTNGRESKGGWNTVFILLANQALATLAFFGVGVNLVLFLTRVLRQDIVDAANNVSKWTGTVYIFSLIGAFLSDSYWGRYLTCSIFQIIFILGLGMLSLTSWRFLIKPAGCGSEETKCREASSVGVGVFYLSIYLVAFGYGGHQPTLATFGADQFDEKKKNHKENRDAYFGYFYFALNVGSLFSNTVLVYFEDTGMWTLGFLVSLGSAIIAFLLYLAGFRKYRYVKAYGNPVIRVVQVFVAAFKKFKVKAGNEDQLYEVDGPESAIKGSRKIMHSNDFRFMDKAATITERDRDDLKNHWRLCTVTQVEEAKCVMRMLPVWLCTIIYSVVFTQMASLFVEQGDVMNNKVGKFHLPAATMSVFDICSVLVCTGIYRYILVPLVGKLSGYPGGLTELQRMGVGLIIGMLSMVAAGVTEFARLKQVIPGEKASSLSILWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVYMVMEVTERGEKPGWIPNNLNVGHMDRFFFLVAILTALDFVLYLFCARWYKSIKIEEGDDKNQEDQQENISRV >Vigun05g002100.3.v1.2 pep primary_assembly:ASM411807v1:5:163891:167234:1 gene:Vigun05g002100.v1.2 transcript:Vigun05g002100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEITDSHTAHSTGNVDAIEVTGENDGHREQSPTNGRESKGGWNTVFILLANQALATLAFFGVGVNLVLFLTRVLRQDIVDAANNVSKWTGTVYIFSLIGAFLSDSYWGRYLTCSIFQIIFILGLGMLSLTSWRFLIKPAGCGSEETKCREASSVGVGVFYLSIYLVAFGYGGHQPTLATFGADQFDEKKKNHKENRDAYFGYFYFALNVGSLFSNTVLVYFEDTGMWTLGFLVSLGSAIIAFLLYLAGFRKYRYVKAYGNPVIRVVQVFVAAFKKFKVKAGNEDQLYEVDGPESAIKGSRKIMHSNDFRFMDKAATITERDRDDLKNHWRLCTVTQVEEAKCVMRMLPVWLCTIIYSVVFTQMASLFVEQGDVMNNKVGKFHLPAATMSVFDICSVLVCTGIYRYILVPLVGKLSGYPGGLTELQRMGVGLIIGMLSMVAAGVTEFARLKQVIPGEKASSLSILWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVYMVMEVTERGEKPGWIPNNLNVGHMDRFFFLVAILTALDFVLYLFCARWYKSIKIEEGDDKNQEDQQENISRV >Vigun05g117964.1.v1.2 pep primary_assembly:ASM411807v1:5:12657346:12657764:1 gene:Vigun05g117964.v1.2 transcript:Vigun05g117964.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSPMKSAVRFVFVMLLVLFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFTNNKFIQSP >Vigun07g035400.1.v1.2 pep primary_assembly:ASM411807v1:7:3390865:3392291:1 gene:Vigun07g035400.v1.2 transcript:Vigun07g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKGDTGKPALAANVASCRKKKNEEASFMEDLKDHIDEFIHASMDEHKTCFKKTVQKMFGMSKAVAERNTDAPKEVESSLPLQTTLEG >Vigun07g035400.2.v1.2 pep primary_assembly:ASM411807v1:7:3390865:3392267:1 gene:Vigun07g035400.v1.2 transcript:Vigun07g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKGDTGKPALAANVASCRKKKNEEASFMEDLKDHIDEFIHASMDEHKTCFKKTVQKMFGMSKAVAERNTDAPKEVESSLPLQTTLEG >Vigun07g035400.3.v1.2 pep primary_assembly:ASM411807v1:7:3390907:3394282:1 gene:Vigun07g035400.v1.2 transcript:Vigun07g035400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKGDTGKPALAANVASCRKKKNEEASFMEDLKDHIDEFIHASMDEHKTCFKKTVQKMFGMSKAVAERNTDAPKEVESSLPLQTTLEGLQKSTIGEIQHQ >Vigun09g091300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12726995:12729557:-1 gene:Vigun09g091300.v1.2 transcript:Vigun09g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCLSGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDSANADLLRYAYNDMPPPSLSVPPPMTPTTIQQMPPQRSLISARFGNEASGFYRPSPTITHNSYPYSLPWTDTPPEDIDGGGEGGGNNNL >Vigun05g186900.4.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGMGFCPRLSGFLMRVFIQTPILQLKQLVTDKPWSTYKDAESKGVRVLLQSFTNSCLNFKKRHGILQKGS >Vigun05g186900.10.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36151110:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTKGWDFVRGSVAS >Vigun05g186900.5.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASR >Vigun05g186900.2.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQSLPQMKERRYHIIWLTYCTHLKFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASRNFAKRQLTWFRNEKIYQWLDGSKPLETILSFINGAYHDWNGSLRVPEHLRMSRAISNRREETQIKSYRTRNRHFVKGEDCSHILNWISKTQR >Vigun05g186900.9.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36151110:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQSLPQMKERRYHIIWLTYCTHLKFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASR >Vigun05g186900.7.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36151085:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKEGMGFCPRLSGFLMRVFIQTPILQLKQLVTDKPWSTYKDAESKGVRVLLQSFTNSCLNFKKRHGNAFCFLR >Vigun05g186900.1.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASRNFAKRQLTWFRNEKIYQWLDGSKPLETILSFINGAYHDWNGSLRVPEHLRMSRAISNRREETQIKSYRTRNRHFVKGEDCSHILNWISKTQR >Vigun05g186900.11.v1.2 pep primary_assembly:ASM411807v1:5:36149016:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTIPMRVMFEFLNIRFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASR >Vigun05g186900.6.v1.2 pep primary_assembly:ASM411807v1:5:36149016:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTIPMRVMFEFLNIRFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGRDGILSEAQWLLDAGLHPNSNSATKAIGYRQAMEYLQRCREQGGQSSAAEFYKFLFEFQKASRNFAKRQLTWFRNEKIYQWLDGSKPLETILSFINGAYHDWNGSLRVPEHLRMSRAISNRREETQIKSYRTRNRHFVKGEDCSHILNWISKTQR >Vigun05g186900.3.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGECSVTEDSESSDMNTYGDGMEETSSSELDYEFMCFFLSSHRLDLYKSIDYRCEDMLLGMGFCPRLSGFLMRVFIQTPILQLKQLVTDKPWSTYKDAESKGVRVLLQSFTNSCLNFKKRHGNAFCFLR >Vigun05g186900.8.v1.2 pep primary_assembly:ASM411807v1:5:36147020:36153489:1 gene:Vigun05g186900.v1.2 transcript:Vigun05g186900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGVCTLRTCFRFPERSLFPSLQRSFTFRRRFLVTASQRKNKEKVIVISGPTGSGKSRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPSPDERKEVPHHLVDILHPSEDYSVGQFFEDARQATRCILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASPVITSEVNQELAELQRNEDWDTAVQLVVKAGDSKAQFLAANDWYRLRRSLEIIKEGMGFCPRLSGFLMRVFIQTPILQLKQLVTDKPWSTYKDAESKGVRVLLQSFTNSCLNFKKRHGILQKGS >Vigun03g018600.2.v1.2 pep primary_assembly:ASM411807v1:3:1340730:1344841:1 gene:Vigun03g018600.v1.2 transcript:Vigun03g018600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEASAIVIDLGSHTCKAGYAGEEAPKAVFPTVVGVIDQMDIDGPVHAGVSSGSAVDLQNNNKNHESDRTKEKRKLYVGSQSLGYRRDYMELLSPLRNGVIVDWNIVDNIWDHALRECLRVDPKERPMLLAEQCSSTQEERERAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGLTTVVPVLDGYVLQKAVITSIIGGQFLTESFTKSLEAKGITTSIFIQEEGDKPWEYSVWNVGVSTLGCCIPLLPPEGFNFVVEPS >Vigun03g329800.1.v1.2 pep primary_assembly:ASM411807v1:3:52690719:52692715:1 gene:Vigun03g329800.v1.2 transcript:Vigun03g329800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACAATDSVASGEIMLFGVRVVVDSMRKSVSMNNLSQYEHPHDSNNSSNSNNNKKDVLAAADYASADDAVPHNTGRQRERERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISKNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVQNQDTLSHSQPVCPAVPETNKSGFPMMPVYQFGVGSGVIAVQGGKPLEELTLGQGNIEHNVPINLVHSIPVVADPKASTVSDIITPSSSSAVDPPTLSLGLSFSSDQRQNHQDILVYMPCHVSAMEIASLVLLKEDDCDCVKVLV >Vigun09g236400.1.v1.2 pep primary_assembly:ASM411807v1:9:40704798:40707091:1 gene:Vigun09g236400.v1.2 transcript:Vigun09g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMKVHHFTRAFWEHEPFLTLGCKRLRPLAPKLPNPSLPFDLKTFIRPETGPTKPVSSLHNNKDPPSPQAQVETHPGGTRWNPTQEQIGILEMLYKGGTRTPNAQQIEQITVQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLAFSHTPRTPTIHTVVTLEAAKGEVVDREEEEGSPYKKKCRRWVFDCLEEQSMSSSSVCEEEEFRTLELFPLHPEGRCEGV >Vigun06g000300.1.v1.2 pep primary_assembly:ASM411807v1:6:136655:139142:1 gene:Vigun06g000300.v1.2 transcript:Vigun06g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSVKPSPLQPQLPSLRGGVIRRIRCGIAEPSGEPAPLGQKTRYNDGIFEKAFMTLFARKMEKYADPAGGVKGTAKKSWWDWGYDYESFVDVSRRVMQRRSRIQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFDWLVGPSEVVEVEVNGVKQKSGVHIRKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMTPNFEDMSCDMVYGQAPPTFEEDPVSKQPCYQDICSMAKSSSSVCPKLQA >Vigun06g061600.3.v1.2 pep primary_assembly:ASM411807v1:6:18942083:18945847:-1 gene:Vigun06g061600.v1.2 transcript:Vigun06g061600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGDLNNVWEIRALKRKPAAEEATKMLEKIAKQVQPIMRKHKWRVKILSEFCPGNPSLLGVNVGAGIHVKLRLRKPNRDLDFYPFNQVLDTMLHELCHNAHGPHNANFYKLWDELRKECEELMAKGITGAGQGFDLPGRRLGGYSRQPPLPSLRKTALEAAEKRARLGSLLPSGPKRIGGNSIIMKALSPAQAAAMAAEQRLQDEIWCGSQSSAHLDKEDVDYDDAENIVHKGENVGTSRLPDNSTLPSKLLSRKRSQDTSSSLLGSSSSSSELIDLTMDTPKRGSKRSCCGSETISHSQSNFHEGPSSANLSSVSVSGDSRTFRSDESGMWECTMCTLLNNKLAPICELCGTHQPKDVSTKYNSWSCKFCTLENNVKLDKCSACDQWRYSCGPPVSTLAPNVV >Vigun06g061600.2.v1.2 pep primary_assembly:ASM411807v1:6:18942083:18945847:-1 gene:Vigun06g061600.v1.2 transcript:Vigun06g061600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELCHNAHGPHNANFYKLWDELRKECEELMAKGITGAGQGFDLPGRRLGGYSRQPPLPSLRKTALEAAEKRARLGSLLPSGPKRIGGNSIIMKALSPAQAAAMAAEQRLQDEIWCGSQSSAHLDKEDVDYDDAENIVHKGENVGTSRLPDNSTLPSKLLSRKRSQDTSSSLLGSSSSSSELIDLTMDTPKRGSKRSCCGSETISHSQSNFHEGPSSANLSSVSVSGDSRTFRSDESGMWECTMCTLLNNKLAPICELCGTHQPKDVSTKYNSWSCKFCTLENNVKLDKCSACDQWRYSCGPPVSTLAPNVGT >Vigun06g061600.1.v1.2 pep primary_assembly:ASM411807v1:6:18942083:18945881:-1 gene:Vigun06g061600.v1.2 transcript:Vigun06g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGDLNNVWEIRALKRKPAAEEATKMLEKIAKQVQPIMRKHKWRVKILSEFCPGNPSLLGVNVGAGIHVKLRLRKPNRDLDFYPFNQVLDTMLHELCHNAHGPHNANFYKLWDELRKECEELMAKGITGAGQGFDLPGRRLGGYSRQPPLPSLRKTALEAAEKRARLGSLLPSGPKRIGGNSIIMKALSPAQAAAMAAEQRLQDEIWCGSQSSAHLDKEDVDYDDAENIVHKGENVGTSRLPDNSTLPSKLLSRKRSQDTSSSLLGSSSSSSELIDLTMDTPKRGSKRSCCGSETISHSQSNFHEGPSSANLSSVSVSGDSRTFRSDESGMWECTMCTLLNNKLAPICELCGTHQPKDVSTKYNSWSCKFCTLENNVKLDKCSACDQWRYSCGPPVSTLAPNVGT >Vigun07g213800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33516033:33516296:-1 gene:Vigun07g213800.v1.2 transcript:Vigun07g213800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKSKSFPEYSCSYTEFGFRDRSNSYNFNGPTQKGSGFSTSNDPELKRKKRIKAYNVLTVEGKLKSGVRNSFKWIKNKFSDIRYGV >Vigun11g147000.1.v1.2 pep primary_assembly:ASM411807v1:11:35587544:35588241:-1 gene:Vigun11g147000.v1.2 transcript:Vigun11g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMLNLHGVPLCLSGITNPLSFSKEIADHDRRRKKMKKKQRSVGVHGKIEQKGTLNIITNFQTSSLIMAEQYGDLAHIILHDLFCTHLGFTAKAA >Vigun10g142700.1.v1.2 pep primary_assembly:ASM411807v1:10:35969417:35974379:1 gene:Vigun10g142700.v1.2 transcript:Vigun10g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKQHSTMCSSSFIFIFFLLIGPIFSLSSSTPISRRILHQPFLPEGGSPPPSVPPNPPPQPSPSPSPPNPKYPFSTTPTNNASTPFFPTYPSPPPPPSPSAYASFPANISSLILPQSSKSKSSSKKLVAVAISAVACALAVVSLSAFVYCRRRRQSYSADEKTLRSDSSIRLFPREASVPTGGGRKPRNTSSNSSEFLYLGTIVNSRGGGVDELSEPHAAALNPRKMDSPELQPLPPLARQASRLREESAATVEDDEEEFYSPRGSLNGREGSIGTGSGSRRVFSAIAAENLVGRSSSESTSSSYSSSSSASPDRSHSISLSPPVSISPRRSQPKSPENTVAHHSPPLPTAAIRRSPSLSTLSSPSPGFGQHMPSSSSMSSTPERRECQSPSLSPLSLSPRKNPNPDGESPPGLVLLEKTQSFGSSKSKSDIGSPRLSNASSIGKSSAFSLPSPDKGMNLHHGLDQSPTISDVSDRFRHSPLSSLHLSPTLLSSPERELSPQPQRQSQPQPQPQPQPQPQPEHQSLPQPQPQLPPSRKHWEIPDLLTPIGETPIFSAPQRKQWEIPVLSVPIAPSSSSVLAPAPPPPPPPPPPVPRQRKQWEMPVPSPVTPVGQQVSRPPALTPPSRPFVLQTPNTMVSPVELPPVSSQNFEESSEESSKPKLKPLHWDKVRASSDREMVWDQLRSSSFKLNEEMIETLFVVNTPNAKPKDTTPRSVLAPQNQEDRVLDPKKSQNIAILLRALNVTIEEVCESLLEGITDTLGTELLESLLKMAPSKEEERKLKEHKDDSPTKLGPAEKFLKAVLDVPFAFKRVEAMLYIANFESEVEYLRKSFQTLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSGTNQTPGGATNSNSNSNSNSNDDAKCRRLGLQVVSSLSSDLANVKKAAAMDSEVLSSEVAKLSKGMAHIAEVLQLNEAAGSDESKQKFRESMKRFTRMAEEEILKVQAQESVALSLVKEITEYFHGNLSKEEAHPFRIFMVVRDFLTVLDRVCKEVGMINERTMVSSAHRFPVPVNPMLPQPLPGLVGKRQYSSSDDDSSSP >VigunL059357.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:11350:11757:1 gene:VigunL059357.v1.2 transcript:VigunL059357.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSFRFSDFRFWVTSFGLPISGFGFRVSWFGFGVPSSGFVFRVWDLGFWRFGIRLLGFRFRVWVLGCRVLSFGTGFGLMFRVSDFGFRISGLRFRVWGFGLRFLGYRFPVWSFGFRVSGFAFRVWVLVFQDSA >Vigun03g001800.2.v1.2 pep primary_assembly:ASM411807v1:3:134046:139171:-1 gene:Vigun03g001800.v1.2 transcript:Vigun03g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLKDKSHSSFTRCCAAPTLTRRHRFLIHPHNRWYLLWKHFILIWAIYSSFFTPMEFGFFRGLPHNIFLLDIAGQLVFLMDIFLQFLVGYHEIHSNSLSLVLNHYQIAVRYLRSRFFLDLLACLPWDFIYKRTNNNEFVRSLLWIRLARASRVTQFFDTLEKDTRINYLFTRILKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLKMGDYAYSDFRHIDLWKRYVTSLYFAVVTMATVGYGEIHAVNVREMIFVMIYVSFDMILGAYLLGNMTALIVKGSKTERFRDKMSDISKFVDKNKLDSHICDQIKDHLRLKYDRSYNGSFILQEIPTTIRKKISISLYEQFIQKVSLFKGCSSGFIKQIATKVEEEFFLPGELVTEKGDVADKLYFVYHGEMREMRREECDTEEETITLHTYSSFGHVSFFCNIPQSSTVEAHEFCKVLRLDKKSFTEILNIYFLDGRIVLNNLREVKDLNLQRKLLLSDFNLTIGSMEIELATRLNCAAHDGHLDLVKRLIGFGADPNKTDYDGRTPLHISASKGYVDISSYLVEQGVCINTADKFGTNPLLEALKNGHEDVASVLVNAGAILAVDDVGNFLCMAVARKEFDLLKRVLAFGINPNAKNYDQRTPLHIAASEGFFTMVELLLEAGASVLSKDRWGNTPLHEAHTGGNRNMIKLLEVAKVSQLAELSDLIHENQATPMLQSTNEIPKKRCTVYPFHPWDHEAGKREGVVLWVPQNIEELIKEAGKHLETTNNSYILTEEGGKVLHVNMINNDEKLFLVSEAQNEVK >Vigun03g001800.1.v1.2 pep primary_assembly:ASM411807v1:3:134029:139079:-1 gene:Vigun03g001800.v1.2 transcript:Vigun03g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLKDKSHSSFTRCCAAPTLTRRHRFLIHPHNRWYLLWKHFILIWAIYSSFFTPMEFGFFRGLPHNIFLLDIAGQLVFLMDIFLQFLVGYHEIHSNSLSLVLNHYQIAVRYLRSRFFLDLLACLPWDFIYKRTNNNEFVRSLLWIRLARASRVTQFFDTLEKDTRINYLFTRILKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLKMGDYAYSDFRHIDLWKRYVTSLYFAVVTMATVGYGEIHAVNVREMIFVMIYVSFDMILGAYLLGNMTALIVKGSKTERFRDKMSDISKFVDKNKLDSHICDQIKDHLRLKYDRSYNGSFILQEIPTTIRKKISISLYEQFIQKVSLFKGCSSGFIKQIATKVEEEFFLPGELVTEKGDVADKLYFVYHGEMREMRREECDTEEETITLHTYSSFGHVSFFCNIPQSSTVEAHEFCKVLRLDKKSFTEILNIYFLDGRIVLNNLREVKDLNLQRKLLLSDFNLTIGSMEIELATRLNCAAHDGHLDLVKRLIGFGADPNKTDYDGRTPLHISASKGYVDISSYLVEQGVCINTADKFGTNPLLEALKNGHEDVASVLVNAGAILAVDDVGNFLCMAVARKEFDLLKRVLAFGINPNAKNYDQRTPLHIAASEGFFTMVELLLEAGASVLSKDRWGNTPLHEAHTGGNRNMIKLLEVAKVSQLAELSDLIHENQATPMLQSTNEIPKKRCTVYPFHPWDHEAGKREGVVLWVPQNIEELIKEAGKHLETTNNSYILTEEGGKVLHVNMINNDEKLFLVSEAQNEVK >Vigun03g001800.3.v1.2 pep primary_assembly:ASM411807v1:3:134046:139171:-1 gene:Vigun03g001800.v1.2 transcript:Vigun03g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLKDKSHSSFTRCCAAPTLTRRHRFLIHPHNRWYLLWKHFILIWAIYSSFFTPMEFGFFRGLPHNIFLLDIAGQLVFLMDIFLQFLVGYHEIHSNSLSLVLNHYQIAVRYLRSRFFLDLLACLPWDFIYKRTNNNEFVRSLLWIRLARASRVTQFFDTLEKDTRINYLFTRILKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLKMGDYAYSDFRHIDLWKRYVTSLYFAVVTMATVGYGEIHAVNVREMIFVMIYVSFDMILGAYLLGNMTALIVKGSKTERFRDKMSDISKFVDKNKLDSHICDQIKDHLRLKYDRSYNGSFILQEIPTTIRKKISISLYEQFIQKVSLFKGCSSGFIKQIATKVEEEFFLPGELVTEKGDVADKLYFVYHGEMREMRREECDTEEETITLHTYSSFGHVSFFCNIPQSSTVEAHEFCKVLRLDKKSFTEILNIYFLDGRIVLNNLREVKDLNLQRKLLLSDFNLTIGSMEIELATRLNCAAHDGHLDLVKRLIGFGADPNKTDYDGRTPLHISASKGYVDISSYLVEQGVCINTADKFGTNPLLEALKNGHEDVASVLVNAGAILAVDDVGNFLCMAVARKEFDLLKRVLAFGINPNAKNYDQRTPLHIAASEGFFTMVELLLEAGASVLSKDRWGNTPLHEAHTGGNRNMIKLLEVAKVSQLAELSDLIHENQATPMLQSTNEIPKKRCTVYPFHPWDHEAGKREGVVLWVPQNIEELIKEAGKHLETTNNSYILTEEGGKVLHVNMINNDEKLFLVSEAQNEVK >Vigun05g144150.1.v1.2 pep primary_assembly:ASM411807v1:5:19481127:19481589:1 gene:Vigun05g144150.v1.2 transcript:Vigun05g144150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun03g389200.1.v1.2 pep primary_assembly:ASM411807v1:3:59573238:59576990:1 gene:Vigun03g389200.v1.2 transcript:Vigun03g389200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEQQQRFQQPMSVQVDFRKKTERSKGIEEERLEIVDLSGMSLDSLPNPSLNLATICKLDLSNNNLQNIPESLTARLLNMVVLDVHSNQLRYLPNSIGCLSKIKVLNVSGNLIEYLPKTIENCRSLEELNANFNKLIQLPENIGYELINLKKLSVNSNKLVFLPRSTSHLTALKILDVRLNCLRSLPEDLENLINLETLNVSQNFQYLDSLPYSVGLLLSLVELDASYNKIRSLPDSVGCLKKLQKLSVEGNPLSSPPPEVMEQGLHAVKEYLCQKMNAGHQSPTKKKSWVGKLVRYGTFNGHTSRGVSREEREAFIMPDYRPIDSLTSPRYTGMFSPRRLFSPRNYFSA >Vigun09g254700.1.v1.2 pep primary_assembly:ASM411807v1:9:42126208:42128562:1 gene:Vigun09g254700.v1.2 transcript:Vigun09g254700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLREDKHFFMDHPGAVPITTAQGEELKKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRKAQKACSIL >Vigun06g055000.6.v1.2 pep primary_assembly:ASM411807v1:6:18170301:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVETKRLCKSKLH >Vigun06g055000.4.v1.2 pep primary_assembly:ASM411807v1:6:18169541:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVEISASCAVEIVGNDIVGRLILQDFSTYLKWSKIGKLHMRLIQSLMSSVLKTVVLPYLNFKLKRGFPLPIIDGYGFQNAVILYNHPWIAVCSDVSFLEDYYLGQQSFSAYVS >Vigun06g055000.1.v1.2 pep primary_assembly:ASM411807v1:6:18169541:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIFLSVLCLLSVLTCGYVQPLEDGFISGVISDKGLEYAKELLIEKGIASIVMLQLPEIENSAQVSLVGNAKVVLSNITITDVQVNSSSVKTGENGIVLVVSGSIVNLSMRWRYTVSSWLIPIGISDKGTASVKVTGMQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVEISASCAVEIVGNDIVGRLILQDFSTYLKWSKIGKLHMRLIQSLMSSVLKTVVLPYLNFKLKRGFPLPIIDGYGFQNAVILYNHPWIAVCSDVSFLEDYYLGQQSFSAYVS >Vigun06g055000.3.v1.2 pep primary_assembly:ASM411807v1:6:18170301:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIFLSVLCLLSVLTCGYVQPLEDGFISGVISDKGLEYAKELLIEKGIASIVMLQLPEIENSAQVSLVGNAKVVLSNITITDVQVNSSSVKTGENGIVLVVSGSIVNLSMRWRYTVSSWLIPIGISDKGTASVKVTGMQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVETKRLCKSKLH >Vigun06g055000.5.v1.2 pep primary_assembly:ASM411807v1:6:18169541:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVEISASCAVEIVGNDIVGRLILQDFSTYLKWSKIGKLHMRLIQSLMSSVLKTVVLPYLNFKLKRGFPLPIIDGYGFQNAVILYNHPWIAVCSDVSFLEDYYLGQQSFSAYVS >Vigun06g055000.2.v1.2 pep primary_assembly:ASM411807v1:6:18169541:18172751:-1 gene:Vigun06g055000.v1.2 transcript:Vigun06g055000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRYTVSSWLIPIGISDKGTASVKVTGMQVGLTINLRNQEGTLKLSLLDYGCYVGDLSIKLDGGASWLYQLLVDAFEGNIASAVEEGISEKIKEGIMKLDNFLDSLPKNVSLDKTATLNVSFVGNPVLSSSSIAIAINGLFTEKKEVLLPQSYHQKELKISSACGGLPKMIKVSIHENVFKSASQVYFTAGKMELIVDELPDQAILNTAEWRFIVPQLYKRYPNDDMQLNISMSSPPVIQLTYQDVGATILVDITIEVLEDFEVIPVASISVEISASCAVEIVGNDIVGRLILQDFSTYLKWSKIGKLHMRLIQSLMSSVLKTVVLPYLNFKLKRGFPLPIIDGYGFQNAVILYNHPWIAVCSDVSFLEDYYLGQQSFSAYVS >Vigun01g232600.1.v1.2 pep primary_assembly:ASM411807v1:1:40464946:40466390:-1 gene:Vigun01g232600.v1.2 transcript:Vigun01g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCTTMPLILRPPRNTICGACYEGVRSIINMMSNFETEKVKPTMANPNPNPSPVSRRNSSKTLDDCIRWCSEHIEEFNEQKEDLVFLRGFVAAFKTQIHTDILVTPGRHGPPIPAHKSILAARSEIFKNMLECDECKEAPSKSITIPDLKHEELECLLEFLYSGRLGEEKLEKHVYALSQAADKYVIPHLLKHCERYLLSSLSTCNGLETLEIADTCSNHKLKETTLDFLVKNIDRVVSSPKFEAFVHRSPHLTVQLVTRAFVNGAK >Vigun09g230500.2.v1.2 pep primary_assembly:ASM411807v1:9:40214691:40237039:-1 gene:Vigun09g230500.v1.2 transcript:Vigun09g230500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFARSIPEVLDFFGVNPTKGLSDAEVVQHARLYGNNVLPEDQRAPFWKLVLKQFDDLLVKILIAAALISFILALVNGETGLMAFLEPSVILMILAANAAVGVITESNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSNQVRVDQAILTGESSSVEKELKITTTSNAVYQDKTNILFSGTVVVAGRARAVVVGVGPNTAMGSIRDSMLRTEDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLSGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNIMSVAKVQDDTKLLFTLLSNVCVVESANRGPVVSEYSVSGTTYAPEGIIFDSTGMQLDFPAELPCLLHMAMCSALCNESTLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFNSMPSALNMLTKHERASYCNHYWEEQFRKIHVLEFSRDRKMMSVLCSRNQMHILFSKGAPESIISRCSTILCNSDGSIVPLTADIRAELDSRFNSFAGKETLRCLALALKWMPSIQQSLSFDDEKDLTFIGLVGMLDPPRDEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDQLIDFSEHSYTASEFEELPPLQQTIALQRMALFTRVEPSHKRILVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMRAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFVYSDGGPKLPYTELMNFDTCPTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNMWLVASIIITMLLHILILYVHPLSILFSVTPLSWADWTVVLYLSLPVIVIDEVLKFFSRNPVGFRFRLWFRRSDLLPKKDLHEK >Vigun09g230500.1.v1.2 pep primary_assembly:ASM411807v1:9:40214691:40237039:-1 gene:Vigun09g230500.v1.2 transcript:Vigun09g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFARSIPEVLDFFGVNPTKGLSDAEVVQHARLYGNNVLPEDQRAPFWKLVLKQFDDLLVKILIAAALISFILALVNGETGLMAFLEPSVILMILAANAAVGVITESNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSNQVRVDQAILTGESSSVEKELKITTTSNAVYQDKTNILFSGTVVVAGRARAVVVGVGPNTAMGSIRDSMLRTEDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLSGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNIMSVAKVCVVESANRGPVVSEYSVSGTTYAPEGIIFDSTGMQLDFPAELPCLLHMAMCSALCNESTLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFNSMPSALNMLTKHERASYCNHYWEEQFRKIHVLEFSRDRKMMSVLCSRNQMHILFSKGAPESIISRCSTILCNSDGSIVPLTADIRAELDSRFNSFAGKETLRCLALALKWMPSIQQSLSFDDEKDLTFIGLVGMLDPPRDEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDQLIDFSEHSYTASEFEELPPLQQTIALQRMALFTRVEPSHKRILVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMRAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFVYSDGGPKLPYTELMNFDTCPTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNMWLVASIIITMLLHILILYVHPLSILFSVTPLSWADWTVVLYLSLPVIVIDEVLKFFSRNPVGFRFRLWFRRSDLLPKKDLHEK >Vigun10g185000.4.v1.2 pep primary_assembly:ASM411807v1:10:40133883:40139713:1 gene:Vigun10g185000.v1.2 transcript:Vigun10g185000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSLMNPKNSTSQFKRLIGRKFSDPELQRDLKALPFLVTEGNDGYPLIHVQYLGEAKKFTPTQVFGMMLSNLKEIAEKNLTTAVVDCCIGIPVYFTDLQRRAVLDAATIVGLHPLQLIHEMTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLAHSYDRSLGGKDFDEVLFHHFATKFKEEYKIDVCQNARASLRLRAACEKMKKMLSANSEAPLNIECLMDEKDVRGFMKRDEFEQLSLPILERVRGPLDKALAEANLTIENVHTVEVVGSGSRVPAVTKILTEFFKKEPRRTMNASECVAKGCALKCAILSPTFKVREFQVNESLPFSISLAWKGTGPDAQDNAPQNQQSSLVFPRGNPIPSTKALTFYRSGTFSIDVHYGDVSELQTPTKISTYTIGPFQTANSERTKVKVKVRLNIHGIVSLESAMLLEENEVEVPITKESAGQNTKPNINEAPIDAATPPASNDTSANVQDAKVSTDASGAENGVPQNEDKPLKTNTDTKAPKKKVKKTSIAVSELVYGAMVSSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVIASEREDFTAKLQEVEDWLYDEGEDETKGVYIAKLEELRKKGDPIEGRYKEYMERGSIIEQFMYCINSYREAAKSKDPKFDHIDINEKQKVINECVEAEKWFMEKQQQQSSLPKYVNPVLLSAEIRKKAEIVDRFCKPIMTKPRPTKQGTSTGSGTPSPRGDEQQHPRGDANASSNENTGDRSSQAAPASPQPMETD >Vigun10g185000.5.v1.2 pep primary_assembly:ASM411807v1:10:40133882:40139713:1 gene:Vigun10g185000.v1.2 transcript:Vigun10g185000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKNSTSQFKRLIGRKFSDPELQRDLKALPFLVTEGNDGYPLIHVQYLGEAKKFTPTQVFGMMLSNLKEIAEKNLTTAVVDCCIGIPVYFTDLQRRAVLDAATIVGLHPLQLIHEMTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLAHSYDRSLGGKDFDEVLFHHFATKFKEEYKIDVCQNARASLRLRAACEKMKKMLSANSEAPLNIECLMDEKDVRGFMKRDEFEQLSLPILERVRGPLDKALAEANLTIENVHTVEVVGSGSRVPAVTKILTEFFKKEPRRTMNASECVAKGCALKCAILSPTFKVREFQVNESLPFSISLAWKGTGPDAQDNAPQNQQSSLVFPRGNPIPSTKALTFYRSGTFSIDVHYGDVSELQTPTKISTYTIGPFQTANSERTKVKVKVRLNIHGIVSLESAMLLEENEVEVPITKESAGQNTKPNINEAPIDAATPPASNDTSANVQDAKVSTDASGAENGVPQNEDKPLKTNTDTKAPKKKVKKTSIAVSELVYGAMVSSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVIASEREDFTAKLQEVEDWLYDEGEDETKGVYIAKLEELRKKGDPIEGRYKEYMERGSIIEQFMYCINSYREAAKSKDPKFDHIDINEKQKVINECVEAEKWFMEKQQQQSSLPKYVNPVLLSAEIRKKAEIVDRFCKPIMTKPRPTKQGTSTGSGTPSPRGDEQQHPRGDANASSNENTGDRSSQAAPASPQPMETD >Vigun10g185000.3.v1.2 pep primary_assembly:ASM411807v1:10:40133883:40139713:1 gene:Vigun10g185000.v1.2 transcript:Vigun10g185000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSLMNPKNSTSQFKRLIGRKFSDPELQRDLKALPFLVTEGNDGYPLIHVQYLGEAKKFTPTQVFGMMLSNLKEIAEKNLTTAVVDCCIGIPVYFTDLQRRAVLDAATIVGLHPLQLIHEMTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLAHSYDRSLGGKDFDEVLFHHFATKFKEEYKIDVCQNARASLRLRAACEKMKKMLSANSEAPLNIECLMDEKDVRGFMKRDEFEQLSLPILERVRGPLDKALAEANLTIENVHTVEVVGSGSRVPAVTKILTEFFKKEPRRTMNASECVAKGCALKCAILSPTFKVREFQVNESLPFSISLAWKGTGPDAQDNAPQNQQSSLVFPRGNPIPSTKALTFYRSGTFSIDVHYGDVSELQTPTKISTYTIGPFQTANSERTKVKVKVRLNIHGIVSLESAMLLEENEVEVPITKESAGQNTKPNINEAPIDAATPPASNDTSANVQDAKVSTDASGAENGVPQNEDKPLKTNTDTKAPKKKVKKTSIAVSELVYGAMVSSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVIASEREDFTAKLQEVEDWLYDEGEDETKGVYIAKLEELRKKGDPIEGRYKEYMERGSIIEQFMYCINSYREAAKSKDPKFDHIDINEKQKVINECVEAEKWFMEKQQQQSSLPKYVNPVLLSAEIRKKAEIVDRFCKPIMTKPRPTKQGTSTGSGTPSPRGDEQQHPRGDANASSNENTGDRSSQAAPASPQPMETD >Vigun08g141700.1.v1.2 pep primary_assembly:ASM411807v1:8:31396842:31402610:-1 gene:Vigun08g141700.v1.2 transcript:Vigun08g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTCGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYCGDPKTLWSWFEPYIKDEEEFSPGSNGRMTTMGVYIRDLLLGQYYFDTLFPRIPVPVLRQVVSNLEKLKLPTTHSGSTGESTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPIRRTLPSHERNGSDDIRRSPSSRRSQSREYPDRDRDRDRERSRSRDRDRDRDRDHDRDRDRERDRDRDRERDRDRYRERDRNREWERDRDRERYRDRDSDRDQERDRIRRDKERERERSYDYDRRSKHTERESSRDYDNGSRHYRRSRSRSRSRSRSQSLQAGTARHESRSSPPRDGSKRTSASSNLAKLKDMYGDLGDNKGEIQMEKIPRKDSGGEEVIRLGGSTWKY >Vigun03g124100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11675103:11676779:-1 gene:Vigun03g124100.v1.2 transcript:Vigun03g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSTKDKHVAVFPFPFGTHVVPILNLVLRLSQATPSCTFSFISTHKCNALHFAKPHNPSNIKPYSINDGIPYEGHPLANHPIEKLNFFLSTGPENLRKGIQMAEEDTKKKVTCIISDAFVSSSLLVAQSLNVPWIAFWPPMSCTLSLYFYIDFIRQKFEDCDEDARFDISPGLPKMCVKDIPQDLLFTGEKEMVFSRTLVSLGEVLPQAKAVVMNFFEELDPPLFVEDMRSKLQCMLYVVPVRFPILLSGADPSGCLSWLDSNPSMSVVYVSFGTVVTPPPHEIVAVAEALEESGFPFVWSLKESVRGLLPDGFEKRTLMRGKVVPWVPQTQLLGHDSVGVFVTHCGSNSVTESVSSGVPMICRPFYGDQMVGARVIEDVWKVGVTIEGRVFNKNGLIRSLNLILVQEDGKKIRDNVLEMKKTVEDASTPEGLSASNFKTLVEVISSSSKGGESL >Vigun05g243400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43647900:43649093:-1 gene:Vigun05g243400.v1.2 transcript:Vigun05g243400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVTSSFQNHLQMFPEHEELVSPSVESGSASHSSTLLFNLSILKDKVSEVQTLVGVILSPNQTLPESTSMAISSMNSTIQEILVTASSMMFACQQMAHTTPSPGINNNTTTTCTNKLHHLNKLLLPHSNANNTAIDMSEGCLFSSTESETLDWFTESYNNNDNSNSTKEDDPGVVITENNMIIREEHELNEEGYRGVSRNSDIIELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTNAALSNPIKNKGKLEGESEFLMGVKPKRYSCPQEGCRWNQKHAKFQPLKSMICAKNHYKRSHCPKMYVCKRCNQKQFSVLSDLRTHEKHCGDLKWQCSCGTSFSRKDKLMGHVALFVGHQPLINNNVGLSSYSAKLEHHTLQMQIDAASR >Vigun01g038200.1.v1.2 pep primary_assembly:ASM411807v1:1:5104795:5107078:-1 gene:Vigun01g038200.v1.2 transcript:Vigun01g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEKVKVEDEMEKLVCVTGASGNIGSWIVKLLLQRRYNNVRATVRDTNDPKKVKHLLRCDATKERLKLFKADLLEEGSFDSAVEGCTIVFHTASHFFEDAKDPQTELLDPAVKGTLNVLKSCAKSPTLKRVILTSCIAAVAFNERPKNPNVVVDETWYSDPEYCKRNGLWYNLSKTLVEDAAWKFAKENNIDLVIMNPGLVIGPLLPPVLNTSSAAILNLINGSQTFKNETLGFVDVRDVASAHLFVMGYPAGHPSYSGRYLLVQRVAHYSDIVKILRGLYPTLKLPEKCIDDRPYDPIFQVSKEKSKRILYFHFSFERILKDTVESLKEKKFIKF >Vigun07g000800.1.v1.2 pep primary_assembly:ASM411807v1:7:76934:80206:-1 gene:Vigun07g000800.v1.2 transcript:Vigun07g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKPEQKPLLPNPFQLSDTEIIEKVYASHTYDDEVFDSEPLFNVVSSVIKLSSRVVGAILKIDEQNGFAGNVIATSTFKPEFSKLKLMSCHMITTPWGLENAHQTTLRILQQLRTFSWDAKVLVALAGFALEYGNFWNLYQASDPLGNSLKVLNQVQHRQLPVVDLNLTVKLVLEAVEKISRWGTLSADESYDTEDVPALSDALEQIPLVVYWVVSSLVACNSNLQGVSNYPLLEFRAKLSLALDEFARLLVICEQQKDHVEDYRRRKRNFNKPKDIVDFLKLLISKNGSQNAQIYDGNARRTVNVEVFKDKYVLLFISGLDRIEDEIRLLNSIYEGLVEDPNDRSGFKKEEFKILWIPMESGWGDARREVFNTLKSYIKWYVVECFEPLPGTRLIEEELKFQGKPILPVVKPQGVLLNDDALDIIFEWGIDAFPFRKSDAYLLAQKWKWFWDEVKKTNLHGIQVKGDRYVFIYGGSEKWSREFSVAVDKIKRHETIKRADAIIEHYHLGKDDPKIVPRFWIGVEGKRQKKHSEKLDCEIQEIVKSLLCLKQDTQGWAILSKGSNVKILGHAQPMYQTVADFEKWKDRVLVKEGFDIAFQEYYETKRHLPAPQPCEFNTLDVLATITCPNASCGRVMEVTSVNYKCCHGGNVADHAFTSPTTQT >Vigun09g242500.1.v1.2 pep primary_assembly:ASM411807v1:9:41173505:41176496:1 gene:Vigun09g242500.v1.2 transcript:Vigun09g242500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMENLFSLSTGLFTIFFGLLSLLFLRSSMLRRRRTGTGETREAPPEVSGAWPLIGHLHLLSGSKAPHITLGNMADKYGPIFTLRLGVHRNLIVSNCEMAKQCFTVNDKAFANRPKTLAFEVLGYNFAMVGFSPYGSYWRHIRKILTQELLSTQRVDELNHVMESEVKVTMKENYDIWQKRKSNGSEKATTEMKKWFADIALNIVFRAMLGKRFVGENDSENERIRKILNDYIHLAGSFTVSDALPYLRWLDLDGEEKKMKKTIKELDGLAQDGEEFEGRDVDTTIKATVLGTLLAATDTTTGTLTWALALLVNNPEILNKAIEELDREIGKGKMVDISDLKKLDYMRCIIMETLRLYPPAPLNLIHESTEDCIVGGFHVPKGTRLLTNISKLQRDPFIYPQPLEFLPERFLTTHKNVDVKGQHFELIPFGAGRRMCSGLYFGFQVMQLTLATLLHGFHIATPDGKPVDMLERVGLTNIKASPLQAILTPRLLSNIYHQI >Vigun04g112450.2.v1.2 pep primary_assembly:ASM411807v1:4:28302692:28310425:-1 gene:Vigun04g112450.v1.2 transcript:Vigun04g112450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLQCRCCPFSSMLLCAKVFVRVVAPLGGYSSPSLLLQSSFAAIVFHNHLNWCEQMKKQELI >Vigun04g112450.1.v1.2 pep primary_assembly:ASM411807v1:4:28302682:28310507:-1 gene:Vigun04g112450.v1.2 transcript:Vigun04g112450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLQCRCCPFSSMLLCAKVFVRVVAPLGGYSSPSLLLQSSFAAIVFHNHLNWCEQMKKQELI >Vigun05g011100.1.v1.2 pep primary_assembly:ASM411807v1:5:890077:891960:1 gene:Vigun05g011100.v1.2 transcript:Vigun05g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLIFSHSLSPSLPFTFSFGKEKMGALTYIISNFCTVPASKTKTIQTVEIKVKMDCDGCERKVRNAVATMKGVKSVEINRKQSRVIVNGCVDPNKVLNRVKRTGKKRAEFWPYVPQHVVTYPHASGIYDKRAPSGYVRNAQTFTPSAETEEKFMSLFSEDNVNACSIM >Vigun05g011100.2.v1.2 pep primary_assembly:ASM411807v1:5:890077:891907:1 gene:Vigun05g011100.v1.2 transcript:Vigun05g011100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLIFSHSLSPSLPFTFSFGKEKMGALTYIISNFCTVPASKTKTIQTVEIKVKMDCDGCERKVRNAVATMKGVKSVEINRKQSRVIVNGCVDPNKVLNRVKRTGKKRAEFWPYVPQHVVTYPHASGIYDKRAPSGYVRNAQTFTPSAETEEKFMSLFSEDNVNACSIM >Vigun05g277900.6.v1.2 pep primary_assembly:ASM411807v1:5:46739036:46743860:-1 gene:Vigun05g277900.v1.2 transcript:Vigun05g277900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAIPANDTDNIEFHFLPKHNSSTLYAYMFFAEIQKLEANQIREFNIFVNGSILNIDPINPVYLQSGYNIAISENSLELSINKTSRSTLPPLLNAIEIYMARNFSQSETNQKDVDGIINVKSMYRIKRNWQGDPCTPLAYLWEGLNCSYAESGSAKIVSLNLSSSGLIGNIAFGISNLQYIEYLDLSNNNLTGAVPEFLHQLRFLRVLNLENNQLSGTIPTQLIALSQNGSLESYFGGNPNLCTTGSCNKNGNRVVVPLVASLGGAFLILIIAVISFCIHKKRQLAQLRKQMAYSRIKEELESNKQEFTYAEVLTMTKNFERVVGKGGFATVYHGRIDDTEVAVKMLSPSSQGYLQFKAEAKFVAVVHHKFLTSLIGYCDDSEHMALIYEYMANGDLSKHLSGKSKNILSWNQRLQIAVDTAEGLEYLHHGCNAPIVHRDVKTKNILLNEKLRGKLGDFGLSKIYPDESDTHMITGVAGTLGYLDPEYNRSNRLKAKSDVFSFGVVLLEIITGQPAISKSEEKIHIIQWVDSVLLEKEIKDIVDSRLQGEFDIDSVKKVLDTAMACVAPISINRPTMSHVVQELKQCLSNKMILPFDSGNLKSSSNNSITVSFDGISGESSLVR >Vigun05g277900.3.v1.2 pep primary_assembly:ASM411807v1:5:46739036:46743854:-1 gene:Vigun05g277900.v1.2 transcript:Vigun05g277900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHHCSRLLLTTFFNLVKVFSALNSLEMKRYFLLLIFQLSLILPIIVRAQSQSGFISIDCGLVDEPSYTDETTEIYYSSDINFTDGGVSHSISSTYKASLEKQFWNVRSFPVGTRHCYTLVSQGSGKKYLVRARFAYGSYDGKDSLPEFDIYLGDNWWGSVVFEDASSVVTKEIIYAASSDYVHVCLFNTGKGTPFISVLEVRILNSDAYLVNYVELLARFDVGLQDGEIVRFPDDVYDRIWTPYNSNDWKQIHTLLTLDHGATSYNFLPLPPSIVMGTAAIPANDTDNIEFHFLPKHNSSTLYAYMFFAEIQKLEANQIREFNIFVNGSILNIDPINPVYLQSGYNIAISENSLELSINKTSRSTLPPLLNAIEIYMARNFSQSETNQKDVDGIINVKSMYRIKRNWQGDPCTPLAYLWEGLNCSYAESGSAKIVSLNLSSSGLIGNIAFGISNLQYIEYLDLSNNNLTGAVPEFLHQLRFLRVLNLENNQLSGTIPTQLIALSQNGSLESYFGGNPNLCTTGSCNKNGNRVVVPLVASLGGAFLILIIAVISFCIHKKRQLAQLRKQMAYSRIKEELESNKQEFTYAEVLTMTKNFERVVGKGGFATVYHGRIDDTEVAVKMLSPSSQGYLQFKAEDIVMIASIWLSSMSIWLMETCQNIYQAKAKIY >Vigun05g277900.5.v1.2 pep primary_assembly:ASM411807v1:5:46739036:46743854:-1 gene:Vigun05g277900.v1.2 transcript:Vigun05g277900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHHCSRLLLTTFFNLVKVFSALNSLEMKRYFLLLIFQLSLILPIIVRAQSQSGFISIDCGLVDEPSYTDETTEIYYSSDINFTDGGVSHSISSTYKASLEKQFWNVRSFPVGTRHCYTLVSQGSGKKYLVRARFAYGSYDGKDSLPEFDIYLGDNWWGSVVFEDASSVVTKEIIYAASSDYVHVCLFNTGKGTPFISVLEVRILNSDAYLVNYVELLARFDVGLQDGEIVRFPDDVYDRIWTPYNSNDWKQIHTLLTLDHGATSYNFLPLPPSIVMGTAAIPANDTDNIEFHFLPKHNSSTLYAYMFFAEIQKLEANQIREFNIFVNGSILNIDPINPVYLQSGYNIAISENSLELSINKTSRSTLPPLLNAIEIYMARNFSQSETNQKDVDGIINVKSMYRIKRNWQGDPCTPLAYLWEGLNCSYAESGSAKIVSLNLSSSGLIGNIAFGISNLQYIEYLDLSNNNLTGAVPEFLHQLRFLRVLFGGNPNLCTTGSCNKNGNRVVVPLVASLGGAFLILIIAVISFCIHKKRQLAQLRKQMAYSRIKEELESNKQEFTYAEVLTMTKNFERVVGKGGFATVYHGRIDDTEVAVKMLSPSSQGYLQFKAEDIVMIASIWLSSMSIWLMETCQNIYQAKAKIY >Vigun05g277900.1.v1.2 pep primary_assembly:ASM411807v1:5:46739036:46743854:-1 gene:Vigun05g277900.v1.2 transcript:Vigun05g277900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHHCSRLLLTTFFNLVKVFSALNSLEMKRYFLLLIFQLSLILPIIVRAQSQSGFISIDCGLVDEPSYTDETTEIYYSSDINFTDGGVSHSISSTYKASLEKQFWNVRSFPVGTRHCYTLVSQGSGKKYLVRARFAYGSYDGKDSLPEFDIYLGDNWWGSVVFEDASSVVTKEIIYAASSDYVHVCLFNTGKGTPFISVLEVRILNSDAYLVNYVELLARFDVGLQDGEIVRFPDDVYDRIWTPYNSNDWKQIHTLLTLDHGATSYNFLPLPPSIVMGTAAIPANDTDNIEFHFLPKHNSSTLYAYMFFAEIQKLEANQIREFNIFVNGSILNIDPINPVYLQSGYNIAISENSLELSINKTSRSTLPPLLNAIEIYMARNFSQSETNQKDVDGIINVKSMYRIKRNWQGDPCTPLAYLWEGLNCSYAESGSAKIVSLNLSSSGLIGNIAFGISNLQYIEYLDLSNNNLTGAVPEFLHQLRFLRVLNLENNQLSGTIPTQLIALSQNGSLESYFGGNPNLCTTGSCNKNGNRVVVPLVASLGGAFLILIIAVISFCIHKKRQLAQLRKQMAYSRIKEELESNKQEFTYAEVLTMTKNFERVVGKGGFATVYHGRIDDTEVAVKMLSPSSQGYLQFKAEAKFVAVVHHKFLTSLIGYCDDSEHMALIYEYMANGDLSKHLSGKSKNILSWNQRLQIAVDTAEGLEYLHHGCNAPIVHRDVKTKNILLNEKLRGKLGDFGLSKIYPDESDTHMITGVAGTLGYLDPEYNRSNRLKAKSDVFSFGVVLLEIITGQPAISKSEEKIHIIQWVDSVLLEKEIKDIVDSRLQGEFDIDSVKKVLDTAMACVAPISINRPTMSHVVQELKQCLSNKMILPFDSGNLKSSSNNSITVSFDGISGESSLVR >Vigun05g277900.4.v1.2 pep primary_assembly:ASM411807v1:5:46739036:46743854:-1 gene:Vigun05g277900.v1.2 transcript:Vigun05g277900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHHCSRLLLTTFFNLVKVFSALNSLEMKRYFLLLIFQLSLILPIIVRAQSQSGFISIDCGLVDEPSYTDETTEIYYSSDINFTDGGVSHSISSTYKASLEKQFWNVRSFPVGTRHCYTLVSQGSGKKYLVRARFAYGSYDGKDSLPEFDIYLGDNWWGSVVFEDASSVVTKEIIYAASSDYVHVCLFNTGKGTPFISVLEVRILNSDAYLVNYVELLARFDVGLQDGEIVRFPDDVYDRIWTPYNSNDWKQIHTLLTLDHGATSYNFLPLPPSIVMGTAAIPANDTDNIEFHFLPKHNSSTLYAYMFFAEIQKLEANQIREFNIFVNGSILNIDPINPVYLQSGYNIAISENSLELSINKTSRSTLPPLLNAIEIYMARNFSQSETNQKDVDGIINVKSMYRIKRNWQGDPCTPLAYLWEGLNCSYAESGSAKIVSLNLSSSGLIGNIAFGISNLQYIEYLDLSNNNLTGAVPEFLHQLRFLRVLFGGNPNLCTTGSCNKNGNRVVVPLVASLGGAFLILIIAVISFCIHKKRQLAQLRKQMAYSRIKEELESNKQEFTYAEVLTMTKNFERVVGKGGFATVYHGRIDDTEVAVKMLSPSSQGYLQFKAEAKFVAVVHHKFLTSLIGYCDDSEHMALIYEYMANGDLSKHLSGKSKNILSWNQRLQIAVDTAEGLEYLHHGCNAPIVHRDVKTKNILLNEKLRGKLGDFGLSKIYPDESDTHMITGVAGTLGYLDPEYNRSNRLKAKSDVFSFGVVLLEIITGQPAISKSEEKIHIIQWVDSVLLEKEIKDIVDSRLQGEFDIDSVKKVLDTAMACVAPISINRPTMSHVVQELKQCLSNKMILPFDSGNLKSSSNNSITVSFDGISGESSLVR >Vigun02g128301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28020742:28022276:-1 gene:Vigun02g128301.v1.2 transcript:Vigun02g128301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCWGTAPKSTKIQSLLMFCTQNIENKTPRITSKSTHEEKSNQTSYIKCPLSLILNSHQPFSFHLHIPNLKLRTILSHLTHSNMFKSTSTRKSPSRYEKLDKEHGGSGTSNEDFKRSTSLPSRSMASTFGEMNLQRNPTKKDSSKPKEKKIHPLLSLFDFRRKKKTTARPEFARYLEYVKEGGMWDTNSNKPVIYYK >Vigun01g007200.3.v1.2 pep primary_assembly:ASM411807v1:1:865394:877602:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >Vigun01g007200.5.v1.2 pep primary_assembly:ASM411807v1:1:865394:877602:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >Vigun01g007200.4.v1.2 pep primary_assembly:ASM411807v1:1:865394:877603:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >Vigun01g007200.2.v1.2 pep primary_assembly:ASM411807v1:1:865394:877602:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >Vigun01g007200.6.v1.2 pep primary_assembly:ASM411807v1:1:865394:877602:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >Vigun01g007200.1.v1.2 pep primary_assembly:ASM411807v1:1:865394:877602:1 gene:Vigun01g007200.v1.2 transcript:Vigun01g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVSGAAACGDSSSSSANPLASLANALVGSSSKTQERLKEIPTSTPTDPTSQFYSTALPVNHLPGSEFDKPLLDANSQASEFLHRFRGASGLEETWDEIQRETGVAGPRQLVPAIQQPPLDGTPQRVLSSFLHSFLDSSRGGVPFHPTPLPMLGLSEGDKQCIRDRSSIMARHLFADKSEEFIDAQVNALLCSLDIDSNVRGKGPMPERFRELEDYWNESQGNMRPGPPPAADGWITEFSQHRGKYDNPDSWANSFEQQHGANGWASEFEHSQLSSVGQMQGMNMSNFAAMEQTRMLANTLAQNGDPKFQNSKFLQFVSKMSRGELIIDDNQVKENALPASGDWATEYDQQYNRGQAWAGEYLNDKVYHGPDQWVNEYATEGRQHDATDDQWVNEFSKLNVNDWADEFGQQLGEAALGDSTSDSWAQAYDEFLNEQVASKQQLDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMKAQEADPTNLEVLLALGVSHTNELEQTAALKYLYGWLRHHPKYGTLAPPEISDSLYYADVARLFNEAAEVSPDDADVHIVLGVMYNLSREYDKAIASFERALKLKPQDYSLWNKLGATQANSIQSADAIMAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQRDSSTVEVVA >Vigun11g012300.1.v1.2 pep primary_assembly:ASM411807v1:11:1474423:1475392:-1 gene:Vigun11g012300.v1.2 transcript:Vigun11g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLAPLALFLLATSIMFPMKKIEAVVCSGPCSPFQMPPCGSGDCRCIPMGLFVGFCTNPSGFSSVAKMIEEHPNLCQSDDECVKKGSGNFCARYPNNYIDYGWCFHSDSKALQGFLAMPATITK >Vigun07g148200.1.v1.2 pep primary_assembly:ASM411807v1:7:25888694:25890961:1 gene:Vigun07g148200.v1.2 transcript:Vigun07g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEADLKNVTPNDDQCFLVYFIVGTYFGPDVKSEAATKKSILQRVAEGFPPYTLNQLTNSFIKVVELERVYYYILGKSDKSLILKLTFLRRFFQGQSGNTNYPHFLDLFPPHLHPHSRFQNRYKIIHNVLFINNPDSRYIKPGDVERFKRLSGVEELHVDRDAVRLQLGVSSYDNCVLNNSSKPMGKNDNEFSGRFYKFQDNVNGRVRDTTSNRHQGGGDIEDDVSNDPEEVGAAMLVLPTRPSMEELSDMVAATKNGFALTGSVAKAQFGPSIGLLDIGECEDSYLFRVSLPGVKRDEKELLCDVETDGKVLISGVTITGETTVYRYSHVFEMQTQNLCPPGQFTLSFRLPGPVDPHHFSGNFGTDGILEGIVMKAKSG >Vigun07g110700.7.v1.2 pep primary_assembly:ASM411807v1:7:20533755:20542854:-1 gene:Vigun07g110700.v1.2 transcript:Vigun07g110700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGNRLQSMLQAAVQSVHWTYSLFWQLCPQQVILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVVCIPLLDGVVEFGTTDKVQEDLNFIHHVKTFFADHHHPLPPKPALSEHSTSNPISSSDHIPAIMYTVADPPAASAALNDEMDEDEEEEEEEEEEEEEEEEGAESASEDETRGGIARRVTTPEMEDVTRAEPSELMQLEMPEDIRVGSPNDGSNNLDSDFHLLAVSQGGNAAGQAESSLRWVPSEEPLQVQLPASAPHLLEDLTQEDNHYSETVSNILQNQSSRWPTSPTSVGYITYSTHSAFTKWSSRASHLFHPAVDGPSQWLLKYILFTVPYLHAKNPADTSPQTAADPKLRGKGTPQDELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRRKIQELEARNRHTEAEHRSKLPEVTVQRTSSNSSKEQQRSGVTVMEKRKVRIVEGVAAKATAVEAETATSVQVSIIESDALLEIECVHREGLLLDVMQMLREVRIEVIGVQSSLSNGVFMAELRAKVKEHANGKKVSIVEVKKALNQIIPHPLH >Vigun07g110700.6.v1.2 pep primary_assembly:ASM411807v1:7:20533755:20543127:-1 gene:Vigun07g110700.v1.2 transcript:Vigun07g110700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGNRLQSMLQAAVQSVHWTYSLFWQLCPQQVILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVVCIPLLDGVVEFGTTDKVQEDLNFIHHVKTFFADHHHPLPPKPALSEHSTSNPISSSDHIPAIMYTVADPPAASAALNDEMDEDEEEEEEEEEEEEEEEEGAESASEDETRGGIARRVTTPEMEDVTRAEPSELMQLEMPEDIRVGSPNDGSNNLDSDFHLLAVSQGGNAAGQAESSLRWVPSEEPLQVQLPASAPHLLEDLTQEDNHYSETVSNILQNQSSRWPTSPTSVGYITYSTHSAFTKWSSRASHLFHPAVDGPSQWLLKYILFTVPYLHAKNPADTSPQTAADPKLRGKGTPQDELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRRKIQELEARNRHTEAEHRSKLPEVTVQRTSSNSSKEQQRSGVTVMEKRKVRIVEGVAAKATAVEAETATSVQVSIIESDALLEIECVHREGLLLDVMQMLREVRIEVIGVQSSLSNGVFMAELRAKVKEHANGKKVSIVEVKKALNQIIPHPLH >Vigun07g110700.2.v1.2 pep primary_assembly:ASM411807v1:7:20533755:20543127:-1 gene:Vigun07g110700.v1.2 transcript:Vigun07g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVVCIPLLDGVVEFGTTDKVQEDLNFIHHVKTFFADHHHPLPPKPALSEHSTSNPISSSDHIPAIMYTVADPPAASAALNDEMDEDEEEEEEEEEEEEEEEEGAESASEDETRGGIARRVTTPEMEDVTRAEPSELMQLEMPEDIRVGSPNDGSNNLDSDFHLLAVSQGGNAAGQAESSLRWVPSEEPLQVQLPASAPHLLEDLTQEDNHYSETVSNILQNQSSRWPTSPTSVGYITYSTHSAFTKWSSRASHLFHPAVDGPSQWLLKYILFTVPYLHAKNPADTSPQTAADPKLRGKGTPQDELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRRKIQELEARNRHTEAEHRSKLPEVTVQRTSSNSSKEQQRSGVTVMEKRKVRIVEGVAAKATAVEAETATSVQVSIIESDALLEIECVHREGLLLDVMQMLREVRIEVIGVQSSLSNGVFMAELRAKVKEHANGKKVSIVEVKKALNQIIPHPLH >Vigun07g110700.4.v1.2 pep primary_assembly:ASM411807v1:7:20533755:20543127:-1 gene:Vigun07g110700.v1.2 transcript:Vigun07g110700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGNRLQSMLQAAVQSVHWTYSLFWQLCPQQVILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVVCIPLLDGVVEFGTTDKVQEDLNFIHHVKTFFADHHHPLPPKPALSEHSTSNPISSSDHIPAIMYTVADPPAASAALNDEMDEDEEEEEEEEEEEEEEEEGAESASEDETRGGIARRVTTPEMEDVTRAEPSELMQLEMPEDIRVGSPNDGSNNLDSDFHLLAVSQGGNAAGQAESSLRWVPSEEPLQVQLPASAPHLLEDLTQEDNHYSETVSNILQNQSSRWPTSPTSVGYITYSTHSAFTKWSSRASHLFHPAVDGPSQWLLKYILFTVPYLHAKNPADTSPQTAADPKLRGKGTPQDELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRRKIQELEARNRHTEAEHRSKLPEVTVQRTSSNSSKEQQRSGVTVMEKRKVRIVEGVAAKATAVEAETATSVQVSIIESDALLEIECVHREGLLLDVMQMLREVRIEVIGVQSSLSNGVFMAELRAKVKEHANGKKVSIVEVKKALNQIIPHPLH >Vigun07g110700.3.v1.2 pep primary_assembly:ASM411807v1:7:20533755:20543127:-1 gene:Vigun07g110700.v1.2 transcript:Vigun07g110700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVVCIPLLDGVVEFGTTDKVQEDLNFIHHVKTFFADHHHPLPPKPALSEHSTSNPISSSDHIPAIMYTVADPPAASAALNDEMDEDEEEEEEEEEEEEEEEEGAESASEDETRGGIARRVTTPEMEDVTRAEPSELMQLEMPEDIRVGSPNDGSNNLDSDFHLLAVSQGGNAAGQAESSLRWVPSEEPLQVQLPASAPHLLEDLTQEDNHYSETVSNILQNQSSRWPTSPTSVGYITYSTHSAFTKWSSRASHLFHPAVDGPSQWLLKYILFTVPYLHAKNPADTSPQTAADPKLRGKGTPQDELSANHVLAERRRREKLNERFVILRSLVPFVTKMDKASILGDTIEYVKQLRRKIQELEARNRHTEAEHRSKLPEVTVQRTSSNSSKEQQRSGVTVMEKRKVRIVEGVAAKATAVEAETATSVQVSIIESDALLEIECVHREGLLLDVMQMLREVRIEVIGVQSSLSNGVFMAELRAKVKEHANGKKVSIVEVKKALNQIIPHPLH >Vigun04g098001.1.v1.2 pep primary_assembly:ASM411807v1:4:22222371:22223347:1 gene:Vigun04g098001.v1.2 transcript:Vigun04g098001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRGYILAKILISYGSSFSDGVLVLVEIFLW >VigunL059141.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:23314:23577:-1 gene:VigunL059141.v1.2 transcript:VigunL059141.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGIRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun03g096300.5.v1.2 pep primary_assembly:ASM411807v1:3:8132305:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.6.v1.2 pep primary_assembly:ASM411807v1:3:8132304:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.7.v1.2 pep primary_assembly:ASM411807v1:3:8132312:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVNLGAQLAKRKFCKNSPHTILASPTLPKPFLQIYGRPQPLIYTSTRITSGAHRAAISAETGRQNWDFGRFVKTLYFFNGFPSPAKFFDFLVEKLSVPSPSEMVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.2.v1.2 pep primary_assembly:ASM411807v1:3:8132133:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFCTTQSSSSSTSLLNFQGAQLAKRKFCKNSPHTILASPTLPKPFLQIYGRPQPLIYTSTRITSGAHRAAISAETGRQNWDFGRFVKTLYFFNGFPSPAKFFDFLVEKLSVPSPSEMVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVSLILPFKQAVSASIMK >Vigun03g096300.8.v1.2 pep primary_assembly:ASM411807v1:3:8132312:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.3.v1.2 pep primary_assembly:ASM411807v1:3:8132133:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFCTTQSSSSSTSLLNFQGAQLAKRKFCKNSPHTILASPTLPKPFLQIYGRPQPLIYTSTRITSGAHRAAISAETGRQNWDFGRFVKTLYFFNGFPSPAKFFDFLVEKLSVPSPSEMVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITQFIVTIYIVAFLSFPNAMQKLTG >Vigun03g096300.4.v1.2 pep primary_assembly:ASM411807v1:3:8132133:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.1.v1.2 pep primary_assembly:ASM411807v1:3:8132133:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFCTTQSSSSSTSLLNFQGAQLAKRKFCKNSPHTILASPTLPKPFLQIYGRPQPLIYTSTRITSGAHRAAISAETGRQNWDFGRFVKTLYFFNGFPSPAKFFDFLVEKLSVPSPSEMVNPMRTSDIVLVAGATGGVGRRVVDILRKKGIPVRVLVRNEEKARRMLGSDVDLVVGDITKDSTLIPEYFKGVKKVINAASVIVGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEKVEYIGMKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun03g096300.9.v1.2 pep primary_assembly:ASM411807v1:3:8134461:8138122:1 gene:Vigun03g096300.v1.2 transcript:Vigun03g096300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIKAVKDNLGLRRGRLLFGFEGNNYRQLPWGALDDVVMGGVSESTFQINPNGGENGGPTGVFKGVVSTANNGGFTSIRTKNFSEPENLSAYDGLEFRLKGDGRRYKIIVRTSSDWDTVGYTSGFDTEKGKWQSIQVPFSSLRPVFRARTVSDAPPFDPSNVASLQLMFSKFEYDGKLNETFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLLRESGIPYLIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALDSPYACDKTFEVKSVIPFSEPFTVDPQNPPPEKDYDIYFKNLKEGITGKEALQQDPVSV >Vigun04g162100.1.v1.2 pep primary_assembly:ASM411807v1:4:38537244:38542584:-1 gene:Vigun04g162100.v1.2 transcript:Vigun04g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRSLLLSVVVFLLLWPLDATEGDADPLYIDCVEQCKKTGCVGDRCFQHCKFSSDGKPIDGPWYMHEPLYLRWKQWDCCTDCSYYCMLAREEERTKLGDKPVKYHGKWPFRRVYGIQEPVAVALSAVNLAVQFHGWVSFFILVYYKLPLRTDKKTYYEYTGLWHIYGILSMNSWLWSAVFHSRAVELTEKLDLSSAVALLGFSLILTILRCFNVRDEATRVMISAPLLAFVTTHIMYLNFYELAYGLNRIVCMGMVVVQLVIWAICAARSNHPARWKLWTVVVGGGLAMVLETYDFPPYMGYVDAHALWHATSIPLTFLWWSFVRDDAEFRTSAMLKKVK >Vigun10g058300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:10968938:10969956:1 gene:Vigun10g058300.v1.2 transcript:Vigun10g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELVYFLSTSLTPSLSKNKFLILTPFQLSLTLLDSSALKDGKTIDTGPETFSTSSSPTHVSSLEELDNSMTGGFFISSKSFSCFLLILLVKPGGGPQDRLLSFFTYNDIGDACLELISTQS >Vigun02g156600.2.v1.2 pep primary_assembly:ASM411807v1:2:30276843:30279167:-1 gene:Vigun02g156600.v1.2 transcript:Vigun02g156600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHSLWFILIAALLGGYAFVFGFLWRVNEWYYVSRVERNIQHRLPPGHLGWPFLGNMFTFFKAFKSDPDSFIYDLVFRYGRTGMYRTFLFGSPSIIVCTPETCRRILTDDEQLKLGYPASTTTLFGKRSFHGISNEEHKRLRRLTTSPITGHEALSMYVALIEDASVKVLEELSSKSTPSEFLTELRKFAFNIITTIFMGSDVHHVDLGFIENLYRDFSRGIKSLPINVPGFAFYKAVKARKKLTKLLQGVVELKRRSNGRKRKTKRDMMDSLMEVKDEEGKQLGDEDIIDLLLVFLLAGHESSAHGILWTIIYLTQHSNFFERAKKEQEEIMQARPSTQKGLNLKEIKQMEYLSKVIDEMLRKTSISFANFRQAKVDLSINGFTIPKGWKVLVWNRGVHMDPGTYNNPKDFDPSRWENHTARAGSFVPFGLGSRFCPGSDLAKLEITIFLHHFLLNYRFHLLLNFF >Vigun02g156600.1.v1.2 pep primary_assembly:ASM411807v1:2:30276281:30279167:-1 gene:Vigun02g156600.v1.2 transcript:Vigun02g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHSLWFILIAALLGGYAFVFGFLWRVNEWYYVSRVERNIQHRLPPGHLGWPFLGNMFTFFKAFKSDPDSFIYDLVFRYGRTGMYRTFLFGSPSIIVCTPETCRRILTDDEQLKLGYPASTTTLFGKRSFHGISNEEHKRLRRLTTSPITGHEALSMYVALIEDASVKVLEELSSKSTPSEFLTELRKFAFNIITTIFMGSDVHHVDLGFIENLYRDFSRGIKSLPINVPGFAFYKAVKARKKLTKLLQGVVELKRRSNGRKRKTKRDMMDSLMEVKDEEGKQLGDEDIIDLLLVFLLAGHESSAHGILWTIIYLTQHSNFFERAKKEQEEIMQARPSTQKGLNLKEIKQMEYLSKVIDEMLRKTSISFANFRQAKVDLSINGFTIPKGWKVLVWNRGVHMDPGTYNNPKDFDPSRWENHTARAGSFVPFGLGSRFCPGSDLAKLEITIFLHHFLLNYRMERVNPDCPITYLPLPRPLDNCSARIIKLT >Vigun09g233900.8.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWLVELAEQHNLLSDTLLLCVSYIDRKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWLN >Vigun09g233900.3.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWTSSLFECSGYKPVELKECVLILHNLHLSRKAESFEAVREKYKQHKFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun09g233900.4.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWLVELAEQHNLLSDTLLLCVSYIDRKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWTSSLFECSGYKPVELKECVLILHNLHLSRKAESFEAVREKYKQHKFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun09g233900.6.v1.2 pep primary_assembly:ASM411807v1:9:40473189:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun09g233900.9.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWLN >Vigun09g233900.7.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWLVELAEQHNLLSDTLLLCVSYIDRKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWLN >Vigun09g233900.1.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWLVELAEQHNLLSDTLLLCVSYIDRKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWTSSLFECSGYKPVELKECVLILHNLHLSRKAESFEAVREKYKQHKFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun09g233900.10.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWLN >Vigun09g233900.2.v1.2 pep primary_assembly:ASM411807v1:9:40473189:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWLVELAEQHNLLSDTLLLCVSYIDRKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun09g233900.5.v1.2 pep primary_assembly:ASM411807v1:9:40473190:40475835:1 gene:Vigun09g233900.v1.2 transcript:Vigun09g233900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAEKRKSNVMASVVVQRQHPKKQRVCFTQPSNLPNFAETSSLCEEKLQSLWNNVNLKRSNSRNNFLPSSQIHELDVWDINEYLRALEIKRRPMVNYIQKVQRTITSNMRAVLMDWKYEEVDPPSLNEFCNVTDNTCNKTEIIKMEAEILKTLNFEMENPTAITFLRFFSWAASDNQRTPNLKVEFLGWYFVELSLLDYDCIRFLPSVVAASALFLARFLIDPEVHPWTSSLFECSGYKPVELKECVLILHNLHLSRKAESFEAVREKYKQHKFKYVANLPSPSYVPSYYFEDQLHMNDQQTLCTIDNVHSTP >Vigun11g027900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3661341:3661688:1 gene:Vigun11g027900.v1.2 transcript:Vigun11g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSMSLVVMFVLVIASIGMEKEGPLRMAEGRHLCIEILYRDPDCDNFKCHRQCDQKHPPATEGGGKCDQGGICICTYYCSSSP >Vigun05g191900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37295333:37296124:1 gene:Vigun05g191900.v1.2 transcript:Vigun05g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKKVALMVLVATMLMTSDGRRVTVLNSGPITKDEIQVEGYAESQQGKENNVKKNDETKQQHCYTKCSSACVNPSTNQVDTLCHNMCKDHCHF >Vigun07g151750.1.v1.2 pep primary_assembly:ASM411807v1:7:26225069:26225525:1 gene:Vigun07g151750.v1.2 transcript:Vigun07g151750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGVVGLALNLRAYDFVSQEIRAVFVRGWQLKISLMKTLYSLRRFYYVETLFNGTLALTAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLG >Vigun07g055200.2.v1.2 pep primary_assembly:ASM411807v1:7:5974015:5983096:1 gene:Vigun07g055200.v1.2 transcript:Vigun07g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSNSPLLPHGNSDAKNAFRKPSGDAASRNYRRRSPVDGSPSPDDNPRHGHSSSPNPVRENSSRVSHHYSRKYDDDREQDQQYGRNHYGRSSDSLRNPDRQSSKSSHGHSRRDKYANEDRYRERLISRSGHESRDDRLREESDIRSKNYHRSVDKYSHDKYDRPDHRSKEKRRETYLDHKKYKEIDSYEKSASSKKHDEVERDGLSLDWDGRNERRESRRSSGDYNRNDHSEARNQREDSSPHRDNGKFSLKEKESNDQNIPWKDKRKHDTEVGKGKDWKTRKEGEQCAIEDKETSGKKLKLFDTDRDENNRKDGTEAHESKTSSSKLSHESKADSLAAKSSGFDGDNDLDAAKIAAMRAAELVNRNLVGAGCLTTDQKKKLLWGSKKSTPTEESGHRWDTAMFSDRDRQEKFNKLMVVLLPMANCRV >Vigun07g055200.1.v1.2 pep primary_assembly:ASM411807v1:7:5974015:5983095:1 gene:Vigun07g055200.v1.2 transcript:Vigun07g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSNSPLLPHGNSDAKNAFRKPSGDAASRNYRRRSPVDGSPSPDDNPRHGHSSSPNPVRENSSRVSHHYSRKYDDDREQDQQYGRNHYGRSSDSLRNPDRQSSKSSHGHSRRDKYANEDRYRERLISRSGHESRDDRLREESDIRSKNYHRSVDKYSHDKYDRPDHRSKEKRRETYLDHKKYKEIDSYEKSASSKKHDEVERDGLSLDWDGRNERRESRRSSGDYNRNDHSEARNQREDSSPHRDNGKFSLKEKESNDQNIPWKDKRKHDTEVGKGKDWKTRKEGEQCAIEDKETSGKKLKLFDTDRDENNRKDGTEAHESKTSSSKLSHESKADSLAAKSSGFDGDNDLDAAKIAAMRAAELVNRNLVGAGCLTTDQKKKLLWGSKKSTPTEESGHRWDTAMFSDRDRQEKFNKLMGMKGEAKVEQNSNNQSSNDILRAEKQKELQIDLEKQYTAGLRRRDGRTVGLGL >Vigun07g055200.4.v1.2 pep primary_assembly:ASM411807v1:7:5975938:5983095:1 gene:Vigun07g055200.v1.2 transcript:Vigun07g055200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLTDTSTDFQPLRTTIFFINGGYCLDNPRHGHSSSPNPVRENSSRVSHHYSRKYDDDREQDQQYGRNHYGRSSDSLRNPDRQSSKSSHGHSRRDKYANEDRYRERLISRSGHESRDDRLREESDIRSKNYHRSVDKYSHDKYDRPDHRSKEKRRETYLDHKKYKEIDSYEKSASSKKHDEVERDGLSLDWDGRNERRESRRSSGDYNRNDHSEARNQREDSSPHRDNGKFSLKEKESNDQNIPWKDKRKHDTEVGKGKDWKTRKEGEQCAIEDKETSGKKLKLFDTDRDENNRKDGTEAHESKTSSSKLSHESKADSLAAKSSGFDGDNDLDAAKIAAMRAAELVNRNLVGAGCLTTDQKKKLLWGSKKSTPTEESGHRWDTAMFSDRDRQEKFNKLMVVLLPMANCRV >Vigun07g055200.3.v1.2 pep primary_assembly:ASM411807v1:7:5975938:5983095:1 gene:Vigun07g055200.v1.2 transcript:Vigun07g055200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLTDTSTDFQPLRTTIFFINGGYCLDNPRHGHSSSPNPVRENSSRVSHHYSRKYDDDREQDQQYGRNHYGRSSDSLRNPDRQSSKSSHGHSRRDKYANEDRYRERLISRSGHESRDDRLREESDIRSKNYHRSVDKYSHDKYDRPDHRSKEKRRETYLDHKKYKEIDSYEKSASSKKHDEVERDGLSLDWDGRNERRESRRSSGDYNRNDHSEARNQREDSSPHRDNGKFSLKEKESNDQNIPWKDKRKHDTEVGKGKDWKTRKEGEQCAIEDKETSGKKLKLFDTDRDENNRKDGTEAHESKTSSSKLSHESKADSLAAKSSGFDGDNDLDAAKIAAMRAAELVNRNLVGAGCLTTDQKKKLLWGSKKSTPTEESGHRWDTAMFSDRDRQEKFNKLMGMKGEAKVEQNSNNQSSNDILRAEKQKELQIDLEKQYTAGLRRRDGRTVGLGL >Vigun01g158800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34069660:34070791:-1 gene:Vigun01g158800.v1.2 transcript:Vigun01g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFHFLLLLFIQTISAQVAPAAPAGPTNITQVLEKAGQFTTFMKLLKATQTADRLNSQLNNSNQGITVFAPTDNAFSSLKAGTLNSINSQDQMQLVQFHILPTLYSISQFQTASNPLHTQAGNSDDGEYPLNVTTSGNQVNLTTGVVDTTVSNTIFSDNQLAVYQVDKVLLPMALFGSTAPAAAPAEAPAPSKPEKNVRAGAADSPAGSSDSSADASSAVSLKRKTVEVVTFVVAVIAVSCF >Vigun04g188400.1.v1.2 pep primary_assembly:ASM411807v1:4:41266586:41270137:-1 gene:Vigun04g188400.v1.2 transcript:Vigun04g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCWNLIYAIGLFNLCVTSTLIQVSFGKASNFSRCWFPAIYNFGDSNSDTGAVSAAFTGVKPPNGISFFGSLSGRASDGRLIIDYITEELKLPYLSAYLDSVGSNYRHGANFAVGGSSIRPGGYSPFPLGLQVDQFLQFKSRTNILFNQLSDNRTETPFKSSLPRPEDFSRALYTFDIGQNDLAFGLQHTSQEQVIKSIPDILSQFFQAVQQLYYEGARVFWIHNTGPIGCLPYSYIYYEPKKGNIDANGCVKPQNDLAQEFNRQLKDQVFQIRRKFPLAKFTYVDVYTAKYELISNARSQGFMSPLEFCCGSYYGYHINCGKKAIVNGTVYGNPCKNPSQHVSWDGIHYSEAANQWVSKRILYGSFSDPPIPIGQACF >Vigun06g013550.1.v1.2 pep primary_assembly:ASM411807v1:6:6232242:6232978:-1 gene:Vigun06g013550.v1.2 transcript:Vigun06g013550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VVGFVDRTFATFYDHGLERQWTLTDVKGNIHVVTYNKNLEKPLLIGGWSNLKDLYELQDDHNIIFGYDLSANFGNYLRQGRFKNIVLHGPRKIVKCRLMLRNHPKKSNKIGSGWKEFCIAFGFDATIDLVFEVDEMKNNQNVKVLTYCNF >Vigun06g144300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27004448:27008603:-1 gene:Vigun06g144300.v1.2 transcript:Vigun06g144300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAATGMSDNSTDYDGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSAVTRASRPRGVVPVATCLTVKGAEDILNKPNAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDSNSAKR >Vigun06g144300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27004514:27008594:-1 gene:Vigun06g144300.v1.2 transcript:Vigun06g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAATGMSDNSTDYDGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSAVTRASRPRGVVPVATCLTVKGAEDILNKPNAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDSNSAKR >Vigun03g369200.1.v1.2 pep primary_assembly:ASM411807v1:3:57208657:57213936:1 gene:Vigun03g369200.v1.2 transcript:Vigun03g369200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSACIHASTVFSSFMSHCVSPKLNLSCSSMAASSSIRIAVVGDVHDCWNFEQDSKALEFLQPDLVLFTGDFGDENLEVVQSVANVEFAKAVILGNHDAWFTRQFSRSQEKDKVQLQLECLGEEHVAYRRSDFPLIKVSVVGGRPFSCGGKSLSQKKLLSARYGVKDMDESAKRIQKAALGTPDDHFLILLAHNGPTGLGSDLNDICGKDWDFGGNGDYGDPDLAHAISLLKKDDQVSIPLVVFGHMHKELAYGNGFRKMIVVGADNTIYLNGAIVPRVKRLNDEDKRSLDEESALSSSEAKGTRRAFTLLDISEGRVAKIAESWVSVVEDRTTLEEEHILYEVT >Vigun09g224400.1.v1.2 pep primary_assembly:ASM411807v1:9:39721329:39728091:-1 gene:Vigun09g224400.v1.2 transcript:Vigun09g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMDLEWWFSVPSVVSASSMFTLAGLIGGLLVYLYAPYWGVRKVPAPPSLPLIGHLPLLAKYGPDVFSVLAKQYGPIYRFHMGRQPLIIIANAELCKEAGIKKFKDISNRSIPSPISASPLHQKGLFFSRDSQWSTMRNTILSIYQPSHLSGLVPLMQSFIESATENLDSEKEDIVFSNLSLRLATDVIGQAAFGVNFGLSRPHSVCDSIKSVETDPASHGNDNEVSDFINQHIYSTTQLKMDLSGSFSIILGLLLPILQEPFRQILKRIPGTMDWKIERTNQKLSGRLDEIVEKRMKDKTRSSKDFLSLILNARETKAVSENVFTPDYINAVTYEHLLAGSATTSFTLSSVIYLVAGHPQVEKKLLHEIDAFGPVDQIPTSQDLRDNFPYLDQVIKEAMRFYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVVAKDPKDFPEPEKFKPERFDPNCDEMKRRHPYAFIPFGIGPRACIGKQFSLQEIKLSLIHLYRKYLFRHSPNMENPLELQYGIVLNFKHGVKLRAIKRT >Vigun09g224400.2.v1.2 pep primary_assembly:ASM411807v1:9:39721329:39728091:-1 gene:Vigun09g224400.v1.2 transcript:Vigun09g224400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPLIIIANAELCKEAGIKKFKDISNRSIPSPISASPLHQKGLFFSRDSQWSTMRNTILSIYQPSHLSGLVPLMQSFIESATENLDSEKEDIVFSNLSLRLATDVIGQAAFGVNFGLSRPHSVCDSIKSVETDPASHGNDNEVSDFINQHIYSTTQLKMDLSGSFSIILGLLLPILQEPFRQILKRIPGTMDWKIERTNQKLSGRLDEIVEKRMKDKTRSSKDFLSLILNARETKAVSENVFTPDYINAVTYEHLLAGSATTSFTLSSVIYLVAGHPQVEKKLLHEIDAFGPVDQIPTSQDLRDNFPYLDQVIKEAMRFYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVVAKDPKDFPEPEKFKPERFDPNCDEMKRRHPYAFIPFGIGPRACIGKQFSLQEIKLSLIHLYRKYLFRHSPNMENPLELQYGIVLNFKHGVKLRAIKRT >Vigun02g081300.1.v1.2 pep primary_assembly:ASM411807v1:2:23409259:23415707:-1 gene:Vigun02g081300.v1.2 transcript:Vigun02g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEKATPDNLSNNPTASNSSAVPSSWTHPAADPFRNRPPVSALMSAVDSFPDPPPKTTSTTKGIPVMMRAQTCHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPDKQVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRKARLVVYNKRSNETSIWIVELREVHAATRGGHHRGKVISSKVVPNVQPPMDAVEYAECEAVVKDFPPFREAMKRRGIDDMDLVMVDAWCVGYHSETDAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHILVDMQNMEILEFEDRKLIPLPPADPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYVKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARLDMAVDCKPGEAFNQVVEVDVKVEQPGENNVHNNAFYAEEKLLKSELEAMRDCNPLSARHWIVRNTRTVNRTGQLTGYKLVPGSNCSPLAGSEAKFLRRAAFLKHNLWVTPYVPEEMQPGGEFPNQNPRVGEGLATWVQQNRSLEEADIVLWYVFGMTHIPRLEDWPVMPVERIGFLLMPHGFFNCSPAVDVPPSASDLDDKDNGISAKQIQNGVIAKL >Vigun02g081300.2.v1.2 pep primary_assembly:ASM411807v1:2:23409259:23415707:-1 gene:Vigun02g081300.v1.2 transcript:Vigun02g081300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEKATPDNLSNNPTASNSSAVPSSWTHPAADPFRNRPPVSALMSAVDSFPDPPPKTTSTTKGIPVMMRAQTCHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPDKQVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRKARLVVYNKRSNETSIWIVELREVHAATRGGHHRGKVISSKVVPNVQPPMDAVEYAECEAVVKDFPPFREAMKRRGIDDMDLVMVDAWCVGYHSETDAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHILVDMQNMEILEFEDRKLIPLPPADPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYVKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARLDMAVDCKPGEAFNQVVEVDVKVEQPGENNVHNNAFYAEEKLLKSELEAMRDCNPLSARHWIVRNTRTVNRTGQLTGYKLVPGSNCSPLAGSEAKFLRRAAFLKHNLWVTPYVPEEMQPGGEFPNQNPRVGEGLATWVQQNRSLEEADIVLWYVFGMTHIPRLEDWPVMPVERIGFLLMVLHSKIAYFLAILSVKKKNILY >Vigun11g211100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40615942:40616856:1 gene:Vigun11g211100.v1.2 transcript:Vigun11g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLARKVYNSSQVKKRFMCHAWVYVSNECRAKELLIGLLKQLMPYFEQQRRGMKKGKKSTRDINELSEEELKKLVRNCLERKRYLVVVDDLWKKQDWDEVQDAFPDNNRGSRVLITSRLKEVALHAAHDVPHYLQFLNEEESWELFHKKVFRGEDYPSDLESLGKKMVKSCHGLPLSIIVLAGLLANKEKSHREWSKVVGHVNWYLTRDETQVKDIVLKLSYDNLPRRLKPCFLYLGLFPEDFEIPVTPLLQKWVAEGFIQDTGSRDPDDVAEDYLYELIDRSLVQVAKCRCRDVSGS >Vigun09g264375.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42861683:42862468:-1 gene:Vigun09g264375.v1.2 transcript:Vigun09g264375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEELNLTLSLRFGTSNETTTPLQTNLCLMKIQPTSSNPNPSSSSTSCSNNTNPSLASSNHNPNPPNAPAEAPESVPTVTRSSGSSRRTSRLKRTSKTVTAPFPWAKNQRATIHRHQYLMENNVHTITGKLYCRRCEHEFELGLNLEDKLDALIQFITRENEKWHTRAPLVWLSPVCPKCPLCDRENSTRPVIAPNKKDINWLFLLLGQMLGYCTLEHLKYFCKHNEIHRTGAKDRLLYNTYKGLILQLLPDYLHLFDS >Vigun07g169400.1.v1.2 pep primary_assembly:ASM411807v1:7:28344072:28346364:-1 gene:Vigun07g169400.v1.2 transcript:Vigun07g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDVLSEEQIVDFKEAFGLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFDEFLSLMAKKVKDTDAEEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMTVR >Vigun04g129200.1.v1.2 pep primary_assembly:ASM411807v1:4:32463311:32465702:1 gene:Vigun04g129200.v1.2 transcript:Vigun04g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLVDHLADPVNNNAWAYATNFVPGNNISKN >Vigun03g068100.1.v1.2 pep primary_assembly:ASM411807v1:3:5620981:5624393:-1 gene:Vigun03g068100.v1.2 transcript:Vigun03g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIMILQKLDHPNVIKLKGLATSRMQYSLYLVFRYMHSDLTTIISRPTDKLTEPQIKCYMQQLLLGLQHCHERGVMHRDIKPSNLLVDKKGVLKIADFGLANSFAMKPEGPFTNRVVTLWYRAPELLLGSTDYGYEIDLWSAGCLLAEMFLGRPLMPGRTEVEQLHMIFKLCGTPSADYWMRRKLTTSLFPPLHYKANYDENFKDFPSSASSLLTTLLHLDSHARATAASALQSQFFKSSPLACDVSALAVIYKDEDEYSQTKRRKRRKGLKNKGHVFQTSACNMNQSRKNNTSEQSRRDSESSLKGKSVAQDMKGEEIEKSESKTWSDFMKERSTSMDASISPVFLSSVRKSPKTEGHPNALKNIKNYTLLQASIIDMINRNEGNEIGPLRKSFSALDFRLHPDKLSSLYSQFRN >Vigun09g101000.1.v1.2 pep primary_assembly:ASM411807v1:9:16696783:16699052:-1 gene:Vigun09g101000.v1.2 transcript:Vigun09g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDNVRVRVKEVSEKDFCVNEAASVVPQFHHPRGQTQVLQLKKIKIMGLVSDPKLHSIHPKLNFFSLLQSPAKTEKNCLS >Vigun07g013300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1207975:1214917:1 gene:Vigun07g013300.v1.2 transcript:Vigun07g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLSKLGTAIMAVTAFTLVALAAEIVYVLWQRRQRLRPRVRVEPQEASHQCSTSSSPSDDDVDLELEQHVMKWHCLNGPSRVLFTIKEEEREEVESDYGNGNGSSVECNKKKWVSEGVAVDEVVVAVAVEQLLDETTPFSTPCASPPYYTPYTSPSREECRKDQNDGNG >Vigun06g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28530832:28533834:1 gene:Vigun06g162800.v1.2 transcript:Vigun06g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKSLFNRFQEQFGSGPGLGPGSGTCMMRVDGIAPNFIKSVYKASAALYRTEPWKRLRPGHLFGIRVGKDSDWTGKKQPFPCVQFIGGDGGDVGFYMFRSENDAKKMLGSRETIHVPNVEVLRVTYEVENLMFPSNRKMIKSLSLEASGSDRFPVIDVARCTPSGDLRFRNPTIEELRFVYAFMKAISLVHSLLQVDRESGPKYSTVVSFEPFIETVDVQWPPDVAKGGYDLVAVTVSHPPGQAYEERSSSASAGSTPTKYVEPPMEDTFNDTKAYSGTGLRQCAMCEKEVHGEQSLCCGRCRAIVYCSSICQKQHWNDTHKSMCGLYKAMMEREEELAIMIFLFPCSADQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLQELWGGIDEYEYPHDSPFNNHFISSPFLLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTTLNISSKNLILKGKEVIVHYLGPEGELDWMPAFAEVGHLLNGLGNVQIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPSPHVVIALNSRLDSYTSWGGAIDLIKSLGVPAFFTDQSELSCVNAKQVLRNAGLHITHPVTPNPFRAPVKNLTASFNLPSYSNGFVFGVNT >Vigun06g162800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28531035:28533430:1 gene:Vigun06g162800.v1.2 transcript:Vigun06g162800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKSLFNRFQEQFGSGPGLGPGSGTCMMRVDGIAPNFIKSVYKASAALYRTEPWKRLRPGHLFGIRVGKDSDWTGKKQPFPCVQFIGGDGGDVGFYMFRSENDAKKMLGSRETIHVPNVEVLRVTYEVENLMFPSNRKMIKSLSLEASGSDRFPVIDVARCTPSGDLRFRNPTIEELRFVYAFMKAISLVHSLLQVDRESGPKYSTVVSFEPFIETVDVQWPPDVAKGGYDLVAVTVSHPPGQAYEERSSSASAGSTPTKYVEPPMEDTFNDTKAYSGTGLRQCAMCEKEVHGEQSLCCGRCRAIVYCSSICQKQHWNDTHKSMCGLYKAMMEREEELAIMIFLFPCSADQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLQELWGGIDEYEYPHDSPFNNHFISSPFLLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTTLNISSKNLILKGKEVIVHYLGPEGELDWMPAFAEVGHLLNGLGNVQIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPSPHVVIALNSRLDSYTSWGGAIDLIKSLGVPAFFTDQSELSCVNAKQVLRNAGLHITHPVTPNPFRAPVKNLTASFNLPSYSNGFVFGVNT >Vigun06g162800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28530832:28533833:1 gene:Vigun06g162800.v1.2 transcript:Vigun06g162800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKSLFNRFQEQFGSGPGLGPGSGTCMMRVDGIAPNFIKSVYKASAALYRTEPWKRLRPGHLFGIRVGKDSDWTGKKQPFPCVQFIGGDGGDVGFYMFRSENDAKKMLGSRETIHVPNVEVLRVTYEVENLMFPSNRKMIKSLSLEASGSDRFPVIDVARCTPSGDLRFRNPTIEELRFVYAFMKAISLVHSLLQVDRESGPKYSTVVSFEPFIETVDVQWPPDVAKGGYDLVAVTVSHPPGQAYEERSSSASAGSTPTKYVEPPMEDTFNDTKAYSGTGLRQCAMCEKEVHGEQSLCCGRCRAIVYCSSICQKQHWNDTHKSMCGLYKAMMEREEELAIMIFLFPCSADQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLQELWGGIDEYEYPHDSPFNNHFISSPFLLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTTLNISSKNLILKGKEVIVHYLGPEGELDWMPAFAEVGHLLNGLGNVQIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPSPHVVIALNSRLDSYTSWGGAIDLIKSLGVPAFFTDQSELSCVNAKQVLRNAGLHITHPVTPNPFRAPVKNLTASFNLPSYSNGFVFGVNT >Vigun02g039801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16420787:16421182:1 gene:Vigun02g039801.v1.2 transcript:Vigun02g039801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEEENSYAIYTQSSKLTITFDGLPLYREEKGICERRRTSLATPNTKDNHLIEKRKRDYQEMRGREIPKTQMGEALGRKNKSLALLRCRASLHKHVGLDPPLVNGLNIPSHAEGICVGKSNTSLNAEARF >Vigun10g105550.1.v1.2 pep primary_assembly:ASM411807v1:10:30306865:30308175:-1 gene:Vigun10g105550.v1.2 transcript:Vigun10g105550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNCVCSSICFRRLQLLCVGSLLLQGFTAGLLVLHNDSCFTSFVFHSVCSGFTSSRGSASLVCWCFTGDNYFTDFVASVFLVFAIGVGLSTFDKASLSIFNFYV >Vigun10g168250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38724167:38724469:-1 gene:Vigun10g168250.v1.2 transcript:Vigun10g168250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIVTEFINYSEQIIRAARYIGQGLMITLSHANRLPVTIQYPYEKIISSERFRGRIHFEFDKCIACEVCVRVCPIDLQPDQHFVEVHSKCSPLIQYVET >Vigun06g142600.1.v1.2 pep primary_assembly:ASM411807v1:6:26830271:26840397:1 gene:Vigun06g142600.v1.2 transcript:Vigun06g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLEPRHEFWVGERVHALGDPRRIGTVKYVGPVEGYSDTWVGVDWDNGEGKHDGSMNGVRYFHAKSERSGSFVRPHNLNQGISLLEALESRYKSDSTKDEEDEMYVLSTSNQRVSVQLLGKDQIQDKLRRFEELTSVSLSYMGISSPGTPSHINNTVPNIKELDLTGNLLSEWKDVGTICEQLPALRTINLSNNLMSPYKTNLPILKIIQVLVLNNTGVDWEQVELLRQSLTTIEELHIMGNNISRILPGSSSMVQGFDYLRLLNLENNCIDEWNEIVKLSQLRCLEKLYLNKNCLSSLFYPANNEQYETEITCYKPFQNLCRLLLGNNNIDDLASIDSLNLFPNLVDIRLSENPITDPRRGGVARFVLVARLANVQILNGSEVTPRERKDSEIRYVRLVITRLQTSPEEIKQHPRLYELKKIHGIEDERPSSGTTVPQTISSGLLSITLNCVGASMGEKPPLTKKLPATTTVGKLKSLCESFFKLKSMKLKLYLREEGSPFPLMLDSDTSSLMDLGIGNDSIILVDEEGS >Vigun06g142600.2.v1.2 pep primary_assembly:ASM411807v1:6:26830271:26840397:1 gene:Vigun06g142600.v1.2 transcript:Vigun06g142600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLEPRHEFWVGERVHALGDPRRIGTVKYVGPVEGYSDTWVGVDWDNGEGKHDGSMNGVRYFHAKSERSGSFVRPHNLNQGISLLEALESRYKSDSTKDEEDEMYVLSTSNQRVSVQLLGKDQIQDKLRRFEELTSVSLSYMGISSPGTPSHINNTVPNIKELDLTGNLLSEWKDVGTICEQLPALRTINLSNNLMSPYKTNLPILKIIQVLVLNNTGVDWEQVELLRQSLTTIEELHIMGNNISRILPGSSSMVQGFDYLRLLNLENNCIDEWNEIVKLSQLRCLEKLYLNKNCLSSLFYPANNEQYETEITCYKPFQNLCRLLLGNNNIDDLASIDSLNLFPNLVDIRLSENPITDPRRGGVARFVLVARLANVQILNGSEVTPRERKDSEIRYVRLVITRLQTSPEEIKQHPRLYELKKIHGIEDERPSSGTTVPQTISSGLLSITLNCVGASMGEKPPLTKKLPATTTVGKLKSLCESFFKLKSMKLKLYLREEGSPFPLMLDSDTSSLMDLGIGNDSIILVDEEGS >Vigun06g142600.3.v1.2 pep primary_assembly:ASM411807v1:6:26830297:26840397:1 gene:Vigun06g142600.v1.2 transcript:Vigun06g142600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVRYFHAKSERSGSFVRPHNLNQGISLLEALESRYKSDSTKDEEDEMYVLSTSNQRVSVQLLGKDQIQDKLRRFEELTSVSLSYMGISSPGTPSHINNTVPNIKELDLTGNLLSEWKDVGTICEQLPALRTINLSNNLMSPYKTNLPILKIIQVLVLNNTGVDWEQVELLRQSLTTIEELHIMGNNISRILPGSSSMVQGFDYLRLLNLENNCIDEWNEIVKLSQLRCLEKLYLNKNCLSSLFYPANNEQYETEITCYKPFQNLCRLLLGNNNIDDLASIDSLNLFPNLVDIRLSENPITDPRRGGVARFVLVARLANVQILNGSEVTPRERKDSEIRYVRLVITRLQTSPEEIKQHPRLYELKKIHGIEDERPSSGTTVPQTISSGLLSITLNCVGASMGEKPPLTKKLPATTTVGKLKSLCESFFKLKSMKLKLYLREEGSPFPLMLDSDTSSLMDLGIGNDSIILVDEEGS >Vigun04g026500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2030214:2032315:1 gene:Vigun04g026500.v1.2 transcript:Vigun04g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTKRQMFIQLVQFCLSIITAVSFTFFFWWMMMVTLPEFQTVESLSLILKNTLSRLIEQCKNLRELKKIHTQILKSPTLHPGDQYYLITRLLYFCSFSNYGSFRYATNVFHMIQNPDLRVYNIMIRAYTSMEGGDDTHYCKALMQYKQIFSKEIVPNCLTFPFLLKGCTRWQDGATGKVIHAQVIKFGFLKDVFVGNSLIGLYMACGLLNNASNMFDEMLVTDVVTWNSMVIGCLRNGELDMAMDLFRKMKVRNIITWNSIITGLAQGGRPKESLELFHEMQLLGDDMVKPDKITIASVLSACSQLGAIDHGKWVHGYLKRNGIEYDVVIGTALVNMFGKCGDVQKAFEIFKEMPEKDTSAWTVMISVFAMHGLGWKAFDCFLEMERAGVKPNHVTFVGLLSACAHSGLVEQGRWCFEAMKRVYSIEPQVYHYACMVDILSRARLFEESGILIRSMPMKPDVYVWGALLGGCQMHGNVELGEKVALHLIDLEPHNHAFYVNLCDIYAKAGRFGAAKRIRNFMKERDIEKKIPGCSMIEINGEVQEFSAGGSSEPPMKELVLILNRLSSEMKI >Vigun02g185800.1.v1.2 pep primary_assembly:ASM411807v1:2:32503475:32506552:1 gene:Vigun02g185800.v1.2 transcript:Vigun02g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLLKRAAQRAPSSPAFKLAFIRAHASEAQAQQAEPKARDTTSLKTFQIYRWNPENPSKPELKDYQINLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTEIPSASTASTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPVPGKEILQSKKDREKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQISHIKSLQPKA >Vigun02g150700.1.v1.2 pep primary_assembly:ASM411807v1:2:29780315:29782539:1 gene:Vigun02g150700.v1.2 transcript:Vigun02g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELKPMVSVREFDPDRDRERVEAVERICEVGPSGKVSLFTDMLGDPICRVRHSPAFLMLVAEIGEEVVGMIRGCIKTVTCGKRLSRNGKHNANNAKHVPVYTKVAYILGLRVSPNQRRMGIGLKLVHRMEAWFRDNGAEYSYMATENDNLASVKLFTDKCGYSKFRTPSILVNPVFAHRARVSPKVTIISLSPSDAEVLYRSRLSTTEFFPRDIDSVLKNKLSLGTFLAVPGGSYSVETWPGPDLFLSDPPKSWALVSVWNSKEVFTLEVRGASRVKRTLAKTTRVVDRALPWLRVPSIPDLFRPFGFHFMYGLGGEGPDAVKMVKALCGFAHNLAMEKGCRVVATEVSPNEPLRSGIPHWKMLSCDEDLWCMKRLGEDYSDGSVGDWTKSQPGMSIFVDPREV >Vigun05g254300.1.v1.2 pep primary_assembly:ASM411807v1:5:44894617:44895936:-1 gene:Vigun05g254300.v1.2 transcript:Vigun05g254300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARTRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKRGAATTV >Vigun08g224100.1.v1.2 pep primary_assembly:ASM411807v1:8:38185746:38189361:1 gene:Vigun08g224100.v1.2 transcript:Vigun08g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFLAFTLILLHGSSTAREEASRHDDDDVVNIGAIFTLKTINGQVSKIAIQAAEQDVNSDPRILGGRKLSINIHDSKFSGFLGIIGALKFLMADTVAIIGPQTSGMAHVLSHLANEVHVPLLSFTALDPTLTPLQYPYFVQTAPSDQFQMTAIADMISYYGWREVVAIFSDDDPGRNGVTILGDKLAERRCKLSYKAVLPPDTTATPSFINSQLVKIKSMESRIIVLHTFAKTGLLVFDLAQKQEMMAKGYVWIATTWLSTVLDSVPLPSNTSNSILGVLTLRPHTPQSLKKQAFISRWKHLSNGSIGLNPYGLYAYDSVWTIAQALKLFFDQKGRVSFSNKNLTSKGKENLDLGALSVFDGGKQLLDNILRINMTGLTGPIQFGSDRSPPHPSYEIINVIATGFRSIGYWSNYSGLSVITPEKLQLKPANRSISNQHLKPVIWPGNTIEMPRGWVFPNNGRQLRIGVPNRVSYRAIVSQINASSAVQGYCIEIFRAAIKLLPYAVHYKFVLFGDGKNNPSYYDLVNMINLDVFDAAVGDIAIVTDRTKIVDFTQPYIESGLVVVAPVKRLKSHAWAFLRPFTPMMWGVTAFFFLFVGTVVWILEHRTNDDFRGPPKEQIVTVLWFTFSTMFFAHRENTVSPLGRIVLIIWLFVVLIINSSYTASLTSILTVQQLSSPITGIDSLISSSERIGFQVGSFAANYLTEQLNIPRHRLVPLGSPEEYAIALERGTVAAVVDERPYVELFLSDHCKFSIRGQDFTKSGWGFAFPRGSPFAVDMSTAILTLSENGELQRIHEKWLSEKACAHRSSEDEQLQLNSFRGLFLICGITCFLALLIYFLSMLHQFSKKSPKKPGPSNRHSSRSARIQTFLDFVDVKEDVSPRKLKRKLEHLSSNRLRTISKSRSQRIIS >Vigun11g020566.2.v1.2 pep primary_assembly:ASM411807v1:11:2554413:2567455:1 gene:Vigun11g020566.v1.2 transcript:Vigun11g020566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHQNLMGIKTEMLKNPIRVSLSFMLLLFITTSAQAPIYMNSFCDNSTLVSSSYKANVDTLFSWLTTDSYESDGYNYTSVNSNNHNNDDAVYRLYSCRYDITGYFCKFCITTAANELSRRCSNSVGAIIWYDICIIRYTNQSFSGKVSLSPIWNTTGTRKIKDSSEVKKTEDSMESLIRKATVETKKFWAVEEFDWVENEKRYGWVQCDRGIKNDQCGECLHALLDIFPQCCSTNVQWAIFGPSCSIRMDDQNLYPNSGNGGKSRKLIISFSVLGSVALLCLSVYCFWYGKRVRKDKMIFEEETLNGDLPSLPLIAVLHSTNNFSEASKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSQEFRNEVMFIAKLQHRNLVRLLGCCLEQNEKILIYEYMCNASLDFHLFGDDEKRKQLDWKLRLNIVNGIAKGILYLHEDSRLKVIHRDLKASNVLLDSEMNPKISDFGLARAFEIGQNQAKTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEIICGRKNSGFHLSEHGQSLLLYAWNIWCAGKYLELMDPTLMKSFIASEVVKCLHIGLLCVQQDLADRPTMSTVVLMLGSDTMVLPKPNHPAFSVGKLTSKEASTLRSSNNLSINDVTFSSVLAR >Vigun11g020566.1.v1.2 pep primary_assembly:ASM411807v1:11:2560185:2567454:1 gene:Vigun11g020566.v1.2 transcript:Vigun11g020566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHQNFMGITTEMLKNPIRVSLSFMLLRFITTSAQAPIYMNSYCENSTLVSSSYNANVDTLLSWLSTDSYKGDGYNYTSVNSNNHNNDDTVYGLYSCRFDMTGYFCQFCVTTAANELSRRCSNSVGAIIWYDICIIRYSNQSFSGKVSLSPIWKTTGTRKIKDASEIKKAEDSMKSLVRKATVETKTFWAVDEFDWVENEKRYGWVQCDRGTKNDQCGECLHALLDIFPQCCSTNVQWAIFGPSCGMRMDDQKFYQSSGNEGTSKSRKLIISFSVSGFVALLCFGVYCFWYRKRVRKDKMIFEEETLNGDLPSLPLIAVLHSTNNFSEASKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSQEFRNEVMFIAKLQHRNLVRLLGCCLEQNEKILIYEYMCNASLDFHLFGDDEKRKQLDWKLRLNIVNGIAKGILYLHEDSRLKVIHRDLKASNVLLDSEMNPKISDFGLARAFEIGQNQAKTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEIICGRKNSGFHLSEHGQSLLLYAWNIWCAGKYLELMDPTLMKSFIASEVVKCLHIGLLCVQQDLADRPTMSTVVLMLGSDTMVLPKPNHPAFSVGKLTSKEASTLRSSNNLSINDVTFSSVLAR >Vigun11g020566.4.v1.2 pep primary_assembly:ASM411807v1:11:2563371:2567449:1 gene:Vigun11g020566.v1.2 transcript:Vigun11g020566.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVRKATVETKTFWAVDEFDWVENEKRYGWVQCDRGTKNDQCGECLHALLDIFPQCCSTNVQWAIFGPSCGMRMDDQKFYQSSGNEGTSKSRKLIISFSVSGFVALLCFGVYCFWYRKRVRKDKMIFEEETLNGDLPSLPLIAVLHSTNNFSEASKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSQEFRNEVMFIAKLQHRNLVRLLGCCLEQNEKILIYEYMCNASLDFHLFGDDEKRKQLDWKLRLNIVNGIAKDSEMNPKISDFGLARAFEIGQNQAKTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEIICGRKNSGFHLSEHGQSLLLYAWNIWCAGKYLELMDPTLMKSFIASEVVKCLHIGLLCVQQDLADRPTMSTVVLMLGSDTMVLPKPNHPAFSVGKLTSKEASTLRSSNNLSINDVTFSSVLAR >Vigun11g020566.3.v1.2 pep primary_assembly:ASM411807v1:11:2554413:2567449:1 gene:Vigun11g020566.v1.2 transcript:Vigun11g020566.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHQNLMGIKTEMLKNPIRVSLSFMLLLFITTSAQAPIYMNSFCDNSTLVSSSYKANVDTLFSWLTTDSYESDGYNYTSVNSNNHNNDDAVYRLYSCRYDITGYFCKFCITTAANELSRRCSNSVGAIIWYDICIIRYTNQSFSGKVSLSPIWNTTGTRKIKDSSEVKKTEDSMESLIRKATVETKKFWAVEEFDWVENEKRYGWVQCDRGIKNDQCGECLHALLDIFPQCCSTNVQWAIFGPSCSIRMDDQNLYPNSGNGGKSRKLIISFSVLGSVALLCLSVYCFWYGKRVRKDKMIFEEETLNGDLPSLPLIAVLHSTNNFSEASKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSQEFRNEVMFIAKLQHRNLVRLLGCCLEQNEKILIYEYMCNASLDFHLFGDDEKRKQLDWKLRLNIVNGIAKDSEMNPKISDFGLARAFEIGQNQAKTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEIICGRKNSGFHLSEHGQSLLLYAWNIWCAGKYLELMDPTLMKSFIASEVVKCLHIGLLCVQQDLADRPTMSTVVLMLGSDTMVLPKPNHPAFSVGKLTSKEASTLRSSNNLSINDVTFSSVLAR >Vigun03g409200.1.v1.2 pep primary_assembly:ASM411807v1:3:61620089:61621860:-1 gene:Vigun03g409200.v1.2 transcript:Vigun03g409200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKIFFKLLMLTLQVIPTFTLSSPCKTSCGSIPINYPFGLEDGCGAPQFRHMLNCSTDLFFQTPSGSYKVQSIDYEKNSMVVYDPAMSTCSILQPHHDFQMTDIQSAIIPPSQDTVFVLLNCSIDSPVLNHYKYLCFNFAGHTCDELYGACNAFRVFHLLTNSSPPCCFTTYGTVKFMSMNILDCTHYTSVINTENLNGVGPLDWVYGIKLSFSVPDTGCESCKKSGGTCGFDTDTEGLLCLCSSFANSTRQCAPGNMISKGQSNDVLWRHYLLVLLVMAYFQKSVVRLV >Vigun02g072650.1.v1.2 pep primary_assembly:ASM411807v1:2:22410958:22413157:-1 gene:Vigun02g072650.v1.2 transcript:Vigun02g072650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLEIVVGNLNTLVEKELGLFLDFDKDLERLASLFTTIKATLADAEEQQFSNRSIKDWLQKLKEASYILDDILDECALRLENQGVANNSSHSPFLCLFILHIMIAVPCALQEAFVSSQRSCQPEKKKEKNQN >Vigun08g058900.2.v1.2 pep primary_assembly:ASM411807v1:8:7565412:7568576:-1 gene:Vigun08g058900.v1.2 transcript:Vigun08g058900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYLKSPNPLFSLRSLPCTPNPISVPDPIPNLNPSFRPSPDRLFSSSWLSEPGNPIIQWPSLPKPNPHPNPNANPNPTPDPTSPNLNAFSLISNLFTDPSVSPGPVLHAQLDRSAIEPDPALLLAVFDRFGSSPKLLHSLFLWAQKRPGFRPDPKLLDAVVNALAKAREFDAAWKLVLDHVGDGEEENESLVSVKTFAIMIRRYARAGMSKLAIRTYEFAKDNKSIVDSGSEMSLFEILIDSLCKEGSVREASEYFLWKKELDLSWVPSIRVYNIMLNGWFRSRKLKQGERLWEEMKKENVRPSVVTYGTLVEGYCRMRRVEKALEMVGDMTREGIAPNAIVYNPIIDALAEAGRFKEALDLVGASKILKMMISRGLIPSPTTYNYFFRYFSRCGKVEEGMNLYAKLIESGYTPDRLTYHLLVKMLCEEGKLDMAVRVSKEMRHNGYDMDLATSTMLIHLLCKMHRLEEAFAEFEDMIRRGIVPQYLTFQRMKAELKRQGMTEMAQKLCKLMSSVPYSGNLPNTYGGDREDAFTRRKSIIRKAKAFSDMLKDCKDPSELRQYRNSSENAVSSEKYVMKDIEGK >Vigun08g058900.1.v1.2 pep primary_assembly:ASM411807v1:8:7565413:7568576:-1 gene:Vigun08g058900.v1.2 transcript:Vigun08g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYLKSPNPLFSLRSLPCTPNPISVPDPIPNLNPSFRPSPDRLFSSSWLSEPGNPIIQWPSLPKPNPHPNPNANPNPTPDPTSPNLNAFSLISNLFTDPSVSPGPVLHAQLDRSAIEPDPALLLAVFDRFGSSPKLLHSLFLWAQKRPGFRPDPKLLDAVVNALAKAREFDAAWKLVLDHVGDGEEENESLVSVKTFAIMIRRYARAGMSKLAIRTYEFAKDNKSIVDSGSEMSLFEILIDSLCKEGSVREASEYFLWKKELDLSWVPSIRVYNIMLNGWFRSRKLKQGERLWEEMKKENVRPSVVTYGTLVEGYCRMRRVEKALEMVGDMTREGIAPNAIVYNPIIDALAEAGRFKEALGMLERFHVLEIGPTDSTYNSLIKGFCKAADLVGASKILKMMISRGLIPSPTTYNYFFRYFSRCGKVEEGMNLYAKLIESGYTPDRLTYHLLVKMLCEEGKLDMAVRVSKEMRHNGYDMDLATSTMLIHLLCKMHRLEEAFAEFEDMIRRGIVPQYLTFQRMKAELKRQGMTEMAQKLCKLMSSVPYSGNLPNTYGGDREDAFTRRKSIIRKAKAFSDMLKDCKDPSELRQYRNSSENAVSSEKYVMKDIEGK >Vigun01g036900.3.v1.2 pep primary_assembly:ASM411807v1:1:4863720:4870227:1 gene:Vigun01g036900.v1.2 transcript:Vigun01g036900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSITNAHSNFSLVNVNGGSLEEKVDEIRELIGKVEGDPLRIVGVGAGAWGSVFIAMLQEAYGSLREKVLIRIWRRPGRAVDRPTAEQLFKVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETLEVFEEISKYWKERITAPVIVSLAKGVEAELGTEPRIITPTLMINRATGVPLENILYLGGPNIASEIFNKEYANARICGAGKWRKPLAKFLRQPHFIVWDNGDLVTHEIMGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELNLEMGDNIKGKGMIQGVSAVRAFYELLSHSSLNVLNPEENKHVAPVELCPILKVLYRILIAREYPTQAILQALRDETTNDPRDRIEIAQSHVFYRPSLLGHKL >Vigun01g036900.4.v1.2 pep primary_assembly:ASM411807v1:1:4863708:4868517:1 gene:Vigun01g036900.v1.2 transcript:Vigun01g036900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSITNAHSNFSLVNVNGGSLEEKVDEIRELIGKVEGDPLRIVGVGAGAWGSVFIAMLQEAYGSLREKVLIRIWRRPGRAVDRPTAEQLFKVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETLEVFEEISKYWKERITAPVIVSLAKGVEAELGTEPRIITPTLMINRATGVPLENILYLGGPNIASEIFNKEYANARICGAGKWRKPLAKFLRQPHFIVWDNGDLVTHEIMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELNLEMGDNIKGKGMIQWRK >Vigun01g036900.2.v1.2 pep primary_assembly:ASM411807v1:1:4863765:4870227:1 gene:Vigun01g036900.v1.2 transcript:Vigun01g036900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSITNAHSNFSLVNVNGGSLEEKVDEIRELIGKVEGDPLRIVGVGAGAWGSVFIAMLQEAYGSLREKVLIRIWRRPGRAVDRPTAEQLFKVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETLEVFEEISKYWKERITAPVIVSLAKGVEAELGTEPRIITPTLMINRATGVPLENILYLGGPNIASEIFNKEYANARICGAGKWRKPLAKFLRQPHFIVWDNGDLVTHEIMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELNLEMGDNIKGKGMIQGVSAVRAFYELLSHSSLNVLNPEENKHVAPVELCPILKVLYRILIAREYPTQAILQALRDETTNDPRDRIEIAQSHVFYRPSLLGHKL >Vigun01g036900.1.v1.2 pep primary_assembly:ASM411807v1:1:4863672:4870280:1 gene:Vigun01g036900.v1.2 transcript:Vigun01g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSITNAHSNFSLVNVNGGSLEEKVDEIRELIGKVEGDPLRIVGVGAGAWGSVFIAMLQEAYGSLREKVLIRIWRRPGRAVDRPTAEQLFKVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETLEVFEEISKYWKERITAPVIVSLAKGVEAELGTEPRIITPTLMINRATGVPLENILYLGGPNIASEIFNKEYANARICGAGKWRKPLAKFLRQPHFIVWDNGDLVTHEIMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELNLEMGDNIKGKGMIQGVSAVRAFYELLSHSSLNVLNPEENKHVAPVELCPILKVLYRILIAREYPTQAILQALRDETTNDPRDRIEIAQSHVFYRPSLLGHKL >Vigun03g022600.1.v1.2 pep primary_assembly:ASM411807v1:3:1669583:1675109:1 gene:Vigun03g022600.v1.2 transcript:Vigun03g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLAIFRATRWLCRSFSGISSNPLRVCVVGSGPAGFYTAEKMLKAHQQAQVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVAQQERCSFLGNVTLGSSVSLSELRELYHVVVLAYGAESDRSLGIPGENLKGIHSAREFVWWYNGHPDGQNLEPDLKSTDSAVILGQGNVALDVARILLRPTTELATTDIASHALATLEESSIRVVYLVGRRGPAQAACTAKELREILGIHNVDILIQESDLLLTPVDEEELKSNRIQRRVHELLSKAAKAKPKHTSLNQRELRFIFFRKPNDFQESKERAGHVSGVHFEKTVLQGVSHGKQIAIGTGEFEDIKCGMVLKSIGYKSVPVDGLPFDHKKGIVPNDRGRVLSDPSDPRVPEKGLYVCGWLKRGPTGIIATNLYCAEETVSSISEDLEKGGLISSSALRKPGRDGLLQLLHDRNVRIVSFGDWEKIDSEERRLGSLRNKPREKLATWDELYKATS >Vigun03g243300.1.v1.2 pep primary_assembly:ASM411807v1:3:40540998:40544329:-1 gene:Vigun03g243300.v1.2 transcript:Vigun03g243300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRDSAIKLFGADIHTTHSPIKPTTPFSDLHSLTQIQPLPQPIIVMNGLEESTNDEVDVPLNEEVSSKQKLVGKVVHRTNEHKNGKRNIDQEKVFKKPDKVLQCPRCNSLETKFCYFNNYNVNQPRHFCKNCQRYWTAGGAIRNVPVGAGKRKNKHTPLQYYQKPVTPDGASTMQTHSSASSDDMILSSNELSVTSRSIREREENPLSESLETVLSLNGQRKFDVDLSTVKDDGEDPSSSSMRSNEMEAQHFDGLIPLHSFHYHHVPSWSYQWNPCWNVKEFRSSNTSYTGSPTMIPVSGFSIPTVMSPAAPYSYSGFISNLGGQEAESSMIGSAFSGISLSSSSVSNSTSSGNRSPTLGKHSRDGSTQKEAAMKQNLWVPKTVRINCPEEAANSSIWTTLGTKSEQNKIIIKGSVFKSFESKTSADDNQIRRTNPAAFSRSESFQESM >Vigun07g213300.3.v1.2 pep primary_assembly:ASM411807v1:7:33474013:33477973:-1 gene:Vigun07g213300.v1.2 transcript:Vigun07g213300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRAEKKAAVDAAAWVFNVVTSVGVIIVNKALMVTYGFSFATTLTGLHFVTTTLMTYILKMLGYIQPSHLPFPELLKFILFANFSVVGMNISLMWNSVGFYQITKLSMIPVSCLLEVVFDKVRYSRGTKLSICVVLLGVGVCTVTDVSVNTRGLAAAFVAVWSTSMQQYYVHFLQRKYSLSSFNLLGHTAPAQAGSLLLVGPFLDYLLTNNRVDQFAYSSGSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTMGFFFFGREGLNVQVVLGMVIAVCGMIWYGNASSKPGGKERLNHSLPTNKTENQ >Vigun07g213300.2.v1.2 pep primary_assembly:ASM411807v1:7:33474013:33478059:-1 gene:Vigun07g213300.v1.2 transcript:Vigun07g213300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRAEKKAAVDAAAWVFNVVTSVGVIIVNKALMVTYGFSFATTLTGLHFVTTTLMTYILKMLGYIQPSHLPFPELLKFILFANFSVVGMNISLMWNSVGFYQITKLSMIPVSCLLEVVFDKVRYSRGTKLSICVVLLGVGVCTVTDVSVNTRGLAAAFVAVWSTSMQQYYVHFLQRKYSLSSFNLLGHTAPAQAGSLLLVGPFLDYLLTNNRVDQFAYSSGSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTMGFFFFGREGLNVQVVLGMVIAVCGMIWYGNASSKPGGKERLNHSLPTNKTENQ >Vigun07g213300.4.v1.2 pep primary_assembly:ASM411807v1:7:33474059:33477968:-1 gene:Vigun07g213300.v1.2 transcript:Vigun07g213300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRAEKKAAVDAAAWVFNVVTSVGVIIVNKALMVTYGFSFATTLTGLHFVTTTLMTYILKMLGYIQPSHLPFPELLKFILFANFSVVGMNISLMWNSVGFYQITKLSMIPVSCLLEVVFDKVRYSRGTKLSICVVLLGVGVCTVTDVSVNTRGLAAAFVAVWSTSMQQYYVHFLQRKYSLSSFNLLGHTAPAQAGSLLLVGPFLDYLLTNNRVDQFAYSSGSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTMGFFFFGREGLNVQVVLGMVIAVCGMIWYGNASSKPGGKERLNHSLPTNKTENQ >Vigun01g167100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34899911:34901815:-1 gene:Vigun01g167100.v1.2 transcript:Vigun01g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIAESNMRPCFHFCYSYTFLLLFLLLSHHTASQKTCPNCGSMQVPYPLSTHPSCGDPYYKLRCDSHSQNLYFDTLNGSSYLLLRIIPSIQRMVVEPSPWLPGSCVTQDMPRSNGIWLNQSLPFNITSSNTVFLLNCSPRLLVSPLNCTYSSVCHRYLESSGHVDTKRALECASGLHPCCTFLAGGIPSAYRIRLHESGCKAFRSVLHLNQDKPPNQWEEGLEIQWALPPEPVCKTQKDCSGDSTCSPSGRSGLFRCLCNGGHRWDPFVTTCVRYERKPKWKTSLVVSIGVVVTFFSLLVVLTIISKSRKISTYRDNKAKEREEKLKSSGGEKPCRMFQLKEVKKATNGFSQQRFLGSGGFGEVYKGELQDGTLVAVKKARVGNLKSTEQVLNEVAILSQVNHKNLVRLLGCCVESELPFMIYEYISNGTLYDHLHGRYCSNFLNWKTRLKVAFQTAEALAYLHSAAHTPIYHRDVKSTNILLDDDFNAKVSDFGLSRLASPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFNRDEDDVNLAIHVNQRASNGTIMELVDQRLVSVESCGDKMLTSIELFLELALECLREKKGERPSMKDIVERLLCMIRIVE >Vigun01g078700.1.v1.2 pep primary_assembly:ASM411807v1:1:22133675:22142945:-1 gene:Vigun01g078700.v1.2 transcript:Vigun01g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHKQVSALNRRPTVLYLVFAAALFSLLLFYIQSSLFAGSLSSARKSEIIRVLSNFQSSVQQCVDNRGLGLTAHIIDHCNLILKYPEGTNSSWYNAQFKHFEPLEYTYDVCEAILLWEQYRNMTTVLTREYLDSRPGGWVDYAPQRIAQLGTKKCINKTLCEENLNVLLPAKPPFHPRQFQTCAVVGNSGDLLKTTFGKEIDSHDAVIRDNEAPVNEEYAKYVGLKRDFRLVVRGAARNMVPILKGSDTEVLIIKSLTHREINAVIKTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDIYGFTVDPGYTEWTRYFSKPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRREDWSDIPSREMIRQAHAAALRLKRNEDGHGGDLGQFGNCKVWGNVDPDNSGPVSGSPDMSDVRKYSNYSKWEVMPFESLRREAQDYYKQMQGVSLYKMDGNRLDDLVCVRHSLKSEA >Vigun07g293300.1.v1.2 pep primary_assembly:ASM411807v1:7:40473802:40475289:-1 gene:Vigun07g293300.v1.2 transcript:Vigun07g293300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVVADSSAVHSILVHKSNEDKIMVLDSHKLIAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKETGPELYYIDYIATLHKLEKGAFGYGSYFSLSMMDRHYHSGMSVEEAIDLVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDTPVPSA >Vigun04g054700.1.v1.2 pep primary_assembly:ASM411807v1:4:5290600:5292554:1 gene:Vigun04g054700.v1.2 transcript:Vigun04g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMLSLMKKTFYSNKEIFLRELINNAFNALDKSRFERLADMRILDDELSIRLVPHKENKTLFVIDNGIGMTNDDLIDNLGVGFYSTFLVAQKVIITSQYNDLGQYIWESQPDASFIVTIDINAQHLSRGTKITLFLKDDQTTIKNLVNKHCQLIIHPIYLWSENTKDHWQLINFWHRQEMENKFLAQKLHNHLPDDLAFSILFKLPLKSLKRFGILCISWALLFENSLFVDLFQINFISNHHCFYNDTSVILCLNDKINYKPSLFLLSGDMFQNDDKLNWTNPLEGRCFENKIVGSSSVNGILCFSLFKNKSVYLWNPATGELKVIPRSPTEYVPFHVFHSMRYLGFGYDCIRDDYKVIRSVEPRTREDEDYTEWDYTPLFEIYSLTSNTWRKVKIDITFSMSYGLDGNFYFDGMCHWLSRTENDVYLVSFDMSNEAYYTTLTPLDIPLDICDDFNMNYVQRYLFLLNGSIALMSYYECTSTFYISILTELGKKETWNKLFSLGPLPCNARPIGARSMGNILFETHDGELVWFDLSTHKITKVGVKAHGGMFSMVIYKESLLKIKGINN >Vigun07g261800.1.v1.2 pep primary_assembly:ASM411807v1:7:37787540:37792369:1 gene:Vigun07g261800.v1.2 transcript:Vigun07g261800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLECAALDRLNDFLDNLNMGERTIKGCLEAYSCKHAGTDKKLSISLETEILDYLGKSSDTDSSSPDQTLLTRTSRKTLVYLVLTLYHIYPDYDFSAVKAHQFFAEESWDSFKQIFDTYMCEASKEWAETVGGTPLLDTLFKALDEVVMLADCEIYGYVPDSEADPLQESRAIWSFNFLFYNRKLKRIVTFRFNCFSNLIADGFLVDEIHNEYDEEIFTDMDI >Vigun11g063700.1.v1.2 pep primary_assembly:ASM411807v1:11:14569052:14570765:1 gene:Vigun11g063700.v1.2 transcript:Vigun11g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLEGKKKEINLTDYSDLSTVFSPSFTRFPVSLTLRTENEESLRVCFNQNDITLFDAPSPLTSNKPSKIQTSHFVLPNCNCIFCELLNQRMGKTSSREWTRIYLIYGMDQWQTFVFLLCQAVLFSILSVLYLHYFNQISNLFETLLSIAAVHRGAARFAAGFTGSVTALSALCLFYAAANFFYSAVPLHSEMAQRMVNTVEEWSTVRLALDLGCCGRGILLNAIAARLKKEGGSGRVIGFSGPNKAGLAATLRAAKLEGVEEYVTCRTGDPTRLPFLDGSFNVVVSGTFLHTVGRGHGAEVAAAERGRAMAEVVRVLKEGGVGVVWDLVHVPEYVRRLQDMKMEDIRVSERVTAFMVSSHVVSFRKPTQHVHGPPEVRLDWRFC >Vigun02g009100.1.v1.2 pep primary_assembly:ASM411807v1:2:3717023:3729301:-1 gene:Vigun02g009100.v1.2 transcript:Vigun02g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGTYDFRRHRVAEYPVATPAEPKAVGNVTSGGSGAVTSSITLSEIQRDRLTKIAEANWLTSGEAAATREKKELDPDLVRKIYETELLVKEGSKPVPLQRVMILEVSQYLENYLWPHFDPLTATFEHVMSIIIMVNEKFRENVAAWTCFHQRKDAFKGFLERVLRLKEGRELSIAEKINYLVFMINAFQSLEDEVVSRTILRLASLKSWHSLSYGRFQMELCLNPGLIKKWKRMIKKEPVKGGGLHLDPSTTVEVMFVRNLIEEFLEILDSQVFPQKQFSGGDDEMLDGTGSGLVNDACVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEINDHTGTQLTDHEVLETHYSRLQSFQLLAFKKMEKLRELALTNIGSIHKRANLSKKLSVLSPEELREFVCCKLKLVSKEDPWSERVDFLIEVMVSYFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNDGETAFRGWSRMGVPIKEFKISEVKQPNIGEVKPSSVTAEVTYSISSYRAQIRSEWDALKEHDVLFLLSIRPSFEPLSAEEEDKASVPQKLGLQYVRGCEVIEIRDEEGNLMNDFSGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSNIAEKGADDVYGTFNVLIRRKPKENNFKAILESIRDLMNEYCIVPKWLENIFLGYGDPSAAQWTNMPDLLETVDFKDTFVDADHLKESFVDYEVSFINSNGTENLNPRPPFKIKLPRTLKPSNGSLTGKAMSTAGATNGINTADTNYQKETLIIEAYTPPDPGPYPQDQPKQNSVRFTPTQVEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAENKEKSTFVRDRFPFKEFFSDTPLPVFTGESFEKDMRAAMGCFCHLKTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRELGDLPSVKEEVIFKRANAGFAYDYQLVDVPDYLAKGETTPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYHFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLKRPDHLALNLNEITSYTERDVEDPGSKHIHLVSGIEEMGSIIDSLYQVKLRQEFHQNEPYSGQVEPSENNENSVDMLEQAEGSDMPQQAEDSDTPQQAEDMPHEIKEATTVDNHVAENMPPENSSEDATMVDNSDGVAKEETGVGGSK >Vigun01g190950.1.v1.2 pep primary_assembly:ASM411807v1:1:36937820:36938413:1 gene:Vigun01g190950.v1.2 transcript:Vigun01g190950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYLKQLPINDNLTQTNYHRTEHFNHKALTHPDQLQFTASVLECCTVKLATQLNHHAHHIHQKPYNKKKQKSLKASYYF >Vigun02g194650.2.v1.2 pep primary_assembly:ASM411807v1:2:33060470:33071020:-1 gene:Vigun02g194650.v1.2 transcript:Vigun02g194650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCEGQPVIPEMSGEGYPYAPENWPEQGDVWGWRAGRRIAPAGTHFQDRYLYLPSRLVHMLKEEKENTGTGSGSGTFSKQHIFASKLAVERYVKKYFPEHDHNAFFASFSWKIPALSSANGNEAPIAAIPLQQIAQEHCDSDGEDVVKCKANNKKCSSLVLEEVEKYSPAMPCDICCSEPMFCRDCCCILCSKTVCTAYGGYSYIKCQVNAAGVGICGHVAHIECALRCLLAGKVGGSIGLDAGYHCRRCDGRTDMISHVNNLLQTCKTTDLDDEILKKILNLGACLLRGSEKPAAKELLRYIELTISKLKCGTNLEDIWKDDDSLIAHCTDNSSDVMQVTINEDRFEAKSGLESYNFLPRSLKLETEVDRVLQDFRKSQELEYKVVEETLRAQKTHLQNLYQQLDHEKNAWVGQISSASEVSPSAVVRERKKQIRREVVKFEIMKKVANGFGKTSSNILKEHFGFKVID >Vigun02g194650.1.v1.2 pep primary_assembly:ASM411807v1:2:33060470:33071020:-1 gene:Vigun02g194650.v1.2 transcript:Vigun02g194650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERSGIAKDVTEPVIPEMSGEGYPYAPENWPEQGDVWGWRAGRRIAPAGTHFQDRYLYLPSRLVHMLKEEKENTGTGSGSGTFSKQHIFASKLAVERYVKKYFPEHDHNAFFASFSWKIPALSSANGNEAPIAAIPLQQIAQEHCDSDGEDVVKCKANNKKCSSLVLEEVEKYSPAMPCDICCSEPMFCRDCCCILCSKTVCTAYGGYSYIKCQVNAAGVGICGHVAHIECALRCLLAGKVGGSIGLDAGYHCRRCDGRTDMISHVNNLLQTCKTTDLDDEILKKILNLGACLLRGSEKPAAKELLRYIELTISKLKCGTNLEDIWKDDDSLIAHCTDNSSDVMQVTINEDRFEAKSGLESYNFLPRSLKLETEVDRVLQDFRKSQELEYKVVEETLRAQKTHLQNLYQQLDHEKNAWVGQISSASEVSPSAVVRERKKQIRREVVKFEIMKKVANGFGKTSSNILKEHFGFKVID >Vigun11g059966.1.v1.2 pep primary_assembly:ASM411807v1:11:13058143:13060207:-1 gene:Vigun11g059966.v1.2 transcript:Vigun11g059966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVSTPLRRIPRSLSHPNQDQTLKHPPSLDKHKCISVDFSPKG >Vigun07g029500.1.v1.2 pep primary_assembly:ASM411807v1:7:2685044:2687274:1 gene:Vigun07g029500.v1.2 transcript:Vigun07g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAYKRLLGSQSFRQRLLLATLSSTPILIEDIRADETWPGLRNHEISLLRLFETVCDDCHVEINETGTKLKYKPGTIIGGRQHRAHDCGVSRSIGYFLEPLVVLCLFAKQPLTIRLKGITNDSKDPSVDTFKSTALPILKRFGVPSEGLELKIESRGLPPNGGGEVVLSLPVVQSLSAVSWIDEGFVKKIRGTTFSTRVSVQFENSMIKATRGIINPLVSDVHIFSDHRSGPQAGNSPGYGISLVAETTSGCFISADTAVSHSRDDDTSSLADDSKKDLMPPEDIGVGTTNALLGEIAQSGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSQYGVQTLRNIRDFLNLKFIIKPEPNSQSVFLKCVGYGMKNLSRKVS >Vigun08g042600.1.v1.2 pep primary_assembly:ASM411807v1:8:4397203:4401238:-1 gene:Vigun08g042600.v1.2 transcript:Vigun08g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSMFECGNQDANVDDGDINWNNGHLLLQDIQEISKALYAPSRPSFSSVHNRSKSAGKARLSKSQVALTPGFLKDDMLSKDKKLSSAWNWKKPLKALTHIGDQKIKCCFNLHVHSIEGLPLSFDGIRLCVLWKRKGNILQTRPSRVIQGVGEFNETLSHGCSVYVSRAVSGHSLKYESKRFLVCAFIVGAPEHDIGSHQVDLTRLLPLTLAELGGDRSSGKWSTSFRLAGKAVGASLNVSFSYQIVKDELMQFGGDNLNVLNLINMKPGRPSSTSMDFGPGPFHSDGVISSREALMNSDSSLSKSISFLYQKLDEGNIHNSARADSEHFEPLKSQVTESESPQESKFEADDTEFSIIEQVETLEGDPLKTDDTIDLSTVEIINVDDIIKEDDISVDKNTSFDLIDDICTSCVNGTMASDSKIKCSSSCVSLTCIKDVDILPETSQFLEQEHYPNVKSNYKSHRMAKRSSSLDSITECIANDFLNMLAIESGSFGSSRDGDPHSPREKLLRQFEKEALASGNFTFDFNANEEELEEELETETLGHSYGDCTVESDLSLFIQAAEEEHARENQLLMQRRKAKILEDLETDSLMQLWGLNERDFENSPRTCSGGFGSPIELPSEESCMLPSIGQGLGSFVQIMGGGFLRSMSPSLFKNAKNCGNLITQASNPVVLPAEMGNDILDILQHLASDGVEELCHHIYKLFPLQDITGKSIEHISWEAITNKGTPGRQRAWQHDSFDEFHCNYLTDEGMSLDTVSIEAIAPMTVEKIESLLIDGLRVQSGMLYEEAPSYIRPQHANVGGRRANWKWFPSSERVDKIQLEDGGEDDDHGLMGLSITLDQWLRLDSGIIEGDQNSEQILKILQVHHSKITELLHDGGLKNAMDQVKTFGRKHGLLGNHLTVAYMIQLRNPLRNYEPVGAPMLVLTQVERINKYVMMQDDSNFLDKKEKGMENETFLNETSGEFLEDSNAKNESPRFGFKIREIHLSGVLNKTGRRQHWGTATQQQSGIRWLLASGMASTVKHSTSTSKAIVLSSPLFTKKLLNEDTLWSMSCVNENVHIRNPDIIFPS >Vigun09g185100.1.v1.2 pep primary_assembly:ASM411807v1:9:35878480:35885296:-1 gene:Vigun09g185100.v1.2 transcript:Vigun09g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGTASGKNETSEGGANDSVTFGDVIFIKLRGSSWWPAQVVDENSVSKSVKPSKRNKRSPGDILVRHYGSYIYSYVDPIKCRTEFKTILEHNDGSLRKILLQTLEKDLPSTKSSRSKGSSLKPKGSSSKDSAGKKKSNRQDKEQNKIKHKKQKDMSNDDNAGQSHETSSLGKTPESSRRIRVMENLGLIAPAGSPFQKSAHKDNQAS >Vigun09g185100.4.v1.2 pep primary_assembly:ASM411807v1:9:35878480:35885310:-1 gene:Vigun09g185100.v1.2 transcript:Vigun09g185100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLARVLSQANGTSDRPGTSLLGIMEVTYIFLCFCSSYVDPIKCRTEFKTILEHNDGSLRKILLQTLEKDLPSTKSSRSKGSSLKPKGSSSKDSAGKKKSNRQDKEQNKIKHKKQKDMSNDDNAGQSHETSSLGKTPESSRRIRVMENLGLIAPAGSPFQKSAHKDNQAS >Vigun09g185100.3.v1.2 pep primary_assembly:ASM411807v1:9:35878480:35885362:-1 gene:Vigun09g185100.v1.2 transcript:Vigun09g185100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGTASGKNETSEGGANDSVTFGDVIFIKLRGSSWWPAQVVDENSVSKSVKPSKRNKRSPGDILVRHYGSYIYSYVDPIKCRTEFKTILEHNDGSLRKILLQTLEKDLPSTKSSRSKGSSLKPKGSSSKDSAGKKKSNRQDKEQNKIKHKKQKDMSNDDNAGQSHETSSLGKTPESSRRIRVMENLGLIAPAGSPFQKSAHKDNQAS >Vigun09g185100.6.v1.2 pep primary_assembly:ASM411807v1:9:35878480:35885296:-1 gene:Vigun09g185100.v1.2 transcript:Vigun09g185100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGTASGKNETSEGGANDSVTFGDVIFIKLRGSSWWPAQVVDENSVSKSVKPSKRNKRSPGDILVRHYGSYIYSYVDPIKCRTEFKTILEHNDGSLRKILLQTLEKDLPSTKSSRSKGSSLKPKGSSSKDSAGKKKSNRQDKEQNKIKHKKQKDMSNDDNAGQSHETSSLGKTPESSRRIRVMENLGLIAPAGSPFQKSAHKDNQAS >Vigun09g185100.2.v1.2 pep primary_assembly:ASM411807v1:9:35878480:35885362:-1 gene:Vigun09g185100.v1.2 transcript:Vigun09g185100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGTASGKNETSEGGANDSVTFGDVIFIKLRGSSWWPAQVVDENSVSKSVKPSKRNKRSPGDILVRHYGSYIYSYVDPIKCRTEFKTILEHNDGSLRKILLQTLEKDLPSTKSSRSKGSSLKPKGSSSKDSAGKKKSNRQDKEQNKIKHKKQKDMSNDDNAGQSHETSSLGKTPESSRRIRVMENLGLIAPAGSPFQKSAHKDNQAS >Vigun01g208700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38409061:38409546:-1 gene:Vigun01g208700.v1.2 transcript:Vigun01g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEDVKKRSEVYYGDEICQVKSKELLKEISLPNGLLPLKDIEECGYDRETGFVWLKQKKNYTHKFEKIGKLVSYAPEVTAQVEKGKISKLTGVETKELLLWITLSDIYVDDPPTGKITFKTPAGLFRSFPVSAFEIEEEAQASKRVEEPAAAVQVKEV >Vigun03g036900.2.v1.2 pep primary_assembly:ASM411807v1:3:2839865:2847581:1 gene:Vigun03g036900.v1.2 transcript:Vigun03g036900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPAKRHRQNKDGESEALTLSPVVIFAHGAGTPSSSDWMQRWKNMLKEALQAVDVVTFDYPYMSGKKRAPPKAEKLVEFHSNIVKETATKYPGHPVVLAGKSMGSRVGCMVASMEDINVSAVVCLGYPLKLPILQGINGAVRDETLLQLTIPTMFVQGSKDGLCPLEKLEATRKKMKAPNDLHVIDGGDHSFKIGKKHLQASNSSQDEAEEAAVQAIAAFISRSLEG >Vigun03g036900.3.v1.2 pep primary_assembly:ASM411807v1:3:2839865:2847579:1 gene:Vigun03g036900.v1.2 transcript:Vigun03g036900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPAKRHRQNKDGESEALTLSPVVIFAHGAGTPSSSDWMQRWKNMLKEALQAVDVVTFDYPYMSGKKRAPPKAEKLVEFHSNIVKETATKYPGHPVVLAGKSMGSRVGCMVASMEDINVSAVVCLGYPLKGSKDGLCPLEKLEATRKKMKAPNDLHVIDGGDHSFKIGKKHLQASNSSQDEAEEAAVQAIAAFISRSLEG >Vigun03g036900.1.v1.2 pep primary_assembly:ASM411807v1:3:2839865:2847579:1 gene:Vigun03g036900.v1.2 transcript:Vigun03g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPAKRHRQNKDGESEALTLSPVVIFAHGAGTPSSSDWMQRWKNMLKEALQAVDVVTFDYPYMSGKKRAPPKAEKLVEFHSNIVKETATKYPGHPVVLAGKSMGSRVGCMVASMEDINVSAVVCLGYPLKGINGAVRDETLLQLTIPTMFVQGSKDGLCPLEKLEATRKKMKAPNDLHVIDGGDHSFKIGKKHLQASNSSQDEAEEAAVQAIAAFISRSLEG >Vigun05g122800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13602843:13604175:1 gene:Vigun05g122800.v1.2 transcript:Vigun05g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKRRMSNPEFLTNDGKESDFQILKRPMLEFQTENIETSSSSKPRYSRSIQDRDEEKEENQQICRVTVRRQDDSASSSEEKLKEEEDEENDGFKTPTSLDHKISVPSQCPPAPRKTKPSLKRKASCFNHCRHPLDLSKEVFELLFPTQQSTKKVRRQDHQ >Vigun04g028400.1.v1.2 pep primary_assembly:ASM411807v1:4:2208700:2209766:-1 gene:Vigun04g028400.v1.2 transcript:Vigun04g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSLKKKLLGAALSNFGDSFERFQHYQKVFSSLKEKLLGLWINSFLGHQVIHILKNFSSSVSHKIIKKKKNVTIALDLDGNFSLSFCKLLGFLICYNSCFFIIDQFILFYAETLVHSSPFPRDSDFSFTMISDGESRTVYVRKRPFLEEFLEKVSEMFEVVIFTASTSSYSAKLLDVLDPHKKLFARRFYRDSCKWEDGHCLKDLTVLGIDMAKVFIIDNSPEVFRLHVNNGIPIKTWHWDHTDHALIDLLQFLEKLGDVDDVRPIIAAQFGARRINYAHSSSPIQIRRNRSF >Vigun07g102300.2.v1.2 pep primary_assembly:ASM411807v1:7:18415242:18419752:1 gene:Vigun07g102300.v1.2 transcript:Vigun07g102300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVPYKTLTLPVLHGPSSFPSIFKPPCFTLHLLNRAFACKSLEIASPSLSLHSNVPPNSKHVDVATLSNLCVDIVLNVPQLPPPSPVQRKAFVDRLAQSPPDKKYWEAGGNSNMAIAAARLGLNCISIGHVGNEIYGKFLSDVLHDEGIGLVGILSNDNSDNIVDSSSSDSGSYDTLLCWVLVDPLQRHGFCSRADFSKEPAFHWMSKLSSEVKIAIENSKVLFCNGYGFDELSPGAILSAMEYAVEVGTSIFFDPGPRGKELSTGSPDEQRALNQLLRMSDVLLLTSDEAESLTGIEDPILAGLEFLKRGIRTKWVIVKMGSKGSIIITASSIACAPGFKA >Vigun07g102300.1.v1.2 pep primary_assembly:ASM411807v1:7:18415242:18421053:1 gene:Vigun07g102300.v1.2 transcript:Vigun07g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVPYKTLTLPVLHGPSSFPSIFKPPCFTLHLLNRAFACKSLEIASPSLSLHSNVPPNSKHVDVATLSNLCVDIVLNVPQLPPPSPVQRKAFVDRLAQSPPDKKYWEAGGNSNMAIAAARLGLNCISIGHVGNEIYGKFLSDVLHDEGIGLVGILSNDNSDNIVDSSSSDSGSYDTLLCWVLVDPLQRHGFCSRADFSKEPAFHWMSKLSSEVKIAIENSKVLFCNGYGFDELSPGAILSAMEYAVEVGTSIFFDPGPRGKELSTGSPDEQRALNQLLRMSDVLLLTSDEAESLTGIEDPILAGLEFLKRGIRTKWVIVKMGSKGSIIITASSIACAPGFKVNVIDTVGCGDSFVAAIAYGFIHNMPLVNTLAIANAVGAATAMGCGAGRNVATLEKVVDLIRSSNLSEDDEFWFDILEKNMVSQEITYLSNVMKGNRNHLNLVSFDKVVSELLPRLELPQTVGNVST >Vigun11g134100.3.v1.2 pep primary_assembly:ASM411807v1:11:34373698:34374303:1 gene:Vigun11g134100.v1.2 transcript:Vigun11g134100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARARNLMVAAGLVAFAAAGMSFPFYMASSRKPVIDPTKPLPPQATFRGPYINTGSRDVGPDVGPQKK >Vigun09g267600.1.v1.2 pep primary_assembly:ASM411807v1:9:43075584:43079966:-1 gene:Vigun09g267600.v1.2 transcript:Vigun09g267600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIHQADKYRKGACENCGAMTHDVKSCMERPRKVGAKWTNTHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARKKYLKEQQLKKLEKSNQNGEDAASDEDEEEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYGGDNQYRNSGQALEFKELNIHAWEAFEKGQDVHMQAAPSQAELVHKSFLIRSEELKHQTKKTIIEKYGNAADENKPPRELLLGQTEMQVEYDRAGRIIKGQEAAVPRSKYEEDIYINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAASDLMKANIARKEAATEDPAPVEEKKLATWGTDVPDDLVLDEKLLADALKKEDLRKREEKDERKRKYNVRWNDEVTEEDMEAYRMKKVHHDDPMKDFLH >Vigun03g058000.1.v1.2 pep primary_assembly:ASM411807v1:3:4695876:4702852:-1 gene:Vigun03g058000.v1.2 transcript:Vigun03g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRKPFALAEPPEELKPDEPVYQIRFTKEIFRDYPDYLKQLNQYRQRVWMCKVTGKTGLTYEEAMVSEQHATEKVQQFPKELMTTALGIIQYSMLPLKDLADSIGEKLQKRLFVGAELHGKKGDGVHPCRILKVIQKGVDTFCYEVAWLDKNKSISEQAELCAEDLVHKKPLFSRNILKSFIRESTCRNAPWVLHDELAKSHGISTDIPEELRGRVFYRDGLLICSKKRKNEESLEDTDNSKRKKLNGAQVDDSCQEKENAQQKNEAIKYPIDDLLVKPGPDDPVFTDRPSPSKDFNIPMSCVGDLLMVWDLLNSFGRLLHLWPYSLEDFENAICHKDSNVVLLVESHAALFRFLIKDGDEYSLAVKNQKLKSKITMNNWTEYLCYFLDVINIPELRHHEATIKRGHYGLVDVSAKLEILSELVNQALETAIFREKLDEIIEQRQALGASRREECRRTREEKERLKAESRSNGFVDGLLNGAKVPTNDNHGIQNGDMDEKSLVEIEPSGQNGQMDRSEIKPLHPVSKKTHKKLNSDLKEPTKNGKELSRKESPKQSKADKEDLSEKNSKEQRKEYFEKEMEKRFVRRSPLGKDRDHNRYWWFRRDGRIFVESSDSKEWGYYSSKEELDALMSSLNCKGERERALQKQLQKYYTKICSELQKRSKDLMHRIIDESVLRRSTRVRAPPRQNPANAFLRYVNKWKEE >Vigun03g058000.4.v1.2 pep primary_assembly:ASM411807v1:3:4695938:4702783:-1 gene:Vigun03g058000.v1.2 transcript:Vigun03g058000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRKPFALAEPPEELKPDEPVYQIRFTKEIFRDYPDYLKQLNQYRQRVWMCKVTGKTGLTYEEAMVSEQHATEKVQQFPKELMTTALGIIQYSMLPLKDLADSIGEKLQKRLFVGAELHGKKGDGVHPCRILKVIQKGVDTFCYEVAWLDKNKSISEQAELCAEDLVHKKPLFSRNILKSFIRESTCRNAPWVLHDELAKSHGISTDIPEELRGRVFYRDGLLICSKKRKNEESLEDTDNSKRKKLNGAQVDDSCQEKENAQQKNEAIKYPIDDLLVKPGPDDPVFTDRPSPSKDFNIPMSCVGDLLMVWDLLNSFGRLLHLWPYSLEDFENAICHKDSNVVLLVESHAALFRFLIKDGDEYSLAVKNQKLKSKITMNNWTEYLCYFLDVINIPELRHHEATIKRGHYGLVDVSAKLEILSELVNQALETAIFREKLDEIIEQRQALGASRREECRRTREEKERLKAESRSNGFVDGLLNGAKVPTNDNHGIQNGDMDEKSLVEIEPSGQNGQMDRSEIKPLHPVSKKTHKKLNSDLKEPTKNGKELSRKESPKQSKADKEDLSEKNSKEQRKEYFEKEMEKRFVRRSPLGKDRDHNRYWWFRRDGRIFVESSDSKEWGYYSSKEELDALMSSLNCKGERERALQKQLQKYYTKICSELQKRSKDLMHRIIDESVLRRSTRVRAPPRQNPANAFLRYVNKWKEE >Vigun03g058000.3.v1.2 pep primary_assembly:ASM411807v1:3:4695938:4702783:-1 gene:Vigun03g058000.v1.2 transcript:Vigun03g058000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRKPFALAEPPEELKPDEPVYQIRFTKEIFRDYPSDYLKQLNQYRQRVWMCKVTGKTGLTYEEAMVSEQHATEKVQQFPKELMTTALGIIQYSMLPLKDLADSIGEKLQKRLFVGAELHGKKGDGVHPCRILKVIQKGVDTFCYEVAWLDKNKSISEQAELCAEDLVHKKPLFSRNILKSFIRESTCRNAPWVLHDELAKSHGISTDIPEELRGRVFYRDGLLICSKKRKNEESLEDTDNSKRKKLNGAQVDDSCQEKENAQQKNEAIKYPIDDLLVKPGPDDPVFTDRPSPSKDFNIPMSCVGDLLMVWDLLNSFGRLLHLWPYSLEDFENAICHKDSNVVLLVESHAALFRFLIKDGDEYSLAVKNQKLKSKITMNNWTEYLCYFLDVINIPELRHHEATIKRGHYGLVDVSAKLEILSELVNQALETAIFREKLDEIIEQRQALGASRREECRRTREEKERLKAESRSNGFVDGLLNGAKVPTNDNHGIQNGDMDEKSLVEIEPSGQNGQMDRSEIKPLHPVSKKTHKKLNSDLKEPTKNGKELSRKESPKQSKADKEDLSEKNSKEQRKEYFEKEMEKRFVRRSPLGKDRDHNRYWWFRRDGRIFVESSDSKEWGYYSSKEELDALMSSLNCKGERERALQKQLQKYYTKICSELQKRSKDLMHRIIDESVLRRSTRVRAPPRQNPANAFLRYVNKWKEE >Vigun03g058000.5.v1.2 pep primary_assembly:ASM411807v1:3:4695894:4702292:-1 gene:Vigun03g058000.v1.2 transcript:Vigun03g058000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRKPFALAEPPEELKPDEPVYQIRFTKEIFRDYPDYLKQLNQYRQRVWMCKVTGKTGLTYEEAMVSEQHATEKVQQFPKELMTTALGIIQYSMLPLKDLADSIGEKLQKRLFVGAELHGKKGDGVHPCRILKVIQKGVDTFCYEVAWLDKNKSISEQAELCAEDLVHKKPLFSRNILKSFIRESTCRNAPWVLHDELAKSHGISTDIPEELRGRVFYRDGLLICSKKRKNEESLEDTDNSKRKKLNGAQVDDSCQEKENAQQKNEAIKYPIDDLLVKPGPDDPVFTDRPSPSKDFNIPMSCVGDLLMVWDLLNSFGRLLHLWPYSLEDFENAICHKDSNVVLLVESHAALFRFLIKDGDEYSLAVKNQKLKSKITMNNWTEYLCYFLDVINIPELRHHEATIKRGHYGLVDVSAKLEILSELVNQALETAIFREKLDEIIEQRQALGASRREECRRTREEKERLKAESRSNGFVDGLLNGAKVPTNDNHGIQNGDMDEKSLVEIEPSGQNGQMDRSEIKPLHPVSKKTHKKLNSDLKEPTKNGKELSRKESPKQSKADKEDLSEKNSKEQRKEYFEKEMEKRFVRRSPLGKDRDHNRYWWFRRDGRIFVESSDSKEWGYYSSKEELDALMSSLNCKGERERALQKQLQKYYTKICSELQKRSKDLMHRIIDESVLRRSTRVRAPPRQNPANAFLRYVNKWKEE >Vigun04g015300.1.v1.2 pep primary_assembly:ASM411807v1:4:1115849:1119075:-1 gene:Vigun04g015300.v1.2 transcript:Vigun04g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKELEGKSVHDCYHHQIKMSKMASPIFVPGPVIVGAGPSGLAAAACLKQKGIPSLILERAQCLASMWQYRTYDRLRLHLPKQFCQLPLMPFPKTLPSYPTKQQFLAYLKVYADHFHIKPSFGQEVVTAEFDHACKLWRVKTQARHKRDETAEYLCQWLVVATGECAEEVRPQIEGLAEFEGTVLHTSSYKTGTRFCGKNVLVVGCGNSGMEVCLDLCNHNARPSLVVRDAVHILPQQMLGKSTFGLSMFLLKWFPMRFVDQFLLLLSHLMLGDTAQFGLHRPKLGPLELKNLYGKTPVLDVGTLAQIKNGKIKVCRGIKRLARNAVEFVDGKVENFDAIILATGYKSNVPSWLKGSDMFSEKDGFPRKPFPNGWKGENGVYAVGFTKRGLLGASIDAKRIAEDIEHSWKAETTHVLEFPCPLA >Vigun04g015300.2.v1.2 pep primary_assembly:ASM411807v1:4:1115875:1119037:-1 gene:Vigun04g015300.v1.2 transcript:Vigun04g015300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMASPIFVPGPVIVGAGPSGLAAAACLKQKGIPSLILERAQCLASMWQYRTYDRLRLHLPKQFCQLPLMPFPKTLPSYPTKQQFLAYLKVYADHFHIKPSFGQEVVTAEFDHACKLWRVKTQARHKRDETAEYLCQWLVVATGECAEEVRPQIEGLAEFEGTVLHTSSYKTGTRFCGKNVLVVGCGNSGMEVCLDLCNHNARPSLVVRDAVHILPQQMLGKSTFGLSMFLLKWFPMRFVDQFLLLLSHLMLGDTAQFGLHRPKLGPLELKNLYGKTPVLDVGTLAQIKNGKIKVCRGIKRLARNAVEFVDGKVENFDAIILATGYKSNVPSWLKGSDMFSEKDGFPRKPFPNGWKGENGVYAVGFTKRGLLGASIDAKRIAEDIEHSWKAETTHVLEFPCPLA >Vigun07g042500.8.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.4.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.5.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.10.v1.2 pep primary_assembly:ASM411807v1:7:4187170:4209906:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.6.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.2.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.12.v1.2 pep primary_assembly:ASM411807v1:7:4187170:4209906:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.11.v1.2 pep primary_assembly:ASM411807v1:7:4187170:4209906:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.1.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.3.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.7.v1.2 pep primary_assembly:ASM411807v1:7:4187075:4209919:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g042500.9.v1.2 pep primary_assembly:ASM411807v1:7:4187170:4209906:-1 gene:Vigun07g042500.v1.2 transcript:Vigun07g042500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKAFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQNKVKEQQQLQMQQLQLIRQAQLQRRDSNHPPLGGPVNSITTEGVLGQSTASALAAKMYEERMKHSNPMDTETSQPLLDARMALLKSTNHPGQMVQGNSGSVTAALQQIQARTQQTPQDIKGEVNMGAMQRSLPMDPSSIYGQGGMQSKPGIANTGLNAGVGSLTLKGWPLTGIDQIRPGFGAPVQKPLLQSANQFQLLPQQQQQLLAQVQAQGNIGNSPVYGDMDPQRLRGLTRGSLNAKDGQSIANDGSIGSPMQSTSSKINMPQIQQSTSQQQQDPLHPQQLMQNNRKRKGPTSSGPANSTGTGNTLGPSNSQPSTPSTHTPGDGVAMAGNLQNVAGVSKGLMMYGTDGAGGLASSTNQLLQDDMEHFGDVGSLEDNVESFLSQDDGDGRDLFGTLKRNPSEHATDASKGFSFSEVSSIRKSNSKVVCCHFSSDGKLLASAGHDKKVVLWNMETLQTESTPEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPTFSLQAYSGHTSHVVSLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRVGQLLAAASGSLVSVFDVETDRQMNMFQGHSADVHCVCWDTNGDYLASVSQESVKVWSLASGECIHELNSSGNMYHSCVFHPSYSTLLVIGGYQSLELWNMVENRCMTIPAHECVISALAQSPVTGMVASASHDKSVKIWK >Vigun07g258700.1.v1.2 pep primary_assembly:ASM411807v1:7:37576986:37577648:1 gene:Vigun07g258700.v1.2 transcript:Vigun07g258700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNEMKNSKIVLMITMMVMVELSTATQSPPYKTLLIDCATKCELECAPLLLPPASGFSYLVCLFTCRLECLGKPTDAVPGCVKSCDVRDRDLTSSVADSCVKKCLKM >Vigun03g419200.3.v1.2 pep primary_assembly:ASM411807v1:3:62549103:62553691:1 gene:Vigun03g419200.v1.2 transcript:Vigun03g419200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRLGLRHQFLHAQTVASVYGGSGCVELKGPEVVADLEELLRLLTLCMLFSKKPFPDFLDSAGFSQDHVLLHSPKAELLKPAFTIIHDMQSRCFLLLIRGTHSIKDTLTAATGAMVPFHHSILSDGQISNLVLGHAHCGMVAAARWIAKLCTPTLLKALNECPDSKVKVVGHSLGGGTASLLTYILREQNELSSTTCVTFAPAACMTWELAESGKHFITTIINNSDMVPTLSSFSVDDLRSEVAASSWLNDLWNQVEHTKVLNVIYRSASTLGSHLQSISSAKDKVTGVGAILLPVASSTQVVIKHAQSVAKAVVKTMAKHSQNIGPLSKSKLNNLVKVSLEPENISNSLLTESVSVLNKDEPNSTSGKSEPDVADEDKLVIDANEHITEGELWHELEKELEKQTILNILTQIEEAAAEKEIIEEENQLINASNSISTSDLVDNSYCFYPPGKIMHMVSAPSDESGSNSKEGHIKLYETPRQLYSKFRLPKKMINDHYMPTYRTMIQQLIQELKKDGVKQY >Vigun03g419200.2.v1.2 pep primary_assembly:ASM411807v1:3:62549103:62554753:1 gene:Vigun03g419200.v1.2 transcript:Vigun03g419200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLGMLSLHQRNATELRVPRKEHSHFLSHVSVSHTQAIFQTPIYVSIFLLFCLTSLCTFFFSVAYMTAGAMATAAGAAVTLYLLLRRRKKAEEEWSRARTLRVRPAQAPANLFESIVTLSETLRFTYSETIGKWPIADLAFGINSFMRKQGDLAVASVYGGSGCVELKGPEVVADLEELLRLLTLCMLFSKKPFPDFLDSAGFSQDHVLLHSPKAELLKPAFTIIHDMQSRCFLLLIRGTHSIKDTLTAATGAMVPFHHSILSDGQISNLVLGHAHCGMVAAARWIAKLCTPTLLKALNECPDSKVKVVGHSLGGGTASLLTYILREQNELSSTTCVTFAPAACMTWELAESGKHFITTIINNSDMVPTLSSFSVDDLRSEVAASSWLNDLWNQVEHTKVLNVIYRSASTLGSHLQSISSAKDKVTGVGAILLPVASSTQVVIKHAQSVAKAVVKTMAKHSQNIGPLSKSKLNNLVKVSLEPENISNSLLTESVSVLNKDEPNSTSGKSEPDVADEDKLVIDANEHITEGELWHELEKELEKQTILNILTQIEEAAAEKEIIEEENQLINASNSISTSDLVDNSYCFYPPGKIMHMVSAPSDESGSNSKEGHIKLYETPRQLYSKFRLPKKMINDHYMPTYRTMIQQLIQELKKDGVKQY >Vigun03g419200.1.v1.2 pep primary_assembly:ASM411807v1:3:62549103:62553691:1 gene:Vigun03g419200.v1.2 transcript:Vigun03g419200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLGMLSLHQRNATELRVPRKEHSHFLSHVSVSHTQAIFQTPIYVSIFLLFCLTSLCTFFFSVAYMTAGAMATAAGAAVTLYLLLRRRKKAEEEWSRARTLRVRPAQAPANLFESIVTLSETLRFTYSETIGKWPIADLAFGINSFMRKQGDLAVASVYGGSGCVELKGPEVVADLEELLRLLTLCMLFSKKPFPDFLDSAGFSQDHVLLHSPKAELLKPAFTIIHDMQSRCFLLLIRGTHSIKDTLTAATGAMVPFHHSILSDGQISNLVLGHAHCGMVAAARWIAKLCTPTLLKALNECPDSKVKVVGHSLGGGTASLLTYILREQNELSSTTCVTFAPAACMTWELAESGKHFITTIINNSDMVPTLSSFSVDDLRSEVAASSWLNDLWNQVEHTKVLNVIYRSASTLGSHLQSISSAKDKVTGVGAILLPVASSTQVVIKHAQSVAKAVVKTMAKHSQNIGPLSKSKLNNLVKVSLEPENISNSLLTESVSVLNKDEPNSTSGKSEPDVADEDKLVIDANEHITEGELWHELEKELEKQTILNILTQIEEAAAEKEIIEEENQLINASNSISTSDLVDNSYCFYPPGKIMHMVSAPSDESGSNSKEGHIKLYETPRQLYSKFRLPKKMINDHYMPTYRTMIQQLIQELKKDGVKQY >Vigun08g167700.1.v1.2 pep primary_assembly:ASM411807v1:8:33902975:33905171:-1 gene:Vigun08g167700.v1.2 transcript:Vigun08g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Vigun06g208450.1.v1.2 pep primary_assembly:ASM411807v1:6:32187697:32190133:-1 gene:Vigun06g208450.v1.2 transcript:Vigun06g208450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSEKVCMFSRDKGGVGSRESTRRSSKCYYPEVTASKQREKGKLKAAHVITIPKKHPDIDQPSYASLLYQKNEEKATVLVGSCWLSGGKQLIGKYMLLLPMQHNCNFSSAHASIQGSGTPFLAYMSISSNLISSFISALLYIMISAST >Vigun07g064100.1.v1.2 pep primary_assembly:ASM411807v1:7:7428798:7433187:1 gene:Vigun07g064100.v1.2 transcript:Vigun07g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPATVAAAQSSLYVGDLHPDITDTQLFQAFREFESLSSVRVCRDSMTRASLRYGYVNFMSQQDANRAIKVKNNSYLFGKVIRVMWSHRDPNGRKSGRGNVFVKNLAESIDNAVLHDLFQKYGNVLSSKVVVYDDGKSKGYGFVQFESEESANSAIEKLNGSTVRDKQIYVGKFVRKGDRILPGYDSKYTNLYIKNLDSDITEALLREKFSTFGKIISLAIAKDDNGLSKGFAFVNYDSPDDARKAMETMNGSQFGSKNLYVSRAQKKAEREQLLHNQFEEKRKEQIQKSKGSNLYVKNIDDAVGEKELRDLFSSCGTITSVKVMRNEKGISKGFGFVCFSNPEEAIKAMSTFYGCMFYGKPLYIAFAQRKEDRKTQLKLHYAPQQARLNGSPATVIPPGFTPYFYPNVTSHVFQSGLLYQPLGLGSGWRANDFTPPTRPFQPSQVPIVPSTNRNRRLNRGMMNGHMNSMGKAQTGVYIPQLQHYYQPLDSREYMAA >Vigun02g107000.1.v1.2 pep primary_assembly:ASM411807v1:2:26088277:26093541:1 gene:Vigun02g107000.v1.2 transcript:Vigun02g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMRKRLACCSKGSKFSIDLDEQERIMTYNGLESCMLNNQSYEDESRTSRGDECITDSFDDDSSCSSSKDAFGSFSSKCLTMKKDDKGLEEWDLSESPHDFYAKEKPFGIQHSDIEAMKERFSKLLLGEDVTGGSKGLITALALSNGITNLSVTVFGEMWKLEPLSEEMKRKWQREIDWLLSPTNYMVELVPSTQSGANGGIFEIMTSKPRADVLMNLPALQKLDSMLIETLDSMTNMEFWGDTNAQHSKKWGLPSPKVPKCGLSDTEKKKLLHQGGVVRQVFKAAKSINESVLLEMPVPAIIKDALIKSGKTNLGHELNKVLAVESSSAEDMLKSLNLKSEHVVLETVNKLEAAILSWKERIAEYAAGKHQPRSTWSSFVKDPALEFDKLKVLSDRAETLLQLIKIRYPNLPQTFIDAAKVQHGKDIGHSILEAYSRVLANLAFGILSRIGDVLQEDSSSNPNSPMVAEYSPGMNLSQTWVVNSSIKQSLLDKMNKADGQYCDSSSYNASDLEHSSIHSKSLSMTATTNQSGGWSMSKEVCSSLSPRNSP >Vigun07g213900.1.v1.2 pep primary_assembly:ASM411807v1:7:33519511:33523207:-1 gene:Vigun07g213900.v1.2 transcript:Vigun07g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCNKNAIFSFLLLSIFLSVSVIKVCEAQARPPTVRGLSYTFYTKTCPNLKSIVRTELKRVFKKDIAQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEKDAPPNLTLRAEAFRIIERIRGLLEKSCGRVVSCSDITALAARDSVFLSGGPDYEIPLGRRDGLTFASREVTLDNLPPPSSNTTTILNSLATKNLDPTDVVSLSGGHTIGISHCSSFTNRLYPSQDPVMDKTFGKNLRLTCPTDTTDNTTVLDIRSPNVFDNRYYVDLMNRQGLFTSDQDLYTDKRTRNIVTSFAINQTLFFEKFVFAMLKMGQLSVLTGNQGEIRANCSVRNANSNSFLSSVVENVAQEFIEM >Vigun01g108550.1.v1.2 pep primary_assembly:ASM411807v1:1:28090552:28091682:1 gene:Vigun01g108550.v1.2 transcript:Vigun01g108550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIQLFNAYNILIPLGEDPKPCELLSSFVLPVAFIVHTLTISLSFFSIGVTPISTCIPSNQTLMND >Vigun07g138900.1.v1.2 pep primary_assembly:ASM411807v1:7:24865299:24869269:1 gene:Vigun07g138900.v1.2 transcript:Vigun07g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTREIILCIVVILISSSGICTAVDCGGPEFSSTIVVDKSNTSPNFTSIQAAIDSIPTSNSKWVKIQINAGIYKEKVNIPVDKPCIFIKGQGADVTTITYDDYSEITTSSTFSSFSNNLVASDITFQNSYGLKILENLRRHRKSYGIRTPSLAARISGDKCAFYKCKFIGFQDTLWDELGRHYFKNCMIEGAVDFIFGDGQSYYKDCVLNVTSLGCITAQARNVSSDPSGFVFEGGSVIGSGNEDSLLGRGYRHCSRVIFYKMNLSSVVRPVGWDAWNAKDDVTCLFYSEIECIGPGSSTSQRVPWEKNLTETDFYKHFPFENSSIKMVGCHTYHKGSRDIYLLLCNLDTLSYEI >Vigun04g109600.1.v1.2 pep primary_assembly:ASM411807v1:4:27246654:27256753:-1 gene:Vigun04g109600.v1.2 transcript:Vigun04g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFDAHCHLQDPRIFSKAQQIIKTTQDTGVVYFVVNGVCEQDWHSVKHMAETFPCVIPCFGLHPWYVKERSPSWFKTLREYFDSTPSAAVGEIGVDKGSHGRKIDFSEQVEVLRQQLELAKELNKPASIHCVRAFGDLFELMKSMGPFPAGVILHSYLGSAEMVPEFSKLGAYFSFSGFLMSLKANKAKKMLKMVPFDRILLETDAPDALPVSNIDSLHFVEGDTSLTEELLHASTSGSSLGNSSHVSGNVSKLPKDMLNHPANIHNVLDYVASMLEISKEELAELSYQNAVRLLSYEGSKVLLK >Vigun04g109600.2.v1.2 pep primary_assembly:ASM411807v1:4:27246654:27256753:-1 gene:Vigun04g109600.v1.2 transcript:Vigun04g109600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFDAHCHLQDPRIFSKAQQIIKTTQDTGVVYFVVNGVCEQDWHSVKHMAETFPCVIPCFGLHPWYVKERSPSWFKTLREYFDSTPSAAVGEIGVDKGSHGRKIDFSEQVEVLRQQLELAKELNKPASIHCVRAFGDLFELMKSLLIGFCWRQMHLMHYQCQT >Vigun04g109600.3.v1.2 pep primary_assembly:ASM411807v1:4:27246654:27256753:-1 gene:Vigun04g109600.v1.2 transcript:Vigun04g109600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFDAHCHLQDPRIFSKAQQIIKTTQDTGVVYFVVNGVCEQDWHSVKHMAETFPCVIPCFGLHPWYVKERSPSWFKTLREYFDSTPSAAVGEIGVDKGSHGRKIDFSEQVEVLRQQLELAKELNKPASIHCVRAFGDLFELMKSMGPFPAGVILHSYLGSAEMVPEFSKLGAYFSFSGFLMSLKANKAKKMLKMVIFIISDIRLMLNIICTIKFINSDILIFYSI >Vigun04g109600.4.v1.2 pep primary_assembly:ASM411807v1:4:27246654:27256753:-1 gene:Vigun04g109600.v1.2 transcript:Vigun04g109600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFDAHCHLQDPRIFSKAQQIIKTTQDTGVVYFVVNGVCEQDWHSVKHMAETFPCVIPCFGLHPWYVKERSPSWFKTLREYFDSTPSAAVGEIGVDKGSHGRKIDFSEQVEVLRQQLELAKELNKPASIHCVRAFGDLFELMKECHFEVASLKFLPPKFQYFQVYGAFSCWGYSSFLPRFCRDGS >Vigun03g029800.2.v1.2 pep primary_assembly:ASM411807v1:3:2235880:2237838:1 gene:Vigun03g029800.v1.2 transcript:Vigun03g029800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMDGSVAATVVFDFDRTIIDDDSDRWIITELGLTHLFNQLRHTMPWTSLMDRIMEELHLHGITVDHIAECLKRTPLHPNIVSAIKSAHALGCDLRIISDANMFSIRTILEHHGLLGCFSQINTNPGFVDNEGRLRVTPFHDSTLSPHACSLCPPNMCKGLVIDQIRGSSPGNERKLIYLGDGTGDYCPTLRLKGGDFVMPRKNYPLWNRIQSDPKLVAAEVHDWSNGEEFESILLNLINKITPTTTG >Vigun03g029800.1.v1.2 pep primary_assembly:ASM411807v1:3:2235941:2237846:1 gene:Vigun03g029800.v1.2 transcript:Vigun03g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMDGSVAATVVFDFDRTIIDDDSDRWIITELGLTHLFNQLRHTMPWTSLMDRIMEELHLHGITVDHIAECLKRTPLHPNIVSAIKSAHALGCDLRIISDANMFSIRTILEHHGLLGCFSQINTNPGFVDNEGRLRVTPFHDSTLSPHACSLCPPNMCKGLVIDQIRGSSPGNERKLIYLGDGTGDYCPTLRLKGGDFVMPRKNYPLWNRIQSDPKLVAAEVHDWSNGEEFESILLNLINKITPTTTG >Vigun04g065400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7169498:7175147:-1 gene:Vigun04g065400.v1.2 transcript:Vigun04g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRLHQLCVVTFLCIFLYHGSLHAEMCVETEKQALLKLKEGFIHGMELLSSWNGDDCCKWKGVSCNNLTGHVTSLHLKFSNFTAVEDLNYLVDEMPEMEFFTRSTNLAGKIDSSICELQHLTFLDLSHNYLRGEIPECIGSLGQLKQLKLAWNDLNSLPYALSNLSNLEYLDLSKNDFVESDLDWLSHLSTLRYLDLSKNNLVAVIDWTSSISKIPFLSELHLDDCGLPQVNPESISHINSSTSLQILSLSRNHFDYSIMSWVLNVSKVLTILDLSHNELDGGIIKSFNTLCHLKKLYLGHNKLSDKLSDYLPELCSAKDLEVLNLDHNPFRNGSFPDFSLFSSLERLSLQSTSIVGPLSFAHLPCLKALDLSSNNLNGSLPVFEVTKFASLHFLDLSHNKLSGRLPYSIGQLSNLWFLSISSNELNGGISEQHVFNLSGLKIFDVSKNSLSFNLNPDWVPPFQLVALYATSCNLGPQFPKWLKQQRKLQVLQISNTYIMDSFPEWFGDISSSLLYINVSHNKLSGILPKSLSGVKTGLISTWDFSFNNLYGTLPPFPPKVFELFLSNNMFSGFESSFCETFPVSVTYLDLSSNALTGPLPNCWQNFQNLEVLNLANNSLSGGVPESFGNLRSILSMHLNNNNFSGDIPSLALCKGSLRFIDFGDNNLEGTLQTWLEFEHLIVLRLRGNKIQGSIPQSLCNMLSLKVLDLSSNNITGKIPQCIGLISAMSDMEFRGEIIFYRTSAPLIFHDSGIGFFEDEISLTWKGGNYEMGKILGYFIAIDISNNNLVGEIPESITSLVGLISLNLSRNNLTGFIPDNIGHLKNLESLDLSRNHLHGRMPTSFSNLNFLNYMNLSFNNLSGMIPLSTQLQSFLASSYAGNMLCGSPLQNQCLDVRPRVQNNKDQLLSFVFYLSPALGFCVGFWSVCGTLIVKSSWRRAYFQLFINIIDWMYVTVVVFIARMKRRF >Vigun11g079450.1.v1.2 pep primary_assembly:ASM411807v1:11:23401095:23402160:-1 gene:Vigun11g079450.v1.2 transcript:Vigun11g079450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RWPVSISNSWNGSKLLMGKECAELVRFKEPWIVRFGNEVNSSQECSQLNSLSQYSEHEKFMYKAVVRTISEITCMKEEQYCVTVANTVRFNIGNDGWCYPVCNSGKKKTDEVGPFKCVLCGFDNEKHGIRYKLELQVTNRDNYTNFVLWDQDYNNLIGVSTVELMNKMIEDGEDDPKCFPKDLDVLLGCTLAFKVRVQPNNKSSSVMKASNNLETITSIRSKLETKMVTHLFKVFKFLYCSQNNFMKMKYI >Vigun04g005400.1.v1.2 pep primary_assembly:ASM411807v1:4:362268:363320:1 gene:Vigun04g005400.v1.2 transcript:Vigun04g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGTGHHLTAAAIIGHDGSVWAQSTAFPQIKSDEISGIMKDFDEPGYLAPTGLHLSGTKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGMYDEPVTPGQCNMVVERLGDYLVDQGL >Vigun07g272600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38815812:38818043:1 gene:Vigun07g272600.v1.2 transcript:Vigun07g272600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVSMGVHGWGDMKSLSLQVITGRWFVVFASFLIMAAAGATYMFGLYSGDIKSTLGYDQTTLNLLSFFKDFGSNVGVLSGLINELTPPWVVLAIGAILNFFGYFMIWLSVTKRIARPQVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYVGLSGAIITQLYSAIYYDDTRALILLIGWLPAAISFAFLRTIRYMKPVRQTNELKVFYNFLYISLGLAGFLLVMITIQKRVNFTQSEYGVSAGIVIFLLFLPLLVVSIEEHKIWHSKRLALVDPSPVKIVTEGEKVKPNEATNGNASVTAVSNDTKWWENVFSPPERGEDYTILQALFSIDMLILFITCICGVGGTLTAIDNLNQIGSSLRYPKKSISTFVSLVSIWNYMGRVFSGFVSEHFLRKYKFPRPLMLSLTLLLSCVGHLLIAFDVPNGLYAASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVVMTGHLYDKEAKKQLAALGLERKEGQELNCIGVDCFKLSFIIITAATFFGAIVSLILVARTRKFYSGDIYKRFQDAATVSETEMAEVEKTSKAEKDAKHMVPPTQN >VigunL061037.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:26773:26976:1 gene:VigunL061037.v1.2 transcript:VigunL061037.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun08g144900.1.v1.2 pep primary_assembly:ASM411807v1:8:31799184:31801401:1 gene:Vigun08g144900.v1.2 transcript:Vigun08g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILFFVFWLVNSHDSHRVHATLIDDFEIERQLQVINKPSVKSIQTEFGYVVDCVDIYKQLAFDHPLLKDHKLQRKPSFRNSFEKTSMKKSSNQLIFELQKEKCPRGTVPIRRTTKDCLIQRRSSFYNQSMVQDVPGLHMAEIYVKPSYGPFYKVKGSNNIYNPRLMKNNQESISHIWVENGGNNKIAFGWHVAPLIYRGDTGTHIFSAWTSDNFKHTGCYDLQCSGFVQVNQFHYIGSRMSKTSIYGNTSIESTISITMDPQTENWWLNIAGRDIGYYPAKLFSNMSSAERVGWGGRTMTHHGIPSPQMGSGYFPDQNFVHAAYFKFISIQDQVRKDLEPDTDMTETLADKPNCFNAQHFGALGRDVGNSLQFGGPGGECGD >Vigun03g067600.4.v1.2 pep primary_assembly:ASM411807v1:3:5586219:5588235:1 gene:Vigun03g067600.v1.2 transcript:Vigun03g067600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGREQGNEGEAGDYRTLSMPMMFSGLDREREMSAMVSVLTQVVSGDEHSHDHSILHQNIDNNGNGGGDGLTITSNIDSGSITASSASSSYGGNSVLKRRREVDTSDPPGGTSFPAISTYGVGESSSRVYDYEYRAERMGVGEEPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAARAYDEASLRFRGNKAKLNFPENVRLRHPSPQPPPPPPTLFSIPSTTQPIVHTQPQAQSSRDYGNFYEYCYSHVHEFPVSLYDQVAVSSPFSAAASHLQSSPSYSSSSTITSSQPPLPSTYAPAYTNTSHPSAS >Vigun03g067600.2.v1.2 pep primary_assembly:ASM411807v1:3:5586219:5588240:1 gene:Vigun03g067600.v1.2 transcript:Vigun03g067600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGREQGNEGEAGDYRTLSMPMMFSGLDREREMSAMVSVLTQVVSGDEHSHDHSILHQNIDNNGNGGGDGLTITSNIDSGSITASSASSSYGGNSVLKRRREVDTSDPPGGTSFPAISTYGVGESSSRVYDYEYRAERMGVGEEPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAARAYDEASLRFRGNKAKLNFPENVRLRHPSPQPPPPPPTLFSIPSTTQPIVHTQPQAQSSRDYGNFYEYCYSHVHEFPVSLYDQVAVSSPFSAAASHLQSSPSYSSSSTITSSQPPLPSTYAPAYTNTSHPSAS >Vigun03g067600.1.v1.2 pep primary_assembly:ASM411807v1:3:5586219:5588240:1 gene:Vigun03g067600.v1.2 transcript:Vigun03g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGREQGNEGEAGDYRTLSMPMMFSGLDREREMSAMVSVLTQVVSGDEHSHDHSILHQNIDNNGNGGGDGLTITSNIDSGSITASSASSSYGGNSVLKRRREVDTSDPPGGTSFPAISTCKHQNGVGESSSRVYDYEYRAERMGVGEEPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAARAYDEASLRFRGNKAKLNFPENVRLRHPSPQPPPPPPTLFSIPSTTQPIVHTQPQAQSSRDYGNFYEYCYSHVHEFPVSLYDQVAVSSPFSAAASHLQSSPSYSSSSTITSSQPPLPSTYAPAYTNTSHPSAS >Vigun03g067600.3.v1.2 pep primary_assembly:ASM411807v1:3:5586219:5588235:1 gene:Vigun03g067600.v1.2 transcript:Vigun03g067600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGREQGNEGEAGDYRTLSMPMMFSGLDREREMSAMVSVLTQVVSGDEHSHDHSILHQNIDNNGNGGGDGLTITSNIDSGSITASSASSSYGGNSVLKRRREVDTSDPPGGTSFPAISTCKHQNGVGESSSRVYDYEYRAERMGVGEEPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAARAYDEASLRFRGNKAKLNFPENVRLRHPSPQPPPPPPTLFSIPSTTQPIVHTQPQAQSSRDYGNFYEYCYSHVHEFPVSLYDQVAVSSPFSAAASHLQSSPSYSSSSTITSSQPPLPSTYAPAYTNTSHPSAS >Vigun05g096000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9326545:9329562:1 gene:Vigun05g096000.v1.2 transcript:Vigun05g096000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALPELLKEYDLPIGIFPRDATNYEFNEETGKLIVYIPQVCEVGYRDSSVLRFSTSVSGYLEKGKLAEIEGIKTKVLIWVKVTSISSEGPKLHFMAGMKKTRKREAYEVTRDGINVDKF >Vigun05g096000.1.v1.2 pep primary_assembly:ASM411807v1:5:9326575:9329562:1 gene:Vigun05g096000.v1.2 transcript:Vigun05g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEILNKVGSYWFSRKASKEFNSVGDDINSLSTSIEGGTKWLVNKLKGKMQKALPELLKEYDLPIGIFPRDATNYEFNEETGKLIVYIPQVCEVGYRDSSVLRFSTSVSGYLEKGKLAEIEGIKTKVLIWVKVTSISSEGPKLHFMAGMKKTRKREAYEVTRDGINVDKF >Vigun09g101500.1.v1.2 pep primary_assembly:ASM411807v1:9:17209255:17210561:-1 gene:Vigun09g101500.v1.2 transcript:Vigun09g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHFPSYYDATLMILEGDCHDSSKHKHRCVTGGIYNYTMQLKSADAGPSSELNYNLTEALLFLSHFVWDVHQPLYVGFLGDLGGNSITVRWYRRKINLHHFELIPTLGI >Vigun02g143500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29171382:29171507:-1 gene:Vigun02g143500.v1.2 transcript:Vigun02g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHSRKPSKNLLHSRFFLSGFYCWDWEFLTALLLFSSSS >Vigun10g052600.1.v1.2 pep primary_assembly:ASM411807v1:10:8458270:8469678:-1 gene:Vigun10g052600.v1.2 transcript:Vigun10g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuP5CS MELLQNGTKAKPSEIPLVNGTALTHLNSLFQTQFSGNIDPSRAFVSKVKRLIVKVGTAVVTRSDGRLALGRIGALCEQLKELSSQGFEVILVTSGAVGLGRQRLRYRKLANSSFSDLQKPQGELDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDAGFRKQLSDTVNALLDLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIHTYVKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAHAGIPVIITSGYATNNIIRVLQGERIGTVFHKDAHLWSNIKEESAREMAVSARESSRRLQILKSEERSKILLAIADALEKNASAISLENEADVADAVLAGYENSLISRLTLKHEKISKLAKSVRMLAAMEEPIGQILKRTEIADKLILEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAQRSNAILHKVITSVIPNTVGDKLIGLVNSRDEIPYLLKLDDVIDLVVPRGSNKLVSQIKESTKIPVLGHADGICHVYVDKSAKIDMAKQIIRDAKTDYPAACNAMETLLVHKDLSNNGGLNELVVELQREGVKLYGGPRASGILNIVETSAFHHEYSSLACTVEIVEDVFDAINHIHEHGSAHTECIVTEDREVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGSGHVVNGDQGINYTYKELPLEA >Vigun10g052600.2.v1.2 pep primary_assembly:ASM411807v1:10:8458437:8469503:-1 gene:Vigun10g052600.v1.2 transcript:Vigun10g052600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuP5CS MELLQNGTKAKPSEIPLVNGTALTHLNSLFQTQFSGNIDPSRAFVSKVKRLIVKVGTAVVTRSDGRLALGRIGALCEQLKELSSQGFEVILVTSGAVGLGRQRLRYRKLANSSFSDLQKPQGELDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDAGFRKQLSDTVNALLDLRVIPIFNENDAVSTRKAPYEHCVQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIHTYVKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAHAGIPVIITSGYATNNIIRVLQGERIGTVFHKDAHLWSNIKEESAREMAVSARESSRRLQILKSEERSKILLAIADALEKNASAISLENEADVADAVLAGYENSLISRLTLKHEKISKLAKSVRMLAAMEEPIGQILKRTEIADKLILEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAQRSNAILHKVITSVIPNTVGDKLIGLVNSRDEIPYLLKLDDVIDLVVPRGSNKLVSQIKESTKIPVLGHADGICHVYVDKSAKIDMAKQIIRDAKTDYPAACNAMETLLVHKDLSNNGGLNELVVELQREGVKLYGGPRASGILNIVETSAFHHEYSSLACTVEIVEDVFDAINHIHEHGSAHTECIVTEDREVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGSGHVVNGDQGINYTYKELPLEA >Vigun10g052600.3.v1.2 pep primary_assembly:ASM411807v1:10:8454240:8469518:-1 gene:Vigun10g052600.v1.2 transcript:Vigun10g052600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuP5CS MELLQNGTKAKPSEIPLVNGTALTHLNSLFQTQFSGNIDPSRAFVSKVKRLIVKVGTAVVTRSDGRLALGRIGALCEQLKELSSQGFEVILVTSGAVGLGRQRLRYRKLANSSFSDLQKPQGELDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDAGFRKQLSDTVNALLDLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIHTYVKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAHAGIPVIITSGYATNNIIRVLQGERIGTVFHKDAHLWSNIKEESAREMAVSARESSRRLQILKSEERSKILLAIADALEKNASAISLENEADVADAVLAGYENSLISRLTLKHEKISKLAKSVRMLAAMEEPIGQILKRTEIADKLILEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAQRSNAILHKVITSVIPNTVGDKLIGLVNSRDEIPYLLKLDDVIDLVVPRGSNKLVSQIKESTKIPVLGHADGICHVYVDKSAKIDMAKQIIRDAKTDYPAACNAMETLLVHKDLSNNGGLNELVVELQREGVKLYGGPRASGILNIVETSAFHHEYSSLACTVEIVEDVFDAINHIHEHGSAHTECIVTEDREVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGSGHVVNGDQGINYTYKELPLEA >Vigun01g209100.2.v1.2 pep primary_assembly:ASM411807v1:1:38433185:38444375:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQLSEPAGIVEGNKGRLFIADTNNSLIRYLDLNADETELRTLELKGVQPPKPKSKSFKRLRRRASADTVPIPIDAISSNEGNLSIKISLPSEYHFSKEARSKFSVDIEPEDAVNIEPLDGFLSPEGSATLHFKRSSNSASVGRINCKVYYCKEDEVCLYQSLLFEVPFPEGVSSPAKADVTLAHFVKPKTSTTNLLQTVAP >Vigun01g209100.6.v1.2 pep primary_assembly:ASM411807v1:1:38436597:38446683:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIAVRTSISDEVLESAEPSFIRDDIGSVSLEDILYGGTVGYNERMQGFETVNNFAESSSAVLDGGLQGSRRDILRFGSLGIAISCLIFTLNNWKAMQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQCIGLHDLKLMKHYK >Vigun01g209100.4.v1.2 pep primary_assembly:ASM411807v1:1:38433199:38449136:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIAVRTSISDEVLESAEPSFIRDDIGSVSLEDILYGGTVGYNERMQGFETVNNFAESSSAVLDGGLQGSRRDILRFGSLGIAISCLIFTLNNWKAMQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQLSEPAGIVEGNKGRLFIADTNNSLIRYLDLNADETELRTLELKGVQPPKPKSKSFKRLRRRASADTVPIPIDAISSNEGNLSIKISLPSEYHFSKEARSKFSVDIEPEDAVNIEPLDGFLSPEGSATLHFKRSSNSASVGRINCKVYYCKEDEVCLYQSLLFEVPFPEGVSSPAKADVTLAHFVKPKTSTTNLLQTVAP >Vigun01g209100.5.v1.2 pep primary_assembly:ASM411807v1:1:38433184:38444376:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFLMTLKLFIDVGSRRDILRFGSLGIAISCLIFTLNNWKAMQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQLSEPAGIVEGNKGRLFIADTNNSLIRYLDLNADETELRTLELKGVQPPKPKSKSFKRLRRRASADTVPIPIDAISSNEGNLSIKISLPSEYHFSKEARSKFSVDIEPEDAVNIEPLDGFLSPEGSATLHFKRSSNSASVGRINCKVYYCKEDEVCLYQSLLFEVPFPEGVSSPAKADVTLAHFVKPKTSTTNLLQTVAP >Vigun01g209100.3.v1.2 pep primary_assembly:ASM411807v1:1:38433199:38444264:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQGSRRDILRFGSLGIAISCLIFTLNNWKAMQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQLSEPAGIVEGNKGRLFIADTNNSLIRYLDLNADETELRTLELKGVQPPKPKSKSFKRLRRRASADTVPIPIDAISSNEGNLSIKISLPSEYHFSKEARSKFSVDIEPEDAVNIEPLDGFLSPEGSATLHFKRSSNSASVGRINCKVYYCKEDEVCLYQSLLFEVPFPEGVSSPAKADVTLAHFVKPKTSTTNLLQTVAP >Vigun01g209100.1.v1.2 pep primary_assembly:ASM411807v1:1:38433184:38446683:-1 gene:Vigun01g209100.v1.2 transcript:Vigun01g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIAVRTSISDEVLESAEPSFIRDDIGSVSLEDILYGGTVGYNERMQGFETVNNFAESSSAVLDGGLQGSRRDILRFGSLGIAISCLIFTLNNWKAMQYASPKAVWNQLFGAALLPLEQKDNSRYDRIQQFVNYISDLESRGNAQIVPEFPSKLDWLNTAPLQFRRDLKGKIVLLDFWTYCCINCMHVLPDLDALEKKYKDMPFVVVGVHSAKFDNEKDSEAIRNAVLRYGISHPVVNDGDMFLWRNLGINSWPTFAIVGPDGKLLAQLAGEGHKKDLDDFLEAALLYYGKQNKLDNTPISLSLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLNDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVNEKVRTLSGNGTKGSDYVGGGKGGTQLLNSPWDVCFHPFEEKIYIAMAGQHQIWEHNLLEETSRAFSGDGYERNLNGSSSTNTSFAQPSGLSLSQDLSKIYIADSESSSIRAVDLKTGGSQLLAGGDPMFADNLFKFGDQDGIGSDVLLQHPLGVMCANDGEIYIADSYNHKIKKLDPTSKRVSTIAGTGKAGFKDGTAVKAQLSEPAGIVEGNKGRLFIADTNNSLIRYLDLNADETELRTLELKGVQPPKPKSKSFKRLRRRASADTVPIPIDAISSNEGNLSIKISLPSEYHFSKEARSKFSVDIEPEDAVNIEPLDGFLSPEGSATLHFKRSSNSASVGRINCKVYYCKEDEVCLYQSLLFEVPFPEGVSSPAKADVTLAHFVKPKTSTTNLLQTVAP >Vigun09g261500.1.v1.2 pep primary_assembly:ASM411807v1:9:42666850:42670759:1 gene:Vigun09g261500.v1.2 transcript:Vigun09g261500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMSMSMLHLCFFILLGILTRTSDAVHDKCAACKAVAEELEIGLSSERPRNHLDMRYRLDSKGQREGKLIDYTVSELRVVELLDGLCEKMEDYTLKKGTSTNEWIKVNSWDNLTNKQEARAYSKDISSYCGRLLEDTEDELAELIKKGSVKVGGVSKVLCQDLSKHCSPTSVSHAAEVDDDENEEL >Vigun05g036100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2948376:2951629:1 gene:Vigun05g036100.v1.2 transcript:Vigun05g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISKSRIAAWNSDQLPIYEPGLDGVVKQCRGKNLFFSTDVEKHVFEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAIQALKDVYSQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVQQVSYSVGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCQGLLGDKANLSIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPTSPTTVKKVSVVWDAYEATKDAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDGDKLREIGFIVYSIGKPLDPWLKDMPAVA >Vigun03g033300.1.v1.2 pep primary_assembly:ASM411807v1:3:2563270:2567645:-1 gene:Vigun03g033300.v1.2 transcript:Vigun03g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLASRSLIVLAIISLGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLIKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKIEAAVKEALEWLDDNQSVEKEEYEEKLKEVEAVCNPIISAVYQRSGGGPGGASGEGEDEDDSHDEL >Vigun10g159300.1.v1.2 pep primary_assembly:ASM411807v1:10:37845187:37850204:1 gene:Vigun10g159300.v1.2 transcript:Vigun10g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMDKERFEVIYTYRYTCQPFEIAADSVKMKFTVFFLLIFFFASVSHTIHGSKNRVPLFIFGDSFLDAGNNNYINTTALDQANFWPYGETYFKFPTGRFSDGRLISDFIAEYANLALVPPFLQPGNNQYSGGVNFASGGAGALVETFEGSVIPLKTQARNYKKVAGILRQKLGNSEAKLLLSNGVYMFSIGSNDYLSPFVTDSDVLKSYSHSEYVAMVLRNMTSIIKGIYKRGARKFVFMNLPPLGCVPGTRIIDVEQNGECLQQLSDLSSLHNRVLHRVLLHLAKKLKGFKFALYDFNTDLTQMMNHPFKYGLKEGKSACCGSGRLRGEFSCGGKRGQKEFQLCKKPNEFLFWDSYHLTESAYKNIADRMWGFTNSSYNIGPYTVTDFFQLP >Vigun10g159300.2.v1.2 pep primary_assembly:ASM411807v1:10:37845187:37850204:1 gene:Vigun10g159300.v1.2 transcript:Vigun10g159300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMDKERFEVIYTYRYTCQPFEIAADSVKMKFTVFFLLIFFFASVSHTIHGSKNRVPLFIFGDSFLDAGNNNYINTTALDQANFWPYGETYFKFPTGRFSDGRLISDFIAEYANLALVPPFLQPGNNQYSGGVNFASGGAGALVETFEGSVIPLKTQARNYKKVAGILRQKLGNSEAKLLLSNEYVAMVLRNMTSIIKGIYKRGARKFVFMNLPPLGCVPGTRIIDVEQNGECLQQLSDLSSLHNRVLHRVLLHLAKKLKGFKFALYDFNTDLTQMMNHPFKYGLKEGKSACCGSGRLRGEFSCGGKRGQKEFQLCKKPNEFLFWDSYHLTESAYKNIADRMWGFTNSSYNIGPYTVTDFFQLP >Vigun05g088000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8363042:8364160:-1 gene:Vigun05g088000.v1.2 transcript:Vigun05g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKLSDMIPNAWFYKLKDMGKPKKQTPSQSKKKKQPSPASTTPPSKPKQSHQFNPRKSYYFTRELNPNDRIYTSPANNQNAKFSNSPEAPRKSSKQRLKRRTARTSSPKLAVAANNDNSSSPHDSSAESEHPDPEFRTDRVLATEALDQTVSWSNSFACKVHSNAKDIIIDVDNSSTERKDDKLEGYEYDSFSDLVLPPIVTKPAKFDDLLSDAKKKETKMDDAPEEPNLKGPLRVKIVKEGTAPMKEHKSTPVRRFSVSSSPGVKLRMNSPRIGSRKTQTHGRRSVSSAAGSGSRRSLSDSFAIVKSSLNPQRDFRESMVEMIVQNNIRTSKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLTDN >Vigun02g160100.2.v1.2 pep primary_assembly:ASM411807v1:2:30604456:30607710:-1 gene:Vigun02g160100.v1.2 transcript:Vigun02g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGVGSKSEVTSADRKRINDVLDKQLERSSPSTSRAAGINGKDRSSSSSLLAAAKDSRSASVTAPISKNSNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCIPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQSYVPRVFGFKLHKP >Vigun02g160100.1.v1.2 pep primary_assembly:ASM411807v1:2:30602981:30607709:-1 gene:Vigun02g160100.v1.2 transcript:Vigun02g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGVGSKSEVTSADRKRINDVLDKQLERSSPSTSRAAGINGKDRSSSSSLLAAAKDSRSASVTAPISKNSNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCIPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQSYVPRVFGFKLHKP >Vigun03g249800.3.v1.2 pep primary_assembly:ASM411807v1:3:41571688:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGGNSSDGLKASDVDPRLVFHHGVPSGGANFAYDTIQRILAISTKDGQIKLYGKDNAQAMLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.1.v1.2 pep primary_assembly:ASM411807v1:3:41569650:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKTGGNSSDGLKASDVDPRLVFHHGVPSGGANFAYDTIQRILAISTKDGQIKLYGKDNAQAMLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.6.v1.2 pep primary_assembly:ASM411807v1:3:41571263:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.5.v1.2 pep primary_assembly:ASM411807v1:3:41571067:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.4.v1.2 pep primary_assembly:ASM411807v1:3:41569650:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKTGGNSSDGLKASDVDPRLVFHHGVPSGGANFAYDTIQRILAISTKDGQIKLYGKDNAQAMLESSEAQPSKFLQVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.8.v1.2 pep primary_assembly:ASM411807v1:3:41569650:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKTGGNSSDGLKASDVDPRLVFHHGVPSGGANFAYDTIQRILAISTKDGQIKLYGKDNAQAMLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKG >Vigun03g249800.7.v1.2 pep primary_assembly:ASM411807v1:3:41569650:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASIKKTGGNSSDGLKASDVDPRLVFHHGVPSGGANFAYDTIQRILAISTKDGQIKLYGKDNAQAMLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.9.v1.2 pep primary_assembly:ASM411807v1:3:41573776:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun03g249800.2.v1.2 pep primary_assembly:ASM411807v1:3:41571240:41580360:1 gene:Vigun03g249800.v1.2 transcript:Vigun03g249800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSEAQPSKFLQFIQNQGVLINVTSNNHIEVWDIDKKSLSDVYIAKEEITCFAVIQHSLYMYIGHSNGDISVLMLDQEPSCHLVKMKYTIPLSASYGNSEVSDDTVVTHILPQPAAESKRVLIIFRNGQMILWDVQESRVILRSGGNMLQLHNETKKVSSACWVCPYGSKVAVGYNNGELFIWSIPSVNIGNASSSECSSQNTPFLKLNLGYKSDKISIGSIKWVYAGGKASRLYVMGASDYAIANLVQVVLLNENTESRTIKLGLHLSECCTDMEIISLTSPEQSKHKQDSFILLGKSGHLYLYDDSLIEKYLLQCQSKSTPSLPREVTVKLPLIESSITTAKFISNNSNVFNFEDEYYTQLFKSYPLFVPVETNQKDGISLSSAKFTGFSKVQNLYITGHSNGAITFWDASCPIFVPILQLKQQSENDCSLSGIPLTALYFDSNSPVLASGDQSGMICIFRFKPEPYATNSFMSLTGGAKKGTDHIIQSVKHVKSTGAIISMNMDPSSMHLAVGSDQGHVSVFNIDGPTLLYQKHIASEISAGIISLQFLTCSLHGFEKNILAVGTKDSSVMALDKENGNTLGGNICPKKPSKALFMNVLDGQGEQVKGSITKDGLDLSERNHIDDATTKQQYILLCSEKALYVYSLVHAIQGVKKVLYKKKFNSSSCCWASTFYSHSDVGVVLIFTTGKVELRSLPELSLVMETSIRGFSYSPPKSKSFSESQICSSSKGDLVLVNGDQEIFVVSLLVQRNIFRPLDSISCIYRKGMMLSQEELVPSPVINKEKKRGIFSSVIKDFTGSKEKHAPILETEDSKESIQKLSAIFSTENFPCDADNNDNLTVDEDELELNIDDIDLDDHEEKRKDQGILGGFNKKKLPAKFLALKGRLKEMKGKIQKTSGKEEPQDEQAGAVDQIKKKYGFSYSNETSAAKLAQSKLQENMKKLQGINLRTTEMQDEAKSFSLLANHVLQTAEREKKN >Vigun02g179000.3.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPVNFVVPHVANMKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.4.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNSIYSTDGIRRVSHSFTRTCQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.1.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCITQHSHNHLLCKFRKCFAIPFTQLMGSEGFLTVSREHETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.8.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCITQHSHNHLLCKFRKCFAIPFTQLMGSEGFLTVSREHETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTGVSIFSPLNILVSGRWALSKIIRSCRNSV >Vigun02g179000.13.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNSIYSTDGIRRVSHSFTRTCQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQEPCSFHQ >Vigun02g179000.6.v1.2 pep primary_assembly:ASM411807v1:2:32018087:32022525:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.10.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPVNFVVPHVANMKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQEPCSFHQ >Vigun02g179000.11.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCITQHSHNHLLCKFRKCFAIPFTQLMGSEGFLTVSREHETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKYLTSRIFVLKTKIPLVYLTFHYINRRMSWNGLQSLDPRTWILCSLMSPSLLFQKRIVIIYVLASRYLINQACQLSHGHLLLEAILELIQT >Vigun02g179000.5.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPVNFVVPHVANMKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.7.v1.2 pep primary_assembly:ASM411807v1:2:32018087:32022525:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.2.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCITQHSHNHLLCKFRKCFAIPFTQLMGSEGFLTVSREHETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun02g179000.14.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNSIYSTDGIRRVSHSFTRTCQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKYLTSRIFVLKTKIPLVYLTFHYINRRMSWNGLQSLDPRTWILCSLMSPSLLFQKRIVIIYVLASRYLINQACQLSHGHLLLEAILELIQT >Vigun02g179000.9.v1.2 pep primary_assembly:ASM411807v1:2:32017564:32022524:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPVNFVVPHVANMKYDVQFSPGNEFLETQLCKNSSQLRSLEGKELSENVPSGKEKSCPEVEEGMSLQQITTKVSRSGGSCSKRPRMSESEKSSSLNKLEESRDASERLGSDRIKKKSQISKQKSHSTKCGEKRNIKVASSTAKCGSSLKLAATIFGSAYGGNNFFGLYGLKHDIHDVTKLLDVPSLDGLLRGTFDCLSLSEDVRKKTSNTSGSIFDSVRKACSLLQLPKSVQSQNMDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTGVSIFSPLNILVSGRWALSKIIRSCRNSV >Vigun02g179000.12.v1.2 pep primary_assembly:ASM411807v1:2:32019798:32022525:1 gene:Vigun02g179000.v1.2 transcript:Vigun02g179000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDSSFYKMSHSQMSLFSAVESNGIEDKEQSCTSDMSECHKDLCTENKNSSSLLDFPLYQPKDVLERIAVPRSQDLDSLLLDESKPVVPKKNSNNLRSGKQVSHQPSLPTFPWSLAFGSHSRTNSDIVKLSTSRSTCQGKWARIGLIASSTDISRGCFTNLDSFSYDQSLVPSTSSSSHKDCPSLFAILPFCHWNSSSSVTRPTDFEATTDGHCPRLLEAAETLCEMTTNSPGQNPYGIMRSQKKTSHKNMKGKNLKPTANHEEMSSAPTSVVRSNLMARTVDQIIPAKKPRVSTVENRNGVHSHYDKKGPYSSKSSMPLPSKPVRDLIKENKHSTATILKQLTMMHPTNRILDKGKAYVSQFKVQKLGLVDWKRGKGK >Vigun07g241000.2.v1.2 pep primary_assembly:ASM411807v1:7:36245370:36247378:1 gene:Vigun07g241000.v1.2 transcript:Vigun07g241000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQPTCSTSSPLYKFPSLPCVPSSLPSLHFPYRFTSLSSSSSPTMGITSIASPFDAIIFDLDDTLYPSTTGIDRCVKRNIELFLIEKCGFSESKALTLRVELFKTYGSTLAGLRALGYDITADEYHSFVHGRLPYDSIKPDAQLRNLLCTIKQRKIVFTNSDRIHAMRALDRLGIKDCFEQIICFETINPNLPNATRPDEFPVLLKPSLDAFKIALHAANVDPRRTLFLDDSVRNIAAGKEMGLHTVLVGKTVKSKEADYAVEFVNNVAQAIPEIWANEMDGEDETMTRTKSELESALAIAVVGA >Vigun07g241000.3.v1.2 pep primary_assembly:ASM411807v1:7:36245370:36247422:1 gene:Vigun07g241000.v1.2 transcript:Vigun07g241000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQPTCSTSSPLYKFPSLPCVPSSLPSLHFPYRFTSLSSSSSPTMGITSIASPFDAIIFDLDDTLYPSTTGIDRCVKRNIELFLIEKCGFSESKALTLRVELFKTYGSTLAGLRALGYDITADEYHSFVHGRLPYDSIKPDAQLRNLLCTIKQRKIVFTNSDRIHAMRALDRLGIKDCFEQIICFETINPNLPNATRPDEFPVLLKPSLDAFKIALHAANVDPRRTVRAPGTAFTVKSR >Vigun05g160801.1.v1.2 pep primary_assembly:ASM411807v1:5:25639863:25646914:-1 gene:Vigun05g160801.v1.2 transcript:Vigun05g160801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLMGGASSSILVHGFSWLYGSSGAEIELQEIVNGLINTQMYNSTGIFIALLFITVGIGFKLSTAPSPQWTSDVYEGSPTLVVAFLFVTSKVAASTSATQIFDILFHFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIRFVIIGIIVGDSNGGYASMVTYMLFYISMNLGTFACIVSLGLRTGTDNIRYYAGLYTKDPYLALSLALCLLSLGDLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRDQEITPHVQNYKRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun07g211500.1.v1.2 pep primary_assembly:ASM411807v1:7:33282959:33288585:1 gene:Vigun07g211500.v1.2 transcript:Vigun07g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLAYDVNVMKSFDTLDNWHEEFLKQANPPDPRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDFNVDAAFLCIAKAALANEHEQDIYFQGIPEAAVPENEPRSGCAC >Vigun03g039300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2993025:2994105:1 gene:Vigun03g039300.v1.2 transcript:Vigun03g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRFVFSLFFLVMMAVGSSGSPTHWRRKRVREPCKKLVFYFHDIIYNGHNSKNATSAIVGSPAWGNRTILAGQNHFGDLVVFDDPITLDNNLHSAAVGRAQGFYIYDKKEIFTAWLGFSFVFNSTEHKGSINFAGADPLMNKTRDISVIGGTGDFFMTRGVATLSTDAFEGEVYFRLRADINLFECW >Vigun07g145900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25697038:25698516:1 gene:Vigun07g145900.v1.2 transcript:Vigun07g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEQDKALPIANVGRIMKQNLPPSAKISKEGKQLMQECVTEFISFVTAEASDKCHKENRKTVNGDDICWALSSLGFDNYAEAIAMYLHKYRQAEREKIIHNNKKYEGSAAKSLNQTQLILAPPPPPPPSLSRVTHHKVQNPPTTDQS >Vigun04g186000.2.v1.2 pep primary_assembly:ASM411807v1:4:41091281:41093146:1 gene:Vigun04g186000.v1.2 transcript:Vigun04g186000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMISSIPDDILLYILSFLPTKQVVATTVLSKRWNLLFRSVPSFDFSYRMDYDKNHREDCYHFFHSVFSFLLSRDSNRSLHKFRLRCGSTFLMNTVGIKTSISTWIEEWIEYAVTGRNRVQHLDLNLDQKIVMPSMVFRCKTLVVLKLGYVTVKDISCVDLPLLKILHLNTVLFSEDVGLSLLLSGSPNVEDLEVKNINFDPSKVKFNRLPKLVRVRTLGHLFPLEIVQNVEDLIIYPLCPLKLGFDLENLVQLEINFVYRKEWLEVLEVLRHCPKLQNLVLVLFKSYRFEHFQKNDDGAVLPGLQPIPQCISMYLKTCDFGIYEGSIDEIQFVRYILQNAKYLRTMKIQICPETNLTDDKILYMIKELSSCMKSSNTCTLSIK >Vigun11g075700.1.v1.2 pep primary_assembly:ASM411807v1:11:22049313:22050003:1 gene:Vigun11g075700.v1.2 transcript:Vigun11g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISFTLIFTLTLIVTAISSTSGAMPENQCIIALDPVPCNLSLCRMNCKEQYHGVGICLQLNHFYKCICQYDCPR >Vigun10g077228.1.v1.2 pep primary_assembly:ASM411807v1:10:20923961:20924634:1 gene:Vigun10g077228.v1.2 transcript:Vigun10g077228.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTSIGAKIHSSINDGSGPPQFILSGQNYHHIGSLLPEKGSNPKFAQLYIYDIENETTNKVSHFGSDSHQSIFDKSLIEELKKMIDKHNVLAQPFIRVRDFIHDDEQSDFGLRLFRHRFKDPRVYNTPVADEVATLIGGDLSTLDVGRDIIVKKVSGQLTRLHETHTCFIPLPYPLIFPYGEDGYQEDIPIRDCKKYG >Vigun03g050750.1.v1.2 pep primary_assembly:ASM411807v1:3:4094873:4095269:-1 gene:Vigun03g050750.v1.2 transcript:Vigun03g050750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPSYLQIRVLKCCVGNVICFLGVTMLRESITFRYYSPVSSIENICFTYVQRLVTGCIQACNGVNSVCAGYICFSCTMVLYILIKMLIS >Vigun07g088800.10.v1.2 pep primary_assembly:ASM411807v1:7:13808473:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYGKFYSFAISFPHSLWQGHDIRMYDLHINFHVSYVYSS >Vigun07g088800.1.v1.2 pep primary_assembly:ASM411807v1:7:13808475:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDAGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.7.v1.2 pep primary_assembly:ASM411807v1:7:13808475:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDAGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.9.v1.2 pep primary_assembly:ASM411807v1:7:13808473:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDAGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYGKFYSFAISFPHSLWQGHDIRMYDLHINFHVSYVYSS >Vigun07g088800.8.v1.2 pep primary_assembly:ASM411807v1:7:13808475:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.3.v1.2 pep primary_assembly:ASM411807v1:7:13808475:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPSHSAFNIIHFSTSSMRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.6.v1.2 pep primary_assembly:ASM411807v1:7:13808475:13814469:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.4.v1.2 pep primary_assembly:ASM411807v1:7:13808458:13814534:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun07g088800.5.v1.2 pep primary_assembly:ASM411807v1:7:13808458:13814534:-1 gene:Vigun07g088800.v1.2 transcript:Vigun07g088800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLCAVIPNASSTFTFSVQHHSLLNFFNRRRRPRCRVTMSSDPQNAVVVGCGGVSIDFLASVAAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNPRLISKVADDSQGRGILDELRADGVDTSFIVVSKEGTSPFTYIIVDNQTKTRTCIHTPGYPPMIPDNLPKSSLLSALDGAKIAYFDGRLPDTALLVAQEAVKKNIPILIDAERPREGLDDLLKLADYVVCSAKFPKAWTEASTVPQALVSMLLMLPNIKFVIVTLGKDGCIMLERRVDAGPSAEEVDADTLLESLELKRNKSLSTPTCISSSVAKLKAERIGTVSAKLYVGTAESIPQSELVDTTGAGDAFIGAVIYAICAKFTPETMLSFAANVAGAKCRDLGARSGLPYRADPRIESFILEKLITSS >Vigun09g263300.2.v1.2 pep primary_assembly:ASM411807v1:9:42761946:42765835:-1 gene:Vigun09g263300.v1.2 transcript:Vigun09g263300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGSDMVTRDQFSVGMHNPQSQPQQQQQPQLHQNMRMDYAAADGAAVFAAPTININGGESSPVSVPPGLGLGQAHPQVMVGNSTETLKRKRGRPRKYGPDGGMALSLNTTPPPGGAAVPVGHSGGAFPAAPLSDSASAGTGKRRGRPRGSVNKNKKSSKFSGPGGFFTPHVITVKAGEDLSARIMSISQSGTRNVCILSANGSISNVTLRQPASSGGTVTYEGRFEILSLGGSLFLTENGSHGERTGGLSVSLSGPDGRVLGGGVAGLLVAASPVQIVLASFVSDGKQSKSAKRMRNVSAPEKVSTGGGGGGGGGQSSSPSRGTLSESSGGVGSGSPLNQSTGDCMNNMNSSTPTAGFSGMPWK >Vigun09g263300.1.v1.2 pep primary_assembly:ASM411807v1:9:42761946:42766271:-1 gene:Vigun09g263300.v1.2 transcript:Vigun09g263300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGSDMVTRDQFSVGMHNPQSQPQQQQQPQLHQNMRMDYAAADGAAVFAAPTININGGESSPVSVPPGLGLGQAHPQVMVGNSTETLKRKRGRPRKYGPDGGMALSLNTTPPPGGAAVPVGHSGGAFPAAPLSDSASAGTGKRRGRPRGSVNKNKKSSKFSGPGGFFTPHVITVKAGEDLSARIMSISQSGTRNVCILSANGSISNVTLRQPASSGGTVTYEGRFEILSLGGSLFLTENGSHGERTGGLSVSLSGPDGRVLGGGVAGLLVAASPVQIVLASFVSDGKQSKSAKRMRNVSAPEKVSTGGGGGGGGGQSSSPSRGTLSESSGGVGSGSPLNQSTGDCMNNMNSSTPTAGFSGMPWK >Vigun06g193300.1.v1.2 pep primary_assembly:ASM411807v1:6:31010107:31014556:-1 gene:Vigun06g193300.v1.2 transcript:Vigun06g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICEQRWITIAVVTATFVLATSYSESPPLLAVSPCPFTSIFSFGDSLADTGNLYLASHHPSEDCFLPPYGETFFHHVSGRCSDGRLIIDFIAESLGLPLVKAYMGNKNGDGGANFAVSGATALEPSFFEERGFSVPTNYSLTLQLNWFKELLPSLCNSSTDCLEVFRNSLFLMGEIGGNDFNYLLFQQKSISEVNTYVPYVVNAIASVIHELIGIGARTLIVPGNLPIGCSVIYLTIYETLDEKQYDQSGCLKWLNEFAEYYNYELQSELDKLRTLHPHTNIIYADYYNAALPLYRDPTKFGFISLKACCGKGGSYNFNTSVMCGYSGTNVCGDPSKHIGWDGIHLTEAAYKLIAQAFIEGPHSQPQFSSLCLSNVNFGHFNS >Vigun10g020501.1.v1.2 pep primary_assembly:ASM411807v1:10:2464906:2467037:1 gene:Vigun10g020501.v1.2 transcript:Vigun10g020501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILQFFQNAFVLCRLIKKPEKKTEGGTAALICDEGESSKSVVSDYENQAIAEGVPSGGTLTGMETICQVTYQAEKCISPIEPSLIEIEQDDDAYFRNENNNGRNPSEIMQISYETMHTPCETMQTLYEILQIPCETMQSSCESTQTPCETMQTSFESMQTPCETMQTPCETMQTSFESMQTPCEPLQTPCETMQISCESMQNPCEPVQISCETMQTSCETMQISHETNQIPFEILQFLFETPFQTTQIPCETMQIPCESMQTSCESIHTSSETMQISCETLQIPCETMQTSCETMQISHETNQIPFEILQFPLETMQTPSQTTQIPFETTEVPFQTTQTPLETTQILPKLPNSLLVDEYLVNQSKSLKRAYCESFYRDAEVVPELDASFEDISTLYTEYLNSEEYHALKRFKTSYDVGHGDTHLLFSSQDASEEKQESIFQDDLWGWETSSCDSTTNKLVEINCSEISSFPCT >Vigun06g149800.1.v1.2 pep primary_assembly:ASM411807v1:6:27439908:27457355:-1 gene:Vigun06g149800.v1.2 transcript:Vigun06g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPHLLLEELGSKLESLPSSHDALIELLQQAASCLTDLDQSPSASALESMKPFFNAIVKPELLKHEDSDVKLLVAICVCEITRITAPEAPYSDDVLKDIFQLIVGTFSGLSDTSGPSFDRRVAILETLAKYRSCVVMLDLECDDLVNEMFSTFFAVARDDHPENVLSSMQTIMAVLLEESEDVHPDILSILLSTLGRDKRDVSGAARKLSMNIIQQCMEKLEPSIQQFLLSFISEDSQEMNSQVQYHEVIYDLYCWAPQILYEVFPYVTRELMTDKLETRLKAVNLVGDIIALPGSSIAEALQTTFSEFLKRLTDRDFVVRMSVLEHVKSCLLSNPLRAEAPQIISALSDRLLDSDDEFRKQVVGVICDVACHTLNAVPHETVKLVAERLCDKSLLVKKYTMERLTEIYRVFCENSSNTANPSEYDWIPGKIIRCFYDKDFRWDIIESILCESLFPSDFSINDIVKHWVGIFSRFDKVEAKALEKILEQKQRLQEEMRKYLALRQTNQGKDIPEVQKKIVFCFRVMSRSFADPTKAEESFQILDQLQDPNLWKILTDLVDPNTSFHQTREYRDHFLKILGENHELYEFLNTLYIKCSYLLFNKEHVKAVLSEIITNKSSENDQHVQYCMNILVIISRFCPYLFSGTEVELVNLLKDNSDMIKEGVLNVLAKAGGTIREQLAVTSSSVDLMLERLCLEGSQIQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRESEIEAFIINKILKSDSKEDHSRISWDDRSDLCVLKIYGIKTLVKSYLPAKDAHVRPGIDGLLGILRNILSYGEISKELQSSSVDKAHLRLASAKAVLRLSRLWDHKIPVDIFHLTLRVTEIGFPQAKKVFLSKVHQYIKDNLLDTKYACAFIFNIFGSKDSKPEEFAEDKQNLNDIIHMHHQTRAWQLSGQSDANSLTTYPEYILAYLVHALANISCPNIDDCKTVEAYDNLYRQLHLILSMLVQRDDDVKSEVAINNEKEIISTITSIFWSIKQSEDVVDASKSKNSHAICDLGLAITNRLVAKDVDLQALSHSVSLPPMLYKACEKESGPMVSKEKSWLADESVLAHFESLELEMVSSQLAEDDTSKEGEKDGKEMSLGKIIKDIKSQGTKGKRVKKKKAVPAEKKKAVPAEKKKAVPAETEKAENDIDILNVVRQINIDNLGLSTNFESSNGHENSLSKKLQKDPERATIKKRKGEDLTPVPVPKRKRSSFTHGKSRSSSTPSKAPPRGSGEDSSRVKLLSGAEFNPDTDSKAIKRKKVKGNEPSIQAKAKAPKSYHDDKSKEHDLKSPDNSKPTDKSKGDKSKSSTGSAKKLKRKSIGGLAKCTTKEGESDAEDLIGCRIKVWWPLDKKFYEGTVKSYDSSKRKHVILYEDGDVEVLSLEKERWELVDKGGKPNKKPKLSKTISSREVSTGKKQRSSSTSESKKTKQIVNGKSPSKQVKHGQKGASKIDFHREDAKESSELTNPEDTVISKAEINSGGSEAEQAEGSGVIITKRKKISKKPKSVLRGKKPKKEKSSSNKKESDQEKQEYVGRLSKESVPQGDPNNGAESSSKEIDANESRGALRENDIGEESGSEGNQNDSNGESSPREVEKSPIESASPDSAKIAEVSDDEPLSKWRRPSGKKSSGQKR >Vigun04g198500.1.v1.2 pep primary_assembly:ASM411807v1:4:42320054:42322691:1 gene:Vigun04g198500.v1.2 transcript:Vigun04g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYYNSKKSDDICGDVCSQQGTRAALGMSRLRCILRGLDFKTCMFLFVVVPMGIFCVYFHGLKISYFLRPLWESPPKPFHEIPHYYHENVSMETLCRLHGWGIRESPRRVFDAVLFSNEVDILTIRWKEMYPYVTHFVILESNSTFTGIPKPLIFENNRDKFRFVDSRLTYGVVGGRFMKGENPFVEEAYQRVALDRLLRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCNDIPSILHLQMRNYLYSFEFFLDNQSWRASIHRYRTGKTCYAHYRQSDVLLSDAGWHCSFCFRQISEFVFKMKAYSHNDRVRFAHYLNRDRIQDVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNAEKYKFLLPGNCRRESG >Vigun04g198500.2.v1.2 pep primary_assembly:ASM411807v1:4:42320054:42322716:1 gene:Vigun04g198500.v1.2 transcript:Vigun04g198500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYYNSKKSDDICGDVCSQGTRAALGMSRLRCILRGLDFKTCMFLFVVVPMGIFCVYFHGLKISYFLRPLWESPPKPFHEIPHYYHENVSMETLCRLHGWGIRESPRRVFDAVLFSNEVDILTIRWKEMYPYVTHFVILESNSTFTGIPKPLIFENNRDKFRFVDSRLTYGVVGGRFMKGENPFVEEAYQRVALDRLLRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCNDIPSILHLQMRNYLYSFEFFLDNQSWRASIHRYRTGKTCYAHYRQSDVLLSDAGWHCSFCFRQISEFVFKMKAYSHNDRVRFAHYLNRDRIQDVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNAEKYKFLLPGNCRRESG >Vigun04g109800.7.v1.2 pep primary_assembly:ASM411807v1:4:27349878:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSSSNWSDIAPPQQDLLDLPPRLCYDRWVFISSKIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.5.v1.2 pep primary_assembly:ASM411807v1:4:27349878:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSGRQPPPESLKDKIYNLRGAFLMVAVPLLLVALVLYAMPSASSNESIEDYALTHRKAAPDRKTAFAVIFDAGSSGSRVHVFRFDQNLDLVPIGNDLELFVQIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.11.v1.2 pep primary_assembly:ASM411807v1:4:27351047:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSSFPIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.3.v1.2 pep primary_assembly:ASM411807v1:4:27349877:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFSFSLVRQNISHLPPLFTSIVISNNHWIWSISLSNSQPCRSKRLNLLNAPPHAYSLFTASRCVTCTCCHPLTGVTSRLLNKTCWTSLLVFVMIVGSSFPIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.4.v1.2 pep primary_assembly:ASM411807v1:4:27349877:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFSFSLVRQNISHLPPLFTSIVISNNHWIWSISLSNSQPCRSKRLNLLNAPPHAYSLFTASRCVTCTCCHPLTGVTSRLLNKTCWTSLLVFVMIVGSSFPIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.6.v1.2 pep primary_assembly:ASM411807v1:4:27349878:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSGRQPPPESLKDKIYNLRGAFLMVAVPLLLVALVLYAMPSASSNESIEDYALTHRKAAPDRKTAFAVIFDAGSSGSRVHVFRFDQNLDLVPIGNDLELFVQIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.9.v1.2 pep primary_assembly:ASM411807v1:4:27351049:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSSSNWSDIAPPQQDLLDLPPRLCYDRWVFISSKIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.8.v1.2 pep primary_assembly:ASM411807v1:4:27349877:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSSSNWSDIAPPQQDLLDLPPRLCYDRWVFISSKIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.2.v1.2 pep primary_assembly:ASM411807v1:4:27349878:27357441:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFSFSLVRQNISHLPPLFTSIVISNNHWIWSISLSNSQPCRSKRLNLLNAPPHAYSLFTASRCVTCTCCHPLTGVTSRLLNKTCWTSLLVFVMIVGSSFPVRLKIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.10.v1.2 pep primary_assembly:ASM411807v1:4:27350922:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSSFPIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun04g109800.1.v1.2 pep primary_assembly:ASM411807v1:4:27349878:27357440:1 gene:Vigun04g109800.v1.2 transcript:Vigun04g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFSFSLVRQNISHLPPLFTSIVISNNHWIWSISLSNSQPCRSKRLNLLNAPPHAYSLFTASRCVTCTCCHPLTGVTSRLLNKTCWTSLLVFVMIVGSSFPVRLKIKPGLSAYAQNPQQAAESLITLLDKAESVVPREFRPKTPVRVGATAGLRALEGDAPDRILQAVRDLLKQRSTLKSESDSVRVLDGTQEGAFQWVTINYLLGNLGRDYSETVGVVDLGGGSVQMAYAISETDAAGAPTVSVGEDQYVKEMFLRGRKYYLYVHSYLGYGLLAARAKILKVSDDFGNPCLLDGFNGSYNYGGKSFNASSYPSGASLNECKSIALKALKVNESKCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPNSPVVKVRPVDFEAASKQACRTKIEDVKSFYPLVEEGNRPYLCMDLLYQYTLLVEGFGLDPWQQMTLVKKVKYHDALVEAAWPLGSAIEAVSST >Vigun06g043100.1.v1.2 pep primary_assembly:ASM411807v1:6:16678484:16681677:-1 gene:Vigun06g043100.v1.2 transcript:Vigun06g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSDQICAPKIPTLVDLCVQTVIDNVRYLGDVGHVDQHLLERILPHCTVDQLMHVEKSTKGRDLSPITDKLWKRFFEKQFGINSTNEVMKRMKEKKVSFKWSQLYEAKGKEMAQVENEAFDRIKQLYKKVDARKQSRQVRPCTIVPPSSKRRFWGDNGPGYNVSNVKSNIMKKAKIEFMKSQEVKNIAAMKKNCIQRRQSSPSVTKNRNISGFGSSSKDTKSTKGYFKDSPKDRNVLH >Vigun10g004400.2.v1.2 pep primary_assembly:ASM411807v1:10:407812:409867:1 gene:Vigun10g004400.v1.2 transcript:Vigun10g004400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDTTNTFTLTTPTKEETTTNNILCYSSWLQPTPNSTHTTTPPPDTYAAGEGSHVHWDPHLTCLHLGKRHYFEDVTDDDVYNNSNNGNNVATLGKPHVCRVGDGVVISGSRHVGGDDGVITLGNRHVYGGDGGSGYFSEGNDKRARGGHGGAGGGGSVKTAAFAVVPRCQVEGCHVALVNAKEYHRRHRVCDMHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDETKRSCRRRLAGHNERRRKSSHLSVTRSCRQGCGLSLVSFGSSDDWCSNHHSDDLSTRCSAALRELIAENRAALITRQQHVYVVPHHEEEEQGFVEMLQPESNYFPQHMFSQTQQ >Vigun10g004400.1.v1.2 pep primary_assembly:ASM411807v1:10:407812:409867:1 gene:Vigun10g004400.v1.2 transcript:Vigun10g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDTTNTFTLTTPTKEETTTNNILCYSSWLQPTPNSTHTTTPPPDTYAAGEGSHVHWDPHLTCLHLGKRHYFEDVTDDDVYNNSNNGNNVATLGKPHVCRVGDGVVISGSRHVGGDDGVITLGNRHVYGGDGGSGYFSEGNDKRARGGHGGAGGGGSVKTAAFAVVPRCQVEGCHVALVNAKEYHRRHRVCDMHSKAPKVVVLGLEQRFCQQCSSCRFHVVSEFDETKRSCRRRLAGHNERRRKSSHLSVTRSCRQGCGLSLVSFGSSDDWCSNHHSDDLSTRCSAALRELIAENRAALITRQQHVYVVPHHEEEEQGFVEMLQPESNYFPQHMFSQTQQ >Vigun07g205700.1.v1.2 pep primary_assembly:ASM411807v1:7:32735277:32737850:-1 gene:Vigun07g205700.v1.2 transcript:Vigun07g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNVVTKNNLITTSPKKSDEGARVSAMLLSTAVVYPAVLNAAIELNLFEIIAKATPHTSFMSSHEIASKLPNQHPDLPNRLDRMLRLLAGYSLLTTSTRTTQHGATETVYGLSQIGQYYAPDAATGYFASFASFLSCPALSPLWPNFKEAVVDPDVDLFKKVHGVTTYQYMEKDPKMNQMFNRTVADLCATDMIRILEIYTGFEGISRLVDVGGAHGQSLKMIISKYPSIKGINFDLPHVIENAPLLSGVEHVGGDMFARVPEGDAMILKSVLHNWSDEKCVEILSNCHKALSGNGKVIILEIIMPEEPEATEEYKLFSSVDNLMFITAGGKERTEKQYENLCKLAGFSKFHVACPASSGPGVMEFYK >Vigun02g057800.1.v1.2 pep primary_assembly:ASM411807v1:2:20264282:20267059:1 gene:Vigun02g057800.v1.2 transcript:Vigun02g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTSSVLPPGFRFHPTDEELIVYYLCNQATSKPCPASIIPEVDIYKFDPWELPEKTDFGENEWYFFTPRERKYPNGVRPNRATVSGYWKATGTDKAIYSGSKHIGVKKALVFYKGKPPKGIKTDWIMHEYRLIGSRRQTNRQIGSMRLDDWVLCRIYKKKNMGKSLEAKEDYPIAQINLTPPNNETEQEVVKFPRTSSLTHLLDMDYLGPISHILSDSSYTSTFDFQLNTANAGIDPFIKPQPLEIPNNLYAADSGKYQVKQNSTINPTIFVNHVYDQRGQYQK >Vigun06g094900.1.v1.2 pep primary_assembly:ASM411807v1:6:22616358:22620999:1 gene:Vigun06g094900.v1.2 transcript:Vigun06g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANARNPATKRKFDDAVSNGVATDVDLSLLEAIEKSQNAVEVLDLRTLKKHVLSFERRLKENIEARLKYPNQPDRFADSEVELHDELQKLKVLAGAPELYPDLVSLNVVPSIVDLLNHDNTDIAIDVVQLLQDLTDEDVLDDNDDSARVLVDALVDNSALELLVQNLHRLNDSDPDENVAVYGTLATVENLIEVKPAVAELVCEKTKLLKWLLGKIKVREFDSNKQYASEILAILLQSSTVNQKKLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKLAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGKIPVSKKNKKERYQEDLEERLVSLIASLFGGILRGSRRDRLLSKFVENECEKIDRLMELYIRYSDRVKAETERLNQVEFDDLEMDEDEIYNRKLESGLYTLQLIAVILGHIWCSEHPQMRGRIELLLKQNKLSKTHIKDILQEYHDNIGDLDGPEEKERAQTKIQKFIMAL >Vigun11g102300.3.v1.2 pep primary_assembly:ASM411807v1:11:29896291:29899637:1 gene:Vigun11g102300.v1.2 transcript:Vigun11g102300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDATEPVSPSGRYFNTTVLCAYCFGFLESETPIELSQAKYLFEEVFLPVNPRFSSIMVRDENGEMKWKRVEVKAEDHIKVPTFPENESLESYDQYFEEYVTELLMERTAQDKPLWEIHVIKYPTSTCAGTLIFKLHHALGDGYSLMGALLSSLQRADDPSLPLSFPSRKSSSSSSSSSEKGFFRWFSSTVFSFFNSISDFGWSIAKSTVVEDDISPIRSGEEGLESLPCVISNISFSLDQVKSIKSKLGVTINDVITGAIFYGIRLYMQEIDNEASKANSTGLVILSTRNIGSYQSVQEMMKADSKSPWGNHISFLHVPIPKLTQTNISNPLEFVWNAQKEIKRKRDSFTVFLIEWVLDMELKLRGYEAVAKHIYGTLRNSSVVVSNLIGPVEPMVLANHPVKGLYFTMTGGPEALLMRGSSNCAW >Vigun11g102300.2.v1.2 pep primary_assembly:ASM411807v1:11:29896291:29899637:1 gene:Vigun11g102300.v1.2 transcript:Vigun11g102300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDATEPVSPSGRYFNTTVLCAYCFGFLESETPIELSQAKYLFEEVFLPVNPRFSSIMVRDENGEMKWKRVEVKAEDHIKVPTFPENESLESYDQYFEEYVTELLMERTAQDKPLWEIHVIKYPTSTCAGTLIFKLHHALGDGYSLMGALLSSLQRADDPSLPLSFPSRKSSSSSSSSSEKGFFRWFSSTVFSFFNSISDFGWSIAKSTVVEDDISPIRSGEEGLESLPCVISNISFSLDQVKSIKSKLGVTINDVITGAIFYGIRLYMQEIDNEASKANSTGLVILSTRNIGSYQSVQEMMKADSKSPWGNHISFLHVPIPKLTQTNISNPLEFVWNAQKEIKRKRDSFTVFLIEWVLDMELKLRGYEAVAKHIYGTLRNSSVVVSNLIGPVEPMVLANHPVKGLYFTMTGGPEKGFIDERKFKLCVVKAFDVISKAAMEMPNKTKA >Vigun11g102300.1.v1.2 pep primary_assembly:ASM411807v1:11:29896291:29899637:1 gene:Vigun11g102300.v1.2 transcript:Vigun11g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDATEPVSPSGRYFNTTVLCAYCFGFLESETPIELSQAKYLFEEVFLPVNPRFSSIMVRDENGEMKWKRVEVKAEDHIKVPTFPENESLESYDQYFEEYVTELLMERTAQDKPLWEIHVIKYPTSTCAGTLIFKLHHALGDGYSLMGALLSSLQRADDPSLPLSFPSRKSSSSSSSSSEKGFFRWFSSTVFSFFNSISDFGWSIAKSTVVEDDISPIRSGEEGLESLPCVISNISFSLDQVKSIKSKLGVTINDVITGAIFYGIRLYMQEIDNEASKANSTGLVILSTRNIGSYQSVQEMMKADSKSPWGNHISFLHVPIPKLTQTNISNPLEFVWNAQKEIKRKRDSFTVFLIEWVLDMELKLRGYEAVAKHIYGTLRNSSVVVSNLIGPVEPMVLANHPVKGLYFTMTGGPESINISVISYTKTLRITLKTQKGFIDERKFKLCVVKAFDVISKAAMEMPNKTKA >Vigun06g174700.1.v1.2 pep primary_assembly:ASM411807v1:6:29536587:29537107:-1 gene:Vigun06g174700.v1.2 transcript:Vigun06g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPEGAGPPEYPGSCPGPPSVLGNFFSGLCSTISSCFYIVCCCGLLQDCFGAPPQPPPPIGVAPPQAQPQSPPRASEPFQSPPDPLAVSIGHPEWANSGPPGSPRGSPPGLPHPY >Vigun05g236250.1.v1.2 pep primary_assembly:ASM411807v1:5:42929862:42932252:1 gene:Vigun05g236250.v1.2 transcript:Vigun05g236250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKVKNNCGRCLFLMELFGALIPCSFLCGTVFPIMIFIFTWCCQTVLATFKSFESLKSMCLYRTEEYLSLSSSIPLALSCNMEMPNL >Vigun01g017900.1.v1.2 pep primary_assembly:ASM411807v1:1:1933615:1934174:-1 gene:Vigun01g017900.v1.2 transcript:Vigun01g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRCFIVSVTLLVLLIMTADLCFKNAEAQEPIDPLPPRAPSPQPPHRPIRRAPPPPPHQTPHTHSRHHSRHSHQPPPSLSTS >Vigun06g018400.1.v1.2 pep primary_assembly:ASM411807v1:6:8368633:8376820:-1 gene:Vigun06g018400.v1.2 transcript:Vigun06g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDAILSLPVQNPPTLEISSFDLVWSKVEGWHDKLDKVALIPFARVDDFVRGESNNKECPTRFHVEARRRRSPSTPFKQKVDGILEYILYWCSFGPDDHRKGGIVRPSRTTYVPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNDDKHVDKKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERAIRRSTYELDDDDAVSISMWVESHQNQVFFYEDFSDSDPFTLGIQTEWQLQQLIKFGNRGLLASDSRFGTNKLQYPIHSLLVFNSDKKAIPVAWIIAPRFSSLDAHRWMRALYNRVHTKDPTWKLAGFIVDDPLYDILAIRDVFQCTVMISFWRVRHLWHKNIVKCLKSDMQIKISRRLGWIVDNICRLQGNISLFEEFMEEFIDESKFMDYFKATWHPRIGAWLNALQTLPLASQESCAAIEFYHNQLKIRLLNEKEIDVYQRADWLVDKLGTKVHSYFWLDEYSGKDDFARYWKNEWMSGLTSWRKALNIPDSDVSIEDGCAKVTDQDDREKVFVVWNTGSILSICDCSWAQDGNLCEHILKVLSICRKRGSVLPSVTLFQYHQALNKMLHCPPFDSLIRDHAASLAISVQKQLNTLLDKESVQTVTDSMEKRIDIDIPLESFRVVSGNRGQDMVIKKHVINDVLSLDGDEDRHDSNEAPGCTSAMRDITDQDEDGERFESAGVNSLTSDMDVDPPSTCVNPPVLHPIDDTVPSDTLQENKEWGLATTGNEISPSESGAFSNDKIEDNILDKGGKDCAMDLDPPRSPNLGYTR >Vigun06g018400.2.v1.2 pep primary_assembly:ASM411807v1:6:8368633:8375918:-1 gene:Vigun06g018400.v1.2 transcript:Vigun06g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERAIRRSTYELDDDDAVSISMWVESHQNQVFFYEDFSDSDPFTLGIQTEWQLQQLIKFGNRGLLASDSRFGTNKLQYPIHSLLVFNSDKKAIPVAWIIAPRFSSLDAHRWMRALYNRVHTKDPTWKLAGFIVDDPLYDILAIRDVFQCTVMISFWRVRHLWHKNIVKCLKSDMQIKISRRLGWIVDNICRLQGNISLFEEFMEEFIDESKFMDYFKATWHPRIGAWLNALQTLPLASQESCAAIEFYHNQLKIRLLNEKEIDVYQRADWLVDKLGTKVHSYFWLDEYSGKDDFARYWKNEWMSGLTSWRKALNIPDSDVSIEDGCAKVTDQDDREKVFVVWNTGSILSICDCSWAQDGNLCEHILKVLSICRKRGSVLPSVTLFQYHQALNKMLHCPPFDSLIRDHAASLAISVQKQLNTLLDKESVQTVTDSMEKRIDIDIPLESFRVVSGNRGQDMVIKKHVINDVLSLDGDEDRHDSNEAPGCTSAMRDITDQDEDGERFESAGVNSLTSDMDVDPPSTCVNPPVLHPIDDTVPSDTLQENKEWGLATTGNEISPSESGAFSNDKIEDNILDKGGKDCAMDLDPPRSPNLGYTR >Vigun05g072300.3.v1.2 pep primary_assembly:ASM411807v1:5:6363021:6365913:-1 gene:Vigun05g072300.v1.2 transcript:Vigun05g072300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPKPRYAVVTGANKGIGLESVNQLASNGVKVVLTARDERRGYEAIEILKECGLSELVLFHQLDVTHSASIYSLVDFVKTQFGRLDILVNNAGISGVNPYEMQGSTINWRELTQTYEMAEKCVRTNYYGAKETTEAFLPLLKLSNSPRIVNVSSQAGLLKVQECHNMILSVLKTLNIGKTVMW >Vigun05g072300.2.v1.2 pep primary_assembly:ASM411807v1:5:6363021:6365913:-1 gene:Vigun05g072300.v1.2 transcript:Vigun05g072300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPKPRYAVVTGANKGIGLESVNQLASNGVKVVLTARDERRGYEAIEILKECGLSELVLFHQLDVTHSASIYSLVDFVKTQFGRLDILVNNAGISGVNPYEMQGSTINWRELTQTYEMAEKCVRTNYYGAKETTEGIANKMAKGVFDDAENLTEDRIDEVLKEFMKDFEEGSFRNKGWPTFLSAYMVSKAAMNSYTRILAKKYPNFCINCVCPGFVKTDINRNTGFLSVDQGAASVVRLALLPNGSPSGFFYSRQEMSNF >Vigun05g072300.1.v1.2 pep primary_assembly:ASM411807v1:5:6363021:6365913:-1 gene:Vigun05g072300.v1.2 transcript:Vigun05g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPKPRYAVVTGANKGIGLESVNQLASNGVKVVLTARDERRGYEAIEILKECGLSELVLFHQLDVTHSASIYSLVDFVKTQFGRLDILVNNAGISGVNPYEMQGSTINWRELTQTYEMAEKCVRTNYYGAKETTEAFLPLLKLSNSPRIVNVSSQAGLLKGIANKMAKGVFDDAENLTEDRIDEVLKEFMKDFEEGSFRNKGWPTFLSAYMVSKAAMNSYTRILAKKYPNFCINCVCPGFVKTDINRNTGFLSVDQGAASVVRLALLPNGSPSGFFYSRQEMSNF >Vigun03g321200.1.v1.2 pep primary_assembly:ASM411807v1:3:51621137:51624677:1 gene:Vigun03g321200.v1.2 transcript:Vigun03g321200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMEEESSNSNIEGGASLPSSGSDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEINRPFPASPNDIRRFHSDDYVHFLSSVSPETLADTTFSRQLKRFNVGEDCPVFDGLFDFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGSGKNYAVNVPLNDGMDDENFRGLFRTVIHKVMEVYQPEAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLYVDPSNMENLNTPKDMEKIRITLLEQISRLPHAPSVPFQTTPPTLEIPEEAEEDMDRRPKLRKWDGEDYDSDPDEGEKANSKFSSVTAHMRDIADDMEEEKPGVHSSSCC >Vigun06g198300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31367759:31368647:1 gene:Vigun06g198300.v1.2 transcript:Vigun06g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPWEQRLQALTHILTSPTTTPSLHSQFFIATQIPCYINWDYPPFLCSNPNFLTTWLRSFFLKRLFGTAPPHTSWRSKCPFHQPQPLILAQGLDHPNWERQQRRAYVRERMARKLRKNVNPVLHIVMPNLVFLSLMIWNPFRSLD >Vigun09g252500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41956200:41956940:-1 gene:Vigun09g252500.v1.2 transcript:Vigun09g252500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKSTKLPQPPLIKQILKRCSSLGRKDDHGLRLDVPKGHFVVYVGENRSRYIVPISFLNRPEFQTLLHQAEEEFGFDHDKGLTIPCQQHVFESLTSMLR >Vigun11g189700.1.v1.2 pep primary_assembly:ASM411807v1:11:38932487:38934933:-1 gene:Vigun11g189700.v1.2 transcript:Vigun11g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWSKPGAWAIDSEEHEAELLQQNPIQETTKPLADFPSLAVAAATKPKKKKAQTYSLAEFTAKPDTSFADQDPVVLPTGPRQRTAEELDRTRLGGGFRSYGDRPNRNSSGDDSSNSRWGSSRVSDEPRRNGSFGARDSNRELPPSRADETDNWAAAKKPSGGFERRERDKGGFFDSQSRADESDSWVSNKSFVPSERRFGSNGGGFERERRVVGFGSSGGADSNDWNKKKGETNVGSESVGVGAGAGAGAGAGGGRPRLVLQPRSLSVSNEGQDANVGKPKGVNPFGEARPREQVLAEKGQDWKKIDEQLESMKIKETGAGDSFVKRGFGSPNGGGRAALPESRTERSWRKPASDDERPKSAEKVEDKAVEEN >Vigun09g239300.3.v1.2 pep primary_assembly:ASM411807v1:9:40965239:40969203:-1 gene:Vigun09g239300.v1.2 transcript:Vigun09g239300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACAYEESRRKRVEENRKRMEALNLPLLSRALHKSPPPKSSPLKSSKKSNTTQKVLVAVRRSGRLANLPSPVYKEIPIDRVSIPRRNTSNRHRDLSNRVYASDEARLEASEQAEKLMSGLKSDFPSFIKSMLQSHVSGGFWLGLPVQFCKSNLPKGDDVMTLVDEDGNEYSTIYLQRKSGLSGGWKAFAVAHDLADGDALIFQLIKRTTFKVYIIRANSPSEDKQVE >Vigun09g239300.2.v1.2 pep primary_assembly:ASM411807v1:9:40964654:40969203:-1 gene:Vigun09g239300.v1.2 transcript:Vigun09g239300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACAYEESRRKRVEENRKRMEALNLPLLSRALHKSPPPKSSPLKSSKKSNTTQKVLVAVRRSGRLANLPSPVYKEIPIDRVSIPRRNTSNRHRDLSNRVYASDEARLEASEQAEKLMSGLKSDFPSFIKSMLQSHVSGGFWLGLPVQFCKSNLPKGDDVMTLVDEDGNEYSTIYLQRKSGLSGGWKAFAVAHDLADGDALIFQLIKRTTFKVYIIRANSPSEDKQVE >Vigun09g239300.1.v1.2 pep primary_assembly:ASM411807v1:9:40964654:40969203:-1 gene:Vigun09g239300.v1.2 transcript:Vigun09g239300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACAYEESRRKRVEENRKRMEALNLPLLSRALHKSPPPKSSPLKSSKKSNTTQKVLVAVRRSGRLANLPSPVYKEIPIDRVSIPRRNTSNRHRDLSNRVYASDEARLEASEQAEKLMSGLKSDFPSFIKSMLQSHVSGGFWLGLPVQFCKSNLPKGDDVMTLVDEDGNEYSTIYLQRKSGLSGGWKAFAVAHDLADGDALIFQLIKRTTFKVYIIRANSPSEDKQVE >Vigun09g239300.4.v1.2 pep primary_assembly:ASM411807v1:9:40965536:40969078:-1 gene:Vigun09g239300.v1.2 transcript:Vigun09g239300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAACAYEESRRKRVEENRKRMEALNLPLLSRALHKSPPPKSSPLKSSKKSNTTQKVLVAVRRSGRLANLPSPVYKEIPIDRVSIPRRNTSNRHRDLSNRVYASDEARLEASEQAEKLMSGLKSDFPSFIKSMLQSHVSGGFWLGLPVQFCKSNLPKGDDVMTLVDEDGNEYSTIYLQRKSGLSGGWKAFAVAHDLADGDALIFQLIKRTTFKVYIIRANSPSEDKQVE >Vigun07g233800.1.v1.2 pep primary_assembly:ASM411807v1:7:35551844:35555545:-1 gene:Vigun07g233800.v1.2 transcript:Vigun07g233800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATFFTQTSFPSHSLSKTFETHFATAPKVNACVSFRARRQVGVRVSCGLIEPDGGKLVELVVKDSDRDLKKGEALSLPRIKLSRIDLQWVHVLSEGWATPLKGFMKEAEFLQTLHFNSLRLDDGSLVNMSVPIVLAIDDEQKHRIGDNKRVALFDSKGDPVAILNDIEIYKHPKEERIARTWGTTAPGLPYVEQTISNAGNWLIGGDLEVIEPIKYNDGLDHFRLSPAELRSEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGYTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRTLARNKESPPDGFMCPGGWKVLVEYYDSLVPSSNGKVPEAVPA >Vigun10g007600.2.v1.2 pep primary_assembly:ASM411807v1:10:724227:728193:1 gene:Vigun10g007600.v1.2 transcript:Vigun10g007600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFPCAVQGTIFSQRKQLTHGCTNQNSKDYYLVQDSCMLRSEKSLRRTLFFSVLVSTGFFPTLSSYAKTKSTNPYDEKRLLQQNRRIQKENNAPEDFPNFIREGFQVKIVSSENYLKSESGLIYRDFVVGEGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGTPAKIRMGTKALVPGFEEGIREMKPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQNCERRTVAFYSDVICN >Vigun10g007600.3.v1.2 pep primary_assembly:ASM411807v1:10:724227:728193:1 gene:Vigun10g007600.v1.2 transcript:Vigun10g007600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEKSLRRTLFFSVLVSTGFFPTLSSYAKTKSTNPYDEKRLLQQNRRIQKENNAPEDFPNFIREGFQVKIVSSENYLKSESGLIYRDFVVGEGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGTPAKIRMGTKALVPGFEEGIREMKPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQNCERRTVAFYSDVICN >Vigun10g007600.1.v1.2 pep primary_assembly:ASM411807v1:10:724227:728193:1 gene:Vigun10g007600.v1.2 transcript:Vigun10g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFRLNFPCAVQGTIFSQRKQLTHGCTNQNSKDYYLVQDSCMLRSEKSLRRTLFFSVLVSTGFFPTLSSYAKTKSTNPYDEKRLLQQNRRIQKENNAPEDFPNFIREGFQVKIVSSENYLKSESGLIYRDFVVGEGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGTPAKIRMGTKALVPGFEEGIREMKPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQNCERRTVAFYSDVICN >Vigun03g418600.1.v1.2 pep primary_assembly:ASM411807v1:3:62508827:62510696:1 gene:Vigun03g418600.v1.2 transcript:Vigun03g418600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREESQAPRVTQIQVRVDCKGCVQKIKKALNGIHGIHDLRVDLNRQKLTIVGWADPEQVVKAIKKTKKNATICSSIELTPPSKPTEPKPKGNAPAPNAAQPPPRQASHPSELPPLQASQSLELPPRQPPPEATPSSSTPTLTQHNAARQWQNNTREDLEQVHVTHHSSNYANRFSSGHIHAEHWHRYDNGPVFLQEPSPSMYVTHSYNTHMPSSNVTEYECVRSPSMHTHYYSGDYQNGNVNIASMFSDDNPNACCIV >Vigun08g049700.1.v1.2 pep primary_assembly:ASM411807v1:8:5644889:5650583:1 gene:Vigun08g049700.v1.2 transcript:Vigun08g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQANDPRTAVSISRVVSVNPKLVQPHRVLTLSNLDRQCPHLMHLVFFYNNLPHQRLKDLSLNSVFCSLKSGLEDTLALWYPAAGRLWPNPSDGKLNLWCNNHGAVLAEAETSAKISQLGNLSEYNEFFEKLVYKPAFDGNFSNMPLIVAQVTKFGCGGYSIGIGTSHSLFDGPATYDFLRAWASNSEIVKGRSRSDEVPTKPVHERGILLSGTLQAPRDTANFPSDSTSNAKEARAMAIEHLYHLIMQTATAQKGFPLQIGTPSNSKKCVLKTYHVSGAMAENLKRKHFPMKRGSFPFSTFEVLAAHLWKARSKALGVKKEKQVCLQFAVEIRNKMRPALPKCFSGNAYVLASIMMAMGELEDASHECIIEKIREAKNKVNQEYVRSYVEALEGPQQGSSLPPLKELTLVSDWTRMPFHNIDFFHGKATYACPLATPLPQVAYFMQSPTDNFGVDIRIGLEPENITAFSHCFLSMA >Vigun07g203100.2.v1.2 pep primary_assembly:ASM411807v1:7:32490757:32499570:1 gene:Vigun07g203100.v1.2 transcript:Vigun07g203100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRTSGFKKFLGLAPDEDLDDTSSQYNFGMVLKETLLNLGPTFIKVGQSLSTRPDIIGVEMSKALSELHDQIPPFPRNVAMKIMEEEFGCPLETFFSYISEEPIAAASFGQVYFARTTDGNNVAVKVQRPNLHHVVVRDIYILRLGLGLLQKIAKRKSDPRLYADELGKGFVGELDYKLEAANASKFQEVHSSFTFMHVPKVFPHLTRKRVLTMEWMVGESPTDLLSVTAGNTVGNVSEYSERQKLDAKRRLLDLVSKGVEATLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKRHQYAMLASIIHIVNGDWESLVRALFDMDVVRPGTNIRLITLELEHALGEVELKEGIPDVKFSKVLGKIWTVALKYHFRMPPYYTLVLRSLASLEGLAIAADKNFKTFEAAYPYVVRKLLTENSAATRKILHSVLLNRRKEFQWKRLSLFLRVGATRKALRLIASNSEKPLDHLSNKATDTVDVAYLVLRLLPSKDGVAIRRLLMTADGASLVKAVVSKEGKYFRQQLGKIMVDVVYQWMIKLFGQGIIVTQYSQVKFANGPSNKESGLSPRSLPTDDYNFIFRDRRLRVIFYNILKSASRDKILMLRFFWASLLIMVTASTLACHRLVVSLSEAYLAKIFDAPKRYAVSA >Vigun07g203100.1.v1.2 pep primary_assembly:ASM411807v1:7:32489538:32499570:1 gene:Vigun07g203100.v1.2 transcript:Vigun07g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLAPPPPSLSVRASLPRRPQSKKQQQQQKRTWGDFSHFAQVVRKDVEFLKKGIDNGVAWANHTFRIPQVAKKIDDVVWLRHLEDPHSPPFPSPSWPQPWYPGLTGVDLLMYDLKALEAYASYFYYLSKVWSNPLPEVYDPQDVAQYFSVRPHVVTFRVLEVLFSFATAMISIRTSGFKKFLGLAPDEDLDDTSSQYNFGMVLKETLLNLGPTFIKVGQSLSTRPDIIGVEMSKALSELHDQIPPFPRNVAMKIMEEEFGCPLETFFSYISEEPIAAASFGQVYFARTTDGNNVAVKVQRPNLHHVVVRDIYILRLGLGLLQKIAKRKSDPRLYADELGKGFVGELDYKLEAANASKFQEVHSSFTFMHVPKVFPHLTRKRVLTMEWMVGESPTDLLSVTAGNTVGNVSEYSERQKLDAKRRLLDLVSKGVEATLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKRHQYAMLASIIHIVNGDWESLVRALFDMDVVRPGTNIRLITLELEHALGEVELKEGIPDVKFSKVLGKIWTVALKYHFRMPPYYTLVLRSLASLEGLAIAADKNFKTFEAAYPYVVRKLLTENSAATRKILHSVLLNRRKEFQWKRLSLFLRVGATRKALRLIASNSEKPLDHLSNKATDTVDVAYLVLRLLPSKDGVAIRRLLMTADGASLVKAVVSKEGKYFRQQLGKIMVDVVYQWMIKLFGQGIIVTQYSQVKFANGPSNKESGLSPRSLPTDDYNFIFRDRRLRVIFYNILKSASRDKILMLRFFWASLLIMVTASTLACHRLVVSLSEAYLAKIFDAPKRYAVSA >Vigun06g196800.1.v1.2 pep primary_assembly:ASM411807v1:6:31215670:31217373:1 gene:Vigun06g196800.v1.2 transcript:Vigun06g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHKEYLDLILVPSGLLIMFAYHLFLLYKYINQPHTTVMGFENNDKRIWVERIMQADKRDVSTALSVIQSNTTAATFLASVSLTLSSLIGAWVANTSNIFFQRELIYGDTTPTTITIKYICLLTCFLLAFSCFVQSARHFVHANYLISTPDSFVPVSSVEIAVIRGGDFWSLGLRALYFALDLLLWFFGPIPMFISSLAMVLVLNYLDSNARPLHPNVSQGTQIHMAKRGFNL >Vigun10g099400.1.v1.2 pep primary_assembly:ASM411807v1:10:29119456:29121574:1 gene:Vigun10g099400.v1.2 transcript:Vigun10g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSCSLAISPRKLRYDLYSYSYKEDSNTPLVINVLASLIERSMARTQRIVKNCSSALSKAISTNIFDCREIPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQNNSGFRINARNVHRLLITTIMVASKYVEDMNFRNSYFARVGGLTTDELNELEVEFLFMMGFKLHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKARNTEERGYTQITRVML >Vigun09g124000.1.v1.2 pep primary_assembly:ASM411807v1:9:27619139:27620547:-1 gene:Vigun09g124000.v1.2 transcript:Vigun09g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIVSLFITTVLFYPFSHSFLNPFRLLLLLTLKTPTQHIVHAHMDTNLNTTFTNVNTTYNHETMTTDQNNIILHDHHHHHHHHQQIPMGVGIWSNHHHHHHHPFHTQPSSSSSTNFLTDVLGIQFEEDEEEELGAMKEMMYQMAAMQPVDIDPATVRKPKRRNVRISDDPQSVAARHRREKISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRFLQSTPQVDCVNATASASDCHFAPPTLHASASVNVPLGIEFNAGIHDHAAHSLHLQHSSGDNENEERIPV >Vigun10g018400.7.v1.2 pep primary_assembly:ASM411807v1:10:2066559:2073186:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGFMNLMLNTKGNSIHEIEQNPFSITAILICMAHRQHSIGGTLLSVCCTLIPPNQKKFQQYAERRVSLVDESHKKGLKGLIFKEFHKANLQHISRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGRVFGLCHYYPACPEPDLTMGTTMHSDNDFFTILLQDHIGGLQIRCNDKWIDMNPVPGALVINIGDFLQFITNDRLKSAEHRVLSNHVGPRISVACFFSPSAEASSKPFGPIKELLSEHNPPKYRETTFADYEAFFIAKGLDGTSALAPYKI >Vigun10g018400.6.v1.2 pep primary_assembly:ASM411807v1:10:2066613:2070153:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQVINHDIPVSVLEEMQNGVKRFHELDAEHKREFYSRDRTKPFFYNSNFDLYGSQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGRVFGLCHYYPACPEPDLTMGTTMHSDNDFFTILLQDHIGGLQIRCNDKWIDMNPVPGALVINIGDFLQASFLFSSCFFLYFMQLLPIMDTTYM >Vigun10g018400.1.v1.2 pep primary_assembly:ASM411807v1:10:2066559:2073186:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQVINHDIPVSVLEEMQNGVKRFHELDAEHKREFYSRDRTKPFFYNSNFDLYGSQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGRVFGLCHYYPACPEPDLTMGTTMHSDNDFFTILLQDHIGGLQIRCNDKWIDMNPVPGALVINIGDFLQFITNDRLKSAEHRVLSNHVGPRISVACFFSPSAEASSKPFGPIKELLSEHNPPKYRETTFADYEAFFIAKGLDGTSALAPYKI >Vigun10g018400.2.v1.2 pep primary_assembly:ASM411807v1:10:2066615:2073132:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQVINHDIPVSVLEEMQNGVKRFHELDAEHKREFYSRDRTKPFFYNSNFDLYGSQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGKVFGLCHYYPACPEPDLTMGTTMHSDYGFFTILLQDHIGGLQVRCNDKWIDINPVPGALVINIGDLLQFITNDRLKSAEHRVLSNHVGPRISVACFFNPSAEASSKLFGPIKELLSEDNPPKYRETTYADYEAFFYAKGLDGTSALAPYKI >Vigun10g018400.4.v1.2 pep primary_assembly:ASM411807v1:10:2066599:2073177:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQVINHDIPVSVLEEMQNGVKRFHELDAEHKREFYSRDRTKPFFYNSNFDLYGSQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGKVFGLCHYYPACPEPDLTMGTTMHSDYGFFTILLQDHIGGLQVRCNDKWIDINPVPGALVINIGDLLQFITNDRLKSAEHRVLSNHVGPRISVACFFNPSAEASSKLFGPIKELLSEDNPPKYRETTYADYEAFFYAKGLDGTSALAPYKI >Vigun10g018400.3.v1.2 pep primary_assembly:ASM411807v1:10:2066559:2070153:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQVINHDIPVSVLEEMQNGVKRFHELDAEHKREFYSRDRTKPFFYNSNFDLYGSQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGRVFGLCHYYPACPEPDLTMGTTMHSDNDFFTILLQDHIGGLQIRCNDKWIDMNPVPGALVINIGDFLQFITNDRLKSAEHRVLSNHVGPRISVACFFSPSAEASSKPFGPIKELLSEHNPPKYRETTFADYEAFFIAKGLDGTSALAPYKI >Vigun10g018400.5.v1.2 pep primary_assembly:ASM411807v1:10:2066615:2073186:1 gene:Vigun10g018400.v1.2 transcript:Vigun10g018400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATESDFDYVLSERKAFDETKAGVKGLVDAGSKKVPSIFHHPPEKYEKKVSNLTNTSHVIPVIDLAELHKDASKRQGLVEIVKEASQTWGFFQAALNWRDTFKCLLYPDPPKPEEIPAVCRDILLDYRKYIMKLGILLLELFSEALGLNPNYLKDMGCAGRVFGLCHYYPACPEPDLTMGTTMHSDNDFFTILLQDHIGGLQIRCNDKWIDMNPVPGALVINIGDFLQFITNDRLKSAEHRVLSNHVGPRISVACFFSPSAEASSKPFGPIKELLSEHNPPKYRETTFADYEAFFIAKGLDGTSALAPYKI >Vigun03g319000.2.v1.2 pep primary_assembly:ASM411807v1:3:51361565:51363480:1 gene:Vigun03g319000.v1.2 transcript:Vigun03g319000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTMCVQVHFVINFLENREMAHESTPRPCCQSSTLSLSFLCIFISIVFVVFKLSRRTKTNLPPSPPKIPFIGNLHQLGTLPHRSFQALSHKHGPLMLLQLGQVPTIIVSSADVAGEIFKTHDLVFMNRPKTTTAGIFLYGYKDVAFTPYGDEWRQKRKVCVLELVSMKSVRSLQFIRLEEVAEMVGAIREACASETACVNLSELLIALTNNIMSRCVLGQKYDTPDGINNFGEIGRKLLTQFTAFYGFFDQVIAEHKEKMTKKDGIKSEKKDFLGILLQLQETGSLGFELSQDILKAMLVDMFIGASDTTATTLEWTMAELMRDPNSMEKAQEEVRRVVGDKAEVDEDDVKQMSYQRRVVGNCVIKESLRLHPPGPLLLPRETTSGVKLSGYDIPAKTRVMLNAWAIQRDPKVWDRADEFLPERFEKRDVDLKGQDLYIPFGGGRRGCPGITFGMSVAEYALANLLYWFDWKLPKTDGTVQGIDMNERYGITVNKKLPLLLQPIPFSLGSGSKPSHIHH >Vigun03g319000.1.v1.2 pep primary_assembly:ASM411807v1:3:51361565:51363480:1 gene:Vigun03g319000.v1.2 transcript:Vigun03g319000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTMCVQVHFVINFLENREMAHESTPRPCCQSSTLSLSFLCIFISIVFVVFKLSRRTKTNLPPSPPKIPFIGNLHQLGTLPHRSFQALSHKHGPLMLLQLGQVPTIIVSSADVAGEIFKTHDLVFMNRPKTTTAGIFLYGYKDVAFTPYGDEWRQKRKVCVLELVSMKSVRSLQFIRLEEVAEMVGAIREACASETACVNLSELLIALTNNIMSRCVLGQKYDTPDGINNFGEIGRKLLTQFTAFCVGDFFPSLGWIDVLTGQIPKFKATLGLLDGFFDQVIAEHKEKMTKKDGIKSEKKDFLGILLQLQETGSLGFELSQDILKAMLVDMFIGASDTTATTLEWTMAELMRDPNSMEKAQEEVRRVVGDKAEVDEDDVKQMSYQRRVVGNCVIKESLRLHPPGPLLLPRETTSGVKLSGYDIPAKTRVMLNAWAIQRDPKVWDRADEFLPERFEKRDVDLKGQDLYIPFGGGRRGCPGITFGMSVAEYALANLLYWFDWKLPKTDGTVQGIDMNERYGITVNKKLPLLLQPIPFSLGSGSKPSHIHH >Vigun05g203800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39211071:39212744:1 gene:Vigun05g203800.v1.2 transcript:Vigun05g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYLHTTHFQSIQISKPSSWPPPHSNTQITSISFPAQFLYNHCHNSCINPRSFVSFKATSTSIHSSLSSPKPPISKEEAILQAKTSLSTTLEKPLNNPKLIGKFKKLRQPKLRVEIPVIDDSPDSLSQLALDFFGDLPIKRKGSPTKILILWPEASLKESATVAFQSHSTIEHIDIPSVAKTDPRILNSAEVAIFLAPDSSQLALIKRISEAFYPKPVVLFNPKWAFEEESNFGDLSGFVGSFEVVYSFMGLEVRGILSKRKGVVLKCARDGVLSGERWNVFVEEGEELKVVSSFKSRPNIGEVENVLYNLMAINSPITKSAKFIKGLVSNVTGRK >Vigun11g200100.4.v1.2 pep primary_assembly:ASM411807v1:11:39824122:39838027:-1 gene:Vigun11g200100.v1.2 transcript:Vigun11g200100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRAKIRIGILIIVVVGICLAALYGLLKPISNGCIMTYMYPTYIPISSSESVSPAKYGLYLYHEGWKKIDFKEHLKKLSGVPVLFIPGNGGSFKQVRSLAAESDRAYQNGPLERTFYREATLRAEEGGVDINLSGFQLPSQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVRAIHKILDQYKVSYDTRTREGAVVSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSAVETVLTLSTPHQSPPVALQPSLGYYFARVNSKWREGYKVQTTNTGRYVSDPVLSNVVVVSISGAYNDYQVRSKLTSLDNIVPPTHGFMISSTAMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPFADTEKRLAVFARMLRSGISHYFDWMMQLPCYKQPINIPVQNTKDVTGSPLHRLIACPANVHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGLNGKSHFVLVTNLEPCSGIRLHLWPEKGKSATTLPLNDRVVEVTAKIMRIPSGPAPRQLEPGSQTEQAPPSAVFWLGPEDMHGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPKEGSQELSPWFMLQSSYSQKDLVLEEAHPLAVKLSFGISVGLLPVTLSLKTVSCGIRNSGLPEEEAGDIESSRLCKLRCFPPVALAWDDTSGLHIYPNLNSEIIVVDSSPAYWSSTQQSEKTIVLLLVDPHCSYKSSISISVSAAASRLLLLYSPKIVGFSVAVVFFALMRQAYSWDLDMRIPSMLTALESNLTLISHLFPLAILPIFFSLFLSLLMSQPLPPFASFIGISLICYIFANGFIAIIILITHLVFFVAAVTHIFIKTRWQMWERNFSFFSLRWFVNHSSRFFSLKVVRVLRSNPVLVMALTAMVLASLVHPSFGLLILLLSHFLCCHNALCSSFLTASCGSHEQITENLDCNSEDYTGSEKKNFKFDGSFKRTFPSEDNLSNSPDSSRSFGDAQLDLFHHRHGLLILHLVATMMFAPSVVAWFQRLALGESLPWFLDVVLCIGVILHGICNSKPEFNSFFVSFAGFPIRNVRLYFMYLIAGYWSYFSGLTLAPYRVFYAMSAVGAISFGLRMSRSWNAEKREVTYSSRKHSHRH >Vigun11g200100.1.v1.2 pep primary_assembly:ASM411807v1:11:39824122:39838027:-1 gene:Vigun11g200100.v1.2 transcript:Vigun11g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRAKIRIGILIIVVVGICLAALYGLLKPISNGCIMTYMYPTYIPISSSESVSPAKYGLYLYHEGWKKIDFKEHLKKLSGVPVLFIPGNGGSFKQVRSLAAESDRAYQNGPLERTFYREATLRAEEGGVDINLSGFQLPSQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVRAIHKILDQYKVSYDTRTREGAVVSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSAVETVLTLSTPHQSPPVALQPSLGYYFARVNSKWREGYKVQTTNTGRYVSDPVLSNVVVVSISGAYNDYQVRSKLTSLDNIVPPTHGFMISSTAMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPFADTEKRLAVFARMLRSGISHYFDWMMQLPCYKQPINIPVQNTKDVTGSPLHRLIACPANVHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGLNGKSHFVLVTNLEPCSGIRLHLWPEKGKSATTLPLNDRVVEVTAKIMRIPSGPAPRQLEPGSQTEQAPPSAVFWLGPEDMHGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPKEGSQELSPWFMLQSSYSQKDLVLEEAHPLAVKLSFGISVGLLPVTLSLKTVSCGIRNSGLPEEEAGDIESSRLCKLRCFPPVALAWDDTSGLHIYPNLNSEIIVVDSSPAYWSSTQQSEKTIVLLLVDPHCSYKSSISISVSAAASRLLLLYSPKIVGFSVAVVFFALMRQAYSWDLDMRIPSMLTALESNLTLISHLFPLAILPIFFSLFLSLLMSQPLPPFASFIGISLICYIFANGFIAIIILITHLVFFVAAVTHIFIKTRWQMWERNFSFFSLRWFVNHSSRFFSLKVVRVLRSNPVLVMALTAMVLASLVHPSFGLLILLLSHFLCCHNALCSSFLTASCGSHEQITENLDCNSEDYTGSEKKNFKFDGSFKRTFPSEDNLSNSPDSSRSFGDAQLDLFHHRHGLLILHLVATMMFAPSVVAWFQRLALGESLPWFLDVVLCIGVILHGICNSKPEFNSFFVSFAGFPIRNVRLYFMYLIAGYWSYFSGLTLAPYRVFYAMSAVGAISFGLRMSRSWNAEKREVTYSSRKHSHRH >Vigun11g200100.2.v1.2 pep primary_assembly:ASM411807v1:11:39824122:39838027:-1 gene:Vigun11g200100.v1.2 transcript:Vigun11g200100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRAKIRIGILIIVVVGICLAALYGLLKPISNGCIMTYMYPTYIPISSSESVSPAKYGLYLYHEGWKKIDFKEHLKKLSGVPVLFIPGNGGSFKQVRSLAAESDRAYQNGPLERTFYREATLRAEEGGVDINLSGFQLPSQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVRAIHKILDQYKVSYDTRTREGAVVSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSAVETVLTLSTPHQSPPVALQPSLGYYFARVNSKWREGYKVQTTNTGRYVSDPVLSNVVVVSISGAYNDYQVRSKLTSLDNIVPPTHGFMISSTAMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPFADTEKRLAVFARMLRSGISHYFDWMMQLPCYKQPINIPVQNTKDVTGSPLHRLIACPANVHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGLNGKSHFVLVTNLEPCSGIRLHLWPEKGKSATTLPLNDRVVEVTAKIMRIPSGPAPRQLEPGSQTEQAPPSAVFWLGPEDMHGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPKEGSQELSPWFMLQSSYSQKDLVLEEAHPLAVKLSFGISVGLLPVTLSLKTVSCGIRNSGLPEEEAGDIESSRLCKLRCFPPVALAWDDTSGLHIYPNLNSEIIVVDSSPAYWSSTQQSEKTIVLLLVDPHCSYKSSISISVSAAASRLLLLYSPKIVGFSVAVVFFALMRQAYSWDLDMRIPSMLTALESNLTLISHLFPLAILPIFFSLFLSLLMSQPLPPFASFIGISLICYIFANGFIAIIILITHLVFFVAAVTHIFIKTRWQMWERNFSFFSLRWFVNHSSRFFSLKVVRVLRSNPVLVMALTAMVLASLVHPSFGLLILLLSHFLCCHNALCSFLTASCGSHEQITENLDCNSEDYTGSEKKNFKFDGSFKRTFPSEDNLSNSPDSSRSFGDAQLDLFHHRHGLLILHLVATMMFAPSVVAWFQRLALGESLPWFLDVVLCIGVILHGICNSKPEFNSFFVSFAGFPIRNVRLYFMYLIAGYWSYFSGLTLAPYRVFYAMSAVGAISFGLRMSRSWNAEKREVTYSSRKHSHRH >Vigun11g200100.3.v1.2 pep primary_assembly:ASM411807v1:11:39824122:39838027:-1 gene:Vigun11g200100.v1.2 transcript:Vigun11g200100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRAKIRIGILIIVVVGICLAALYGLLKPISNGCIMTYMYPTYIPISSSESVSPAKYGLYLYHEGWKKIDFKEHLKKLSGVPVLFIPGNGGSFKQVRSLAAESDRAYQNGPLERTFYREATLRAEEGGVDINLSGFQLPSQYTSRLDWFAVDLEGEHSAMDGAILEEHTEYVVRAIHKILDQYKVSYDTRTREGAVVSGSLPKSVILVGHSMGGFVARAAVIHPHLRKSAVETVLTLSTPHQSPPVALQPSLGYYFARVNSKWREGYKVQTTNTGRYVSDPVLSNVVVVSISGAYNDYQVRSKLTSLDNIVPPTHGFMISSTAMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPFADTEKRLAVFARMLRSGISHYFDWMMQLPCYKQPINIPVQNTKDVTGSPLHRLIACPANVHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGLNGKSHFVLVTNLEPCSGIRLHLWPEKGKSATTLPLNDRVVEVTAKIMRIPSGPAPRQLEPGSQTEQAPPSAVFWLGPEDMHGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPKEGSQELSPWFMLQSSYSQKDLVLEEAHPLAVKLSFGISVGLLPVTLSLKTVSCGIRNSGLPEEEAGDIESSRLCKLRCFPPVALAWDDTSGLHIYPNLNSEIIVVDSSPAYWSSTQQSEKTIVLLLVDPHCSYKSSISISVSAAASRLLLLYSPKIVGFSVAVVFFALMRQAYSWDLDMRIPSMLTALESNLTLISHLFPLAILPIFFSLFLSLLMSQPLPPFASFIGISLICYIFANGFIAIIILITHLVFFVAAVTHIFIKTRWQMWERNFSFFSLRWFVNHSSRFFSLKVVRVLRSNPVLVMALTAMVLASLVHPSFGLLILLLSHFLCCHNALCSFLTASCGSHEQITENLDCNSEDYTGSEKKNFKFDGSFKRTFPSEDNLSNSPDSSRSFGDAQLDLFHHRHGLLILHLVATMMFAPSVVAWFQRLALGESLPWFLDVVLCIGVILHGICNSKPEFNSFFVSFAGFPIRNVRLYFMYLIAGYWSYFSGLTLAPYRVFYAMSAVGAISFGLRMSRSWNAEKREVTYSSRKHSHRH >Vigun05g077900.1.v1.2 pep primary_assembly:ASM411807v1:5:7222796:7223555:-1 gene:Vigun05g077900.v1.2 transcript:Vigun05g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNPASNIRRCVVRRMPRVLLGACGCNDAVKFGVLYQHFSKWAKVDVVFTRSSIPFINATEIPHLYLEWADVMVIAPLSANTLAKIARGLSDNILTEIVRRWNPNKPIYVAPSVDVITWNNPSTEQHRKICVDQLGINIIEPSKMGEMAEPSEISYTVKISNDEIWDYVYC >Vigun02g202900.1.v1.2 pep primary_assembly:ASM411807v1:2:33686588:33688978:1 gene:Vigun02g202900.v1.2 transcript:Vigun02g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVRLVAFRSKLTQHSAYITSPLLHSRLKLPPLPPVHHTTTKHGPTFGFLGWFHMHHSCRRWRPGSINLPDHLPCASHPHHSPGLSASRSVLSAASSVFASSCSVSTTGCLTPTSQLSSSGISTTCLPSSGLPSSGLSTTRIPSPGHSTPGLSPSIFTTSCHPPPATPPPATPPPAVPPPALTPAPLSSPPATSPAPAPASLLSPSLSPSLAPAPGPSLSTISPSGDDSGAEKLWSFEKMIGSMVLACALLSMMF >Vigun05g214700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40683162:40686146:1 gene:Vigun05g214700.v1.2 transcript:Vigun05g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTLFFLVLNLVLQVLSSVSANEFVYNRNFNSSNVKLYGNATIQNSVLTLTNKTFFSIGRAFYPHKIKTKPSNSSTVLPFATSFIFSVAPCENFPVAHGFAFVFTPVMAVNGALSGNYLGLFNRSTSGNSSNHVFAVEFDDFRNEEFNEENDNHVGVDLNSMISEYSEPAGFWGGREGEEWEDLKLADGRNYQVWIEFEDSVINVTMAPAGKKKPRRPLISKPINLSWVLLDEMYVGFSGSTGRMVDYCRILAWSFSNTNFSIGDALNTKHLPVYVHPKTLLFRTNGFIIGVTFGAFFMGGFCALVFFCSLFKTRKEEKQENFEDWELEYWPHRISYQEICDATSGFSEEKVIGIGTSGKVYKGVLKGVVVAVKSINHETQHGMREFLAEISSLGRMKHRNLVGFRGWSKRKGGKLILVYDYMENESLDKRIFECEEAMLLSWEERVRVLQNVADGILYLHEGWEVEVLHRDIKACNVLLDKDMNARLGDFGLARLHRQEHVADTTRVIGTLGYMAPELVRIGRPTAACDVFSFGVLVLEVVCGRRPIIADQPPLVDWVFSLIEKGELNCAIDERLKGQSGYNAEESERMLHLGLLCVGDDPVSRPTMRQVVKTLEGIKCTECNEECIHLGLLGKINSAASWSKSSTSSSYVNYPTFDEILQTKFYSTASLSVSCPSPQPQSEFVSEGR >Vigun03g196000.1.v1.2 pep primary_assembly:ASM411807v1:3:27641926:27645779:1 gene:Vigun03g196000.v1.2 transcript:Vigun03g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASYARMPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRVKCMTDQTLDVSSKDLYSSDPSVVPVDFSDPASSDSSESRGVIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMETLTLEEKREWVDSSPTRVFEIDPVTQQVMVVDAEAYSYDDEVLKKAEAMGKPGLVEIIARQDSFIFTVESTGAIKTSQLVLNAIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >Vigun11g216900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41067399:41068446:1 gene:Vigun11g216900.v1.2 transcript:Vigun11g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITMAASLIGSSAISNRSPVASPRRLVVANASKAVEGEKNRVSYESDKEGNNGRRNMMFAAAAAAVCSVAGMAMADEPKRGTPEAKKIYYPVCVTMPTAKICHK >Vigun04g165700.1.v1.2 pep primary_assembly:ASM411807v1:4:39020926:39022050:-1 gene:Vigun04g165700.v1.2 transcript:Vigun04g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSQVGVSFFNGITPKGVVVSTHFKGCSLGVGGGGRPKRAVLVKAEAVGINPDIRKSEEKVVDSVVVTEISKPVTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >Vigun07g180900.1.v1.2 pep primary_assembly:ASM411807v1:7:29781997:29784209:1 gene:Vigun07g180900.v1.2 transcript:Vigun07g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAMERESLMTEAQNAPVTAQRRVRNDLENSLPKPYMPRALKAPDTSHPQGTPGHKHHNLSVLQQHCAFFDQDGNGIIYPWETYKGLRSLGFNIFASAIMAIVINAGLSYPTQPSWFPSLLFPIYIQNIHKAKHGSDSGVYDTEGRYVPASIENMFSKYARTVPDKLTLGEMWDLTEGNRNAFDPFGWFAAKFEWGALYILARDEEGFLSKEAVRRCFDGSLFEYCAKMHAGSADAKMG >Vigun11g146500.2.v1.2 pep primary_assembly:ASM411807v1:11:35541130:35544863:1 gene:Vigun11g146500.v1.2 transcript:Vigun11g146500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPKSKFSVYQNPSFSAVLTSNSLQPSKFTILSILSFFSASAFAFLAIIFRENCFIDILSFRTFSPFTAYWLAKTLQALVGFILIGTFSALLKVVFLRRARYAGGVVAVKPVSDSSNGNRTDILLTKHQLGLLGVRQKVDLAQPDSAKKPPKSKPQLPSSDLLVPLHQPIPSPTRGSSSRIDVDGSNSNRGAATRSIGTPSRSPGSASLYLAPGLVSPPRGPNGVDYVVSSPWSNKRASSASKITSEEKLEKFLAEVDERINESAGKMSTPPPTVPGFGIVSPNTVTGSSNTSGTTRLVPLRPVRMSPGSQKFNTPPKKGEGEFPSPMSMEESIQAFEHLGIYPQIEQWHDQLRQWFSSVLLNPLLNKIETSHIQVMQAAAKLGISITISQVGNDMLSTPATLPTIDKSQDWQSALSLNEDGLLHQLHSTLVLAIDSSKSKSFVSNIQQSPQQTSLVPIMQECVDAITEHQRLQALVKGEWVKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLYLFCAFLEHPKWMLHVDALSYAGAQSSKNPLFLGVLPPKERFPEKYIAVVSTVPSVLHPGACILAVGKQGPPIFALYWDKKLQFSLQGRTALWDSILLLCHKIKVGYGGVIRGMHLGASALSILPVMEAESED >Vigun11g146500.1.v1.2 pep primary_assembly:ASM411807v1:11:35539807:35544784:1 gene:Vigun11g146500.v1.2 transcript:Vigun11g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPKSKFSVYQNPSFSAVLTSNSLQPSKFTILSILSFFSASAFAFLAIIFRENCFIDILSFRTFSPFTAYWLAKTLQALVGFILIGTFSALLKVVFLRRARYAGGVVAVKPVSDSSNGNRTDILLTKHQLGLLGVRQKVDLAQPDSAKKPPKSKPQLPSSDLLVPLHQPIPSPTRGSSSRIDVDGSNSNRGAATRSIGTPSRSPGSASLYLAPGLVSPPRGPNGVDYVVSSPWSNKRASSASKITSEEKLEKFLAEVDERINESAGKMSTPPPTVPGFGIVSPNTVTGSSNTSGTTRLVPLRPVRMSPGSQKFNTPPKKGEGEFPSPMSMEESIQAFEHLGIYPQIEQWHDQLRQWFSSVLLNPLLNKIETSHIQVMQAAAKLGISITISQVGNDMLSTPATLPTIDKSQDWQSALSLNEDGLLHQLHSTLVLAIDSSKSKSFVSNIQQSPQQTSLVPIMQECVDAITEHQRLQALVKGEWVKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLYLFCAFLEHPKWMLHVDALSYAGAQSSKNPLFLGVLPPKERFPEKYIAVVSTVPSVLHPGACILAVGKQGPPIFALYWDKKLQFSLQGRTALWDSILLLCHKIKVGYGGVIRGMHLGASALSILPVMEAESED >Vigun10g136032.1.v1.2 pep primary_assembly:ASM411807v1:10:34869676:34881350:1 gene:Vigun10g136032.v1.2 transcript:Vigun10g136032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKNQVKKVSDVAAENLSSDTWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLVRKQVKRNRSDPTMFIVTYTGEHNHPTPTHKSSLAGSTRYKPQTGGDTATTKAVSPTTSSEVAQHSTKSECTKEELEDMMKDDEKANELELTEPVVSDDFFEGLEELTGSATDPFTASSSIDRWPLSNNATTTAGGS >Vigun01g013800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1547694:1550453:-1 gene:Vigun01g013800.v1.2 transcript:Vigun01g013800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKIPNIRPGDLSSTKDIFVSAVRFAVSIEGPCLPFGDELRISAQEQVDFMLGEDGDTTMIIADGEVQSAVTMGVYNIIHSFETDLRSLLLDTSLEPEGVYDRIMKRVSDLEWMCNVLPKMDLMKNFVSNWAAISSKILVIIEDRKLDHIMWGLKVKLIEVACKVLEAVGYGSVILPAPCRVQLLKTWFPYVRKMKPLLDSKAVEEIGFPYKMSEDLCQAIEGAIVSLILTLPSNDQADILADWIRSREIGYPDLSEAFEVWCYRTKSAKRRLVESLDGHSDTAVSP >Vigun01g013800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1547592:1550705:-1 gene:Vigun01g013800.v1.2 transcript:Vigun01g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKIPNIRPGDLSSTKDIFVSAVRFAVSIEGPCLPFGDELRISAQEQVDFMLGEDGDTTMIIADGEVQSAVTMGVYNIIHSFETDLRSLLLDTSLEPEGVYDRIMKRVSDLEWMCNVLPKMDLMKNFVSNWAAISSKILVIIEDRKLDHIMWGLKVKLIEVACKVLEAVGYGSVILPAPCRVQLLKTWFPYVRKMKPLLDSKAVEEIGFPYKMSEDLCQAIEGAIVSLILTLPSNDQADILADWIRSREIGYPDLSEAFEVWCYRTKSAKRRLVESLDGHSDTAVSP >Vigun10g169400.1.v1.2 pep primary_assembly:ASM411807v1:10:38837178:38845356:1 gene:Vigun10g169400.v1.2 transcript:Vigun10g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGVKAMFNRNKKLKGKVVLMRKNVLDTKAMTSVRGAVDTTRCVVSSTFIDSFSSFSGRCVSLCLISATKLAENGSGKVGKKAYLEGAISTIPPLKAEECPFYVHFDWDIEDMGIPGAFTIKNHLLVEFFLVSFTLEDVPNCWYFKNFVCNSWVYNHHFYEKDRIFFANETYVPSETPVALVSYREAELQSLRGNGKGKLRQWHRVYDYDVYNDLGNPDSNEDLGRPVLGGSVTYPYPRRGRTGRKPTKKDCKSEKRSSKIYVPRDEHFGHLKSSDFLSFGIKSISRNVWPHLKPRLDSNISKDEFESFDEVHDLSEGGIKLPLDTISKISSLPLLQEIFRTDGENVLKFSVPHVIRVDKSAWMSDEEFAREMLAGVNPCSIRRLQEFPPQSKLDRSVYGDQTSKITEQHLNINLQGLTVDKATINAIKCDRLFILDHHDVFMPFLRRINEYTSSKAYATRTILFLKDDGTLKPLAIDKVVLPAKDGVQSAIWLLAKAHVIVNDSCYHQLISHWLNTHAVIEPFVIATNRNLSVLHPVHKLLLPHYRDTMNINAFARESLIHADGIIEKCFLGGKYAMEMSSAVYKHWVFPEQALPADLIKRGMAIKDSSSPNGLRLVIKDYPYAVDGLEIWNAIKTWVHDYVSLYYVTDDMVKKDRELQTWWREVVEKGHGDLKEHSWWPKVHTREELIQSCSTIIWIASALHAAVNFGQYPYGGFILNRPTLSRRLIPEKGTAEYDEMEKNPEKAYLRTITPKYQTLVDLSVIEILSRHASDEVYLGHRDKDWTSNPKAIEAFKRFGEKLAEIEKRISERNRDPNLKNRTGPVQLPYTVLLPNSETGLTFRGIPNSISI >Vigun01g015100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1642757:1646464:-1 gene:Vigun01g015100.v1.2 transcript:Vigun01g015100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKSKSKSKAGKDQRNATPTTYGTSNHGTGPTGTSATAYNPVSTASFPSLETALVSNFTPTNGNTHFQKIDDTYEHPSSLQGTVSECDSVSNNGSCSGESEDPKEKVRKSSIGQEGVPGSDNDRREKIRLKNERKHQRQRERRAQELHNRCCGYLMSKKLESLAQLLVAMGFSSDRATLALMLNDGKIEESVSWLFEAGEVHTKTTTSNVGLRANLKIDVSEELVQVSDMEARYNCSKQEVERVVVACEGDLRKAENTLKSQKQESSVSQLKPEQSAKISGLNLMRSQRLSSVSVSTQQRQKVEGDFKYSRAASVFPDPQSKNLLSLHMNHQMALPVKRWVVSGSSPSATYAMVPSTQVSSSSPSVKIEVQLSSFGNKGRMFHQGMDREPVVMMQQQHPLFRNDKQDPISRGNTSPSGTQGLYANNYPAAENVRSNGMFLQNHIRGSVANVNMDQFYQAYYKEHPYVFGHVDSSPSGVGLFCKPMHHTSSPWPSIRWSTTEPSPSLTVPPSLGLFSGQSAATAFSSHSHVDWNSGGMMPEFDYTRVDWTLDCTSLSSKQGGLRLGISALSRNISGNRMSNSCMAGLQNVGMSRNTSSFSGLRDWTSPFEGNDIFSLPRSFVTSPPLMRL >Vigun01g015100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1642757:1646464:-1 gene:Vigun01g015100.v1.2 transcript:Vigun01g015100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKSKSKSKAGKDQRNATPTTYGTSNHGTGPTGTSATAYNPVSTASFPSLETALVSNFTPTNGNTHFQKIDDTYEHPSSLQGTVSECDSVSNNGSCSGESEDPKEKVRKSSIGQEGVPGSDNDRREKIRLKNERKHQRQRERRAQELHNRCCGYLMSKKLESLAQLLVAMGFSSDRATLALMLNDGKIEESVSWLFEAGEVHTKTTTSNVGLRANLKIDVSEELVQVSDMEARYNCSKQEVERVVVACEGDLRKAENTLKSQKQESSVSQLKPEQSAKISGLNLMRSQRLSSVSVSTQQRQKVEGDFKYSRAASVFPDPQSKNLLSLHMNHQMALPVKRWVVSGSSPSATYAMVPSTQVSSSSPSVKIEVQLSSFGNKGRMFHQGMDREPVVMMQQQHPLFRNDKQDPISRGNTSPSGTQGLYANNYPAAENVRSNGMFLQNHIRGSVANVNMDQFYQAYYKEHPYVFGHVDSSPSGVGLFCKPMHHTSSPWPSIRWSTTEPSPSLTVPPSLGLFSGQSAATAFSSHSHVDWNSGGMMPEFDYTRVDWTLDCTSLSSKQGGLRLGISALSRNISGNRMSNSCMAGLQNVGMSRNTSSFSGLRDWTSPFEGNDIFSLPRSFVTSPPLMRL >Vigun03g097100.2.v1.2 pep primary_assembly:ASM411807v1:3:8226088:8228100:1 gene:Vigun03g097100.v1.2 transcript:Vigun03g097100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFDEWKPFLVMIAIDFCLTMVNILLKQVLQKGMNHLVFVTYRLSVSTIFLAPISYFKERNRRPRLTFQILCYLFFSAIIGASVTQYFFLLGIQYTSATFACAFVNMVPVITFIMALPFGLETVNIKCRGGKAKILGTFVCVGGALVLTLYRGKPLFDVSHYETRVPPVAMKSAVDEGSSSGRTAQKWSIGVIALMMGTLFWSFWFILQSKIGKRYPCQYSSTAIMTFFGAMQAAILGLSTGTNLSSWILKDKMQIITVLYSGIVGSSVCYVGMSWCVKKRGPVFTAAFSPLVQIMSGMIDIPYLHEQLHLGR >Vigun03g097100.1.v1.2 pep primary_assembly:ASM411807v1:3:8226088:8228100:1 gene:Vigun03g097100.v1.2 transcript:Vigun03g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFDEWKPFLVMIAIDFCLTMVNILLKQVLQKGMNHLVFVTYRLSVSTIFLAPISYFKERNRRPRLTFQILCYLFFSAIIGASVTQYFFLLGIQYTSATFACAFVNMVPVITFIMALPFGLETVNIKCRGGKAKILGTFVCVGGALVLTLYRGKPLFDVSHYETRVPPVAMKSAVDEGSSSGRTAQKWSIGVIALMMGTLFWSFWFILQSKIGKRYPCQYSSTAIMTFFGAMQAAILGLSTGTNLSSWILKDKMQIITVLYSGIVGSSVCYVGMSWCVKKRGPVFTAAFSPLVQIMSGMIDIPYLHEQLHLGSVVGSVLVMMGLYILLWGKSKDMMQNTDPKFLQQPEQTKEQEPQV >Vigun07g093300.2.v1.2 pep primary_assembly:ASM411807v1:7:14930705:14935687:1 gene:Vigun07g093300.v1.2 transcript:Vigun07g093300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTPTNKRKERDDPFDRPKPIPAPPTTKILKPDRPVDPITATSKAVFVEPKPSNQLLAGYLAHEFLTKGTLLGQPWAPPKGKSTEDGGEEAEPTAAPPPYQRTEQERERSETACVILATRSSSLSDQFFHLIDWR >Vigun07g093300.3.v1.2 pep primary_assembly:ASM411807v1:7:14930705:14935687:1 gene:Vigun07g093300.v1.2 transcript:Vigun07g093300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTPTNKRKERDDPFDRPKPIPAPPTTKILKPDRPVDPITATSKAVFVEPKPSNQLLAGYLAHEFLTKGTLLGQPWAPPKGKSTEDGGEEAEPTAAPPPYQRTEQERERSETACVILATRSSSLSDQFFHLNRVSRGLGKVLVLLESDELFTSVEPHNVVAER >Vigun07g093300.4.v1.2 pep primary_assembly:ASM411807v1:7:14930705:14935687:1 gene:Vigun07g093300.v1.2 transcript:Vigun07g093300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTPTNKRKERDDPFDRPKPIPAPPTTKILKPDRPVDPITATSKAVFVEPKPSNQLLAGYLAHEFLTKGTLLGQPWAPPKGKSTEDGGEEAEPTAAPPPYQRTEQERERSETACVILATRSSSLSDQFFHLNRVSRGLGKVLVLLESDELFTS >Vigun01g173000.1.v1.2 pep primary_assembly:ASM411807v1:1:35503295:35505086:-1 gene:Vigun01g173000.v1.2 transcript:Vigun01g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuTFL1a MARMPLEPLIVGRVIGEVLDSFTTSTKMTVSYNKKQVYNGHEFFPSSINIKPKVEIEGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKELVSYEIPKPNIGIHRFVFVLFKQKRRQCVTPPSSRDHFNTRNFAAQNELGLPVAAVYFNAQRETAARRR >Vigun03g087400.1.v1.2 pep primary_assembly:ASM411807v1:3:7258449:7267196:-1 gene:Vigun03g087400.v1.2 transcript:Vigun03g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTPIQTLKFPSLQPKPLFSLKLPSFFTPHTFTAHNLTVSAATSSNTTVSASTPPSPPSPARSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNEDKALFTIVVSGTDKVLRQVMEQLQKLVNVLKVEDLSREPQVERELMLIKVHADPKHHAELKWLVDIFRAKIVDISEHSVTIEVTGDPGKMAAVQRNFSKFGIKEIARTGKIALRREKMGASAPFWRYSAASYPDLERKTPVNALVGAKNVNSVAKNDTPVGGDVYPIEPSESFTVNQVLDAHWGVLNDEDVSGIRSHTLSMLVNDSPGVLNIVTGVFARRGYNIQSLAVGHAEVQGLSRLTTVVPGTDETISKLVQQLYKLVELHEVRDITHLPFAERELMLIKIAVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALVRESGVDSKYLRGYSYPL >Vigun01g241400.1.v1.2 pep primary_assembly:ASM411807v1:1:41102311:41108051:-1 gene:Vigun01g241400.v1.2 transcript:Vigun01g241400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSLGSRATNSPMKRDAIAVAVGGAGDSSPLITESHLTDDDEHDRHSSKERDRHVCSFLNDDPRVSPHHSRISLFFTFLLILVGLVSVFTIFHKLNAPYLCKKDGIVLHCPHVKESPSLWENPFSSTTSWRPCAERRDGVLSELPHENETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKYDVRIVRDIPQWFTDKSELFSSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINKLRCRVNYHALKFLPDIEQMADSLASRMRNRTESSNPYMALHLRFEKGMVGLSFCDFVGTREEKVKMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCRA >Vigun01g030300.1.v1.2 pep primary_assembly:ASM411807v1:1:3763794:3771585:1 gene:Vigun01g030300.v1.2 transcript:Vigun01g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVNEFVNLLKKRKIEGSQATAKQTAELLRSVISHQRVSHTNQATSLINAIRTVGEKIIDANPIELSVGNIVRRVLHIIREEDLSLVTDALAGFGSDDEDDVERDDHPALSAAAVAAAARSTLRPPSLQTLLEDVTDSAAVPATPSSTGDSDGKSRSVEKGTRGRKLKHDVIEAVNELIQDITSCHEQIAEQAVEHIHQNEVILTLGSSKTVFEFLCAAKEKKRSFKVFVAEGAPRYRGHLLAKELAARGLQTTVIADSASFAMISRVNMVIVGAHAVMANGGVIAPIGLHMVALAARRHAVPFVVLAGSHKLCPLYPHNPHVLLNELRSPSELLDFGEFSDLMDSATGVGSLHVVNPAFDYVPPDLVSLFITGTGGHNPSYMYRLIADYYSAADLVVKQRPTTGN >Vigun01g069300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18601841:18604241:1 gene:Vigun01g069300.v1.2 transcript:Vigun01g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPKLQPCSPSLLHRILSTLAVLADKCTTMDQLKQLHAQMIVSAVVTADAYAVSRLLFSCALSPFADLSHASRIFQSTPHPNSFMWNTLIRAQPQALHALSLYLAMRRNRVLPGKHTFPFLLKACSQLRNLPACKQLHVHVMKFGLASDLHVANALVRCYSVSGNWIDARLLFDEMTERSPSLWTTMICGYAQNFRSNEALQLFSEMVGAGFEPGGAILASVLSACARSGYLEFGERIHGFVRVKGVGLGEGVILGTALVYMYAKNGEIAKARRLFDEMPERNVVTWNAMICGLGAHGHAEDALGLFEKMKREQIVCPNGVTFVGVLSACCHAGLIDVGREIFRSMKLVYGIDPKIEHYGCLVDLLGRGGRLLEAEELVKGMPWKADVVILGALLAASKSSGNTEVAVRVVNEILALEPQNHGVHVALSNIYAEAGQWQEVLRLRKTMKEEKLKKEPGWSLIVERN >Vigun01g069300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18601841:18604240:1 gene:Vigun01g069300.v1.2 transcript:Vigun01g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPKLQPCSPSLLHRILSTLAVLADKCTTMDQLKQLHAQMIVSAVVTADAYAVSRLLFSCALSPFADLSHASRIFQSTPHPNSFMWNTLIRAQPQALHALSLYLAMRRNRVLPGKHTFPFLLKACSQLRNLPACKQLHVHVMKFGLASDLHVANALVRCYSVSGNWIDARLLFDEMTERSPSLWTTMICGYAQNFRSNEALQLFSEMVGAGFEPGGAILASVLSACARSGYLEFGERIHGFVRVKGVGLGEGVILGTALVYMYAKNGEIAKARRLFDEMPERNVVTWNAMICGLGAHGHAEDALGLFEKMKREQIVCPNGVTFVGVLSACCHAGLIDVGREIFRSMKLVYGIDPKIEHYGCLVDLLGRGGRLLEAEELVKGMPWKADVVILGALLAASKSSGNTEVAVRVVNEILALEPQNHGVHVALSNIYAEAGQWQEVLRLRKTMKEEKLKKEPGWSLIVERN >VigunL072800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:54318:55517:-1 gene:VigunL072800.v1.2 transcript:VigunL072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVTSISSLVHLYSISYMSEDPHSPRFMCYLSILTFFMPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPRNSWISCNMRLNAITLICILLLIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITSAGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRSLFLTFLVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKV >Vigun01g112200.1.v1.2 pep primary_assembly:ASM411807v1:1:28613023:28617868:1 gene:Vigun01g112200.v1.2 transcript:Vigun01g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHDAYSNGSVGAQNCNGVEEKLDTLRRLIGKGDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKIQIRIWRRAGRAVDRGTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKYWKERITVPIIISLSKGIEAALEPLPHIITPTKMIHQATRVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPQFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGQLRPDMGDSISGKGMIQGVSAVEAFFELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILISREQSSQAILKALRDENLNDPRERIEIAQSHVFYKPSLLGQS >Vigun03g150900.1.v1.2 pep primary_assembly:ASM411807v1:3:15847741:15851889:-1 gene:Vigun03g150900.v1.2 transcript:Vigun03g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGCSEASSGGGNMNVKPAWLERLMAETFFGNCGVHQNQKKNEKNVFCLHCYLSICPHCLPSHRSHSLLQVRRYVYHDVVRLGDLEKLVDCANIQPYTINGAKVIFLNQRPQSRSFKGTANTCCTCDRILQEPFHFCSLSCKFEGLSVDCYTQFVPTSSEATTSMPNNNNNNNKNKNKNKQNTNGFFISLGSRRKGAPHRAPLS >Vigun03g016301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1142198:1145131:-1 gene:Vigun03g016301.v1.2 transcript:Vigun03g016301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAITFVKALLQVSNKAFNIQTPRLLRSFSYLAIDVEQDHVHIPREKPFDVDPKLLGRMVRDKTLESLTQFGGAKELASLLETDVKRGVSDSKDDLDHRKRVFGVNMFTKPPSKCFFSFVLESFKDTTIIILLACAVLSLGFGIKQHGWKEGWYDGGSIILAVILVIVVSSVSNFNQSRQFRKLSAESENIGVEVVRGGRRQSVSIYDVVVGDIAYLKIGDQVPADGVFLEGYSLKVDESSMTGESDHVNVNAETNPFLLSGTKISDGFAYMIVTSVGMNTAWGEMMGSIAREVNEETPLQGRLNKLTSAIGKVGLLVAALVLVVSMIRYFTGSTRDDFGNREFVGRKTKFDDVMNAVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKKMMRDNAMVRRISACETMGSAATICTDKTGTLTMNEMQVTEVWVGKEEIAEGAHLAPSLVQLLKEGIGLNTAGSVYNTPQTSQPEISGSPTEKALLSWAVGDLGMDIDVVKQHCEIIHVETFNSEKKRSGILMREKKGSNRIVTHWKGAAEMILGMCSSYYDQTGEIMIFNDEERVQIENIVKGMATKSLRCLAFAQKSSKGKVCEKLEETELTLLGILGLKDPCRPGVEAAVESCRNAGMQIKMITGDNVHTAKAIASECGILDPSEELDGDAVVEGFQFRNYSHEERMDKVDRIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIEGTEVAKESSDIVILDDNFSSVVTVIRWGRCVYKNIQKFIQFQLTVNVAALVINFVAAVSSGKVPLTAVQLLWVNLIMDTLGALALATEEPTDDLMKMPPVGRVEPLITRVMWRNLISQALYQVLVLLVLQFRGRSIFDVTEKVKNTIIFNAFVLCQVFNEFNAREPEKKNIFKGLGKNRLFIAIVSLTIVLQLVMVEFLKKFASTERLSWEQWGVCVSIGALSWPIGLLVKCIPVVRKK >Vigun07g200200.1.v1.2 pep primary_assembly:ASM411807v1:7:32087871:32094952:-1 gene:Vigun07g200200.v1.2 transcript:Vigun07g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSVISSSLHMTNSMTVKGVLSFAPNPPLFHHLPKAVAFVSLSASTKPPTKSFQVRSVVGTTEAASGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGALVPVEGPDYPLFALEINPEKSREEFRNAAQKNGGTGVKDFMDGMGLGMIADQLGELKLGELLDSPPPGLDEAIAISKVMQFLESQEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQENTQQNAADKLEKLRERMLKVRELFRDTDSTEFVIVTIPTVMAVSESSRLSASLKKESVPVKRLVVNQILPPSPSDCKFCAMKRKDQMRALDLIQSDPELSSLLMIQAPLVDVEIRGVPALKFLGDIIWK >Vigun01g161600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34337101:34337334:-1 gene:Vigun01g161600.v1.2 transcript:Vigun01g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Vigun03g331700.1.v1.2 pep primary_assembly:ASM411807v1:3:52929731:52931175:-1 gene:Vigun03g331700.v1.2 transcript:Vigun03g331700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTTQACAACKHQRRKCTSECLLAPYFPADQPKVFLNVHRLFGVSNIVKILKILDPNQKKIAMDSIIIQANYRDKYPVNGCWEEICRLQYQIWVAEEELHAVYQQLQICRQQQHHQPPSMPDDVTSQLELGMAPCTNSNALPLFNHHTPQPNTYNNNVTAFSVSQQHSYSNSNSMDYSSLYLDSKDNAMNNNPLWVQYPSSKNDNSVGMQTPMLNSSQPLPIQQNVVEDFVDMPPIFDSLDDRQSYVYSKEAYESSSEKSLKDIRKCTEHVSENELKNAAACFSLTSVN >Vigun03g139200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13713900:13715790:-1 gene:Vigun03g139200.v1.2 transcript:Vigun03g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSICNLFLPIILSLFPRTLCATVLQDLKNLHQPPAFNSTILSNCLNNPSLRYCTSSPIMDFDEIFKFTIVASHLCNESNNPNCVESFPKVDLRNRPNIAPLYLSFDFFWKYCPLSIMSIDLSNNSMKGGFPTEVFYCTQIQSLDLSINAFSGDIPIQSFSSFTNLTFLNLSYNGFSESKLSDSQFFKRFNSSSFLHSGALLDPKKFTVKAVVLLVCFPILVIFMVISLVWLCFQRPDFLPRKLQRGWRFTPAILKAATDGFSHKNLVGMSDVVHIYKGTLRDGTEVKIEMYWDDIPRDSYRRFVDECNILSKLHHKNLVRVLGGVRAENSGPLSQNGREKKTLKCGYQGQVHHGIIE >Vigun03g139200.2.v1.2 pep primary_assembly:ASM411807v1:3:13713900:13715790:-1 gene:Vigun03g139200.v1.2 transcript:Vigun03g139200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSICNLFLPIILSLFPRTLCATVLQDLKNLHQPPAFNSTILSNCLNNPSLRYCTSSPIMDFDEIFKFTIVASHLCNESNNPNCVESFPKVDLRNRPNIAPLYLSFDFFWKYCPLSIMSIDLSNNSMKGGFPTEVFYCTQIQSLDLSINAFSGDIPIQSFSSFTNLTFLNLSYNGFSESKLSDSQFFKRPDFLPRKLQRGWRFTPAILKAATDGFSHKNLVGMSDVVHIYKGTLRDGTEVKIEMYWDDIPRDSYRRFVDECNILSKLHHKNLVRVLGGVRAENSGPLSQNGREKKTLKCGYQGQVHHGIIE >Vigun05g093200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9014853:9020006:1 gene:Vigun05g093200.v1.2 transcript:Vigun05g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAGKPSSLRNFLVRFLLFGVLIIGVRFAYIIAVAGESCNIGDFCFFSLPQTLSLVTAGTGPLAVESASGGGSLQPELYTSKDWIKGVRFYSSAFQDLIAGGYLSPQSKSLCVETPTGRDVFSLREIGVKDAVGIARKGVKPLVKFGRGERIPFGNRTFDFVFSGEDSFVQSAKPADFAAEIDRTLKPGGFAVFHFANPKDTYSFNSFLDLFHCFRVVKLHGLEGFDSSMPYIREIVLKKECGDGAGKFDFGDSNGKCYVPGYKHDLVKIAEPLISEEPLKPWITLKRNVKNIKYLPSMVDISFKNRYLYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKTFHPEYGLKKGITLVPYAAWVKNETLTFEIHRDPGEHVEVKGRGMGRIRPVQSLGKEEFDGEVEKIQGFDFAQWLKKTVTKNDFVVMKMDVEGTEFDLIPRLFKTGAICLIDEIFLECHYNRWQRCCPGQRSSKYEKTYDQCLQLFNSLRQSGVLVHQWW >Vigun10g199600.1.v1.2 pep primary_assembly:ASM411807v1:10:41179171:41191699:-1 gene:Vigun10g199600.v1.2 transcript:Vigun10g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVGDGGKDPYIFSTNNFVGRQIWEYDPEAGSIEERAEVEAARNNFYQNRFKIKPCGDLLWRFQVLRENNFEQRIPSVKIEDGEEISYEKVTAAVKRGAYHLSALQTSDGHWPAQIAGPLFFLPPLVFCMYITGHLESVFPEEYRKEILRYIYYHQNEDGGWGLHIEGHSTMFCTALNYICMRILGEGPNGGHDNACARARKWIQDHGSVTHIPSWGKTWLSILGVFDWCGSNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELFAEPYEKVNWKKARHQCAKEDLYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVREKALQVTMKHIHYEDETSRYITIGCVEKVLCMLACWVEDPNGDAFKKHLARVPDYLWMSEDGMTMQSFGSQEWDAGFAVQALLATNLVEEIGPTLAKGHYFIKESQVRDNPYGDFKGMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSTLPPEIVGEKMEPQRLYDSVNVLLSLQSKKGGLAAWEPAGAQEWLELLNPTEFFADIVVEHEYVECTGSAIQALVLFKKLYPGHRKKEIENFISNAVRFLENTQTGDGSWYGNWGVCFTYGSWFALGGLAAAGKTYTNCAAIRKAVKFLLTTQREDGGWGESYLSSPKKIYVPLEGTRSNVVHTAWALMGLIHAGQADRDPTPLHRAAKLLINSQLEEGDWPQQEITGVFMKNCMLHYPMYRDIYPMWALAEYRRRVSLPSREV >Vigun10g199600.2.v1.2 pep primary_assembly:ASM411807v1:10:41179171:41191699:-1 gene:Vigun10g199600.v1.2 transcript:Vigun10g199600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVGDGGKDPYIFSTNNFVGRQIWEYDPEAGSIEERAEVEAARNNFYQNRFKIKPCGDLLWRFQVLRENNFEQRIPSVKIEDGEEISYEKVTAAVKRGAYHLSALQTSDGHWPAQIAGPLFFLPPLVFCMYITGHLESVFPEEYRKEILRYIYYHQNEDGGWGLHIEGHSTMFCTALNYICMRILGEGPNGGHDNACARARKWIQDHGSVTHIPSWGKTWLSILGVFDWCGSNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELFAEPYEKVNWKKARHQCAKEDLYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVREKALQVTMKHIHYEDETSRYITIGCVEKVLCMLACWVEDPNGDAFKKHLARVPDYLWMSEDGMTMQSFGSQEWDAGFAVQALLATNLVEEIGPTLAKGHYFIKESQVRDNPYGDFKGMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSTLPPEIVGEKMEPQRLYDSVNVLLSLQSKKGGLAAWEPAGAQEWLELLNPTEFFADIVVEHEYVECTGSAIQALVLFKKLYPGHRKKEIENFISNAVRFLENTQTGDGSWYGNWGVCFTYGSWFALGGLAAAGKTYTNCAAIRKAVKFLLTTQREDGGWGESYLSSPKKIYVPLEGTRSNVVHTAWALMGLIHAGQADRDPTPLHRAAKLLINSQLEEGDWPQQEITGVFMKNCMLHYPMYRDIYPMWALAEYRRRVSLPSREV >Vigun05g295400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48058686:48060907:1 gene:Vigun05g295400.v1.2 transcript:Vigun05g295400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCRLLLLQVLCCTLFLPSHVTAFTVIMSDSGVPSTLVDGPQTGFSMNQDGSRTDKREQEAVYDIMRATGNDWATNIPDVCRGRWHGIECMPDKANVFHVVSLSFGALSDDTAFPTCDPTRSVISPSITRLPHLRTLFFYRCFSYNPQPIPSFLGLLGPTLQTLVLRENGHIGPIPVELGNLTRLKVLDLHKNNLNGSVPLSLGRITGLRSLDLSANKLTGSIPGFSFPSLNVLDLSQNLLMGPIPSSLWDCHSLIKLDCSRNRLAGPLPEKPMSLKDLMLLDLSYNRLQGPFPESIKSLSSLQALILKGNPMGSTTMIPSDGFDGMKALMIVVLSNMNLHGPVPESLGKLRNLRVLHLDGNHLNGSIPKSFGDLRNLSELRLNDNGLSGLVPFEREMVWRMKRKLKLNNNSGLCYDARSGLGDTMDSTFDLGIGSCDTESTTPDSVTTHQQHLSMSFPTPTPTHFTSHGVKPLTSSLPLPIFLLFTIIFLYVNK >Vigun05g185300.1.v1.2 pep primary_assembly:ASM411807v1:5:35732701:35738047:1 gene:Vigun05g185300.v1.2 transcript:Vigun05g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFDLNIPYPQPSPTNKVAEQSIRTRLAVKAMELGYTGIAYNRTIKGVMSEQHRCSIPPLTLSSLLNVLPFLSLSANLHRSLLGVPLSTPFRQYTRLTVCVDSASQAQALNSGNPILKTYDLVAVQPFNQTAFDLACQTMEVDIISIDFSAKLPFRLKQPMVKVAMQRGICFEVTYSGLFADIHKRRQLISGAKLLMDWTRGHNIVFSSAAPTVNELRGPCDVANLCSLFGLSKERANAAISKNCRILLANSLRKKRFYKEAIRVEVLSTDAASHSKEDRYQELLQWDPISSGEGDILLNDVEKSSLVSCKGSKTEKAIDFASLVNSLPSNGFEIKNFLPANKVLPACLDNKINSPPVTQKLDQSATLPNNFTEHSTIPDICLEQDENPLSNALTKSYSLSRDHVFEKNMQNEISDAVNLKEINIPTALDSTFPDDKVNFLPVAKNINQSTLVPNNITVQLDRLDVCPEQGEISQPQKQSEDFLEKNIHSGTSNAFNSMEMDISTTATKLKQRSSTDSNVDLIPLEAKAFDVESNFSSNTWNTLKPHENDKLSGSSQEVAHDIAHKVDIFDSIIPAPFHDKHSSDKSSDVNLNEVGKIHAALPNEDLETSISDTSMEGKQFDKRHDAVELEKQQLKSYDEMEMQDNSEAASHLSPDVIMKDKELGEVITESDQLASVHRVSGALKLKRRTPRELPLFPLKRLLNPTAFKKKVKKSKTRTKLK >Vigun05g185300.2.v1.2 pep primary_assembly:ASM411807v1:5:35732698:35738055:1 gene:Vigun05g185300.v1.2 transcript:Vigun05g185300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGEPKLPNVDIISIDFSAKLPFRLKQPMVKVAMQRGICFEVTYSGLFADIHKRRQLISGAKLLMDWTRGHNIVFSSAAPTVNELRGPCDVANLCSLFGLSKERANAAISKNCRILLANSLRKKRFYKEAIRVEVLSTDAASHSKEDRYQELLQWDPISSGEGDILLNDVEKSSLVSCKGSKTEKAIDFASLVNSLPSNGFEIKNFLPANKVLPACLDNKINSPPVTQKLDQSATLPNNFTEHSTIPDICLEQDENPLSNALTKSYSLSRDHVFEKNMQNEISDAVNLKEINIPTALDSTFPDDKVNFLPVAKNINQSTLVPNNITVQLDRLDVCPEQGEISQPQKQSEDFLEKNIHSGTSNAFNSMEMDISTTATKLKQRSSTDSNVDLIPLEAKAFDVESNFSSNTWNTLKPHENDKLSGSSQEVAHDIAHKVDIFDSIIPAPFHDKHSSDKSSDVNLNEVGKIHAALPNEDLETSISDTSMEGKQFDKRHDAVELEKQQLKSYDEMEMQDNSEAASHLSPDVIMKDKELGEVITESDQLASVHRVSGALKLKRRTPRELPLFPLKRLLNPTAFKKKVKKSKTRTKLK >Vigun06g110300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23927844:23930190:-1 gene:Vigun06g110300.v1.2 transcript:Vigun06g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHDAVFREEDMDDEDDESQEDEEFEEDDDVLPADDEENEPSPSAALAVTVAVPGSAISNGGAAPLSTPTATTIVIGDSSDPKRRRVEPIEEKKPPPPLDDSRRLFQRLWTDEDEIELLQGFLDYTSQRGSSHHNDTALFYDQIKSKLQLDFNKNQLVEKIRRLKKKYRNVLNKIGSGKEFSFKSAHDQATFEISRKIWSSVAPIGENSLDDDEINLCPNPNPIPHPNLNFSPVILKNETTSRNSAEKKTPKRSRPRSAVKIEPNDGSASNRDLNCTSNATTMVTPTATATNTTATTTTNNNHNSGYSNNIPSLIEETVRSCLSPVVRELVGAIGGGGGAFGGRGVSFNLNPMPLMNLSYGGGELVDEKWRKQQILELEVYSKRLELVQDQIKAAMEELRSGGGGGGGGV >Vigun11g169800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37588371:37590092:-1 gene:Vigun11g169800.v1.2 transcript:Vigun11g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVVYNIEDFLADSDQNRRNKGIQPSKQQTVTVA >Vigun03g120700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11262634:11263107:-1 gene:Vigun03g120700.v1.2 transcript:Vigun03g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNLVFILTIISMCNICLAQSSPQDFLDEHNKARKEVGVKPLVWNETLVAYGQKYVNSKKKTCEFVHSMGPYGENLAMGYGEFSGRDSVKMWVGEKPNYDEKSNKCVKGECLHYTQVVWKNTKSVGCARAKCDNNAIYIICNYYPPGNYIGSRPY >Vigun11g052400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9078676:9080980:-1 gene:Vigun11g052400.v1.2 transcript:Vigun11g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHFDSNGEVSLKQKSKSISAEPTVSTCENDCFDCNICMEAAYDPVVTLCGHLYCWPCIYKWLHLQNSSVEQDKQQTCPVCKSQISDTSVVPLYGRGTSNSESKAKKLQMSLGIPHRPPPYNLNDMLTSSAPAPAHSGHQIRPSYFQSQPRPFHYQQYFPHLYESYGTNGLPYLGGAAMTSFFNPMIGMFGEMVLTRIFGVSDANLFPYPHSGNGSPRMRRQEMHIDKSLNRLSIFLLCCIILCLLLF >Vigun02g109700.1.v1.2 pep primary_assembly:ASM411807v1:2:26366201:26369694:1 gene:Vigun02g109700.v1.2 transcript:Vigun02g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFARIASLVSTKFPNSKSSPSLSNNIRISSSLQDLSSYRHVDATLPLHKLPTPSSSSRRRCLKVALFLASFFLLTYLVFFLLYSYWNHGSGKYYVVLDCGSTGTRVFVYHASVRFQRRSNLPIVVDSLRNSLQKKPSGRAYDRVETEPGIDKLVRNVTGLQKALKPLLRWAKKKIPLHAHKSTSLFLCATAGVRRLPVIDSMWLLDNAWTVLKNSPFICERDWVRIISGPEEAYFGWIALNYDSGILGARPRKATYGALDLGGSSLQVTFESDQQMNNDTSLYVSIGSVRHHLTAYSLPGYGLNEAFGKSVDYLYRKEFALGNVVVGSGGNIELKHPCLQDGYKDEYFCSRCSSNNKGGKELSGGGGLGTSLVLVGAPNWKECSALAKVAVNLSEWYDLGAGLDCAAQPCALRDSMPRPYGHFYVISGFYVVFRFFNLTSEATLDDVLAKGKDFCEKRWDVAKTSVAPQPFIDQYCFRAPYITSLLREGLHINDNQVSVGSGSITWTLGVALLEAGKAFSTRFGIRDLELFRFKINPLAFVPILLVSFILLLCALSCIGNWMPRFVRRQYLPISRLNSVSGASVLNMQSPFRFQHWSPVNSGDGRSKMPLSPKITDSQQSPFGLGHGLDDNNGGIELMKSSSYPSASNVSHSYSSNSLGQMQFENSNMGAFWSSYRSQMHLQSRRSQSREDLNSSLAEVQNFKS >Vigun08g132266.1.v1.2 pep primary_assembly:ASM411807v1:8:30333943:30334751:-1 gene:Vigun08g132266.v1.2 transcript:Vigun08g132266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGFVTMFLKHQDFGYVDRLFIIRNLKDLGSQWTIVDYERNVHTVTYNMNIHTPMITQGWNDLISFYADESDKLVVFKYFGNSSFQLHVSKRVCDSTLKANFFNHLSIRRPLHTSYLKHFEVQLSTYYCRSSDLVSFLFL >Vigun04g202000.1.v1.2 pep primary_assembly:ASM411807v1:4:42493351:42495225:-1 gene:Vigun04g202000.v1.2 transcript:Vigun04g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYGGHILPRPGTNSLFYAGGETRILSIHRRTLATLSSFRTHLSTLLSLKYPFTLKYQLPHLHLDSLITLASDEDLHILVDEHHRSSSRIRLFVFPAFRHPKSESWFLDALKCSSIMHHSFPLDATSSSVTPPLHHHPSSLPSDSTVSSIISQRHNICYQDPVQVETQIPFVSNNANVNALYSSFPRFNPLLQSATRNLPLHHIYQQPRPSTHLLYQTNPVCPVYLVPVTLPMTASTQPSMNLNVSSLGFHVPHNYTSNSHNYLTHTQTAPLVHHHQPQSQNISITSVESPESGNELHNNLARDQIYKSQPPPPALPSKHQTLRKDTAELLSEALSQLHVDSRSR >Vigun04g202000.2.v1.2 pep primary_assembly:ASM411807v1:4:42493351:42495134:-1 gene:Vigun04g202000.v1.2 transcript:Vigun04g202000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAESTAKLRLMCSYGGHILPRPGTNSLFYAGGETRILSIHRRTLATLSSFRTHLSTLLSLKYPFTLKYQLPHLHLDSLITLASDEDLHILVDEHHRSSSRIRLFVFPAFRHPKSESWFLDALKCSSIMHHSFPLDATSSSVTPPLHHHPSSLPSDSTVSSIISQRHNICYQDPVQVETQIPFVSNNANVNALYSSFPRFNPLLQSATRNLPLHHIYQQPRPSTHLLYQTNPVCPVYLVPVTLPMTASTQPSMNLNVSSLGFHVPHNYTSNSHNYLTHTQTAPLVHHHQPQSQNISITSVESPESGNELHNNLARDQIYKSQPPPPALPSKHQTLRKDTAELLSEALSQLHVDSRSR >Vigun03g385450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59105523:59105669:-1 gene:Vigun03g385450.v1.2 transcript:Vigun03g385450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGLHHRLLRKMNYLNTTRSSLNEKQVKRELAIVFLREALMKGKWLW >Vigun03g177700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22122450:22123396:1 gene:Vigun03g177700.v1.2 transcript:Vigun03g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPLSLIFIFACIFLALFITQNLSNPHKSLKNLPPGPWKLPLLGNIHQFVGALPHQTLRNLANKFGPLMHLQLGETSHIIVSSADIAKEVMKTHDAIFANRPHLIASKFFVYDSSDIVFSPYGKTWRQLKKICVSELLHAKHAQSLRCIREEEVAKLVRNVCAHEGSIVNLTKEIESVMNAIIARASNGKRCKDQESFISTMEQMLQLLGGFSIADFYPSIKVLPFLTGMKSKLEKAQRENDNILENMVKDHKKKNMNRQKFLASFNHSH >Vigun10g139325.1.v1.2 pep primary_assembly:ASM411807v1:10:35536100:35538820:1 gene:Vigun10g139325.v1.2 transcript:Vigun10g139325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQGWNQLRSFYGDQSDKLVVFKYVGNSSFVIHVSKRSANTGIKTKFLNNVAIRRPLFMSNLIHFTVELSTYYCQASDLYLKKEFATYFQNSGLSSVVLHGPRGKVECKLIIRRRSVKIGSGWKDFCALHQLSVQDHPELFFEVESQRTSRDIKVLYPLFWF >Vigun09g155400.4.v1.2 pep primary_assembly:ASM411807v1:9:32078318:32081116:1 gene:Vigun09g155400.v1.2 transcript:Vigun09g155400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAFLFLGLSYSSPILVCAMSHLFPTFNFLLSLILRKTELNLRSPGIQVQVIGVLVSIMGAVVVEFYKGPLVRPSSHHLRQTKQLLLFSSTPEFWLLGGALLAAASFSLSISNFIQKETLEQYPEPMKMVSYSSLIGMILCAIVSCIIERDINAWKIKRNKDLILIVLTALVGGVIRPNIQVWLTRTKGPLYVPLFKPFGIAFATTFAVCFFSNSLHYGSVIGTTILGMGYYTVIYGQVKGNEEETNCDDSSESLDKKIPLLPEKMEV >Vigun09g155400.1.v1.2 pep primary_assembly:ASM411807v1:9:32078318:32081116:1 gene:Vigun09g155400.v1.2 transcript:Vigun09g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNKMSEVLPFIIMVVMEGWTIGLTIFAKTAITNGMSPFVFIVYTNALATILLFPSSFLSHQEDRKQRQTFTFSLFMRFLFIGLIGVTMTQAFLFLGLSYSSPILVCAMSHLFPTFNFLLSLILRKTELNLRSPGIQVQVIGVLVSIMGAVVVEFYKGPLVRPSSHHLRQTKQLLLFSSTPEFWLLGGALLAAASFSLSISNFIQKETLEQYPEPMKMVSYSSLIGMILCAIVSCIIERDINAWKIKRNKDLILIVLTALVGGVIRPNIQVWLTRTKGPLYVPLFKPFGIAFATTFAVCFFSNSLHYGSVIGTTILGMGYYTVIYGQVKGNEEETNCDDSSESLDKKIPLLPEKMEV >Vigun09g155400.2.v1.2 pep primary_assembly:ASM411807v1:9:32078318:32081116:1 gene:Vigun09g155400.v1.2 transcript:Vigun09g155400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNKMSEVLPFIIMVVMEGWTIGLTIFAKTAITNGMSPFVFIVYTNALATILLFPSSFLSHQEDRKQRQTFTFSLFMRFLFIGLIGVTMTQAFLFLGLSYSSPILVCAMSHLFPTFNFLLSLILRKTELNLRSPGIQVQVIGVLVSIMGAVVVEFYKGPLVRPSSHHLRQTKQLLLFSSTPEFWLLGGALLAAASFSLSISNFIQKETLEQYPEPMKMVSYSSLIGMILCAIVSCIIERDINAWKIKRNKDLILIVLTALVGGVIRPNIQVWLTRTKGPLYVPLFKPFVL >Vigun09g155400.3.v1.2 pep primary_assembly:ASM411807v1:9:32078318:32081116:1 gene:Vigun09g155400.v1.2 transcript:Vigun09g155400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFIGLIGVTMTQAFLFLGLSYSSPILVCAMSHLFPTFNFLLSLILRKTELNLRSPGIQVQVIGVLVSIMGAVVVEFYKGPLVRPSSHHLRQTKQLLLFSSTPEFWLLGGALLAAASFSLSISNFIQKETLEQYPEPMKMVSYSSLIGMILCAIVSCIIERDINAWKIKRNKDLILIVLTALVGGVIRPNIQVWLTRTKGPLYVPLFKPFGIAFATTFAVCFFSNSLHYGSVIGTTILGMGYYTVIYGQVKGNEEETNCDDSSESLDKKIPLLPEKMEV >Vigun06g230100.1.v1.2 pep primary_assembly:ASM411807v1:6:33699259:33703414:-1 gene:Vigun06g230100.v1.2 transcript:Vigun06g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFARGRSRSMCFAASLVYILLSSIAVTTFASIHIYDHEPFQEVGNAYLLAGGSEAIVAEASTASQGSSIHDGRSYIRFENITFRRPKAAAKARGSVPVHIIIFEAADRNDIGGSAYGGQRAICCTPDLAKMGVCKQGDIIRRPSATDINWPVVLDVNFKGKSVISSLDSQKVSIKKTGMYNLFFVACDPKLKDMVMSGKTIWKNPDGYLPGRMAPLKKFYVFMALAYVCLSIVWFFQYVRFWDDVLQLQHCITAVIALGLFEMILWYFEYVNFNNTGMRPIVLTTWVVTVGTIRKTISRLLILSVSMGYGVVRPTLGGLTSKVLLLGITYFLASELLNITEYVGTINDVSGRARLLLVLPDAFLDAFLILWIFTSLSRTLEQLQAKRSSVKLDIYRKFSNALAITVISSVAWIGYEVYFKATDPFNERWQSAWIITAFWDVIAFALLCVICYLWAPSQSSQRYAYSEKVGEDSDDEEAQSLTKEKQEGQVELSLARQEKNVGTDGSLDQEDESEEEDKRE >Vigun06g179000.1.v1.2 pep primary_assembly:ASM411807v1:6:29892640:29894076:-1 gene:Vigun06g179000.v1.2 transcript:Vigun06g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLLLVVFLLFACGVVGMESNVHAEEMVMEEELLGLFEVMDALLDDPDWAQEHPQPCTDTPWPGVGCEVSNDPPFFHVTKIHIGPDILSPPCKFSAYLSNSLLKLTYLKTLSIFNCFVASPSNPALSGEIPPTLGGVTSLRVLSLSQNSFKGNIPREIGGLVSLEQLDLSYNNFSGEIPKEIGDLKSIGILDLSWNELEGNLPSSLGKLQVLRKMDLSSNGLTGKVPPDLGNMKGLVLLDLSHNFIGGSIPETLSNLKLLEYFLIDDNPIKSEIPHFLGNLSKLKSVSFSGCGLIGSIPNSLSSLTNLTALSLDNNTLSGPVPPNLGLLPNLDQLNISQNMLDGVLQLPDEFITKLGRRLDVRGNTELCISDQTKKKNLSSYLEIPSCANTKPRNGKSFAEGPPEDPAGIKPSFYQSNISSSSSWLDTQVMFVSLVLCFIHSFLNLFLGHLECNL >Vigun06g058200.1.v1.2 pep primary_assembly:ASM411807v1:6:18464032:18468664:1 gene:Vigun06g058200.v1.2 transcript:Vigun06g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVFPKFLEAVKMLRDLPKEVAEVTDESESFQDFIHDANKIAEAEEDNNRRDRMRKRLMRLRKTAFLMEDIIDDYVICDEKQPEEDPSQEDPRCAVLLCEAVEFIKTQILRLQIAYRIQTVKSLARAERDGFENHFPIGSRSDDSRGNENFTWHKLRMAPLFNKQDEVVGFEKPIETLKKWLTQGRKERTVISVVGMAGLGKTTLSKHVFDKVDKHFECHAVITVSRPYDVERLLRDIMKELCQERNEHPAQDVETMNRMSLIKEVRKRLSNKRYVVLFDDVWNETFWDDIELALIDDKNGSRILITTRDEKVVEFCKQALFFEVYKLQPLSKAKSLELLCKKAFGYAFVGRCPKDYKEVGLDIVRKCECLPLAIVAIGSILYRKCKSPYDWRMFSQNLSSELQSNYELQSVTKILSLSYDDLQQNLRSCLLYFGMYPEDYEVNCGRLIRQWIAEGFVKHENGRNLEEVAQQYLMELISRSLVLVSSFTTDGRAKACRVHDLVHEMVRRKIKNTGFCEYIDEHNHLESSGNIRRLTIATSSNGLSGSMEESQHVRSILIFTNEVSSKDFTSALLAKYMRLKVLDFEFTPLYDVPENLGCLIHLKYLSFRETCIRSLPKSIGKLQNLETLDVRTHMVIEVPKEITKLRKLRHLWGSPISSTSLKYSVGSMKSLEKMNELRIDRNGEVIREIGKLKQLRDLRVVGFRRGHAETLCSSLNEMPLLERLHISSSEYVRFSGDFSSSLRKVTVVKLLDRREIDFHITSSLSKLRKLHLYAVLKEFPNWILRLQSLVKLSLVESKLTNIPLKSLGNMPNLLFLCFDSRCYEGETLHFENGGFQKLKELELKGLEQLRSIFIERRALQYLEKLHIKTVPRLKTVPSGIQHLQRLQVLHILYMPREFLHRINPDGGEEHWMIKHVPYVHFGRTYRKKRKEASNCAA >Vigun10g193000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40722663:40724348:-1 gene:Vigun10g193000.v1.2 transcript:Vigun10g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSNDHSKSTFDEHRWVIHIRKTLEEELEEDGGEFAVSIFSVPKLLMASDPDSYVPQQVAIGPYHYWRPELYEMQRYKLAAAKRFQKHLQSCNLDTLIDQLTKLEQRVRSCYHKFLDFNGETLVWMMAVDASFLLEFLQVYAMQEGAKVQRVSSSMSHLVDYAGKKSAHNAILRDIVMLENQIPLFVLRKMLEFKFSSLESADEMLSMMFIGLFKEISPFKMMEEYPNIQVSESVHLLDFLYDVIVPKLEQQPDTIEGEVQEEEEEQKHENEEKSSDYSSHVKQFFSEIWKLLSKLNKGPVKLIKKVILSKPLKVFVQLPWKIVSNVPGLKLLKQPVEYFFFSQESASSRSFKSLMNKLPSVEEITIPSVTELLNCGVRFAATTGSVSNINFDGKTRTFYLPRIGLDVNSGVFLRNLVAYEASVASGTLVITRYTELMNGIVDSEEDARVLREKGIIVNHLKSDEEVAKLWNGMSKSLRVSRVRELDKVIEDVNKYYNCRLKVKVVKFMKSYVFSSWQFLTFLAAICLLLLMALQAFCSVYTCSRLFRSALNSTD >VigunL053000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:2792:3774:1 gene:VigunL053000.v1.2 transcript:VigunL053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTWRLCLCHIENTMNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSKVKVAV >Vigun11g076633.1.v1.2 pep primary_assembly:ASM411807v1:11:22532070:22534165:1 gene:Vigun11g076633.v1.2 transcript:Vigun11g076633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNASNSLDTNPSASSSVKSRSKNASGNRSHIGWRYGFDVNGNGRKVKYNYCSKILSRDIFRFKHHLAGTRKDFEPCVSHAALKRRKLNIIDEEDVETRVLKDDIGCLALKKTNIANTSIRGVQATINQMMKKGFKEKVDAQVAEVFYTGAIPFNVIRNPTFVKMCEMIGKTVALPFDDIESDDE >Vigun06g046200.1.v1.2 pep primary_assembly:ASM411807v1:6:17102032:17105653:-1 gene:Vigun06g046200.v1.2 transcript:Vigun06g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPCCSSQRRLKKKRLKLKKSIKKEKEYDDRSVASFSNISIRSDSGKRKFITDEIAKLGKGNISSRIISYHELCDATKNFHPTNMIGEGGFGRVYKGKLRSTNQVVAVKQLDRNGFQGTREFLVEVLILSLLHHPNLVNLIGYCAEGEHRILVYEYMANGSLEDHLLDLPEGVKGLDWHTRMKVAEGAAKGLEYLHDQANPPVIYRDFKASNILLDEEFNPKLSDFGLAKLGPTGDKKHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSYGVVLLEMITGRRVIEYSRPEEEQNLVLWAQPLLKDRKKFTQMADPLLKDEYPVKSLYQALAVAAMCLQEEDETRPLISDVVTAVEFLARKKIEVDEPQPTKQVPSTQEQEEEEEEDSDEQNQSQEDGDNNHNDENEDEETKN >Vigun08g130400.1.v1.2 pep primary_assembly:ASM411807v1:8:30064755:30067034:-1 gene:Vigun08g130400.v1.2 transcript:Vigun08g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTMHLVMLMHVCIGVLASNNLVQSSKFSSLLVFGDSTVDPGNNNYLLTISKANYFPYGIDFPGHVPTGRFSNGKLVPDFLASALNIKDTVPPFLNPDLSDQELLTGVSFGSGQSGIDDFTASLTNVLSMDQQLQYFKAYTMKLKQIVGEDQAMQRLHDALVLISVGTNDFILNFHDFPSRRLMFITIDAYQDYLLDKFEVIIKELYDQGCRNIGVAGLPPLGCVPLQMTLKLKIDRQCLMHQNTDSEKYNQKLAQRLSLLQATLPQSKIVYGDLYHPLLNLFTHPQHYGMEVTNRGCCGSGYLEVIEICNAFTPLCSNHSKYVFWDSVHLTEASYSYLADYLIRVALPLFFK >VigunL017333.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000193.1:48269:48777:1 gene:VigunL017333.v1.2 transcript:VigunL017333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKMLFATIPSICALSSKKISIYNEEMISLGNTFKVTLDGRIQVIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCVPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLGTKFHLLVRRRFCPQCISKAEKIELI >Vigun11g078200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23083385:23084011:-1 gene:Vigun11g078200.v1.2 transcript:Vigun11g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPRNCCTCCLGTLFSLGFSALFLWLSLRTEPPKCSLQSLSLLAQNDTVIFQLSLQNDNKDKGVKYRDVLVTFALFLDNTTTRPLANATLLPFYQGRGKTARKWGSAVAPRLARFNRTAAVKNGNVFLHVEFATRVKYKVWLSFYVKRHRLVGGANVEVNASSGEKVEPKAIRLRDVPPRLGSRAAVVRSSYVAVVGVIVTVFFLT >Vigun09g155800.1.v1.2 pep primary_assembly:ASM411807v1:9:32117087:32120119:-1 gene:Vigun09g155800.v1.2 transcript:Vigun09g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGQVIKCKAAVAWEAGKPLVMEEVEVAPPEAGEVRLKILYTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGECAHCKSEESNMCDLLRINTDRGVMIHDGQTRFSIKGQPIYHFVGTSTFSEYTVVHAGCVAKVNPAAPLDKICVLSCGICTGLGATVNVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFEEAKKFGVNEFVNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMVSAFECVHDGWGVAVLVGVPNKDDAFKTHPVNFLNERTLKGTFYGNYKPRTDLPSVVEKYMNGELELEKFITHTVPFSEINKAFDYMLKGESIRCIIRMGE >VigunL009201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:112743:113102:-1 gene:VigunL009201.v1.2 transcript:VigunL009201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g273400.1.v1.2 pep primary_assembly:ASM411807v1:7:38889432:38891608:-1 gene:Vigun07g273400.v1.2 transcript:Vigun07g273400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGLIPNAKWGQVDSVSWYSIRHFLSLSQNDKRKFVPEGQRDSTMAPTKALPKSHRNSNTITSSFLRREKIDLMGKKALKLPSLFKTKEAPRNHHHHRHLLHHHHPWQFIPSCGHSKTLSFRGADDDDIFKTVNSVFFDPSESVIETPKSWFTTSSESASISTESEDYYCCDGESLEMLVRGVRSERLFFEPGDTSSILEKAKASGFPFKESVVLAMESEDPYEDFKRSMEEMVESHGVRDWEGLEELLTWYLKVNGRNNHGFIVGAFVDLLFSLAASNNSNSCSHSTAYSSAISSFSSSPLSDEIVEHGIGNDDAVTS >Vigun03g087500.1.v1.2 pep primary_assembly:ASM411807v1:3:7275544:7282927:1 gene:Vigun03g087500.v1.2 transcript:Vigun03g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIAAQLKRGISRQLSSGSLRKTLSRQFTRQASLDPRRNNQRFSFGRQSSLDPIRRSPEHDQAELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHADVARLLLTRKANIDARDRWGSTAAADAKYYGNTEIYYMLKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGISKGTYQVAKWNGTKVAVKILDKDSYTDPDSINAFKHELTLLERVRHPNLVQFVGAVTQNVPMMIVREYHAKGDLSSYLQKKGRLSPSKLLRFALDVARGMNYLHECKPEPIIHCDLKPKNILLDNGGQLKIGGFGTVRFSLISPDQAKLVHPDPNIDLSSLYVAPEIYKDEVFDRSVDAYSFGLIIYEMLEGTPPFHPKPSEEVVKLLCLEGKRPTIKIKTKHYPPDLKELIEECWDPTPVVRPTFSQVIVRLNKIVATCSKQGWWKDTFKLPWK >Vigun05g114000.1.v1.2 pep primary_assembly:ASM411807v1:5:12040532:12043565:-1 gene:Vigun05g114000.v1.2 transcript:Vigun05g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYAMLRRASSSVLPQLGRRATASSSSRISQNVLSALHIEAAITVPSLGFANAFATQTSADKQLAQVLQSEIQCAVEDVHAQHQVDIPDDFPFEIEDNPGERTIQLKRQFGDETIRVQVDIPNVGPEENEDDENENDEKNESESSIPLVVSVFKGNGVSLEFGITAFPDEISIDSLSIKQSEESEDQLAYEGPEFLDLDENLQKAFHKYLEIRGIKPSTTNFLQEYMFAKDRKEYLMWLKNLKNFVH >Vigun02g184900.1.v1.2 pep primary_assembly:ASM411807v1:2:32456343:32459235:-1 gene:Vigun02g184900.v1.2 transcript:Vigun02g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANSWRMPLNDNKFIEAALLSDLRVDGRRPSDHRKLTIKLAKQDGSAEVHLGHTHVVTFVTAQLVRPYKDRPNEGSLSIFTEFSPMADPSFEPGRPAESAVELGRVVDRGLRESRAIDTESLCVLSGKLVWAIRVDIHILDNAGNLVDAANIAALAALLTFRRPECSLAGEDGQEVVVHPPEERDPIPLSIHHLPIAVTFGFFSTENLLVIDPTNEEECVMTGRMTATLNSNGDVCAIQKAGGEGVSQRFIMHCLKLAHAKAIDITTKIKDAVEVHNNERALRKIKRHPASVAMDVGDAPSGIGEKQNQLLGDEDGTGLDKLKLKEEENSMECEATPSGQEHSKDGGSKNFSGGPSSWDPYSESVDPDLLKASLASRGPSVAPSKPKDSSRETKPQEPPQEIKTDFSPIDKSLTGGQSNEGKTLKDAVKPKHKRKKKVSSNNELN >Vigun11g217200.2.v1.2 pep primary_assembly:ASM411807v1:11:41081150:41083598:-1 gene:Vigun11g217200.v1.2 transcript:Vigun11g217200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVASKVQHSLVLIEQRHSLHGLYLLSTTVIIGRMEEVKVSAENKQKRRFQRICVFCGSRVGYRSSFSDAALVLGELLVERKIDLVYGGGRLGLMGLISETVQKGGGHVLGVIPKALLPREISGETFGEVKTVANMHERKSVMAKHSDAFIALPGGYGTMEELLEVIAWSQLGIHDKPVGLLNVDGYFNSLLTLFDKGVEEGFIDNSARHIVVIADTAEELIKKMEEYVPNHQKVASRQSWETGEVL >Vigun11g217200.1.v1.2 pep primary_assembly:ASM411807v1:11:41080967:41083598:-1 gene:Vigun11g217200.v1.2 transcript:Vigun11g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLFLSGLYLLSTTVIIGRMEEVKVSAENKQKRRFQRICVFCGSRVGYRSSFSDAALVLGELLVERKIDLVYGGGRLGLMGLISETVQKGGGHVLGVIPKALLPREISGETFGEVKTVANMHERKSVMAKHSDAFIALPGGYGTMEELLEVIAWSQLGIHDKPVGLLNVDGYFNSLLTLFDKGVEEGFIDNSARHIVVIADTAEELIKKMEEYVPNHQKVASRQSWETGEVL >Vigun11g217200.3.v1.2 pep primary_assembly:ASM411807v1:11:41080967:41083369:-1 gene:Vigun11g217200.v1.2 transcript:Vigun11g217200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLCLVERKIDLVYGGGRLGLMGLISETVQKGGGHVLGVIPKALLPREISGETFGEVKTVANMHERKSVMAKHSDAFIALPGGYGTMEELLEVIAWSQLGIHDKPVGLLNVDGYFNSLLTLFDKGVEEGFIDNSARHIVVIADTAEELIKKMEEYVPNHQKVASRQSWETGEVL >Vigun09g141800.2.v1.2 pep primary_assembly:ASM411807v1:9:30426516:30427545:-1 gene:Vigun09g141800.v1.2 transcript:Vigun09g141800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVASFDWVGMQKLGLALGPNYHAPVRNERHNRTRGCNKRTSFFLWLSVGRKAEIGWLNKERLY >Vigun09g141800.1.v1.2 pep primary_assembly:ASM411807v1:9:30426516:30427545:-1 gene:Vigun09g141800.v1.2 transcript:Vigun09g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLGGEEKNLLQLDIRNERHNRTRGCNKRTSFFLWLSVGRKAEIGWLNKERLY >Vigun10g193200.1.v1.2 pep primary_assembly:ASM411807v1:10:40742784:40744802:1 gene:Vigun10g193200.v1.2 transcript:Vigun10g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDSWQNWLSHLGMNDNFGCTNNGARYEEMVRLNNNMQGCCDSSNNEVASCMEVKEEHSECCWRKRGVGKHEELEGEGREKRGTKRARTSSEIEYHIISERKRRQDIAEKFIALSATIPGLKKIDKASILGEAIKYMRQLQQRIAVLEKGSNSNSVKSFMIAKSHLCSASCEAKSSVEMLPEVEASGLENEVLIRIYCEKRKDMMLNLMTLLKHVHLSVTSSSVLPFGNSLLNIIIVAQMSEEYSLTVTDLVKTLKQNLLKFYEV >Vigun01g150300.1.v1.2 pep primary_assembly:ASM411807v1:1:33250259:33255097:-1 gene:Vigun01g150300.v1.2 transcript:Vigun01g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQGLPGDRKPDGGDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >Vigun04g201200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42462560:42463140:1 gene:Vigun04g201200.v1.2 transcript:Vigun04g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSKIMLLLSLLFTTLFSLQLEGSKGGVVSSEVEEGFYTPSKVSIAMSNYIMLRNLTIDCIVRNHDLGARILDYGETFEFSFSSNFFCEVPLYFCRFIWRGASHYFDIYNQNRDKCVECMWNIFETGPCKVYPKYSKCYAWKS >Vigun01g084932.1.v1.2 pep primary_assembly:ASM411807v1:1:24002562:24004404:1 gene:Vigun01g084932.v1.2 transcript:Vigun01g084932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHSSTKAKGSSTACIIALTGQGLNAINLGDSGFMVVRDGCTVFRSPVQQHDFNFTYQLECGRNGDLPSSGQVFSYFLDFILGRIGLNWSMKHLFCTHS >Vigun03g233500.1.v1.2 pep primary_assembly:ASM411807v1:3:38874254:38878172:-1 gene:Vigun03g233500.v1.2 transcript:Vigun03g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMTFDDGIHFSPFPNMAFSLCLRSPIISLLHFVLLVSTVCCVHSSQFGNHPVENQTFWPKEELRKLNAVRARLRQINKPPVKTIQSPDGDIIDCVLSYKQPAFDHPLLKGHKPLDPPERPRGHNQMDYLNENFQLWSLSGDSCPEGTIPIRRTREEDILRVQSVHRFGMKKTLNRVRRDTSGNGHEHAIGYVTGDKYYGAKASINVWAPMVENPSEFSLSQIWVISGSFGDDLNTIEAGWQVSPGLYGDRYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGGGSLVGYWPSSLFSHLRDHASMIHFGGEIVNSGISGSHTSTQMGSGHFAEEGFGKASYFRNMQVVDWDNNLIPLSDLKVLADHPNCYHIQGGVNQAWGNYFYYGGPGRNVNCP >Vigun10g047900.2.v1.2 pep primary_assembly:ASM411807v1:10:7153635:7157478:1 gene:Vigun10g047900.v1.2 transcript:Vigun10g047900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNNDGGAREPWDWQGENYSLEKTSDFEISEDLWNDVPQNKDSYMLDDETTPVKACGDLAYNVNDSNNMQKELEECRETYSQAKRRRMLQFNSQDSDQSLSNEEMSLSYLQNGKDPAKDIFPEVSQWMSGTSDYTVGSASASDYVNLESTETWLAEYFNDAEMDFSPDELNFSGADDVQIDVAELSTTITPSRQENAVQMHHVPRTTRNIIIKGRKSFIHTPTKLASSVAYPFAFIKPSGAHGDVTLKEINQRIRTPAPSKSKQIGDDPSAYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Vigun10g047900.1.v1.2 pep primary_assembly:ASM411807v1:10:7153656:7157476:1 gene:Vigun10g047900.v1.2 transcript:Vigun10g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNNDGGAREPWDWQGENYSLEKTSDFEISEDLWNDVPQNKDSYMLDDETTPVKACGDLAYNVNDSNNMQKELEECRETYSQAKRRRMLQFNSQDSDQSLSNEEMSLSYLQNGKDPAKDIFPEVSQWMSGTSDYTVGSASASDYVNLESTETWLAEYFNDAEMDFSPDELNFSGADDVQIDVAELSTTITPSRQENAVQMHHVPRTTRNIIIKGRKSFIHTPTKLASSVAYPFAFIKPSGAHGDVTLKEINQRIRTPAPSKSKQIGDDPSAYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTKDIEVDTAAILFLLTCIYYTTLVFSFQWNLDIIL >Vigun07g177200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29247609:29251360:-1 gene:Vigun07g177200.v1.2 transcript:Vigun07g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRELGVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDFSKPKPGVLPPNVQAAVTGVALCGTLAGQLFFGWLGDKLGRKKVYGLTLMLMVVCSLASGLSFGDTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSSAYDHKYDLPSYEVDPRGSIVPSLDYVWRIILMFGAVPAGVTYYWRMKMPETARYTALVARNAKQAASDMSKVLQVEIEAEEDKLNHIVESENQRYGLFSKEFAKRHGLHLVGTTVTWFLLDIAFYSQNLFQKDIFSAIGWIPPSQEMNAIHEVYRIARAQTLIALCSTVPGYWFTVAFIDYMGRFAIQLMGFFFMTVFMFALAIPYNHWTKKENRIGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKVDHGYPTGIGVKNSLIVLGVVNFFGMLFTLLVPESKGKSLEELSGENEEGPEAVEMAGSARTVPV >Vigun07g177200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29247723:29251089:-1 gene:Vigun07g177200.v1.2 transcript:Vigun07g177200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRELGVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDFSKPKPGVLPPNVQAAVTGVALCGTLAGQLFFGWLGDKLGRKKVYGLTLMLMVVCSLASGLSFGDTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSSAYDHKYDLPSYEVDPRGSIVPSLDYVWRIILMFGAVPAGVTYYWRMKMPETARYTALVARNAKQAASDMSKVLQVEIEAEEDKLNHIVESENQRYGLFSKEFAKRHGLHLVGTTVTWFLLDIAFYSQNLFQKDIFSAIGWIPPSQEMNAIHEVYRIARAQTLIALCSTVPGYWFTVAFIDYMGRFAIQLMGFFFMTVFMFALAIPYNHWTKKENRIGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKVDHGYPTGIGVKNSLIVLGVVNFFGMLFTLLVPESKGKSLEELSGENEEGPEAVEMAGSARTVPV >Vigun09g276700.2.v1.2 pep primary_assembly:ASM411807v1:9:43685016:43690256:1 gene:Vigun09g276700.v1.2 transcript:Vigun09g276700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENLQAVPATESAPVVKRYAPPNQRNRSANRRKSSDRLDRTNSVGTELEKNQVASSRSVHIPDHGDAGSSNLLNENHYSRFIALEGCGCSAASQLLNDRWTAAMQSYNNPKDSSDKPVMYSSGASVWSHQQFRPPQQDFLGELRRQMQNANPNFTT >Vigun09g276700.1.v1.2 pep primary_assembly:ASM411807v1:9:43685016:43690256:1 gene:Vigun09g276700.v1.2 transcript:Vigun09g276700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENLQAVPATESAPVVKRYAPPNQRNRSANRRKSSDRLDRTNSVGTELEKNQVASSRSVHIPDHGDAGSSNLLNENHYSRFIALEGCGCSAASQLLNDRWTAAMQSYNNPKDSSDKPVMYSSGASVWSHQQFRPPQQQDFLGELRRQMQNANPNFTT >Vigun10g004000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:373158:375436:-1 gene:Vigun10g004000.v1.2 transcript:Vigun10g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDVFAWTTMISALVRDGDMASAGNLFDEMPEKNIATWNVMIDGHAKLGNTESAEFLFNQMLARDIISWTTMMNCYSRNKRYTDVIRFFHDMVDKGMMPDEVTMSTVISACAHLGALDIGKEVHLYLMLHEFDLDVYIGSSLIDMYAKCGSIDRSLLVFYKLQNKNLFCWNSIIDGLATHGYAKEALRMFDGMQRERIRPNAVTFISILSACTHAGFVEEGRCRFLSMIKDYSITPQVEHYGCMVDLLSKAGLLKDALEMVRNMRVEPNAFIWGALLNGCKLHKDLEIADIAVQNLMLLEPGNSGLYSLLVSMYAEVNRWSEVAKIRTAMKDLGVEKRSPGASWVEINKRVHVFAASDTYHASYSQVHLLLLQLDDQLRLAGYVPELESIL >Vigun08g133600.1.v1.2 pep primary_assembly:ASM411807v1:8:30484785:30487765:-1 gene:Vigun08g133600.v1.2 transcript:Vigun08g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAAESTVLFGKYEVGRLLGCGAFAKVYHARNIDKGQSVAVKVVSKKKLAATGMTTNVKREITIMSRLHHPNIVKLHEVLATKTKIYFILEFAKGGELFSRIAKSRFSEDLARRFFQQLISAVGYCHARGVFHRDLKPENLLLDEQGNLKVSDFGLGAVKEQLAVDGVLHTLCGTPAYVAPEILAKKGYDGAKVDVWSSGVILFVLVAGYLPFNDPNLMVMYRKIYKGEYRCPRWFSTELRRFLARLLDTNPETRISVDEILKDAWFKKGYKEVTFGDLGLEWKSEGDGVKDLNAFDIISFSTGLNLSGLFDSLKEVEEGERFILKESPEKVVEKLVAAAATVEGIIVRRRKECGVELEGCNGNFAALVEVYRLSPELVVVEVRRRDGNGGVFRDVWSNKLRPYLCAASSSTTSDREETGAQPVAGYYNQFPRIPLNCLEIQME >Vigun08g133600.3.v1.2 pep primary_assembly:ASM411807v1:8:30484785:30487765:-1 gene:Vigun08g133600.v1.2 transcript:Vigun08g133600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAAESTVLFGKYEVGRLLGCGAFAKVYHARNIDKGQSVAVKVVSKKKLAATGMTTNVKREITIMSRLHHPNIVKLHEVLATKTKIYFILEFAKGGELFSRIAKSRFSEDLARRFFQQLISAVGYCHARGVFHRDLKPENLLLDEQGNLKVSDFGLGAVKEQLAVDGVLHTLCGTPAYVAPEILAKKGYDGAKVDVWSSGVILFVLVAGYLPFNDPNLMVMYRKIYKGEYRCPRWFSTELRRFLARLLDTNPETRISVDEILKDAWFKKGYKEVTFGDLGLEWKSEGDGVKDLNAFDIISFSTGLNLSGLFDSLKEVEEGERFILKESPEKVVEKLVAAAATVEGIIVRRRKECGVELEGCNGNFAALVEVYRLSPELVVVEVRRRDGNGGVFRDVWSNKLRPYLCAASSSTTSDREETGYYNQFPRIPLNCLEIQME >Vigun08g133600.5.v1.2 pep primary_assembly:ASM411807v1:8:30484783:30487765:-1 gene:Vigun08g133600.v1.2 transcript:Vigun08g133600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAAESTVLFGKYEVGRLLGCGAFAKVYHARNIDKGQSVAVKVVSKKKLAATGMTTNVKREITIMSRLHHPNIVKLHEVLATKTKIYFILEFAKGGELFSRIAKSRFSEDLARRFFQQLISAVGYCHARGVFHRDLKPENLLLDEQGNLKVSDFGLGAVKEQLAVDGVLHTLCGTPAYVAPEILAKKGYDGAKVDVWSSGVILFVLVAGYLPFNDPNLMVMYRKIYKGEYRCPRWFSTELRRFLARLLDTNPETRISVDEILKDAWFKKGYKEVTFGDLGLEWKSEGDGVKDLNAFDIISFSTGLNLSGLFDSLKEVEEGERFILKESPEKVVEKLVAAAATVEGIIVRRRKECGVELEGCNGNFAALVEVYRLSPELVVVEVRRRDGNGGVFRDVWSNKLRPYLCAASSSTTSDREETGYYNQFPRIPLNCLEIQME >Vigun08g133600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30484743:30487888:-1 gene:Vigun08g133600.v1.2 transcript:Vigun08g133600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAAESTVLFGKYEVGRLLGCGAFAKVYHARNIDKGQSVAVKVVSKKKLAATGMTTNVKREITIMSRLHHPNIVKLHEVLATKTKIYFILEFAKGGELFSRIAKSRFSEDLARRFFQQLISAVGYCHARGVFHRDLKPENLLLDEQGNLKVSDFGLGAVKEQLAVDGVLHTLCGTPAYVAPEILAKKGYDGAKVDVWSSGVILFVLVAGYLPFNDPNLMVMYRKIYKGEYRCPRWFSTELRRFLARLLDTNPETRISVDEILKDAWFKKGYKEVTFGDLGLEWKSEGDGVKDLNAFDIISFSTGLNLSGLFDSLKEVEEGERFILKESPEKVVEKLVAAAATVEGIIVRRRKECGVELEGCNGNFAALVEVYRLSPELVVVEVRRRDGNGGVFRDVWSNKLRPYLCAASSSTTSDREETGAQPVAGES >Vigun08g133600.4.v1.2 pep primary_assembly:ASM411807v1:8:30484783:30487765:-1 gene:Vigun08g133600.v1.2 transcript:Vigun08g133600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAAESTVLFGKYEVGRLLGCGAFAKVYHARNIDKGQSVAVKVVSKKKLAATGMTTNVKREITIMSRLHHPNIVKLHEVLATKTKIYFILEFAKGGELFSRIAKSRFSEDLARRFFQQLISAVGYCHARGVFHRDLKPENLLLDEQGNLKVSDFGLGAVKEQLAVDGVLHTLCGTPAYVAPEILAKKGYDGAKVDVWSSGVILFVLVAGYLPFNDPNLMVMYRKIYKGEYRCPRWFSTELRRFLARLLDTNPETRISVDEILKDAWFKKGYKEVTFGDLGLEWKSEGDGVKDLNAFDIISFSTGLNLSGLFDSLKEVEEGERFILKESPEKVVEKLVAAAATVEGIIVRRRKECGVELEGCNGNFAALVEVYRLSPELVVVEVRRRDGNGGVFRDVWSNKLRPYLCAASSSTTSDREETGAQPVAGYYNQFPRIPLNCLEIQME >Vigun02g050200.1.v1.2 pep primary_assembly:ASM411807v1:2:19121845:19125376:-1 gene:Vigun02g050200.v1.2 transcript:Vigun02g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKERDTQVYLAKLAEQAERYEEMVECMKKVAKLDLDLTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEEAKGNEHNVKLIKGYRQKVEDELSKICGDILTIIDKHLIPSSGSAEASVFYYKMKGDYFRYLAEFKTDQERKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNIKAEEAKPTEPEH >Vigun09g066100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6953765:6955069:-1 gene:Vigun09g066100.v1.2 transcript:Vigun09g066100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNAKTNFPMLQTPEGDPKTLTPTHQTPSTSKELEEILHAKLRKCGKLPSPSMTCLRLDPENSHIGVWQKRAGHCSDSNWVMMVPFGKKGGDEGGNSSMITRSSSDSFSECDQNRRQEHVRTEMDEEERIAMQMIEELLSRNWPSSPSSCNNMC >Vigun09g066100.1.v1.2 pep primary_assembly:ASM411807v1:9:6953716:6955069:-1 gene:Vigun09g066100.v1.2 transcript:Vigun09g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTRKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPMLQTPEGDPKTLTPTHQTPSTSKELEEILHAKLRKCGKLPSPSMTCLRLDPENSHIGVWQKRAGHCSDSNWVMMVPFGKKGGDEGGNSSMITRSSSDSFSECDQNRRQEHVRTEMDEEERIAMQMIEELLSRNWPSSPSSCNNMC >Vigun05g050300.3.v1.2 pep primary_assembly:ASM411807v1:5:4321237:4328558:1 gene:Vigun05g050300.v1.2 transcript:Vigun05g050300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLLFSHLKGADPFFLLAGPNVIESEDHILRMAKHVKSIATEVGIPLVFKSSFDKANRTSSKSFRGPGMVEGLKILEKVKIAYDIPIVTDVHETIQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPIVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLKELLEELVAIAKVSKGKKQFKIDLTPFRE >Vigun05g050300.2.v1.2 pep primary_assembly:ASM411807v1:5:4321237:4328558:1 gene:Vigun05g050300.v1.2 transcript:Vigun05g050300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLLFSHLKGADPFFLLAGPNVIESEDHILRMAKHVKSIATEVGIPLVFKSSFDKANRTSSKSFRGPGMVEGLKILEKVKIAYDIPIVTDVHETIQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPIVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLKELLEELVAIAKVSKGKKQFKIDLTPFRE >Vigun05g050300.1.v1.2 pep primary_assembly:ASM411807v1:5:4321233:4328558:1 gene:Vigun05g050300.v1.2 transcript:Vigun05g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLLFSHLKGADPFFLLAGPNVIESEDHILRMAKHVKSIATEVGIPLVFKSSFDKANRTSSKSFRGPGMVEGLKILEKVKIAYDIPIVTDVHETIQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPIVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLKELLEELVAIAKVSKGKKQFKIDLTPFRE >Vigun03g097700.1.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293008:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVVNVNESVTGHIISTTVKEKNGKPKQTRTYLAERIVGSGSFGIVFLAKCVETGELVAIKKVLQDRKCKSRELKLMRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.2.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293036:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVVNVNESVTGHIISTTVKEKNGKPKQTRTYLAERIVGSGSFGIVFLAKCVETGELVAIKKVLQDRKYELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.8.v1.2 pep primary_assembly:ASM411807v1:3:8287681:8291710:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.4.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293008:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVVNVNESVTGHIISTTVKEKNGKPKQTRTYLAERIVGSGSFGIVFLAKCVETGELVAIKKVLQDRKCKSRELKLMRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.3.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293036:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVVNVNESVTGHIISTTVKEKNGKPKQTRTYLAERIVGSGSFGIVFLAKCVETGELVAIKKVLQDRKYELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.7.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293008:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.6.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293008:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g097700.5.v1.2 pep primary_assembly:ASM411807v1:3:8287682:8293008:-1 gene:Vigun03g097700.v1.2 transcript:Vigun03g097700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMDHPNVISLKDRFFSTTGADELFLNLVMEYVPDSMHRVSKFYSNTNQSMPLIFVKLYMHQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKICDFGSAKVLVKGEANLSHICSLFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGKPLFPGDNAVDQLVEIIKVLGTPSQEEVCCSNSNNNDFKFPQIFHQKTPPEAIDLTSRLLQYSPTLRCTALEACAHPFFDELREPNAQLPDGRPFPPLFNFKQELSGASPELIDKLIPDHAKRKIELQHTHFDGT >Vigun03g219600.2.v1.2 pep primary_assembly:ASM411807v1:3:36529205:36534545:-1 gene:Vigun03g219600.v1.2 transcript:Vigun03g219600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDGIPVTQQEKSTIVPMAIILVVLCGFSFYTGIIFCSEKDRLLSIYDQISIGSPKELSINPLQIKYISFPECSIDFQDYTPCTDPRRWKKYISRQPTLLERHCPPKAERKECLVPPPNGYKPPIRWPKSRDECWYSNVPNEWINKQKSGQHWLKKEGDKFIFPGGGTMFPNGVGKYVDLMQDMIPEMKDGTIRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHRAQIQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGAYLLEIHRIVRPGGFWVLSGPPINYKRRWRGWNTTIEAQISDYEKLQELLTSMCFKLFKEKGDIAVWQKSEDNNCYNKVVRDTYPHICDDGLEPDSAWYTPSRACIVVPDPKFKELGLSSIAKWPERLNVPPERISMVHRGSAKAFRHDNSKWKKQTLYYKKLIPDLGTDKIRNVMDMNTAYGGFATALINDPVWIMNVVSSYATNTLPVIFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGFFTAESHRCEMKYVLLEMDRILRPGGYAIIRESIYFTDAISTICKGMRWECRKEDTENGSSIQKILVCQKKLWFSSNLGSR >Vigun03g219600.1.v1.2 pep primary_assembly:ASM411807v1:3:36529205:36534545:-1 gene:Vigun03g219600.v1.2 transcript:Vigun03g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDGIPVTQQEKSTIVPMAIILVVLCGFSFYTGIIFCSEKDRLLSIYDQISIGSPKELSINPLQIKYISFPECSIDFQDYTPCTDPRRWKKYISRQPTLLERHCPPKAERKECLVPPPNGYKPPIRWPKSRDECWYSNVPNEWINKQKSGQHWLKKEGDKFIFPGGGTMFPNGVGKYVDLMQDMIPEMKDGTIRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHRAQIQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGAYLLEIHRIVRPGGFWVLSGPPINYKRRWRGWNTTIEAQISDYEKLQELLTSMCFKLFKEKGDIAVWQKSEDNNCYNKVVRDTYPHICDDGLEPDSAWYTPSRACIVVPDPKFKELGLSSIAKWPERLNVPPERISMVHRGSAKAFRHDNSKWKKQTLYYKKLIPDLGTDKIRNVMDMNTAYGGFATALINDPVWIMNVVSSYATNTLPVIFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGFFTAESHRCEMKYVLLEMDRILRPGGYAIIRESIYFTDAISTICKGMRWECRKEDTENGSSIQKILVCQKKLWFSSNLGSR >VigunL026500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:100502:101794:1 gene:VigunL026500.v1.2 transcript:VigunL026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun05g048800.2.v1.2 pep primary_assembly:ASM411807v1:5:4194857:4199405:-1 gene:Vigun05g048800.v1.2 transcript:Vigun05g048800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNLRNIDLSTNSLSGTIPETIGNMSSLNELRLSNNSLLSGPIPSSLWTMSNLTLLFLDNNNLSGSIPASIENLVNLDRLGLDYNHLSGPIPSTIGNLTKLTKLFLRSNNLSGPIPPSIGNLINLEVLSLQINNLSGTIPATIGNLKNLTILELSFNKLNGSVSQVLNNIRNWSLVLLAENDFTGHLPPQICSAGSLSSLSAHHNRFTGPIPISLKNCASITRLRLEGNQLEGDISQDFGVYPNLEYIDLSDNKLYGEISPNWGKCPNLGTLKISNNNISGGIPVELPEATKLGKLHLSSNHLKGSIPKEIGNMKSLIELKISDNHLSGDIPKEIGSLEILEELDLGDNQLSGTIPREVVELRNLRNLTLSNNKIYGNIPLEFRKFQPLEYLDLSGNLLTGTIPKQLGEVMKLQLLNLSHNNLSGNIPSSFDGLSGLVSVNISYNQLEGPLPNNEAFLNASIESLKNNRGLCGNVSGLILCPTNHSRKRHKGIQLALFIFLGALVLVLCGVSVSMYILCRKARKEDLNAKENVHSGKKPSGEVFSIWSHDGKIIFENIIEATDNFNEKYLIGLGGQGSVYKAEMPTGQVFAVKKLHMETDEEKPNFKAFENEIQALTEIRHRNIVKLCGFCSHSRFSFLVYEFLEGGSLDQILINEKKAASFYWEERVNVVKGVAHALSYMHHDCSPPIIHRDISSKNILLDSQKEPHVSDFGTAKILKPGSSTWTTFAGTFGYAAPEFAQTMEVTEKCDVFSFGVLCLEIIMGKHPGDLISSLLSSSSAVITHNLLLIDVIDQRPRHPLKSVVGDVILVAILAFSCLSENPCSRPTMEQVSKKLMMGKSPLADEFPNIRLAQLLQSNI >Vigun05g048800.3.v1.2 pep primary_assembly:ASM411807v1:5:4194857:4199405:-1 gene:Vigun05g048800.v1.2 transcript:Vigun05g048800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESITILALWRILSYFHLAILTHSSPQFAWFSKTVVLASAASTRTVGDQAATHNSEANALLKWKHSFDNNSQDLLSTWTGSTSCKWQGIQCDKSMFVSSINLANYGLTGHLPPQICSAGSLSSLSAHHNRFTGPIPISLKNCASITRLRLEGNQLEGDISQDFGVYPNLEYIDLSDNKLYGEISPNWGKCPNLGTLKISNNNISGGIPVELPEATKLGKLHLSSNHLKGSIPKEIGNMKSLIELKISDNHLSGDIPKEIGSLEILEELDLGDNQLSGTIPREVVELRNLRNLTLSNNKIYGNIPLEFRKFQPLEYLDLSGNLLTGTIPKQLGEVMKLQLLNLSHNNLSGNIPSSFDGLSGLVSVNISYNQLEGPLPNNEAFLNASIESLKNNRGLCGNVSGLILCPTNHSRKRHKGIQLALFIFLGALVLVLCGVSVSMYILCRKARKEDLNAKENVHSGKKPSGEVFSIWSHDGKIIFENIIEATDNFNEKYLIGLGGQGSVYKAEMPTGQVFAVKKLHMETDEEKPNFKAFENEIQALTEIRHRNIVKLCGFCSHSRFSFLVYEFLEGGSLDQILINEKKAASFYWEERVNVVKGVAHALSYMHHDCSPPIIHRDISSKNILLDSQKEPHVSDFGTAKILKPGSSTWTTFAGTFGYAAPEFAQTMEVTEKCDVFSFGVLCLEIIMGKHPGDLISSLLSSSSAVITHNLLLIDVIDQRPRHPLKSVVGDVILVAILAFSCLSENPCSRPTMEQVSKKLMMGKSPLADEFPNIRLAQLLQSNI >Vigun05g048800.1.v1.2 pep primary_assembly:ASM411807v1:5:4194857:4199405:-1 gene:Vigun05g048800.v1.2 transcript:Vigun05g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESITILALWRILSYFHLAILTHSSPQFAWFSKTVVLASAASTRTVGDQAATHNSEANALLKWKHSFDNNSQDLLSTWTGSTSCKWQGIQCDKSMFVSSINLANYGLTGTLSTLNFSAFPNLLILNIFNNSFYGTIPPQIGNMSTLNVLNLSTNYFNGSIPQQVWTLRSLQGLDLFQCELSGEIPNSIANLSNLTYLDLGINSFSGHIPPEIGKLHKLEYLSITTNQIFGSIPQEIGMLTNLRNIDLSTNSLSGTIPETIGNMSSLNELRLSNNSLLSGPIPSSLWTMSNLTLLFLDNNNLSGSIPASIENLVNLDRLGLDYNHLSGPIPSTIGNLTKLTKLFLRSNNLSGPIPPSIGNLINLEVLSLQINNLSGTIPATIGNLKNLTILELSFNKLNGSVSQVLNNIRNWSLVLLAENDFTGHLPPQICSAGSLSSLSAHHNRFTGPIPISLKNCASITRLRLEGNQLEGDISQDFGVYPNLEYIDLSDNKLYGEISPNWGKCPNLGTLKISNNNISGGIPVELPEATKLGKLHLSSNHLKGSIPKEIGNMKSLIELKISDNHLSGDIPKEIGSLEILEELDLGDNQLSGTIPREVVELRNLRNLTLSNNKIYGNIPLEFRKFQPLEYLDLSGNLLTGTIPKQLGEVMKLQLLNLSHNNLSGNIPSSFDGLSGLVSVNISYNQLEGPLPNNEAFLNASIESLKNNRGLCGNVSGLILCPTNHSRKRHKGIQLALFIFLGALVLVLCGVSVSMYILCRKARKEDLNAKENVHSGKKPSGEVFSIWSHDGKIIFENIIEATDNFNEKYLIGLGGQGSVYKAEMPTGQVFAVKKLHMETDEEKPNFKAFENEIQALTEIRHRNIVKLCGFCSHSRFSFLVYEFLEGGSLDQILINEKKAASFYWEERVNVVKGVAHALSYMHHDCSPPIIHRDISSKNILLDSQKEPHVSDFGTAKILKPGSSTWTTFAGTFGYAAPEFAQTMEVTEKCDVFSFGVLCLEIIMGKHPGDLISSLLSSSSAVITHNLLLIDVIDQRPRHPLKSVVGDVILVAILAFSCLSENPCSRPTMEQVSKKLMMGKSPLADEFPNIRLAQLLQSNI >Vigun04g171550.1.v1.2 pep primary_assembly:ASM411807v1:4:39575169:39576078:1 gene:Vigun04g171550.v1.2 transcript:Vigun04g171550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGVSQKTKNWRKRRSLMMKNNTMIGDEEMVCQPANPNLAGQKRKRPKRVGLTCFYIGDSKFTMYLNFLIFL >Vigun11g058750.1.v1.2 pep primary_assembly:ASM411807v1:11:12540957:12542470:1 gene:Vigun11g058750.v1.2 transcript:Vigun11g058750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHLAGTKENVIACTSVPNDVREMFLKLLEDKEKIKEANRVDCFEETDIQCSKKGKQGVAKQSTINEMFKDRELVIQDICNCIYGNALPFNLARSSLFTQIFHYDKNFNPDEEVSYGLYETIEKMIPDRRIRFQLDQLDRFKKAQGLFGRSMAIDTRDKKQP >Vigun04g171000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39508524:39509828:1 gene:Vigun04g171000.v1.2 transcript:Vigun04g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTEKPKLVLPLKTRVTLSFLSAVTDFARRCDGTVNRGFMKFLDYKTPSKAKSVNGVSTKDVTVDANRNLWIRVFTPKGYSGDGVLPVVIFFHGGGFAFLSPDSFAYDAVCRRFCRRIPAVIVSVNYRHTPEHRFPSQYDDGEDVLKFLAENPAVLPEYADLSKCFLAGDSAGANLAHQVAVRVAKSGLRGVRLVGLVSIQPWFGGEERTAAEVKFEGAPLVSTSRTDWLWKAFLPEGSDRDHWAVNVSGANSEDLSGLDYPNTLVIVGGFDPLQDWQRRYCEWLKKSGKKAQLIEYPTMIHAFYIFPELPESSQLISQVKDFITNRISDLKLSL >Vigun02g199400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33404668:33408090:1 gene:Vigun02g199400.v1.2 transcript:Vigun02g199400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSSRNNRTRGIKVKHTLQIILLLGVCFWLIYQVKHSRDKKSEYDENHRKVAVGTQTVYPTPKLGRKDLHPGKDGDKHDRDEHEEEGNEHEFEENDDKHEVRVGGDEEEEGESKSDGMEDETGGGDDETDESDQEQSAADTDRDEDLPDNEEEKEEESGEKENSSKEQETDGSVEKHNSHEAREQHYKGDDASSAVTHDTSTTSTETVSLLENHDVNSDFNNKEAELASEQSSNASLSNAVYSSRLHNVTTSHSEAGTNLTIVIPGGSNNLTGISANTSFELNKTVMFSESYQTKNGTVNTTVTGEVKNVLTEGVVQGGNKVSEENQLGSYSAIPVELEKRNAAAGESSNLEGGVLQNPTKSVASDETDNNTEVSETNNTQNISHTNENNDSIKDGFKGDSSDSYILKSVAEDRTDLDTLPQIINEGDIVDAIATD >Vigun02g199400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33404657:33407221:1 gene:Vigun02g199400.v1.2 transcript:Vigun02g199400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSSRNNRTRGIKVKHTLQIILLLGVCFWLIYQVKHSRDKKSEYDENHRKVAVGTQTVYPTPKLGRKDLHPGKDGDKHDRDEHEEEGNEHEFEENDDKHEVRVGGDEEEEGESKSDGMEDETGGGDDETDESDQEQSAADTDRDEDLPDNEEEKEEESGEKENSSKEQETDGSVEKHNSHEAREQHYKGDDASSAVTHDTSTTSTETVSLLENHDVNSDFNNKEAELASEQSSNASLSNAVYSSRLHNVTTSHSEAGTNLTIVIPGGSNNLTGISANTSFELNKTVMFSESYQTKNGTVNTTVTGEVKNVLTEGVVQGGNKVSEENQLGSYSAIPVELEKRNAAAGESSNLEGGVLQNPTKSVASDETDNNTEVSETNNTQNISHTNENNDSIKDGFKGDSSDSYILKSVAEDRTDLDTLPQIINEGDIVDAIATD >Vigun02g199400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33404632:33407252:1 gene:Vigun02g199400.v1.2 transcript:Vigun02g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSSRNNRTRGIKVKHTLQIILLLGVCFWLIYQVKHSRDKKSEYDENHRKVAVGTQTVYPTPKLGRKDLHPGKDGDKHDRDEHEEEGNEHEFEENDDKHEVRVGGDEEEEGESKSDGMEDETGGGDDETDESDQEQSAADTDRDEDLPDNEEEKEEESGEKENSSKEQETDGSVEKHNSHEAREQHYKGDDASSAVTHDTSTTSTETVSLLENHDVNSDFNNKEAELASEQSSNASLSNAVYSSRLHNVTTSHSEAGTNLTIVIPGGSNNLTGISANTSFELNKTVMFSESYQTKNGTVNTTVTGEVKNVLTEGVVQGGNKVSEENQLGSYSAIPVELEKRNAAAGESSNLEGGVLQNPTKSVASDETDNNTEVSETNNTQNISHTNENNDSIKDGFKGDSSDSYILKSVAEDRTDLDTLPQIINEGDIVDAIATD >Vigun02g199400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33404637:33408090:1 gene:Vigun02g199400.v1.2 transcript:Vigun02g199400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSSRNNRTRGIKVKHTLQIILLLGVCFWLIYQVKHSRDKKSEYDENHRKVAVGTQTVYPTPKLGRKDLHPGKDGDKHDRDEHEEEGNEHEFEENDDKHEVRVGGDEEEEGESKSDGMEDETGGGDDETDESDQEQSAADTDRDEDLPDNEEEKEEESGEKENSSKEQETDGSVEKHNSHEAREQHYKGDDASSAVTHDTSTTSTETVSLLENHDVNSDFNNKEAELASEQSSNASLSNAVYSSRLHNVTTSHSEAGTNLTIVIPGGSNNLTGISANTSFELNKTVMFSESYQTKNGTVNTTVTGEVKNVLTEGVVQGGNKVSEENQLGSYSAIPVELEKRNAAAGESSNLEGGVLQNPTKSVASDETDNNTEVSETNNTQNISHTNENNDSIKDGFKGDSSDSYILKSVAEDRTDLDTLPQIINEGDIVDAIATD >Vigun04g106600.1.v1.2 pep primary_assembly:ASM411807v1:4:25619553:25621075:-1 gene:Vigun04g106600.v1.2 transcript:Vigun04g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFNATHSVILEPDENHIKSATFFSKEIEVGPGKVAVKTLLDIDFPKGHIGIKSFDVEVVDEDGNSVPLYEAYLHHWFAVKYIENITMSHYIKETQDLHDGIEYERNDGACQGFLLPHYWGLGGESRGTSSNLPDPFAVEVGNPTKIKNGFKEKWLFSIMVIDTRGTHDRKGCTECRCKLLNIPKDFYNVTTGINGQLLSRNYKGGLFCCQDNLQCKLRNGFRGPTRKLSLKYKLRWVDWDEHQVPLKFYILDSTDRVTLNGSTLTHDCQAEYTIPRNHYIDSPHVKKANIPMTKGGYLIYGTTHMHTSVVNVTLYGQDGRVLCTSIPKYGTGKEAGNENDYLVGMSVCYPNPGSIKIKDGEILTLESIYENKFRTGAMGHFYIYLTEQIRNKDLKF >Vigun03g231500.2.v1.2 pep primary_assembly:ASM411807v1:3:38585862:38586602:-1 gene:Vigun03g231500.v1.2 transcript:Vigun03g231500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILKKQFREMEVKKPYRLANNTVLRLSPEFILPEEQRPCLSEVSDVNVVPIVDLKGYDECENGLVKTVSEACRGVGMFQVINHGVPPDLCHGVSVAVSKFFQLPAEERAIYETKDHSRRIKIFNYYLKSDDDEQTKVTMWSETFSHPWHPSEDFTHHLPTKPPEY >Vigun03g231500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38585862:38586603:-1 gene:Vigun03g231500.v1.2 transcript:Vigun03g231500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILKKQFREMEVKKPYRLANNTVLRLSPEFILPEEQRPCLSEVSDVNVVPIVDLKGYDECENGLVKTVSEACRGVGMFQVINHGVPPDLCHGVSVAVSKFFQLPAEERAIYETKDHSRRIKIFNYYLKSDDDEQTKVTMWSETFSHPWHPSEDFTHHLPTKPPEYWYQPRIIS >Vigun04g187900.1.v1.2 pep primary_assembly:ASM411807v1:4:41239083:41240946:1 gene:Vigun04g187900.v1.2 transcript:Vigun04g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTIPSHIKAWVYSEYGNIEETLKFESNIPTPQIKEDEVLIKVVAAALNPVDYKRALGFFKNTDSPLPTVPGYDVAGVVVSVGSEVKKFKVGDEVYGDINENPINNPKSVGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSAGKSVLVLGGAGGVGSLVIQLAKQVFGASKVAATASTGKLDLLRNLGADLAIDYTKGQLEELEEKFDVVYDTVGQSEIDRALKAVKENGKVVTVAAHGSSSATFVMRISDGTVLEKLEPYLESGKVKPILDPKSPFSFSQTVEAFAYLKTNRAIGKVVIHPIP >Vigun01g159600.1.v1.2 pep primary_assembly:ASM411807v1:1:34126109:34128534:-1 gene:Vigun01g159600.v1.2 transcript:Vigun01g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIETEVRTELTHKDYYDPPPTPFYDAAELRKWSFYRALIAEFVATLLFLYVTILTVIGYNHQIHVGDSCDGVGILGIAWSFGGMIFVLVYCTAGISGGHVNPAVTFGLFLARKVSLVRAIAYMVAQCLGAICGVGLVKAFQKSYYNRYKGGVNLLSDGYSKGAGLGAEIIGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGPAVIFNNEKAWDDQWIFWVGPFIGATIAAVYHQYVLRAQAAKALGSFRSSPHL >Vigun11g144650.1.v1.2 pep primary_assembly:ASM411807v1:11:35393700:35394328:1 gene:Vigun11g144650.v1.2 transcript:Vigun11g144650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITNQSRVCRNVFFFCLFCTLIFSSSAASTKDSENVESLVSSTGGAKRFLRGWSKPPTCFSRCLNCTPCTPILVATPPSARRQTAAASEQPDTASRRPDDYYPTFWKCTCGGKLYDA >Vigun04g107832.1.v1.2 pep primary_assembly:ASM411807v1:4:26142729:26143356:1 gene:Vigun04g107832.v1.2 transcript:Vigun04g107832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFPSSHTTPSVPAPHVPPPRPPPEASSATPSTFTPLLARKHCCICVSSFFSDAINATPTPGPRDPNRLCLLHRATPPPSPIASASSLSFPKKMKNEKLTDGGRVRVGGSEYGERLGDRLLMGMEKMVKIGSGGNAHRLVMENGENE >Vigun11g150700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36102101:36104210:-1 gene:Vigun11g150700.v1.2 transcript:Vigun11g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLYLIPTLYSCFLIWKMLEERRDRECYILNYQCYKPPNDRMLDTEFCGKLIKRCENLGPNEYRFLLKAIVSSGIGEQTYAPRNIFEGREGAPTLRDGIEEMEEFFSDAIGKLLAKSKVSPSEIDVLVVNISMLAAVPSLSSRIINRYKLRHDVKVYNLTGMGCSASLISMDIVKNIFKTQRNKLALLITSESLSPNWYTGNDRSMILANCLFRIGGCAVLLTNKRSLKDRAMLRLKCLVRTHHGAREEAYGCCIQREDDQGKLGFHLGKNLPKAATRAFVDNLRVMAPKILPVRELLRFLFVSLIKKVNKNNTPKSASTGATKSPLNFRTGVDHFCLHTGGKAVIDGIGLSLDLSEYDLEPARMTLHRFGNTSASSLWYVLSYMEAKKRLKKGDTVFMISFGAGFKCNSCLWEVMKDLGDPNVWDDCIDDYPPESLDNPFMRTYGWINEVEDPYTYENIPDFLK >Vigun06g031750.3.v1.2 pep primary_assembly:ASM411807v1:6:13774421:13782393:1 gene:Vigun06g031750.v1.2 transcript:Vigun06g031750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDERDSYSDLMLAILREILPSNPHLRLILMSGSFDATRFSQYFGVCPIIYVPRLTYPVKNYYLEDVLSIVKSGGDNHELSQVEKLSLDEAIHLAWSNDDWCSLLELVYRKAKPKVFDYQHSLTGLNALMVFAGKGKVDDMCMLLSLGANCHLKAKDGTTALEIAEKENQPVAVELLKKYMNKDFSVKEEKNLLDRYLATANLKDVDVVLIEQLLRKICIDSKDGSIIVFLPGWDEIVRTRERLLSSSFFNKRSKFKVVSLHSMVLASEINEAFMPAPHGCRKIVLSTNIAETAVPLDDLVYVIDTGFVKEKSYDPCKDLFTLQSSWISKASAKKREGCASRCHPGACYHLYSKVQADSLLDFQDPEIKRMPIEELCLQVKLFDPSCKIEEFLSQTLDPPGFESIRSAARVLQEMGALTVDEHLTELGQKFGYLPVHPYTSRMLIFSILMNCLDPALILACASKCKDLFVLPILPDEKKRAVAARSELASLYGGCGDQFTIIAAFQCWVNAKKMGLESRFCSQYFVSESAMSKLDVMRKNLAAELYRNGLINRSRNYCSNAYDPGILQAVLVAGMYPMVGKLVFPYGSGKKILLNTKSIDSVCLNSHTLDYRLSSQKSLDCSFVVYDEITSIDWDLRIGNCTVVGLLPLFLLSKEIDVDHAKHCTDNIITVIIDGWLNFESTAFDAFHMNYLRELLTATIIYKVTYSTDVLPPVLQAAVDSLACILSCHGRYCIPLVSDCAMKQTNTKKFQTEAIENPTKKANMTLEGFCTGLINHNDFPSRASIYTGAEKPRYPARRNTETGSACSIQSAHLPKYSYSKGTCYEKIMVGWSFPDVGWIKANVDGSYRGRKHQTSCAGVFRDHKGSWCFGFTLNLGTFCFGSSDPMCSGFCVESELWGILTALKLGKEKKISKLWIESDSSTAVDCVVQKRAEKSDAFTPLVESILELMEGNWTVRISHCYREGNRVADWLSKFGHSKEIGLQVYDVPPDPDDLHEILTQDSTGVSRHRYVRLKAS >Vigun06g031750.1.v1.2 pep primary_assembly:ASM411807v1:6:13765902:13782391:1 gene:Vigun06g031750.v1.2 transcript:Vigun06g031750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDEAEAEPSCQIAITESFIDEHSEVLWEKYLKILKEFIASNDEVYTFHGNLSGEERKAVDQLCHLMELGVRFCGTAGEQKVIAFKVNNEASNHIQAVLSRKRKNREDPSLCGENAEFGELNKIWISQTLEKFAASNDEVYTFENKLTGQEDDLVYNFCRERGFIYTLSRNGIKRRVCVRKTGEEVDSTTLVENLPYVTCSDESDQIDKLKQSEKEVEPEPESAQHQNFIVIVPKRVRISKILKDFLASEDEVYNFEDELSTSDRDLVRHFSRKMGLSCNISGKKTSVHKTKKEVATTRVSGCHPHITFPEQSTPNRKETVASGQQNPIIDESTRIQIYQTSEKFSASKVNNFEANLSSEKCVAVHQLSQENSGSGMRQKASVHETEKNIHTTAKLENLPCFTFSGQSKRVLANLLKQYPPGDEELWRAMIEAYGDTTDRTEEKEDDVFRRPCMSKVEITKRLEIPSKGTKDSFKLKLINEKRSKLPITFFKDIITSAVESHQQVVIICGETGCGKTTQVPQYILDHMWGKGEVCKIVSTQLQSLSAISVSKRISSERGEVIGNNVGYKVQFESEGGRHSSIVLCTPGVLLKALASTGSHFSERQHVKGDVSSITHIIMDEIDERDSYSDLMLAILREILPSNPHLRLILMSGSFDATRFSQYFGVCPIIYVPRLTYPVKNYYLEDVLSIVKSGGDNHELSQVEKLSLDEAIHLAWSNDDWCSLLELVYRKAKPKVFDYQHSLTGLNALMVFAGKGKVDDMCMLLSLGANCHLKAKDGTTALEIAEKENQPVAVELLKKYMNKDFSVKEEKNLLDRYLATANLKDVDVVLIEQLLRKICIDSKDGSIIVFLPGWDEIVRTRERLLSSSFFNKRSKFKVVSLHSMVLASEINEAFMPAPHGCRKIVLSTNIAETAVPLDDLVYVIDTGFVKEKSYDPCKDLFTLQSSWISKASAKKREGCASRCHPGACYHLYSKVQADSLLDFQDPEIKRMPIEELCLQVKLFDPSCKIEEFLSQTLDPPGFESIRSAARVLQEMGALTVDEHLTELGQKFGYLPVHPYTSRMLIFSILMNCLDPALILACASKCKDLFVLPILPDEKKRAVAARSELASLYGGCGDQFTIIAAFQCWVNAKKMGLESRFCSQYFVSESAMSKLDVMRKNLAAELYRNGLINRSRNYCSNAYDPGILQAVLVAGMYPMVGKLVFPYGSGKKILLNTKSIDSVCLNSHTLDYRLSSQKSLDCSFVVYDEITSIDWDLRIGNCTVVGLLPLFLLSKEIDVDHAKHCTDNIITVIIDGWLNFESTAFDAFHMNYLRELLTATIIYKVTYSTDVLPPVLQAAVDSLACILSCHGRYCIPLVSDCAMKQTNTKKFQTEAIENPTKKANMTLEGFCTGLINHNDFPSRASIYTGAEKPRYPARRNTETGSACSIQSAHLPKYSYSKGTCYEKIMVGWSFPDVGWIKANVDGSYRGRKHQTSCAGVFRDHKGSWCFGFTLNLGTFCFGSSDPMCSGFCVESELWGILTALKLGKEKKISKLWIESDSSTAVDCVVQKRAEKSDAFTPLVESILELMEGNWTVRISHCYREGNRVADWLSKFGHSKEIGLQVYDVPPDPDDLHEILTQDSTGVSRHRYVRLKAS >Vigun06g031750.4.v1.2 pep primary_assembly:ASM411807v1:6:13774423:13782393:1 gene:Vigun06g031750.v1.2 transcript:Vigun06g031750.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDERDSYSDLMLAILREILPSNPHLRLILMSGSFDATRFSQYFGVCPIIYVPRLTYPVKNYYLEDVLSIVKSGGDNHELSQVEKLSLDEAIHLAWSNDDWCSLLELVYRKAKPKVFDYQHSLTGLNALMVFAGKGKVDDMCMLLSLGANCHLKAKDGTTALEIAEKENQPVAVELLKKYMNKDFSVKEEKNLLDRYLATANLKDVDVVLIEQLLRKICIDSKDGSIIVFLPGWDEIVRTRERLLSSSFFNKRSKFKVVSLHSMVLASEINEAFMPAPHGCRKIVLSTNIAETAVPLDDLVYVIDTGFVKEKSYDPCKDLFTLQSSWISKASAKKREGCASRCHPGACYHLYSKVQADSLLDFQDPEIKRMPIEELCLQVKLFDPSCKIEEFLSQTLDPPGFESIRSAARVLQEMGALTVDEHLTELGQKFGYLPVHPYTSRMLIFSILMNCLDPALILACASKCKDLFVLPILPDEKKRAVAARSELASLYGGCGDQFTIIAAFQCWVNAKKMGLESRFCSQYFVSESAMSKLDVMRKNLAAELYRNGLINRSRNYCSNAYDPGILQAVLVAGMYPMVGKLVFPYGSGKKILLNTKSIDSVCLNSHTLDYRLSSQKSLDCSFVVYDEITSIDWDLRIGNCTVVGLLPLFLLSKEIDVDHAKHCTDNIITVIIDGWLNFESTAFDAFHMNYLRELLTATIIYKVTYSTDVLPPVLQAAVDSLACILSCHGRYCIPLVSDCAMKQTNTKKFQTEAIENPTKKANMTLEGFCTGLINHNDFPSRASIYTGAEKPRYPARRNTETGSACSIQSAHLPKYSYSKGTCYEKIMVGWSFPDVGWIKANVDGSYRGRKHQTSCAGVFRDHKGSWCFGFTLNLGTFCFGSSDPMCSGFCVESELWGILTALKLGKEKKISKLWIESDSSTAVDCVVQKRAEKSDAFTPLVESILELMEGNWTVRISHCYREGNRVADWLSKFGHSKEIGLQVYDVPPDPDDLHEILTQDSTGVSRHRYVRLKAS >Vigun06g031750.2.v1.2 pep primary_assembly:ASM411807v1:6:13765902:13782391:1 gene:Vigun06g031750.v1.2 transcript:Vigun06g031750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDEAEAEPSCQIAITESFIDEHSEVLWEKYLKILKEFIASNDEVYTFHGNLSGEERKAVDQLCHLMELGVRFCGTAGEQKVIAFKVNNEASNHIQAVLSRKRKNREDPSLCGENAEFGELNKIWISQTLEKFAASNDEVYTFENKLTGQEDDLVYNFCRERGFIYTLSRNGIKRRVCVRKTGEEVDSTTLVENLPYVTCSDESDQIDKLKQSEKEVEPEPESAQHQNFIVIVPKRVRISKILKDFLASEDEVYNFEDELSTSDRDLVRHFSRKMGLSCNISGKKTSVHKTKKEVATTRVSGCHPHITFPEQSTPNRKETVASGQQNPIIDESTRIQIYQTSEKFSASKVNNFEANLSSEKCVAVHQLSQENSGSGMRQKASVHETEKNIHTTAKLENLPCFTFSGQSKRVLANLLKQYPPGDEELWRAMIEAYGDTTDRTEEKEDDVFRRPCMSKVEITKRLEIPSKGTKDSFKLKLINEKRSKLPITFFKDIITSAVESHQVVIICGETGCGKTTQVPQYILDHMWGKGEVCKIVSTQLQSLSAISVSKRISSERGEVIGNNVGYKVQFESEGGRHSSIVLCTPGVLLKALASTGSHFSERQHVKGDVSSITHIIMDEIDERDSYSDLMLAILREILPSNPHLRLILMSGSFDATRFSQYFGVCPIIYVPRLTYPVKNYYLEDVLSIVKSGGDNHELSQVEKLSLDEAIHLAWSNDDWCSLLELVYRKAKPKVFDYQHSLTGLNALMVFAGKGKVDDMCMLLSLGANCHLKAKDGTTALEIAEKENQPVAVELLKKYMNKDFSVKEEKNLLDRYLATANLKDVDVVLIEQLLRKICIDSKDGSIIVFLPGWDEIVRTRERLLSSSFFNKRSKFKVVSLHSMVLASEINEAFMPAPHGCRKIVLSTNIAETAVPLDDLVYVIDTGFVKEKSYDPCKDLFTLQSSWISKASAKKREGCASRCHPGACYHLYSKVQADSLLDFQDPEIKRMPIEELCLQVKLFDPSCKIEEFLSQTLDPPGFESIRSAARVLQEMGALTVDEHLTELGQKFGYLPVHPYTSRMLIFSILMNCLDPALILACASKCKDLFVLPILPDEKKRAVAARSELASLYGGCGDQFTIIAAFQCWVNAKKMGLESRFCSQYFVSESAMSKLDVMRKNLAAELYRNGLINRSRNYCSNAYDPGILQAVLVAGMYPMVGKLVFPYGSGKKILLNTKSIDSVCLNSHTLDYRLSSQKSLDCSFVVYDEITSIDWDLRIGNCTVVGLLPLFLLSKEIDVDHAKHCTDNIITVIIDGWLNFESTAFDAFHMNYLRELLTATIIYKVTYSTDVLPPVLQAAVDSLACILSCHGRYCIPLVSDCAMKQTNTKKFQTEAIENPTKKANMTLEGFCTGLINHNDFPSRASIYTGAEKPRYPARRNTETGSACSIQSAHLPKYSYSKGTCYEKIMVGWSFPDVGWIKANVDGSYRGRKHQTSCAGVFRDHKGSWCFGFTLNLGTFCFGSSDPMCSGFCVESELWGILTALKLGKEKKISKLWIESDSSTAVDCVVQKRAEKSDAFTPLVESILELMEGNWTVRISHCYREGNRVADWLSKFGHSKEIGLQVYDVPPDPDDLHEILTQDSTGVSRHRYVRLKAS >Vigun02g130800.3.v1.2 pep primary_assembly:ASM411807v1:2:28185846:28187201:1 gene:Vigun02g130800.v1.2 transcript:Vigun02g130800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNIEDVATSAAGTMKSAASGASDYVSEKATGAMEAVSGAMAQGKEKIDDAYDGDSKIIKMPTDMDNVKDTMREKIGDTYDNAKENIERASDKASSMGQSAKESMENAYEEGKQKMNLASEKFSDGKASEVYDDESRDKVKAMDCGNMVVDGFDEANDSKDNTGVGNEYGRDKVAETFDQAKRAVEEAYESAKNKMTREAKAKYEAAKEKASDAAGYVGAKMRNTPNQ >Vigun02g130800.2.v1.2 pep primary_assembly:ASM411807v1:2:28185303:28187272:1 gene:Vigun02g130800.v1.2 transcript:Vigun02g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKVVLLLMVFLAVCTVTCRPFDDDLGEETKEKSEEAKKFASGVQHDAEEKTQSFSDWTQNKFSDFGADEDKEKQPTENTMMDNIEDVATSAAGTMKSAASGASDYVSEKATGAMEAVSGAMAQGKEKIDDAYDGDSKIIKMPTDMDNVKDTMREKIGDTYDNAKENIERASDKASSMGQSAKESMENAYEEGKQKMNLASEKFSDGKASEVYDDESRDKVKAMDCGNMVVDGFDEANDSKDNTGVGNEYGRDKVAETFDQAKRAVEEAYESAKNKMTREAKAKYEAAKEKASDAAGYVGAKMRNTPNQ >Vigun02g130800.1.v1.2 pep primary_assembly:ASM411807v1:2:28185303:28187290:1 gene:Vigun02g130800.v1.2 transcript:Vigun02g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKVVLLLMVFLAVCTVTCRPFDDDLGEETKEKSEEAKKFASGVQHDAEEKTQSFSDWTQNKFSESFGADEDKEKQPTENTMMDNIEDVATSAAGTMKSAASGASDYVSEKATGAMEAVSGAMAQGKEKIDDAYDGDSKIIKMPTDMDNVKDTMREKIGDTYDNAKENIERASDKASSMGQSAKESMENAYEEGKQKMNLASEKFSDGKASEVYDDESRDKVKAMDCGNMVVDGFDEANDSKDNTGVGNEYGRDKVAETFDQAKRAVEEAYESAKNKMTREAKAKYEAAKEKASDAAGYVGAKMRNTPNQ >Vigun07g110050.1.v1.2 pep primary_assembly:ASM411807v1:7:20390848:20391400:1 gene:Vigun07g110050.v1.2 transcript:Vigun07g110050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGPYLTDKSKIIFQYSSPFEPFSRLQPPYNGILVFHQMPQTNHQEKRQCGFVSLVNKMSKVDCDFETLSTSTSTSSANHFLCDEDEEDNNED >Vigun02g159100.1.v1.2 pep primary_assembly:ASM411807v1:2:30445445:30456660:1 gene:Vigun02g159100.v1.2 transcript:Vigun02g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQSNLFDTASQPDTGNDAYTFLEFNTQGEDFDYPEFRDPIRSPVAWPTPSDSLADTSERGAVGGPGSDHQSDASPVSAAPGSATKGGRSGNGGGHNSQMVDALAAGMSGLNFEDTGDDDNYEYGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGIAANDNFGSVGSGAGTSSDRRSSRGRGSYIPPGPPNGSHKPGVHPAGYPVPRVPLPPFHGGPQSQPYAIPSRGAVHGPVGAVPHVPSPGSRGFGAGRGNSGAPIGNHLPHQQGTQQPIGNIGSTFNFPTLENPNSQPSVGGPLSQPGFANNMPVQGAGQSFRDQFSMPGMSQDFLGDDFKSQGSHVPYNVTDFSTQASQSGYAVDFATQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMGHGSQGLFTQVGFNDPLQDDSTQSHFGVANANPLQSQMNSLYSQPFAHYNTQPLNMQASQQQSQAQNSQNQKIHYNG >Vigun10g116200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32122785:32124082:1 gene:Vigun10g116200.v1.2 transcript:Vigun10g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAAPSISSSAMDPFEFLKIKLNPDGSLTRNYVVPTVPPSLTPPTSEPSLSVDIPLNAATNTSLRLFLPHPPPAQKLPLILYFHGGGFILYHPSSFIFHHSCTPLAASLPAVIASVDYRLSPEHRLPAAYDDALDALLWAQDQARDPAQAHPWLSDHVDFSKCFLMGSSAGGNIAYFAALRALDHDLSPLKILGIVMNAPYFSGSQRSDSELRLVNDRILPLPANDLMWSLSLPEGADRDHVYCNPTAADAAHGDKIGRLPACFINGYGGDPLVDKQKELARILEARGVRVEKRFVEDGYHAVEIFDETKAIALAQNIKNFVLSLTSQSSI >Vigun10g199100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41148632:41148988:1 gene:Vigun10g199100.v1.2 transcript:Vigun10g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHMMESNSKKCNKIREVVRLQQILRKWKKAATSSSASNTADIVPKGFLAVCVGKELKRFIIPTHYLRHQAFEILLQQAQDEFGFQQEGVLKIPCHVSVFQTILKSVEDNNNPHIRD >Vigun03g082800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6872246:6874025:1 gene:Vigun03g082800.v1.2 transcript:Vigun03g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQSWLQTCSCSSSSTATHNSTISLLKQKQHAYDTSTTSSSVSEAPSSAISNTTASSLETNLSIQTLPSIPSLQTQTLTFSVSHHCLNSLTPHPSRPVTSLAVINNLLYAATDHEINVYDRHTCTTLHTFNIQPTSNSTKAITFTDNNLVLTTHQDSKIRVWRNHKTHHRVFTTLPTVKDRLHRFLLPKNYITIRRHNKRLWIEHADAVTGLAVTNGAIYSVSWDRTLKIWRLSDFRCVESVNAHEDAVNAVAVSFDGTVYTGSADKRIRVWARPAGEKRHVLVATLEKHKSAVNALALNDDASVLFSGACDRSILVWEREDSANHMVVSGALRGHQKPILCLVTVSDLLLSGSADRTVRIWKRATDGRFGCLAVLDGHRKPVKSLAAILENDEASPHNNTVSVFSGTLDGEIKVWQVSITGKSTHYPENN >Vigun04g002000.1.v1.2 pep primary_assembly:ASM411807v1:4:188797:194326:1 gene:Vigun04g002000.v1.2 transcript:Vigun04g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHLLRLQFRLSSSSTSLFTAPNSASATTLFSPLAYSSPAPPPPPTLAFAPRKHLPSFKVCATVAETGQPKWWEKNAPNMVDIHSTQEFLSALSQAGDRLVIVEFYGTWCASCRALFPKLCRTAEENPEILFLKVNFDENKPMCKRLNVKVLPYFHFYRGAEGQLESFSCSLAKFQKIKDAIEIHNTARCSIGPPVGIGDLLAEPSSVAKDRPAESV >Vigun04g019100.2.v1.2 pep primary_assembly:ASM411807v1:4:1421149:1429730:-1 gene:Vigun04g019100.v1.2 transcript:Vigun04g019100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLGGSGSGPERDKSTAPPSSSPISVVSAFWKDFELEKEKTVLDEQGLRIAENQENSQKNRRKLAENTRDFKKASPEDKLSLFSSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALVSIAEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQALQDQLQHAKDSVSNMQKLHEIAQNQLFELRAQSEEERAAKQSEVTILMDEVERAQTTLLSLEREKGLLRSQLQTANEGTETKNSDSFDSTSALENSLNAKEKQISELNLELHNIETTLSNEREEHMNDVKKLTAMLNEKEAALEEMKKELQARPTEKTVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMEFLLLDKNRKMEHELTQLKVSLSEKTSLLETAEEKIAELTTKVNEQQKLIQKLEDDILKGYSSNSKDRKGNFLDDWDLSDAGGAEASEMHLLEILAKFITSLFQLMLLG >Vigun04g019100.1.v1.2 pep primary_assembly:ASM411807v1:4:1417687:1429730:-1 gene:Vigun04g019100.v1.2 transcript:Vigun04g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLGGSGSGPERDKSTAPPSSSPISVVSAFWKDFELEKEKTVLDEQGLRIAENQENSQKNRRKLAENTRDFKKASPEDKLSLFSSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALVSIAEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQALQDQLQHAKDSVSNMQKLHEIAQNQLFELRAQSEEERAAKQSEVTILMDEVERAQTTLLSLEREKGLLRSQLQTANEGTETKNSDSFDSTSALENSLNAKEKQISELNLELHNIETTLSNEREEHMNDVKKLTAMLNEKEAALEEMKKELQARPTEKTVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMEFLLLDKNRKMEHELTQLKVSLSEKTSLLETAEEKIAELTTKVNEQQKLIQKLEDDILKGYSSNSKDRKGNFLDDWDLSDAGGAEASENTDQRQVSLDQDQSSMLKVICNQRDRFRNRLRETEEEIRQLKEKIGILTVELEKSKADNVKLYGKMRYVQDYNIEKVVSRGSKKYAEDIESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARAFAFFYTIGLHVLVFTCLYRMSALSYLSNGPEEFLVGEKNVDLPRGL >Vigun08g081200.1.v1.2 pep primary_assembly:ASM411807v1:8:17023974:17025008:-1 gene:Vigun08g081200.v1.2 transcript:Vigun08g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKNMTERSNDETRSYFSWNLEMERVLAEVLRDQRNMGNKSDGAWKRVAYNAAAVVLSNNFKVQVTWENVKNRIKLWRSWYGVVSDILGQSGFDWDGTKHMITVGDENVWNEYVTSHKEARHFRFKAIPNWDDIVDLCAKDRDTGHGAETTMDADEVTSKEVTEVNFVEKHNLTSSSTSTQSQRRKISEKELMAASMKDVAKSFKRLTHVYGEKVDENEIKEVLDEVRLMPNLTKEQWAKVVKWLADMPKQLAIVKALPIEQKEDYVLIHISTT >Vigun08g072000.4.v1.2 pep primary_assembly:ASM411807v1:8:11739380:11748573:-1 gene:Vigun08g072000.v1.2 transcript:Vigun08g072000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHFLHHHYHHPQDHRTTRYTSLNPQSHHHHHHHSNLPPPPPAPPPPLSYHRTLHAAPLSQPYTPSTPQPQQQQQQQFSFNHHTSLPHRTLEDDSRSLTYDLLPRRTTAIPWNPNPRTDDFDREFHHHHHRPPPPPPPPIETLRYDPGRRDRLVVDAYEQNPREALAWGGGDYHAPSQGDVEPAPYVRVYSVECDTDVAGRGSRVESKRWVMSDRERERERGRELHESSSNLVSKGSNSDKYYHGSDNVDRYSRGNSRERGHEFARTPPKKQVQKKSALLRIQTVKPNHRNREVEQLRYPGYGPEGSNGFFRGKEPYLAHGVKGEEREGSPVEIDISFESNSLVAKAIVAPPSSSVSVPDLNVTPVLDSDLGSGEKNKRVSGSDGYYSGLQQPYRMSSVVVVDLNRSPCKGNDRSGLRKEVNVRKSVKNSSSRSRTREADDSRGKNAVPNSVKAGNVCSGKSTITVVKKKRIVKRMVKRKACLNSMTSVPNSLPAERLPGTVEVESAALVSSTASNPEKIEANSDEKSNIVEEVPDCLHSLPKEGNVLKEDKEGGLPQLSLGPDSTSQDCESDKDSDNREVSRFEIERDGNISKFPSRASSSEDKKSDSGCLDVNNDVFDNGNIIFMHDNANTSDCLGANNSVPGTDTVTEFLSGSTISEVNHMDYGNKQLSQNEGSLSVGNYSNVQSPLNNNLVDAGDEILKTSDTFSSSRKIRIQDGLDCLQHSSALKQGSDNGSSNLEDCIVHGSGIMHDAGNQLAHGDVTMHPENCETEKTFPDSNILAGSGEGNTKKIKKRKARTQLNILSSEMESLSPDHVNPVSLANNVDGGTGLMSKDPSASIVLDQSVQNDVDSITGLDGVTALHEEGEFLETQFYAANNNNDDANEVSPSSKRKKVTANPNFTQCQSEISAVIVVTTTSDVETPVNLNDNQEHQKEFALSSMGMCIPSSVQSMPYSESITKMSDNIFSGGSFDSTDANRETMSSEYSELQHSDIVSFSPCEDLAFQNDHFSPLEGECKENITPVVLVSNTQIGVLGVGNTLGEKTDLQAVKGNYQYRDFVQRSPRPDMEPNDLNVKNDLLAQQNLMSCPTSGDEVTTSNSNDEFIVDAPGALSDIFSQGMASEVPDRRSLEFTAINDENICGVEENTSSVHQTIQNSRSDSAFGHSNMITKKTISEPSQVSSKVTTQALNSYRFGLSGTKNQSGSVIPKTFPGHSFTFLKSETKTSASSTHVSKPRTWHRTGNNPPISVPRINSVRTVPPKRPILERKGNFQNTSYVRKGNSLVRKPTPVPALPQISSVNKSSSSGFGEISKSTKSESRADVTDQPMYLRAGATYSQQRQRQRTPPLPIDTKSEENTSSSLVEPSGGSCENVSDPKTFIEINNNAQNSSEDALKHCEILENQPVPSDNGESQVEVNEGNPLSLNTKRIVYIKPKTNQLVATSNSCDVSVSTDDNGQTAFSDGYYKRRKNQLVRTTFESHTNQTAVVPNGMANSDGQGTSNALCNTRFSKKRLHKAVRSSCKRSRASLVWTLCSKNSSEHDRNSRHNQKVLPQLFRWKRATFASSFNSSSVSAISKKLLQLRKRDTVYTRSKHGFSLWKSRVLGVGGCSLKWSKSIEKNSKQANEEATLAVAAVERKKREQKNAVCISSQSKSRKHSFHESSSYHSADNSVFVLLKIYASCINYLSVTLTLKVVVECAGERIFRIGSVRYRMDPSRRTLQRISVDESQSSGSTSSGLASKSAYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCKKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHQVIPERMPDCSYFLQGLCSNSNCPYRHVNVNPNASICEGFLRGYCADGNECRKKHSYVCPTFEATGSCTEGSKCKLHHPKKQSKGKKRKRSGDQNKTRGRYFGSIPAADVSESGMMVAPNRHKQSSEIEEELSDYISLDVMSEEVADTDDLSFDPAELCENDSLDDLDELIKPVLLLKTKFTSQSPDSYLPRKWWRLRSFVNEVI >Vigun08g072000.5.v1.2 pep primary_assembly:ASM411807v1:8:11739380:11748573:-1 gene:Vigun08g072000.v1.2 transcript:Vigun08g072000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHFLHHHYHHPQDHRTTRYTSLNPQSHHHHHHHSNLPPPPPAPPPPLSYHRTLHAAPLSQPYTPSTPQPQQQQQQQFSFNHHTSLPHRTLEDDSRSLTYDLLPRRTTAIPWNPNPRTDDFDREFHHHHHRPPPPPPPPIETLRYDPGRRDRLVVDAYEQNPREALAWGGGDYHAPSQGDVEPAPYVRVYSVECDTDVAGRGSRVESKRWVMSDRERERERGRELHESSSNLVSKGSNSDKYYHGSDNVDRYSRGNSRERGHEFARTPPKKQVQKKSALLRIQTVKPNHRNREVEQLRYPGYGPEGSNGFFRGKEPYLAHGVKGEEREGSPVEIDISFESNSLVAKAIVAPPSSSVSVPDLNVTPVLDSDLGSGEKNKRVSGSDGYYSGLQQPYRMSSVVVVDLNRSPCKGNDRSGLRKEVNVRKSVKNSSSRSRTREADDSRGKNAVPNSVKAGNVCSGKSTITVVKKKRIVKRMVKRKACLNSMTSVPNSLPAERLPGTVEVESAALVSSTASNPEKIEANSDEKSNIVEEVPDCLHSLPKEGNVLKEDKEGGLPQLSLGPDSTSQDCESDKDSDNREVSRFEIERDGNISKFPSRASSSEDKKSDSGCLDVNNDVFDNGNIIFMHDNANTSDCLGANNSVPGTDTVTEFLSGSTISEVNHMDYGNKQLSQNEGSLSVGNYSNVQSPLNNNLVDAGDEILKTSDTFSSSRKIRIQDGLDCLQHSSALKQGSDNGSSNLEDCIVHGSGIMHDAGNQLAHGDVTMHPENCETEKTFPDSNILAGSGEGNTKKIKKRKARTQLNILSSEMESLSPDHVNPVSLANNVDGGTGLMSKDPSASIVLDQSVQNDVDSITGLDGVTALHEEGEFLETQFYAANNNNDDANEVSPSSKRKKVTANPNFTQCQSEISAVIVVTTTSDVETPVNLNDNQEHQKEFALSSMGMCIPSSVQSMPYSESITKMSDNIFSGGSFDSTDANRETMSSEYSELQHSDIVSFSPCEDLAFQNDHFSPLEGECKENITPVVLVSNTQIGVLGVGNTLGEKTDLQAVKGNYQYRDFVQRSPRPDMEPNDLNVKNDLLAQQNLMSCPTSGDEVTTSNSNDEFIVDAPGALSDIFSQGMASEVPDRRSLEFTAINDENICGVEENTSSVHQTIQNSRSDSAFGHSNMITKKTISEPSQVSSKVTTQALNSYRFGLSGTKNQSGSVIPKTFPGHSFTFLKSETKTSASSTHVSKPRTWHRTGNNPPISVPRINSVRTVPPKRPILERKGNFQNTSYVRKGNSLVRKPTPVPALPQISSVNKSSSSGFGEISKSTKSESRADVTDQPMYLRAGATYSQQRQRQRTPPLPIDTKSEENTSSSLVEPSGGSCENVSDPKTFIEINNNAQNSSEDALKHCEILENQPVPSDNGESQVEVNEGNPLSLNTKRIVYIKPKTNQLVATSNSCDVSVSTDDNGQTAFSDGYYKRRKNQLVRTTFESHTNQTAVVPNGMANSDGQGTSNALCNTRFSKKRLHKAVRSSCKRSRASLVWTLCSKNSSEHDRNSRHNQKVLPQLFRWKRATFASSFNSSSVSAISKKLLQLRKRDTVYTRSKHGFSLWKSRVLGVGGCSLKWSKSIEKNSKQANEEATLAVAAVERKKREQKNAVCISSQSKMVECAGERIFRIGSVRYRMDPSRRTLQRISVDESQSSGSTSSGLASKSAYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCKKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHQVIPERMPDCSYFLQGLCSNSNCPYRHVNVNPNASICEGFLRGYCADGNECRKKHSYVCPTFEATGSCTEGSKCKLHHPKKQSKGKKRKRSGDQNKTRGRYFGSIPAADVSESGMMVAPNRHKQSSEIEEELSDYISLDVMSEEVADTDDLSFDPAELCENDSLDDLDELIKPVLLLKTKFTSQSPDSYLPRKWWRLRSFVNEVI >Vigun08g072000.1.v1.2 pep primary_assembly:ASM411807v1:8:11739378:11748619:-1 gene:Vigun08g072000.v1.2 transcript:Vigun08g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHFLHHHYHHPQDHRTTRYTSLNPQSHHHHHHHSNLPPPPPAPPPPLSYHRTLHAAPLSQPYTPSTPQPQQQQQQQFSFNHHTSLPHRTLEDDSRSLTYDLLPRRTTAIPWNPNPRTDDFDREFHHHHHRPPPPPPPPIETLRYDPGRRDRLVVDAYEQNPREALAWGGGDYHAPSQGDVEPAPYVRVYSVECDTDVAGRGSRVESKRWVMSDRERERERGRELHESSSNLVSKGSNSDKYYHGSDNVDRYSRGNSRERGHEFARTPPKKQVQKKSALLRIQTVKPNHRNREVEQLRYPGYGPEGSNGFFRGKEPYLAHGVKGEEREGSPVEIDISFESNSLVAKAIVAPPSSSVSVPDLNVTPVLDSDLGSGEKNKRVSGSDGYYSGLQQPYRMSSVVVVDLNRSPCKGNDRSGLRKEVNVRKSVKNSSSRSRTREADDSRGKNAVPNSVKAGNVCSGKSTITVVKKKRIVKRMVKRKACLNSMTSVPNSLPAERLPGTVEVESAALVSSTASNPEKIEANSDEKSNIVEEVPDCLHSLPKEGNVLKEDKEGGLPQLSLGPDSTSQDCESDKDSDNREVSRFEIERDGNISKFPSRASSSEDKKSDSGCLDVNNDVFDNGNIIFMHDNANTSDCLGANNSVPGTDTVTEFLSGSTISEVNHMDYGNKQLSQNEGSLSVGNYSNVQSPLNNNLVDAGDEILKTSDTFSSSRKIRIQDGLDCLQHSSALKQGSDNGSSNLEDCIVHGSGIMHDAGNQLAHGDVTMHPENCETEKTFPDSNILAGSGEGNTKKIKKRKARTQLNILSSEMESLSPDHVNPVSLANNVDGGTGLMSKDPSASIVLDQSVQNDVDSITGLDGVTALHEEGEFLETQFYAANNNNDDANEVSPSSKRKKVTANPNFTQCQSEISAVIVVTTTSDVETPVNLNDNQEHQKEFALSSMGMCIPSSVQSMPYSESITKMSDNIFSGGSFDSTDANRETMSSEYSELQHSDIVSFSPCEDLAFQNDHFSPLEGECKENITPVVLVSNTQIGVLGVGNTLGEKTDLQAVKGNYQYRDFVQRSPRPDMEPNDLNVKNDLLAQQNLMSCPTSGDEVTTSNSNDEFIVDAPGALSDIFSQGMASEVPDRRSLEFTAINDENICGVEENTSSVHQTIQNSRSDSAFGHSNMITKKTISEPSQVSSKVTTQALNSYRFGLSGTKNQSGSVIPKTFPGHSFTFLKSETKTSASSTHVSKPRTWHRTGNNPPISVPRINSVRTVPPKRPILERKGNFQNTSYVRKGNSLVRKPTPVPALPQISSVNKSSSSGFGEISKSTKSESRADVTDQPMYLRAGATYSQQRQRQRTPPLPIDTKSEENTSSSLVEPSGGSCENVSDPKTFIEINNNAQNSSEDALKHCEILENQPVPSDNGESQVEVNEGNPLSLNTKRIVYIKPKTNQLVATSNSCDVSVSTDDNGQTAFSDGYYKRRKNQLVRTTFESHTNQTAVVPNGMANSDGQGTSNALCNTRFSKKRLHKAVRSSCKRSRASLVWTLCSKNSSEHDRNSRHNQKVLPQLFRWKRATFASSFNSSSVSAISKKLLQLRKRDTVYTRSKHGFSLWKSRVLGVGGCSLKWSKSIEKNSKQANEEATLAVAAVERKKREQKNAVCISSQSKRERIFRIGSVRYRMDPSRRTLQRISVDESQSSGSTSSGLASKSAYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCKKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHQVIPERMPDCSYFLQGLCSNSNCPYRHVNVNPNASICEGFLRGYCADGNECRKKHSYVCPTFEATGSCTEGSKCKLHHPKKQSKGKKRKRSGDQNKTRGRYFGSIPAADVSESGMMVAPNRHKQSSEIEEELSDYISLDVMSEEVADTDDLSFDPAELCENDSLDDLDELIKPVLLLKTKFTSQSPDSYLPRKWWRLRSFVNEVI >Vigun08g072000.3.v1.2 pep primary_assembly:ASM411807v1:8:11739380:11748573:-1 gene:Vigun08g072000.v1.2 transcript:Vigun08g072000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHFLHHHYHHPQDHRTTRYTSLNPQSHHHHHHHSNLPPPPPAPPPPLSYHRTLHAAPLSQPYTPSTPQPQQQQQQQFSFNHHTSLPHRTLEDDSRSLTYDLLPRRTTAIPWNPNPRTDDFDREFHHHHHRPPPPPPPPIETLRYDPGRRDRLVVDAYEQNPREALAWGGGDYHAPSQGDVEPAPYVRVYSVECDTDVAGRGSRVESKRWVMSDRERERERGRELHESSSNLVSKGSNSDKYYHGSDNVDRYSRGNSRERGHEFARTPPKKQVQKKSALLRIQTVKPNHRNREVEQLRYPGYGPEGSNGFFRGKEPYLAHGVKGEEREGSPVEIDISFESNSLVAKAIVAPPSSSVSVPDLNVTPVLDSDLGSGEKNKRVSGSDGYYSGLQQPYRMSSVVVVDLNRSPCKGNDRSGLRKEVNVRKSVKNSSSRSRTREADDSRGKNAVPNSVKAGNVCSGKSTITVVKKKRIVKRMVKRKACLNSMTSVPNSLPAERLPGTVEVESAALVSSTASNPEKIEANSDEKSNIVEEVPDCLHSLPKEGNVLKEDKEGGLPQLSLGPDSTSQDCESDKDSDNREVSRFEIERDGNISKFPSRASSSEDKKSDSGCLDVNNDVFDNGNIIFMHDNANTSDCLGANNSVPGTDTVTEFLSGSTISEVNHMDYGNKQLSQNEGSLSVGNYSNVQSPLNNNLVDAGDEILKTSDTFSSSRKIRIQDGLDCLQHSSALKQGSDNGSSNLEDCIVHGSGIMHDAGNQLAHGDVTMHPENCETEKTFPDSNILAGSGEGNTKKIKKRKARTQLNILSSEMESLSPDHVNPVSLANNVDGGTGLMSKDPSASIVLDQSVQNDVDSITGLDGVTALHEEGEFLETQFYAANNNNDDANEVSPSSKRKKVTANPNFTQCQSEISAVIVVTTTSDVETPVNLNDNQEHQKEFALSSMGMCIPSSVQSMPYSESITKMSDNIFSGGSFDSTDANRETMSSEYSELQHSDIVSFSPCEDLAFQNDHFSPLEGECKENITPVVLVSNTQIGVLGVGNTLGEKTDLQAVKGNYQYRDFVQRSPRPDMEPNDLNVKNDLLAQQNLMSCPTSGDEVTTSNSNDEFIVDAPGALSDIFSQGMASEVPDRRSLEFTAINDENICGVEENTSSVHQTIQNSRSDSAFGHSNMITKKTISEPSQVSSKVTTQALNSYRFGLSGTKNQSGSVIPKTFPGHSFTFLKSETKTSASSTHVSKPRTWHRTGNNPPISVPRINSVRTVPPKRPILERKGNFQNTSYVRKGNSLVRKPTPVPALPQISSVNKSSSSGFGEISKSTKSESRADVTDQPMYLRAGATYSQQRQRQRTPPLPIDTKSEENTSSSLVEPSGGSCENVSDPKTFIEINNNAQNSSEDALKHCEILENQPVPSDNGESQVEVNEGNPLSLNTKRIVYIKPKTNQLVATSNSCDVSVSTDDNGQTAFSDGYYKRRKNQLVRTTFESHTNQTAVVPNGMANSDGQGTSNALCNTRFSKKRLHKAVRSSCKRSRASLVWTLCSKNSSEHDRNSRHNQKVLPQLFRWKRATFASSFNSSSVSAISKKLLQLRKRDTVYTRSKHGFSLWKSRVLGVGGCSLKWSKSIEKNSKQANEEATLAVAAVERKKREQKNAVCISSQSKRERIFRIGSVRYRMDPSRRTLQRISVDESQSSGSTSSGLASKSAYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCKKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHQRECQIVLIFCKVYAQTVIVLIDMSM >Vigun08g072000.2.v1.2 pep primary_assembly:ASM411807v1:8:11739380:11748573:-1 gene:Vigun08g072000.v1.2 transcript:Vigun08g072000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHFLHHHYHHPQDHRTTRYTSLNPQSHHHHHHHSNLPPPPPAPPPPLSYHRTLHAAPLSQPYTPSTPQPQQQQQQQFSFNHHTSLPHRTLEDDSRSLTYDLLPRRTTAIPWNPNPRTDDFDREFHHHHHRPPPPPPPPIETLRYDPGRRDRLVVDAYEQNPREALAWGGGDYHAPSQGDVEPAPYVRVYSVECDTDVAGRGSRVESKRWVMSDRERERERGRELHESSSNLVSKGSNSDKYYHGSDNVDRYSRGNSRERGHEFARTPPKKQVQKKSALLRIQTVKPNHRNREVEQLRYPGYGPEGSNGFFRGKEPYLAHGVKGEEREGSPVEIDISFESNSLVAKAIVAPPSSSVSVPDLNVTPVLDSDLGSGEKNKRVSGSDGYYSGLQQPYRMSSVVVVDLNRSPCKGNDRSGLRKEVNVRKSVKNSSSRSRTREADDSRGKNAVPNSVKAGNVCSGKSTITVVKKKRIVKRMVKRKACLNSMTSVPNSLPAERLPGTVEVESAALVSSTASNPEKIEANSDEKSNIVEEVPDCLHSLPKEGNVLKEDKEGGLPQLSLGPDSTSQDCESDKDSDNREVSRFEIERDGNISKFPSRASSSEDKKSDSGCLDVNNDVFDNGNIIFMHDNANTSDCLGANNSVPGTDTVTEFLSGSTISEVNHMDYGNKQLSQNEGSLSVGNYSNVQSPLNNNLVDAGDEILKTSDTFSSSRKIRIQDGLDCLQHSSALKQGSDNGSSNLEDCIVHGSGIMHDAGNQLAHGDVTMHPENCETEKTFPDSNILAGSGEGNTKKIKKRKARTQLNILSSEMESLSPDHVNPVSLANNVDGGTGLMSKDPSASIVLDQSVQNDVDSITGLDGVTALHEEGEFLETQFYAANNNNDDANEVSPSSKRKKVTANPNFTQCQSEISAVIVVTTTSDVETPVNLNDNQEHQKEFALSSMGMCIPSSVQSMPYSESITKMSDNIFSGGSFDSTDANRETMSSEYSELQHSDIVSFSPCEDLAFQNDHFSPLEGECKENITPVVLVSNTQIGVLGVGNTLGEKTDLQAVKGNYQYRDFVQRSPRPDMEPNDLNVKNDLLAQQNLMSCPTSGDEVTTSNSNDEFIVDAPGALSDIFSQGMASEVPDRRSLEFTAINDENICGVEENTSSVHQTIQNSRSDSAFGHSNMITKKTISEPSQVSSKVTTQALNSYRFGLSGTKNQSGSVIPKTFPGHSFTFLKSETKTSASSTHVSKPRTWHRTGNNPPISVPRINSVRTVPPKRPILERKGNFQNTSYVRKGNSLVRKPTPVPALPQISSVNKSSSSGFGEISKSTKSESRADVTDQPMYLRAGATYSQQRQRQRTPPLPIDTKSEENTSSSLVEPSGGSCENVSDPKTFIEINNNAQNSSEDALKHCEILENQPVPSDNGESQVEVNEGNPLSLNTKRIVYIKPKTNQLVATSNSCDVSVSTDDNGQTAFSDGYYKRRKNQLVRTTFESHTNQTAVVPNGMANSDGQGTSNALCNTRFSKKRLHKAVRSSCKRSRASLVWTLCSKNSSEHDRNSRHNQKVLPQLFRWKRATFASSFNSSSVSAIRSKHGFSLWKSRVLGVGGCSLKWSKSIEKNSKQANEEATLAVAAVERKKREQKNAVCISSQSKRERIFRIGSVRYRMDPSRRTLQRISVDESQSSGSTSSGLASKSAYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLANEKVRWSLHTARQRLARKQKYCQFFTRFGKCKKDGGKCPYIHDPSKIAVCTKFLNGLCSTPNCKLTHQVIPERMPDCSYFLQGLCSNSNCPYRHVNVNPNASICEGFLRGYCADGNECRKKHSYVCPTFEATGSCTEGSKCKLHHPKKQSKGKKRKRSGDQNKTRGRYFGSIPAADVSESGMMVAPNRHKQSSEIEEELSDYISLDVMSEEVADTDDLSFDPAELCENDSLDDLDELIKPVLLLKTKFTSQSPDSYLPRKWWRLRSFVNEVI >Vigun02g098500.1.v1.2 pep primary_assembly:ASM411807v1:2:25392318:25396820:1 gene:Vigun02g098500.v1.2 transcript:Vigun02g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLNYGMPFTHPHWFSPNSGIYHSKHAPMDLPSDPFLDVVSFIFSHRHDGVSALVDSSSGCSISYSKLLPLVKSVASGLYKMGVSKGDVVLILLPNSIYYPIVFLGVLYLGAIVTPLNPLSSVYEIRRQVAECGVSVAFTLPENFKKLEPLGISVIAVPENEKGLRHGCFSCFCDLISCDFDLPQRPVIKQDDAAGILYSSGTTGVSKGVVLSHKNLIAMVELFVRFEASQYESSCLRNVYLAVLPMFHVYGLSLFAVGLLSLGSTVVVMRKFDIDEVVRVIDEYKVTHFPVVPPMLTALITRANGVNDSKLQSLIQVSSGAAPLSSGVVDDFLRTFPDVDFIQGYGMTESTAVGTRGFNTEKFRNYSSIGLLAPNMEAKVVDWNTGAFLPPGSSGELWLRGPSIMTGYLNNEEATMSTIDRDGWLHTGDVVYFDNDGYLYIADRLKDIIKYKGFQIAPADLEAVLILHPEIVDVAVIGAMDEETGEIPVAFVVRKFGSVLSPKHVMDYAAKQVAPYKKIRKVFFTSKIPRSATGKILRKQLRNCLISKL >Vigun10g156000.1.v1.2 pep primary_assembly:ASM411807v1:10:37577235:37585463:1 gene:Vigun10g156000.v1.2 transcript:Vigun10g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDPTLVLVVFISTCILAINGETDPNDVSALRAMFQSMNSPSQLNWNGDDPCGQQSWQGITCSGNRVTEIKLPGRSLSGSLGYLLESLSSVTNLDMSNNNLGGTIPYQLPPKLQYLNLANNIFNGPIPYSLSDKASLIVLNLGHNQLQQALSVDFQKLSFLSTLDLSFNSLTGDLPQSLSSLSNISTMYLQNNQFTGTINVLANLPLETLNVGNNHFTGWIPEQLNSINLQKDGNDWSSGPAPPPPPGTPPAVTRNRNHRSGGHTPSDAGSSGDGGKKSGIGGGGIAGIVISILVVAAIVAFFLVKKISKKSSGDIEKLDNQPLAPHTSHHNEMNSLQASSVTDLNTFDTPTAPISLKPPPFDRHKSFDEDEFSVKPATVIKPTTVKKTVTAPTNVKSYSVADLQIATGSFSVDQLIGEGSFGRVYRAQFDDGKVLAVKKIDSSVLPNDLSDDFVELVSSISHLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLPDEYSKPLIWNSRVKIALGIARALEYLHEVCSPSVVHKNIKSANILLDTDLNPHLSDSGLASYIPNANQVLNNDSGSGYEAPEVVMSGQYTLKSDVFSFGVIMLELLSGRKPFDSSRPRSEQGLVRWATPQLHDIDALAKMVDPALEGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRTNMSKRTTFVTDQGVSNRGGDNQESQDM >Vigun10g156000.2.v1.2 pep primary_assembly:ASM411807v1:10:37577941:37585463:1 gene:Vigun10g156000.v1.2 transcript:Vigun10g156000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSMNSPSQLNWNGDDPCGQQSWQGITCSGNRVTEIKLPGRSLSGSLGYLLESLSSVTNLDMSNNNLGGTIPYQLPPKLQYLNLANNIFNGPIPYSLSDKASLIVLNLGHNQLQQALSVDFQKLSFLSTLDLSFNSLTGDLPQSLSSLSNISTMYLQNNQFTGTINVLANLPLETLNVGNNHFTGWIPEQLNSINLQKDGNDWSSGPAPPPPPGTPPAVTRNRNHRSGGHTPSDAGSSGDGGKKSGIGGGGIAGIVISILVVAAIVAFFLVKKISKKSSGDIEKLDNQPLAPHTSHHNEMNSLQASSVTDLNTFDTPTAPISLKPPPFDRHKSFDEDEFSVKPATVIKPTTVKKTVTAPTNVKSYSVADLQIATGSFSVDQLIGEGSFGRVYRAQFDDGKVLAVKKIDSSVLPNDLSDDFVELVSSISHLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLPDEYSKPLIWNSRVKIALGIARALEYLHEVCSPSVVHKNIKSANILLDTDLNPHLSDSGLASYIPNANQVLNNDSGSGYEAPEVVMSGQYTLKSDVFSFGVIMLELLSGRKPFDSSRPRSEQGLVRWATPQLHDIDALAKMVDPALEGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRTNMSKRTTFVTDQGVSNRGGDNQESQDM >Vigun11g157800.1.v1.2 pep primary_assembly:ASM411807v1:11:36639634:36641909:1 gene:Vigun11g157800.v1.2 transcript:Vigun11g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERPQRQVETTAKAPPAIHTMEQLLRGESSKSNKHSPTYSSSPSSNLSSPSSPFFQRLRSSHDAEDDHSQNQKKSVLTKVKEKAKKLRHSLSKKKHEDGNLSSPSSATGAEGDGAEEEAEFLGAPMYESEKAPVGYKANAKQIPSPRANPMIPEKHVVSSSDKHVVEQDHERALYRSLSKRTTHPHPATTITTTTPHVTTASVTNINVNTNPSTHSGLHKKTTETIAPKLSTTIQTEKPDAAHVTSKLLGLTVSKPSEHHDHHPSPSSSSSPTTFAPKTTRSTLSFSTPRTPPARVVTSQYPTTTPRTTSAPITSAPSAGISQSGKNTSPTAQIWDKGVSMKEYLLNKLEPGEDEKALSQVISEAMSPRRTPGDAGVMEKVREAVTSLLRTEEPAKYADASSVATPSTTRLSPSSPVSTNASRASSQMPSSSNASRASSQMTASTTVSRTSSLVPVSYNAQQDFTEAQEENHGRILQAN >Vigun11g157800.2.v1.2 pep primary_assembly:ASM411807v1:11:36639634:36641909:1 gene:Vigun11g157800.v1.2 transcript:Vigun11g157800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERPQRQVETTAKAPPAIHTMEQLLRVQAGESSKSNKHSPTYSSSPSSNLSSPSSPFFQRLRSSHDAEDDHSQNQKKSVLTKVKEKAKKLRHSLSKKKHEDGNLSSPSSATGAEGDGAEEEAEFLGAPMYESEKAPVGYKANAKQIPSPRANPMIPEKHVVSSSDKHVVEQDHERALYRSLSKRTTHPHPATTITTTTPHVTTASVTNINVNTNPSTHSGLHKKTTETIAPKLSTTIQTEKPDAAHVTSKLLGLTVSKPSEHHDHHPSPSSSSSPTTFAPKTTRSTLSFSTPRTPPARVVTSQYPTTTPRTTSAPITSAPSAGISQSGKNTSPTAQIWDKGVSMKEYLLNKLEPGEDEKALSQVISEAMSPRRTPGDAGVMEKVREAVTSLLRTEEPAKYADASSVATPSTTRLSPSSPVSTNASRASSQMPSSSNASRASSQMTASTTVSRTSSLVPVSYNAQQDFTEAQEENHGRILQAN >Vigun04g163700.1.v1.2 pep primary_assembly:ASM411807v1:4:38794767:38796717:1 gene:Vigun04g163700.v1.2 transcript:Vigun04g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCMTNIQTMSQPQKQHQHQQDHKEHKEDEAPLVFDASLLRHQLNLPKQFIWPDEEKPCMNVPELVVPLIDLRGFLSGDPVATMEAARRVGEACQKHGFFLVVNHGIDANLISHAHSFMDDFFEVPLPQKQRAQRKTGEHCGYASSFTGRFSSKLPWKETLSFQYSAEEKSSTIVKDYLCNTLGQEFQQFGRVYQDYCDAMSNLSLGIMELLGMSLGVGKACFREFFEENNSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDNEWHSISPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNSKTTRKSLAFFLCPKSDKLVSPPSELVDESNPRVYPDFTWPMLLEFTQKHYRADMKTLEAFTNWLQHKRS >VigunL009402.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000424.1:17920:18734:-1 gene:VigunL009402.v1.2 transcript:VigunL009402.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGKGSLKQQLAAIKLVLEDLRSKKDERIKEFLEIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKVLPNS >Vigun01g052800.2.v1.2 pep primary_assembly:ASM411807v1:1:9350415:9357842:1 gene:Vigun01g052800.v1.2 transcript:Vigun01g052800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEIPADANKMDSKPKAESEFSVQKLVDMFTKLNPLAKEFFPSSYPPNHDHRLQGFNQLSPTHFLVNSKTSANENFANNRRRRNSFNQGRRRVSGRSLKAQREDSIRRTVYVSDIDQHVIDCRICGDPHSVLRFAFVEFADEYGARTALNLGGTVLGYYPVRVLPSKTAILPVNPTFLPRSDDEREMCARTVYCTNIDKKISQAEVKNFFESACGEVTRLRLLGDHVHSTRIAFVEFAMAESAIIALNCSGMLLGSQPIRVSPSKTPVRPRVTRPASH >Vigun01g052800.1.v1.2 pep primary_assembly:ASM411807v1:1:9350415:9357842:1 gene:Vigun01g052800.v1.2 transcript:Vigun01g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEIPADANKMDSKPKAESEFSVQKLVDMFTKLNPLAKEFFPSSYPPNHDHRLQGFNQLSPTHFLVNSKTSANENFANNRRRRNSFNQGRRRVSGRSLKAQREDSIRRTVYVSDIDQHVTEERLAALFSSCGQVIDCRICGDPHSVLRFAFVEFADEYGARTALNLGGTVLGYYPVRVLPSKTAILPVNPTFLPRSDDEREMCARTVYCTNIDKKISQAEVKNFFESACGEVTRLRLLGDHVHSTRIAFVEFAMAESAIIALNCSGMLLGSQPIRVSPSKTPVRPRVTRPASH >Vigun09g158600.2.v1.2 pep primary_assembly:ASM411807v1:9:32521190:32527727:1 gene:Vigun09g158600.v1.2 transcript:Vigun09g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKNSRGEGRKLSNYCSTVSIAVFVAFCLVGVWIIMSTIVPIQNSVIQVSETISDVKDVQSDSKQFEERSGDIPEESTKGDTQTLKPQSDSFAENQNEQKEIGEVSDNMANENQEVVRESSDEKNDFEKDPGNSAEENDKMRNVKPSTDEKEQEKGSDGTLNSDSAETETLNGQNHNDELRGSMGTLDEKESDKSANDNKLGTEKSMDKVTQQDEIVNETEEETAKINTHSETTQISGESNTDSHENSQASKEDFIADTQSETLIETSAENGTWSTQATESQHEKESQTSTVSIDSSRYDWKLCNTTTGSEYIPCLDNWYAIRRLHSISHYEHRERHCPDEATTCLVSLPEGYRSPIRWPKSREMIWYKNAPHTKLVEDKGHQNWVKDAGEYLTFPGGGTQFKHGALHYIEFIQKSLPKIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATVGVMGSIRLPYPGSVFDLVHCARCRVPWHVEGGRLLLELNRVLRPGGYFVWSATPVYQKDPESVEIWKAMGEITVSMCWDLVVIAKDKLNGVAAAIYRKPTDNECYNNRIKNEPPMCNESDDPNTAWNVSLQACMHKVPIDASERGSIWPEQWPLRLEKPPYWINSQAGVYGRAAAVEFTADYKHWKNVLFHSYLNGMGINWSSVRNVMDMRAVYGGFAAALRTLKVNVWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADSILSALKKKCNIVAVIAEVDRILRPEGYFVVRDNAETIGEIESMAKSLHWDIRLTYSKDGEGLLCIQKTFWRPTKVETVASAFA >Vigun09g158600.1.v1.2 pep primary_assembly:ASM411807v1:9:32521190:32527727:1 gene:Vigun09g158600.v1.2 transcript:Vigun09g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKNSRGEGRKLSNYCSTVSIAVFVAFCLVGVWIIMSTIVPIQNSVIQVSETISDVKDVQSDSKQFEERSGDIPEESTKGDTQTLKPQSDSFAENQNEQKEIGEVSDNMANENQEVVRESSDEKNDFEKDPGNSAEENDKMRNVKPSTDEKEQEKGSDGTLNSDSAETETLNGQNHNDELRGSMGTLDEKESDKSANDNKLGTEKSMDKVTQQDEIVNETEEETAKINTHSETTQISGESNTDSHENSQASKEDFIADTQSETLIETSAENGTWSTQATESQHEKESQTSTVSIDSSRYDWKLCNTTTGSEYIPCLDNWYAIRRLHSISHYEHRERHCPDEATTCLVSLPEGYRSPIRWPKSREMIWYKNAPHTKLVEDKGHQNWVKDAGEYLTFPGGGTQFKHGALHYIEFIQKSLPKIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATVGVMGSIRLPYPGSVFDLVHCARCRVPWHVEGGRLLLELNRVLRPGGYFVWSATPVYQKDPESVEIWKAMGEITVSMCWDLVVIAKDKLNGVAAAIYRKPTDNECYNNRIKNEPPMCNESDDPNTAWNVSLQACMHKVPIDASERGSIWPEQWPLRLEKPPYWINSQAGVYGRAAAVEFTADYKHWKNVLFHSYLNGMGINWSSVRNVMDMRAVYGGFAAALRTLKVNVWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADSILSALKKKCNIVAVIAEVDRILRPEGYFVVRDNAETIGEIESMAKSLHWDIRLTYSKDGEGLLCIQKTFWRPTKVETVASAFA >Vigun01g243300.6.v1.2 pep primary_assembly:ASM411807v1:1:41222032:41231081:-1 gene:Vigun01g243300.v1.2 transcript:Vigun01g243300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENHVAELVSEADSDSGGGVGRDQSNVDSEPNTGTNRDQQGERVDIGEPDDEKSAEDTARDDMFVDCPDELIGHKDDEVSTEKNEDDGTEENEVMHHQQRHSVEMGNGVGEPHSPDQPEKADAEKERTLQEYQEERQTVTQGVLDLHYQLKTLTGKENGTEVGDREVSEFPLREMIKECLEFVNTASEDRSNSETTISNLREHLSTRDREIEDLNAKLAQLMVSNDNFQVSAQAQLEKDRFVENLMDKMISSLATVVTQEQVLDDSISGKIVYIEEGTMHLIGKYNQILSEIYQLGQSFSEVGLDSKEQQYGNILAGAHGGLLELKRKETELVEKLAQLEDENRKLVDELDKEKVMIGTLNTELGNLKIELEQEKVKCANTKEKLSMAVTKGKALVQQRDSLKKSLADKSSELEKCLLELEEKSVALQAAEVAKEELTHSENMVASLQNSLLEKNAILDQVEEILSSESDDPGMFDVPEKLRWLADERSTLKEAFIELCKLKESLSLVDIPEPVSSYDLESQMNWIVDSCLRAREIMETLQEENSTIMETSRYNIDQLSITLLLELQEKYYLLSELTDLKFKYDELFGKNCQITLEKDQIVNMLVDLCGLNKDDEGIDYSNTSVIIDLCFQILKGQSGPFSRASKIDAELFESMQSLLYVRDQGLILYEDILEEEMLIRSSTNKLSEELKVASKEIIILKEERSSLLQDLDRSEEKTAMIRDKLSMAVKKGKGLVQDRDNLKGLLNERNAEIEQLKADLQKQESAVSEYRDEINRLSSDLEGIPKLQADLLEMERERNQLEQFLTESNNMLQKVMECIDGIILPVEAVFDEPIEKVKWLAGYVSECQDAKVHIEQELRLVKENASILEIKVAESQATVKSLERELSSSNDSVSQLAEEKTELEHQNGKMEEELQKVKDKVAEVFSTNKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLEEASGIIKDLEDKLHQVEGTKKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLAEASRIIKDLEDKLHQVEGTKKSLEDALSQAEKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQIQDTNKSLEEALSQAAKDISILSEEKEQAQVSRVAAERVLESFKDEAASQTTKLAQASRTIKDLEDRLSEVEGNVNLLTEKYNADQVVKTETENELKKLQDEAANHANNLVGASETIKSLEDALSKAQDNVSALENSNKIAKQEISSLSLKLNSCMDELAGKNGNLENRSLKLIGLLNDLQVLMKDTTLFPRIKQFFERKYETLKNMNLVVNKIRDNVALTAKDTKGQLVMEENTLLRKTFLDGPQNFEVELDNTEIDGADIDTIILSFGKIVKAFELSYKHIADKFDEFSDSMDEFISPLHEKLLETETISETIVQNMESMKEEANTMKKLKEEQENIIATLQNNISVLLSACTDSTIALESEVDKNLGLLDSIPEVEKLNLEAGVQADHHKNSKYVEATHKLFSTSRKAQALIRQFECRSEQLGATIEDLQNKLKEATVAFELVTGDRDLNKSRVSLLESDIQSLQSACSELKDKLESYHALEEQLKEKEADISSMRNALLAKEETPLLTSSQMRDLFDKIDKIKIPIVESEDDLELPTSDPMKKLSYIIDSITRLHNQLNSMSHDKEKLQSILEIKDLDIKDMKEEVKQLSRNCEDAKMLKNELSELTYVLEKIMDILGAGEGVVNRKSKGLKELIPALEKRIVAIRSESDDSKSKAQELDIKLVGSQKVIDELKTKVKLLEDSLQDRTSQPNIVQDRSIYEASSLPTGSEITEVEEGSSRGKKAISPVSSAAHVRNMRKGSNDHLALDISTESDNLINRVDTDEDKGRVFKSLNTSGFVPKHGKLIADRIDGLWVSGGRVLMSRPRARLGVVGYLLILHIWLLGTIL >Vigun01g243300.3.v1.2 pep primary_assembly:ASM411807v1:1:41221998:41231104:-1 gene:Vigun01g243300.v1.2 transcript:Vigun01g243300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENHVAELVSEADSDSGGGVGRDQSNVDSEPNTGTNRDQQGERVDIGEPDDEKSAEDTARDDMFVDCPDELIGHKDDEVSTEKNEDDGTEENEVMHHQQRHSVEMGNGVGEPHSPDQPEKADAEKERTLQEYQEERQTVTQGVLDLHYQLKTLTGKENGTEVGDREVSEFPLREMIKECLEFVNTASEDRSNSETTISNLREHLSTRDREIEDLNAKLAQLMVSNDNFQVSAQAQLEKDRFVENLMDKMISSLATVVTQEQVLDDSISGKIVYIEEGTMHLIGKYNQILSEIYQLGQSFSEVGLDSKEQQYGNILAGAHGGLLELKRKETELVEKLAQLEDENRKLVDELDKEKVMIGTLNTELGNLKIELEQEKVKCANTKEKLSMAVTKGKALVQQRDSLKKSLADKSSELEKCLLELEEKSVALQAAEVAKEELTHSENMVASLQNSLLEKNAILDQVEEILSSESDDPGMFDVPEKLRWLADERSTLKEAFIELCKLKESLSLVDIPEPVSSYDLESQMNWIVDSCLRAREIMETLQEENSTIMETSRYNIDQLSITLLLELQEKYYLLSELTDLKFKYDELFGKNCQITLEKDQIVNMLVDLCGLNKDDEGIDYSNTSVIIDLCFQILKGQSGPFSRASKIDAELFESMQSLLYVRDQGLILYEDILEEEMLIRSSTNKLSEELKVASKEIIILKEERSSLLQDLDRSEEKTAMIRDKLSMAVKKGKGLVQDRDNLKGLLNERNAEIEQLKADLQKQESAVSEYRDEINRLSSDLEGIPKLQADLLEMERERNQLEQFLTESNNMLQKVMECIDGIILPVEAVFDEPIEKVKWLAGYVSECQDAKVHIEQELRLVKENASILEIKVAESQATVKSLERELSSSNDSVSQLAEEKTELEHQNGKMEEELQKVKDKVAEVFSTNKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLEEASGIIKDLEDKLHQVEGTKKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLAEASRIIKDLEDKLHQVEGTKKSLEDALSQAEKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQIQDTNKSLEEALSQAAKDISILSEEKEQAQVSRVAAERVLESFKDEAASQTTKLAQASRTIKDLEDRLSEVEGNVNLLTEKYNADQVVKTETENELKKLQDEAANHANNLVGASETIKSLEDALSKAQDNVSALENSNKIAKQEISSLSLKLNSCMDELAGKNGNLENRSLKLIGLLNDLQVLMKDTTLFPRIKQFFERKYETLKNMNLVVNKIRDNVALTAKDTKGQLVMEENTLLRKTFLDGPQNFEVELDNTEIDGADIDTIILSFGKIVKAFELSYKHIADKFDEFSDSMDEFISPLHEKLLETETISETIVQNMESMKEEANTMKKLKEEQENIIATLQNNISVLLSACTDSTIALESEVDKNLGLLDSIPEVEKLNLEAGVQADHHKNSKYVEATHKLFSTSRKAQALIRQFECRSEQLGATIEDLQNKLKEATVAFELVTGDRDLNKSRVSLLESDIQSLQSACSELKDKLESYHALEEQLKEKEADISSMRNALLAKEETPLLTSSQMRDLFDKIDKIKIPIVESEDDLELPTSDPMKKLSYIIDSITRLHNQLNSMSHDKEKLQSILEIKDLDIKDMKEEVKQLSRNCEDAKMLKNELSELTYVLEKIMDILGAGEGVVNRKSKGLKELIPALEKRIVAIRSESDDSKSKAQELDIKLVGSQKVIDELKTKVKLLEDSLQDRTSQPNIVQDRSIYEASSLPTGSEITEVEEGSSRGKKAISPVSSAAHVRNMRKGSNDHLALDISTESDNLINRVDTDEDKGRVFKSLNTSGFVPKHGKLIADRIDGLWVSGGRVLMSRPRARLGVVGYLLILHIWLLGTIL >Vigun01g243300.2.v1.2 pep primary_assembly:ASM411807v1:1:41221998:41231104:-1 gene:Vigun01g243300.v1.2 transcript:Vigun01g243300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENHVAELVSEADSDSGGGVGRDQSNVDSEPNTGTNRDQGERVDIGEPDDEKSAEDTARDDMFVDCPDELIGHKDDEVSTEKNEDDGTEENEVMHHQQRHSVEMGNGVGEPHSPDQPEKADAEKERTLQEYQEERQTVTQGVLDLHYQLKTLTGKENGTEVGDREVSEFPLREMIKECLEFVNTASEDRSNSETTISNLREHLSTRDREIEDLNAKLAQLMVSNDNFQVSAQAQLEKDRFVENLMDKMISSLATVVTQEQVLDDSISGKIVYIEEGTMHLIGKYNQILSEIYQLGQSFSEVGLDSKEQQYGNILAGAHGGLLELKRKETELVEKLAQLEDENRKLVDELDKEKVMIGTLNTELGNLKIELEQEKVKCANTKEKLSMAVTKGKALVQQRDSLKKSLADKSSELEKCLLELEEKSVALQAAEVAKEELTHSENMVASLQNSLLEKNAILDQVEEILSSESDDPGMFDVPEKLRWLADERSTLKEAFIELCKLKESLSLVDIPEPVSSYDLESQMNWIVDSCLRAREIMETLQEENSTIMETSRYNIDQLSITLLLELQEKYYLLSELTDLKFKYDELFGKNCQITLEKDQIVNMLVDLCGLNKDDEGIDYSNTSVIIDLCFQILKGQSGPFSRASKIDAELFESMQSLLYVRDQGLILYEDILEEEMLIRSSTNKLSEELKVASKEIIILKEERSSLLQDLDRSEEKTAMIRDKLSMAVKKGKGLVQDRDNLKGLLNERNAEIEQLKADLQKQESAVSEYRDEINRLSSDLEGIPKLQADLLEMERERNQLEQFLTESNNMLQKVMECIDGIILPVEAVFDEPIEKVKWLAGYVSECQDAKVHIEQELRLVKENASILEIKVAESQATVKSLERELSSSNDSVSQLAEEKTELEHQNGKMEEELQKVKDKVAEVFSTNKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLEEASGIIKDLEDKLHQVEGTKKSLEDALSEAEKDISILSTEKEQAQASRVAVERELESFKDEAASQASKLAEASRIIKDLEDKLHQVEGTKKSLEDALSQAEKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQVQDTNKSLEEALSKAAKDISILSEEKEQAQVSRVAAERVLESFNDEAASQTSKLTEASRTIKDLEDKLYQIQDTNKSLEEALSQAAKDISILSEEKEQAQVSRVAAERVLESFKDEAASQTTKLAQASRTIKDLEDRLSEVEGNVNLLTEKYNADQVVKTETENELKKLQDEAANHANNLVGASETIKSLEDALSKAQDNVSALENSNKIAKQEISSLSLKLNSCMDELAGKNGNLENRSLKLIGLLNDLQVLMKDTTLFPRIKQFFERKYETLKNMNLVVNKIRDNVALTAKDTKGQLVMEENTLLRKTFLDGPQNFEVELDNTEIDGADIDTIILSFGKIVKAFELSYKHIADKFDEFSDSMDEFISPLHEKLLETETISETIVQNMESMKEEANTMKKLKEEQENIIATLQNNISVLLSACTDSTIALESEVDKNLGLLDSIPEVEKLNLEAGVQADHHKNSKYVEATHKLFSTSRKAQALIRQFECRSEQLGATIEDLQNKLKEATVAFELVTGDRDLNKSRVSLLESDIQSLQSACSELKDKLESYHALEEQLKEKEADISSMRNALLAKEETPLLTSSQMRDLFDKIDKIKIPIVESEDDLELPTSDPMKKLSYIIDSITRLHNQLNSMSHDKEKLQSILEIKDLDIKDMKEEVKQLSRNCEDAKMLKNELSELTYVLEKIMDILGAGEGVVNRKSKGLKELIPALEKRIVAIRSESDDSKSKAQELDIKLVGSQKVIDELKTKVKLLEDSLQDRTSQPNIVQDRSIYEASSLPTGSEITEVEEGSSRGKKAISPVSSAAHVRNMRKGSNDHLALDISTESDNLINRVDTDEDKGRVFKSLNTSGFVPKHGKLIADRIDGLWVSGGRVLMSRPRARLGVVGYLLILHIWLLGTIL >Vigun05g120700.2.v1.2 pep primary_assembly:ASM411807v1:5:13238083:13241297:1 gene:Vigun05g120700.v1.2 transcript:Vigun05g120700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGNMLQFELPYLERPSRFFCSACPYVCHVNHRVKIKRKQRLVKKEIEPIISPDDMKNAPKTEATCPYCGHGEAAFKEFQTRSADEPATLFYRCLNDKCQKQWRED >Vigun05g120700.1.v1.2 pep primary_assembly:ASM411807v1:5:13237581:13241433:1 gene:Vigun05g120700.v1.2 transcript:Vigun05g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGNMLQFELPYLERPSRFFCSACPYVCHVNHRVKIKRKQRLVKKEIEPIISPDDMKNAPKTEATCPYCGHGEAAFKEFQTRSADEPATLFYRCLNDKCQKQWRED >Vigun06g047900.1.v1.2 pep primary_assembly:ASM411807v1:6:17228822:17234159:1 gene:Vigun06g047900.v1.2 transcript:Vigun06g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNRMKAVPSLQRRLTSVKSREEPNISDKLDKLMADLTAIKDLFSTVKSNEDELLDTLKLVDDLLRNFKTDKFFDISKRILETDKPTNADPQKGINEPSSSQKAEEKSGKRDETIDEKMENLEYTLENLKANLSTLKKNEELRRKLREVENFLQNFNSKLAEDANENETKGLEQPTQTSREEMNQDLTGGTDKPSSSQESRDKKIVGKVKSSSAISSEDSKQSETKSSELPRQKSRDESDQDLKATGIGKSPPSEEEEDKKSIVEKDVGIDERFESLKFKLDKMKAMSSTAKEDKELREKLRVMEDLLRNFNAKNLEETLSSIEDSNQSEKKSSELRSQTSRDELVDQNLKTDGVDKSSSTQESEGKTNLEKDVPIDEKLEVLKSELKTMMTRFSSVKENEEQRDRLRTLEDLFQNLEEIVKSAEDANQSETKGSEQPNQTSRDELVDQDLNANGIEKPPSSQETQDKKSNVEKDKTIEEKFESFMSKLDKIKGMPSTTKESEELKQKLRDVEDLIRKFNSNQSETKNLELPTQRKSDDESMDQGLKATGVDILSSTLESKEETLPEKYVPIDEKLESLKLELDNMKAKFSPVKENEEMRKTLRTLEDHLQSFDIPAEDANPSGTKGSELTSQISRGELEDQVLKVRNLEKDVSDDDEKVESLKSEIDNKKADSKNWRKMRKIFSETPTKQSRMRMSSVKFRRRRW >Vigun05g199300.2.v1.2 pep primary_assembly:ASM411807v1:5:38559290:38562243:1 gene:Vigun05g199300.v1.2 transcript:Vigun05g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLWSCFRHKHAAGCRNVDGGFEEEEEEALAAVEDGPMLVEMFTSQGCATSPAADLVLSRLGRGDFQLPVPVVLLAFHVDYWDYVGWKDPFGSSQWTVRQKAYVEVLGLDTLYTPQIVVQGQAQLTGNDDTALVSAITSAPRFPAPTFQATFSRPTSAFLEVSLNGALMTNVDNYGADVMVALYETGLVTDCPRGENKGRVLSNDYVVRKLEKLCTVTDHPARKKVSGMVCFSLWNTFNSTRCGLAVFVQSKSHQIFGSQSIHLPDDI >Vigun06g149550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27428005:27430048:1 gene:Vigun06g149550.v1.2 transcript:Vigun06g149550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYHSFIYLVLHYCSNVVLLERSRTQPLSFTFTTPFSFTCAFITPNRFHPPLYRDQPKPDANQFHPPPQTPSPPQSRGIPVQSRNSHLPTLDLNHSTRTTLILVHLQEATKPERTEPTCLEHIDILSIIFFTPQPQFFTTNQPISHSTPSTRVSNSPK >Vigun01g079300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22445980:22447586:1 gene:Vigun01g079300.v1.2 transcript:Vigun01g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFALGGVGFILVGAHEALLHVSPSKQSPISSASSSPPNSRTNKLLFPISLAILSSFFILNSTLSLLSAHNSNDAVGTALQLQVLPIGFIFLFYSLLPLFSLPSPLHGLVGLFAFAQEFLLFYLQRKDPSGVENRYYDLLLVPIAICLLCTLLDVGSPRSSVPKLGRGVGLILHGTWLLQMGLSLFSGWVAQGCSMHRVSRGNYTLRCKGHPEYHRARAIATLQFNCHLALLVVLLVGFFSLVCARNGGGTHLDSVSTRYAPLGAELQNMQESTKFTLDSDEDDDDDNAIQEGHNVGNKKGVAVEHAVNGNGVMPLTTQ >Vigun02g023100.2.v1.2 pep primary_assembly:ASM411807v1:2:7747034:7760352:-1 gene:Vigun02g023100.v1.2 transcript:Vigun02g023100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSWRKAYGALKDTTKVGLAHVNSDYAELEVAVVKATNHVECPPKERHLRKILLATSAVRPRADVACCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTLREEFLNFSERGRILQLSNFRDDSSPIAWDCSAWVRTYALYLEERLECFSILKYDIEAERLPKPVAGQDKGYSRTRDLNSEELLEQLPALQQLLYCLVGCRPEGAAARSYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEATKAFDVYKRAGQQAESLSDFYEICKRLEIARNFQFPVLREPPVSFLVTMEDYIKDAPRLVAVSSEPLLLTYRPDDVPTIEYDKLSQEQEPSVPVDDVVSNSELAPPPASHNYFETGDLLGLNDSTSEASLMEERKVHALAIVPTEIDSQADPARDFDPTGWELALVTPPNTTISSVNETQLQFGSLDNTFRKLLFGVKCDVLRKYSVCLLLAYLCDI >Vigun02g023100.3.v1.2 pep primary_assembly:ASM411807v1:2:7745971:7760352:-1 gene:Vigun02g023100.v1.2 transcript:Vigun02g023100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAFMRFRDGWRRRETGRLLREGDPTLREEFLNFSERGRILQLSNFRDDSSPIAWDCSAWVRTYALYLEERLECFSILKYDIEAERLPKPVAGQDKGYSRTRDLNSEELLEQLPALQQLLYCLVGCRPEGAAARSYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEATKAFDVYKRAGQQAESLSDFYEICKRLEIARNFQFPVLREPPVSFLVTMEDYIKDAPRLVAVSSEPLLLTYRPDDVPTIEYDKLSQEQEPSVPVDDVVSNSELAPPPASHNYFETGDLLGLNDSTSEASLMEERKVHALAIVPTEIDSQADPARDFDPTGWELALVTPPNTTISSVNETQLAGRLNSLTLNSSYDQGAYIYPQQPVFGVPAPNPFEVQDPFVLSTSIPIATISQQHVNPFGHYQPYHPHQQHMLMSSANPFEDAGYGAFPLHPVSHAHSNNPFGSTGMI >Vigun02g023100.1.v1.2 pep primary_assembly:ASM411807v1:2:7745971:7760352:-1 gene:Vigun02g023100.v1.2 transcript:Vigun02g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSWRKAYGALKDTTKVGLAHVNSDYAELEVAVVKATNHVECPPKERHLRKILLATSAVRPRADVACCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTLREEFLNFSERGRILQLSNFRDDSSPIAWDCSAWVRTYALYLEERLECFSILKYDIEAERLPKPVAGQDKGYSRTRDLNSEELLEQLPALQQLLYCLVGCRPEGAAARSYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEATKAFDVYKRAGQQAESLSDFYEICKRLEIARNFQFPVLREPPVSFLVTMEDYIKDAPRLVAVSSEPLLLTYRPDDVPTIEYDKLSQEQEPSVPVDDVVSNSELAPPPASHNYFETGDLLGLNDSTSEASLMEERKVHALAIVPTEIDSQADPARDFDPTGWELALVTPPNTTISSVNETQLAGRLNSLTLNSSYDQGAYIYPQQPVFGVPAPNPFEVQDPFVLSTSIPIATISQQHVNPFGHYQPYHPHQQHMLMSSANPFEDAGYGAFPLHPVSHAHSNNPFGSTGMI >Vigun02g023100.4.v1.2 pep primary_assembly:ASM411807v1:2:7746940:7760353:-1 gene:Vigun02g023100.v1.2 transcript:Vigun02g023100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAFMRFRDGWRRRETGRLLREGDPTLREEFLNFSERGRILQLSNFRDDSSPIAWDCSAWVRTYALYLEERLECFSILKYDIEAERLPKPVAGQDKGYSRTRDLNSEELLEQLPALQQLLYCLVGCRPEGAAARSYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEATKAFDVYKRAGQQAESLSDFYEICKRLEIARNFQFPVLREPPVSFLVTMEDYIKDAPRLVAVSSEPLLLTYRPDDVPTIEYDKLSQEQEPSVPVDDVVSNSELAPPPASHNYFETGDLLGLNDSTSEASLMEERKVHALAIVPTEIDSQADPARDFDPTGWELALVTPPNTTISSVNETQLQFGSLDNTFRKLLFGVKCDVLRKYSVCLLLAYLCDI >Vigun06g158900.1.v1.2 pep primary_assembly:ASM411807v1:6:28196559:28199272:-1 gene:Vigun06g158900.v1.2 transcript:Vigun06g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPSLKAVLDRVQGATERSGRNLQEIRVVAASKTKSVSALRQVYDAGHRSFGENYVQEILQKAPELPDDIEWHLIGNLQSNKVKPLIAGVPNLACVETVDDEKIANLLDRAVANVGRKPLKVFVQVNTSGETSKFGVEPALCVDLAKHITNCPNLEFSGLMTIGMLDYSSTPENFKMLSNCRSEVCKALGIPETQCELSMGMTGDFEQAIEMGSTNVRIGTAIFGAREYPKKDEK >Vigun05g154100.1.v1.2 pep primary_assembly:ASM411807v1:5:24522586:24523764:-1 gene:Vigun05g154100.v1.2 transcript:Vigun05g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKHALSHPLAVLASFSIFLVVPSSCFNPKNIFNASIDSYWSSAVATWYGPSNGDGSEGGACGYGRTVGEPPFSSMIAAGSPPLFESGKGCGSCFEVKCTGNKACSGNPVRVVITDECPGCGSDAHFDLSGAAFGAMAGPGEADQLRNAGRIAVQYNRVACEYPRTSIVFHVDSGSNQDYFAVMVEYEDGDGELERVELKEDFSNSWEAMQQSWGAIWKYNKGSQLKAPFSIRLTNFKFKTVVATNVIPAGWTPGQTYISNVNF >Vigun08g191500.1.v1.2 pep primary_assembly:ASM411807v1:8:35906879:35909363:1 gene:Vigun08g191500.v1.2 transcript:Vigun08g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNIMHTNFSSSSSSSTVLSNFKPSVSTINTLSCTLCHCHNQLCSKQQVPSQGEVEDAVSALQEVIQAFQQISGSYDSRKVISGGYKRLSDAFRLLQSDPAVKRLVVSLSSDKALWDAFMSNVLHQKLVELPDSVECRRSEISELNEFGTQILSWTLDVIKRKILELIESFQLLVNDLFESHKMENDAVDASKMDEKVRSSFLLSIVILLIVIVARSQR >Vigun03g286200.1.v1.2 pep primary_assembly:ASM411807v1:3:46815067:46820370:1 gene:Vigun03g286200.v1.2 transcript:Vigun03g286200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHHHHFTFFFILSSFSLLPTSRATNTTQFSIFNETRRILHQPLFPELSAPPPLTPPPPPPPDIPSSPDIPFFNEYPAGQPPPAQNLPPATSSGAVIANPTATQPTKPAKKVAIAISVGIVTLGMFSALGFFLYRHRAKHPVETQKLVSRRLPEDSPRAPPPSSFLYIGTVEPARTSLTEPNGTNIITSPYRKLDSIKLNSDHRYRPSPELQPLPPPQKPPDESHSPPAGFSDSSSSSEEESCETAFHSPHGSSLSREENCFTPVSRHSFLAAAIPAAGAAAPVVPYSKRTSPKSRFSAPSPDIRNMVVPPAKHSPPPALAPPASTEYEQIEKVTTLGPSRRPKFSSPPPAPNLTHLIKPAAPPPPPPPPPPPSFPSSRKGWSPSISASSSSVSRKQRESWSPNGGVSSANSASLRKGSTEEVDKRNAGDDVDGAKPKLKALHWDKVCATSDRTTVWDQLKSSSFQLNEDMMETLFGCKSSGSAFKETVTRRSVLPPVELENRVLDPKKSQNIAILLRALNVTRDEVCEALLDGNPEGLGTELLETLVKMALTKEEEIKLKNYDGDLSRLGSAERFLKAVLDIPLAFKRIEAMLYRANFETEVNYLRKSFQTLEAASEELKHSRLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGTDGESANENVQNQTTSQFNEDEFRKKGLQVVAGLSRDLGNVKKAAGMDSDVLRSYVSKLESGLDKVSLVLQCRKPDMYGNFFNSTGLFLKDAEEEIVRIKADERKALFLVKEVTDYFHGDAAKEEAHPFRIFMIVRDFLNSLDQVCKEVGRMQDRTVVGSARSFRIAASASLPVLNRYHARQDRSSDEESLSP >Vigun05g225000.4.v1.2 pep primary_assembly:ASM411807v1:5:41740298:41744701:-1 gene:Vigun05g225000.v1.2 transcript:Vigun05g225000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMCEFCWAVRAVVYCKSDSARLCLHCDGCVHSANSLSRRHSRSLLCDKCNSQPAMIRCMDHKLSSCQVCDWNPNDCSAVGHRRLTLNCYSGCPSLTEFSRMWSFVFEVSSSQGGWESVSTLPKSESCTSLEQPDHNGGSFGLVSEKLDEIESCVRYEPWMGQSHIIPSNPNYTPYGKEDGFFLAQDSNQPKECTDRGTGDGNVLSEGLNVDSGPINFESADEIFGCSQAATRYPLEDGGMDCLLMDKKLSVTESSSLIESALEASSSIQQDCVAFQSSRTGGSVSMLPVMNSNTNCALMNPSSTGNISLGFPQGQVHSSIPLQLGNIVGENSSTEYQDCGISAMFLPGEIPWESNLEGTCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTREV >Vigun05g225000.6.v1.2 pep primary_assembly:ASM411807v1:5:41740298:41744699:-1 gene:Vigun05g225000.v1.2 transcript:Vigun05g225000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMCEFCWAVRAVVYCKSDSARLCLHCDGCVHSANSLSRRHSRSLLCDKCNSQPAMIRCMDHKLSSCQVCDWNPNDCSAVGHRRLTLNCYSGCPSLTEFSRMWSFVFEVSSSQGGWESVSTLPKSESCTSLEQPDHNGGSFGLVSEKLDEIESCVRYEPWMGQSHIIPSNPNYTPYGKEDGFFLAQDSNQPKPQECTDRGTGDGNVLSEGLNVDSGPINFESADEIFGCSQAATRYPLEDGGMDCLLMDKKLSVTESSSLIESALEASSSIQQDCVAFQSSRTGGSVSMLPVMNSNTNCALMNPSSTGNISLGFPQGQVHSSIPLQLGNIVGENSSTEYQDCGISAMFLPGEIPWESNLEGTCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTREV >Vigun05g225000.5.v1.2 pep primary_assembly:ASM411807v1:5:41740298:41744700:-1 gene:Vigun05g225000.v1.2 transcript:Vigun05g225000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMCEFCWAVRAVVYCKSDSARLCLHCDGCVHSANSLSRRHSRSLLCDKCNSQPAMIRCMDHKLSSCQVCDWNPNDCSAVGHRRLTLNCYSGCPSLTEFSRMWSFVFEVSSSQGGWESVSTLPKSESCTSLEQPDHNGGSFGLVSEKLDEIESCVRYEPWMGQSHIIPSNPNYTPYGKEDGFFLAQDSNQPKPQECTDRGTGDGNVLSEGLNVDSGPINFESADEIFGCSQAATRYPLEDGGMDCLLMDKKLSVTESSSLIESALEASSSIQQDCVAFQSSRTGGSVSMLPVMNSNTNCALMNPSSTGNISLGFPQGQVHSSIPLQLGNIVGENSSTEYQDCGISAMFLPGEIPWESNLEGTCPQARDKAKMRYNEKKKTRTYAPLILYIFYGLVSK >Vigun05g225000.1.v1.2 pep primary_assembly:ASM411807v1:5:41740298:41744717:-1 gene:Vigun05g225000.v1.2 transcript:Vigun05g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMCEFCWAVRAVVYCKSDSARLCLHCDGCVHSANSLSRRHSRSLLCDKCNSQPAMIRCMDHKLSSCQVCDWNPNDCSAVGHRRLTLNCYSGCPSLTEFSRMWSFVFEVSSSQGGWESVSTLPKSESCTSLEQPDHNGGSFGLVSEKLDEIESCVRYEPWMGQSHIIPSNPNYTPYGKEDGFFLAQDSNQPKPQECTDRGTGDGNVLSEGLNVDSGPINFESADEIFGCSQAATRYPLEDGGMDCLLMDKKLSVTESSSLIESALEASSSIQQDCVAFQSSRTGGSVSMLPVMNSNTNCALMNPSSTGNISLGFPQGQVHSSIPLQLGNIVGENSSTEYQDCGISAMFLPGEIPWESNLEGTCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTREV >Vigun05g225000.2.v1.2 pep primary_assembly:ASM411807v1:5:41740298:41744700:-1 gene:Vigun05g225000.v1.2 transcript:Vigun05g225000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMCEFCWAVRAVVYCKSDSARLCLHCDGCVHSANSLSRRHSRSLLCDKCNSQPAMIRCMDHKLSSCQVCDWNPNDCSAVGHRRLTLNCYSGCPSLTEFSRMWSFVFEVSSSQGGWESVSTLPKSESCTSLEQPDHNGGSFGLVSEKLDEIESCVRYEPWMGQSHIIPSNPNYTPYGKEDGFFLAQDSNQPKPQECTDRGTGDGNVLSEGLNVDSGPINFESADEIFGCSQAATRYPLEDGGMDCLLMDKKLSVTESSSLIESALEASSSIQQDCVAFQSSRTGGSVSMLPVMNSNTNCALMNPSSTGNISLGFPQGQVHSSIPLQLGNIVGENSSTEYQDCGISAMFLPGEIPWESNLEGTCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVTREV >VigunL059257.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:9593:10867:1 gene:VigunL059257.v1.2 transcript:VigunL059257.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSDFEFLVSCFEFGVYGFGVSGFGFRVSDFGFRVWVLGLGFRVSGYKLQVTGFGSHVSGVVFQVSGFGFRISAFEFRVSDLGIRVWVFGFWGFRVTDFGFRVSTFKLSFGFGILSFGFRVSGLEFRVSGFDFQVVVSGLGFLVWGFEFRVWGLGFRVLGFEFRISSFGSQVSTLGFRVSGFGLSISGNRFRVSGLGFRVSGFGFRLLGFRFRVLCLGFRVSDLGIRLWDFGFKGFRVTDFRFQVSTFRLSFGFGILSFGFRVSGFDFQVLVSGLGFRVWGFEFRVTSFGFGVSNLGFMVLAFRDSVFGFQISGFGFWVLGLGFRVSGYKLQVTSYGFWVSCFGCRISGFWFRVSDFGFQVSGFAFGVSGFGSQDSSLGFWVLGISGYQFRVSSFEFHDRVWGFRFGVLTFGFCISGFRFRA >Vigun08g048900.4.v1.2 pep primary_assembly:ASM411807v1:8:5469257:5474371:1 gene:Vigun08g048900.v1.2 transcript:Vigun08g048900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSFVVAVPLRVGNCCSVCDNPTIVPHMDVSRFKLMADTGLLSNSVTKVFTETVAGSDDCDDSGNLEDEAGITEVIPPKQDREGESPMLDMISQNRSTLVANDEVLADEIEEDSLSLEGDQVVDSSCSLSAVSENSSVCGEESLCFDATSDVGTPCSAYVDKSIGAVNIAAEAVDLEESNVDTDITTEPLTAAAVTLEEENGITTGPKPSDVVLHQLPHEKGVSGMVGRSVFELDYTPLYGFISVCGRRPEMEDALATVPRFLKIPIQMLIGDRVLDGINKGFSQQTTHFFGVYDGHGGSQVAKYCQDRIHKALAEEIEFFKEAQISGSMKESCQDQWKKALTNCFIKVDAEVGGKVDNEPVAPETVGSTAVVAVICSSHIIIANCGDSRVVLCRGKEPIALSVDHKPNRPDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVKFLPRTKDDECLILASDGLWDVMTNEEVCDLARRRIVLWYKKNGLEQPSSERGEGVDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKPQRKYKSKS >Vigun08g048900.2.v1.2 pep primary_assembly:ASM411807v1:8:5469257:5474371:1 gene:Vigun08g048900.v1.2 transcript:Vigun08g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSFVVAVPLRVGNCCSVCDNPTIVPHMDVSRFKLMADTGLLSNSVTKVFTETVAGSDDCDDSGNLEDEAGITEVIPPKQDREGESPMLDMISQNRSTLVANDEVLADEIEEDSLSLEGDQVVDSSCSLSAVSENSSVCGEESLCFDATSDVGTPCSAYVDKSIGAVNIAAEAVDLEESNVDTDITTEPLTAAAVTLEEENGITTGPKPSDVVLHQLPHEKGVSGMVGRSVFELDYTPLYGFISVCGRRPEMEDALATVPRFLKIPIQMLIGDRVLDGINKGFSQQTTHFFGVYDGHGGSQVAKYCQDRIHKALAEEIEFFKEAQISGSMKESCQDQWKKALTNCFIKVDAEVGGKVDNEPVAPETVGSTAVVAVICSSHIIIANCGDSRVVLCRGKEPIALSVDHKPNRPDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVKFLPRTKDDECLILASDGLWDVMTNEEVCDLARRRIVLWYKKNGLEQPSSERGEGVDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKPQRKYKSKS >Vigun08g048900.1.v1.2 pep primary_assembly:ASM411807v1:8:5469257:5474371:1 gene:Vigun08g048900.v1.2 transcript:Vigun08g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSFVVAVPLRVGNCCSVCDNPTIVPHMDVSRFKLMADTGLLSNSVTKVFTETVAGSDDCDDSGNLEDEAGITEVIPPKQDREGESPMLDMISQNRSTLVANDEVLADEIEEDSLSLEGDQVVDSSCSLSAVSENSSVCGEESLCFDATSDVGTPCSAYVDKSIGAVNIAAEAVDLEESNVDTDITTEPLTAAAVTLEEENGITTGPKPSDVVLHQLPHEKGVSGMVGRSVFELDYTPLYGFISVCGRRPEMEDALATVPRFLKIPIQMLIGDRVLDGINKGFSQQTTHFFGVYDGHGGSQVAKYCQDRIHKALAEEIEFFKEAQISGSMKESCQDQWKKALTNCFIKVDAEVGGKVDNEPVAPETVGSTAVVAVICSSHIIIANCGDSRVVLCRGKEPIALSVDHKPNRPDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVKFLPRTKDDECLILASDGLWDVMTNEEVCDLARRRIVLWYKKNGLEQPSSERGEGVDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKPQRKYKSKS >Vigun08g048900.3.v1.2 pep primary_assembly:ASM411807v1:8:5469257:5474371:1 gene:Vigun08g048900.v1.2 transcript:Vigun08g048900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSFVVAVPLRVGNCCSVCDNPTIVPHMDVSRFKLMADTGLLSNSVTKVFTETVAGSDDCDDSGNLEDEAGITEVIPPKQDREGESPMLDMISQNRSTLVANDEVLADEIEEDSLSLEGDQVVDSSCSLSAVSENSSVCGEESLCFDATSDVGTPCSAYVDKSIGAVNIAAEAVDLEESNVDTDITTEPLTAAAVTLEEENGITTGPKPSDVVLHQLPHEKGVSGMVGRSVFELDYTPLYGFISVCGRRPEMEDALATVPRFLKIPIQMLIGDRVLDGINKGFSQQTTHFFGVYDGHGGSQVAKYCQDRIHKALAEEIEFFKEAQISGSMKESCQDQWKKALTNCFIKVDAEVGGKVDNEPVAPETVGSTAVVAVICSSHIIIANCGDSRVVLCRGKEPIALSVDHKPNRPDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVKFLPRTKDDECLILASDGLWDVMTNEEVCDLARRRIVLWYKKNGLEQPSSERGEGVDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKPQRKYKSKS >Vigun08g048900.5.v1.2 pep primary_assembly:ASM411807v1:8:5469513:5474371:1 gene:Vigun08g048900.v1.2 transcript:Vigun08g048900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSFVVAVPLRVGNCCSVCDNPTIVPHMDVSRFKLMADTGLLSNSVTKVFTETVAGSDDCDDSGNLEDEAGITEVIPPKQDREGESPMLDMISQNRSTLVANDEVLADEIEEDSLSLEGDQVVDSSCSLSAVSENSSVCGEESLCFDATSDVGTPCSAYVDKSIGAVNIAAEAVDLEESNVDTDITTEPLTAAAVTLEEENGITTGPKPSDVVLHQLPHEKGVSGMVGRSVFELDYTPLYGFISVCGRRPEMEDALATVPRFLKIPIQMLIGDRVLDGINKGFSQQTTHFFGVYDGHGGSQVAKYCQDRIHKALAEEIEFFKEAQISGSMKESCQDQWKKALTNCFIKVDAEVGGKVDNEPVAPETVGSTAVVAVICSSHIIIANCGDSRVVLCRGKEPIALSVDHKPNRPDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVKFLPRTKDDECLILASDGLWDVMTNEEVCDLARRRIVLWYKKNGLEQPSSERGEGVDPAAQAAAEYLSNRALQKGSKDNITVIVIDLKPQRKYKSKS >VigunL059411.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:23465:23902:-1 gene:VigunL059411.v1.2 transcript:VigunL059411.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLGTFGGLSNPHISKTTNFIFSQTPHQASSMPRCTANLAMCKTLRTQNFRAPRISWYSFGAFGGLSNPHISKTTNFIFSQTPHQASSYAAVHRQPWPCAKPSELKTFVHQDFMVFIWGHLGHSNPHISKTTNFIFSQNSHQAF >Vigun03g350600.1.v1.2 pep primary_assembly:ASM411807v1:3:55171906:55175084:1 gene:Vigun03g350600.v1.2 transcript:Vigun03g350600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSELSLDCKPQSYSLLLKSFGDQTDQTYKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAMEASRQQLQAFKVNHGAKPVLEEFIPMKHLASESSEKATNMSDKANWMTSAQLWSQASEGTKQQPTLTSPKEADIGFSISPKLGLDNKQRNGGGAFLPFSKERNSCQGSTLRPLPELALASSEKEMEDKKRAEAEKGVSCQNKKENSGSDGAVVDQGKSGSPVASSLAQTTTTTAQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQATAAAPQLVVLGGIWVPPEYATAAHTATPTLYGAHPTSHAPPPHYCTATPVPQEFYTAAPQQQLLPPPPPHHNPLHHLHMYKAAAHGHGSPESDVPGGGERSESIEDGKSESSSWKGESGENEGERKGIGEESNGSEITLKF >Vigun08g041500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4233608:4235414:-1 gene:Vigun08g041500.v1.2 transcript:Vigun08g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIDKPRRDDESNLLTPSFSTTLLDQIYRSIDEGERKNGETKFYRHTTMSTAKKQSSRGNSKSIDADRKYVGAKTDKKKVHREEDALFFSSTSVSSDSSFGFSSSDNESISRESCLAPRARLVGASASFRSERYGTRVFEGLCRNSHTSEERHVAVRDEEMLIKSKSRALKIYNNLKKVKQPISPGGRVTSFLNSLFANTKKTSPRSCAEVQHTSSSSSSSSSSTSSSSYYSSTCSSASSLSRSCLSKTMSSGRERMRSGVKQTVRFYPVSVIVGEDSRPCGHKRLCEEEEASRGFLREYRQNPKKSNDLVLKELSLRSKVDYEDDDDDDASSYASSDLFELDHLAVFGSERYCEELPVYETTHVGTNRAIANGLIV >Vigun04g093700.1.v1.2 pep primary_assembly:ASM411807v1:4:18680282:18683269:-1 gene:Vigun04g093700.v1.2 transcript:Vigun04g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENPRFKLQSFLDEVLRPALGVKDDGLLMMVSSASTGLVASIILNKEIMFMAINKWNKSKCNLLSFKRELLSALKKQE >Vigun07g030700.1.v1.2 pep primary_assembly:ASM411807v1:7:2834060:2842384:1 gene:Vigun07g030700.v1.2 transcript:Vigun07g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDMLDLFSVNKWRCSWSLAATIASVLALVSVVHLVSFPLTPTLNNFKIAQDSCISANVSAEFPSKRDQEQPAVDFKLQFPADLHGAVVYQGAPWKAEIGHWLAGCDSVIKEVNMTEIIGGNKCKNDCSGQGVCNRELGQCRCFHGYSGDGCAEQLQLECNYEGSPDQLFGRWVVSICPANCDKTRAMCFCGEGTKYPNRPLAETCGFQFIPPSTPDGPKLVNWTLIDQDVFTTNGSKRGWCNVDPDDAYSGKAKIKEECDCKYDGLSGRLCEVPVESVCVNQCSRHGHCRGGFCQCDNGWYGVDCSMPSVISSITEWPNWLRPARIDIADDIHANGKMINLNAVVAKKRPLIYVYDLPPEFNSLLLEGRHFKLECVNRIYDDKNVTIWTDQLYGAQMAVYESLLASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMREHMGLRSSLTLEYYKKAYTHIVEQYPYWNQSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDKRGIHPCFDPDKDLVLPAWKVPDANVLTSKLWARSHEERKTLFYFNGNLGPAYHNGRPEDSYSMGIRQKLAEEFGSSPNKDGKLGKQHAKDVIVTPERSENYHLDIASSVFCGVFPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYDSFAVRLSEDEIPNLIKILRGFNETEIKFKLENVKKIWQRFVYRDSVLLEAERQKTAIGHVDDWAIEFLKLTEDDVSTTLMQILHYKLHNDPWRKQVRHNKQFGLPHQCLVSTS >Vigun06g157900.1.v1.2 pep primary_assembly:ASM411807v1:6:28132940:28138255:1 gene:Vigun06g157900.v1.2 transcript:Vigun06g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALISKRLLRCSSGSLPSLGRCYFNSPNPGSPPFYKSRDVYSRLSGERLLSAECCLVRSMIRQFSTSILTPGPDESAFPSDLLSKKTLATSERTIGLCQDLLIPVTNFHNEDKGFMVLPGDVFDLPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGKKPWKQKGTGRARHGSLRGPQFRGGATMHGPKPRSHAFKVNKKVRRLGLKIALSARAAEGKLLVLEDLEVPTHKTKNIVNYYNQMENTKKLLLVDGGPIDEKLKLSTQNLHYVNILPSIGLNVYSILLHDTLVMSRAAVNRIVERMRTPINR >Vigun09g060400.2.v1.2 pep primary_assembly:ASM411807v1:9:6174989:6184521:1 gene:Vigun09g060400.v1.2 transcript:Vigun09g060400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQVNRGSHFGQSPVPPVLPPPPPPQPPQPPPQYQQPPPHFHQFPPPNVGGPPPPPPNIGGPPPPPPPHHVYLNGPSPPPPPPRPNSSSPIPMNLPVQGSHNVMPNAGQSYPIPSQLHHGNTMTPQTSWAPGPPARVLPPPPPPPPHPPSASQGQILYNPPFHGPPLQPGDVQNLHTAPPPPPLSTGYFHSTVGNYRVPPGVPPPLPLSPPPALPAPPPPPLNAPVTSSSSTSNVADDPHSVKTVDLVDGVVASLPSNIAPVHGSDSKRDGPNCREVAGAEKEEDLPPPRPTEENTVLKIEALCQLIAEKGADIEDKIRRDEFQNPEYVFLFGGEPGTEAAISNSYFLWMKKKYNLDTGWHEKKRQPERIYSSDDITLSDKDQGSNYATEALNRDGEVLRVNQNIAQPQKLSENDPARDISSCSPSYFGSMGVSKQNEGPEILSDLEHSKSVRPVTKVQSLENDSTEVAELSLGKALEETATCVDDDFVCTVTSDHNKTATTNRDYGILLASGSPIRLLQDYASDDTSAKGDESNAADANVFTFSEGVVDTGVSASTQKGFGSLSRTSQDGSEISTHLLQESKKTRNRKKSVSRWSSDGCVEHNLENQMSVNFASSVEASKGKDRLEDTAIDTGSRSGNAEKEDEGKTSKFEPNVMKVDEFGRQLREGLSDSDSDDSFHPRTRRLNKRDRRSWSRSQSPPDRRSRRNRRSPRRRRDKRNRSRSWSPRHRRSSRSPISRRSGDFRGENVKREKDQCFDFLRGKCYRGASCRYIHHESDMYATSRRSKNKHDLEVSYGGKESKTNGDMTNISSKVFDNEHDGVRSQDDDLSLNVTSQEVVQKKEDSGRNVVASTIIHLDGLSVNSNLGKSKSIREVAPEMQETIDVREDPRNSIHGNDSSEAGDSQQQHMVEGFHPDALGRDNTSKSSGTYKDVIPSGDGRFAQKMQLGVSAAGILEHSGYPSQHVNASSVTDASPDKRSTVSTSITEVPDSVTSEQASLNPQASKELPPQCGSSVEFPRHNYQLSAPVVSHSPGENPVHMPQISRQYDVMQQRAFFPFQSTAREKFEPYPAPLHMQNTHLNVPPNSSWTSLPLPPPLPPLPPPPSRVVYDSNVNSGVVKSYISSEFIQSQMHSRTDFVSQTSMKPLPSCSEKSEFQDIAYPPMQDHSRTLMLTEPFSPKQLPQGNPASQLLSGSSLNGDEFHNQLPLQDSKFSSATSFGSLQPQQNQFSWKSDVNRLQSSLGGKLPPEGHFMTTSHIDSSSQKQQSMYNFQCSVPEANLGVPGETATVSRYPPDFLDSSHSTSLPPFSGSRISAHYNPYASTFEKPLSSKFSSSIFRQENEIVHGNNFASSRLNDTTVNGEVDGGVGSRHSASAPKSGRALGQILPRSGGDQYDPLFDSIEPSSSLRKTDFDQKQEVTGESDVSLRPKSSYISLDVDEKNKQEEVGAVASTTSQNNDEYSETADAEVGAIENESLSDDVDFAKVTTKEVESNRVKSPGKRKKSKDSRSMKLFKVSIANFVKEVLKPSWRQGNMSKVAFKTIVKKTVDKVSGAMKGHRVPKSQAKISQYIDSSQRKLTKLVMGYVDKYVKV >Vigun09g060400.1.v1.2 pep primary_assembly:ASM411807v1:9:6174989:6184521:1 gene:Vigun09g060400.v1.2 transcript:Vigun09g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQVNRGSHFGQSPVPPVLPPPPPPQPPQPPPQYQQPPPHFHQFPPPNVGGPPPPPPNIGGPPPPPPPHHVYLNGPSPPPPPPRPNSSSPIPMNLPVQGSHNVMPNAGQSYPIPSQLHHGNTMTPQTSWAPGPPARVLPPPPPPPPHPPSASQGQILYNPPFHGPPLQPGDVQNLHTAPPPPPLSTGYFHSTVGNYRVPPGVPPPLPLSPPPALPAPPPPPLNAPVTSSSSTSNVADDPHSVKTVDLVDGVVASLPSNIAPVHGSDSKRDGPNCREVAGAEKEEDLPPPRPTEENTVLKIEALCQLIAEKGADIEDKIRRDEFQNPEYVFLFGGEPGTEAAISNSYFLWMKKKYNLDTGWHEKKRQPERIYSSGEQSNLHVATASADSDMEMEDDITLSDKDQGSNYATEALNRDGEVLRVNQNIAQPQKLSENDPARDISSCSPSYFGSMGVSKQNEGPEILSDLEHSKSVRPVTKVQSLENDSTEVAELSLGKALEETATCVDDDFVCTVTSDHNKTATTNRDYGILLASGSPIRLLQDYASDDTSAKGDESNAADANVFTFSEGVVDTGVSASTQKGFGSLSRTSQDGSEISTHLLQESKKTRNRKKSVSRWSSDGCVEHNLENQMSVNFASSVEASKGKDRLEDTAIDTGSRSGNAEKEDEGKTSKFEPNVMKVDEFGRQLREGLSDSDSDDSFHPRTRRLNKRDRRSWSRSQSPPDRRSRRNRRSPRRRRDKRNRSRSWSPRHRRSSRSPISRRSGDFRGENVKREKDQCFDFLRGKCYRGASCRYIHHESDMYATSRRSKNKHDLEVSYGGKESKTNGDMTNISSKVFDNEHDGVRSQDDDLSLNVTSQEVVQKKEDSGRNVVASTIIHLDGLSVNSNLGKSKSIREVAPEMQETIDVREDPRNSIHGNDSSEAGDSQQQHMVEGFHPDALGRDNTSKSSGTYKDVIPSGDGRFAQKMQLGVSAAGILEHSGYPSQHVNASSVTDASPDKRSTVSTSITEVPDSVTSEQASLNPQASKELPPQCGSSVEFPRHNYQLSAPVVSHSPGENPVHMPQISRQYDVMQQRAFFPFQSTAREKFEPYPAPLHMQNTHLNVPPNSSWTSLPLPPPLPPLPPPPSRVVYDSNVNSGVVKSYISSEFIQSQMHSRTDFVSQTSMKPLPSCSEKSEFQDIAYPPMQDHSRTLMLTEPFSPKQLPQGNPASQLLSGSSLNGDEFHNQLPLQDSKFSSATSFGSLQPQQNQFSWKSDVNRLQSSLGGKLPPEGHFMTTSHIDSSSQKQQSMYNFQCSVPEANLGVPGETATVSRYPPDFLDSSHSTSLPPFSGSRISAHYNPYASTFEKPLSSKFSSSIFRQENEIVHGNNFASSRLNDTTVNGEVDGGVGSRHSASAPKSGRALGQILPRSGGDQYDPLFDSIEPSSSLRKTDFDQKQEVTGESDVSLRPKSSYISLDVDEKNKQEEVGAVASTTSQNNDEYSETADAEVGAIENESLSDDVDFAKVTTKEVESNRVKSPGKRKKSKDSRSMKLFKVSIANFVKEVLKPSWRQGNMSKVAFKTIVKKTVDKVSGAMKGHRVPKSQAKISQYIDSSQRKLTKLVMGYVDKYVKV >Vigun04g106000.1.v1.2 pep primary_assembly:ASM411807v1:4:25411519:25413103:1 gene:Vigun04g106000.v1.2 transcript:Vigun04g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >Vigun07g211900.1.v1.2 pep primary_assembly:ASM411807v1:7:33325834:33328026:1 gene:Vigun07g211900.v1.2 transcript:Vigun07g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTMHSHIKTILWSEDQISRRVSELAAEVSADFAGCSLAPIVVGVATGAFLFVADLVRKIEFSVPVDFVRAESYGSGTESNGAPTISLDLKVDINARHVILVEDIVDTGHTLSRVIKHLKSKGASSVSVCTFLDKPARRKVNVQLVGEGKFYRGFECPDCFVVGYGMDFAEQYRNLPYIGVLKPELYE >Vigun05g300800.1.v1.2 pep primary_assembly:ASM411807v1:5:48430315:48432131:-1 gene:Vigun05g300800.v1.2 transcript:Vigun05g300800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKFLTFLLFLSCIQAISSSDFDRNFSSEQTVANANPSKSNIVLTQDQVEKHGIATKALRKDIDVSHGSKTQKGKGATGGQDVNHRPTHSSAASSLLPCISTLCACLISYLPLFS >Vigun10g198700.1.v1.2 pep primary_assembly:ASM411807v1:10:41128892:41131242:1 gene:Vigun10g198700.v1.2 transcript:Vigun10g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDEGREEKVSGIGKVPDDVLIEILVRGGIGEWEQIACVNKHWANLLSSHSECFWQAALSYHYPLMAVPSLPLPWPGPIPVPNNSKTRFTALYIAQRIFASHPQNLQLHHHFHEIVGHTYLFLKRQLQLFIMPPHSGILHGTVIDQFIACGESRDIAHQLASRIWLAVLHNLDDNHHTFSMLKRLAHEGDVFLPFPYTRSLEVQWRVFEKLFTDFRDCFNQSDYYDMLACAKSRFQHIPSSWLGY >Vigun04g196100.1.v1.2 pep primary_assembly:ASM411807v1:4:42077579:42081849:-1 gene:Vigun04g196100.v1.2 transcript:Vigun04g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEELRKKLAPLFDAEKGFSSSSTLDPSDSYTFSDGGTVNLLSRSYGVYNINELGLQKCTSSRSLDETDHSEKTYKCASQEMRIFGAIGSGASSVVQRAMHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRMHGKIPEPILSFMFQKLLNGLSYLHGERHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSVAMCATFVGTVTYMSPERIRNESYSYPADIWSLALALLECGTGEFPYTANEGPVNLMLQILDDPSPSPSKNKFSPEFCSFVDSCLQKDPDTRPTAEQLLLHPFIKKYENVKVDLAGFVRSVFDPTQRMKDLADMLTIHYYLLFDGPDDMWQHTRNLYSESSIFSFSGKQHCGPNNIFTSLSSIRATLVGEWPPEKLVHVVEKLQCRAHGEDGVAIRVSGSFIIGNQFLICGDGIQVEGLPNFKDLGIDIATKRMGTFHEQFVVEPTGLIGCYSIVKQELYINQ >Vigun09g086100.11.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.13.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.10.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.14.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11295727:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.2.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11295727:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.18.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKIVDYDY >Vigun09g086100.16.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11295727:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.15.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11295727:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.12.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEEIDDSPPNPYQQPSNPRLAPRAKPWEVSQVQNTSTQVLQYQANGRVQDSGGDNSVPWWQTKNIRIKEIDNEVEYNVASVASSQQPLQRMWVPPQPPPIAMPEAAEAIRRPKSVLQKEAIQDDHSAPSFSEFSNGSNSEYAIEGNGINSLVSSGEIQNHELNYEEK >Vigun09g086100.17.v1.2 pep primary_assembly:ASM411807v1:9:11286436:11300380:-1 gene:Vigun09g086100.v1.2 transcript:Vigun09g086100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKSSTWGHVVTPAAHYQSRLGGEIAQPENVDQQNSGEELGKQSSDTSVFVNSEPMREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVVLEGENDQLKRTGSKPTLAEEAAQAAKAAAVAAADMAKASQELLASKIEEKRYFVQVVSLLDRQVEEMKLMTIAIKRLEASGGLSVSKQEDRQVTQTSSKIQQPILNGKSDYESQSVRSSSPPVSVQPSSAPHPKSYLEIMAMLQRGEKPSNIREIDDSPPNPYQQPSNPRLAPRAKIVDYDY >Vigun05g110666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11522611:11524064:-1 gene:Vigun05g110666.v1.2 transcript:Vigun05g110666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFITESISSNGPASCLFRLLMVMRKGNSLPTRLCQILLEKYQIKVERLDFFSFLVNDICMNITLEDVLFLTELPINGKPIIPAFSRDEDAFSIFESNTKTLRLGELKRIACGQGPQNHKIYDVLLFIINCIIVP >Vigun02g078200.2.v1.2 pep primary_assembly:ASM411807v1:2:23045131:23049921:-1 gene:Vigun02g078200.v1.2 transcript:Vigun02g078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNGNRLLPVFLDETQFRHQTNASNQLQLFGNLQAGCSVDPVNYIGNEHVSPMIQPNKRSKEMEDMSRQRLQISLNYNVNQKDVDRLASIQNPNPVSTGLRLSYDDDEHNSSVTSASGSMTATPSIILSLGDNIRTELDRQQEELDHYIKLQKEQLSKGVRDMKQKHMTALLSSIEKGISAKLKEKDVEIENMNRKNRELAERIKQVAIEAQSWHYRAKYNESVVNALRNNLQQAISQGAEQGKEGFGDSEVDDDASYIDPNNFLNIQGAPINSTHKSYQDTENLTCRACKAKAVSMLLMPCRHLCLCKDCDGFINVCPVCQLIKTASVEVYLS >Vigun02g078200.3.v1.2 pep primary_assembly:ASM411807v1:2:23045173:23049893:-1 gene:Vigun02g078200.v1.2 transcript:Vigun02g078200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNGNRLLPVFLDETQFRHQTNASNQLQLFGNLQAGCSVDPVNYIGNEHVSPMIQPNKRSKEMEDMSRQRLQISLNYNVNQKDVDRLASIQNPNPVSTGLRLSYDDDEHNSSVTSASGSMTATPSIILSLGDNIRTELDRQQEELDHYIKLQKEQLSKGVRDMKQKHMTALLSSIEKGISAKLKEKDVEIENMNRKNRELAERIKQVAIEAQSWHYRAKYNESVVNALRNNLQQAISQGAEQGKEGFGDSEVDDDASYIDPNNFLNIQGAPINSTHKSYQDTENLTCRACKAKAVSMLLMPCRHLCLCKDCDGFINVCPVCQLIKTASVEVYLS >Vigun02g078200.1.v1.2 pep primary_assembly:ASM411807v1:2:23045131:23049921:-1 gene:Vigun02g078200.v1.2 transcript:Vigun02g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNGNRLLPVFLDETQFRHQTNASNQLQLFGNLQAGCSVDPVNYIGNEHVSPMIQPNKRSKEMEDMSRQRLQISLNYNVNQKDVDRLASIQNPNPVSTGLRLSYDDDEHNSSVTSASGSMTATPSIILSLGDNIRTELDRQQEELDHYIKLQKEQLSKGVRDMKQKHMTALLSSIEKGISAKLKEKDVEIENMNRKNRELAERIKQVAIEAQSWHYRAKYNESVVNALRNNLQQAISQGAEQGKEGFGDSEVDDDASYIDPNNFLNIQGAPINSTHKSYQDTENLTCRACKAKAVSMLLMPCRHLCLCKDCDGFINVCPVCQLIKTASVEVYLS >Vigun11g013700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1655500:1659099:-1 gene:Vigun11g013700.v1.2 transcript:Vigun11g013700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFEIGHVIRFHGFGTLCKTQRLRTFLPTPNRLWICNMSIHELFTKFKFLRILSLSHCSDIEELPDSVGNFQHLRSLDLSSTNIKKLSESICSLSNLQILKLNYCWCLEELPSNLHLITTLCRLEFSFTKVRKVPPGLEELKNLKVRMDIFKVEHSMESGIQRLGKLNNLHERLSIKGLQDIENPRDALKADLENKTHLMRLVLVWKRTLNSIDSKREEDVIENLKPPKNLKELSIYNYGGKKLPKWLLEKSLWNMVFLTLDGCESCQRLPPLGLLPFLKDLDISGFDEIVSIDVDFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGVFPNLRILSIKDCPKLKGQLPELPAPLEILEMRDCQQLEGFAPRALELNLHNCGKVQCDWATMEWLRIGWHNMEASFNEVVGSDTLVQLKIKHSINDDSVFVSIFPLDSFPTLEVLTLSRLNNLQMISLDQAHHHLEDLIISKCPKLESLPGSMHMLLPSLTSLCIKDCPRLESFTDGGLPSDLHEMTLKNCSRLVGSLKGAFRDGSYLGSLSIKELDAKCFPEEGLLPASLIHLKISDCPNLEELDYKGLSQISSLESLTLECCPKLQCLPKQGLPQSISDLCITGCPLLEQRCQKGGKDRKKIAHIRYINLY >Vigun10g110250.1.v1.2 pep primary_assembly:ASM411807v1:10:31008662:31008960:1 gene:Vigun10g110250.v1.2 transcript:Vigun10g110250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METARLYFTERAHAGVHFWSLLKLFFPSDTQPFSSKFPSLKIFAAFTVTHYRCTSNLTYTKAVRPDGGRRNHSGTLSTVVDREH >Vigun06g116300.5.v1.2 pep primary_assembly:ASM411807v1:6:24414178:24417913:1 gene:Vigun06g116300.v1.2 transcript:Vigun06g116300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIVDLRSDTVTKPTEAMRGAMAIAEVDDDILGRDPSCVRLETEMAKLLGKEAAVFVPSGTMANLISVLVHCDIRGSEVILGHDSHIHIYENGGIATLGGVHPRTVKNNEDGTMDIALIEAAIRDPKGELVYPTTRLICLENTHGNCGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSIYVEIEEGSGITASKLCKDLEDYGILLMTLGSSRLRIVFHHQISGSDVEYTLWCFQEAVNGVRNENGK >Vigun06g116300.4.v1.2 pep primary_assembly:ASM411807v1:6:24414918:24417913:1 gene:Vigun06g116300.v1.2 transcript:Vigun06g116300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNEKMVAKIVDLRSDTVTKPTEAMRGAMAIAEVDDDILGRDPSCVRLETEMAKLLGKEAAVFVPSGTMANLISVLVHCDIRGSEVILGHDSHIHIYENGGIATLGGVHPRTVKNNEDGTMDIALIEAAIRDPKGELVYPTTRLICLENTHGNCGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGLGAPVGSVIVGSNSFISKARRLRKTLGGGMRQVGILCAAALVALQENVEKLESDHKKAKLLADGLNEIKGLRVNTSAVETNMIYVEIEEGSGITASKLCKDLEDYD >Vigun06g116300.3.v1.2 pep primary_assembly:ASM411807v1:6:24414095:24417913:1 gene:Vigun06g116300.v1.2 transcript:Vigun06g116300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIVDLRSDTVTKPTEAMRGAMAIAEVDDDILGRDPSCVRLETEMAKLLGKEAAVFVPSGTMANLISVLVHCDIRGSEVILGHDSHIHIYENGGIATLGGVHPRTVKNNEDGTMDIALIEAAIRDPKGELVYPTTRLICLENTHGNCGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGLGAPVGSVIVGSNSFISKARRLRKTLGGGMRQVGILCAAALVALQENVEKLESDHKKAKLLADGLNEIKGLRVNTSAVETNMIYVEIEEGSGITASKLCKDLEDYD >Vigun06g116300.2.v1.2 pep primary_assembly:ASM411807v1:6:24414074:24417913:1 gene:Vigun06g116300.v1.2 transcript:Vigun06g116300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIVDLRSDTVTKPTEAMRGAMAIAEVDDDILGRDPSCVRLETEMAKLLGKEAAVFVPSGTMANLISVLVHCDIRGSEVILGHDSHIHIYENGGIATLGGVHPRTVKNNEDGTMDIALIEAAIRDPKGELVYPTTRLICLENTHGNCGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGLGAPVGSVIVGSNSFISKARRLRKTLGGGMRQVGILCAAALVALQENVEKLESDHKKAKLLADGLNEIKGLRVNTSAVETNMIYVEIEEGSGITASKLCKDLEDYGILLMTLGSSRLRIVFHHQISGSDVEYTLWCFQEAVNGVRNENGK >Vigun06g116300.1.v1.2 pep primary_assembly:ASM411807v1:6:24414918:24417913:1 gene:Vigun06g116300.v1.2 transcript:Vigun06g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESNNEKMVAKIVDLRSDTVTKPTEAMRGAMAIAEVDDDILGRDPSCVRLETEMAKLLGKEAAVFVPSGTMANLISVLVHCDIRGSEVILGHDSHIHIYENGGIATLGGVHPRTVKNNEDGTMDIALIEAAIRDPKGELVYPTTRLICLENTHGNCGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGLGAPVGSVIVGSNSFISKARRLRKTLGGGMRQVGILCAAALVALQENVEKLESDHKKAKLLADGLNEIKGLRVNTSAVETNMIYVEIEEGSGITASKLCKDLEDYGILLMTLGSSRLRIVFHHQISGSDVEYTLWCFQEAVNGVRNENGK >Vigun01g154300.1.v1.2 pep primary_assembly:ASM411807v1:1:33698052:33706144:-1 gene:Vigun01g154300.v1.2 transcript:Vigun01g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVGHRFDHSISDSGVRYLTGEGHSSVLSQLQRAESDVDRRFYEFPDDQSSFEGSLDVSEYDSTRYENLDADNFMDTQGSHPEVVLVSVDGHVLTAPISESEQNEDNVQLKNPQFHLGPGEETDFFEGNGELISDENAWTADYATPLDAPSSYDTKVDDDTSGLLLEAQRQEEINCSTEETLVIENQENHLLQTDSEEAVSCMKRESVFKSCLELREFTQQAGNDDLQDVDSSLEVQNSAEESNAHISITDENKDENIQVDIQDVGSSLEVRYIAEKCIANGSITDENKQGNIEQCRKSDKLSPLSAPSSLDDHSSPELKVEPQVVDRDASVNVDTGSDSHSGTKDVIECNDERVGESVTNDQVDDSERTPAIEDGSKKTELTEPQIVTSIDEDQCHSALRFEASLCGHELKVGMGLVAAAEVFEAHRISAEEFRSSASSIIKNENLVLKFRDTYLRWEKAAPLVLGKTVFGLDLPVDPRDTIPVGQDDAVKATNEGSGPASSGRRWRLWPIPFRRVKTIEHTDSESNEDVFVDSESDWQTSTVEPSPTSARHESPRKQFVRTNVPSNEMIASLNLKDGQNLVTFSFSSRVLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQVMPLVGRDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRSFLVNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVATSHRIDSKSYTSLHTLVNDMFPPTSLVEQEDFNSWNYWRMPFPEVD >Vigun11g025800.9.v1.2 pep primary_assembly:ASM411807v1:11:3325369:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQY >Vigun11g025800.5.v1.2 pep primary_assembly:ASM411807v1:11:3325369:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQY >Vigun11g025800.4.v1.2 pep primary_assembly:ASM411807v1:11:3325277:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQKESYEIVVCKSLLLWCGEGCYGICMGCLL >Vigun11g025800.1.v1.2 pep primary_assembly:ASM411807v1:11:3325369:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQECIRRRVMKSLSANPYCSGVAKAAMESVWDVCYNDTEPYDRTL >Vigun11g025800.3.v1.2 pep primary_assembly:ASM411807v1:11:3325277:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQKESYEIVVCKSLLLWCGEGCYGICMGCLL >Vigun11g025800.8.v1.2 pep primary_assembly:ASM411807v1:11:3325369:3329209:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARIKFDFCLINRILCYHVLFGSVLICGIRSCQQNCIPKKSKAEPGMDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQECIRRRVMKSLSANPYCSGVAKAAMESVWDVCYNDTEPYDRTL >Vigun11g025800.2.v1.2 pep primary_assembly:ASM411807v1:11:3325369:3329823:-1 gene:Vigun11g025800.v1.2 transcript:Vigun11g025800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDLSSSYIPRNRGASLEQCQRMIHKSLLSPQVRFLREQLEKAGCLVGDNFIKAVKCNESGIAGGYTKGKGIVVCCNEMESQDDVDQVLKHELIHVFDDCRAANLDWTKCAHHACSEIRAGHLSGDCHFKRELLKLTSLKIRGHEQECIRRRVMKSLSANPYCSGVAKAAMESVWDVCYNDTEPYDRTL >Vigun06g147200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27232688:27234329:-1 gene:Vigun06g147200.v1.2 transcript:Vigun06g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEKNKRAREDDGIEIESCSESNMSGKKRNVYGMSLSKEKKKEMEEEDYLEAWDSHLAMGVFDFPWLKDGVMSKSDECLDFEDNFSSSLQHQDTFFKHSVDFSEECGLCVTPEVPMVHIEDAELVEDLWQPFESNGLELEAEDGDSIWNSLLNLDSLYP >Vigun01g197800.1.v1.2 pep primary_assembly:ASM411807v1:1:37439441:37441228:1 gene:Vigun01g197800.v1.2 transcript:Vigun01g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDANASSQPDSEASSELKSSETQTSKKRKLVEKRVVAVRIGENVGKVKNEGLPSDFWSWRKYGQKPIKGSPYPRGYYKCSTSKGCSAKKQVERCRTDASMLIVTYTSTHNHPCPTTTTATSTTTNSPQQPKESESETTQDLSGISKEEDQEHIEEEQRDDDKPRDEGRNEEKFLYLQSSQDIIIEQEDPFKLNTEKSHERIDLLLEEEEPLCYAQVKNLSASESEELDFFDELEELPMSSSFFNFTRSIFSDERIPVAPS >Vigun05g001600.1.v1.2 pep primary_assembly:ASM411807v1:5:128767:136707:-1 gene:Vigun05g001600.v1.2 transcript:Vigun05g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKTMSPPRFPVLLLLLFLIAAAAAAAADNDAKRDDQRAPKSQSCNNPFQLVKVENWIDGVEGSIYNGVTARFGSLLPEKPENSVRTPAIFSNPVDCCSNSTSKLSGSVALCMRGGCDFTVKAEFAQSGGATGMLVINDAEDLFEMVCSNSSEANISIPVVMITKSAGEGLNNSLISGRKVEILLYAPPRPLVDFSVAFLWLMSVGTIVCASLWSDFTSPEKSDERYNELCPKESSIAETARDDFDKEIVHIDSKGAIIFVIAASAFLVLLFFFMSSWFVWVLIVLFCIGGVEGMHNCIVSLTVRKGQSCGQKTVTVPLFGETSIFSLVVLLFCVAFAIFWAATRQESYSWIGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFISPVIFHESVMIAVARGDKAGGEAIPMLLRFPRLFDPWGGYDMIGFGDILFPGLLISFAHRFDKDNGRGASNGYFLWLVIGYGIGLVFTYLGLYLMNGNGQPALLYLVPCTLGVTVILGCIRGELKSLWNYGTDPSLSTEHSEV >Vigun05g001600.2.v1.2 pep primary_assembly:ASM411807v1:5:128767:136707:-1 gene:Vigun05g001600.v1.2 transcript:Vigun05g001600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKTMSPPRFPVLLLLLFLIAAAAAAAADNDAKRDDQRAPKSQSCNNPFQLVKVENWIDGVEGSIYNGVTARFGSLLPEKPENSVRTPAIFSNPVDCCSNSTSKLSGSVALCMRGGCDFTVKAEFAQSGGATGMLVINDAEDLFEMVCSNSSEANISIPVVMITKSAGEGLNNSLISGRKVEILLYAPPRPLVDFSVAFLWLMSVGTIVCASLWSDFTSPEKSDERYNELCPKESSIAETARDDFDKEIVHIDSKGAIIFVIAASAFLVLLFFFMSSWFVWVLIVLFCIGGVEGMHNCIVSLTVRKGQSCGQKTVTVPLFGETSIFSLVVLLFCVAFAIFWAATRQESYSWIGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFISPVIFHESVMIAI >Vigun07g106900.5.v1.2 pep primary_assembly:ASM411807v1:7:19664036:19668230:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.6.v1.2 pep primary_assembly:ASM411807v1:7:19664036:19668284:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.2.v1.2 pep primary_assembly:ASM411807v1:7:19664036:19668326:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.1.v1.2 pep primary_assembly:ASM411807v1:7:19664037:19668326:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.7.v1.2 pep primary_assembly:ASM411807v1:7:19664079:19668230:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.4.v1.2 pep primary_assembly:ASM411807v1:7:19664074:19668262:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun07g106900.3.v1.2 pep primary_assembly:ASM411807v1:7:19664037:19668298:-1 gene:Vigun07g106900.v1.2 transcript:Vigun07g106900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFTAESCKEAHPNALNPQSWLQVERGKLPKLSSQSSSSSIESLIKVPQPPILPFFKPVDYVKFLAQIHEELELCSPQEQSNLFLLQYQVFRGMRDVKLMRRSLRSAWQRANTVHEKIIFGAWLKYEKQGEELVAQLLTACGKCEREFEPIDVESHISFDKVIISQDRVSMNGNHTSQYVIFTIGDEKIVCDRQKISELSAPFHAMLKGCFRESLSETIDLSENNISPSGMRAINYFSLTGSLLDVPQNLLVEISVFANKYCCERLKLACDRKLASLVSSREDALELMEYAVDQNSSVLAASCVQVLLRDIPNCLSDNRVVELFIHANRKELEVMVGPGIFALFCFLSEVSMNLSSSSDTTAHFLERLVDFAENDMQRMVAFHELGCARLSRKEYDEAYGVFERAANAGHIYSVAGLARVDSIKGEKLLPYQKISSVICSGTPLGWMYQERSLYCDGDVRWKDLEKATELDPTLVYPYMYRSATLMRTENVEGALSEINRILGFKLSLECLELRFFIYLVLEDYKAALRDVQAILTLCPSYKMFEGRVAASQLCTLVREHVEHWTTADCWARLYDCWSAVDDIESLSVIYQMLQSDAAKGVLYFRQSLLLLRLSCPEAAMRSLQLAWEHASSEHERLVYEGWILYDSGHYEEGIQKAEESIAIKRSFEAYFLKAYALADSSVDSSCSSTVISLLEDALKCPSDNLRKGQALNNLGSVYVDCGKLEAAEDCYVKALKIQHTRAHQGLARVHFLRNDKGAALKEMTNLIEKARNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEEEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQKMLELHCRVNSHEP >Vigun11g125200.2.v1.2 pep primary_assembly:ASM411807v1:11:33257278:33262004:-1 gene:Vigun11g125200.v1.2 transcript:Vigun11g125200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQLPEPPSPTARRGTPDIFESGVHTFVRRAVVIGNGFAASENQSIGLVRALGLADKHVLYRVTRPKGGINEWLQWLPVSLHKKIDYIVRMIQGYSQLTLKSQEKKVMPLPSENGVSAGLLAVLEADAKQIVNFARETYEKEGPLLVVACGRDTISTASSIKRLASENVFVVQIQHPRSLLNRFDMVITPKHDYYPLTPEGQKQIPRFLRSWITPRDPPDSHVVLTMGALHQVDFSSIRSAAATWHDEFSHVPRPLLVVNIGGPTRNCRYGVDLAKQLAVSLVSVLASCGSVRISFSERTPEKVSNIIVKELGNNPKVYICDGQGPDLHMGHLAWADSFVVTADSVSMISEACSTGYA >Vigun11g125200.1.v1.2 pep primary_assembly:ASM411807v1:11:33257278:33262004:-1 gene:Vigun11g125200.v1.2 transcript:Vigun11g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQLPEPPSPTARRGTPDIFESGVHTFVRRAVVIGNGFAASENQSIGLVRALGLADKHVLYRVTRPKGGINEWLQWLPVSLHKKIDYIVRMIQGYSQLTLKSQEKKVMPLPSENGVSAGLLAVLEADAKQIVNFARETYEKEGPLLVVACGRDTISTASSIKRLASENVFVVQIQHPRSLLNRFDMVITPKHDYYPLTPEGQKQIPRFLRSWITPRDPPDSHVVLTMGALHQVDFSSIRSAAATWHDEFSHVPRPLLVVNIGGPTRNCRYGVDLAKQLAVSLVSVLASCGSVRISFSERTPEKVSNIIVKELGNNPKVYICDGQGPDLHMGHLAWADSFVVTADSVSMISEACSTGKPVYVMGVERCRWKFNEFHKSLKEMGVVRPFTGSEDISESWSYPPLSDTADAAKKVHEALAARGWKLKV >Vigun07g168300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28206142:28208624:-1 gene:Vigun07g168300.v1.2 transcript:Vigun07g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKRETDGNDGVSDGAGAEVAHGGAATGIAATSASSTMPDDLMIEILSRVPVKALMRFRCVSKYWNSFVFDPNLVKLHLQRSPRDKPILYTLSDYGEYDDDAHYLHCSSTQSLLENPNSVVGIDDDGVPVFANYDIVGVCNGLVCLSRIHTNLDEIWVRFWNPATRLSSEESPSCYIECGCANMAFGHDASTDTYKVVAAVLSDAKTHKIELIVHNLGDDCWREIRTTDADFPSEFRQTKGVFLNGTLNWVTVLGTGNSRSLVDFCFDLASETYTCFQLPNDVGEGFSELGAFGGCLCLSHIYHRESFAFWQMKEFGVYQSWTMLMNISYNYFEFPRYLIPRPLCLSEDGNILILVCHAEYQLVWCNRRHCQIEHFEIPHKWVSLKSTDYVQSLVLPYPTT >Vigun07g168300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28206149:28208622:-1 gene:Vigun07g168300.v1.2 transcript:Vigun07g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKRETDGNDGVSDGAGAEVAHGGAATGIAATSASSTMPDDLMIEILSRVPVKALMRFRCVSKYWNSFVFDPNLVKLHLQRSPRDKPILYTLSDYGEYDDDAHYLHCSSTQSLLENPNSVVGIDDDGVPVFANYDIVGVCNGLVCLSRIHTNLDEIWVRFWNPATRLSSEESPSCYIECGCANMAFGHDASTDTYKVVAAVLSDAKTHKIELIVHNLGDDCWREIRTTDADFPSEFRQTKGVFLNGTLNWVTVLGTGNSRSLVDFCFDLASETYTCFQLPNDVGEGFSELGAFGGCLCLSHIYHRESFAFWQMKEFGVYQSWTMLMNISYNYFEFPRYLIPRPLCLSEDGNILILVCHAEYQLVWCNRRHCQIEHFEIPHKWVSLKSTDYVQSLVLPYPTT >Vigun05g259800.1.v1.2 pep primary_assembly:ASM411807v1:5:45335384:45337791:-1 gene:Vigun05g259800.v1.2 transcript:Vigun05g259800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDQFQGISNAKYDCLLFDLDDTLYPLSSGLAEQVRKNIQEYMIQKLGIPEAKVPELCFSLYKTYGTTMAGLKAIGYDFNYDDFHSFVHGRLPYDILKPDPVLRGVLLSLPVRKIIFTNSDEAHASRVLRRLGLEDCFERVICFETLNSSNEDGSECKPNSTGVFDFYEYIHSPDSDVVLPRTPVVCKPSQDSFEKVFSMADIDPRRTLFFDDSLRNLQSGKSVDLHTVLVGNSVRTTGVDHALESIHNMKEAFPELWESDEKPESVECCRKVSIETSVVA >Vigun04g035500.3.v1.2 pep primary_assembly:ASM411807v1:4:2949317:2953023:1 gene:Vigun04g035500.v1.2 transcript:Vigun04g035500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATATVLTPPSAPPRPSVFLRRPSALAFPSNLAFSTKLSTSVSVSISKQCHGRLRVGRKKGFVVRAASSIPESSEPSSNVAPLKLESPIGQFLSQILISHPHLVPAAVERQLEQFQTDLDGDNQKREPSASGTELVLYRRIAEVKAKERKKALEEILYALVVQKFMDANISLIPSLTPNQSGRVDSWPSEDGKLEELHSPEAYEMIQNHLAIILGNRLEDSTSVAQISKIRVGQVYAASIMYGYFLNRVVQRFQLEKTMKILPNMAEENSIQQTVIDDSRVSNGKGPSHVTSHPEISTLPGGGISSGGFSNGSKVSRLRTYVMSFDSETLQRYATIRSKESVSIIEKHTEALFGRPEIVVTPEGVIDSSKDENIKISFGSLRRLVLEAVTFGSFLWDVESYVDARYHFVLN >Vigun04g035500.1.v1.2 pep primary_assembly:ASM411807v1:4:2949375:2953023:1 gene:Vigun04g035500.v1.2 transcript:Vigun04g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATATVLTPPSAPPRPSVFLRRPSALAFPSNLAFSTKLSTSVSVSISKQCHGRLRVGRKKGFVVRAASSIPESSEPSSNVAPLKLESPIGQFLSQILISHPHLVPAAVERQLEQFQTDLDGDNQKREPSASGTELVLYRRIAEVKAKERKKALEEILYALVVQKFMDANISLIPSLTPNQSGRVDSWPSEDGKLEELHSPEAYEMIQNHLAIILGNRLEDSTSVAQISKIRVGQVYAASIMYGYFLNRVVQRFQLEKTMKILPNMAEENSIQQTVIDDSRVSNGKGPSHVTSHPEISTLPGGGISSGGFSNGSKVSRLRTYVMSFDSETLQRYATIRSKESVSIIEKHTEALFGRPEIVVTPEGVIDSSKDENIKISFGSLRRLVLEAVTFGSFLWDVESYVDARYHFVLN >Vigun04g035500.2.v1.2 pep primary_assembly:ASM411807v1:4:2949317:2953023:1 gene:Vigun04g035500.v1.2 transcript:Vigun04g035500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATATVLTPPSAPPRPSVFLRRPSALAFPSNLAFSTKLSTSVSVSISKQCHGRLRVGRKKGFVVRAASSIPESSEPSSNVAPLKLESPIGQFLSQILISHPHLVPAAVERQLEQFQTDLDGDNQKREPSASGTELVLYRRIAEVKAKERKKALEEILYALVVQKFMDANISLIPSLTPNQSGRVDSWPSEDGKLEELHSPEAYEMIQNHLAIILGNRLEDSTSVAQISKIRVGQVYAASIMYGYFLNRVVQRFQLEKTMKILPNMAEENSIQQTVIDDSRVSNGKGPSHVTSHPEISTLPGGGISSGGFSNGSKVSRLRTYVMSFDSETLQRYATIRSKESVSIIEKHTEALFGRPEIVVTPEGVIDSSKDENIKISFGSLRRLVLEAVTFGSFLWDVESYVDARYHFVLN >Vigun07g019600.1.v1.2 pep primary_assembly:ASM411807v1:7:1623358:1624471:-1 gene:Vigun07g019600.v1.2 transcript:Vigun07g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMRLEYLMTVFAVSGGMVLLFHQANKHLCNKFLKKFEYEIRGSTKHEAKKKVRFAKDMVEQIPKENNSDSDSINFAKEQDVIAKVMDLDDAENWKHDEKFKDVMPPNRVVLYRGLMNNRKERFDV >Vigun01g040900.1.v1.2 pep primary_assembly:ASM411807v1:1:5722336:5723959:1 gene:Vigun01g040900.v1.2 transcript:Vigun01g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSYMRAVTLVMMMVGLVAEVESSSCTTTFFSSLVQLIPCRAAVAPFSSIPPTDACCNALRALGQPCLCVLVNGPPISGVDRNMASQLPNKCTTNFQPCESM >Vigun10g072101.1.v1.2 pep primary_assembly:ASM411807v1:10:17916518:17917159:1 gene:Vigun10g072101.v1.2 transcript:Vigun10g072101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQELGPVWQLEDIKGNHHQSTFNMDVNNPLVTKGWSGLRVFYNLEHIHQILFRFICNSTFQIIGRKNTFIVQCKLLLRNSPKKSSKIGKGWKDFCRFNRVKEGDILVFVADKNEKEED >Vigun03g022900.1.v1.2 pep primary_assembly:ASM411807v1:3:1710193:1712638:1 gene:Vigun03g022900.v1.2 transcript:Vigun03g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINHLKVLFIFSILFLVVAEAKHKRKHKHKIRVHHLRHEPHLSEYQDQKSLFPQNPLYSESPPAPDFPSFSEPPPIPFFPPFPFPQPAQEIKPPFQINSIGNWELISENAGVSAMHINLLPTNKIIVYDAKVYRTSRIRLPEGTPCVPYRDRGSTEDKYDCFAHAVEYDIETNQVRPIQVTQGDPWCSSGGVRSDGTFISTGGFSTGGKSIRYMGPYCDGCEWREYDNVLATDRWYSTQQMLPNGDFILIGGRKSYSYEFVPAEGQRSEKTFYFPFLSETADIDENNLYPFVHLSTDGNLFIFSNNRSVLLNPNSHKIVRTFPVLPGGSRNYPASGMSALLPINLDDPNPKAEVMVCGGNIPDAFHIVETTKVFLPALHDCNRLVITEEFPEWENELMPSGRTMGDLLVLPNGELLLINGATRGTSAWWDADMPNYTPVLYKPEEPKGSRFTVLTPSSIARMYHSTSTVLPSGKIWVSGSNTHNTYKDVDKFPTETRVEAFSPPYLDPNFDMFRPHIFEDASQKGLIYGASFEVSFSMEDGAGLTQNDLKVSMYSPPFTTHGFSMGQRLLFLKIYELITEFEGSYRLRVEAPPSNVVAPPGYYLLFVVHRGLPGKGMWVNIQ >Vigun01g192800.1.v1.2 pep primary_assembly:ASM411807v1:1:37058224:37060647:-1 gene:Vigun01g192800.v1.2 transcript:Vigun01g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHRMVSTNGINMHVASIGSGPVVLFLHGFPELWYSWRHQLLSLSAVGYRAIAPDLRGYGDTDAPPSASSYSALHIVADLVGLLDALGIDQVFLVGHDWGASMAWYFCLLRPDRVKALVNMSVVFRPRNPKRKPIQSLRAMMGDDYYICRFQKPGEVEEEFARAGAARIIKNFLVSRDPRPPSVPKEIGFGGSPDLHVALPSWLSEEDVNYYASKFEQKGFTGGLNYYRAMDLTWELTAAWTGVQIKVPVKFIVGDLDITYNTPGVKEYIHNGGFKRDVPFLQELIVMEGVAHFINEERPEEISAHIYDFIKKF >Vigun05g249500.1.v1.2 pep primary_assembly:ASM411807v1:5:44333766:44339633:-1 gene:Vigun05g249500.v1.2 transcript:Vigun05g249500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKRFSFSKMIGCCGCFGFVKKPRRRRAKRSISNFLSHGLLYDVETEGDESFSGDVTSNATSGDDNEAHVVRNRSEDILNFRAENGMVCRPFPVKETIELVRSEDEYGNKMINEYIREYKIGSGSYAKVALYRSSLDGNHYAIKSFHKSHLQKLRVAPFETAMTDVLREVLIMKMVEHPNIVNLIEVIDDPESDDFYMVLEYVESKWVCEGTGRPCALGEETARKYLRDIVSGLRYLHAHNIVHGDIKPDNLLITRHGTVKIVDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDKIVNDPLVLPDDINPQLKNLIEGLLCKDPEKRMTLGDVAEHVWVIGNDGPLPGYLCWCKRKSLMAADFDESNIPLLDPQ >Vigun05g249500.3.v1.2 pep primary_assembly:ASM411807v1:5:44333766:44339633:-1 gene:Vigun05g249500.v1.2 transcript:Vigun05g249500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKRFSFSKMIGCCGCFGFVKKPRRRRAKRSISNFLSHGLLYDVETEGDESFSGDVTSNATSGDDNEAHVVRNRSEDILNFRAENGMVCRPFPVKETIELVRSEDEYGNKMINEYIREYKIGSGSYAKVALYRSSLDGNHYAIKVLIMKMVEHPNIVNLIEVIDDPESDDFYMVLEYVESKWVCEGTGRPCALGEETARKYLRDIVSGLRYLHAHNIVHGDIKPDNLLITRHGTVKIVDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDKIVNDPLVLPDDINPQLKNLIEGLLCKDPEKRMTLGDVAEHVWVIGNDGPLPGYLCWCKRKSLMAADFDESNIPLLDPQ >Vigun05g249500.4.v1.2 pep primary_assembly:ASM411807v1:5:44333766:44339633:-1 gene:Vigun05g249500.v1.2 transcript:Vigun05g249500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKRFSFSKMIGCCGCFGFVKKPRRRRAKRSISNFLSHGLLYDVETEGDESFSGDVTSNATSGDDNEAHVVRNRSEDILNFRAENGMVCRPFPVKETIELVRSEDEYGNKMINEYIREYKIGSGSYAKVALYRSSLDGNHYAIKVLIMKMVEHPNIVNLIEVIDDPESDDFYMVLEYVESKWVCEGTGRPCALGEETARKYLRDIVSGLRYLHAHNIVHGDIKPDNLLITRHGTVKIVDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDKIVNDPLVLPDDINPQLKNLIEGLLCKDPEKRMTLGDVAEHVWVIGNDGPLPGYLCWCKRKSLMAADFDESNIPLLDPQ >Vigun05g249500.2.v1.2 pep primary_assembly:ASM411807v1:5:44333766:44339633:-1 gene:Vigun05g249500.v1.2 transcript:Vigun05g249500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYKRFSFSKMIGCCGCFGFVKKPRRRRAKRSISNFLSHGLLYDVETEGDESFSGDVTSNATSGDDNEAHVVRNRSEDILNFRAENGMVCRPFPVKETIELVRSEDEYGNKMINEYIREYKIGSGSYAKVALYRSSLDGNHYAIKSFHKSHLQKLRVAPFETAMTDVLREVLIMKMVEHPNIVNLIEVIDDPESDDFYMVLEYVESKWVCEGTGRPCALGEETARKYLRDIVSGLRYLHAHNIVHGDIKPDNLLITRHGTVKIVDFSVSQAFEDGNDELRRSPGTPVFTAPECCLGLTYHGKASDTWAVGVTLYCMILGEYPFLGDTLQDTYDKIVNDPLVLPDDINPQLKNLIEGLLCKDPEKRMTLGDVAEHVWVIGNDGPLPGYLCWCKRKSLMAADFDESNIPLLDPQ >Vigun03g370900.1.v1.2 pep primary_assembly:ASM411807v1:3:57351736:57362276:1 gene:Vigun03g370900.v1.2 transcript:Vigun03g370900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTLADSLLLFKGSFQKPLRRRDIAAHYSPGIFSLNSDGLIRKGFERQRSFVTRNKVSFIRAVAVPVQQPAPVEGVEYRKQLAEDYGFRQIGEPLPADVTLKDVINSLPKEVFEIDDVKAWKSVLISITSYALGIFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSSNKLIEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVRKDEFESSPLLRKAIIYGYGPFRCWMSIAHWLLCHFDLKKFRPSEVNRVKISLACVFSFIAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFRNSEEWNAAQAQLNGTVHCDYPKWIEILCHDINVHIPHHISPRIPSYNLRAAHKSIEENWGKYLNEASWNWRLMKTIMTVCHVYDKEQNYVAFDELAPEDSRPITFLKKSMPDYA >Vigun06g044500.1.v1.2 pep primary_assembly:ASM411807v1:6:16876677:16883052:1 gene:Vigun06g044500.v1.2 transcript:Vigun06g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSGNHSPSSRRHRSHRSISPPLREQHERSGRGVTRPVRSDSGSPDSPARSRSPSPRTKRLKRAQTEREPRESERSRGSSRGRGSEREAAERREKRRTENDGGGGGSGRSSKSEKRTENEDTGGRSNKSSRSRHERSPERERNGRSRHRSQSPPHRHASSGNAKPRDEMINSSGAEEMDEENDSIRKMKAAEEALEEKQKQKPSFELSGNLAAETNRVRGVTLLFNEPPEARKPDIKWRLYVFKGGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLLKQIRPYIMDLGSTNKTLINDSPIEPQRYYELREKDTIKFGNSSREYVLLHENSMG >Vigun06g044500.2.v1.2 pep primary_assembly:ASM411807v1:6:16876677:16883052:1 gene:Vigun06g044500.v1.2 transcript:Vigun06g044500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSGNHSPSSRRHRSHRSISPPLREQHERSGRGVTRPVRSDSGSPDSPARSRSPSPRTKRLKRAQTEREPRESERSRGSSRGRGSEREAAERREKRRTENDGGGGGSGRSSKSEKRTENEDTGGRSNKSSRSRHERSPERERNGRSRHRSQSPPHRHASSGNAKPRDEMINSSGAEEMDEENDSIRKMKAAEEALEEKQKQKPSFELSGNLAAETNRVRGVTLLFNEPPEARKPDIKWRLYVFKGGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRREYVLLHENSMG >Vigun05g220900.1.v1.2 pep primary_assembly:ASM411807v1:5:41239088:41240913:1 gene:Vigun05g220900.v1.2 transcript:Vigun05g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFITSSVGGLVFLASMWFTAAQPLNPGNSPSFNTVPAYPTQTRSQICRLDLSNELFGGVNHACGTTLDRSRCCPVLAAWLFAAFARTALEVSAAAPPPSGDLPMMPDDSQSCVNSLQDSLRNRSIRIPQPNGTCDAILCFCGIRLHQITSLSCPNAFHITAVRNVSGTHNATPTAVVRNLEKNCRNASYAGCTQCLSALQKIKGSNKESESERAKKMFNRDCQLMALTWLLGRNKTAYIPTVSAVLRAVMYSAHPHESKCSPDQDNMPLAVDSLQFETNQGSSRPLRIVWIMLLMLLVFP >Vigun03g334900.1.v1.2 pep primary_assembly:ASM411807v1:3:53310527:53313118:-1 gene:Vigun03g334900.v1.2 transcript:Vigun03g334900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGVAPAVVETSKSRSSSGKMNQGKVPKKIHKAEREKMKREHLNELFLDLANALDVNENNGKASILCEAARLLKDLLSQIESLKKENVTLLSESHYVTMEKNELKEENCSLETQIEKLQGEIQARVAQSKPDLNVPPNLELEPLEQSNFPGQSLQLHTMEPNLQQGSAVLLVPFRPDLQASFPAPNVNEVAPNSSSVISKPHARYPTAADSWPSQLLG >Vigun03g334900.3.v1.2 pep primary_assembly:ASM411807v1:3:53310527:53312013:-1 gene:Vigun03g334900.v1.2 transcript:Vigun03g334900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGKVPKKIHKAEREKMKREHLNELFLDLANALDVNENNGKASILCEAARLLKDLLSQIESLKKENVTLLSESHYVTMEKNELKEENCSLETQIEKLQGEIQARVAQSKPDLNVPPNLELEPLEQSNFPGQSLQLHTMEPNLQQGSAVLLVPFRPDLQASFPAPNVNEVAPNSSSVISKPHARYPTAADSWPSQLLG >Vigun03g334900.2.v1.2 pep primary_assembly:ASM411807v1:3:53310527:53313118:-1 gene:Vigun03g334900.v1.2 transcript:Vigun03g334900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGKVPKKIHKAEREKMKREHLNELFLDLANALDVNENNGKASILCEAARLLKDLLSQIESLKKENVTLLSESHYVTMEKNELKEENCSLETQIEKLQGEIQARVAQSKPDLNVPPNLELEPLEQSNFPGQSLQLHTMEPNLQQGSAVLLVPFRPDLQASFPAPNVNEVAPNSSSVISKPHARYPTAADSWPSQLLG >Vigun05g003900.1.v1.2 pep primary_assembly:ASM411807v1:5:324581:328446:-1 gene:Vigun05g003900.v1.2 transcript:Vigun05g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSKLSTPNPAASLSEALRPRRDSSRNCFLSLRPSSSAANYKINLSASLRSLHSLPLKTSLRVKCSQTDGNGSAAKRTVLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSDAYNDQFRELVQAGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTEGTIEAAKWLHKVVDRPNVYIKIPATEACVPSIKEVIANGISVNVTLIFSLARYEAVIDAYLDGLEASGLNDLSRVTSVASFFVSRVDTLIDKSLEKIGTPEALNLRGKAAVAQAALAYQLYQRKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALEAFIDHGTVSRTIDSNASEAEGIYNALQKLGIDWGHVGNQLELEGVDSFKKSFDSLLDSLQEKANSLKLVSH >Vigun07g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4122499:4123245:-1 gene:Vigun07g041900.v1.2 transcript:Vigun07g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEFFVRGDESRKLKRKIDEASSSEIVDSELLLSMSLGNNKMVGEPSSMSIYKSLKNSMDSPNSSPKPVENPNHQLIVPKQRQFSCKFCNKKFPSSQALGGHQNAHRRERVLSRIDREIDMGTFGLGVHMYPYSTMAHQHPFRGPIPFYYEPNMHPMTQMSTMPWPLVPSYGNQGLHNTSTSGQRFGMTNLGGISVETPQSVYQRGLGFGFEHNQVHSFNPTNGATMARPSLGSLMRNQYNIGNQPF >Vigun11g038300.1.v1.2 pep primary_assembly:ASM411807v1:11:5310511:5313367:1 gene:Vigun11g038300.v1.2 transcript:Vigun11g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKPQYFVLALSFWLWITCIHVTSEKNSLKPGERLKSTTLLSSENGYYVIQFKKISNRYYLLICGPKKENWEVWIGNRNQPVDTHARLLLSHSGVLKIESKHVEPIILYSSPQPSENTVATLLDTGNFVLQQLHPNGTNTTLWQSFDYPTNTLLPGMKLGVNHKSGHKWSLVSWLTTEEPSVGAFELEWEPTKRELMIKRRGKLCWANGKLGNSGFMHDTHYVIVSNENESYFSITTFSEELTIWALLETGQLINRNGVDNNVARADLCYGYNKDEGCQRWEEIPLCRHRGDVFDSRVGYPNENMATHLANSSYGLSDCQDMCWRNCTCFGFTYFDDDDGTGCVFFQWNSTKGTNVASGGNKFFVLANKSHNKGKKMWIWITVVSVVAALLLICAIALAIKKRKRLFEDLAAPNRFDDVKGFDEEFKMIQNLKVFHYTLVLAATDDFSPENKLGQGGFGPVYKGILPSGQEVAIKRLSKTSRQGIVEFKNEVFLICELQHTNLVQLLGYCIHEEERILIYEFMSNKSLDYYLFDCDRGKVLDWKKRFNIIGGISQGLLYLHKYSRLKVIHRDLKASNILLDENMNPKISDFGLARMFTQEESMTNTSRIVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRRNTSFYDDDHPLNLIGHAWELWKEGALLRLVDPSLNIHVGLLCVAQYANDRPTMSNVISMLTKECSIVNLPQRPAFYCERDYSYKKVSSEELNTDSMEEITASS >Vigun05g031801.1.v1.2 pep primary_assembly:ASM411807v1:5:2522137:2523526:1 gene:Vigun05g031801.v1.2 transcript:Vigun05g031801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLFCILQSSNRHTGSSQRQYPTVIEELCTQFSLADLRKSTNNFNENQIIGSGGLGTVYKGSLQNSSVTESTIVIKRIYGITEKELKQFKNEIELLCQFRHPNLVTLLGFCDHKDEKNIVYEYMANGSLHHSLYRRDMKMEPLTWKQRLKICIGVAQGLHYLHTGLKRTIFHRDITPYKILLDSNMVAKLSDFRLSLTGPHYASKPEPKTITKDGFTGTLGYVAPEISENNILTEKSDVYSFGVVLLEVVCKDKLNSVEKRQKHPVEENIDPNIEGKIAPESWEVFIDITERCLNFDPNERPSTGEVEIQLELALPLQEEADARNTCDDYTLLSLTIIN >Vigun04g193100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41721471:41725022:-1 gene:Vigun04g193100.v1.2 transcript:Vigun04g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGGALLSAFVNVAFDKLASPKVVGFFRGRKLDEKLLGNLNIMLHSINALAHDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFTSFNKKIESEIREVLEKLEYLARQKGALGLKESIYSGDGSGSKEPQKMPSSSLVVESVIYGRDADKEKILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDIRAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISGKKFFLVLDDVWNERQEKWEAVRTPLSYGAPGSRILVTTRGEKVASNMSSKVHRPKHLKEDECWKVFQKHALRGDELELNDEKKEIGRRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLPKEFEIMPALLLSYQHLPSHLKRCFASCALFPKDYEFDKKELILLWIAQGFLHCSPQSNNLEEIGEQYFNDLLMRSFFLQSDFKTCFFMHDLLNDLAKYVCADFCFRLKFDKGNCISKTTRHFSFAFSDVKYFDGFGSLTDAKRLRSFFPYKEFGRRDNDYYPLQFKILVHELFSNFKFLRVLSLDAYSELREVPDSVGDLKHLHSLDLSRTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLHLHKLTKLRCLEFKKTKVTKMPTHFGELKNLQVLSAVFVDKKKEFSTKHLGGLNLHGRLSINELQNIVNPVDALEANLNNKHLVKLELRWKSDHIPDDPRKEKKVLENLKPSKKLEHLSIKSYGGPEFPSWVFDNSLSNLVFLKLKDCKYCLCLPPLGLLSSLKTLEIIGLDGIVSIGAEFYGNSYSSFTSLERLTFSNMKELEECERKTAAFPRLQILKVYQCPKLKGLPDQLVNVKYLYITGSMKASFLERCEHTVSHNSLEDLNFCAFPIMNIPMGSSYDLLANIKISCGCDSLTTFPLDFFPNLKSLSLLFCRNLQIISQKHTHNRLKHLSVAGCSRFDSFPSEGLSAPRLQTIDIHGAENLKLLPKRMQILLPSLHVLKIIHCPKVEMFPDGGLPPNVEDVSLSSFILMASLRETLGTNTCLQSLSIKYMDVEFFPDEVLLPHSITSLKLSDCPNLKKMEYKGLCHLSSLSLHNCPNLQCLPEDGLPKSISSLEILNCPLLEQRCQNPQGQDWKKIAHIEKLIVRSKV >Vigun03g037600.1.v1.2 pep primary_assembly:ASM411807v1:3:2873941:2876805:-1 gene:Vigun03g037600.v1.2 transcript:Vigun03g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVPVATKRGRGRKALKEKIPSTNEVNIIAGKVSESPASPISASLKQDSKKQQSFEKELLEMQEMLQQMRLEKEKTEELLKVKDEALKQKDAELENRGREQEKLQVELKKLQKLKEFKPTMNLPVMKDNETKKDKKKKTGSEKKRPSPPYILWLKDQWNEIKKTNPEAEFKETSNMLGAKWKTVSAEEKKPYEEKYHAEKEAYLQVIAKEKRESEAMRLFEEEQKQRTAMELLEQYMQFKQEAEKDTKKKNKKEKDPLKPKHPMSAYFLFTNDRRAALIAENKNFLEVPKITAEEWKNMTEEQRRPYEEMAKKNKEKYALEMEAYKQKKNDEAAHLMKEEEEHMKLQKQEALQLLKKKEKTDNIIKKTKQNRQKKKQNKEDKNSDPNRPKRPPSSFILFGKEARKTLQDERPGINTSTLSALVSLKWKELSEEDRQFWNSQASDAMDAYKKEMEEYNKSLSAVANQELKTEE >Vigun01g253200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41891898:41895662:1 gene:Vigun01g253200.v1.2 transcript:Vigun01g253200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMVSPPDTSKSIKLERYNSYIRRVNSTKLLNASSKLLFRATLLVALILVFLFTFNYPPLADTTHRHLHAHSHFLSSAFGAWEKQVRHSSTPRRPNGLTVLVTGAAGFVGSHCSLALKRRGDGVLGLDNFNSYYDPSLKRARQAMLAKHQVFIVEGDLNDTPLLRKLFDVVAFTHVLHLAAQAGVRYAMQNPQSYITANIAGFVNLLEVAKSANPQPSIVWASSSSVYGLNTQNPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKTIDVYETQDGKQVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKRGPAQLRIYNLGNTSPVPVGNLVSILEGLLNTKAKKHVIKMPSNGDVPYTHANVSLAFRDFSYKPTTDLPTGLRKFVKWYVGYYGPQLRPKRESRLDN >Vigun05g254800.1.v1.2 pep primary_assembly:ASM411807v1:5:44930224:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEESSSSAAQAISMISAVKELQGVTALDLNKLLRDSENFTIQYLTEQGSTLKIDMEKLAGSLPLHLCTLVMSPVRNEAMFRYLLRGIRLLHSLCDLASRNSKFEQILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGDVSLAARIASGDNKPEPSLARFVDIGSPEFGRCNAGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.7.v1.2 pep primary_assembly:ASM411807v1:5:44933516:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGDVSLAARIASGDNKPEPSLARFVDIGSPEFGRCNAGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.9.v1.2 pep primary_assembly:ASM411807v1:5:44932163:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVWQRNISIILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.3.v1.2 pep primary_assembly:ASM411807v1:5:44930224:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEESSSSAAQAISMISAVKELQGVTALDLNKLLRDSENFTIQYLTEQGSTLKIDMEKLAGSLPLHLCTLVMSPVRNEAMFRYLLRGIRLLHSLCDLASRNSKFEQILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.5.v1.2 pep primary_assembly:ASM411807v1:5:44933516:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.2.v1.2 pep primary_assembly:ASM411807v1:5:44930224:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEESSSSAAQAISMISAVKELQGVTALDLNKLLRDSENFTIQYLTEQGSTLKIDMEKLAGSLPLHLCTLVMSPVRNEAMFRYLLRGIRLLHSLCDLASRNSKFEQILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.6.v1.2 pep primary_assembly:ASM411807v1:5:44933576:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.10.v1.2 pep primary_assembly:ASM411807v1:5:44932163:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTSINRVHINTMARYCSCIACAPQAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGDVSLAARIASGDNKPEPSLARFVDIGSPEFGRCNAGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.4.v1.2 pep primary_assembly:ASM411807v1:5:44930224:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKEESSSSAAQAISMISAVKELQGVTALDLNKLLRDSENFTIQYLTEQGSTLKIDMEKLAGSLPLHLCTLVMSPVRNEAMFRYLLRGIRLLHSLCDLASRNSKFEQILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGDVSLAARIASGDNKPEPSLARFVDIGSPEFGRCNAGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.8.v1.2 pep primary_assembly:ASM411807v1:5:44932163:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVWQRNISIILLDDVKTMEQLTDLVFYMLIVLGGYRKECHAFSDMPLLHSTLVACNLHLLTGFISTQWQDIVHVLLAHPKIDIFMDAAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGDVSLAARIASGDNKPEPSLARFVDIGSPEFGRCNAGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun05g254800.11.v1.2 pep primary_assembly:ASM411807v1:5:44932163:44939999:1 gene:Vigun05g254800.v1.2 transcript:Vigun05g254800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTSINRVHINTMARYCSCIACAPQAFGSVRMVVSFLENTLGAYQEDVSVESNLTAEQIVYYLCQQCEASLQFLQSLCQQKLFKERLLKNKELCEKGSILFLARSILKLHIQPSFPSRVMAAISRLKAKILSILLSLCEAESISYLDEVASSARSLDLAKSVALEVFDLLKKAFGRDTGHLTADRSHPMGFVQLNAMRLADIFSDDSNFRSYMILCFTKVLTAIISLSHGDFLSCWCSSNLSEMEEDASLEYDIFAAVGWILDNTTLDVRNATNLEFNLVPNSMPKASYAHHRTSLFVKFFANLHCFVPNICEEQERNLFVLKVMECLQMDLSNLLPGFSFASDAPKAAIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFASTGFGENQVQDSKFEESLSWDKISKFNINEHYQEAQSAGGGAPSLTGKEHADLNKKGSNFKEGMSENSAFPDMDQHNTRVEETSQGKGLNRQNQVDDKGIPGKSGSGGARDMDKDAQNVETSGSDTSSAKGKNVVDHMDIGELSKSNERLKRTAVEENPEDEKIELSQRRKRKRTIMNDKQVMLIERALKDEPDMQRNAVSLQSWAEKLSVHGSEVTSSQLKNWLNNRKARLARTARDVRAAGGDVDNPVLEKQRGPVPGSYDSPESPGQYVVLMGVRGDEIGRGKVFQVHGKWYGKSLEESATCVVDISELKADKGMRLPYPSEASGTTFAEAETKFGVMRVLWGSNRVFPLRSEI >Vigun06g157600.1.v1.2 pep primary_assembly:ASM411807v1:6:28104329:28108044:1 gene:Vigun06g157600.v1.2 transcript:Vigun06g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASSSRAGRIRTLADLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAVERPLDQLQEPPRSTSFAGTGRLLSGETAQSTTNQQPESVVHNIVFWSNGFTVNDGPLRRLDDPENASFLESIKKSECPKELEPADRRSSVNVNLIRRNENYPEPERQHVPFQGVGRTLGSSSTSVPTASSTPPTTAPTPSAGLVVDQSLPSTSIQIRLADGTRLISHFNHHHVISDIRAFIDASRPGGRQNYQLQIMGFPPKILTDESQTIEQAGLANSVVIQKF >Vigun09g104050.2.v1.2 pep primary_assembly:ASM411807v1:9:18653807:18661986:1 gene:Vigun09g104050.v1.2 transcript:Vigun09g104050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAMVFREVSLSSLRLECGVLHGGSLVVHLVVRWCWFVVVCLWSLMVELRF >Vigun09g104050.3.v1.2 pep primary_assembly:ASM411807v1:9:18653807:18656309:1 gene:Vigun09g104050.v1.2 transcript:Vigun09g104050.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAMVFREVSLSSLRLECGVLHGGSLVVHLVVRWCWFVVVCLWSLMVELRF >Vigun09g104050.1.v1.2 pep primary_assembly:ASM411807v1:9:18653925:18656271:1 gene:Vigun09g104050.v1.2 transcript:Vigun09g104050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQICDAPQVAMVFREVSLSSLRLECGVLHGGSLVVHLVVRWCWFVVVCLWSLMSRIQMKKPLS >Vigun11g075825.1.v1.2 pep primary_assembly:ASM411807v1:11:22158695:22159756:-1 gene:Vigun11g075825.v1.2 transcript:Vigun11g075825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSHHYYKKCIPSCSPPLLSLSIFTLQGCFLRQFYRCVGKANYLALRNGFITVHLVPRSKFNFQKYIKRSLEDDFKVVVGISPIL >Vigun01g214000.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778216:38779741:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778216:38779853:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778216:38779780:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778216:38780044:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778209:38780044:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778209:38780044:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778209:38780044:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun01g214000.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38778216:38780044:-1 gene:Vigun01g214000.v1.2 transcript:Vigun01g214000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKVVHSGGCHCKSVRWKVVAPSSVVAWDCNCSNCNMRGNTHFIVPADQFELLGDSVKFLTTYTFGAQTAKHTFCKICGITSFYYPRSNPDGVAVTFRCVDPGTLTHVEIRHFDGKNWDTAYNQTGISSFSKVEK >Vigun09g184000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35772858:35775634:1 gene:Vigun09g184000.v1.2 transcript:Vigun09g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGNPYRVRQYFFGEVLVVWCISSVSNNRSLCNFQMRRTCEVESSNFIKLSAIYRSKHTSSESSSLPWISPLKFTKPAKPKPDPPPETAAEPPRKRKFISHDVAIDLIKREKDPQHALKIFNMVSQQKGFQHNNATYATILEKLARCNKFHAVDRVIHQMTYETCKFHEGIFVNLMNHFSKSSLHEKVLQAFFSIQPIVRDKPSPKALTTCLNLLLESNRVDLARKLLLHAKRGLTHKPNVCIFNILVKYHCKNGDLESAFEVVNEMRNSEFSYPNLVTYSTLMDGLCRNGRLREAFQLFEEMVSRDHIVPDPLTYNVLINGFCREGKPDHARNVIEFMKSNGCYPNVYNYSALVNGLCKIGKLDDAKWVLAEMKNAGLTPDAVTYTSLISYLCTNGQVGEGIQLLEEMKENKIQADTVTFNVILGGLCREGRFEDALDMLEKLPQQGVYLNKGSYRIVLNSLTQKGELKRAKELLGLMLSRGFLPHYATSNDFLVCLCKGGMVDDAARALFDLVEMGFQPGLETWDVLIGLICRDRKLLYVFELLDELVVTDT >Vigun03g305300.6.v1.2 pep primary_assembly:ASM411807v1:3:49514879:49522564:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKQISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKPFLIPGI >Vigun03g305300.3.v1.2 pep primary_assembly:ASM411807v1:3:49514870:49522807:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLEDGEYEKANIEKQRLEKRQRMSRKMQENGWQPRWFRREGENGTFRYIGGYWEARALGRWNECPNIFGDVYEGNFDPLGAS >Vigun03g305300.2.v1.2 pep primary_assembly:ASM411807v1:3:49514855:49525437:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPGIGSDSPEPEAAALSRTRSLPAGGSDGIARRGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKICWPENLNLLSPVDEVRLIGEVTANRLARDAATLRRKSHKPPSSSSSAVVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKPFLIPGI >Vigun03g305300.4.v1.2 pep primary_assembly:ASM411807v1:3:49514870:49522807:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKPFLIPGI >Vigun03g305300.1.v1.2 pep primary_assembly:ASM411807v1:3:49514855:49525437:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPGIGSDSPEPEAAALSRTRSLPAGGSDGIARRGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKICWPENLNLLSPVDEVRLIGEVTANRLARDAATLRRKSHKPPSSSSSAVVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLEDGEYEKANIEKQRLEKRQRMSRKMQENGWQPRWFRREGENGTFRYIGGYWEARALGRWNECPNIFGDVYEGNFDPLGAS >Vigun03g305300.8.v1.2 pep primary_assembly:ASM411807v1:3:49514879:49525386:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPGIGSDSPEPEAAALSRTRSLPAGGSDGIARRGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKICWPENLNLLSPVDEVRLIGEVTANRLARDAATLRRKSHKPPSSSSSAVVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLEDGEYEKANIEKQRLEKRQRMSRKMQENGWQPRWFRREGENGTFRYIGGYWEARALGRWNECPNIFGDVYEGNFDPLGAS >Vigun03g305300.5.v1.2 pep primary_assembly:ASM411807v1:3:49514879:49522564:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKQISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLEDGEYEKANIEKQRLEKRQRMSRKMQENGWQPRWFRREGENGTFRYIGGYWEARALGRWNECPNIFGDVYEGNFDPLGAS >Vigun03g305300.7.v1.2 pep primary_assembly:ASM411807v1:3:49514879:49522634:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRHLEDGEYEKANIEKQRLEKRQRMSRKMQENGWQPRWFRREGENGTFRYIGGYWEARALGRWNECPNIFGDVYEGNFDPLGAS >Vigun03g305300.9.v1.2 pep primary_assembly:ASM411807v1:3:49514879:49525386:-1 gene:Vigun03g305300.v1.2 transcript:Vigun03g305300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKEMHPLCCISLESPGIGSDSPEPEAAALSRTRSLPAGGSDGIARRGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYAKICWPENLNLLSPVDEVRLIGEVTANRLARDAATLRRKSHKPPSSSSSAVVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSRKDRVAWIQALVSTRELYPLRPLSDHLSLAPNHISVSTERLKKRLLEEGSSESLVKECEQIMFAEFSELQEQLHILCQERRNLLDTIRQLEAANIEPEASALHDSEYQLTKNGFSSLGHGKYSECSTTESSDDIEKQEMEEVSDEDEISYYDTKEYFTELSFRCGSTGALDPMSRSGSIDLENSHVRETVNDFGYPQIARRKKLPDPVEKEKGVSLWSIIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEYGKAGNGLLRALHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTVVACHCQGRGWKFWADSNIRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKIYCDHHGNMDIRGNRQYSCRLKFKEQAILDRNPHQVHGFVEDVTGKKVATLFGKWDDSMYYVNGEANVKPKDSTLSEANLLWKRTKPPSNLTRYNLTSFAITLNELTPGLKPFLIPGI >Vigun06g153800.1.v1.2 pep primary_assembly:ASM411807v1:6:27770765:27774694:-1 gene:Vigun06g153800.v1.2 transcript:Vigun06g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEESRSNSSRFPLAKSYQYHSSVSSKTQRHIGRSMRTIRSNFFQDDSSSCSFTEKSTCLSENLTDSVVDLRLGELASRNNKSLKSSPGEEDFLDLSQAFSDFSACSSDISGELQRLATLPSPECVQKSNISGEVEPETEPEPCTGFLQRESFSTEIIESISPEDLQPTVKICIDGLQSQSVAVKRSAAAKLRLLAKNRADNRVLIAESGAVPVLVPLLRCSDPWTQEHAVTALLNLSLHEDNKMLITNAGAVKSLIYVLKTGTETSKQNAACALLSLALVEENKSSIGASGAIPPLVSLLLNGSSRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEQGNGMAEKAMVVLNSLAGIQEGKDAIVEEGGIAALVEAIEDGSVKGKEFAVLTLLQLCVDSIRNRGFLVREGGIPPLVALSQTGSVRAKHKAETLLRYLRESRQEASTSSS >Vigun04g202900.1.v1.2 pep primary_assembly:ASM411807v1:4:42565095:42566352:-1 gene:Vigun04g202900.v1.2 transcript:Vigun04g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCEKERVKVKKMESECGKQRHGGEGKRKKNQTFSEKTKETLSKIKRQCSRARAGNEQTLCTIHDNTSPGYRWLLPGWVAEERHMLSGRVYRYYYDREGRQYRSQSEVLALWEKFGVVVIDN >Vigun08g143700.1.v1.2 pep primary_assembly:ASM411807v1:8:31623457:31625045:1 gene:Vigun08g143700.v1.2 transcript:Vigun08g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSQDNNVVALVSRTGRELQRYRKGRRQVVGCIPYRYKIVDQTSLEGPEDLEVLVITSQKGKGMLFPKGGWELDESKKEAALRETIEEAGVRGTVEGKLGKWSFKSKTHDTFYEGYMFPLLVQEQLEFWPEQNFRQRIWMSVSDAREVCQHWWMKEALERLVNRLMARKLGRVRQIVGSIHCIGDANSDL >Vigun08g139600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31203447:31205986:1 gene:Vigun08g139600.v1.2 transcript:Vigun08g139600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMLKDSNTKATSKLSRRGKRKKKGLALKDPPVAENPSEFSFAIAKIAVSQICQSAGFKKSENNALETLTAVSTRYLEAIVRAAASFANASNRTDSNLFDLVNGIHDLCSVQGFPGGSALHEDDLLRSSALREIMNFVNLSDKVPFAKSIQCRNVSDVTIDSGTLMCSSNQTKIHIPRWLPHFPEQNCDQVLVKERKCGEKYWEDSFAVDENSVISHSNHMNGKEGKDTRRELPEGRERMKFKIRGEEVKHVGLGVNMMGGVCKGRKRVSWNHNKMNGCIIENNRRHEKR >Vigun08g139600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31203447:31205986:1 gene:Vigun08g139600.v1.2 transcript:Vigun08g139600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMLKDSNTKATSKLSRRGKRKKKGLALKDPPVAENPSEFSFAIAKIAVSQICQSAGFKKSENNALETLTAVSTRYLEAIVRAAASFANASNRTDSNLFDLVNGIHDLCSVQGFPGGSALHEDDLLRSSALREIMNFVNLSDKVPFAKSIQCRNVSDVTIDSGTLMCSSNQTKIHIPRWLPHFPEQNCDQVLVKERKCGEKYWEDSFAVDENSVISHSNHMNGKEGKDTRRELPEGRERMKFKIRGEEVKHVGLGVNMMGGVCKGRKRVSWNHNKMNGCIIENNRRHEKR >Vigun09g145900.5.v1.2 pep primary_assembly:ASM411807v1:9:30880864:30893520:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFHHGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun09g145900.3.v1.2 pep primary_assembly:ASM411807v1:9:30880864:30893520:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLYETRHHASNYPHQHQDRLHLQQQANESTKATFFSLLSSRGVSQLKDKWTEYKQPKRLRRLVSLFVSATAKHVAVAAGNRITILSKEDDYQNPCAIFTSPSLGTFSLGTWSEDEEVLGVADDSDTLYFIKFSGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun09g145900.2.v1.2 pep primary_assembly:ASM411807v1:9:30880862:30893546:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLYETRHHASNYPHQHQDRLHLQQQANESTKATFFSLLSSRGVSQLKDKWTEYKQPKRLRRLVSLFVSATAKHVAVAAGNRITILSKEDDYQNPCAIFTSPSLGTFSLGTWSEDEEVLGVADDSDTLYFIKFSGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun09g145900.6.v1.2 pep primary_assembly:ASM411807v1:9:30880864:30893520:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFHHGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun09g145900.4.v1.2 pep primary_assembly:ASM411807v1:9:30880864:30893520:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFHHGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSVKGSSLNSLPNQSFQNLLDVNGCFQEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun09g145900.1.v1.2 pep primary_assembly:ASM411807v1:9:30880864:30893520:-1 gene:Vigun09g145900.v1.2 transcript:Vigun09g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLYETRHHASNYPHQHQDRLHLQQQANESTKATFFSLLSSRGVSQLKDKWTEYKQPKRLRRLVSLFVSATAKHVAVAAGNRITILSKEDDYQNPCAIFTSPSLGTFSLGTWSEDEEVLGVADDSDTLYFIKFSGVVVAEISKKNLKVSSPIISLFSDVDLDTSESYLFSVVTSDGLVQQIEISRGQIGSTFPNYISNHTIHICNNIFCFDHHSELNLFVAVHKNSGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSVKGSSLNSLPNQSFQNLLDVNGCFQEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFREKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIENVVISLIESVKLERKHILKDILKLVDTYDLNCTEVLLRYLSAVLVSDTWSNDDITAEVAGYKGEIIGNSEKTIETISTIVYPAIDGCNKLRLAYVYGLLSECYLQQENTKDLSPVVQVDHVNANISLARYYKVLEQECKNISFVTNLNFKNIAGLHGLYFECFSDEVYACIEESSLSALSKMVQALVNMYGDSLPDGFMSWQDVYRYYVVSLLKDLETKVTTDSSNRTPEYLQGFINKLEQSYDLCQVYIRLLSRPDALGIMKQYFTIILPFFSSYGLLPDNSTWQECLIVLLNFWMRLTDDMKEIALEKSSGETGCFDPQCLMNCLKVFMKLVMEDIISPSQGWGSICGYVICGLKGDSSAEIYSFCRAMIFSGCGFGAVAEVFTVASSDSGLASDCGQGSQDLPHFYLHILETVLSELISGSHESQNLYHILSSLSKLEGDLKVMQCVRHVIWERMVQFSDNLQLPSSVRVFVLELMQFISGKNIRGFSTEILANVQPWEEWNELIFASRKSETDVDKSLPDHKDSSSRVTNTLIALKSSQLAASISPSIEITPDDLLNADKAVSCFMGLCGEAREDLHFDALVAILEEWDGLFTAGKNGETIAEATKGGNDWNNDDWDEGWESLEGVDNPEKEKIEDSVFVHPLHVCWAEIFRKFISLSRFTDVLRLIDQSYLKPNALLLDEDDACSLIQMAFPIDCFLALKMSLLLPYKTLQLQSLGAVEDSTKQGIPQSRSKDYELLTLILSSGCLSSIITDSTYGTLFSYICYLVGNLSNQSQQVLVSGRGTHNNEDHENQLLLFTRILFPNFISELVKADQHILAGFLVTKFMHGNESLSLINIAGASLKRYLEMQLQMLQISEFPVEKTCKTLKNTVGRLRGKLSSFIQSILPLVSASVS >Vigun03g368101.1.v1.2 pep primary_assembly:ASM411807v1:3:57136886:57138029:-1 gene:Vigun03g368101.v1.2 transcript:Vigun03g368101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQGYELCSFISKNPSILIQSFKRTFVPSVEAIRKIVCDKKDFIFVLRLDTPKVQKVYAGCRLFGEVWHSWDSSCLATQTSSKAFPCNSRMVVLAIQEWMRARKSSRESRLCLEHQRRSRKLDEILLAYRCLTINRCAFVGLNNASIISFYCKDYH >Vigun09g239400.1.v1.2 pep primary_assembly:ASM411807v1:9:40972289:40974308:-1 gene:Vigun09g239400.v1.2 transcript:Vigun09g239400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVTGASGFLGGRLCDALLRQGYSVRVLVRSTSDISSLSPHIEIFHGDITDYASILAACSSCTIVFHLAALVEPWLPDPSKFFSVNVGGLKNVLAAVTETRTVEKLLYTSSFFALGPTDGGVADENQVHHEKYFCTEYEKSKAAADKIALQAASEGVPIVLLYPGVIYGPGKVTAGNIVARMLVERFNGRLPGYIGYGSDKFSFSHVEDVVEGQISAMKKGEVGSRYLLTGENASFKQVFDMAAVITDTKKPVFSIPLWVIEVYGWLSVLFSRITGKLPFISPPTVHVLRHQWEYCCDKGKSELDYRPRSLKEGLAEVLLWLKNSKYIKY >Vigun05g251300.1.v1.2 pep primary_assembly:ASM411807v1:5:44530150:44534495:-1 gene:Vigun05g251300.v1.2 transcript:Vigun05g251300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNNLIGLLNFITLVLSVPVLGGGIWLATRSNGTECLKFLALPLIIIAVSIMVTSIAGLTGACYRNLLVMSLYLVAMILIMLLFLGFIIFAYAVTDKGSGRETENRAYLEYYLEDYQGWLKERVESDEYWTKISSCVKESKLCRKLGRNIHGVPETAQAFFSRNLSPIQSGCCKPPTECGYKYENETVWSSEGGVVGSNNTDCRKWSNDQNLLCYDCDSCKAGVLATLKKTWRRVSVINIVVLLFLFLIFIVAYAAYKNNRRIDNCQPCGETKIIKLRP >Vigun09g107100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:22104417:22104689:1 gene:Vigun09g107100.v1.2 transcript:Vigun09g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun05g249200.2.v1.2 pep primary_assembly:ASM411807v1:5:44285101:44288904:-1 gene:Vigun05g249200.v1.2 transcript:Vigun05g249200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQKETENHHTLSSNPTVDDTMGVTGETSHVNNEWKRDKIQLEIPTTTSEDCCRDFVGIRMPLTPSPTPSQKKVNFLVTSRSVDAPRPSSSSASRGKSSMRNILPRFGFKNRQSLDVEKAVTAASEASFSGHQEKSSIARSVSLTKIFTPKINRTSSLPVEEIGRANVESALVGTLGASPYERETQGMIARSRSVPVNGKEKGVRRMDSVFRIIPSTPRVHEVNESTKDSDNGGGEDIGQEEAVCRICLVDLCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKEEVRNLPVTLLRIRSVQTQNTGARSEQGDDYRVWQELPVLVIVSMLAYFCFLEQLLVGKMGTRAIFVSLPFSCVLGLLSSVTSTTMVKSKFIWLYASVQFLSVVLFAHIFYPLVGKHAVLSILLATFAGFGVVMSGSSIVLEISRWRRRWLTLSQQQRGSSSMIQEGL >Vigun05g249200.1.v1.2 pep primary_assembly:ASM411807v1:5:44285148:44288904:-1 gene:Vigun05g249200.v1.2 transcript:Vigun05g249200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQKETENHHTLSSNPTVDDTMGVTGETSHVNNEWKRDKIQLEIPTTTSEDCCRDFVGIRMPLTPSPTPSQKKVNFLVTSRSVDAPRPSSSSASRGKSSMRNILPRFGFKNRQSLDVEKAVTAASEASFSGHQEKSSIARSVSLTKIFTPKINRTSSLPVEEIGRANVESALVGTLGASPYQERETQGMIARSRSVPVNGKEKGVRRMDSVFRIIPSTPRVHEVNESTKDSDNGGGEDIGQEEAVCRICLVDLCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKEEVRNLPVTLLRIRSVQTQNTGARSEQGDDYRVWQELPVLVIVSMLAYFCFLEQLLVGKMGTRAIFVSLPFSCVLGLLSSVTSTTMVKSKFIWLYASVQFLSVVLFAHIFYPLVGKHAVLSILLATFAGFGVVMSGSSIVLEISRWRRRWLTLSQQQRGSSSMIQEGL >Vigun11g097500.1.v1.2 pep primary_assembly:ASM411807v1:11:28644638:28647924:1 gene:Vigun11g097500.v1.2 transcript:Vigun11g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFHGALSPQEKGRKSSCGSCPRRRKTFVLSILSTNCIDFIIIKGSIALEFCALERDKRSTCSSCPKERKTFALSISSFSLQSKVLKLVISECKPKAKIVDICGKGDSYIREQTRNVYKNVKRKIERGFDFPTCISINKVVHFSPLASDEAVLEEGAWKVLSQEGQQMSLLLQILLQKWP >Vigun02g032250.1.v1.2 pep primary_assembly:ASM411807v1:2:13575673:13579940:1 gene:Vigun02g032250.v1.2 transcript:Vigun02g032250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQSILSSDMVDEFISMFLKYQDFGHVDRVFIIRYWKDLGAKWTVVDYQSNVHHVTYNMDIHTPMITQDTRIKTKFLNNVAIRRPLSMSNLIHFTVELSTYYCQASHLGRSRV >Vigun09g102201.1.v1.2 pep primary_assembly:ASM411807v1:9:17476163:17477914:-1 gene:Vigun09g102201.v1.2 transcript:Vigun09g102201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSGPSKHSNGSDDSEGSEGRDVGEFSDSTEILFKHSCRMTYLSLLNKNLSVDQKLCIQRTPFWWFTLLNDYVKIRRNLLDVCLGLGLRIVGDVVDLDEVVIESIVDDLKSLCQYNWGRLWCFDHFLFVKRKGAGGGNEFPKLLRRMNIKVGDGALKSSLEKNVIVDDPCVSKQELLHAIVREAYEVVGHEVGRSKRLYGKVDVGTKKVEVQVLIEKQEREIGELRQSLSILEGVVHERKTERTKDCDPVTPSTNVHNERVQKFEDHFYNEGGHQSHEAGTPLHSIVKRSVGVKVREVNVDSPNGSDEELSKDVNRAEESILPQSNMYDSMKLHRRVRMKSHESHALRIPYTGNAAKKLGSQKLLLL >Vigun01g064100.3.v1.2 pep primary_assembly:ASM411807v1:1:16607979:16622247:-1 gene:Vigun01g064100.v1.2 transcript:Vigun01g064100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRGRIVPWKLAAEKGRPVAAYYGYLSGCCNILAQLHTKHPELCDKVGGLLAMHIDDLRSLAPIWLSKTEEVRQDRAHWGVNITGDIYEKGWISEMYGYSFGAAEVGLHHKINDNLMIYPGYAPREGVEPILLHYGRPFRVGNWSFSKADHDKDEIVYSCDQLFPQPPYPREVMQLEIDPNLRRGLFLSIECINILNEALLLHHAANGCPKPAWSKYVNFLKSRAFAELTKPKIVTPATLQLKEDTVQKHIDHDDTRPYPKIHTVFSTECTPYFDWQTVGLMHSFRLSGQPGNISRLLSCSDADLKLYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHANIEAEFIVILDADMIVRGPITPWEFKAARGKPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRARYARNITGDIHESGWISEMYGYSFGAAELKLRHTINKEIMIYPGYVPEPGIKYRIFHYGLEFKIGNWSFDKADWREVDMVTRCWAKFPEPPDPSTLDHNDEENLQRDFLSIECVKTLNEALRLHHEKMNCHKDGSISELKAIEENRKSANYSEEMISVQKDGTGIPSSFRVWVLFLCAFSVFGFLVVVFLVHSSHKRKRMKMKHHRTRRRNL >Vigun01g064100.1.v1.2 pep primary_assembly:ASM411807v1:1:16607979:16622247:-1 gene:Vigun01g064100.v1.2 transcript:Vigun01g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSILLSLVMLGLVICSVERVEAAQKAPWRIQTLFSVECNNYFDWQTVGLMHSFRKAKQPGHITRLLSCTEEQKKTYRGMHLAPTFEVPSMSKHPTTGDWYPAINKPAGVLHWLKYSKDAENIDWVIILDADMIIRGRIVPWKLAAEKGRPVAAYYGYLSGCCNILAQLHTKHPELCDKVGGLLAMHIDDLRSLAPIWLSKTEEVRQDRAHWGVNITGDIYEKGWISEMYGYSFGAAEVGLHHKINDNLMIYPGYAPREGVEPILLHYGRPFRVGNWSFSKADHDKDEIVYSCDQLFPQPPYPREVMQLEIDPNLRRGLFLSIECINILNEALLLHHAANGCPKPAWSKYVNFLKSRAFAELTKPKIVTPATLQLKEDTVQKHIDHDDTRPYPKIHTVFSTECTPYFDWQTVGLMHSFRLSGQPGNISRLLSCSDADLKLYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHANIEAEFIVILDADMIVRGPITPWEFKAARGKPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRARYARNITGDIHESGWISEMYGYSFGAAELKLRHTINKEIMIYPGYVPEPGIKYRIFHYGLEFKIGNWSFDKADWREVDMVTRCWAKFPEPPDPSTLDHNDEENLQRDFLSIECVKTLNEALRLHHEKMNCHKDGSISELKAIEENRKSANYSEEMISVQKDGTGIPSSFRVWVLFLCAFSVFGFLVVVFLVHSSHKRKRMKMKHHRTRRRNL >Vigun01g064100.2.v1.2 pep primary_assembly:ASM411807v1:1:16607979:16622247:-1 gene:Vigun01g064100.v1.2 transcript:Vigun01g064100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPGYAPREGVEPILLHYGRPFRVGNWSFSKADHDKDEIVYSCDQLFPQPPYPREVMQLEIDPNLRRGLFLSIECINILNEALLLHHAANGCPKPAWSKYVNFLKSRAFAELTKPKIVTPATLQLKEDTVQKHIDHDDTRPYPKIHTVFSTECTPYFDWQTVGLMHSFRLSGQPGNISRLLSCSDADLKLYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHANIEAEFIVILDADMIVRGPITPWEFKAARGKPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRARYARNITGDIHESGWISEMYGYSFGAAELKLRHTINKEIMIYPGYVPEPGIKYRIFHYGLEFKIGNWSFDKADWREVDMVTRCWAKFPEPPDPSTLDHNDEENLQRDFLSIECVKTLNEALRLHHEKMNCHKDGSISELKAIEENRKSANYSEEMISVQKDGTGIPSSFRVWVLFLCAFSVFGFLVVVFLVHSSHKRKRMKMKHHRTRRRNL >Vigun04g052200.1.v1.2 pep primary_assembly:ASM411807v1:4:4764326:4766178:1 gene:Vigun04g052200.v1.2 transcript:Vigun04g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKGVKKGPWTPEEDIILVSYIQDHGPGNWKAVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTEQEEKIIIHLQALLGNRWAAIAAYLPQRTDNDIKNYWNTYLKKKLNKLEASGSEGSMGHVGVSVSQSMSRGQWERRLQTDIHMAKKALSEALSPKKTCSSSTFLAELNSNISNDNSTTKPAQSISYASSADNIARLLKGWMKNTPKRANTQNSFVNNLAGAGADTASSEGTTTKGSTSTNNSLELSETFESLFGYESLESSNSEFSPSLSPEGTLFQDESKPDITAIDMPFSLLEKWLLDDSGVQEKLGF >Vigun09g164300.3.v1.2 pep primary_assembly:ASM411807v1:9:33237510:33246436:-1 gene:Vigun09g164300.v1.2 transcript:Vigun09g164300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVFLTLSSPPLPSQHTPTAMALFSGAASARIIPSVSLSSSRSFFSLSSSSSSLQCLRSSPRISHLFLNQRRAEVRVSSGGYGTVSAPKSFASDPDQLKSAREDIKELLRSKFCHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKLPMKYGRVDVSGPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKKDEDLLILPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVIDESPNAGAEKFVAAKYSTGKVLISAHIKSYD >Vigun09g164300.1.v1.2 pep primary_assembly:ASM411807v1:9:33237510:33246436:-1 gene:Vigun09g164300.v1.2 transcript:Vigun09g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVFLTLSSPPLPSQHTPTAMALFSGAASARIIPSVSLSSSRSFFSLSSSSSSLQCLRSSPRISHLFLNQRRAEVRVSSGGYGTVSAPKSFASDPDQLKSAREDIKELLRSKFCHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKLPMKYGRVDVSGPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKKDEDLLILPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVIDESPNAGAEKFVAAKYSTGKRELSEAMKQKIRAEYEAVGGSPDKPLQSNYFLNIMIIIAVLALLTSLLGN >Vigun09g164300.2.v1.2 pep primary_assembly:ASM411807v1:9:33237510:33246436:-1 gene:Vigun09g164300.v1.2 transcript:Vigun09g164300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVFLTLSSPPLPSQHTPTAMALFSGAASARIIPSVSLSSSRSFFSLSSSSSSLQCLRSSPRISHLFLNQRRAEVRVSSGGYGTVSAPKSFASDPDQLKSAREDIKELLRSKFCHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKLPMKYGRVDVSGPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKKDEDLLILPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVIDESPNAGAEKFVAAKYSTGKE >Vigun09g164300.4.v1.2 pep primary_assembly:ASM411807v1:9:33237510:33246441:-1 gene:Vigun09g164300.v1.2 transcript:Vigun09g164300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVFLTLSSPPLPSQHTPTAMALFSGAASARIIPSVSLSSSRSFFSLSSSSSSLQCLRSSPRISHLFLNQRRAEVRVSSGGYGTVSAPKSFASDPDQLKSAREDIKELLRSKFCHPILIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLAGATAVEEAGGPKLPMKYGRVDVSGPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKKDEDLLILPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEVCSI >Vigun08g181250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35073151:35073689:-1 gene:Vigun08g181250.v1.2 transcript:Vigun08g181250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPAFLNKWVEMVFIVKEDPNSVRIPLTESGDTALHVAAGAGSTTCVEELAKLMSPEDVLIKKLRWDASSSLGCFIFPSQNCATSMLSASARQNGLRGY >Vigun07g054350.1.v1.2 pep primary_assembly:ASM411807v1:7:5844724:5847681:1 gene:Vigun07g054350.v1.2 transcript:Vigun07g054350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSQVLNLSDGLSLFVLVASILTKMLFSMNSGFPILPFLLQPPSLLLSPTNILILILIFLPHSPLPLVILLLSLRLPHLHLSLPLPIVLLPFFLLPVSPLFCPIKHSSFANPHWSTDMKSEYEALLHNTLDLVPLRPGRKIVGCKWVFRIKQNPDGSVDDVLVHRSGVNGEHYYAYIRPTLSNQWFKFDDERVTKEDENRALEEQNFECLLCWTLVLYVFSFYFFFTWCFYFSCQFFFLLLHSTFLLSTVSYLILNPGFNNSSFKFTKYSNAYKYMLVLYGKVTRIK >Vigun07g054350.3.v1.2 pep primary_assembly:ASM411807v1:7:5844724:5847681:1 gene:Vigun07g054350.v1.2 transcript:Vigun07g054350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSMNSGFPILPFLLQPPSLLLSPTNILILILIFLPHSPLPLVILLLSLRLPHLHLSLPLPIVLLPFFLLPVSPLFCPIKHSSFANPHWSTDMKSEYEALLHNTLDLVPLRPGRKIVGCKWVFRIKQNPDGSVDDVLVHRSGVNGEHYYAYIRPTLSNQWYTLFNLSVFVYVLYNSHLTIP >Vigun07g054350.2.v1.2 pep primary_assembly:ASM411807v1:7:5844728:5847648:1 gene:Vigun07g054350.v1.2 transcript:Vigun07g054350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSMNSGFPILPFLLQPPSLLLSPTNILILILIFLPHSPLPLVILLLSLRLPHLHLSLPLPIVLLPFFLLPVSPLFCPIKHSSFANPHWSTDMKSEYEALLHNTLDLVPLRPGRKIVGCKWVFRIKQNPDGSVDDVLVHRSGVNGEHYYAYIRPTLSNQWFKFDDERVTKEDENRALEEQNFECLLCWTLVF >Vigun07g054350.4.v1.2 pep primary_assembly:ASM411807v1:7:5844728:5847648:1 gene:Vigun07g054350.v1.2 transcript:Vigun07g054350.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSMNSGFPILPFLLQPPSLLLSPTNILILILIFLPHSPLPLVILLLSLRLPHLHLSLPLPIVLLPFFLLPVSPLFCPIKHSSFANPHWSTDMKSEYEALLHNTLDLVPLRPGRKIVGCKWVFRIKQNPDGSVDDVLVHRSGVNGEHYYAYIRPTLSNQWYTLFNLSVFVYVLYNSHLTIP >Vigun08g224950.7.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.2.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.1.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.4.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.8.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.5.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.6.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun08g224950.3.v1.2 pep primary_assembly:ASM411807v1:8:38273361:38277816:1 gene:Vigun08g224950.v1.2 transcript:Vigun08g224950.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRQRTLGGGGHHVLDYLKRMQAENPSFFYAVQDDNDLSCGNIFWADATSRTNYSYFGDAVIFDTTYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESELSFIWLFRTWLHAMSGSHPVSITTDFDPSIHVSVAQVLPSTRHRFSKWSIFRETRGKLAHLYQSHPAFETEFKKSIHESETTDEFESYWHSLLERFCVMDNEWLQSMYNARQHWVPVYLRDSFFGEISSNEGNESLNFFFDGYVNSSTTLLGLVRQYEKAVSNWHERELKADYETTNSSPVLKTPSPMEKQAASLYTRKIFMKFQEELVETLANPATKIDDSGTITTYRVAKFGENQKSHVVTFNSLETKASCSCQMFEYSGIICRHILTVFRAKNVLTLPSQYLLKRWTRNAKTGTLLEEHASELPNSSHESITVRYNNLRQEAIKYVEEGAKSIQIYQVSMRALQEAASKVCTVKNQITGTAEGTIVTNGSSGGLLAADEDAPTYQSMCAEKLKKIQELTAELEVANQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Vigun09g177000.1.v1.2 pep primary_assembly:ASM411807v1:9:34904326:34908947:-1 gene:Vigun09g177000.v1.2 transcript:Vigun09g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWGSEIVFAVLLCGTLSATAQPHHHRRFSQTTTAATVCPVHSLLDFVSGFPDSTCPLPDSLGSIAVTEGDEVSLQKALNMVHKNNHEYVAVLFYASWCPFSRVFRPVFSVLSALHPSIPHFAIEESSVRPSILSKYGVHGFPTLFILNSTMRVRYHGSRTLASLIGFYNDVTGIRIDSLDQLSLEKIGRSSTDKSHSNTEPESCPFSWARSPENLLRQETYLALATTFVVLRLLYSFFPTLLICIQFAWRRVIQNIRLGSLLEHPLIYLKRLIQSFNCLKEPCKRSNLQEGAMNARAWASKSLATVSIGEESSSRGMHQ >Vigun01g139650.1.v1.2 pep primary_assembly:ASM411807v1:1:32011555:32012836:1 gene:Vigun01g139650.v1.2 transcript:Vigun01g139650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSHPSSSCSCGSRNHSVSSPGSVSGTPHCHCGEIAVLRVARTAKNCGKQFWGYPLYKRSVGEDFKVCNYFKWCTEDNGDERDATIARQSQRIRQLEKDLIDSEKWLMYLFRIIGLLGLIEEHVLGAELESHLVLQLWTDLVLVVQEELLFVAKMESHLVLQLWTGLGVAFQDSQLLAVIAELVVLFQVLVLVAVIADWSQLVAEFGD >Vigun02g060100.1.v1.2 pep primary_assembly:ASM411807v1:2:20550580:20553355:-1 gene:Vigun02g060100.v1.2 transcript:Vigun02g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNSILVKLLLLLHYVSLFCASQDFDFFYFVQQWPGSYCDTQKKCCYPTSGKPDADFGIHGLWPNYNDGSYPSNCDPNNPFNPSQISDLTSSLQSNWPTLACPSGDGMTFWSHEWSKHGTCSESVLKQHDYFEAALSLRKKANLLQALTSAGIEPNGESYSLSSIKGAIKDAIGYTPFIECNVDSSGNSQLYQVYLCVNSSGSDFIECSVFPRGKCGSDIEFPSF >Vigun03g348200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54828022:54829181:1 gene:Vigun03g348200.v1.2 transcript:Vigun03g348200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQYPTPAQAQAQTPSLSAAFLQKLQDRAPNSAHLGGILTLLVTASVFLLLAGLTLTGAILGLIFFTPLIIVSSPVWVPATIILFVVTAGFFSVCGFAVSLVAALSWMYRYFRGLHPPGSDRVDYARSRIYDTASHVKDYAREYGGYLQSKVKDAAPGA >Vigun04g141200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34940371:34941632:-1 gene:Vigun04g141200.v1.2 transcript:Vigun04g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKPELIAFLLFAVVALSFTAPSGAADCGTGAGEISVYWGQKSESVEGTLESLCSSGNYNIVILQSLLVYDDGRVPAINLDDHCGTSCPAKLQPQIEYCQQNNIKVLLSIGQDVTALKTKSHRHYSSSSSSNSTAAAEKLASYLLENYLSGNPGPLGSVALDGINLADVADGENLKWDEVVKAINASTTARKIYLGAGPECVYPDYYLGKAISTGLFDYIWVEFFYQNPCIYANGDASNLLKEWNKWSAGVPGSKIFLGLVAACDAGIAGYIPPEDLISKVLPTVRQSSNYGGVAIWDRALDIANNYTAQIKIDVPKGCICVCNGDDAWNRLYGLGSASLRMSV >Vigun05g241600.2.v1.2 pep primary_assembly:ASM411807v1:5:43477511:43484406:-1 gene:Vigun05g241600.v1.2 transcript:Vigun05g241600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVSAEFSRGECEGTDVSVGESAWEEYGCVLWDLAASKTHAELMVDNLILEVLLANLLVCKSARVTEISIGIIGNLACHEVPMKHIISTKGLIEIIIDKLFLDDPQCLYETCRLLTAGLQSGESITWTEALQSEHVLCQILWIAENTLNHQLLEKIIGLILVILESQQIVMDALLPPMMKLGLANILISLLTFEISKLTSERIPERYSILDLILRAIEALSVLDDHSQEICSSTELFQLICDLVKFPDKVEVGNCCVTAAVLIANMLSDVPDHASRISEDLKLLGGLLDIFPFASDDVEARNALWNVIARILVRIREPEMSPSRVHHYVSVLVTKLDLIEDELLNQQVDSGDEQHTLCSGSAANARNASLGMIISILNNWTAEEENAKNNRNDEVPVSETDVKRLLDCCHKFYNYN >Vigun05g241600.1.v1.2 pep primary_assembly:ASM411807v1:5:43477511:43484406:-1 gene:Vigun05g241600.v1.2 transcript:Vigun05g241600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPADPIIEEEEGEDEEVEGGGLTHHPSAPSHEFFDLSTTVDPSYIISLIRKLLPLDSASRSTFSEVGSENPNRGEEEEEGDAPSVSVSNDGNVKSSSNKSENMDVDVSAEFSRGECEGTDVSVGESAWEEYGCVLWDLAASKTHAELMVDNLILEVLLANLLVCKSARVTEISIGIIGNLACHEVPMKHIISTKGLIEIIIDKLFLDDPQCLYETCRLLTAGLQSGESITWTEALQSEHVLCQILWIAENTLNHQLLEKIIGLILVILESQQIVMDALLPPMMKLGLANILISLLTFEISKLTSERIPERYSILDLILRAIEALSVLDDHSQEICSSTELFQLICDLVKFPDKVEVGNCCVTAAVLIANMLSDVPDHASRISEDLKLLGGLLDIFPFASDDVEARNALWNVIARILVRIREPEMSPSRVHHYVSVLVTKLDLIEDELLNQQVDSGDEQHTLCSGSAANARNASLGMIISILNNWTAEEENAKNNRNDEVPVSETDVKRLLDCCHKFYNYN >Vigun02g022950.1.v1.2 pep primary_assembly:ASM411807v1:2:7681794:7682710:-1 gene:Vigun02g022950.v1.2 transcript:Vigun02g022950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPENHLREVVLRIGNKFATTEDIQCLCPGREIEDKIINIMALKTTLMQLHQLTDQTVWSLPSSFADDVLQGQSVNRLTTTYAIHLMPPFSSLKCVK >Vigun03g239500.6.v1.2 pep primary_assembly:ASM411807v1:3:39935158:39944751:1 gene:Vigun03g239500.v1.2 transcript:Vigun03g239500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSNNNNPEDLAVGCLLSIRTTLGDEFEGQVVTYDRPSNILVLQEPSKHGPRRNIRLLKANYIKEFTFLGQAEDPLDPTNCFLDLAALQAREEVAIRQAEADAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNDVRVSSPYHPESVVGGTPAANERVKKVLDFERKRLQLRSSGGQ >Vigun03g239500.4.v1.2 pep primary_assembly:ASM411807v1:3:39935018:39945619:1 gene:Vigun03g239500.v1.2 transcript:Vigun03g239500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSNNNNPEDLAVGCLLSIRTTLGDEFEGQVVTYDRPSNILVLQEPSKHGPRRNIRLLKANYIKEFTFLGQAEDPLDPTNCFLDLAALQAREEVAIRQAEADAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNDVRVSSPYHPESVVGGTPAANERVKKVLDFERKRLQLRSSGGQ >Vigun03g239500.5.v1.2 pep primary_assembly:ASM411807v1:3:39935158:39944751:1 gene:Vigun03g239500.v1.2 transcript:Vigun03g239500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSNNNNPEDLAVGCLLSIRTTLGDEFEGQVVTYDRPSNILVLQEPSKHGPRRNIRLLKANYIKEFTFLGQAEDPLDPTNCFLDLAALQAREEVAIRQAEADAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNDVRVSSPYHPESVVGGTPAANERVKKVLDFERKRLQLRSSGGQ >Vigun03g239500.3.v1.2 pep primary_assembly:ASM411807v1:3:39935011:39945619:1 gene:Vigun03g239500.v1.2 transcript:Vigun03g239500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSNNNNPEDLAVGCLLSIRTTLGDEFEGQVVTYDRPSNILVLQEPSKHGPRRNIRLLKANYIKEFTFLGQAEDPLDPTNCFLDLAALQAREEVAIRQAEADAERIGVGVTSEAQSIFDALSKTLPVRWDKTVIVVMNDVRVSSPYHPESVVGGTPAANERVKKVLDFERKRLQLRSSGGQ >Vigun01g045400.6.v1.2 pep primary_assembly:ASM411807v1:1:6753225:6773002:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g045400.3.v1.2 pep primary_assembly:ASM411807v1:1:6753117:6773088:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g045400.7.v1.2 pep primary_assembly:ASM411807v1:1:6753225:6772818:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g045400.5.v1.2 pep primary_assembly:ASM411807v1:1:6753117:6773072:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g045400.1.v1.2 pep primary_assembly:ASM411807v1:1:6753225:6773089:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g045400.2.v1.2 pep primary_assembly:ASM411807v1:1:6753117:6773088:-1 gene:Vigun01g045400.v1.2 transcript:Vigun01g045400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRGSDQPPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVDASNKRVAYLCRFYAFELAHRLDPQSSGRGVRQFKTALLQRLEKENVTTYEGRRKSDAREMQAFYRQYYEKYIQALQNAADKDRAQLTKAYQTAAVLFEVLKAVNRTEDLPVSEEIMQAHTKVEEQKQLYSPYNILPLDPESGKEAIMKYHEIQAAVLALRNIRGLPWTKGHANKVNEDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQVPKPDQQPKLDDRALNEVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGENEAFLMKVVKPIYDVIAKEAKRSNMGKAKHSQWRNYDDLNEYFWSLDCFRLGWPMRVDSDFFSVPLPREQRQDNKDEENRVRATDRWSGKVNFVEIRTFWHVFRSFDRMWSFYILCLQAMIIIAWNGSGQLSSVFEGDVFKKVLSIFITAAILKLAQAVLDIVLSWKARNVMSLHVKLRYIFKAVLAAAWVIILPVTYAYSWKNPSGFAQTIKNWFGNGTGSPSLFILAVFIYLSPNILSALLFVFPFIRRYLERSNNGVVKLMMWWSQPRLFVGRGMQEGPLSLLKYTSFWVLLILAKLAFSYYLEIMPLVGPTRAIMNAHVSDYRWHEFFPHARNNMGVVIAIWSPIILVYFMDTQIWYAIFSTIWGGIYGAFRRLGEIRTLELLRSRFDSIPGAFNGCLIPAEQTETKRKKGLKAHFSRNFEQVSSNKEKESARFAQLWNKIITSLREEDLIDNREMDLMLVPYSADRSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRMSRDKYMKSAVEECYASFKSIINFLVLGEREKLVIQNIFQRVDEHIENKALLTELNLSAVPNLYDRFVQLIELLLDNKVEDKDSIVILLLDMLEIVTRDIMEGDSEGLLDSSHGGSYGKDERFTPLDQQYTFFGKLQFPVETDIDAWIEKIKRLQLLLTVRESAMDVPSNLDARRRITFFSNSLFMDMPPAPKVRNMMSFSVLTPYFDEAVLFSLNHLEEPNEDGVSILFYLQKIFPDEWKNFVQRFDYKSEEKLRVENEEDLRLWASYRGQTLTKTVRGMMYIRQALELQAFLDMAKDEELMKGYKAAELESRENSTTDRTLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMIKYPSLRVAYIDEVEEHIKDSSRKTDKVYYSALVKAPLPAKSNDSSETVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKQPDGPRMPTILGLREYIFTGSVSSLAWFMSNQEHSFVTIGQRLLAYPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIAAGNGEQTMSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTLVTVLTVYVFLYGRLYLSLSGLEESLNKQRTIRENKALQVALASQSVVQIGLLLALPMLMEIGLERGFRQALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYIFITITMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPDKSWESWWEKEHEHLRHSGKRGIATEIILALRFFIYQYGLVYHLSITDSTQSVLVYGVSWMIIFVILGLMKGVSLGRRRLSADYQLLFRLIEGSIFLTFLTIFIVLIAVAGLTFKDIIVCILAVMPTGWGLLLIAQACKPVIEKTGFWGSIRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRSDRSSNHKE >Vigun01g209600.1.v1.2 pep primary_assembly:ASM411807v1:1:38482363:38484357:-1 gene:Vigun01g209600.v1.2 transcript:Vigun01g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKAFQKKKVRFLTIVTVIVAFSVTAKQFRQLVGENASSHSGKFTILNCFDMTSGTLACTVKESAKLYFYNIRAARVEEARQGATQSAFVDVMKKGLSKSASVKYAKKEGEKAAKLASRNTKHTLGPIISSGWDFFESVYYGGTFAEGLCRGSGTLIGTYAGGFLGERKLGRLGYLVGSHMGSWFGGKVGLMLYDIVNGVQFLLNSLQL >Vigun05g280800.1.v1.2 pep primary_assembly:ASM411807v1:5:47003940:47005869:-1 gene:Vigun05g280800.v1.2 transcript:Vigun05g280800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIHSLRQVAAIKHSLFDQGYLDEQFIQLEELQDDANPNFVEEIVTLYYRDSSRLITNLDQTLERNPLDFNKLDTIMHQFKGSSSSIGAKKVKAECTLFREYCRARNGEGCRRSFQQMKKEYATLRKKLETYFQMARQAGPKETAFRPK >Vigun11g012400.2.v1.2 pep primary_assembly:ASM411807v1:11:1476386:1480960:-1 gene:Vigun11g012400.v1.2 transcript:Vigun11g012400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMSGYVRRAFSYRNMLWVRASRVVCARDVSTIQVCDSSRVFTIPAAPNSFAESRRAYAKGRKSRDEGGVSTIEVPPNVGPTIKGNAVSQMEAAMAALSAELSKLRTGRASPGMLDHIIVETSGLKMPLNRLAVVSVLDPKTLSVNPYDPETLKQLENAIVSSPLGLNPKSDGERLIAVIPPLTKEHMQAMAKVVAKSCEDARQSIRRARQKAMDAIKKLYSSLPKDDIKRLEKEVDDLTKKFIKTAEDVCKAKEKEVSQG >Vigun11g012400.1.v1.2 pep primary_assembly:ASM411807v1:11:1476386:1480960:-1 gene:Vigun11g012400.v1.2 transcript:Vigun11g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVMSGYVRRAFSYRNMLWVRASRVVCARDVSTIQVCDSSRVFTIPAAPNSFAESRRAYAKGRKSRDEGGVSTIEVPPNVGPTIKGNAVSQMEAAMAALSAELSKLRTGRASPGMLDHIIVETSGLKMPLNRLAVVSVLDPKTLSVNPYDPETLKQLENAIVSSPLGLNPKSDGERLIAVIPPLTKEHMQAMAKVVAKSCEDARQSIRRARQKAMDAIKKLYSSLPKDDIKRLEKEVDDLTKKFIKTAEDVCKAKEKEVSQG >Vigun05g040600.2.v1.2 pep primary_assembly:ASM411807v1:5:3294417:3295867:1 gene:Vigun05g040600.v1.2 transcript:Vigun05g040600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHQSSKTSELDAPLHLIGFEIQDLSPQRVSGHLTVTQKCCQPFKVLHGGVSALMAEALASIGAHMASGYQRVAGIQLSINHLKSAVLGDLVYAEATPVNVGKTIQVFQFLLSLFLA >Vigun05g040600.1.v1.2 pep primary_assembly:ASM411807v1:5:3294417:3296530:1 gene:Vigun05g040600.v1.2 transcript:Vigun05g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHQSSKTSELDAPLHLIGFEIQDLSPQRVSGHLTVTQKCCQPFKVLHGGVSALMAEALASIGAHMASGYQRVAGIQLSINHLKSAVLGDLVYAEATPVNVGKTIQVWEVRIWKLDPSNKEKRSLISSSRVTLLSNMPVPDNAKGAGETLRKHARL >Vigun05g040600.3.v1.2 pep primary_assembly:ASM411807v1:5:3294417:3296530:1 gene:Vigun05g040600.v1.2 transcript:Vigun05g040600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHQSSKTSELDAPLHLIGFEIQDLSPQRVSGHLTVTQKCCQPFKVLHGGVSALMAEALASIGAHMASGYQRVAGIQLSINHLKSAVLGDLVYAEATPVNVGKTIQKSGMGG >Vigun06g005850.1.v1.2 pep primary_assembly:ASM411807v1:6:3282665:3283003:-1 gene:Vigun06g005850.v1.2 transcript:Vigun06g005850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTILKFFNLVFSAHSTFLHILRLLLSTPRASSFDVSASSSDVSASSHVAPRFRSLYQHRVLCCYSLFPPICSSLISDQHSLV >Vigun06g224000.1.v1.2 pep primary_assembly:ASM411807v1:6:33261906:33263273:-1 gene:Vigun06g224000.v1.2 transcript:Vigun06g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISFIINVVLLLAIVLLLLLYYNTYTRLNKIVKGQIPTVIEKEDDVEISVDKKIEIGEGTMIMTVEERKELKFFNHNQKFQMGELLRASAEPLGHGILGNSYKAMLNTGPTIVVKRLRDLKPFTKEEFEKIVNSIADMKHPNLLPLLAYYHSRDEKLMLYSYAGNGNLFSRLHDRGGNRAPFSWNSRLSVARGVARALVYLHLNHKFQNVVPHGNLRSSNVLFDENDAVLVSDFGLASLIAQPIAAQHMVVYKSPEYGYARKVTMQSDVWSYGSLLIELLTGKVSINSAPQGTNGVDLCSWVHRAVREEWTAEIFDKEISGQKSALPGMLRLLQIAMRCIERFPEKRPEMREVTREVEKIQAPVMTEDDDDVSGDRSLTDDSLSTSTSMIGDER >Vigun10g095650.1.v1.2 pep primary_assembly:ASM411807v1:10:28167875:28169199:-1 gene:Vigun10g095650.v1.2 transcript:Vigun10g095650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQEHGKEVASTSHSTKRQPRRPSQFTWSSQLFAFPSIGSSFRRTHFGSRPLAQRALKSNSRPNVDQSFKTSQFAPPPLVHGALNSNSNPNVNESFKTSHYETSSTINATSPRSNETFVASESNYNVFKPILNLDGQGFLPSCLAANWISDILKSHYNEPWPSWKKIPIRQRDLWFGELLKKFSICPPDHRWARRNFEMRGVVIMKSSLQKARKINTKPNWILDSTWDILCQHLESKEFKIKSMTGKENRASNFGSFGGSLHTCGSITTSQHRYNMAKMNGMPPTLIELFRHTHQRRKDNSWVDEKSEQVNVINHTFMIFIFI >Vigun10g188400.1.v1.2 pep primary_assembly:ASM411807v1:10:40404991:40406949:1 gene:Vigun10g188400.v1.2 transcript:Vigun10g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSKYGDRIDFGAFGGRGGSSFGLTGSDVRPGDWYCAAANCGAHNFASRSSCFKCGAFKDDLAGGYNSDILRSRAFGGSGRPGWKSGDWICSRSGCNEHNFASRMECFKCSAPRDTY >Vigun03g243000.1.v1.2 pep primary_assembly:ASM411807v1:3:40522675:40531099:-1 gene:Vigun03g243000.v1.2 transcript:Vigun03g243000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHESRDFFSFTGFASRNMPVNPLLALFQNFEQVSNFVQHHISNFIGPHLQSSGLPGSGFLLSVSSSTKAPLAKTTSSVQLGDTAVKVKSTTPAPKEELGRATWTLLHTLAAQYPDKPTRQQKKDVKELVHILSRMYPCRECADHFKEILRANPVQTGSHAEFSQWLCHVHNVVNRSLGKPVFPCERVDARWGKLECEQKACEIIGSTSFFGKIQ >Vigun06g047100.1.v1.2 pep primary_assembly:ASM411807v1:6:17177893:17183209:-1 gene:Vigun06g047100.v1.2 transcript:Vigun06g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGNVHTFGFWVELIDPLIPSNFLSLLHCFHTTYAVGSYFDLHKPLQYSKPSKFTSLVINGHRRICDLFDLHQEQVPYEVAWSWQKEIVRDKRAQIEKEGDCNDTLIILQHPPVYTLRTASTVENLKFDMKNAPFNIYRTFNIYRTERGGELTYHGPGQLVMYPIINLRTHKMDLHWYLRTLEEVVIRVLSSTFSIQASTVEGLTGVWVGNEKLAAVGIRVSSWITYHGLALNVTTDLSPFKWIIPCGIRDRQVGSIKELLVREGVGHGRADLHHLNDASLIHITHKSLLEEFSQAFQLEYSYKSVSSTMLYERK >Vigun09g014500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1090005:1092532:1 gene:Vigun09g014500.v1.2 transcript:Vigun09g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLGMRRVRQIQRACRHSTVTFLCLFLTVVVLRGTIGAGKFGTPEQDFNEIRHHISAARARRVLEETKPEAGSNADSNPNNYATFDLSKILVDEPLAEDEKPDPNAPYTLGPKISDWDEQRRAWLRANPEYPNFIRPNKPRVLLVTGSSPKPCENPVGDHYLVKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKIRDEAGKVLTRELKNRPVFEADDQSAMVYLLATGKETWGNKVYLENHYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLGSRRVKKVRNDTSNPLEIKDDLGLLHPDFKAIKLPSS >Vigun09g169900.1.v1.2 pep primary_assembly:ASM411807v1:9:33884166:33887201:-1 gene:Vigun09g169900.v1.2 transcript:Vigun09g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKTQRKPTITKHVSQNQNQNQELQKTEKQPSWVVRGLLACRNVQIQQHQHQQQQKEAKPPPEAKDHQQRKKQEQRKLQEERVPEDNSKKSKKMKCSGSLCNNTKITAKPDTSTPDIHKKRLFLNGCNSNNDASSRSMKAPLTEHNGPVSASSSSLSASSNSSGAGSFRGMPFRRLSGCYECRMVVDPVLGFTRDPSLRSSICSCPDCGEIMKAESLEHHQAVKHAVSELGPEDTSKNIVEIIFHSSWLKKQSPVCKIDRILKVHNTQKTITRFEEYRDSIKAKATKLPKKHPRCIADGNELLRFHCTSFACSLGLNGSSNLCNSIPQCNVCSIIKHGFKVAGGTGILTTATSGKAHDKACVDESEEKRAMLVCRVIAGRVKKNAEGGMEEYDSVAGAVGAYSNLDELVVFNPRAILPCFVVIYSGF >Vigun01g154200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33690346:33691404:-1 gene:Vigun01g154200.v1.2 transcript:Vigun01g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRAPSVHVDEDFIDIELRSSPNFSYSIHNREFEFQNKEESTTSPADELFYKGKLLPLHLPPRLEMVEKLLQNADATFGFARSQSSLEESRFLSTNAITPLESCNISPSESRRVSSCETLSSEYQFDWSSEIEGLVSDHHHLPKKQTKHFWLAQRLRASKTYFKSLFTKSGCSDKTCASDATSKMGALKKPKCKECQNMDDARRNRNRNRNTNTNTNKKPFELYCDYKHRRQRSCMVKNSDVVEDGFSNSSRRSFSGVIQRHYASKASSLSSSSSGSSSSSSSFSLSSAGSYELQLFNKSISVELENSIESAIAHCKKSQEKGGSTNFCSKSAVCGNKELMRSTKGNCDC >Vigun08g121650.1.v1.2 pep primary_assembly:ASM411807v1:8:29106621:29108349:-1 gene:Vigun08g121650.v1.2 transcript:Vigun08g121650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQCCKSFPSEVILALIVLTHGKECHWIMHVKNSSDCKVSHQIKMRSRRIHKPIVLRFLVKSDVLIFYMNMFTIHWYRSICVSLVKTTKCKLPWLWLPFYFFHHLRSATLVAFGYFFACEAMKREDKGGRKMYSIIDKFMGG >Vigun07g133300.1.v1.2 pep primary_assembly:ASM411807v1:7:24304794:24311929:-1 gene:Vigun07g133300.v1.2 transcript:Vigun07g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTIPLSSSISIFKNPSLQLLRRPTTSVSFNTLPRNHTLSSVPSAIATPTSSILTQQPFEGLTLDDVSNGLQFGYDHQPDHVSDHELDISKLSLPSSLVHALQKRGITSLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPILKGLTPDDDEQSSHRRHSRLPKALVLAPTRELAKQVEKEIQESAPYVKTVCVYGGVSYVTQQSALSRGVDVVVGTPGRIIDLLNGGSLTLSEVQYLVLDEADQMLAVGFEEDVEVILDKVPAQRQTMLFSATMPGWVKKLSRKHLNNPLTIDLVGEEEEEKLAEGIKLYALSATATSKRTVLSDLITVYAKGGKTIVFTQTKKDADEVSLALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDAETFVHRSGRTGRAGKEGTAILMYTSSQKRTVRSLEHDVGCKFEFISPPAMEEILESSAEQVVATLSRVHPESVKFFTATAQKLVEEQGASALAAALAQMSGFSRPPSCRSLINHEQGWITLQLTRDSDTSGRFFSARSVTGFLSDVYSPAADEVGKIHLIADERIKGAVFDLPEEVARELLKKELPSGNTISKITKLPPLQDDEPASDFYGKFSDRDRSNRRGSSSRDQRGFRSSRGWEGGQDSDDEFGRGGRNFRSGNNRSRMGKNSGDDWLIGGGRSNRFSSSNRGGHGGACFNCGQPGHRAADCRKKRDFF >Vigun01g183150.1.v1.2 pep primary_assembly:ASM411807v1:1:36375786:36378496:-1 gene:Vigun01g183150.v1.2 transcript:Vigun01g183150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RINGFSPHVVEDCLGFLQLFSDGSIFRSNDIEFKISAVQDHSVTFNDYLFHKRFNLSLRFYKPQHITLNTTKLPIVIFLHGGGFCFGSRTWPHIHNCCTRLASGLHAVVLSPDYRLAPEHRLPSAVDDAVEAVRWLQRQGLRLKEDENAGDAWLSSDVDFDRVFIVGDSSGGNIAHHLAVRLGSGSSEMDPVRVRGYVLFAPFFGGEVRTKSEEGPPEHMLNLELLDRFWRLSIPLGESRDHPLANPFGAGSPNLEEVKLDPILVIVGGNELLKDRAEDYARRLKEQGKTLITLSLRGASMGFSRMIPTLHILHKKSSKSLNDSCFKLLLDWTHHGFKDNYVIRLITLYCFYPSEVVMGRNCIRQFYGVYV >Vigun05g054000.2.v1.2 pep primary_assembly:ASM411807v1:5:4628765:4633077:1 gene:Vigun05g054000.v1.2 transcript:Vigun05g054000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSTELNKFLFFCLLLIQVAVSEIIFEERFEDGWRNRWVKSDWKSSEGKAGSFKHTAGQWHGDPDDKGIQTSSDAKHFAISAKIPEFTNKNRTLVLQYSVRFEQEIECGGGYIKLLSGFVNQKKFGGDTPYILMFGPDLCGTDTKKLHVILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSMYTDWDILPPRKIKDVKAKKPADWEEKEYIEDPDDFKPEGYDSIPRQIPDPNAKEPENWDEEADGKWKPPQIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFIDDPDLYVLKPIQYVGIEVWQVKGGSVFDNVLICDDPDYAKQVVEEVFANREIEKEGFEEAEKVRKAREEEEAQRAREEGERRRKERGHDRRYRDRYRDRYRRHRHYDDYHVSCIFFFS >Vigun05g054000.1.v1.2 pep primary_assembly:ASM411807v1:5:4628692:4633138:1 gene:Vigun05g054000.v1.2 transcript:Vigun05g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSTELNKFLFFCLLLIQVAVSEIIFEERFEDGWRNRWVKSDWKSSEGKAGSFKHTAGQWHGDPDDKGIQTSSDAKHFAISAKIPEFTNKNRTLVLQYSVRFEQEIECGGGYIKLLSGFVNQKKFGGDTPYILMFGPDLCGTDTKKLHVILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSMYTDWDILPPRKIKDVKAKKPADWEEKEYIEDPDDFKPEGYDSIPRQIPDPNAKEPENWDEEADGKWKPPQIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFIDDPDLYVLKPIQYVGIEVWQVKGGSVFDNVLICDDPDYAKQVVEEVFANREIEKEGFEEAEKVRKAREEEEAQRAREEGERRRKERGHDRRYRDRYRDRYRRHRHYDDYHDEL >Vigun08g009101.1.v1.2 pep primary_assembly:ASM411807v1:8:814052:815325:1 gene:Vigun08g009101.v1.2 transcript:Vigun08g009101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVELGKQRQFQTFQFNSVSIYSAHDYYMNSMQNIVEWHNLQVKGHLGC >Vigun08g009101.2.v1.2 pep primary_assembly:ASM411807v1:8:814052:815325:1 gene:Vigun08g009101.v1.2 transcript:Vigun08g009101.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVELGKQRQFQTFQFNSVSIYSAHDYYMNSMQNIVEWHNLQVKGHLGC >Vigun01g033800.1.v1.2 pep primary_assembly:ASM411807v1:1:4354811:4358781:-1 gene:Vigun01g033800.v1.2 transcript:Vigun01g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRTESIQIKTTELESESDSEAAAIWNEIEALESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQDSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIKERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLRSMHSPESTILSQPFPQSPTNRNEDFSLKERNMSEGLPQSFKTKHLNNEKDRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLVFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun09g100850.1.v1.2 pep primary_assembly:ASM411807v1:9:16635801:16636976:-1 gene:Vigun09g100850.v1.2 transcript:Vigun09g100850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSYDNFFETFSRLLAKTIYKFMSISMILSKFPKETYFASKQAQHALFRDDTYFFIQPETPVEVTRCNDLVLCSVASENEINFYIINPTTQSCSPIQTPDHIQNNSFCDHKIGFLCELDVHKDGVWKAKEGFFNGSRSLRFDMLVHHKGAIHFISDCSPYLTRNNPYFRPYIMIPKEARKGSHDQSCEMRIFKWEKTTDSDQSICLVRLRKGVFTVWVLTKYESSLWRKILRIRVKAMGVLENDHSQIVVKSFIVLNGEILVFSTQKKVYLYGLSDNKIHKFRDYECEFNFLRFPPYTDTLRTCDIGTRNLSLPIYV >Vigun04g084500.1.v1.2 pep primary_assembly:ASM411807v1:4:13534985:13536150:-1 gene:Vigun04g084500.v1.2 transcript:Vigun04g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNIWHRVTTQKHGNHPEKVVKIQRSDTKENSSQACGEQGDVLRCETCTCSFHPRCILSPLKTLIPDNWRCPQCFATKMMVAIMLVPCSKEEWKG >Vigun04g030200.2.v1.2 pep primary_assembly:ASM411807v1:4:2393266:2396345:1 gene:Vigun04g030200.v1.2 transcript:Vigun04g030200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVGDNEGGEFSMVDHSFSGRKLRPLMPRPITSSSSFTPPPTTTLSLTHPHANDFPSHYDYHHLVAEQNKREQFNGAAPVVVSSRWNPTREQLRALEELYRRGTRTPSAEQIQHITSQLRKFGNIEGKNVFYWFQNHKARERQKRRRQMESEAGTPEKKDSAAGRAVFEVKQIKNWTPSTNCSTVAEESVSTQRTAKAVGEESRTVGWLQFDEGRNLLERNATWHVMQLPCPSPTAPSSAITTTTVTRTKMEPNLIKTHDLSFFISPQRENSVIYLSSSSSTSEDDNCVESQTLQFFPLRSGGGGDDASSDSMRDKETEISASPMNCNNFTPSQFFEFLPMKE >Vigun04g030200.1.v1.2 pep primary_assembly:ASM411807v1:4:2393266:2396345:1 gene:Vigun04g030200.v1.2 transcript:Vigun04g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVGDNEGGEFSMVDHSFSGRKLRPLMPRPITSSSSFTPPPTTTLSLTHPHANDFPSHYDYHHLASVAEQNKREQFNGAAPVVVSSRWNPTREQLRALEELYRRGTRTPSAEQIQHITSQLRKFGNIEGKNVFYWFQNHKARERQKRRRQMESEAGTPEKKDSAAGRAVFEVKQIKNWTPSTNCSTVAEESVSTQRTAKAVGEESRTVGWLQFDEGRNLLERNATWHVMQLPCPSPTAPSSAITTTTVTRTKMEPNLIKTHDLSFFISPQRENSVIYLSSSSSTSEDDNCVESQTLQFFPLRSGGGGDDASSDSMRDKETEISASPMNCNNFTPSQFFEFLPMKE >Vigun03g097300.1.v1.2 pep primary_assembly:ASM411807v1:3:8242696:8248660:1 gene:Vigun03g097300.v1.2 transcript:Vigun03g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSGVPLCNTCGEQVGLNDNGEVFVACHECNFPICKDCFEHELNEDHRVCMKCGTPYEERTKEEEEEDFHEIEVHENQSTMASEISNSQDVGLHARHVSSVSSVDSEVKEESGKSFWKNRVESWKGKDKKNKKKKAAPKVENETSVPPEQKMEEARPNAAAAAPLSVIIPMSKSKIAPYRTVIIMRLIILGLFFHYRVTNPVESAFPLWLTSIICEIWFAFSWVLDQFPKWCPVNRQTFIDNLSARFEREGETNELSAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMKDGTPWPGNNSRDHPGMIQVFLGHTGARDTEGKELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYNPPSMPSIPRSSCCCCPSKKSTADGVYRNAKREELEAAIHNLKEIDNYDDNERSMLISQMSFEKTFGLSTVFIESTLMENGGVPESADPSMLIKEAIHVISCGYEEKSEWGKEIGWIYGSVTEDILTGFKMQCRGWKSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKWLQRMAYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNVASVLFLGLFLSIIITSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAAEDGEFGELYLVKWTTLLIPPTTLIVVNMVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLIWVKVNPFVNKVDSEAISETCVAIDC >Vigun07g275600.1.v1.2 pep primary_assembly:ASM411807v1:7:39095853:39098830:-1 gene:Vigun07g275600.v1.2 transcript:Vigun07g275600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSASMPKLKLLLLSFLFYTIFPSFFHCTLSLINSQDELSWIAMENEEDINMVQTQRDSWKKCDFSVGKWVFDQSYPLYDSNCPYLSTAVTCQKNGRPDSDYEKWKWQPNACSIPRFDALGFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTDRKRVTYNGPSMAFHAMDFEASIEFFWAPLLVELKKESNNKRILHLDLIEDNARYWRGVDILVFDSAHWWTHSGQTSSWDYYMEGNRIITNMNPMVAYQKGLSTWARWVDLNLNPRKTRVIFRSMSPRHNRQNGWKCYKQRQPVQFFSHLHVPEPLVVLQGVIKRMRFPVYLQDITTMTAFRRDGHPSVYSKAMNEERQKTGTGLSSDCSHWCLPGVPDIWNEMLSALL >Vigun10g180100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39816185:39816748:-1 gene:Vigun10g180100.v1.2 transcript:Vigun10g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGESLASSFSRKSNMSKPPKLASENLQRTVSDISFELTKEEIDELKLPAISEVENAKCECCGMCEECTPEYIDRVREKFNGKWVCGLCSEAVKEELEKNGGEKEEALSAHMSACVRFNKYGRAFPVLFQAQAMKEMLKKNTIDGRRAKSISPRDKGGPKKGGIARSSSCIPALTREINDIKIAN >Vigun01g055200.1.v1.2 pep primary_assembly:ASM411807v1:1:10723445:10730687:-1 gene:Vigun01g055200.v1.2 transcript:Vigun01g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLINPLCCSSSTSDSLVTSSYVKEKMENTSWCLGESKDRIRKLFNKVELSISSYDTAWVAMITSPASHQTPLFPQCLNWLLANQHLDGSWGLPDRHPLLMNDALLSTLASILALKQWGVGEDQINRGLHFIQSNIASIQDEKQHLPIGFGINFPSLIEYAQNLGINLPIEATILNTMIQKREIELQRGKQRNSEGWREYQAYVSEGMQDSQDWKTIMKYQRKNGSLFNSPATTAAVFQRLKNAECLGYLQSVLEKFGNAVPTIHPLDIYARLCMIDSLERLGINHHFKEEIRSVLDDTYRFWVQGAEDIFLDPTTCAMAFRILRLNGYDVSSDPFYQYSEDKFAESLKGYLKDVSAVIELYRASQAIIHPDESILVRQSLWTKQLLKQESSPYRLYADKLRSYVDQEVKDVLNFPLYANLERLLNRRSMEYYNVEETRISKSSYRSCNLVSQEILKLAVEDFNICQSIHIEELKQLSRWVVEYRLDQLKFARQKLAYCYFSGAATLFTPELSDARISWAKNGVLTTVVDDFFDVGGSEEELVDLIQLVEKWDVDINTACCSETVKIIFSAIHSTVCEIGEKSVKRQGRNVKNNVIKIWLDLIQSMYKEAEWQRTKTVPTIDDYMENAYISFALGPIVLPALYLVGPKLSNEDAETHELNHLYKLMSTCGRLFNDIHSFKRESEEGKLNVLSLHITQGNGASTAEDAIEKLKGDAEEKRIELLRLILHEKGSLVPRDCKDLFWKMTKVLHLFYMKDDGFTSHEMYSSVKAVINDPVISLMNY >Vigun01g171800.1.v1.2 pep primary_assembly:ASM411807v1:1:35413451:35414500:-1 gene:Vigun01g171800.v1.2 transcript:Vigun01g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNAAPSADDLRVILGSVGADANDDNISNFLSEVKGKDIAELIAAGREKLASVPSGGGAAVAVTAAPGGGASAPAAAESKKEEKVEEKEESDDDMGFSLFD >VigunL000633.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:4319:7658:-1 gene:VigunL000633.v1.2 transcript:VigunL000633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATSMRMMHNFFCIRGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLIMRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIKSIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELRKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHSPGFINLHILPQLVKRMKLADIMTILGSIDIIMGEGLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQLIGPEYTGPFGVLQALADETKLLFKENLIPSRGDIHLFSFGPSISIISIIISYSIIPFSYNFVLSNLNIGVFLWIAISSIVPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLLLSNSLSTVDIIDAQSKYGFWGWNLWRQPMGFLVFLISSLAECERLPFDLPEAEEELIAGYQTKYSGIKFDLFYVASYLNLFVSSLFVIVLYFGGSNFSILYIFVSNFFQINKTYGVFVTIIGIFITLVKTYLFIFVSITRRWTLPRLRIDQLLNLGWKFLLPISLARERKKIFKAIHDMFLLVTEFINYSEQII >Vigun08g158100.3.v1.2 pep primary_assembly:ASM411807v1:8:33056364:33061587:1 gene:Vigun08g158100.v1.2 transcript:Vigun08g158100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQGEETMMSSLNETVEREEREDEKVGGSHSSLRNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGVIFQVFYGIMGSWTAYLISILYIEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLYATLFVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRSGWRDTGVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPASAHMLTYRSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMANFIKQVDTFGLFAKCYQCPPHKTPASNQTLHH >Vigun08g158100.2.v1.2 pep primary_assembly:ASM411807v1:8:33056234:33061587:1 gene:Vigun08g158100.v1.2 transcript:Vigun08g158100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQGEETMMSSLNETVEREEREDEKVGGSHSSLRNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGVIFQVFYGIMGSWTAYLISILYIEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLYATLFVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRSGWRDTGVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPASAHMLTYRSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMANFIKQVDTFGLFAKCYQCPPHKTPASNQTLHH >Vigun08g158100.4.v1.2 pep primary_assembly:ASM411807v1:8:33057223:33061587:1 gene:Vigun08g158100.v1.2 transcript:Vigun08g158100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQGEETMMSSLNETVEREEREDEKVGGSHSSLRNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGVIFQVFYGIMGSWTAYLISILYIEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLYATLFVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRSGWRDTGVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPASAHMLTYRSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMANFIKQVDTFGLFAKCYQCPPHKTPASNQTLHH >Vigun08g158100.1.v1.2 pep primary_assembly:ASM411807v1:8:33056234:33061587:1 gene:Vigun08g158100.v1.2 transcript:Vigun08g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQGEETMMSSLNETVEREEREDEKVGGSHSSLRNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGVIFQVFYGIMGSWTAYLISILYIEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLYATLFVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRSGWRDTGVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPASAHMLTYRSASARQNAAEKLPFFIPSWTLMYVINAFVVVWVLVVGFGFGGWASMANFIKQVDTFGLFAKCYQCPPHKTPASNQTLHH >Vigun03g290200.1.v1.2 pep primary_assembly:ASM411807v1:3:47278703:47280389:-1 gene:Vigun03g290200.v1.2 transcript:Vigun03g290200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDQKLKSYIEDHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKQRKEHHAQAPRVGGLKQEIKRESEDLMLPVGVISRTPHWPHEQNSWPIPVPNAYSDLNNQQSSIVHIPDRTVTATMNSQYSSFHTSLITQDQLYPSTVNMITTDTCHTSNVFQGFGNFSSDLACVNPQQIDGSERFMYGMESMEIGNGSTNTTSTESTSWGDISSLVYPPLVSNYEACQQRIIPQDVTFEGSSYFAMQTQ >Vigun09g156300.1.v1.2 pep primary_assembly:ASM411807v1:9:32187723:32188606:-1 gene:Vigun09g156300.v1.2 transcript:Vigun09g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNQPPPPFQKQPLPTVPETPDIEERHLKRDATENEKPKFIFSAVKVGKEEKTPNVVVVAEVKKPAEATKVNPRKRKAEGQ >Vigun05g299800.1.v1.2 pep primary_assembly:ASM411807v1:5:48376629:48380654:1 gene:Vigun05g299800.v1.2 transcript:Vigun05g299800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGNNLKSFRKLLAAAFMTFPILIILFCIYQNSIFEGPKNNPFEDVKDNQFLSKIPDDKLLGGLLVSSFGEASCISRFESYLYRKTSSYKPSKYLISKLRNYEHLHQICGPYTKSYNETMKEGAQFGKHDVDSRCKYVIWIAANGLGNRMLSMVSTFLYAILTGRLLIVRFDNDMSNLFCEPFPNSSWLLPKNSPFSNNLKQFKTFESMLTNNKKNNSEELLPSIVFLTLMNYNLGDTRFHCDQNQDLLQKIPILILQADQYFAPTFFMISSFRQDLNKMFPDKDTIFHHLGRYLFHPSNEVWEGIRKYYETHLGKENEKIGVQIRVFNAEQTPEIIISETISCIHQNKLLTKFNMQSSMNPPLEKNTSKVVLVVSLYSKYGESLKSIYESNTSLSSGVIKVYQPSHEENQKLGDNMHNIKAWIEIYLLGLCDALVTSSWSTFGYVANGLAGLKPLILQNVHGKIVPNPPCQQNNLEPCLHIPPKYDCRTNTPFNDTFTFYNTKHCEDYPGGIKIINMYD >Vigun04g195200.2.v1.2 pep primary_assembly:ASM411807v1:4:41984711:41989696:-1 gene:Vigun04g195200.v1.2 transcript:Vigun04g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVSLSHSPSTPHLPNFTPRPKFLPQLHHSFNAFSLPPSSPLHLTATKSPPFFISSPKLSSFRLLAASISDARSDEPAKTSGLVKTLQLGAMLALWYLLNIYFNIYNKQVLKVYPFPATVTAFQFGFVSLVINLVWTFNLHPRPSLRGSQLATLLPLALAHTLGNVLTNISLCKVAVSFTHTIKAMEPFFTVLLSALFLGQMPTLWVFSSLIPIVGGVALASMTEVSFNWIGFITAMAANITNQSRNVLSKKMMTSEEESLDIINLYGVLTMISFILLVPYALLVEGVKFSPSYLQYAASQGLNVREFHI >Vigun04g195200.1.v1.2 pep primary_assembly:ASM411807v1:4:41984711:41989696:-1 gene:Vigun04g195200.v1.2 transcript:Vigun04g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVSLSHSPSTPHLPNFTPRPKFLPQLHHSFNAFSLPPSSPLHLTATKSPPFFISSPKLSSFRLLAASISDARSDEPAKTSGLVKTLQLGAMLALWYLLNIYFNIYNKQVLKVYPFPATVTAFQFGFVSLVINLVWTFNLHPRPSLRGSQLATLLPLALAHTLGNVLTNISLCKVAVSFTHTIKAMEPFFTVLLSALFLGQMPTLWVFSSLIPIVGGVALASMTEVSFNWIGFITAMAANITNQSRNVLSKKMMTSEEESLDIINLYGVLTMISFILLVPYALLVEGVKFSPSYLQYAASQGLNVRELCMRSIFSAFCFHAYQQVSYMILEMVSPVTHSVANSVKRVVIIVSSVIFFQTPVSPVNALGTAIALLGVFLYSRAKRMKPVQKTN >Vigun08g146800.1.v1.2 pep primary_assembly:ASM411807v1:8:31955292:31958060:-1 gene:Vigun08g146800.v1.2 transcript:Vigun08g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFCSDHTKGVVSNAHVSSAAGNDSSENKSLKLVSFLRKTMWNFALACVGVGPCGGNNDNNSQRKTTSEHNKAWLLAESGAELTSADPQSHHSSFRFSFCSQVEVESFNMSSSASAAAAATFLMVNLECESQVKEMKWRIHSLEKSMSPVFNPLIRFSYDEILSATHNFSKGRVLGRGALSCVYRGRVGFWRTAVAIKRLDKEDQECAKAFCRELMIASSLHNTNVVPLVGFCIDSEEGLFLVYKYVSGGSLEHHLHGRKKSVKGSSPLPWSVRYQVAIGIAEAVAYLHNGTERCVVHRDIKPSNILLSSKKIPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYALGVVLLELLTGRKPIEAKRPPGEENLVVWAKPLLRKGKGAIEELLDPQVKNNTSYTDQMGRMVDAAAACVTNEESRRPSIGEIVAILKGEVEPVLSRRRKSGYLGNGFVIDYYPQLHQTNNEMKSHLALAMLGVPECEDDDFLYGR >Vigun10g111900.1.v1.2 pep primary_assembly:ASM411807v1:10:31255547:31261170:-1 gene:Vigun10g111900.v1.2 transcript:Vigun10g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALSAGGLDFLVALLVLILFHCLLVMLLWSYFSVVFTDPGSVPPNWRPTIDEERGEADPLVGTEFSNVQADPNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTASLLPHFIAFFSDGEIPGTPGSLATTFLAFVLNLAFALSVLGFLIMHISLVAGNTTTIEAYEKKSTPKWRYDLGRRKNFEQVFGMDKKYWFIPSYTDEDIRKMPALQGLDYPSKPDFDLQ >Vigun05g113300.1.v1.2 pep primary_assembly:ASM411807v1:5:11967749:11973381:1 gene:Vigun05g113300.v1.2 transcript:Vigun05g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTAEDLIDALREVDWSAPPRPLSEFFSRFTVPRSSSKWNSRLKCNLYYYRTNYFLLIVSVLILGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIYICGRPRWVFVLIFSSASFLLWFISAGLLTVLWALAIGLLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >Vigun10g099900.1.v1.2 pep primary_assembly:ASM411807v1:10:29205853:29213263:1 gene:Vigun10g099900.v1.2 transcript:Vigun10g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVFAVDPDGKYSEMSAAAISLIGASFKDLVMRQSYLLLTSSLFGVPSDFEVLKFKGGITIIPQQSVFPLQTVQTLFNFTLNFSIYEIQTNFGELTSQLKNGLHLAPYENLYVIFSNSEGSTVAAPTTVQTSVLLAVGITPSKERLKQLAQTIMGHHSWNLGLNNTQFGRVKQVRLSYILQHSLHGTGSGGSAWSPSPAPLPHPHHHHHHHHHHHHHHHSHHHSHHHNTHVFPETSPSPAPTTGEGAASPEFGSLAPARSLPGPGRSSYAQPPKCQSGYRKRSSQNTRKQFGLTPAVAPTNAPHYPVPSPQDRPSAHGFHFSVPALSPLPNIAFAHVGPPPKNEPSAERPSTHVHGPSPSLYSAGCAGTVNWIPLMFSVILLLV >Vigun10g099900.2.v1.2 pep primary_assembly:ASM411807v1:10:29206171:29213263:1 gene:Vigun10g099900.v1.2 transcript:Vigun10g099900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVFAVDPDGKYSEMSAAAISLIGASFKDLVMRQSYLLLTSSLFGVPSDFEVLKFKGGITIIPQQSVFPLQTVQTLFNFTLNFSIYEIQTNFGELTSQLKNGLHLAPYENLYVIFSNSEGSTVAAPTTVQTSVLLAVGITPSKERLKQLAQTIMGHHSWNLGLNNTQFGRVKQVRLSYILQHSLHGTGSGGSAWSPSPAPLPHPHHHHHHHHHHHHHHHSHHHSHHHNTHVFPETSPSPAPTTGEGAASPEFGSLAPARSLPGPGRSSYAQPPKCQSGYRKRSSQNTRKQFGLTPAVAPTNAPHYPVPSPQDRPSAHGFHFSVPALSPLPNIAFAHVGPPPKNEPSAERPSTHVHGPSPSLYSAGCAGTVNWIPLMFSVILLLV >Vigun09g118200.1.v1.2 pep primary_assembly:ASM411807v1:9:26086796:26094467:1 gene:Vigun09g118200.v1.2 transcript:Vigun09g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSQSTAATSPPSRVLLISAGASHSVALLSGSVVCSWGRGEDGQLGHGDTDDRPLPTQLSALDAREIDSIACGADHTLAYSESRNELYSWGWGDFGRLGHGNSSDLLIPQPIVALQGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIQTFQEVPIKMVAAGAEHSVAITENGELYGWGWGRYGNLGLGDRNDRWIPEKVSSIDCDKMVMVACGWRHTISVSSSGGLYTYGWSKYGQLGHGNFEDSLVPHKLQALSDELICQVSGGWRHSMALTSTGLLYGWGWNKFGQVGVGDNVDRCSPVQVKFPHDQQKIVQISCGWRHTIAVTDKNNVYSWGRGTNGQLGHGDTIDRNSPKIIEALSVDGSSGQQIESSNTDLLSGKSGASLSERYAVVPDETVSGQTASSSNGDRLDISVPESDVKRIRV >Vigun09g118200.2.v1.2 pep primary_assembly:ASM411807v1:9:26086796:26094467:1 gene:Vigun09g118200.v1.2 transcript:Vigun09g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSQSTAATSPPSRVLLISAGASHSVALLSGSVVCSWGRGEDGQLGHGDTDDRPLPTQLSALDAREIDSIACGADHTLAYSESRNELYSWGWGDFGRLGHGNSSDLLIPQPIVALQGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIQTFQEVPIKMVAAGAEHSVAITENGELYGWGWGRYGNLGLGDRNDRWIPEKVSSIDCDKMVMVACGWRHTISVSSSGGLYTYGWSKYGQLGHGNFEDSLVPHKLQALSDELICQVSGGWRHSMALTSTGLLYGWGWNKFGQVGVGDNVDRCSPVQVKFPHDQKIVQISCGWRHTIAVTDKNNVYSWGRGTNGQLGHGDTIDRNSPKIIEALSVDGSSGQQIESSNTDLLSGKSGASLSERYAVVPDETVSGQTASSSNGDRLDISVPESDVKRIRV >Vigun02g121300.1.v1.2 pep primary_assembly:ASM411807v1:2:27364466:27369672:-1 gene:Vigun02g121300.v1.2 transcript:Vigun02g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNQRNSLYPQVIDSNPDAPSPLLNTNPSSSSQIPLYPSIDYNDLVQNLFSEDASAAGSPSAPPEATEEVLLRIPGAILNLVDKDYSVELACGDFSVIRLRQGDNAVAVYARVAEEIQWPLAKDETAVKVDDSHYFFSFRVPKGSDPGEEEEDVLSYGLTIASKGQEGLLKELDAVLENCSCFSVQRVSENAKKKGEALDESVAKEVSPKDLKSGKKKEMMEERCAAYWTTLAPNVEDYSGTAAKMIAAGSGHVVKGILWCGDVTVDRLKWGNQVMKKRITPGSNAEVSPQTLKRIKRVKRVTKMTEKVANGFLSGVVKVSGFFTGSVVNSKAGKKFFSFLPGEVLLASLDGFSKVCDAVEVAGKNVMSTSSTVTTELVDHRYGEKAAEATSEGFTAAGHALGTAWAAFKIRKAINPKSVLKPTTLAKAGAKAAASEFKDKSSK >Vigun07g246100.1.v1.2 pep primary_assembly:ASM411807v1:7:36677000:36679706:-1 gene:Vigun07g246100.v1.2 transcript:Vigun07g246100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVKITHRRIFHVLQLLGLISFVDVVCRLNLDEIIVGEADIVDCGQHDFDHYNNYHCFPH >Vigun10g114100.2.v1.2 pep primary_assembly:ASM411807v1:10:31654063:31658151:-1 gene:Vigun10g114100.v1.2 transcript:Vigun10g114100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDASGNPIPSSSVLMASSKHIGIRCHSENLDFLKCKKKDPNPEKCLDKGRDVTRCVLGLLRDLHQKCTKEMDDYVGCMYYHTNEFDLCRKEQQAFEQKCSLE >Vigun10g114100.1.v1.2 pep primary_assembly:ASM411807v1:10:31654063:31658151:-1 gene:Vigun10g114100.v1.2 transcript:Vigun10g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDASGNPIPSSSVLMASSKHIGIRCHSENLDFLKCKKKDPNPEKCLDKGRDVTRCVLGLLRDLHQKCTKEMDDYVGCMYYHTNEFDLCRKEQQAFEQKCSLE >Vigun03g173900.1.v1.2 pep primary_assembly:ASM411807v1:3:21325355:21328263:1 gene:Vigun03g173900.v1.2 transcript:Vigun03g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFCSSSIALLHSSIHSSQSLPTVQIYGPKFCGGFGFQAVKGRSPFPVPSAVAAQVNASEQAQKISFNESQRPVYPFSAIVGQDEMKLCLLLNVIDPTIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPEFMGVEVRERVLKGEQLQVVFSKINMVDLPLGATEDRVCGTIDIEKALSEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISVSHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERTCFDKNPKGFRHSYKAQQEKLQQQIASARSFLPSVQIDRDLKVKISHVCAELNVDGLRGDIVINRAAKALAALKGSVKVSVEDIATVIPNCLRHRLRKDPLESIDSGLLVLEKFYAVFR >Vigun02g104900.1.v1.2 pep primary_assembly:ASM411807v1:2:25951556:25954438:1 gene:Vigun02g104900.v1.2 transcript:Vigun02g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGEDALAARLGMVTPPPLMNPATSLVMSGEVSAAVREERGPAQPQWSQQETREFIAIRAELELDFTASKRNKTLWEVVSSKMRERGFRRSPEQCKCKWKNLVNRYKGKETSDPEHSRQCPFFEELHAVFTQRAHNMQRLLLESETRSAQTKKGVKRPSGDRSSEELSEDDDEVEYDSEEEKPSRSNTRKRKVDKVGTDKSSSRANNPSHAVSSTSSIQEMLKEFFQHQLRMEMQWREMMERRAHERQLFEQEWRQSMEKLERERLIIEQAWREREEQRRMREESRAEKRDALLTTLLNKLINESN >Vigun08g051400.4.v1.2 pep primary_assembly:ASM411807v1:8:5978129:5981211:1 gene:Vigun08g051400.v1.2 transcript:Vigun08g051400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVNYIVEREKRSQFGAKNPEMVTTMMPDANVSPDAAIDYCDVRVDAIAIRSAMDLGLLKVSGEKIPEMSTIDVSSKEFY >Vigun08g051400.2.v1.2 pep primary_assembly:ASM411807v1:8:5978129:5981183:1 gene:Vigun08g051400.v1.2 transcript:Vigun08g051400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVNYIVEREKRSQFGAKNPEMVTTMMPDANVSPDAAIDYCDVRVDAIAIRSAMDLGLLKVSGEKIPEMSTIDVSSKEFY >Vigun08g051400.1.v1.2 pep primary_assembly:ASM411807v1:8:5978129:5981183:1 gene:Vigun08g051400.v1.2 transcript:Vigun08g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVNYIVEREKRSQFGAKNPEMVTTMMPDANVSPDAAIDYCDVRVDAIAIRSAMDLGLLKVSGEKIPEMSTIDVSSKEFY >Vigun02g173000.1.v1.2 pep primary_assembly:ASM411807v1:2:31565367:31567918:-1 gene:Vigun02g173000.v1.2 transcript:Vigun02g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKWIVRRTRESKPFFLAFATICGVVPGVIGYGVMQLTNTRNEQLETHLRRSARPESLMMGQVNKERLAEYLGELKRKEDTNDRYIAALRGETLTRKPYVRIQPIPEQTDNKVDKEQKK >Vigun09g096850.4.v1.2 pep primary_assembly:ASM411807v1:9:14726642:14729267:1 gene:Vigun09g096850.v1.2 transcript:Vigun09g096850.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIKVTYESCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTGSSLLRRKAETSL >Vigun09g096850.2.v1.2 pep primary_assembly:ASM411807v1:9:14726642:14729267:1 gene:Vigun09g096850.v1.2 transcript:Vigun09g096850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIKVTYESCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTGSSLLRRYTTVLMIYDCFNFTV >Vigun09g096850.5.v1.2 pep primary_assembly:ASM411807v1:9:14726975:14729267:1 gene:Vigun09g096850.v1.2 transcript:Vigun09g096850.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVKFSWFEATLNVHSVLQVPNHFTGSSLLRRFFIFSCPKGEILMKPTDLMCVVVPVFPPSESNIVF >Vigun09g096850.3.v1.2 pep primary_assembly:ASM411807v1:9:14726642:14729267:1 gene:Vigun09g096850.v1.2 transcript:Vigun09g096850.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIKVTYESCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTGSSLLRRYTTVLMIYDCFNFTV >Vigun09g096850.1.v1.2 pep primary_assembly:ASM411807v1:9:14726642:14729267:1 gene:Vigun09g096850.v1.2 transcript:Vigun09g096850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIKVTYESCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTGSSLLRRFFIFSCPKGEILMKPTDLMCVVVPVFPPSESNIVF >Vigun05g278500.1.v1.2 pep primary_assembly:ASM411807v1:5:46785668:46788889:-1 gene:Vigun05g278500.v1.2 transcript:Vigun05g278500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVASGDDSTPLLISWYSEHETDQFYVYMHFTEIQVLPTNQTRQFNITRNGELRVPNFSPRYLAVDTLYTSSAISGKQIKYSLERTENSTLPPIVSAIELYRVIDLQKPQTFQGDVEAIASIKSVYGVKRDWEGDPCAPVDYLWDGLICTYDNDFPRITALNLSSSGLSGKIHPSISNLTMLEKLDLSNNCLNGEVPDFLSQLQHLKILNLEKNNLSSSIPSALLQKSREGSLSLSVGQNPYPCESGQFNEKKKKNTLIPIVASTSGALIILIAVGILWILKRKKSKVEKFTDAVAVNHQSENSQQSTEMDGLFLQRKSQMYSYYDVLQITNNFNRIIGKGGFGTVYLGFIDDTPVAVKMLSPSAVLGYQQFQTEVKLLIRVHHKNLTSLIGYCNEGTNKGLIYEYMAKGNLREHLSGKHNESPLLRWKDRLRVAVDAALGLEYLQNGCKPPIIHRDVKSTNILLDEHFQAKLSDFGLSKVVPDDGRSHVSTVIAGTLGYLDPHYHSSNRLTQKSDVYSFGVVLLEIITNQAVMAGNEETGHISERVNSMISRGDIRAIVDPSLEGNFDINSAWKAVEIAMACVSPNPNERPTMSVVVIELQEALATELGRTNHDSGADSMYSVASLSVEVDTEFMPLAR >Vigun03g195050.1.v1.2 pep primary_assembly:ASM411807v1:3:27370347:27372606:1 gene:Vigun03g195050.v1.2 transcript:Vigun03g195050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWFNFDLGSLDLMYEKLNNDNCDTWSMFIKYYMLVQDLWSVLLENELMSVQQGDMTMNQYFTKLKSICNEIATLDLESAINDGRKRRIIIQGLNSRYHEIPTLTELENILSNQKALDKKMSKVSINEKDKTLFTENKMPKEVNIVTKFNNKRESSGCREGWQRESSWSILGWQRGRREGGAQRRGARNF >Vigun03g243600.1.v1.2 pep primary_assembly:ASM411807v1:3:40607367:40612872:1 gene:Vigun03g243600.v1.2 transcript:Vigun03g243600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLTLPPPPSLPTFHHHTTHFLFSHGVSFTTLRHRPILSLVPSVGNKDTDLRVSSLQDQQRDDDDDNEDNEEEPIPQDLQYIAQIKRVLELLKKNRDMLFGEVKLTVMIEDPREVERRRLLGIEYSEGPTREDLVEALEEVNEGKIPKNRAALQMLAEELTSWPNVEDEAPKKKRGKSLYAKATDTGIDPEVAAKKLNLDWDSAAAEIEEINVDDDTEVPPVVGYGALYLVSAFPIIIGISVVLILFYNSLQ >Vigun06g067200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19581324:19583193:1 gene:Vigun06g067200.v1.2 transcript:Vigun06g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNQTASTMEIETVPSEAKLLPQKPKFEPLKPHEMSDGEIQFRKVNVPPHRYTPLKKAWMDIYTPIFEQMKIDVRMNLKARRVELKTRTDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >VigunL059058.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:5313:5747:1 gene:VigunL059058.v1.2 transcript:VigunL059058.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRGLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFQVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFKF >Vigun03g172300.1.v1.2 pep primary_assembly:ASM411807v1:3:21059894:21069193:1 gene:Vigun03g172300.v1.2 transcript:Vigun03g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKSDPPNTRRFKLSHFLFGIGVLYLLFVSCKFPQFLRIVSTLSGDESYNRLEGAAVLDSEDSDLSKSIVSSVYRDAFHRRLEDNGDQDAPLRPNTEPKKEERLSESTMHIPPRYGRITGKIMQEFKRTNDLSVLEKMVEEAWILGLKAWQEVEKVDENEPVKSSILDGKPESCPSWVSMNGHELMKGDNLMFLPCGLAAGSSITVVGTPHYAHKEYVPQLSKKKKDGRLVSVSQFMVELQGLKSVDGEDPPKILHLNPRVRGDWSKRPVIEHNTCYRMHWGASQRCDGLPSGDEEEMLVDGYRRCEKWIRNDIIDSKESKSTSWFKRFIGREQKPEMTWPFPLIEGRMFVLTLRAGVDGYHINIGGRHVTSFPYRTGFTLEDATGLAVKGDLDVHSIYATSLPTSHPSFSPQRVLEMTETWKARPLPKHPIKLFIGVLSASNHFAERMAVRKTWMQSAPIQSSDVVARFFVALNTRTEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTVAICEYGIQNVTAAYVMKCDDDTFIRVGTVLKEIEKVAPEKPLYMGNLNLLHRPLRNGKWAVTYEEWPEEVYPPYANGPAYVISSDIVTFILSQHKDRRLRLFKMEDVSMGMWVERYNNTVAPVQYSHNWKFCQYGCMESYFTAHYQSPRQMICLWDKLSRGRARCCNFR >Vigun01g109700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28306979:28311758:1 gene:Vigun01g109700.v1.2 transcript:Vigun01g109700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKLKRSLTRKVNGRTEPKRKRKSSRSIMLVTVKGQKSSESIASDADNKTQRVITRRLSLKPVRILAKIPTFKSKNSSMESGQSPDTSLLRATCSSALKDSHFPHHIGIPQEESDSQGVSAVAKVCPYSYCSLHGHRHGNLPPLKRFVSMRRRTLKNQKPTKMDHQPVTRSDQFGNAKKATRKTQTVHCEGGKSHFQNKKKLVRDSCIRPHDTPESTVTESVKSVSTDGIQFSAPDIEMLEGEVTNTGKNMEPDHEVVEVSSVPKESTHASTTDMACGLQERDKKHINMWHLVYKHAVLSNTGKSENKQPFDGRDKEGRDQEFLATNEVNNSNCRDDCDTDHDMDDENKNVIELVQKAFDEILLPEPEELFSDDNSKSEGGDSDEVLLEKREGQTEWKTSESTESPIAQRMETKSDQRAPKSWSNLKKLILWKRFVKALEKVRNIHPQRPRRFPSDSNFEMEKVFLKHQTAEEKKHAEEWMLDYALQKVISKLAPAQRRRVTLLVEAFETVQPFQDVENSTRLSATTEHQSNLIQSLENSSNQSKEEASLSHNSTMELAVSTGDDPMIEMHSPTMLKERCVDYPETETVNNMPVFRDIEEDLNGKQCLARSYDNEKLSSDNANIFVGEIKDTRSSSLYKPTEFTSSHVEDSTNAVVNDGSEDLLSSLNTENQHIKSESSGRDVETKNLIGDNEEKISMPKNLLVEGLVRSLRSNLIGSGAPAKLSDESSADEKVKLETETVEEFPTKEQSKAPTSASVEPETPVEKQNNTGLWYLVYKHMVSNMAESNSKSVIDGEDEQESAFDESRTRGSSISYEGIPVSDQEKQFKDHAVADPEVALQQIEAIKMVEEAIDSILPDDQDDLADKESLTGNTISDNSKQSDRTEGVYSEGLNQKEEKMESGNEILQEQQKESAPKEQGKTNQPLSRSWSNLKKVILLRRFIKSLEKVRKFNPRGPRYLPLEPDSEAEKVNLRHQDMEERKGTEEWMLDYALRQVVSKLTPARKRKVELLVEAFETVIPTIRK >Vigun01g109700.2.v1.2 pep primary_assembly:ASM411807v1:1:28306976:28311768:1 gene:Vigun01g109700.v1.2 transcript:Vigun01g109700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIEGSQSDSAESQGVSGTDESVSSQPENRRLESKKKLKKVRSIRLVRLPSKRSSARGRPQYDHHLSILSSVSTENLEGVTPPDMADASPHYMKGTSSSHAKDSVQNTQRLLFTKKTLGRMSTLKLKRSLTRKVNGRTEPKRKRKSSRSIMLVTVKGQKSSESIASDADNKTQRVITRRLSLKPVRILAKIPTFKSKNSSMESGQSPDTSLLRATCSSALKDSHFPHHIGIPQEESDSQGVSAVAKVCPYSYCSLHGHRHGNLPPLKRFVSMRRRTLKNQKPTKMDHQPVTRSDQFGNAKKATRKTQTVHCEGGKSHFQNKKKLVRDSCIRPHDTPESTVTESVKSVSTDGIQFSAPDIEMLEGEVTNTGKNMEPDHEVVEVSSVPKESTHASTTDMACGLQERDKKHINMWHLVYKHAVLSNTGKSENKQPFDGRDKEGRDQEFLATNEVNNSNCRDDCDTDHDMDDENKNVIELVQKAFDEILLPEPEELFSDDNSKSEGGDSDEVLLEKREGQTEWKTSESTESPIAQRMETKSDQRAPKSWSNLKKLILWKRFVKALEKVRNIHPQRPRRFPSDSNFEMEKVFLKHQTAEEKKHAEEWMLDYALQKVISKLAPAQRRRVTLLVEAFETVQPFQDVENSTRLSATTEHQSNLIQSLENSSNQSKEEASLSHNSTMELAVSTGDDPMIEMHSPTMLKERCVDYPETETVNNMPVFRDIEEDLNGKQCLARSYDNEKLSSDNANIFVGEIKDTRSSSLYKPTEFTSSHVEDSTNAVVNDGSEDLLSSLNTENQHIKSESSGRDVETKNLIGDNEEKISMPKNLLVEGLVRSLRSNLIGSGAPAKLSDESSADEKVKLETETVEEFPTKEQSKAPTSASVEPETPVEKQNNTGLWYLVYKHMVSNMAESNSKSVIDGEDEQESAFDESRTRGSSISYEGIPVSDQEKQFKDHAVADPEVALQQIEAIKMVEEAIDSILPDDQDDLADKESLTGNTISDNSKQSDRTEGVYSEGLNQKEEKMESGNEILQEQQKESAPKEQGKTNQPLSRSWSNLKKVILLRRFIKSLEKVRKFNPRGPRYLPLEPDSEAEKVNLRHQDMEERKGTEEWMLDYALRQVVSKLTPARKRKVELLVEAFETVIPTIRK >Vigun01g109700.1.v1.2 pep primary_assembly:ASM411807v1:1:28306979:28311758:1 gene:Vigun01g109700.v1.2 transcript:Vigun01g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIEGSQSDSAESQGVSGTDESVSSQPENRRLESKKKLKKVRSIRLVRLPSKRSSARGRPQYDHHLSILSSVSTENLEGVTPPDMADASPHYMKGTSSSHAKDSVQNTQRLLFTKKTLGRMSTLKLKRSLTRKVNGRTEPKRKRKSSRSIMLVTVKGQKSSESIASDADNKTQRVITRRLSLKPVRILAKIPTFKSKNSSMESGQSPDTSLLRATCSSALKDSHFPHHIGIPQEESDSQGVSAVAKVCPYSYCSLHGHRHGNLPPLKRFVSMRRRTLKNQKPTKMDHQPVTRSDQFGNAKKATRKTQTVHCEGGKSHFQNKKKLVRDSCIRPHDTPESTVTESVKSVSTDGIQFSAPDIEMLEGEVTNTGKNMEPDHEVVEVSSVPKESTHASTTDMACGLQERDKKHINMWHLVYKHAVLSNTGKSENKQPFDGRDKEGRDQEFLATNEVNNSNCRDDCDTDHDMDDENKNVIELVQKAFDEILLPEPEELFSDDNSKSEGGDSDEVLLEKREGQTEWKTSESTESPIAQRMETKSDQRAPKSWSNLKKLILWKRFVKALEKVRNIHPQRPRRFPSDSNFEMEKVFLKHQTAEEKKHAEEWMLDYALQKVISKLAPAQRRRVTLLVEAFETVQPFQDVENSTRLSATTEHQSNLIQSLENSSNQSKEEASLSHNSTMELAVSTGDDPMIEMHSPTMLKERCVDYPETETVNNMPVFRDIEEDLNGKQCLARSYDNEKLSSDNANIFVGEIKDTRSSSLYKPTEFTSSHVEDSTNAVVNDGSEDLLSSLNTENQHIKSESSGRDVETKNLIGDNEEKISMPKNLLVEGLVRSLRSNLIGSGAPAKLSDESSADEKVKLETETVEEFPTKEQSKAPTSASVEPETPVEKQNNTGLWYLVYKHMVSNMAESNSKSVIDGEDEQESAFDESRTRGSSISYEGIPVSDQEKQFKDHAVADPEVALQQIEAIKMVEEAIDSILPDDQDDLADKESLTGNTISDNSKQSDRTEGVYSEGLNQKEEKMESGNEILQEQQKESAPKEQGKTNQPLSRSWSNLKKVILLRRFIKSLEKVRKFNPRGPRYLPLEPDSEAEKVNLRHQDMEERKGTEEWMLDYALRQVVSKLTPARKRKVELLVEAFETVIPTIRK >Vigun05g174600.2.v1.2 pep primary_assembly:ASM411807v1:5:31961689:31963458:-1 gene:Vigun05g174600.v1.2 transcript:Vigun05g174600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSRWKWWFLVVINVAILLMGQSGAVLLGRFYFDQGGKSIWMATLVQSVAFPILFFPLFFFPHSENLSVTTDITINSSTHTVIMVYIFLGTLLAGDNLMYTMGLLYLPVSTYSLICASQLAFNAIFSFFINAQKLTLLVLNTVVFLTISASLLAVHSDSSENEMSNVTKSKHMVGIWCTIGASAGYALLLCLMQVSFERVLKRETFSVVLDMQIWTSFVASCVCIVGMFASGEGQGLKDEMKSFKAGREVYILTLVGTAFAWQICSVGVVGLIYLVSSFFSNVMSMLSLPLVPVAAVLLYHEHIDGVKIVAMLLSIFGFSSYIYQNYLDDTKSKAPEIHHTATAETSIS >Vigun05g174600.1.v1.2 pep primary_assembly:ASM411807v1:5:31961689:31963458:-1 gene:Vigun05g174600.v1.2 transcript:Vigun05g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADREIESSSHYHPGQNIAADDEVKVLVGVSTTTDEDEQSMLLLSRWKWWFLVVINVAILLMGQSGAVLLGRFYFDQGGKSIWMATLVQSVAFPILFFPLFFFPHSENLSVTTDITINSSTHTVIMVYIFLGTLLAGDNLMYTMGLLYLPVSTYSLICASQLAFNAIFSFFINAQKLTLLVLNTVVFLTISASLLAVHSDSSENEMSNVTKSKHMVGIWCTIGASAGYALLLCLMQVSFERVLKRETFSVVLDMQIWTSFVASCVCIVGMFASGEGQGLKDEMKSFKAGREVYILTLVGTAFAWQICSVGVVGLIYLVSSFFSNVMSMLSLPLVPVAAVLLYHEHIDGVKIVAMLLSIFGFSSYIYQNYLDDTKSKAPEIHHTATAETSIS >VigunL009950.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000253.1:9062:9781:-1 gene:VigunL009950.v1.2 transcript:VigunL009950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun01g129500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30733032:30735938:-1 gene:Vigun01g129500.v1.2 transcript:Vigun01g129500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTYPLQSGNATPQQLATFSSPLEVILGSHVEHQMYCHLLCGLRNLDVIDGIATPYAIGLIKAFGLLESKWGQLCDDLDHGFPCNEISEGAMREAVTKTLGGPQPDLADRIRLICEGNNWGGIVCRLWPNSRYIRCVTTGSMKQYYQKLKYYAGEVPILGGDYLASECCIGLNLDMMQPPETTRFVILPTFAYFEFLPFDMNEDNVSKETVELCSVEVGKMYEVVVTTYRGLYRYRLGDIVRVVGFHNSSPEVDYVMRAPKNPAEIVTEKDLISAVEDFQLALRDAMKIEIVEYASFLDQGSLQKQLKVFVEVQDESNFLEDKLEESVKVFRSCMSTLESGLGAIYKVQRDKGQLRNLLLFIVRPGAFDQLSDIAIQNGTSASQYKPPKIIRNHEVVKLLENLAIVIVSFDG >Vigun01g129500.1.v1.2 pep primary_assembly:ASM411807v1:1:30733032:30735938:-1 gene:Vigun01g129500.v1.2 transcript:Vigun01g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDEELMQKLEDLTINAQHHQLETLRSILLHNATVRYLQPFFNNSPLPLDHSTFTRLVPLSSHGDYVDYINLMADGKDDPFLSVDPLRCFFYSSGTSSSTMRPKLIPYFDSSLCKAASFIGHRGSIAVLQRVFPPRPEVNKILFFLYADNTTTTKCGLKVMPASTYPLQSGNATPQQLATFSSPLEVILGSHVEHQMYCHLLCGLRNLDVIDGIATPYAIGLIKAFGLLESKWGQLCDDLDHGFPCNEISEGAMREAVTKTLGGPQPDLADRIRLICEGNNWGGIVCRLWPNSRYIRCVTTGSMKQYYQKLKYYAGEVPILGGDYLASECCIGLNLDMMQPPETTRFVILPTFAYFEFLPFDMNEDNVSKETVELCSVEVGKMYEVVVTTYRGLYRYRLGDIVRVVGFHNSSPEVDYVMRAPKNPAEIVTEKDLISAVEDFQLALRDAMKIEIVEYASFLDQGSLQKQLKVFVEVQDESNFLEDKLEESVKVFRSCMSTLESGLGAIYKVQRDKGQLRNLLLFIVRPGAFDQLSDIAIQNGTSASQYKPPKIIRNHEVVKLLENLAIVIVSFDG >Vigun01g129500.3.v1.2 pep primary_assembly:ASM411807v1:1:30733032:30735938:-1 gene:Vigun01g129500.v1.2 transcript:Vigun01g129500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDEELMQKLEDLTINAQHHQLETLRSILLHNATVRYLQPFFNNSPLPLDHSTFTRLVPLSSHGDYVDYINLMADGKDDPFLSVDPLRCFFYRVFPPRPEVNKILFFLYADNTTTTKCGLKVMPASTYPLQSGNATPQQLATFSSPLEVILGSHVEHQMYCHLLCGLRNLDVIDGIATPYAIGLIKAFGLLESKWGQLCDDLDHGFPCNEISEGAMREAVTKTLGGPQPDLADRIRLICEGNNWGGIVCRLWPNSRYIRCVTTGSMKQYYQKLKYYAGEVPILGGDYLASECCIGLNLDMMQPPETTRFVILPTFAYFEFLPFDMNEDNVSKETVELCSVEVGKMYEVVVTTYRGLYRYRLGDIVRVVGFHNSSPEVDYVMRAPKNPAEIVTEKDLISAVEDFQLALRDAMKIEIVEYASFLDQGSLQKQLKVFVEVQDESNFLEDKLEESVKVFRSCMSTLESGLGAIYKVQRDKGQLRNLLLFIVRPGAFDQLSDIAIQNGTSASQYKPPKIIRNHEVVKLLENLAIVIVSFDG >Vigun02g099000.1.v1.2 pep primary_assembly:ASM411807v1:2:25427692:25430592:-1 gene:Vigun02g099000.v1.2 transcript:Vigun02g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKVLVVGGTGYVGRRIVKASLEQGHETYVLQRPELGLDIEKLQMLLSFKKQGAHLVEASFSDHQSLVDAVKLVDVVICTMSGVHFRSHNLLLQLQLVEAIKVAGNVKRFLPSEFGMDPALMGHALEPGRVTFDEKMTVRKAIEDANIPFTYISANCFAGYFVGNLSQMGTLLPPRGKVILYGDGNVKAVFMDEDDVATYTIKTIDDPRTLNKTVYLRPPENILSQRQLIEKWEKLTGKQLEKSSINEQDFLASMKGLDYAGQVGLGHFYHVFYEGCLTNFEIGEGGEEASALYPEVNYTRMDEYLKVYV >Vigun07g189800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30797325:30798477:1 gene:Vigun07g189800.v1.2 transcript:Vigun07g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLGVAFIALFILDLAFAARVPESFFTGRGGGGGGGGGGGGGGGGGIGRGSGYGSGYGSGGGEGYGGGIGGSGGGGGRGGGGGGGGGTGGSGFGSGSGYGYGSGSGSGIGGGTNGGGGGGEGGGGGGGGGNGGSGFGSGEGRGFGSGSGSGRGGQGGGGGGGGGGGGGGGGSNGGSGYGSGSGYGYGSGWGGGGNDEFP >Vigun06g146100.3.v1.2 pep primary_assembly:ASM411807v1:6:27142022:27144884:1 gene:Vigun06g146100.v1.2 transcript:Vigun06g146100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMCVCQHGRRICGPSYPLLRYFIASISSDQKNGLRKIFNGAEISNPGTPLMANNLSLTPRSTDDALHRLNAGITILGRQGKLEEAKKLFDEMPQRDDVSYNSMITVYLKNKDLLGAETVFRAMPQKNVVAESAMIDGYAKVGRLDEARKAFDSMIHRNAFSWTSLISGYFSYGRIDEALHLFDQMPARNVVSWTAVVSGFARNGLMHHARKYFDLMPEKNIIAWTAMVKAYLDNDCFNEAYKLFLEMPERNVRSWNIMISGCLRVNRVNEAISLFESMPDKNHVSWTAMVSGLAQNKMIGIARKYFDLMPHKDMAAWTAMITACVDEGHMDEARLIFNLVPEKKVGSWNTMIDGYARNGDTTEALCLFVLMLRSCVRPNETTMTSVLTSCDGMVELIQAHAMVIRLGFEPNTWLTNALITLYSKSGDLRSARLSFELLKSKDVVSWTAMIVAYSNHGHGHHALQVFARMLVSGIKPDEVTFVGLLSACSHAGLVNQVVQIGTKRFRSDLEIQLHYD >Vigun06g146100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27142031:27144884:1 gene:Vigun06g146100.v1.2 transcript:Vigun06g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMCVCQHGRRICGPSYPLLRYFIASISSDQKNGLRKIFNGAEISNPGTPLMANNLSLTPRSTDDALHRLNAGITILGRQGKLEEAKKLFDEMPQRDDVSYNSMITVYLKNKDLLGAETVFRAMPQKNVVAESAMIDGYAKVGRLDEARKAFDSMIHRNAFSWTSLISGYFSYGRIDEALHLFDQMPARNVVSWTAVVSGFARNGLMHHARKYFDLMPEKNIIAWTAMVKAYLDNDCFNEAYKLFLEMPERNVRSWNIMISGCLRVNRVNEAISLFESMPDKNHVSWTAMVSGLAQNKMIGIARKYFDLMPHKDMAAWTAMITACVDEGHMDEARLIFNLVPEKKVGSWNTMIDGYARNGDTTEALCLFVLMLRSCVRPNETTMTSVLTSCDGMVELIQAHAMVIRLGFEPNTWLTNALITLYSKSGDLRSARLSFELLKSKDVVSWTAMIVAYSNHGHGHHALQVFARMLVSGIKPDEVTFVGLLSACSHAGLVNQGRRLFDSMKGTYNLNPKAEHYSCLVDILSRAGLMDEAMDVVSTIPPSERDEVILMALLGACRLHGDVVMANSIGEKLLELEPSSSGGYVLLANTYAAEGQWDEFAQVRKRMKERYLNKIPGYSQIQIKGKNHVFVVGERSHPQIAEIYRLLQQNLQPLMTEMGSTQENMLLVD >Vigun06g146100.2.v1.2 pep primary_assembly:ASM411807v1:6:27142022:27144884:1 gene:Vigun06g146100.v1.2 transcript:Vigun06g146100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMCVCQHGRRICGPSYPLLRYFIASISSDQKNGLRKIFNGAEISNPGTPLMANNLSLTPRSTDDALHRLNAGITILGRQGKLEEAKKLFDEMPQRDDVSYNSMITVYLKNKDLLGAETVFRAMPQKNVVAESAMIDGYAKVGRLDEARKAFDSMIHRNAFSWTSLISGYFSYGRIDEALHLFDQMPARNVVSWTAVVSGFARNGLMHHARKYFDLMPEKNIIAWTAMVKAYLDNDCFNEAYKLFLEMPERNVRSWNIMISGCLRVNRVNEAISLFESMPDKNHVSWTAMVSGLAQNKMIGIARKYFDLMPHKDMAAWTAMITACVDEGHMDEARLIFNLVPEKKVGSWNTMIDGYARNGDTTEALCLFVLMLRSCVRPNETTMTSVLTSCDGMVELIQAHAMVIRLGFEPNTWLTNALITLYSKSGDLRSARLSFELLKSKDVVSWTAMIVAYSNHGHGHHALQVFARMLVSGIKPDEVTFVGLLSACSHAGLVNQGRRLFDSMKGTYNLNPKAEHYSCLVDILSRAGLMDEAMDVVSTIPPSERDEVILMALLGACRLHGDVVMANSIVVQIGTKRFRSDLEIQLHYD >Vigun03g288200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47047569:47048548:-1 gene:Vigun03g288200.v1.2 transcript:Vigun03g288200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKERTKESKLSHYMKAPLRFLKKARDMYVQGMIHCSAQLSNVDAAMGCPTAHPSMLPRSFSVGSATRSAASDDDFKELIRAASLRTYGTAFDFGEAAAMKMKMPRSRSVGIGRIDEDKPCEFGDDEAIKVRPRPNVYPRSKSYSTRRAAPGLF >Vigun03g213932.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35564143:35570062:-1 gene:Vigun03g213932.v1.2 transcript:Vigun03g213932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEDISTWEDKLKEGESYIMHNFKILNNRAQYRVCDHPFKLLFIGATFVRRQPIASIPTKVWKFKSIKDIIDGNYSAYLLVGKFFILHSYMFAIFLLCLLTVLFFHFRCHWCGG >Vigun05g083800.1.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7933918:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYLDLSRNQFTGTIPSNKLADNMTTIDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun05g083800.3.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7930136:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYFFHSPFTFFFFAGYSLMPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYLDLSRNQFTGTIPSNKLADNMTTIDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun05g083800.2.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7933918:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYLDLSRNQFTGTIPSNKLADNMTTIDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun05g083800.6.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7933870:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYFDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun05g083800.4.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7930331:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFSGYSLMPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYLDLSRNQFTGTIPSNKLADNMTTIDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun05g083800.5.v1.2 pep primary_assembly:ASM411807v1:5:7920077:7933870:-1 gene:Vigun05g083800.v1.2 transcript:Vigun05g083800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGHAFAILCCFIILTEASQTAPSEVNALIDIKKSLIDPKNNLRNWNSGDPCMANWTGVHCFDRAEADGYFHVQKLYLMTMNLSGSLAPQLGQLSHLQILSFMWNNLTGTIPKEIGNVLPLELLLLSGNKLSGNLPDELGNLWNLDRLQVDENQLSGPIPESFGNMAKVKHLHMNNNSFCCQLPSTLSNLSNLVHLLVDNNNLSGNLPPGFSVLQRLRILQLDNNNFNGNEIPFTYGNFSGLVKLSLRNCNLQGTIPDFSSITNLSYFDLSNNLLEGPIPLSFIYPNLQKLSLENNLLNGSIPASIWQDRAFNTEDKLKINLENNSLVNVLGNLNPPANVTLRLYGNTVCDNSNIENIGQYCGPGGDEEHEVVKDPNNASSVCLIQDCQADNSYELYVPSFPIPCYCAAPLIIGYRLKSPSFSYFAPYFAMFVIYVTDSLSLEHYQLSISAWEDGNRITMYLKLFPSYDSHLNMFNESEVDRIKTTFTSWRFPPNHFFGPYELLSFTLVGPYTATTDSKRGRSNSLILVAAIISAVASIATSALIIFLLFRRRAKYLNRSSRKLKSPSVHIKIDSVKEFTFIELAIATNNFSSSSIIGKGGYGDVHKGILSGETLVAIKRAAEDSLQGQKEFLTEIELLSRLHHRNLVSLIGYCNEEEEQMLVYEFMPNGTLRDWISGKSEKANERQDFGMRLKTALDAAKGVLYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLASEGSGTKYISTVVKGTPGYLDPEYVSTHMFTDKSDIYSLGVVFLELLTGMQPISRGKHIVTEVNAACRSGMIYSVIERRMGLYPSDCLDKFLTLALRCCEDKPEERPSILDVVRELEDIAAMLSQSDEASFPDVAIDNSGEMATSSSLGSNAAREDQHKYAYVSGSNLVSGVIPTIVPR >Vigun04g022800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1737083:1742261:1 gene:Vigun04g022800.v1.2 transcript:Vigun04g022800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHFHSKREITSTNNKNTTNGLLPPPLKISKESHFIKKSSPQSSSSSSSSISSSLVNTAMPASSYRPQQQRHPVIIYTHSPKVIHTQPKDFMSLVQKLTGLSRSDSEDEEEDDDNPPPPQQQLKQESGGSLAAVMGDKESDRESMIIVRNEENEASSVLTEENNCSSSMGENQVNSCFMAGEGPIIEPPMTPYVTMMAAPSSTKFVCSSPPLMNYSDSLFFSHNLRTSIPSPATLEGTKDFRDH >Vigun04g022800.2.v1.2 pep primary_assembly:ASM411807v1:4:1740464:1742261:1 gene:Vigun04g022800.v1.2 transcript:Vigun04g022800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGGTTLTFSFECAATPRHGKHRSQSDAWSPPIDSFAPFRFSRHLSTSSILRKTSNYPSRILTLHPIKANSIIDSITITVSRVSDCHLSSKPKAMSPSHFHSKREITSTNNKNTTNGLLPPPLKISKESHFIKKSSPQSSSSSSSSISSSLVNTAMPASSYRPQQQRHPVIIYTHSPKVIHTQPKDFMSLVQKLTGLSRSDSEDEEEDDDNPPPPQQQLKQESGGSLAAVMGDKESDRESMIIVRNEENEASSVLTEENNCSSSMGENQVNSCFMAGEGPIIEPPMTPYVTMMAAPSSTKFVCSSPPLMNYSDSLFFSHNLRTSIPSPATLEGTKDFRDH >Vigun11g087732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26246822:26247544:-1 gene:Vigun11g087732.v1.2 transcript:Vigun11g087732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLEGAAVDLEVAMLDLEVAVLYVEVTLVVSAVDVEVAEDFRSYPYAKCIADCSLHVLRWSRSLVLVLRHCLIYFVLEHKYHYEVSFIYIYIYIYIYIYIYIYIYIYIYIYI >Vigun11g018600.1.v1.2 pep primary_assembly:ASM411807v1:11:2321856:2324784:1 gene:Vigun11g018600.v1.2 transcript:Vigun11g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLGGCAKFFASSKPYLAMISLQFGYAGMNIITKVSLNQGMSHYVLVVYRHAFATAVIAPFAFIFERKGQPKITFPVFMQIFILALLGPVIDQNFYYAGLKLTSPTFSCAMSNMLPAMTFVMAVFCRMEKINMKQVRCIAKVVGTLVTVAGAMLMTLYRGPIVEMFWAKHPHNKANGATSTGSLDKDWFLGCIFLIIATLAWASLFVLQAKAIQTYKNHQLSLTSLVCFIGTVQAIAVTFVVEHNPSVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKKGPVFATAFSPLMMIIVAIMGSFILAEQIYLGGVIGAILIVIGLYSVLWGKHKEQIESKVADEIPLPVKDGQSSVISGLNMMDVTNDFSEEKYVQKEDFKNNKASSVVISMPIAEPLTKDNKEGKA >Vigun03g043500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3489367:3490950:1 gene:Vigun03g043500.v1.2 transcript:Vigun03g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTALMFLSAIAAYLIWFTFVTRSLKGPRVWPLLGSLPGLIQHANRMHDWIADNLRACGGTYQTCICALPFLARKQCLVTVTCDPKNLEHILKLRFDNYPKGPTWQSAFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKHRFCPILATAQQDHKPVDLQDLLLRLTFDNICGLAFGQDPQTLAAGLPDNAFSISFDSATEATLQRFILPEMLWKLKRWLRLGMEVSLSRSLKHIDQYLSSIIKNRKLELLNGTASHHDDLLSRFMRKKETYSDEFLQHVALNFILAGRDTSSVALSWFFWLCIKNPRVEENILRELCTVLLSTRGEDISTWLEEPLVFDEVDRLVYLKAALSETLRLYPSVPEDSKHVVEDDVLPNGTFVPAGSAVTYSIYSVGRMKFVWGEDCLEFKPERWLSPEGDRIQLQDSYKFVSFNAGPRLCLGKDLAYLQMKSIAAAVLLRHRLEVAAGHRVEQKMSLTLFMKYGLKVNVCPRDLKPVLEKIKTTEGVNVGQK >Vigun11g175700.1.v1.2 pep primary_assembly:ASM411807v1:11:38054362:38057564:-1 gene:Vigun11g175700.v1.2 transcript:Vigun11g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSQKYNPYFPCSSFPLHNPTTTTCTIFNCQNPKEHHSILRKHNSKSTAYLLQHLALKDGVPISTSIPKPSELLDPMPHDEKVKVLELSLVRKRTPQFPGSIYAQSPSDPDVGSSLPPIRTLFQSGADPDDKHEKEEEEEEMILRALEIRRKVTEEVFKEAMRKGKFGITYTTNLTGRLSGFLDHIMIEAANLKRLPGFSNSSFNLRAKTVIDESKVVPLIRWLKHNALSYPRIAKIILKSRGKPESIRSFVMWLKSVRVKGEFLGVVMLKAGDDILQRRHEELDEIVQYLESNGVRREWMGYVISRCPQLLSYSLEEVKTRTHFFLDMGLNEKDFGTMVFDFPRVLGYYSLEEMNEKVNYLKEFGLQLEDVGKLLAFRPQLMACSIEEHWKPLVKYLYYYGITRDGMKRMLIIKPMVFCADLEKTIVPKVKFFEDIGVHNEGIGNMLVKFPPLLTYSLYKKIRPVVIFLMTKAGVTEKDIGKVVALGPELLGCNIVHKLDVNVKYFLSLGIRLRQLGEMITDFPLLLRYNPDVLRPKYIYLRKSMIRPLEDVIEFPRFFSYSLEGRIIPRHKVLVENHINIKLRYMLACTDEEFHKMVKDLIGKRRKFESAVTEDISTPQA >Vigun03g002300.2.v1.2 pep primary_assembly:ASM411807v1:3:172223:174435:-1 gene:Vigun03g002300.v1.2 transcript:Vigun03g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSIFMLLICMVLQMANNECEGSTTSKQRINFVNMKEVIDSQRQKIIDMEDTNVLKADCKRDLKESLKMALKMVKRETLMDKECQKELEQMKDMNSNMSGELKRMKKDNEMMAKELAESKALNDKLQEKLTEDNRRMTMKLVEENSRIIRKLTEENDRIMGKRKKSETLDEDEYKELKAKVAELEEELVNSKDLNQALIIKEREISDELEKARKKLMVEITEISCLYDNICVKRVGEIDTEPFIRTFRAKNNMSKEEAEHTALKMCSLWQKNVEDPHWYPFQIITIEGKSKEVLDEEDGRLKRLKVELGFRAYKAVVAALMEMNEYNSSGRFIVREIWNKEKGRRATLKEGIEFMINQIKSKRRKTQEMVDDEADENGDDDDPPIRKNEC >Vigun03g002300.1.v1.2 pep primary_assembly:ASM411807v1:3:172079:174449:-1 gene:Vigun03g002300.v1.2 transcript:Vigun03g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNECEGSTTSKQRINFVNMKEVIDSQRQKIIDMEDTNVLKADCKRDLKESLKMALKMVKRETLMDKECQKELEQMKDMNSNMSGELKRMKKDNEMMAKELAESKALNDKLQEKLTEDNRRMTMKLVEENSRIIRKLTEENDRIMGKRKKSETLDEDEYKELKAKVAELEEELVNSKDLNQALIIKEREISDELEKARKKLMVEITEISCLYDNICVKRVGEIDTEPFIRTFRAKNNMSKEEAEHTALKMCSLWQKNVEDPHWYPFQIITIEGKSKEVLDEEDGRLKRLKVELGFRAYKAVVAALMEMNEYNSSGRFIVREIWNKEKGRRATLKEGIEFMINQIKSKRRKTQEMVDDEADENGDDDDPPIRKNEC >Vigun04g101100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23493947:23495451:1 gene:Vigun04g101100.v1.2 transcript:Vigun04g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCSCWSKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun03g270300.1.v1.2 pep primary_assembly:ASM411807v1:3:44389521:44395014:1 gene:Vigun03g270300.v1.2 transcript:Vigun03g270300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGMGYEGLIPRHKRSKSFPDKKRMEDDNADNHLETSDRTKLDTGYLTECGKPRKKQTPTNDVQLTLKQEILQLEKRLQDQFEVRCTLEKALGYRPKSLVTSNDKILPKPTSQLIKEIAVLELEVVYLEQYLLSLYRKAFDEQLPSVVPFTKGEKIKSSPTAPTAIFVEVSKPELLTKRGSSAVQSIHHELDATQKEYSVNEPQTLGKEYSVHQPEGKHLDSGVYRCHSSLSQCTAFTTRVSPPAADELTESLRACHSQPLSMMEYAQNVDASSRIISLAEHLGTRISDHIPNTPNRLSEDMVKCISAIYCKLADPSTTNPGLSSPSSSLSTTSGFSIGDQGDMWSPGFRNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWIYRENQKLGDTEQLLKNFRSLICQLEDVDPGKLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRVLLLLKAAYNVGGHTVSADTIQKAILGCQMPRPGQWLRLFFSPRTKFKAGDGRQAFGIEHPEPLLHFALCSGNHSDPAVRVYTPKRVVQELEAAKEEYIRATFGVRKDQKILLPKLVESFCKDSSLCSAGTLEMIQKSLPESLRKSVKKYCLAKSKKSIEWIPHNFTFRYLIPKELVK >Vigun03g270300.2.v1.2 pep primary_assembly:ASM411807v1:3:44389521:44395014:1 gene:Vigun03g270300.v1.2 transcript:Vigun03g270300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGMGYEGLIPRHKRSKSFPDKKRMEDDNADNHLETSDRTKLDTGYLTECGKPRKKQTPTNDVQLTLKQEILQLEKRLQDQFEVRCTLEKALGYRPKSLVTSNDKILPKPTSQLIKEIAVLELEVVYLEQYLLSLYRKAFDEQLPSVVPFTKGEKIKSSPTAPTAIFVEVSKPELLTKRGSSAVQSIHHELDATQKEYSVNEPQTLGKEYSVHQPEGKHLDSGVYRCHSSLSQCTAFTTRVSPPAADELTESLRACHSQPLSMMEYAQNVDASSRIISLAEHLGTRISDHIPNTPNRLSEDMVKCISAIYCKLADPSTTNPGLSSPSSSLSTTSGFSIGDQGDMWSPGFRNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVSWIYRENQKLGDTEQLLKNFRSLICQLEDVDPGKLKHEEKLAFWINIHNALVMHAFLAYGIPQNNVKRVLLLLKAAYNVGGHTVSADTIQKAILGCQMPRPGQWLRLFFSPRTKFKAGDGRQAFGIEHPEPLLHFALCSGNHSDPAVLIPILLYVYIHPRE >Vigun07g199900.3.v1.2 pep primary_assembly:ASM411807v1:7:32060737:32067559:1 gene:Vigun07g199900.v1.2 transcript:Vigun07g199900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKVGMQTLDDDLHPLSNGTVEDSLQSELEKILQEQRNQQFINRERDFNICRSGSAPPTVEGSLSAFGSLRNSDFGLINDRRSSNSGLLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFHGGGSSSIEGFGDWRKNLATNGDSSSLFSMQPGFSVQQAENDLMELRRTSGWNVSRQASNQMLDRHMDGLTRMSGAGLGGRRMGFTDIIQQEGLEQPASLSSTMSRPASHSAFGDIMGSTGIVDHESFEGLRSSASTPGLVGLQNHGVNLSGSFASAVGTSLSRVKTPEPQVIGRPMGSKVFNEKSGIGLGTQHGHSSNMTDLSDVVSSLSGLNLSGSRHAEQDNLLKSKLQMEVDNHADVLLSSQCNVNLHRRNEIMSNLNTYSSNEQVNLLKKTASSANLRANMHSSGNATSLPTADFTGHMPSAHLVNSKLNSVYNNNLETAMRLRRDAQSLDAQVNQVGPELHSTTLDPRFIQCLQQSSDYSMQGMSSSGHPLQIRNFPDPSSHGDLEGLRKAYLETLLNQQKQQFELPLLSKSGLNNGFYGNQPYGLGMPYPGKQIANSTLPALGSGNPLFENERISRINSMMRSSMGGSGGSWHPDIGNNMDSRFASSLLDEFKNKKTRPFELSDIVDHVVQFSTDQYGSRFIQQKLETASAEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRKELASQLSGHVLPLSLQMYGCRVIQKALEVVDGDQQGQMVSELNGAIMKCVRDQNGNHVIQKCIECVPQDKIQFIVSSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQQIIMDEIMQSVSTLAQDQYGNYVIQHIVEHGKPHERTAIINKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >Vigun07g199900.1.v1.2 pep primary_assembly:ASM411807v1:7:32060227:32067559:1 gene:Vigun07g199900.v1.2 transcript:Vigun07g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKVGMQTLDDDLHPLSNGTVEDSLQSELEKILQEQRNQQFINRERDFNICRSGSAPPTVEGSLSAFGSLRNSDFGLINDRRSSNSGLLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFHGGGSSSIEGFGDWRKNLATNGDSSSLFSMQPGFSVQQAENDLMELRRTSGWNVSRQASNQMLDRHMDGLTRMSGAGLGGRRMGFTDIIQQEGLEQPASLSSTMSRPASHSAFGDIMGSTGIVDHESFEGLRSSASTPGLVGLQNHGVNLSGSFASAVGTSLSRVKTPEPQVIGRPMGSKVFNEKSGIGLGTQHGHSSNMTDLSDVVSSLSGLNLSGSRHAEQDNLLKSKLQMEVDNHADVLLSSQCNVNLHRRNEIMSNLNTYSSNEQVNLLKKTASSANLRANMHSSGNATSLPTADFTGHMPSAHLVNSKLNSVYNNNLETAMRLRRDAQSLDAQVNQVGPELHSTTLDPRFIQCLQQSSDYSMQGMSSSGHPLQIRNFPDPSSHGDLEGLRKAYLETLLNQQKQQFELPLLSKSGLNNGFYGNQPYGLGMPYPGKQIANSTLPALGSGNPLFENERISRINSMMRSSMGGSGGSWHPDIGNNMDSRFASSLLDEFKNKKTRPFELSDIVDHVVQFSTDQYGSRFIQQKLETASAEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRKELASQLSGHVLPLSLQMYGCRVIQKALEVVDGDQQGQMVSELNGAIMKCVRDQNGNHVIQKCIECVPQDKIQFIVSSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQQIIMDEIMQSVSTLAQDQYGNYVIQHIVEHGKPHERTAIINKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >Vigun07g199900.2.v1.2 pep primary_assembly:ASM411807v1:7:32060227:32067559:1 gene:Vigun07g199900.v1.2 transcript:Vigun07g199900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKVGMQTLDDDLHPLSNGTVEDSLQSELEKILQEQRNQQFINRERDFNICRSGSAPPTVEGSLSAFGSLRNSDFGLINDRRSSNSGLLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFHGGGSSSIEGFGDWRKNLATNGDSSSLFSMQPGFSVQQAENDLMELRRTSGWNVSRQASNQMLDRHMDGLTRMSGAGLGGRRMGFTDIIQEGLEQPASLSSTMSRPASHSAFGDIMGSTGIVDHESFEGLRSSASTPGLVGLQNHGVNLSGSFASAVGTSLSRVKTPEPQVIGRPMGSKVFNEKSGIGLGTQHGHSSNMTDLSDVVSSLSGLNLSGSRHAEQDNLLKSKLQMEVDNHADVLLSSQCNVNLHRRNEIMSNLNTYSSNEQVNLLKKTASSANLRANMHSSGNATSLPTADFTGHMPSAHLVNSKLNSVYNNNLETAMRLRRDAQSLDAQVNQVGPELHSTTLDPRFIQCLQQSSDYSMQGMSSSGHPLQIRNFPDPSSHGDLEGLRKAYLETLLNQQKQQFELPLLSKSGLNNGFYGNQPYGLGMPYPGKQIANSTLPALGSGNPLFENERISRINSMMRSSMGGSGGSWHPDIGNNMDSRFASSLLDEFKNKKTRPFELSDIVDHVVQFSTDQYGSRFIQQKLETASAEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRKELASQLSGHVLPLSLQMYGCRVIQKALEVVDGDQQGQMVSELNGAIMKCVRDQNGNHVIQKCIECVPQDKIQFIVSSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQQIIMDEIMQSVSTLAQDQYGNYVIQHIVEHGKPHERTAIINKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >Vigun07g199900.4.v1.2 pep primary_assembly:ASM411807v1:7:32060737:32067559:1 gene:Vigun07g199900.v1.2 transcript:Vigun07g199900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKVGMQTLDDDLHPLSNGTVEDSLQSELEKILQEQRNQQFINRERDFNICRSGSAPPTVEGSLSAFGSLRNSDFGLINDRRSSNSGLLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFHGGGSSSIEGFGDWRKNLATNGDSSSLFSMQPGFSVQQAENDLMELRRTSGWNVSRQASNQMLDRHMDGLTRMSGAGLGGRRMGFTDIIQEGLEQPASLSSTMSRPASHSAFGDIMGSTGIVDHESFEGLRSSASTPGLVGLQNHGVNLSGSFASAVGTSLSRVKTPEPQVIGRPMGSKVFNEKSGIGLGTQHGHSSNMTDLSDVVSSLSGLNLSGSRHAEQDNLLKSKLQMEVDNHADVLLSSQCNVNLHRRNEIMSNLNTYSSNEQVNLLKKTASSANLRANMHSSGNATSLPTADFTGHMPSAHLVNSKLNSVYNNNLETAMRLRRDAQSLDAQVNQVGPELHSTTLDPRFIQCLQQSSDYSMQGMSSSGHPLQIRNFPDPSSHGDLEGLRKAYLETLLNQQKQQFELPLLSKSGLNNGFYGNQPYGLGMPYPGKQIANSTLPALGSGNPLFENERISRINSMMRSSMGGSGGSWHPDIGNNMDSRFASSLLDEFKNKKTRPFELSDIVDHVVQFSTDQYGSRFIQQKLETASAEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRKELASQLSGHVLPLSLQMYGCRVIQKALEVVDGDQQGQMVSELNGAIMKCVRDQNGNHVIQKCIECVPQDKIQFIVSSFYGQVVALSTHPYGCRVIQRVLEHCDDLNTQQIIMDEIMQSVSTLAQDQYGNYVIQHIVEHGKPHERTAIINKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >Vigun01g124500.1.v1.2 pep primary_assembly:ASM411807v1:1:30142317:30143464:1 gene:Vigun01g124500.v1.2 transcript:Vigun01g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVSLPMDTAKVQHVTKKSSDELLKKFAEVGCDDGGKRRRKKRKEHELVDCDSPSRGGAAVVERRSLLPPKLTRRSVVLRQLRVRNKSSLFGNIHKTWRRTIEGASRVFLEKHYHRHKRLINDSV >Vigun05g203500.1.v1.2 pep primary_assembly:ASM411807v1:5:39196948:39198428:-1 gene:Vigun05g203500.v1.2 transcript:Vigun05g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSVSHFTHLSSLIIMPPLTNLHGVSKTLIPLTCLPNAHNTIKRAQVVGFLGSKTQEEEEEEEASECSVQTTRRAAAIGLTTLVLTWPFNDKISSAKDNGFWVEDHPLPRLTVTNNIANEKTGTRSFLKRGLFMPDIGLKGSVQRIKRYSFDLLAMADLVHADTLNYVRKYLRLKSTIIYYDFDKLISATPVDDEKQQLTDMANKLFDNFERLEEASRKKSLPETQSCYQETEVLLKDVMDRMDVLYETI >Vigun03g035300.1.v1.2 pep primary_assembly:ASM411807v1:3:2718817:2722028:1 gene:Vigun03g035300.v1.2 transcript:Vigun03g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEFALGSVFKEKGQWEGQPGHCSGEPILIYLTVDGAVTPMRVLESDSIASVKLRIQQCKGFVVKKQKLVFGGRELARSGTLIKEYGVADGNVLHMVLRLSDLLFIVVRTVSGKEFEFHIDRHRNVGYLKQRIRKKGEGFIDLEDDQEFFCNDEKLDDQRVFHDICKSEDDVIHLIVKKSAKIRTTPIQKDLKLSVEAPGETVKHNRDNHVHIANVPPDVCFWLEPIVVNPKINFFPFLWDMINSTFEGLERGNDPMRSSEGTGGTYFMQDSTGQEYVSVFKPMDEEPMAVNNPRGLPSSPNGEGLKIGTKVGEGALREVAAYLLDHPKSGSRMLTGEEAGFSGVPPTVMVQCLHQKFNHPNGFSCSAKDVKIGSLQKFTSNDGNCEDFGPWAFPVEEVHKITVLDIRLANADRHGGNILIRKEAGGKIKLIPIDHGYCLPDKFEDCTFDWLYWPQARQPYSPETIEYINSLDAEKDLELLKCYGWDMPLECARTLRISTMLLKKGVERGLTPYEIGSIMCRENLNKESVIEQIIGEAQDSLLPGMEEAEFLEAISQSMDSHLDKLAK >Vigun08g098500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23887707:23889790:1 gene:Vigun08g098500.v1.2 transcript:Vigun08g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMDFYNGQPQVQSDPFRGELMEVLEPFMKSPSSTPSLSSDSALPSTSTSTSYSYSPSPLSFPPHPNFFYSDPSSSYLFQTQTLIGFEQQPASVLGLNHLSPFQVSQIQAQMQAQSQTSLSLNFLGPKPVAMKHVGGPPKPPKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYRLRGDFARLNFPNLKGSCLGEYKPLQSAVDAKLDAICQSLAEMPKQGKTEKGGRSSSSKKSKAAPKEARNPNQDANPNLACKAEPELEAEAEPVQSPESDGSVDSSLLSDLTFDVSEPQWEDASAHFNLQKFPSYEIDWDSL >Vigun07g169500.3.v1.2 pep primary_assembly:ASM411807v1:7:28356710:28359951:1 gene:Vigun07g169500.v1.2 transcript:Vigun07g169500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSSDRGRISVMKDELFRLLGHEDLQHSVVLVFANKQDIKDAMTPAEITDALSLHSIKDHDWHIQACCALTGEGLYDGLGWIAQRVTGKAPT >Vigun07g169500.2.v1.2 pep primary_assembly:ASM411807v1:7:28356710:28359951:1 gene:Vigun07g169500.v1.2 transcript:Vigun07g169500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSSDRGRISVMKDELFRLLGHEDLQHSVVLVFANKQDIKDAMTPAEITDALSLHSIKDHDWHIQACCALTGEGLYDGLGWIAQRVTGKAPT >Vigun08g017000.1.v1.2 pep primary_assembly:ASM411807v1:8:1435799:1439322:1 gene:Vigun08g017000.v1.2 transcript:Vigun08g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLLFLIPFFVLLLTVFVVRVAYSIIWVPWITAHHFRVQGITGPSYRPIKGNTDEIHRMYREVQSKPMALCHDILERVCPFYHRWSRVYGKTVLYWHGSKPKLVLSDPDMIKEILLKTGEWFERIDPNPSVKQFFGEGILVLKGDQWAVHRAIANQAFKIERVKLWVPQITDCTKAMFSKWEDKNRGTNEFEIEVSKDLHDLTSDIISRVAFGSNYEEGQEIFELLEQHYHLVSLAIRSVYIPGFRFLPTKKNRERKRLEKKTYESIKMVIEETQKAEHNSENFLSLLMSSHKFINNETQKLRLDEIVDDCKNFYMAGKETSATSLSWALFLLGLNQEWQSKAREEVLSVLGPNTLPTSETLSELKLVNLVLQETLRLYPNPGALVRQASRRVKLGNIDIPAGTQLYLSITSVHHDAEFWGEDALEFNPMRFAEPRKHLAPYFPFGLGPNFCVGQNLALFEMKIVLAMVLQRYSFSVSPSYAHAPMLLMTMTPQYGMQILFRKL >Vigun01g091400.1.v1.2 pep primary_assembly:ASM411807v1:1:25241177:25249046:1 gene:Vigun01g091400.v1.2 transcript:Vigun01g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKAIHYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIIVRYAECMGLPLFRRRIQGSSRHQELGYKETQGDEVEDLFILLREVKKKIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAVTVKVAAMGLDPAKHLGKELAFLTAYLHKLKELYGINVCGEGGEYETLTLDCPLFSNARIVLDEHQVVMHSSDAIAPVGILHPLSFHLENKVDVQYLKSKDNIHEICTQKPGSVYEVHDSLEGCEATYKPLDCRADAMDGIEHKFNISRTNNKGTFSLSFWLQDSCNGLQKDLRIVLGKIESQLLDLGFGWENVLYIHLYIDDMNKFSEANETYVKFIRQEKCPFGVPSRSTVQMPLIESGFSSAYIEVLVSNNKNKKVLHVQSISCWAPSCIGPYSQATLHEGLLHMAGQLGLDPPTMNLCQGGPDVELEQALKNSEAVAKCYNCSISTSAIAFVIYCSKRTSSSERFNIQEKQETILRQMKISHLQETDTYKGLDPLFLYVLVPDLPKRACVEIKPILYVEDGTDTVPEALTERSRSETPSHYWGFKPENWHDSCVQKCLVSGKICAIILHITSELSTKICFDSQPADNVNNGRYSLPKAYMDKISKFCIYLLDKVMTDNDFTWEGIMSLRFYIPESLQMSVQLLQPMFSNALFELSEMSHKKFKNGEEPIFSIVPVIGAGKSASSMDDVVTCELLARKY >Vigun01g091400.4.v1.2 pep primary_assembly:ASM411807v1:1:25244072:25249046:1 gene:Vigun01g091400.v1.2 transcript:Vigun01g091400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSCHGFGSCKALGLYGINVCGEGGEYETLTLDCPLFSNARIVLDEHQVVMHSSDAIAPVGILHPLSFHLENKVDVQYLKSKDNIHEICTQKPGSVYEVHDSLEGCEATYKPLDCRADAMDGIEHKFNISRTNNKGTFSLSFWLQDSCNGLQKDLRIVLGKIESQLLDLGFGWENVLYIHLYIDDMNKFSEANETYVKFIRQEKCPFGVPSRSTVQMPLIESGFSSAYIEVLVSNNKNKKVLHVQSISCWAPSCIGPYSQATLHEGLLHMAGQLGLDPPTMNLCQGGPDVELEQALKNSEAVAKCYNCSISTSAIAFVIYCSKRTSSSERFNIQEKQETILRQMKISHLQETDTYKGLDPLFLYVLVPDLPKRACVEIKPILYVEDGTDTVPEALTERSRSETPSHYWGFKPENWHDSCVQKCLVSGKICAIILHITSELSTKICFDSQPADNVNNGRYSLPKAYMDKISKFCIYLLDKVMTDNDFTWEGIMSLRFYIPESLQMSVQLLQPMFSNALFELSEMSHKKFKNGEEPIFSIVPVIGAGKSASSMDDVVTCELLARKY >Vigun01g091400.3.v1.2 pep primary_assembly:ASM411807v1:1:25243722:25249046:1 gene:Vigun01g091400.v1.2 transcript:Vigun01g091400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMGLDPAKHLGKELAFLTAYLHKLKELYGINVCGEGGEYETLTLDCPLFSNARIVLDEHQVVMHSSDAIAPVGILHPLSFHLENKVDVQYLKSKDNIHEICTQKPGSVYEVHDSLEGCEATYKPLDCRADAMDGIEHKFNISRTNNKGTFSLSFWLQDSCNGLQKDLRIVLGKIESQLLDLGFGWENVLYIHLYIDDMNKFSEANETYVKFIRQEKCPFGVPSRSTVQMPLIESGFSSAYIEVLVSNNKNKKVLHVQSISCWAPSCIGPYSQATLHEGLLHMAGQLGLDPPTMNLCQGGPDVELEQALKNSEAVAKCYNCSISTSAIAFVIYCSKRTSSSERFNIQEKQETILRQMKISHLQETDTYKGLDPLFLYVLVPDLPKRACVEIKPILYVEDGTDTVPEALTERSRSETPSHYWGFKPENWHDSCVQKCLVSGKICAIILHITSELSTKICFDSQPADNVNNGRYSLPKAYMDKISKFCIYLLDKVMTDNDFTWEGIMSLRFYIPESLQMSVQLLQPMFSNALFELSEMSHKKFKNGEEPIFSIVPVIGAGKSASSMDDVVTCELLARKY >Vigun01g091400.2.v1.2 pep primary_assembly:ASM411807v1:1:25244072:25249046:1 gene:Vigun01g091400.v1.2 transcript:Vigun01g091400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLCLKVAAMGLDPAKHLGKELAFLTAYLHKLKELYGINVCGEGGEYETLTLDCPLFSNARIVLDEHQVVMHSSDAIAPVGILHPLSFHLENKVDVQYLKSKDNIHEICTQKPGSVYEVHDSLEGCEATYKPLDCRADAMDGIEHKFNISRTNNKGTFSLSFWLQDSCNGLQKDLRIVLGKIESQLLDLGFGWENVLYIHLYIDDMNKFSEANETYVKFIRQEKCPFGVPSRSTVQMPLIESGFSSAYIEVLVSNNKNKKVLHVQSISCWAPSCIGPYSQATLHEGLLHMAGQLGLDPPTMNLCQGGPDVELEQALKNSEAVAKCYNCSISTSAIAFVIYCSKRTSSSERFNIQEKQETILRQMKISHLQETDTYKGLDPLFLYVLVPDLPKRACVEIKPILYVEDGTDTVPEALTERSRSETPSHYWGFKPENWHDSCVQKCLVSGKICAIILHITSELSTKICFDSQPADNVNNGRYSLPKAYMDKISKFCIYLLDKVMTDNDFTWEGIMSLRFYIPESLQMSVQLLQPMFSNALFELSEMSHKKFKNGEEPIFSIVPVIGAGKSASSMDDVVTCELLARKY >Vigun11g158200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36662545:36663620:-1 gene:Vigun11g158200.v1.2 transcript:Vigun11g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHHHHHQHHQQPAISENPSDGEDQGATHVTSRSYECNFCRRGFSNAQALGGHMNIHRKDKAKLKQQQSSNQPSFDKVVPPQDQTKSGEERNTPKWNWNVSQEEHEHEHASSRGSHTRHQLPLFEESPTSSETVLKPQAQNTTEGALLSTRDSSLKLDLELRLGLEPQDSSPETGTRNFF >Vigun07g176000.2.v1.2 pep primary_assembly:ASM411807v1:7:29119326:29125509:1 gene:Vigun07g176000.v1.2 transcript:Vigun07g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESEVPALCRLADEYLIKWKECEESVVEYFADQKDPTLSGKLIDEFERCILSYLAFHWDHASYVISQVLNAESPQKIELKKYVLAATREQRFERVSRNLKVTRVFATLVEEMKAINVDSQKTNDTTSGVQNERSPVLLFMGGGMGAGKSTVLKNILKESFWSGTASNPVVVEADAFKERDVIFKALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMTRNVHKYKYRMGVGYKVSEDGTIIAENYWEQVNEGHQPHTRKPYRIELVGVVCDGYLAVVRGIRRAIMTRRAVRVNSQLKSHQRFANAFPKYCKLVDDARLYCTNAIGGPPKLIWWKDVDHDAPDVNEIDCLKMMDRVNVEADSIYELYKEPSLIMRPGSVWKDIVLSPSRSNDQKKLRKSIQKTEKSIRKQ >Vigun07g176000.1.v1.2 pep primary_assembly:ASM411807v1:7:29119326:29125509:1 gene:Vigun07g176000.v1.2 transcript:Vigun07g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDGSVGKMISFIASHVVTASFAGIISEQSREVVDHNIIPHLRTSDSGRLIKIEKFSHYVARQMGLEESEVPALCRLADEYLIKWKECEESVVEYFADQKDPTLSGKLIDEFERCILSYLAFHWDHASYVISQVLNAESPQKIELKKYVLAATREQRFERVSRNLKVTRVFATLVEEMKAINVDSQKTNDTTSGVQNERSPVLLFMGGGMGAGKSTVLKNILKESFWSGTASNPVVVEADAFKERDVIFKALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMTRNVHKYKYRMGVGYKVSEDGTIIAENYWEQVNEGHQPHTRKPYRIELVGVVCDGYLAVVRGIRRAIMTRRAVRVNSQLKSHQRFANAFPKYCKLVDDARLYCTNAIGGPPKLIWWKDVDHDAPDVNEIDCLKMMDRVNVEADSIYELYKEPSLIMRPGSVWKDIVLSPSRSNDQKKLRKSIQKTEKSIRKQ >Vigun09g186000.1.v1.2 pep primary_assembly:ASM411807v1:9:35938069:35942829:-1 gene:Vigun09g186000.v1.2 transcript:Vigun09g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEIERERAESPKDAKGDDDVSPIEEVRMVVSNEDNPNEPVWTFRMWFLGIMAVILLSFLNTFFGYRSQPLTVTMISVQVATLPIGRFMAKVLPRREVQIRGREFSLNPGPFNTKEHVLISIFANAGAAFGSGSAYAVGIVDIIRAFYGRKITFLASWLLVLTTQVLGYGWAGIMKKYVVEPAEMWWPGTLVQVSLFRALHEKERKRMSKEKFFIIALTCSFAWYVVPGYLFPTLSIISWVCWIFPRSVTAHQVGSGKNGLGVGSFSLDWTTIASFLGNPLVTPFFAIANVLAGYILLIYLIIPISYWGLNLYSAKNFPIYSSSLFTAHGDDYNVTAIVNQKFEIDMQAYEKQGLVNLSVFFAISYGLGFAAIAASLTHVAIFNGREIYEQFRSSRSGKEDIHTRLMKKYKPIPNWWFHVTLLVSFVLALILCTVMKDQVQMPWWGLIFAAGLALTFTLPISIITATTNQSPGLNIITEYIMGVILPGEPIANVCFKTYGYISMSQAVSFLSDFKLGHYMKIPPRSMFIVQVIGTLISGSVDVGVAWWLLGSIKNICKQDLLPENSPWTCPGDHVFFDASVIWGLVGPKRIFGTLGKYQNLNWFFLIGAVGPVVIWAVQKVFPKQHWISLIHLPVLLGATANMPPASTVNFNSWIVVGTIFNYFVFKYRKNWWQRYNYVLAAALDAGLAFMTVLIYFAVTMEGKGIQWWGTDEHCPLAQCPTAKGVIAEGCPVF >Vigun06g094000.2.v1.2 pep primary_assembly:ASM411807v1:6:22548023:22550102:1 gene:Vigun06g094000.v1.2 transcript:Vigun06g094000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLILQPNLSHLFPTFTCYVKLKHSFRHLQQKELSLKFSRRRVGFLAIITPLILGGEDIFRTQDANAFDIRFVAPDMTAEEALLGVRNHAQDLLQVKELLESESWTEAQRYLRQCSAVLKKDIYIIIQSKPGIERPELRKLYFTLFNNVTRLDYAARGRDGPQVWQCYENIVVAVNDIISRL >Vigun06g094000.1.v1.2 pep primary_assembly:ASM411807v1:6:22548023:22550102:1 gene:Vigun06g094000.v1.2 transcript:Vigun06g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLILQPNLSHLFPTFTCYVKLKHSFRHLQQKELSLKFSRRRVGFLAIITPLILGGEDIFRTQDANAFDIRFVAPDMTAEEALLGVRNHAQDLLQVKELLESESWTEAQRYLRQCSAVLKKDIYIIIQSKPGIERPELRKLYFTLFNNVTRLDYAARGRDGPQVWQCYENIVVAVNDIISRL >Vigun06g094000.5.v1.2 pep primary_assembly:ASM411807v1:6:22548389:22550103:1 gene:Vigun06g094000.v1.2 transcript:Vigun06g094000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLILQPNLSHLFPTFTCYVKLKHSFRHLQQKELSLKFSRRRVGFLAIITPLILGGEDIFRTQDANAFDIRFVAPDMTAEEALLGVRNHAQDLLQVKELLESESWTEAQRYLRQCSAVLKKDIYIIIQSKPGIERPELRKLYFTLFNNVTRLDYAARGRDGPQVWQCYENIVVAVNDIISRL >Vigun06g094000.3.v1.2 pep primary_assembly:ASM411807v1:6:22548023:22550102:1 gene:Vigun06g094000.v1.2 transcript:Vigun06g094000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLILQPNLSHLFPTFTCYVKLKHSFRHLQQKELSLKFSRRRVGFLAIITPLILGGEDIFRTQDANAFDIRFVAPDMTAEEALLGVRNHAQDLLQVKELLESESWTEAQRYLRQCSAVLKKDIYIIIQSKPGIERPELRKLYFTLFNNVTRGK >Vigun06g094000.4.v1.2 pep primary_assembly:ASM411807v1:6:22548023:22550102:1 gene:Vigun06g094000.v1.2 transcript:Vigun06g094000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLILQPNLSHLFPTFTCYVKLKHSFRHLQQKELSLKFSRRRVGFLAIITPLILGGEDIFRTQDANAFDIRFVAPDMTAEEALLGVRNHAQDLLQVKELLESESWTEAQRYLRQCSAVLKKDIYIIIQSKPGIERPELRKLYFTLFNNVTRGK >Vigun05g002400.1.v1.2 pep primary_assembly:ASM411807v1:5:177000:193057:-1 gene:Vigun05g002400.v1.2 transcript:Vigun05g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGGAGPSSEAPPPRRIIRTQTAGNLGESVIDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPNSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNKAADKADRAQLTKAYNTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPFNILPLDPDSANQAIMRFPEIQAAVYALRNTRGLPWPKDYKKKKDEDILDWLGSMFGFQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLGKVVSPIYDVIAKEAARSKKGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLPSSEKLFFDKSNDDKPPSRDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIVAWNGPGGDPSVIFNGDVFKKVLSVFITAAILKFGQAVLDVILSWKAQWSMSLYVKLRYVLKVVSAAAWVIVLSVTYAYTWDNPPGFAQTIKSWFGSGGSSAPSLYILAVVVYLSPNMLAAIFFLIPFIRRHLERSNYRVVMLMLWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAIMNVKITTFQWHEFFPHARNNIGVVIALWSPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNASLIPEETSEPKKKGLKATLSRRFPNISSNKGKEAARFAQLWNQIITSFREEDLISDREMDLLLVPYWADTQLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIGADNYMSCAVRECYASFKSIIKQLVQGERETAVIEYMFNEVDKHIESDKLIVEFRMSALPNLYKQFVQLINYLLDNDPKDRDQVVILFQDMLEVVTRDIMMEEQDQIFSLVDSTHGGTGHEGMLHLEPEPHHQLFASVGAIKFPIEPVTAAWTEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPMAPKVRNMLSFSVLTPYYTEEVLFSLLDLDSPNEDGVSILFYLQKIFPDEWNNFLERVKCTEEDIKASESDELVEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAIENSDDNSRGERSLWTQCQAVADMKFTYVVSCQQYGIHKRSGSPLAQGILRLMTRYPSLRVAYIDEVEEPVKDSKKKINKVYYSCLVKAMPKSNSASEPEQNLDQIIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRFPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGVLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYFGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYQIFGHSYRSAVAYILITASMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGV >Vigun10g180500.1.v1.2 pep primary_assembly:ASM411807v1:10:39848716:39850749:1 gene:Vigun10g180500.v1.2 transcript:Vigun10g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQRIAEETLQESKVAALETSGTMSGPLVGAATRTRRRRRVEAMQLVLRGLCMASSVASISLMVTAKESSSVTIYGFQLPLHSKWSFSESYEYLVGVSAAVAAHSLLQLLIGMSRFLKNSSVIPSRNHAWLIFAGDQVFAYALMSAGSAASGVTNLNRTGIRHTALPNFCKPLHKFCDHVGISIAFTFTSCFLLAASAVQDVIWLSQH >Vigun03g161501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17964763:17965367:-1 gene:Vigun03g161501.v1.2 transcript:Vigun03g161501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDECARVFKRFDVNGDGKISLTEFAEALKVLGLTSQEEVERRMEEIDTDGDGSITLEELIQFRDANPNLMNEVLQKL >Vigun11g019500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2427773:2429431:1 gene:Vigun11g019500.v1.2 transcript:Vigun11g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKEWQCMTGAFPNLQDLSLNDCPKLKGHLPEQLSHLKDLTISKCEQLVASIPRAVEIESVKMEPSSFDTIGPLVSHNPLECLCIDSCLGMNIPINHYYHLLLELRIIHGCDSLTIFPLDLFPKLRKLELNKCRNLRTILQGSPHNHLNSLIIEKCSEFESFPNEGLFEPQLETFCIEGSEKLKSMPKRMCAHLPSLEHMLINNCPGVELSEGCLPSNLKVLRILNCPKLVVSLKEGLSGNQSLQVLMISSEDIESFPDEGLLPLSLTKIEIHNCPMLKKLDYGGLCLLSSLRELIIWRCPILQSLPEEGLPESISHLIIVSDNPLLQQLYKK >Vigun01g055000.1.v1.2 pep primary_assembly:ASM411807v1:1:10663401:10666610:1 gene:Vigun01g055000.v1.2 transcript:Vigun01g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGGGSRRREPLLVAAEEEVAKGSWKLNLKEFRLPSQTDDHQPKQSFTLQSLLCKPRKQRKVAEYYKQQERLLEGFSEMETMTETGGFPGALSEDEMKQLAKSERMAVHVSNICNLVLFAAKVYASIASRSLAVIASTMDSLLDLLSGFILWFTAHAMKNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILIESARELISKSKPDMDPTKLHWMIGIMVGVTIVKFILMVFCRRFKNEIVRAYAQDHFFDVITNSVGLVAAVLAVKFYWWIDPTGAIIIALYTINTWARTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEQIKHIDTVRAYTFGAHYFVEVDIVLPEDMVLHLAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKTMV >Vigun01g178000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35903244:35904726:1 gene:Vigun01g178000.v1.2 transcript:Vigun01g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLKLPSLLPKNSHPKPSSTSWPWPSCHQPRTLSFRDQNDVAFKTINPAYFDMPESSRSFFTVSPDSGSFSTASEEDSRRPDSLETLIRPLRSDRFFFHPDQTSSILESKAGTGTSTSTSTPTPTTEATTTPTTEKPTAATATTTTLLPFKDSVVMSVESQDPYVDFLRSMEEMVEAQCVKDFDGLQELLCWYLKVNGKSNHGYIVGAFIDLLVAFSDINSHSPSSPLSFYSSSLSSSCSTHCVSCFGAEDEIDTTTPNSSFLLEQVREDHTSSSSSLN >Vigun03g307800.1.v1.2 pep primary_assembly:ASM411807v1:3:49905729:49908896:-1 gene:Vigun03g307800.v1.2 transcript:Vigun03g307800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFAWLISFFILIALIVLVIYQLMCLADLEFDYINPYDSASRINKVVLPEYIIVGVLCSFYLVTGHWFMALFCAPYIYYNVRLYRQGTHLIDVTEIFNLLPKEKKQRLVKLFYLVFILFLSLFWMIYTSLEDHDD >Vigun03g204100.1.v1.2 pep primary_assembly:ASM411807v1:3:32864514:32870315:-1 gene:Vigun03g204100.v1.2 transcript:Vigun03g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVACAVFLSLGFAFYVFFAPFVGNKTYQYIVIGLYSPLITSVFGLYIWCAASDPADPGVFKSKKYLKIPESKKLAEVKNSKLGEESTSSMHEAYTSTAGVNYVEKEGLGTKGVSKNASNSAEKSTSSCSSCVFLVSSPCAYICSCSSLTEKSSDKQISEDGMFYCSLCEVEVFKYSKHCRVCDKCVDHFDHHCRWLNNCIGKKNYCQFFALMVAAMLLFILQWLTGILVLIFCFVKRKQFSVDISSKLGTSFSLVPFVLVVSICTILAMIATLPVVQLFFFHILLIKKGLSTYDYIIAMREQDQEQQGNGGQQSPQMSTVSSFTGLSSASSFTNLHRGAWCTPPRLLLEDQFDVVPPDTGSVSSLGKKTVREDPLKKKNPGTVKISPWALARLNAEEISKAAAEARRKSKVLQPVTRQEPESSFGSSGRKMLPRMENNKKRAGKRVHLLADMSMESLTKDSVNNVEKGLSGISRFAPLPFEGRRGFQTSKAISSLAGGWFLHHLKAV >Vigun03g440900.1.v1.2 pep primary_assembly:ASM411807v1:3:64365010:64367647:1 gene:Vigun03g440900.v1.2 transcript:Vigun03g440900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGNMLRMVLALCVLSSVVVTSSAQTCRNQTFSNRLFATCRDLPQLTAYLHWTYDQGSGKLEIAFVHAGITSTNRWVAWAINPRNTLDPAMIGAQALVAIPDSNGAGPSVYTSSITSTSTQLEQGTISYPVSALSATYVNNEVTIFATLTLPNDTTSLVHVWQDGPLSGSTPQEHSHETSHQNSKETLNLLSGSSTQASGNSRQRRRNTHGVLNAVSWGILMPTGAIIARYLKVFKSADPAWFYLHITCQASAYIVGISGFGTGLKLGSDSEGVEYDTHRAIAIVLVCLGTLQVFALFLRPNKDHKYRVYWNAYHYLVGYATISLSIANVFKGFETLENYVGDRYNDWKHAYIGIIGALGGIAVFLEVFTWIIVLKRRKSENKLPHGENGVNGY >Vigun08g100325.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24636191:24636835:-1 gene:Vigun08g100325.v1.2 transcript:Vigun08g100325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIGNNNKNKTEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEDKMR >Vigun01g231500.1.v1.2 pep primary_assembly:ASM411807v1:1:40384904:40389222:-1 gene:Vigun01g231500.v1.2 transcript:Vigun01g231500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKANLNSCQFSQQVGNADQVSDKKKERWKKTPSTAHNNPCYFLFLLWVWTLVLSTCLNSVLCEDEGWDGVVVTASNFLALQAFKQELVDPEGFLRSWNDSGYGACSGGWVGIKCAKGEVIVIQLPWKGLRGRITDKIGQLQGLRKLSLHDNQIGGSIPSTFGLLPNLRGVQLFNNRLTGSIPSSLGYCPLLQSLDLSNNLLTEAIPYSLANSTKLYWLNLSFNSFSGPLPASLTHSLSLTFLSLQHNNLSGPLPNSWGGNSKNDEFFRLRSLLLDHNFFTGNVPSSLGGLRELNEISLSNNRFSGAIPNEIGTLSRLRTLDISNNALNGSLPATLSNLSSLTLLNVENNLLENQIPGTLGSLHNLSVLILSRNQFSGHIPSSIANISTLKQLDLSLNNLSGEIPVSFNSQRSLDLFNVSYNSLSGSVPSQLARKFNSSSFVGNIQLCGYSPSTPCPSQAPSEGVIAPPHEMSKHQHRRKLRTKDIILIVAGVLLIVLIILCCILLFCLIRKRSASKTENGRGVGRTGTTRAEKGIPPVAAGDVEAGGEAGGKLVHFDGPIAFTADDLLCATAEIMGKSTYGTVYKAILEDGSQVAVKRLREKIARGQREFESEVSVLGKIRHPNVLALRAYYLGPKGEKLLVFDYMPKGSVASFLHGSGTETSIDWQTRMKIAQGMARGLLYLHSQENIIHGNLTSSNVLLDENTNAKIADFGLSRLMTTAANSNVIATAGALGYRAPELSKLKKANSKSDIYSLGVILLELLTRKSPGVSMNGVDLPQWVASIVKEEWTNEVFDADLMRDSSTVGDELLNTLKLALHCVDPSPSARPEVHQVLHQLEEIRPERSVTASPGDDSII >Vigun02g008300.1.v1.2 pep primary_assembly:ASM411807v1:2:3523984:3525429:-1 gene:Vigun02g008300.v1.2 transcript:Vigun02g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNNSPLSFARPCGWTLAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGCNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun05g128933.1.v1.2 pep primary_assembly:ASM411807v1:5:14897629:14898415:-1 gene:Vigun05g128933.v1.2 transcript:Vigun05g128933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAFMNLVNEGGGNGLSFFCSTNFPCMCFCIAKNSVRISPMELHRNASENLMLFMLSLFQSPLLVRHF >Vigun09g171700.1.v1.2 pep primary_assembly:ASM411807v1:9:34151742:34156175:-1 gene:Vigun09g171700.v1.2 transcript:Vigun09g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLERIDVKLSPAPDARIPLMKSKGGRRRGGDTWVVSVFVIIQIGVFIATMLVNDCWTNSHGDCLLQTLGRFSFQPLPENPLLGPSQSKLDEMGALRWSLLTEQHQTWRLFTFPFLHGGLFHLLLNLSSIVYVGVRLEREFGPIRIGIIYALSAFVGGLVASLFLRNTPAVGASGALYGLLGTLLSELVWNWKYHTNKISAIATLVFVFVCNFVLGFLPYVDNFASIGGFISGFLLGSVFLLSPQLQPVAPNKGGLVEYGVKSYINLKLKKKLDRPVLRIVSLILFGLLLAGCLVVVLHGININSYCTWCPYVDCIPFTSWHCQDTEASCETMVSNAQLTMTCIGNGNFRVYPFTNISRARMNDLCNLIC >Vigun09g171700.2.v1.2 pep primary_assembly:ASM411807v1:9:34152351:34156175:-1 gene:Vigun09g171700.v1.2 transcript:Vigun09g171700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLERIDVKLSPAPDARIPLMKSKGGRRRGGDTWVVSVFVIIQIGVFIATMLVNDCWTNSHGDCLLQTLGRFSFQPLPENPLLGPSQSKLDEMGALRWSLLTEQHQTWRLFTFPFLHGGLFHLLLNLSSIVYVGVRLEREFGPIRIGIIYALSAFVGGLVASLFLRNTPAVGASGALYGLLGTLLSELVWNWKYHTNKISAIATLVFVFVCNFVLGFLPYVDNFASIGGFISGFLLGSVFLLSPQLQPVAPNKGGLVEYGVKSYINLKLKKKLDRPVLRIVSLILFGLLLAGCLVVVLHGININSYCTWCPYVDCIPFTSWHCQDTEASCETMVSNAQLTMTCIGNGNFRVYPFTNISRARMNDLCNLIC >VigunL004466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:43272:43928:1 gene:VigunL004466.v1.2 transcript:VigunL004466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun07g270600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38623475:38626646:-1 gene:Vigun07g270600.v1.2 transcript:Vigun07g270600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLPDFSNSVKLKYVKLGYQYLVNHILTLTLIPIMIAISVELLRLGPDEILSLWNSLHFDLVQILCSAFLIIFIATVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDSLFAKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAAILLSNRRSERRRAKYRLVHVVRTHKGADDKAYRCVFEEEDKEGKVGISLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKRGDRVWQIAFGSGFKCNSAVWKCNRNIKTPVDGPWSDCIDRYPVHIPEIVKL >Vigun09g210600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38466916:38468561:1 gene:Vigun09g210600.v1.2 transcript:Vigun09g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMIMASTKPLVPIYANSRSPTAKLPILQLSLPKVPTLKLKLPVSKPQMLSLLGGIAPLILAQPSLASEIEKAALFDFNLTLPIIMVEFLFLMVALDKIWFTPLGKFMDERDAMIREKLNSVKDTSSEVKQLEEKANAVMAAARAEIAAALNAMKKETQAEVEEKIAEGRKKVEAELQEALANLERQKEETIKSLDSQIADLSEEIVKKVLPVA >Vigun02g142000.1.v1.2 pep primary_assembly:ASM411807v1:2:29061654:29064409:-1 gene:Vigun02g142000.v1.2 transcript:Vigun02g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLNDGKDKMETRGTKAMVAMEKTIENERSSNRERKMALIQDVDKLKRKLRLEENVHRVLERAFTRPLGSLPRLPPYLPPHILKLVTEVAVLEEEVVRLEENVVNFRQALYQEAVYISSKAQLSLRRSDYSRKLLSDIVTDHSCRLVNRKQLHIKQELLSSIPEEGSLKDKQSLEKKMAKFITTVKKSVIKQELDDVYADHLKLQLLSSSSSLDDKESEDIVKCLCRIFMRIGTFNDKLGKCDPYGIFSESEVRDIGAYNSLCESKASNVDIDQTGSVVFQIHRLKRYNILYICLLRKYSKNQKHCWLLGPLIELILAASHATIKVGGQLLNVIIIEHFIFRLPYHLMFTCPKAVKNDEMKVRSMCGLEW >Vigun02g126700.1.v1.2 pep primary_assembly:ASM411807v1:2:27891147:27894207:-1 gene:Vigun02g126700.v1.2 transcript:Vigun02g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGRGNNNNEEEIPPPPDTLYWYNNKNDDVSSYHRGGGFELWNQQQQQHFMGQGPPQPRPLFHQDLYSALGVGPSRPISDDQSSSRSSFMVGGSGSGSGGISCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVPASRRRERQQQLSSLQQQNMETSGELPRRQRERDHNNPTSSSPLACTRLPSNPSSSAGLEEVNFPAVVRSSAEFRCVRVSSMDEAEEEYAYSTAVNIGGHVFKGILYDYGPEGNSNYMGAAGESSSTGVGGLNLTTGAVVSEPLLDPSSSLYPAPLNTFMPGSGTQFFPHPRS >Vigun01g169900.3.v1.2 pep primary_assembly:ASM411807v1:1:35180809:35187245:1 gene:Vigun01g169900.v1.2 transcript:Vigun01g169900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLETMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLGND >Vigun01g169900.2.v1.2 pep primary_assembly:ASM411807v1:1:35180809:35187245:1 gene:Vigun01g169900.v1.2 transcript:Vigun01g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLETMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLGND >Vigun01g169900.1.v1.2 pep primary_assembly:ASM411807v1:1:35180809:35187245:1 gene:Vigun01g169900.v1.2 transcript:Vigun01g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRRTLDRSRELGSKKPRLIDELSARQLPQRQQGSGVVASLVSARARVNDRDSESSESGRGGGYQPQPQPHHELVTQYKTALAELTFNSKPIITNLTIIAGENQSAAKAIADTVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAVRLPEVFCKAYRQVDPIVHSSMKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLETMIRADENQCLCVLCGELFEDVYCHERNEWMFKGAVYMNCSDYNDEMESRNVGPIIHAKCLSENSMFTNLGND >Vigun07g120200.1.v1.2 pep primary_assembly:ASM411807v1:7:22257499:22263012:1 gene:Vigun07g120200.v1.2 transcript:Vigun07g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSSILGHEKCDRYLIFSGESALGDGFRAFFYFLGLAYCFIGLSAITARFFQSMENVVKHTRKVVEVDPVTKTETIRHEKVWNYTIADISLLAFGTSFPQISLATIDAVQNMGKLYAGGLGPGTLVGSAAFDLFPIHAICVVVPKAGELKKIADLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWEALLTVLQYGLLLIHAYAQDKRWPYISLPIARDERPEDWVPEETPYFQQEVYQQMNFSEIKHVSEETGDTVDIFSIHSENPTVPQTDDEAEILVKAKETTLEDTHLLTIWRQQFVDALRLENPESKKLKNTYLLLAGTLWKLLLLPWRFLFSFVPPCQIAHGWISFICSLLFISGIAYIVTKITELISCVTGINAYVIAFTALASGTSWPDLVASKIAAERQQTADSAIANITCSNSVNIYVGIGVPWLIDTLYNFIAYREPLRIQSAGGLSFSLIVFFCTSVGCISVLVFRRIIFGAELGGPRLWAWITCAFFMLLWIIFVVLSSLKVSGFI >Vigun03g215200.1.v1.2 pep primary_assembly:ASM411807v1:3:35723143:35726855:-1 gene:Vigun03g215200.v1.2 transcript:Vigun03g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKKLQQLLLLSYRPKPLQIFMAMMFLYLLFTTFEIETSLAFKTRFVSVSSLLGTEHEYTHLSEASNFPSQGVFQGSVHRKASTLSFIEVLNYSSVAKNEVSELHKAAKHAWFEGKRLWEEVESVTKIENVTRFKAENLSDSCQHSISLSGSELRGEKGVTVMMLPCGLTLGSHVTVVGTPRWAHWEDDPKISVVKEREGKVMVSQFMMEFQGLKSVDKEDPPRILHFNPRLKGDYSGKPVIEQNTCYRMQWGSALRCEGWKSRADEDTVDEQVKCEKWIRDDDSRTEEAKATWWLTRLIGRTKKVAVDWPYPFVEGRLFVLTVSAGLEGYHVNVDGRHVTSFPYRTGFSLEDATGLSIKGDVDVHSIFAASLPTSHPSFAPQMHLELLPQWKAPLLLHANVELFIGILSAGNHFAERMAVRKSWMQHKLVKSSNVVARFFVALHGRKDLNVEIKKEADYFGDIVIVPYMDHYDLVVLKTIAITEYGIRVAAKYIMKCDDDTFVRVDSMISEVRKVRNGRSLYIGNMNFHHKPLRNGKWAVTYEEWSKEEYPTYANGPGYIISSDIAHFIVSNFENHKLKLFKMEDVSMGMWVEEFNSSIAVEYVHSLKFCQFGCIEDYYTAHYQSPRQMTCMWHKLQHQGKPLCCNMR >Vigun08g105900.1.v1.2 pep primary_assembly:ASM411807v1:8:26284393:26288328:-1 gene:Vigun08g105900.v1.2 transcript:Vigun08g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSHRVGSSYGGAASYRSRDGLSTRPVGASEEIQLRIDPLDLDEEITGLHRQVRRLKHVAEEIGTEVKYQKNFLEELQMTMIKAQAGVKNNLRRLNKSIIQSGSNHIIHVILFALVCFFVVYLWSKMFRK >Vigun07g135700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24572933:24575121:1 gene:Vigun07g135700.v1.2 transcript:Vigun07g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQHQNGDAVSHPHKKLKHTNFITEAEIQSEFSHHEPAVARLNNGAFGCCPASVIAVQRDWQLKNLRQPDQFYFNHLKSGLLRSRTIIKDLVNARHVDEISLVDNASTATAIVLQQAAWAFHEGTFQKGDVVLMLHYAYGAVKKAIEAYVVRAGGSVVVVPLRFPVTSNDEIVREFRKAVEKGKSRGNRIRLAVIDHVTSMPSVVIPVKELVTICREEGVEQVFVDAAHAIGCTRVDMQEIGADFYTSNLHKWFFCPPSVAFLYARASPKSRDLHHPVVSHEYGNGLAVESSWTGNRDYSAQLVVPAVVDFVKRFEGGIEGIRKRNHDVVVEMGKMLVEAWGTHLGTPPDMSASMVMVGLPPCLGIMSDSHALKLRTRLRDEFGVEVPIYFAGGEEHASVTAYARISRQVYNKLEDYVKFKDAINQLVTDGFTCALLSD >Vigun11g032000.1.v1.2 pep primary_assembly:ASM411807v1:11:4193581:4195043:1 gene:Vigun11g032000.v1.2 transcript:Vigun11g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGKISIEIGVHANATKWYNIFATQLNQIQNLTDRIHHAKLHHGHDWHHNESIKQWTYIIDGKVNTCQESAEYDEANKKVIFKLFGGDVAKEYKFINLIFEVSDKENGGANIKWTVDYERLSDQVHPPYGYIEYLYKCTVDFDSHLLKP >Vigun07g163250.1.v1.2 pep primary_assembly:ASM411807v1:7:27480915:27481987:-1 gene:Vigun07g163250.v1.2 transcript:Vigun07g163250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDKAFRFDISSFIYRFFIYHHLQDHHHHHHRRHKKDVCKNFNMPKKGRSLSLQWLRELLKMPFTSSKVCLLINFEI >Vigun10g015500.2.v1.2 pep primary_assembly:ASM411807v1:10:1704056:1719598:-1 gene:Vigun10g015500.v1.2 transcript:Vigun10g015500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDEADDDAEKNSASVGESKNNLRNADSDKAKGKRKLFVGSQSLGYRRDHMEVLSPFKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNSQQQRERTAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDGGGGSTTIAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGITMKPRYSFRRKEIRPGEFQTVDLDFPNTTESYKLYSQRVIASDVKECVCRAPDTPYDESAYSNIPMTSYELPDGQTIEIGADRFKIPDVLFNPSLVQSIPGMESFAEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLENDGNNKKSSHHCKVQW >Vigun10g015500.1.v1.2 pep primary_assembly:ASM411807v1:10:1702238:1719597:-1 gene:Vigun10g015500.v1.2 transcript:Vigun10g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDEADDDAEKNSASVGESKNNLRNADSDKAKGKRKLFVGSQSLGYRRDHMEVLSPFKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNSQQQRERTAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDGGGGSTTIAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGITMKPRYSFRRKEIRPGEFQTVDLDFPNTTESYKLYSQRVIASDVKECVCRAPDTPYDESAYSNIPMTSYELPDGQTIEIGADRFKIPDVLFNPSLVQSIPGMESFAEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >Vigun01g223800.1.v1.2 pep primary_assembly:ASM411807v1:1:39713413:39716862:-1 gene:Vigun01g223800.v1.2 transcript:Vigun01g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPVDQLSADGTAYHKACFRCSHCKGTLKLSNYSSMEGVLYCKPHYEQLFKETGTFSKNFQSPAKLADKTTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLTKSASIKRAAAAAAATVPES >Vigun01g223800.2.v1.2 pep primary_assembly:ASM411807v1:1:39713413:39716862:-1 gene:Vigun01g223800.v1.2 transcript:Vigun01g223800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPVDQLSADGTAYHKACFRCSHCKGTLKLSNYSSMEGVLYCKPHYEQLFKETGTFSKNFQSPAKLADKTTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLTKSASIKRAAAAAAATVPES >Vigun06g016933.2.v1.2 pep primary_assembly:ASM411807v1:6:7998745:7999110:1 gene:Vigun06g016933.v1.2 transcript:Vigun06g016933.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKEEPKDCRQRFQPAPAPIRLQSSTPLFRERERCHRLCSSSPSCQPPRLGLKPSHNNTPSFRAADDG >Vigun06g016933.1.v1.2 pep primary_assembly:ASM411807v1:6:7998745:8000092:1 gene:Vigun06g016933.v1.2 transcript:Vigun06g016933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKEEPKDCRQRFQPAPAPIRLQSSTPLFRERERCHRLCSSSPSCQPPRLGLKPSHNNTPSFRAADDG >Vigun07g033400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3178911:3180949:-1 gene:Vigun07g033400.v1.2 transcript:Vigun07g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTLHKHRHQPQPHPERIMGFLLVFFPEDTIAAKTNLLSSSSSSSSSLKRSTSNTILSKAQSTLSICLLLLFTTLLLFTLSTFQPSTPHKPSTPHNPSNDAVSPALQRLGTLYRRGTRAMNHLLLCHVSQDTPLHHLRLFLRLLHRSGLTSKSDVVFIFPTSSPSFASLLHEENNSFLSLVALHAQLNSTRRSPPSDSTFDVSRFHRSPGRGDSIWGRKIRSFCNSSESEFTRPSYGSVLSFDADELDPENSLAGFLDRVPLSLRRWACYPMLLGRVRRNFKHVMLVDVDSVLIFNDPLGRFRNRSPDSVFVFPKRGRNSERTQSRRVVNSAVLMGGARGIRRVSQAMLVEIVRAAVQVKRKNSVSDSAILSQLASKEFVLKNVDLIVASEPLPEPSPLAFDATSFSDHLIIERGVGNSDLNSIVNSQICSSVIDSFVYRDCQQLEKLEK >Vigun05g250300.1.v1.2 pep primary_assembly:ASM411807v1:5:44391023:44395537:-1 gene:Vigun05g250300.v1.2 transcript:Vigun05g250300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSLEETPTWAVAVVCFVLLSVSIIIEYIFNLIGKWLKKKHKRALHESLEKIKSELMLLGFISLLLTVGQSVISRICISEKVAATFHPCTHQRDHKTDARRLFSDLFASDHHQNQRRLLAAGGTDKCAAQGKVPFVSSEGIHQLHIFIFVLAVFHVLYCILTLALGRAKMRRWKRWEEETKTAQYQFSHDPERFRFARETSFGRRHLSFWTQHTILVWIVCFFRQFVRSVPKVDYLTLRHGFMMAHLGPQSRHKFDFRQYIKRSLEEDFKVVVEISPPIWFITVLFLLFHTHGWYSYLWLPFAPLIIVLLVGTKLQVIITKMGQRIQERGEVVKGVPLVQPGDDLFWFNKPRLILYLVNFVLFQNSFQLAYFSWTALQFGMKSCFHSQTEDVVIKISMGVFVQFLCSYVTLPLYALVTQMGSTMKSTIFNERVAMALRNWHHGAKKHVKQQNRRSQTQTPLSGPTTPDHSVSQAHLLRRWHSETDTYPTNSEAQHPYEIDLGPSSKVHHQEMEMDQLDHGPPENVNDRSQHEINIEHSKEFSFDNK >Vigun09g002400.3.v1.2 pep primary_assembly:ASM411807v1:9:176717:180724:1 gene:Vigun09g002400.v1.2 transcript:Vigun09g002400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEITNAQLSFRYSLTLKAPMPYHAPRGSWICLDNLRNRKEAKLNVIKAVATFEPNTVAAKPHSNHLDVVPLTPPSFDLQFSDQDTQQPQPDDREQLRRMRISKANKGNTPWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLSNLGHSQTTETRMKIGAGVRRRWEERREKKKAVESCCFEWKNLIAEASRKGFVGQEELQWNSYETLDEQLKQQWLMSVDQRKQMIKTSGGKRAPKSPEQRRKIAEAISAKWADPEYRQRVYSALSKYHGTEVGAERKTRRRPKDDTQPIKKKPTKKRDTVTSAHVKNDSKSHKTILLKKSKSPAYKDPLVNSKLEMIKNIRAQRAASETTQIQAIERARLLIAEAEKAAKALEVAATKSPFAQSSLIETRKLIAEAIQSLESIDTQAITDSNVPSVGLSEVNQEKESASEVLNQSKMAPVNGHTTLSSMDYKFSENFRKFSLEKPVNGEPERLLTNGCPSFPFSLNSQISESSPSNQQREAEQDQRSEYETEPSPIVMGIQSLENETVSRSPIVVSKRWVRGRLVEVAEEKQ >Vigun09g002400.2.v1.2 pep primary_assembly:ASM411807v1:9:176717:180724:1 gene:Vigun09g002400.v1.2 transcript:Vigun09g002400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEITNAQLSFRYSLTLKAPMPYHAPRGSWICLDNLRNRKEAKLNVIKAVATFEPNTVAAKPHSNHLDVVPLTPPSFDLQFSDQDTQQPQPDDREQLRRMRISKANKGNTPWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLSNLGHSQTTETRMKIGAGVRRRWEERREKKKAVESCCFEWKNLIAEASRKGFVGQEELQWNSYETLDEQLKQQWLMSVDQRKQMIKTSGGKRAPKSPEQRRKIAEAISAKWADPEYRQRVYSALSKYHGTEVGAERKTRRRPKDDTQPIKKKPTKKRDTVTSAHVKNDSKSHKTILLKKSKSPAYKDPLVNSKLEMIKNIRAQRAASETTQIQAIERARLLIAEAEKAAKALEVAATKSPFAQSSLIETRKLIAEAIQSLESIDTQAITDSNVPSVGLSEVNQEKESASEVLNQSKMAPVNGHTTLSSMDYKFSENFRKFSLEKPVNGEPERLLTNGCPSFPFSLNSQISESSPSNQQREAEQDQRSEYETEPSPIVMGIQSLENETVSRSPIVVSKRWVRGRLVEVAEEKQ >Vigun09g002400.1.v1.2 pep primary_assembly:ASM411807v1:9:176717:180724:1 gene:Vigun09g002400.v1.2 transcript:Vigun09g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEITNAQLSFRYSLTLKAPMPYHAPRGSWICLDNLRNRKEAKLNVIKAVATFEPNTVAAKPHSNHLDVVPLTPPSFDLQFSDQDTQQPQPDDREQLRRMRISKANKGNTPWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLSNLGHSQTTETRMKIGAGVRRRWEERREKKKAVESCCFEWKNLIAEASRKGFVGQEELQWNSYETLDEQLKQQWLMSVDQRKQMIKTSGGKRAPKSPEQRRKIAEAISAKWADPEYRQRVYSALSKYHGTEVGAERKTRRRPKDDTQPIKKKPTKKRDTVTSAHVKNDSKSHKTILLKKSKSPAYKDPLVNSKLEMIKNIRAQRAASETTQIQAIERARLLIAEAEKAAKALEVAATKSPFAQSSLIETRKLIAEAIQSLESIDTQAITDSNVPSVGLSEVNQEKESASEVLNQSKMAPVNGHTTLSSMDYKFSENFRKFSLEKPVNGEPERLLTNGCPSFPFSLNSQISESSPSNQQREAEQDQRSEYETEPSPIVMGIQSLENETVSRSPIVVSKRWVRGRLVEVAEEKQ >Vigun09g002400.4.v1.2 pep primary_assembly:ASM411807v1:9:176717:180724:1 gene:Vigun09g002400.v1.2 transcript:Vigun09g002400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEITNAQLSFRYSLTLKAPMPYHAPRGSWICLDNLRNRKEAKLNVIKAVATFEPNTVAAKPHSNHLDVVPLTPPSFDLQFSDQDTQQPQPDDREQLRRMRISKANKGNTPWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLSNLGHSQTTETRMKIGAGVRRRWEERREKKKAVESCCFEWKNLIAEASRKGFVGQEELQWNSYETLDEQLKQQWLMSVDQRKQMIKTSGGKRAPKSPEQRRKIAEAISAKWADPEYRQRVYSALSKYHGTEVGAERKTRRRPKDDTQPIKKKPTKKRDTVTSAHVKNDSKSHKTILLKKSKSPAYKDPLVNSKLEMIKNIRAQRAASETTQIQAIERARLLIAEAEKAAKALEVAATKSPFAQSSLIETRKLIAEAIQSLESIDTQAITDSNVPSVGLSEVNQEKESASEVLNQSKMAPVNGHTTLSSMDYKFSENFRKFSLEKPVNGEPERLLTNGCPSFPFSLNSQISESSPSNQQREAEQDQRSEYETEPSPIVMGIQSLENETVSRSPIVVSKRWVRGRLVEVAEEKQ >Vigun09g002400.5.v1.2 pep primary_assembly:ASM411807v1:9:176717:180724:1 gene:Vigun09g002400.v1.2 transcript:Vigun09g002400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFEITNAQLSFRYSLTLKAPMPYHAPRGSWICLDNLRNRKEAKLNVIKAVATFEPNTVAAKPHSNHLDVVPLTPPSFDLQFSDQDTQQPQPDDREQLRRMRISKANKGNTPWNKGRKHSPETLRKIKERTRLAMQNPKIKMKLSNLGHSQTTETRMKIGAGVRRRWEERREKKKAVESCCFEWKNLIAEASRKGFVGQEELQWNSYETLDEQLKQQWLMSVDQRKQMIKTSGGKRAPKSPEQRRKIAEAISAKWADPEYRQRVYSALSKYHGTEVGAERKTRRRPKDDTQPIKKKPTKKRDTVTSAHVKNDSKSHKTILLKKSKSPAYKDPLVNSKLEMIKNIRAQRAASETTQIQAIERARLLIAEAEKAAKALEVAATKSPFAQSSLIETRKLIAEAIQSLESIDTQAITDSNVPSVGLSEVNQEKESASEVLNQSKMAPVNGHTTLSSMDYKFSENFRKFSLEKPVNGEPERLLTNGCPSFPFSLNSQISESSPSNQQREAEQDQRSEYETEPSPIVMGIQSLENETVSRSPIVVSKRWVRGRLVEVAEEKQ >Vigun08g014100.1.v1.2 pep primary_assembly:ASM411807v1:8:1206338:1209401:1 gene:Vigun08g014100.v1.2 transcript:Vigun08g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDNHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFDNFMGSSREDLIKDALIATRESLQGEKLRSSVCTIAVVGVGEPFHILDQETVQQLIDTFEIVKEDEPPAEEAQPATEQDAPTDQASGADQGAAGGDQGGSPMEI >Vigun05g219500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41108164:41109216:-1 gene:Vigun05g219500.v1.2 transcript:Vigun05g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRAWTWTVAASVGVVEALKDQGICRWNSVLKSAQQHAKHNMRSLSHARKLSSQSSNMASAKFKDEKAKQSEESLRTVMYLSCWGPN >Vigun09g112400.1.v1.2 pep primary_assembly:ASM411807v1:9:24478074:24482635:-1 gene:Vigun09g112400.v1.2 transcript:Vigun09g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQAGSGAGAAKTAWVLPYRTENLREVYTLGRKLGQGQFGTTFLCTHNATGRTFACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHPNVVRIHGTYEDTSSVHLVMELCEGGELFDRIVQKGHYSERQAANLIKTILEVVEACHSLGVMHRDLKPENFLFDTVEEDAKLKTTDFGLSVFYKPGETFCDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEQGIFRQILLGRLDFQSEPWPSISDSAKDLIRKMLDRNPKTRLTAHKVLCHPWIVDDNLAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDADNSGTITFDELKEGLKRVGSDLMESEIKDLMDAADIDNSGTLDYGEFIAATVHLNKLEREENLVSAFSYFDKDGSGYITIDEIQQACKDFGLEDVHIDEIVKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRSTLNFRDALGIIGHGSHD >Vigun01g213900.1.v1.2 pep primary_assembly:ASM411807v1:1:38771248:38777687:1 gene:Vigun01g213900.v1.2 transcript:Vigun01g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLLASKALRPTSRHLLSSQNPTIFLRTIVSKPQLCNPEASAAQPAQPPPVDLPPRTPLAGARVHFANPDDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLLTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMVRGPDGRFKAVNWRDALSVIADIAHQVKPEEIVGVAGKLSDAESMIALKDFLNRMGSNDVWGEGIGVNTNADFRSGYIMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRSNQAKVGYIGPATDFNYDHKHLGTDPQTLIEIAEGRHPFFKTLSDAKNPVIIVGAGVFERKDQDAIFATIETIAQKANVVRPDWNGLNVLLLHAAQAAALDLGLVPQSEKSLESAKFVYLMGADDVNLDKIPDDAFVVYQGHHGDKSVYRANVILPTAAFSEKEGTYQNTEGCTQQTLPAVPTVGDSRDDWKIIRALSEVAGVRLPYDTIGAVRARIRNVAPNLVNVDEREPATLPSSLRPSFTQKVDTTPFGTVIENFYMTDAITRASKIMAQCSAMLLKK >Vigun07g065000.1.v1.2 pep primary_assembly:ASM411807v1:7:7589038:7591125:1 gene:Vigun07g065000.v1.2 transcript:Vigun07g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERVKKTKDEKKAKKAEVQAKLQKSQGKGAVSKGAAPKGPKLGGGGGKR >Vigun09g091800.2.v1.2 pep primary_assembly:ASM411807v1:9:12815975:12821136:-1 gene:Vigun09g091800.v1.2 transcript:Vigun09g091800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERAETESGASLPSVGTDAMKRRVTYFYEPCIGDYYYGQGHPMKPHRIRMAHNLIVHYGLHRRMQVNRPFPAAEADIGRFHSDDYVEFLSSVSPQILSENSHSHYRQLKRFNVGEDCPVFDGLFDFCRASAGGSIGAAVRLNRADADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGAGKNYSLNVPLNDGLDDETFRSLFRPVIQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPNPKLPYNEYYEYFGPDYTLHPEPSNMENLNTPRDLEKMRNALLEQLSRLPHAPSVPFQTTPSIIQVPEEEEEHMDIRPKRRIWSGEDFDSDNDDDMASSKNSVLTAQTSRCGADMMDED >Vigun09g091800.1.v1.2 pep primary_assembly:ASM411807v1:9:12815975:12821136:-1 gene:Vigun09g091800.v1.2 transcript:Vigun09g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERAETESGASLPSVGTDAMKRRVTYFYEPCIGDYYYGQGHPMKPHRIRMAHNLIVHYGLHRRMQVNRPFPAAEADIGRFHSDDYVEFLSSVSPQILSENSHSHYRQLKRFNVGEDCPVFDGLFDFCRASAGGSIGAAVRLNRADADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGAGKNYSLNVPLNDGLDDETFRSLFRPVIQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPNPKLPYNEYYEYFGPDYTLHPEPSNMENLNTPRDLEKMRNALLEQLSRLPHAPSVPFQTTPSIIQVPEEEEEHMDIRPKRRIWSGEDFDSDNDDDMASSKNSVLTAQTSSRCGADMMDED >Vigun06g060800.2.v1.2 pep primary_assembly:ASM411807v1:6:18857644:18859595:-1 gene:Vigun06g060800.v1.2 transcript:Vigun06g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALQNLLSLPILPLFLILFTVFTIFWRTKTKSSNSKLPPGPPKLPLIGNLHQLGAMPHHGLAKLSHQFGPLMHIKLGALSTVVVSSPEMAKEVMKTHDIAFANRPYLLAADVISYGSKGISFSPYGGYWRQMRKICTFELLTPKRVESFKAIRDEEASKLVKEVGLREGSSMNLSKMINSCSYGLTSSVAFGVLPVLTGLRSRVEKLHQEVDRILEKIVRDHRVTKETNEKEGEDLVDVLLKLQKQNNLEHPLSDNVIKATILDIFSAGSGTSAKTIDWAMSELVKNPKVMEKAQSEIRRVFGEKGHVDEANLHEVKYLKSIIKETLRLHPPVPLLLPRECSERCEINGYEIEAKSKVIVNTWAIGRDPNYWSDAEKFFPERFLDCSVDYKGADFQFIPFGAGKRMCPGITFGIANVELLLANLLFHFDWKMPNGNKCEELDMTESFGLSVRRKQDLYLIPYIWHSFC >Vigun06g060800.1.v1.2 pep primary_assembly:ASM411807v1:6:18857644:18859595:-1 gene:Vigun06g060800.v1.2 transcript:Vigun06g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALQNLLSLPILPLFLILFTVFTIFWRTKTKSSNSKLPPGPPKLPLIGNLHQLGAMPHHGLAKLSHQFGPLMHIKLGALSTVVVSSPEMAKEVMKTHDIAFANRPYLLAADVISYGSKGISFSPYGGYWRQMRKICTFELLTPKRVESFKAIRDEEASKLVKEVGLREGSSMNLSKMINSCSYGLTSSVAFGGKSNDQEAFIAVMKDVLKVVAGFSLADLYPIKVLPVLTGLRSRVEKLHQEVDRILEKIVRDHRVTKETNEKEGEDLVDVLLKLQKQNNLEHPLSDNVIKATILDIFSAGSGTSAKTIDWAMSELVKNPKVMEKAQSEIRRVFGEKGHVDEANLHEVKYLKSIIKETLRLHPPVPLLLPRECSERCEINGYEIEAKSKVIVNTWAIGRDPNYWSDAEKFFPERFLDCSVDYKGADFQFIPFGAGKRMCPGITFGIANVELLLANLLFHFDWKMPNGNKCEELDMTESFGLSVRRKQDLYLIPYIWHSFC >Vigun04g069900.1.v1.2 pep primary_assembly:ASM411807v1:4:8120921:8122588:1 gene:Vigun04g069900.v1.2 transcript:Vigun04g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPRNAAVFAVHVFKASSRFLHSSSPQTHPNFPIPRSNSPPSHVINPSSLLSDLVSCSHSDMAGVLARHKRELTSNLVLGILRGYKQLGRAKTLKFFSLAGSHMGFHFDDSVVEYMADFLGRRKLFDDIKCLLTTVAFHKGGVSHKALAICIRFLGRQGRIKEALSLFEDMETVFKCKPDNLVCNNMLYVLCKRESSLEMIQLAHSIFHKIETPDTYSCSNMIVGFCKFGRVESALEIFNQMEKIGVLPTRSAVNMLIGELCLTSAKEGSVEKVRVRNTRRPYTILVPNMGGNISKAGLLPSSFVVVKVMCELCRLGNTEEVGRVLRIVEERKLRCVHEGYSVIIKALCECHKVKEASDLFGRMLSLGLKPKLVVYNSVILMLCKLGKLKDATRVFEIMNKNRCLLDDLTYAALIHGHGEGKNWKVVYDLLIEMLGLGLLPNFDTYNLVESLLREHGRLDLCVKLDRKLENQKLQKLCRGGELDAAYEKVKSMLEKGIPLSAYARDIFEQVFQKCGKLKIARQLLENTERVQKAEEIDKT >Vigun05g189801.1.v1.2 pep primary_assembly:ASM411807v1:5:36861467:36862770:1 gene:Vigun05g189801.v1.2 transcript:Vigun05g189801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSDLVNAKGYSEWIHLVSEFTLKSLHSWKLSLRPLLLLFRLQSKLPCCEANPFNFGGKSLHMSVMCHAPITIDLLA >VigunL001000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:13276:13371:1 gene:VigunL001000.v1.2 transcript:VigunL001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petL MPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun07g039800.2.v1.2 pep primary_assembly:ASM411807v1:7:3886476:3890552:-1 gene:Vigun07g039800.v1.2 transcript:Vigun07g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPWCNLTGTIPISIEKFNNLFHLDVGGNNLSGRIPHRIWRMDLKHLSLAINKFNGSIPEEIVNMRNLEILYLQKSGLSGNMPQGIGMLGKIVHLDMSNCNLNGSIPLSIGALTNISILSLHDNHLSGHIPSEICKLINLEILDLSNNFLSGKIPSTIGNLRYLKYFSLLGNKLSGSVPREIGNLSNLNFLSLFGNQFSGSIPREIGFLRTLGQLDLSNNFLSDKIPSTIGNLSNLVYLYLYANQFSGIIPDEVGNLHSLVTIQLLHNNLSGPIPTSIGNLINLKSISLYDNNLSGPIPTSIGNMVNLESILLHQNKLSGSIPSTIGNLSKLRGLTLFQNYLNGKIPIEMNRLATLENLQLADNYFLGHLPHNICSGEKLTHFSASNNNFTGYIPESLKNCSSLIRLRLQKNQLTGNITNAFDVLPNLDYIELSENNFYGHLSPNWGKFRNLTSLKISNNNLSGVIPPELGGATKLQELQLSSNHLTGNIPQDLCNLVLLFHLSLHNNDLSGNIPKRIASMKNLQFFSIGSNNLSGLIPNQLGNLVKLWNMNLSQNKFEGNIPLELGKLISLTTLDLSGNLLRGRLTHMLGGLNKLETLNLSHNSLSGDLSCFDDMMSLTSIDISYNEFEGPLPDIPVFRNATMEALRNNKGLCGNVSGLKPCLTLSGKSHNHVTKKVITMVLPLTLGTIMLALFVFGVLYYLCKTSVKMEELATNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKALLPTGQVVAVKKLHSVPNGEILNMKAFTSEIQALSKIRHRNIVKLYGFCSHSHWLFVVCEFLEKGNVQNILKDDEQAIAFDWNKRVNVIKDVANALFYMHYDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMKVNEKCDVYSFGVLAWEILIGKHPGDYISSLLLSSSFIGVASTLDDITLMDKLDQRLPPSTKCSVKEVALVAKIALACMTESPRSRPTMKEVVNELVV >Vigun07g039800.1.v1.2 pep primary_assembly:ASM411807v1:7:3886476:3890552:-1 gene:Vigun07g039800.v1.2 transcript:Vigun07g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIFPTLLSMKLQPFWLFLLMCSYAFSHSHAFDSSRITPEANALLRWKASLDNQSQASLSSWSGNSSCNWLGIACDHSNHVFKINLPSIGLRGTLQNLNFSMFTNIHTLNLSINFLNGSIPPQIGVMSNLIILDLSFNELSGVIPSEITQLVGLHTLNMSDNIFSGSLPQEIGRLRELRMLHVPWCNLTGTIPISIEKFNNLFHLDVGGNNLSGRIPHRIWRMDLKHLSLAINKFNGSIPEEIVNMRNLEILYLQKSGLSGNMPQGIGMLGKIVHLDMSNCNLNGSIPLSIGALTNISILSLHDNHLSGHIPSEICKLINLEILDLSNNFLSGKIPSTIGNLRYLKYFSLLGNKLSGSVPREIGNLSNLNFLSLFGNQFSGSIPREIGFLRTLGQLDLSNNFLSDKIPSTIGNLSNLVYLYLYANQFSGIIPDEVGNLHSLVTIQLLHNNLSGPIPTSIGNLINLKSISLYDNNLSGPIPTSIGNMVNLESILLHQNKLSGSIPSTIGNLSKLRGLTLFQNYLNGKIPIEMNRLATLENLQLADNYFLGHLPHNICSGEKLTHFSASNNNFTGYIPESLKNCSSLIRLRLQKNQLTGNITNAFDVLPNLDYIELSENNFYGHLSPNWGKFRNLTSLKISNNNLSGVIPPELGGATKLQELQLSSNHLTGNIPQDLCNLVLLFHLSLHNNDLSGNIPKRIASMKNLQFFSIGSNNLSGLIPNQLGNLVKLWNMNLSQNKFEGNIPLELGKLISLTTLDLSGNLLRGRLTHMLGGLNKLETLNLSHNSLSGDLSCFDDMMSLTSIDISYNEFEGPLPDIPVFRNATMEALRNNKGLCGNVSGLKPCLTLSGKSHNHVTKKVITMVLPLTLGTIMLALFVFGVLYYLCKTSVKMEELATNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKALLPTGQVVAVKKLHSVPNGEILNMKAFTSEIQALSKIRHRNIVKLYGFCSHSHWLFVVCEFLEKGNVQNILKDDEQAIAFDWNKRVNVIKDVANALFYMHYDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMKVNEKCDVYSFGVLAWEILIGKHPGDYISSLLLSSSFIGVASTLDDITLMDKLDQRLPPSTKCSVKEVALVAKIALACMTESPRSRPTMKEVVNELVV >Vigun11g060800.6.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13366263:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLLMWHFLPVIHLGRFGNWMVMIAYLISAKRQPRGQWELGMVQSTSGEEAFVSLSNGNVMKVDRSEILPANPDVLEDVDDLIKLGYLNEPSVLHNLKLRYSQGMIYSKAGPVLIALNPFKDLQMYGNKYVSACSQRTMNSPHVYATVDAAYNKMMRDEVNQSIIISGESGSGKTETAKIAMQYLAALGGGGGNCAIENEFLQINRILEAFGNAKTARNNNSSRFGKLIEIYFGCTGKICGAKIQTLLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun11g060800.1.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13366263:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSASPCSLARSSLEEMLDSLRRRDEEEEKKKDAPPALPPRPTSRARLPPARRSLPKDFMVSGSERRVVLENGVATDEESEVKERDLGQKRKKCGFGSKRLKKDVESPYVALSSSDSSGKVWELDGDDSISYFSKKKLRVWCRQPRGQWELGMVQSTSGEEAFVSLSNGNVMKVDRSEILPANPDVLEDVDDLIKLGYLNEPSVLHNLKLRYSQGMIYSKAGPVLIALNPFKDLQMYGNKYVSACSQRTMNSPHVYATVDAAYNKMMRDEVNQSIIISGESGSGKTETAKIAMQYLAALGGGGGNCAIENEFLQINRILEAFGNAKTARNNNSSRFGKLIEIYFGCTGKICGAKIQTLLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun11g060800.2.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13366285:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLLMWHFLPVIHLGRFGNWMVMIAYLISAKRQPRGQWELGMVQSTSGEEAFVSLSNGNVMKVDRSEILPANPDVLEDVDDLIKLGYLNEPSVLHNLKLRYSQGMIYSKAGPVLIALNPFKDLQMYGNKYVSACSQRTMNSPHVYATVDAAYNKMMRDEVNQSIIISGESGSGKTETAKIAMQYLAALGGGGGNCAIENEFLQINRILEAFGNAKTARNNNSSRFGKLIEIYFGCTGKICGAKIQTLLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun11g060800.4.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13362958:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTVLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun11g060800.5.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13362958:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTVLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun11g060800.3.v1.2 pep primary_assembly:ASM411807v1:11:13342105:13366285:-1 gene:Vigun11g060800.v1.2 transcript:Vigun11g060800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSASPCSLARSSLEEMLDSLRRRDEEEEKKKDAPPALPPRPTSRARLPPARRSLPKDFMVSGSERRVVLENGVATDEESEVKERDLGQKRKKCGFGSKRLKKDVESPYVALSSSDSSGKVWELDGDDSISYFSKKKLRVWCRQPRGQWELGMVQSTSGEEAFVSLSNGNVMKVDRSEILPANPDVLEDVDDLIKLGYLNEPSVLHNLKLRYSQGMIYSKAGPVLIALNPFKDLQMYGNKYVSACSQRTMNSPHVYATVDAAYNKMMRDEVNQSIIISGESGSGKTETAKIAMQYLAALGGGGGNCAIENEFLQINRILEAFGNAKTARNNNSSRFGKLIEIYFGCTGKICGAKIQTLLLEKSRVVQLARDERSYHIFYQLCAGSSSDLKEKLNLRAVSEYKYLVQSDCMSIDGVDDAKNFDQLMEALDAVQIYKEDQELIFKILAAILWLGNISFQVDRDNQIEVVDDEAVNSAAQLMGCSSQDLMTALCTHKIQADEDTIEKKLTLKQAIERRDAIAKFIYASLFNWLLDQVNKSLEAGKKCTWKSISILDFYGFQTFQKNGFEQFYINYANERIQQHYNRHLFKLEQEDYELDGIDWTKVDFEDNQGCLDLFEKKPQGLLSLLDEESNLPNVSDLTFANKLKHHLHPNPCFKGERGRAFRVRHYAGEVLYDTNEFLEKNRDTMSSDCIQFLLSCNCELLPLFSKMFNQSQKQSVATKFKVQLFKLLHQLESTMPHFIRCIAPNTKQLPGIYDEGLVLHQLRCCGVPEIVRLSRAGYPTRMTHQEFSRRYVFMLSEANVSHDPLSISVAVLQKFNIPSEMYHVGYTKLYLRAGQIDALENMRKQILQGIVGIQKCFRGHQVRGCFCELKNGVTTLQSFIRGENSRRKYGVMSKSSITIYSRKLEEIHAIILLQSVIRGWLVRRDATHVNRSKKYPENAKPRRKSFMKIIPEVQDLSKEPVQNLLSALAELQRQVDKADAVVEQKEEEYIELREQLKQSERKRIEYETKMKSMEEAWQKQMGSLQMSLVAARKSLAPENATVQPVRHDFVLPRGYDSEDTSMGSRTPGGSTPMLSGNLSVTDAGRQVNGTLTTVSNLMKEFEQRTHDFDDEVKALNDVKPGQCANKNSVEELRKLKHRFEGWKKQYKIRLRETKARLYKSDPEKSWRAWWKLGSRA >Vigun01g074400.1.v1.2 pep primary_assembly:ASM411807v1:1:20673496:20676671:1 gene:Vigun01g074400.v1.2 transcript:Vigun01g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVTDVPSLDHVPENASLALCSSRFPNGVEMGRSGFKMPKFVVIGHRGNGMNVLQSSDRRMRAIKENTIMSFNAASTFPLDFIEFDVQVTRDDCPVIFHDDFIFSEENGAVFGRRITELSLSEFLSYGPQRDNGNEGKVLLRKKDGKIMPWDVEQDDSLCTLQEAFVKVEPALGFNIELKFDDHIVYEQDYLVHVLQTILKVVFEYAKDRPIIFSTFQPDAALLIRKLQSNYPVFFLTNGGCEIYEDVRRNSLEEAMKLSVENGLEGIVSEIKGIFRDPATVTKIKESKLCLLSYGKLNNVPEAVYMQHLMGIDGVIVDLVKEITEAVADMIKPKNGGEGEGLTEGSGTVQGNSNPQFSQQDLSFLLKLIPQLIQI >Vigun10g186200.3.v1.2 pep primary_assembly:ASM411807v1:10:40243796:40249349:-1 gene:Vigun10g186200.v1.2 transcript:Vigun10g186200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESSVTLKTGELPGRVTRARAAAHCSSGKLPPLKERAQQNQKQLSRVNSKRAASDNTCVPRKRRTVLQDVTNVCCENAYTSCIDSNKIQAKKRRLSKAGQVNDSKVVPSEAVDSLQFEVDSKEKRLQETALKSEDTMCSINLEQKELLRPSSNECNMDVRLPESQMSGISGQPLISQKKAKEDNLLKVLTALKDQDITSIDDDLGDPLLCSLYAADIYDTMRVAELARRPHPNFMEMVQQDITQSMRGILVDWLVEVSEEYKLVTDTLYLTVYLIDWFLSKNYIERQRLQLLGITCMLIASKYEEINAPRIEDFCFITDNTYTKAEVLKMESQVLKSSEYQLFAPTIQTFLRYFQSFLSSNNISKNEKII >Vigun10g186200.2.v1.2 pep primary_assembly:ASM411807v1:10:40243796:40249349:-1 gene:Vigun10g186200.v1.2 transcript:Vigun10g186200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESSVTLKTGELPGRVTRARAAAHCSSGKLPPLKERAQQNQKQLSRVNSKRAASDNTCVPRKRRTVLQDVTNVCCENAYTSCIDSNKIQAKKRRLSKAGQVNDSKVVPSEAVDSLQFEVDSKEKRLQETALKSEDTMCSINLEQKELLRPSSNECNMDVRLPESQMSGISGQPLISQKKAKEDNLLKVLTALKDQDITSIDDDLGDPLLCSLYAADIYDTMRVAELARRPHPNFMEMVQQDITQSMRGILVDWLVEVSEEYKLVTDTLYLTVYLIDWFLSKNYIERQRLQLLGITCMLIASKYEEINAPRIEDFCFITDNTYTKAEVLKMESQVLKSSEYQLFAPTIQTFLRRFLRAAQASYKDPNLELEYLANYLAELTLMDYGFLNFLPSIIAASAVFLALWTLDQSNHPWNPTLQHYASYKASDLKTTVLALQDLQLNTDGCPLTAIRTKYRQDKFKCVAALSSPKVLETLF >Vigun10g186200.1.v1.2 pep primary_assembly:ASM411807v1:10:40243796:40249349:-1 gene:Vigun10g186200.v1.2 transcript:Vigun10g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESSVTLKTGELPGRVTRARAAAHCSSGKLPPLKERAQQNQKQLSRVNSKRAASDNTCVPRKRRTVLQDVTNVCCENAYTSCIDSNKIQAKKRRLSKAGQVNDSKVVPSEAVDSLQFEVDSKEKRLQETALKSEDTMCSINLEQKELLRPSSNECNMDVRLPESQMSGISGQPLISQKKAKEDNLLKVLTALKDQDITSIDDDLGDPLLCSLYAADIYDTMRVAELARRPHPNFMEMVQQDITQSMRGILVDWLVEVSEEYKLVTDTLYLTVYLIDWFLSKNYIERQRLQLLGITCMLIASKYEEINAPRIEDFCFITDNTYTKAEVLKMESQVLKSSEYQLFAPTIQTFLRRFLRAAQASYKDPNLELEYLANYLAELTLMDYGFLNFLPSIIAASAVFLALWTLDQSNHPWNPTLQHYASYKASDLKTTVLALQDLQLNTDGCPLTAIRTKYRQDKFKCVAALSSPKVLETLF >Vigun04g027400.1.v1.2 pep primary_assembly:ASM411807v1:4:2122728:2123820:1 gene:Vigun04g027400.v1.2 transcript:Vigun04g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARISVSSNFVSFLVLLAIAFSFLAQLAPVNADLKVRKLGVMPSPPPSPARSPSWSTLPPYLGPPHSAPPPAI >Vigun10g023650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2816048:2816993:-1 gene:Vigun10g023650.v1.2 transcript:Vigun10g023650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVPTSYGIRYLLLTQWFDRFQRPWIRSLSTKLSWIDSNVHGLDSSPKSSRGSYQHDWIKSLLKWIGSTLDYIRSSTLFPSPFSSLFKPHERSLPCTDDAPFLTTMVFSMHFTTF >Vigun06g089600.1.v1.2 pep primary_assembly:ASM411807v1:6:22186229:22190688:-1 gene:Vigun06g089600.v1.2 transcript:Vigun06g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSTGLDPTLPLLQKDNYENNSAKSGDRSDRLTRRNSVNSIRTFFFSKLPDKVRSGLDSESPYDGINISSTTALSKGEIEYYERQLATLKSFEEVDSVVSSDCIDEEDSAELAQQERAMRISNYANIALLILKSYATIRSGSIAIAASTLDSLLDLMAGGILWFTHLAMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLITALQKLIQNSPGESLSAEQLIWLYSIMLFATAVKLVLWFYCRKSANRIVRAYADDHKFDVVTNVVGLVAAVLGDKYYWWIDPIGAIMLAIYTITNWSRTVMENAVSLVGQSAPPEVLQKLTYLVIRHPRVKRIDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKLEKLPEVERAFVHLDFECDHKPEHSVLVKLPNNQS >Vigun07g089800.1.v1.2 pep primary_assembly:ASM411807v1:7:14125437:14133466:1 gene:Vigun07g089800.v1.2 transcript:Vigun07g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIARRLGHQSSTSFRAIYPISNHYYVADHQRHVSTIAPKGVGHLVRKGTGGRSSVSGIIATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDCPRHLKLMGDLGQIVPMKYNPRDESSVKAVMAKANVVINLIGRDYETRNYSFEEVHYHMAENLAKISREHGGILRFIQVSSLGASPSSPSRMLRAKAAAEEVVLRELPEATILKPAVMIGTEDRILNPWAHFAKKYGFIPLFGNGSTKIQPVYVVDVAAALTTVLKDDGTSMGKTYELGGPEIFTVHDLAELMFETIREWPRYVKVPFPIAKALATPREILLNKVPFPLPTPEILNLDKIQALTTDTVVSENALTFNDLGIVPHKLKGYPVEFLISYRKGGPQFGSTISERVTPDAWP >Vigun10g173900.1.v1.2 pep primary_assembly:ASM411807v1:10:39263211:39266246:1 gene:Vigun10g173900.v1.2 transcript:Vigun10g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGPPKLYTNKPRKAQLKQFRGQQKSNEYSSPAAMGTHGHAAAPPPPPPPPKVPFVRRYKFVWPILLAVNLGVGAYLFLGNKKKEIGEEEEQDVTPVSTKDTAAPVLEMNVPTPPTTNPVVKREPIPENQQRELLKWILEEKRKIKPKDAEEKQKIDEEKALIKRLIRSKSIPSV >Vigun06g140400.1.v1.2 pep primary_assembly:ASM411807v1:6:26574163:26575926:-1 gene:Vigun06g140400.v1.2 transcript:Vigun06g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGEAALDRLKVYEGIPPPYDKTKRMVVPDALKVLRLQKGHKYCVLGRLSSEVGWSYYDTIRELEKKRKDKAELVYERKKQLNKLRVKAEKIAEEKLGSQLDVLAPVKY >Vigun06g196700.1.v1.2 pep primary_assembly:ASM411807v1:6:31210817:31215127:1 gene:Vigun06g196700.v1.2 transcript:Vigun06g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFYQMNRLVLLRHPLLPLSVAAAAKLSLQPFSQTYYSYTRRRMNSEICHGVSSPLTTATFCTTNKDLSAKKCVPCNTKDLQPMTPDEARTLLPQVADWDLVNEDGVLKLRRSWKVKTFTKGLQFFRVIGDLAEAEGHHPDLHLVGWNNVTIEIWTHSVGGLTQNDFILAAKMNGLNLHGLLRRKASD >Vigun02g128200.1.v1.2 pep primary_assembly:ASM411807v1:2:28013354:28016816:-1 gene:Vigun02g128200.v1.2 transcript:Vigun02g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTAVALDRLIEPGASNPVDKSSPTSIPIPNSQKLERSTKAPANKSEALRPPLKPTLYSTPEVTPLPETPSSFPPSPYIINHKRRGPSLLIKSSSDVDIHDDENANDKNFVDAVVASSAGDLHVTLKNTELVKEDKKVNGVYDGKLDNSNGADLANCPRETGSSSLTDYLLKERSLTLNLDRAREVDEDFFDPADSMSFASNTDGEENATDLSMKFSSPIGEYYDAWEEFSSTSTSQNSTHDVDTEVELRELRLSLLMEIEKRKKAEEYLNSMRSQWECIRQGLYQAGIILPAELTSVAKDEQIISDGVEDLCQQVHIARIISNTIGKGIARAEVEKEMEAQLEAKNFDIARLMERLHCYETMNKEMSQRNQEAVEMARRERQRKNKRQRWIWGSITTVIALSTAALAWSYLPMEIGSSSAERDLIPEHDDAAK >Vigun04g193000.1.v1.2 pep primary_assembly:ASM411807v1:4:41705397:41708815:-1 gene:Vigun04g193000.v1.2 transcript:Vigun04g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IMLHSINALADDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFTSFNKKIESEIREVLEKLEYLARQKGALGLKESIYSGDGSGSKEPQKMPSSSLVVESVIYGRDADKEKILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDIRAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISGKKFFLVLDDVWNERQEKWEAVRTPLSYGAPGSRILVTTRGEKVASNMSSKVHRPKHLKEDECWKVFQKHALRGDELELNDEKKEIGRRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFVSCALFPKDYKFHKKELILLWIAQDFLHCSQQNNNLEEIGEQYFNDLLMRSFFLQTDFKTYFSMHDLLNDVAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFYDILVHELFSNFKFLRVLSLDQYSELREVPDSIGDLKHLHSLDLSGTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLNLHKLTKLRCLEFENTKVTKMPMHFGELKNLQVLNTVIFDRNNEFKSKHLGGLNLHGRLSINEVQNIENPFDALEANMKNKDLLKLELKWKSDHIPDDPRKEKKVLENLQPSKNVEHLSIKSYGGTQFPSWVFDNSLSNLVSLRIEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLERLTFSDMKELEECEHKTAAFPRLETLEVYQCPKLKGLPDQLVNVKYLNIRGSMKASCLESFDLLEQIEIISGCDSLTTFPLDFFPNLKALSLFFCRNLQIISQKHTHNRFKHLSITGCSRFDSFPSEGLSAPRLQRMYIDGAENLKLLPKRMQILLPSLSELQIIYCPKVEDFPDGGLPPNVKKVSLSSFKLMASLRETLGTNTCLESLCIQYMDVEFFSDEVLLPHSITSLRIYHCTNLKKMEYKGLCHLSYLSLHDCPNLQCLPEDGLPKSISSLRILNCPVLEQRCQNPEGQDWKKIAHIENLSVGSKA >Vigun03g142700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14401229:14403170:1 gene:Vigun03g142700.v1.2 transcript:Vigun03g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIPFISIFLLHVVMAVSDTIPQCLSLHSDPSHPISAVTYFPNNSSYPPTLNANIRNLRFSSPTTPKPSFIVAPNHVSHIQASIICCKKFGLEMRIRSGGHDYDGLSYVAKAPFAILDMSMLRSVKVNVQDQTVWVDSGSTIGELYYGIAEKSKILGFPAGVCHTVGVGGHFSGGGYGNMMRRFGISVDHILDAQIVDAEGRVLDRKGMGEDLFWAIRGGGGASFGVIVSWKIKLVPVPKVVTVFRIEKTLEQGAGDIVHQWQYVANKIHDGLFIRVVLSPVTRMGKKTIRAKFNALFLGDAQELVHVMNESFPQLGLVGEQCIPMSWIDSVLFWDNFPVGTSAKALLERHGTPEKFLKKKSDYVQKPISKADLEGIWKKMMELEKPVLTFNPYGGKMSEISEKETPFPHRGGNLYKIQYSVIWKEKGEDVANRYLHSIRSLYDYMSPYVSSSPRSSYLNYRDVDIGVNGPGNGTYAEASVWGKKYFKTNFDRLVKIKSKVDPSNFFRYEQSIPSLASAHTIVSE >Vigun01g018200.1.v1.2 pep primary_assembly:ASM411807v1:1:1962412:1963168:-1 gene:Vigun01g018200.v1.2 transcript:Vigun01g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMVASRCFIVKMTFLLTLFIMTSDLCMMKTEAKESIDQFSCDNDKGCPPYKPPPHQPPPPPPHHCPPQQPPPSPPHKPPPPPPCHCPPPQPPPPPPHHCPPTQPPPSPPPPPHHCPPHHHHHPHHPHHPSPPPHQAPPPPQHKAPPPPC >Vigun02g195700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33128969:33131320:-1 gene:Vigun02g195700.v1.2 transcript:Vigun02g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIFLPSIFILLSLLNGFHAIVQPNTSITAGSNSTWKSPSGHFEFGFLHLPSGLFLLGIWFARISDITLAWYLSPPVEPNSQIQFTSAGNLVVVHPNGTTANTIYDSEEGAAATSADMQDDGNFVIKDSKLVSVWQSFNYPTNTILPGQTLLSTKTLYSKGKGPSNYSLGSFLLQMQDDGDWVLKAYQWLDPAYWYISTITSNVTLVFNATTALMYLVAGTGNIYSITNTTPTPVEDYYHRATIDENGNFQQYAYHKRNGTGWRRVWRAVEDPCRVNAVCGVYGLCSSPDNESVKCECIPGYIPFDDRDISKGCHPPAVINYCAENNFKLQVFDDTDFHFNTHLVSLAGVDFESCKKDVIDDCNIVAATYDHSTSTCAKKRLPLLNARNSSSSKGLKALLKVAHRIESGTYTKKKIFNVKILLKVLVAVTATLACFFGALAVYYHPFTRKLTIRKKHLNATAMGINFREFTFQELCEATKGFTKILGKGASGRVYRGALVIDDAEIDVAVKKLEKKIDKSETEFTTELKIIGRTHHRNLVRLLGFCIESSHRILVYELMPHGALSSYLFGEGERPEWGQRIEMALGVARGLLYLHEECNTQIIHCDIKPENVLLDANYTPKIADFGLSKLLNKDQTRTNTNLRGTMGYLAPEWLRSAPVTAKVDIYSFGVMLLEIICCRRHVEICEDGKDSEDYDLVLSNFVLRCVVCRQLEVVVRDDTEVLNDFKRFEEMALIGLWCVHPNPALRPSMKHVMQMLDGTVEVGVPPLVYEQIMACSLSLQC >Vigun11g145400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35440648:35441892:1 gene:Vigun11g145400.v1.2 transcript:Vigun11g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQATLFTLSLFLLLCSTSLAISPAPAAAPKAPAAKTPHTPKASAPSPKPLVPTLPQSPDSPDSVPDDITRILKKAKMFSTLIRLLKTTEIMNNINSQLITAKSGGITILAPDDSAFSNLKAGFLNSLNEGQKIELVQFHILPEFVSSSNFDSLSNPVQTVAGKDPVRLPLNVNALGNSVNISTGVVNATVLGVVYSDNKLGIYHVDKVLLPLDFFATNKAPALAPTTLAKAPKAAKENSSEDDQDETNQDHNKSGAVNLMSIGGTKFMALGIALMAVATMWC >Vigun08g120600.1.v1.2 pep primary_assembly:ASM411807v1:8:28903854:28907336:1 gene:Vigun08g120600.v1.2 transcript:Vigun08g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSTKKPQSVGPWGGNGGSVWDDGVYSGVRQLVIVHGAGIDSIQIEYDKKGSSIWSEKHGGSGGRKTDKVKFDYPNEILTKIHGYCGSFNHLGPNLVRSLSFESNKKTYGPFGVEQGTYFSVPLTGAKIVGFHGRCGWYIDAIGVYLKSLKQPNPSMPLAHSQSPITQFSDNFGYSVIQGTVNEHYDIVLALKQKNHFNDHLAKKVPGKYSFVQESNNTEHKQKMAHVKESIPKVGGVVTYGPWGGIGGHVFDDGSYTGIRQINLSRNVGIVWIRVLYDYHGEAIWGPKQGGTGGFKTEKIVFDFPYEVLTHVSGYCDPLMYMGPVFVRSLTFHTNKRKYGPYGDEQGNSFTTKVKEGKIVGIHGRKGLFLDAFGVHVVEGKVTVPVGTPPKEINPRETSIGDVGNAQWPSKLVLAKSSAAEEVSCGVMKEPAPCGPGPWGGDGGRPWDDGVFSGIKQIYLTKVSEGICSIQIEYDRNRQSVWSVKHGGNGGDTMHRIQLEHPHEVLTCISGYYGSITKDDQQHTIIKSLTFCTSRGQYGPFGEEVGKFFSSTTTQGKVLGFHGRSSLYLDAIGVHMQHWLGNQKTSRSSLFKLF >Vigun08g214100.1.v1.2 pep primary_assembly:ASM411807v1:8:37474514:37478102:-1 gene:Vigun08g214100.v1.2 transcript:Vigun08g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSETTNPPRSNPQSFTPSNVYSEPWWRGIHPHYKPVPPQAMSGVNASNSSSLERPPQAMSVVNASNSSSLERPNGDSESSEDQSLSNNGVNEEDDDVTKESQPTAPNQPGSYGQDQQAMLHSSSSAPSRRDDCVPPHAPQLELISHSFGYAPFIGMPHARMALPLEMAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKVRKPYLHESRHQHAMRRARGNGGRFAKKTEVEASKHTNKEKNMGAAGPVSVNSSDSAKTWNSASVQQDARGSQVHERFEKHNYANVLQSSSAFCLHSGERVEEGDCSGQQRGSISSEQSSQRRLAIQ >Vigun10g090400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26127675:26128733:1 gene:Vigun10g090400.v1.2 transcript:Vigun10g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPHFFLTFSLLLLLSCHLLNGQEDSEFGHAIERKLLGLKRKEKLSHFKFYWHDILSGRNPTSVSVVPPTLKLNTTTAFGLVNMIDNPLTLGPEMSSKLVGKAQGFYASASQTEIDLLMAMNFAFSDGKYNGSTITILGRNCVFNKVREMPVIGGSGLFRFARGYAEARTHWVDYKSGDATVEYNVYVMHY >Vigun05g108500.1.v1.2 pep primary_assembly:ASM411807v1:5:11124639:11126233:1 gene:Vigun05g108500.v1.2 transcript:Vigun05g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIATQSGDEDHTISLKVLVNKENNKVVFAEAGKDFVDVLLSFITLPLGTIARLVAKESNVQPVKIGSLSTLYESVSHLEEKFLWIQTCKEMLLQPRNSMEDYCKNLKLNIDDSEPKSYYFCENLLECVIKPSLVSTFRNQRCGCGKLMNRVVQPLDIPSLENGFVKESASFLVSDDLYIMPNVFGASADLFHKLGIEDMNTLEEQIVVVVDLLKFSLISRTPMTDLILRNKQHLGNFSPISQNLFEIGNIASVEGRKMVVKIQIRKSNGKILFAEAEEEFVDFLLSFLTFPLGGVLHMLEGFSSVSCIDSLYKSMNELSSERYLISQELKDRLANPLCASHFNLSNQILPIGVESFSSIYSYCGTSYNIVDPKSSTGESSSILGFVKGPVMYMVTDDLVVSPMSSTYAVSYINTLKVPLFDLEERVIAIGVKEGLGILKASLTSTSALTEGLKHLTRPIEEKIQSLSSCLKL >Vigun02g062200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20842419:20844984:1 gene:Vigun02g062200.v1.2 transcript:Vigun02g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEADHQNGIRSRPNFPLQLLEKKDVDDVTEQPCSTTTRDSVVTATTITTTTSVTNNNLHLAEQSKKPPPKRTSTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTMSAPSHYFRGNYFNPSTFSTSTAAAAAAAAQLRNRAEWDRSMSMVMEDSRRSMLENTSSISAILNFNPMGNINVIQQAKQELREESGGGLDSVASDSDGSLGRKRRPEQELSQMGSYLIQSSTGSLPSSHASNTAAFWMVAGHGNQSMNGGGGGGSGNGNDNPIWAIPSVGNSGVYRGGMSPPGGIHFMNFASPMPLMPGGQLGSGMVGGGGGGGGNGGAQLLSESNLGMLAALNAYRQIPANGVSRSPGSGGQAHGGDDGRDSTSQHS >Vigun06g130000.2.v1.2 pep primary_assembly:ASM411807v1:6:25640374:25646698:1 gene:Vigun06g130000.v1.2 transcript:Vigun06g130000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWMKCCVVNMHNFMDNISRVRIAEMGTPEEEGEEKLLRKIERLEAGHAHLKQEMSNFQLLQNHRSHSRFGAATSNQPSSSSLHQNGNKYLNILQSMGHSVHVLDLQCRVMYWNPSAENLYGYAAEEVLGRDGIEMLVDPRDFGLANDVFNHVKMGESWRGQFPVKNKKGDRFYAVSTNTPFYDEDGSLVGVICVSCDSRPFLESRIPFLGAESAEPVSSSNGRRSSISDKLGLDSQQPLQVALASRISNLASKVSNKVKSRIRTGEFSVNREGGSGESRHSEHSLSDCAVSDQREDGNSSGASTPRGDVLKSPFGAFSPAEEKSQGKTMRDSSDQSEGKPIHKIITSKAETWIQKKSLSWPWKANNQEGSDQENELINQKNLSSGLKPESQAGESNGPVNNEASGSWSSFVNVNSTSSTSSCGSGSSCAANNKVDVDSDCLDYEILWEDLTVGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVLLSFRQEVSVMKRLRHPNIILFMGAVTSPQHLCIVTEFLPRGSLFRLLQRNTSKLERRRRVHMAVDIARGVNYLHHCNPPIIHRDLKSSNILVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNELSDEKSDVYSFGVILWELTTEKIPWDTLNPMQVIGAVGFMNHRLEIPEDVDPQWASIIESCLHRDPACRPTFQELVERLRELQRQYAIQFQAARSAEEATQKEFSSK >Vigun06g130000.1.v1.2 pep primary_assembly:ASM411807v1:6:25640374:25646698:1 gene:Vigun06g130000.v1.2 transcript:Vigun06g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEEEGEEKLLRKIERLEAGHAHLKQEMSNFQLLQNHRSHSRFGAATSNQPSSSSLHQNGNKYLNILQSMGHSVHVLDLQCRVMYWNPSAENLYGYAAEEVLGRDGIEMLVDPRDFGLANDVFNHVKMGESWRGQFPVKNKKGDRFYAVSTNTPFYDEDGSLVGVICVSCDSRPFLESRIPFLGAESAEPVSSSNGRRSSISDKLGLDSQQPLQVALASRISNLASKVSNKVKSRIRTGEFSVNREGGSGESRHSEHSLSDCAVSDQREDGNSSGASTPRGDVLKSPFGAFSPAEEKSQGKTMRDSSDQSEGKPIHKIITSKAETWIQKKSLSWPWKANNQEGSDQENELINQKNLSSGLKPESQAGESNGPVNNEASGSWSSFVNVNSTSSTSSCGSGSSCAANNKVDVDSDCLDYEILWEDLTVGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVLLSFRQEVSVMKRLRHPNIILFMGAVTSPQHLCIVTEFLPRGSLFRLLQRNTSKLERRRRVHMAVDIARGVNYLHHCNPPIIHRDLKSSNILVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNELSDEKSDVYSFGVILWELTTEKIPWDTLNPMQVIGAVGFMNHRLEIPEDVDPQWASIIESCLHRDPACRPTFQELVERLRELQRQYAIQFQAARSAEEATQKEFSSK >Vigun06g130000.3.v1.2 pep primary_assembly:ASM411807v1:6:25641549:25646616:1 gene:Vigun06g130000.v1.2 transcript:Vigun06g130000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEEEGEEKLLRKIERLEAGHAHLKQEMSNFQLLQNHRSHSRFGAATSNQPSSSSLHQNGNKYLNILQSMGHSVHVLDLQCRVMYWNPSAENLYGYAAEEVLGRDGIEMLVDPRDFGLANDVFNHVKMGESWRGQFPVKNKKGDRFYAVSTNTPFYDEDGSLVGVICVSCDSRPFLESRIPFLGAESAEPVSSSNGRRSSISDKLGLDSQQPLQVALASRISNLASKVSNKVKSRIRTGEFSVNREGGSGESRHSEHSLSDCAVSDQREDGNSSGASTPRGDVLKSPFGAFSPAEEKSQGKTMRDSSDQSEGKPIHKIITSKAETWIQKKSLSWPWKANNQEGSDQENELINQKNLSSGLKPESQAGESNGPVNNEASGSWSSFVNVNSTSSTSSCGSGSSCAANNKVDVDSDCLDYEILWEDLTVGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVLLSFRQEVSVMKRLRHPNIILFMGAVTSPQHLCIVTEFLPRGSLFRLLQRNTSKLERRRRVHMAVDIKGSLSL >Vigun03g134300.1.v1.2 pep primary_assembly:ASM411807v1:3:13165010:13166907:1 gene:Vigun03g134300.v1.2 transcript:Vigun03g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNVNLPPGFHFYPTDEELVVHFLQRKANLLPCHPDVIPDLELYPYDPWELHGRALAEGKQWYYYSRRTQNRVTGNGYWMPMGMEEPVITSSSNKRVGMKKYYVFHVGEAPDANTTNWIMQEYRLLDSASSSRSSRRRPQPKPDHSKWVICRVYERDNDDDDGDGTELSCLDEVFLSLDDLEEISLPN >Vigun05g015200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1220065:1220959:1 gene:Vigun05g015200.v1.2 transcript:Vigun05g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREVDSLTMANCLMLLSRGGEFEATYSSSSSMSNRVFECKTCNRQFPSFQALGGHRASHKKPRLMPGDNSDQALHDSPPKPKTHECSVCGLEFAIGQALGGHMRRHRAANLNGNLHNSTTISSSSGGGSSSIDSSTKNNVVANKRVLVLDLNLTPFENDLEILKIGKPTSLVDYLY >Vigun07g033700.1.v1.2 pep primary_assembly:ASM411807v1:7:3206734:3210171:-1 gene:Vigun07g033700.v1.2 transcript:Vigun07g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESKKGLLESVRKFSTPPFLLKTYMLVEDPATNDVVSWNDDGTTFVVLQPAEFARDLLPTLFNHCNFSSFVRQLNTYGFRKVTTSRWEFCNERFRKGEKELLCEIRRKKSWVRKRQHNSHEDERSFSSNYAVLMDENKRLKKENVVLHSELTTMKRKCKDLFDLVTKYSCAKDQKTMLCQVQEEREIKKKNKIADISENTNIFLSPMII >VigunL032175.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:207131:208190:-1 gene:VigunL032175.v1.2 transcript:VigunL032175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHGIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIFVARQSWSMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGNIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun07g123900.1.v1.2 pep primary_assembly:ASM411807v1:7:22927566:22932426:1 gene:Vigun07g123900.v1.2 transcript:Vigun07g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCLKSGMLPTISIGGSLDARANPSQVSTVGRSAGDKPPQRSLFSRFSFRYPLESLWHRGNNSKHKGLALDDAVLADNTEAKAVRDDGEGDGTERGNWVLKILRVKSVWEGKQRDEEEVAVNDQTQCNYDGEELCECDACGVGEDDGYGDAEEAEFDRGSFSRMLRRVSLAEARLYAQMSHLGNLAYDIPKIKPGKLLKHYGLRLVTSSIEKKELAAIAEKDTQKVETDEKEEEEKEEGKREDPKHSGYNISATAAYNIAASAATYLHSQTRSIFPFKSSNAVTGEASLDGSNESLDSNLINSEVSSLMATTDSVTAVVAAKEEVKQAVADDLNSAHSSPCEWFVCDDDESGARFFVIQGSETMASWQANLLFEPIKFEGLDVLVHRGIYEAAKGMYEQMLPEVHAHLKSRGSRATFRFTGHSLGGSLALLVNLMLLIRQEVPVSSLLPVVTFGSPSIMCGGDSLLEKLGLPRSHVQAITMHRDIVPRAFSCNYPNHVAELLKAVNGNFRSHPCLNKQKVLYTPMGNLLILQPDEKFSPSHHLLPSGSGLYLLCCPLSESKDTDKQLRAAQTVFLNSPHPLEILSDRSAYGSGGSIQRDHDMNSYLKCVRTVIRQELNQIRKVKREQRRKVWWPLVLARGMDTSIGAGRSMISINVGQRQSPFSGMIQTGRQSLKRFKRLVTSQHIHLFVLFLFPARLLLLGTYSLISLT >Vigun03g130000.2.v1.2 pep primary_assembly:ASM411807v1:3:12607754:12611100:-1 gene:Vigun03g130000.v1.2 transcript:Vigun03g130000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAASKCRRYRKGVSMNGSKNISSSQSNEEAKVTCRCFKEECHCLLRSRTNSHFGNSTLGPHSSKKECGICCPVKKFGSKLLKLGNLGGSNKNENLLSGSLSHSVASSSAFSSTSRYNKRAVLCGISYRRKFRLRGIINDISNMKELLIKNFDFPNECIRILTDEQNGNLIPTKHNIMESLRWLVKDCEAGDSLVFYFSGHGSQQPDFKEDEIDGFDETLCPVDYLIEGMIIDNEINSTIVWPLKKGVTLHAIVDACHSGTILDLLFVYNQESGIWEDNKPPSKEPIRKHSSGGLAICLSACEDNQTACDSSVFGGKGMNGVLTYLFTKAIREYPGITYGGLLQNMHQEIKKISRSKCNNRILPHIFNRKIAQDPLLSSSEKFDVSTTMLSL >Vigun03g130000.1.v1.2 pep primary_assembly:ASM411807v1:3:12607754:12611100:-1 gene:Vigun03g130000.v1.2 transcript:Vigun03g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAASKCRRYRKGVSMNGSKNISSSQSNEEAKVTCRCFKEECHCLLRSRTNSHFGNSTLGPHSSKKECGICCPVKKFGSKLLKLGNLGGSNKNENLLSGSLSHSVASSSAFSSTSRYNKRAVLCGISYRRKFRLRGIINDISNMKELLIKNFDFPNECIRILTEDEQNGNLIPTKHNIMESLRWLVKDCEAGDSLVFYFSGHGSQQPDFKEDEIDGFDETLCPVDYLIEGMIIDNEINSTIVWPLKKGVTLHAIVDACHSGTILDLLFVYNQESGIWEDNKPPSKEPIRKHSSGGLAICLSACEDNQTACDSSVFGGKGMNGVLTYLFTKAIREYPGITYGGLLQNMHQEIKKISRSKCNNRILPHIFNRKIAQDPLLSSSEKFDVSTTMLSL >Vigun07g053200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5637530:5639107:1 gene:Vigun07g053200.v1.2 transcript:Vigun07g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQNSCVLSQDMIMEILSWLPVKDLLRFKCVSRWFHHLVTNPAFVKLHLQRSSKNTHILLTFEDWDKRRDYAIVCPVQDLFDNPSSTLQTLHHKHLPFNRSYAILGACNGLVCLQDSCFEDKFKEYWFRIGNPTTRVMTKDSPHIRLNLSDYKHPFMFGFGYDEWNDTYQVVLLDNNKNQSQKLEVRVCCLGDTCWRNTLTCDAVAPMIGLQSHGTCGAFVSGTLNWLVYPKSRCSDDDERGGTKMNELEIFCYDLKKKTCSYFSMPDGILEVPPFDTELKVLNGCLCLSHHHDDNFFVWLKRDFSDEKSWSKLLNYKNRPSTCYCCRHYMEIICIREKDDVVLLADTGLQAEFTLCNIRDNRIKVREIYNENKQSLFSYDYVQSLVFPCRN >VigunL009802.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:24590:25096:1 gene:VigunL009802.v1.2 transcript:VigunL009802.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPKRNQPSLFQKALLGRRCLFTSLGQCSKHESVTPPSPMLSHRQRLGKRGRATCRETCTCGSGRGPRYTVLICVGPRNSSEIVMAQKQIWSGIPLFPVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPT >Vigun01g114700.1.v1.2 pep primary_assembly:ASM411807v1:1:29064396:29072087:-1 gene:Vigun01g114700.v1.2 transcript:Vigun01g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHCVQLAGATVSTHRITSISCKKKSDKIAFKETKEGFVDYDRGLHEVSTKISGLRKDNIATRYRLRVAGNRFQKDWTVSEVADLVLSLDLSDDIDGLLNRWIGRYARKNFPYLIKELTQRGSIEHCNRVFRWMKLQRNYRARNDMYNMMIRLHARHNRTDHARGLFFEMQEWRCKPDVETYNALINAHGRAGQWRWALNIMDDMLRAAIPPSRYTYNNLINACGSSGNWKEALSVCKKMTDNGVGPDLVTHNIILSAFKSGAQYLKALSYFELMKGTHIRPDTTTLNIVIHCLVKLQQYDKAIDIFNSMREKKSECTPDVVTFTSIIHLYSVCGQVENCKAAFNMMLAEGLKPNIVSYNALIGAYAACGMDNEALLVFNEIKRNGFRPDVVSYTSLLNAYGRSQKPQKAREIFDMMKRNKLKPNLVSYNSLIDAYGSSGFLTDAIEVLREMEQDGIQPNVVSICILLAACGRCSRKVKIDTVLTAAKMRGIKLNTVAYNSAIGSYMNVGEYDKAVDLYKSMRKKKIKTDSVTYTVLISGCCKMSKYGEAVSFMEEMMHLKLPLSKEVYSSVICAYSKQGQIEEAESTFNLMKSSGCYPDVVAYTSMLDAYNAAGSWEKAYALFDEMEASDIKLDTIACAALMRSFNKGGQPGRVLSLAQSMREKEIPFTDTIFFEMVSACSILQDWRTAVDMIKYIEPSLPVISSGCLNQFLHALGKSGKIETMLKLFFKMLASGVDVNLNTYSILLKNLLSSGNWRKYLEVLQWMEDAGIHPSNEMYHDISSFSQKCCGHENAAVIKERLESLKRKSEDQISANRPGETPVLS >Vigun01g114700.3.v1.2 pep primary_assembly:ASM411807v1:1:29064396:29072087:-1 gene:Vigun01g114700.v1.2 transcript:Vigun01g114700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHCVQLAGATVSTHRITSISCKKKSDKIAFKETKEGFVDYDRGLHEVSTKISGLRKDNIATRYRLRVAGNRFQKDWTVSEVADLVLSLDLSDDIDGLLNRWIGRYARKNFPYLIKELTQRGSIEHCNRVFRWMKLQRNYRARNDMYNMMIRLHARHNRTDHARGLFFEMQEWRCKPDVETYNALINAHGRAGQWRWALNIMDDMLRAAIPPSRYTYNNLINACGSSGNWKEALSVCKKMTDNGVGPDLVTHNIILSAFKSGAQYLKALSYFELMKGTHIRPDTTTLNIVIHCLVKLQQYDKAIDIFNSMREKKSECTPDVVTFTSIIHLYSVCGQVENCKAAFNMMLAEGLKPNIVSYNALIGAYAACGMDNEALLVFNEIKRNGFRPDVVSYTSLLNAYGRSQKPQKAREIFDMMKRNKLKPNLVSYNSLIDAYGSSGFLTDAIEVLREMEQDGIQPNVVSICILLAACGRCSRKVKIDTVLTAAKMRGIKLNTVAYNSAIGSYMNVGEYDKAVDLYKSMRKKKIKTDSVTYTVLISGCCKMSKYGEAVSFMEEMMHLKLPLSKEVYSSVICAYSKQGQIEEAESTFNLMKSSGCYPDVVAYTSMLDAYNAAGSWEKAYALFDEMEASDIKLDTIACAALMRSFNKGGQPGRVLSLAQSMREKEIPFTDTIFFEMVSACSILQDWRTAVDMIKYIEPSLPVISSGCLNQFLHALGKSGKIETMLKLFFKMLASGVDVNLNTYSILLKNLLSSGNWRKYLENP >Vigun01g114700.2.v1.2 pep primary_assembly:ASM411807v1:1:29067264:29072087:-1 gene:Vigun01g114700.v1.2 transcript:Vigun01g114700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATHCVQLAGATVSTHRITSISCKKKSDKIAFKETKEGFVDYDRGLHEVSTKISGLRKDNIATRYRLRVAGNRFQKDWTVSEVADLVLSLDLSDDIDGLLNRWIGRYARKNFPYLIKELTQRGSIEHCNRVFRWMKLQRNYRARNDMYNMMIRLHARHNRTDHARGLFFEMQEWRCKPDVETYNALINAHGRAGQWRWALNIMDDMLRAAIPPSRYTYNNLINACGSSGNWKEALSVCKKMTDNGVGPDLVTHNIILSAFKSGAQYLKALSYFELMKGTHIRPDTTTLNIVIHCLVKLQQYDKAIDIFNSMREKKSECTPDVVTFTSIIHLYSVCGQVENCKAAFNMMLAEGLKPNIVSYNALIGAYAACGMDNEALLVFNEIKRNGFRPDVVSYTSLLNAYGRSQKPQKAREIFDMMKRNKLKPNLVSYNSLIDAYGSSGFLTDAIEVLREMEQDGIQPNVVSICILLAACGRCSRKVKIDTVLTAAKMRGIKLNTVAYNSAIGSYMNVGEYDKAVDLYKSMRKKKIKTDSVTYTVLISGCCKMSKYGEAVSFMEEMMHLKLPLSKEVYSSVICAYSKQGQIEEAESTFNLMKSSGCYPDVVAYTSMLDAYNAAGSWEKAYALFDEMEASDIKLDTIACAALMRSFNKGGQPGRVLSLAQSMREKEIPFTDTIFFEMVSACSILQDWRTAVDMIKYIEPSLPVISSGCLNQFLHALGKSGKIETMLKLFFKMLASGVDVNLNTYSILLKNLLSSGNWRKYLEVRSCSL >Vigun03g341200.1.v1.2 pep primary_assembly:ASM411807v1:3:54004163:54006088:1 gene:Vigun03g341200.v1.2 transcript:Vigun03g341200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNLCHNNAFSLFNPPFQTTPQLPLTSISSLFNFNSLQKSKFPRATANATGEATLPTMSQILEASRAQKLDLHLKTLGPFFRITARSLVTDAELGRAEGFVRFWVDGKILHLDSIKLRRETLGMEKSIFGLGLFIGAVAIRHGYDSGCRTAQLLAINDSDLYHSKLVRFYSRLGFKAVYEVTGSSLGDVGHMLVWGGVGTRMDASVEELMVKWCTRFKSPHK >Vigun05g180400.11.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34306420:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.2.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSRGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.8.v1.2 pep primary_assembly:ASM411807v1:5:34298965:34307911:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFHCESDSLLSFIFTSLWYSELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.1.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.7.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSRGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.9.v1.2 pep primary_assembly:ASM411807v1:5:34298965:34307911:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFHCESDSLLSFIFTSLWYSELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSRGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.6.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.3.v1.2 pep primary_assembly:ASM411807v1:5:34298974:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEIKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.4.v1.2 pep primary_assembly:ASM411807v1:5:34298974:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEIKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSRGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.10.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYGGFSHSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.12.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34306420:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYDSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun05g180400.5.v1.2 pep primary_assembly:ASM411807v1:5:34299036:34314931:-1 gene:Vigun05g180400.v1.2 transcript:Vigun05g180400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITSSHFFLILSLLPFCFTWLAFGDTLSENEVWVLKDIAKTLGKKDWDFNVNPCHWNNQTESNMILCNCKITNRSSCHIQKIALKSQSLQGVLPPQLNKLPYLQEIELSSNYISGSIPREWGSSNLQKISLLGNRLTGPIPKELGNLTNLTRLILDFNQLSGNLPLELGNLVLIQELRLSSNNFTGPLPANLSVLTAMTDFRISDNQLSGNIPDWLRNWTSLSKLYMQGSGLKGPIFSPGISLPHLNDLRISDLNGPDSTFPPLENMKNLSILILRSCNINDTFPQYFADLPKLKMLDLSYNKLNGQVPGSLQQFSAAANIYLTGNFFTGSVPEWTMDNNRSLDLSYNNFSFGNQEPKTCYQQNVNLFASFSRNNLGPVSCNSSSRTCTEKVKSLHINCGGNQTTIGGITYDEDIYPAGPAVYRQSGNNWALSNTGLFMDNNNTHQGQLLPYTTKNETRLYMTNAELYKNARVSPMSLTYYGFCLANGVYTVKLHFAEIMFTDNSTFRDLGRRVFDVYIQGTRVLKDFNIANEAEGVGKELIKEFLARVSDNDLEIRFYWGGKGTTSIPYKSVHGPLISAISVTYDSTGGSKTKEDSEGGMSAGVIAVIAVALVIVVILVTVCVLCWSGFISGCCHPDSCWHSLLEGLRTNSSFVRHFGGNEHLLMQDLRDIELQTGVFTLHEIKVATKNFDICNKIGEGGFGPVYKGILPNGKPIAVKQLSSKSDQGTREFINEIGMISALQHPNLVKLYGCCAEGDQLLLVYEYMENNSLARALFDSEDSHLKLNWATRKKICIGIARGLAFLHEESTLKVVHRDLKTSNVLLDEDLNPKISDFGLARLRVGENTHISTRIAGTWGYMAPEYAMHGYLTEKADIFSFGVVISEIVSGKRNTIRQSKGEAFYLLDWARLLNERGNIIELVDPKLNLDFNEDEVRLIVKVALHCTHVNSSYRPCMSSVLSMLEGRTMVPEFDSQCSEVMDAMKLEVMREFYSQMDENKTSDTRSLSLKGVPWTDSSSTSTDLNLTQLDA >Vigun09g035700.1.v1.2 pep primary_assembly:ASM411807v1:9:3154228:3156321:-1 gene:Vigun09g035700.v1.2 transcript:Vigun09g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASVTNLRNENGGNEDQNMEFDIYPLSSYYFGSKDAIPFKDLSLHNHLLRIQSNYAARGLRTSVEAVLLVELFKHPHLLLLQVRNSIYKLPGGRLRPDESDTDGLKRKLTRKLSVDEDGDGSEWEVGECLGMWWRPDFETLLYPFLPPNVKQPKECTKVFLVKLPASRKFIVPKNMRLLAVPLCQVHENLRTYGQIISGVPQLLSKFSFNMLES >Vigun09g035700.3.v1.2 pep primary_assembly:ASM411807v1:9:3154228:3156321:-1 gene:Vigun09g035700.v1.2 transcript:Vigun09g035700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASVTNLRNENGGNEDQNMEFDIYPLSSYYFGSKDAIPFKDLSLHNHLLRIQSNYAARGLRTSVEAVLLVELFKHPHLLLLQVRNSIYKLPGGRLRPDESDTDGLKRKLTRKLSVDEDGDGSEWEVGECLGMWWRPDFETLLYPFLPPNVKQPKVHNFIGLFIQKF >Vigun09g035700.2.v1.2 pep primary_assembly:ASM411807v1:9:3154228:3156321:-1 gene:Vigun09g035700.v1.2 transcript:Vigun09g035700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASVTNLRNENGGNEDQNMEFDIYPLSSYYFGSKDAIPFKDLSLHNHLLRIQSNYAARGLRTSVEAVLLVELFKHPHLLLLQVRNSIYKLPGGRLRPDESDTDGLKRKLTRKLSVDEDGDGSEWEVGECLGMWWRPDFETLLYPFLPPNVKQPKTYGQIISGVPQLLSKFSFNMLES >Vigun08g219400.1.v1.2 pep primary_assembly:ASM411807v1:8:37860121:37863627:-1 gene:Vigun08g219400.v1.2 transcript:Vigun08g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKSKIDLAFEYQSQVAVLRPSIHSRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLHTTIGQSSALTSSLKFSALTNAITLKKLIRKGIPPVLRPKIWFSLSGAAKKKSTVPDSYYDDLTKAVDGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVAYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLAKKCPRIASHLESLEFDVSLVATEWFLCLFSKSLPSETTLRVWDVIFNEGAKVIFNVALAIFKMKEDELIPTHHVGEVINILQTTTHHLFDPDDLLTVAFDKIGSMTTNTISKQRKKQEPEVMKELDQRIRRLNSLRTDGK >Vigun02g025700.1.v1.2 pep primary_assembly:ASM411807v1:2:8687526:8694930:1 gene:Vigun02g025700.v1.2 transcript:Vigun02g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAYVSPTVYAVRCRETFSLSFNGYSNFCHERFDGTPSYCLSSCGCCDCCSASTYRVPVKSCLVNGLRQSALLQLSATRRLILGGGDYYLSRLPAYGFLRDCQELNSSVNEKTVCDVSRRSIKGRCIRATSQKGRKFSHSFSSDDVEAVLSLLNDEADKDAAICSKCKDVFSSKRMEAEKARKSLRREEHLNSGEKVKSEKAEDLKQHQSSTIELRREYKKPDNEREAFAKSENHRKRRNVSSCSSYYSLSSGDFGSELEAQDEIGLEELSLEYEKGEANGVEEQVKEQVKEEFKGQRDDSKKLLGVSNKEKYAFGEDIDWNLRKKSEKKLTQGTLRNTESAREQQDMYLGGFKTHESGHKRSSISQNQVNSEEDKSSTIDYLDKKTNKSYLQTVNRRKHQSIDVQESDCTEVKTSLLSQKEFSGREGKLEISEIPLKETTDEREKIVGSTSTPGKEILKSKTAFSGREGSLAISETLLQETNDTYKKNVGSSSTSQKDFIDRSSLKYTGNLRIEDSERTSSTKMKVMERKNVSSSVQGVEEQQRQKGEKIITQANVEDTSILKSRTRLKSMEENLNISSDSRGTWLQKDKRTTQSFQHRKGSEFVSTLSEGYASDEKQVSSSQKAYEKVRFIPKSKPTSVVRTRESSSQTDERIANLSLSDETTSREESSLQGSLNLVSGYGKHVTLAAGEEGGSATMLIPSSSEVGGGSAHVELTAGIASPNVFLETSKSGSSSLYDNSGRSSALHPDTLGSADLSEKSSTQFVDEFAERIRHEVTTSKAQEIEVIGPRLTLEVGGNQIYGLRQQGTEKDVQSKEHDSSHSGRFSGTKGPSDEIWDVTEPSIEQGLVAEETEISKETGKTVVARSGRSLWGIISDIVRLRWGSRAGSSTSAGRSAERNSPNKSESDTWFSGQEHEETTKTNVIDETSVLPQAIIYDKLKPGRHSDNKLKDKGKHLEIGSSSPNTLESGSMSVGASYTSGEENASWTEDNKDLKATTSATQNVEPISVPARGPSIAGENVRIGGSDMSGGAELVGPVKDFVAPSQSELSGSERKDGELKQRKLQRNKQVLRDRFDDWEEAYQRELEQRKIDEMFMKEALLEAKKAADTWEVPVGAVLVQHGKIIARGCNLVEELRDSTAHAEMICIREASNLLRTWRLSDTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPDGGENVSEARGVPPAPVHPFHPKMKIRRGVLATECADAMQQFFQLRRKTKKEETPKDPSRLPVSHHHPSKILNKIHDVFHMMFCL >Vigun11g046100.1.v1.2 pep primary_assembly:ASM411807v1:11:7002098:7003467:-1 gene:Vigun11g046100.v1.2 transcript:Vigun11g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Vu-YLD MAPQRLALIILLLSLFTFSSSRAENGGIAVYWGQDAREGNLIATCDSGKYAIVLLAFLHQFGAGRTPTLNFAGHCGDSSGRSCGLLQPQINYCQAKGIKVLLSIGGPTGGYWLSSAEDAKDVANYLFINFLSGEFGPLGSVMLDGVDFHVETSEDYWDDLARELDLLRQTTGRYFYLSAAPQCPTDPIPYLGKAIATNLFDYIFVQFYDNPSCSYTDGTSALLESWDKWVDSVASNNSLFVGVPAAPSAGDGYIPPEVLNDEVLPHAKEASNYGGVMLWDRYRDVQNGYSDSIMSNVIKSKLKVSVVSVSDAIYRGVSKALRRLLVY >Vigun03g079550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6637434:6638248:1 gene:Vigun03g079550.v1.2 transcript:Vigun03g079550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKRTIYIYIYIYMMKLHHNLPISAYFPLKKKMAYHDYNWNHYGWRRPSEEYPVYDPPVQGHHHKGHVAFRNIYEDTVDEAEANNFEQSHETTGFNHNHRAYKSVDQEADAFIQYEHNRMEMARLMSTRGV >Vigun10g166900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38588915:38592357:1 gene:Vigun10g166900.v1.2 transcript:Vigun10g166900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSGMADGSGMFKVHQTIGSVLCCKCGIPMQPNAASMCVKCLSSEVDITEGLLKRLVLVHCPECESYLQPPRSWVKLQLESKELLTFCLKKLQKNFNSSKVRLVHAEFIWTEPHSRRIKVKVKVQKEVINGAILEQSYTVEYVQQEHMCESCSRVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHGAAARAIRIKQMEQGIDFFFSNRSHAVKFVEFVGKVAPIRSRSDKQLVSHDPKSNNYNYKYTFSVEISSICREDLICLPPKVAVSLGNLGPIVICTKVTNSIALLDPFTLRHCFLDADQYWRTSFQSLLTSRQLVEYIVLDVEVVSSEVTIGGTKYRLADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDMELDKYKGHIPEAILIKKSYEEKRQKKRGKPRAWKLKSLEMEVDDRRLDQDKMVSEYEQFLKDLEENPDMRFNISLYRNKEYQPSEMASVTDGDELPSVPLDELLADLDLSEDEDEEDNMTE >Vigun10g166900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38587771:38595310:1 gene:Vigun10g166900.v1.2 transcript:Vigun10g166900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSGMADGSGMFKVHQTIGSVLCCKCGIPMQPNAASMCVKCLSSEVDITEGLLKRLVLVHCPECESYLQPPRSWVKLQLESKELLTFCLKKLQKNFNSSKVRLVHAEFIWTEPHSRRIKVKVKVQKEVINGAILEQSYTVEYVQQEHMCESCSRVQANPDQWVAAVQLRQHVSHRRTFFYLEQLILKHGAAARAIRIKQMEQGIDFFFSNRSHAVKFVEFVGKVAPIRSRSDKQLVSHDPKSNNYNYKYTFSVEISSICREDLICLPPKVAVSLGNLGPIVICTKVTNSIALLDPFTLRHCFLDADQYWRTSFQSLLTSRQLVEYIVLDVEVVSSEVTIGGTKYRLADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDMELDKYKGHIPEAILIKKSYEEKRQKKRGKPRAWKLKSLEMEVDDRRLDQDKMVSEYEQFLKDLEENPDMRFNISLYRNKEYQPSEMASVTDGDELPSVPLDELLADLDLSEDEDEEDNMTE >Vigun11g059850.1.v1.2 pep primary_assembly:ASM411807v1:11:13015739:13017027:1 gene:Vigun11g059850.v1.2 transcript:Vigun11g059850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRRRKLKISSKPKARLSSTNNVLKRCHKSTQTNA >Vigun03g259000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42517725:42518523:1 gene:Vigun03g259000.v1.2 transcript:Vigun03g259000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METISISPNSSSLTVLTRRSLPLTRHTQIFFPHRHASLSFNLICCTTTDNNSSSSNTSNDDADSVQAPSAAPVNPVELSFRRRSRRQARRQRERENDMQSTNRRVESPPKKWEDMTLSEKAVELYVGEKGALFWLNKFAYASIFIMIGGWILFRFVGPAFNLYQLDGPPLSPTDVFK >Vigun10g044200.1.v1.2 pep primary_assembly:ASM411807v1:10:6334967:6336767:-1 gene:Vigun10g044200.v1.2 transcript:Vigun10g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEDGDLGKGVSMNGNEESLERRVHTSSIEDAVKVLLTALGEDINREGIIKTPLRVAKALSEGTRGYVQSVKEIVEGALFPEAGLDHTEFAGDGGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFPDMESYSLGSNHKGVVGILVSSGSGVFENKDANLWADFFGLLNFRGIDKDKILDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLMNFKCNSIERALNCWLGIRTNGALNTNEGLGFDEKLQSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKSLLQSIVHFYGIKLQVQERVTKQIAETISPLIGGTVIVVVEASHTCMISRGIEKFGSNTATIAAFGRFSTDLAARAVFLNTIPKATYI >VigunL059320.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000197.1:24358:25586:-1 gene:VigunL059320.v1.2 transcript:VigunL059320.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSSSPHSSSSPPQVALFAVRSSSLFSSIHPPQVALFAVWPSSLFSSRHPPQVALFAVPSHSCQFGWPSSLFPVSSPKLSLPTTQESIVFGVLAGPPLASNNPRVHSIRSSSGPPLASNNPRRGLPLLPTTQESIVFGVLAWPPIVSNNPRVGRIWSFTSLPKKPTTKRSVVFGVQYSLQSIPTSQKPAFFAVRLSLPRFQLSSKLPSSQFGQVHSFPASSPQVALGAVRPSSLFSSIIPPSCLVRSSA >VigunL074500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:150011:154194:1 gene:VigunL074500.v1.2 transcript:VigunL074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKEPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFTGYVLPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFLLVGASLLHLAALHQYGSNNPLGVHSEMDQISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIHQGIFWLLLADRLLLGWIGCQPVEAPFVTIGQIPPFVFFLFFAITPIPGRVGRGIPNSYTTDETEM >Vigun04g118400.1.v1.2 pep primary_assembly:ASM411807v1:4:30134396:30137654:-1 gene:Vigun04g118400.v1.2 transcript:Vigun04g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPTKPIDPTKPSITTLSVEASQAEASPLRKMFAVASIAAGIQFGWALQLSLLTPYVQLLGVPHAAASFIWLCGPISGLVVQPIVGYYSDRSTSRFGRRRPFILGGAVAVAIAVFLIGYAADIGYAAGDDITKKTRPRAVGVFVIGFWILDVANNMLQGPCRAFLADLAAGDQRKTRMANGFFSFFMAVGNVLGYAAGSFSALHKIFPFTETKACDVFCANLKSCFFFSILLLLFLSTVALIYVKDKPVPPRAVQEDAQPSCFFQLFGALKELKRPMWMLMLVTAINWVGWFPYFLFDTDWMGREVYGGSVGEDAYAKGVRVGSLGLLLNAVVLGFMSLAVEPLGKMVGGVKRLWGIVNFILAIGFGMTIVITKVAEHERHLNPAAVGHPSTGVTVGSMVFFAVLGVPLAITFSVPFALASIYSSASGAGQGLSLGVLNLAIVVPQMVVSALSGPWDALFGGGNLPAFMVGAAAAALSAIMAIVLLPTPKPADEAKAASMVGGGFH >Vigun04g080366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:11474763:11475239:1 gene:Vigun04g080366.v1.2 transcript:Vigun04g080366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDTTTFTQSFNMKKYLCQTNNEPRINDVELRSLDVERNSSCIEQSSGGEWSSSGKRSSGGERSCNDARSRTSDNDDERSSSNDDNKDEQSRSSRDGDEHSRSNNNNDERSRSTSNDNENSRSSNDDNERNKSSRSGVKQNRSSNLNDERSRAKHH >Vigun04g119300.1.v1.2 pep primary_assembly:ASM411807v1:4:30496089:30499376:1 gene:Vigun04g119300.v1.2 transcript:Vigun04g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLENGKRKNPNILVTGTPGTGKTTMCTTLAEATQLRHINIGELVKEKNLHDGWDDELDCYVLNEDLVCDELEDVMEEGGNIVDYHGCDFFPERWFDCVVVLQTDNTILYDRLSRRGYTDSKLSNNIECEIFQVLLEEAKESYSEEKVVALKSDNIEDISRNVATLTDWVRNWSLPSQS >Vigun03g106200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9441869:9443292:1 gene:Vigun03g106200.v1.2 transcript:Vigun03g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMPFFLLKNSLGAKMKKGIKTFCNNNGSTSTLNQQNSHSQGDLTSKVSSSSPFTKQNSPTLEDLILQLELEEEMSRKAKLSEYGGMRGRMSCVNNSDILRSARNALNQYPRFSLDGRDAMYRSSFGNMEGRRSVCSETSFAGDNDFDHKGMCCLPATLAGESVVWRKPGVVAKLMGLEAMPVPVSKKIYDNKEKLNEVVKRHSTRRKFERRDLERKLLAMEMQQQGYHNIRRHNNSKNGCCSKNGYCIMKPVALEALAGGPGSWRPLRYV >Vigun04g187100.1.v1.2 pep primary_assembly:ASM411807v1:4:41176182:41177330:1 gene:Vigun04g187100.v1.2 transcript:Vigun04g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGMLLILSDMVHARTFLVGKKDGSCSEDICWTFNVTDWPKGKTITSGDVLVFNYKPSEHNVVFVDKKGYETCTVPKDLRYSRVYRTGHDQIQLPTLGPIHFISSVKDDCKRGLKIQIRKTGVEDEIPN >Vigun05g038200.1.v1.2 pep primary_assembly:ASM411807v1:5:3075936:3080634:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSVSEFVHMDFENGATTACRRSEVSNSVFHLTQLQWQLSQYDNDANLVTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.7.v1.2 pep primary_assembly:ASM411807v1:5:3075936:3079079:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSVSEFVHMDFENGATTACRRSEVSNSVFHLTQLQWQLSQYDNDANLTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.3.v1.2 pep primary_assembly:ASM411807v1:5:3075858:3080634:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSLQWQLSQYDNDANLTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.5.v1.2 pep primary_assembly:ASM411807v1:5:3075858:3079978:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSLQWQLSQYDNDANLTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.2.v1.2 pep primary_assembly:ASM411807v1:5:3075858:3080748:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSLQWQLSQYDNDANLVTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.8.v1.2 pep primary_assembly:ASM411807v1:5:3075936:3079079:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSVSEFVHMDFENGATTACRRSEVSNSVFHLTQLQWQLSQYDNDANLVTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.4.v1.2 pep primary_assembly:ASM411807v1:5:3075936:3080634:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSVSEFVHMDFENGATTACRRSEVSNSVFHLTQLQWQLSQYDNDANLTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >Vigun05g038200.6.v1.2 pep primary_assembly:ASM411807v1:5:3075858:3079978:-1 gene:Vigun05g038200.v1.2 transcript:Vigun05g038200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVPSTAKKGPRNFRRRITRRRQKISSSIPIPIPDDIILKKSGSAGGRVTDYSLQWQLSQYDNDANLVTQEETYFDSVSILESDSDEDFNSVHGDFYADGFPIVTGTVGNIPCGQVLKYGRSSRFADSRCQYEEYHESYLKVDGSNQDKLKGRDESGFGLVGTPGRGMCRLSKTQGSFKAIKEYKHGLEEKTPETARKSGLLRIPPCVSFNDKTMNRQSKRLSHMFKLSFKRKSCDVEDANEYISRSQRYLLRPRAGLTVPCQNGEKPSPGCWSELPPSTFNLRSENFFKDKQKSPAPNHSPYTPIGVDLFVCRRKIHHIARYLELPNVNVKTKGTIPQLLVVNIQLPTYPAAMFIGDSDGEGMSLVLYFKVSETLDEHISSQFQESIMKLVEDEIEKVKGFAKETSVAFRERLKIMVGLVNSEDMNLSSAEKKLVDAYNGKPVLSRPQHDFYKGPNYFEIDLDIHRFSYISRKGLDAFRGRLKDGILDLGLTIQAQKQEELPEKVMCCLRLNKIDLNDSGQIPRLMTLEGEL >VigunL060014.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:174814:175146:1 gene:VigunL060014.v1.2 transcript:VigunL060014.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRTKGSIGTLSRFVFVLEIRIKRAFTLLFHEISVLVELILGHLRYLLTDVPPSQTPHLTMSSARIDRPKSTLGPKEGQRPASDSRNK >Vigun05g223700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41605347:41606729:-1 gene:Vigun05g223700.v1.2 transcript:Vigun05g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTSSHSHMKAELKSTSISFQNPTTLFNTPHNPLAGALKGCLGSLDGACIEKLLLHCASALESNDITLAQQVMWVLNNVASPVGDTNQRLTSWFLRALISRASRICPTAMSFKGSNTIQRRLMSVTELTGYVDLIPWHRFGYCASNNEIYKAITGYQRVHILDFSTTHCVQWPTFIDALAKNPEGPPSLRITVPFCRPHVPPMVNVSIHEVGLRLGNFAKFRDVPFEFNVIGNTGPLTPAEFSDESSSFHFEAMLSLLNPTTLNLREDEALVINCQNWLRYLSDDRKGSRQSLSLRDAFLNLIKGLNPRIVLLVDEDCDLSASSLTSRITTCFNHLWIPFDALETFLPKDSGQRAEFESDIGQKIENIISFEGHQRIERLECGVQMSQRMKNVGYLSVPFCDETVREVKGLLDEHASGWGMKREEGMLVLTWKGNNCVFATAWVPCEIRDHVGMDASLP >Vigun05g068400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5917052:5921934:1 gene:Vigun05g068400.v1.2 transcript:Vigun05g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTNKMKAVALLLKRVKTARRQFRERGRDESLDGNLEKLRRELNRIRKLFEKVKNNEQELLHTLSKVDGHLRKLDSKRLNQDMNDICKRIRDSAQKLLPKGDFDDSSVEEDDDDRRVILLHPSRPSSKQHKNQLPQHKKLNMNLRVLETYERNCLLSLLVFPEGAVIKKRQTICWWIGEGFLESTNLKTAEEEGEDVIDKLLKSNVILAYGNSECPIVNKFQINPLVRPKLVILSTENHRSYPFPLRIPYSHIRSKWSLLEQKKVILGENSLKPESRINTIFNVGASYLNFGSQWLDKSIQNLKVLQLGRWQDSPSHHIEVGSEKFLQKLQNQKNLTYLSLRGISRISELPPSITQLERLQILDLKACHNLETLPNDISSMKSLTHLILSQCYLLEGMPKGIEKLTQLQVLEGYVVGNSRKSPQRISELANLKNLKRLSIHIGSEAVIKEGEFESWREMLALEQLKISWGVSCKGYSDIKVILPLRLKRLHLEGFPGEEIPEWLKPSKLPEGCKELKLMGGMLKSMNHEDNIGWRMEIVRLMYLKNLKLELTNLSKLFPLLRYAEIKQNSKRTQMLSLNEDK >Vigun09g250700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41796924:41797765:-1 gene:Vigun09g250700.v1.2 transcript:Vigun09g250700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSRTSSRVFCLILALLTTIVGLRCDDLKNGNDSSSHSHSEGNNATFVTFPSQGINAEVKGKHNSSYDSNGKVHVKNSLYYGGGGGGGGGGGGGWGWGGGGGGGGGGGGGGGWGGGGGGGGGGGGWGGGGGGGGGGGGWRCGGHGRRKGHDYKAKKKEVYEDEEYRLGEYAECKGRTRCWGMRLECPLHCGGPCYYDCHHLCKAHCHQP >Vigun08g062600.1.v1.2 pep primary_assembly:ASM411807v1:8:8488024:8497618:-1 gene:Vigun08g062600.v1.2 transcript:Vigun08g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTTRNKNKRQKHGDDDGISEILRKIHKTGAVTEDDMSQLYMIWKPVCSGCRVNTKDNPNCFCALVPPPNGTRKSGLWQKMSDILESLGPDPTTDLRASDCSPAGLTNLGATCYANSILQCLYMNKYFRQGIFSVEPDLLKQQPVLDQLTRLFVQLHASKKSFVDSSPFVKTLELDNGVQQDSHEFLTLLLSLLERCLSHSKIAKARTIVQDLFRGTVSHVTTCSQCGRESEASSKMEDFYGLELNIKGLKDLDESLDDYLAIEELHGDNQYFCGSCKTRVDATRSIKLCTLPDVLNFQLKRYVFLPQNTMKKKVTSAFSFPAELDMHARLSEPSQFELIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEKVTNLGCHPFGEGASSFTSKSVKMDALHSNCSEAMLADGNGIDAPHSQSSLVEIFTSDEAYMLMYHLRHRKNVDEKGDMVYGANFKEADHNAVTAQDSACIPSHLCDEIQNFNATYLDSCNQYKHRKALELSCIDERRQEVRSILAESPTQPLEQPSFWICSEWLRQWADSIVVTALDNTPIQCSHGKVPVSKVTSMKRLSSKAWDKLLTKYGGGPTLSHNDCCWDCLIEGAQNVVSADSYRDQRESLKQFARDILNGNYEDGMYYVSRPWLQQWLKRKVGDAPSEADAGPTTSICCPHGQLRPDQAVGAKRLLVPEGFWFFLYKDAITVKTDDPLGCRAFPVGSSECLQCRDEISKEACFEDSMRLVKQMQRQNHEKLFVGKSMPLSLHCKYFLVPSSWISKWRNYINLAVKNSDTPETLDGVIDSLMCVKHSQLVERPPELIYRRGVIISRESSVDGLTIISENDWKCFCEEWSGIETKGISARIENVNDLEDALNGSCKEMPICEDQLDPWDKVNNGSGKGVNRQLVIKTSPEVCESCIGEKESFELLQKLNYSDKDISVILVHGKEVPKSVLDAAKRFVETDRRVSKRSRKMKNESSISLKVSASTSIYQLKMMIWESFGVVKENQILQKGDRRIDVDNEHMTLADVNILAGDQIIVRDSQIHENRDIAEELCDEVMETQRTETGFRGTLLTSNFSSQVV >Vigun08g062600.2.v1.2 pep primary_assembly:ASM411807v1:8:8488024:8497414:-1 gene:Vigun08g062600.v1.2 transcript:Vigun08g062600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTTRNKNKRQKHGDDDGISEILRKIHKTGAVTEDDMSQLYMIWKPVCSGCRVNTKDNPNCFCALVPPPNGTRKSGLWQKMSDILESLGPDPTTDLRASDCSPAGLTNLGATCYANSILQCLYMNKYFRQGIFSVEPDLLKQQPVLDQLTRLFVQLHASKKSFVDSSPFVKTLELDNGVQQDSHEFLTLLLSLLERCLSHSKIAKARTIVQDLFRGTVSHVTTCSQCGRESEASSKMEDFYGLELNIKGLKDLDESLDDYLAIEELHGDNQYFCGSCKTRVDATRSIKLCTLPDVLNFQLKRYVFLPQNTMKKKVTSAFSFPAELDMHARLSEPSQFELIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEKVTNLGCHPFGEGASSFTSKSVKMDALHSNCSEAMLADGNGIDAPHSQSSLVEIFTSDEAYMLMYHLRHRKNVDEKGDMVYGANFKEADHNAVTAQDSACIPSHLCDEIQNFNATYLDSCNQYKHRKALELSCIDERRQEVRSILAESPTQPLEQPSFWICSEWLRQWADSIVVTALDNTPIQCSHGKVPVSKVTSMKRLSSKAWDKLLTKYGGGPTLSHNDCCWDCLIEGAQNVVSADSYRDQRESLKQFARDILNGNYEDGMYYVSRPWLQQWLKRKVGDAPSEADAGPTTSICCPHGQLRPDQAVGAKRLLVPEGFWFFLYKDAITVKTDDPLGCRAFPVGSSECLQCRDEISKEACFEDSMRLVKQMQRQNHEKLFVGKSMPLSLHCKYFLVPSSWISKWRNYINLAVKNSDTPETLDGVIDSLMCVKHSQLVERPPELIYRRGVIISRESSVDGLTIISENDWKCFCEEWSGIETKGISARIENVNDLEDALNGSCKEMPICEDQLDPWDKVNNGSGKGVNRQLVIKTSPEVCESCIGEKESFELLQKLNYSDKDISVILVHGKEVPKSVLDAAKRFVETDRRVSKRSRKMKNESSISLKVSASTSIYQLKMMIWESFGVVKENQILQKGDRRIDVDNEHMTLADVNILAGDQIIVRDSQIHENRDIAEELCDEVMETQRTETGFRGTLLTSNFSSQVV >Vigun07g099900.1.v1.2 pep primary_assembly:ASM411807v1:7:17672576:17676264:-1 gene:Vigun07g099900.v1.2 transcript:Vigun07g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQKGTVNPSAMLASLLSRRAKLHEELRNIEKQVYDMETSYLQDPGQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEELAAGRDDGRSDYGPGRSKGGGIYANGQGKPKKGRGGSRDAKRARASSEHDFDYEDDPDLTL >Vigun07g099900.2.v1.2 pep primary_assembly:ASM411807v1:7:17672576:17676264:-1 gene:Vigun07g099900.v1.2 transcript:Vigun07g099900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLLSRRAKLHEELRNIEKQVYDMETSYLQDPGQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEELAAGRDDGRSDYGPGRSKGGGIYANGQGKPKKGRGGSRDAKRARASSEHDFDYEDDPDLTL >Vigun11g040800.4.v1.2 pep primary_assembly:ASM411807v1:11:5831220:5835294:-1 gene:Vigun11g040800.v1.2 transcript:Vigun11g040800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIPFCVSRNARFGVRHKSSGGRRPKKKTYHRVAELDRVMELRKKPLMILQLTSLILSQPHHSPLFLRDLEKNVGFVRKWAFMALIDKHPSVFRVAGAPPSVSLTGRARNLAQEEAHARASMEPLLVTNLRKLLMLCVDCKLPLQTVELVGPQLGLPSDFKDCLVPKYPQFFTVRRFRGRDCLLLEDWDSTLAVTARETRLAQEGVLDLKANGDRRKVKISRDGNYLGPFAFKMNFPAGFRPNVGYLEQLERWQKLEFPSPYLNARRFDAADPKARKRSVAVLHELLSLTMEKRMTSAQLEAFHSECLLPSQLLLCLIKHQGIFYLTNKGERSTVLLKDAYIGSNLIDKCPLLQFYDKFMALCGRGNIDLCDKNSIPTVI >Vigun11g040800.2.v1.2 pep primary_assembly:ASM411807v1:11:5829365:5835294:-1 gene:Vigun11g040800.v1.2 transcript:Vigun11g040800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIPFCVSRNARFGVRHKSSGGRRPKKKTYHRVAELDRVMELRKKPLMILQLTSLILSQPHHSPLFLRDLEKNVGFVRKWAFMALIDKHPSVFRVAGAPPSVSLTGRARNLAQEEAHARASMEPLLVTNLRKLLMLCVDCKLPLQTVELVGPQLGLPSDFKDCLVPKYPQFFTVRRFRGRDCLLLEDWDSTLAVTARETRLAQEGVLDLKANGDRRKVKISRDGNYLGPFAFKMNFPAGFRPNVGYLEQLERWQKLEFPSPYLNARRFDAADPKARKRSVAVLHELLSLTMEKRMTSAQLEAFHSECLLPSQLLLCLIKHQGIFYLTNKGERSTVLLKDAYIGSNLIDKCPLLQFYDKFMALCGRGNIDLCDKNSIPTVI >Vigun11g040800.3.v1.2 pep primary_assembly:ASM411807v1:11:5829365:5835285:-1 gene:Vigun11g040800.v1.2 transcript:Vigun11g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIPFCVSRNARFGVRHKSSGGRRPKKKTYHRVAELDRVMELRKKPLMILQLTSLILSQPHHSPLFLRDLEKNVGFVRKWAFMALIDKHPSVFRVAGAPPSVSLTGRARNLAQEEAHARASMEPLLVTNLRKLLMLCVDCKLPLQTVELVGPQLGLPSDFKDCLVPKYPQFFTVRRFRGRDCLLLEDWDSTLAVTARETRLAQEGVLDLKANGDRRKLERWQKLEFPSPYLNARRFDAADPKARKRSVAVLHELLSLTMEKRMTSAQLEAFHSECLLPSQLLLCLIKHQGIFYLTNKGERSTVLLKDAYIGSNLIDKCPLLQFYDKFMALCGRGNIDLCDKNSIPTVI >Vigun11g040800.1.v1.2 pep primary_assembly:ASM411807v1:11:5829365:5835284:-1 gene:Vigun11g040800.v1.2 transcript:Vigun11g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIPFCVSRNARFGVRHKSSGGRRPKKKTYHRVAELDRVMELRKKPLMILQLTSLILSQPHHSPLFLRDLEKNVGFVRKWAFMALIDKHPSVFRVAGAPPSVSLTGRARNLAQEEAHARASMEPLLVTNLRKLLMLCVDCKLPLQTVELVGPQLGLPSDFKDCLVPKYPQFFTVRRFRGRDCLLLEDWDSTLAVTARETRLAQEGVLDLKANGDRRKVKISRDGNYLGPFAFKMNFPAGFRPNVGYLEQLERWQKLEFPSPYLNARRFDAADPKARKRSVAVLHELLSLTMEKRMTSAQLEAFHSECLLPSQLLLCLIKHQGIFYLTNKGERSTVLLKDAYIGSNLIDKCPLLQFYDKFMALCGRGNIDLCDKNSIPTVI >Vigun11g040800.5.v1.2 pep primary_assembly:ASM411807v1:11:5831282:5835285:-1 gene:Vigun11g040800.v1.2 transcript:Vigun11g040800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIPFCVSRNARFGVRHKSSGGRRPKKKTYHRVAELDRVMELRKKPLMILQLTSLILSQPHHSPLFLRDLEKNVGFVRKWAFMALIDKHPSVFRVAGAPPSVSLTGRARNLAQEEAHARASMEPLLVTNLRKLLMLCVDCKLPLQTVELVGPQLGLPSDFKDCLVPKYPQFFTVRRFRGRDCLLLEDWDSTLAVTARETRLAQEGVLDLKANGDRRKLERWQKLEFPSPYLNARRFDAADPKARKRSVAVLHELLSLTMEKRMTSAQLEAFHSECLLPSQLLLCLIKHQGIFYLTNKGERSTVLLKDAYIGSNLIDKCPLLQFYDKFMALCGRGNIDLCDKNSIPTVI >Vigun03g042600.1.v1.2 pep primary_assembly:ASM411807v1:3:3371590:3373851:1 gene:Vigun03g042600.v1.2 transcript:Vigun03g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLYSYDTTFHTHSNSDYLSSDGVGDLPFLSDSFPFFPNSPSNVAHDNSNSNSNSLDPFSPSFFSFSPPSSHLESLSLYHGNRVQPLSNGQNVANEFGTFSAFDGSEVKTEETQLGVDCVYAQQLLPHSYSGAENFSRYMQRSFSSNSFEAKPGFLSQPHTDTLVDSPKFQRHDLSSPEDTLFTGQMRRVCSTGDLQNMKENRMSPTEAPLLEESNFKVGRYSAEERKERISKYRAKRTQRNFNKTIKYACRKTLADNRPRIRGRFARNDEAIDTPKASCSTRDEDDVDFWIEELRLHEEQDDVTVGAEEYLRSYGATQFQYGGF >Vigun07g289100.2.v1.2 pep primary_assembly:ASM411807v1:7:40139690:40150499:-1 gene:Vigun07g289100.v1.2 transcript:Vigun07g289100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGSNTETLAPAEPHLFENRHVDASQHHQTSYAPATTGSEAASWTVHSSTGNGIYSNPTYQYDQHPQPPARSIQDGHNVSSVAGNSSNLGTANVTQDYNAYASYPSSSNPYGYGSMGYSGYYNNYQQQPNHTYSQPVGAYQNTGAPYQPISSFQNTGSYAGSASYSSTYYNPADYQTTGGYQNSSGYGNQATVWNNGSYSSHPYTNYTPDSSGSYSSGAATTSLQYQQQYKQWADYYNQTEVSCAPGTENLSVASSSALGCPIPAVTSGYATPSSQPPQSYPPFWRQESSSSAIPSFQPAAVNGGDHDGYWKHGPQSSQIQQTNPIQPNYQSPLDLKSSYDTFQDQQKTLSSQGTNLYLPPPPPLPLPSQQPKAEKDGSSTVSAPKPAYIAVSLPKPAEKVSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMTACQAVMKEMITKATADGTLNTRNWDMEPLFPMPDADVINKDSSLSSAQDSLLPKYKKSPRRSKSRWEPMPEEKPVDNPVLMSNDAVKFNSWVPNAKDRKVAMENKESKEDVLRNTKFSPLLQRMSSKALQRPFKKQRLTDASIVSENGDASSDSDKEQSLTSYYTSAMAFSDTPEERKRRENRSKRFDLQGHRTENNHSRKKHAGAGNLYNRRASALVLSKNFEDGASKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVKNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLALEFGDLPEYNQCQSQLKTLYAEGIEGSDMEFAAYNLLCVIMHSNNNRDLVSSMARLSPEAKKDEAVKHALAVRAAVTSGNYIAFFRLYKAAPNLNTCLMDLYVEKMRYKAVNCMCRSYRPTLPVSYISQVLGFSTGGAINGASDERDTDALEECSEWLKAHGASIITDNNGDTLLDAKVSASSLCMPEPDDAVAHGDTNLAVNDFLARAPL >Vigun07g289100.3.v1.2 pep primary_assembly:ASM411807v1:7:40139690:40150499:-1 gene:Vigun07g289100.v1.2 transcript:Vigun07g289100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGSNTETLAPAEPHLFENRHVDASQHHQTSYAPATTGSEAASWTVHSSTGNGIYSNPTYQYDQHPQPPARSIQDGHNVSSVAGNSSNLGTANVTQDYNAYASYPSSSNPYGYGSMGYSGYYNNYQQQPNHTYSQPVGAYQNTGAPYQPISSFQNTGSYAGSASYSSTYYNPADYQTTGGYQNSSGYGNQATVWNNGSYSSHPYTNYTPDSSGSYSSGAATTSLQYQQQYKQWADYYNQTEVSCAPGTENLSVASSSALGCPIPAVTSGYATPSSQPPQSYPPFWRQESSSSAIPSFQPAAVNGGDHDGYWKHGPQSSQIQQTNPIQPNYQSPLDLKSSYDTFQDQQKTLSSQGTNLYLPPPPPLPLPSQQVNLSPVQSAPSPDAKRVSKLQIPTNPRIASNLTFGQPKAEKDGSSTVSAPKPAYIAVSLPKPAEKVSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMTACQAVMKEMITKATADGTLNTRNWDMEPLFPMPDADVINKDSSLSSAQDSLLPKYKKSPRRSKSRWEPMPEEKPVDNPVLMSNDAVKFNSWVPNAKDRKVAMENKESKEDVLRNTKFSPLLQRMSSKALQRPFKKQRLTDASIVSENGDASSDSDKEQSLTSYYTSAMAFSDTPEERKRRENRSKRFDLQGHRTENNHSRKKHAGAGNLYNRRASALVLSKNFEDGASKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVKNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLALEFGDLPEYNQCQSQLKTLYAEGIEGSDMEFAAYNLLCVIMHSNNNRDLVSSMARLSPEAKKDEAVKHALAVRAAVTSGNYIAFFRLYKAAPNLNTCLMDLYVEKMRYKAVNCMCRSYRPTLPVSYISQVLGFSTGGAINGASDERDTDALEECSEWLKAHGASIITDNNGDTLLDAKVCSISILLIF >Vigun07g289100.4.v1.2 pep primary_assembly:ASM411807v1:7:40139690:40150499:-1 gene:Vigun07g289100.v1.2 transcript:Vigun07g289100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFCGQPAAVNGGDHDGYWKHGPQSSQIQQTNPIQPNYQSPLDLKSSYDTFQDQQKTLSSQGTNLYLPPPPPLPLPSQQVNLSPVQSAPSPDAKRVSKLQIPTNPRIASNLTFGQPKAEKDGSSTVSAPKPAYIAVSLPKPAEKVSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMTACQAVMKEMITKATADGTLNTRNWDMEPLFPMPDADVINKDSSLSSAQDSLLPKYKKSPRRSKSRWEPMPEEKPVDNPVLMSNDAVKFNSWVPNAKDRKVAMENKESKEDVLRNTKFSPLLQRMSSKALQRPFKKQRLTDASIVSENGDASSDSDKEQSLTSYYTSAMAFSDTPEERKRRENRSKRFDLQGHRTENNHSRKKHAGAGNLYNRRASALVLSKNFEDGASKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVKNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLALEFGDLPEYNQCQSQLKTLYAEGIEGSDMEFAAYNLLCVIMHSNNNRDLVSSMARLSPEAKKDEAVKHALAVRAAVTSGNYIAFFRLYKAAPNLNTCLMDLYVEKMRYKAVNCMCRSYRPTLPVSYISQVLGFSTGGAINGASDERDTDALEECSEWLKAHGASIITDNNGDTLLDAKVSASSLCMPEPDDAVAHGDTNLAVNDFLARAPL >Vigun07g289100.1.v1.2 pep primary_assembly:ASM411807v1:7:40139690:40150499:-1 gene:Vigun07g289100.v1.2 transcript:Vigun07g289100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGSNTETLAPAEPHLFENRHVDASQHHQTSYAPATTGSEAASWTVHSSTGNGIYSNPTYQYDQHPQPPARSIQDGHNVSSVAGNSSNLGTANVTQDYNAYASYPSSSNPYGYGSMGYSGYYNNYQQQPNHTYSQPVGAYQNTGAPYQPISSFQNTGSYAGSASYSSTYYNPADYQTTGGYQNSSGYGNQATVWNNGSYSSHPYTNYTPDSSGSYSSGAATTSLQYQQQYKQWADYYNQTEVSCAPGTENLSVASSSALGCPIPAVTSGYATPSSQPPQSYPPFWRQESSSSAIPSFQPAAVNGGDHDGYWKHGPQSSQIQQTNPIQPNYQSPLDLKSSYDTFQDQQKTLSSQGTNLYLPPPPPLPLPSQQVNLSPVQSAPSPDAKRVSKLQIPTNPRIASNLTFGQPKAEKDGSSTVSAPKPAYIAVSLPKPAEKVSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMTACQAVMKEMITKATADGTLNTRNWDMEPLFPMPDADVINKDSSLSSAQDSLLPKYKKSPRRSKSRWEPMPEEKPVDNPVLMSNDAVKFNSWVPNAKDRKVAMENKESKEDVLRNTKFSPLLQRMSSKALQRPFKKQRLTDASIVSENGDASSDSDKEQSLTSYYTSAMAFSDTPEERKRRENRSKRFDLQGHRTENNHSRKKHAGAGNLYNRRASALVLSKNFEDGASKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVKNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLALEFGDLPEYNQCQSQLKTLYAEGIEGSDMEFAAYNLLCVIMHSNNNRDLVSSMARLSPEAKKDEAVKHALAVRAAVTSGNYIAFFRLYKAAPNLNTCLMDLYVEKMRYKAVNCMCRSYRPTLPVSYISQVLGFSTGGAINGASDERDTDALEECSEWLKAHGASIITDNNGDTLLDAKVSASSLCMPEPDDAVAHGDTNLAVNDFLARAPL >Vigun09g020800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1598449:1601296:-1 gene:Vigun09g020800.v1.2 transcript:Vigun09g020800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTMTMTATTDHQWMQFYQQPLMDDGNGHNHDHEHDLDHDVHDHSLAPNTDVMRTSPPPTMPSSESNSNSNSISNQLTPKGNVCKPIRRRSRASKRTPTTLLNANTTNFRALVQQFTGCPTTAISTLGVHKGPITLNFQKGSSEHKIHHHNTTTTTPLLPFRTYNNSSNNNNNNNRVHVPASLPWQKQEEQQMTDQQQLLQQQRGYSYDYVKSSGFIPSSGNSVTTTTTMDVSDGLLLDNDFSLSDLTMNAFPNDTFYKNEL >Vigun09g020800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1598449:1601296:-1 gene:Vigun09g020800.v1.2 transcript:Vigun09g020800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTMTMTATTDHQWMQFYQQPLMDDGNGHNHDHEHDLDHDVHDHSLAPNTDVMRTSPPPTMPSSESNSNSNSISNQLTPKGNVCKPIRRRSRASKRTPTTLLNANTTNFRALVQQFTGCPTTAISTLGVHKGPITLNFQKGSSEHKIHHHNTTTTTPLLPFRTYNNSSNNNNNNNRVHVPASLPWQKQEEQQMTDQQQLLQQQRGYSYDYVKSSGFIPSSGNSVTTTTTMDVSDGLLLDNDFSLSDLTMNAFPNDTFYKNEL >Vigun05g024100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1985409:1988947:1 gene:Vigun05g024100.v1.2 transcript:Vigun05g024100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQWRLLHHLLRSRPRIPNHSQVLPSSSSISRRLTVNSSFPVNPSFRQFSSEPVLPHSDNDHVLIADIFSKPEDSDDVKNLVDSNRISITHNAVLAVLWKLDSNVDAARRFFQWVSENYPEKLSSKCYNSMLRVLGTNGLVNEFWELVDLMKEKGYGVSKGVKDRVLECFEKGGMKGDVAKLKGLFDNSNEKNCAVVCRIAKNNVWSDDVERQIKELNVRFSGYMVKIVLAGLASEPAKALIFFRWLEESQMFKHNGETYNAMARVLGREDSIDRFWKLVEDMRSAGYEMEVETFARVLGRFCKRRMIKDAVELYELAMAGANKPSVECCVFLLRKVAAGKELDMDLFSRVLKVFTGSGNSLTDPIVDAVLKSLTSVGRTGEWNKVLKEMEECGFVAGGNLQRKIAFRLSAAGNTEQAHEFVNRVEASGCNADRKTWESLIEGHCVAGNIDKALDSFKEMVEKEGITSAGYSFDVLMNSLCQTNRAIDACKILCRLVNEKELKPWHSTYKLLVTELLVQGGFADALPILGLMRTHGFPPYTDPFIEHLSKSGSDDDAVLFLRAMTSKRFPSTSVFLRMFEAFFEHGRHEEAHNFLSKCPRYIRNDADVLNLFYSMNSKEAASSGIVTA >Vigun05g024100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1985451:1988773:1 gene:Vigun05g024100.v1.2 transcript:Vigun05g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQWRLLHHLLRSRPRIPNHSQVLPSSSSISRRLTVNSSFPVNPSFRQFSSEPVLPHSDNDHVLIADIFSKPEDSDDVKNLVDSNRISITHNAVLAVLWKLDSNVDAARRFFQWVSENYPEKLSSKCYNSMLRVLGTNGLVNEFWELVDLMKEKGYGVSKGVKDRVLECFEKGGMKGDVAKLKGLFDNSNEKNCAVVCRIAKNNVWSDDVERQIKELNVRFSGYMVKIVLAGLASEPAKALIFFRWLEESQMFKHNGETYNAMARVLGREDSIDRFWKLVEDMRSAGYEMEVETFARVLGRFCKRRMIKDAVELYELAMAGANKPSVECCVFLLRKVAAGKELDMDLFSRVLKVFTGSGNSLTDPIVDAVLKSLTSVGRTGEWNKVLKEMEECGFVAGGNLQRKIAFRLSAAGNTEQAHEFVNRVEASGCNADRKTWESLIEGHCVAGNIDKALDSFKEMVEKEGITSAGYSFDVLMNSLCQTNRAIDACKILCRLVNEKELKPWHSTYKLLVTELLVQGGFADALPILGLMRTHGFPPYTDPFIEHLSKSGSDDDAVLFLRAMTSKRFPSTSVFLRMFEAFFEHGRHEEAHNFLSKCPRYIRNDADVLNLFYSMNSKEAASSGIVTA >Vigun10g092500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26505873:26506361:1 gene:Vigun10g092500.v1.2 transcript:Vigun10g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNSIRVLFMILFVVCLNLQSLVSANSDSNGSIDINVIGISIENDLPPGSTKLFFYDDFEGQDVEIRPGNGNAYVKLLNMENQSGGFKWNRQCATFSLIPGNEKGHQRIFWSVRADSVYHSWDSKTWDKREHWVPC >Vigun08g063300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8732256:8733043:1 gene:Vigun08g063300.v1.2 transcript:Vigun08g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWMFKNNGVYRLVENPQAEGSDGRQGKGSSSKRKVLVHLPSGEVVSSYAFLEQILTGLGWERYYDGDPDLYQFHKHSSIDLISLPKDFSKFNSINMYDIVIKNPNVFHVRDK >Vigun03g137500.1.v1.2 pep primary_assembly:ASM411807v1:3:13480029:13483194:-1 gene:Vigun03g137500.v1.2 transcript:Vigun03g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVSTNPDLPRDSSISPLSSILLSDLHHSTSMDDLLKSITPSAAKTVDDVWKEIVAGAHPHHPAAAVSGDGNAATVNATAPEGYEAITLEDFLTKAGAVREEDVRGVLPPSSSSLPFPLPLPAPEGSSSSVEPFGNGVAPANSVPKGKRRVVEEPVDKATLQKQRRMIKNRESAARSRERKQAYTSELEYLVHQLEQENARLVNEEAEMRRQRKKQLMEYIIPVEVMPKPRKKLRRVNSAQSL >Vigun01g096900.1.v1.2 pep primary_assembly:ASM411807v1:1:26262804:26268862:-1 gene:Vigun01g096900.v1.2 transcript:Vigun01g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLRRRLHHGDVDGKRKEHFDSSGLDSLSEPLLADDDYAENKKICTLEDLWDDERKRAQFHWTFIFSNIIAQWAQWLANVVLGSGSIIGRLLSFPSSALNLPNNRMLPSSLSPLQEVRLRHLRQRLEVPFDGSKAEHQDALKQLWKLAYPDRELPPLKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAKTYPDSFQRLLNKQDGTRADWEYPFAVAGINISFMLANMLDLQAGSPSSLSGIRFLKLLEEDEMAFDNLFCIAFQMMDGQWLAKRATYMEFNDVLKFTRAQLERELALEDISSVKDLPAYSMLR >Vigun03g035000.2.v1.2 pep primary_assembly:ASM411807v1:3:2671885:2679727:-1 gene:Vigun03g035000.v1.2 transcript:Vigun03g035000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVGKTPEYPHPVASSISSRVMVELAVVSIFMALAATLVGGFLAAALFAATSFVFVVAVYVVWPIAKPFLKLFLGLALAILEKIWDNFVDFFSDGGIFSKIFEFYTFGGISASLEALKPIMIVVLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVMFGDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARVRDLFKRAKVNKPSVVFIDEIDALATKRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRKDLLDPALLRPGRFDRKIRIRPPGAKGRHDILKIHASKVKMSESVDLSSYAQNLPGWSGARLAQLVQEAALVAVRKRHNSILQSDLDDAVDRLTVGPKRVGIDLGYQGQCRRATTEVGVALTSHLLRRYEHAIVECCDRISIVPRGQTLSQLVFHRLDDESYMFERRPQLLHRLQILLGGRAAEEVIYGRDTSKASVDYLADASWLARKILTIWNLENPMVIHGEPPPWKKSVKFVGPRLDFEGSLYDDYNLIEPPLNFKMDDQVAQRTEELIGDMYRKTVSLLKRHHAALLKTIKVLLDQEEISGEEIEFILNKYPPQTPLYLLEEEYAANLPFNKEQVQDLEYALKPQSNEETL >Vigun03g035000.1.v1.2 pep primary_assembly:ASM411807v1:3:2671885:2679727:-1 gene:Vigun03g035000.v1.2 transcript:Vigun03g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSSPHFHITKSHYHHRNGPPKQTPRRLPTHLLLRRSPTVLCNSSSASGEPGSDDFVSRVLKENPSQVQPKYLIGDKLYTLKEKESLGKVSIFGILDVLKRLNPTKPQSKSESEVSGERNSVYLKDLLKEYRGKLYVPEQIFGSELSEEEEFNRNVNELPGMSIEEFRKAWSNDKVRLITSKGGRGGNRDFVVDLKEIPGDKSLHTTKWVLRLDKGEARAVLADYNGPRYEIERRHAMSWVGKTPEYPHPVASSISSRVMVELAVVSIFMALAATLVGGFLAAALFAATSFVFVVAVYVVWPIAKPFLKLFLGLALAILEKIWDNFVDFFSDGGIFSKIFEFYTFGGISASLEALKPIMIVVLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVMFGDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARVRDLFKRAKVNKPSVVFIDEIDALATKRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRKDLLDPALLRPGRFDRKIRIRPPGAKGRHDILKIHASKVKMSESVDLSSYAQNLPGWSGARLAQLVQEAALVAVRKRHNSILQSDLDDAVDRLTVGPKRVGIDLGYQGQCRRATTEVGVALTSHLLRRYEHAIVECCDRISIVPRGQTLSQLVFHRLDDESYMFERRPQLLHRLQILLGGRAAEEVIYGRDTSKASVDYLADASWLARKILTIWNLENPMVIHGEPPPWKKSVKFVGPRLDFEGSLYDDYNLIEPPLNFKMDDQVAQRTEELIGDMYRKTVSLLKRHHAALLKTIKVLLDQEEISGEEIEFILNKYPPQTPLYLLEEEYAANLPFNKEQVQDLEYALKPQSNEETL >Vigun07g059900.1.v1.2 pep primary_assembly:ASM411807v1:7:6733289:6739289:1 gene:Vigun07g059900.v1.2 transcript:Vigun07g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVVLNAMALIACIAMALFYVAILYAPTVLLRLPPPSSFETYMIRRFLCAIVSTILSLFLSVLILPVQTKELHHIVGVFGIRRDHIWQAVILPLCLTSLMYAGSIFDKCLLLLASWRQHASSGDALSFDYYKIALQQFLDWLSEISSNILVWRKYVVAPLTEELVFRACMIPLLLCGGFKTYSVTLLCPIFFSLAHLNHFVEIYAKQNYRIMKAVVIIGLQLGYTVVFGSYASFLFIRTGHLVAPLVAHIFCNFMGLPVLYSQRSRIVSVTFIIGFLGFLWLLFPMTGPDLYNDRIDNCSCWQGYCTWRERIRMPQM >Vigun07g059900.2.v1.2 pep primary_assembly:ASM411807v1:7:6733289:6739289:1 gene:Vigun07g059900.v1.2 transcript:Vigun07g059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWQAVILPLCLTSLMYAGSIFDKCLLLLASWRQHASSGDALSFDYYKIALQQFLDWLSEISSNILVWRKYVVAPLTEELVFRACMIPLLLCGGFKTYSVTLLCPIFFSLAHLNHFVEIYAKQNYRIMKAVVIIGLQLGYTVVFGSYASFLFIRTGHLVAPLVAHIFCNFMGLPVLYSQRSRIVSVTFIIGFLGFLWLLFPMTGPDLYNDRIDNCSCWQGYCTWRERIRMPQM >Vigun06g204200.1.v1.2 pep primary_assembly:ASM411807v1:6:31838838:31845899:1 gene:Vigun06g204200.v1.2 transcript:Vigun06g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETIPYQNGGVVANSNDSNSTTKKSRESERRRRRRKQKKNNKASEALRPNSAEDSDDAKENTDSNQVVEQVEIEYVPEKAELVEGMDEEFRKIFEKFSFADVTALEEADKKDGSEENAATNKKADSDSEEEENDNEQKDKGISNKKKKLQRRMKIAELKQICSRPDVVEVWDATASDPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKPPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGDLYHEGKEFEVKLREMKPGMLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQELPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEMEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGTGAQDKSGAKRVDLLRGQKSDKVDVTLQPEELEAMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >Vigun11g196850.1.v1.2 pep primary_assembly:ASM411807v1:11:39606026:39606616:-1 gene:Vigun11g196850.v1.2 transcript:Vigun11g196850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPRVFFDITIGGQPAGRIVFELFADTTPRTAENFRALCTGEKGVGRRGKPLHYKGSIFHRVIPNFMCQGGDFTAGNGTGGESIYGARFADENFVKKHTGPGILTMANAGPGTNGSQFFICTTKTEWLDDKHVVFGQVVEGLNVLKEIDDKHVFRNQLA >Vigun03g399700.1.v1.2 pep primary_assembly:ASM411807v1:3:60621654:60623277:-1 gene:Vigun03g399700.v1.2 transcript:Vigun03g399700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRPRPMIGKLSELLVSRGREVTLPDAATATATAGSPRTPSGTVMQSPRGFKNYHLGGVGLGIVVALDKPSTEVLPKHAVCTSNLKIQEEFDVEEYTYVTRHVPNQTFTKVYYDGGEGEIQRHDYSNKTNNNNIININNHNNLGVFRRTAPQPLFESESSYPTSNFLSSCNLCGKKLHGKDIYMYRGEKAFCSPECRSSQIMMDERKERCGSEASRSVEMSSSPYTREQIFSTGILAL >Vigun01g154800.4.v1.2 pep primary_assembly:ASM411807v1:1:33756411:33758190:1 gene:Vigun01g154800.v1.2 transcript:Vigun01g154800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFAFVEFSDPRDADDARFNLDGRDFEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSSRRGRSFSRSPVRSYSPRRGRSRDRSYSRERSYSRSRSPVRRARSPVSEDRSRSPRPSKTRDHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPSRNHNERAYDSPKSNGRSRSPTGALGMTGAPLMKMMTTIVAHSHLR >Vigun01g154800.7.v1.2 pep primary_assembly:ASM411807v1:1:33755051:33758197:1 gene:Vigun01g154800.v1.2 transcript:Vigun01g154800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDADDARFNLDGRDFEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSRRGRSFSRSPVRSYSPRRGRSRDRSYSRERSYSRSRSPVRRARSPVSEDRSRSPRPSKTRDHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPSRNHNERAYDSPKSNGRSRSPTGALGMTGAPLMKMMTTIVAHSHLR >Vigun01g154800.5.v1.2 pep primary_assembly:ASM411807v1:1:33755051:33758197:1 gene:Vigun01g154800.v1.2 transcript:Vigun01g154800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDADDARFNLDGRDFEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSSRRGRSFSRSPVRSYSPRRGRSRDRSYSRERSYSRSRSPVRRARSPVSEDRSRSPRPSKTRDHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPSRNHNERAYDSPKSNGRSRSPTGALGMTGAPLMKMMTTIVAHSHLR >Vigun01g154800.6.v1.2 pep primary_assembly:ASM411807v1:1:33755202:33758173:1 gene:Vigun01g154800.v1.2 transcript:Vigun01g154800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDADDARFNLDGRDFEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSSRRGRSFSRSPVRSYSPRRGRSRDRSYSRERSYSRSRSPVRRARSPVSEDRSRSPRPSKTRDHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPSRNHNERAYDSPKSNGRSRSPTGALGMTGAPLMKMMTTIVAHSHLR >Vigun01g154800.3.v1.2 pep primary_assembly:ASM411807v1:1:33756411:33758190:1 gene:Vigun01g154800.v1.2 transcript:Vigun01g154800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFAFVEFSDPRDADDARFNLDGRDFEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSRRGRSFSRSPVRSYSPRRGRSRDRSYSRERSYSRSRSPVRRARSPVSEDRSRSPRPSKTRDHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPSRNHNERAYDSPKSNGRSRSPTGALGMTGAPLMKMMTTIVAHSHLR >Vigun09g003600.1.v1.2 pep primary_assembly:ASM411807v1:9:249165:253045:-1 gene:Vigun09g003600.v1.2 transcript:Vigun09g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQQVKGTYSDSREEEEEEEVTEIIGITREPESSRLHHHQDAASNFGKKLDLMELSLGSSKEEEGEGNLQQGGGGGAVHHHHHHHQQQQQHEHHHQHHHEGHGTCSNTKEVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKVWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGLGDMYRHRLYIDWRRRTDHAHPPSDPSASPLFLPSIRWYSLPATSPIVPPRYHHDHHFHHLNYNNLFTFQHQHQYLGHAHAHGAAAATATASAHHHSNYADHNSGSGPGSLYYLRSSVPMGTAADQNLAGRGNNIVPMIIDSVPVNVGHHNHRHGHGHGGMSSGGGSSTPSSGKRLRLFGVNMECASSGEDSKGLSLGSAAHVAVGNSVPSSSSLQQRLRMPHEEPLSSSARFGDHKGGTGTSLLFDLDPSLQYQQ >Vigun02g053300.1.v1.2 pep primary_assembly:ASM411807v1:2:19536632:19556331:1 gene:Vigun02g053300.v1.2 transcript:Vigun02g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVATADSATSRGGLPNDSGDSVVTLDQVPRWIDAEHSLEHNNGDSAFSSSYFPDPLTFKSGTESGGSGSESRFPVNHEVNSKIYLWRGNPWNLEVDAVVNSTNEVLDESHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARKVIHTVGPKYASKYQTAAENALSHCYRSCLELLVEHGLKSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKHKDNITAVIFCTTSTIDTEIYKRLLPLYFPRDKQEELMALAKLPADVGDENGETVIDERKIRIKPLPKKIASKPARAPVDLPVSDVGSLRRTTSYLDTFLDPAFMSLIKDPDERRLEQWEKTVQAQRGWNFANLLGFGEIGGSPLSAAEEYSLHSRYLSKAKSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIMHKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQHNLHAIYILHPTVGLKLTIFALQLLVDGLVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Vigun03g086600.2.v1.2 pep primary_assembly:ASM411807v1:3:7173571:7175979:-1 gene:Vigun03g086600.v1.2 transcript:Vigun03g086600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIGPRLYCCCNCRNQVSLHDDIIAKTFQGRYGRGFLFSHAMNIVVGPKEDRHLMTGLHTVADVYCGDCHEVLGWKYERAYEASQKYKEGKFILEKSKIVMENW >Vigun03g086600.3.v1.2 pep primary_assembly:ASM411807v1:3:7173572:7175875:-1 gene:Vigun03g086600.v1.2 transcript:Vigun03g086600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIGPRLYCCCNCRNQVSLHDDIIAKTFQGRYGRGFLFSHAMNIVVGPKEDRHLMTGLHTVADVYCGDCHEVLGWKYERAYEASQKYKEGKFILEKSKIVMENW >Vigun03g086600.1.v1.2 pep primary_assembly:ASM411807v1:3:7173571:7175891:-1 gene:Vigun03g086600.v1.2 transcript:Vigun03g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIGPRLYCCCNCRNQVSLHDDIIAKTFQGRYGRGFLFSHAMNIVVGPKEDRHLMTGLHTVADVYCGDCHEVLGWKYERAYEASQKYKEGKFILEKSKIVMENW >Vigun08g005200.1.v1.2 pep primary_assembly:ASM411807v1:8:439846:444569:-1 gene:Vigun08g005200.v1.2 transcript:Vigun08g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTVASVLLLLCLLFLFADQGRKFQANAAGDSGGVVDPPKVEDKIGAVPGGLSTDSDVVKREAESISKRSLRSDAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDNTKLDIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNQPLGRGTEIRLHLKEEAGEYLEESKLKELVKRYSEFINFPIYIWASKEVDVEVPADEDGDEDDSADGSSKEETEDEDADKGEDEDKPKTKTVKETTYEWELLNDVKAIWLRNPKEVTEEEYTKFYHSLAKDFSDDKPLSWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLNFLRGLVDSDTLPLNVSREMLQQHNSLKTIKKKLIRKALDMIRRIADEDPDESTDKEKKEDASSDNNEKKGQYVKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKAGQKDIFYITGTNKEQLEKSPFLERLKKKNFEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDTKNKELKESFKDLTKWWKSTLSKDNVDDVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKNPEDEGVKQTAQLMYQTALFESGFNLDDPKDFSSRIYDSVKSSLNISPDATVEEEDDTEAEAESEAKEDTPKKPEAEVDDDVDDTKDEL >Vigun07g143800.1.v1.2 pep primary_assembly:ASM411807v1:7:25460831:25463662:-1 gene:Vigun07g143800.v1.2 transcript:Vigun07g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDHLWDDTVAGPPPENGLGKLRKHHTFAFRSNSGKESDGGSVKSYGEDSPEDAMRVTRSIMIVKPPGYQSQSGSAPASPAGSTPPVSPFSGARESFRFRRRSTSDAFEKTRQNRPSSSSPFDV >Vigun01g217900.1.v1.2 pep primary_assembly:ASM411807v1:1:39167861:39172580:1 gene:Vigun01g217900.v1.2 transcript:Vigun01g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQTILGFITFTCLMHITKPSNLNTDTLLQGHEFTTSDRLISPTGWYTMSFFQREGSADFYLGIGKSAENSTDQNFWIANRDTPIHDPAPFLTIDEFGNLKIISNRDINSTIMLYSSDAGREVNNNNSTTSTSTTTTFNAILQDTGNLMLREMNQNGSLKRILWQSFDHLSHVLMMGMKLGFDRKTGQNWSITSRRSEETYLSGSFTLALEPTTKQLVIWWKGNILWSSGQWSNGNFANLKSQAYQKDFEFDYYSDENETYVSYLASYIYIAPSGSVYGGASGSSFSCFSDYILSGCSMPSVPRCRDYDSLYRGSWNSYGVMSGKGFKFDESENLTNFDCWMKCLNNCSCEAYSYVNDDETGCEIWSRDSANFVATTNLTGGGRQIYFLVPRKNGNTKIWIISTIVGTLILIILCFTCTKLQKKLKLKAEDRKKRTKLLYEIGGNTAISIAYNEIKERKKDGKTSDDMYIFDFQTILEVTANFSSTNKIGEGGFGPVYKEKLPSGQEVAIKRLSKSSGQGLVEFKNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYLPNKSLDLYLFGSNHNTTLEWNIRCKIIEGVAQGLVYLHQYSRLKVVHRDLKASNILLDNQLNPKISDFGMARIFGLIESEERTNRIVGTFGYMSPEYAMMGVISTKTDVYSFGILLLEILSGKKNSSDYPFNLAFNAWKLWNEGEALTLTDTNMNGSYSPTQVLRYIHIGLLCTQDQARDRPTMLEVVSFISNENGDLPEPKQPGFCVTGPPEEERYTCSVRETTNSLTSGR >Vigun08g161100.3.v1.2 pep primary_assembly:ASM411807v1:8:33343767:33347741:-1 gene:Vigun08g161100.v1.2 transcript:Vigun08g161100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNGVEAVLEFLRKNGLSEAESALREDIIENTDLGNFDYEKFFFPMVPPPPPVRVRSFSLPSELAAADEDSSKSSSDEFVTIDSSTSRVSSSEFVNPYGIRSSSQTQNDSESSSERLSQFGTARDYHDFDMQNEPYWYNEKDDEYFMTPSFEGPDYFACRSEDKFVMTAETDNQRDNSLNLDYNYEEFQLKGNGNGNGGYMDKACLDNHSSVVDGTVTHSKGYCHVDNKDQFEGELEGNAEKPSVSCSCEVPFCKSSPGSGGSCSLDPTNFGYPNLKEIHLNNFHLGVVGDINSFDSTSELTLNQNFGHYTKNDSSKEYKGPYDLTIEVTDKNLPNGLDTYKAQLGGELTEDRQDPEFAADEEDTTDDELLKYTQEEEYEVFDLRIIHRKNRTGFEENKELPIVLNTVLAGRYYLTEYLGSAAFSRVVQAHDLRTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKGDPADKHHILRLYDYFYHQEHLFIVTELLRANLYEFQKFNQESGGEAYFTLKRLQIITRQCLEALQYLHGLGIVHCDLKPENILIKSYKRCEIKVIDLGSSCFQTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDAVVMILARIIGMFGSIDMEMLVKGQETHKYFTKEYDIYYINEVKKHSILAADYWSHLLIYLKGKNSKIFSCF >Vigun08g161100.1.v1.2 pep primary_assembly:ASM411807v1:8:33342114:33347741:-1 gene:Vigun08g161100.v1.2 transcript:Vigun08g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNGVEAVLEFLRKNGLSEAESALREDIIENTDLGNFDYEKFFFPMVPPPPPVRVRSFSLPSELAAADEDSSKSSSDEFVTIDSSTSRVSSSEFVNPYGIRSSSQTQNDSESSSERLSQFGTARDYHDFDMQNEPYWYNEKDDEYFMTPSFEGPDYFACRSEDKFVMTAETDNQRDNSLNLDYNYEEFQLKGNGNGNGGYMDKACLDNHSSVVDGTVTHSKGYCHVDNKDQFEGELEGNAEKPSVSCSCEVPFCKSSPGSGGSCSLDPTNFGYPNLKEIHLNNFHLGVVGDINSFDSTSELTLNQNFGHYTKNDSSKEYKGPYDLTIEVTDKNLPNGLDTYKAQLGGELTEDRQDPEFAADEEDTTDDELLKYTQEEEYEVFDLRIIHRKNRTGFEENKELPIVLNTVLAGRYYLTEYLGSAAFSRVVQAHDLRTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKGDPADKHHILRLYDYFYHQEHLFIVTELLRANLYEFQKFNQESGGEAYFTLKRLQIITRQCLEALQYLHGLGIVHCDLKPENILIKSYKRCEIKVIDLGSSCFQTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDAVVMILARIIGMFGSIDMEMLVKGQETHKYFTKEYDIYYINEETDQLEFLIPEESSLEQHLQVTDTMFINFIRYLLCINPKRRPTARQALKHPWLSYVY >Vigun08g161100.2.v1.2 pep primary_assembly:ASM411807v1:8:33342114:33347741:-1 gene:Vigun08g161100.v1.2 transcript:Vigun08g161100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNGVEAVLEFLRKNGLSEAESALREDIIENTDLGNFDYEKFFFPMVPPPPPVRVRSFSLPSELAAADEDSSKSSSDEFVTIDSSTSRVSSSEFVNPYGIRSSSQTQNDSESSSERLSQFGTARDYHDFDMQNEPYWYNEKDDEYFMTPSFEGPDYFACRSEDKFVMTAETDNQRDNSLNLDYNYEEFQLKGNGNGNGGYMDKACLDNHSSVVDGTVTHSKGYCHVDNKDQFEGELEGNAEKPSVSCSCEVPFCKSSPGSGGSCSLDPTNFGYPNLKEIHLNNFHLGVVGDINSFDSTSELTLNQNFGHYTKNDSSKEYKGPYDLTIEVTDKNLPNGLDTYKAQLGGELTEDRQDPEFAADEEDTTDDELLKYTQEEEYEVFDLRIIHRKNRTGFEENKELPIVLNTVLAGRYYLTEYLGSAAFSRVVQAHDLRTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKGDPADKHHILRLYDYFYHQKFNQESGGEAYFTLKRLQIITRQCLEALQYLHGLGIVHCDLKPENILIKSYKRCEIKVIDLGSSCFQTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDAVVMILARIIGMFGSIDMEMLVKGQETHKYFTKEYDIYYINEETDQLEFLIPEESSLEQHLQVTDTMFINFIRYLLCINPKRRPTARQALKHPWLSYVY >Vigun08g206200.2.v1.2 pep primary_assembly:ASM411807v1:8:36930459:36936738:-1 gene:Vigun08g206200.v1.2 transcript:Vigun08g206200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFSNAQTSETRFSGTISSTSLRNFLPRSFSSKQKPTSISKIPSSDAENTPPTDPNILNNHDQALPSTIKQSLSKTSTSQNNLHQSKPPFESDPPVKVVVRIRPTNDNGIEGDRTVKKVSSDTLCVGDRQFTFDSVFDSNTNQEDIFQSVGVPLVKNALDGYNTSILSYGQSGSGKTYTMWGPPSAMFEEPSPQSHKGIVPRIFQMLFSELDREQQASDGKQFNYQCRCSFLEIYNEQIGDLLDPTQRNLEMKDDSKNALYIENLTEEYVTSYDDVTQILIKGLSSRKVGATSLNSKSSRSHIIFTFVIESWCKGISSKGFSSSKSSRISLIDLAGQDRNKIEDAGKQCLKEIKNVKKSLSQLGHLVDVLTKETLSGKAEEISNRTSCLTRLLQESLGGNAKLSLICSISPDNKNTGETLRTLRFGQRVRTIRNEPVINEIKEDDVNDLSDQIRQLKEELIRAKAEVRYSDGIKNGYFQGRNVRDSLNQLRVSLNRSILLPCIDKDTDEEVNVDEEDIRQLRQQIDELYHSCEGNPKKISDSEDCVQFYSVAENCDADMTSGDEFEIEEEGCFEDTLCKPCPEESEGSTTTLYTSADDFQSTANASRSIKSTFRDSISVSSCGRSPILEEPPLSESPKIRNIQRKSMAYTSSCLGSWNNVAEENLGSSKEGENMRLSLRSSKVFPAGPTESLAASLQRGLQIIDYHQRNSTLNKSSASFSFDHLTLRPCSEIDKDDDSGDQITQQKKYSVDESIATLLCEPCRKRICNQDSTEAEIPDGVTDKVSESIMEKAITREKELENVCKEQAARIEELNQLVEKLKGEKELKSSIIVYDPERNKQTRHEENNLLNDEDTLPRGTSLDKYLPDIVEEKCEIKEVVTNGDSSFDAAEKEELLKEIQNLRCKLQICSDAPVRKSTDKLRSSLSLMSRSIQQRKSVVFSQDNNGDELEKERQRWTEMESEWICLTDELRVDLESNRQRAERVEMELSLEKKCTEELDDALKRAVLGHARMVEHYADLQEKYNDLVAKHNAIMEGIAEVKRAAAKAGKKGHARFAKSLAAELSALRVEREREAKFLKRENMNLKIQLKETAEAVHAAGELLVRLREAEHAASIAEDNFTKVEQDNEKLKKQMEKLKRKHKMEMITMKQYLAESKLPESALQPLFREDSDVVQDNATSREDQAWRVEFGAIYQQHY >Vigun08g206200.1.v1.2 pep primary_assembly:ASM411807v1:8:36930459:36936738:-1 gene:Vigun08g206200.v1.2 transcript:Vigun08g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFSNAQTSETRFSGTISSTSLRNFLPRSFSSKQKPTSISKIPSSDAENTPPTDPNILNNHDQALPSTIKQSLSKTSTSQNNLHQSKPPFESDPPVKVVVRIRPTNDNGIEGDRTVKKVSSDTLCVGDRQFTFDSVFDSNTNQEDIFQSVGVPLVKNALDGYNTSILSYGQSGSGKTYTMWGPPSAMFEEPSPQSHKGIVPRIFQMLFSELDREQQASDGKQFNYQCRCSFLEIYNEQIGDLLDPTQRNLEMKDDSKNALYIENLTEEYVTSYDDVTQILIKGLSSRKVGATSLNSKSSRSHIIFTFVIESWCKGISSKGFSSSKSSRISLIDLAGQDRNKIEDAGKQCLKEIKNVKKSLSQLGHLVDVLTKETLSGKAEEISNRTSCLTRLLQESLGGNAKLSLICSISPDNKNTGETLRTLRFGQRVRTIRNEPVINEIKEDDVNDLSDQIRQLKEELIRAKAEVRYSDGIKNGYFQGRNVRDSLNQLRVSLNRSILLPCIDKDTDEEVNVDEEDIRQLRQQIDELYHSCEGNPKKISDSEDCVQFYSVAENCDADMTSGDEFEIEEEGCFEDTLCKPCPEESEGSTTTLYTSADDFQSTANASRSIKSTFRDSISVSSCGRSPILEEPPLSESPKIRNIQRKSMAYTSSCLGSWNNVAEENLGSSKEGENMRLSLRSSKVFPAGPTESLAASLQRGLQIIDYHQRNSTLNKSSASFSFDHLTLRPCSEIDKDDDSGDQITQQKKYSVDESIATLLCEPCRKRICNQDSTEAEIPDGVTDKVSEDSQSIMEKAITREKELENVCKEQAARIEELNQLVEKLKGEKELKSSIIVYDPERNKQTRHEENNLLNDEDTLPRGTSLDKYLPDIVEEKCEIKEVVTNGDSSFDAAEKEELLKEIQNLRCKLQICSDAPVRKSTDKLRSSLSLMSRSIQQRKSVVFSQDNNGDELEKERQRWTEMESEWICLTDELRVDLESNRQRAERVEMELSLEKKCTEELDDALKRAVLGHARMVEHYADLQEKYNDLVAKHNAIMEGIAEVKRAAAKAGKKGHARFAKSLAAELSALRVEREREAKFLKRENMNLKIQLKETAEAVHAAGELLVRLREAEHAASIAEDNFTKVEQDNEKLKKQMEKLKRKHKMEMITMKQYLAESKLPESALQPLFREDSDVVQDNATSREDQAWRVEFGAIYQQHY >Vigun08g059000.2.v1.2 pep primary_assembly:ASM411807v1:8:7571952:7575578:1 gene:Vigun08g059000.v1.2 transcript:Vigun08g059000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGLLQPKAESDGKYPVEKAKAISGEKLLRNIMTDNKIELYATYGKLMNCGGGGSCGTCIVEIIEGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >Vigun08g059000.1.v1.2 pep primary_assembly:ASM411807v1:8:7571953:7575578:1 gene:Vigun08g059000.v1.2 transcript:Vigun08g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNFFTTISLAADGRNSTTYFPRRRTYSVALAATSPESPPEIELEFIGPKAESDGKYPVEKAKAISGEKLLRNIMTDNKIELYATYGKLMNCGGGGSCGTCIVEIIEGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >Vigun06g060650.1.v1.2 pep primary_assembly:ASM411807v1:6:18851974:18852376:-1 gene:Vigun06g060650.v1.2 transcript:Vigun06g060650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSQIHEHNEEHKKKDVLRFMSTTKNTKNVTTFVVLPYLTTLMDECFALKFMNTVKNTTKVTTFLLLCDFCSSTIFKHFSLYFFSVG >Vigun02g071050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22197963:22198427:-1 gene:Vigun02g071050.v1.2 transcript:Vigun02g071050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENKSSPSSASSSSVLKLFGFSCRDSSDDHDKGGKKIQCPFCHRKFQNLQAMGGHQNAHRRERQMARLAQYEYMREHQRNHIFQAVTPLVVAQGASPTSVFGGATRFRTPPVAEPPGTRHRNVQVSVVGLDDDVDLELRLALSSNKEAGM >Vigun06g028100.1.v1.2 pep primary_assembly:ASM411807v1:6:12438062:12443461:1 gene:Vigun06g028100.v1.2 transcript:Vigun06g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGDYANPKRVIVCLIEKEVEFEIVHVDGLKGENKLPEYLKLQPFGLLPVVKDGDYILYESRAILRYYSEKYKNQGSALLGNTIEERGVVEQWLEVEAHNYDPPIYNLVMNILALPLVKGEAEDPKVTKENEEKLGKVLDIYEERLSHTKYLAGDFFSLADLSHLPFTHLLMNHMKKGYMIRERKHVSAWWDAISNRPSWKKVLQLYK >Vigun08g143900.1.v1.2 pep primary_assembly:ASM411807v1:8:31631041:31632320:1 gene:Vigun08g143900.v1.2 transcript:Vigun08g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIFGYPLRRFFWSHPPIFREWSGSMALLDWLESPNAHILKINVPGFSKDDIKVEIEDGNVLHIKGEGGREEAQAKEKDSVWHVAERGTGNGGFSREIELPENVKVDQIKAQVENGVLTILLPKDTTTKSPKVRNINITSRL >Vigun04g111800.1.v1.2 pep primary_assembly:ASM411807v1:4:28087001:28087627:-1 gene:Vigun04g111800.v1.2 transcript:Vigun04g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGHKHLSGLDEAVLKNIDACKQLSAITRTSLGPNAMNKMVINHLDKLFVTNDAATIVNELEVQHLLPRFWFWPGRLNKRRLGMELT >Vigun03g267600.2.v1.2 pep primary_assembly:ASM411807v1:3:43854101:43857785:-1 gene:Vigun03g267600.v1.2 transcript:Vigun03g267600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMKKKTSRAAATAIWVVSWSIIMAYNVSSAERVLKDQNHESFAAKERHGALTSIIDFFWNDGGDSSNDRVWPEMKFGWRIVVGSIVGFFGAALGSVGGVGGGGIFIPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLRFRHPTLDLPAIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIALFKGIDTWKKETTMKKEAAKMLESDFSPGYDSEEDYKPLPAGLTDPRDEKIPLLKNIYWKELLVLVYVWVAFLIVQIIKTYTKTCSILYWILNSLQVPIAVSVTLYEAICLCNGSRVIASMEKEITDWKKLHKICLYCSCGIIAGIVSGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLERFPVPYASYFILIATIAALTGQHVVRKIIAIFGRASIIIFVLAFTIFLSAISLGGVGIGNMVEKMENNEHMGFRNICHQS >Vigun03g267600.1.v1.2 pep primary_assembly:ASM411807v1:3:43854101:43857785:-1 gene:Vigun03g267600.v1.2 transcript:Vigun03g267600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMKKKTSRAAATAIWVVSWSIIMAYNVSSAERVLKDQNHESFAAKERHGALTSIIDFFWNDGGDSSNDRVWPEMKFGWRIVVGSIVGFFGAALGSVGGVGGGGIFIPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLRFRHPTLDLPAIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIATSTKALFKGIDTWKKETTMKKEAAKMLESDFSPGYDSEEDYKPLPAGLTDPRDEKIPLLKNIYWKELLVLVYVWVAFLIVQIIKTYTKTCSILYWILNSLQVPIAVSVTLYEAICLCNGSRVIASMEKEITDWKKLHKICLYCSCGIIAGIVSGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLERFPVPYASYFILIATIAALTGQHVVRKIIAIFGRASIIIFVLAFTIFLSAISLGGVGIGNMVEKMENNEHMGFRNICHQS >Vigun06g081700.2.v1.2 pep primary_assembly:ASM411807v1:6:21333735:21336997:1 gene:Vigun06g081700.v1.2 transcript:Vigun06g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCDGERVLRLKRERMAIPMLRAAMISHSQSQQLIHSLSAINETAKRRKRVVVSSSSSELNPLIRSEVSFCVGTCLIPHPKKVNTGGEDAFFVSSYNGGVIAVADGVSGWAEEDVDPSVFPRELLANASNFVGDEEVNYDPQILIRKAHAATSSTGSATVIVAMLEKNGTLRIANVGDCGLRLIRNGHVVFSTSPQEHYFDCPFQLSSEGVGQTYLDAAVCNVQLMEGDTIVMGSDGLFDNVFDHEIVQTIVKHKDVAETAKSLANLASSHALDSNFDSPYSLEARSRGFKPPLWKKILGMKLTGGKVDDITVIVGQVVSL >Vigun06g081700.1.v1.2 pep primary_assembly:ASM411807v1:6:21333737:21336872:1 gene:Vigun06g081700.v1.2 transcript:Vigun06g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCDGERVLRLKRERMAIPMLRAAMISHSQSQQLIHSLSAINETAKRRKRVVVSSSSSELNPLIRSEVSFCVGTCLIPHPKKVNTGGEDAFFVSSYNGGVIAVADGVSGWAEEDVDPSVFPRELLANASNFVGDEEQVNYDPQILIRKAHAATSSTGSATVIVAMLEKNGTLRIANVGDCGLRLIRNGHVVFSTSPQEHYFDCPFQLSSEGVGQTYLDAAVCNVQLMEGDTIVMGSDGLFDNVFDHEIVQTIVKHKDVAETAKSLANLASSHALDSNFDSPYSLEARSRGFKPPLWKKILGMKLTGGKVDDITVIVGQVVSL >Vigun10g106400.1.v1.2 pep primary_assembly:ASM411807v1:10:30479971:30482789:-1 gene:Vigun10g106400.v1.2 transcript:Vigun10g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSFSPDQHLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCTNLLSVNMRGLLLPSANQLHLGHTFFTPQNLLEEIRNAPSTNMVMNQIPNPNDLVTGAMRGGPEETPKPPSANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQPVKKANVRQEAEDVLIKDGFFAPANVGVSPF >Vigun04g194900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41959887:41961077:-1 gene:Vigun04g194900.v1.2 transcript:Vigun04g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNDHIPVHHVHGPNPKTMKLNRHRSVRYYVHRVHESLTTRVSKMICATFLGLLFILGLIAFILWLSLRPHRPRFHIHEFNMPVLAQNSGFQNAVVTFKVSARNANQNIGVNYESMDGAVFYRDQKIGYTPLLSPFYQEPKNTTEVDGELSGASLTVSSERWSEFQSDRADGSVVFRLELTSVIRFKISSWKSERHTMHANCNVGVGSDGSLLTNFKDKRCPVYFS >Vigun02g108400.1.v1.2 pep primary_assembly:ASM411807v1:2:26261836:26264204:-1 gene:Vigun02g108400.v1.2 transcript:Vigun02g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDEEDKGLFSNLAYGVAHSAHGHPPGGYPPPGAYPPPQGYPSGHGYPPQGGYPPAGYPPAGYPPAGYPPAGYPPAGGYPPAGYPPHGYPAPSAPHGYPAPHGSHGSGGHSGVGTGALLAGGAAAAAAAYGAHHLSHGHHGYGGYGGHGGYGHMPHGKFKNHGKFKHGKFGKHGKFKHGKFGKGMFKKWK >Vigun03g305400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49539085:49543979:-1 gene:Vigun03g305400.v1.2 transcript:Vigun03g305400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGHEPPESPPAAPPLLRRIHDLDNAVSFFIHTLTRPIAPKPLLRFLELLADFRFFFPVSLALFLAAPSSSPLRSSLLLPLLLCSLIDLLFIALIKFLVRRSRPSYANHSQYNAVVSVDNFSFPSGHSSRVCFVASVVSLSRPSLLADLHHPRVAFLVNRWFGGDQALAVDILIAAVWAWAVTTVISRVALGRHYVIDVFFGACFGVLEAFFTFRLLEFRGLL >Vigun03g305400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49539085:49543979:-1 gene:Vigun03g305400.v1.2 transcript:Vigun03g305400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGHEPPESPPAAPPLLRRIHDLDNAVSFFIHTLTRPIAPKPLLRFLELLADFRFFFPVSLALFLAAPSSSPLRSSLLLPLLLCSLIDLLFIALIKFLVRRSRPSYANHSQYNAVVSVDNFSFPSGHSSRVCFVASVVSLSRPSLLADLHHPRVAFLVNRWFGGDQALAVDILIAAVWAWAVTTVISRVALGRHYVIDVFFGACFGVLEAFFTFRLLEFRGLL >Vigun03g305400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49539089:49543979:-1 gene:Vigun03g305400.v1.2 transcript:Vigun03g305400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGHEPPESPPAAPPLLRRIHDLDNAVSFFIHTLTRPIAPKPLLRFLELLADFRFFFPVSLALFLAAPSSSPLRSSLLLPLLLCSLIDLLFIALIKFLVRRSRPSYANHSQYNAVVSVDNFSFPSGHSSRVCFVASVVSLSRPSLLADLHHPRVAFLVNRWFGGDQALAVDILIAAVWAWAVTTVISRVALGRHYVIDVFFGACFGVLEAFFTFRLLEFRGLL >Vigun03g305400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49538953:49543979:-1 gene:Vigun03g305400.v1.2 transcript:Vigun03g305400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGHEPPESPPAAPPLLRRIHDLDNAVSFFIHTLTRPIAPKPLLRFLELLADFRFFFPVSLALFLAAPSSSPLRSSLLLPLLLCSLIDLLFIALIKFLVRRSRPSYANHSQYNAVVSVDNFSFPSGHSSRVCFVASVVSLSRPSLLADLHHPRVAFLVNRWFGGDQALAVDILIAAVWAWAVTTVISRVALGRHYVIDVFFGACFGVLEAFFTFRLLEFRGLL >Vigun01g035200.1.v1.2 pep primary_assembly:ASM411807v1:1:4588243:4592138:-1 gene:Vigun01g035200.v1.2 transcript:Vigun01g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEEYSAFEQKVSRTVYFDNLSPQVTESVIRTALDQFATVKNVKFIPNYIGPSNLPQCALVELDSAKKVKEIVSMVGQYSFMMSGMPRPVRAQPAVMEMFDDRPIKPNRKIKVRWLKPSEPEFEVAKELKNLTRKHAAEIEFVRKLQLDEEEKLAKQQEETLKVHYKKFKMIDGIMADKTAHRLARRYNLHVADE >Vigun01g217500.4.v1.2 pep primary_assembly:ASM411807v1:1:39123766:39129546:1 gene:Vigun01g217500.v1.2 transcript:Vigun01g217500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSDEDTDISESEISEYEDKSYEELKSGSQNLKTSNETFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQKRKARDKANHLALVKYLEKDLMNVDVPSNDSKPEDESDSSVNSNDQFVWPWIGVVVNIPTRRTEDGRCVGESGSRLRDEYRSRGFNPVRVNPLWNFRGHSGTALVEFNKSWPGLDNAMAFERAYELDHHGKKDWFANDGQKSGLYAWVARADDYKMNSIYGEYLRKMGDVKTISELMEEEARRQDKLVSNLNNIIQVKNKHIKEIEVRYHETTDKMNLAMMEKDNLIQSYNEEIQKIQSSARDHFQKIFTDHEKLKMQLESQKNELELRKIELEKREAHNESERKKLAEEIEENATKNSSLQMAALEQKKADENVMKLAEDQKRQKEQLHAKIIQLQKQLDMKQELELEIQQLKGSLSVLKHMEDDEDVEILKKVDNLQKDLRDKQQSLQDIDALNQALIVKERESNDELQEARQALVDGVKELQPHGNIRLKRMGELDTSPFLEAMKKRYNEDDAEERASEQCSLWEEYLKDPDWHPFKVIMVDGKEKEIIRDDDEKLNGLKNDLGEGAYKAVVEALLEINEHNPSGRYLTSVLWNYKQGRRATLKEGVQFLLNYWTKRKRGTT >Vigun01g217500.2.v1.2 pep primary_assembly:ASM411807v1:1:39123412:39129546:1 gene:Vigun01g217500.v1.2 transcript:Vigun01g217500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSDEDTDISESEISEYEDKSYEELKSGSQNLKTSNETFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQKRKARDKANHLALVKYLEKDLMNVDVPSNDSKPEDESDSSVNSNDQFVWPWIGVVVNIPTRRTEDGRCVGESGSRLRDEYRSRGFNPVRVNPLWNFRGHSGTALVEFNKSWPGLDNAMAFERAYELDHHGKKDWFANDGQKSGLYAWVARADDYKMNSIYGEYLRKMGDVKTISELMEEEARRQDKLVSNLNNIIQVKNKHIKEIEVRYHETTDKMNLAMMEKDNLIQSYNEEIQKIQSSARDHFQKIFTDHEKLKMQLESQKNELELRKIELEKREAHNESERKKLAEEIEENATKNSSLQMAALEQKKADENVMKLAEDQKRQKEQLHAKIIQLQKQLDMKQELELEIQQLKGSLSVLKHMEDDEDVEILKKVDNLQKDLRDKQQSLQDIDALNQALIVKERESNDELQEARQALVDGVKELQPHGNIRLKRMGELDTSPFLEAMKKRYNEDDAEERASEQCSLWEEYLKDPDWHPFKVIMVDGKEKEIIRDDDEKLNGLKNDLGEGAYKAVVEALLEINEHNPSGRYLTSVLWNYKQGRRATLKEGVQFLLNYWTKRKRGTT >Vigun01g217500.5.v1.2 pep primary_assembly:ASM411807v1:1:39123766:39129546:1 gene:Vigun01g217500.v1.2 transcript:Vigun01g217500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSDEDTDISESEISEYEDKSYEELKSGSQNLKTSNETFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQKRKARDKANHLALVKYLEKDLMNVDVPSNDSKPEDESDSSVNSNDQFVWPWIGVVVNIPTRRTEDGRCVGESGSRLRDEYRSRGFNPVRVNPLWNFRGHSGTALVEFNKSWPGLDNAMAFERAYELDHHGKKDWFANDGQKSGLYAWVARADDYKMNSIYGEYLRKMGDVKTISELMEEEARRQDKLVSNLNNIIQVKNKHIKEIEVRYHETTDKMNLAMMEKDNLIQSYNEEIQKIQSSARDHFQKIFTDHEKLKMQLESQKNELELRKIELEKREAHNESERKKLAEEIEENATKNSSLQMAALEQKKADENVMKLAEDQKRQKEQLHAKIIQLQKQLDMKQELELEIQQLKGSLSVLKHMEDDEDVEILKKVDNLQKDLRDKQQSLQDIDALNQALIVKERESNDELQEARQALVDGVKELQPHGNIRLKRMGELDTSPFLEAMKKRYNEDDAEERASEQCSLWEEYLKDPDWHPFKVIMVDGKEKEIIRDDDEKLNGLKNDLGEGAYKAVVEALLEINEHNPSGRYLTSVLWNYKQGRRATLKEGVQFLLNYWTKRKRGTT >Vigun01g217500.3.v1.2 pep primary_assembly:ASM411807v1:1:39123412:39129546:1 gene:Vigun01g217500.v1.2 transcript:Vigun01g217500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSDEDTDISESEISEYEDKSYEELKSGSQNLKTSNETFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQKRKARDKANHLALVKYLEKDLMNVDVPSNDSKPEDESDSSVNSNDQFVWPWIGVVVNIPTRRTEDGRCVGESGSRLRDEYRSRGFNPVRVNPLWNFRGHSGTALVEFNKSWPGLDNAMAFERAYELDHHGKKDWFANDGQKSGLYAWVARADDYKMNSIYGEYLRKMGDVKTISELMEEEARRQDKLVSNLNNIIQVKNKHIKEIEVRYHETTDKMNLAMMEKDNLIQSYNEEIQKIQSSARDHFQKIFTDHEKLKMQLESQKNELELRKIELEKREAHNESERKKLAEEIEENATKNSSLQMAALEQKKADENVMKLAEDQKRQKEQLHAKIIQLQKQLDMKQELELEIQQLKGSLSVLKHMEDDEDVEILKKVDNLQKDLRDKQQSLQDIDALNQALIVKERESNDELQEARQALVDGVKELQPHGNIRLKRMGELDTSPFLEAMKKRYNEDDAEERASEQCSLWEEYLKDPDWHPFKVIMVDGKEKEIIRDDDEKLNGLKNDLGEGAYKAVVEALLEINEHNPSGRYLTSVLWNYKQGRRATLKEGVQFLLNYWTKRKRGTT >Vigun01g217500.1.v1.2 pep primary_assembly:ASM411807v1:1:39123766:39129546:1 gene:Vigun01g217500.v1.2 transcript:Vigun01g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSDEDTDISESEISEYEDKSYEELKSGSQNLKTSNETFTCPYCPKKRKRDYLYKELLQHASGVGQSSSQKRKARDKANHLALVKYLEKDLMNVDVPSNDSKPEDESDSSVNSNDQFVWPWIGVVVNIPTRRTEDGRCVGESGSRLRDEYRSRGFNPVRVNPLWNFRGHSGTALVEFNKSWPGLDNAMAFERAYELDHHGKKDWFANDGQKSGLYAWVARADDYKMNSIYGEYLRKMGDVKTISELMEEEARRQDKLVSNLNNIIQVKNKHIKEIEVRYHETTDKMNLAMMEKDNLIQSYNEEIQKIQSSARDHFQKIFTDHEKLKMQLESQKNELELRKIELEKREAHNESERKKLAEEIEENATKNSSLQMAALEQKKADENVMKLAEDQKRQKEQLHAKIIQLQKQLDMKQELELEIQQLKGSLSVLKHMEDDEDVEILKKVDNLQKDLRDKQQSLQDIDALNQALIVKERESNDELQEARQALVDGVKELQPHGNIRLKRMGELDTSPFLEAMKKRYNEDDAEERASEQCSLWEEYLKDPDWHPFKVIMVDGKEKEIIRDDDEKLNGLKNDLGEGAYKAVVEALLEINEHNPSGRYLTSVLWNYKQGRRATLKEGVQFLLNYWTKRKRGTT >Vigun03g169700.1.v1.2 pep primary_assembly:ASM411807v1:3:20192721:20198189:1 gene:Vigun03g169700.v1.2 transcript:Vigun03g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLIQTEEPSQSQTPLLISIQHQQQAEQNGDTDTSTNSNNNDNNKNDDGDGDGENDDDDEEEEEEETEVGESLARLEWFLTVLGFNQHSVLSLVVSWSVFAAVGVAAPLLALSMCKCAECDRYEIQSFEMVIVAFQATLAAVSLICLSHNLRKYGLRRFLFVDRYSGKMHCFHRDYVAQISGSMRLLFLWVLPCFLLKTAREIIRIFYVQHGSWWLSFAILSALIISWTYMSTISLSACILFHLVCSLQVIHFDDYGKLLQRESDVLVFMEEHIRLRYHLSKISHRFRIYLLLEFLVVTASQVVTLLQVTGYGEMLTFINGGDFAVSTLVQVVGIIICLHAATRISHRAQGIVSHASRWHAMLTCTSSDAPQLRSSASAGSLEAANHLNAIHVDYSESDLESMDYAGMFSNTQWASYVSSHHKRQAFVMYLQTNPGGITIFGWTVDRSLVNTIFFLELSLVTFVLGQTLI >Vigun03g169700.2.v1.2 pep primary_assembly:ASM411807v1:3:20192837:20198136:1 gene:Vigun03g169700.v1.2 transcript:Vigun03g169700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLIQTEEPSQSQTPLLISIQHQQQAEQNGDTDTSTNSNNNDNNKNDDGDGDGENDDDDEEEEEEETEVGESLARLEWFLTVLGFNQHSVLSLVVSWSVFAAVGVAAPLLALSMCKCAECDRYEIQSFEMVIVAFQATLAAVSLICLSHNLRKYGLRRFLFVDRYSGKMHCFHRDYVAQISGSMRLLFLWVLPCFLLKTAREIIRIFYVQHGSWWLSFAILSALIISWTYMSTISLSACILFHLVCSLQVIHFDDYGKLLQRESDVLVFMEEHIRLRYHLSKISHRFRIYLLLEFLVVTASQVVTLLQVTGYGEMLTFINGGDFAVSTLVQVVGIIICLHAATRISHRAQGIVSHASRWHAMLTCTSSDAPQLRSSASAGSLEAANHLNAIHVDYSESDLESMDYAGMFSNTQWASYVSSHHKRQAFVMYLQTNPGGITIFGWTVDRSLVNTIFFLELSLVTFVLGQTLI >Vigun08g061450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:8249625:8250035:1 gene:Vigun08g061450.v1.2 transcript:Vigun08g061450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAFFHMGKFESFRGRFMRYNGGEEHVFHGLDPDRWSYFDALSILKEEFKYDGAMKLWWKPKSGRMDRDLRPFVTDNDALQLCAYADKKKEEVQIYVEHVVSAAKPIEFIEWTQNTGEAGGVEGPDVNSVAEV >Vigun10g089000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25635699:25636505:1 gene:Vigun10g089000.v1.2 transcript:Vigun10g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSQLSSFTYTSITKPTTLIKTTMATQLLLTLFLLSFTVATIQAEDTGYVGTVDPKSLGLNKKKTLSHFRLYWQDVISGSNATAINIIPAIPKYNTTTSFGSVTVTDNALTVGPELSSKVVGRSEGIYALTSQSQVTLLMVMNFVLTEGKYNGSSLTIVGRNVAYDEQKELPVVGGSGVFKFATGYAHAKTYHFDPTTGDATTEYNIYVFHY >Vigun07g166500.4.v1.2 pep primary_assembly:ASM411807v1:7:28086786:28092724:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKTNPHIVSFQSCSSSSCQLSNASNMIDVYQAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKWPYEAAAIAFEAIPRTLAQNWE >Vigun07g166500.6.v1.2 pep primary_assembly:ASM411807v1:7:28084095:28092707:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPILVLKDSLKRESGTKAQHANIHAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKWPYEAAAIAFEAIPRTLAQNWE >Vigun07g166500.8.v1.2 pep primary_assembly:ASM411807v1:7:28084665:28092723:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKWPYEAAAIAFEAIPRTLAQNWE >Vigun07g166500.2.v1.2 pep primary_assembly:ASM411807v1:7:28084095:28092707:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPILVLKDSLKRESGTKAQHANIHAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKLLPLLLRRSPVLWHKIGSKCHPHYDRTARKTCKWRKCVDWHKWKYWGHH >Vigun07g166500.5.v1.2 pep primary_assembly:ASM411807v1:7:28084084:28092724:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPILVLKDSLKRESGTKAQHANIHAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKWPYEAAAIAFEAIPRTLAQNWE >Vigun07g166500.3.v1.2 pep primary_assembly:ASM411807v1:7:28084095:28092707:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPILVLKDSLKRESGTKAQHANIHAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKLLPLLLRRSPVLWHKIGSKCHPHYDRTARKTCKWRKCVDWHKWKYWGHH >Vigun07g166500.7.v1.2 pep primary_assembly:ASM411807v1:7:28086055:28092723:1 gene:Vigun07g166500.v1.2 transcript:Vigun07g166500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFHPSQKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILREIDLAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEALIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDAKDRGTMLGILKSCIGTKFTSQFGDLIADLAIDATTTVDIDLGLGLRDVDIKNYIKVEKVPGGQLEDSRVLKGVMINKDVISPGKMKRKIVNPRVILLDCPLEYKKGENQTNAELLKEEDWRLLLRMEEEYIEELCMQILRFKPDLVITEKGLSDLACHFLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSLSVQGIEKWPYEAAAIAFEAIPRTLAQNWE >Vigun07g209450.1.v1.2 pep primary_assembly:ASM411807v1:7:33090622:33091806:1 gene:Vigun07g209450.v1.2 transcript:Vigun07g209450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNLTSFHLHENKLYGEIPFSLKNCHSLLIFNVHIASNTISGHVPNCVHNITTLVFSKTSDHKLSFFFPLPDGRHYTFEDNLELVIKGQALEYGKNLHFMTLIDMSSNNLSGTLPPQMFSLTGLCSLNLSHNKLTGKIPNEIGNMNKLESLDFSANQFWGEIPQTLSNLTFLSYLNLSFNNFTGKIPSGTQLQGFGALSYIGNAGLCGSPLTNTCFQVDVKQKKDEVKSEFWSWFFIGMGTGFGTNRLYVMVLININSFPLHSASEGNKSSSGREKKYSDAILMATTTNVH >Vigun10g136700.2.v1.2 pep primary_assembly:ASM411807v1:10:35190851:35209020:-1 gene:Vigun10g136700.v1.2 transcript:Vigun10g136700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGPFAKAAEEVIDFILKYGVQHVTYIIHYKQNFVELKDSVKSLGFEKERIDQQCDEAVKNLNNIEGKVTEWVQKVDEIKIIVEDFVNDESHKKARSPNFIVFPYLWNRHRLSRKSKKMEIDVKKLIDESPKSDEVAYRQNVTSNDTTLINYGFVEFGSTKSIIENVMTQLEDSTVRMVGLFGPGGVGKSTLIKEIARKAKDKNLFDVVVKIQEEIAYVLGLRLEGEGENVRADCLRRRLKKEKGNTLLIFDDLWGKLDLNNRKGCKILLTSRDKKVLREEMDVKLTFCVKELDDKDALVLFQKLAGIHSEMSDSKQEIVKKYCAGLPVAIVSVARALRNKSESVWEATIEKLRKQELTGVQTPMDISMKMSYDHLENEEIKSIFLLCAQMGHQPLITDLVKYCFGLGILDGISSIWEARDRIKTSIQKLKDLGLVSDGISNNHFNMHDMVRDAVLFIANKDRNVFTLRNGKLDDWPELEKCTSISICNCDIIDGLPQVINCPQLRFFQIDTNDPSLKIPKNSFEVMKNLRVLILNGFHLPRLPSSVKCLLKLRMLCLERCTLDDNLDIIGELKKLRILSFSGSQLKSFSSQLGCLDKLQLLDISDCSIVEIDMLSNILSRLTNLEELYIRKSLVKMFVEGETNQGQTLFLSELKNLHKLKVVDLSIPCVSVLPNHLFFDKLKDYKIVVGDLEIFSVGNFRMPEKYEAFKVLALQLNDDTDIHSQAGIKLLFKRVESLLLGKVDGVKNIVNELNIDGFPYLKHLSIINNNHIKYVNSTKLFNGVKVFSNLESLFLYNLGNIEMISYGPVTLASFAKLKSIKVEMCCRLENLYSFFTITFPTGAETSETLECSSYVDKFCASLETVEVSECQSLKEILQIPIDYGKVEFLKLNTLTLQSLRSFTCFYNIVERPCKPHMSGAKTTNTSLGEIANEEDEQSDKAPPLFGELDDQHTSSFCFQNLIKLVVKDCDRLTYLCSLSIASSLKKLKSLIISECSIMERIFDSKGDNVDKVCVFPKLEEIQLRKMNRLTDIWQSKVSVDSFSSLTSVNIEECNKLDKIFPSHMKGWIENLDNLKVSRCESVEMIFEINDSQERDASGGTDTNLQVILLEELPKLKQLWNIDPDGILNFKKLRTIEVGTCGELRNLFPASVAKDVSNLERMSALNCQKMVEIVASHDTSKANNDLLVFPELICVRLYLLPNVRHFYKGRHPIKCPKLKELSVNNCEELKTFFEETTEATNEEENFVFSANEVLPNLEYMEIDFNQAQNLLSKYQMHRLKELSLISGRRGNILYQFPYKMPNLEKLNLTSFWPEESVPRANISRQKRLGIVLQLKQLCFFYSWMEDLGLERDQVLQRLELLRLEYCDKLSTLAPSSVSLTYLTHLELKRCLRLRNLMASSTAKTMVQLKTLKVIGCTRIEEIVRKEGIEENKVVKIVFSKLITIELVGLEDLTCFCKYNECEFEFPSLEILMVRECPKMKKFSEGEAIAPKVKNIFGREGDGKAKWMWEGDLNATIQKVYTDKSLLKKIFKIIFDECMCHVTFFPPDFTEQLLNDNHWVQQNRFGYLKRLIACECESVVDVIPSHLLSCFHNLEELEVVNCSNAEVIFNINDENRLTKASGIFRLKSLYLSNLPKLEHIWKKDPEGIIGLQLLKEVRVQSCERLENLFPASTAKDLTRLGLLEVTDCKELAEIFRKDEKSGEGEGEGKTQHSLFPRLTTLILGQLPRLKYSINSFQHQEIILSWSQRDIQELCLGSRTIPNSCLDFLESLTLCDCQSLSDVLLPFNLLSFLTNLRTLTVKNCNFVKTIFDVTCATQDSEITSVGPALPFSLKKLTLSDLQNLKNVWSEDPHGILSMHHLQELLVDNCKGLKSVFPASIAKNLLELENLRVEDCEGLMTVVAEDNTDPTVEVTFTCPSVRSLELRGLPKFKYFYYCSPNSDLESHTKDQLATGKCLAVGENGVEMILRGEFERNFLYNLKVLTLYFSSDSDVFPHGILRQVPNIEKFVLKELHLESLEELVCIGLENCWTEPFVRNLETFKVISCGSLKSLVACTLSFSNLICLKVEDCNSLSYLFTSSTAKSLGKLKSMEIKNCYSIEEIVSKENGEESDEDEIMFSQLSFLNLESLFELRRFYKGSLSFPSLGELSVIDCHQMITLCPGTLKADRLSQVTIDYENILLETDITSTIQKTFLEKFLSDAVLPSDLLPLLPKLETLEVENCDHVKVIFDVKSAQGTVTFPLKKLTLSRLPSLENVWNKDPHGILNMSRLTSVFPISVAKDIMKLKHLVVEDCEGLMAIVAEESHENQEIILERLRVLNFKRLEKLKCFYTGNVTLSFPSLEEVHVIECSSMKTFSAVNKMNHSIKWYYAEDASPRKENDLNSAVRIISEKEAPPDTASCTFMRRRMEVRKNGRKRQKEEEEKEEEEEEEIAQCLEKKKRRRENEEMKPL >Vigun09g039900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3567108:3568940:-1 gene:Vigun09g039900.v1.2 transcript:Vigun09g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLHHHHYHHFLLLFFHLFFALSSSTETESLLRLKQSLVNADRSLSSWIPNVSPCSGTWLGVVCFNGVITGLHLSDLGLSGPIDVDALAEIRGLRTLSFINNSFSGPIPEFNKLGSIKSLLLTQNRFSGTIPNDFFAPLNSLKKLWLSGNRFSGSIPQSLTRLDLLKELHLEYNSFAGLIPNFRQQLRSLDLSFNKLEGPIPENLATFTVDSFVGNDALCGKPLVKVCEASNTSYSVASNVGDEEYGNGWGRKVIVVLMVASLAALLFLFLTRKRRVEFTPVSRSSSAEEAVEVQVPSSRGSVSDRRENKRGDIVMVNEMRGVFGLQDMMKAAAEVLGNGGLGSAYKAAMASGLCVVVKRMREMNKIGKEVFDAEMRQFGRIRHRNIITPLAYHYRREEKLLITEYMPKGSLFYVLHGDRGLSHSELTWPIRLKIVKGIARGLGFLYTEFSTYDLPHGNLKSCNVLLSDDYEPLLSDYAFQPLVNPNVAVQALFAFKTPDFVQNQKVSQKTDVYCLGIIILEVIAGKFPAQYHSNGKGGTDVVQWAHSAVSEGTEAEMIDPELSSNDTNSRNQMVKLLRIGVACTENDPDQRPKMKEAIRRIEEVQV >Vigun07g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7178043:7179096:1 gene:Vigun07g062500.v1.2 transcript:Vigun07g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSLKKLAKKVKGVGGAERDPDTLHHECLLKEYEEEKESGSGVTPTGFLALYVGDERQRYVVPTSYLSHPLFKMLLEKAYNEFGFAQRNGLVVPCTASTFQEVVNAIECNNGKFDLGKLFEDFA >VigunL060416.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:70766:71314:-1 gene:VigunL060416.v1.2 transcript:VigunL060416.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun05g247700.1.v1.2 pep primary_assembly:ASM411807v1:5:44114285:44122542:1 gene:Vigun05g247700.v1.2 transcript:Vigun05g247700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAASASQSDATSRMEGWLYLIRFNRIGLQFSRKRYFVLDGNLLRSFKSVPLSNNQDPVRSAIVDSCIRVMDNGRESVNRKVFFIFTLYNTSNHNDQLKLGASRPEEAARWIQSFHEASLKGAPDGGDDAVGCSKRRWQSFRLSGCSSRSHPNSVDWTLSSADVIAPSPWTIFGCQNGLRLFKEAKDRDSGGKKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLFSDWLPWGMQRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNKGKQSVVKHMLAIDWKCWRSYLKSSSAHSITIRMLGRVAALRELFKARLGNCSSSDYSSGELTTKSGLHGKEGGITNSDTEIQADENNRDNSVGEVDQTQSEHASLVTLNDADDEFYDVPEPSDYDESENGWMTECSHQRSQDLRHQKFSTAANFVKRLDSVAVQKRGYVDLQEMVREDSSITCSYGSTLPQDPTCTLPCSLTEADPSTFLIRGENYLDDRLKIKAKGTLMQMVAADWVRSDKREDDLGGRPGSIVQKYATQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDAPLLESFIKGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFQGKNYLELGVDIGSSTVARGVVSLVLGYLNHLVIEMAFLIQGNTREELPEFLLGTCRLNHLDATKAVCLKP >Vigun05g247700.2.v1.2 pep primary_assembly:ASM411807v1:5:44114285:44122542:1 gene:Vigun05g247700.v1.2 transcript:Vigun05g247700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAASASQSDATSRMEGWLYLIRFNRIGLQFSRKRYFVLDGNLLRSFKSVPLSNNQDPVRSAIVDSCIRVMDNGRESVNRKVFFIFTLYNTSNHNDQLKLGASRPEEAARWIQSFHEASLKGAPDGGDDAVGCSKRRWQSFRLSGCSSRSHPNSVDWTLSSADVIAPSPWTIFGCQNGLRLFKEAKDRDSGGKKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLFSDWLPWGMQRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNKGKQSVVKHMLAIDWKCWRSYLKSSSAHSITIRMLGRVAALRELFKARLGNCSSSDYSSGELTTKSGLHGKEGGITNSDTEIQADENNRDNSVGEVDQTQSEHASLVTLNDADDEFYDVPEPSDYDESENGWMTECSHQRSQDLRHQKFSTAANFVKRLHDLAVQKRGYVDLQEMVREDSSITCSYGSTLPQDPTCTLPCSLTEADPSTFLIRGENYLDDRLKIKAKGTLMQMVAADWVRSDKREDDLGGRPGSIVQKYATQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDAPLLESFIKGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFQGKNYLELGVDIGSSTVARGVVSLVLGYLNHLVIEMAFLIQGNTREELPEFLLGTCRLNHLDATKAVCLKP >Vigun03g349450.1.v1.2 pep primary_assembly:ASM411807v1:3:54980208:54981401:1 gene:Vigun03g349450.v1.2 transcript:Vigun03g349450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIGKATTGRAEGSRTETIVLVDQGSSADVIFWDAFVGLQISRDQIQPFDEILVGFSGDQVEVRGYVDLRTTFTNEHATKTIVIRYMMLNAPWSYKLGAVVSTTHLKPKFLTEGKVVTMKVDQEVARKCYENSMRTRRRRTNGARSKDARGPLWPKTCRGAPNSRDRSWENCSDQS >Vigun03g034100.1.v1.2 pep primary_assembly:ASM411807v1:3:2623530:2625416:1 gene:Vigun03g034100.v1.2 transcript:Vigun03g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALTSVPLSSSSLNVPHSPSKLPSNFLSSPIGAFSRGPTFLFAEPRYHFHPKGNGSKFSIAAQTLDFSDSFFEGGFGSEDDPNSPGGTGFTAVEEKEEPPCPPGLRQYETMMVLRPDMTEDERLALTQKYEEILVAGGGMYVEVFNRGVIPLAYGIKKKNKAGETNTYLDGIYLLFTYFTKPESVKPLEETVLADDNVIRSMSFKVRKRKY >Vigun11g151700.2.v1.2 pep primary_assembly:ASM411807v1:11:36184508:36188723:-1 gene:Vigun11g151700.v1.2 transcript:Vigun11g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEKEYPLIDSNFQSFCASHAIFSVQDFLLHDIDALIALADNHCASQTLKQGIDQLLSIIDARHPPLLNGLQLLEEAQRNKHVLSTGCEGIDALLGGGLREGQLTELVGPSASGKTQACLLSASTVAKHKGSVIYLDTGNSFSPQRIARFVGQSSGYDSDNQAEHILKKVLDRIICHSVFDIYQMLDVLRQLKINLRSETVKSNQHVRLLIVDSISSLITPILGGRGPQETKRQKIRYLPGKSIYFFIEGML >Vigun11g151700.1.v1.2 pep primary_assembly:ASM411807v1:11:36184508:36188723:-1 gene:Vigun11g151700.v1.2 transcript:Vigun11g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEKEYPLIDSNFQSFCASHAIFSVQDFLLHDIDALIALADNHCASQTLKQGIDQLLSIIDARHPPLLNGLQLLEEAQRNKHVLSTGCEGIDALLGGGLREGQLTELVGPSASGKTQACLLSASTVAKHKGSVIYLDTGNSFSPQRIARFVGQSSGYDSDNQAEHILKKVLDRIICHSVFDIYQMLDVLRQLKINLRSETVKSNQHVRLLIVDSISSLITPILGGRGPQGHALMISAGYLLKKLAHEHNIAVLVTNHVVRGEDGISKPALGESWKSVPHVRLLFSQDCGSNVCNISMLKHPSMASGRAASSTMFL >Vigun06g004200.1.v1.2 pep primary_assembly:ASM411807v1:6:2027061:2035882:-1 gene:Vigun06g004200.v1.2 transcript:Vigun06g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKALATSPLSGITVLVVVLAFLLRFYTKFDTTERLFSGEELALFNGSDEGLPILLGILGSVFDVTKGKSYYGSGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRGLSSIEVKSIVEWRDFYHKSYKYVGKLVGRYYDSQGNPTKYLKGVEAKAARGAQLLEKQKIEEAKQPSCNSRWSQDNGGEVWCDVGYPRLVQRPIEIALTGKMSKRCACFEDSQLDQPGLEVYEGCEHRATRCKV >Vigun09g172451.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34257643:34260067:1 gene:Vigun09g172451.v1.2 transcript:Vigun09g172451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWRFGIRVSSFGFGFWVWGFEFRVTGFESHFSECRISGFGLWISGLRFRVTDYELQVTGFGSHFSGVGFQVSGFGFSILGYGLRVSCYGFSVWSFGFRVSVSHLGFRISGFVFGFSGFGDFRLSISDFGFRLSGFEFRVSSFGFLVLGFGFGVSCFGFRLSGFEFRVWGFEFRVTGFGFGVWDLRFQVSGFGFRISGFGFRFSGLGFRDLVFRVSTLGFRISGFGLRVSNFEFRGSSLGFQVWGFGFRVSG >Vigun04g050800.1.v1.2 pep primary_assembly:ASM411807v1:4:4492567:4496407:-1 gene:Vigun04g050800.v1.2 transcript:Vigun04g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGTEAGSMSAGKSNGGNKNKDVAQGAIKEGSSFWKKLKIFLGCMLLSSKEKGTSGDKSKDGEGRNVRKDIVRRKRSKKPSSSNEGQEFIATSSNLRRFTFLDIQIATMNFDTRSFLGRGGFGTVFKGWVDERGNCAATPESESRIPVAVKTLNPNGFQGHKQWLAEIKYLGELYHPNLVRLVGYCMEEDKRVLMYEYMSRGSLEKYLFQRGHVRLPWRIRIKIAVDAANGLTFLHEEASRAVIFRDFKTSNILLDKDFNAKLSDFGFAKDAPVGGKNHVSTEVMGTEGYIAPEYVLTGHLTAKSDVYSFGMVLLEMLTGRRAVDQMRPTKEKNLVDWLRPRIRRKENFHYVMDPRFEGQYPIRHAYRAMRLAVECVRHDPSARPLMSEVVGELKSLLARDDGTHSGPSTSPSIPSTSLGRIHVGPSNHGGGSGSGVNKYGLRTASEPNVPRRFQASPMGQESPLTPSPHNPSVEP >Vigun07g267300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38275808:38278484:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g267300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38275808:38278496:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g267300.5.v1.2 pep primary_assembly:ASM411807v1:7:38275808:38278484:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVATALTVVASVVVVLMVLICCFRAKIFYPLFWRENPTHRVIEDILKEHGPLPTARFSYLEVKKMTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g267300.4.v1.2 pep primary_assembly:ASM411807v1:7:38275809:38278483:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIHIRPNLSNYKQMKIGFRLPHNATLLLCDKTLNARNINMSKYNGCLGYDLYYNHFTTDDDASPSSFTACKKVLLPIKDLPDANDPFTFVTGDVTINVELTDQCSDCHYRKEGQCQLDSREQFSCANKETRKMSKVATALTVVASVVVVLMVLICCFRAKIFYPLFWRENPTHRVIEDILKEHGPLPTARFSYLEVKKMTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g267300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38275808:38278496:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g267300.3.v1.2 pep primary_assembly:ASM411807v1:7:38275809:38278483:1 gene:Vigun07g267300.v1.2 transcript:Vigun07g267300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIHIRPNLSNYKQMKIGFRLPHNATLLLCDKTLNARNINMSKYNGCLGYDLYYNHFTTDDDASPSSFTACKKVLLPIKDLPDANDPFTFVTGDVTINVELTDQCSDCHYRKEGQCQLDSREQFSCANSYEETRKMSKVATALTVVASVVVVLMVLICCFRAKIFYPLFWRENPTHRVIEDILKEHGPLPTARFSYLEVKKMTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun10g081400.2.v1.2 pep primary_assembly:ASM411807v1:10:22755522:22767386:-1 gene:Vigun10g081400.v1.2 transcript:Vigun10g081400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVNERIGLLQIKSYILSPGRNERNELELGSWIENRSSDCCAWNRVKCSNISTQQHVTHLFLAGLNSRGSHLINGSLFSSFQELLSLDLSSNDYQGWIGKGFPRLMKLENLKLSYNSMNGVLSDIDIQNLPRLKVLDLATNNLYGSVEGLCEIQDLIELGLNSNRFSGKIPKCLSIFRNLQVLDLSQNQFSGNFPSFIRNMTSLSYLSLYGNDLQGSFSLSTLANHSKLQVLYISSTSPKIQVETENEQWFPTFQLKSLILRSCKLNLDKGSLIPSFLQYQKELQYIDISHNKLVGAFPNWLIQNNSRLKYFSVSNNLFDGKLELSSIRQNITWLDISNNNVSGSLPKDIGAFLPVVSRLNLSTNNFEGSIPTSIGEMQKLSSLDLSHNHFSGEIPDELAIGCISLVALRLSNNLFHGNIPKFSNFTYLSQLFVNNNNLNCTLKEVFENLNGNGLMTIDISNNSVSGSIPSSIAKLSRVWVLLMGNNQLEGEIPIEFSNLVMLSVLDLSQNRLFGSISHLNPSILRFLYLQKNAFSGSIPLRFFESSSLKTLDLRDNNFSGNIPYMIDKLSELRVLSLGGNNFAGYIPIQLCQLPKITIMDLSHNKLKGSIPSCFNNISFGLEENYDSSDKSLVYSTVLLNSPIEYTGNASVSLFMPSPDYQNEDINAIVEFGTKNNIYTYKGFILEKMTGLDLSCNMLRGSIPFQIGDMQKVRVLNLSHNYLSGSIPNTFSNLARIESLDLSYNNLSGEIPFQMVKLNNLAIFNVSFNNLSGVAPSTGQFGTFVEDSYLGNPFLCAELLTHTCEASPPSQLNHIEGKETMIDMVAFYWTFTSSYVVILMGFTTVLCINASWRMTWFYFIAKIIHTCFPTLPLY >Vigun03g080300.1.v1.2 pep primary_assembly:ASM411807v1:3:6702490:6707070:-1 gene:Vigun03g080300.v1.2 transcript:Vigun03g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSLCLVSFWVLLPLCLLSVSSQNIPESGQQRASLPPRGWNSYDSFCWTVSEDEFLESAEIVSERLKAHGYEFVVVDYLWYRKKVKGAYPDSLGFDVIDEWGRMVPDPGRWPSSTGGKGFKEIANKVHSLGLKFGIHVMRGISTQAVNANTPILDTTKGSAYQESGRVWRAKDIAIPEKACAWMPNGFMSVNTKLAAGRAFLKSLYEQYASWGVDLVKHDCVFGDDLELNEISYVSEVLSELNRPIVYSLSPGTSVTPAMAKSVSGLVNMYRITGDDWDYWDDVRAHFDVTRDFSAANMIGGKGLKGNSWPDLDMLPFGWLTDQGSNNGPHRFSRLNLEEKRTQMTLWSLAKSPLMYGGDMRKIDAITYELITNPTLLEINFFSSNNMEFPYITSSNNLKHARGKKQRPKQGTETSSYTHSLGLTGCSESKASGWSVESHNHNQDLETICWKKDAENQHQAPFCVNKRELQLRLDGGSMHHQDYRGKHQLVATDSMKFCLDASPKRKVTSKEFKRGTFSPCRWDSNQIWELHSNGTMVNSYSGLCATVKFVEAEVNNAGGIRSWIATGRKGEIYLAFFNLSNEKTEIYAKTSYLGKILPDRRIESCKGTEVWSGKNVITTQGTISMDVEIHGCALFVLNCN >Vigun03g080300.2.v1.2 pep primary_assembly:ASM411807v1:3:6702490:6707771:-1 gene:Vigun03g080300.v1.2 transcript:Vigun03g080300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSLCLVSFWVLLPLCLLSVSSQNIPESGQQRASLPPRGWNSYDSFCWTVSEDEFLESAEIVSERLKAHGYEFVVVDYLWYRKKVKGAYPDSLGFDVIDEWGRMVPDPGRWPSSTGGKGFKEIANKVHSLGLKFGIHVMRGISTQAVNANTPILDTTKGSAYQESGRVWRAKDIAIPEKACAWMPNGFMSVNTKLAAGRAFLKSLYEQYASWGVDLVKHDCVFGDDLELNEISYVSEVLSELNRPIVYSLSPGTSVTPAMAKSVSGLVNMYRITGDDWDYWDDVRAHFDVTRDFSAANMIGGKGLKGNSWPDLDMLPFGWLTDQGSNNGPHRFSRLNLEEKRTQMTLWSLAKSPLMYGGDMRKIDAITYELITNPTLLEINFFSSNNMEFPYITSSNNLKHARGKKQRPKQGTETSSYTHSLGLTGCSESKASGWSVESHNHNQDLETICWKKDAENQHQAPFCVNKRELQLRLDGGSMHHQDYRGKHQLVATDSMKFCLDASPKRKVTSKEFKRGTFSPCRWDSNQIWELHSNGTMVNSYSGLCATVKFVEAEVNNAGGIRSWIATGRKGEIYLAFFNLSNEKTEIYAKTSYLGKILPDRRIESCKGTEVWSGKNVITTQGTISMDVEIHGCALFVLNCN >Vigun09g114200.1.v1.2 pep primary_assembly:ASM411807v1:9:25069463:25071988:-1 gene:Vigun09g114200.v1.2 transcript:Vigun09g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPRRIKMRAYATKCFSSSTFLSFLHFVVLFFITSLVLVSCRSLLAPFYTPHVCRRGQPTSSLDAEKRRVSILHDERETPSPTAFFNEGDEKLDTKFVQKGTDKEYFKGQKYSELRRIEEKLAKARYSIREASKIRNLTSTLQDPDYVPQGPIYRNANAFHRSYLEMEKVFKVFVYEEGEPPLFHNGLSKDIYATEGRFINEMEKGRHYRTYDPDEAFVYYLPFSVVMLVEYVYDRGSNYNRDPLGLVVKDYIQTIAYKHPFWNRSLGHDHFMLSCHDWGPIVSSYVDLLYNNAIRVLCNANTSEGFKPSKDVSFPEIKLMDGEVTGLVGGYPPSQRTILAFFAGHLHGYIRYLLLQTWINKDQDVQVYEEIPEGMSYYTKLRSSKFCLCPSGYEVASPRIVEAIFAECVPVLISDSYVPPFSDVLNWNSFSVQVAVKEIPNIKKILMGISESQYLRMQRRVKQVQRHFMPNEPPKRYDMFHMTVHSIWLRRLNIHIQDQ >Vigun11g122000.1.v1.2 pep primary_assembly:ASM411807v1:11:32933199:32935782:1 gene:Vigun11g122000.v1.2 transcript:Vigun11g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNTNQEKSGVVGRVLALPQVLKKKVLGICRLTKEIAQDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVGATLGKGLNRTIATLAAGALGVGAHYLASLSGATGEPILIGAFVFVQAAIASFIRFFPKVKARYDYGMLIFILTFSLISVSGFREVEVLEMAHKRLSTIFIGGSACVMISIFVCPVWAGEEFHYSIAHKLEILGDFLEAFVHEYFNQPQEGESEDSKGDSKDKSFLEGYKKVLNSKSIDDSLANFAKWEPGHGKFRFRHPWDLYLKIGALSRQCAYRMEALDAHINSDIKGSQDFRSTIQELCSEMSLESSKAFKELGSSIRTMTRPSSSDTHVANAKAALKSLKSLLQSCSRKETDLLSLIPAATVASLLIDIVEITEKIADSVNNLATLTGFEVVDTDKSPKVPSQSSHCECDEAVPKTDNLQVVILIEESALAVSDNEKSNVV >Vigun11g122000.2.v1.2 pep primary_assembly:ASM411807v1:11:32933199:32934976:1 gene:Vigun11g122000.v1.2 transcript:Vigun11g122000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNTNQEKSGVVGRVLALPQVLKKKVLGICRLTKEIAQDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVGATLGKGLNRTIATLAAGALGVGAHYLASLSGATGEPILIGAFVFVQAAIASFIRFFPKVKARYDYGMLIFILTFSLISVSGFREVEVLEMAHKRLSTIFIGGSACVMISIFVCPVWAGEEFHYSIAHKLEILGDFLEAFVHEYFNQPQEGESEDSKGDSKDKSFLEGYKKVLNSKSIDDSLANFAKWEPGHGKFRFRHPWDLYLKIGALSRQCAYRMEALDAHINSDIKVYIHHHSNTKISLNSISM >Vigun08g034900.1.v1.2 pep primary_assembly:ASM411807v1:8:3346894:3350057:1 gene:Vigun08g034900.v1.2 transcript:Vigun08g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDATLKAYVESHGTGGNWIALPKKAGLRRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICNLYGQMGSRWSAIASKLPGRTDNDVKNYWNTKLKKKIMVAGKVSLKTLTENDTLLSTSTPLPTNQKNSPFNASLNQLPLVLPILETNDSNAFTINQKNIISFDQTKHHGLMHGISQIGASSRFKNNTNESNSNSHMVSLSQEGSSSISDSSSIAVNYNKCVVSQPQQQEQHQQQHVIDESMEMLMDFGFGFPYDFSNCHYERVGETFAPEWVDFSYADIKPH >Vigun04g064600.1.v1.2 pep primary_assembly:ASM411807v1:4:6960363:6962586:-1 gene:Vigun04g064600.v1.2 transcript:Vigun04g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQYRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGIVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun09g124300.5.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706581:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMGASPHSVEARHRLPASIEDLYKRRLPRSKAKDADKPFHLSVQDRSSRCKLSFLKLILLITISGTFVTLLYSPEVYNTNHLSTSGSRWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.9.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706483:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.7.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706582:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWCSEDLYKRRLPRSKAKDADKPFHLSVQDRSSRCKLSFLKLILLITISGTFVTLLYSPEVYNTNHLSTSGSRWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.8.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706483:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.4.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706581:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMGASPHSVEARHRLPASIEDLYKRRLPRSKAKDADKPFHLSVQDRSSRCKLSFLKLILLITISGTFVTLLYSPEVYNTNHLSTSGSRWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.6.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706178:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMGASPHSVEARHRLPASIEDLYKRRLPRSKAKDADKPFHLSVQDRSSRCKLSFLKLILLITISGTFVTLLYSPEVYNTNHLSTSGSRWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun09g124300.2.v1.2 pep primary_assembly:ASM411807v1:9:27701297:27706483:-1 gene:Vigun09g124300.v1.2 transcript:Vigun09g124300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMGASPHSVEARHRLPASIEDLYKRRLPRSKAKDADKPFHLSVQDRSSRCKLSFLKLILLITISGTFVTLLYSPEVYNTNHLSTSGSRWIWGGSDPRYISNVDTDWDDILKITDKLTAQDELQGIGLVNFNKTEQVHWEHHIPDATHVVLPLEYAARNVTWESLYPEWIDEEEETEVPVCPSLPSIRPPGIRLNLIAVKLPCRNGGNWSRDVARLHLQLAAAGLATSFKGNYPVYVLFITNCFPIPNLFSCKELVGREGNVWLYKPNLSVLREKVQLPVGSCELALPMRGKELAYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDFVILVDETISGYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEKKQMKTLLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPELLQQFCLLKSKQKAQLEWDRRQAEIANYTDGHWRRKVKDRRLKKCIDNLCNWKSMLRHWGETNWTDDEFYTPTPPTITTASLSAL >Vigun07g034500.1.v1.2 pep primary_assembly:ASM411807v1:7:3318354:3318715:1 gene:Vigun07g034500.v1.2 transcript:Vigun07g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNLLWDDMDVLVAEIYQEVSICIALCIISMKTEVKLQLFPATQKIYVADK >Vigun09g166200.1.v1.2 pep primary_assembly:ASM411807v1:9:33394687:33400625:-1 gene:Vigun09g166200.v1.2 transcript:Vigun09g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYIFGIVVPLLVILFRSRSSIKRRGLPADVGGERGLTLRNHRFTTPLTSAWEGVTTLAELFERACSEHHDRLLLGTRELITREIEVSRDGRSFEKLHLGDYRWLTYGKVFQSVTSFASGLALLGHRREERVAIFADTRDRWFIALQGCFRRNVTVVTIYSSLGEEALCHSLNETDVTTVICGRKELTSLVHISGQLDSVKRVICMDDKIPSDLSAQTGWEITSFSDVERLGRDNPVEADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSSVMIIVPNLGPKDVYLAYLPMAHILELVAENLIAAVGGSIGYGTPLTLTDTSNKIMRGKRGDATALMPTVMAAVPAILDRVREGVLKKVNAKGGMSKKLFYLAFARRLHALNGGWFGAWGLEKALWNLLVFKKVQAILGGRIRFILCGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSDFDDTSVGRVGPPIPCSYIKLIDWPEGGYSTNDMPMPRGEIVVGGANVSLGYFKNEEKTRESYKVDERGVRWFYTGDIGRFHKDGCIEIIDRKKDIVKLQHGEYVSLGKVEAALSTSPYVSNIMLHADPFHSYCVALVSVSRPALDQWASYQGIAYCSFSELCEEEETVNEVHASLVKEAKKARLEKFEIPAKITCLSEPWTPESGLVTAALKLKREVIRKTFQEELAKLYASD >Vigun09g078000.1.v1.2 pep primary_assembly:ASM411807v1:9:9028132:9030612:1 gene:Vigun09g078000.v1.2 transcript:Vigun09g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVVEQSSSAAATASSGNTVRPKLQKYALRSANKSKEEKPDNTPNCSNPYESKRVRSVGASSVSKSVSVVDFSGKDKSGSSTKPPRRLSIPTKAPATSRPKLGGNITPISETRTGRSALGQSRSKTPISEISKTTKLNLLTSASYWLNQIKLSETATNHSISLAFFKLALEAGCEPLGPMQEGLKSYVRRHQLDDGLGETVKALFESYNISESMEQLQLSETVSHVPEEGTRSSDDDVHSSSSTTESRKLRPRSLNSTKLTPSTESTKKETCQKSNPGSRLRGNFSTNTTTPRPSLDKRNNRLVKKCDKPSKQESNKVKKQEKKSDVKEAAATAPKSNKENVQTLGAEEISVTEVV >Vigun11g055066.1.v1.2 pep primary_assembly:ASM411807v1:11:10247626:10248496:-1 gene:Vigun11g055066.v1.2 transcript:Vigun11g055066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFPWLTVRWSNSVQMHGDCSSLLQELAVVAAGLEAAALSACCRWLLQVLLRCCSRGSGAAGELQVHGNGDAVVQKVGARRGASSSRCTSMVDGMVKVMKMVQV >VigunL087801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:168212:170283:-1 gene:VigunL087801.v1.2 transcript:VigunL087801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun07g214600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33575888:33576613:1 gene:Vigun07g214600.v1.2 transcript:Vigun07g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALCSFVVAVCLVMVSYSPMAANGQGLAEKTCLENLEYKDACLMLLKQADPKIVNAKTADELAQATLEWAVAKSQDAQAFLKGLSQVDNNKAIVQCANFDYDGVVASFKSALEGLKDDPQTASYDAKVAGDGPTGCDRGLASQNINNPAITALNQQIFTLSNLAFKIICKIKTD >VigunL011802.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000195.1:12341:14171:1 gene:VigunL011802.v1.2 transcript:VigunL011802.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSHASNQLAWFTGAAAFLNEVYPNRISTSQIRLGLSSSAGRRGPGCASDRHIGGGCPGFSAWYPAPRVHDIYIQLCPGDTVEARPVCFFHDMLWSRVSSSGLLALEEVVSRQEHFPARPYYNQPHRSHSIFYTDVSYSLDQVIQRKDCEIFPKGSLLQAPPNLYKVLLAFSQPPVAYVVVGIPTCSSLPPTYLRIQRSPLAVVLTLWLRESPGSRFMDGFSQRNTPPVLIRSGPWSSVSGLPADKISEIDQPAGWAGRTCDGSQRSNMTTEVYVATILFYGSGRAVISSARFFLVGVVGEGLTFLTHIQSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFALPNSLLDNKAETPDPTFISFE >Vigun06g215700.1.v1.2 pep primary_assembly:ASM411807v1:6:32685145:32687620:1 gene:Vigun06g215700.v1.2 transcript:Vigun06g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEPNWPQHRGGGAGFMGKVPFSNPNPNSNPKLANSKRTQSASDDASSINRRSNDAGVSHSQYVCFSISTCTKKELNDIKNRLVSELEQVRKCRNRIESGELQPGQSSNGHPKKPSSKKVSGNKRPLPLNSVKELKRSHSEVGNSMKVCSQILQKLMKHKHGWIFNVPVDVVGMGLHDYYDIIKQPMDLGTVKSNLSKNVYSTPADFASDVRLTFNNALTYNPKGHDVYAMAEQLLARFEELYRPMRDKSEGWIRQDQDYDEELQASSWSHVEPERVKKKENPIPPPKLQQEPPQPPASSSNPPLVQSPVRTPSPMRAPPVKPLKQPKPKAKDPNKREMSLEEKHKLGLGLQSLPAEKMEQVVQIIRRRNGHLKQDGDEIELDIEAVDTETLWELDRLVTNYKKMVSKIKRQALMGNINNNNEQSSRGNGELAASEKVDGGAVEVKKPKKVEAGDEDIDIGDEMPMSMFPPVEIEKDKDVAGGHASSSSSSSGSSSSDSSSSSDSDSGSSSGSDSEADNGHL >Vigun07g261100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37742412:37743224:1 gene:Vigun07g261100.v1.2 transcript:Vigun07g261100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYPHFIATEKAAMDAGLLLPSSSSSSRSPSVIFTQDDLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVDRIGELLRQGKLKDIVGIPTSKITHEQALSLGIPLSDLDSHPVVDLAIDGADEVDPFLNLVKGRGGSLLREKMVESACKKFIVIVDESKLVNYVGGSGLAMPVEVIRFCWKFTAERLRKLFEEAGCVAKLRTFGENEEPYVTDNGNFIVDLYFERSIGDLKAASEAILQLAGVVEHGMFLDMATTVIVAGELGLTVKNK >Vigun09g101250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:17066263:17066427:1 gene:Vigun09g101250.v1.2 transcript:Vigun09g101250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGWQLVFSFLCGYFLSNFFLLQQICHQDLKLKNTLLDDNSVHSSKFVIFVK >Vigun05g124900.2.v1.2 pep primary_assembly:ASM411807v1:5:13950476:13954876:1 gene:Vigun05g124900.v1.2 transcript:Vigun05g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEVKLQGGDLHAEVVTEPNPVGFDNMEEEQTLSVAEREMWLNGDQDEILGVNEASMFYADFPPLPDFPCMSSSSSSSSAPPLPLKTMTCSTTTTATTTTSSSSSSSSWAVLKSDVEEDVEKNHGNGYMHDRFDATALSSTASMEISQQQNPDPGLGGSVGECMEDVMDTFGYMELLEANDFFDPASIFENEESENPLIEFGTLEEQVSLQEGQHEMVHQQENIEGDRKVPLCEGIKGEEDGGGVVDDEMSNVFLEWLKSNKDSVSANDLRNVKLKKATIESAAKRLGGGKEAMKQLLKLILEWVQTSHLQNKRRKENSGNVSNPLQAQIQEPSDQNNQNNQNTHTSGSFAPESNSCFNNQTPWLNPQTFGTDQAPIMVPSQPYSQPMVGYAGDPYTGGSASNNITATHNHNHNNSPYQPGTEQYHMLESAPSWPHSQFNVPSPYSQSYGDNGLFTPGGFGGYGNNQYPYQFFHGPGDRLMRLGPSATKEARKKRMARQRRFLSHHRNHNGNPLQNQGSDPHTRLGTDNCTTGLVAPHQANSAAANWMYWQAMAGGAAGPLPPVVSADPLAGQTVVDRSTMHTHNSHQNRAASDRRQGWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYSTRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCGKYMIRGVKVRQQGVKPETKKAGKSQKNQTGTNASNTAGTAANNGRSSPPKPKAEKKVAN >Vigun05g124900.1.v1.2 pep primary_assembly:ASM411807v1:5:13950476:13954875:1 gene:Vigun05g124900.v1.2 transcript:Vigun05g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEVKLQGGDLHAEVVTEPNPVGFDNMEEEQTLSVAEREMWLNGDQDEILGVNEASMFYADFPPLPDFPCMSSSSSSSSAPPLPLKTMTCSTTTTATTTTSSSSSSSSWAVLKSDVEEDVEKNHGNGYMHDRFDATALSSTASMEISQQQNPDPGLGGSVGECMEDVMDTFGYMELLEANDFFDPASIFENEESENPLIEFGTLEEQVSLQEGQHEMVHQQENIEGDRKVPLCEGIKGEEDGGGVVDDEMSNVFLEWLKSNKDSVSANDLRNVKLKKATIESAAKRLGGGKEAMKQLLKLILEWVQTSHLQNKRRKENSGNVSNPLQAQIQEPSDQNNQNNQNTHTSGSFAPESNSCFNNQTPWLNPQTFGTDQAPIMVPSQPYSQPMVGYAGDPYTGGSASNNITATHNHNHNNSPYQPGTEQYHMLESAPSWPHSQFNVPSPYSQSYGDNGLFTPGGFGGYGNNQYPYQFFHGPGDRLMRLGPSATKEARKKRMARQRRFLSHHRNHNGNPLQNQGSDPHTRLGTDNCTTGLVAPHQANSAAANWMYWQAMAGGAAGPLPPVVSADPLAGQTVVDRSTMHTHNSHQNRAASDRRQGWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCGKYMIRGVKVRQQGVKPETKKAGKSQKNQTGTNASNTAGTAANNGRSSPPKPKAEKKVAN >Vigun03g120800.1.v1.2 pep primary_assembly:ASM411807v1:3:11270502:11272763:1 gene:Vigun03g120800.v1.2 transcript:Vigun03g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHQPCNRMDWDKLGYDVIPTDYMYIMKSNKDGTFSDGALVPFGTVQIEPHSSVLNYGQGLFEGMKAYRRADGGVQLFRPEENALRMQRGAERLLMVAPSVQQYIEAVKQVVTANKSWVPPYGKGALYIRPLLFGSGSVMGIAPAPQCTFLIYTNPICNVYKGRTSPLNLLIDDRIPRAFPGGTGGVKNIGNYSPVFEVTREAKAKGFSDVLFLDAMERKYVEEVSSCNAFMVKGNVITTSPTLGTILPGITRNTIMQLARDLGFQVEERKFSVDEVIEADEVFCTGTAVGISEVGSVTYKDMRVEFKTGTNTVTQKLYDFITGIQTGVLEDQKGWVVKID >Vigun03g286150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46808472:46810147:-1 gene:Vigun03g286150.v1.2 transcript:Vigun03g286150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWACLGLCMCTKGVFLLHFFGYRQEHCSLRKTFCRTLFLSNDKCFCSHSTCLVTNKLLSNHAMSKWCVALVTLLVGAVLTFFLLMNHDT >Vigun03g286150.2.v1.2 pep primary_assembly:ASM411807v1:3:46808472:46810147:-1 gene:Vigun03g286150.v1.2 transcript:Vigun03g286150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWACLGLCMCTKGVFLLHFFGYRQEHCSLRKTFCRTLFLSNDKCFCSHSTCLVTNKLLSNHAMSKWLSDTRNE >Vigun03g238550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39798123:39799167:-1 gene:Vigun03g238550.v1.2 transcript:Vigun03g238550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSSYPSRNPSQKGHKKSSSNSRSSGKPGVHHSRIGSVKNNTTNYANNGSIHNFSSVVDNYIRTTRLGMQNSTLSYLASSASPTFSSIVDNYIRTSHSGMQNSTLSYLASYASPTFSSTMDNYIRSTHSGMQNSELSYLSYASRIENYMKSTRMVHSRISSFSSRVENDIKSTLSSDSYPS >Vigun05g006300.2.v1.2 pep primary_assembly:ASM411807v1:5:552141:554204:-1 gene:Vigun05g006300.v1.2 transcript:Vigun05g006300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWWKVMEEWKPSFVMVLVQFAYAATSVLAKLAAHDGMSMRVLTAYRLIFGAAFSLSLALIFERKNRPKLTWRVLWMSFFCGLFGYEELNVRSTGGQSKVLGTILGITGTMLLSFFKGTKINVWNLDVNLLHANHTTSRAHSKAEWVGILSGMGSCLSFSIWLIIQVKVSKQYPRHHSGSALMNLMGALQATALALCVDKDRSQWKLGLDIRLLTALFTGIVSSGFAIIATAWCVRKRGPLYASVFNPLCLVLVAIAASLLLQEQLYLGSVIGGVLIVCGLYMVLWGKSKDMKTVTPFVSSENTEEFVVSEVVVVSKTENSESNVVITKARDESLEGR >Vigun09g167900.2.v1.2 pep primary_assembly:ASM411807v1:9:33642585:33651395:1 gene:Vigun09g167900.v1.2 transcript:Vigun09g167900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKENLLARLKELQIPFSQYEHPVVLTVDAQAQYVGHLGGGLSKNLFLKDKKSRLYVVSALAATKVDLKVLSQRLGLGKGGLRMAPEEALGEVLQVPLGCVTPFALVNESARDVSLLLDQGFKTQEHCFFHPLSNDMSISLKAGDLDKFLKSIGRNPSYVDLEANPPVGKDQPPDLAALVPSGSVVLPDQSQKQSSQIPKDASNVSVGNGTSANSAKVVKSSDGKSTKGTSTPVKNVNSSGSVADVGQFVEEILQKTSQLLLSEVKEENIKLHGEQLGTVVSDKLQKNLISEFKNLAMIFKNTAYTEGFHAGTHYRPPLL >Vigun09g167900.1.v1.2 pep primary_assembly:ASM411807v1:9:33642585:33648109:1 gene:Vigun09g167900.v1.2 transcript:Vigun09g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKENLLARLKELQIPFSQYEHPVVLTVDAQAQYVGHLGGGLSKNLFLKDKKSRLYVVSALAATKVDLKVLSQRLGLGKGGLRMAPEEALGEVLQVPLGCVTPFALVNESARDVSLLLDQGFKTQEHCFFHPLSNDMSISLKAGDLDKFLKSIGRNPSYVDLEANPPVGKDQPPDLAALVPSGSVVLPDQSQKQSSQIPKDASNVSVGNGTSANSAKVVKSSDGKSTKGTSTPVKNVNSSGSVADVGQFVEEILQKTSQLLLSEVKEENIKLHGEQLGTVVSDKLQKNLISEFKNLAMIFKNTAYTEGFHAGTHYRPPLL >Vigun03g207700.1.v1.2 pep primary_assembly:ASM411807v1:3:33991000:33993005:-1 gene:Vigun03g207700.v1.2 transcript:Vigun03g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGSISMKLLVLLHLAILCSSQDFDFYYFVQQWPGSYCDTQNSCCYPTTGKPAADFGIHGLWPNNKDGSYPSNCDSNNHFQQSQISDLTSNLQRNWPTLACPSDSGVQFWAHEWEKHGTCSESLLKQHDYFEAALDLKQRANLLGALTKAGIEADGRFYSLSSITGAIKNAIGYTPYIECNVDASRSSQLYQVYLCVDTSASGFIECPVFPKGRCGSQIEFPTF >Vigun07g117850.1.v1.2 pep primary_assembly:ASM411807v1:7:21834692:21835544:1 gene:Vigun07g117850.v1.2 transcript:Vigun07g117850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGGRLEGVVKEVESFKGGDVEERRQNDLVKEVEYEGEGLEVLEGSKGGGNEAREVVLGEVKVTEAGKEGECFGEEVLGEVEVEERGEIFTLAQVRVRAWVKHKNENVNFSFSDWCLSPILCLRSIGY >Vigun11g123500.1.v1.2 pep primary_assembly:ASM411807v1:11:33089708:33090966:-1 gene:Vigun11g123500.v1.2 transcript:Vigun11g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLVFAAVARRLEGKVALITGGASGIGESTARLLAKHGAKVVIADIQDELGHSVSKDIDSSIYVHCDVTKEEHVERAVDTAVSRFGKLDIMHNNAGTIGAWNPSIMHNKKSDFEEVINVNLVGAFLGMKHAARVMAPFRRGSIIATASVSGRLGGVASHAYTCSKHGIVGLVRNAAVELGPLGIRVNCVSPYAVPTPMSRNFLNTDDEGIAALYSNLKGVTLKAQDVAEAVVYLASDESKYVSGHDLVVDGGFSVMNAGLCAFGSSV >Vigun02g112100.1.v1.2 pep primary_assembly:ASM411807v1:2:26625245:26630303:-1 gene:Vigun02g112100.v1.2 transcript:Vigun02g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKFRKLYSCVVVVLPLWLLWFGGSAMAASEGGSSSRELDQTPTWAVAVVCTVFILVSITLEKSLHKVGTWLGKKQKKALLEALEKVKAELMILGFISLLLTFGQSYIVKICIPQKLADNMLPCPYKYKDAEKASDNGDKHRRKLLSYERRYLAADTTSFKCSNEGYEPLLSVNGLHQLHILIFFLAVFHVLYSAITMLLGRLKIRGWKAWEAETSSHNYEFASAASRFRLTHETSFVRAHADLWTKIPIFFYIRCFFRQFYRSVTKTDYQTLRNGFITVHLAPGSKFNFQKYIKRSFEDDFKVVVGVSPVLWASVVVYLLINVNGWRTTIWAAAIPIVIILVVGTKLQAILAKMAIEITDRHAVVQGIPLVQGSDKYFWFGQPQLVLHLIHFALFQNAFQVTYILWIWYSFGVTNCFRNDYRLATIKVALGIVMLCLCSYITLPLYALVTQMGSRMKTAIFDEQTNKALRNWHMAVKKKQRVVKLGKSNAESVAGSPTPSTSAVHPSGPTLHRFKTTGHSTRSPSYEDQHHEYESDVELSPELSQTTSFIVRVDHADHQQLQEHEHHTEEETTSSEEAEFSFKPSDLVEKPPHNL >Vigun07g281900.1.v1.2 pep primary_assembly:ASM411807v1:7:39632824:39638693:-1 gene:Vigun07g281900.v1.2 transcript:Vigun07g281900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSYSALTLANAAYRTTAVSRSGWSHRPLTVKCTAQSLSLADNAEKFLEASKKGNVIPLYRCIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVDPGQFSSIGRYSVVGAQPCMEIVAKENVVTVMDHMEGRRSEEIAEDPLVIPRRIMEKWRPQLIDELPEAFCGGWIGYFSYDTMRYVEKKKLPFSNAPVDDRNLPDIHLGLYDNVIVFDHVEKRAYVIHWVRLDRYSSAEEALSDGRNQLETLVSRVHDIITPKLVAGSIKLHTHLFGAKLETSNMTNEEYKRAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGSILVASSPEVLTRVKKRHITNRPLAGTVRRGKTPKEDIMLEEELLKDEKQCAEHVMLVDLGRNDVGKVSKPGSVQVEKLMNIERYSHVMHISSTVTGELLDNLTSWDALRAALPVGTVSGAPKVKAMELIDELEVARRGPYSGGFGGISFNGDMDIALALRTIVFPTNARYDTMYSYKDHRNKRREWVAHLQAGAGIVADSDPSDEQRECENKAAALARAIDLAESSFVNKQ >Vigun09g114600.1.v1.2 pep primary_assembly:ASM411807v1:9:25132904:25138793:1 gene:Vigun09g114600.v1.2 transcript:Vigun09g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRKPFALVEPPIDLEPSESLFQIRFTKEVFRDYNDYLNRLNQYRKRVWTCKVTGKTGLTYEEALVSEKHGAEKVQQIPEELIAPALRIIQYSMLPLKDLVDYIADKLKEQLVVGSQLYGKKNERVCPCKILKVMQEDAENVSYVVAWLDGSNNVIERAEVSSQDLVQKKSPFSRNILKSFVRECTYRNAPWVLHDKLAKKHEISTDIPEELRGKVSFNNGLVVFTKKRKHGEELIKYPIDDLLVKPSPDDPVFSSRPSPSRDFNIPIHCVGDLLMVWDFFMSFGKLLQLSPYTLKDFEKAISHKDSNVALLVESHAVLFRVLIKGDDEYYAAVHDKLPKKITMISWKDYLCEFLEMIKIPKLRQYEATIKRGRYSYVDVNAKLEIFRELVNRALDTTIVREKLHEFIEQRRALGAAKREEAIEASAKRRKVKEQLKADSGSNCSENGHDLDQDANVSADNNHRIQNGSIRKNRNSKIESSRQKDALDSGIKHSNLASKMSAEKFDSELNRSAEFGKVYNRKESQKQPMGDKDQSEDSYEQRRQYFDREIEKWCVRTSFLGMDRYYNRYWWFYRDGRIFVESPESNEWGYYSSKGELDGLTSSLNCKGEREKALLKQLKKYYGRICLELQKNSKDLLHKITRDDSVVRRSTRVRAPPRKNPAKAFLRYVNKWKEE >Vigun01g204300.2.v1.2 pep primary_assembly:ASM411807v1:1:38013728:38014811:1 gene:Vigun01g204300.v1.2 transcript:Vigun01g204300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFNEPLLKMFRVDYVGNGPYLSCASSVVHHRLSSSDRFLVLSSDGLYQYFNNEEVVVHVTWFLENVPEGDPAKYLVAELLFRAAKNEWNGFS >Vigun01g204300.3.v1.2 pep primary_assembly:ASM411807v1:1:38013539:38014862:1 gene:Vigun01g204300.v1.2 transcript:Vigun01g204300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDYVGNGPYLSCASSVVHHRLSSSDRFLVLSSDGLYQYFNNEEVVVHVTWFLENVPEGDPAKYLVAELLFRAAKNEWNGFS >Vigun01g204300.1.v1.2 pep primary_assembly:ASM411807v1:1:38014382:38014719:1 gene:Vigun01g204300.v1.2 transcript:Vigun01g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVDYVGNGPYLSCASSVVHHRLSSSDRFLVLSSDGLYQYFNNEEVVVHVTWFLENVPEGDPAKYLVAELLFRAAKNEWNGFS >Vigun07g237600.1.v1.2 pep primary_assembly:ASM411807v1:7:35943596:35946641:1 gene:Vigun07g237600.v1.2 transcript:Vigun07g237600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRFPLFLVLGIVFLASVSVSLSEKPSRSKCVQTCESEKDASRVQKCQLRCKHVSERGEKEEEEERTIVPDPHPSHEERRRERKEEEKEEPRPFPPPHTREHEREEEQWKGWRRREDPEERARVRQREREMEEEMRERERKKEEERRERERKKGEKYRRREKKETWEEVEEDRGFPDSDSQTQTNPFHFMSNRFRTLFKNQHGHIRILQSFDQRSSTQLQNLRDYRVVEFESRPHTLLLPHHADADFLLLVLSGRALINFMEPDNRDPYYLDPGYAQIIPAGTTFYLVNPERNKNLRVIKLAIPVNKPGKFENFFLSSTQEQQSYLQGFSEDILEASFDSKFEDISEVLFGEERLRQRQQEGVIVELSNEKIRELSRSAESSSSKINSFEYKPFDLRSSNPIYSNKFGAFYEITPEKNPHLRELNIFLDYVDINKGGLLLPHYNSKAIVILVVNKGEANIELVGLTEQQQQKQQEVQKYRTELSKNDVFVIPAAYPVAINATSNLNFFAFGINAENNQRNFLAGEKDNVISEIPRQVFEVAFPGSGEDVEKLIKKKRESYFVAAQPQQKERGRHGRNVPLSSILGALH >Vigun09g023633.1.v1.2 pep primary_assembly:ASM411807v1:9:1905672:1906396:1 gene:Vigun09g023633.v1.2 transcript:Vigun09g023633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILQDQTLWELTNDERKEKKKSGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDVVGVKDRQQGRSSAL >Vigun07g077800.2.v1.2 pep primary_assembly:ASM411807v1:7:10532068:10542185:-1 gene:Vigun07g077800.v1.2 transcript:Vigun07g077800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSCYVILHINLMLKDLPDGSSWTVDSFTTAFVSKLKSVAGEIFGLKSIAAYRSGLEINTNVTNNDAEEGLRQTLIAGKPLRIANKNLIDYIFLRSLEVAQSYDLPMQIHTGFGDKDLDLRLSNPLHLRAVLEDKRYSKSRIVLLHASYPFSREASYLASVYSQVYLDFGLAIPKLSVHGMISSMKELLELAPLNKVMFSTDGYAFPETFYLGAKKSREVVFSVLRDACIDGDLSVPEAVEATKDIFARNAIHFYKISSVNSVINSHSNLSQNLSGDLDIDVSLVRVMWVDGAGQHRCRAVPKKRFNDVVVKNGVGLAFAVMGFSSHMDGPAEGSGLTAVGETRLVPDLSTLRRIPWNKKDEMVLADMCVKPGEAWEYCPRDVLRRASKILKDEFDLEMNAGFENEFILLKMLKREGKEEWVPFDSSPYCSASGFDAASPVLHEVVDSLHSLGIAVEQIHGEAGKGQFEVVLKYTICTKAADNLIFTREVVRAIARKHGLLATFIPKYALDDLGSGSHVHLSLWRNGQNVFMGSGTSSKNGISTLGREFMAGILQHLPSILAFIAPLPNSYDRLQPNTWSGAYLFWGNENKEAPLRASSPPGTLDGLVTNFEMKSFDGSANPYLGLAAILAAGIDGLRRHLPLPEPVDTNPNPETLQRLPASLSESLDALHKDDFLKEFISEKLLTAIKAIRKAEIEHYTKHKDAYKELIHRY >Vigun07g077800.1.v1.2 pep primary_assembly:ASM411807v1:7:10532068:10542185:-1 gene:Vigun07g077800.v1.2 transcript:Vigun07g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSELRKAVEEVELVDAHAHNIVSLDSNFSFIHAFSEANGEALSFSPNSLSFKRNLRDIAKLYGSEISLQGVEEYRRVSGLQSITSSCFKAARITAILIDDGLTFDKKHDVEWHKNFIPFVGRILRIERLAEEILDEDLPDGSSWTVDSFTTAFVSKLKSVAGEIFGLKSIAAYRSGLEINTNVTNNDAEEGLRQTLIAGKPLRIANKNLIDYIFLRSLEVAQSYDLPMQIHTGFGDKDLDLRLSNPLHLRAVLEDKRYSKSRIVLLHASYPFSREASYLASVYSQVYLDFGLAIPKLSVHGMISSMKELLELAPLNKVMFSTDGYAFPETFYLGAKKSREVVFSVLRDACIDGDLSVPEAVEATKDIFARNAIHFYKISSVNSVINSHSNLSQNLSGDLDIDVSLVRVMWVDGAGQHRCRAVPKKRFNDVVVKNGVGLAFAVMGFSSHMDGPAEGSGLTAVGETRLVPDLSTLRRIPWNKKDEMVLADMCVKPGEAWEYCPRDVLRRASKILKDEFDLEMNAGFENEFILLKMLKREGKEEWVPFDSSPYCSASGFDAASPVLHEVVDSLHSLGIAVEQIHGEAGKGQFEVVLKYTICTKAADNLIFTREVVRAIARKHGLLATFIPKYALDDLGSGSHVHLSLWRNGQNVFMGSGTSSKNGISTLGREFMAGILQHLPSILAFIAPLPNSYDRLQPNTWSGAYLFWGNENKEAPLRASSPPGTLDGLVTNFEMKSFDGSANPYLGLAAILAAGIDGLRRHLPLPEPVDTNPNPETLQRLPASLSESLDALHKDDFLKEFISEKLLTAIKAIRKAEIEHYTKHKDAYKELIHRY >Vigun03g099700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8530820:8533605:1 gene:Vigun03g099700.v1.2 transcript:Vigun03g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRVLESEVVRIVEKCSTLNHAKQVHAHIYRNNLHQCSYVITKLLRLVTSLTHVPLHSYPRLIFAQVHTPNPFLWTALIRAYAIRGPLSQALRLFCSMRKRRITPVSFTFVALFAASGAAQDITLGAQLHAQTLLVGGFHSDMYVNNAMIDMYVKCGFTRCARKVFDEMPERDVVSWTGLIVAYARRGDMKAAQDLFDGLPVKDMVVWTAMVTGYAQNGMPENAVEIFRRLVDEGVEIDEVTLVGVISACAQLGASVYAKWIRDIAESSGFGDGSSVLVGSALIDMYSKCGNVEEAYNIFKGMRERNVFSYSSMIVGFAIHGQVHAAMKLFYDMLETDVKPNHVTFVGVLTACSHASLVDLGQQIFATMEKYYGVAPTPQLYACMADLLGRAGYLEKVIRLVERMPMKPDGAVWGALLGASYVHGNPEVAEIASKHLFELEPDNMGNYLLLSNTYASVGRWDDVSRVRKWIREKNLKKDPGCSWVEGKNGMIHKFAAGDVKHPQINEIKKELDELLERLKGIGYQPNLSSVLYDVNDYEKRLLLMSHSEKLALAFGLLSTDAGSTIKIMKNLRICKDCHIVMCGASRLTGRKIVVRDNTRFHHFFNGACSCRNFW >Vigun04g194400.2.v1.2 pep primary_assembly:ASM411807v1:4:41894119:41905047:-1 gene:Vigun04g194400.v1.2 transcript:Vigun04g194400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ARF MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYDEDMVYPEHLCNFWRGSL >Vigun04g194400.1.v1.2 pep primary_assembly:ASM411807v1:4:41894119:41905047:-1 gene:Vigun04g194400.v1.2 transcript:Vigun04g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ARF MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >Vigun07g102700.1.v1.2 pep primary_assembly:ASM411807v1:7:18462733:18464848:1 gene:Vigun07g102700.v1.2 transcript:Vigun07g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRSCFVFILLLVVIYVSHCNAYPLSTYNRWIMDEATGQRVKLVCANWAGHLQPMIPEGLDKRAMKDIVGELVKHKFNCVRLTYAIYMWTRYADENVNATFASLDVPEVVEGIAKNNPSVLSMTHVQAFDAVVHELGVQNMKVLLDNHVSEPMWCCDDDDENGFFHDRHFNPQEWLHGLTLAAKHFSENHVVVAMSLRNELHGPRQNLKDWYRYMSQGAVAIHKTNPNVLVLISGLNYDTELQFLRRKPLKIDLGKKMVFETHLYSWSGIGTLKLKEIWTKQPLNRICANNVKAIDYRAGFLTTGKNATPLIFTEFGFNEEGSSVEDNRFLTCLQTYLLGKDLDWGFWAFQGTYYIKKDQVQVDESFGVMDETWHHLRYPNFTDKFQLLQRKNLVNDKNEVELGSCKTKNRWVRGENATKILLHGTKKCLTAAGEGIAVVVSDCEGNISSWKFVSLSKLHLATMNQHKGQLCLQKDSNSSTIVTSKCICVKDDSLCLDDPQSQWFQLVQTNV >Vigun07g211200.2.v1.2 pep primary_assembly:ASM411807v1:7:33246119:33249962:1 gene:Vigun07g211200.v1.2 transcript:Vigun07g211200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMSFHLDMLGGISTVKLTTTTNASGVIVQPSMPKQILQILIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPMEGLLLDTVGGAISFLVSGMTARTAVVFFCFAVVKTVDDHCGLWLPGNIFHLLFQNNTAYHDIHHQLQGLKYNYSQPFFSIWDRLLGTYMPFNLVKRPGGGFEARLAAKE >Vigun07g211200.1.v1.2 pep primary_assembly:ASM411807v1:7:33246119:33249962:1 gene:Vigun07g211200.v1.2 transcript:Vigun07g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDELMGTFAPIVIYWVYAGFYHLLPPLEKYRLHTMKDEENKNLVPFSTVVKGVLLQQLVQAIVALFLLTTTTNASGVIVQPSMPKQILQILIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPMEGLLLDTVGGAISFLVSGMTARTAVVFFCFAVVKTVDDHCGLWLPGNIFHLLFQNNTAYHDIHHQLQGLKYNYSQPFFSIWDRLLGTYMPFNLVKRPGGGFEARLAAKE >Vigun01g251700.1.v1.2 pep primary_assembly:ASM411807v1:1:41793262:41795163:-1 gene:Vigun01g251700.v1.2 transcript:Vigun01g251700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFLIFVIAAPSLCATECSAFDDVVSFDVVSYGATGSGQADDTQAFVKAWKDVCGATQKSAKFVIPQNKIFMLQPLSLKGPCNPATIEVQLEGTVIAPKSIGDWKFLNEERKWIEFSDISGIVIEGGGVIDGQGAAWWNSDDVSMKPTALHLHNCKDGILRSIHHMNSPRNHISVDMCSGLEISHVNITAPMESPNTDGIDISGSSNVFIHSSTIQTGDDCIAINSGSSFVNISDIFCGPGHGISVGSLGRNGDDARVENVHVRNCTFLGSLNGVRLKTWRGGQGYARKIIFEDITVVGVKRPVIIDQNYFGLLDEKKSAVKISEVSYRNVKGTTSGERAVELSCDPNVGCNDIVLDHISITKEDGGESKASCTGAQGTCFLCNPIVSCLSNLHLV >Vigun06g206800.1.v1.2 pep primary_assembly:ASM411807v1:6:32069810:32071897:-1 gene:Vigun06g206800.v1.2 transcript:Vigun06g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIMFHHEEFAHNWEFSEEMGRHRGLWVSLVPFGFVLFFSSALIGVPSALGWSKEGHVMTCQIAQALLEAEASEAVNRLLPDYAKGNLSALCVWPDEIRHWYKYRWTSPLHFIDTPDNACSFQYSRDCHDSHGVEDMCVAGAVKNFTSQLMHYKEGISDDHRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNTIELRWFRHKSNLHHVWDREIILTALADYYDKDVSLLLQDIQRNYTNGIWVDDISSWKHCNDISQCVNDWAKESIEIACKWGYEGVKPGTTLADDYFDSRMPFVMKRIAQGGIRLAMILNKVFVASEEGFTYSSNVER >Vigun09g040200.1.v1.2 pep primary_assembly:ASM411807v1:9:3619136:3621751:1 gene:Vigun09g040200.v1.2 transcript:Vigun09g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEYSEFSGQKSAKSPEKSSFSQTCSLLSQYIKEKGSFGDLTLGMTCNAEPCGSPETSCHSATTMNLFPTNENNVTPKNLTAMDLLSPQASYRPSEEIPTLVNSSALKSANKVAKTAQMTIFYGGQVVVFDDFPADKANEIMSYARGKPQSQNNSVFTYTQSQPSFPPSLVRTSADSTAPIIPTVTITNTIHEHSQPSSRPVVCDPPIARKASLHRFLLKRKDRIASKAPYQVPNGPSTESMPPWLGLNATSPKI >Vigun03g402200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60928379:60933106:-1 gene:Vigun03g402200.v1.2 transcript:Vigun03g402200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKVFNQCLLLPMLLMNCFVLLVQCGRPHYTEGEDLVTATCKHTLHFQVCNSSLRSVPSSSNTSDLRVLAEIALNLSTAYAADTLTCVHELQSNSSAANNIYLFRCLRDCEEEFSEAIENLQDSKEALANGDCDRVDTLVSAAMSDAETCEDGFKDLQSEDNYSTSLAERNRYFSELCSNALAITKLLV >Vigun08g211300.1.v1.2 pep primary_assembly:ASM411807v1:8:37299499:37301638:-1 gene:Vigun08g211300.v1.2 transcript:Vigun08g211300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMICDHFRCSPLSILSSFSPSTRYPLSLPSYSSSSLRFKPSSSSLSSSRMEETQETHHHGRSKFIDFPFVSSAQKNLMVELVSMLEDRFHSQLLPCALPSDVQYYQSQTGTAQASLHIRSATDDSPVDFVLGSWVHSELPTGGSLDITSLSAYLNSSTDAPNFVFEMIRSSPTMLVLILDLTPRKDLVLWPDDLKTFYEDTQLDTHRQALERVPEVQPYFSSSLYIRTVSSPTAIMVRIVTENGGVERMEEIIRDHLDPISKQVLGIWLDHCACAKRDVGEAERAYLKKRDGLIRNKTIEIDLGSSFPRLFGPEVANRVLEAIKGYFTV >Vigun06g184400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30400689:30401530:1 gene:Vigun06g184400.v1.2 transcript:Vigun06g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFQNRCHILSFISGADPLSTTSSPAIIIAPPISASPPLAPPSSNHINQMRHPTPHPTPPLTHAQDRVFNFAAGPATLPEKVLLRAQSELYNWGGSDMSGVFAPSSRSRRSILSSSSRAAPQPSSPPCRSTSAPLTTSSTSSSRAQLHRHRNSSRLNLKPFLRRERERECARERARGRSCRGEEEWFAKFEP >Vigun03g136000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13307822:13308505:1 gene:Vigun03g136000.v1.2 transcript:Vigun03g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPNGLVSQNHVGAVHPSPANKTDKKQTQKVTEDHANINYMERAQWLRPAVLGANDGLVSVASLMMGVGAVKKDAKAMLLAGFAGLVSGACGMAIGEYVAVYTQYDVEMSQMKRESLGGEKDLEMAMEKRTLPNPLQATLASAVSFSVGALIPLLSAAFIQSYKTRLIVVVTTASLALVVFGRVVAELGKTPKLKSYLRFLLGGWIAMAITFGLTKLLDASALE >Vigun03g055100.1.v1.2 pep primary_assembly:ASM411807v1:3:4500105:4503054:1 gene:Vigun03g055100.v1.2 transcript:Vigun03g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGRSSTVATNGDSSSKGKNASESLPKVEQLSHDVNLQSGEDDGEWVVYSRKSKNRAKSSAAKPWSPPVSGYDGGVGRASGSATGTGTGNGNPWHSKNANFRRTAEGNARTQLNASKSDSSNVISSTLIRPPLEHGWNWQSRSGSMQQRGDDSMVKDEIREESPVKNDGVDEEGEEGFDAMEDTDEDLMSDDYDSDASQKSHETRKKNKWFKVFFENFDSLTIDKINEPERQWHCPACRGGPGAIDWYRGLQPLITHAKTKGSKRVKIHREFAELLDEELLRRGTAVIPPGEVFGMWKGLKDEDKDHEIVWPPMVVVQNTRLEQDENDKWLGMGNQELLNYFSTYDAVKARHSYGPHGHRGLSVLIFEASAIGYLEAERLHKHFAEQGTDRDAWFSHHRRLFLPGGRRQLYGYMAVKEDLDIFNRHCQGKSRLKYDMRSYQDMVVNQLRQMSEDNQLLVYLKDRDVRKQKQTKALEESLDIVTEKLRRTMEENRIVRLRTKMQHEENKEEMYMQEQFFKEQIRIIHDSRAAKEEEFERMQQEKREKVKPLCSSPLNEEEGRVKVDEYLKFVEFQDKEMENFVAEEEKLREAHKENVAAMMRRHWEEKVELEESFNKGLSKLMEKYSLSHPETKFNGI >Vigun03g055100.2.v1.2 pep primary_assembly:ASM411807v1:3:4499181:4503054:1 gene:Vigun03g055100.v1.2 transcript:Vigun03g055100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGRSSTVATNGDSSSKGKNASESLPKVEQLSHDVNLQSGEDDGEWVVYSRKSKNRAKSSAAKPWSPPVSGYDGGVGRASGSATGTGTGNGNPWHSKNANFRRTAEGNARTQLNASKSDSSNVISSTLIRPPLEHGWNWQSRSGSMQQRGDDSMVKDEIREESPVKNDGVDEEGEEGFDAMEDTDEDLMSDDYDSDASQKSHETRKKNKWFKVFFENFDSLTIDKINEPERQWHCPACRGGPGAIDWYRGLQPLITHAKTKGSKRVKIHREFAELLDEELLRRGTAVIPPGEVFGMWKGLKDEDKDHEIVWPPMVVVQNTRLEQDENDKWLGMGNQELLNYFSTYDAVKARHSYGPHGHRGLSVLIFEASAIGYLEAERLHKHFAEQGTDRDAWFSHHRRLFLPGGRRQLYGYMAVKEDLDIFNRHCQGKSRLKYDMRSYQDMVVNQLRQMSEDNQLLVYLKDRDVRKQKQTKALEESLDIVTEKLRRTMEENRIVRLRTKMQHEENKEEMYMQEQFFKEQIRIIHDSRAAKEEEFERMQQEKREKVKPLCSSPLNEEEGRVKVDEYLKFVEFQDKEMENFVAEEEKLREAHKENVAAMMRRHWEEKVELEESFNKGLSKLMEKYSLSHPETKFNGI >Vigun10g133900.2.v1.2 pep primary_assembly:ASM411807v1:10:34374355:34380576:-1 gene:Vigun10g133900.v1.2 transcript:Vigun10g133900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPAQGFYFFDPSNMTLPGVNSLPPPPPPTQTPVAAPAVEDPNKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKATHPYPQKAPKITPTVSQVMGPLQPPSAFIEPAYIYSSDSSSVLGTPVTNMPLSTWNYNAKPPVNVPQVTKDDMGLTGVAQTIPLNCCYSSSNENTPPTWPSSKKMNQGGQGKPIKVMPDFAQVYSFIGSVFDPNSTNHLQKLRQMDPINVETVLLLMRNLSVNLMSPEFEDHKRLLSSYDTDSDESKHVNICSTTKSESAILSA >Vigun10g133900.1.v1.2 pep primary_assembly:ASM411807v1:10:34374292:34380684:-1 gene:Vigun10g133900.v1.2 transcript:Vigun10g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPAQGFYFFDPSNMTLPGVNSLPPPPPPTQTPVAAPAVEDPNKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKATHPYPQKAPKITPTVSQVMGPLQPPSAFIEPAYIYSSDSSSVLGTPVTNMPLSTWNYNAKPPVNVPQVTKDDMGLTGVAQTIPLNCCYSSSNENTPPTWPSSKKMNQGGQGKPIKVMPDFAQVYSFIGSVFDPNSTNHLQKLRQMDPINVETVLLLMRNLSVNLMSPEFEDHKRLLSSYDTDSDESKHVNICSTTKSESAILSA >Vigun02g002200.1.v1.2 pep primary_assembly:ASM411807v1:2:1210669:1220802:-1 gene:Vigun02g002200.v1.2 transcript:Vigun02g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDLYEISDDEWENHSFKPSRVLKRPRTSSPPSPPPIESFAYTSKVDVLSENDSDCVEIAPNDANFLDDLEDADMDAAGGGYAAASRGRRFIIDDEDEDAEENGGKDGRVAESYEVESSEVEGEEVEELNENDVVGRALHKCARISAELKGELFGSSGTACERYSEVESSSVRIVTQEDVDVACASEDSDFQPLLKPYQLVGVNFLLLLYRKGIGGAILADEMGLGKTVQAITYLTLLKRLHNDSGPHLIVCPASVLENWERELKRWCPYFSVLQYHGAGRAAYCKELNSLSKARLPPPFNVLLVCYSLFERHSAQQKDDRKILKRWRWSCVLMDEAHALKDKNSFRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFLMPDIFASEDVDLKKLLNAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKIQQVEYVIMERQQESAYKEAIEEYRAVSQARMAKCSELNSKNLLAVLPRRQINNYFVQFRKIANHPLLIRRIYNDEDVIRFARKLHPIGAFGFECTLDRVIEELKNYNDFSIHRLLLHYGVNDRKGVLSDKHVMLSAKCRALEELLPSLKKDGHRALIFSQWTSMLDILEWSLDVIGLTYRRLDGSTQVAERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESMEEINEGDMPEKTMGEILSAILLS >Vigun05g277000.1.v1.2 pep primary_assembly:ASM411807v1:5:46677048:46679156:-1 gene:Vigun05g277000.v1.2 transcript:Vigun05g277000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVVFDFDKTIVDCDSDNWVVDELGFSVLFNRLLPTMPWNTLMDKMMMELHSNGKTIDDIVQVLQRIPIHPRIIPAIKAAHALGCDLRIVSDANTFFIETILEHLKIRECFSDISSNPSYINEDGRLQILPYHDFNKLPHGCTLCPPNMCKGKIIEKIQESLGEKKRLIYLGDGSGDYCPSLRLKEQDFVMPRKNFPVWELICKDPLLIKAEIHEWSNGEELERISLRLINKICSGESAEFISSNCKLHFMCVLGSD >Vigun05g277000.2.v1.2 pep primary_assembly:ASM411807v1:5:46676398:46679156:-1 gene:Vigun05g277000.v1.2 transcript:Vigun05g277000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVVFDFDKTIVDCDSDNWVVDELGFSVLFNRLLPTMPWNTLMDKMMMELHSNGKTIDDIVQVLQRIPIHPRIIPAIKAAHALGCDLRIVSDANTFFIETILEHLKIRECFSDISSNPSYINEDGRLQILPYHDFNKLPHGCTLCPPNMCKGKIIEKIQESLGEKKRLIYLGDGSGDYCPSLRLKEQDFVMPRKNFPVWELICKDPLLIKAEIHEWSNGEELERISLRLINKICSGESAEFISSNCKLHFMV >Vigun11g209500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40525519:40526494:1 gene:Vigun11g209500.v1.2 transcript:Vigun11g209500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MNDSVDKLVIFLAKRDGIDKLVKTFQYVSKLVNWHVEATSPDISKRFKQWEVASGLSRKAFRTGRFLTGFNVLRRNPGSTVSLRLLAVLANAGEMVYFFFDHFLWLARIGTIDANLAKRMSFISAFGESVGYVFFIISDFIMLRKGVKAERTLRSSKEKSVEEEKKINEIRIDRIMRLMAVAANVADLFIAVAEIEPNPFCNHTVTLGISGLVSAWAGWYRNWPS >Vigun07g088700.2.v1.2 pep primary_assembly:ASM411807v1:7:13803607:13807402:1 gene:Vigun07g088700.v1.2 transcript:Vigun07g088700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMKKKKSDVERQQDDYNEFKSNADKDDSQDKKEERKKKKLSEEGKSKEYNEFERNEAEDDALSKKRKKKKLSTESKSKEYEVFEKNEGEGDAEGEGKERKKKKLSEEDKCKEYNEFENNEGEDDALGRKRKKKKLSKESKSKEYNVFERNEGEVDVKDKKKKKKNKLSEEAKSKEYNEFESNEVEDNAQGKKKKLIQESKSEEYNVFERNGGESHIEGKRKKKKLNKEGKSKEYNEFENNDGEDDAQGRKGEKEKLSKASKGEVYNVFERNEDDAQGKKRKKKKLSKEGEDDVEGRKSKKGKMIEDGKSKDKNMMTKNKAKADNGDSPNPANSGLSKPKRVTFSDEVEVCCDGLVRGKRFTPEEDEQIKSAVYNFIESRGLGDEGLDMVLHCRAHREVRYCWKEIAAALPHRPLYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWRQVADALGKNRIHVKDAWRRVKLSNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVSRNSVSCCHKWYDKLRSPMVAAGAWSDTDDYRLVDALYNLDACCMEEVDWDHLLEHRTGEACRKRWNQIVRYIGDHGGKSFAEQVEILAKRYCPDLLEVREAFDAKPLVC >Vigun07g088700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13803113:13807579:1 gene:Vigun07g088700.v1.2 transcript:Vigun07g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMKKKKSDVERQQDDYNEFKSNADKDDSQDKKEERKKKKLSEEGKSKEYNEFERNEAEDDALSKKRKKKKLSTESKSKEYEVFEKNEGEGDAEGEGKERKKKKLSEEDKCKEYNEFENNEGEDDALGRKRKKKKLSKESKSKEYNVFERNEGEVDVKDKKKKKKNKLSEEAKSKEYNEFESNEVEDNAQGKKKKLIQESKSEEYNVFERNGGESHIEGKRKKKKLNKEGKSKEYNEFENNDGEDDAQGRKGEKEKLSKASKGEVYNVFERNEGEDDAKGKRKKEKKRKHCEESKTEEYNVFERNEGEDDAKGKKKKKKKRKHGEEGKSKEYNEFENNEGEDDAQGKKRKKKKLSKEGEDDVEGRKSKKGKMIEDGKSKDKNMMTKNKAKADNGDSPNPANSGLSKPKRVTFSDEVEVCCDGLVRGKRFTPEEDEQIKSAVYNFIESRGLGDEGLDMVLHCRAHREVRYCWKEIAAALPHRPLYSVYTRAHILFERSEKREWTPEEYEFLRKVKEQHGSNWRQVADALGKNRIHVKDAWRRVKLSNTNKGRWTQEEYQKLFDLVNLDLRVRASQGYRKSKHGMLRDNIGWEAIGEKLVSRNSVSCCHKWYDKLRSPMVAAGAWSDTDDYRLVDALYNLDACCMEEVDWDHLLEHRTGEACRKRWNQIVRYIGDHGGKSFAEQVEILAKRYCPDLLEVREAFDAKPLVC >Vigun03g212200.1.v1.2 pep primary_assembly:ASM411807v1:3:35186764:35191261:1 gene:Vigun03g212200.v1.2 transcript:Vigun03g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQIHVFRSAFRVLRYPFTNSPNARPLLLLHPNTNASFCPSPTTLCSSLTDDSFSTPKPNQLGYNPSEELFELEEELKPSKGKSHAPEPRSWFGPNGQYIRELPCPSCRARGYTPCTECGIERSRPDCPKCYGKGILTCHQCSGDRVIWEESIDEKPWERAHSISPLKVKEDDEVDKLEIKLDVKKKSKRVYQSPPPEVGLKISRSLKSLNAKTGLFSNRMKIIHQDPKLEAQRVAAIKKAKGTVAARRHTSNTMKAFFSDPINRQKRSMAMKGVKFYCKNCGREGHRRYYCPELKDTLIDRRFMCRVCGEKGHNRRTCRMIRVSHSNERMIKQNQCRVCGEKGHNRRTCWKLRISGRNGRVIKHRKCKVCHQFGHNRRTCPQVVPSKRKTVSRRQYKCRLCKKKGHNSRTCPSKTHVTEHPQD >Vigun03g212200.2.v1.2 pep primary_assembly:ASM411807v1:3:35186764:35191261:1 gene:Vigun03g212200.v1.2 transcript:Vigun03g212200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQIHVFRSAFRVLRYPFTNSPNARPLLLLHPNTNASFCPSPTTLCSSLTDDSFSTPKPNQLGYNPSEELFELEEELKPSKGKSHAPEPRSWFGPNGQYIRELPCPSCRARGYTPCTECGIERSRPDCPKCYGKGILTCHQCSGDRVIWEESIDEKPWERAHSISPLKVKEDDEVDKLEIKLDVKKKSKRVYQSPPPEVGLKISRSLKKAKGTVAARRHTSNTMKAFFSDPINRQKRSMAMKGVKFYCKNCGREGHRRYYCPELKDTLIDRRFMCRVCGEKGHNRRTCRMIRVSHSNERMIKQNQCRVCGEKGHNRRTCWKLRISGRNGRVIKHRKCKVCHQFGHNRRTCPQVVPSKRKTVSRRQYKCRLCKKKGHNSRTCPSKTHVTEHPQD >Vigun01g118900.1.v1.2 pep primary_assembly:ASM411807v1:1:29501264:29503187:-1 gene:Vigun01g118900.v1.2 transcript:Vigun01g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDNIDWDNIDSTFALDDTYENFDAPMWVDLSAFDDSLVDDEAWFCTRDCKHPKTAEDFLKRSSKVKHSRFASFSEILPFRDRHRRGKSSFVESAKAKYSERSRRPSCSGKLDEDSENRNPNFTAPLPSGSRSSKLKKPLMKTNDANPNPKQVNTVPEECPVKSHRKNQLKSTFSAQNLLGGREILSQISGFCSELKRLARGGKKGTTEKGGSSSGEVSEEVKESVVQKERVPLFVVNNS >Vigun09g127900.1.v1.2 pep primary_assembly:ASM411807v1:9:28379243:28384058:1 gene:Vigun09g127900.v1.2 transcript:Vigun09g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKGDRKASLDAASWLFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLILKSLGYIQTSHLPLSDIIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDNVRYSRDTKLSIVLVLLGVAVCTVTDVSVNAKGFIAALIAVWSTALQQYYVHYLQRKYSIGSFNLLGHTAPAQAGSLLLVGPFLDYWLTGKRVDAYGYGLTSTLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVVLGMIVAIAGMVWYGNASSKPGGKERRSFSLPKTQDYSSLPVSSEPDTKA >Vigun01g021600.1.v1.2 pep primary_assembly:ASM411807v1:1:2271871:2274496:-1 gene:Vigun01g021600.v1.2 transcript:Vigun01g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPHSNLYAGTFNFMPLYSHRSSFTSQPNHFSSYPPLSYSNITNNFSNILQSETSSSSCAAPPSPPLREALPLISLIKQKENEPSNAAGNELAEEEGHKKRDIDETLLTEDGDDETVEVALHIGLPSVDSSDLLGSEKISSACAQMGGKREVSVVSGHPLDRLNKVQYWIPTPSQILIGPTQFLCSVCSKSFNRYNNLQMHMWGHGSQYRKGPDSLKGTQPSAMLRLPCFCCAPGCKHNIEHPRARPLKDFRTLQTHYKRKHGIKPYMCRKCDKTFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGYGHGAVDIDCMQEDEAASEIEHDGDSSM >Vigun10g004150.1.v1.2 pep primary_assembly:ASM411807v1:10:383171:385374:-1 gene:Vigun10g004150.v1.2 transcript:Vigun10g004150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKLRVFRGGRRKVLELLLGCEGIVLCYFYKYIIERGCLIYNLFMEYAPHVSLGDLIKTELLSDKEGLFCMHEKGIVHCDLKPDNILLFPSSDGRAKYKLKIADFGLSKTREEANTDLGEIKKTPLDIWSLGCIVIEMSTRLREWLNFQTRDQLLWRLAFLEETPKIPDKLSCDCKDFLGKCLIKNPEQRWTTKMLLDHPFIQKEYQILSPTCNT >Vigun11g206800.1.v1.2 pep primary_assembly:ASM411807v1:11:40320231:40322539:-1 gene:Vigun11g206800.v1.2 transcript:Vigun11g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFNEDHGVVGGKEEENVWPSWLRPLLKTSFFVQCKVHADSHKNECNMYCLDCVNGALCSACLASHKEHRTIQIRRSSYHDVIRVSEIQKFLDITGVQTYIINSAKIVFLNERPQPRPGKGVTNTCQVCDRSLLDSFSFCSLGCKTFKFSSQIVGTSKKFRKKKMLGETEGSDGEESVNGISNVNGGRSKIHSFTPSTPPPTVANYRTAKRRKGIPHRAPMGGGLIIEYNVV >Vigun11g206800.2.v1.2 pep primary_assembly:ASM411807v1:11:40320207:40322548:-1 gene:Vigun11g206800.v1.2 transcript:Vigun11g206800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFNEDHGVVGGKEEENVWPSWLRPLLKTSFFVQCKVHADSHKNECNMYCLDCVNGALCSACLASHKEHRTIQIRRSSYHDVIRVSEIQKFLDITGVQTYIINSAKIVFLNERPQPRPGKGVTNTCQVCDRSLLDSFSFCSLGCKIVGTSKKFRKKKMLGETEGSDGEESVNGISNVNGGRSKIHSFTPSTPPPTVANYRTAKRRKGIPHRAPMGGGLIIEYNVV >Vigun07g117700.1.v1.2 pep primary_assembly:ASM411807v1:7:21801609:21802723:1 gene:Vigun07g117700.v1.2 transcript:Vigun07g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLHGSPFSTATMRATASLYEKEVEFEFVHVDMKNGEHKKEPFILLNPFGQVPAFEDGDLKLFESRAITQYIVHEYAEKGTQLICNESKKMAMVRMWMEIESQKYDQAASKLVWELAVKPMYGIPTDQAAVEETEGKLGSILDFYEKTLSQNKYLAGECFTLADLHHLPTIHYLMNTPSKKLFESRPHVTAWIADITARPAWSKVLAMQPNLSSK >Vigun09g218600.1.v1.2 pep primary_assembly:ASM411807v1:9:39249444:39256017:-1 gene:Vigun09g218600.v1.2 transcript:Vigun09g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAKKKIRLGSGPAVGDDGLGPSTKYISYHTRFPLKNLKCVSYLFQVLAQSREEIISTMNWDENDEFEIPLTQTATGFHALASVDDNQRPMKKPKRKTTALRGSGKENARPSEGSEILNCSLDFIPSSIDCVSVCSVEHSEQEDSDSSSASLSELKQKGNYLRNSIESKLVVSRTGELNSAVADSDSELGLLMNLCDELEEVDSSVRCPLCDVDISNLTEEQRHLHTNNCLDKGDNVAIPEDEKGAQHGPKVASVVDWLHGLGLGKYEVIFVREEVDWDTLQWLTEEDLLSMGITAFGPRKKIVHALCELRKGDAAANEKQGSALAEPRRIRNQKVKLKHDKSERKADGSNKPAANKLITEYFPGFASKEKKVSAPPGEQKEMKNSDLDSGRKHKSKVVTTNRKLRDVPKWCSVQGTPFRVDAFKYLRGDCSHWFLTHFHLDHYQGLTKSFNHGKIYCSSITARLVNTNIGISYDKLHVLPLNQKIEIAGVDVTCFDANHCPGSILILFQPPNGKAVLHTGDFRFSDEMTINPLLKMCPINTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAETFNPKTLFLIGSYTIGKERIFLEVARALRKKVHVTAAKLRLLKCLEFKEEDIQWFTSNEHESNIHVAPMWTLASFKRLKQISSQYKNRYNLIVAFSPTGWTFGKGKKKSPGRRWQQGTIIRYEVPYSEHSSFTELREFVKLVSPSNIIPSVNNDGPESSDAMISLLLS >Vigun09g218600.2.v1.2 pep primary_assembly:ASM411807v1:9:39249444:39256017:-1 gene:Vigun09g218600.v1.2 transcript:Vigun09g218600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAKKKIRLGSGPAVGDDGLGPSTKYISYHTRFPLKNLKCVSYLFQVLAQSREEIISTMNWDENDEFEIPLTQTATGFHALASVDDNQRPMKKPKRKTTALRGSGKENARPSEGSEILNCSLDFIPSSIDCVSVCSVEHSEQEDSDSSSASLSELKQKGNYLRNSIESKLVVSRTGELNSAVADSDSELGLLMNLCDELEEVDSSVRCPLCDVDISNLTEEQRHLHTNNCLDKGDNVAIPEDEKGAQHGPKVASVVDWLHGLGLGKYEVIFVREEVDWDTLQWLTEEDLLSMGITAFGPRKKIVHALCELRKGDAAANEKQGSALAEPRRIRNQKVKLKHDKSERKADGSNKPAANKLITEYFPGFASKEKKVSAPPGEQKEMKNSDLDSGRKHKSKVVTTNRKLRDVPKWCSVQGTPFRVDAFKYLRGDCSHWFLTHFHLDHYQGLTKSFNHGKIYCSSITARLVNTNIGISYDKLHVLPLNQKIEIAGVDVTCFDANHCPGSILILFQPPNGKAVLHTGDFRFSDEMTINPLLKMCPINTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAETFNPKTLFLIGSYTIGKERIFLEVARALRKKVHVTAAKLRLLKCLEFKEEDIQWFTSNEHESNIHVAPMWTLASFKRLKQISSQYKNRYNLIVAFSPTGWTFGKGKKKSPGRRWQQGTIIR >Vigun03g299200.1.v1.2 pep primary_assembly:ASM411807v1:3:48730767:48731936:-1 gene:Vigun03g299200.v1.2 transcript:Vigun03g299200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFEKITDRKTGINVVRSIVYSCKSNLSRIDFSRFYMFVLEEDDAIIAAASIRFHGSRIAEMPLIATELACRGQGVCQELMRVIESFLCNLKVEHLIIPSAVETCDMWKRKYNFTELSEELKKEISSYKIVMFPCAVRLYKDLSASIADIKNDLEPQTEHAEFTASLEHGLSHQED >Vigun06g188000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30668205:30669760:1 gene:Vigun06g188000.v1.2 transcript:Vigun06g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGSADFPKLSPLLVMDAEQVLNLFDSCWFGPQTSKERTSSSTPTNSQENSDHQIKEEPSEPMLLRFQSTHNRSMSDQLSSMTCFNDDSLSPDSVFSPKLLTILSGKDVTDSEAQEHEVLPKKRERKKKRQSKSLSDLEFEELKGFMDLGFVFSEEDKDSSLASIIPGLQRLGKSDEEEEDCDGSAVQRPYLSEAWKVQEKSKKENSLVNWKIPALNNEIDIKDSLRWWAHTVASTVR >Vigun05g144300.1.v1.2 pep primary_assembly:ASM411807v1:5:19508514:19516913:-1 gene:Vigun05g144300.v1.2 transcript:Vigun05g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNMLVNCSNCRTPLQLPPGAGSIRCALCHAVTLIADPRAVPSQPPASYHPHPPPSPYNHAPPGPPPNPHGRKKAVIIGISYRFSRHELKGCINDAKCMKYLLINKFSFPESSIIMLTEEEDPHGPKFPSKHNIRMAMYWLAQGSQPGDSLVFHYSGHGSQQRNYSGDEADGYDETLCPLDFETQGMIVDDEINAALVRPIPHGAKLHALIDACHSGTVLDLPFLCRMKRNGQYAWEDHRPRSGVWKGSNGGEVICFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGHGATYGSILTAMRTAIRDVGSGGGGGDVVTSLLTMLVTGGSLSAGGLRQEPQLTACEAFDVYRKPFSL >Vigun01g212100.1.v1.2 pep primary_assembly:ASM411807v1:1:38627586:38631983:-1 gene:Vigun01g212100.v1.2 transcript:Vigun01g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEIKSGTLISLHDLHPSSPFFKQGASLRITGKLREYSIEACLATIVDGDDILQVSTEHLRDLSFQVGSVYQFIGELLIQPDNEVVLQARVGRNVDGIDLNLYHQSLLLLRQFQANHLNNPETM >Vigun01g212100.3.v1.2 pep primary_assembly:ASM411807v1:1:38627586:38632061:-1 gene:Vigun01g212100.v1.2 transcript:Vigun01g212100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEIKSGTLISLHDLHPSSPFFKQGASLRITGKLREYSIEACLATIVDGDDILQVSTEHLRDLSFQVGSVYQFIGELLIQPDNEVVLQARVGRNVDGIDLNLYHQSLLLLRQFQANHLNNPETM >Vigun01g212100.2.v1.2 pep primary_assembly:ASM411807v1:1:38627586:38632061:-1 gene:Vigun01g212100.v1.2 transcript:Vigun01g212100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEIKSGTLISLHDLHPSSPFFKQGASLRITGKLREYSIEACLATIVDGDDILQVSTEHLRDLSFQVGSVYQFIGELLIQPDNEVVLQARVGRNVDGIDLNLYHQSLLLLRQFQANHLNNPETM >Vigun03g346800.1.v1.2 pep primary_assembly:ASM411807v1:3:54605138:54610294:1 gene:Vigun03g346800.v1.2 transcript:Vigun03g346800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIIGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREEKRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKMETMQDSLKGGKWSNVAEMTEEEALAEQQRMFAEARARMNGGGITSKQPDTDQSLDS >Vigun03g346800.2.v1.2 pep primary_assembly:ASM411807v1:3:54605138:54610294:1 gene:Vigun03g346800.v1.2 transcript:Vigun03g346800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIIGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREEKRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKMETMDSLKGGKWSNVAEMTEEEALAEQQRMFAEARARMNGGGITSKQPDTDQSLDS >Vigun06g050000.1.v1.2 pep primary_assembly:ASM411807v1:6:17542375:17556723:1 gene:Vigun06g050000.v1.2 transcript:Vigun06g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGVLTFQAKVSHEAKLKELLHRITSLEIKLCSDGAKEFAKLLKSENGGDVLREYVHGSPKCSELLEAWKLREGKQGMNYVFDLISAIFNHSEGKYNPSVAESVSVSKDLDKFARILIAERLSDIHKEVNSKEWRRQKAALLLLASIVRRGASLASEVSKSFDFKLPEFGRIASEHRRKKPEARVGLLRKSFVGFAMSFLEVGKPGLLRWILQQREMYSGVLRGLGSDDDETVVFVLTVLRDRVLVVESLVPPGLRSVLFGSATLEQLVEVCGRESGGDAAEVAFGVLVRVCTDPCNGLMPDSKMRLRGNTKRVLDLMKKLRVTEVQHHSDLLLAIVEAKGSFGLLYLKEFPYNIENFKSSSWIPAISVAAQLVSLVGKGISKEITNFQSNGPRLLLDNMDLHSIVKCLFPRPFSRPVFNKGLPHTEPYVRHGTLRLLLELLKFLDSLFGGLNHNSSSSNPFLQHVMFIKDEIQNYVQAFLPDLQVLLNLLSSLDASSEACNSTLKRDACHDEHNSSKRKKLKLDTSESGDIDIVVAGLSSTPDIDLTDNSETIDIGVRADTLDDEVDLMNIIGEIWGVDLHSIDISSWTDVDSYLLSKLLDAIRYYRRSLPFTLDSSFETFKSLLKSPLELTRHLQVSVLSLIAEYIEWCPDNEIPIRTPPMLYRYLQPFIKLLMFSPYNETRDLAYRLALAAMFSTGGFDGNLHEIEGWFLFLPGYNAKKPPVKMLEADALQSFTLFVISFLCDAVSTLGNNLVKYWKILQSHAHILEGSTDLSPHFSPFVVCVLEKCLKVIRPKSGSCSVPKKSVVLLYTCSTVKYLLQTQVNPELLSALVHADLTERLGGSYECGEVFPQWKPLKDLLDFVESILHHRNYCIFSNNEESVLPDSSLGSALGSVSRLLNCGSSHAVTETTISFISSIILEDGGKMLTNMPLQVVIPRDLVGVPFSHLLSVLFLDYSVLHHATKLWPAMFYAGLDMAMSNLGIGSQNASPVGNSDHRLYPESLTCSQLLDASEADAVTFSIFLRQAPFHVVFPAMMCMSGPYISKLSKIQELLLHKLSASISDCLLLPNLQLLLFWTHRIQLCYKVNPIAEIEQLLTVCVKLVGSLLAQLLVPESCSDCSMNNFFCSRYNIKEVIKTIFFHPCVLMSLSLSMGSYQNIANGNVEDDFNMLNVVSDEGFHKFGNPILKILSMTLDHMWSLFGFHLSASTAEDVGSLFVKEFKGFQQKLFLDVRDKFEFCIRTEDVMPLLPILCTLHTLHRFLSPFELLELVDWMFSRVEVNDLPIKKSLLSVGCSLSADAFSALSIYFQQSSENRAPYDLFWGMDVKSMKTDIFEQIYIKVVDFSLCFEVDSADRCLLEAINALYTQKHMQQETFHPLLLIMWKIIMVTPLKSLSHYIYKTNAKKAIFLRILTELSSLHSLVFGHSFFGIVNRSLHNDIGGMEHISDLTISEDQYILLLPASLSYLSLISKRHGVQNLKDCGPIPYFYSKILLKGFSQWRSFSSKDIFEEEYGEFFPSSVQELLCRIDHSLLGKSIHMLQYHFALNGDSIKLKKRLKLFKSICPKLASHDNLIDCESQVIDNYSPRQSLNIINRVVAKISLCKILLFHEEAGMKDVSVKMQSKMGKSRMHFINTLVDIWQFIVKKFSLASDQSKTAKGTNISLLYNLMEEFLLNIILELVGKMENDLIQLPSISFLEQLIRSALLYRFGDFTTVKTLRVILSQLNEGRLSFDLYLQLLLAHSQFAHTLHSVHKPAGSFLKPVSSILKCLVIRSLDYCESDVKQTGPTTVLSSGPLEIVRMLRILLWIKARQTDSDYGNDININLKELHALLRHSYGATVSWIDLEISNLMQQIESMSCLLSQNVKLDSETIEEWYKGHHGDNFPIDPDICVSTVLYFPFDRSISDEPPSANKIEPDTIRKKVHYSHVEDRERYDPAFILRFSIYSLSKAYIEPVEFAGSGLLAIAFVSMSSRDNGIRRLAYGTLDKFKNALEKCQKRKDVMGLRLLLNSVQNSIEEPWQRIPSVISLFAAEASCVLLDPTNDHYAAISTFLIHSSKLNMRVIPMFDNFFWSTSVNFKAERSWILRLLCAGLNSDDDAMIYIRNSILETLMTFYVSPLSDFESKNLIIEVIKKSVKSDKITSHLVKHCSLFSWFSSLISVSRQRLSGDDNKLFLKHVLVALKVVNDVISFGRISKWLKNHGLDQLMELSSNLFNFLLHDATLANETLLLVNPFLQMVASTLKLSQKRKLYQPHSTLSMEGLYQMYQAGNVYDKGKKSIKSELALEAILMNAPHVSIFSMNQERLQSFLIWATTTALKSESIRRLEFNKYQFFRNDFREEFRENTVVSALLRWLTASVIIGKLRKRSYCMDSGLAETHNFESLNSLLVYVENTSGQRNDIDIGAEELLASTIFYLQLRLGVNHEVLPSVVCALCLLIFGASDFAVGKTDLLQDYDTLISSHSSRIRCPPEVNPTWRWSFYQPWKDHSLEFSDSQKMEEYHACLTLLVIISNVVGGKKLESASLSPVDLEKSGLFQWERSLLRN >Vigun01g251100.1.v1.2 pep primary_assembly:ASM411807v1:1:41746282:41752855:-1 gene:Vigun01g251100.v1.2 transcript:Vigun01g251100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMVSLASVIFQSFNFNFNCTSLSFPHTSHFVRRFPCHCSHSLRLPCGRESPSARICASVTDVSVDVAVEEKRLPKGETWSVHKFGGTCVGNSSRIKNVADIIINDDSERKFVVVSAMSKVTDMMYGLIDKAQSRDESYVSALDVVLEKHSTTAHDLIAGEDLAAFLSQLRQDITDLKAMLQAIYIAGHATESFTEFVVGHGELWSAQLLSLVIKKQGIDCKWMDAREVLIVKPTRSDHVDPDYMESERRLEKWYSLNPSDVIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFKARQVTIWTDVDGVYSADPRKVGEAVILKTLSYQEAWEMSYFGANVLHPRTITPVIRYGIPIIIRNIFNLSSTGTMICHPSIIGDEDRLILKNYVKGFATIDNVALVNVEGTGMAGVPGTASAIFSAVKEVGANVIMISQASSEHSVCFAVPEKEVKAVAEVLQSLFHGALNVGRLSQVAILPNCSILAAVGQKMASTPGVSATLFEALAKANINVRAIAQGCSEYNITVVVKREDSVKALRAVHSRFYLSRTTIAMGIIGPGLIGSTLLDQLSDQAAVLKEELNIDLRVMGIMGSKLMLLDDDNGINLDKWRELREEKGEVANLEKFVQNVHGNHFIPNTVLVDCTADSAIASNYEDWLCKGMHVITPNKKANSGPLDEYLRLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDRILQIEGIFSGTLSYIFNNFKDGRLFSEVVAEARDAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVENLVPEPLRGSASAQEFMQQLPKFDQELAEKLKDAESAGEVLRYVGVVDVRNRKGVVELRRYKKDDPFAQVSGSDNMIAFTTRRYKSQPLIVRGPGAGAQVTAAGIFSDILRLASYLGAPS >Vigun06g174400.1.v1.2 pep primary_assembly:ASM411807v1:6:29522333:29527603:1 gene:Vigun06g174400.v1.2 transcript:Vigun06g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSMRSRSHRVVQEQEECVGDSMRQSCWANMPQELLREVLLRIEASEDTWPPRKSVVACAGVCRSWRQITKDIVKTPELSSKITFPISVKQPGPRENLLRCFIKRNRSTQTYYLFLSLTNTLAEDGKFLLAARKCRRPTCTDYIISLDADDMSKGSNSYVGKLRSNFLGTKFTIYDSQPPHSGARIMKSRSTRLVNLKQVSPKVPTGNYPVAHISYELNVLGSRGPRRMHCVMDSIPATAIEAGGVAPTQTEFSLNNIDMFPSFPFFRSKSNRVENTMSGPLVDQKDGMLVLKNKSPRWHEQLQCWCLNFHGRVTIASVKNFQLVASAENGPAGPEHDKIILQFGKVGKDLFTMDYRYPISAFQAFAMCLSSFDTKIACE >Vigun09g062800.2.v1.2 pep primary_assembly:ASM411807v1:9:6553924:6558017:1 gene:Vigun09g062800.v1.2 transcript:Vigun09g062800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAVTASFIPVVPSPDSGKGKQTQSGGGSASLRGLQPRNGLCGGLQVKANAHAPPKVNDTVKVEDDLPSSSPLTHAPRTFINQLPDWSMLLAAITTAFLAAEKQWMMLDWKPRRPDMLVDPFGIGKIVEDGLVFRQNFSIRSYEIGADKTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTKMQVVVDRYPKWGDVVQVDTWVSASGKNGMRRDWLVRDAQTGEILTRASSVWVMMNKVTRKLSKIPEEVRGEIGAYFVDSALVVEEDKRKLSKLDDSANFIRTGLSPRWSDLDVNQHVNNVKYIGWILESAPQPLLESHELCAMTLEYRRECGRNSVLDSLTDISGANVGSLADGGLFECKHLLRLEDGAEIVRGRTEWRPKSANNFGVVSQVSAEST >Vigun09g062800.1.v1.2 pep primary_assembly:ASM411807v1:9:6553911:6558169:1 gene:Vigun09g062800.v1.2 transcript:Vigun09g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAVTASFIPVVPSPDSGKGKQTQSGGGSASLRGLQPRNGLCGGLQVKANAHAPPKVNDTVKVEDDLPSSSPLTHAPRTFINQLPDWSMLLAAITTAFLAAEKQWMMLDWKPRRPDMLVDPFGIGKIVEDGLVFRQNFSIRSYEIGADKTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTKMQVVVDRYPKWGDVVQVDTWVSASGKNGMRRDWLVRDAQTGEILTRASSVWVMMNKVTRKLSKIPEEVRGEIGAYFVDSALVVEEDKRKLSKLDDSANFIRTGLSPRWSDLDVNQHVNNVKYIGWILESAPQPLLESHELCAMTLEYRRECGRNSVLDSLTDISGANVGSLADGGLFECKHLLRLEDGAEIVRGRTEWRPKSANNFGVVSQVSAEST >Vigun07g150700.2.v1.2 pep primary_assembly:ASM411807v1:7:26102345:26104594:1 gene:Vigun07g150700.v1.2 transcript:Vigun07g150700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRPPLESMAVILSKKAAFCSDYRPQSVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENPRKARQVIIVFHEMECRRENFPREHLDLYSKKYVDLRMELSRTERHILNEMGFICHAEHPHKFILNYLATLKTPPELRQEAWNMANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDGEKSGIDEVCRVLAHLYSLPKAQYIPFCKDGDFTFSNKSLESKSQSTPPKDVLHHSPLVHTDPSMPKGPQGEDNVESIGGKGVMVKVAIDEVKDFKMSDDESKGMGTDGGTKEEQKSKSDRKTEVTGETRRNRYRTKSRHRDRERDSDKERQREGHRSAKRAKYSGHSEKSKRH >Vigun07g150700.1.v1.2 pep primary_assembly:ASM411807v1:7:26102345:26104593:1 gene:Vigun07g150700.v1.2 transcript:Vigun07g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTGIDTFYLTDEQLANSPSRKDGIDEATETTLRIYGCDLVQESGILLRLPQSVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENPRKARQVIIVFHEMECRRENFPREHLDLYSKKYVDLRMELSRTERHILNEMGFICHAEHPHKFILNYLATLKTPPELRQEAWNMANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDGEKSGIDEVCRVLAHLYSLPKAQYIPFCKDGDFTFSNKSLESKSQSTPPKDVLHHSPLVHTDPSMPKGPQGEDNVESIGGKGVMVKVAIDEVKDFKMSDDESKGMGTDGGTKEEQKSKSDRKTEVTGETRRNRYRTKSRHRDRERDSDKERQREGHRSAKRAKYSGHSEKSKRH >Vigun03g366700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56990741:56993242:1 gene:Vigun03g366700.v1.2 transcript:Vigun03g366700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQGRFLPNEAFAIATSRVASPRNSNPNHNHAFAASANQIRRRKRSPVFDRDRRRSSDRSTESSPSPANLVMGQVKILKRGEKLSLLSNHTEVRSKREMGLDLLLGSTVRLGPDPLTVKKQIRVSDPNNGVYAGSAFVSSPHPSSVPVPEFLGRNGAATSDLRRLLRLDLE >Vigun02g010266.1.v1.2 pep primary_assembly:ASM411807v1:2:4070111:4073352:-1 gene:Vigun02g010266.v1.2 transcript:Vigun02g010266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLHNLLGWILLVGPFSRAMAHVILPLGIYFQTLLFYHCTFVKAKPIDTKADDYATTLEVLEVDNSGQ >Vigun06g219200.2.v1.2 pep primary_assembly:ASM411807v1:6:32966525:32969983:1 gene:Vigun06g219200.v1.2 transcript:Vigun06g219200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPGFTEKLNNNKLNTLVDAEDGCDIFNDLKDRFLNFKKNKYLKDINHFENLAKVQTPKFMVIACADSRVCPSNILGFQPGEAFMIRNIANLVPTFESGPSETNAALEFAVNSLLVENILVIGHSCCGGIRALMSMEDDDVEKSFIKSWVIGGKKARTKAKAAASNLSFDEQCTHCEKESINHSLLNLLTYPWIEEKVANKELCIHGGYYNFVDCSFEKWTLDYRGTKLEENGRIAAKNKIFWR >Vigun06g219200.1.v1.2 pep primary_assembly:ASM411807v1:6:32966525:32969983:1 gene:Vigun06g219200.v1.2 transcript:Vigun06g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPFSLSSDPFASKPATSTIFGYSAPKKGNFEHTHFSFFTAFRRNQGCTLKASMGPPGFTEKLNNNKLNTLVDAEDGCDIFNDLKDRFLNFKKNKYLKDINHFENLAKVQTPKFMVIACADSRVCPSNILGFQPGEAFMIRNIANLVPTFESGPSETNAALEFAVNSLLVENILVIGHSCCGGIRALMSMEDDDVEKSFIKSWVIGGKKARTKAKAAASNLSFDEQCTHCEKESINHSLLNLLTYPWIEEKVANKELCIHGGYYNFVDCSFEKWTLDYRGTKLEENGRIAAKNKIFWR >Vigun07g046000.1.v1.2 pep primary_assembly:ASM411807v1:7:4656148:4661882:1 gene:Vigun07g046000.v1.2 transcript:Vigun07g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSLCSSESVVEGSRDVWSSEPSLATSADHLVVMVNGILGRTTDWKYAAEQFVKELPDKVFVHCSERNVSILTLDGVDVMGERLAEEVLEVIRSKPNMRKISFVAHSVGGLVARYAIGRLYRPPEKGSMKDSCNEENKESPVGTIGGLEAMNFIAVATPHLGSRGNKQVPFLLGVPAFEKVASCVIHFIFRRTGRHLFLTDDDEGKPPLLKRMIEDYGDLYFMSALRSFKRRFAYSNVDYDHIVGWRTSSIRRQSELANWKDTVNEKYTHVVYEEHCKACSDAEQYDILEDNNSDKIEEELVTGLSRVSWEKVDVSFRNSKNRFASHTIIQVKDHITQIEGADVIQHMIDHFLL >Vigun07g046000.2.v1.2 pep primary_assembly:ASM411807v1:7:4656148:4661531:1 gene:Vigun07g046000.v1.2 transcript:Vigun07g046000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSLCSSESVVEGSRDVWSSEPSLATSADHLVVMVNGILGRTTDWKYAAEQFVKELPDKVFVHCSERNVSILTLDGVDVMGERLAEEVLEVIRSKPNMRKISFVAHSVGGLVARYAIGRLYRPPEKGSMKDSCNEENKESPVGTIGGLEAMNFIAVATPHLGSRGNKQVPFLLGVPAFEKVASCVIHFIFRRTGRHLFLTDDDEGKPPLLKRMIEDYGDLYFMSALRSFKRRFAYSNVDYDHIVGWRTSSIRRQSELANWKDTVNEKYTHVVYEEHCKACSDAEQYDILEDNNSDKIEGQRPHHTNRRCRCYTAYD >Vigun09g003800.2.v1.2 pep primary_assembly:ASM411807v1:9:275034:288198:1 gene:Vigun09g003800.v1.2 transcript:Vigun09g003800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MEDGSRVPAGDDPSYWLDACEDISCDFIDFDVSSIVSEQPDNPSNQDFFGGIDKILDSIKNGAGLPLNHGEPASNSNGTAAGAGEVWFPSNATLADGGNHHAHTPVVSAPADAAFDHSAAVRNNGSTKMSNGNEGGVLVNCSQERGVLNGGHDVDSEERCSKRARLGGYKNERPHFGRGNYQGKERERCFNSNRKRPRDRDDVDRRDRDGGGRKREHCGAVGRRDVRDRDWRDREPRGYWERDKLGNNDMVFRPGAWEPERNREEKMVNDVKQENNGKLDKRSEEAKERVPEEKARQYQLDVLDQAKRKNTIAFLETGAGKTLIAVLLIKSIQESLQKQNKKMLAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFDTKHVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHITPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEVVVEYDKAASLCYLHEQIKQMEVEVEEAAKSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAVNYALGELGQWCAYKFQESYLSKVVSLLKCQLSEGAVSDKNTDFDDSENGVAQSVSEHEEMEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQHTEDFRAIIFVERVVSALVLPKVFAELPSLSFVKCASLIGHNNSQEMRTHQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISVDTRPGTVYQVKSTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHEKTGGPTEYSCKLQLPCNAPFENLEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGGEREKDEQTDEGDPLPGTARHREFYPEGVADILKGEWILSGKDACNNSKLLQLYMYAVKCENIGHSKDPFLIQVSNFAILFGNELDAEVLSMSMDLFIARTVTTKASLVFMGLINVTESQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMFGDKSVDPMNQIDWRLVETIIGAEAWKNPLQKARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGQKSHPTYGIRGAVAQFDVVKASGLVPSRDSMQTQKQINMTINGKLMMADTCTNAEDLVGKIVTAAHSGKRFYVDSIRYDMSAENSFPRKEGYLGPLEYSSYADYYKQKYGVDLIYKHQPLIRGRGVSYCKNLLSPRFEHSEAHEGESEETHDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESILLAVQLKNMINYPVQTSKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALSRGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGESSLFDQERSISKTEKMDCHTDGFDDEMEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMKWIGIEIEFDPDAMDCARKPFNVPDSILRSVDFDALEGALNIKFKDKGLLVESITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHNLHVHLRHGSSALEKQIKEFVKEVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTTVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRVGNLATVEVFIDGVQVGAAQNPQKKMAQKLAARNALAALKEKEVGKNQEKNEESGKKNGNQSFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKLYVKKME >Vigun09g003800.1.v1.2 pep primary_assembly:ASM411807v1:9:275034:288198:1 gene:Vigun09g003800.v1.2 transcript:Vigun09g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MEDGSRVPAGDDPSYWLDACEDISCDFIDFDVSSIVSEQPDNPSNQDFFGGIDKILDSIKNGAGLPLNHGEPASNSNGTAAGAGEVWFPSNATLADGGNHHAHTPVVSAPADAAFDHSAAVRNNGSTKMSNGNEGGVLVNCSQERGVLNGGHDVDSEERCSKRARLGGYKNERPHFGRGNYQGKERERCFNSNRKRPRDRDDVDRRDRDGGGRKREHCGAVGRRDVRDRDWRDREPRGYWERDKLGNNDMVFRPGAWEPERNREEKMVNDVKQENNGKLDKRSEEAKERVPEEKARQYQLDVLDQAKRKNTIAFLETGAGKTLIAVLLIKSIQESLQKQNKKMLAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFDTKHVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHITPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEVVVEYDKAASLCYLHEQIKQMEVEVEEAAKSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAVNYALGELGQWCAYKVAQSFLAALQNDERANYQLDVKFQESYLSKVVSLLKCQLSEGAVSDKNTDFDDSENGVAQSVSEHEEMEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQHTEDFRAIIFVERVVSALVLPKVFAELPSLSFVKCASLIGHNNSQEMRTHQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISVDTRPGTVYQVKSTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHEKTGGPTEYSCKLQLPCNAPFENLEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGGEREKDEQTDEGDPLPGTARHREFYPEGVADILKGEWILSGKDACNNSKLLQLYMYAVKCENIGHSKDPFLIQVSNFAILFGNELDAEVLSMSMDLFIARTVTTKASLVFMGLINVTESQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMFGDKSVDPMNQIDWRLVETIIGAEAWKNPLQKARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGQKSHPTYGIRGAVAQFDVVKASGLVPSRDSMQTQKQINMTINGKLMMADTCTNAEDLVGKIVTAAHSGKRFYVDSIRYDMSAENSFPRKEGYLGPLEYSSYADYYKQKYGVDLIYKHQPLIRGRGVSYCKNLLSPRFEHSEAHEGESEETHDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESILLAVQLKNMINYPVQTSKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALSRGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGESSLFDQERSISKTEKMDCHTDGFDDEMEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMKWIGIEIEFDPDAMDCARKPFNVPDSILRSVDFDALEGALNIKFKDKGLLVESITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHNLHVHLRHGSSALEKQIKEFVKEVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTTVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRVGNLATVEVFIDGVQVGAAQNPQKKMAQKLAARNALAALKEKEVGKNQEKNEESGKKNGNQSFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKLYVKKME >Vigun01g170200.3.v1.2 pep primary_assembly:ASM411807v1:1:35215497:35219511:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICA >Vigun01g170200.1.v1.2 pep primary_assembly:ASM411807v1:1:35215497:35219511:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICAFSETKRIKLGPGGLVGYTTQEEEENLLQSFLLAPL >Vigun01g170200.2.v1.2 pep primary_assembly:ASM411807v1:1:35215497:35219511:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICAFSETKRIKLGPGGLVGYTTQEEEENLLQSFLLAPL >Vigun01g170200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35215496:35217897:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICACKNLD >Vigun01g170200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35215497:35217897:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICACKNLD >Vigun01g170200.4.v1.2 pep primary_assembly:ASM411807v1:1:35215497:35219511:1 gene:Vigun01g170200.v1.2 transcript:Vigun01g170200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGIASCYNEHAIRVSDSYCSRPSNQTYLCPKLNPSTTTTRDSVTCMYKISTQKQLFITLTWAKKLLGQGFTITISNSQNFPSKFNAKQLNKTKGNQTFQSHNFQIKVLWDLSGAKYEDGPEPINGFYVVVLVDSELGLRLGDKNPSLEEQSDTKEANFSMVSRSETFSETAVYATKAKFCETGISHDILIKCCAEEGASKGHVLSVCVDKKTMFQVKRLRWNFRGNQTIFVDGLVVDMMWDVHDWLFNPNSASAMFMFRTRSGLDSRLWLEEKTSHTRNQQDKIGFSLLICA >Vigun09g179400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35189756:35191459:1 gene:Vigun09g179400.v1.2 transcript:Vigun09g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPLKPHNTFHHDLFLFYCYFILLTHFPPPAAAQLPNALTPPPPDMFSKLKFDKSMAIVLVILVAVFFFLGFLSVYTRQCAERRMRGRFDLSISIARRPRGLDREIIETFPTFVYSTVKSLKIGRATLECAVCLNEFEEEETLRLIPKCSHVFHPECIDAWLANHSTCPVCRANLVPKPDDDPSFVSIPIPDPVQPVLHSPVQPEPTVSPKPNDFINRNRSPRSRSTGFSIASLIPRSHSTGHSLVQPGENCERFTLRLPEEVRNQLMTSTTLSRTKSCGLSLGLTRENSGRRGYRTRSVGHSLSPHVTRGMGGTSSSPHVRGHDRRWFFQSPPTKDSIKEDVGERSSDRLFSETTKDTDN >Vigun07g008900.1.v1.2 pep primary_assembly:ASM411807v1:7:768989:770517:-1 gene:Vigun07g008900.v1.2 transcript:Vigun07g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFTPHYHQFQIHITNQLSPKLKPKIFFRAFCVSSSHSASPSTLTQTQNLSDPPIVVPEKKRRVKASDTQFKENWLASLSYPFPEKTPRLNSEHDPTQQNDGSKWVLGIDPDVSVENERFRLFSSGI >Vigun07g008900.2.v1.2 pep primary_assembly:ASM411807v1:7:766803:774189:-1 gene:Vigun07g008900.v1.2 transcript:Vigun07g008900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFTPHYHQFQIHITNQLSPKLKPKIFFRAFCVSSSHSASPSTLTQTQNLSDPPIVVPEKKRRVKASDTQFKENWLASLSYPFPEKTPRLNSEHDPTQQNDGSKWVLGIDPDVSVENERFRLFSSGI >Vigun07g008900.3.v1.2 pep primary_assembly:ASM411807v1:7:767658:770517:-1 gene:Vigun07g008900.v1.2 transcript:Vigun07g008900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFTPHYHQFQIHITNQLSPKLKPKIFFRAFCVSSSHSASPSTLTQTQNLSDPPIVVPEKKRRVKASDTQFKENWLASLSYPFPEKTPRLNSEHDPTQQNDGSKWVLGIDPDVSVENERFRLFSSG >Vigun03g212300.1.v1.2 pep primary_assembly:ASM411807v1:3:35194289:35196435:-1 gene:Vigun03g212300.v1.2 transcript:Vigun03g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPVKSELSPRSHRLLVIDGSEVAKCVKRRRRGSSMSVLGGNEQHGEKLEEHKQLGTATTVKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQGAYNDEEAAARAYDLAALKYWGISTFTNFPVSDYEKEIEIMKTVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSSYIRWLRPGTHDQKPGTDPQPFATSNSMQTRGNIEVSNSNMNSFSSPELDSTKKQDFSKYINPLSPCNKPSSPTALGLLLKSTVFRELMQRNLNSSSEEAEEVEFKYPQEGNGVTYYSDNTSNSYFCSSDINTLPNLESPEESSLPMYHGTVQSLWNSSFNISN >Vigun07g231500.2.v1.2 pep primary_assembly:ASM411807v1:7:35326457:35333853:-1 gene:Vigun07g231500.v1.2 transcript:Vigun07g231500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLERIQNKINRQVTFSKRRNGLLKKAFELSVLCDAEIALIIFSSRGKLFQYSSTDINRIIEKYRQCCFDMSQIGDEAELQSQQELLVLRVKHESLQRTQRNLMGEELEPLNMKELHSLEKQLDKTLAQARKHLSQKLVSKIDELHGKVRNLEQINRHLESKDIAKCTQICEDSTNQFESGTASSLPLQQEQITSKGKEVETRCLNENNELQL >Vigun07g231500.1.v1.2 pep primary_assembly:ASM411807v1:7:35326457:35333853:-1 gene:Vigun07g231500.v1.2 transcript:Vigun07g231500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLERIQNKINRQVTFSKRRNGLLKKAFELSVLCDAEIALIIFSSRGKLFQYSSTDINRIIEKYRQCCFDMSQIGDEAELQSQQCLYQELLVLRVKHESLQRTQRNLMGEELEPLNMKELHSLEKQLDKTLAQARKHLSQKLVSKIDELHGKVRNLEQINRHLESKDIAKCTQICEDSTNQFESGTASSLPLQQEQITSKGKEVETRCLNENNELQL >Vigun02g065400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21424266:21425963:-1 gene:Vigun02g065400.v1.2 transcript:Vigun02g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKIKNLPSFFLLLLVQSMFFEVVISIENGINSTGGHWVELQRSIGISAMHMQVMYDNKVVIFDRTDFGPSNISLSHHRCRFNPHDLALKLDCTAHSVLYDLSHNTLRPLTLRTDAWCSSGALTPDGSLLQTGGFNDGYTTLRSFTPCPQHNTCDWRELRNHSLSNSRWYSSNQILPSGKIIVVGGRNSFTYEFVPKNDVASSSFHYLPFLKHTRDPNRGEENNLYPFLHLLPDGNLFIFANRNSILFDYTTNKVLRNFPTIPGQEKRNYPSTASSVLLPLNLTALNGTRLPDAEIMICGGAYPGAFSLANTLKIFLEASRTCGRLRVTDSNPVWVMETMPTPRVMPDMVLLPNGNVIILNGAMNGTAGWENAANPVLHPVLYRPGLVDQFQRFQLLAPASTPRMYHSSAVLVPDGRVLVGGSNPHRVYDFRANPYPTELSLDAYYPEYLGTEFDSLRPSIVAVEASNNTASYGRAFAVNFLLREYRVGGVGVTLVAPSFTTHSFAMNQRLLVLEVVGIQQVAPSGYKVVARAPPSLAVAPPGFYMLFIVHAGVPSAAVWVQVK >VigunL004201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:31123:31455:-1 gene:VigunL004201.v1.2 transcript:VigunL004201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNKMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTIELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun06g238400.1.v1.2 pep primary_assembly:ASM411807v1:6:34297235:34298712:-1 gene:Vigun06g238400.v1.2 transcript:Vigun06g238400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCICNEKAMGDDSPKNKVKFLCSYAGKVLPRPSDGLLRYVGGETRVVSVPRDVTFAELMKKVGNMVEGEVVLKYQLVPEDLDALISVRTEEDVKHMIQEHDRHQTGLLRAFLFPSSKMLLAASEPYPLEQRYIDAVNGILRTSPKATTRGSACSSPKSISPEAGDQYSPRFCNNSISMSSAMQRVRSSPSLSNMDQQAHYHQPHPHHPFLNYPSFSTTRPPQDPQIARLGGRGASFNYHYSNPRQPPRGVGGVGGYGYPDDSATYGNPLPNLPRSPRRKSIWE >Vigun04g074100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8852523:8855355:1 gene:Vigun04g074100.v1.2 transcript:Vigun04g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTHIYITPFKPSPSPQHNQTPLPTMAKQNKTHFIFPEAQSTVLPDPSEFFSSTLLSKPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSLSYPSRQVSSAVIYQVFNADLTISSKQGSSGKHVISSYSDLSVTLDIPSSNLSFLLVRGSPFLTVSVTQPTPLSITTIHSILSFSSNNTHTKHTFQFNNGQTWILYASSPISLSHTLSEITSHAFSGIVRIALLPDSDSKHEAVLDKFSSCYPVSGEAKFREPFCVEYKWEKKGSGDLLLLAHPLHLQLLSNADNDVTVLEDFKYGSIDGDVVGVVGNSWVLKTDPVFVTWQSTNGVKEESHDEIVSALSNDVDGLNSSSITETRSYFYGKLVARAARLALIAEELCYPDVIPMVVRFLKETIEPWLEGTLKGNGFLHDKKWGGIITQQGSNDGGGDFGFGIYNDHHYHLGYFLYGIAVVTKFDEGWGRKYKAEAYSLVQDFMNLDTKSNSNYTRLRCFDPYVLHSWAGGLTEFTDGRNQESTSEAVCAYYSAALMGLAYGDAHLVSLGSTLTALEILGTKMWWHVEEKGTLYEEEFTEENRIMGVLWSNKRDTGLWFAPAEWKECRLGIQLLPLVPISEAIFSNAEFVKQLVEWTLPALNRDGVGEGWKGFVYALEGIYDHESALHKIRNLTGFDGGNSLTNLLWWIHSRG >Vigun09g028200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2322565:2324437:1 gene:Vigun09g028200.v1.2 transcript:Vigun09g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSKPSSTTNNVRLVHLSGYVEDFENPISVSQLTGTCPKHFVCTSLQLLSPCSKPLNGDTELQPGNVYFMLPYSILQADVSPVDLASLAKRLTAIAKTSKGSSLKDGPFSSQQGLNNVWNSPSRSPGRLGVVERSPCRVQPWKPILDTIREKSFNRRSESDLQEHI >Vigun07g012000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1036936:1037722:1 gene:Vigun07g012000.v1.2 transcript:Vigun07g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNESVAELGLNLNLSPPRVVENRPQSPTPLPSVLPASPSNSCVSTELNQDDDKNNNNLGNPNNNPEAVSLIVVGCPNCLMYLMISEDKHQCPICKNTTLIHFPDGKNPVIRN >Vigun05g133900.1.v1.2 pep primary_assembly:ASM411807v1:5:15772856:15781656:-1 gene:Vigun05g133900.v1.2 transcript:Vigun05g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVIFAEGVLAFLLLVKIGPLRDLVIKSLDQLKMGKGPATVKTIAGTMSVILLSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFLIDRIHHYLQKLVNLRSNARTSNEELENLKKETVQLNEKDEKASKEIKQLKEELSRVAESLKKIKLESEEKEKKVETAEAHVASLQKQAADLLLEYDRLLEENQNLQAQTLGHKS >Vigun05g094350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9149326:9150231:1 gene:Vigun05g094350.v1.2 transcript:Vigun05g094350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEDMLLEILSRLPVRSLKRFMCVSKNFQSIILDVRFLRMHLQNSRKRTNIMLSYSNKGKTYVDASPISSLIEDSTPFFTANTINGSKPQRNKYQVLGSCNGLVCMIKWDQNVPDIFYLWNPATATKVLIPNSHPPHSRHFPLKFHENEYMAMVGFGYDNSRDSYKVVAIVGHLNSEGDNHPFRSVIYNLNDKKIGWKDIQDFPVDPTGTEGDGIYLNNTLNWLGSPYCNNYDYDDDRYISFDEVLIASLDLETEMYTQILLPGELNGVSIEDFSFPFDQLHCNEAPIIGVLGGCLSLSN >Vigun09g147100.1.v1.2 pep primary_assembly:ASM411807v1:9:31081474:31087307:1 gene:Vigun09g147100.v1.2 transcript:Vigun09g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWQSLLQSIIVGLIFSYLLAKLISIVVSFKDDNLTVTRAATPAAAERVEPEAAKREDVVPDSAASLRLEEQSVVAEHGSVRNDSDGDYDDDDWEGVESTELDEAFSAATAFVAAAAADRLSQKVSSDVQLQLYGLYKIATEGPCSTPQPSPLKMTARAKWQAWQKLGAMPPEDAMQKYIDIVTELYPAWLDGSSLRRRSGDGAGSGSEAKGPMGPVFSTYVYEEEYGSDSQMEAIHGFAREGDMANLLKCIENGVSVNLKDSEGRTPLHWAVDRGHLNVTELLVSKNADVNAKDNDGQTALHYAVTCEREGIAEYLVKHNADINSKDNDGSSPRDICEPSWPCLQHVGEVN >Vigun10g102900.2.v1.2 pep primary_assembly:ASM411807v1:10:29524489:29525745:1 gene:Vigun10g102900.v1.2 transcript:Vigun10g102900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDSFLCLGSWPSAGSFVFNTDILATNPLNLSVVLGLLVSFGKGVLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVETEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRTVSANIGMFGMMK >Vigun06g110700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23964653:23965816:-1 gene:Vigun06g110700.v1.2 transcript:Vigun06g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPTRPVKIIAAADDFGAPLKDALVAHLRSLSIEVEDLGTSSYYSAGAEVGRRVSQSSAVRGLVACGTGAGVSIFANKFPGVYAATCLTPSDAVNARSINNSNVLAVSGKYTSPEAAIEILDAWLNTPFKSACPANEGEPWPREIQTFLDHSLVEMPEIGKEGAFDTCAVCCLVKNRELNPIELIPGGSMKIVRETPTSAFVRFKAGSVEPAHHHTFGHDLVVLEGKKSVWNLTKEEKYDLTVGDYLFTPAGDVHRVKYYEDTEFFIKWDGHWDMFFDEDLDTAKKAIDKELGLTNSTVS >Vigun03g291100.1.v1.2 pep primary_assembly:ASM411807v1:3:47446332:47450736:-1 gene:Vigun03g291100.v1.2 transcript:Vigun03g291100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLCSIFFFLFHSHTLHAARISEYRALLSFKDSSITDDPTHALSSWNTTTPYCSWFGVTCDSRRHVTTLNLTSLSLSGTLYDDLSHLPFLSYLSLADNQFSGPIPASFSALSALRHLNLSNNAFNTTFPSNLARLVNLQVLDLYNNNMTGPLPLAVAAMPLLRHLHLGGNFFSGQIPPEYGTWQHLQYLAVSGNELTGNIPPELGNLTALRELYIGYYNAYSGGIPPEMGNLSQLVRFDAAYCGLSGEIPADIGRLQNMDTLFLQVNALSGSLTPELGNLKSLKSMDLSNNVLSGEVPANFAELKNLTLLNLFRNKLHGAIPEFVGELPALEVLQLWENNFTGSIPRSLGRNGKLTLVDLSSNKLTGMLPPDMCYGNRLQTLITLGNYLLGPIPDSLGKCESLTRIRMGENFLNGSIPVGLFGLPNLTQVELQNNLLTGQFPEVGSVALNLGQISLSNNKLSGPLPPTIGNFTSMQKLLLDGNKFSGQIPSQIGRLQQLSKIDFSHNQFSGPIAPEISKCKLLTFIDLSRNELSGEIPNQITAMRILNYLNLSRNNLVGSIPGSIASMQSLTSVDFSYNNLSGLVPGTGQFGYFNYTSFLGNTELCGPYLGPCKDGVANGPRQPHVKGPLSSSLKLLLVIGLLVCSIAFAVAAIIKARALKKASEARTWKLTAFQRLDFTADDVLDCLKEDNIIGKGGAGIVYKGAMPNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPSSKQGDLTITESSLSSSNSLESPTTTSKEPKDDQRPPQSSPPDLLSI >VigunL059908.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:40790:40948:1 gene:VigunL059908.v1.2 transcript:VigunL059908.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun09g174700.2.v1.2 pep primary_assembly:ASM411807v1:9:34583358:34588529:1 gene:Vigun09g174700.v1.2 transcript:Vigun09g174700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNNDDLEYVGDHYYDDVSDFHGHTSGDILPQQPSHADSHDSDFDFDDEDLLTSNAKTDTTASEARNGKDIQGIPWERLNYSRDKYRETRLKQYKNYESLSRSRNHLHKECLNVQKGKTFYDFFFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSAVLRRAKEVLNVAKPIVPSLRPGFLAQPVSRVQISTMTVKENLMVAGGFHGELICKNLKKPGVLFCGKITTDDNAITNAVDVYRNPAGSLRVMTANNDSQVRVFDAENFASLGCFNYDWSVNNISASPDGKLLAVLGDSTECLIADANTGKITGSLKGHLDYSFASAWHPDGRILATGNQDTTCRLWDIRNLSQSMAVLKGRMGAIRALRFTSDGRFLAMAEPADFVHVFDSHSGYVEGQEIDLFGEIAGISFSPDTEALFVGIADRTYGSLLEFVRKRYNYYLDSFF >Vigun09g174700.1.v1.2 pep primary_assembly:ASM411807v1:9:34583358:34588529:1 gene:Vigun09g174700.v1.2 transcript:Vigun09g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNNDDLEYVGDHYYDDVSDFHGHTSGDILPQQPSHADSHDSDFDFDDEDLLTSNAKTDTTASEARNGKDIQGIPWERLNYSRDKYRETRLKQYKNYESLSRSRNHLHKECLNVQKGKTFYDFFFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSAVLRRAKEVLNVAKPIVPSLKRPGFLAQPVSRVQISTMTVKENLMVAGGFHGELICKNLKKPGVLFCGKITTDDNAITNAVDVYRNPAGSLRVMTANNDSQVRVFDAENFASLGCFNYDWSVNNISASPDGKLLAVLGDSTECLIADANTGKITGSLKGHLDYSFASAWHPDGRILATGNQDTTCRLWDIRNLSQSMAVLKGRMGAIRALRFTSDGRFLAMAEPADFVHVFDSHSGYVEGQEIDLFGEIAGISFSPDTEALFVGIADRTYGSLLEFVRKRYNYYLDSFF >Vigun11g140700.1.v1.2 pep primary_assembly:ASM411807v1:11:35003866:35016422:1 gene:Vigun11g140700.v1.2 transcript:Vigun11g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQLKIALALVISLLWIQSSFCDEASDNLNKWRCRCSFGGNQSFSLANCSKSCDCHSDAEESASVWTCTCDSNGFPQVAADGHSPNCFKACNCTWGTVSNRGGSKKRVSIKIVVVILLICVICTTMAFLVSLLCHVYRRDRCTIQSPIFSTYKETSSGSTTNLISHRSGASSVPETKFAINSPICHITGCFQKASFLFGSPKETYHGNIFPFSLAELENATENFSSSNLIGLGGSSYVYHGRLKDGSNVAVKRLKDQGGSEADSAFFKEIELLSRLHHCHLVPLLGYCSELKGKHVQRLLVFDYMANGNLRDCLDGVSGKHVDWATRVMIALGAARGLEYLHEAAAPRILHRDVKSTNILLDENWQAKITDLGMAKNLRSDDLPSCSNSPARMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRQPIHKSTGKEESLVIWATPRLQDSRRVIMELVDPQLKGNFPEEEVQVMAYLAKECLLLDPDTRPTMSEVVQILLSISPGKSRRRRNIPGTLFQETQDEEKQRQGTPSKIFIDHNVSVKNKSKEADPVSGECMESLILLASKSDGSCVSEEEMVDLTEPRFESFCITTAKAP >Vigun06g029166.1.v1.2 pep primary_assembly:ASM411807v1:6:13073379:13073877:1 gene:Vigun06g029166.v1.2 transcript:Vigun06g029166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLKPGDVIQCRECSYRILYKKRTRCKILSSSLTFEAPRPQPPPYLQSKHNEFNTSSDVSIMTDVLQVPHKFLFCGSNFSVSQVPHGRE >Vigun04g131800.1.v1.2 pep primary_assembly:ASM411807v1:4:33134928:33138239:1 gene:Vigun04g131800.v1.2 transcript:Vigun04g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDSSVLEISSHSEPEMAVAAAAEGAAHGGEDDGGDDEMIGVDVDANSGEGNEGNKMSFGGNRWPRQETLALLKIRSDMDAVFRDSSLKGPLWEEVSRKLAGLGYHRSAKKCKEKFENVYKYNKRTKENKSGKSHGKTYKFFDQLQALENHQFTISYPSKPQPTLATTNTLTLPARQSDHGNNNVISYVTPFPSTNPTHISPSPPQTTATNTNNTNNDNVTYSLPNMNTPFSITTTTTTSTSSSTASDEDLEERYRRKRKWKDYFRRLTRKVLLKQEEMQMKFLEAMDKRERERVAQQDNWRMQEMARMNREHEILVQERSTAAAKDAAVIALLQKMYGQQNTTQHVQAQPPEQQNQTTTLQSQPPTLNNNFEIKKLNNGHHSATSTSTITVTTSPASSSSSRWPKAEVHALIRLRTSLDTKYQENGPKAPLWEDISIAMQRLGYSRSAKRCKEKWENINKYFKKVRENNKERRENSKTCPYFHELDAIYKEKSLSQNPFGVFQNMKPNEMMMMEPLMVQPEQQWRPPPHSEEGTEKNSSEEYQEKEEENGGDDDDDDNDHVVVEEDEDGVEDEACETGTND >Vigun07g177775.1.v1.2 pep primary_assembly:ASM411807v1:7:29368078:29370848:1 gene:Vigun07g177775.v1.2 transcript:Vigun07g177775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVDGERAEEVDSTAKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLSTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNTIRSIFENEDRWGTCIKNYKCLKVLADLNAKADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun02g019460.1.v1.2 pep primary_assembly:ASM411807v1:2:6855202:6855518:-1 gene:Vigun02g019460.v1.2 transcript:Vigun02g019460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSLILSFLFVTVAMEVCYGHSLSKESDIVVIACQNVGDCHVHSSALPCDPEYISCYEGYCVCYHKTFKPGTQI >Vigun03g176400.1.v1.2 pep primary_assembly:ASM411807v1:3:21893106:21918548:1 gene:Vigun03g176400.v1.2 transcript:Vigun03g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAAIANFSGISPTPTSLYPRHRLFQSQCCPFFLSRSSHFLLKDLTLPRGRKTPDTAICASFTDVSSNVSLEEKLPPKGETWSVHKFGGTCVGTSQRIKNVAEIILKDDSERKLVIVSAMSKVTDMMYALIHKAQSRDESYISSLDAVLEKHSETAHDILEGDNLASFLSKLHNDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSLVIRKKGIDCKWMDTRDVIIVNPSGSNQVDPDYLESEQRLEKWCSLNPCKVIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYGIPIMIRNIFNLSAPGTKICHPSVYDHEDGQNLQNYVKGFATIDNLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALQSRFRQALDNGRLSQVAIIPNCSILAAVGQKMASTPGVSASLFNALAKANINVRAIAQGCSEYNITVVVKREDCIKALRAVHSRFYLSRTTISMGIIGPGLIGSTLLDQLRDQASTLKEEFNIDLRVMGILGSKSMLLSDAGIDLARWRELREERGEAADLEKFVQHVHGNHFIPNTALVDCTADSVIAGYYYEWLRKGIHVVTPNKKANSGPLDQYLRLRALQRQSYTHYFYEATVGAGLPIVSTLRGLLETGDRILQIEGIFSGTLSYIFNNFKDGRAFSEVVTEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSNIPVESLVPEPLQVCASAQEFMQELPKFDQEFTKKQEDAENVGEVLRYVGVVDVINRKGVVELRRYKKDHPFAQLSGSDNIIAFTTRRYKDQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >Vigun04g177350.1.v1.2 pep primary_assembly:ASM411807v1:4:40045434:40046577:1 gene:Vigun04g177350.v1.2 transcript:Vigun04g177350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDIFSAGFTQLTTITSLNLSYTDITFLPECIEEFQHLDDLDVSYCKYLQEIRGIPPKLRTFRAIECRSLTSSSSSMFLNQQLHEARETDFIFPGGSIPRWFDKQGRGPSISFWFRNKFPAKVVCLFILALQQPEYAETVSPRVLINGQYRGFYIYSYLKREEGIVELDHVYLFDLRVTPFQDDLMEMPLEEEWKHVEVTYQGMCDTSLIKGMGIHVVKTERMSMEDIRYDYPF >Vigun03g323600.1.v1.2 pep primary_assembly:ASM411807v1:3:51934283:51938033:-1 gene:Vigun03g323600.v1.2 transcript:Vigun03g323600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKIVLFGDSITEQSIQQNGWGVPLANAYSRRADVLVRGYGGYNTRWAMFLLNHLFPLDSTTPPIATTIFFGANDAALLGRTSERQHVPIEEFKENLRKFVRHLKECSKAMQIVLITPPPLSEEGRLAYARSQYGEKATKVPERTNEVTGQYANACVEVANEIGVWYINLWSKMQETDDWQTKFLRDGLHLTTEGNTVLYKEVIKVFNEAGLSADNLPFDFPHHSKIDSEHPERAFQQVCDVPL >Vigun03g323600.2.v1.2 pep primary_assembly:ASM411807v1:3:51934288:51938033:-1 gene:Vigun03g323600.v1.2 transcript:Vigun03g323600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKIVLFGDSITEQSIQQNGWGVPLANAYSRRADVLVRGYGGYNTRWAMFLLNHLFPLDSTTPPIATTIFFGANDAALLGRTSERQHVPIEEFKENLRKFVRHLKECSKAMQIVLITPPPLSEEGRLAYARSQYGEKATKVPERTNEVTGQYANACVEVANEIGVWYINLWSKMQETDDWQTKFLRDGLHLTTEGNTVLYKEVIKVFNEAGLSADNLPFDFPHHSKIDSEHPERAFQQVCDVPL >Vigun04g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23362765:23363370:-1 gene:Vigun04g100100.v1.2 transcript:Vigun04g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRFKKIRRLGYLLGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTSQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYPCKPQDIITAKDEQKSKTLIQNYFDSARHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >VigunL030000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:158442:158804:-1 gene:VigunL030000.v1.2 transcript:VigunL030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun03g314500.1.v1.2 pep primary_assembly:ASM411807v1:3:50731064:50739670:-1 gene:Vigun03g314500.v1.2 transcript:Vigun03g314500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEGEAVVACSDKSMKIGASMWDLETGEKLLHIPTCASPPFGFLCLRNRFLVASQLNRHGSVGDGAIAVWSFHKPQQPLLNYTVEAIGTLCCTNDGIYLVGGALSGNVYIWDVTSGKLLKTWRAHNKSLNCMLFSFDNSLLISSTDDGMIFVWSMISLLDVEETRSSPPPLHYLSGHISFITGLLTTPNSNYSILISSSLDGTCKVWDFISGKHMQTQAYPLAITSIALHQTESLLFCGTEQGSIFVDKLDVGRGEGPFVVIKGQPLELNGHSGAITALTSSRSSLISASEDCSICVWDIFSWEVTRRFSLQKVNV >Vigun03g314500.2.v1.2 pep primary_assembly:ASM411807v1:3:50731236:50739670:-1 gene:Vigun03g314500.v1.2 transcript:Vigun03g314500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGEGEAVVACSDKSMKIGASMWDLETGEKLLHIPTCASPPFGFLCLRNRFLVASQLNRHGSVGDGAIAVWSFHKPQQPLLNYTVEAIGTLCCTNDGIYLVGGALSGNVYIWDVTSGKLLKTWRAHNKSLNCMLFSFDNSLLISSTDDGMIFVWSMISLLDVEETRSSPPPLHYLSGHISFITGLLTTPNSNYSILISSSLDGTCKVWDFISGKHMQTQAYPLAITSIALHQTESLLFCGTEQGSIFVDKLDVGRGEGPFVVIKGQPLELNGHSGAITALTSSRSSLISASEDCSICVWDIFSWEVTRRFSLQKGKVTNLVVVSRSSLLSTSKNKRVSNEYNISPLDKYLQLSNSITGTLHSCPLYKGNQTCIDLKSFDLLKQKIFDTQKVNVPTITTLQMRVEASAKNHIWATSMTKHVMVINNQLKSQLLDMMQHRLFLSNNTNLQKTSRKKPNI >Vigun01g225200.1.v1.2 pep primary_assembly:ASM411807v1:1:39820173:39824334:-1 gene:Vigun01g225200.v1.2 transcript:Vigun01g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISSRPIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSAKLTALKGLDARLKEIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHERAEDSKSVTVPSAAA >Vigun10g009800.1.v1.2 pep primary_assembly:ASM411807v1:10:967337:970739:1 gene:Vigun10g009800.v1.2 transcript:Vigun10g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLTTATTATTPTSLSFTSSSIFLSSHQFHIHKFNFPILPLKSTTTTSLSISCKASPIPVLNFSGEKVGESFLDLRSAPPSTARAVVHRAVVTDLQNKRRGTASTLTRAEVSGGGRKPYPQKKTGRARRGSNRTPLRPGGGVIFGPKPRDWSVKINRKEKRLAISTAVASAASSAVVVEDFGAEFAEKPRTREFIAAMKRWGLDPKEKAMFLMTEVPENVRLSSRNIGTLKMHTPRTLNLFDILDAEKLVLTQGAVDYLNQRYGADYLGSDDEDEEEEDDYEGGEEGVVDGEEGPATEDSDVVN >Vigun11g116300.2.v1.2 pep primary_assembly:ASM411807v1:11:32108529:32113759:-1 gene:Vigun11g116300.v1.2 transcript:Vigun11g116300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVVAVVGPLSSGIAHIISHVVNELHVPLLSFGATDPTLSSLQYPYFIRTTQNDYFQMYAVSAFINYHRWREVIAIYVDDDNGRNGVSVLGDALSKKRSKISYKAAFSPGASESDISDLLNEVNLMESRVYVLHVNPDTGLAIFSIAKKLGMMGSGYVWIATDWLHSVLDSSELPDPDTMNLLQGIVAFRHHIPDSDLKKNLLSSLKSQRAKETVSFNSYAFYAYDSVWLAARALDAYLNEGGNISFSSDPKLQDTNGSMLHLASLRTFDGGPQFLQTILGMNFTGVSGEIEFDMEKNLVDPAYEILNIGGGGSRRIGYWSNHSGLSVIAPETLYLKNSSNTSLLRNQQLYSVIWPGEITTTPRGWVFPNNGQPLRIAVPNRVSYKDFVSKDKNPPGVQGYCIDVFEAALKLLPYPVPRKYMLFGNGVRNPSYNELVEQVAQNNFDAVVGDVTIVTNRTRIVDFTQPFMPSGLVVVVPFKEQKSSPWSFLQPFTTQMWCVTGAFFLFVGSVVWILEHRLNPEFRGSPRKQLITVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVDDLNIAESRIVTLKNMEDYIDALQRGPEAGGVVAVVDELPYIEFLMSSTQCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLNKKECTTVDVDSNKLALTSFWGLFLICGIACFITLTIFFARIFCQYNKFIPESEKIDEEIQPVRRSRRPSRTPSLKKWMVFVDKRESEVKEILRDNKKRRHSQSLEDPSVSSAI >Vigun11g116300.3.v1.2 pep primary_assembly:ASM411807v1:11:32108529:32113759:-1 gene:Vigun11g116300.v1.2 transcript:Vigun11g116300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILARAFSLALTCKSFCTAQIAVRFLEQWKLMENDVVAVVGPLSSGIAHIISHVVNELHMYAVSAFINYHRWREVIAIYVDDDNGRNGVSVLGDALSKKRSKISYKAAFSPGASESDISDLLNEVNLMESRVYVLHVNPDTGLAIFSIAKKLGMMGSGYVWIATDWLHSVLDSSELPDPDTMNLLQGIVAFRHHIPDSDLKKNLLSSLKSQRAKETVSFNSYAFYAYDSVWLAARALDAYLNEGGNISFSSDPKLQDTNGSMLHLASLRTFDGGPQFLQTILGMNFTGVSGEIEFDMEKNLVDPAYEILNIGGGGSRRIGYWSNHSGLSVIAPETLYLKNSSNTSLLRNQQLYSVIWPGEITTTPRGWVFPNNGQPLRIAVPNRVSYKDFVSKDKNPPGVQGYCIDVFEAALKLLPYPVPRKYMLFGNGVRNPSYNELVEQVAQNNFDAVVGDVTIVTNRTRIVDFTQPFMPSGLVVVVPFKEQKSSPWSFLQPFTTQMWCVTGAFFLFVGSVVWILEHRLNPEFRGSPRKQLITVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVDDLNIAESRIVTLKNMEDYIDALQRGPEAGGVVAVVDELPYIEFLMSSTQCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLNKKECTTVDVDSNKLALTSFWGLFLICGIACFITLTIFFARIFCQYNKFIPESEKIDEEIQPVRRSRRPSRTPSLKKWMVFVDKRESEVKEILRDNKKRRHSQSLEDPSVSSAI >Vigun11g116300.4.v1.2 pep primary_assembly:ASM411807v1:11:32108529:32113759:-1 gene:Vigun11g116300.v1.2 transcript:Vigun11g116300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILARAFSLALTCKSFCTAQIAVRFLEQWKLMENDVVAVVGPLSSGIAHIISHVVNELHVPLLSFGATDPTLSSLQYPYFIRTTQNDYFQMYAVSAFINYHRWREVIAIYVDDDNGRNGVSVLGDALSKKRSKISYKAAFSPGASESDISDLLNEVNLMESRVYVLHVNPDTGLAIFSIAKKLGMMGSGYVWIATDWLHSVLDSSELPDPDTMNLLQGIVAFRHHIPDSDLKKNLLSSLKSQRAKETVSFNSYAFYAYDSVWLAARALDAYLNEGGNISFSSDPKLQDTNGSMLHLASLRTFDGGPQFLQTILGMNFTGVSGEIEFDMEKNLVDPAYEILNIGGGGSRRIGYWSNHSGLSVIAPETLYLKNSSNTSLLRNQQLYSVIWPGEITTTPRGWVFPNNGQPLRIAVPNRVSYKDFVSKDKNPPGVQGYCIDVFEAALKLLPYPVPRKYMLFGNGVRNPSYNELVEQVAQNNFDAVVGDVTIVTNRTRIVDFTQPFMPSGLVVVVPFKEQKSSPWSFLQPFTTQMWCVTGAFFLFVGSVVWILEHRLNPEFRGSPRKQLITVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVDDLNIAESRIVTLKNMEDYIDALQRGPEAGGVVAVVDELPYIEFLMSSTQCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLNKKECTTVDVDSNKLALTSFWGLFLICGIACFITLTIFFARIFCQYNKFIPESEKIDEEIQPVRRSRRPSRTPSLKKWMVFVDKRESEVKEILRDNKKRRHSQSLEDPSVSSAI >Vigun11g116300.1.v1.2 pep primary_assembly:ASM411807v1:11:32108529:32113759:-1 gene:Vigun11g116300.v1.2 transcript:Vigun11g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRSLFLLVLCLWIPLEIVGRKEPSSPTSVDSSVSSRPKVVKFGALFPADSVIGRSALPAILAAVKDVNSSTSILPGIDLQVILHSTNCSAFLGTMEALQLMENDVVAVVGPLSSGIAHIISHVVNELHVPLLSFGATDPTLSSLQYPYFIRTTQNDYFQMYAVSAFINYHRWREVIAIYVDDDNGRNGVSVLGDALSKKRSKISYKAAFSPGASESDISDLLNEVNLMESRVYVLHVNPDTGLAIFSIAKKLGMMGSGYVWIATDWLHSVLDSSELPDPDTMNLLQGIVAFRHHIPDSDLKKNLLSSLKSQRAKETVSFNSYAFYAYDSVWLAARALDAYLNEGGNISFSSDPKLQDTNGSMLHLASLRTFDGGPQFLQTILGMNFTGVSGEIEFDMEKNLVDPAYEILNIGGGGSRRIGYWSNHSGLSVIAPETLYLKNSSNTSLLRNQQLYSVIWPGEITTTPRGWVFPNNGQPLRIAVPNRVSYKDFVSKDKNPPGVQGYCIDVFEAALKLLPYPVPRKYMLFGNGVRNPSYNELVEQVAQNNFDAVVGDVTIVTNRTRIVDFTQPFMPSGLVVVVPFKEQKSSPWSFLQPFTTQMWCVTGAFFLFVGSVVWILEHRLNPEFRGSPRKQLITVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVDDLNIAESRIVTLKNMEDYIDALQRGPEAGGVVAVVDELPYIEFLMSSTQCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLNKKECTTVDVDSNKLALTSFWGLFLICGIACFITLTIFFARIFCQYNKFIPESEKIDEEIQPVRRSRRPSRTPSLKKWMVFVDKRESEVKEILRDNKKRRHSQSLEDPSVSSAI >Vigun11g116300.5.v1.2 pep primary_assembly:ASM411807v1:11:32108529:32113759:-1 gene:Vigun11g116300.v1.2 transcript:Vigun11g116300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRSLFLLVLCLWIPLEIVGRKEPSSPTSVDSSVSSRPKVVKFGALFPADSVIGRSALPAILAAVKDVNSSTSILPGIDLQVILHSTNCSAFLGTMEALQLMENDVVAVVGPLSSGIAHIISHVVNELHMYAVSAFINYHRWREVIAIYVDDDNGRNGVSVLGDALSKKRSKISYKAAFSPGASESDISDLLNEVNLMESRVYVLHVNPDTGLAIFSIAKKLGMMGSGYVWIATDWLHSVLDSSELPDPDTMNLLQGIVAFRHHIPDSDLKKNLLSSLKSQRAKETVSFNSYAFYAYDSVWLAARALDAYLNEGGNISFSSDPKLQDTNGSMLHLASLRTFDGGPQFLQTILGMNFTGVSGEIEFDMEKNLVDPAYEILNIGGGGSRRIGYWSNHSGLSVIAPETLYLKNSSNTSLLRNQQLYSVIWPGEITTTPRGWVFPNNGQPLRIAVPNRVSYKDFVSKDKNPPGVQGYCIDVFEAALKLLPYPVPRKYMLFGNGVRNPSYNELVEQVAQNNFDAVVGDVTIVTNRTRIVDFTQPFMPSGLVVVVPFKEQKSSPWSFLQPFTTQMWCVTGAFFLFVGSVVWILEHRLNPEFRGSPRKQLITVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVRQLSSQIEGIDSLISSTQPIGIQDGSFARKYLVDDLNIAESRIVTLKNMEDYIDALQRGPEAGGVVAVVDELPYIEFLMSSTQCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSESGDLQRIHDKWLNKKECTTVDVDSNKLALTSFWGLFLICGIACFITLTIFFARIFCQYNKFIPESEKIDEEIQPVRRSRRPSRTPSLKKWMVFVDKRESEVKEILRDNKKRRHSQSLEDPSVSSAI >Vigun02g028412.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10396878:10397531:1 gene:Vigun02g028412.v1.2 transcript:Vigun02g028412.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTALATTTATITATTTTIANTTTKTTIITTNATTTNTAMDTPTMTTTTTATTTTTIATTTTATTPNHHPYLYHTTTTITTTTTTTTTTTATTVNTTTATTKATKATTTTTTTTTKSPTTTTTTTTTITTTTTMTTMVTTTSATTTTITTYTITATTTNTATTTTTTTNPTTTPSKSTTTTKQPRPRPLPPRLSQAPPWPPPLPQPPPPAQPPP >Vigun03g435200.5.v1.2 pep primary_assembly:ASM411807v1:3:63931277:63934165:-1 gene:Vigun03g435200.v1.2 transcript:Vigun03g435200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIPSSRNSFVVGGDCNHNVAASSSSSSSSSSSCHRQSIVIVANKNRKQHETQGSESTPPRITSNVKQNLRFLKLWKSFQSRNSATPRPSTSYRKKKVEKDEDVVDTDLYRDPTSSLYYTNQVGIDNAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPSHKEDFAGLDIIFSGETCADTWIEKEVAALREDGCPKVWVVTSDHCHQQAAHGAGAFIWSCKALVTEVKH >Vigun03g435200.6.v1.2 pep primary_assembly:ASM411807v1:3:63930730:63934165:-1 gene:Vigun03g435200.v1.2 transcript:Vigun03g435200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIPSSRNSFVVGGDCNHNVAASSSSSSSSSSSCHRQSIVIVANKNRKQHETQGSESTPPRITSNVKQNLRFLKLWKSFQSRNSATPRPSTSYRKKKVEKDEDVVDTDLYRDPTSSLYYTNQVGIDNAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPSHKEDFAGLDIIFSGETCADTWIEKEVAALREDGCPKVWVVTSDHCHQQAAHGAVCFLPIN >Vigun03g435200.2.v1.2 pep primary_assembly:ASM411807v1:3:63925444:63934165:-1 gene:Vigun03g435200.v1.2 transcript:Vigun03g435200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIPSSRNSFVVGGDCNHNVAASSSSSSSSSSSCHRQSIVIVANKNRKQHETQGSESTPPRITSNVKQNLRFLKLWKSFQSRNSATPRPSTSYRKKKVEKDEDVVDTDLYRDPTSSLYYTNQVGIDNAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPSHKEDFAGLDIIFSGETCADTWIEKEVAALREDGCPKVWVVTSDHCHQQAAHGAGAFIWSCKALVTEIKASQKEVERMLQEQSSTSFQGRLLKHNLDAEVVDALKDLRKQLSENELK >Vigun03g435200.4.v1.2 pep primary_assembly:ASM411807v1:3:63928247:63934165:-1 gene:Vigun03g435200.v1.2 transcript:Vigun03g435200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIPSSRNSFVVGGDCNHNVAASSSSSSSSSSSCHRQSIVIVANKNRKQHETQGSESTPPRITSNVKQNLRFLKLWKSFQSRNSATPRPSTSYRKKKVEKDEDVVDTDLYRDPTSSLYYTNQVGIDNAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPSHKEDFAGLDIIFSGETCADTWIEKEVAALREDGCPKVWVVTSDHCHQQAAHGAGAFIWSCKALVTEIKASQKEVERMLQEQSSTSFQGRLLKHNLDAEVVDALKDLRKQLSENELK >Vigun03g435200.3.v1.2 pep primary_assembly:ASM411807v1:3:63928247:63934165:-1 gene:Vigun03g435200.v1.2 transcript:Vigun03g435200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAIPSSRNSFVVGGDCNHNVAASSSSSSSSSSSCHRQSIVIVANKNRKQHETQGSESTPPRITSNVKQNLRFLKLWKSFQSRNSATPRPSTSYRKKKVEKDEDVVDTDLYRDPTSSLYYTNQVGIDNAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPSHKEDFAGLDIIFSGETCADTWIEKEVAALREDGCPKVWVVTSDHCHQQAAHGAGAFIWSCKALVTEIKASQKEVERMLQEQSSTSFQGRLLKHNLDAEVVDALKDLRKQLSENELK >Vigun11g069000.4.v1.2 pep primary_assembly:ASM411807v1:11:20106429:20110135:-1 gene:Vigun11g069000.v1.2 transcript:Vigun11g069000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSVRLTNSSMGMFSFMIIVAYVLVPVLKISAANLTVSQSIIDGETLVSNSGQFELGFFTPGKSTKRYLGIWYKIIPVQRVVWVPNGANPINDSSGILAFSKTWNLEVRQNDTVVWSATYQKQARNPEAVLLDNGNFVIRNEGETNPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERRITSWKSSDDPSPGDHSWGLKLNNYPEFYLMNGTRKSSRIGPWNGLYFSGVSQQKPNPFYEFKYVVKNDLKYAPNKVEMSYSFVPKNSSVLVAITIGEGQFETRRWGETMERWGVFESTPKDACDTYGYCGAYGNCWITVSPVCQCLEGFSPKSTQEWNVMNWTEGCIRKKPLSCKEYYKDEFVKYVGLKVPDTTHTWVDESIDLDDCRRRCLSNCSCMAFTNSDIRGAGSGCVMWFGDLIDIRHFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILLFCIFVMYRVRRNIAEKSEKKENIERQLEDLDMPLFDLCTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGITEFITEVKLIAKVQHRNLVKLLGCCIREEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTL >Vigun11g069000.2.v1.2 pep primary_assembly:ASM411807v1:11:20106429:20110135:-1 gene:Vigun11g069000.v1.2 transcript:Vigun11g069000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSVRLTNSSMGMFSFMIIVAYVLVPVLKISAANLTVSQSIIDGETLVSNSGQFELGFFTPGKSTKRYLGIWYKIIPVQRVVWVPNGANPINDSSGILAFSKTWNLEVRQNDTVVWSATYQKQARNPEAVLLDNGNFVIRNEGETNPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERRITSWKSSDDPSPGDHSWGLKLNNYPEFYLMNGTRKSSRIGPWNGLYFSGVSQQKPNPFYEFKYVVKNDLKYAPNKVEMSYSFVPKNSSVLVAITIGEGQFETRRWGETMERWGVFESTPKDACDTYGYCGAYGNCWITVSPVCQCLEGFSPKSTQEWNVMNWTEGCIRKKPLSCKEYYKDEFVKYVGLKVPDTTHTWVDESIDLDDCRRRCLSNCSCMAFTNSDIRGAGSGCVMWFGDLIDIRHFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILLFCIFVMYRVRRNIAEKSEKKENIERQLEDLDMPLFDLCTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGITEFITEVKLIAKVQHRNLVKLLGCCIREEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTLLYVGTKIDLCVMETRLLTLLAVHGNFGKRKMP >Vigun11g069000.3.v1.2 pep primary_assembly:ASM411807v1:11:20106429:20110135:-1 gene:Vigun11g069000.v1.2 transcript:Vigun11g069000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSVRLTNSSMGMFSFMIIVAYVLVPVLKISAANLTVSQSIIDGETLVSNSGQFELGFFTPGKSTKRYLGIWYKIIPVQRVVWVPNGANPINDSSGILAFSKTWNLEVRQNDTVVWSATYQKQARNPEAVLLDNGNFVIRNEGETNPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERRITSWKSSDDPSPGDHSWGLKLNNYPEFYLMNGTRKSSRIGPWNGLYFSGVSQQKPNPFYEFKYVVKNDLKYAPNKVEMSYSFVPKNSSVLVAITIGEGQFETRRWGETMERWGVFESTPKDACDTYGYCGAYGNCWITVSPVCQCLEGFSPKSTQEWNVMNWTEGCIRKKPLSCKEYYKDEFVKYVGLKVPDTTHTWVDESIDLDDCRRRCLSNCSCMAFTNSDIRGAGSGCVMWFGDLIDIRHFETGGQDLYIRMSSANSEKSEKKENIERQLEDLDMPLFDLCTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGITEFITEVKLIAKVQHRNLVKLLGCCIREEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTFGYMAPEYAVHGLFSIKSDVFSFGILLLEIVCGNKNRPLCHGNQTLNLVGCAWELWKEKNALDLIDSNVKDSCVITEALRCIHVSLLCVQQYPEDRPTMTSIIQMLVSEMELVEPKEPGFFPKRISDDENVNKMSINEELSITSLNGR >Vigun11g069000.1.v1.2 pep primary_assembly:ASM411807v1:11:20106429:20110135:-1 gene:Vigun11g069000.v1.2 transcript:Vigun11g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSVRLTNSSMGMFSFMIIVAYVLVPVLKISAANLTVSQSIIDGETLVSNSGQFELGFFTPGKSTKRYLGIWYKIIPVQRVVWVPNGANPINDSSGILAFSKTWNLEVRQNDTVVWSATYQKQARNPEAVLLDNGNFVIRNEGETNPDEYLWQSFDYPSDTLLPGMKLGWDLRTGLERRITSWKSSDDPSPGDHSWGLKLNNYPEFYLMNGTRKSSRIGPWNGLYFSGVSQQKPNPFYEFKYVVKNDLKYAPNKVEMSYSFVPKNSSVLVAITIGEGQFETRRWGETMERWGVFESTPKDACDTYGYCGAYGNCWITVSPVCQCLEGFSPKSTQEWNVMNWTEGCIRKKPLSCKEYYKDEFVKYVGLKVPDTTHTWVDESIDLDDCRRRCLSNCSCMAFTNSDIRGAGSGCVMWFGDLIDIRHFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILLFCIFVMYRVRRNIAEKSEKKENIERQLEDLDMPLFDLCTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGITEFITEVKLIAKVQHRNLVKLLGCCIREEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTFGYMAPEYAVHGLFSIKSDVFSFGILLLEIVCGNKNRPLCHGNQTLNLVGCAWELWKEKNALDLIDSNVKDSCVITEALRCIHVSLLCVQQYPEDRPTMTSIIQMLVSEMELVEPKEPGFFPKRISDDENVNKMSINEELSITSLNGR >Vigun11g069000.5.v1.2 pep primary_assembly:ASM411807v1:11:20106429:20110135:-1 gene:Vigun11g069000.v1.2 transcript:Vigun11g069000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWDLRTGLERRITSWKSSDDPSPGDHSWGLKLNNYPEFYLMNGTRKSSRIGPWNGLYFSGVSQQKPNPFYEFKYVVKNDLKYAPNKVEMSYSFVPKNSSVLVAITIGEGQFETRRWGETMERWGVFESTPKDACDTYGYCGAYGNCWITVSPVCQCLEGFSPKSTQEWNVMNWTEGCIRKKPLSCKEYYKDEFVKYVGLKVPDTTHTWVDESIDLDDCRRRCLSNCSCMAFTNSDIRGAGSGCVMWFGDLIDIRHFETGGQDLYIRMSSANSDLTTNTRAQQRHKRVKIIIATTTAAISGILLFCIFVMYRVRRNIAEKSEKKENIERQLEDLDMPLFDLCTITTATNNFSSNNMIGKGGFGPVYKGKLADGQEIAVKRLSRSSGQGITEFITEVKLIAKVQHRNLVKLLGCCIREEKILVYEYMVNGSLDSFIFDEQKGKFLDWPMRFQIILGVARGLLYLHQDSRLRIIHRDLKASNVLLDENLNPKISDFGMARAFGGDQTEGNTNRVVGTFGYMAPEYAVHGLFSIKSDVFSFGILLLEIVCGNKNRPLCHGNQTLNLVGCAWELWKEKNALDLIDSNVKDSCVITEALRCIHVSLLCVQQYPEDRPTMTSIIQMLVSEMELVEPKEPGFFPKRISDDENVNKMSINEELSITSLNGR >Vigun02g002551.1.v1.2 pep primary_assembly:ASM411807v1:2:1355941:1357054:-1 gene:Vigun02g002551.v1.2 transcript:Vigun02g002551.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLALNKRRKQTYYETRQTQQHAHIISHKYFYVEDEKHQDNIFIELFKIEFLPIAAPTRVVTKMLREGVECGSSPRQLVVFMIFF >Vigun01g045900.1.v1.2 pep primary_assembly:ASM411807v1:1:6856268:6861343:1 gene:Vigun01g045900.v1.2 transcript:Vigun01g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLGRRIGTVVKEELRSKGAWRDSLMAAASRAIAERIPLANLVVQVRDARIPLSSECEILRNYPLPHKQIIVLNKMDLAGASNVKAWVEYFREMNCVSCGVNAHNKENIRQLLSLIQRQVSELKRDDQCDNNYTATVMLIGIPNVGKSALINALHQVGRINAAEKGKLKHATVSPEPRETKNIRSFKIGSHPNIYVLDTPAVLSPENLNVAVLSKLILTGAVGDCLVRRKEVAQYFLAILNSSDQYKKWAKLFMKDNDRSFLNGTTEQLTSSELHMKKKIQFQTDHTQDCIVQDVRRKLFETISSLEGEIKCEDEMLALVDRQFSALQEAFHVSGECEEDAHDKVAGKLLNLFRTGRIGHYILDHLPGKIQ >Vigun10g131000.2.v1.2 pep primary_assembly:ASM411807v1:10:33951119:33963028:1 gene:Vigun10g131000.v1.2 transcript:Vigun10g131000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPQQSRKLHLGSNQETQLRGQGIEQQMLNPVHQAYLQYALQAAQQKSTLGIQSQQQTKMGMLGSASQKEQEMRMGNLKMQEILSMQAANQAQGSSSRNSSELVSRGDKQMDQGQQIAPDQKSEGKPSTQGPPTVGNLIPGNMIRPMQTPEAQQGIQNVVNTQIAVSAQLQAMQAWARERNIDLSHPANAHLMAQLIPLMQSRMVSQSKVNESNIGTQSSPVPVSKQQVTSPAVASESSAHANSSSDMSGQSGSSKARQTVPPSHLGSTTNAGMAGNSSDMATQPFSVHGRESQTPLRQPVAAGSRMPAMHSQQSSANTNLGADHPLNGKTSSSGPEPPQMQYIRQLNQGASQAGGPSNEGGSGNFAKSQGPPAQMPQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPSLETQAQQLSHAAGGQNQDKSTGNIVAEQASHIESNAKESQSVPAINGQSSLKQESFARDEKSTLPPVHVQAVAPPVSKESVPTLSAGKEEQKSVGSSVKSNQDSERGNNTTPVRNELALDRGKAIVSQAPVSETMQIKKPAQTSTVSQPKDAASTRKYHGPLFDFPFFTRKHDSFGSSMMLNNNNLSLAYDVKDLLFEEGMEVLNKKRTENLKKIEGLLAVNLERKRIRPDLVLRLQIEEKKLRLVDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQRAVREKQLKSIFQWRKKLLEAHWTIRDARTARNRGVAKYHEKMLREFSKRKDDDRNKRLEALKNNDVDRYREMLLEQQTSIPGDAAERYAVLSTFLSQTEEYLHKLGSKITAAKNQQEVEEAAKSAAAAARVQGLSEEEVRAAAACAGEEVMIRNRFLEMNAPRESSSVNKYYNLAHAVSETVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSEFYNWLPSVSCIFYVGSKDHRSKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPSQNVEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCKMSAVQSAIYDWVKSTGTLRLDPEDEKRKLHRNPAYQVKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKDFIVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSHQKEDEVRSGGTVDMEDELAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHDVPSLQEVNRMIARSKEEIELFDQMDDEFDWIEEMTRYDNVPKWLRANSREVNAAIAALSKRPSKNTLLGGNIAMESSEIGSERKRGRPKGKKHPNYKELDDEILEYSEVSSEERNGYAHEEGEIGEFDDDGYSVADGAQTIDKDHLEDGLLGDARFEFPQSLDSNRNNQMVEEAGSSGSSSDSQRLTQVVSPSVSSQKFGSLSALDARPGSVSKRMTDELEEGEIAVSGDSHMDHQQSGSWIHDREEGEDEQVLQKPKIKRKRSLRVRPRHPTERPEEKSGGEMASHLAVQADHKYQAQLRTDPESKALGDSNASRHEQNTPSLKNKRTLPSRRVANTSKLHGSPKSSRLNCMSLPPQDGGEHSRESLEGKPICGSSGHGTKMTEIIQRRCKNVISKLQRRIDKEGHEIVPLLTDLWKRIENSGNSLLDLRKIDQRIDKFEYNGATELVFDVQFMLKSAMHFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFRDARSALSFSGQAATSTVASPRQAPVSQSKRHRLINEMETESHPSQKSLQRGSASSGENSRIKVHLPPRESRTGSGGGGSTREQPQQEDSSLLAHPGELVVCKKRRNDREKSLAKPKTGPVSPSSMRSPGSLKDARLTQQASHAQGWAGQPSQQPNGSGGSVSWANPVKRLRTDSGKRRPSHT >Vigun10g131000.1.v1.2 pep primary_assembly:ASM411807v1:10:33949947:33963028:1 gene:Vigun10g131000.v1.2 transcript:Vigun10g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGPGRNPGVGRAVTSSAAASPTSSSSASQLGLDSMQQQQQQQQMGSRQSFQQQLLRKPEGNEAFLAYQAGLQGVFGSNNFSSPNAMQLPQQSRKLHLGSNQETQLRGQGIEQQMLNPVHQAYLQYALQAAQQKSTLGIQSQQQTKMGMLGSASQKEQEMRMGNLKMQEILSMQAANQAQGSSSRNSSELVSRGDKQMDQGQQIAPDQKSEGKPSTQGPPTVGNLIPGNMIRPMQTPEAQQGIQNVVNTQIAVSAQLQAMQAWARERNIDLSHPANAHLMAQLIPLMQSRMVSQSKVNESNIGTQSSPVPVSKQQVTSPAVASESSAHANSSSDMSGQSGSSKARQTVPPSHLGSTTNAGMAGNSSDMATQPFSVHGRESQTPLRQPVAAGSRMPAMHSQQSSANTNLGADHPLNGKTSSSGPEPPQMQYIRQLNQGASQAGGPSNEGGSGNFAKSQGPPAQMPQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPSLETQAQQLSHAAGGQNQDKSTGNIVAEQASHIESNAKESQSVPAINGQSSLKQESFARDEKSTLPPVHVQAVAPPVSKESVPTLSAGKEEQKSVGSSVKSNQDSERGNNTTPVRNELALDRGKAIVSQAPVSETMQIKKPAQTSTVSQPKDAASTRKYHGPLFDFPFFTRKHDSFGSSMMLNNNNLSLAYDVKDLLFEEGMEVLNKKRTENLKKIEGLLAVNLERKRIRPDLVLRLQIEEKKLRLVDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQRAVREKQLKSIFQWRKKLLEAHWTIRDARTARNRGVAKYHEKMLREFSKRKDDDRNKRLEALKNNDVDRYREMLLEQQTSIPGDAAERYAVLSTFLSQTEEYLHKLGSKITAAKNQQEVEEAAKSAAAAARVQGLSEEEVRAAAACAGEEVMIRNRFLEMNAPRESSSVNKYYNLAHAVSETVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSEFYNWLPSVSCIFYVGSKDHRSKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPSQNVEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCKMSAVQSAIYDWVKSTGTLRLDPEDEKRKLHRNPAYQVKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKDFIVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSHQKEDEVRSGGTVDMEDELAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHDVPSLQEVNRMIARSKEEIELFDQMDDEFDWIEEMTRYDNVPKWLRANSREVNAAIAALSKRPSKNTLLGGNIAMESSEIGSERKRGRPKGKKHPNYKELDDEILEYSEVSSEERNGYAHEEGEIGEFDDDGYSVADGAQTIDKDHLEDGLLGDARFEFPQSLDSNRNNQMVEEAGSSGSSSDSQRLTQVVSPSVSSQKFGSLSALDARPGSVSKRMTDELEEGEIAVSGDSHMDHQQSGSWIHDREEGEDEQVLQKPKIKRKRSLRVRPRHPTERPEEKSGGEMASHLAVQADHKYQAQLRTDPESKALGDSNASRHEQNTPSLKNKRTLPSRRVANTSKLHGSPKSSRLNCMSLPPQDGGEHSRESLEGKPICGSSGHGTKMTEIIQRRCKNVISKLQRRIDKEGHEIVPLLTDLWKRIENSGNSLLDLRKIDQRIDKFEYNGATELVFDVQFMLKSAMHFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFRDARSALSFSGQAATSTVASPRQAPVSQSKRHRLINEMETESHPSQKSLQRGSASSGENSRIKVHLPPRESRTGSGGGGSTREQPQQEDSSLLAHPGELVVCKKRRNDREKSLAKPKTGPVSPSSMRSPGSLKDARLTQQASHAQGWAGQPSQQPNGSGGSVSWANPVKRLRTDSGKRRPSHT >Vigun06g100600.1.v1.2 pep primary_assembly:ASM411807v1:6:23083491:23087397:1 gene:Vigun06g100600.v1.2 transcript:Vigun06g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVFIITLLVFLSLQRANILAVDAAWNAVGNENGIKKTSGNDENENKESPRLENFRTLLGLKSLNMFHTRRLCYSVFKSNCVSLSASLSSKTQAEPPNSELHVHPGSYHSHRSMPLHEIQQQDRGSANRTTLVAVVVSGVVATLICALGCVCVCKRFRNQKWKPNRTMPLFRKNGRTAGTCHNSSSNVSLNSDPDLLSLKQTCETKSGTSSRSSLKRAINEEKVLNQEEHDNASTASYSATKETLSVHEDLEYDGGGKASSAEKTDPEACHSSSDYESFHSCVETEPLFLSPRNLISETTQFPCSSNNSTPKVESNQSPSTPKDEEQKRSETSQSSIPPPPSPPPFLKRNMNNSVIKTPPSQQLPKLKPLHWDKVRATPDRTMVWDTLRTNSFELDEEMIESLFGYNLQNSTKNNPTMSKTPSPKEHVLEPKRLQNIAILSKALNITAEEACEALILGKGLSLQQLEALVKMVPTKEEEDKLLSYKGGVNELGFAENFVRVMLSIPFAFQRVEAMLYRETFEDEVTHLSDSFTMLEEACKELRSSKFFLKLLEAVLKTGNRMNVGTTRGGARAFKLDALLKLADVKGTDGKTTLLHFFVQEIVRSEGTKASKSIVEQKSENRSEEEEEEDYRRMGLKLVSGLSAELCNVKKTATIDLNVLASSVSNLSSGLGNMQKLVKGLLCEDERNKSFVISMKRFLNYAERKVEELHGDEARVMGRVKEVTEYFHGDVSDEESNPLQIFVIVRDFLDMVNNVCNELKRSMKSPRTIWIE >Vigun08g048800.1.v1.2 pep primary_assembly:ASM411807v1:8:5437269:5444806:1 gene:Vigun08g048800.v1.2 transcript:Vigun08g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVSTTRGPLDIELWPKEAPKAVRNFVQLCLENYYDNTIFHRVIKDFLVQAGDPSGSGTGGESIYGGVFADEFHSRLKFKHRGIVAMASAGSPNSNGSQFFITLDRCDWLDRKHTIFGKVTGDTMYNLLRLGEVETDKNDRPLDPPKILSVEVLWNPFEDIVPRTLQKSRTEARVDTESKEPKKKGVKKLNLLSFGEEAEEEEKELVLVKQKIKSSHDVLNDPRLLKEETPDSELSSSRRDLQLSVRETLNAKKEEPQKDSAPGNVARLDSSDDDEADFDSRMRMQILKKRKELGDLPPKPKSQKAGRSIPENHDTSAPRSNAASVDEDQPKVEKLSMKKKGVGSEARAERMANADADLQLLNETERGRQLQKQKKRRLQGREDEVLAKLQKFKNSYAAKETPPSESEDVKNEDLSDWRGVSLKFAPESGKDRMSRNEDPNDYVVHDPLLEKGKEKFNRMIAKQKRREREWAGRSLT >Vigun05g220566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41187862:41188578:1 gene:Vigun05g220566.v1.2 transcript:Vigun05g220566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDSRDSPEFSLTSTYFGNCLTSCMVAMKRSEIVGENGIVTAAKTIEREIRDFKSALRKAENLMSDFKELEKLGKSVLVITSSPKLGVYQTDFGWGKPKKCEAAHVESSKFMSLSDCRDEKEGIEVGLALERTQMNKFSNILKKELHNINKF >Vigun05g047100.3.v1.2 pep primary_assembly:ASM411807v1:5:3948468:3952351:-1 gene:Vigun05g047100.v1.2 transcript:Vigun05g047100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEDNRGSFSSKRFRNDASRKDGDWTCPNCGNLNFSFRIVCNQGRCGAPRPPLTPVSAGLFKPALITSPYRNSHPFYYGGFAIPSPSYSMPGQVGSLVPHLGIQYDYGLYARPGAPYGPLPMFPPGSFGGVDGYGYGFQSPQWADGLVADHFASRKRRGGPDGLSEGDWICPKCENVNFAFRTTCNIKHCGAARPSSNKPSSTVIPEGSWTCERCGNLNYPFRNVCNRKNCRTDKKGSV >Vigun05g047100.1.v1.2 pep primary_assembly:ASM411807v1:5:3948387:3952386:-1 gene:Vigun05g047100.v1.2 transcript:Vigun05g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEDNRGSFSSKRFRNDASRKDGDWTCPNCGNLNFSFRIVCNQGRCGAPRPPLTPPALITSPYRNSHPFYYGGFAIPSPSYSMPGQVGSLVPHLGIQYDYGLYARPGAPYGPLPMFPPGSFGGVDGYGYGFQSPQWADGLVADHFASRKRRGVVFTGPDGLSEGDWICPKCENVNFAFRTTCNIKHCGAARPSSNKPSSTVIPEGSWTCERCGNLNYPFRNVCNRKNCRTDKKGSV >Vigun05g047100.2.v1.2 pep primary_assembly:ASM411807v1:5:3948387:3952386:-1 gene:Vigun05g047100.v1.2 transcript:Vigun05g047100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEDNRGSFSSKRFRNDASRKDGDWTCPNCGNLNFSFRIVCNQGRCGAPRPPLTPPALITSPYRNSHPFYYGGFAIPSPSYSMPGQVGSLVPHLGIQYDYGLYARPGAPYGPLPMFPPGSFGGVDGYGYGFQSPQWADGLVADHFASRKRRGGPDGLSEGDWICPKCENVNFAFRTTCNIKHCGAARPSSNKPSSTVIPEGSWTCERCGNLNYPFRNVCNRKNCRTDKKGSV >Vigun06g072600.1.v1.2 pep primary_assembly:ASM411807v1:6:20368278:20371108:1 gene:Vigun06g072600.v1.2 transcript:Vigun06g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGGGGAIINGSENLRVSNPSKSSVGPPLTAIERFLWGQQSHSSRQQPQNGANNVHASVFDGFGGSNYNVLWHNGGREVNFVEQLLANEVVALNWTQQVPPLCLKEDLHVSGKNRKGVGRKPKEGSSVPWIKGQWTEEEDRKLVKLVKQHGTGKWSQIAEKLDGRAGKQCRERWHNHLRPDIKKDAWSEEEEKILVKYHAKLGNRWAEIAKQMKGRTENAIKNHWNATKRRQNSRRKNKRTGIVNGKPLSSILQDYIKSLSLSNTSTTSVEQTFASQHLSDIATNNNFSLMSDSYDDETFFMQQLFDENNAIVESVKQTKTINNSSPGFDSYHHHHHHQTINNIQLLTELAQSGSVVHSNLNTNSHVSFDESVFPPKRTTTAVNYLGFDVCLSHLHNGTAGSSFFCNNGIQNQNTELQLGKQDWLWEREIGI >Vigun08g072200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11862992:11866352:-1 gene:Vigun08g072200.v1.2 transcript:Vigun08g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQYGIPYSIARYVIGTILFIVLLIYKWKRRHSSAYENIENYLEQNSLMPIRYSYKQIEKMTKSFSEKLGEGGYGSVFKGKLRSGPCVAIKVLQKSKGNGQDFINEVATIGRIHHQNIVNLIGYCVEGSKRALIYEFMPNGSLEKFIFSREGNQHLSYDTIYNIAIGVARGISYLHHGCEMHILHFDIKPQNILLDEKFTPKISDFGLAKLYPTDKSVVTMTAARGTIGYMAPELFYRNVGRISDKSDVYSFGMLLMEIASNRKNLNPHAEHSSQLYFPFWVYDQLDKGKDIEMEDVIENENKITKKMIIVSLWCIQLKPNNRPSMNKVIEMLEGDIENLQIPPKPSLYPHETFKDSQRICSDFISSSSFSMEMATNAPLNESI >Vigun03g180200.2.v1.2 pep primary_assembly:ASM411807v1:3:22761294:22765003:1 gene:Vigun03g180200.v1.2 transcript:Vigun03g180200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEEASEGVENDRNVIEQEPIMDEMYDDFMAELCNENDILPLTDETFKSLFQIDEPILLDYFSSELLKERQQVVGTETPAHNNVTEDTSPIIQFTPNSSERANISEVVAHEVGGNMGLLNPNILTSTNTSWNHHPIGVNNTSARLGTMNSPGLDASFGNPMFPNITRSSITPFQSPSFFPVLPYGGGSSSSSSNIHQQHPRSLPLQTIGMNARGASAMVHRFNNQELFCPRPPSLRDFDTMVSAWKGCLVGKVHSYRESLNAAMAVRKPTSPVTLTVDWSSRLQIVHFLPTRIVNYTMKMCGGPIDYVLFHITKFNNLDLYDHMKSEKLCAKIELYSQTLILSTTESKYHFLGTVFPAGTMFVIPV >Vigun03g180200.1.v1.2 pep primary_assembly:ASM411807v1:3:22761294:22765003:1 gene:Vigun03g180200.v1.2 transcript:Vigun03g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEEASEGVENDRNVIEQEPIMDEMYDDFMAELCNENDILPLTDETFKSLFQIDEPILLDYFSSELLKERQQVVGTETPAHNNVTEDTSPIIQFTPNSSERANISEVVAHEVGGNMGLLNPNILTSTNTSWNHHPIGVNNTSARLGTMNSPGLDASFGNPMFPNITRSSITPFQSPSFFPVLPYGGGSSSSSSNIHQQHPRSLPLQTIGMNARGASAMVHRFNNQELFCPRPPSLRDFDTMVSAWKGCLVGKVHSYRESLNAAMAVRKPTSPVTLTVDWSSRLQIVHFLPTRIVNYTMKMCGGPIDYVLFHITKFNNLDLYDHMKSEKLCAKIELYSQTLILSTTESKYHFLGTVFPAGTMFVIPV >Vigun05g080300.2.v1.2 pep primary_assembly:ASM411807v1:5:7568273:7570552:1 gene:Vigun05g080300.v1.2 transcript:Vigun05g080300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGRVCVTGGTGFIASWIIKTLLEHGYSVNTTIRSHPGGKRDVSFLTNLPDASEKLHFFNADLSDPESFGPAVEGCVGIFHTATPIDFAVNEPEEVVTKRAIEGALGILKAAVKSKTVKRVVYTSSASTVSFTGPEPQDVVDESAWSDVDFLRRVKRFGWSYTVSKVLTEKAMLEFGEENGLEVVSLVPPFVVGSFICPKLPDSVERALILLFGKKEEIGVIRYHMVHVDDLARAHIFLLEHPNPKGRYNCSPFIVPIEEIAEIISTKYPEFQILSAE >Vigun05g080300.1.v1.2 pep primary_assembly:ASM411807v1:5:7568273:7570552:1 gene:Vigun05g080300.v1.2 transcript:Vigun05g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGRVCVTGGTGFIASWIIKTLLEHGYSVNTTIRSHPGGKRDVSFLTNLPDASEKLHFFNADLSDPESFGPAVEGCVGIFHTATPIDFAVNEPEEVVTKRAIEGALGILKAAVKSKTVKRVVYTSSASTVSFTGPEPQDVVDESAWSDVDFLRRVKRFGWSYTVSKVLTEKAMLEFGEENGLEVVSLVPPFVVGSFICPKLPDSVERALILLFGKKEEIGVIRYHMVHVDDLARAHIFLLEHPNPKGRYNCSPFIVPIEEIAEIISTKYPEFQILSAEEVKEIKGAKLPHLNSQKLVDAGFEFKYSVEDMFIDAIECCKQNAYL >VigunL059079.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000504.1:31232:32941:1 gene:VigunL059079.v1.2 transcript:VigunL059079.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSTEDHPELFFEIESERTSRDIKVLYPFFWY >Vigun06g153200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27720753:27721646:-1 gene:Vigun06g153200.v1.2 transcript:Vigun06g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESHHDPSSFHSSIALLQERFRQLQRVKEMREERELQKMLNEPKQFTSNSTLTYHNSNTSSILSHPEFIMPSTSPPHVSLSLWPTSQAKQDHYAGARTPVSTHSQSLQVSWKNVYDCDSAADSGVDTSLHL >Vigun03g168800.1.v1.2 pep primary_assembly:ASM411807v1:3:19846507:19848429:1 gene:Vigun03g168800.v1.2 transcript:Vigun03g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVAEKKRYTDPKMCFPNLANHDKPTPTKSNLCVRSEAVDDDEDGSSPILPGLPDDVSKHCLALVPRSNFPAMGGVSKRWRGFIQSKEFITVRKLAGMDEEWLYILTAGSKGKGSHWEVMDCLGHNRRSLPPMPGPAKAGFGVVVLNGKLLVMAGCSSIDGTASVSEEVYQYDSCLNSWSRLSNMNVARYDFACAEVNGLVYAVGGYGANGDGLSSAEVYDPDTNKWTPIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSKFVDVYSPEKHGWCEMKNGCVMVTAHAVLGKKLFCMEWKNQRKLAIFNPEDNSWKMVPVPLTGSSSIGFRFGILDDKLLLFSLEEEPSYWTLLYDPSAAPGSEWQTSDIRPSGLCLSSVTIRA >Vigun06g079800.1.v1.2 pep primary_assembly:ASM411807v1:6:21163841:21168294:-1 gene:Vigun06g079800.v1.2 transcript:Vigun06g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGTEQGERCSSIITIPLLEDERLHRVNGSDEVLDAKTWDLHTSHEGNTSFFKTCFNLINALSGVGIISMPYALSSGGWLSISLLFVIAVACCYTGMLVKRCMDMDPDIRTFPDIGQRAFGYKGRLMVSIAMNSELYLVVTGFLILEGDNLNKLVPNIQVNLAGVTIGGATIFTIVAALAVLPSLLLEDMSMLSYVSASGALASSIFLLSLFWNGTIDGTGFHAKGKLFRFSGIPAAASLYAFCYSAHPILPTLYNSMRDKTQFSRVLSTCFSVCTLGYAAAGVLGYLMFGQEVESQVTLNLPTSKFSSHVAIFTTLVNPITKYALMLTPVINAVKNKISWHYNKRFTHTLVSTSILVSSLIVAVAIPLFGYLMSLIGALLSVSASILVPSVCYLKISGAYKTFGSEMIINYSIIVLGVTIACVGTYTSLVDIVHNL >Vigun03g168565.2.v1.2 pep primary_assembly:ASM411807v1:3:19778387:19779922:1 gene:Vigun03g168565.v1.2 transcript:Vigun03g168565.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLHCLRLLNSTTNCWLLGSKLCSSHKDHCGSEGCDYFQLEECWIRTNCEGSIHIRHCLYAFLYCFLLPAEKIWPQLFFFFFSQKIYFHSSSPSMKRQMYMNRTKSFGLKSLGASDGYLVNHSRS >Vigun03g168565.1.v1.2 pep primary_assembly:ASM411807v1:3:19778382:19779922:1 gene:Vigun03g168565.v1.2 transcript:Vigun03g168565.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLHCLRLLNSTTNCWLLGSKLCSSHKDHCGSEGCDYFQLEECWIRTNCEGSIHIRHCLYAFLYCFLLPAEKIWPQLFFFFFSQKIYFHSSSPSMKRQMYMNRTKSFGLKSLGASDGYLVNHSRS >Vigun06g196100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31177621:31178796:1 gene:Vigun06g196100.v1.2 transcript:Vigun06g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVHPQKQWISYVTQTGRLMHVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFRRRFGLENQAANEK >Vigun07g093400.1.v1.2 pep primary_assembly:ASM411807v1:7:14988560:14993830:1 gene:Vigun07g093400.v1.2 transcript:Vigun07g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLNKLVSRSLSVAGKWQHHQLRRLNIHEYQGAELMSKHGVNVPRGVAVSSVEEVRKAIKDFFPNEKELVVKSQILAGGRGLGTFKSGLQGGVHIVKTEQVEDLAGKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRTTAGPIIIACSKGGTSIEDLAEKFPDMIIKVPVDVFEGITDEGAAKVVDGLAPKGADRNKSIEQVKNLYKLFVDCDCTLLEINPIAETADNQLVAADAKLNFDDNAAYRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVIEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKEVALKVPVIVRLEGTNVDQGKRILKESGMALITAEDLDDAAQKAVKAAYK >Vigun03g441600.1.v1.2 pep primary_assembly:ASM411807v1:3:64432142:64436336:-1 gene:Vigun03g441600.v1.2 transcript:Vigun03g441600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQDIAKGVESLLRHSDPNSITTVNAVVQQLEAKLGLDLSHKAAFIRDQIDLLLRSHPPRAFLPHSPPLHKDYFNPIPQHHFPTTHFPSHFALHDEINFQHPNPPPPCKVDSFPENAATVAAPPAPKVSVQTGGKRRGGAGGLNKVCGVSPELQAVVGEPALPRTEIVRQLWAYIKKNNLQDPGNKRKIICDDALRLVFETDCTDMFKMNQLLAKHIIPLGPSKESQAKRVKVDVETKIECAEPASSTVVISEALAKFLGTEGKEMQQSEAIRLVWEYIKHHHLEDPLNAMVILCDAKLQELLGCESISALGIPEMLARHHLFKQSDTHRMIRREP >Vigun03g441600.2.v1.2 pep primary_assembly:ASM411807v1:3:64432142:64436336:-1 gene:Vigun03g441600.v1.2 transcript:Vigun03g441600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQDIAKGVESLLRHSDPNSITTVNAVVQQLEAKLGLDLSHKAAFIRDQIDLLLRSHPPRAFLPHSPPLHKDYFNPIPQHHFPTTHFPSHFALHDEINFQHPNPPPPCKVDSFPENAATVAAPPAPKVSVQTGGKRRGGAGGLNKVCGVSPELQAVVGEPALPRTEIVRQLWAYIKKNNLQDPGNKRKIICDDALRLVFETDCTDMFKMNQLLAKHIIPLGPSKESQAKRVKVDVETKIECAEPASSTVVISEALAKFLGTEGKEMQQSEAIRLVWEYIKHHHLEDPLNAMVILCDAKLQELLGCESISALGIPEMLARHHLFKQSDTHVSA >Vigun07g140400.1.v1.2 pep primary_assembly:ASM411807v1:7:25070182:25073501:1 gene:Vigun07g140400.v1.2 transcript:Vigun07g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAFRVRNPDLRSLILLSLLTFTSAKVFFEERFEAGWENRWVKSDWKRDENLAGEWNHTSGQWNGDANDKGIQTSEDYRFYAISAEYPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGNVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNNTNHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQSGSLYSDWDLLPPKKIKDPEAKKPEDWDDKEYITDPEDKKPEGYDDIPKEIPDTEAKKPEDWDDEEDGEWTAPTVPNPEYKGPWKPKKMKNPNYKGKWKAPIIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTLFDNVLITDDVEYAKQLAEETWGKHKDAEKAAFEEAEKKKEEEEAKEDPVDSDAEDDDEETDEAGNDSDSESKTEAGEDKEDGHDEL >Vigun05g002800.2.v1.2 pep primary_assembly:ASM411807v1:5:232565:236612:1 gene:Vigun05g002800.v1.2 transcript:Vigun05g002800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQAFPVACINNLPSIVCISKRKLCVSTAKLSPDNDPLLLSATASASLRYHESLRPEPLFLDPYAGCFVPDNTPEDVIPDLHPYCLAAKFIDDKLLHTVSLIDGLKQLVFLTDGMDTRPYRLQWPTSTIIFDISPEIVFKFAAEKLKASDVGAKIPKGCIFCHIPLESSDMEQAMQFKGYSGSRPSIWVLQGFPMMTLANFEEVLSMISSLAMKGSLFLGELPACLAETDPEIKSNIRQWLDKLFMSKGFRVEMINYEEIAGSYGKDFASGHNNSILFAAEQLLHSDDQMESWRREFQRIENEGDEDGFEEL >Vigun05g002800.1.v1.2 pep primary_assembly:ASM411807v1:5:232565:236612:1 gene:Vigun05g002800.v1.2 transcript:Vigun05g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQAFPVACINNLPSIVCISKRKLCVSTAKLSPDNDPLLLSATASASLRYHESLRPEPLFLDPYAGCFVPDNTPEDVIPDLHPYCLAAKFIDDKLLHTVSLIDGLKQLVFLTDGMDTRPYRLQWPTSTIIFDISPEIVFKFAAEKLKDVGAKIPKGCIFCHIPLESSDMEQAMQFKGYSGSRPSIWVLQGFPMMTLANFEEVLSMISSLAMKGSLFLGELPACLAETDPEIKSNIRQWLDKLFMSKGFRVEMINYEEIAGSYGKDFASGHNNSILFAAEQLLHSDDQMESWRREFQRIENEGDEDGFEEL >Vigun04g178500.1.v1.2 pep primary_assembly:ASM411807v1:4:40197001:40201283:-1 gene:Vigun04g178500.v1.2 transcript:Vigun04g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFRPVIHPRVTDRSLFQFLPPKPPPLPPSSVHLLPLTRRRRFLLYCVVDGFSDDAVSTRNFDRGFTVIAAMLRRIEPLDNSAISKGVSPAARDSMKQTISTMLGLLPSDHFAVTVTVSKHPLHRLLFSSIVTGYTLWNAEYRMSLTRNLDISGTRDEGSDGGTHSGVLEVKDGAKAEGNEKMEVVNHFEKCSGNGSLKEFGDLPPQALSYIQQLQSELTSVTKELNAQKKEMMQLEHDKGNWNNLLEYLRSLDPDMVTQMSRPSSVEVEDIINQLVRNILRRFFVDDASSNVTEQSVEGNMDNHSDSGEEFSDTLATSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >Vigun05g206700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39595608:39596633:-1 gene:Vigun05g206700.v1.2 transcript:Vigun05g206700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FHTLATKLDSSHGDQIFSILDYWPSNSILCKIPIKDAPKHDCKRSYATPPGYPVSSIKLHAHEANFLASKRNWEFGSGVLFYDRLNTGLMLSDAIGFKGCREIEGPYVEYLAEQYGKSVLLSGPIIPESPNTVLEEKWSAWLGRFKDDSVIFCALGSEWKLPHDQFKELLLGLELIGLPFLVVLKVPIGFETIEAALPEGFKERVEGRGIVHNGWIQQQLILQHPSVGCFITHCGSGSLTEALVNKCQMVLLPQLDGDHIINARMMGSNLRVGVEVEKGEEDGLFTKESVCKAVKIAMDEKNEIGREVRTNHAKLKNFLVSQDLESICVDGFCQKLQDLLK >Vigun03g393900.2.v1.2 pep primary_assembly:ASM411807v1:3:60000381:60011119:-1 gene:Vigun03g393900.v1.2 transcript:Vigun03g393900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDATNWDENAYRETVLKEREIQTRTVFRTAWAPPYNLDTLLVASSDGSVASYSISSSIAASKLKNPFGFVNADSDKLSAEPNCFIQAHYGPAYDVKFYGDGEDALLLSCGDDGRIRGWKWKEFSSPHYSVSSQGNDTKPVLDVVNPQHKGPWGALSPIPENNALAVNTQEGSVFAASGDSCAYCWDVETGKVKTVFKGHMEYLHCIVARNSSNQIITGSEDGTTRIWDCKSGKCTQVIDPVKHLKLKGSAAWVGCVALDASESWLACSSGRNMSLWNLPASECVSKIPTHATVQDMLFDNNQILTVGTDPLLNRFDMNGTILSQIQCAPSSSFSISLHPAGVMAVGGYGGLVDVISQFGSHMCTFHC >Vigun03g393900.1.v1.2 pep primary_assembly:ASM411807v1:3:60000381:60011119:-1 gene:Vigun03g393900.v1.2 transcript:Vigun03g393900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDATNWDENAYRETVLKEREIQTRTVFRTAWAPPYNLDTLLVASSDGSVASYSISSSIAASKLKNPFGFVNADSDKLSAEPNCFIQAHYGPAYDVKFYGDGEDALLLSCGDDGRIRGWKWKEFSSPHYSVSSQGNDTKPVLDVVNPQHKGPWGALSPIPENNALAVNTQEGSVFAASGDSCAYCWDVETGKVKTVFKGHMEYLHCIVARNSSNQIITGSEDGTTRIWDCKSGKCTQVIDPVKHLKLKGSAAWVGCVALDASESWLACSSGRNMSLWNLPASECVSKIPTHATVQDMLFDNNQVSCLYLLSLHMFQTWSYMIMVTSRATDKLSVSCSRKLKVFYIIQKIFVLTIISHKKKGLLCTLLS >Vigun02g193700.2.v1.2 pep primary_assembly:ASM411807v1:2:33015666:33018805:1 gene:Vigun02g193700.v1.2 transcript:Vigun02g193700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSILDSKPLSGFKNPFRSETNSPRTPVGEHKRYWDKLDSKGLVDALVDDNKPGEVTSKSQSRMILFGSQLKIQNPPLPHPTVSPQSPKSVFGSANSTPEPSKSSRVFTGSLSASEMELSEDYTRVISHGPNPRTTHIFDNCIVESGCFDFGCSSSPMENVFLPHHTSYSTENFMSFCFYCNKNLGQDMDIYIYRGERAFCSRECRDEGMLLEEGMNKLKAGDGYGT >Vigun02g193700.1.v1.2 pep primary_assembly:ASM411807v1:2:33015666:33018805:1 gene:Vigun02g193700.v1.2 transcript:Vigun02g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSSSPSLPEKYKKLVSSFFSSPKLFANFTSKVLLCETENAMSPTSILDSKPLSGFKNPFRSETNSPRTPVGEHKRYWDKLDSKGLVDALVDDNKPGEVTSKSQSRMILFGSQLKIQNPPLPHPTVSPQSPKSVFGSANSTPEPSKSSRVFTGSLSASEMELSEDYTRVISHGPNPRTTHIFDNCIVESGCFDFGCSSSPMENVFLPHHTSYSTENFMSFCFYCNKNLGQDMDIYIYRGERAFCSRECRDEGMLLEEGMNKLKAGDGYGT >Vigun08g137200.1.v1.2 pep primary_assembly:ASM411807v1:8:30941093:30946106:-1 gene:Vigun08g137200.v1.2 transcript:Vigun08g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSSFLPSQSKFCSLHWLFHFSSVPQMATRRTTNTYEEARKLRLEENKKRFEDLGILRISKNLTEITRSGKKTQHHVSKPKSKKTNPEVELRRSSRVRNPVPSYTEDVNVHLPASRKRSRSNSSTWGSYNVRPLDEIKEASEEERRCALEAAEELQTDLNSSNPCFIKSMVRSHVYSCFWLGLPSKFCEEHLPKTVHNMVLEDEKGSEYQAVYIGNRAGLSGGWRAFALDHKLDDGDALVFELVEASRFKIYIVRAFPDLVEEKGNDVLVEEGNTHATKVPKAKCNLKSDKTKKPRQAAAYETNESESSQEHIDKEVNPQGVNPTKQTKISKRKTQKKSMPLATADLKGEVQMKTEKPRVSLELSNKTMKSRGINEIDDSGELEKKSFVHDADDKLEKVEEASKCVTRNARKKPAPKYFRKKA >Vigun08g137200.2.v1.2 pep primary_assembly:ASM411807v1:8:30941093:30946106:-1 gene:Vigun08g137200.v1.2 transcript:Vigun08g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFSSFLPSQSKFCSLHWLFHFSSVPMATRRTTNTYEEARKLRLEENKKRFEDLGILRISKNLTEITRSGKKTQHHVSKPKSKKTNPEVELRRSSRVRNPVPSYTEDVNVHLPASRKRSRSNSSTWGSYNVRPLDEIKEASEEERRCALEAAEELQTDLNSSNPCFIKSMVRSHVYSCFWLGLPSKFCEEHLPKTVHNMVLEDEKGSEYQAVYIGNRAGLSGGWRAFALDHKLDDGDALVFELVEASRFKIYIVRAFPDLVEEKGNDVLVEEGNTHATKVPKAKCNLKSDKTKKPRQAAAYETNESESSQEHIDKEVNPQGVNPTKQTKISKRKTQKKSMPLATADLKGEVQMKTEKPRVSLELSNKTMKSRGINEIDDSGELEKKSFVHDADDKLEKVEEASKCVTRNARKKPAPKYFRKKA >Vigun01g118600.1.v1.2 pep primary_assembly:ASM411807v1:1:29476207:29483997:-1 gene:Vigun01g118600.v1.2 transcript:Vigun01g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSKKALSLQQFISVTAPLLDLEKEAEISSSIATGASRNLDTAQKRGSTILNLKCIDVQTGLMGKSLIEFQSTKGDVLPAHKFGTHDVVVLKLNKADLGSPALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKGVHKGPASDLIPVLFGERQPTVSKKDISFTPYNRNLDQSQKEAVLKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRLGHPARLLPQVLDSALDAQVLRGDNSGLANDIRKEMKALNGKLLKTKDRNTRKDIQRELRTLSKEERKRQQLAVTDVLKSADVILTTLIGAFSKKLDSTSFDLVIIDEAAQALEIACWIPLLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLAETYGDEITSMLTVQYRMHELIMDWSSKELYNSKIKAHPSVSAHMLFDLDGVKRTSSTEPTLLLVDTAGCDMEEKKDEEDSTFNEGEAEVTVAHAKRLVQSGVLPSDIGIITPYAAQVVLLKMLKNKEDKLKDVEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRSRRQCCLVCDTETVSGDGFLKRLIEYFEEHGEYLSASEYQNE >Vigun06g071300.1.v1.2 pep primary_assembly:ASM411807v1:6:20196730:20198882:-1 gene:Vigun06g071300.v1.2 transcript:Vigun06g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLESIKELVTDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLNNMAKMLKCAGNDDIITIKAEDGGDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVKMPSTEFARICRDLSSIGDTVVISVTKEGVKFSTKGDIGSANIVCRQNTSVDKPEESTVIEMNEPVSLTFALRYMNSFTKATPLSNTVSISLSNELPVVVEYKVAEMGYVRFYLAPKIEEEEEEKPEV >Vigun01g140900.3.v1.2 pep primary_assembly:ASM411807v1:1:32177547:32181346:-1 gene:Vigun01g140900.v1.2 transcript:Vigun01g140900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRDHRNNRVSLFDGIEEGGIRAQSVFSSSHEIDEHDNEQALDGLQDRVSLLKRLSGDINEEVDSHNRMLDRMGNDMDSSRGVLSGTMDKFKMVCILLVLYDF >Vigun01g140900.2.v1.2 pep primary_assembly:ASM411807v1:1:32177547:32181346:-1 gene:Vigun01g140900.v1.2 transcript:Vigun01g140900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDHRNNRVSLFDGIEEGGIRAQSVFSSSHEIDEHDNEQALDGLQDRVSLLKRLSGDINEEVDSHNRMLDRMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFTLVASFVVLFLVIYYLTK >Vigun01g140900.1.v1.2 pep primary_assembly:ASM411807v1:1:32177547:32181346:-1 gene:Vigun01g140900.v1.2 transcript:Vigun01g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRDHRNNRVSLFDGIEEGGIRAQSVFSSSHEIDEHDNEQALDGLQDRVSLLKRLSGDINEEVDSHNRMLDRMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFTLVASFVVLFLVIYYLTK >Vigun01g140900.4.v1.2 pep primary_assembly:ASM411807v1:1:32176066:32181346:-1 gene:Vigun01g140900.v1.2 transcript:Vigun01g140900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRDHRNNRVSLFDGIEEGGIRAQSVFSSSHEIDEHDNEQALDGLQDRVSLLKRLSGDINEEVDSHNRMLDRMGNDMDSSRGVLSGTMDKFKMGVES >Vigun02g169600.1.v1.2 pep primary_assembly:ASM411807v1:2:31274239:31283959:1 gene:Vigun02g169600.v1.2 transcript:Vigun02g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDSSSFFGDCKSFKLITRERLLHEMLRSTKAGDSRSTWKVLIMDKLTVKIMSHACKMTDITDEGVSLVEDIYKRRQPLPSMDAIYFIQPTRENVIMCLSDMSGKTPLYKKAFIFFSSSISRELVLDIKKDTKILSRLGALREMNLEYFPIDSQGFITNNERALEELFGDEEDNHRGVACLNVMAKRIATVFASLREFPSVRFRAAKSLDATTMTTFRDLIPTKLAAGVWDCLMKYKKSIPNFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKSGGPPEKREVLLEDHDPIWLELRHAHIADASERLHEKMTNFVSKNKAAQIHGSKGSGDMSTRDIQKMVQALPQYSEQVDKLSLHIEIAGKINRIIRESGLREVGQLEQDLVFGDATTKDVIKFFTTKEDITHENRLRLLMILASIYPEKFEGEKGLNLMRLAKLTEEDMSVVHNFRMLGGQPVAKKSKTSGFGLKFEIHKKKRAARKERPGEEEKWQLSRFYPIIEELIENLARNELSNEDYPCLNDPSPSYHGSPFSGPVNQNPHSMRSRRTPSWARPRGSEDGYSSDSVLRLASSDFRRVGQRIFVFIVGGATRSELRVCHKLTEKLKREIILGSSSVDDPAQFITKLNMMTTHEISLDDIQI >Vigun02g169600.2.v1.2 pep primary_assembly:ASM411807v1:2:31276511:31283959:1 gene:Vigun02g169600.v1.2 transcript:Vigun02g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKLELTMFITCPWYVRSLVVEDIYKRRQPLPSMDAIYFIQPTRENVIMCLSDMSGKTPLYKKAFIFFSSSISRELVLDIKKDTKILSRLGALREMNLEYFPIDSQGFITNNERALEELFGDEEDNHRGVACLNVMAKRIATVFASLREFPSVRFRAAKSLDATTMTTFRDLIPTKLAAGVWDCLMKYKKSIPNFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKSGGPPEKREVLLEDHDPIWLELRHAHIADASERLHEKMTNFVSKNKAAQIHGSKGSGDMSTRDIQKMVQALPQYSEQVDKLSLHIEIAGKINRIIRESGLREVGQLEQDLVFGDATTKDVIKFFTTKEDITHENRLRLLMILASIYPEKFEGEKGLNLMRLAKLTEEDMSVVHNFRMLGGQPVAKKSKTSGFGLKFEIHKKKRAARKERPGEEEKWQLSRFYPIIEELIENLARNELSNEDYPCLNDPSPSYHGSPFSGPVNQNPHSMRSRRTPSWARPRGSEDGYSSDSVLRLASSDFRRVGQRIFVFIVGGATRSELRVCHKLTEKLKREIILGSSSVDDPAQFITKLNMMTTHEISLDDIQI >Vigun04g153100.1.v1.2 pep primary_assembly:ASM411807v1:4:37031520:37036026:-1 gene:Vigun04g153100.v1.2 transcript:Vigun04g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCGLPLLECVYCLACARWAWKRCLHSAGHDSENWGFATVEEFEPIPRLCRYILSVYEEDLRQPLWAPPGGYGIIPDFLLLKKTYEDTCGRAPPYVLYLDHEHEDIVLAIRGLNLAKESDYAVLLDNRLGKRKFDGGYVHNGLLKAAGWVLDAECEILKDLVEKHPNYTLTFVGHSLGSGVAAMLTMLVVQNSHRLGNIDRKRVRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFKMGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALDLMLEKVEPMEAPAKQKMERQETLTRHKVEYKAALHRAKTLHVPHAYTPPSEYGTFDEEGDASSTRSQGESSNKSSVDESWDALIERHLDKDEHGHTVLKKQ >Vigun03g249200.2.v1.2 pep primary_assembly:ASM411807v1:3:41456014:41459741:-1 gene:Vigun03g249200.v1.2 transcript:Vigun03g249200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLLLFLVVLYSGLPSKGISSVSTRPRAVNIGAILSFNSTIGRVAKIAIQSAVDDINSNPTILNGTKLKISMLDTKLSAGFLGIIDSFLLMERETAAIIGPQYSVMAHVISHIANEMQVPLLSFAATDPTLTSLQFPYFVRTTQSDLYQMTAVAEIVDHFQWRDVIAIYIDDDHGRNGVSVLGDKLAEKRGKISHKAPLNPGNITREDINGALVKIALMESRVIVLHIYPSFGLDVLNVARSLGMMGSGYVWIATDWLSTVIDSDPSLSTSPAMNDIQGVITLRMYTPDSEMKRKFSSRWNKVSKEKDPVEGPLALNSFGFYAYDTVWVLASALDAFFRSGGTLSFSNDSSRNMLRGGSFELDSMGVFVDGEKLLKKILEVNRSGVTGQMMFGEDGNLVHSSYEVINVIGSGIRRIGFWSETSGLHTGESPNHSISGDGLYGVIWPGQTTQTPRGWVFSSNGKPLRIGVPLRISYREFVSRTEGTEMFGGYCIDVFTAAIRLLSYPVPYKFVSFGDGKTNPLNSDLLHMITVGAFDAVVGDITITTNRTKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLTPFSPMMWFVTGMFFLVVGAVVWILERRINDDFRGPTRRQFVTIIWFSFSTLFFAHREKTVSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSPIKGIESLVISNDRIGFLRGSFAENYLTEELNIHRSRLVPLNSPSEYEKALKDGPANGGVAAIIDERAYMELFLATRCEFGIVGQEFTKMGWGFGFPRESPLSIDMSTAILKLSENGDLQRIHDKWLTRSACSSEGGKQGIDRLELNSFWGLFLLSGMACFVALLCYGIRMVYRFSRHSNSSNLERSSHSARLRSFLSFVNEREEEDKYRPKRRRREKCSCRKVVHEGGSLDDSTVSVLIENNPTVQH >Vigun03g249200.1.v1.2 pep primary_assembly:ASM411807v1:3:41456148:41459741:-1 gene:Vigun03g249200.v1.2 transcript:Vigun03g249200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINYNYIYFMKNTMSKVLLLFLVVLYSGLPSKGISSVSTRPRAVNIGAILSFNSTIGRVAKIAIQSAVDDINSNPTILNGTKLKISMLDTKLSAGFLGIIDSFLLMERETAAIIGPQYSVMAHVISHIANEMQVPLLSFAATDPTLTSLQFPYFVRTTQSDLYQMTAVAEIVDHFQWRDVIAIYIDDDHGRNGVSVLGDKLAEKRGKISHKAPLNPGNITREDINGALVKIALMESRVIVLHIYPSFGLDVLNVARSLGMMGSGYVWIATDWLSTVIDSDPSLSTSPAMNDIQGVITLRMYTPDSEMKRKFSSRWNKVSKEKDPVEGPLALNSFGFYAYDTVWVLASALDAFFRSGGTLSFSNDSSRNMLRGGSFELDSMGVFVDGEKLLKKILEVNRSGVTGQMMFGEDGNLVHSSYEVINVIGSGIRRIGFWSETSGLHTGESPNHSISGDGLYGVIWPGQTTQTPRGWVFSSNGKPLRIGVPLRISYREFVSRTEGTEMFGGYCIDVFTAAIRLLSYPVPYKFVSFGDGKTNPLNSDLLHMITVGAFDAVVGDITITTNRTKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLTPFSPMMWFVTGMFFLVVGAVVWILERRINDDFRGPTRRQFVTIIWFSFSTLFFAHREKTVSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSPIKGIESLVISNDRIGFLRGSFAENYLTEELNIHRSRLVPLNSPSEYEKALKDGPANGGVAAIIDERAYMELFLATRCEFGIVGQEFTKMGWGFGFPRESPLSIDMSTAILKLSENGDLQRIHDKWLTRSACSSEGGKQGIDRLELNSFWGLFLLSGMACFVALLCYGIRMVYRFSRHSNSSNLERSSHSARLRSFLSFVNEREEEDKYRPKRRRREKCSCRKVVHEGGSLDDSTVSVLIENNPTVQH >Vigun03g249200.3.v1.2 pep primary_assembly:ASM411807v1:3:41456143:41459741:-1 gene:Vigun03g249200.v1.2 transcript:Vigun03g249200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINYNYIYFMKNTMSKVLLLFLVVLYSGLPSKGISSVSTRPRAVNIGAILSFNSTIGRVAKIAIQSAVDDINSNPTILNGTKLKISMLDTKLSAGFLGIIDSFLLMERETAAIIGPQYSVMAHVISHIANEMQVPLLSFAATDPTLTSLQFPYFVRTTQSDLYQMTAVAEIVDHFQWRDVIAIYIDDDHGRNGVSVLGDKLAEKRGKISHKAPLNPGNITREDINGALVKIALMESRVIVLHIYPSFGLDVLNVARSLGMMGSGYVWIATDWLSTVIDSDPSLSTSPAMNDIQGVITLRMYTPDSEMKRKFSSRWNKVSKEKDPVEGPLALNSFGFYAYDTVWVLASALDAFFRSGGTLSFSNDSSRNMLRGGSFELDSMGVFVDGEKLLKKILEVNRSGVTGQMMFGEDGNLVHSSYEVINVIGSGIRRIGFWSETSGLHTGESPNHSISGDGLYGVIWPGQTTQTPRGWVFSSNGKPLRIGVPLRISYREFVSRTEGTEMFGGYCIDVFTAAIRLLSYPVPYKFVSFGDGKTNPLNSDLLHMITVGAFDAVVGDITITTNRTKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLTPFSPMMWFVTGMFFLVVGAVVWILERRINDDFRGPTRRQFVTIIWFSFSTLFFAHTTLQASPPY >Vigun10g019350.1.v1.2 pep primary_assembly:ASM411807v1:10:2186092:2186793:1 gene:Vigun10g019350.v1.2 transcript:Vigun10g019350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVENPNSTSQWHSLQVKGKVQTKKGLCVDPEIPDIGQPFELLLNPQAGKRQPGELKHLSSQRKRKQKRFP >Vigun10g082400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23281793:23288536:-1 gene:Vigun10g082400.v1.2 transcript:Vigun10g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLDFSRNTFRGGIPDSLCNASSLCLLDLSDNNISGTIPSCLLEMGRNLEVLNLRKNNVMGPVPGKISSDCSLMTLDLHQNKLDGKIPKSLSNCTSLQVLDLGQNKIRDVFPCLLKDISTLLVLVLRENNLYGHIGCPNTNATWPVLQILDLAINNFSGKLPQTVFTRWEATVSHENQPAYTVKRIHYDFYRLGRKWSKIYYYDSVTVTMKGQQRDLVKILSVFTSIDFSSNHFEGEIPKQLFDFKELYALNLSNNAFSGQISPSIRNLKELESLDLSNNSFEGNIPSELASLSFLSFLNLSSNHLVGKIPTGTQLQSFPASSFQGNDGLYGPPLTDEFDGRQPGVLQQHQTLVSTVGWNFLSVELGLIFGHGMIFGPLLFWKQWRIWYWQLINKILCWIFSQLYLEYATKRGQTYITLRWQH >VigunL025500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:92646:93647:1 gene:VigunL025500.v1.2 transcript:VigunL025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoA MVQEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFMPVRNVNYSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASQNLIDLFLPFLHAEEDNFHLEKNQDKVTLPLFTFHDILVKDKLRKNKKEIALKSIFIDQLELPPRIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun10g012700.1.v1.2 pep primary_assembly:ASM411807v1:10:1351169:1354309:1 gene:Vigun10g012700.v1.2 transcript:Vigun10g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFVLLFAGQVIGGFSTQKLPPSDNGNILTVLSIDGGGIRGIIPTKVLDYLDKALKAKDPNADLAHYFEVIGGTGTGGLIAAMLTTPSPHDPTRGAFTPAQIVHFYKQNGPHIFNSSRPGTGPKFDGEYLHNITREVLKDTRLSQTLTQQKPVIFSNYKLENVPYLNALLSDICISTSATPTQLPPYYFQNDGVEFSMINGGVAAENPTHVTVSEVLQHAEYPKILVLSLGTGHTKYVENDCFDARVAAFWTEDIWAAIETDVQGRASSAMSKYYPASLFSRFPPSMSTYLRIDDLDLNPDLSNSVDVTEENLEGVEKTGKQLLQEKVVKMNLDTFDLEELGETNAEALDRIADILHGERQRRLRSESMEKGGRPFLETLRVRSDKNQEN >Vigun05g142100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18032339:18032935:-1 gene:Vigun05g142100.v1.2 transcript:Vigun05g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTILALFLLSVLTFNPPSTTAQPVTDLHGNIVRNGGRFFILPPFTVAGGIRRTKTGNETTPLSVVQSLIEVDPGLPLTITSPFKSLFIPNGSPVSISFELIPNDESPLEWTAVPGLPEGTLVKVRGYPHTLPGSFSIHRVRDNTFKLLFCTLGSSLCGNVAIVEDEAKNRLLAVNQKHPYEFVLEQLPSSSAASK >Vigun01g069800.1.v1.2 pep primary_assembly:ASM411807v1:1:18695648:18701445:1 gene:Vigun01g069800.v1.2 transcript:Vigun01g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQLHYVILPTPSTPPLYAFLLIIFSFNVMLKSPCTMATLNSLKLCACVVALMMVGVFFISAESTLLVYFKRVPPPRSRSPNAVFQYLVEGLDSSNACKRKTCSVSCELDGRVYPCHDNGIVLTNLTLNHEHSFLLNVSTNKGERNSSLYSWFIDTIPPTAAITSKQSHTNGQRIPIDVTFSEPCTGLGGFHCINSSNCDIMVAGPAQVDASSLQTIRPGTKHSLEVIISSEVTYGRVVITMAENTCTDQAGNRFTRTNDSTLTIHFDRRPVMVDFWTSVPSYELKINGIPRTVVATSKPEDLIIFLDFSIPIRNSTKQVLNALHVNSGVLTPLHGRSNGARRFSFELKNTSRTEIITVELQAASILGRTGTPVSPVSPITFLYDSMKPKVVLRTSSLTETRDFNINIIAEFTKPVFDFGASTVEISGGRLTRFKELSRALYSLSVQAVTKEVSVTIPAGKVTDISGNENLASNQLDIKHYSTPAISIALYSFINAGTIATSLVAAMVSLSSANLEALSILALGGTSSPVSSPSINLQGMVGHLQVFALTSWFSTNQYIKYSETTRGLRWLIPHHKLPWKNYDTWFFVWEREKLEGRSNGLSSVEHAYNKDQQHSDFMNLSYVDHKLSFQSENTTKYGRLHNQHDISKTSTLYGLPLNSVEYFTYFLRGEPLSASNVIKGMESYKGWQDMEMNLFWLGVGGGCLLLVHVFILFFLRQRTGRPPQGRLAVPRFQLFLLILMLPCLSQASTFVIKGGTTGGIITGVLLLAVPVAFISSVFLFLIIAIYSGSFAQYNECNQVTNEEKWYRNLLFFFIGRPTTGKWFDRNGLPSSFLARFGILFDDWKGPPVFILGDQNESNSITKWTESGQSEITRTKTVTSEDSNEETKISEFKRVLGCMRASYIIIDLLRRVGLGIISVAYPSENSNKSLFALIITSIQFIYLFTTKPYISRSVQVVEGISLLCEVGVFAILILQNGSNSVEDETWELVILFLLLFTFIAQLTNQWYAMVNTLLKFSKSQNNTLRHGLKSAAKGLILPFLPSKHWSSVVSTFSQPETDQLSVNPITEFERRNRNGYMDPISAMTATVVPVQSPSTPSHNVIERTHPTTLEIDANSHIEVEGKWLKGHKAGLRKELKMLRELAKASFSGDTRVDEASTSYTSGEQHSSGEIYLGNPKRRYY >Vigun11g044900.2.v1.2 pep primary_assembly:ASM411807v1:11:6738618:6745556:1 gene:Vigun11g044900.v1.2 transcript:Vigun11g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALICNFLLLFSQICSAAFTITQLEPLSDNGTTLVSKEGTFEMGFFSPGSSDKRYIGIWYKNIPVKTVVWVANRDNPITHNNNNNSGSNNNSGTNKFVISQEGNLVLFTENETLVWSANATKKVSNPVVQLLDSGNLVLTDANDETVFLWQSFDFPCDTLLPGMKLGWDLKTGLNRKLTAWKTWDDPSSGDFTWGVELGSNPDIVMWKGKTEYFRTGPYTGNMFSGVYGPRNNPLYDYVFVNNTDQVYYRYTLRNASVITIIVMNQTLYLRHRVTWIPEAKAWTIYQSLPIDSCDVYNTCGPNGKCAIAGSPICQCLDGFVPKWLQQWNAMDWREGCVRSEEWKCGVKDRDGFRRFGAMKLPNTTGSWVNQSMTLEECRDRCLRNCSCSAYSNLDTRNGGSGCSIWFGDLFDLRVIESGQDLYVRIATSETDGKQGRRKKVIAVASAVASLVFVMLLTFCIYITKRRYKGKTETKMATVDKNEGKQEDLELPFFDLATLVKATNNFSIENKLGEGGFGPVYKGTLVDGQEIAIKRLSRSSGQGLKEFRNEVILCAKLQHRNLVKVIGYCIEHEEKMLLYEYMPNKSLDLIIFGKISIFFSTIKIDFLG >Vigun11g044900.4.v1.2 pep primary_assembly:ASM411807v1:11:6738618:6745556:1 gene:Vigun11g044900.v1.2 transcript:Vigun11g044900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALICNFLLLFSQICSAAFTITQLEPLSDNGTTLVSKEGTFEMGFFSPGSSDKRYIGIWYKNIPVKTVVWVANRDNPITHNNNNNSGSNNNSGTNKFVISQEGNLVLFTENETLVWSANATKKVSNPVVQLLDSGNLVLTDANDETVFLWQSFDFPCDTLLPGMKLGWDLKTGLNRKLTAWKTWDDPSSGDFTWGVELGSNPDIVMWKGKTEYFRTGPYTGNMFSGVYGPRNNPLYDYVFVNNTDQVYYRYTLRNASVITIIVMNQTLYLRHRVTWIPEAKAWTIYQSLPIDSCDVYNTCGPNGKCAIAGSPICQCLDGFVPKWLQQWNAMDWREGCVRSEEWKCGVKDRDGFRRFGAMKLPNTTGSWVNQSMTLEECRDRCLRNCSCSAYSNLDTRNGGSGCSIWFGDLFDLRVIESGQDLYVRIATSETDGKQGRRKKVIAVASAVASLVFVMLLTFCIYITKRRYKGMEFVERGYSRAID >Vigun11g044900.3.v1.2 pep primary_assembly:ASM411807v1:11:6738618:6745556:1 gene:Vigun11g044900.v1.2 transcript:Vigun11g044900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALICNFLLLFSQICSAAFTITQLEPLSDNGTTLVSKEGTFEMGFFSPGSSDKRYIGIWYKNIPVKTVVWVANRDNPITHNNNNNSGSNNNSGTNKFVISQEGNLVLFTENETLVWSANATKKVSNPVVQLLDSGNLVLTDANDETVFLWQSFDFPCDTLLPGMKLGWDLKTGLNRKLTAWKTWDDPSSGDFTWGVELGSNPDIVMWKGKTEYFRTGPYTGNMFSGVYGPRNNPLYDYVFVNNTDQVYYRYTLRNASVITIIVMNQTLYLRHRVTWIPEAKAWTIYQSLPIDSCDVYNTCGPNGKCAIAGSPICQCLDGFVPKWLQQWNAMDWREGCVRSEEWKCGVKDRDGFRRFGAMKLPNTTGSWVNQSMTLEECRDRCLRNCSCSAYSNLDTRNGGSGCSIWFGDLFDLRVIESGQDLYVRIATSETDGKQGRRKKVIAVASAVASLVFVMLLTFCIYITKRRYKGKTETKMATVDKNEGKQEDLELPFFDLATLVKATNNFSIENKLGEGGFGPVYKGTLVDGQEIAIKRLSRSSGQGLKEFRNEVILCAKLQHRNLVKVIGYCIEHEEKMLLYEYMPNKSLDLIIFEYAEVIKLKEVQAS >Vigun11g044900.1.v1.2 pep primary_assembly:ASM411807v1:11:6738619:6745555:1 gene:Vigun11g044900.v1.2 transcript:Vigun11g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALICNFLLLFSQICSAAFTITQLEPLSDNGTTLVSKEGTFEMGFFSPGSSDKRYIGIWYKNIPVKTVVWVANRDNPITHNNNNNSGSNNNSGTNKFVISQEGNLVLFTENETLVWSANATKKVSNPVVQLLDSGNLVLTDANDETVFLWQSFDFPCDTLLPGMKLGWDLKTGLNRKLTAWKTWDDPSSGDFTWGVELGSNPDIVMWKGKTEYFRTGPYTGNMFSGVYGPRNNPLYDYVFVNNTDQVYYRYTLRNASVITIIVMNQTLYLRHRVTWIPEAKAWTIYQSLPIDSCDVYNTCGPNGKCAIAGSPICQCLDGFVPKWLQQWNAMDWREGCVRSEEWKCGVKDRDGFRRFGAMKLPNTTGSWVNQSMTLEECRDRCLRNCSCSAYSNLDTRNGGSGCSIWFGDLFDLRVIESGQDLYVRIATSETDGKQGRRKKVIAVASAVASLVFVMLLTFCIYITKRRYKGKTETKMATVDKNEGKQEDLELPFFDLATLVKATNNFSIENKLGEGGFGPVYKGTLVDGQEIAIKRLSRSSGQGLKEFRNEVILCAKLQHRNLVKVIGYCIEHEEKMLLYEYMPNKSLDLIIFDTYKSRFLDWQMRFNILNAIARGLLYLHQDSRLRIIHRDLKLSNILLDNDMNPKISDFGLARICGSDQVEGSTSIIVGTHGYMAPEYAIDGLFSTKSDVFSFGVLLLEILSGKKNRALTFQDNNHNLIDHAWSLWKEGTPEQLIDACLEDSYNVFEVVRCIQIGLLCLQHHPNDRPNMTSVVVMLTSENALPEPKEPGFLIRKISKEGEDSSNRQTSSSVNEASISLLNAR >Vigun07g261300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37754286:37755607:1 gene:Vigun07g261300.v1.2 transcript:Vigun07g261300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALLFFIPSFVLSSLCHALPREAVFDAADVLLDSGFVSMALTLEVVAETLLEQSPSATVFAPSDSAFKKSGQPSLDLLRFHLAPLPLTPSSLRLLSAGTGIPTMLPGQSLTVTTSSSDRATSINNIKLTPSPIYDDGFLLVYGIDRFFDLNFQINSQRPSSDSNSSCSAKNSTTAASDTFNSAIQTLQTSGHSAVASFLGMQLSGVVEPSGITVFAPADDSVMSSIGDFDQYPSFFRRHVVPCRLLWNDLVNLGDGSLLPTFLDGFSINVTRFDGVLVFNGVPVFFPDLFFNERVAVHGVNDVLAAQGETFPENAKNLFDPFEF >Vigun07g242500.2.v1.2 pep primary_assembly:ASM411807v1:7:36387689:36396751:1 gene:Vigun07g242500.v1.2 transcript:Vigun07g242500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRRQDQSLSQRKGQKRKLDEEQHDERQISAAPSTAAERAALLCDVGEQVSILESTFTWNEADRSAAKRATHALADLAKNEEVVNLIVEGGAIPALVKHLQAPPLIDRVQKPLPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALIHLVDLLKRHKDGLTSRAINSLIRRAADAITNLAHENSSIKTRVRLEGGIPPLVHLLDFADAKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAVHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSSDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIQGRVLNHLLYLMRVSEKGCQRRVALALAHLCSADDQRKIFIDHYGLELLIGLLGSSSSKQQLDGAVALCKLANKASTLSPVDAPPLSPTPQVYLGEQYVNNATLSDVTFLVEGKQFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFVYCGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFNAIPLRHACILFILEQFDKLSARPGHSLLIQRVIPEIRNYFVKALTKANCHNNRL >Vigun07g242500.1.v1.2 pep primary_assembly:ASM411807v1:7:36387771:36396834:1 gene:Vigun07g242500.v1.2 transcript:Vigun07g242500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRRQDQSLSQRKGQKRKLDEEQHDERQISAAPSTAAERAALLCDVGEQVSILESTFTWNEADRSAAKRATHALADLAKNEEVVNLIVEGGAIPALVKHLQAPPLIDRVQKPLPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALIHLVDLLKRHKDGLTSRAINSLIRRAADAITNLAHENSSIKTRVRLEGGIPPLVHLLDFADAKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAVHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSSDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIQGRVLNHLLYLMRVSEKGCQRRVALALAHLCSADDQRKIFIDHYGLELLIGLLGSSSSKQQLDGAVALCKLANKASTLSPVDAPPLSPTPQVYLGEQYVNNATLSDVTFLVEGKQFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFVYCGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFNAIPLRHACILFILEQFDKLSARPGHSLLIQRVIPEIRNYFVKALTKANCHNNRL >Vigun07g242500.3.v1.2 pep primary_assembly:ASM411807v1:7:36387689:36396751:1 gene:Vigun07g242500.v1.2 transcript:Vigun07g242500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRRQDQSLSQRKGQKRKLDEEQHDERQISAAPSTAAERAALLCDVGEQVSILESTFTWNEADRSAAKRATHALADLAKNEEVVNLIVEGGAIPALVKHLQAPPLIDRVQKPLPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALIHLVDLLKRHKDGLTSRAINSLIRRAADAITNLAHENSSIKTRVRLEGGIPPLVHLLDFADAKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAVHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSSDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIQGRVLNHLLYLMRVSEKGCQRRVALALAHLCSADDQRKIFIDHYGLELLIGLLGSSSSKQQLDGAVALCKLANKASTLSPVDAPPLSPTPQVYLGEQYVNNATLSDVTFLVEGKQFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFVYCGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFNAIPLRHACILFILEQFDKLSARPGHSLLIQRVIPEIRNYFVKALTKANCHNNRL >Vigun06g237500.1.v1.2 pep primary_assembly:ASM411807v1:6:34235315:34237816:1 gene:Vigun06g237500.v1.2 transcript:Vigun06g237500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVDLQGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVDNKVVDSQTAKAFADELGIPFLETSAKDSINVEQAFLTMAAEIKKKMGSQTAVGKSAEAVQIKGQPIPQNSNCCG >Vigun03g161000.1.v1.2 pep primary_assembly:ASM411807v1:3:17855446:17860634:-1 gene:Vigun03g161000.v1.2 transcript:Vigun03g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKQNMILRGVLGACVLFLLMTPSVAELPRFKHPLNKSQQSLQFLVIGDWGRKGTNNQSFVANQMGIVGEKLNIDFVISTGDNFYEDGLKGVEDPAFYESFVDIYTAPSLQKTWYSVLGNHDYRGDVEAQLSPVLKLKDSRWLCLRSFILDGEIVEFLFVDTSPFVDEYFQEPGEHSYDWEGVLPRMSYISQLLVDVNSTLAKSKAKWKMVVGHHTINSAGHHGNTEELKQILLPILEANNVDAYINGHDHCLEHIIDKNSGIHFLTSGGGSKAWSGDVKPWNLEELKLYYDGQGFMSMQITESYADIIFYDVSGKPLHTWTISKEPKLAAWI >Vigun02g178200.1.v1.2 pep primary_assembly:ASM411807v1:2:31951012:31953278:-1 gene:Vigun02g178200.v1.2 transcript:Vigun02g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREICKYLFQEGVCFAKKDYNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFEGERRFGGDRDGYRGGPRGPGGDFGDKGGAPADYRPSFGGPSGRSGFGRGSGGFGAPTSSNNS >Vigun02g050400.2.v1.2 pep primary_assembly:ASM411807v1:2:19131864:19136497:-1 gene:Vigun02g050400.v1.2 transcript:Vigun02g050400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWFNKLSIIIGHRSPVNWLFLCLISLLLLIFVLGSSSSNIVDLAPHIPVSLIYTNYRRVKEQAAVDYLELRSVARGVSRQREFDLCGKERENFVPCYNVSSNLVAGFKEGEEFDRHCELLVEAERCLVRPPTEYKIPFQWPTSRDVIWSGNVKITRNQFLSSGSMTKRLMLLEENQIAFRSEDDGVKDYSRQIAEMIGLGSDNEFPQAGVRTILDINCGFGSFAAHLASLNIMTVCVAAYEATGSQVQLALERGLPAVIGNFVARELPFPSLSYGIVHCAQCGIIWDEKDGIFLVEVDRVLKPGGYFVLTSTPSRSQESSSKMKRRNMLKPVEELAQQLCWTLLAQQDELLIWQKTADVNCYASRKKHDIPLCKEFDDVQSYYRPLEPCIRGTSSKRWIAIQNRSSGSDLSSEELKINGVQSEDYFEDLEFWRSTLKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNTKYGGLNSALLEEKKSVWVMNVVPATVSNSLPLILDKGFVGVVHDWCEPFPTYPRTYDMLHASGLLSHLTSERCSMVNLFLEMDRILRPEGWVILSDNMQIIEMARTLAAQVRWEARIINLQNGSDQLLLVCQKPFLKK >Vigun07g037700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3614432:3615595:-1 gene:Vigun07g037700.v1.2 transcript:Vigun07g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSLFLFQIMSNNNMNFPNENPVECRVCNYVLPNLRALIVHIETHLAQENLTMEVSPIDGTKPFIKLLEKPILNNGHFNLNNGHFNQANVVNGDALDLSLKL >Vigun08g162100.1.v1.2 pep primary_assembly:ASM411807v1:8:33441349:33467505:-1 gene:Vigun08g162100.v1.2 transcript:Vigun08g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVRCLTVLGEFKPFGLVAEALDGKPPGSVTDKYDYFLFDPEIARERDAEDECDNVSSAPSSCGDHELFIRGNRITWSTGARVFKRFSLPSDIVKVCWCRLGHITEALLCILQSDRLTIYNTSGEVVSLPLPRTITSIWPLPFGLLLQQDVEANIPLRVPFSSASPLLSTRDMLLSSSNPIQKGEGTSVSSHLILMDPLDEHRPTFIEERGKLNMMKEYDEKTIWTSDQVPLMASYNKGKMQHSLWVAEIVNSNVDDDSAGSLLPVDPMSVLPKHLSFRKIWQGKGAQTAACKVFMATDDDATPVVCFFHQEQKKLLSLSLQMVEINNEIVFDVKPDMGWNIHAIAASPVTVTRPRVKVGVLPYSDIMVLSPENVLLLYSGKQCLCNYVLPCLNKDKILHDPEISEESSLRNDLKITGLADAVEGRVNVIVNNRQIFRCALRESPSSVLANDCITALAEGLCSSFYRHLLGLLWKDGDPAHSPEAEPIVDSEWNSFCHVILQICRKNRIISQKGSDSVPHSAWDFLISSQFHYNFCKVNSIFGMPCTVSLDQQESNFDRSFADDPQNSGKPFYTDLLRESMESLHGLYESLKLDNLRKRDLELLSVLLCNIAEFLVEENYLDNYIRDFPGLSKKFLKPGMSISPKICPSLFRWFENCLQYGCHCANVNDIPTLVCKEGSSVVSIARKVVCFYSILSGAKLQGNKLSTGVYCNITMGSHSSKEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRDSPPNDWPAAAYILLGRQDLAMSTLARECKYRGIETPSNVNVISMSTPYMLNLHPVTISSTISDAIGLEGAKFEDTDSVDGSMTDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSSRPVAIQTSINHSASDQDLQQAQLWHLAQRTTSLPLGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELRSWPEFHNAVAAGLRLAPLQGRMSRTWILYNRPEEPNSVHAGLLLALGLHGFLRVLAVTDIYQYFSQEHESTTVGLMLGLAASYGGTMHPAISKTLYFHIPVRHPSSYPELEVPTLLQSAALMSLGILYEGSAHPQTMQVLLGEIGRRSGGDNVLEREGHAVSAGFALGLVALGRGEDALGFIDTFVNRLFLYIGDKVHNERPHFSAVSMDECRGSAQMMDGTTVNIDVTAPGAIIAIALMFMKTESEAIVSRLSIPNTFFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWVWSQIPEIVRCAVEGIGGDDNDIDDMDAEAFIQAYVNIIAGACISLGLVFAGTRNENAQELLYEFAIYFLNEIKPVSPTSGKVFPNGLSHHIDRGTLETCLHLIVLSLSVVMAGSGHLQTFRLLRFLRSRNCADGQSSYGIQMAVSLATGFLFLGGGMRTFSTTNHSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPLEVTVRETEHYAESSFCEVTPCLLPERSILKRIRVCGPRYWPQVIDFTPEDKPWWNFGDKNNPFNSGILFIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLKASDTIRDIHNGSDSITVDQLVGTFSSDPSLIAFAQLCCDPSWYNRSDVDFKEFCLQVLFECVSKDRPALLQVYLSLYTTVESMAEQVTKGAVVFGDSLSISGFKLALIYIEALMTGKLSSPKGGIVQSTFVGSLRKQVEELLNCSQELKDDFHNYLKLGKWPDGESQDKRSILLSWFLQWFDVPASSVIRTAVDRVKPKLMSSSSVPFLRLLFPRTHIRVISEIDRCLS >Vigun04g055600.2.v1.2 pep primary_assembly:ASM411807v1:4:5424597:5428565:-1 gene:Vigun04g055600.v1.2 transcript:Vigun04g055600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFEGYERQYCELSANLTKKCTAAGALNGEQKKQKVSEIKTGIDEAEALIRKMDLEARSLQPNVKGVLLAKLREYKSDLNNLKTEVKKILSGNLNPSARDELLESGMADAMTASADQRTRLMVSTERLNKTSDRVKDSRRTMLETEELGVSILQDLHSQRQSLLHAHNTLHGVDDNIGKSKKILTNMSRRMNKNKWIIGGIVLVLVIAIIVILYFKLSK >Vigun04g055600.1.v1.2 pep primary_assembly:ASM411807v1:4:5424621:5428565:-1 gene:Vigun04g055600.v1.2 transcript:Vigun04g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFEGYERQYCELSANLTKKCTAAGALNGEQKKQKVSEIKTGIDEAEALIRKMDLEARSLQPNVKGVLLAKLREYKSDLNNLKTEVKKILSGNLNPSARDELLESGMADAMTASADQRTRLMVSTERLNKTSDRVKDSRRTMLETEELGVSILQDLHSQRQSLLHAHNTLHGVDDNIGKSKKILTNMSRRMNKNKWIIGGIVLVLVIAIIVILYFKLSK >Vigun04g055600.3.v1.2 pep primary_assembly:ASM411807v1:4:5424601:5428565:-1 gene:Vigun04g055600.v1.2 transcript:Vigun04g055600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFEGYERQYCELSANLTKKCTAAGALNGEQKKQKVSEIKTGIDEAEALIRKMDLEARSLQPNVKGVLLAKLREYKSDLNNLKTEVKKILSGNLNPSARDELLESGMADAMTASADQRTRLMVSTERLNKTSDRVKDSRRTMLETEELGVSILQDLHSQRQSLLHAHNTLHGVDDNIGKSKKILTNMSRRMNKNKWIIGGIVLVLVIAIIVILYFKLSK >Vigun04g097550.1.v1.2 pep primary_assembly:ASM411807v1:4:21690013:21692369:1 gene:Vigun04g097550.v1.2 transcript:Vigun04g097550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKKMSTNKSSTWEHVVTPAAHHQSHSGFYINIPTQ >Vigun06g048566.1.v1.2 pep primary_assembly:ASM411807v1:6:17319959:17326063:1 gene:Vigun06g048566.v1.2 transcript:Vigun06g048566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSVREAGLSTFVQKIREEIYNSYYMHRRLQIIGFDQKTSVYLKKIEKDIDNVRKARIAVEYCETKKVHLEDLPNLRSFSSGDILKWSSLENVVLDHFPDIKKFGLGMIKESQLKSILITQNDEVQIHPHTNLAYLFELLDDKFSLITEYSVCNNEELDKIFYNLQSSHFTNLQILRVNNCNGIM >Vigun04g195400.1.v1.2 pep primary_assembly:ASM411807v1:4:42010410:42018561:1 gene:Vigun04g195400.v1.2 transcript:Vigun04g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRANPLLRKLPPHFLTRRGFRFRTICSGRLGSAGATTTTKHLDIFTEQFEIGSRVITLETGKFARFANGAVVLTMENTNVLSTVASAKGDAVRDFLPLTVDYHEKQFAQGVIPTSFARREGPPKERELLCGRIIDRPIRPLFPPGFYHEVQVTASVLSSDGKHDPDVLAANATSAALMLSDIPWGGPIGVVRIGRICGKFIVNPTMDELKLSDLNLVYACTMDKTLMIDVQASEISEKDLEAGLRMAHPEAVKYIEPQIRLAAKAGKSKKEYKLSMLSDKTMDKVTNIAEEPIKAVFTDPTYGKFERGEALDNITQDVKRVLEEEGDEESLKVLSKAVDTVRKKVVRKRIIAEGHRVDGRQLDEVRPLYCEAGCISMLHGSALFSRGETQVFCTVTLGAPTDAQRLESVVGPPTKRFMLHYSFPPFCINEVGKHGGVNRREVGHGTLAEKSFLAVLPPKEDFPYTIRVNSEVMASDGSTSMATVCGGSMALMEAGIPVREHVAGVSVGLVSKLDPSTGKIEDYRILTDILGLEDHLGDIDFKIAGTRKGVTACQLDIKPAGIPLDIVCECLEPARKARLLILERMEQEISIPRNKNDNTSPRLATLKYNNDAIRRLIGPMGALKRKMEEETGARMSVGDGELTIVAKNQSVMDKILEKIDFVVGREIEVGGIYTGIVSNIKEYGAFVEFNGGQQGLLHISELSYEPVSRVSEVVSVGQKLSLMCIGQDVHGNIKLSLKATLSRPGRLKSNDVIEGSVTSAKETDGNASSVQEQNSASELSLGEAKSSTSQVPVILIRSAAECDEEEKSSTSNPSSKAPCVDNGVQLNRKSKSRPSQNANDSTPKSKSRKSQDVIDSSTSHSGPLPYTNAKKTKLSMQKESKSELQKQEEGEQEYKDKVSVTAKDVEVGTKVTAKIYQIRAHGLVLDLGGGVRGVYRFEENKSMDFKIGDEIPVVCSSFSSKGIPVLSLVDDK >Vigun01g092300.1.v1.2 pep primary_assembly:ASM411807v1:1:25348276:25349770:-1 gene:Vigun01g092300.v1.2 transcript:Vigun01g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINCTSSESVTGIVKGSHEFKLKGYSLVKGIGVGRFIASETFNVGGYEWAIHFYPDGIDTHEHATVYVSVYVALLSEADDVRAKFELSMLDQRGEGVDFVLGHFNGTLGTKPHILSTGDMWGINRYYKRFHFEHSTYLKDDCLQLNCTVGVLPTKVENSMTIEVPESNIAKSFEMLFEDEQSSDVTFSVGGNKFYAHKIVLAARSSVFKSQFFGGTDKVDREILVNDMEPKVFKALLQFIYKDTLIEDEELHLSVSSSMASLSELYVTKVLAAAHKYDLPRLKLMCESVLCKHISINSVAHILVICDCYEATGLKSTCLQFSAENLNAVLKSDGFKHIKENCPDLIFELLKTVAAGIGRVKSSYGDDDSDASTPEPNEIEAESTSYNPSSDEENHVGW >Vigun09g246000.1.v1.2 pep primary_assembly:ASM411807v1:9:41452944:41454187:1 gene:Vigun09g246000.v1.2 transcript:Vigun09g246000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGWTPKQNKRFENALAIFDKDTPDRWHNLARAVGGKTVEDVKRHYEKLVEDVKQIEEGHVPLPNYRSVATMASSIRGYGYMDEQNRMKGLSLH >Vigun07g201200.1.v1.2 pep primary_assembly:ASM411807v1:7:32207963:32209999:-1 gene:Vigun07g201200.v1.2 transcript:Vigun07g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVPCEPDKSVLELPGLPGGAKAFLHVVKFCYGVKMELNASNVVGLRCAAEYLQMSENYGEGNLIMQTEKFLNHVFGYWTDTLIALKTCEEVLPMAEELHIASRSINSLVQKVADQSLVNLPVSSGPSVAQSPEDAEVWNGISLTPKASGEDWWFDDVSSLSLPLYKRFIRGASARNIKPKRIAGSLIYYAKKHIPLLGSQTNSLSGNSSSLKSSLSTPSEADQRNLIQEIVELLPNEKDIAPTKFLLGCLRTAMALYASSSCCSSLEKRIGSQLDEAYLEDLLIPNIGFSMETIHDIDCVQRMLDHFMIVEHDLIDTTSNDIEEEERRIVGSSQPLSPMAKVANLIDSYLAEVAADVNVKLPKFQSLATVIPDHVRTLDDGLYRAIDIYLKNHHWLTDSEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDNVENSQNMNANLSLMRNDGSIPHNPVVAFDNVKERVAELEKEYLSMKHDLEKMMKSKGGWNMFLRKLGWKLVPKPSNANVSKPCRKSKISPATTAQMEEKAMQVK >Vigun07g233100.1.v1.2 pep primary_assembly:ASM411807v1:7:35486502:35488843:-1 gene:Vigun07g233100.v1.2 transcript:Vigun07g233100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVRLLLLFLASGLTLSVSESQSVSLLPHAADSFNVSYIQLKNAASCSYLVVISTSCSSPRYTRDQISIAFGDAYGNQIYVPRLDDPTSGTFESCSSDTFQISGPCAYQICYVYLYRHGSDGWRPESVKINSYNGRAVTFYYNTFIPRDTWYGFDLCSGASSSNKVSKQKWLIFMVLGFVLSFWL >Vigun04g156200.1.v1.2 pep primary_assembly:ASM411807v1:4:37783493:37784910:1 gene:Vigun04g156200.v1.2 transcript:Vigun04g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIYGVDSIVADVKEQKLTVVGQMDTVKIVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Vigun03g105101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9086048:9086506:-1 gene:Vigun03g105101.v1.2 transcript:Vigun03g105101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRLFGGRRSNVFDPFSLDVWDPFKDFPFPESGRENSALVSTRVDWKETPEAHVFRADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDRWHRVERSSGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEIKKPEVKAIEISG >Vigun04g051700.1.v1.2 pep primary_assembly:ASM411807v1:4:4698429:4709423:1 gene:Vigun04g051700.v1.2 transcript:Vigun04g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKWRALQHRHRYTYTAVVFPPSFLSSLSLLLSDPLVSPFHSSLLHFTTLSSTLSQLSHAKNLASTFLHLLQSYPPSEPQTSLNLACELYLHLLFLENSNPLHKTFLSPLAKTTPFRSILATSFGTLLHNNHAFPRFAVSRAALSVLGMPKLDYLAAVVGNCAVLVASDAVNGLTGVVSETERPSPVVMEQCQEALSCLYYLLQKFPSEFRESEGGESCVVMEGIVSAVLSVLSSTAFSRDCLVAAGVAMCAAFQVCVSKQELGSVLIRGVFNNSLQGLDLSGGGGDIGEVRDVIGRIPCKGDLYLGISGLSVLSRLCLIRGVLTAVSRDLLNAHFSGVGGIKTVLYDGVLPELCRFCENPVDSHFNFHALTVMQICLQQIKASLLAGLTDISGEYEPIPEEMGVRVLRIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCEGGERIKEFLVKIGSDLLSLGSRCKGRYIPLALLTKRLGARKMLEMTPDLLFETAQAYIDDDVCCAATSFLKCFLECLRDEFWESDGIEGGYALYRGHCLPPVLYGLGSGSSKLRTNINTYALPVLLEVDVDSIFPMLSFISVGPNGDENRLQYTELVCLDMEVNLEQRIAILVSLLKVSRSLALVEGDIDWAEDPSANEKEPGLGIESHAVVCIKGINVRIHVQWLVNALTHVDESLRVDAAESLFLNPKTASLPSHLELTLMKEAVPLNMRCCFSAFQMKWSSLFRKFFSRVRTALERQFKQGNWSPLEHAKGNEVYPSKGNDKESTIKRADDLFHFMRWLSGFLFFSCYPSAPYKRKIMAMDLILVMINVWSIKSSICDESNSSLSGSHLYPYNKGMTSSDSTLLLVGSIVDSWDRLRENSFHILLHFPSPLPGISNEDTLKKLIASSMKLVCSPRVRESDAGALSLRLIFKKYVLELGWLIEDSLNVVHLSSKSELVNEVSQSNKSRNPVITYLKSMIDWLDAAVRDGEQDLSKACKNSFVHGVLLALRYTFEELDWNSDVLSSSILELRYLLERLLDLVVRITSLALWVVSADAWYLPEDMDEMLDEDNLVMEVPYDAHMHSSECENNNSTPSHDDGRSSEQIVMVGCWLAMKEVSLLLGTIIRKVPLPRNTSSDLSDLEGHSVDFSSDSVLDLEQLKTIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDSRLHRMTESWMEQLMQRTVAKGQVVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIDVGNGSMLNQIENNSFNGDPCKSEDSANGNNSTRAAERNVNLTSSKIRDEGVIPTVHAFNVLRAAFNDSNLSTDTSGFSAEALILSIRSFSSPYWEIRNSACLAYTALVRRMIGFLNVHKRESARRAITGLEFFHRYPSLHSFLFNELEVATEFLGCASSGDLESIRGNNLHPSLYPILILLSRLKPSSIAGETGDELDPFLFMPWIRRCSTQSNLRVRVLASRALTSIVSNEKLPAVLHNIISELPCVDKLIKSTSFPVSFNFIHGILLQLSALLDINFRNLADNSRKDHIIGELIQDLLLRSWIARPTHCPCPILNETFLRVLDQMLNMARTCQISKHFRSISKLLLELSTECLDLESHSLSYYDPTIAKLREQAAISYFGCFFHAPMDEEETINMRLRHSLPSSESFPEDEMENTSFGLLDRLICCLSDSLYEVRLATLKWLLKFLKASEPSGKVHDLFRNDIMAVQLWAKTNLHGTLVNILASEKHHRCTNYILKILVAWNLLQFGKAGQDKCTSTSYVGEMDFDSVLQFWNELVFLYKQARHAKTQETLVRCLGVCIKRITMLFASSIIPNDGIDFSVCGEIHQEEMIVCLFDCIVFFCNMIKQCSSSSEPASMRYAAAESLIASGLLEQAGFLGSFVSNNQIPLDTSSCFVKNEAINSYAHQVLEVWLTCIKLLEDEDDSVRLRLSSDVQKCFTKEKARSNHTPGLGPIQVDRVIRLCFDHLFSIFGHWIDYFDYLCQWVLRVENCVAPEGDLVRRVFDKEIDNHYEEKLLISQICCSNMEKLPILKSWADRDEFRSYLREWKARFSHQLVSYAQDHIVKQEGNDWIGGVGNHKDAFLPLYANLLGFYALSNCIFLVCSNNDAKLLLSDVVVLGRAINPFLRNPLISNLFKLVVESHEKMAGDVANGLLPEMRNCSIWDSFNPYFLLY >Vigun04g051700.2.v1.2 pep primary_assembly:ASM411807v1:4:4698429:4709423:1 gene:Vigun04g051700.v1.2 transcript:Vigun04g051700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKWRALQHRHRYTYTAVVFPPSFLSSLSLLLSDPLVSPFHSSLLHFTTLSSTLSQLSHAKNLASTFLHLLQSYPPSEPQTSLNLACELYLHLLFLENSNPLHKTFLSPLAKTTPFRSILATSFGTLLHNNHAFPRFAVSRAALSVLGMPKLDYLAAVVGNCAVLVASDAVNGLTGVVSETERPSPVVMEQCQEALSCLYYLLQKFPSEFRESEGGESCVVMEGIVSAVLSVLSSTAFSRDCLVAAGVAMCAAFQVCVSKQELGSVLIRGVFNNSLQGLDLSGGGGDIGEVRDVIGRIPCKGDLYLGISGLSVLSRLCLIRGVLTAVSRDLLNAHFSGVGGIKTVLYDGVLPELCRFCENPVDSHFNFHALTVMQICLQQIKASLLAGLTDISGEYEPIPEEMGVRVLRIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCEGGERIKEFLVKIGSDLLSLGSRCKGRYIPLALLTKRLGARKMLEMTPDLLFETAQAYIDDDVCCAATSFLKCFLECLRDEFWESDGIEGGYALYRGHCLPPVLYGLGSGSSKLRTNINTYALPVLLEVDVDSIFPMLSFISVGPNGDENRLQYTELVCLDMEVNLEQRIAILVSLLKVSRSLALVEGDIDWAEDPSANEKEPGLGIESHAVVCIKGINVRIHVQWLVNALTHVDESLRVDAAESLFLNPKTASLPSHLELTLMKEAVPLNMRCCFSAFQMKWSSLFRKFFSRVRTALERQFKQGNWSPLEHAKGNEVYPSKGNDKESTIKRADDLFHFMRWLSGFLFFSCYPSAPYKRKIMAMDLILVMINVWSIKSSICDESNSSLSGSHLYPYNKGMTSSDSTLLLVGSIVDSWDRLRENSFHILLHFPSPLPGISNEDTLKKLIASSMKLVCSPRVRESDAGALSLRLIFKKYVLELGWLIEDSLNVVHLSSKSELVNEVSQSNKSRNPVITYLKSMIDWLDAAVRDGEQDLSKACKNSFVHGVLLALRYTFEELDWNSDVLSSSILELRYLLERLLDLVVRITSLALWVVSADAWYLPEDMDEMLDEDNLVMEVPYDAHMHSSECENNNSTPSHDDGRSSEQIVMVGCWLAMKEVSLLLGTIIRKVPLPRNTSSDLSDLEGHSVDFSSDSVLDLEQLKTIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDSRLHRMTESWMEQLMQRTVAKGQVVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIDVGNGSMLNQIENNSFNGDPCKSEDSANGNNSTRAAERNVNLTSSKIRDEGVIPTVHAFNVLRAAFNDSNLSTDTSGFSAEALILSIRSFSSPYWEIRNSACLAYTALVRRMIGFLNVHKRESARRAITGLEFFHRYPSLHSFLFNELEVATEFLGCASSGDLESIRGNNLHPSLYPILILLSRLKPSSIAGETGDELDPFLFMPWIRRCSTQSNLRVRVLASRALTSIVSNEKLPAVLHNIISELPCVDKLIKSTSFPVSFNFIHGILLQLSALLDINFRNLADNSRKDHIIGELIQDLLLRSWIARPTHCPCPILNETFLRVLDQMLNMARTCQISKHFRSISKLLLELSTECLDLESHSLSYYDPTIAKLREQAAISYFGCFFHAPMDEEETINMRLRHSLPSSESFPEDEMENTSFGLLDRLICCLSDSLYEVRLATLKWLLKFLKASEPSEKHHRCTNYILKILVAWNLLQFGKAGQDKCTSTSYVGEMDFDSVLQFWNELVFLYKQARHAKTQETLVRCLGVCIKRITMLFASSIIPNDGIDFSVCGEIHQEEMIVCLFDCIVFFCNMIKQCSSSSEPASMRYAAAESLIASGLLEQAGFLGSFVSNNQIPLDTSSCFVKNEAINSYAHQVLEVWLTCIKLLEDEDDSVRLRLSSDVQKCFTKEKARSNHTPGLGPIQVDRVIRLCFDHLFSIFGHWIDYFDYLCQWVLRVENCVAPEGDLVRRVFDKEIDNHYEEKLLISQICCSNMEKLPILKSWADRDEFRSYLREWKARFSHQLVSYAQDHIVKQEGNDWIGGVGNHKDAFLPLYANLLGFYALSNCIFLVCSNNDAKLLLSDVVVLGRAINPFLRNPLISNLFKLVVESHEKMAGDVANGLLPEMRNCSIWDSFNPYFLLY >Vigun01g017600.1.v1.2 pep primary_assembly:ASM411807v1:1:1908105:1909012:-1 gene:Vigun01g017600.v1.2 transcript:Vigun01g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRCFIVSMTLLLALFIINSDLCIIKAEARGIIYRLPCDNNKQCQDGCKNPNCGCSSICIEHVCQCPHDLTSIDTVKSSHQTPAPHHPQPPHQAPSPHHHSPPHHGSPHHPPHHHLPHHHQHDHKAPAPHHHQHHHQAPTPHHHQHHHQAPAPHHHQHHHQAPTPHHHPHHHQAPPPHHAPLN >Vigun05g015301.1.v1.2 pep primary_assembly:ASM411807v1:5:1229133:1230090:-1 gene:Vigun05g015301.v1.2 transcript:Vigun05g015301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRANEIYKRVEDQKSSRGRNQDVLLAACLYIACRQEDKPRTVKEICSVANGASKKDIGRAKEYVVKQLGLEKGQSVEMGTIHAGDFMVRF >Vigun05g015301.2.v1.2 pep primary_assembly:ASM411807v1:5:1229133:1230083:-1 gene:Vigun05g015301.v1.2 transcript:Vigun05g015301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRANEIYKRVEDQKSSRGRNQDVLLAACLYIACRQEDKPRTVKEICSVANGASKKDIGRAKEYVVKQLGLEKGQSVEMGTIHAGDFMHL >Vigun04g120800.1.v1.2 pep primary_assembly:ASM411807v1:4:30985892:30993959:-1 gene:Vigun04g120800.v1.2 transcript:Vigun04g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSRKIIFLQTLLCFFLVGINCKRLTEYQFVVKEALYRRLCSTKPILTVNGEFPGPTIRAYHGETIYVNVHNKGNSNITLHWHGVKQPRNPWSDGPEYITQCPIKPGGKFRQKLIFSYEEGTLWWHAHSDWERATVHGPIFIYPKEGESYPFPTPDAEVAIVLGEWWKSQVQDVYEEFISSGGTPNTSDAITINGQPGDFFPCSKSETFKLNVHHGKTYFLRIVNAAMNLNLFFSVAKHNLTVVGADSGYTKPLIRDYIVITPGQTVDALLHANQKPSDYYMAARAYSSAFGVPFNNATTTARVHYMENHAPTKSPPLPYLPYYNDTKAALDYYVSLKGLTETYPFEVPKDITTHILSTLSINTLPCLEDQTCAGPNGTRLASSMNNISFVTPRFDILEAYYYHINGVYDRGFPRFPPLEFDYTAEYLPLVLQIPKRGTKVAVIKYGSTVELVFQGTNLVTGKDHPIHVHGISLFAVGYGIGNFDKEKDPLNYNLHDPPLVNTVLVPNNGWASIRFYALNPGVWFVHCHLERHLSWGMETVLIVTNGEGDATILPPPPDMPPC >Vigun03g149900.1.v1.2 pep primary_assembly:ASM411807v1:3:15568262:15571634:-1 gene:Vigun03g149900.v1.2 transcript:Vigun03g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEEMRGEIEERLINEEYKIWKKNSPFLYDLVITHALEWPSLTVEWLPDRHEPPGKDYSLQKVILGTHTSENEPNYLMLAQVQLPLDDAENDARHYDDDRPDIGGFGCANGKVQIIQQINHEGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKTLEAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPAVSKPVQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISAPLHIFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQSPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPEESTKAAAS >Vigun03g057500.1.v1.2 pep primary_assembly:ASM411807v1:3:4648728:4652311:-1 gene:Vigun03g057500.v1.2 transcript:Vigun03g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASNQAPPSQESVVQVEEVVVTDVLQPADKTPPPPPTTTTTVPVERDSPPQPEPEAPEKKPVEETVTLETHLSKPNNADDSSNDEKVSEFKEESTKLSDLSENQNKALQDLKKLVQEALQSHEFSSKEEKKTPPTTASAADKEEQQQPQQQEEVVTETKTDAAPEKTEEEQVETKKEDQVETEKEEQVEKEEKKEEVKETKEDDDGAKTVEAIEETVVAVSSSVPPQAEEKASETEAKEEASPPLPPEEVSIWGVPLLADERSDVILLKFLRAREFKVKEAFTMLKNTIRWRKEFGMEELMEEKLGDELEKVVFMHGTDKEGHPVCYNIYGEFQNKDLYRKTFSDEEKREKFLRWRIQFLEKSIRKLDFNPGGICTIVQVNDLNKSPGPAKWELRQATKNALQLLQDNYPEFVAKQIFINVPWWYLAVNRMISPFLTQRSKSKFVFAGPSKSTETLLSYIAPEQLPVKYGGLSKDGEFANTDAVTEITVRAASKHTVEFPVTEKCLLSWELRVIGWEVSYGAEFVPDSEGSYTVIVQKSRKVGSSEEPVVCNSFKVGEPGKVVLTIDNTSSKKKLLLYRLKTKPSPSD >Vigun04g064200.1.v1.2 pep primary_assembly:ASM411807v1:4:6956565:6958094:1 gene:Vigun04g064200.v1.2 transcript:Vigun04g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWFNSKLLNRKFEYRCELSKSMESLGPIENTSLSEEPKILTDIDKKIQRWDDRDNSSYNSFDYLVGVDNIQDFLSDKTFLVRDNKKNSYSIYLDIENKTLEINNNHSFLRVFSSYLNTVIKSDYDHSMYDTPFSWNNYINSCIDSYFHSQICVDSCIFGNIVEYNDSYFYSYFLGKVRNCSESENSSFSLITSTNDTNDSDSTLGENYMNLHESEQLTHLWIECENCYELNYKKFWKSKRNICEYYGYHLKMASSDRIEFSIDSGTWNPMDEDMVSLDPIEFHSEEEPYKDRIDSYQRKTGLTEAVQTGTGQVNGIPVAIGIMDFKFMGGSMGSVVGEKITRLVEYATNQLLPLILVCSSGGARMQEGSLSLMQMAKISSALYYYQTIQKLFYVSILTSPTTGGVTASFGMLGDIIIGEPNAYIAFAVPEDSQVAESLFNKGLIDSIVPRNLLKGVVTELLQFHNFFSLTKKERNYGIEIQNQNIQDQSNKIKE >Vigun03g343300.1.v1.2 pep primary_assembly:ASM411807v1:3:54226736:54232467:-1 gene:Vigun03g343300.v1.2 transcript:Vigun03g343300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSFVPEEKECVSNEALSPPNTLGRTINSFLGWELKTPCAFSNDMLGLGQHPIENQGFEELGFPEMLGKHLSDDLIASVSSRKVDAGRHQQHQHSDRITGTVVDAPSAFSQRGDSNSKLSNSNSLIDLKLGRFADHGDAIDAGFSKVLSSSESSTPPKRVRVSGVHSQTAYCQVYGCNKDLSSCKDYHKRHKVCEVHSKTATVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQMGIHPGKSGRLLQPCGDSRFQGTMLTSSFIRPEMLPSGVMCSEKYGVSSFWRPIKAEHGAGFRHLSSMPVSNGHPQSRSLFPSYNGKQFPFLHENCATSTTGSIFCESNSHYPPALGAQNSGLRPLFQDTIGNEDFNVFDTASTVQGLSGISDSCCALSLLSSQSQNSSSQSSGIPLAHSMVIPTSHGHHYNMSQVSEKIGISSQTSSSRVSDSFPSELNHADGSHLSPVLISDHNDIVNFDMADGIFHGSEFMNVKDRLPCEDGATIDLLQLSSQLQRVEHERQSLQVKQENDSSCTLRIT >Vigun04g145900.2.v1.2 pep primary_assembly:ASM411807v1:4:36141505:36146190:-1 gene:Vigun04g145900.v1.2 transcript:Vigun04g145900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTDSPLLIAKTFEIMKIPASTRCTVVCAAKGPRPRYPRVWKTNKRVGTISKAEKLVKSIKELSNVKEEVYGALDSYVAWELEFPLITVKKALKTLEYEREWKRVIQVTKWMLSKGQGKTMGSYFTLINALVNDDRLDEAEELWTKLLMRYMESLPRRFFDKMISIYHKRGMHEKMFEIFADIEELAMRPSISTVSMVGEAFLELGMLDKYHKLHAKYPPPQWEYRYSRGRRIKVKVQPPSKQVNTYTESLENVEQDLDVNKNYESSEETSGIIEDQQLRHDNDVTISTEPEQISDINENYMSEDTAEIIDDEQLRQEADVTSMELEQISDNSNHSMETILDV >Vigun04g145900.1.v1.2 pep primary_assembly:ASM411807v1:4:36141505:36146190:-1 gene:Vigun04g145900.v1.2 transcript:Vigun04g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTDSPLLIAKTFEIMKIPASTRCTVVCAAKGPRPRYPRVWKTNKRVGTISKAEKLVKSIKELSNVKEEVYGALDSYVAWELEFPLITVKKALKTLEYEREWKRVIQVTKWMLSKGQGKTMGSYFTLINALVNDDRLDEAEELWTKLLMRYMESLPRRFFDKMISIYHKRGMHEKMFEIFADIEELAMRPSISTVSMVGEAFLELGMLDKYHKLHAKYPPPQWEYRYSRGRRIKVKVQPPSKQVNTYTESLENVEQDLDVNKNYESSEETSGIIEDQQLRHDNDVTISTEPEQISDINENYMSEDTAEIIDDEQLRQEADVTSMELEQISDNSNHSMETILDV >Vigun08g167400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33884818:33885759:-1 gene:Vigun08g167400.v1.2 transcript:Vigun08g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGVSIEKSFRIKEDDRFFSRLLSKETSKANSSSRVFYYGETSIAVPFTWEAQPGTPKHPSSQTSLPPLTPPPSYYSNSKTSNKRRNSKINIFSCIFPRFMTAASRKHQGSPASSRSSSSSSSSSSSSWSLVYPSDQGTLSFPRSTTTVRTFLKHKASNRFRGCYSFGNIRNAVVSHGAA >Vigun05g290800.3.v1.2 pep primary_assembly:ASM411807v1:5:47705572:47709097:-1 gene:Vigun05g290800.v1.2 transcript:Vigun05g290800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVMSLSYPFQTLLLGCVTAPTRRYFCRTRWCHVQATPPSSVILNADESGKFSEKIHATNLASGKGVPFATQGSTVGIIGGVSVDATLKFLRKLVELSSEDGGNSIPFVLCSDPLFSKELLSFERSYFVSSTSRAENLKLDSSPIVESLRNKRVFLENSGASCIVIPCNVSHSWYEQVCEGCSVPVLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVVLPDRATMEHTVIPAIEALNRKDMEGACNLLRIALQVLLVRAANSVILASDDMRDLLPPDDPLLRKCVDPMDALARSTMKWVKSSEHNL >Vigun05g290800.4.v1.2 pep primary_assembly:ASM411807v1:5:47705542:47709045:-1 gene:Vigun05g290800.v1.2 transcript:Vigun05g290800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVMSLSYPFQTLLLGCVTAPTRRYFCRTRWCHVQATPPSSVILNADESGKFSEKIHATNLASGKGVPFATQGSTVGIIGGVSVDATLKFLRKLVELSSEDGGNSIPFVLCSDPLFSKELLSFERSYFVSSTSRAENLKLDSSPIVESLRNKRVFLENSGASCIVIPCNVSHSWYEQVCEGCSVPVLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVVLPDRATMEHTVIPAIEALNRKDMEGACNLLRIALQVLLVRAANSVILASDDMRDLLPPDDPLLRKCVDPMDALARSTMKWVKSSEHNL >Vigun05g290800.2.v1.2 pep primary_assembly:ASM411807v1:5:47705572:47709097:-1 gene:Vigun05g290800.v1.2 transcript:Vigun05g290800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVMSLSYPFQTLLLGCVTAPTRRYFCRTRWCHVQATPPSSVILNADESGKFSEKIHATNLASGKGVPFATQGSTVGIIGGVSVDATLKFLRKLVELSSEDGGNSIPFVLCSDPLFSKELLSFERSYFVSSTSRAENLKLDSSPIVESLRNKRVFLENSGASCIVIPCNVSHSWYEQVCEGCSVPVLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVVLPDRATMEHTVIPAIEALNRKDMEGACNLLRIALQVLLVRAANSVILASDDMRDLLPPDDPLLRKCVDPMDALARSTMKWVKSSEHNL >Vigun05g290800.1.v1.2 pep primary_assembly:ASM411807v1:5:47705572:47709045:-1 gene:Vigun05g290800.v1.2 transcript:Vigun05g290800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSYMCEGGERGAWCGGFYCEVRIEKGWCQIVKPHVCTRAFLQHASSSTRYFCRTRWCHVQATPPSSVILNADESGKFSEKIHATNLASGKGVPFATQGSTVGIIGGVSVDATLKFLRKLVELSSEDGGNSIPFVLCSDPLFSKELLSFERSYFVSSTSRAENLKLDSSPIVESLRNKRVFLENSGASCIVIPCNVSHSWYEQVCEGCSVPVLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVVLPDRATMEHTVIPAIEALNRKDMEGACNLLRIALQVLLVRAANSVILASDDMRDLLPPDDPLLRKCVDPMDALARSTMKWVKSSEHNL >Vigun02g054100.1.v1.2 pep primary_assembly:ASM411807v1:2:19700414:19700878:-1 gene:Vigun02g054100.v1.2 transcript:Vigun02g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVMKTFGIMIMIIIMPILSQAYENAKFLDGISFKCGGKCLVECLPKIESKIKYTLCIVSCYAQCNKISLDTTYNCLNDCDSLEFIDINYGNHQFVGNVMDSCMQGCKEKKQKL >Vigun09g122700.1.v1.2 pep primary_assembly:ASM411807v1:9:27337776:27344471:-1 gene:Vigun09g122700.v1.2 transcript:Vigun09g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWWGKKSSKSKDQEDHHPHGGGGGGGTSVLHFNFIKPKTRPNKSFDEALHVRNSPRATRDLAPSAADSDEKRGLPLPRPSVSSTQSFRTDQGLVFGSASVSGSSVSSTGSYEDQPTYHSQIAAARGQGEVKFHVRSKSPGPGSRGPTSPTSPLHPKLPILSLDSPTGRQEDGRGECHPLPLPPGSPTSPSSSLSSARANGVAEHTTGNLSKWKKGKLLGRGTFGHVYLGFNSNSGQLCAIKEVRVVCDDQTSKECLKQLNQEINLLSQLSHSNIVQYYGSDLGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQIVSGLCYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSSSMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSRDMPEIPDHLSSDAKNFIQLCLQRDPSARPTAHQLLEHPFILDQPATKATNVRITRDAFPYMFDGSRTPPPVLDHSNRTSINSLDGDYATKPVPVTSRAVRSPRDNTRVITSLPVSPCSSPLRQYGPAHKSCFLSPPHPTYTLMGQNTLPSYPVRSNATFTLDPFHEKSFYKAHTPGGSPRRFI >Vigun01g060200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12554524:12558004:-1 gene:Vigun01g060200.v1.2 transcript:Vigun01g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNNIAISPETTFLDLPPWLSPRGSEPEPDFEFPLDPPATMLPADQLFSDGKLVPLHLKTPTITTTTTTFQTLTLTNAATTTISPQTPKSPSSTATTTPTDPYVFSPKAPRCSSRWKDLLGLKKLYHTTTPTKPSPSTTSKSLKNLLHRKTASSSTTSENAPLLTNSCDAESLSISSRLSLSSSSSSHDHDDLPRLSLDSEKSNPNPNMTSNVNPNLSQISIHRNPNPRIRLVKPRAGSFDSNKTVLDQPSRPGRSPIRREPETVGCRGVSVDSPRMNSSGKIVFQSLERSSSSPSTFNGGPRFKHRGMERSYSANVRVTPVLNVPVCSLRGASKSGSVFGFGQLFSSPQKKETTGSNRGHHQSGRSNRN >Vigun09g067300.1.v1.2 pep primary_assembly:ASM411807v1:9:7117742:7120552:-1 gene:Vigun09g067300.v1.2 transcript:Vigun09g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRLVRFEELPTYLKDNEFILDHYRSEWSVKEALLSVFMWHNETLNVWTHLVGFLIFAALMVLGGCFSGIFRVGAMEMELFIMGKEFNGSLPVTTGFVDSHLRYLLVPSIIPDLGDGAEAEIIPRWPWFVFLAGGMSCLAFSSISHLMACHSKCFNLFFWRLDYVGISLMIVCSFYAPIYYVFFCNPHIRTFYLTTITVFGVLAIITLLAPSLSSPHLRPFRACLFLSMGFSGVIPAVHALVTNWEHPQVVVALGFELLMAILYGIGAVFYVTRIPERWKPGAFDIAGHSHQIFHVFVLLGALAHTQATLLVMEFRRRSPTCAF >Vigun09g113500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24854967:24860410:1 gene:Vigun09g113500.v1.2 transcript:Vigun09g113500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLENLSSLVGKELALFLGFHDDLERLASLLTTIKATLEDAEHKQFSDVAIQIWLQKLKDAALTLDDIMDECGCELLGMEFQRIRGVSNKVQIFGSLSSFNPKHVAFRYKIAKKMRRISKRLEEIGEERTKFHFTETVSERSGDSDWRQTTSFITEPQVYGREEDKDQIINFLVGVASRSENLSVYPILGLGGLGKTTLAQLIFNHERVVNHFELRIWVCVSDDFSLTRITKAIIEAVTQRSCDDLDLEPLQRMLQDLLRSKRYLLVLDDVWDDKQENWQKLKSVLACGTMGASILVTTRLSKVAAIMGTIPPHALSELPDSYCWELFRSRAFGANEVEPEELVFIGKEIVKKCRGEPLAAKALGGLLRFKREEKEWLNVKENNLWSLPQDEDSIMPALRLSYLNLPIKLRTCFAYCAIFPKDEIIKKENLIELWMTNGFISSSEILNAEDVGESVWNELYWRSFFQDIKTDECGKVKSFKIHDLVHDLAQFVAIDVCCVSKQNHVTTLSERIHHLSIYGKDSIPLHQVNSLRTYIKPSQRNYFGQICDDVLKCHSLRVLHYERWEPFIPSSIGHLKHLRYLNLSLGKFQTLPISVCKLWNLQILKLDYCKHLRKLPDNLVGLKGLQQLSLKGCFSLSRLPPHVGNLTSLRILNMYIVGKQRGSLLAELGPLKLKGDLLIKHMGKVKSVKDAKEANMFGKKLNKLRLSWDRYDEELELQENVEEILEVLQPDPKQLERVTIDGYKGAYFPKWMSSSSLHLLNFLELNDCGSCLKLPQLGKLPSLKIICLRNISNVKYLYEESDDGGVVFMSLELLSLRHLPNLTRISREGGENMFPHLSTLEIIECPKLLNVSAGFECFTCVKDILITGCREKVEGVNEALRHMTALGKLTLDDLPNLESLPHCLGNLSLLHDLTIHNCSKLRCLPTCLSRSLQYLTISGYTHPELKKRCEKEKGEDWPTIAHIPHLDLSTWR >Vigun09g113500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24854937:24858714:1 gene:Vigun09g113500.v1.2 transcript:Vigun09g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLELVLENLSSLVGKELALFLGFHDDLERLASLLTTIKATLEDAEHKQFSDVAIQIWLQKLKDAALTLDDIMDECGCELLGMEFQRIRGVSNKVQIFGSLSSFNPKHVAFRYKIAKKMRRISKRLEEIGEERTKFHFTETVSERSGDSDWRQTTSFITEPQVYGREEDKDQIINFLVGVASRSENLSVYPILGLGGLGKTTLAQLIFNHERVVNHFELRIWVCVSDDFSLTRITKAIIEAVTQRSCDDLDLEPLQRMLQDLLRSKRYLLVLDDVWDDKQENWQKLKSVLACGTMGASILVTTRLSKVAAIMGTIPPHALSELPDSYCWELFRSRAFGANEVEPEELVFIGKEIVKKCRGEPLAAKALGGLLRFKREEKEWLNVKENNLWSLPQDEDSIMPALRLSYLNLPIKLRTCFAYCAIFPKDEIIKKENLIELWMTNGFISSSEILNAEDVGESVWNELYWRSFFQDIKTDECGKVKSFKIHDLVHDLAQFVAIDVCCVSKQNHVTTLSERIHHLSIYGKDSIPLHQVNSLRTYIKPSQRNYFGQICDDVLKCHSLRVLHYERWEPFIPSSIGHLKHLRYLNLSLGKFQTLPISVCKLWNLQILKLDYCKHLRKLPDNLVGLKGLQQLSLKGCFSLSRLPPHVGNLTSLRILNMYIVGKQRGSLLAELGPLKLKGDLLIKHMGKVKSVKDAKEANMFGKKLNKLRLSWDRYDEELELQENVEEILEVLQPDPKQLERVTIDGYKGAYFPKWMSSSSLHLLNFLELNDCGSCLKLPQLGKLPSLKIICLRNISNVKYLYEESDDGGVVFMSLELLSLRHLPNLTRISREGGENMFPHLSTLEIIECPKLLNVSAGFECFTCVKDILITGCREKVEGVNEALRHMTALGKLTLDDLPNLESLPHCLGNLSLLHDLTIHNCSKLRCLPTCLSRSLQYLTISGYTHPELKKRCEKEKGEDWPTIAHIPHLDLSTWR >Vigun08g099400.1.v1.2 pep primary_assembly:ASM411807v1:8:24192730:24195692:-1 gene:Vigun08g099400.v1.2 transcript:Vigun08g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREVRKQVPHSVPAAADDCEQLRKAFAGWGTNEGLIISILSHRNDSQKKLIRETYAKTFGEDLLKVLDKELTSDFERLVHLWTLDSAERDAFLANEAAKKWTSSNRVLVEIACARSSEQLLAARRAYHALYKKSIEEDVALRTTGDFRKLLVLLVSSYRYEGTEVDLNLAKIEAKLLHDKISKKAYNDDDLIRIFATRSRAQTNATFNHYKDEFGKDINKDLKADPKNEFLTLLRATSKCLIHPEKYFAKVLRLAINGRGTLEEDLTRVVVTRAEVDLKTIGDEYQRRNSVPLDRAIAKDTSGDYEKMLLELLGHEDAK >Vigun08g099400.2.v1.2 pep primary_assembly:ASM411807v1:8:24192730:24195692:-1 gene:Vigun08g099400.v1.2 transcript:Vigun08g099400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKVPHSVPAAADDCEQLRKAFAGWGTNEGLIISILSHRNDSQKKLIRETYAKTFGEDLLKVLDKELTSDFERLVHLWTLDSAERDAFLANEAAKKWTSSNRVLVEIACARSSEQLLAARRAYHALYKKSIEEDVALRTTGDFRKLLVLLVSSYRYEGTEVDLNLAKIEAKLLHDKISKKAYNDDDLIRIFATRSRAQTNATFNHYKDEFGKDINKDLKADPKNEFLTLLRATSKCLIHPEKYFAKVLRLAINGRGTLEEDLTRVVVTRAEVDLKTIGDEYQRRNSVPLDRAIAKDTSGDYEKMLLELLGHEDAK >Vigun08g099400.4.v1.2 pep primary_assembly:ASM411807v1:8:24192730:24195692:-1 gene:Vigun08g099400.v1.2 transcript:Vigun08g099400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREVRKQVPHSVPAAADDCEQLRKAFAGWGTNEGLIISILSHRNDSQKKLIRETYAKTFGEDLLKVLDKELTSDFERLVHLWTLDSAERDAFLANEAAKKWTSSNRVLVEIACARSSEQLLAARRAYHALYKKSIEEDVALRTTGDFRKLLVLLVSSYRYEGTEVDLNLAKIEAKLLHDKISKKAYNDDDLIRIFATRSRAQTNATFNHYKDEFGKDINKP >Vigun08g099400.3.v1.2 pep primary_assembly:ASM411807v1:8:24192730:24195692:-1 gene:Vigun08g099400.v1.2 transcript:Vigun08g099400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKVPHSVPAAADDCEQLRKAFAGWGTNEGLIISILSHRNDSQKKLIRETYAKTFGEDLLKVLDKELTSDFERLVHLWTLDSAERDAFLANEAAKKWTSSNRVLVEIACARSSEQLLAARRAYHALYKKSIEEDVALRTTGDFRKLLVLLVSSYRYEGTEVDLNLAKIEAKLLHDKISKKAYNDDDLIRIFATRSRAQTNATFNHYKDEFGKDINKDLKADPKNEFLTLLRATSKCLIHPEKYFAKVLRLAINGRGTLEEDLTRVVVTRAEVDLKTIGDEYQRRNSVPLDRAIAKDTSGDYEKMLLELLGHEDAK >VigunL059094.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000603.1:1386:2338:1 gene:VigunL059094.v1.2 transcript:VigunL059094.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLYYSRPSTLNCGVLMGSGALVLVVLLDLIAKNGATRGLPRRSPILVLLSPKHA >Vigun06g222000.1.v1.2 pep primary_assembly:ASM411807v1:6:33114902:33119507:-1 gene:Vigun06g222000.v1.2 transcript:Vigun06g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSEAHGCRRVLEFYSGIGGMRYSLMKAQVNAEVVEAFEINDTANDVYQHNFGHRPFQGNIQCLTAADLDKYGADAWLLSPPCQPYTRQGLQKDTGDARAFSFLQILELLPFLSKPPSMLFVENVVGFETSDTHAKLVDILEKTDFITQEFILTPLQFGIPYSRPRYFCLAKRKTSSFVNECLNRQLIQYPGPLFEYFDTVIDDDDSSKVDRHKLLQSCQPIEKFLELKKPENDIAVEAAALMNGLSNDVPRTSGKTNGHEYGSLDQYYVQPSLIERWGSAMDVVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPMKRDKNSLKDQCLRYFTPREVANLHSFPEEFEFPEHVSLKQRYALLGNSLSIAVVAPLLRYLFTEP >Vigun06g222000.2.v1.2 pep primary_assembly:ASM411807v1:6:33114902:33119507:-1 gene:Vigun06g222000.v1.2 transcript:Vigun06g222000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMHGFFLLLANLIHDKKDTGDARAFSFLQILELLPFLSKPPSMLFVENVVGFETSDTHAKLVDILEKTDFITQEFILTPLQFGIPYSRPRYFCLAKRKTSSFVNECLNRQLIQYPGPLFEYFDTVIDDDDSSKVDRHKLLQSCQPIEKFLELKKPENDIAVEAAALMNGLSNDVPRTSGKTNGHEYGSLDQYYVQPSLIERWGSAMDVVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPMKRDKNSLKDQCLRYFTPREVANLHSFPEEFEFPEHVSLKQRYALLGNSLSIAVVAPLLRYLFTEP >Vigun06g222000.3.v1.2 pep primary_assembly:ASM411807v1:6:33114902:33118378:-1 gene:Vigun06g222000.v1.2 transcript:Vigun06g222000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHFLFFRFLSSCHFYRSLQTSDTHAKLVDILEKTDFITQEFILTPLQFGIPYSRPRYFCLAKRKTSSFVNECLNRQLIQYPGPLFEYFDTVIDDDDSSKVDRHKLLQSCQPIEKFLELKKPENDIAVEAAALMNGLSNDVPRTSGKTNGHEYGSLDQYYVQPSLIERWGSAMDVVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPMKRDKNSLKDQCLRYFTPREVANLHSFPEEFEFPEHVSLKQRYALLGNSLSIAVVAPLLRYLFTEP >Vigun05g078700.1.v1.2 pep primary_assembly:ASM411807v1:5:7342137:7347945:1 gene:Vigun05g078700.v1.2 transcript:Vigun05g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLAAAKATATTSSQDKRHRSIFDVPSNFFDSARLLPSPHSSVSDHHPVGQTQTLESSTNDVVIFDDSQNAVVAAPRLTCNTCRTQFDSLQDQRAHFKSDIHRFNVKLTIAGKNIVKEEDFEVLTSEFVKDYDMSSISGSESDDSESEIVLHDKSSENFKQKLFFLLQTGQRVSVWKSLIMNVTENVLYDDEKAENEVVQKLKSLTAEPRNNTQLRIVLLASGGHFAGCVFDGDVVVAHKTFHRYVVRAKAGKKQSSKDASGRSIHSAGASLRRYNELALKKEVHELFTAWKPYFDASNCVFIHAPSSSRQLLYDGERPYFGNQQCAVRNIALSVRRPTFREVKRVYSQLTQVTYEADEKEVLQSKQEDLVSVHISKINGSQISNQGNGAVLDDKDKAEACSSRQNDEPSISSNGESENELCGKSTPLHQAAQSGDSVKVLELLEQGFDPCSKDERGRTPYMLAHDKEVRNNFRRFMASNLDKWDWHAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRKAKEKKAQVEATVAKNDSKTVEKLVTASTSIKGQSQLKSGVQLSKEDQIRMAQAAEREKRAAAAERRMAALKIQANSTTAAPSMSGPKSGLAGDIYCSCCNSSLAGKVPFHRYNYKYCSTSCMHVHKDILDDQ >Vigun05g078700.2.v1.2 pep primary_assembly:ASM411807v1:5:7342137:7347945:1 gene:Vigun05g078700.v1.2 transcript:Vigun05g078700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLAAAKATATTSSQDKRHRSIFDVPSNFFDSARLLPSPHSSVSDHHPVGQTQTLESSTNDVVIFDDSQNAVVAAPRLTCNTCRTQFDSLQDQRAHFKSDIHRFNVKLTIAGKNIVKEEDFEVLTSEFVKDYDMSSISGSESDDSESEIVLHDKSSENFKQKLFFLLQTGQRVSVWKSLIMNVTENVLYDDEKAENEVVQKLKSLTAEPRNNTQLRIVLLASGGHFAGCVFDGDVVVAHKTFHRYVVRAKAGKKQSSKDASGRSIHSAGASLRRYNELALKKEVHELFTAWKPYFDASNCVFIHAPSSSRQLLYDGERPYFGNQQCAVRNIALSVRRPTFREVKRVYSQLTQVTYEADEKEVLQSKQEDLVSVHISKINGSQISNQGNGAVLDDKDKAEACSSRQNDEPSISSNGESENELCGKSTPLHQAAQSGDSVKVLELLEQGFDPCSKDERGRTPYMLAHDKEVRNNFRRFMASNLDKWDWHAAKVPSALTKEMEESQAAKQHRLKKMLREKQEQKN >Vigun09g197550.1.v1.2 pep primary_assembly:ASM411807v1:9:37254010:37257877:1 gene:Vigun09g197550.v1.2 transcript:Vigun09g197550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSKWSESMADWRWTNERESMLTKGNEDEDFKGDSGSRRGFGTEHIQMSSKRGSAIPKTVANNDMSKGKSISESQPSIDQLTQSVADTMLNSGQDDGEWEVYAKKSKNRAGSSAAKPWGAPAHNSNPKAWVNAEMAQKPGIWNHGGVGRYSGNSWQTQNGNFRRPAGRGNGRPQPGAIRPNVRDEIAPEDLEQNNDVDDDDDEEEDSNALEDTDDDLMSDDYDSDVSQKSHGTRKKNKWFRKFFENLDGLTVEQINEPERQWHCPACQGGPGAIDRYRGLQPLVTHAKTKGSKRVKIHRELAILLDEELRRRGTSVIPAGEAFGKWKGLKEEEKDHEIV >Vigun01g103100.2.v1.2 pep primary_assembly:ASM411807v1:1:27232471:27234870:-1 gene:Vigun01g103100.v1.2 transcript:Vigun01g103100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSASNILTIYGGTASFTPLLGALIAESFAGRFWTITVASLIYQLGLVSLTVSAILPHFRPPPCPTQVNCQEATHSQLSIFYISLFLTSLGSGGIRPCVVPFLGDQFDMTKNGVASRKWNLFNWYFFSLGLASLSALTIVVYIQDNTGWGWGFGIPTIVMLLSIIAFVLGAPLYKTQEPEGSPLVRLVQVIVAAINKRNKTLPNDPKFLYQNNDLDAAICLEGRLLHTNQFKWLDKAAIVTGEECRDPNAPPNWWKLATVHRVEELKSIIRVLPISSSGILLIAASSHLPSFVIQQARTMDRHLSHSFQISPANMSIFSVLTLMSGVVLYERLFVPFIRRFTKNPSGITTLQRMGIGFVINTIATLISAPVEVKRKAVAAKYHLLDSPNATIPISVFWLVPQYCLHGLADVFMSVGLFEFLYDQSPESMRSSATALYCIVIALGSYAGTLVVSLVHKYSGKEENWLPDRNLNRGRLDYYYLLVSAIQVLNIIYFGICVWFYTYKPLEEFTEASIHKDLELEQDNNTNISSANSKHGGDAE >Vigun01g103100.1.v1.2 pep primary_assembly:ASM411807v1:1:27232471:27237519:-1 gene:Vigun01g103100.v1.2 transcript:Vigun01g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKKSVEMESGEVTEKKNQKKAQQRRGGIRTLPFILGNEFCDRFAVAGFNGNLISYLTQVLNMPLVSASNILTIYGGTASFTPLLGALIAESFAGRFWTITVASLIYQLGLVSLTVSAILPHFRPPPCPTQVNCQEATHSQLSIFYISLFLTSLGSGGIRPCVVPFLGDQFDMTKNGVASRKWNLFNWYFFSLGLASLSALTIVVYIQDNTGWGWGFGIPTIVMLLSIIAFVLGAPLYKTQEPEGSPLVRLVQVIVAAINKRNKTLPNDPKFLYQNNDLDAAICLEGRLLHTNQFKWLDKAAIVTGEECRDPNAPPNWWKLATVHRVEELKSIIRVLPISSSGILLIAASSHLPSFVIQQARTMDRHLSHSFQISPANMSIFSVLTLMSGVVLYERLFVPFIRRFTKNPSGITTLQRMGIGFVINTIATLISAPVEVKRKAVAAKYHLLDSPNATIPISVFWLVPQYCLHGLADVFMSVGLFEFLYDQSPESMRSSATALYCIVIALGSYAGTLVVSLVHKYSGKEENWLPDRNLNRGRLDYYYLLVSAIQVLNIIYFGICVWFYTYKPLEEFTEASIHKDLELEQDNNTNISSANSKHGGDAE >Vigun01g160600.1.v1.2 pep primary_assembly:ASM411807v1:1:34252917:34256138:1 gene:Vigun01g160600.v1.2 transcript:Vigun01g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGILLGMGNPLLDISATVDPEFLKKYSLTLNNAILAHDKHKPIYEELVEKYDVEYISGGSTQNSIKVAQWMLQVPGATSFMGGIGKDKFGEEMKKSSKLAGVNVHYHEDESTPTGTCAVCIIGGERSLVANLAAANCYRSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSILLVAEHAAANNKIFSMNLSAPFICELFRERLEKALPYTDFVFGNEIEAKIFSKIQGWETENVEEIALKISQWPKVSGKHRRITVITQGADPVCVAQDGQVKMFPVELLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECVRAGSYAANVIIQRSGCTYPEKPDFH >Vigun03g167900.1.v1.2 pep primary_assembly:ASM411807v1:3:19594927:19596770:1 gene:Vigun03g167900.v1.2 transcript:Vigun03g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTERDVENKSHEGLKHLGFVKIAAIKGFVCVSNLYDFAKQNSGPLRSAVGTVEDTVTTVLGPVYSKFKGVPNRLLLFVDNKVDEATHKFDEHAPSLVKQVASQANCLVQEMTNKAEKVVSEAQSGGARAAAQYVATESKKIVAFGSVKLWCGLNHYPPFHAVAEMAVPTAAHWSEKYNNVVKGMSKKGGGVFSYLPLIPTDDIAKAFKQGGGYVIEDEAGSEGENFS >Vigun08g183700.1.v1.2 pep primary_assembly:ASM411807v1:8:35315644:35321493:-1 gene:Vigun08g183700.v1.2 transcript:Vigun08g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVTSEFLHFFSFTFFTVITSSLSQSNQFCDAGTGFPSSKLLIKGGTVVNAHHQQLADVYVEDGIIVAVNPNIKVGDDVTVIDALGKYVMPGGIDPHTHLEFDVNFTVTVDDFFSGQAAALAGGTTMHIDFVIPLNGSLTAGFEDYEKKAKKSCMDYGFHMVITKWDETVSREMELMVKEKGINSFKFFMAYKGILMINDELLLEGFKKCKSLGALAMVHAENGDAVYEGQERMIELGITGPEGHALSRPAVVEGEATGRAIRLADFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPIASGLALDESWLWHPDFEIAAKYVMSPPIRKRGHDKALQAALSTGVLQLVGTDHCAFNSTQKARGIDDFRKIPNGVNGIEERMHLVWDIMVESGQITPSDYVRLTSTECARIFNIYPKKGAILPGSDADIIILNPNSTFEISAKSHHSRLDTNVYEGRTGKGKIEVTIAGGRVVWENDELKVTPGSGRYIQMPPFSYLFDGLDNKDAIYLNSLQAPVKRAKAST >Vigun08g183700.2.v1.2 pep primary_assembly:ASM411807v1:8:35315644:35321493:-1 gene:Vigun08g183700.v1.2 transcript:Vigun08g183700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDFVIPLNGSLTAGFEDYEKKAKKSCMDYGFHMVITKWDETVSREMELMVKEKGINSFKFFMAYKGILMINDELLLEGFKKCKSLGALAMVHAENGDAVYEGQERMIELGITGPEGHALSRPAVVEGEATGRAIRLADFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPIASGLALDESWLWHPDFEIAAKYVMSPPIRKRGHDKALQAALSTGVLQLVGTDHCAFNSTQKARGIDDFRKIPNGVNGIEERMHLVWDIMVESGQITPSDYVRLTSTECARIFNIYPKKGAILPGSDADIIILNPNSTFEISAKSHHSRLDTNVYEGRTGKGKIEVTIAGGRVVWENDELKVTPGSGRYIQMPPFSYLFDGLDNKDAIYLNSLQAPVKRAKAST >Vigun09g058500.1.v1.2 pep primary_assembly:ASM411807v1:9:5932024:5935564:-1 gene:Vigun09g058500.v1.2 transcript:Vigun09g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARELLARNLGQWISVSLLLLLHVHFGTCFYLPGVAPEDFHKGDMLRVKVNKLSSTKTQLPYSYYSLPYCHPDNIVDSAENLGEVLRGDRIENSPYVFKMREPQMCNVVCRLVLDEKSANEFKEKIDDEYRVNMILDNLPLVVPLRRPDRESSLVYLHGFLVGLKGQYAGNKDEKHFVHNHLTFIVKYHRDPLTEMSRIVGFEVKPFSVKHEYEGEWENGTRLTTCDPHAKRLVSGSEPPQEVEDKKEIIFTYDVEFQESNLKWASRWDSYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEESGWKLVHGDVFRPPPNSDLLCVYVGTGVQFLGMILVTMIFAALGFLSPSNRGGLMTAMLLLWVLMGLGGGYSSARLYKMFKGPQWKKIALKSAFMFPAIAFSIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFLGGHLGYKMPLAEDPVKTNKIARQIPDQPWYMNPMFSVLIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYRWWWRSYLTSGSSALYLFLYTAFYFFTKLEITKPVSGVLYFGYMLLLSYGFFVVTGTIGFYSCFWFIKLIYSSVKID >Vigun11g148700.2.v1.2 pep primary_assembly:ASM411807v1:11:35815007:35819447:-1 gene:Vigun11g148700.v1.2 transcript:Vigun11g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAEWTDSARDGDSPLPLEEFPEGSRNQMAESGTSNSSVVNADGSSNGGCDEDSCSTRADDVYTFNFDILKVEGANDVITKELFPASGGVATSSFSARKSFVDLAFDREGGNSEIKMLQPQPQSQTQTQQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDLRQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMKSAAINEVGNHNLDLNLGIATPGTGPKENWQQLQFPSVHYNTHGGIRSMMETNVNSGVGNPSLKRLVVSEDCPSVWNGMCPSFFPNEQEKTERTNTDHLKGVPNWAWQTHAQVNATPVPTFSAAAASSGFSISATFPPTATFPTRSMNSNPQSLCFTSSSTHSSEYYYQVKPPQAPL >Vigun11g148700.3.v1.2 pep primary_assembly:ASM411807v1:11:35815007:35819447:-1 gene:Vigun11g148700.v1.2 transcript:Vigun11g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAEWTDSARDGDSPLPLEEFPEGSRNQMAESGTSNSSVVNADGSSNGGCDEDSCSTRADDVYTFNFDILKVEGANDVITKELFPASGGVATSSFSARKSFVDLAFDREGGNSEIKMLQPQPQSQTQTQQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDLRQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMKSAAINEVGNHNLDLNLGIATPGTGPKENWQQLQFPSVHYNTHGGIRSMMETNVNSGVGNPSLKRLVVSEDCPSVWNGMCPSFFPNEEKTERTNTDHLKGVPNWAWQTHAQVNATPVPTFSAAAASSGFSISATFPPTATFPTRSMNSNPQSLCFTSSSTHSSEYYYQVKPPQAPL >Vigun11g148700.4.v1.2 pep primary_assembly:ASM411807v1:11:35815007:35819447:-1 gene:Vigun11g148700.v1.2 transcript:Vigun11g148700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAEWTDSARDGDSPLPLEEFPEGSRNQMAESGTSNSSVVNADGSSNGGCDEDSCSTRADDVYTFNFDILKVEGANDVITKELFPASGGVATSSFSARKSFVDLAFDREGGNSEIKMLQPQPQSQTQTQQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDLRQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMKSAAINEVGNHNLDLNLGIATPGTGPKENWQQLQFPSVHYNTHGGIRSMMETNVNSGVGNPSLKRLVVSEDCPSVWNGMCPSFFPNEEKTERTNTDHLKGVPNWAWQTHAQVNATPVPTFSAAAASSGFSISATFPPTATFPTRSMNSNPQSLCFTSSSTHSSEYYYQVKPPQAPL >Vigun11g148700.1.v1.2 pep primary_assembly:ASM411807v1:11:35815007:35819447:-1 gene:Vigun11g148700.v1.2 transcript:Vigun11g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAEWTDSARDGDSPLPLEEFPEGSRNQMAESGTSNSSVVNADGSSNGGCDEDSCSTRADDVYTFNFDILKVEGANDVITKELFPASGGVATSSFSARKSFVDLAFDREGGNSEIKMLQPQPQSQTQTQQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDLRQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMKSAAINEVGNHNLDLNLGIATPGTGPKENWQQLQFPSVHYNTHGGIRSMMETNVNSGVGNPSLKRLVVSEDCPSVWNGMCPSFFPNEQEKTERTNTDHLKGVPNWAWQTHAQVNATPVPTFSAAAASSGFSISATFPPTATFPTRSMNSNPQSLCFTSSSTHSSEYYYQVKPPQAPL >Vigun05g068600.2.v1.2 pep primary_assembly:ASM411807v1:5:5924512:5929933:-1 gene:Vigun05g068600.v1.2 transcript:Vigun05g068600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRPDGRSPNQLRPLACSCSVLHRAHGSSSWAQGETKVLAAVYGPKAGTKKNENPVKASIEVIWKPKTGQIGKVEKEYEMILKKTLESICIRTIYPNTTTSVIVQVLNDDGALLPCAINAACAALVDAGIPLKHLAVAICCSVTDSGSILLDPTKDEEEKLKALANLVFPNAIVSVLPDRSLQVGSEPMAHGIMTSVTQGAMSADDYLHCLERGRAASARLSEFLRKNVELKSTSEGSKAG >Vigun05g068600.1.v1.2 pep primary_assembly:ASM411807v1:5:5924512:5929933:-1 gene:Vigun05g068600.v1.2 transcript:Vigun05g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRPDGRSPNQLRPLACSCSVLHRAHGSSSWAQGETKVLAAVYGPKAGTKKNENPVKASIEVIWKPKTGQIGKVEKEYEMILKKTLESICIRTIYPNTTTSVIVQVLNDDGALLPCAINAACAALVDAGIPLKHLAVAICCSVTDSGSILLDPTKDEEEKLKALANLVFPNAIVSVLPDRSLQVGSEPMAHGIMTSVTQGAMSADDYLHCLERGRAASARLSEFLRKNVELKSTSEGSKAG >Vigun08g163900.1.v1.2 pep primary_assembly:ASM411807v1:8:33620320:33629853:1 gene:Vigun08g163900.v1.2 transcript:Vigun08g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVEDFFKERKYPFIFTLLILFICVAFFLFSFSNTTSNPVAFYSVIQHQAPPHHASKPKEQELPLKVTNVTADEDQGLPPEEDAVTIDWKLCEDPQNVDFIPCLDNFVAIKALKSRRHMEHRERHCPETSLHCLLPLPKGYKAPVPWPKSRDKIWYDNVPHPKLVEYKKDQHWVVKSGEYLVFPGGGTQFKDGVDHYIEFIQKTLPAIKWGKHTRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLYELNRILRPGGFFAWSATPVYRDDERDQKVWNAMVDITKAMCWKVVAKAHDSSGIGLVIYQKPTSSSCYEKRKENNPPLCENGDGKNSSWYARLNNCLTPLPVDDKGKLQSWPKSWPQRLTSKPPSLPTDSVSKDKFFKDSKRWSELVSDVYVKALPINWSSVRNVMDMNAGYAGFAAALVDLPVWVMNVVPIDVPDTLSIIMDRGFIGMYHDWCESFSTYPRTYDLLHSSFLFKYLEPRCDILDVAVEIDRILRPNGYLVVHDSMEILNKLTPIFSSLHWSVTLHQDQFLVARKGLWRPTSSSD >Vigun11g114000.1.v1.2 pep primary_assembly:ASM411807v1:11:31729872:31733627:-1 gene:Vigun11g114000.v1.2 transcript:Vigun11g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNPKFLNSSFLPHLTFPKPLKPLLLPNLLQRRIIFPCFNATTTNVNVSERKSANFQPNLWTYDFLQSLKHAYADTRYEDRAKILQEEVRRMIKDESSDIWHKLELINDVKRLGLSYHYDKEISEALLWFQSSARFNATIVNRSLHETALCFRLLREYGYDVNADIFERFKEENGNFKASLVSDVKGMLSLYEASFFGYEGEEILDEAMVFSSFHLRVVLNKGESSNMVLEEVNHALELPLHHRTQRLEARWYIESYTKRTDSNRLLLEAAKLDFNVVQSTLQNDLQQMSRWWRGMGLASKLSFSRDRLMECFFWTVGMDFEPQFSDLRKGLTKVTSLITTIDDVYDVYGTLDELELFTAAVESWDVKAVQVLPDYMKICFLALYNTVNEFAYDALKEHDQDILPYLTKAWSDMLKAFLQEAKWSRDTQFPRFNDYLNNAWVSVSGVVILTHAYFLLNHNITKEALESLHNYHSLLQKSSIIFRLCNDLGTSKAELERGEAANSMVCYMRESGASEDGAYKHIHSLLNETWKKMNKDRVSESPFPKAFVETAMNLGRISQCTYQYGDGHGAPDSTAKSRIRSLIIEPIALYGMDAFPQVIY >Vigun05g146900.2.v1.2 pep primary_assembly:ASM411807v1:5:20776482:20777242:1 gene:Vigun05g146900.v1.2 transcript:Vigun05g146900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILIAFLLFASLFFLPTSTTARQLKGSFQTGDPNKPAVNCPPGQPYRSCSPSPKPKPPCSPYVRNC >Vigun05g146900.1.v1.2 pep primary_assembly:ASM411807v1:5:20776482:20777242:1 gene:Vigun05g146900.v1.2 transcript:Vigun05g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILIAFLLFASLFFLPTSTTARQLKEGSFQTGDPNKPAVNCPPGQPYRSCSPSPKPKPPCSPYVRNC >Vigun05g206300.11.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.14.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYDGFGQLNWLGCQIQGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRWLKHRFERDSVIRIVEFNVDDNWIGFVFCVIFERNNGPVVARSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.9.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.1.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRWLKHRFERDSVIRIVEFNVDDNWIGFVFCVIFERNNGPVVARSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.13.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.4.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.12.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKSLWPCGIEHA >Vigun05g206300.15.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYDGFGQLNWLGCQIQGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRWLKHRFERDSVIRIVEFNVDDNWIGFVFCVIFERNNGPVVARSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.10.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.2.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.6.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKVFFTSVLSIF >Vigun05g206300.5.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKVFFTSVLSIF >Vigun05g206300.3.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMHILNCSWTFLQRMCRVYLINTTTMMMMMTDKLGFRRFWGGLGLPSSSSNVISSESASSSDHSSGTIQNQDYRYDVFISFRGSDTRNSFVDHLYSHLLRKGIFVFKDDHKLRKGESISSQLLQAIRGSRISIIVFSKDYPSSSWCLDEMATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNYFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.7.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRWLKHRFERDSVIRIVEFNVDDNWIGFVFCVIFERNNGPVVARSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun05g206300.8.v1.2 pep primary_assembly:ASM411807v1:5:39538490:39545059:-1 gene:Vigun05g206300.v1.2 transcript:Vigun05g206300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADCKQQSNQTVFPVFYDVDPSIVRHQRGAYKIDFLVHRLKLRNEPNKVLRWEKAMTDLANSTGWDVRYKPEFREIEKIVQAVIETLGHKFSGFVDDLIGIQPRVQALEDKLRLSSKSDDVQVLGIWGMGGIGKTTHAVVLYDKMSHRFDASCFIEDVSKLYRDGGHTAVQKQIIHQTLDEKCLDTYSPIEISGIVRNRLHKIKVLIVLDNVDELEQLQELAINSKLLFRGSRMVIISRDEHILKVYGAHVIHEVSLMNDKDARELFYTKAFESEEQNSSSCVELIPEVLKYAQCLPLAVRVIGSFLCRRDSSEWRDTLDRFENNPDNKIMDVLQISFDGLHYTEKEIFLHIACFFKEEREDYVKRILECCGLHPHIGIQRMIEKSLITIRDQQIHMHDMLQELGKKIVRNQCPEEPESWSRIWLYEDFFHVLTTQTGTNDVKAIVLNEKEAISECSIDGLSKMKNLKLLILYHKRFSGSLNFLSQKLRYFLWHDYPFASLPSSFAASGLVELNMPNSSINCLWEGRKDFPCLKRMDLSNSKYLTETPDFTGVPNLERLDLSGCTDLSFVHPSIGLLQQLAFLSLRNCTNLISFKLGNGFNLSSLRVLHFSGCTKLENTPDFTGTTILEYLDFDGCTSLSSVHESIGVLAKLTFLSLRDCTSLASIPSNNNIMKSLQTLDFSGCFQLTDLSLRFFISLSMTSLILLDIGFCNLLEVPNAIGDLLCLERLNLQGNNFVAIPPSISRLKSLAYLNVSHCHTLQYLPNLSKISTSSTGRYFKTVSGSRDHRSGLYLFDCTMITNYFYPKARFKDLELAWLVRLAKNPCSFRCGFDIVVPWGLEFPRSSSHPFYLSFESEDTEEYFDMPLNLERDKVVDSSKHLWIIYISREHCHFVKTGSHISFKAHPSVKINAWGMRSIFREDVIYSELMLEEPRHVKFDFVEKSSTNSGPKFQLPYNWLVTNEDEVENIDAKAKENNLSYAGL >Vigun08g076700.3.v1.2 pep primary_assembly:ASM411807v1:8:13858722:13860627:1 gene:Vigun08g076700.v1.2 transcript:Vigun08g076700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRREVEKRSEIGSAIEELSMMVIVKPGEKHESAHIPTKPFLSVCYLVLQVLDKIGPTMAVLRQDVYQNIKTLELMHESNTSVNSNLVEILKSEAKEGNARKGSSCSKALVWLTRTLDFTSSLLQTLAKDPEKRMEQVVEEAYEVTLKPWHGWISSAAFRVALRLVPESKTFVNILKTDEENYDNLKEKMQIDCITWTR >Vigun08g076700.2.v1.2 pep primary_assembly:ASM411807v1:8:13858722:13860627:1 gene:Vigun08g076700.v1.2 transcript:Vigun08g076700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRREVEKRSEIGSAIEELSMMVIVKPGEKHESAHIPTKPFLSVCYLVLQVLDKIGPTMAVLRQDVYQNIKTLELMHESNTSVNSNLVEILKSEAKEGNARKGSSCSKALVWLTRTLDFTSSLLQTLAKDPEKRMEQVVEEAYEVTLKPWHGWISSAAFRVALRLVPESKTFVNILKTDEENYDNLKEKMQMLVSLFVPFLEDIHCILRLYNLDKIKST >Vigun08g076700.1.v1.2 pep primary_assembly:ASM411807v1:8:13858722:13860627:1 gene:Vigun08g076700.v1.2 transcript:Vigun08g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRREVEKRSEIGSAIEELSMMVIVKPGEKHESAHIPTKPFLSVCYLVLQVLADKIGPTMAVLRQDVYQNIKTLELMHESNTSVNSNLVEILKSEAKEGNARKGSSCSKALVWLTRTLDFTSSLLQTLAKDPEKRMEQVVEEAYEVTLKPWHGWISSAAFRVALRLVPESKTFVNILKTDEENYDNLKEKMQMLVSLFVPFLEDIHCILRLYNLDKIKST >Vigun03g250000.2.v1.2 pep primary_assembly:ASM411807v1:3:41587518:41599023:1 gene:Vigun03g250000.v1.2 transcript:Vigun03g250000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKASEEHQTSLAAPFIFFVVLAFHFASQRIDQFKKGGSDKEEKAKLHREIKELLKEANSLSQPSTFAQGAKLKRKAAAKEKELAKFQNLNDKDSALYSRFLLISKVLTYLIFLMWFWSVPVASISQQLVQPFGRLLSWRTGGIENNNIMVGIIPWLIVSTRVSRYICKLTYGK >Vigun03g250000.3.v1.2 pep primary_assembly:ASM411807v1:3:41587685:41598879:1 gene:Vigun03g250000.v1.2 transcript:Vigun03g250000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKASEEHQTSLAAPFIFFVVLAFHFASQRIDQFKKGGSDKEEKAKLHREIKELLKEANSLSQPSTFAQGAKLKRKAAAKEKELAKFQNLNDKDSALYSRFLLISKVLTYLIFLMWFWSVPVASISQQLVQPFGRLLSWRTGGIENNNIMVGIIPWLIVSTRVSRYICKLTYGK >Vigun03g250000.1.v1.2 pep primary_assembly:ASM411807v1:3:41587518:41599023:1 gene:Vigun03g250000.v1.2 transcript:Vigun03g250000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKASEEHQTSLAAPFIFFVVLAFHFASQRIDQFKKGGSDKEEKAKLHREIKELLKEANSLSQPSTFAQGAKLKRKAAAKEKELAKFQNLNDKDSALYSRFLLISKVLTYLIFLMWFWSVPVASISQQLVQPFGRLLSWRTGGIENNNIMVGIIPWLIVSTRVSRYICKLTYGK >Vigun11g145500.1.v1.2 pep primary_assembly:ASM411807v1:11:35446056:35451167:1 gene:Vigun11g145500.v1.2 transcript:Vigun11g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTHILLVLVWFFCIYAPTSFCTNVTYDHRALVIDGKRRVLVSGSIHYPRSTPEMWPDLIQKSKDGGIDVIETYVFWDLHEPVQGQFNFEGRADLVKFVKAVAAAGLYLHLRIGPYACAEWNYGGFPVWLHFIPGIQFRTDNKPFKDEMKRFTAKIVDMMKKENLYAPQGGPIILSQIENEYGNVDEHYGPAGKSYIKWAGSMATSLDTGVPWVMCQQADAPDPIINACNGFYCHQFKPNSNSKPKMFTENWSGWFLGFGGPVPYRPVEDLAFSVARFYQLGGTFNNYYMYHGGTNFGRTSGGPFISTSYDYDAPIDEYGIVRQPKWGHLKDLHKAIKLCEEAMIATDPTISSLGSNIEAAVYKTGSACAAFLANNNDKSDENVTFNGNSYHVPAWSVSILPDCKNVVHNTAKINVASTTSSFTTESLKEKTGSSGDSSTGWSWITEPVGISKTDSFTKFGLVEQLNTTGDRSDYLWYSLSIDLDAGTKTDLQIESLGHALHAFINGKFAGSGTGTKNKAAIKVDIPVTLVAGKNTIDLLSLTVGLKNYGAFYDLRGAGITGPVTLKSLNNGSSLDLSSKTWTYQIGLKGDFGPSSGSSGQWNSQSNLPTNQPLIWYKTNFIAPSGKEPVAFNMTGMGKGEAWVNGQSIGRYWPTNIAPNEGCTDPCNYRGSYHASKCLRNCGKPSQLLYHVPRSWLRPEGNTLVLFEETGGNPKQISFAIRQIESASSHKSASPLPHVEL >Vigun03g353700.3.v1.2 pep primary_assembly:ASM411807v1:3:55537572:55543609:1 gene:Vigun03g353700.v1.2 transcript:Vigun03g353700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVHSHAFAGNPLLSKFSFSGHPLPPSAALEALNARIGLPNTHSYPSLSFKVLPFRNGRPLASSEASSGDSPPIWHLGWLGLDDLRVIFDNSGAQLSVDSLVYLNSSAEDDAVYWAIDVSAEVIELGSKTGLRFVELRTLMVATDWSDLQAMGNLAIAGHAKALLEWHNVSRFCGHCGEKTVPMEAGRRKQCPNGSCKKRIYPRVDPVVIMLVIDRENDRALLAKRPMRLHRLYTCLSGFTELDQVAFHAS >Vigun03g353700.2.v1.2 pep primary_assembly:ASM411807v1:3:55537572:55540324:1 gene:Vigun03g353700.v1.2 transcript:Vigun03g353700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVHSHAFAGNPLLSKFSFSGHPLPPSAALEALNARIGLPNTHSYPSLSFKVLPFRNGRPLASSEASSGDSPPIWHLGWLGLDDLRVIFDNSGAQLSVDSLVYLNSSAEDDAVYWAIDVSAEVIELGSKTGLRFVELRTLMVATDWSDLQAMGNLAIAGHAKALLEWHNVSRFCGHCGEKTVPMEAGRRKQCPNGSCKKRIYPRVDPVVIMLVIDRENDRALLAKRPMRLHRLYTCLSGFTEPGESLEEAVRRETWEETRVEVGEVVYHSSQPWPGYNLCIFT >Vigun03g353700.1.v1.2 pep primary_assembly:ASM411807v1:3:55537572:55543609:1 gene:Vigun03g353700.v1.2 transcript:Vigun03g353700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVHSHAFAGNPLLSKFSFSGHPLPPSAALEALNARIGLPNTHSYPSLSFKVLPFRNGRPLASSEASSGDSPPIWHLGWLGLDDLRVIFDNSGAQLSVDSLVYLNSSAEDDAVYWAIDVSAEVIELGSKTGLRFVELRTLMVATDWSDLQAMGNLAIAGHAKALLEWHNVSRFCGHCGEKTVPMEAGRRKQCPNGSCKKRIYPRVDPVVIMLVIDRENDRALLAKRPMRLHRLYTCLSGFTEPGESLEEAVRRETWEETRVEVGEVVYHSSQPWPVGPSGIPCQLMVGFFAYAKSLEITVDKKELEDAQWYSREEVRKALTSAKYKETQRTAAEKVEQICKGLEENRSFASDFNVESAGENAPIVFPGPFAIAHHLISSWAFSDKDVVHHSK >Vigun03g418000.1.v1.2 pep primary_assembly:ASM411807v1:3:62471981:62472869:-1 gene:Vigun03g418000.v1.2 transcript:Vigun03g418000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDETTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEAIDEANLGYSYSIVGGVALPDTAEKITIDTKLSDGPNGGSVVKLNIKYHSKGDAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun02g143800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29203010:29204838:1 gene:Vigun02g143800.v1.2 transcript:Vigun02g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRITGLNPAHVAGLRRLSARAAAASSVPVRNGVVSFASLADKVINHLRDSSIHVQHGLSDAEFARAEAEFGFVFPPDLRAVLAAGLPVGPGFPDWRSGGARLHLRASLDLPIAAISFQIARNAVWSKSWGPRPCEPEKALRVARNALKRAPLLIPIFNHCYIPSNPSLAGNPIFFVDENRIFCCGLDLSDFFDRESLFRSSEADPILLKKQRSVSEKSTGVSVSAGFSRRSLDASGGRTPRWVEFWTDAATDKRRRNSSSSSLSLSASSSPERFFEMPRSKVPGWVEEYIGQIGSVLKAGGWSESDINEMVEVSASGFFEGEMVVLDNQALLDALLLKADRFSDSLRKAGWSSEEVSEALGFDFRPEKERKPAKKLSPQLAEKIEKLAQSVSRSRLNTWERETR >Vigun09g275500.1.v1.2 pep primary_assembly:ASM411807v1:9:43603054:43604159:-1 gene:Vigun09g275500.v1.2 transcript:Vigun09g275500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHISELFDCSSGGSKLKKRKQLQTVEVKVKMDCEGCERKVKKAVEGMKGVNQVDVDRKANKVTVVGYVEASKVVARIAHRTGKKAELWPYVPYDVVEHPYAPGVYDKKAPSGYVRNTDDPHYSHLARASSTEVRYTTAFSDENPSACVVM >Vigun11g162900.1.v1.2 pep primary_assembly:ASM411807v1:11:37046065:37058683:1 gene:Vigun11g162900.v1.2 transcript:Vigun11g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVSRKPSIESVRPLPRRRQWKRSSVELNGRFERNYRHEMLDLLIRSYSEVGVFPHLYQVDGLPCQSHTNRLVGEANGDGHLPFRKQGISAVDFDNKGIYLVSVTKSGCLTVHDFETLYCQTPELTCLREDESKHLMHLSLNRQLDAVRWNPLNQDEVVCASVKSNEVLIFDIGYVSSDPVEVLRTRRTATVNGSTLHKGLSDVTFTSNDTRILASDTHGAINVWDRRVNSLPCLELASASCGTLNSIQLNADNQIIYGAGKHGLVHVWDIRGGRASATFQSLKEICHPPIKSVKLATLLEKIGSLKAQANIVPKEIHSININPSCPYQLAFHLVDGWSGVLDINTFEVTHIHCPPPAWLNDSYVPADLSYLRKPSWLSTCSIYLAGSSSDCGIHLLDFYPSTNSPSHVDYKEDMQELSRRKNRSNQNRFISLSEGVISCVAHPLYNAIVAGTKKTSLLVISQRQESFRSED >Vigun11g162900.2.v1.2 pep primary_assembly:ASM411807v1:11:37046065:37058683:1 gene:Vigun11g162900.v1.2 transcript:Vigun11g162900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVSRKPSIESVRPLPRRQWKRSSVELNGRFERNYRHEMLDLLIRSYSEVGVFPHLYQVDGLPCQSHTNRLVGEANGDGHLPFRKQGISAVDFDNKGIYLVSVTKSGCLTVHDFETLYCQTPELTCLREDESKHLMHLSLNRQLDAVRWNPLNQDEVVCASVKSNEVLIFDIGYVSSDPVEVLRTRRTATVNGSTLHKGLSDVTFTSNDTRILASDTHGAINVWDRRVNSLPCLELASASCGTLNSIQLNADNQIIYGAGKHGLVHVWDIRGGRASATFQSLKEICHPPIKSVKLATLLEKIGSLKAQANIVPKEIHSININPSCPYQLAFHLVDGWSGVLDINTFEVTHIHCPPPAWLNDSYVPADLSYLRKPSWLSTCSIYLAGSSSDCGIHLLDFYPSTNSPSHVDYKEDMQELSRRKNRSNQNRFISLSEGVISCVAHPLYNAIVAGTKKTSLLVISQRQESFRSED >Vigun01g074900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21026232:21027082:1 gene:Vigun01g074900.v1.2 transcript:Vigun01g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTAMYEFLKQPTNGGVKRPLPPPSTTANTTTPTTAATTRTFQCHFCHRKFYTSQALGGHQNAHKLERAAARRQTNGLLPPPPLPLEPALKQSEPRPRPMLEPLEPSTGLFFHPPYWLDVEPLQFQATHPYVTAATLPVPFHAASSTVPQQQQVLSHNVDASDHVNLDLTLRL >Vigun09g146100.1.v1.2 pep primary_assembly:ASM411807v1:9:30938925:30942716:1 gene:Vigun09g146100.v1.2 transcript:Vigun09g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSGEDVSAQNQVKASVQRKIRQSIAEEYPGLEPVLDDILPKKSPLIVAKCQNHLNLVLVNNVPLFFSVRDGPYMPTLRLLHQYPNIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGFLDEDVGAEYPVAIMAEGKQHALAIGFTKMSAKDIKAINKGIGVDNLHYLNDGLWKMERLD >Vigun08g053100.1.v1.2 pep primary_assembly:ASM411807v1:8:6316759:6322419:-1 gene:Vigun08g053100.v1.2 transcript:Vigun08g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERALFLLLLLLLLLHHICATKAHKKHVCPPSSCGKISNITYPFRLKGDPEKCGEKSYELGCENNVTVLYMNSEEFHVEAINYKNYTVRVVDPALQLGNCSSLPLSSLSRSNFSDTYSYNRTGSYQASLDPGIDGESLIFEHIVFLSCNHSVRENGKYVKTEEYVKWDSKGYAYAVVGDLKAEDIEVGCDIKLVAPTSFTTFNNHSYTSMHSSLAYGFQISWVQLACDNYCPHGFCYFDSSKQKLGCNFGIVILTFTAWAYKILFGLPFLVMIFICKWRKRHASTYENIENYLEQNHLVPIKYSHKEIKNMTEDYKEKLGEEGFGSVFKAKLRSGPSVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCVSGSKRALVYEFMPNGSLDKLIFSRDGSIHLSYEKIYNISVGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKISDFGLAKLYPINNSIVTMTAARGTIGYMAPELFYNNIGGISHKADVYSFGMLLMEMASKRKNLNLHVEHSSQLYFPLWIYNHIGEERDIDINDLTEEEKIIVKKMIIVALWCIQLKPNDRPSINRVVKMLEGDIEDLEIPPKPTLFPDEMGLEDQTTSSI >Vigun07g086000.1.v1.2 pep primary_assembly:ASM411807v1:7:12991902:13003210:-1 gene:Vigun07g086000.v1.2 transcript:Vigun07g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTPTATPSNDTKSCFFRRTVTLYDWWLVKAKDDFQGKRLAIAGVSSRKDEAMRVFVSSPIIKRYDEFSLETVDGIYVIISGFINEQRTIENGFNPQVFNRFLFGFPPDWESHALDFSRKESITGNDLGSAFLDDVPASCPEILSNGAEECTRTSLISPEEAPGDHGKLFPGSDCNVSKGIDGVNLFCSDGGKRRSARLHDIKACLQRKQAASGGISMASESCDVEGSRSPTTPIQSQTRSEYSVDDFAIVGVENSIPTFLASPEKVQGDQEKAFPENECNVSEDVDGVNVACSRGRERRSAKLHDVEVFQRKKQSASGLPPKNPNNKNHTSVAALDNCNVVEPKSPETPIQSQSWRQLCTSSEQIVTKSASIISRTLSPKTEGCHKKKVGRSKGTLNKSASAGKNSRLRDRSQLTKGKIRTSSEQIVTKSASIISRTLSPKTEGCHKKKVGRSKGTLNKSASAGKNSRLRDRSQLTKGSGQKKSTVSPELSSFRTSRSGRLLLPPLEFWRNQIPIYDADHELKEIKDGASLISPCRVSSPSSSRLLSLGICCTQPVLLSNLVGLRTVKQRFCKDCNNNESWCYWL >VigunL083100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:57539:58828:1 gene:VigunL083100.v1.2 transcript:VigunL083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLADGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVVVE >Vigun04g108200.3.v1.2 pep primary_assembly:ASM411807v1:4:26357732:26365569:1 gene:Vigun04g108200.v1.2 transcript:Vigun04g108200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIVGAFINLFGSIAINFGTNLLKLGHNERERHLHGSDGVNGKMTLKPIIYFQSWRIGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNMAFLLYLLALVSVVALHHSIYKRGELLLAVSHDLRPYWSMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESKGHEPKDGSLDSMVSSATSTEENRLVVSTEEAQNKDPRSFVKAVLIKITDLLVKAKTSCALSLGFGEDTINASSVLVMPMVSSRMTGFRGNGLERARILSMRNSGWSKISMDEDAEKLLDASSVVPPSP >Vigun04g108200.4.v1.2 pep primary_assembly:ASM411807v1:4:26357850:26365569:1 gene:Vigun04g108200.v1.2 transcript:Vigun04g108200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIVGAFINLFGSIAINFGTNLLKLGHNERERHLHGSDGVNGKMTLKPIIYFQSWRIGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNMAFLLYLLALVSVVALHHSIYKRGELLLAVSHDLRPYWSMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESKGHEPKDGSLDSMVSSATSTEENRLVVSTEEAQNKDPRSFVKAVLIKITDLLVKAKTSCALSLGFGEDTINASSVLVMPMVSSRMTGFRGNGLERARILSMRNSGWSKISMDEDAEKLLDASSVVPPSP >Vigun04g108200.2.v1.2 pep primary_assembly:ASM411807v1:4:26357515:26365577:1 gene:Vigun04g108200.v1.2 transcript:Vigun04g108200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIVGAFINLFGSIAINFGTNLLKLGHNERERHLHGSDGVNGKMTLKPIIYFQSWRIGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNMAFLLYLLALVSVVALHHSIYKRGELLLAVSHDLRPYWSMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESKGHEPKDGSLDSMVSSATSTEENRLVVSTEEAQNKDPRSFVKAVLIKITDLLVKAKTSCALSLGFGEDTINASSVLVMPMVSSRMTGFRGNGLERARILSMRNSGWSKISMDEDAEKLLDASSVVPPSP >Vigun04g108200.5.v1.2 pep primary_assembly:ASM411807v1:4:26357394:26365684:1 gene:Vigun04g108200.v1.2 transcript:Vigun04g108200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIVGAFINLFGSIAINFGTNLLKLGHNERERHLHGSDGVNGKMTLKPIIYFQSWRIGIVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNMAFLLYLLALVSVVALHHSIYKRGELLLAVSHDLRPYWSMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESKGHEPKDGSLDSMVSSATSTEENRLVVSTEEAQNKDPRSFVKAVLIKITDLLVKAKTSCALSLGFGEDTINASSVLVMPMVSSRMTGFRGNGLERARILSMRNSGWSKISMDEDAEKLLDASSVVPPSP >Vigun04g141100.1.v1.2 pep primary_assembly:ASM411807v1:4:34926926:34929739:1 gene:Vigun04g141100.v1.2 transcript:Vigun04g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNKASLLLLPLLLLSLLSHSHGGGIAVYWGQDVREGTLAETCNTKNYQFVNIAFLSTFGNSQTPQLNLAGHCIPQNNGCNGLSSDITTCQNGGVKVFLSLGGGAGSYSLNSAEEANQLASYLWDNFLGGQSGSRPLGEAVLDGIDFDIESGGSEHWDELARALNGLSSQRKVYLSAAPQCIIPDQHLDSAIQTGLFDYVWVQFYNNPSCSGNANNLISSWNQWITVPATQVFMGLPAAEEAAPSGGFVPSDVLISQVLPQIKQSSKYGGVMLWNRFYDLQKGYSNSIIGSVN >Vigun09g144400.1.v1.2 pep primary_assembly:ASM411807v1:9:30716383:30721453:1 gene:Vigun09g144400.v1.2 transcript:Vigun09g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRRSLNSQPSSSNSSPSSSSSQSSSTSSSWIHLRSVLFVVTASSPASCSSSDRGRLKSPWSRRKRKHVLSPRQWKSLFTQDGRIRDGGIKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDSTKEERDAIRTQNRKEYEKFRRQCRQLLKHNNGSFKLNEIGEISYEGDGGSLLQDYGSPSSEDATSARESLSSEEHSPDAEYSDDPSSALLEGDDVPNVSNTDNSALDTDSTDSDSSEGPEIIQTFPSDDGQEDNNSKTPKDNSSPSVMQAPSKLWTNEDFATWQRIIRLDAVRANGEWMPYSPSQAVVPDCRAHRSAEAVGLKDYGHLDASRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDHEAFWCFVGFMRKARQNFRLDEVGIRRQLDIVAKIIKFKDGHLFRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNGMAGHLDVWKLLDDAHNLVVTLHDKMKIETSLR >Vigun09g144400.2.v1.2 pep primary_assembly:ASM411807v1:9:30716383:30721453:1 gene:Vigun09g144400.v1.2 transcript:Vigun09g144400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAVHLRLKKGRLKSPWSRRKRKHVLSPRQWKSLFTQDGRIRDGGIKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDSTKEERDAIRTQNRKEYEKFRRQCRQLLKHNNGSFKLNEIGEISYEGDGGSLLQDYGSPSSEDATSARESLSSEEHSPDAEYSDDPSSALLEGDDVPNVSNTDNSALDTDSTDSDSSEGPEIIQTFPSDDGQEDNNSKTPKDNSSPSVMQAPSKLWTNEDFATWQRIIRLDAVRANGEWMPYSPSQAVVPDCRAHRSAEAVGLKDYGHLDASRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDHEAFWCFVGFMRKARQNFRLDEVGIRRQLDIVAKIIKFKDGHLFRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNGMAGHLDVWKLLDDAHNLVVTLHDKMKIETSLR >Vigun05g302900.6.v1.2 pep primary_assembly:ASM411807v1:5:48553976:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun05g302900.3.v1.2 pep primary_assembly:ASM411807v1:5:48553914:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYYGDELWEMFGYEEHPYRFYTTDVLAIVLVGLLYGFFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun05g302900.2.v1.2 pep primary_assembly:ASM411807v1:5:48553914:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYYGDELWEMFGYEEHPYRFYTTDVLAIVLVGLLYGFFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun05g302900.1.v1.2 pep primary_assembly:ASM411807v1:5:48553976:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYYGDELWEMFGYEEHPYRFYTTDVLAIVLVGLLYGFFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun05g302900.4.v1.2 pep primary_assembly:ASM411807v1:5:48553914:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun05g302900.5.v1.2 pep primary_assembly:ASM411807v1:5:48553914:48560094:-1 gene:Vigun05g302900.v1.2 transcript:Vigun05g302900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSPLAAATLGVMNLSRSPSTTNDDDLVNGLSNLLKSIPDKGISGDDVDILKRKNASGTNTYPRRKRFLWEFLQDLTLIILVIAAVVSLVLGIKTVVVAFLGVLVYKFYGDELREMFSYEEHPFGFDTMAVLAIVLVGLLYGFFIAILCGQRINERHYHVLAKQESTKEYVVKDREHVKNVPLVT >Vigun09g016700.2.v1.2 pep primary_assembly:ASM411807v1:9:1225994:1234726:-1 gene:Vigun09g016700.v1.2 transcript:Vigun09g016700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDTELEEGEAFYYKDDDEDNIDPDSFSYIDEKIQHVLGHFQKEFEGGVSAENLGAKFGGYGSFLPTQERSPRPLSHPRTPQRNHSSPKFNNNPHMEGVSHNKKAPTNVPHPSRLENASHSSHPFRDLREVSVNDSVKKEQGISSCDQSERCTLKDDTTKNTKNSTDQRPLKFRIKMKSNILAQKNAEIYSGLGLDDSPSSSMDNSPVESEGTPPVTQENDEHSPTGIIQVMSYFPIPGGVLISPLHESVLNLIINDNKAIGDSRFSYSLNGHQDPCSVSIDESDSFVRAGHLKKNAVRMVRQSEKQLELKHPNGTLSEKETKLHKKKKIGNRTPDCKDFLPNELKWTPLSSSICDAGEFAEVTAKASEASKEVNENGVLGRMVSEEALKEDSLESISGQDFGKTVKQTVGNGFLKKAPEHRLESSHKDNSTDPMNNNSSNTSIISNKVEREALKCKADHKSETHQKIKAMSEQKNKSKGDQSPGKAKAVARKKSFGGTNNAMVIEKGSTGFDSTCRSKMKSRSLMDKNVSDSNRDSMKEKKSERKLDSLAGNGVMKNAKISNGKQSAFGIKAKERMSGHKVVNQVLSGPCINDTSASCPIAENHVAPEMISSAVAAPQLIEEDWVCCDSCQKWRLLPTGMKPDHLPEKWLCSMLNWLPGMNSCEISEDETTKALYALYQMPISQVQNNMQSHGTETAIGVSFPNSLQYGLNQNMSSSEMSDRARKKLVIKEKTMSGINNDMHRFSNSVKANVQVSGKNRSLNGLNQHPADLNPVKKMTNSSKHLGRPDNLIEEKHVPKENEKQVNGGDKKPVKLKRKVDADQYQSGVPKKSKTENVFSANIQSNPDMNLEKVSLYSRNGLPAKASGKDMRKYDEYCLSEDVSDRLPVTVKKEGDQAQVSSGITKKRKLKDRLDDEKQYGEEGDAIEFSKEKKYRISKSVTEGDNKLSKGGIRQVCGAGNGDHMAVGTEVRLGDKGNQLRKHRKNVASLHASDGIDQVRKELDSRPHSMAATSSSSKVSGSHKAKTKLEDVRSSPVESVTSSPLRAFNMDKNNFAVGDTSVKDNVTKGGHSAVGSKRNVDNRDKKLSVKLKDGRIPHDLHSTSHKLSSTEYQVEDAKDISRLQAKKPSELKNNHLLEGGVHVEQSGYCANGVHKEEKVKKENQESELSWQKSGKVTSLNSKEKGKKFSSDVCTDNIKMSVSETVHSNKGGRYDSAVDPSNHASDGETKNVVKYTLPKSKSETGIISQKSALRQGPNETGKQTEMKPRDFEKSAPKIDVQRSNERKAISRQNSTHDFEEENKNNHIITESRVGKTKVLSSAASELKREALNMGSRTVPQYQKGGMANEHPVNVSDNGDLVKSMRNFADISNNAGVNCSSGNSVPDQQPTASSPLRTNFNQTTVDTLEEAAKLKDRADNYKNSGFDFESNETYFQAGLKFLHGASVLENGHNDSGKLGEMSQMQIFASAAKLFKCCAHEYEARQEMATAALAYKCMEVAYMRVVLCKNSSTNRDRHELQSTLHMASQD >Vigun09g016700.1.v1.2 pep primary_assembly:ASM411807v1:9:1225994:1234726:-1 gene:Vigun09g016700.v1.2 transcript:Vigun09g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDTELEEGEAFYYKDDDEDNIDPDSFSYIDEKIQHVLGHFQKEFEGGVSAENLGAKFGGYGSFLPTQERSPRPLSHPRTPQRNHSSPKFNNNPHMEGVSHNKKAPTNVPHPSRLENASHSSHPFRDLREVSVNDSVKKEQGISSCDQSERCTLKDDTTKNTKNSTDQRPLKFRIKMKSNILAQKNAEIYSGLGLDDSPSSSMDNSPVESEGTPPVTQENDEHSPTGIIQVMSYFPIPGGVLISPLHESVLNLIINDNKAIGDSRFSYSLNGHQDPCSVSIDESDSFVRAGHLKKNAVRMVRQSEKQLELKHPNGTLSEKETKLHKKKKIGNRTPDCKDFLPNELKWTPLSSSICDAGEFAEVTAKASEASKEVNENGVLGRMVSEEALKEDSLESISGQDFGKTVKQTVGNGFLKKAPEHRLESSHKDNSTDPMNNNSSNTSIISNKVEREALKCKADHKSETHQKIKAMSEQKNKSKGDQSPGKAKAVARKKSFGGTNNAMVIEKGSTGFDSTCRSKMKSRSLMDKNVSDSNRDSMKEKKSERKLDSLAGNGVMKNAKISNGKQSAFGIKAKERMSGHKVVNQVLSGPCINDTSASCPIAENHVAPEMISSAVAAPQLIEEDWVCCDSCQKWRLLPTGMKPDHLPEKWLCSMLNWLPGMNSCEISEDETTKALYALYQMPISQVQNNMQSHGTETAIGVSFPNSLQYGLNQNMSSSEMSDRARKKLVIKEKTMSGINNDMHRFSNSVKANVQVSGKNRSLNGLNQHPADLNPVKKMTNSSKHLGRPDNLIEEKHVPKENEKQVNGGDKKPVKLKRKVDADQYQSGVPKKSKTENVFSANIQSNPDMNLEKVSLYSRNGLPAKASGKDMRKYDEYCLSEDVSDRLPVTVKKEGDQAQVSSGITKKRKLKDRLDDEKQYGEEGDAIEFSKEKKYRISKSVTEGDNKLSKGGIRQVCGAGNGDHMAVGTEVRLGDKGNQLRKHRKNVASLHASDGIDQVRKELDSRPHSMAATSSSSKVSGSHKAKTKLEDVRSSPVESVTSSPLRAFNMDKNNFAVGDTSVKDNVTKGGHSAVGSKRNVDNRDKKLSVKLKDGRIPHDLHSTSHKLSSTEYQVEDAKDISRLQAKKPSELKNNHLLEGGVHVEQSGYCANGVHKEEKVKKENQESELSWQKSGKVTSLNSKEKGKKFSSDVCTDNIKMSVSETVHSNKGGRYDSAVDPSNHASDGETKNVVKYTLPKSKSETGIISQKSALRQGPNETGKQTEMKPRDFEKSAPKIDVQRSNERKAISRQNSTHDFEEENKNNHIITESRVGKTKVLSSAASELKREALNMGSRTVPQYQKGGMANEHPVNVSDNGDLVKSMRNFADISNNAGVNCSSGNSVPDQQPTASSPLRTNFNQTTVDTLEEAAKLKDRADNYKNSGFDFESNETYFQAGLKFLHGASVLENGHNDSGKLGEMSQMQIFASAAKLFKCCAHEYEARQEMATAALAYKCMEVAYMRVVLCKNSSTNRDRHELQSTLHMASQGESPSSSASDVDNLNNQAAADRATLPRGTNSHVAINQVISARTRPSLIRLLDFTKDIHFAMEASRKCQSTFAAASVMMEESRNKECIASIRSVVDFSFQDVDELVRLVWTATKAISRAGLGGARD >Vigun09g016700.4.v1.2 pep primary_assembly:ASM411807v1:9:1226010:1234726:-1 gene:Vigun09g016700.v1.2 transcript:Vigun09g016700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDTELEEGEAFYYKDDDEDNIDPDSFSYIDEKIQHVLGHFQKEFEGGVSAENLGAKFGGYGSFLPTQERSPRPLSHPRTPQRNHSSPKFNNNPHMEGVSHNKKAPTNVPHPSRLENASHSSHPFRDLREVSVNDSVKKEQGISSCDQSERCTLKDDTTKNTKNSTDQRPLKFRIKMKSNILAQKNAEIYSGLGLDDSPSSSMDNSPVESEGTPPVTQENDEHSPTGIIQVMSYFPIPGGVLISPLHESVLNLIINDNKAIGDSRFSYSLNGHQDPCSVSIDESDSFVRAGHLKKNAVRMVRQSEKQLELKHPNGTLSEKETKLHKKKKIGNRTPDCKDFLPNELKWTPLSSSICDAGEFAEVTAKASEASKEVNENGVLGRMVSEEALKEDSLESISGQDFGKTVKQTVGNGFLKKAPEHRLESSHKDNSTDPMNNNSSNTSIISNKVEREALKCKADHKSETHQKIKAMSEQKNKSKGDQSPGKAKAVARKKSFGGTNNAMVIEKGSTGFDSTCRSKMKSRSLMDKNVSDSNRDSMKEKKSERKLDSLAGNGVMKNAKISNGKQSAFGIKAKERMSGHKVVNQVLSGPCINDTSASCPIAENHVAPEMISSAVAAPQLIEEDWVCCDSCQKWRLLPTGMKPDHLPEKWLCSMLNWLPGMNSCEISEDETTKALYALYQMPISQVQNNMQSHGTETAIGVSFPNSLQYGLNQNMSSSEMSDRARKKLVIKEKTMSGINNDMHRFSNSVKANVQVSGKNRSLNGLNQHPADLNPVKKMTNSSKHLGRPDNLIEEKHVPKENEKQVNGGDKKPVKLKRKVDADQYQSGVPKKSKTENVFSANIQSNPDMNLEKVSLYSRNGLPAKASGKDMRKYDEYCLSEDVSDRLPVTVKKEGDQAQVSSGITKKRKLKDRLDDEKQYGEEGDAIEFSKEKKYRISKSVTEGDNKLSKGGIRQVCGAGNGDHMAVGTEVRLGDKGNQLRKHRKNVASLHASDGIDQVRKELDSRPHSMAATSSSSKVSGSHKAKTKLEDVRSSPVESVTSSPLRAFNMDKNNFAVGDTSVKDNVTKGGHSAVGSKRNVDNRDKKLSVKLKDGRIPHDLHSTSHKLSSTEYQVEDAKDISRLQAKKPSELKNNHLLEGGVHVEQSGYCANGVHKEEKVKKENQESELSWQKSGKVTSLNSKEKGKKFSSDVCTDNIKMSVSETVHSNKGGRYDSAVDPSNHASDGETKNVVKYTLPKSKSETGIISQKSALRQGPNETGKQTEMKPRDFEKSAPKIDVQRSNERKAISRQNSTHDFEEENKNNHIITESRVGKTKVLSSAASELKREALNMGSRTVPQYQKGGMANEHPVNVSDNGDLVKSMRNFADISNNAGVNCSSGNSVPDQQPTASSPLRTNFNQTTVDTLEEAAKLKDRADNYKNSGFDFESNETYFQAGLKFLHGASVLENGHNDSGKLGEMSQMQIFASAAKLFKCCAHEYEARQEMATAALAYKCMEVAYMRVVLCKNSSTNRDRHELQSTLHMASQGIGT >Vigun09g016700.3.v1.2 pep primary_assembly:ASM411807v1:9:1226010:1234726:-1 gene:Vigun09g016700.v1.2 transcript:Vigun09g016700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDTELEEGEAFYYKDDDEDNIDPDSFSYIDEKIQHVLGHFQKEFEGGVSAENLGAKFGGYGSFLPTQERSPRPLSHPRTPQRNHSSPKFNNNPHMEGVSHNKKAPTNVPHPSRLENASHSSHPFRDLREVSVNDSVKKEQGISSCDQSERCTLKDDTTKNTKNSTDQRPLKFRIKMKSNILAQKNAEIYSGLGLDDSPSSSMDNSPVESEGTPPVTQENDEHSPTGIIQVMSYFPIPGGVLISPLHESVLNLIINDNKAIGDSRFSYSLNGHQDPCSVSIDESDSFVRAGHLKKNAVRMVRQSEKQLELKHPNGTLSEKETKLHKKKKIGNRTPDCKDFLPNELKWTPLSSSICDAGEFAEVTAKASEASKEVNENGVLGRMVSEEALKEDSLESISGQDFGKTVKQTVGNGFLKKAPEHRLESSHKDNSTDPMNNNSSNTSIISNKVEREALKCKADHKSETHQKIKAMSEQKNKSKGDQSPGKAKAVARKKSFGGTNNAMVIEKGSTGFDSTCRSKMKSRSLMDKNVSDSNRDSMKEKKSERKLDSLAGNGVMKNAKISNGKQSAFGIKAKERMSGHKVVNQVLSGPCINDTSASCPIAENHVAPEMISSAVAAPQLIEEDWVCCDSCQKWRLLPTGMKPDHLPEKWLCSMLNWLPGMNSCEISEDETTKALYALYQMPISQVQNNMQSHGTETAIGVSFPNSLQYGLNQNMSSSEMSDRARKKLVIKEKTMSGINNDMHRFSNSVKANVQVSGKNRSLNGLNQHPADLNPVKKMTNSSKHLGRPDNLIEEKHVPKENEKQVNGGDKKPVKLKRKVDADQYQSGVPKKSKTENVFSANIQSNPDMNLEKVSLYSRNGLPAKASGKDMRKYDEYCLSEDVSDRLPVTVKKEGDQAQVSSGITKKRKLKDRLDDEKQYGEEGDAIEFSKEKKYRISKSVTEGDNKLSKGGIRQVCGAGNGDHMAVGTEVRLGDKGNQLRKHRKNVASLHASDGIDQVRKELDSRPHSMAATSSSSKVSGSHKAKTKLEDVRSSPVESVTSSPLRAFNMDKNNFAVGDTSVKDNVTKGGHSAVGSKRNVDNRDKKLSVKLKDGRIPHDLHSTSHKLSSTEYQVEDAKDISRLQAKKPSELKNNHLLEGGVHVEQSGYCANGVHKEEKVKKENQESELSWQKSGKVTSLNSKEKGKKFSSDVCTDNIKMSVSETVHSNKGGRYDSAVDPSNHASDGETKNVVKYTLPKSKSETGIISQKSALRQGPNETGKQTEMKPRDFEKSAPKIDVQRSNERKAISRQNSTHDFEEENKNNHIITESRVGKTKVLSSAASELKREALNMGSRTVPQYQKGGMANEHPVNVSDNGDLVKSMRNFADISNNAGVNCSSGNSVPDQQPTASSPLRTNFNQTTVDTLEEAAKLKDRADNYKNSGFDFESNETYFQAGLKFLHGASVLENGHNDSGKLGEMSQMQIFASAAKLFKCCAHEYEARQEMATAALAYKCMEVAYMRVVLCKNSSTNRDRHELQSTLHMASQGESPSSSASDVDNLNNQAAADRATLPRGTNSHVAINQVISARTRPSLIRLLDFTKDIHFAMEASRKCQSTFAAASVMMEESRNKECIASIRSVVDFSFQDVDELVRLVWTATKAISRAGLGGARD >Vigun03g404600.1.v1.2 pep primary_assembly:ASM411807v1:3:61170596:61173767:1 gene:Vigun03g404600.v1.2 transcript:Vigun03g404600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLALLLLIYLLITLSLSLFLSLFLSFWFKVASSVVLCVVCVYIYIYIYKEPKVAAFLFSLDQFHSLEDMGTLEEIDAPHTQSSSSSSSSIDSNNHPSRTPPPSSSPSSSVCRNRTDLSTDLRLGLSISPSSQSEPPFNSTPREESFNWPPIKSILRSTLVGKQSHLSQKPSLFVKVYMEGIPIGRKLNLMAHYSYDGLVKTLGHMFRTTILCPNSQPLGSGNFHVLTYEDQEGDWMMVGDVPWEMFLNSVKRLKITRADRC >Vigun03g404600.3.v1.2 pep primary_assembly:ASM411807v1:3:61170596:61173767:1 gene:Vigun03g404600.v1.2 transcript:Vigun03g404600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEEIDAPHTQSSSSSSSSIDSNNHPSRTPPPSSSPSSSVCRNRTDLSTDLRLGLSISPSSQSEPPFNSTPREESFNWPPIKSILRSTLVGKQSHLSQKPSLFVKVYMEGIPIGRKLNLMAHYSYDGLVKTLGHMFRTTILCPNSQPLGSGNFHVLTYEDQEGDWMMVGDVPWEMFLNSVKRLKITRADRC >Vigun03g404600.2.v1.2 pep primary_assembly:ASM411807v1:3:61170596:61173767:1 gene:Vigun03g404600.v1.2 transcript:Vigun03g404600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEEIDAPHTQSSSSSSSSIDSNNHPSRTPPPSSSPSSSVCRNRTDLSTDLRLGLSISPSSQSEPPFNSTPREESFNWPPIKSILRSTLVGKQSHLSQKPSLFVKVYMEGIPIGRKLNLMAHYSYDGLVKTLGHMFRTTILCPNSQPLGSGNFHVLTYEDQEGDWMMVGDVPWEMFLNSVKRLKITRADRC >Vigun11g077550.1.v1.2 pep primary_assembly:ASM411807v1:11:22976460:22977508:1 gene:Vigun11g077550.v1.2 transcript:Vigun11g077550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNWDEYGLAMTQLNVACPKTYFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNHRIILKIPMNEIKSIRIITGVQERSILTRTLTYESIVYMETIEQGFIPLTRIEDNLTPPEIANRAGKLSFF >Vigun06g236500.2.v1.2 pep primary_assembly:ASM411807v1:6:34173173:34174769:-1 gene:Vigun06g236500.v1.2 transcript:Vigun06g236500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Vigun06g236500.1.v1.2 pep primary_assembly:ASM411807v1:6:34173989:34174769:-1 gene:Vigun06g236500.v1.2 transcript:Vigun06g236500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Vigun06g153000.1.v1.2 pep primary_assembly:ASM411807v1:6:27715136:27717725:-1 gene:Vigun06g153000.v1.2 transcript:Vigun06g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVWCRQKKKMGRHNSNHAILDLSGFKHSSPIIISDQIRSFLGTGNCYFFPDTIKECSKSKFSLHLSVLNAEPYVRADPFNANVSMYGLKCLLCLPNTLSLVYPSSSKFLPLGTLCSSAATHHSHNFIGVSTGIVPVNHDTNAKVFERRIKITELVLRCVILGLGVLAAVLVGTDSQVKEFFSIQKTAKFTDMKALVFLVVANGLAAGFSLVQGLHCIICMVRGRALLSKPLAWAIFSGDQVMAYVTVAAVAASGQSGMLAKVGQAELQWMELCKMYGKFCNQVEEGIVSAFVVSLSMVVLSCFSAFSLFRLYGDHKLKNVNW >Vigun06g153000.2.v1.2 pep primary_assembly:ASM411807v1:6:27715136:27717725:-1 gene:Vigun06g153000.v1.2 transcript:Vigun06g153000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVWCRQKKKMGRHNSNHAILDLSGFKHSSPIIISDQIRSFLGTGNCYFFPDTIKECSKSKFSLHLSVLNAEPYVRADPFNANVSMYGLKCLLCLPNTLSLVYPSSSKFLPLGTLCSSAATHHSHNFIGVSTGIVPVNHDTNAKVFERRIKITELVLRCVILGLGVLAAVLVGTDSQVKEFFSIQKTAKFTDMKALVFLVVANGLAAGFSLVQGLHCIICMVRGRALLSKPLAWAIFSGDQVMAYVTVAAVAASGQSGMLAKVGQAELQWMELCKMYGKFCNQVEEGIVSAFVVSLSWNIPLTQLNTIPTTQPIVLHLAR >Vigun08g062700.3.v1.2 pep primary_assembly:ASM411807v1:8:8518451:8523386:-1 gene:Vigun08g062700.v1.2 transcript:Vigun08g062700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAEKKESGAVKENIENNGEKTKEEKKKKKGFISRIWHAMFRSNNDDFEKRLQYITKEENAVVVRMSNRSRSWRRTSRQLILFSILFEVIAVGYAIMTTRSMDMNWKMRAIRVLPMFLLPALSTATYSTFVSFTRMCDRKDQKILERLRAERKAKIDELKEKTNYYITQQLIQRYDTDPAAKAAAATVLASKLGSDSGLNVYVEDESSAAPTGKTKDVEVVQSSGLRNRKQVNSRSTSPGTKPTNYSDEQLVGSGKINQTQTPEQNELVVVEHHPQSSAMNDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLSRKEDFPFITYYCPHCHALNKPKHSEEHTSIPNTGSPKADDGEEVQKAGASDSSISSDNPVKATPEIEEVAARAISGEES >Vigun08g062700.2.v1.2 pep primary_assembly:ASM411807v1:8:8518451:8523386:-1 gene:Vigun08g062700.v1.2 transcript:Vigun08g062700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAEKKESGAVKENIENNGEKTKEEKKKKKGFISRIWHAMFRSNNDDFEKRLQYITKEENAVVVRMSNRSRSWRRTSRQLILFSILFEVIAVGYAIMTTRSMDMNWKMRAIRVLPMFLLPALSTATYSTFVSFTRMCDRKDQKILERLRAERKAKIDELKEKTNYYITQQLIQRYDTDPAAKAAAATVLASKLGSDSGLNVYVEDESSAAPTGKTKDVEVVQSSGLRNRKQVNSRSTSPGTKPTNYSDEQLVGSGKINQTQTPEQNELVVVEHHPQSSAMNDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLSRKEDFPFITYYCPHCHALNKPKHSEEHTSIPNTGSPKADDGEEVQKAGASDSSISSDNPVKATPEIEEVAARAISGEES >Vigun06g235900.1.v1.2 pep primary_assembly:ASM411807v1:6:34118712:34125451:-1 gene:Vigun06g235900.v1.2 transcript:Vigun06g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKKTMPKNDVHEEEAPLPSEKVPSPPVSDSDSDSDYEDSSADDGESSASLDSLSESELEDDSSENGGSSGNVEAEGTESSLDERSLQDIVNGEGSGSENSDLDQQVVESDSSEDEVAPRNTIGDVPLKWYEDEPHIGYDIKGKKIKKKEKQDKLDSFLANVDDSKSWRKIYDEYNDEVVELTKDEIKLVRRLLKNQAPHSDFDPYPDYVDWYKWEDAKHPLSNAPEPKRRFIPSKWEAKKVVQYVRAIRKGTITFDKPKEEDGPYLLWGDDSGSTEKSNHLAYIPAPKQKLPGHDESYNPPLEYIPTQEEINSYQLMFEEDRPKFIPKRFTSMRSIPAYENAMKESFERCLDLYLCPRVRKKRLNIDPESLKPKLPSRKELKPYPISCYIEYKGHEDAVTSISVEASGQWMASGSSDGTVRVWEVETGRCLRRWEVGEAVSCVSWNPLPDMHILAVSAGQDVLLLNTGLGDEELQNQIKELLWIDSSTASDDSGDKAPSVSWLKDDKHMGLRLRHFKTVTAVEWHRKGDYFSTVMPTGESRAVLIHQLSKKLTQKLPFKLRGLAVRSTFHPSRSIFFVCTKKSVRVYDLLKTKLIKKLDTGLREASSIAVHPGGDNLIVGSKEGKMCWFDMDLSSKPYKILKCHPKDINNVIFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHTSSNGRGILDCKFHPRQPWLFTAGADKLIKLYCH >Vigun06g235900.2.v1.2 pep primary_assembly:ASM411807v1:6:34118688:34125465:-1 gene:Vigun06g235900.v1.2 transcript:Vigun06g235900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKKTMPKNDVHEEEAPLPSEKVPSPPVSDSDSDSDYEDSSADDGESSASLDSLSESELEDDSSENGGSSGNVEAEGTESSLDEDIVNGEGSGSENSDLDQQVVESDSSEDEVAPRNTIGDVPLKWYEDEPHIGYDIKGKKIKKKEKQDKLDSFLANVDDSKSWRKIYDEYNDEVVELTKDEIKLVRRLLKNQAPHSDFDPYPDYVDWYKWEDAKHPLSNAPEPKRRFIPSKWEAKKVVQYVRAIRKGTITFDKPKEEDGPYLLWGDDSGSTEKSNHLAYIPAPKQKLPGHDESYNPPLEYIPTQEEINSYQLMFEEDRPKFIPKRFTSMRSIPAYENAMKESFERCLDLYLCPRVRKKRLNIDPESLKPKLPSRKELKPYPISCYIEYKGHEDAVTSISVEASGQWMASGSSDGTVRVWEVETGRCLRRWEVGEAVSCVSWNPLPDMHILAVSAGQDVLLLNTGLGDEELQNQIKELLWIDSSTASDDSGDKAPSVSWLKDDKHMGLRLRHFKTVTAVEWHRKGDYFSTVMPTGESRAVLIHQLSKKLTQKLPFKLRGLAVRSTFHPSRSIFFVCTKKSVRVYDLLKTKLIKKLDTGLREASSIAVHPGGDNLIVGSKEGKMCWFDMDLSSKPYKILKCHPKDINNVIFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHTSSNGRGILDCKFHPRQPWLFTAGADKLIKLYCH >Vigun10g098633.1.v1.2 pep primary_assembly:ASM411807v1:10:28910426:28911052:-1 gene:Vigun10g098633.v1.2 transcript:Vigun10g098633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVASFVLNCAVSVCAKLITDIAEARNNNPEKAFDLATLESTLKSIEPVIRDMERLNHEMGRSKNELQPLITKMEEGIKLLKKCWKSDSSYIAELHAFDESFRKILDTTFKVQTARDQKERLKILIVSKVLDVFLPQAKGAAI >VigunL059063.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000439.1:4328:4687:-1 gene:VigunL059063.v1.2 transcript:VigunL059063.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g060400.1.v1.2 pep primary_assembly:ASM411807v1:3:4945412:4947343:-1 gene:Vigun03g060400.v1.2 transcript:Vigun03g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGELELPPGFRFHPTDDELVNHYLCRKCAAQSIAAPIIKEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPEARGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSASKKNNNLRLDDWVLCRIYNKKGKIEKYNNVDGVVEQKPAKLPEEILFQHEMKPEIQMYDHDHFRNNQLYMDTSDSVPRLNTDSSCSEHVVSPDGTCEKEVQSEPKWNDLELGPDLVSGYDFNFMELSADDAFAPQAQYQMNQPSTWQDMFAYLPKTF >Vigun08g204900.1.v1.2 pep primary_assembly:ASM411807v1:8:36842073:36847645:-1 gene:Vigun08g204900.v1.2 transcript:Vigun08g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDANLNKQFRVFEAAPQESRGTPAKKPAPDYFL >VigunL005400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:71122:72639:-1 gene:VigunL005400.v1.2 transcript:VigunL005400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun08g087900.1.v1.2 pep primary_assembly:ASM411807v1:8:19895838:19901346:1 gene:Vigun08g087900.v1.2 transcript:Vigun08g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIFTASALSLPIFLRASKFDTRRDLKCRFKVFAVFGEEDKKNAWGALFDVEDPRSKIPHNKGKVLDIYQALEVARHDIQYLDWRARQDVLSIMLLHEKVVEVLNPLAREYKSIGTLKKELAGLQDELAHAHRQVHISEARVTTALDKLAYMEELVNDRLLLDRSTAEIAQTSSSPSTSANSPVTEKRRQPRKSLNISGPVQSYHPHLKNFWYPVTFSRDLKDDTMIPIECFEEPWVIFRGKDGQPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIKSVPCFEKEGMIWIWPGNDPPTATLPSLLPPSGFEIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLHGYWDPYPIDMEFRPPCMVLSTIGISKPGKLGGQNTSTKECSTHLHQLHVCLPSSKQKTRLLYRMSLDFAPLLKRIPFIQYLWRHFADQVINEDLRVMVGQQERMNDGVNVWNFPVPYDKLGVRYRMWRDALERGAKQLPFTS >Vigun10g154300.2.v1.2 pep primary_assembly:ASM411807v1:10:37454662:37475034:-1 gene:Vigun10g154300.v1.2 transcript:Vigun10g154300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVGFGFRPTEEELVDYYLRHRLLGDDPQVHVIPDIDLCQGEPWDVPMLLGESDAQFDFPEWFFFSPVDFKYSNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGGDEGESSRIMVSGYHNHSIGTTFQQDQTSFPNPSFDDAHFRNESNIEHNSYENTQEEEFVNSFFVEDNYVNNEESTNTYFNTFTQSESLRKVYDTDAEAVSQQGDNIMDIPTVFTRYLNLDEYHSSKGFDSELSNVDVHDGVCMPSPIHEKNKRKRRKRRANFLSSDHHRGRFQTMQYSMQR >Vigun07g056400.3.v1.2 pep primary_assembly:ASM411807v1:7:6189103:6220114:1 gene:Vigun07g056400.v1.2 transcript:Vigun07g056400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWGTSDPYVVIQLDSQTAKSGIKWGTKEPTWNEEFTFNIKQPPSQSLQVAAWDANLVTPHKRMGNAGVDLEWLCDGDVHEILVELEGMGGGGKVQMEVKYKSYDEIDEEKRWWKIPFVLDFLKIKGFDSAFRKVIGSDTVQARQFVEYAFGQLKSFNNSYLLKGQKSDINNDENDSEATGELTESASIVNIPSIEGGSLEGSNEASREQRNSKEFVKHDNDTENGHVSELPTKVSEEELSNKIFWRNFANVVNSSIAQKLGISVSEKFKWDGLEFLNKIGSQSQNIAESVYVQSGLAMPGSTDDTNDKTSGQPAITVFQSSLPEVKKATQNLMRQTESILGGLMLLTATVSKIKDEGGSSEEKKVKEDYTKAGDKDMQYSSSQKFPSSQSGIVLDDEITEEMKELFSTAESAMEAWAMLATSLGQPSFIKSEFEKLCFLDNASTDTQVAIWRDSARRRLVVAFRGTEQSQWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRTRIISLIRLAIGYVDEHSKSPHKWHVYVTGHSLGGALATLLALELSSNQLAKQGAISITMYNFGSPRVGNRRFAEVYNERVKDSWRVVNHRDIIPTVPRLMGYCHVERPVFLAAGVLGNALENKDILGDGYEGDVLGESTPDVIVSEFLKGEKELIEKLLQTEINIFRSIRDGSALMQHMEDFYYITLLENVRSNYQAVPRSEQDQNYSL >Vigun07g056400.1.v1.2 pep primary_assembly:ASM411807v1:7:6184697:6220114:1 gene:Vigun07g056400.v1.2 transcript:Vigun07g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQFRYALSPLCPTLPLPRGPIFSRTFPRRFHGKLRVFSTARRRRVPSICCSSKTGSELQRLAVPEDDDRPPFDINLAVILAGFAFEAYTTPPENMGRREVDAAGCKTVYLSEEFVHEIYDGQLFIKLKKGFNFPAMDPWGTSDPYVVIQLDSQTAKSGIKWGTKEPTWNEEFTFNIKQPPSQSLQVAAWDANLVTPHKRMGNAGVDLEWLCDGDVHEILVELEGMGGGGKVQMEVKYKSYDEIDEEKRWWKIPFVLDFLKIKGFDSAFRKVIGSDTVQARQFVEYAFGQLKSFNNSYLLKGQKSDINNDENDSEATGELTESASIVNIPSIEGGSLEGSNEASREQRNSKEFVKHDNDTENGHVSELPTKVSEEELSNKIFWRNFANVVNSSIAQKLGISVSEKFKWDGLEFLNKIGSQSQNIAESVYVQSGLAMPGSTDDTNDKTSGQPAITVFQSSLPEVKKATQNLMRQTESILGGLMLLTATVSKIKDEGGSSEEKKVKEDYTKAGDKDMQYSSSQKFPSSQSGIVLDDEITEEMKELFSTAESAMEAWAMLATSLGQPSFIKSEFEKLCFLDNASTDTQVAIWRDSARRRLVVAFRGTEQSQWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRTRIISLIRLAIGYVDEHSKSPHKWHVYVTGHSLGGALATLLALELSSNQLAKQGAISITMYNFGSPRVGNRRFAEVYNERVKDSWRVVNHRDIIPTVPRLMGYCHVERPVFLAAGVLGNALENKDILGDGYEGDVLGESTPDVIVSEFLKGEKELIEKLLQTEINIFRSIRDGSALMQHMEDFYYITLLENVRSNYQAVPRSEQDQNYSL >Vigun07g056400.2.v1.2 pep primary_assembly:ASM411807v1:7:6191308:6220114:1 gene:Vigun07g056400.v1.2 transcript:Vigun07g056400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGVDLEWLCDGDVHEILVELEGMGGGGKVQMEVKYKSYDEIDEEKRWWKIPFVLDFLKIKGFDSAFRKVIGSDTVQARQFVEYAFGQLKSFNNSYLLKGQKSDINNDENDSEATGELTESASIVNIPSIEGGSLEGSNEASREQRNSKEFVKHDNDTENGHVSELPTKVSEEELSNKIFWRNFANVVNSSIAQKLGISVSEKFKWDGLEFLNKIGSQSQNIAESVYVQSGLAMPGSTDDTNDKTSGQPAITVFQSSLPEVKKATQNLMRQTESILGGLMLLTATVSKIKDEGGSSEEKKVKEDYTKAGDKDMQYSSSQKFPSSQSGIVLDDEITEEMKELFSTAESAMEAWAMLATSLGQPSFIKSEFEKLCFLDNASTDTQVAIWRDSARRRLVVAFRGTEQSQWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRTRIISLIRLAIGYVDEHSKSPHKWHVYVTGHSLGGALATLLALELSSNQLAKQGAISITMYNFGSPRVGNRRFAEVYNERVKDSWRVVNHRDIIPTVPRLMGYCHVERPVFLAAGVLGNALENKDILGDGYEGDVLGESTPDVIVSEFLKGEKELIEKLLQTEINIFRSIRDGSALMQHMEDFYYITLLENVRSNYQAVPRSEQDQNYSL >Vigun08g014000.2.v1.2 pep primary_assembly:ASM411807v1:8:1186710:1193286:-1 gene:Vigun08g014000.v1.2 transcript:Vigun08g014000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAILQSQRSNANQKSKEKNFAVEVSGMEFGTAAATLTKKLSNGYGVSGRSAYDGVFAAPIKLRAPTFSSQFEDYREIFGAAGASLGSSIPILELPELNESKKKIDDVGRSRVDYSMVFGGFGSLDAAVPFEEIVAEPAVEKDSFATRSKIKGENRSFQPELTTCSMEIPVKSWSSHDAKRVNMSYHKVNQGSENGTGGTTHIAQLQAVPAYTRLIEEVKPMKINKANKSIPVAQDTYSGSHVDEGIKEAAHSTNSYTCASPDNSKKQSSNNGVKVKNRSGSTDLFFDACEISDGTNNVKVPRSETTESNLNKQKGDALKSTPIKFQASKSSSSEGVAGADSPPYSDDMLDYNSEVAASVAALRKAMEEAQARMKVAKELMRRKKEGFPDRVKRKSNIELKADGKREAKVTHRTTKLDAKLTLREKDTSLNVSSEVGRSTMRIEKARPDLGTKETCGAEEVVRETQKKMKSDRAKHEREVERKEPNHKGKILELKEAENNKKQQYLNKTDRNTLEKPEESDEKIEIVREYWGLENNEERAHPDHEASAYVELVQETKHRSQKVVDETKLIQEGFENGLMDNDLKVNENGEVENRVKSSYEPEDYANNVGGQGLIEGNGKKVAGDPEDGNKSEGSFELEECKINFRAVQEPREAEKNITEELKGSENKVDVFSELEECELAEILEPLDNESVCSQHAINLTSMEEATESFGCLEERKKINDSGFLDISQNTEHSWQREATGNTFGSIYVQEEILEDIVDHIHTKKNKLDGNDKVLDARASESGFEGATQLMDGNTRERMDNKEPAEVIGVTLSDPCCEEVKADKTSNTTETSSSFEPDETEKLNKTQATDIITANEETLEVNLEAHSYDVLDGVSEASSASFQQEGYEETDPIQETSDFRDKHTDETSSFTQVALELNKADNQIQNIFERETSEGAATNTGDTDIKARQSIDQCWEESENYCNLDMPVEDTTRKSVEICKDAKETRDALNEDLDENRSNSSNGENLYKNEDIIDESQIPSTSDWKSSLFKEVEKVESSHSNIRESNQASAMEEKGANDNLHKEEQEKEHLKKLDAAKEREREREREKLAVERAIREARERAFADARERAALERAAAEARQKNISDGRERLGKTNSQGNEKTPAEKAAMEAKLKVERAAVERATAEARARALERALSERAASDARNKSDKPVAGFTASRDNAMKQNFHSKSFSHGVRDSTDVLDGANGDSAQRCKARFERHQRIGERVAHALAEKNMRDRLVQKEQEERNRVAEVLDADVKRWSSGKTGNLRALLSTLQYILGPDSGWQPIPLTDVVTTPAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKEAWNRFNMEER >Vigun08g014000.1.v1.2 pep primary_assembly:ASM411807v1:8:1186583:1193438:-1 gene:Vigun08g014000.v1.2 transcript:Vigun08g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGTAAATLTKKLSNGYGVSGRSAYDGVFAAPIKLRAPTFSSQFEDYREIFGAAGASLGSSIPILELPELNESKKKIDDVGRSRVDYSMVFGGFGSLDAAVPFEEIVAEPAVEKDSFATRSKIKGENRSFQPELTTCSMEIPVKSWSSHDAKRVNMSYHKVNQGSENGTGGTTHIAQLQAVPAYTRLIEEVKPMKINKANKSIPVAQDTYSGSHVDEGIKEAAHSTNSYTCASPDNSKKQSSNNGVKVKNRSGSTDLFFDACEISDGTNNVKVPRSETTESNLNKQKGDALKSTPIKFQASKSSSSEGVAGADSPPYSDDMLDYNSEVAASVAALRKAMEEAQARMKVAKELMRRKKEGFPDRVKRKSNIELKADGKREAKVTHRTTKLDAKLTLREKDTSLNVSSEVGRSTMRIEKARPDLGTKETCGAEEVVRETQKKMKSDRAKHEREVERKEPNHKGKILELKEAENNKKQQYLNKTDRNTLEKPEESDEKIEIVREYWGLENNEERAHPDHEASAYVELVQETKHRSQKVVDETKLIQEGFENGLMDNDLKVNENGEVENRVKSSYEPEDYANNVGGQGLIEGNGKKVAGDPEDGNKSEGSFELEECKINFRAVQEPREAEKNITEELKGSENKVDVFSELEECELAEILEPLDNESVCSQHAINLTSMEEATESFGCLEERKKINDSGFLDISQNTEHSWQREATGNTFGSIYVQEEILEDIVDHIHTKKNKLDGNDKVLDARASESGFEGATQLMDGNTRERMDNKEPAEVIGVTLSDPCCEEVKADKTSNTTETSSSFEPDETEKLNKTQATDIITANEETLEVNLEAHSYDVLDGVSEASSASFQQEGYEETDPIQETSDFRDKHTDETSSFTQVALELNKADNQIQNIFERETSEGAATNTGDTDIKARQSIDQCWEESENYCNLDMPVEDTTRKSVEICKDAKETRDALNEDLDENRSNSSNGENLYKNEDIIDESQIPSTSDWKSSLFKEVEKVESSHSNIRESNQASAMEEKGANDNLHKEEQEKEHLKKLDAAKEREREREREKLAVERAIREARERAFADARERAALERAAAEARQKNISDGRERLGKTNSQGNEKTPAEKAAMEAKLKVERAAVERATAEARARALERALSERAASDARNKSDKPVAGFTASRDNAMKQNFHSKSFSHGVRDSTDVLDGANGDSAQRCKARFERHQRIGERVAHALAEKNMRDRLVQKEQEERNRVAEVLDADVKRWSSGKTGNLRALLSTLQYILGPDSGWQPIPLTDVVTTPAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKEAWNRFNMEER >Vigun11g016100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2024048:2025173:1 gene:Vigun11g016100.v1.2 transcript:Vigun11g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDRKHANVEDEPVFPIRRERGLAPTYLCLFQEFWCPDLFIEGVKSFQKCFEAKDSDVVVASFPKTGTTWLIALTFAIVNRKHFSAENHPLLISNPHTLVSCLESKIFFGDETHDPLLHLSNMLEPRLFSTHTPFTALPKSLIQSNAKIIYICRNPFDTFLSSWIYFNKLMLKPLPALELEEAFEMFCDGRVLFGPWWSHMLGYWKESLARPNKVLFLKYEDLKENVNFHVKSIAEFLGCPFSAEEESDGDIESIMKLCSFEKMKDLEVNVSGKLDKFIDNKLFFRKGEIGDWVNYFSPSMITKLSKVIEEKLSGSGLSFKMYA >Vigun09g135600.2.v1.2 pep primary_assembly:ASM411807v1:9:29638185:29645538:-1 gene:Vigun09g135600.v1.2 transcript:Vigun09g135600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCRKLGDPTVSLEDNDSPIVLSKNHPIPQLDSPTAVRVRIKATSLNFANYLQILGKYQEKPSLPFIPGSDFSGYVDAVGPKVSNFRVGDPVCSFAGLGTFAQFIVVDESQLFGVPQGVDLVAAGALAVAFGTSHVALVHRAQLTSGQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGAEKVQLLKSLGVDHVVDLGNENVTESVKKFLQTRRLKGVDVLYDPVGGKLTKESLRLLKWGANILIIGFASGEIPVIPVNIALVKNWTVHGLYWGSYKIHRPGVLEDSVKELLSWLARGLISIHISHSYPPSEGFYYCCNRRKYFFF >Vigun09g135600.3.v1.2 pep primary_assembly:ASM411807v1:9:29638103:29645737:-1 gene:Vigun09g135600.v1.2 transcript:Vigun09g135600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCRKLGDPTVSLEDNDSPIVLSKNHPIPQLDSPTAVRVRIKATSLNFANYLQILGKYQEKPSLPFIPGSDFSGYVDAVGPKVSNFRVGDPVCSFAGLGTFAQFIVVDESQLFGVPQGVDLVAAGALAVAFGTSHVALVHRAQLTSGQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGAEKVQLLKSLGVDHVVDLGNENVTESVKKFLQTRRLKGVDVLYDPVGGKLTKESLRLLKWGANILIIGFASGEIPVIPVNIALVKNWTVHGLYWGSYKIHRPGVLEDSVKELLSWLARGLISIHISHSYPPSEANFAFSAIKDRKVIGKVMIVFDEKHTRSKL >Vigun09g135600.1.v1.2 pep primary_assembly:ASM411807v1:9:29638185:29646212:-1 gene:Vigun09g135600.v1.2 transcript:Vigun09g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCRKLGDPTVSLEDNDSPIVLSKNHPIPQLDSPTAVRVRIKATSLNFANYLQILGKYQEKPSLPFIPGSDFSGYVDAVGPKVSNFRVGDPVCSFAGLGTFAQFIVVDESQLFGVPQGVDLVAAGALAVAFGTSHVALVHRAQLTSGQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGAEKVQLLKSLGVDHVVDLGNENVTESVKKFLQTRRLKGVDVLYDPVGGKLTKESLRLLKWGANILIIGFASGEIPVIPVNIALVKNWTVHGLYWGSYKIHRPGVLEDSVKELLSWLARGLISIHISHSYPPSEANFAFSAIKDRKVIGKVMIVFDEKHTRSKL >Vigun03g166500.1.v1.2 pep primary_assembly:ASM411807v1:3:19196490:19199077:-1 gene:Vigun03g166500.v1.2 transcript:Vigun03g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTLAKAFVEHYYSTFDNNRQGLANLYQDASMLTFEGQKIQGAPSIVAKLTSLPFQQCLHSITTVDCQPSGVNGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSYYVLNDIFRLNYA >Vigun05g269600.1.v1.2 pep primary_assembly:ASM411807v1:5:46106912:46107667:1 gene:Vigun05g269600.v1.2 transcript:Vigun05g269600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGGSKSVPSSSSASAHVGSSQFGRKVCGCGDQLLLLKATTAKNNGRFFFRCRNWASESNCNYFRWADAMEAELEGKREIEEGENENLSYSDTMILQLVQKNAKLKKKLLAERKLGEIKLFFFILSWAFTVILCVLFLLKSNCKD >Vigun05g172550.1.v1.2 pep primary_assembly:ASM411807v1:5:31253748:31254389:1 gene:Vigun05g172550.v1.2 transcript:Vigun05g172550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICHGVANASDFSSVYDTSNKGRKSSTTNNIIFFYIYVC >Vigun08g109500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27185232:27186747:-1 gene:Vigun08g109500.v1.2 transcript:Vigun08g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKENWASNDIVLQMGMVVVMFLFYLFMHNVPKKLWSDLRLRNRADIQAKRHFVQGAQLLARARASKSKALAKEAQAQAQRAIALDPRDAAPHLLKALALDFLGLRSAALDSLDDALSPLAATSLSHSERADALLKRAELRLASTQRARVDSALADLSESVKLNPNNAKAFFALGDCFERKKINDDAVKAYQHALELEPQLLVAQQALHRLDSSPKTN >Vigun03g300100.1.v1.2 pep primary_assembly:ASM411807v1:3:48846220:48850909:1 gene:Vigun03g300100.v1.2 transcript:Vigun03g300100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFSSSSVSTSDVAHVGRSYSTLISSFRHKVGFKFSTHFKCRRGLFLRTYSGSDKAYFTNEVVNSSVGQDNLAAETSSRRINGTNGSTSSFYYRNLRILDAFDDEYGGVVVDSDRIPSNPYTFASLLRLSLFQWKKMGKKGIWLKLQLEQSDLVPIAVKEGFQYHHAEPGYVMLTYWIPEGPSMLPANASHQVGVGGFVINEKNEVLVVQEKHCSPATLGLWKIPTGFILEAEEIYTGAVREVKEETGIDTEFIEVIAFRHAHNVAFEKSDLFFICMLRPLSSEIIVDDLEVEAAKWMPLVEFVEQPLIQEDSMFKKIVDIFIARLGKRYCGLSTHQVVSKFDGMVSSLYYNVIDNEDNCVGK >Vigun06g063400.2.v1.2 pep primary_assembly:ASM411807v1:6:19206603:19208547:1 gene:Vigun06g063400.v1.2 transcript:Vigun06g063400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLLSHVVLPLLFTSLCLVHAGNAPAPSPKSTPSPAPAKSPSSATPPLHSLQSPAKSPTLSPPSQSPVVSPSGSSASPPPAVAPATQPPSSASQAPSPAKDVSSPPAPSPVAEAPTPESSAGIPSSSAPPAGSPITLPSNGASPETAPASSSNGSIGDLSDSGRTNQQEPPQLPPSNLHPPRLS >Vigun06g063400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19206603:19207501:1 gene:Vigun06g063400.v1.2 transcript:Vigun06g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLLSHVVLPLLFTSLCLVHAGNAPAPSPKSTPSPAPAKSPSSATPPLHSLQSPAKSPTLSPPSQSPVVSPSGSSASPPPAVAPATQPPSSASQAPSPAKDVSSPPAPSPVAEAPTPESSAGIPSSSAPPAGSPITLPSNGASPETAPASSSNGSIGDLSGASSNAASMILGGVAFWMTLNVI >Vigun04g175000.1.v1.2 pep primary_assembly:ASM411807v1:4:39910290:39920926:1 gene:Vigun04g175000.v1.2 transcript:Vigun04g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPQVITCKAAVAWGAGEPLVMEEVEVSPPQPMEIRIKVVSTSLCRSDLSAWESHAIFPRIFGHEGSGIVESVGLGVTEFKEGDHVLTVFIGECMTCRQCTSGKSNICQVLGLERRGLMHSDQKTRFSVKGKPVYHYCAVSSFSEYTVIHSGCAVKVSPHAPLEKICLLSCGVAAGLGAVWNVADVSKGSTVVIFGLGTVGLSVAQAAKLRGASRIIGVDNNPQKCENAKAFGVTEVLDPNSCEEPIAQVIKRITDGGADFSFECVGDTDMITTALQSCCDGWGLTVTLGVPKAKPAMSAHYGLLLMGRTLKGSLFGGWKPKSDLPSLVEKYVNKEIQIDDYITHNLPFHDINKAFNLMKEGKCLRCVIHMPR >Vigun08g175550.1.v1.2 pep primary_assembly:ASM411807v1:8:34566114:34568943:1 gene:Vigun08g175550.v1.2 transcript:Vigun08g175550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCLVPSYNCLLCNPDDKSALLQFKDSVVVISSPFDPESDPCSSYSSKTKSWNNVTDCCGWDGITCDSKSGHVIGLDLSCSLLRGLIPQCLGTFPYLWALDLQENNLYGSLPLNFSKGNAFGTIKLNGNHLQGPVPRSFTHCTTLEVLDLGNNNIEDTFPYWLETLPNLQVLSLRSNKFHGIITSLGTKLPFPRLRIFDISNNYFTGLLPTSYIHNFQGMMDASDNQTGLKYLGNDTSYNDSIVIVMKGYEIELKRILTVFTTIDLSNNMFEGEVPKVIGELHSLKGLNLSHNRITGTIPLSLGNLSNLEWLDLSWNQLKGEIPMVLKNLNFLAVLNLSQNQFEGVIPTGGQFNTFENDSYAGNPKLCGIPLSKQCKEDNKRLSHSALDEQVPGFGWKVVVVGYACGMIFGIILGYSVLIAGKPQWLARLVEAVYLM >Vigun03g362900.1.v1.2 pep primary_assembly:ASM411807v1:3:56664870:56666742:-1 gene:Vigun03g362900.v1.2 transcript:Vigun03g362900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEKSVAKSVQEMSMEGDEPPPQYIVKGNSFGSKDSSALIPIPIIDVSLLSSEGELHRLRSSLTSAGCFQAIGHGMSSSYLDKIRELAKQFFALPEEEKQKYARAVNESEGYGNDRVVSDKQVLDWSYRLSLRVFPEEKRRLSLWPKIPSDFSEKLEEFSAKVKSMMDYLLRSMARSLNLEEGSFRDQFGEESLMLARFNFYPRCSRPDLVLGVKPHTDRSGITVLLQDKEVEGLQVLIDEKWVSVPTIPDAFVVNLGDQMQIMSNGIFKSIMHRVVTNTEKMRMSLAMFNEPDPENEIGPVEGLIDETRPRLYRNVKCYGDINYKCYQEGKIALETVKIADNSD >Vigun06g082100.1.v1.2 pep primary_assembly:ASM411807v1:6:21374058:21381702:-1 gene:Vigun06g082100.v1.2 transcript:Vigun06g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFHLPGKSCCSWFVLALFALIASLASATEIHFHEFVVQARPVTRLCKNQSIITVNGQFPGPTVEARNGDFVIIKVVNAAQYNISIHWHGLRMLRNPWADGPSYVTQCPIQPGGSYTYRFRIRDQEGTLWWHAHTGFLRATVYGAFIIYPRLGSAYPFSVPKQEFPLILGQWFDSDVVLLQRQADYAGLPPNPSVAYTINGQPGDLYRCSSQGTVRVPVEAGETIMLRIISSALNQELFFSIANHSMTVVGTDAAYNKPFRTTVLMIGPGQTFNVLVTADQPQGLYYMAARAYESAVNAPFDNTTTTAILEYRSTRRSNQNRSRPVLPALPAFNDTATATAFTARIRGLTQVKVFTEVDVNLYLIVGLGLINCTNPNSPRCQGPNGTRFTASMNNVSFELPRTTSLMQAYYERIPGVFTTDFPPVPPVQFDYTGNVPRGLWTPSRGTKLYKVKYGSRMQIVMQDTSIVTTEEHPMHVHGFHFFVVGSGFGNFNPATDPLKFNLVDPPVRNTVGTPPGGWVAIRFVADNPGIWFIHCHIDSHLNWGLGMALLVENGVGLSQSVLPPPPDLPQC >VigunL025005.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:78722:79336:1 gene:VigunL025005.v1.2 transcript:VigunL025005.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun09g268200.2.v1.2 pep primary_assembly:ASM411807v1:9:43099957:43103930:-1 gene:Vigun09g268200.v1.2 transcript:Vigun09g268200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYYPCSSNQRGAVPMLCLREPLHNSYPETPILPSNMTLLYMNSGSYSEALPGNSQQQSNCFVVPSNSTAEQQEILANIGGYQIGVHDFGRSEMLVRQSMDGQNLQGQGLSLSLGTHMPSGIQLPSVHDRNHCSNFDSFLGGNPSLSANEVYQNGSSRHSENFPPVLPEASHDLNKADFSFHGISSVGRTVPSSKYLKAVQQLLDEVVDIRQAMKRPDTRSHGTHENSAKNSKEGGELLENERPSTNAVPNSQASASNSSCELSHAEKQDLHKKLAKLLSMLDEVDSRYKQYYQKMQNVVSSFDVVAGCGAAKPYTALALQTISCHFRCLRDSITGQIRATQKSLGEHDASESNKGVGMARLKYVDHQVRQQRALQQLGMMQHAWRPQRGLPESSVSILRSWLFEHFLHPYPKDSEKTMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKQDVSDVDMDSTSSSENVSKATRSDVKISNDTVDDSQHCQSPMLTDTNHGGGQAKDLGYDQVLDTKIMASTGLTSHEVETEHRSGKLIEEKRPNFDNCGLFSDNMVVQSDGASNERFMAVGSTCQMSEFESFKSGSGVSLTLGLQHCEGGNFLAGESHLSFAAAASAVGVQTAELEHVGAGNQRQRFSSPHMLHDFVV >Vigun09g268200.1.v1.2 pep primary_assembly:ASM411807v1:9:43099893:43103989:-1 gene:Vigun09g268200.v1.2 transcript:Vigun09g268200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYYPCSSNQRGAVPMLCLREPLHNSYPETPILPSNMTLLYMNSGSYSEALPGNSQQQSNCFVVPSNSTAEQQEILANIGGYQIGVHDFGRSEMLVRQSMDGQNLQGQGLSLSLGTHMPSGIQLPSVHDRNHCSNFDSFLGGNPSLSANEVYQNGSSRHSENFPPVLPEASHDLNKADFSFHGISSVGRTVPSSKYLKAVQQLLDEVVDIRQAMKRPDTRSHGTHENSAKNSKEGGELLENERPSTNAVPNSQASASNSSCELSHAEKQDLHKKLAKLLSMLDEVDSRYKQYYQKMQNVVSSFDVVAGCGAAKPYTALALQTISCHFRCLRDSITGQIRATQKSLGEHDASESNKGVGMARLKYVDHQVRQQRALQQLGMMQHAWRPQRGLPESSVSILRSWLFEHFLHPYPKDSEKTMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKQDVSDVDMDSTSSSENVSKATRSDVKISNDTVDDSQHCQSPMLTDTNHGGGQAKDLGYDQVLDTKIMASTGLTSHEVETEHRSGKLIEEKRPNFDNCGLFSDNMVVQSDGASNERFMAVGSTCQMSEFESFKSGSGVSLTLGLQHCEGGNFLAGESHLSFAAAASAVGVQTAELEHVGAGNQRQRFSSPHMLHDFVV >Vigun06g009150.1.v1.2 pep primary_assembly:ASM411807v1:6:4311497:4312039:1 gene:Vigun06g009150.v1.2 transcript:Vigun06g009150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSWSTCSCNGLGVQNRSDFCHGGGSKRLGSMVVCYCGEKAVLRTARTPKNRGKQFWGCPKYKRGSEQLVGCNYFSWFSGDEEIVGSVTKNEERDLSVLNMEEMYGQRMKILSLEKSVMNLENRIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun07g127400.4.v1.2 pep primary_assembly:ASM411807v1:7:23457763:23462112:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSSVLQER >Vigun07g127400.2.v1.2 pep primary_assembly:ASM411807v1:7:23457757:23462112:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSFLQER >Vigun07g127400.3.v1.2 pep primary_assembly:ASM411807v1:7:23457763:23462112:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSSI >Vigun07g127400.6.v1.2 pep primary_assembly:ASM411807v1:7:23457763:23460854:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSSI >Vigun07g127400.5.v1.2 pep primary_assembly:ASM411807v1:7:23457755:23461350:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSFLQER >Vigun07g127400.1.v1.2 pep primary_assembly:ASM411807v1:7:23457755:23461350:1 gene:Vigun07g127400.v1.2 transcript:Vigun07g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVPREKDVEFDLESGGNTSEEDVGKDRYVSDKESKGALIWAGNGIPNIDGTDKGKTEIESFRNSAKSGDVMIIDENSLEVFMDRAFVQQQWSNVHGNHHKQKIKPFHPKKPPKPPLPPTGPSLDAGDQKFVKELAELALRKRARVKKMKAVRKMKANKSSSSSTYTNLSAMVITVFFFLVIILHGIRSVSSATVGVIDSPEAKFAADESLISVRFPTSFNSNEVNVPAFHSSVLQER >Vigun09g033400.1.v1.2 pep primary_assembly:ASM411807v1:9:2891928:2895454:-1 gene:Vigun09g033400.v1.2 transcript:Vigun09g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCGVCSLPPEYCEFGSDFEKCKPWLIQNVPDLYPNLLKEANDKESDKVADKLQSTGISSGTGDGAASSAPKQEEVKRLPGGKIKKKEKQEVVIEKVVRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITDTWPDVPETAIFFIEDGRKVPAA >Vigun05g094400.1.v1.2 pep primary_assembly:ASM411807v1:5:9151611:9153807:-1 gene:Vigun05g094400.v1.2 transcript:Vigun05g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSYGFPIPSSLLPSDASPEWNNKADNAWQLTAATLVGLQSVPGLVILYGSMVKRKWAVNSAFMALYAFACVLICWVSWAHGMAFGSELLPFVGKPNHALSGKFLLAKSSAGYFPMADFVFYQFAFAAITVVLLAGSLLGRMNFYAWMLFVPLWLTFSYTVGAFSIWGKNGFLQGKIIDYAGGFVIHLSSGIAGFTAAYWVGPRISYDRQNFPPNNIIHVLGGAGFLWMGWTGFNGGATFQVGEIASLAIFNTHLCTATSLLVWLTLDMMVYTKSSVIGAVQGMITGLVCITPGAGLVDSWAAVLMGALSGSIPWYTMMVLHKKSAFFQSVDDTLGVFHTHAVAGVLGGLLSGVFAKPGLLRILYSDDQYGPGLIYSFSKGESVGEGMRQIWYQLVGAGFIIVWNVVVTSLVCVLISHIVDLRMQEEELEVGDDAAHGEEAYALWGDGERMRVPLRLHISPTIPSLCRRRFSIPLTRNEEE >Vigun10g146300.1.v1.2 pep primary_assembly:ASM411807v1:10:36432823:36434780:-1 gene:Vigun10g146300.v1.2 transcript:Vigun10g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWSSKSASMAYLDTLQLCENQKRKDGNWRVEKNPGNNELISALAAGMKAKVIVEVSCCVSLYTIALAVAARQSGGRLVCIIPKSLLHESKQVIINSGLEQQVEFRTEDPSKLLSLYDNIDFFVVDCRDENYTRLLKLVDVSMKRSVIVVAKHVVCDKKGLRWHIRGKDGMEVSRICMNDGSDKRSGVREDYVKKRRKSSWIAKFDEESGEEHIYRVPQLDCFWS >Vigun07g041500.4.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALIPGAEEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQCRLLRLLVLWTKG >Vigun07g041500.5.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALIPGAEEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQCRLLRLLVLWTKG >Vigun07g041500.1.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALIPGAEEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQVVAAVGFMDKRLDIPRHVNPEVAALIELSWATEPWRRPSFSYILKCLQQIIADARG >Vigun07g041500.6.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALKEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQVVAAVGFMDKRLDIPRHVNPEVAALIELSWATEPWRRPSFSYILKCLQQIIADARG >Vigun07g041500.7.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALIPGAEEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQVVAAVGFMDKRLDIPRHVNPEVAALIELSWATEPWRRPSFSYILKCLQQIIADARG >Vigun07g041500.8.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALKEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQVVAAVGFMDKRLDIPRHVNPEVAALIELSWATEPWRRPSFSYILKCLQQIIADARG >Vigun07g041500.3.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALKEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQCRLLRLLVLWTKG >Vigun07g041500.2.v1.2 pep primary_assembly:ASM411807v1:7:4056225:4081099:1 gene:Vigun07g041500.v1.2 transcript:Vigun07g041500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAAAEAESESERNRMSCGADEEEQGSFKSLAKQTEQSYQLQLALALRLSSHASASSDHSSSPAQTLSHRFWVDGCLLYSDQILDGFYLIHGMDAYTWTLSTDLQNVGIIPSFESLMSVEPGDDSSIVVVAIDKSRDPGLRELQNRVASLSNNWITTKDATDQLASLICNRMGGGSLTEENLGIRWKECTQLLKSCLHSVILPIGSLPIGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDVGASCIVQFGSDREYMIDLIGRPGATCQPDSFLNSASSMLVASPLCHPKFKPVETAEYTKTLAQLYFLDSQALHLVFDTTSGPAVNHSDKMDMQRTEALGANYAGGNNHLIALKEYEYFNEVDQTVLDYPSHEVDLDEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDPGRFEEFLKEVSLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYELLHMPNVGSSLSERCRLKMAYDVASGMNYLHQMRPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTFLSSKTAAGTPEWMAPEVIRGELSNEKCDVFSFGVILWELVTLQQPWRHLNPSQCRLLRLLVLWTKG >Vigun02g007900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3437195:3437896:1 gene:Vigun02g007900.v1.2 transcript:Vigun02g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLGFASSISRDLVCGAVNQLRYPCCFNDFVKKLEEDEGNLIITRDGVQKFIAYANTQTRKTSEIVDKWLQDAINDVDNVNELLKEARTKKICCFGHFPNWIWRYRVGKKLGNKSVDLKKFIDEGRQYVPFDRIATLPSNILDILSEKCMNFESRESAYEQLLDAVKNNDVSMIGLYGMGGCGKTTLAMEVKKLVEAEHLFEKVLFVPVSCTVEVQRIQEKIASSLQYVFP >Vigun01g050850.1.v1.2 pep primary_assembly:ASM411807v1:1:8189922:8191572:1 gene:Vigun01g050850.v1.2 transcript:Vigun01g050850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYTEKFGVRHLTHDEMMTVLYLQVRRRGKLGGLMLRGPCMDFNHQNLLAFSMRKTVTENSQRLLSRPASRERS >Vigun06g144066.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26989769:26992691:-1 gene:Vigun06g144066.v1.2 transcript:Vigun06g144066.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSLCCEQVPDGECIEVQKDEDGALIELDCQNGFPEGRKEFVAPAVGMEFESYDDAYNYYICYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNHLRKETRTGCPAMIRMRLVESQRWRVLEVMLEHNHMLGAKIQRLVKKMGTGMKRKSLPSSDTEGQTIKLYRALVIDAGGDGISNTTAREDRSFCEFSNKLNLRKGDSQAIYNFLCRMQLTNPNFFYLMDFNDEGHLRNVFWVDARSRAACGYFGDVIYFDNSYLSNKYEIQLVTFVGINHHGHSVLLGCGLLASETTESYVWLFRTWIKCMSGCSPQTIITDRCKALQRAIAEVFPRCRHCFGLSLIMKKIPEKLGGLHNYDALRKALIKAVYETLKVIEFEAAWGFMIQHFGVSDHEWLRSLYEDRVHWAPVFLKDTFFAGMSAARPGENITPFFDRYVHKQTPLKEFLDKYELALHKKYKEESFADIESRSSSPLLKTRCSFELQLSRLYTREMFMKFQLEVEEVYSCFGTTQLHVDGSIIIFLVKERVLIEGNRREIRDFEVLYSRTVGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPHRYILSRWKKDYKRLYVPDHSSGTSDDTDRIQWSNQLFRSALQVVEEGILSLDHYNVALQSLEESLSKVHDVEQRQE >Vigun06g144066.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26989769:26992704:-1 gene:Vigun06g144066.v1.2 transcript:Vigun06g144066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSLCCEQVPDGECIEVQKDEDGALIELDCQNGFPEGRKEFVAPAVGMEFESYDDAYNYYICYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNHLRKETRTGCPAMIRMRLVESQRWRVLEVMLEHNHMLGAKIQRLVKKMGTGMKRKSLPSSDTEGQTIKLYRALVIDAGGDGISNTTAREDRSFCEFSNKLNLRKGDSQAIYNFLCRMQLTNPNFFYLMDFNDEGHLRNVFWVDARSRAACGYFGDVIYFDNSYLSNKYEIQLVTFVGINHHGHSVLLGCGLLASETTESYVWLFRTWIKCMSGCSPQTIITDRCKALQRAIAEVFPRCRHCFGLSLIMKKIPEKLGGLHNYDALRKALIKAVYETLKVIEFEAAWGFMIQHFGVSDHEWLRSLYEDRVHWAPVFLKDTFFAGMSAARPGENITPFFDRYVHKQTPLKEFLDKYELALHKKYKEESFADIESRSSSPLLKTRCSFELQLSRLYTREMFMKFQLEVEEVYSCFGTTQLHVDGSIIIFLVKERVLIEGNRREIRDFEVLYSRTVGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPHRYILSRWKKDYKRLYVPDHSSGTSDDTDRIQWSNQLFRSALQVVEEGILSLDHYNVALQSLEESLSKVHDVEQRQE >Vigun06g144066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26989769:26992688:-1 gene:Vigun06g144066.v1.2 transcript:Vigun06g144066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSLCCEQVPDGECIEVQKDEDGALIELDCQNGFPEGRKEFVAPAVGMEFESYDDAYNYYICYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNHLRKETRTGCPAMIRMRLVESQRWRVLEVMLEHNHMLGAKIQRLVKKMGTGMKRKSLPSSDTEGQTIKLYRALVIDAGGDGISNTTAREDRSFCEFSNKLNLRKGDSQAIYNFLCRMQLTNPNFFYLMDFNDEGHLRNVFWVDARSRAACGYFGDVIYFDNSYLSNKYEIQLVTFVGINHHGHSVLLGCGLLASETTESYVWLFRTWIKCMSGCSPQTIITDRCKALQRAIAEVFPRCRHCFGLSLIMKKIPEKLGGLHNYDALRKALIKAVYETLKVIEFEAAWGFMIQHFGVSDHEWLRSLYEDRVHWAPVFLKDTFFAGMSAARPGENITPFFDRYVHKQTPLKEFLDKYELALHKKYKEESFADIESRSSSPLLKTRCSFELQLSRLYTREMFMKFQLEVEEVYSCFGTTQLHVDGSIIIFLVKERVLIEGNRREIRDFEVLYSRTVGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPHRYILSRWKKDYKRLYVPDHSSGTSDDTDRIQWSNQLFRSALQVVEEGILSLDHYNVALQSLEESLSKVHDVEQRQE >Vigun03g344800.2.v1.2 pep primary_assembly:ASM411807v1:3:54387440:54396510:-1 gene:Vigun03g344800.v1.2 transcript:Vigun03g344800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARIAVHRFRCTYAALSSINSSSSSVTHFQFQCPLQHLTRASLPFHFHSPYSSSVPKQALNDGVENYPSGDFDFKPLTGWKKFLVKLKMLTALPWHRLRYGTVLTIKLRGQVSDQLKSRFSRGLSLPQICDNFIKAAYDPRISGIYLHIDILNCGWAKVEEIRRHIFNFRKSGKFIVAYVPSCREKEYYIASACEEIYAPPSAYFSLFGFTVQAPFFRGVLENLGIEPEVERIGKYKSVGDQLTRRTMSEDHHEMLTSLLDNIYTHWLDKVSAARGKKIEDIENFINKGVYQVETLKEEGFISDIIYEDEVITRLKDRLQVKTNKNLPMVDYRKYSGVRKSTLGLSDGKDLIAIIRASGSIRRIESPLGARSSGIIGEKLIEKIRSVRESNKYKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMGAGVIVAESLTLTGSIGVVTGKLNLGKLYEKIGFNKEIISRGRYAELRAAEQRSFSCAPNVSFLT >Vigun03g344800.1.v1.2 pep primary_assembly:ASM411807v1:3:54387440:54396510:-1 gene:Vigun03g344800.v1.2 transcript:Vigun03g344800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARIAVHRFRCTYAALSSINSSSSSVTHFQFQCPLQHLTRASLPFHFHSPYSSSVPKQALNDGVENYPSGDFDFKPLTGWKKFLVKLKMLTALPWHRLRYGTVLTIKLRGQVSDQLKSRFSRGLSLPQICDNFIKAAYDPRISGIYLHIDILNCGWAKVEEIRRHIFNFRKSGKFIVAYVPSCREKEYYIASACEEIYAPPSAYFSLFGFTVQAPFFRGVLENLGIEPEVERIGKYKSVGDQLTRRTMSEDHHEMLTSLLDNIYTHWLDKVSAARGKKIEDIENFINKGVYQVETLKEEGFISDIIYEDEVITRLKDRLQVKTNKNLPMVDYRKYSGVRKSTLGLSDGKDLIAIIRASGSIRRIESPLGARSSGIIGEKLIEKIRSVRESNKYKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMGAGVIVAESLTLTGSIGVVTGKLNLGKLYEKIGFNKEIISRGRYAELRAAEQRSFRPDEAELFSKSVQHAYKQFRDKAALSRSMTVEKMEEVAQGRVWTGKDAASNGLIDAIGGLSRAVAIAKLKAGIPQDRQVTVVEVTRPSPSLPEILSSLGNSVVGVDRTLNELLQDLTFSHGVQARMDGIVFEKLEGYPYANPILALIKDYLSSL >Vigun03g207900.1.v1.2 pep primary_assembly:ASM411807v1:3:34024226:34027045:1 gene:Vigun03g207900.v1.2 transcript:Vigun03g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLFVDRLVRPLPVVEPLAQHPVQPAPEPSTPVEEAAAGPSGSASAAEDDSVDENALLLPLAECRICQEEDSINNLETPCSCSGSLKYAHRKCVQHWCNEKGDIICEICHKSYEPGYTAPPPRLQPEETTIDIGGGWTISGMPLDLRDPRLLAIAEAERQFLEAEYDGYAASNANGAAFCRSVALILMALLLLRHALSVTDADAEDDPSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSEQRRGLQFAIAPGPTMSTHQ >Vigun03g207900.2.v1.2 pep primary_assembly:ASM411807v1:3:34024226:34027045:1 gene:Vigun03g207900.v1.2 transcript:Vigun03g207900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLFVDRLVRPLPVVEPLAQHPVQPAPEPSTPVEEAAAGPSGSASAAEDDSVDENALLLPLAECRICQEEDSINNLETPCSCSGSLKYAHRKCVQHWCNEKGDIICEICHKSYEPGYTAPPPRLQPEETTIDIGGGWTISGMPLDLRDPRLLAIAEAERQFLEAEYDGYAASNANGAAFCRSVALIACTFCHGC >Vigun07g276700.1.v1.2 pep primary_assembly:ASM411807v1:7:39208407:39211209:1 gene:Vigun07g276700.v1.2 transcript:Vigun07g276700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFWNSPMGPKTTHFWGPVANWGFVAAGLADMKKPPEMISGNMTGAMCIYSALFMRFAWMVQPRNYLLFACHASNETVQLYQFSRWARAEGNLSAEKEETSAQ >Vigun06g037000.1.v1.2 pep primary_assembly:ASM411807v1:6:15252131:15257089:1 gene:Vigun06g037000.v1.2 transcript:Vigun06g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSAMPDEQVVVGEEIDHVRVVTLNRPKQLNAISPELVSLLATYLEKWEKDENAELVIIKGTGRAFCAGGDLRVFYDGRKTKDACLEVVYRYYWLCYHISTYKKTQVALVHGISMGGGAALMVPLKFSVVTEKTVFATPEASFGFHTDCGFSYYHSRLPGHLGEYLALTGGRLSGKEIVAAGLATHFVLSEKIGELEKRLISLNSGDENAIRSVLEEFSSEVILDEESILNKQSTINECFSKDSVEEIIKSLEVEANKEGNRWIGAVVKGMKRSSPTALKITLKSVREGRSQTLAQCLKKDFRLTMNILRTTISEDMYEGIRALTIDKDNSPKWEPPSLDKVEDEKLELIFQPFEQNLELHIPESEESRWDGKYENSAYAVPN >Vigun05g182700.1.v1.2 pep primary_assembly:ASM411807v1:5:35101787:35107778:1 gene:Vigun05g182700.v1.2 transcript:Vigun05g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTKTPTFIHEIESLVSDELQVVSYKWLSRNYMVSANEAKRVLQEFVQKHEGELEVVYALSGWLKSKDPTDHQTRLVTGPKLAEAQQEFDGDCSVQVYSVQASMPKDKALLWNAEFVQAEELFKQPSTVDNCLRDNRFCEITNSFVRRKVDGSRVVSAAPQSKSAVGEGPTKTNTVQKSPKSIARDSIDKVDAKPQNVIQEVKSESNGIGNTVALDNINKPTTEKEKALPLPTGKKKVPADKSGSVARGSLASFWSCASAKPKPCTSSVENNNTVSNPAVSTENAQTACEEECDSGIDDNQNVSVRRSSSRKRRVVFDFSDEDEDDDDVVNLASPDFPNKQSSEDSSQDDKNTSEKTTLNFDLQEENKSRVKEERETDQKAHLPLGGNVSAISKCTNTGKSSSEKLESCAPEARVNKGSVNNAAPCSPKRRKVMKTRIDERGREVVEVVWEGEETEVKKPDKVTTKNTDSKTNAANSAPATKKPPANSHANNGKGGNKKAGNKDPKQGNLLSFFKKV >Vigun05g182700.2.v1.2 pep primary_assembly:ASM411807v1:5:35101787:35106592:1 gene:Vigun05g182700.v1.2 transcript:Vigun05g182700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTKTPTFIHEIESLVSDELQVVSYKWLSRNYMVSANEAKRVLQEFVQKHEGELEVVYALSGWLKSKDPTDHQTRLVTGPKLAEAQQEFDGDCSVQVYSVQASMPKDKALLWNAEFVQAEELFKQPSTVDNCLRDNRFCEITNSFVRRKVDGSRVVSAAPQSKSAVGEGPTKTNTVQKSPKSIARDSIDKVDAKPQNVIQEVKSESNGIGNTVALDNINKPTTEKEKALPLPTGKKKVPADKSGSVARGSLASFWSCASAKPKPCTSSVENNNTVSNPAVSTENAQTACEEECDSGIDDNQNVSVRRSSSRKRRVVFDFSDEDEDDDDVVNLASPDFPNKQSSEDSSQDDKNTSEKTTLNFDLQEENKSRVKEERETDQKAHLPLGGNVSAISKCTNTGKSSSEKLESCAPEARVNKGSVNNAAPCSPKRRKVMKTRIDERGREVVEVVWEGEETEVKKPDKVTTKNTDSKTNAANRFVPIFLMLHAFEMNDWNLPILQWLK >Vigun10g097400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28549400:28554211:1 gene:Vigun10g097400.v1.2 transcript:Vigun10g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPETFTTRKRRPGASFNTSKLSDLNLLFSLLQLTDQICSLDLNSAANFLFNRASCSAIRKTQLLSVVFEDLIRSSTANPDSVVVPHSLFLCLEEMYIVLHKIKTLIEDFSSGSRFNLLMQIEIVADTFHRLTGELSTLLDVFPIQELTLNDDVRDLVLLVRKQCSESRPVIGTEQINLRREVVSVLDRIKNEIVPDQAHLSSIFEKLEIRDASSCRAEIENLEEEIQNRSEEQSKADLVALIGLVRFAKCVLFGASTPSTRSVSLRRSRSSEFAVPADYRCPISLELMQDPVVVATGQTYDRVSIKLWMDSGHNTCPKTGQTLSHTDLIPNRVLRNMIATWCREQKIPFEVETVTGKLNGGVTNKAALEATRMTVSFLVNKLRENDNAPLSVEDTNGAVYELRVLAKTDSDSRACIAEAGAIPLLVRFLDVGMENPSLQVNAVTTILNLSILEANKTRIMETDGALNGVAEVLLSGATWEAKANAAATVFSLSGVPAHRKRLGRKTRVVSGLVSLARSGPEGARRDALAAILNLAADRETVARLVEGGAVSMAAEVMAAMPEEGVTILEAVVKRGGLVAVAAAYAGIKRLGAVLREGSERARESAAATLVTMCRKGGSEIVAELAAVPGVERVIWELMAVGSVRGRRKAATLLRILRRWAAGLDGGENEGMSTTTMVAPSTTTLVP >Vigun06g104200.1.v1.2 pep primary_assembly:ASM411807v1:6:23376674:23378643:1 gene:Vigun06g104200.v1.2 transcript:Vigun06g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSEGERGMALEAVVYPQPQDPFGSAIKDLYSYNNLLEAAAAANWGYADFTLQKEDQASVTFCDNQTENYSYGEWNSSPPSLLPHTMDASNPPSSETSNAQNNLDSSVSTPARTKRRRTKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRALMPESYVQRGDQASIIGGAINFVKELEQRLQFLGAQKEKEGKAEVPFSEFFSFPQYSTSASGGCDNSAAAMSEQKGEVQSAIADIEVTMVETHANLKIRSKKRPKQLLKIVSSLHGMRLTILHLNVTTTEEIVLYSLNVKVEEDCKLGSVDEIAAAVYQMLNRIQQE >Vigun06g104200.2.v1.2 pep primary_assembly:ASM411807v1:6:23376691:23378597:1 gene:Vigun06g104200.v1.2 transcript:Vigun06g104200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSEGERGMALEAVVYPQPQDPFGSAIKDLYSYNNLLEAAAAANWGYADFTLQKEDQASVTFCDNQTENYSYGEWNSSPPSLLPHTMDASNPPSSETSNAQNNLDSSVSTPARTKRRRTKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRALMPESYVQRGDQASIIGGAINFVKELEQRLQFLGAQKEKEGKAEVPFSEFFSFPQYSTSASGGCDNSAAAMSEQKGEVQSAIADIEVTMVETHANLKIRSKKRPKQLLKIVSSLHGMRLTILHLNVTTTEEIVLYSLNVKVEEDCKLGSVDEIAAAVYQMLNRIQQE >Vigun07g104500.1.v1.2 pep primary_assembly:ASM411807v1:7:19116052:19120400:1 gene:Vigun07g104500.v1.2 transcript:Vigun07g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPSISNMFFPFPKTRQLTFSLPYSKLNTPLTRTQNSIKLGTRENSSTSTVREQEHEEATTHTHNYKPKLEEHLKRLPEAWRQIQGEDNWAGLLEPMDPLMRMEMIRYGEMAQACYDAFDFDPFSKYCGSCRFTAHNFFSSLEMPRVGYTVTRYLYATANINLPNFFKHSRWSKMWSKHANWAGYVAVSDNAMTTRLGRRDIVIAWRGTVTCLEWVADLMDFLKPISSNGIPCPDETVKVESGFLDLYTDKEESCGYCKFSAREQVLTEVKRLLEIYSDEEVSITITGHSLGSALAILSAYDIVETGVNVMRDSRGVAVTVMSFSGPRVGNVRFKERLERLGVKVLRVVNVHDVVPKAPGVLFNEHLPAAVMKVAEGLPWSYSHVGVELALDHRKSPFLNPNADAVCAHNLEALLHLLDGYHGKGERFVLASGRDPALVNKGCDFLKDHHMIPPNWRQAANKGMIRTNDGRWIQPERPNLDVHPQDMHHHLTQLGLTSSDA >Vigun01g167200.2.v1.2 pep primary_assembly:ASM411807v1:1:34908488:34910806:-1 gene:Vigun01g167200.v1.2 transcript:Vigun01g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSKHEVLKPVILKAGVPLAVSFAGFVYAWFVAKKSLSKTSSLSPNGAGSHENNSHHDPSYEESCCHSDSLSCMEDEGNSTTLDESVVAESSLIPDMPCLEEEINGLRSRIEGMQMKELALRFQFDRYCWLKEQETVVGEIKTMLSLETARVDFLDREISSMETQNKRLESFVAQYLRVVEQIERWKSENRMLRRKFQKLMRKSKAQTRVAKEQALKIKMDEEEILRSRDALETKVDVIGKLEDKMEELQRDLDQLHDEKNELLKKLDTAEKSHASEIEAGDVSREEYKQVVDELEQMKKDRADEAKELIYLRWTNACLRHDLMRPHEQQHDQDKSHSELEFERNDVVIHYDSEHELHNSVLEHHSDPSFDEHHNSDSGCSKRKKLVERLKRWVEGSEKGRIRHSVSKGTDENVVPRRKSCSSA >Vigun01g167200.1.v1.2 pep primary_assembly:ASM411807v1:1:34908463:34910806:-1 gene:Vigun01g167200.v1.2 transcript:Vigun01g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSKHEVLKPVILKAGVPLAVSFAGFVYAWFVAKKSLSKTSSLSPNGAGSHENNSHHDPSYEESCCHSDSLSCMEDEGNSTTLDESVVAESSLIPDMPCLEEEINGLRSRIEGMQMKELALRFQFDRYCWLKEQETVVGEIKTMLSLETARVDFLDREISSMETQNKRLESFVAQYLRVVEQIERWKSENRMLRRKFQKLMRKSKAQTRVAKEQALKIKMDEEEILRSRDALETKVDVIGKLEDKMEELQRDLDQLHDEKNELLKKLDTAEKSHASEIEAGDVSREEYKQVVDELEQMKKDRADEAKELIYLRWTNACLRHDLMRPHEQQHDQDKSHSELEFERNDVVIHYDSEHELHNSVLEHHSDPSFDEHHNSDSGCSKRKKLVERLKRWVEGSEKGRIRHSVSKGTDENVVPRRKSCSSA >Vigun03g056500.1.v1.2 pep primary_assembly:ASM411807v1:3:4594444:4594825:-1 gene:Vigun03g056500.v1.2 transcript:Vigun03g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKMQRFTMFLVFVVLVADVTVNRTEAKECWSPSSSFKGLCVNNNDCAIVCRTEGATSGKCKGFLLRCHCVRPC >Vigun03g173400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21240949:21241149:-1 gene:Vigun03g173400.v1.2 transcript:Vigun03g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLRFIFLVLILSAGSESRPLKPRIVRDVRGISNWTPILPIAEEGGQRDAQRLSPGGPDPHHH >Vigun07g018200.2.v1.2 pep primary_assembly:ASM411807v1:7:1503090:1504500:1 gene:Vigun07g018200.v1.2 transcript:Vigun07g018200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKADYDVEVSGVEISPDPIARGQPATFSIAANTGKALSGGKLVIDVSYFGWHIHSETHDLCGETTCPVSIGDFVIAHSQVLPGFTPPGSYTLKMKMFDGNKHELTCITFGFDIGFGSSVADM >Vigun07g018200.1.v1.2 pep primary_assembly:ASM411807v1:7:1502445:1504500:1 gene:Vigun07g018200.v1.2 transcript:Vigun07g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSSKFFFFSTLCLLCAFTNAADIRYCDKKADYDVEVSGVEISPDPIARGQPATFSIAANTGKALSGGKLVIDVSYFGWHIHSETHDLCGETTCPVSIGDFVIAHSQVLPGFTPPGSYTLKMKMFDGNKHELTCITFGFDIGFGSSVADM >Vigun03g040900.1.v1.2 pep primary_assembly:ASM411807v1:3:3152783:3154405:-1 gene:Vigun03g040900.v1.2 transcript:Vigun03g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFACLRDWDLEAIVRGSSGEATAMDDPNPDFSYFFSDQDELLDSFPEFSETTRVLDDLEDLYKPFYPVLHPLSPHTIVTTSLSIPIEPQQVKELKASEDKVALQGLKTPPTPKCKKGKKNQNKSVVKQVTTAEGLDDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERSHLDPAVFLVTYTAEHSHPHPTRRNSLAGTTRKNNSLIPPPTTRNHNTTCSSRTPLMVQSIEEKLVTSVQQSMDLKKEEDFLEWFDDDGAQFGDAWIPTSDLEKLIGLECQHFALDGGFTDGYAHS >Vigun03g010400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:718093:721865:-1 gene:Vigun03g010400.v1.2 transcript:Vigun03g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQSATRRILHNPNTVVSACHAKQLHAQIVKARATPHSHSLAWVCIIKCYTAYGLLRHSLATFNLMRASGVSPDRHVFPSLLKASTLLKHFPLAQSLHASAIRLGFHFDLYTANALMNVYSKFHPHLSANHVLDQFPQTQHYHNNYNNRNNVNNNFTVKIDSVRKVFDRMPVRDVVSWNTVIAGNAQNGMYEEALDLVREMGRQNLRPDSFTLSSVLPIFAEHVDVIKGKEIHGYAVRHGFDKDVFIGSSLIDMYAKCTHVELSVRAFYLLSNRDAISWNSIIAGCVQNGRFDEGLGFFRLMLKKKVKPMQVSFSSVVPACAHLTALNLGKQLHGYIIRLGFDGNKFIASSLVDMYAKCGNIKMARYIFDRIEMRERDMVSWTAIIMGCAMHGHALDAVSLFEMMLLDGVKPCYVSFIGVLTACNHSGLVDEGWRYFNSMQSDFGIAPGLEHYAAVADLLGRAGRLEEAYDFISNMAVEPTGSVWSALLAACRAHKNIELAEKVVDKILLVDPENMGAYVMMSNIYSAAQRWRDAAKLRVHVRKKGLKKTPGCSWIEVGNKVHTFLAGDESHPYYDKINEALKILLEQMEKEGYVLDTKEVLHDVDEEHKRDLLRTHSERLAIAFGIISTTAGTTIRVIKNIRVCVDCHTAIKFMAKIVGREIIVRDNSRFHHFRNGSCSCGDYW >Vigun02g200900.1.v1.2 pep primary_assembly:ASM411807v1:2:33579314:33586046:1 gene:Vigun02g200900.v1.2 transcript:Vigun02g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHCQLNHNLNFPLIQDQTLRCHESNQNPQTDSANTTSFLNTCLNGLNALSDED >Vigun06g039400.9.v1.2 pep primary_assembly:ASM411807v1:6:15838253:15854713:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.3.v1.2 pep primary_assembly:ASM411807v1:6:15830366:15854700:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.4.v1.2 pep primary_assembly:ASM411807v1:6:15830366:15854700:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.10.v1.2 pep primary_assembly:ASM411807v1:6:15838253:15854713:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.1.v1.2 pep primary_assembly:ASM411807v1:6:15830364:15854712:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.7.v1.2 pep primary_assembly:ASM411807v1:6:15830495:15854701:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIWTFLGSSNNNTYCTEDGINGKTKIWHRLSAHVSYSEGPSLPWLFVSHDCIICGVWTHHIRFVCCHYCLHALWMGHYSDCTSMQGMLERS >Vigun06g039400.5.v1.2 pep primary_assembly:ASM411807v1:6:15830495:15854700:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.8.v1.2 pep primary_assembly:ASM411807v1:6:15830495:15854701:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIWTFLGSSNNNTYCTEDGINGKTKIWHRLSAHVSYSEGPSLPWLFVSHDCIICGVWTHHIRFVCCHYCLHALWMGHYSDCTSMQGMLERS >Vigun06g039400.2.v1.2 pep primary_assembly:ASM411807v1:6:15830364:15854712:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun06g039400.6.v1.2 pep primary_assembly:ASM411807v1:6:15830495:15854700:1 gene:Vigun06g039400.v1.2 transcript:Vigun06g039400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKGPFEIGRQPSKRMVRAPTRNVDLGNEEGVVDSEIVPSSLAVLVPILRAALEIEEENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTERLVQRSDARELQTYYQQFYEKKIRDGEFSQRPEEMAKNVQIATVLYEVLKTMVAPQNIEEKTKRYAEDVEHKRGQYEHYNILPLYAVGVKPAIMELPEIKAAISALCKVDNLPMPIIRARPDASNEDSTMPTERLKKVNDILDWIASVFGFQKGNVANQREHLILLLANLNIRDRVESSSQLHVETVEKLMGTIFKNYESWCHYVRCESNLRFLEDYDLQQIELIYIALYLLIWGEASNIRFMPECICYIFHHMCHEVYRILDKNPSRVTGSTEIVEGRDDEYFLREVISPIYQVLMKEAKRNNKGKASHSNWRNYDDLNEYFWSKKCFDDLKWPWDPKADFFRHSDETQTRHRRRSQANTGVGKRKPKTNFVEVRTFLHLYRSFDRMWIFFILALQAMIIIGWSSLGPVGVFFEEDVFRNVMTIFITYAFLNFLQVTLDIILTWNALKNMKFTQLLRYFLKFVVAAVWVVVLPVCYSSSLVNPSGLIKFVTSWAGDWGNQSLYTYVVVLYMLPNIAATILFFLPPLRRKLERSNMRILTFLMWWAQPKLYVGRGMHENMFSLLKYTLFWIMLLISKLAFSYYVEILPLIGPTKLIMGMSIDNYQWHEFFPENETHNICIVIAIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPVAFSQRFWTGRDRKTKQEESDETYERNNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSASYVSVIQWPPFLLASKIPIAVDMAKDYKKETDDDLFRKIKNDGYMYSAVVECYETLKDIILNLLLEEEDRLVVSSICGKVEQCILDETFVKEFNMSGLPSLSEKLEKFLTLLRSEDGKLESQIVNVLQDIVEIIIQDVMVDGHLFLQTPQQYHLERGQRFVSIDTSFTHNRAVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYFKEDVLYSDEELNKENEDGISILFYLTKIYPDEWANFHERLKSEDIEEDKEELMRQWASYRGQTLYRTVRGMMYYWQALILQCFIESAGDNALSEGFRTMYSYDTNKKLLEDAQAMADLKFTYVVSCQVYGSQKKSKNTRDRSCYNNILNLMLMYSALRVAYIDETEETKDGKSQKVYYSVLVKGGDKYDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFRKRRSGRRKPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGFNSTLRQGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQSLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREILQSPNIHQSKALEEALATQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFAENYRMYSRSHFVKGLEILILLIVYEVYGESYRSSHLYLFITISMWFLATSWLFAPFLFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISADKSWESWWDEENEHMKHSNIRGKILEIILAFRFFMYQYGIVYHMDITHHHKELLVFGLSWAVLIIILIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAIIAFMPSGWAIILIAQACKVCLKGAKLWDSVKELSRAYEYVMGLIIFLPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKSD >Vigun02g178400.1.v1.2 pep primary_assembly:ASM411807v1:2:31962756:31965429:-1 gene:Vigun02g178400.v1.2 transcript:Vigun02g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENQPQLLSKIATGNGHGEDSPYFDGWRAYQDDPFHPIKNPNGVIQMGLAENQLASELVQHWLANNPGASICTREGVRDFKAIANFQDYHGLPEFRNAVAKFMGRTRGNTVTFDPERIVMSSGATGAHEVTMFCLADPGDAFLVPTPYYAGFDRDLRWRTGVQLVPVICESSNDFKLTRKALDEAYEKAKEDNIRIKGLLITNPSNPLGTVMNRQTLRTVLGFINEKHIHLVSDEIYAGTVFCYPGFTSIAEVVEEDKDMECDRDLIHIVYSLSKDMGFPGFRVGIIYSYNDAVVSCARKMSSFGLVSTQTQYLMASMLSDDEFVKGFLEESAKRLAQRYGVLCRGLEQVGIKCLASNGGLFVWMDLRGLLKKATFEAEMELWRVIIHQVKINISPGSSFHCSEPGWFRVCYANMDDRAVEVSLARMRSFVRQNTETKKKSCSYRKLSLSFSSRRLDEFLVSPHSPFPQSPLVKAST >Vigun11g087600.1.v1.2 pep primary_assembly:ASM411807v1:11:26206642:26212354:1 gene:Vigun11g087600.v1.2 transcript:Vigun11g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLYRLCNASFSPDGPVSEEVIEKVREKLERIKPSDVGLEQEAQLVRNWPGPALERNGSHQSLPPIKYLHLHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSKVLYGSMHVKSYDWIDASGSTDQSEVRPAKLVKDTDMTAPTPTTVLYPTSGGNIHCFRAITPCAIFDILSPPYSSEHARHCTYFRRSQRRDLPVNIELDGVTVSEVTWLEEFQPPDDFVIRRGLYRGPVIRT >Vigun11g087600.2.v1.2 pep primary_assembly:ASM411807v1:11:26206642:26212354:1 gene:Vigun11g087600.v1.2 transcript:Vigun11g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLYRLCNASFSPDGPVSEEVIEKVREKLERIKPSDVGLEQEAQLVRNWPGPALERNGSHQSLPPIKYLHLHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSKVLYGSMHVKSYDWIDASGSTDQSEVRPAKLVKDTDMTAPTPTTVLYPTSGGNIHCFRAITPCAIFDILSPPYSSEHARHCTYFRRSQRRDLPVNIELDGVTVSEVTWLEEFQPPDDFVIRRGLYRGPVIRT >Vigun03g025100.1.v1.2 pep primary_assembly:ASM411807v1:3:1871978:1875569:-1 gene:Vigun03g025100.v1.2 transcript:Vigun03g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSTPFFFFFFHESWVGLAGKHPKTRTSSKSEETMGRRKIEIAAVKDPNTRQVTFSKRRTGLFKKANELSILCGAEIAIVVFSIGNRPYSFGHPGVDAVAAKFLRHETKSNDVKQNNVEVGDISRLNQQLLDIEAQILVEEKKGLEHDQILKQHQLSQPSQFKQLQDSYLELQRRVKDYTDAIEVSECLILLAQQPVVEITKQVKKRRKN >Vigun03g025100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1871865:1875569:-1 gene:Vigun03g025100.v1.2 transcript:Vigun03g025100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIAAVKDPNTRQVTFSKRRTGLFKKANELSILCGAEIAIVVFSIGNRPYSFGHPGVDAVAAKFLRHETKSNDVKQNNVEVGDISRLNQQLLDIEAQILVEEKKGLEHDQILKQHQLSQPSQFKQLQDSYLELQRRVKDYTDAIEVSECLILLAQQPVVEITKQVKKRRKN >Vigun03g025100.3.v1.2 pep primary_assembly:ASM411807v1:3:1871978:1875958:-1 gene:Vigun03g025100.v1.2 transcript:Vigun03g025100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSTPFFFFFFHESWVGLAGKHPKTRTSSKSEETMGRRKIEIAAVKDPNTRQVTFSKRRTGLFKKANELSILCGAEIAIVVFSIGNRPYSFGHPGVDAVAAKFLRHETKSNDVKQNNVEVGDISRLNQQLLDIEAQILVEEKKGLEHDQILKQHQLSQPSQFKQLQDSYLELQRRVKDYTDAIEVSECLILLAQQPVVEITKQVKKRRKN >Vigun03g025100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1871865:1875958:-1 gene:Vigun03g025100.v1.2 transcript:Vigun03g025100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIAAVKDPNTRQVTFSKRRTGLFKKANELSILCGAEIAIVVFSIGNRPYSFGHPGVDAVAAKFLRHETKSNDVKQNNVEVGDISRLNQQLLDIEAQILVEEKKGLEHDQILKQHQLSQPSQFKQLQDSYLELQRRVKDYTDAIEVSECLILLAQQPVVEITKQVKKRRKN >Vigun06g230850.1.v1.2 pep primary_assembly:ASM411807v1:6:33736150:33736941:1 gene:Vigun06g230850.v1.2 transcript:Vigun06g230850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGLSAMFVLLCVLVLLSEMSHATTYVVGDDKVFNYNPSFDDVTVVDLNGYMSCDSSKA >Vigun10g134950.1.v1.2 pep primary_assembly:ASM411807v1:10:34574042:34574422:-1 gene:Vigun10g134950.v1.2 transcript:Vigun10g134950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSCPCHFTGFSSVDCLRFRIVTSNILDHPITIKQQLRTSKKWSTWSCED >Vigun05g269400.9.v1.2 pep primary_assembly:ASM411807v1:5:46072109:46081642:-1 gene:Vigun05g269400.v1.2 transcript:Vigun05g269400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTKSMESEEWGTPTNNLWVGNLPPDVADSDLMELFAPYGSLDTLISYSSRTFAFVLFGRVEEAKAAKTNLQGASLRGFQIRIEFAIPARPCKQLWVGGVSHNVAMEDLEAEFRKFGKIEDFKFFRDRRTACVEFLNLDDATRAMKVMNGKRLGGGHICVDFLRSQSMNRDFFVDQGQFQARPQHLQPSMGRNSPPSNILWIGFPPSFQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSIDEARRAKEGLQGRLFNDPRIMIMYSSSDTTPGKDYPGFYPGSKGPLPDGLVNELPFRPPQTDVFGQNRPIVPNNFPGQLPPGGISGPNVSLRPFVPQGLEPLNNGPDFNEMSTLHKFQDGSSKMGPSWKRPSPPAPGMLSSPMPGIRPSSGPWDVLDANQFSRDSKRSRIDDALLIGDASFPLRNIDDRGLRLEQQFAIDPITDGGGSGPKSHLGPVGTRITSGVPGSVQSDIDHIWRGVIAKGGTPVCRARCVPIGKGIGTEIPDVVDCAARTGLDMLTKHYADAIGFEIVFFLPDSEEDFASYTEFLRYLKAKNRAGVAKFIDNTTLFLVPPSDFLTKVLKVTGPERLYGVVLKFPLVPSSTSMQQAMHFPSPSTQYVQQIPPSQPEYGSISVKEQPILPMEYNRLLHDDSKRLPKPHHLATSVTPPAHSVPPDYSSTYTASASQAGVTLTPELIATLTSFLPSTIQSSTAGGTMTVVGPSTMKPPFPSVASNDGSQSHLWKQDQQTTDQPSYPPQQFGSINNAQYHPYPPASSTGHPAQVVSGSAHFHDTASSLQQLGAVSTSTSLSNFIIPPQNGQEAVPPQVSQQYQVEVPHSNEKGYGVVQGTDPSALYSSKAFQQPNNLIHSSNQVSNAASQQHMNSEPPNQQLQAAGQGISELEADKNQRYHSTLQFAANLLFQIQQQQTQGEHGPGNQQ >Vigun08g158300.2.v1.2 pep primary_assembly:ASM411807v1:8:33074463:33080603:1 gene:Vigun08g158300.v1.2 transcript:Vigun08g158300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLAAPSAFRGNLLPPLSRCTSCFEFDLRFHRSHGFPHSRCRFTSFKLFRNPRSSRRRGIACSVTEPQNGGDETEKEAYKNGETLPSEDSSVQSTSAPVDGEQLNEFSDANKDQNDVQSLDSDEVASGSPLPGVKDNFGDEYKLFLLVNPEDDKPVAVVVPRTTLQPETTAVPEWFAAGAFGLVTVFTLLIRNVPALQSDLLSSFDNLNLLKDGLPGALVTALILGVHELGHFLAAKDTGVKLGVPYFVPSWQIGSFGAITRIRNIVPNREDLLKVAAAGPLAGYFLGLLLLLIGFVLPPSDGLGVVVDASVFHESFLAGGIAKLLLGNVLKEGTAISINPLVIWAWAGLLINAINSIPAGELDGGRISFALWGRKASLRFTGVSIALLGLSSLVNDVAFYWVVLIFFLQRGPIAPLSEEITDPDEKYVAIGITVLLLGLLVCLPYPFPFTDETLTSF >Vigun08g158300.3.v1.2 pep primary_assembly:ASM411807v1:8:33074463:33080603:1 gene:Vigun08g158300.v1.2 transcript:Vigun08g158300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDCEGEEKEAYKNGETLPSEDSSVQSTSAPVDGEQLNEFSDANKDQNDVQSLDSDEVASGSPLPGVKPQKLDEAIKIPKETIEILRNQVFGFDTFFVTSQDPYEGGVLFKGNLRGQAAKSYDKISKRLKDNFGDEYKLFLLVNPEDDKPVAVVVPRTTLQPETTAVPEWFAAGAFGLVTVFTLLIRNVPALQSDLLSSFDNLNLLKDGLPGALVTALILGVHELGHFLAAKDTGVKLGVPYFVPSWQIGSFGAITRIRNIVPNREDLLKVAAAGPLAGYFLGLLLLLIGFVLPPSDGLGVVVDASVFHESFLAGGIAKLLLGNVLKEGTAISINPLVIWAWAGLLINAINSIPAGELDGGRISFALWGRKASLRFTGVSIALLGLSSLVNDVAFYWVVLIFFLQRGPIAPLSEEITDPDEKYVAIGITVLLLGLLVCLPYPFPFTDETLTSF >Vigun08g158300.1.v1.2 pep primary_assembly:ASM411807v1:8:33074463:33080603:1 gene:Vigun08g158300.v1.2 transcript:Vigun08g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLAAPSAFRGNLLPPLSRCTSCFEFDLRFHRSHGFPHSRCRFTSFKLFRNPRSSRRRGIACSVTEPQNGGDETEKEAYKNGETLPSEDSSVQSTSAPVDGEQLNEFSDANKDQNDVQSLDSDEVASGSPLPGVKPQKLDEAIKIPKETIEILRNQVFGFDTFFVTSQDPYEGGVLFKGNLRGQAAKSYDKISKRLKDNFGDEYKLFLLVNPEDDKPVAVVVPRTTLQPETTAVPEWFAAGAFGLVTVFTLLIRNVPALQSDLLSSFDNLNLLKDGLPGALVTALILGVHELGHFLAAKDTGVKLGVPYFVPSWQIGSFGAITRIRNIVPNREDLLKVAAAGPLAGYFLGLLLLLIGFVLPPSDGLGVVVDASVFHESFLAGGIAKLLLGNVLKEGTAISINPLVIWAWAGLLINAINSIPAGELDGGRISFALWGRKASLRFTGVSIALLGLSSLVNDVAFYWVVLIFFLQRGPIAPLSEEITDPDEKYVAIGITVLLLGLLVCLPYPFPFTDETLTSF >Vigun09g079500.1.v1.2 pep primary_assembly:ASM411807v1:9:9364348:9368476:1 gene:Vigun09g079500.v1.2 transcript:Vigun09g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLSISFFFCCFLLLLDSSISLPLCVDSRAPLTLNQTLEFCPYNGSSCCNSTEDAQIEKQFQVNNVSDPACASVLKSILCARCDPFSAELFTVQSSARSVPVLCNSTVPANSSQSKTQVEDFCSEVWNTCKDVPIVNSPFSPSLQGQAGAPAHANATKLTDLWQSKTDFCTSFGGASDNSSVCFEGEPVSLNKTETSITPPHGLCLEKIGNGSYLNMVPHPDGSNRAFFSNQMGKVWLATLPEEGSGGTYELDESSPFVDLTDQVYVDTQFGMMGMAFHPDFAKNGRFFASFTCDKSKWSGCNGRCSCNSDVNCDPSKLETDHGAQPCQYQTVIAEYSANSTGSQPSSVASAKPTEVRRIFTMGLPFSSQHGGQILFGPDDGYLYLMMGYGGGQGDPYNFAQNKKSLLGKIMRFDIDNIPSAAEISRLGLWGNYSIPNDNPFSEDNDLQPEIWALGMRNPWRCSFDAERPSYFLCADVGQDLYEEVDLITKGGNYGWRVYEGPYLFQPTQSPGGNTSVNSINPIFPVMGYNHSELNKNEGSASITGGYVYRSTTDPCMTGRYLYGDLYAGAIWAATENPENSGNFSTSRISFSCARDSPIQCQSSPGNSLPALGYIFSFGEDNNKDVYVLASTGVYRVVRPSRCSYACSQEKATTTTTPTPSPSPSHASRWSVFSQYLLLQCSFLLLILLDFM >Vigun09g079500.2.v1.2 pep primary_assembly:ASM411807v1:9:9365375:9368476:1 gene:Vigun09g079500.v1.2 transcript:Vigun09g079500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKNQPITPIFQRCDPFSAELFTVQSSARSVPVLCNSTVPANSSQSKTQVEDFCSEVWNTCKDVPIVNSPFSPSLQGQAGAPAHANATKLTDLWQSKTDFCTSFGGASDNSSVCFEGEPVSLNKTETSITPPHGLCLEKIGNGSYLNMVPHPDGSNRAFFSNQMGKVWLATLPEEGSGGTYELDESSPFVDLTDQVYVDTQFGMMGMAFHPDFAKNGRFFASFTCDKSKWSGCNGRCSCNSDVNCDPSKLETDHGAQPCQYQTVIAEYSANSTGSQPSSVASAKPTEVRRIFTMGLPFSSQHGGQILFGPDDGYLYLMMGYGGGQGDPYNFAQNKKSLLGKIMRFDIDNIPSAAEISRLGLWGNYSIPNDNPFSEDNDLQPEIWALGMRNPWRCSFDAERPSYFLCADVGQDLYEEVDLITKGGNYGWRVYEGPYLFQPTQSPGGNTSVNSINPIFPVMGYNHSELNKNEGSASITGGYVYRSTTDPCMTGRYLYGDLYAGAIWAATENPENSGNFSTSRISFSCARDSPIQCQSSPGNSLPALGYIFSFGEDNNKDVYVLASTGVYRVVRPSRCSYACSQEKATTTTTPTPSPSPSHASRWSVFSQYLLLQCSFLLLILLDFM >Vigun03g103100.1.v1.2 pep primary_assembly:ASM411807v1:3:8856536:8860798:-1 gene:Vigun03g103100.v1.2 transcript:Vigun03g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGQENKIVEVKGLEFYSRLFHGSMDLVTMNTMGNSYSASNIRLEGKHYYSILAPCDATLILSDNRLEKLDGNTPQYSVTAELSGLISLDEVQLQHMCLVCDYICTCRLREKYGRFRPWHCLLPRKCEGWQIFWWHYAQQSVLSYVRRKLKKTSWRYFGDRLCFRRKYMNLYKIKLDFLQQEQVASFPSFFENILMGTKIYVYNVTKIYFLVS >Vigun09g192300.1.v1.2 pep primary_assembly:ASM411807v1:9:36707718:36712648:1 gene:Vigun09g192300.v1.2 transcript:Vigun09g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCGCVFYEESNKEMRALLQLQALTTRLSLSSSSSTFFRAKTLWLNPNRACTQNSQVRRIWFSAPLCMGRRSSKIAGRKEAQDAKKAKLYSRIGKEVVSAVKRGGPNVTSNSVLAAVLEKAKELDVPKDIVERNIKRASEKGQEAYLEKVYEVYGYGGVSMVVEVSTDKVHRSVAKIREVIKDYGGKMADSGSVTFKFRRVRVVNIKATNADKDQLLSIALDAGAEDVIEPPTYEDDTEEDKSERYYKIVGSSENYSSILSKLREEGIDFEPDNGSELLPDTAVEVDDEAMDLNKQLMSKLLELDDVDAVYTDQK >Vigun01g022500.1.v1.2 pep primary_assembly:ASM411807v1:1:2383259:2384887:-1 gene:Vigun01g022500.v1.2 transcript:Vigun01g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQVQKLETNVYVAVAPEQVFDVFCNKTYSIAKVFPSKVRSIAINEGEWGTEGSIISWNYVHDGDNCVAKEVVGDIDREKYKVTFKVIEGDLLKKYDSFKFVMQFIPKEKGCVTKLVLEYEKQNDDTPDPLTLLQFGNEVIKRVAASLSKDKI >Vigun05g118900.1.v1.2 pep primary_assembly:ASM411807v1:5:12886663:12890093:-1 gene:Vigun05g118900.v1.2 transcript:Vigun05g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACWSNRIKAVSPSNTGITSRSVSRSGHDISSTSRNSSASISVTSRSEGEILQSSNLKSFSYNELRVATRNFRPDSVLGEGGFGSVFKGWIDEHSLTATKPGIGMIVAVKKLNQDGFQGHREWLAEINYLGQLQHPNLVKLIGYCFEDEHRLLVYEFMPKGSMENHLFRRGSYFQPFSWSLRMKIALGAAKGLAFLHSTEPKVIYRDFKTSNILLDTHYNAKLSDFGLARDGPTGDKSHVSTRVMGTRGYAAPEYLATGHLTAKSDVYSFGVVLLEMISGRRAIDKNQPSGEHNLVEWAKPYLSNKRRVFRIMDPRLEGQYSHARAQAAAALAMQCLSMESRCRPNMDEVVKALEQLQESKDMQRKGGDHKHHHVRNSGQGRSTGTAGGADGPRTPSAYPRPTASLLGG >Vigun07g191800.1.v1.2 pep primary_assembly:ASM411807v1:7:31016284:31018758:1 gene:Vigun07g191800.v1.2 transcript:Vigun07g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSSHLLLFSAVHRRTPPPCTTLFRWNKPTIIDGTVRFLRSPVMPGVVLDQRVSRFVVPAAAEDLLYNAGATVGVLGGAYALVRAFDELTRRNILHQGLSRKLVHILSGLLFLVSWPIFSNSPKARYFAAFVPLVNCLRLLVNGLSLASDEGLIKSLTREGDPQELLRGPLYYVLILIFCALVFWRESPVGVVSLGMMCGGDGVADIIGRKYGSMKIPYNQNKSWAGSISMLVFGFLVSIGILCYYSVLGHVQLDWASTVPRVAFISFVATIVESLPITEIVDDNISVPLVTMAVAFLTFH >Vigun07g191800.2.v1.2 pep primary_assembly:ASM411807v1:7:31016284:31018758:1 gene:Vigun07g191800.v1.2 transcript:Vigun07g191800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSSHLLLFSAVHRRTPPPCTTLFRWNKPTIIDGTVRFLRSPVMPGVVLDQRVSRFVVPAAAEDLLYNAGATVGVLGGAYALVRAFDELTRRNILHQGLSRKLVHILSGLLFLVSWPIFSNSPKARYFAAFVPLVNCLRLLVNGLSLASDEGLIKSLTREGDPQELLRGPLYYVLILIFCALVFWRESPVGVVSLGMMCGGDADIIGRKYGSMKIPYNQNKSWAGSISMLVFGFLVSIGILCYYSVLGHVQLDWASTVPRVAFISFVATIVESLPITEIVDDNISVPLVTMAVAFLTFH >Vigun05g140300.1.v1.2 pep primary_assembly:ASM411807v1:5:17248827:17251261:1 gene:Vigun05g140300.v1.2 transcript:Vigun05g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFAHPFFLLLLALVSPAASLSRRTNATTIYEVLSDYGLPMGLFPKGVKDFGLAHDGSFWVHLDEACNAKFENELHYERNVSGHLSCGMIDALTGLQAQDLFLWLEVMSIRVDVPTTGLIYFDVGAAFKRFPLSLFETPPECVAVRSKQHHAPSQGQSHSGRLEFKLDQGTSGRDVL >Vigun06g218400.5.v1.2 pep primary_assembly:ASM411807v1:6:32891357:32899364:-1 gene:Vigun06g218400.v1.2 transcript:Vigun06g218400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSSSSSPTPTTHFNFPNSKLCSSFHFSFSPCSLPLPSISHAVSNSLNKFNSYFNSLRCSASVEDAEWDWEQWRHHFHEVDEQERLLHILKSRFGVAVNLEDYDDAAALKVAIAAIATNDTVGTVISLLNRAIEEERYSDAAFFRDEAGTGLVGWWAGMSKDTNGPRGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLIKNKSGEFKSQAVYFKQRGDSYSPPTKSTKALDAAERLSSVESPEDKSELFVESPEDPEVIDDRNDSSDPTDGMPVFQNILKDTIPGVKMKVLKFTTPDKVDKDIISDVIEQITEEKGDEDEDEDVYSNKDNETETPELKDVESETDDENELRSGLEAFDLAEEDKAVKAVIGGLVQKISSSLPTRELLLRVPAKLEMSGHGSFLFTIKELVNEQVGHGKGKRSPDKSTKIQGQHSVDSIMFNLAKFIGKGKLPSKVLKDLGELVRRNLSRDGPLERLSGSTMFSRIEIPTSLDPLNGLYISAHGLYSSEVIQLRCRYGHWQEDGGNKESSDLEFYQYVEALKLTGDPYVPAGQHFEQKLERGISFLSVE >Vigun06g218400.3.v1.2 pep primary_assembly:ASM411807v1:6:32891357:32898165:-1 gene:Vigun06g218400.v1.2 transcript:Vigun06g218400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTNGPRGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLIKNKSGEFKSQAVYFKQRGDSYSPPTKSTKALDAAERLSSVESPEDKSELFVESPEDPEVIDDRNDSSDPTDGMPVFQNILKDTIPGVKMKVLKFTTPDKVDKDIISDVIEQITEEKGDEDEDEDVYSNKDNETETPELKDVESETDDENELRSGLEAFDLAEEDKAVKAVIGGLVQKISSSLPTRELLLRVPAKLEMSGHGSFLFTIKELVNEQVGHGKGKRSPDKSTKIQGQHSVDSIMFNLAKFIGKGKLPSKVLKDLGELVRRNLSRDGPLERLSGSTMFSRIEIPTSLDPLNGLYISAHGLYSSEVIQLRCRYGHWQEDGGNKESSDLEFYQYVEALKLTGDPYVPAGQVAFRAKVGKRHQLPLGGIIPEEFGLIARYKGQGRLAEPKFQNPRWVDGELVILNRKYNKIGPVIGFVYWVPERPFLVLFTRLRLQE >Vigun06g218400.1.v1.2 pep primary_assembly:ASM411807v1:6:32891357:32899364:-1 gene:Vigun06g218400.v1.2 transcript:Vigun06g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSSSSSPTPTTHFNFPNSKLCSSFHFSFSPCSLPLPSISHAVSNSLNKFNSYFNSLRCSASVEDAEWDWEQWRHHFHEVDEQERLLHILKSRFGVAVNLEDYDDAAALKVAIAAIATNDTVGTVISLLNRAIEEERYSDAAFFRDEAGTGLVGWWAGMSKDTNGPRGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLIKNKSGEFKSQAVYFKQRGDSYSPPTKSTKALDAAERLSSVESPEDKSELFVESPEDPEVIDDRNDSSDPTDGMPVFQNILKDTIPGVKMKVLKFTTPDKVDKDIISDVIEQITEEKGDEDEDEDVYSNKDNETETPELKDVESETDDENELRSGLEAFDLAEEDKAVKAVIGGLVQKISSSLPTRELLLRVPAKLEMSGHGSFLFTIKELVNEQVGHGKGKRSPDKSTKIQGQHSVDSIMFNLAKFIGKGKLPSKVLKDLGELVRRNLSRDGPLERLSGSTMFSRIEIPTSLDPLNGLYISAHGLYSSEVIQLRCRYGHWQEDGGNKESSDLEFYQYVEALKLTGDPYVPAGQVAFRAKVGKRHQLPLGGIIPEEFGLIARYKGQGRLAEPKFQNPRWVDGELVILNRKYNKIGPVIGFVYWVPERPFLVLFTRLRLQE >Vigun06g218400.4.v1.2 pep primary_assembly:ASM411807v1:6:32891357:32899364:-1 gene:Vigun06g218400.v1.2 transcript:Vigun06g218400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSSSSSPTPTTHFNFPNSKLCSSFHFSFSPCSLPLPSISHAVSNSLNKFNSYFNSLRCSASVEDAEWDWEQWRHHFHEVDEQERLLHILKSRFGVAVNLEDYDDAAALKVAIAAIATNDTVGTVISLLNRAIEEERYSDAAFFRDEAGTGLVGWWAGMSKDTNGPRGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLIKNKSGEFKSQAVYFKQRGDSYSPPTKSTKALDAAERLSSVESPEDKSELFVESPEDPEVIDDRNDSSDPTDGMPVFQNILKDTIPGVKMKVLKFTTPDKVDKDIISDVIEQITEEKGDEDEDEDVYSNKDNETETPELKDVESETDDENELRSGLEAFDLAEEDKAVKAVIGGLVQKISSSLPTRELLLRVPAKLEMSGHGSFLFTIKELVNEQVGHGKGKRSPDKSTKIQGQHSVDSIMFNLAKFIGKGKLPSKVLKDLGELVRRNLSRDGPLERLSGSTMFSRIEIPTSLDPLNGLYISAHGLYSSEVIQLRCRYGHWQEDGGNKESSDLEFYQYVEALKLTGDPYVPAGQVAFRAKVGKRHQLPLGGIIPEEFGLVGNAFSLDCTL >Vigun10g043900.1.v1.2 pep primary_assembly:ASM411807v1:10:6189717:6192877:1 gene:Vigun10g043900.v1.2 transcript:Vigun10g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKRENGVRMCDGKECFENESSTTSIEDVVKVLLMGLGEDINREGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEAGLHHTEFAGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFSDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIEKDKIHDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLINFKCSSIERALNCWLGIRTNGALNTNEGLGFDEKLHSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKTLLQSIVHFYGIKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDKYISLR >Vigun03g423300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62889221:62891922:-1 gene:Vigun03g423300.v1.2 transcript:Vigun03g423300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETSVVFEKLFKFVGNNLKNIVENPSHEGPDANPGRYCFRLHKNKIYYASESLVKRATNVARPNLVSLGTCIGKYTHGGSFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKGALGRITDNIAAGDGVVVFSMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDVGEYLRMEDEL >Vigun03g423300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62889221:62891922:-1 gene:Vigun03g423300.v1.2 transcript:Vigun03g423300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETSVVFEKLFKFVGNNLKNIVENPSHEGPDANPGRYCFRLHKNKIYYASESLVKRATNVARPNLVSLGTCIGKYTHGGSFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKGALGRITDNIAAGDGVVVFSMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDVGEYLRMEDEL >Vigun03g423300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62889221:62891922:-1 gene:Vigun03g423300.v1.2 transcript:Vigun03g423300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETSVVFEKLFKFVGNNLKNIVENPSHEGPDANPGRYCFRLHKNKIYYASESLVKRATNVARPNLVSLGTCIGKYTHGGSFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKGALGRITDNIAAGDGVVVFSMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDVGEYLRMEDEL >Vigun03g423300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62889221:62891922:-1 gene:Vigun03g423300.v1.2 transcript:Vigun03g423300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETSVVFEKLFKFVGNNLKNIVENPSHEGPDANPGRYCFRLHKNKIYYASESLVKRATNVARPNLVSLGTCIGKYTHGGSFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKGALGRITDNIAAGDGVVVFSMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDVGEYLRMEDEL >Vigun03g423300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62889221:62891922:-1 gene:Vigun03g423300.v1.2 transcript:Vigun03g423300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETSVVFEKLFKFVGNNLKNIVENPSHEGPDANPGRYCFRLHKNKIYYASESLVKRATNVARPNLVSLGTCIGKYTHGGSFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKGALGRITDNIAAGDGVVVFSMADVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDVGEYLRMEDEL >Vigun03g384700.1.v1.2 pep primary_assembly:ASM411807v1:3:59009438:59011639:1 gene:Vigun03g384700.v1.2 transcript:Vigun03g384700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVAKRRRVYSVEPNQIVQSIFTRNYLNHLVPALVKMKEKDRSHCDINRAVKYEVDMAMVLSAQGFAWSNGLKVKLQNDRVRVNAAKSSTFLENGAGEGSSSGCEDNEVVPMEFSSNPSSKPRCKDMSEMKRDLAREDDDDDDDEDGDMEEQWKRLRRLIPGGEKMCDEQMAKELESYISCLQMQMVGCFWH >Vigun06g127800.1.v1.2 pep primary_assembly:ASM411807v1:6:25456892:25460862:1 gene:Vigun06g127800.v1.2 transcript:Vigun06g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINLFKLCSALRVLGYFMILLFAAIVALSYYAVVLITWGPLLFHSPLRLPSFFSAFFVLLLFHILLVLLTWSYLMVVLNDPGSVPHNWRHHQQQLRSDFDLETAPPTPSPAYCTRCQNGKPPRCHHCSICQRCVLKMDHHCIWVVNCVGARNYKYFLLFLLYTFLETTLDCLALVPSFIRFFGGNKNHSMSPGGFAVIFLASILNLAFALSLLCFVVMHISLLLSNTTSVEVHEKKKGVRWMYDLGWKRNFEQVFGTKKALWLFPLFSKEDLENIPALRGIDFPTRSDVDV >Vigun06g127800.2.v1.2 pep primary_assembly:ASM411807v1:6:25456892:25460862:1 gene:Vigun06g127800.v1.2 transcript:Vigun06g127800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINLFKLCSALRVLGYFMILLFAAIVALSYYAVVLITWGPLLFHSPLRLPSFFSAFFVLLLFHILLVLLTWSYLMVVLNDPGSVPHNWRHHQQQLRSDFDLETAPPTPSPAYCTRCQNGKPPRCHHCSICQRCVLKMDHHCIWVVNCVGARNYKYFLLFLLYTFLETTLDCLALVPSFIRFFGGNKNHSMSPGGFAVIFLASNCVP >Vigun06g127700.1.v1.2 pep primary_assembly:ASM411807v1:6:25449200:25453034:1 gene:Vigun06g127700.v1.2 transcript:Vigun06g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFRSKSCGLVEFNVAPPSPLFHDVRNEEEDSEEDEEEEEEELDSDEEDDDGDEDVSSNPVSTPFINSGSKVGGGLDNKGWRGHGCQSNQFAILDILVAALKKSLLVTCSVEREDVSSLDISWPTEVRHVSHVTFDRFNGFLGLPSELEPEVPKRVPSASAKVFGVSAKSMQCSYDERGNSVPTILLMMQKRLYSEGGLKSEGIFRINADNSQEEFVRDQLNRGLVPLGIDVHCLSGLVKAWFRELPTGVLDSLTPEQVMHCNTEEDCTNLMKLLPSTEAALLDWAINLMADVVEQEQFNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLREREESVAKVRQLSSLLDSPSCKGDSHPLECKNEEEESCEESKETCTRKSPVKSKFSRTSTLGRIEWCIEEKLWRPEEKGNGGGESESVSACSSPSRYENGSLENGYRGIYDSEHWVRLRKGMRRLCRHPVFKLSKPTKKSESLGIVDTREEGGKAWV >Vigun05g289500.1.v1.2 pep primary_assembly:ASM411807v1:5:47610680:47616029:1 gene:Vigun05g289500.v1.2 transcript:Vigun05g289500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGGQCFEKLRRFARTVFFVAALVASLLVTSLPVLVAVVDVLVPCVLISSFTCVKCYGFKEHLRRYAFKSSLMDIPLVSVVRSFIIICVYSICDGPALSHGPYLGTVTLSSFISIVLLSVKACVFSVNSQIEAEATISPTRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRASCRARRKLMFHRVDPEAVLSCKNVFSGYQKVPRSPVPSEGRTPKSDGEMKRKPFGTARDEELPLRLLADSESLFIPCQGLTLHYKLSMPGSPPLNMSSTFCSTSSVTGGLSKLNRHLTCMSPKSHRQLYRSYSNQFYGSSLHAPLLDIPVTSPHLNEEIPVLHLDEIREDENTIKLESLSSEQNVEDIGQVGIILIHGFGGGVFSWRHVMGPLARQSNCTVAAFDRPGWGLTSRLSREDWEKKELPNPYKLESQVDLLLSFCSEIGFSSVVLIGHDDGGLLALMAAQRVQTSMNSFNVTVKGVVLLNVNLSREVVPSFAKILLHTSLGKKHLVRPLLRTEITHVVNRRSWYDATKLTTEVLTLYKAPLYAEGWDEALHEICKLSSETILSAKNADSLLQAVEDIPVLVIAGAEDSLVSMKYCQAMASKFLNSRLVVISGCGHLPHEECPMALLGAISPFINKLFNVYNSQSQ >Vigun07g184700.1.v1.2 pep primary_assembly:ASM411807v1:7:30181803:30188652:1 gene:Vigun07g184700.v1.2 transcript:Vigun07g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLTALCLTFWEHFTCLDVTQCMLNRSITKVALRLIDFNLSSCLPQILNLGVKATVWCGKHLKMSLLSTEESQEEEHSSVFYKLLVEILRFSALTFSTLLRFTDFGDKELMDTVEIFISEVLNLTKDSISHAKKIQSFGSEVSKDAPMVLDAVVKFCKARSELVNWEESDENGSGLDKPISVVHVISITKCAIAKLSQIGVFAANDGGNSVNILNISWKGVVSLLQIGGAHFTEVNVANIVLTLLALITEPLKCAAQAWSSSLNEAISVIEAKRIIVPLRFYLMTTHKICSLYPHQAYTVYREISLCVLKITSFWIFVSNENLLKCASVAITELLEETTLDLLLSLLNSHKLKLEQKLEVLEWLFMNKGDCHSVLDCATLSGCNAEWVNDIFCNSCESMSRAKMIILGRVVLFINFLRYSRGLDGDVQIAITRKLNWFLDILVEEDVYSHILVLQFPLLYGSGKTAELVSRPIFTSLLQAFKTFMIVISSSTAWKELESFLLENLFHPHFLCWEIVMECWCFMLRHAEKEMANNIVGKLCSLLKLLASSDSVFLPYSSFRKLARSICMLLTYGARSIVNEVYISLVGDGRSQLSPIFCLALFMEGFPLDLLADELRNTSIQRIKSDYLDFIDNFDEASMVVCSSGLFGVPVFILSASLPSLQNGLSDIDARALKFLVAVSSNYKSTVDEVIKNRYLQLFSETLGIISYLEQLYTSNDIEQAIMEIQNIFLSEPGAHLNKCKPHLAQFLAGLVHVEISESDDDAKSCAVWELYHLLLKEQHWALIHLAITAFGYFASKTRCNKLWRFVPQDAALSYDIVSGLESNQETFMVEFGKFLKKEKALLTVAPSPEQLELLGREGLVLKQMVQKVSAITEEKDRCDKMEVDRKNQSNKKRKLPDGISRGVELLKSGLKIIGDGLSQWQLNHFDTAEQHVKYLTQFSQLENVIIHFEELTGSGEGCSSSMQNNS >Vigun07g184700.3.v1.2 pep primary_assembly:ASM411807v1:7:30182593:30188652:1 gene:Vigun07g184700.v1.2 transcript:Vigun07g184700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSITKVALRLIDFNLSSCLPQILNLGVKATVWCGKHLKMSLLSTEESQEEEHSSVFYKLLVEILRFSALTFSTLLRFTDFGDKELMDTVEIFISEVLNLTKDSISHAKKIQSFGSEVSKDAPMVLDAVVKFCKARSELVNWEESDENGSGLDKPISVVHVISITKCAIAKLSQIGVFAANDGGNSVNILNISWKGVVSLLQIGGAHFTEVNVANIVLTLLALITEPLKCAAQAWSSSLNEAISVIEAKRIIVPLRFYLMTTHKICSLYPHQAYTVYREISLCVLKITSFWIFVSNENLLKCASVAITELLEETTLDLLLSLLNSHKLKLEQKLEVLEWLFMNKGDCHSVLDCATLSGCNAEWVNDIFCNSCESMSRAKMIILGRVVLFINFLRYSRGLDGDVQIAITRKLNWFLDILVEEDVYSHILVLQFPLLYGSGKTAELVSRPIFTSLLQAFKTFMIVISSSTAWKELESFLLENLFHPHFLCWEIVMECWCFMLRHAEKEMANNIVGKLCSLLKLLASSDSVFLPYSSFRKLARSICMLLTYGARSIVNEVYISLVGDGRSQLSPIFCLALFMEGFPLDLLADELRNTSIQRIKSDYLDFIDNFDEASMVVCSSGLFGVPVFILSASLPSLQNGLSDIDARALKFLVAVSSNYKSTVDEVIKNRYLQLFSETLGIISYLEQLYTSNDIEQAIMEIQNIFLSEPGAHLNKCKPHLAQFLAGLVHVEISESDDDAKSCAVWELYHLLLKEQHWALIHLAITAFGYFASKTRCNKLWRFVPQDAALSYDIVSGLESNQETFMVEFGKFLKKEKALLTVAPSPEQLELLGREGLVLKQMVQKVSAITEEKDRCDKMEVDRKNQSNKKRKLPDGISRGVELLKSGLKIIGDGLSQWQLNHFDTAEQHVKYLTQFSQLENVIIHFEELTGSGEGCSSSMQNNS >Vigun07g184700.2.v1.2 pep primary_assembly:ASM411807v1:7:30181766:30188652:1 gene:Vigun07g184700.v1.2 transcript:Vigun07g184700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLTAFLTFWEHFTCLDVTQCMLNRSITKVALRLIDFNLSSCLPQILNLGVKATVWCGKHLKMSLLSTEESQEEEHSSVFYKLLVEILRFSALTFSTLLRFTDFGDKELMDTVEIFISEVLNLTKDSISHAKKIQSFGSEVSKDAPMVLDAVVKFCKARSELVNWEESDENGSGLDKPISVVHVISITKCAIAKLSQIGVFAANDGGNSVNILNISWKGVVSLLQIGGAHFTEVNVANIVLTLLALITEPLKCAAQAWSSSLNEAISVIEAKRIIVPLRFYLMTTHKICSLYPHQAYTVYREISLCVLKITSFWIFVSNENLLKCASVAITELLEETTLDLLLSLLNSHKLKLEQKLEVLEWLFMNKGDCHSVLDCATLSGCNAEWVNDIFCNSCESMSRAKMIILGRVVLFINFLRYSRGLDGDVQIAITRKLNWFLDILVEEDVYSHILVLQFPLLYGSGKTAELVSRPIFTSLLQAFKTFMIVISSSTAWKELESFLLENLFHPHFLCWEIVMECWCFMLRHAEKEMANNIVGKLCSLLKLLASSDSVFLPYSSFRKLARSICMLLTYGARSIVNEVYISLVGDGRSQLSPIFCLALFMEGFPLDLLADELRNTSIQRIKSDYLDFIDNFDEASMVVCSSGLFGVPVFILSASLPSLQNGLSDIDARALKFLVAVSSNYKSTVDEVIKNRYLQLFSETLGIISYLEQLYTSNDIEQAIMEIQNIFLSEPGAHLNKCKPHLAQFLAGLVHVEISESDDDAKSCAVWELYHLLLKEQHWALIHLAITAFGYFASKTRCNKLWRFVPQDAALSYDIVSGLESNQETFMVEFGKFLKKEKALLTVAPSPEQLELLGREGLVLKQMVQKVSAITEEKDRCDKMEVDRKNQSNKKRKLPDGISRGVELLKSGLKIIGDGLSQWQLNHFDTAEQHVKYLTQFSQLENVIIHFEELTGSGEGCSSSMQNNS >Vigun09g075900.1.v1.2 pep primary_assembly:ASM411807v1:9:8664552:8678368:-1 gene:Vigun09g075900.v1.2 transcript:Vigun09g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSANSSPSRSLSVASTFRAYTLPIILFAGAMYYQLFVIPNAFPRSHYDVLQIESYSSVEKVQEAYEKLESKMNSADEAFDIHEFLKIRYAYELLTNPLWKRDYDIFGIDEQLHIVESASKQYAGKHISELNLPLLQAQSGSVDHSSKVITASDFQYIFPDVKPWLIQLYSSGSENCAQFSKSWNKIASLLDVVANIGVVELGEKELAIYLADRRSTGKPFFRNGVPSLVAIPAGCRSPKCIRRFNGELTVDKVTNWFATTILALPQINYYSRETLVPNFLGKTSHHKVKVLFFSTFGERAAPFIRQAAKDYWAFASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSINNSIFLNLMENNKQQELRQLRSVTSMELGCDPHGYSRAGYDTMIWYCAIVVGRPSLELNRMRETMYRVQETFSKYSQVDASSENQSLAPAVDAFKGRRLTFAWLDGEKQKVLLIFFG >Vigun09g075900.5.v1.2 pep primary_assembly:ASM411807v1:9:8662257:8678368:-1 gene:Vigun09g075900.v1.2 transcript:Vigun09g075900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVLITMFCKLRAIVPLKKCKRLTRSLNLKFKLSFRNSADEAFDIHEFLKIRYAYELLTNPLWKRDYDIFGIDEQLHIVESASKQYAGKHISELNLPLLQAQSGSVDHSSKVITASDFQYIFPDVKPWLIQLYSSGSENCAQFSKSWNKIASLLDVVANIGVVELGEKELAIYLADRRSTGKPFFRNGVPSLVAIPAGCRSPKCIRRFNGELTVDKVTNWFATTILALPQINYYSRETLVPNFLGKTSHHKVKVLFFSTFGERAAPFIRQAAKDYWAFASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSINNSIFLNLMENNKQQELRQLRSVTSMELGCDPHGYSRAGYDTMIWYCAIVVGRPSLELNRMRETMYRVQETFSKYSQVDASSENQSLAPAVDAFKGRRLTFAWLDGEKQKDYCQFYLGQAGSDHTCGQRRSVTDIPRLFVIRYLRNSSAVDLRKEQKSNWKSSLVQDLISDSDLAGQFVAGYKGEDDVSQITHWLANIISDGDSRDLPFFSLRTPELVPDDTEPISQNIPLNNLKQSILGSLSGLSIYREDPRVGPFLLLGALISLGTIWLRRSQQVHPSEPNQPSSNHPSESNQPSQPSSKDEREKKPRDRVRNRSNKKAPPSMTDFEPSNSYQVPLSDSDSE >Vigun09g075900.3.v1.2 pep primary_assembly:ASM411807v1:9:8662257:8678368:-1 gene:Vigun09g075900.v1.2 transcript:Vigun09g075900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSANSSPSRSLSVASTFRAYTLPIILFAGAMYYQLFVIPNAFPRSHYDVLQIESYSSVEKVQEAYEKLESKMNSADEAFDIHEFLKIRYAYELLTNPLWKRDYDIFGIDEQLHIVESASKQYAGKHISELNLPLLQAQSGSVDHSSKVITASDFQYIFPDVKPWLIQLYSSGSENCAQFSKSWNKIASLLDVVANIGVVELGEKELAIYLADRRSTGKPFFRNGVPSLVAIPAGCRSPKCIRRFNGELTVDKVTNWFATTILALPQINYYSRETLVPNFLGKTSHHKVKVLFFSTFGERAAPFIRQAAKDYWAFASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSINNSIFLNLMENNKQQELRQLRSVTSMELGCDPHGYSRAGYDTMIWYCAIVVGRPSLELNRMRETMYRVQETFSKYSQVDASSENQSLAPAVDAFKGRRLTFAWLDGEKQKDYCQFYLGQAGSDHTCGQRRSVTDIPRLFVIRYLRNSSAVDLRKEQKSNWKSSLVQDLISDSDLAGQFVAGYKGEDDVSQITHWLANIISDGDSRDLPFFSLRTPELVPDDTEPISQNIPLNNLKQSILGSLSGLSIYREDPRVGPFLLLGALISLGTIWLRRSQQVHPSEPNQPSSNHPSESNQPSQPSSKDEREKKPRDRVRNRSNKKAPPSMTDFEPSNSYQVPLSDSDSE >Vigun09g075900.4.v1.2 pep primary_assembly:ASM411807v1:9:8662257:8678368:-1 gene:Vigun09g075900.v1.2 transcript:Vigun09g075900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQLFVIPNAFPRSHYDVLQIESYSSVEKVQEAYEKLESKMNSADEAFDIHEFLKIRYAYELLTNPLWKRDYDIFGIDEQLHIVESASKQYAGKHISELNLPLLQAQSGSVDHSSKVITASDFQYIFPDVKPWLIQLYSSGSENCAQFSKSWNKIASLLDVVANIGVVELGEKELAIYLADRRSTGKPFFRNGVPSLVAIPAGCRSPKCIRRFNGELTVDKVTNWFATTILALPQINYYSRETLVPNFLGKTSHHKVKVLFFSTFGERAAPFIRQAAKDYWAFASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSINNSIFLNLMENNKQQELRQLRSVTSMELGCDPHGYSRAGYDTMIWYCAIVVGRPSLELNRMRETMYRVQETFSKYSQVDASSENQSLAPAVDAFKGRRLTFAWLDGEKQKDYCQFYLGQAGSDHTCGQRRSVTDIPRLFVIRYLRNSSAVDLRKEQKSNWKSSLVQDLISDSDLAGQFVAGYKGEDDVSQITHWLANIISDGDSRDLPFFSLRTPELVPDDTEPISQNIPLNNLKQSILGSLSGLSIYREDPRVGPFLLLGALISLGTIWLRRSQQVHPSEPNQPSSNHPSESNQPSQPSSKDEREKKPRDRVRNRSNKKAPPSMTDFEPSNSYQVPLSDSDSE >Vigun09g075900.2.v1.2 pep primary_assembly:ASM411807v1:9:8662257:8678369:-1 gene:Vigun09g075900.v1.2 transcript:Vigun09g075900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSANSSPSRSLSVASTFRAYTLPIILFAGAMYYQLFVIPNAFPRSHYDVLQIESYSSVEKVQEAYEKLESKMNSADEAFDIHEFLKIRYAYELLTNPLWKRDYDIFGIDEQLHIVESASKQYAGKHISELNLPLLQAQSGSVDHSSKVITASDFQYIFPDVKPWLIQLYSSGSENCAQFSKSWNKIASLLDVVANIGVVELGEKELAIYLADRRSTGKPFFRNGVPSLVAIPAGCRSPKCIRRFNGELTVDKVTNWFATTILALPQINYYSRETLVPNFLGKTSHHKVKVLFFSTFGERAAPFIRQAAKDYWAFASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSINNSIFLNLMENNKQQELRQLRSVTSMELGCDPHGYSRAGYDTMIWYCAIVVGRPSLELNRMRETMYRVQETFSKYSQVDASSENQSLAPAVDAFKGRRLTFAWLDGEKQKDYCQFYLGQAGSDHTCGQRRSVTDIPRLFVIRYLRNSSAVDLRKEQKSNWKSSLVQDLISDSDLAGQFVAGYKGEDDVSQITHWLANIISDGDSRDLPFFSLRTPELVPDDTEPISQNIPLNNLKQSILGSLSGLSIYREDPRVGPFLLLGALISLGTIWLRRSQQVHPSEPNQPSSNHPSESNQPSQPSSKMFSQDEREKKPRDRVRNRSNKKAPPSMTDFEPSNSYQVPLSDSDSE >Vigun01g004150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:511476:512482:1 gene:Vigun01g004150.v1.2 transcript:Vigun01g004150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKFLKWYKDQQFSSSTASVAHTGTSFVGLTQSSSPGLWVFDSGATDHITGNKSLFSSLSSTNPLPSVTLADGSRVSSHGVGTVKLFPSLTIDNVLYVPGSPFNLLSISRLTRSLDCVVSFTNNSVCLQDRSSKQVIGIGYESHPILTALLIPLMFLLFVTLLLCLLHPRFLLHSHLLLRHLFRFIVAVIDHNHHHVTPLQCQLLCLLRL >Vigun08g028800.1.v1.2 pep primary_assembly:ASM411807v1:8:2625030:2628067:-1 gene:Vigun08g028800.v1.2 transcript:Vigun08g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDRWEKDPYFNAAEEVQESADRMESTYRIWLHATKDASNMWNYDEVCRDLHIALGTAKWQLEEFERAVMSSYGKISSDEARSRHRQFITAIEDKIMKVEHSISESVGHASLPWLRLDEGEKDELALFLSGKPEINSMDIEDPPVTNFSKDFHVSSGWGESKEEISLGHRRVVSANADIGFWKISVHDDAQQWSSSSGSSGPMHNKAPSLSGFLSSMESVSKLKWPRNAYRKLKTVDHHRETDGTLLPTAEFNRGNSASCESKSGLDSCDECYDKHQYGWYGAIRRKLQRSQYQIQYRQPVRIAVWLFFLLCFIALIAFHTL >Vigun05g298000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48261411:48262139:-1 gene:Vigun05g298000.v1.2 transcript:Vigun05g298000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRILAKNPKTKLLQIVHRGGHVELHDRPVTAAEIMCRYPRCCVTYPHVFQQPWAVVEPDAELVLGQKYYVVPMSTIRKLQGLSPRSSPSPAREIATSSSYEIRNTQSSREEKDDGMLSTCCVFMNKSTAKQTNNNKRHSKNKNHVRNLSTNVNNNNNGGLSRDNCFVSLLNGGRTKTIVGDMTKETRTSSNRAQSRNDNTLARKRTQDLRGKGLRSSPKNVWSSSDHWLPSLESITEE >Vigun02g127600.1.v1.2 pep primary_assembly:ASM411807v1:2:27963243:27965389:1 gene:Vigun02g127600.v1.2 transcript:Vigun02g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMGGFSSSFTNVRSASSWFELYAAFSTFIMLLRSAINDLIPQQVRSFVHSKLKAFFSNRQKSNVVSLQVTELWDGHTNQLFQAVQEYLPAKISHSYKSLKVGKISKHKNIVMAVDGKQEVVDEFEGIKLKWKLVEQSSQKESDNRDPLRPRKLPGFERECFTLTFDEKHRDVVMNKYLVHVLDAFQEIQAKQRTIKIHSIGGGRCWQKSDLTHPASFQSLALDPEQKQGIIDDLDRFLRRKELYKRVGKPWKRGYLLYGPPGTGKSSLIAAMADHLKFDVYDLELTSVFSNSDLMRTLKETSNRSIIVIEDIDCNREVHVRSPKDFSDSDSENEHKHVKIKTSRFTLSGLLNYMDGLWSSGGEERILIFTTNHRERIDPALLRPGRMDMHIHLSFLRSKAFRILASNYLGVEGDHPLFERIDDLLEKIEVTPAVVAEQLMRYEDPDVCLEALVQFLEEKAKERLCKREVD >Vigun08g200200.4.v1.2 pep primary_assembly:ASM411807v1:8:36487476:36502416:-1 gene:Vigun08g200200.v1.2 transcript:Vigun08g200200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDEIDAVPQVYMACILNGHRIGVSYYDSTLRQLYVLEVWDDGDKGFPIIDLVKYQANPLVIYTSTKSEESFLSALQQKDGVAEYPTVKLVKSSIFSYEQAWHRLIYLRVAGMDDGLNMKERIYYLSSMMDMGSEVQVRASGGLLAILEDERIVDTLEQKESGNTSISIDSVAEISLNNFLKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMINKCVTPMGRRLLRNWFLRPILDLEVLNYRLNSISFFLCSEELVASLRETLKSVKDIPHLLKKFDCPSSTCTSSDWAALLKSICALLHVNKIFEVGMSEDLREELKYLNLDIVEKANSCITAELGYVYELVIGVIDLNRTKEKGYATVVKEGFCDELDELRQIYEELPEFLEEVSSMELDQHPGLCKDKRVPCIVYIQQIGYLMCIFEEKPEETSLETLVDWEYAFCDTDGETKRYFYRTPKTRELDSLLGDIHHKIQDMERAITRDLFSRILLFQTLLIKVATFAAELDCFLSMALVARQNNYVRPSLTEENLLDIKNGRHVLQEMTVDTFIPNDTKILHDGRINIITGPNFSGKSIYIKQIAIIVFLSHIGSFVPADSATVGLTDRIFCATGSRLMTAEQSTFMIDLHQVGMMLRHATSQSLCLVDEFGKGTLTEDGIGLLAGTINHFVTCHEPPKVFVCTHLMDLLHGHSLTKSEQIKFYTMSILRPDDNSTYIDDIVFLYRLIPGHAHHSYGVPEEIVKRAAAVLDTVSNNNHVERLCNENISAQDRQCKDAMDKLLEFDIEKGDLKLFFEDIFSPVLNAS >Vigun08g200200.1.v1.2 pep primary_assembly:ASM411807v1:8:36487476:36502416:-1 gene:Vigun08g200200.v1.2 transcript:Vigun08g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDEIDAVPQVYMACILNGHRIGVSYYDSTLRQLYVLEVWDDGDKGFPIIDLVKYQANPLVIYTSTKSEESFLSALQQKDGVAEYPTVKLVKSSIFSYEQAWHRLIYLRVAGMDDGLNMKERIYYLSSMMDMGSEVQVRASGGLLAILEDERIVDTLEQKESGNTSISIDSVAEISLNNFLKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMINKCVTPMGRRLLRNWFLRPILDLEVLNYRLNSISFFLCSEELVASLRETLKSVKDIPHLLKKFDCPSSTCTSSDWAALLKSICALLHVNKIFEVGMSEDLREELKYLNLDIVEKANSCITAELGYVYELVIGVIDLNRTKEKGYATVVKEGFCDELDELRQIYEELPEFLEEVSSMELDQHPGLCKDKRVPCIVYIQQIGYLMCIFEEKPEETSLETLVDWEYAFCDTDGETKRYFYRTPKTRELDSLLGDIHHKIQDMERAITRDLFSRILLFQTLLIKVATFAAELDCFLSMALVARQNNYVRPSLTEENLLDIKNGRHVLQEMTVDTFIPNDTKILHDGRINIITGPNFSGKSIYIKQIAIIVFLSHIGSFVPADSATVGLTDRIFCATGSRLMTAEQSTFMIDLHQVGMMLRHATSQSLCLVDEFGKGTLTEDGIGLLAGTINHFVTCHEPPKVFVCTHLMDLLHGHSLTKSEQIKFYTMSILRPDDNSTYIDDIVFLYRLIPGHAHHSYGLHCALLAGVPEEIVKRAAAVLDTVSNNNHVERLCNENISAQDRQCKDAMDKLLEFDIEKGDLKLFFEDIFSPVLNAS >Vigun08g200200.12.v1.2 pep primary_assembly:ASM411807v1:8:36487476:36502416:-1 gene:Vigun08g200200.v1.2 transcript:Vigun08g200200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDEIDAVPQVYMACILNGHRIGVSYYDSTLRQLYVLEVWDDGDKGFPIIDLVKYQANPLVIYTSTKSEESFLSALQQKDGVAEYPTVKLVKSSIFSYEQAWHRLIYLRVAGMDDGLNMKERIYYLSSMMDMGSEVQVRASGGLLAILEDERIVDTLEQKESGNTSISIDSVAEISLNNFLKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMINKCVTPMGRRLLRNWFLRPILDLEVLNYRLNSISFFLCSEELVASLRETLKSVKDIPHLLKKFDCPSSTCTSSDWAALLKSICALLHVNKIFEVGMSEDLREELKYLNLDIVEKANSCITAELGYVYELVIGVIDLNRTKEKGYATVVKEGFCDELDELRQIYEELPEFLEEVSSMELDQHPGLCKDKRVPCIVYIQQIGYLMCIFEEKPEETSLETLVDWEYAFCDTDGETKRYFYRTPKTRELDSLLGDIHHKIQDMERAITRDLFSRILLFQTLLIKVATFAAELDWHVLQEMTVDTFIPNDTKILHDGRINIITGPNFSGKSIYIKQIAIIVFLSHIGSFVPADSATVGLTDRIFCATGSRLMTAEQSTFMIDLHQVGMMLRHATSQSLCLVDEFGKDGIGLLAGTINHFVTCHEPPKVFVCTHLMDLLHGHSLTKSEQIKFYTMSILRPDDNSTYIDDIVFLYRLIPGHAHHSYGLHCALLAGVPEEIVKRAAAVLDTVSNNNHVERLCNENISAQDRQCKDAMDKLLEFDIEKGDLKLFFEDIFSPVLNAS >Vigun11g138700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34769890:34770461:1 gene:Vigun11g138700.v1.2 transcript:Vigun11g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSNIVAILGMIILVFVEILSIEVINSCPAKCAALCFVTEGPYEKCYDSCIANCNKVSPSAYNCIAKCGVNKIVTVTIGTYFLVKFTFSLMFLFFIIQLIILFISFTMTFLYHIVVVK >Vigun07g278200.1.v1.2 pep primary_assembly:ASM411807v1:7:39309849:39318892:-1 gene:Vigun07g278200.v1.2 transcript:Vigun07g278200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVFDFRKMGVQRHEALAEDEPAENACASSSLNQGAIPSESANSNPCVNTESSEVNEPSDFFFKKSNTSHIYRQDVVKNNTSGMIGIVTEVAGDSDSDSDSSITDDENDSEDEDGDGEEGDDSNNASRNSESNVSAGHCKADALLADQLRVLWMDESESTQNFSDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNICVDLLSHDGSIIKDVSSKNIQRIRDFTVGDYVVLGPWLGRVDDVLDNVTVLFDDGSVCKVSKADPLNLKPISKNIVEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTVGSVFVYWIASAGYGPYSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASMDKGMSKLELNDSANNELDSNQTGSGCDSEEATVEETNGNKDTMELDPADTLEGNDGHEKSNPSRDSSSCCSSISVSKEPVHEAWPLHRKKIRKVVIRKEKRARKKEESFEKALLIANTRTKVDVAWQDGTIGHELNSTSLIPIDNPGDHEFVSEQYVVEKTSDDGDDISEARRVGVVRSVNAKERTACVRWLKKVARAEDPREFDSEEVVSVYELEGHPDYDYCYGDVVVRLTPVSAHLETASVEESTEKSEQKTEECGIKKEAKIQTDANRVENASTDTSTQFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDDESIAAGSEVSDAASWETVNDDEMEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKAGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g278200.2.v1.2 pep primary_assembly:ASM411807v1:7:39309849:39318892:-1 gene:Vigun07g278200.v1.2 transcript:Vigun07g278200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVFDFRKMGVQRHEALAEDEPAENACASSSLNQEVAGDSDSDSDSSITDDENDSEDEDGDGEEGDDSNNASRNSESNVSAGHCKADALLADQLRVLWMDESESTQNFSDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNICVDLLSHDGSIIKDVSSKNIQRIRDFTVGDYVVLGPWLGRVDDVLDNVTVLFDDGSVCKVSKADPLNLKPISKNIVEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTVGSVFVYWIASAGYGPYSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASMDKGMSKLELNDSANNELDSNQTGSGCDSEEATVEETNGNKDTMELDPADTLEGNDGHEKSNPSRDSSSCCSSISVSKEPVHEAWPLHRKKIRKVVIRKEKRARKKEESFEKALLIANTRTKVDVAWQDGTIGHELNSTSLIPIDNPGDHEFVSEQYVVEKTSDDGDDISEARRVGVVRSVNAKERTACVRWLKKVARAEDPREFDSEEVVSVYELEGHPDYDYCYGDVVVRLTPVSAHLETASVEESTEKSEQKTEECGIKKEAKIQTDANRVENASTDTSTQFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDDESIAAGSEVSDAASWETVNDDEMEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKAGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g278200.3.v1.2 pep primary_assembly:ASM411807v1:7:39309849:39318892:-1 gene:Vigun07g278200.v1.2 transcript:Vigun07g278200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVFDFRKMGVQRHEALAEDEPAENACASSSLNQEVAGDSDSDSDSSITDDENDSEDEDGDGEEGDDSNNASRNSESNVSAGHCKADALLADQLRVLWMDESESTQNFSDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNICVDLLSHDGSIIKDVSSKNIQRIRDFTVGDYVVLGPWLGRVDDVLDNVTVLFDDGSVCKVSKADPLNLKPISKNIVEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTVGSVFVYWIASAGYGPYSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASMDKGMSKLELNDSANNELDSNQTGSGCDSEEATVEETNGNKDTMELDPADTLEGNDGHEKSNPSRDSSSCCSSISVSKEPVHEAWPLHRKKIRKVVIRKEKRARKKEESFEKALLIANTRTKVDVAWQDGTIGHELNSTSLIPIDNPGDHEFVSEQYVVEKTSDDGDDISEARRVGVVRSVNAKERTACVRWLKKVARAEDPREFDSEEVVSVYELEGHPDYDYCYGDVVVRLTPVSAHLETASVEESTEKSEQKTEECGIKKEAKIQTDANRVENASTDTSTQFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDDESIAAGSEVSDAASWETVNDDEMEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKAGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g278200.4.v1.2 pep primary_assembly:ASM411807v1:7:39309849:39318892:-1 gene:Vigun07g278200.v1.2 transcript:Vigun07g278200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVFDFRKMGVQRHEALAEDEPAENACASSSLNQGAIPSESANSNPCVNTESSEVNEPSDFFFKKSNTSHIYRQDVVKNNTSGMIGIVTEVAGDSDSDSDSSITDDENDSEDEDGDGEEGDDSNNASRNSESNVSAGHCKADALLADQLRVLWMDESESTQNFSDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNICVDLLSHDGSIIKDVSSKNIQRIRDFTVGDYVVLGPWLGRVDDVLDNVTVLFDDGSVCKVSKADPLNLKPISKNIVEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTVGSVFVYWIASAGYGPYSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASMDKGMSKLELNDSANNELDSNQTGSGCDSEEATVEETNGNKDTMELDPADTLEGNDGHEKSNPSRDSSSCCSSISVSKEPVHEAWPLHRKKIRKVVIRKEKRARKKEESFEKALLIANTRTKVDVAWQDGTIGHELNSTSLIPIDNPGDHEFVSEQYVVEKTSDDGDDISEARRVGVVRSVNAKERTACVRWLKKVARAEDPREFDSEEVVSVYELEGHPDYDYCYGDVVVRLTPVSAHLETASVEESTEKSEQKTEECGIKKEAKIQTDANRVENASTDTSTQFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDDESIAAGSEVSDAASWETVNDDEMEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKAGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun07g278200.5.v1.2 pep primary_assembly:ASM411807v1:7:39309849:39318892:-1 gene:Vigun07g278200.v1.2 transcript:Vigun07g278200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVFDFRKMGVQRHEALAEDEPAENACASSSLNQGAIPSESANSNPCVNTESSEVNEPSDFFFKKSNTSHIYRQDVVKNNTSGMIGIVTEVAGDSDSDSDSSITDDENDSEDEDGDGEEGDDSNNASRNSESNVSAGHCKADALLADQLRVLWMDESESTQNFSDVEVVDRGFLHGDFVAAASDPTGQVGVVVDVNICVDLLSHDGSIIKDVSSKNIQRIRDFTVGDYVVLGPWLGRVDDVLDNVTVLFDDGSVCKVSKADPLNLKPISKNIVEDGHFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTVGSVFVYWIASAGYGPYSSTAPAEEQSPKNLKLLSCFAHANWQLGDWCLLPSSVLSSSASMDKGMSKLELNDSANNELDSNQTGSGCDSEEATVEETNGNKDTMELDPADTLEGNDGHEKSNPSRDSSSCCSSISVSKEPVHEAWPLHRKKIRKVVIRKEKRARKKEESFEKALLIANTRTKVDVAWQDGTIGHELNSTSLIPIDNPGDHEFVSEQYVVEKTSDDGDDISEARRVGVVRSVNAKERTACVRWLKKVARAEDPREFDSEEVVSVYELEGHPDYDYCYGDVVVRLTPVSAHLETASVEESTEKSEQKTEECGIKKEAKIQTDANRVENASTDTSTQFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDDESIAAGSEVSDAASWETVNDDEMEVLEDSREDIQRENSSSVTSEAEESGENDFGRAAALSVPLAAFRFVTRLASGIFSRGPRNLDSIDMQIKAGHEHPSPVVNDESSSQRPIPINGDTSGNKNGRYEEVASDATETLEACETLHDLKKEDALASSDDGSCSLKHFDITQDPSDHYFIGANGQSNNRKWLKKVQQDWNILQNNLPEEIYVRVYEDRMDLLRAVIVGPYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLVKEHFRRRGHNILKACDAYMKGCLIGSLTRDASVSEKSGQNSTSVGFKLMLAKIVPKLFSSLSEVGADCEEFRHLKDL >Vigun05g290000.1.v1.2 pep primary_assembly:ASM411807v1:5:47659386:47662029:1 gene:Vigun05g290000.v1.2 transcript:Vigun05g290000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQKLKLQLLLFLSISTCHVVFSFTDGLLPNGNFEQGPKASQLKGSVVTSHDAVPNWTISGMVEYIKSGQKQGDMLLVVPEGDYAVRLGNEASIKQKVKLIKGLFYSITLSAARTCAQEEKLNVSVVPTAENRDWGIIPIQTMYGSNGWESFTCGFRADFPEGEIVIHNPGKEEDPACGPLIDSVALKVLYPPKRTRANLLKNGNFEEGPYLFPNSSWGALIPPHIEDAHSPLPGWIVESLKAVKYIDSDHFSVPEGKRAIELVAGKESALAQVVITTIGKVYDLTFAVGDANNACEGSMVVEAFAGKDTVQVPYQSKGKGGSVRGKLRFKAVSTRTRLRFLSTFYTMKSDNSGSLCGPVIDDVKLLSVRYPTHG >Vigun04g079200.1.v1.2 pep primary_assembly:ASM411807v1:4:11040066:11042880:1 gene:Vigun04g079200.v1.2 transcript:Vigun04g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVSIFVVWLMLLHCWVATSETKYLKYKDPKQPLNVRIKDLMDRMTLEEKIGQMTQIERKVASAEIMKNYYIGSVLSGGGSVPKPQASAEDWMNMVNDLQKGSLSTRLEIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPKLVRRIGAATALEIRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGEIPANSPKGVPYAAGKRKVIASAKHYVGDGGTIKGINENNTVISRHGLLSIHMPAYYNVIAKGVSTVMVSYSSWNGEKMHANRNLITDFLKNSLRFKGFVISDWKGIDKITTPTHANYTYSIYAAITAGVDMVMVPLNYTEFIDGLTSLVESKAIPMSRIDDAVRRILRVKFVMGLFENPLADHNLVHHLGKKKHRDLAREAVRKSLVLLKNGENPNQPLLPLSKRASKILVAGSHADNLGYQCGGWTIEWQGVTGNNVTKGTTILNAIRNTIHKDAEVVYKENPDLDYVKSNNFAYAIVVVGEKPYAETNGDSLNLTISAPGPETINNVCGAVKCVTVIISGRPVVIQPYVDKIEALVAAWLPGTEGDGVTDVLFGDYGFTGKLPRTWFKNIDQLPMNIGDSHYDPLFPFGFGLETKPHKTN >Vigun01g224500.1.v1.2 pep primary_assembly:ASM411807v1:1:39748885:39753594:-1 gene:Vigun01g224500.v1.2 transcript:Vigun01g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKHVRKTRVVDVVLDCVIPYIDDPKDRDAVSQVCRRWYELDSLTRKHVTIALCYTTTPARLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGHVTPWVEEISQYFDCLKSLHFRRMIVTDSDLLLLSRSRGHVLHALKLDKCSGFSTDGLLHIGRLCKNLRVLFLEESSIVEKDGEWLHELASNNTVLEDLNFYLTDIACIRNQDLELLAKNCPNLVSVKLTDCEILDLVNFFKHASALEEFCGGTYNAEPENYSAISLPAKLCRLGLTYIGKNELPIVFLFAGVLKKLDLLYAMLDTEDHCTLIRKCPNLEVLETRNVIGDRGLEVLGRCCRRLKRLRIERGDDDQGMEDEEGTVSHRGLIALSQGCSELEYMAVYVSDITNASLEHIGTHLKKLCDFRLVLLDHEKKITDLPLDNGVRALLRGCEKLKRFALYLRRGGLTDAGLGYIGQYSSNVRWMLLGYVGESDAGLLEFSNGCPNLQKLEMRGCSFFSERALAVAATRLTSLRYLWVQGYGASSSGHDLLAMARPFWNIELIPSRKVPNHQDETVVVEHPAHILAYYSLAGQRSDFPDTVVPLEAATRVVDA >Vigun03g108300.1.v1.2 pep primary_assembly:ASM411807v1:3:9690513:9698587:-1 gene:Vigun03g108300.v1.2 transcript:Vigun03g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAKTLMLTNIAANGIVLQKKFSLNSQVRSLRHSIEEERSVSALKLRARKKSCATMVTINEGELITENSTTVKLSALVTVRNNNKVLGSEMVNNLLSIFFPQNHTKALLLQLVSTNLDPERMKGKLSKETVIELSEEEGMRSYKVEFTVDSDFGTPGAVTVVNGYDNELFLESITIAQNLHFSCKSWLQPNKIYPDKRIFFLNKAYLPSETPIGVKELREKEMVKLRGDGKGRRVPSDRIYDYDLYNDLGHSKSHRPTLNPYPTRCRTGRPLITTNGAKVESRPSESELIYVPRDEELNDIKREAIDQGKLMAMFRNIIPALTDKIMGNQALFNIDYFIKESGQSILFNLGGAAQDFFKFDPPKVFSRKRSHFIQDDEFGRQVLAEFPLSIERLKVFPPVSKLDPSKYGSVESALKEEHIIGQIEGMSIQQALEENKLFMVDYHDFYLPFLDRINAIEERKAYATTTILFLTKMGTLKPIAIQLALPTGNPNTSSKKVLTPPTDATSKWLWQLGKAHVCSNDAGVHTLVHHWLRIHACMEPLIIAAHRQLSVMHPIFKLLHPHMRYTLKTNATARQTLITAEGTIETDHTPGRYCMQMTSAAYKDWWRFDLEGFPEDLIRRGLAVPDATQPHGIRVLIEDYPYAADGLLIWSSIKNLVRTYVNYYYKNCNAVSSDNELQSWYAEFINLGHPGHKSASWWPKLASPEDLISVLTTVIWLVTAQHAVLNFGQYPYGGYVPIRPPLMRKLIPKEEDPEYSDFVMDPQKYFLSALPSLFQASRFMAVIDIGSAHSPDEEYIGERNDLSSWLGEPEIIDAFNQFSMEMKSIEIEIKRRNADPKLRNRCGVDALPYELLVPSSGCGATGRGVPNSVTA >Vigun08g094200.2.v1.2 pep primary_assembly:ASM411807v1:8:22260883:22265142:-1 gene:Vigun08g094200.v1.2 transcript:Vigun08g094200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSLYNLSFEDVQRQLLLGNTSGHVVQEPSCDHDSFTKSNGIGEAWRGIVDHDHILNEPTLGESTIEHFLANAGVINVADQNANVHIPTPMVIDSIHHQQHWLQIPSVNVNTHRPQDFSVSNNSFYDSQLSYSENSVGIPMSPSYSDSSKTSLFRKRKNSNDDTLEKAVERRQKRMAKNRESAARSRAKKQEHILRLENEKCRLQKVNCWLKKLKELGAKLFSSTSVTPRYQLRRTSSTTF >Vigun08g094200.3.v1.2 pep primary_assembly:ASM411807v1:8:22261480:22265143:-1 gene:Vigun08g094200.v1.2 transcript:Vigun08g094200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSLYNLSFEDVQRQLLLGNTSGHVVQEPSCDHDSFTKSNGIGEAWRGIVDHDHILNEPTLGESTIEHFLANAGVINVADQNANVHIPTPMVIDSIHHQQHWLQIPSVNVNTHRPQDFSVSNNSFYDSQLSYSENSVGIPMSPSYSDSSKTSLFRKRKNSNDDTLEKAVERRQKRMAKNRESAARSRAKKQEHILRLENEKCRLQKVNCWLKKLKE >Vigun05g078750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7350387:7350926:1 gene:Vigun05g078750.v1.2 transcript:Vigun05g078750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNTMAGSTTFFIHQQTFNLTTPPCNFVHNSFTKQRHKIYAFTLPTLLCFLQLQTSPFQVYPFTTKACVCGILGYSLAFRACLFLPSYASQLNIAMAAFRSFSLASLVSLLFSDSWWLVKCIFYVLLVVVELHEMVMVSVFLHENNCLKRLTLLWRLRSWNMNSLQQQGLQLTYMDLV >Vigun08g075500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13084738:13085184:1 gene:Vigun08g075500.v1.2 transcript:Vigun08g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNKFCVIVVLTISLTLMVKRGVSREMIWEANDAARPLSSYEQYLQNCAAKLYPDCGVNIFSAIFFGNDTVTCECCDKLVNDVGKQCHDDMTKYILQRPDYRTKKNQILCKSDSVWNDCVSLESPALEPVAATFTDSVAHQSHLFM >Vigun01g081800.2.v1.2 pep primary_assembly:ASM411807v1:1:23208395:23211065:1 gene:Vigun01g081800.v1.2 transcript:Vigun01g081800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQGGPHPPSRRNQSPAQAVAAGIFQINTSVATFRRLVDAVGTVKDTPEHRQKLHNTRQRILQQVKDTSAKLKSLSESDRGANSNASQKIKDAKLARDFQTTLQEFQKVQQLASERESAYTPASASSTLPISSGSGEESTGIDVESQPFIREQKRQEILLLDNEISFNEAMIGEREQGIREVEEQIGQANEIFKDLAVLVHDQGVVIDDIQSNIDASAGATTQARVQLAKASKSVKSRTSWQWSLIY >Vigun01g081800.1.v1.2 pep primary_assembly:ASM411807v1:1:23208395:23211065:1 gene:Vigun01g081800.v1.2 transcript:Vigun01g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQGGPHPPSRRNQSPAQAVAAGIFQINTSVATFRRLVDAVGTVKDTPEHRQKLHNTRQRILQQVKDTSAKLKSLSESDRGANSNASQKIKDAKLARDFQTTLQEFQKVQQLASERESAYTPASASSTLPISSGSGEESTGIDVESQPFIREQKRQEILLLDNEISFNEAMIGEREQGIREVEEQIGQANEIFKDLAVLVHDQGVVIDDIQSNIDASAGATTQARVQLAKASKSVKSRTSWCWWVLVIVVVVLVILLIVLIL >Vigun01g081800.3.v1.2 pep primary_assembly:ASM411807v1:1:23208395:23211065:1 gene:Vigun01g081800.v1.2 transcript:Vigun01g081800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQGGPHPPSRRNQSPAQAVAAGIFQINTSVATFRRLVDAVGTVKDTPEHRQKLHNTRQRILQQVKDTSAKLKSLSESDRGANSNASQKIKDAKLARDFQTTLQEFQKVQQLASERESAYTPASASSTLPISSGSGEESTGIDVESQPFIREQKRQEILLLDNEISFNEAMIGEREQGIREVEEQIGQANEIFKDLAVLVHDQGVVIDDIQSNIDASAGATTQARVQLAKASKSVKSRTSWCASAYNWDRFFVPYLVCFEV >Vigun08g133100.1.v1.2 pep primary_assembly:ASM411807v1:8:30417200:30419162:1 gene:Vigun08g133100.v1.2 transcript:Vigun08g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTNKTMFLARRLRQMEFTGIDPDFFTLNILVNCFCHLGQMAFAFSVVGKILKLGHHPTTITLNTLMKGLCLKGEVKKALNFHDEVLAQGFRLDEISYGTLINGVCKIGETRAAIRLLRMIERRAIKPNLIMYSTIIDSLSKDNLVTEACDLYSEMVVKGISPDVVTYTSLICGFCIVGQLNKAIGLLNEMVSKSIDPDIYTYSILIDALCKEGKVKEARNVFAVMVKACLKPDVIVCSTLMDGYFIVNEVNSAKHVFYTLTQMGVTPDVHCYSIMIHGLCKIKMVDDAMNLFKEMHQKNMVPDTVTYTSLIAGLCKSGRISYVWDLIDQMHDTGQPLDVITYNNLIDALCKNGHLDKAIEFFNKMKNQGIQPNVYTFTILLDGLCKGGKLKNAQEVFLDLLTKGYCLNAKTYTVMINGLCKEGLLDEALALWSKMEDNGCIPDAVTFEIMIRAFFEEDENDKAEKLLYEMIARGLL >Vigun08g133100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30417200:30419162:1 gene:Vigun08g133100.v1.2 transcript:Vigun08g133100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFSVVGKILKLGHHPTTITLNTLMKGLCLKGEVKKALNFHDEVLAQGFRLDEISYGTLINGVCKIGETRAAIRLLRMIERRAIKPNLIMYSTIIDSLSKDNLVTEACDLYSEMVVKGISPDVVTYTSLICGFCIVGQLNKAIGLLNEMVSKSIDPDIYTYSILIDALCKEGKVKEARNVFAVMVKACLKPDVIVCSTLMDGYFIVNEVNSAKHVFYTLTQMGVTPDVHCYSIMIHGLCKIKMVDDAMNLFKEMHQKNMVPDTVTYTSLIAGLCKSGRISYVWDLIDQMHDTGQPLDVITYNNLIDALCKNGHLDKAIEFFNKMKNQGIQPNVYTFTILLDGLCKGGKLKNAQEVFLDLLTKGYCLNAKTYTVMINGLCKEGLLDEALALWSKMEDNGCIPDAVTFEIMIRAFFEEDENDKAEKLLYEMIARGLL >Vigun04g176900.7.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKASYGIYSSS >Vigun04g176900.6.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKASYGIYSSS >Vigun04g176900.1.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKKFENLTELNFDDCNLLEQIPDVSDLPNLEKLSFQWCGSLVSVHNSVGFLTKLKILIAERCEKLRRFPPLNLTSLETLELSHCLSLENFPEILGKMGNIRKLSLRRLPIKELPDSFQNLTGLQELELNCDFIQLSGNVLTPELTSLCVVTFKEWKWVKSKEGEEDVGSTVSSNVQSFWGSYNLDDDFFSAVFPQLAQVKQLWLVRSNVSFLPECMKEFHHLNDLGVSFCKYLKEIRGIPPNLRKFRAVECRSLTSSSSSILLNKQLHEAGKTDFIFQGGSIPSWFDKQSRGPSISFWFRNKFPAKVVSLVILALQRPQFAETVRPMVLINGEYRGSYDYSYYLKREEGIVELDHVYLFDLRVTPFRDDLTEMPLEEEWKHVEVTYEGMYDTSLIKAMGIHVVKTERTSMEDIRYDIPF >Vigun04g176900.3.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKKFENLTELNFDDCNLLEQIPDVSDLPNLEKLSFQWCGSLVSVHNSVGFLTKLKILIAERCEKLRRFPPLNLTSLETLELSHCLSLENFPEILGKMGNIRKLSLRRLPIKELPDSFQNLTGLQELELNCDFIQLSGNVLTPELTSLCVVTFKEWKWVKSKEGEEDVGSTVSSNVQSFWGSYNLDDDFFSAVFPQLAQVKQLWLVRSNVSFLPECMKEFHHLNDLGVSFCKYLKEIRGIPPNLRKFRAVECRSLTSSSSSILLNKQQLHEAGKTDFIFQGGSIPSWFDKQSRGPSISFWFRNKFPAKVVSLVILALQRPQFAETVRPMVLINGEYRGSYDYSYYLKREEGIVELDHVYLFDLRVTPFRDDLTEMPLEEEWKHVEVTYEGMYDTSLIKAMGIHVVKTERTSMEDIRYDIPF >Vigun04g176900.2.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTKFENLTELNFDDCNLLEQIPDVSDLPNLEKLSFQWCGSLVSVHNSVGFLTKLKILIAERCEKLRRFPPLNLTSLETLELSHCLSLENFPEILGKMGNIRKLSLRRLPIKELPDSFQNLTGLQELELNCDFIQLSGNVLTPELTSLCVVTFKEWKWVKSKEGEEDVGSTVSSNVQSFWGSYNLDDDFFSAVFPQLAQVKQLWLVRSNVSFLPECMKEFHHLNDLGVSFCKYLKEIRGIPPNLRKFRAVECRSLTSSSSSILLNKQLHEAGKTDFIFQGGSIPSWFDKQSRGPSISFWFRNKFPAKVVSLVILALQRPQFAETVRPMVLINGEYRGSYDYSYYLKREEGIVELDHVYLFDLRVTPFRDDLTEMPLEEEWKHVEVTYEGMYDTSLIKAMGIHVVKTERTSMEDIRYDIPF >Vigun04g176900.5.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKASYGIYSSS >Vigun04g176900.4.v1.2 pep primary_assembly:ASM411807v1:4:40025016:40029217:1 gene:Vigun04g176900.v1.2 transcript:Vigun04g176900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKSLCDRGIHTFIDNEELQSGEKITAALVKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLVIPVFYKVDPSYVRHQKGSYGEALTKRQRRFEDTQKVQKWEAALRQVANLSGDHFKEGDEYQYEFIGRIIERVSREINRAPLHVADYPVGLLSQVLEVKELLDVGSDDVHMIGIHGMGGLGKTTLSVAVYNLIADDFDSSCFLQNVREESKKHGLKHLQTILLSKILGEKDINLASEHEGISMIQQRLQRKKVLLILDDVDKWKQLQALAGRSDWFGPGSRIIITTRDQQLLKSHEIERTYEVEKLNRNDSLQLLKWKAFKKEQVDPSYVEVLDDVVTYASGLPLALEVIGSNLLAKSVEEWKSAINQYKRIPSNQILEILKVSFEDLESEEKSVFLDIACCFKGYILREVEDILGAIYDDCMKHHISVLVDKSLIKVKNDWWSKVEIHDLIEEMGRQIDRQESPEGSGKRRRILLPKDLIQVLKGNTGTSIIEILSLDISIYMGKETLDWNAFGNMKNLKVLIIRNFGISGGPNCFPESLRVLKWDGYPSNCFPSNFDPNKLLICKLAFGRFTSFKFPDSSKKFENLTELNFDDCNLLEQIPDVSDLPNLEKLSFQWCGSLVSVHNSVGFLTKLKILIAERCEKLRRFPPLNLTSLETLELSHCLSLENFPEILGKMGNIRKLSLRRLPIKELPDSFQNLTGLQELELNCDFIQLSGNVLTPELTSLCVVTFKEWKWVKSKEGEEDVGSTVSSNVQSFWGSYNLDDDFFSAVFPQLAQVKQLWLVRSNVSFLPECMKEFHHLNDLGVSFCKYLKEIRGIPPNLRKFRAVECRSLTSSSSSILLNKVFVLFLMNLI >Vigun03g104400.1.v1.2 pep primary_assembly:ASM411807v1:3:9058450:9061411:1 gene:Vigun03g104400.v1.2 transcript:Vigun03g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLAAAKRQYTLQHHHQNSHLDRLGIDDFDVEEEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESRVTICPVCSVKVARDMLSHITLQHGHLFKVQRRRRLRRVAIPNSQTLSLLGRDLREAHLQVLLGGAGGGYRSNSAAVSNVATDPFLSSFILNFPACEAEEISKSVVTTAEDSSAKNVTPEHIWKSSFDPSLSSEEREKRMRQAAGRSGFVQDLFLSTLLDD >Vigun10g028000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3403618:3404335:1 gene:Vigun10g028000.v1.2 transcript:Vigun10g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKLLEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAINTDHIEVDKETMEMLHSLGINDVSGIVQVDPVPVQPTFAFNRRY >Vigun02g007400.2.v1.2 pep primary_assembly:ASM411807v1:2:3317830:3322427:1 gene:Vigun02g007400.v1.2 transcript:Vigun02g007400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFHVDGVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKQMKDKSESKNQYCKFDNELLTLFTSSLYLAALVASFFASTTTRKLGRKSSMLIGGLFFLVGSLLNGFAMNIEMLIIGRMFLGIGVGFCNQSVPVYLSEVAPAKIRGALNIGFQMMITIGILVANLINYGTSKHENGWRISLGIAVVPAILLCIGSLCVVETPNSLIERGKFEKAKKMLKKIRGTEKIDEEYQDIVDASDLAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNDASLMSAVITGVVNVVATLVSIFTVDKFGRRVLLLEGGVQMFICQVIVGTMIGLRFGLNGQGAFSKVEANILLFFICAYVAAFAWSWGPLGWLVPSEICSLEIRPAGQAINVATNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIASFLPETKNVPIEEMNILWTSHWFWKKIVPNDIDIKSKNRQVGSGQLITCFTTNNMCFSSPSTSSSPPPLKPVCADVHLLLRFSYLQRQSHTKGWDTAIEPSLRRPPISAAAKADM >Vigun03g302700.2.v1.2 pep primary_assembly:ASM411807v1:3:49249166:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPLEDQTDEDFFDKLVEDDNEHVNSGHADEDYDNYDDVKAFANLGIHGDAGECRNERKQEERDESGVQLDGGNAREGGFSASSGSFGGDSAMDHGDHGTGLERTSVSDVSKSNGINNSEVKEVGWNSFNVDANRDNGFGSYSDFFGELVEESGRTCNHSNNEEKSGNEIRNDGSNSLSNYEQCQEGKGYDTSQVNKTNGQDLSSSQYWEDLYPGWKYDQNTGQWYMVDEHNANQGSSMANTTANWTTASDAVSEVSYMQQTAQSMVGTLAATNTTESVSCWNQASQGNNGYPEHMVFDPQYPGWYYDMIAQEWRSLETYHSFIQSAGHGQESRRSSTEQNLPNDVNLYREYGQDGNYGSLGAGNQTTDDKWSGSYGIHHHQGQDTHTTEMATRNEDTATSGGNRQYGHSFGSNISINKDQQNNSASFETVSYSKVNHDHGLADQTLERQNSAPSGNVPQHFNYSNTQFDEPNNFSNEYAKSQKPFSYSQAQPSFQDTHQSRAPHVGRSSAGRPPHALVTFGFGGKLVVMKDYSFSSSSYGSQTSVQGSVSVLNVMEVVNGSIDSSSIGSGAGDYFRALSQQSFPGPLVSGSFGNKELYKWIDERIAHSGSTDMDYKKCERLRLLLSLLKIACQHYGKLRSPFGTDTIRKENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.8.v1.2 pep primary_assembly:ASM411807v1:3:49255022:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.1.v1.2 pep primary_assembly:ASM411807v1:3:49249167:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPLEDQTDEDFFDKLVEDDNEHVNSGHADEDYDNYDDVKAFANLGIHGDAGECRNERKQEERDESGVQLDGGNAREGGFSASSGSFGGDSAMDHGDHGTGLERTSVSDVSKSNGINNSEVKEVGWNSFNVDANRDNGFGSYSDFFGELVEESGRTCNHSNNEEKSGNEIRNDGSNSLSNYEQCQEGKGYDTSQVNKTNGQDLSSSQYWEDLYPGWKYDQNTGQWYMVDEHNANQGSSMANTTANWTTASDAVSEVSYMQQTAQSMVGTLAATNTTESVSCWNQASQGNNGYPEHMVFDPQYPGWYYDMIAQEWRSLETYHSFIQSAGHGQESRRSSTEQNLPNDVNLYREYGQDGNYGSLGAGNQTTDDKWSGSYGIHHHQGQDTHTTEMATRNEDTATSGGNRQYGHSFGSNISINKDQQNNSASFETVSYSKVNHDHGLADQTLERQNSAPSGNVPQHFNYSNTQFDEPNNFSNEYAKSQKPFSYSQAQPSFQDTHQSRAPHVGRSSAGRPPHALVTFGFGGKLVVMKDYSFSSSSYGSQTSVQGSVSVLNVMEVVNGSIDSSSIGSGAGDYFRALSQQSFPGPLVSGSFGNKELYKWIDERIAHSGSTDMDYKKCERLRLLLSLLKIACQHYGKLRSPFGTDTIRKENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.7.v1.2 pep primary_assembly:ASM411807v1:3:49255022:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.3.v1.2 pep primary_assembly:ASM411807v1:3:49249167:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPLEDQTDEDFFDKLVEDDNEHVNSGHADEDYDNYDDVKAFANLGIHGDAGECRNERKQEERDESGVQLDGGNAREGGFSASSGSFGGDSAMDHGDHGTGLERTSVSDVSKSNGINNSEVKEVGWNSFNVDANRDNGFGSYSDFFGELVEESGRTCNHSNNEEKSGNEIRNDGSNSLSNYEQCQEGKGYDTSQVNKTNGQDLSSSQYWEDLYPGWKYDQNTGQWYMVDEHNANQGSSMANTTANWTTASDAVSEVSYMQQTAQSMVGTLAATNTTESVSCWNQASQGNNGYPEHMVFDPQYPGWYYDMIAQEWRSLETYHSFIQSAGHGQESRRSSTEQNLPNDVNLYREYGQDGNYGSLGAGNQTTDDKWSGSYGIHHHQGQDTHTTEMATRNEDTATSGGNRQYGHSFGSNISINKDQQNNSASFETVSYSKVNHDHGLADQTLERQNSAPSGNVPQHFNYSNTQFDEPNNFSNEYAKSQKPFSYSQAQPSFQDTHQSRAPHVGRSSAGRPPHALVTFGFGGKLVVMKDYSFSSSSYGSQTSVQGSVSVLNVMEVVNGSIDSSSIGSGAGDYFRALSQQSFPGPLVSGSFGNKELYKWIDERIAHSGSTDMDYKKCERLRLLLSLLKIACQHYGKLRSPFGTDTIRKENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.4.v1.2 pep primary_assembly:ASM411807v1:3:49249166:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEHNANQGSSMANTTANWTTASDAVSEVSYMQQTAQSMVGTLAATNTTESVSCWNQASQGNNGYPEHMVFDPQYPGWYYDMIAQEWRSLETYHSFIQSAGHGQESRRSSTEQNLPNDVNLYREYGQDGNYGSLGAGNQTTDDKWSGSYGIHHHQGQDTHTTEMATRNEDTATSGGNRQYGHSFGSNISINKDQQNNSASFETVSYSKVNHDHGLADQTLERQNSAPSGNVPQHFNYSNTQFDEPNNFSNEYAKSQKPFSYSQAQPSFQDTHQSRAPHVGRSSAGRPPHALVTFGFGGKLVVMKDYSFSSSSYGSQTSVQGSVSVLNVMEVVNGSIDSSSIGSGAGDYFRALSQQSFPGPLVSGSFGNKELYKWIDERIAHSGSTDMDYKKCERLRLLLSLLKIACQHYGKLRSPFGTDTIRKENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.6.v1.2 pep primary_assembly:ASM411807v1:3:49249167:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVLLLVQTPYENITDRFGDLLCLYFEQENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun03g302700.5.v1.2 pep primary_assembly:ASM411807v1:3:49249167:49259308:1 gene:Vigun03g302700.v1.2 transcript:Vigun03g302700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNEDTATSGGNRQYGHSFGSNISINKDQQNNSASFETVSYSKVNHDHGLADQTLERQNSAPSGNVPQHFNYSNTQFDEPNNFSNEYAKSQKPFSYSQAQPSFQDTHQSRAPHVGRSSAGRPPHALVTFGFGGKLVVMKDYSFSSSSYGSQTSVQGSVSVLNVMEVVNGSIDSSSIGSGAGDYFRALSQQSFPGPLVSGSFGNKELYKWIDERIAHSGSTDMDYKKCERLRLLLSLLKIACQHYGKLRSPFGTDTIRKENDTPEAAVAKLFASTKTCGKEFTQYGVLRSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGDQFYVDTVKQMALRQLVSGSPLRTLCLLIAGQPAEVFSPGSSAGGDPNSLNIPQQPTQFGSIDMLGDWEENLAVITANRTKDDELVIIHLGDCLWRETSQIIAAHICYLVAEANFESYSDRARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVMKCLKTGRAPEVETWKQLVLSLEDRIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSSSSSQGYVHGNGHHQPVTNRVSNSQSTMAMSSLVPSASMEPISDWTADDNKTPKPNRSVSEPDFGRSPRQGTSPEGQGKTTESGGASRFSRFGFGSQLLQKTVELVLRPRPGRQAKLGEKNKFYYDEELKRWVEEGVQPQSEETALPPPPKTSTFQNGSTEYSLKHALKNEGTPPKEGYDLKTKSHEHNPGIPPMPPSSTQFSGRGRVGVRSRYVDTFNPGSGSSTKLFQSSPVKPALAANAKFFVPAHTPSSNEQAMEAITESNHEDSLNNEKPSTSYQSPGVLPRQRFPSMDTIGFQEIMTNGSNSEVPHSRRTASWGGEAINDLFSPTEMGENNTPGESLGMSPSKFVPNDLQEVQF >Vigun07g291200.1.v1.2 pep primary_assembly:ASM411807v1:7:40289285:40293269:1 gene:Vigun07g291200.v1.2 transcript:Vigun07g291200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLHLSQALLARAVYLHGSSSDRVSLSFPSFSGLKSHPPCKAATFSAGSRRRGASTSVVRAAAVETLDQTTEVSLVEKSINTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPTWFNRDRFILSAGHGCMLQYALLHLAGYDSVQEEDLKDFRQWESRTPGHPENFETLGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIALYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGFGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKKHWSRHAAEGSKLEAEWNAKFAEYEKKYSAEAADLKAIFTGELPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGLIGGSADLASSNMTLLKSYGDFQKGTPEERNVRFGVREHGMGAICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGSYKVAVVNRKRPSILALSRQKLAQLPGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAAAAEELRKEGKAVRVVSFVSWELFDEQSEEYKESVLPASVTARVSIEAGSTFGWGKIVGSKGKAIGIDRFGASAPAGKIYKEFGLTKEAVIAAAKEVS >Vigun07g035000.1.v1.2 pep primary_assembly:ASM411807v1:7:3356226:3365408:1 gene:Vigun07g035000.v1.2 transcript:Vigun07g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMNLKDFELSPNDRSIEALAKWRSAVWLVKNPRRRFRWVADLAKRKNAEEKRRKLQGKIRAIIYAERAAMQFMEAIAPAEYKVSEKTREAGFGIEPDDIASLVRGHDYKSYKRIGQVEAIIEKLSASVDDGVGSDSIDTRQEVYGVNRYTEKPSKSFLMFVWEALHDLTLIILMVCALVSIAIGLPTEGWPKGVYDGLGIILSIFLVVTVTAISDYQQSLQFRDLDKEKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSTGDQVPADGIYISGYSLVIDESSLTGESEPVNIDEKRPFLLSGTKVQDGQGKMIVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATVIGKIGLTFSVLTFLVLTIRFVVEKAVTGDFSSWSSDDALKLLDYFAIAVTIIVVAIPEGLPLAVTLSLAFAMKKLMKDMALVRHLSACETMGSATCICTDKTGTLTTNHMVVSKIWICGRSAEIKGYESVDKLKTEIPEEVLSILLRAIFQNTSSEVVKDKDGNTTTLGTPTESALLEFGLLSGGDFDAQRATYKILKVEPFNSVRKKMSVLVGLPDGGIQAFCKGASEIVLKLCNKVIDPSGIVVDLSDEQAKNVSDIINGFASEALRTLCLAVKDVNESSGETSIPEDGYTLIAIVGIKDPVRPGVKEAVQSCLAAGITVRMVTGDNINTAKAIARECGILTEDGVAIEGPQFRDLSPEQMESIIPRIQVMARSLPLDKHTLVTRLRNMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFTTIVNVARWGRAIYINIQKFVQFQLTVNIVALIINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMLRPPVGRTTNFITKSMWRNIFGQSIYQLIVLAVLTFDGKRLLRISGPDSTIVLNTLIFNSFVFCQVFNEINSRDIEKINIFKGIFESWIFFSVILSTVVFQVVIVEFLGTLASTVPLSWEFWVLSVVIGAISLPIAAILKCIPVDKRDATTNHHDGYEALPSGPDLA >Vigun09g222700.4.v1.2 pep primary_assembly:ASM411807v1:9:39570577:39571410:1 gene:Vigun09g222700.v1.2 transcript:Vigun09g222700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIVHSFRINGVKMSGDNPKPPHSPESDFSKQWPSELSQYLNFDDDQWSYDDLDSFVSGHAFSHKTEAIEVADDGDEHEKNEVKDRVAFKTKSEIEIMDDGFKWRKYGKKMVKNSPNPRNYYRCSVEGCSVKKVVERDKDDPRYVITTYVGTHTHPSYS >Vigun09g222700.2.v1.2 pep primary_assembly:ASM411807v1:9:39570568:39571593:1 gene:Vigun09g222700.v1.2 transcript:Vigun09g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIVHSFRINGVKMSGDNPKPPHSPESDFSKQWPSELSQYLNFDDDQWSYDDLDSFVSGHAFSHKTEAIEVDDGDEHEKNEVKDRVAFKTKSEIEIMDDGFKWRKYGKKMVKNSPNPRNYYRCSVEGCSVKKVVERDKDDPRYVITTYVGTHTHPSYS >Vigun09g222700.3.v1.2 pep primary_assembly:ASM411807v1:9:39570577:39571410:1 gene:Vigun09g222700.v1.2 transcript:Vigun09g222700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIVHSFRINGVKMSGDNPKPPHSPESDFSKQWPSELSQYLNFDDDQWSYDDLDSFVSGHAFSHKTEAIEVGEVGGSSTHHEGSSSITDDGDEHEKNEVKDRVAFKTKSEIEIMDDGFKWRKYGKKMVKNSPNPRNYYRCSVEGCSVKKVVERDKDDPRYVITTYVGTHTHPSYS >Vigun09g222700.1.v1.2 pep primary_assembly:ASM411807v1:9:39570568:39571593:1 gene:Vigun09g222700.v1.2 transcript:Vigun09g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIVHSFRINGVKMSGDNPKPPHSPESDFSKQWPSELSQYLNFDDDQWSYDDLDSFVSGHAFSHKTEAIEVGEVGGSSTHHEGSSSINDGDEHEKNEVKDRVAFKTKSEIEIMDDGFKWRKYGKKMVKNSPNPRNYYRCSVEGCSVKKVVERDKDDPRYVITTYVGTHTHPSYS >Vigun08g144400.1.v1.2 pep primary_assembly:ASM411807v1:8:31726474:31729324:1 gene:Vigun08g144400.v1.2 transcript:Vigun08g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLITFILTNGQYCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPITHKPLPNATQQTQNQPQQEQQQQLHKPPEEEQNYQQPLQVDFDTKVDPNKEPEISVESSNISEAKEEEQITATPQFETMELSQLMNGFCTDEVPIIEPNEILMPCAPSSSSTSTSSSNSTNILEDLQLPDFEWSCDYSDSSLIINNNNHEDKNNNNNTVTLWDDDDFISKLNWLINEDDDCDGNQVFDGPLTQFSRTVTDSESWAFGLF >Vigun08g144400.2.v1.2 pep primary_assembly:ASM411807v1:8:31726539:31729324:1 gene:Vigun08g144400.v1.2 transcript:Vigun08g144400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLITFILTNGQYCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPITHKPLPNATQQTQNQPQQEQQQQLHKPPEEEQNYQQPLQVDFDTKVDPNKEPEISVESSNISEAKEEEQITATPQFETMELSQLMNGFCTDEVPIIEPNEILMPCAPSSSSTSTSSSNSTNILEDLQLPDFEWSCDYSDSSLIINNNNHEDKNNNNNTVTLWDDDDFISKLNWLINEDDDCDGNQVFDGPLTQFSRTVTDSESWAFGLF >Vigun01g223500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39691939:39697486:-1 gene:Vigun01g223500.v1.2 transcript:Vigun01g223500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANAMAILNEGRFLAPRGWGFADFSVGRTKSFKGQLIGLIYATQYLRVPLILLNSICIILKLVSG >Vigun01g223500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39695436:39697489:-1 gene:Vigun01g223500.v1.2 transcript:Vigun01g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANAMAILNEGRFLAPRGWGFADFSVGRTKSFKGQLIGLIYATQYLRVPLILLNSICIILKLVSG >Vigun09g136000.1.v1.2 pep primary_assembly:ASM411807v1:9:29654125:29657533:-1 gene:Vigun09g136000.v1.2 transcript:Vigun09g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFVEMKMVFSVAVVGVLSWILYVYGSLWLESQRVRKRIHMQGIKGPPPSFLYGNLSDMQRIQAQAASLAKASISTSNGSDQYVAHEYSATLFPYFEHWRKQYGLVYTYSTGMKQHLYINDPELVREMNRSITLVLGKPTYLSKKLEPMLGNGIIRANGISWSQQRKLVAAEFFMDKVKGMVHSMIESTQPLLLKWEQIIESHGGGKVEIKVDKDLRGLSADVISRVCFGHSYSKGKEVFSKLRSMQKAMFNHHGGVLFGLGSIREKLKFWSKKKYEILNLEKEIESLIWELVENRKRECSETSSSQKDLMQLLLEAATSDESLGKDFSKKFIVDNCKNIYFAGHETTAVATCWCLMFLGLHQEWQTRIRAEVAKHCPNGIPDSDSLPLLTTLGMVIQEVLRLYPPAAFVSREAKEDIQIGNLDVPKGVCLWTLVPTLHRDPEIWGADANEFKPERFSEGVSKACKYPQAYVPFGLGTRLCLGKNFAMVELKVVLALIISRFSFTLSPNYKHSPAYRMLVEPEHDVDIIIQKI >Vigun03g310000.2.v1.2 pep primary_assembly:ASM411807v1:3:50202296:50205262:1 gene:Vigun03g310000.v1.2 transcript:Vigun03g310000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTASKLAFILTLPRPCSAPFAPIPSLSPSSSSPSGVHLIHFNGRHSCLRRRLFLLSPKATADQQGKVSEFEEDGNIVDGKILPYCSIDRKEKKSIGELEQDFLQALQEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPIMTDKEFDELKMRLKIEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMLLLNVPATVIALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVWIALNLTNAIVKDFVILKGPCPNCGTENTSFFGTILSISNGGSTNTVKCNNCGTTMEYDSTTRLITLPEGSNA >Vigun03g310000.1.v1.2 pep primary_assembly:ASM411807v1:3:50202296:50205262:1 gene:Vigun03g310000.v1.2 transcript:Vigun03g310000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTASKLAFILTLPRPCSAPFAPIPSLSPSSSSPSGVHLIHFNGRHSCLRRRLFLLSPKATADQQGKVSEFEEDGNIVDGKILPYCSIDRKEKKSIGELEQDFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPIMTDKEFDELKMRLKIEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMLLLNVPATVIALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVWIALNLTNAIVKDFVILKGPCPNCGTENTSFFGTILSISNGGSTNTVKCNNCGTTMEYDSTTRLITLPEGSNA >Vigun01g195300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37246963:37247493:-1 gene:Vigun01g195300.v1.2 transcript:Vigun01g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSLLPLSYLTATSFSSGFELEEEDKNMEGISGGLKKYWRRSIRGYQRLHGLEGRERETVQLGEKRRRRRWRIKINPKMKIRKISSPKKLVLWVRDAYMRMMLGLASSTAASAVGYGGGGSTSANGVFDRGPPPKEYDQKMIVHMYKTLIMAQGQLLPRDPTPNRLTTMVTTIL >Vigun04g025300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1949171:1949923:-1 gene:Vigun04g025300.v1.2 transcript:Vigun04g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPYYSLFAVFILIVSCHAQTNSNTSTVCAPSNCGNINISYPFWKKSNTNVREFCGYPEFGIECSEDHAIISFPSDTYQVADINYDEFSITLLDIDVLDQPCPRARHNVSLQNLPLSFSSLDLNLSFYFNCSSYPSSVEHIGCMQQHDRNKSYVFLAGDEVKSGYGWVRQCEEHVVVTVKQEEIDVTNLITGFGDAMKKGFVLDWVSAKDCAACEESKGYCRFDHNTKQSSCLCRDGRTVAKSCKKGTL >Vigun05g042800.1.v1.2 pep primary_assembly:ASM411807v1:5:3517093:3519051:-1 gene:Vigun05g042800.v1.2 transcript:Vigun05g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDIILVSYIQDHGPGNWRTVPTKTGLSRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQDLLGNRWAAIASYLPQRTDNDIKNYWNTHLRKKLKKLQTGCEGSMGDAFSGSRQIPRGQWERRLQTDIQMAKRALSEALSPEKPYCLSGSNSNPSDSSSSFSSTKPSQSLCYASSAENIARMLKGWMKSPPKSSRTNSSVTQNSFNNLVAAGADTASSGAKGPNSVELSENFESLFEFHQSLESSNSDQLSQSFSPEATVLQEERKPDIGAEIMPFSLLEKWLLDEASCQEKLGYGDAKFF >Vigun08g106300.1.v1.2 pep primary_assembly:ASM411807v1:8:26385056:26387758:-1 gene:Vigun08g106300.v1.2 transcript:Vigun08g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEQEEQEEEMGMPDPPVPAPVASYDSLGNPAARSKGAGEGRKGAFGAAAVRYRECQKNHAVSFGGHAVDGCCEFMPAGEDGTLEAVICAACNCHRNFHRKEIDGEMSSFHHRAQPPPPLHHHHQFSPYYHHRVPQHPAAGGYLHHHLGTPPMAQHRPLALPAAASGGGLSREDEDISNPSSSGGGGGGGGGSKKRFRTKFTQEQKDKMLAFAEQLGWRIQKHDESAVEQFCGETNVERKVLKVWMHNNKNTLGESPHQPFLFPFWTHLFNREKLIKI >Vigun11g123700.1.v1.2 pep primary_assembly:ASM411807v1:11:33104033:33105426:-1 gene:Vigun11g123700.v1.2 transcript:Vigun11g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSQLSSAVARRLEGKVALITGGASGIGECTARLLAKHGARVVIADIQDELGHSVSKDIDSSIYVHCDVTKEEHVERAVDTAVSRFGKLDIMHSNAGITGVWNPSIMHNKKSDFEEVINVNLVGVFLGMKHAARVMAPSRRGSIIATASVCGRIGGMASHAYTCSKHGVVGLVRNAAVELGPLGIRVNCVSPYAVPTAMSKNFLNTDDEGIAALYSNLKGVTLKPEDVAEAVVYLASDESKYISGHDLVVDGGFSVMNAGLCSFGQSV >Vigun04g170540.1.v1.2 pep primary_assembly:ASM411807v1:4:39466338:39474864:1 gene:Vigun04g170540.v1.2 transcript:Vigun04g170540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDGSAKSTVQENVLPEQKKQKDVDSWKNVDGEARERRKERDADLQGDRPEKRSKIDKQSEDGIVHGEGSGEKEREVHNYNVQHRKRIHRSRGSPQVANREARFRSHAHAPENEESQGKAEVSSVVYKVGESMQELIKLWKEYELSQSQVEKNSESSKSGPTFEIRIPAENVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPVAVQELRTTIRVLPPQDCYISTLRNNVRSRAWGAAIGCSYKVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFIGEKMVKAIPASQMHDPDTEKSQNHHHHPTNGDKADSDHVMVDVFRWSRCKNPLPQKVMRLIGIPLPLEHVEVLEESLDWEDVQWSQTGVWIGRKEYTLARVHFLSMN >Vigun04g170540.2.v1.2 pep primary_assembly:ASM411807v1:4:39466528:39474840:1 gene:Vigun04g170540.v1.2 transcript:Vigun04g170540.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDGSAKSTVQENVLPEQKKQKDVDSWKNVDGEARERRKERDADLQGDRPEKRSKIDKQSEDGIVHGEGSGEKEREVHNYNVQHRKRIHRSRGSPQVANREARFRSHAHAPENEESQGKAEVSSVVYKVGESMQELIKLWKEYELSQSQVEKNSESSKSGPTFEIRIPAENVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPVAVQELRTTIRVLPPQDCYISTLRNNVRSRAWGAAIGCSYKVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFIGEKMVKAIPASQMHDPDTEKSQNHHHHPTNGDKADSDHVMVDVFRWSRCKNPLPQKVMRLIGIPLPLEHVEVLEESLDWEDVQWSQTGVWIGRKEYTLARVHFLSMN >Vigun05g111000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11569381:11570325:1 gene:Vigun05g111000.v1.2 transcript:Vigun05g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQASGKTLVQWLVAGLLIALLGVAQAVELCNIDSDKLNLCRAAVSGRNPPPPDEKCCGVIRQANLPCLCSYKSILPALGINSKNALALPGKCGLQKPSNC >Vigun10g131300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34019071:34021569:-1 gene:Vigun10g131300.v1.2 transcript:Vigun10g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFLLFILVLHTSSSAATIILQGNATLQSPNNTFQLGFFNFFPGPGPGPPKFYLAIRRTSLPSPNTIWVANRLNPSPSQAASSLQLTPTGQLLLTHFNTTLWTAAAAAATTTTNLTLKLLDSGNLVLLTSDGVVSWQSFDSPSDTWLPGMNLTRFNSLTSWRTETDPSPGLYTLRLKPSFYGEFELVFNDTVSYWSTGNWSNGRFLNIPEMTVPYLYAFHFAAPFSPAAAFGFSERAIETSFRPPTMFRLEPFGQVKQYSWNSQSGSWQKFWFKPENVCQVRGLCGGFGVCTGETSKLCECVSGFEPLDGHGWGSGDYSQGCHRVGAGCDGGDGFEDIGAVRFGFGNVSLDKGKARSFCERECLRDCGCVGLSFDEQSMLCRKFYGSLSDFQNVTDDGESEVLHVRVPRGGSGRKGFDWKVLSGVVIGSVAVLGVVVVTLLVMVKKRVGGKKLEEEDEDGFVGVLNLRVFSYKELQLATRGFSEKIGHGGFGTVFQGELSDGSVVAVKRLERPGSGEKEFRAEVSTIGNIQHVNLVRLRGFCSENSHRLLVYEYMQNGALSVYLRKEGPSLSWDVRFRVAVGTAKGIAYLHEECRCCIIHCDIKPENILLDGDFTAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTMLELIGGRRNVEALPSAGGGESGGESGGKWFFPPWAAQQIIEGNFGEVVDKRLGNVYNVEEARRVGLVAVWCIQDDEAMRPTMGMVVKMLEGLVEVSVPPPPKLLQALVTGDSFHGVKGNSGNGVSTGGSLSDGNLQVSTADSESYTGNVFSPLDVNTNVSVR >Vigun01g081350.1.v1.2 pep primary_assembly:ASM411807v1:1:22904077:22904513:1 gene:Vigun01g081350.v1.2 transcript:Vigun01g081350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKCFDIQFPPPYFKLCGKHAKYFKAEATVCLRHKAPLQVMTWKDICDDDLSAMWKHTKDAFGLVEENKEYAMKQLQKQFRNKHHHLYKAYLQKIGRPHDVPPED >Vigun08g207800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37044969:37047836:-1 gene:Vigun08g207800.v1.2 transcript:Vigun08g207800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWMGKGWLFPVLLLFHFSFSHSLCHPHDYLQLLHFKASLTIDITNKYSYHCDEVYRSIETWENGTDCCSWSGVTCHPIFGYVTALHLACAGLQGKIHANSTLYSLSHMQSLNLAFNDFSNSQIPSTIGELVSLTHLNLSSSNFEGEIPPQISHLSKLQSLDLSDEFRLKWEEGTWKRMLQNATHLRVLVLDQTNLSSTLMSSLNLSSSLITLSLRGTGLKGKLTDDILCLPNPQHLYLSYNSDLHGQLPDLSCSSASLNVLEMINCDLQGTIPPSFSNLTHLTSLDLSYNYIDGSIPPSLLTLPRLNSLLLYINHLSGQIPNVFHKSNKFEELDLNSNNIQGELPSTISNLQHLIYLDISRNKLEGLLSNKITRFSNLTRLYLDSNLLNGTIPFWCFSLPSLEYLYLSNNHFSGIIPAISSNSLRSLSLDGNKLQGNISESIFNLVNLTQLSLSSNNFSGSVNFSLFSKFQNLEYLYLSNLSQLSLNFESNVNYSFSRLQELDLSSMGLTEFPKLSRKTPVLEILDLSDNNLNGKVPNWLHEMDSLSDVYLDRNFLTTPVDQFSRNYNLYYLDLSFNLLSGAISSSICNASSLHTLVLSNNKLTGSIPQCLVSLPFLWVLSLESNRLNGTLPNTFSNNNLNILNLNDNRFEGPLPESLSNCMRLSVLNLGNNQLEDTFPHWLQTPPILRILILRANKFHGPIAIFNKTKYVFPILNVFDISSNNFSGPIPEDYIQSFEFMRNVIKYDFFGSQIYIRCLPMTVTTKGISMPLKKIPINFVIMDLSVNKFEGEIPKVIGELQALRGLNLSHSRLMGPIPQSLGNLTMLESLDLSSNMLTGRIPTELVNMKFLEVLNLSYNHLEGEIPKGKQFDSFSNDSYKENLGLCGDPLSMKCNQNHDGHPRPSQSLWREEKFGFGWKAVAIGYGCGTVFGVGIGSFMFFMGKPKSLVRMFGG >Vigun06g238700.1.v1.2 pep primary_assembly:ASM411807v1:6:34318253:34323408:1 gene:Vigun06g238700.v1.2 transcript:Vigun06g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKSKGTTNHGISANDNLSNGGGQNKEETSGLDEFGYDKDNSGMEVDTPSSTGTDQHYNLANINPDGSIDKAIGKSVGRVKVKLRTPKMLDSQPTSSDAPTQSDTDKSSQQHGLEKQGLSAERMEDSANSLPDMKLSNLSKRAGSIKIKSSKVLGSNAEHTSKPLSASTEVIHPKERKATQHNALYNKQELDASLVIIRKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICSNLEKNEKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLYTEQSKGTKGMERTSTEDTTLSGDGKVGKSSQLKQKTKKRHGRHHKHDCLCAICVLKRRRREREENARMARGSFGSGGDKHAKEFKHEESIQVDSPGGEDSSSNIDESMGTDGDVDEDKGEVTKMDIGEKQCSPPERKHRSIDVDDDGNDEHGLEEGDEEEGNEEEEDDEDIEMNSHKREMDETTKHGGTVKSNVGDATVLHDEYRTKQQEGQASSVQQQKKYKGSQDKQQKSKLLESLYNENPKLSALCGIFFPQNSQSVWSGPHSLMQRRNSARTSSIHAAISSFVE >Vigun06g238700.2.v1.2 pep primary_assembly:ASM411807v1:6:34317857:34323408:1 gene:Vigun06g238700.v1.2 transcript:Vigun06g238700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKSKGTTNHGISANDNLSNGGGQNKEETSGLDEFGYDKDNSGMEVDTPSSTGTDQHYNLANINPDGSIDKAIGKSVGRVKVKLRTPKMLDSQPTSSDAPTQSDTDKSSQQHGLEKQGLSAERMEDSANSLPDMKLSNLSKRAGSIKIKSSKVLGSNAEHTSKPLSASTEVIHPKERKATQHNALYNKQELDASLVIIRKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICSNLEKNEKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLYTEQSKGTKGMERTSTEDTTLSGDGKVGKSSQLKQKTKKRHGRHHKHDCLCAICVLKRRRREREENARMARGSFGSGGDKHAKEFKHEESIQVDSPGGEDSSSNIDESMGTDGDVDEDKGEVTKMDIGEKQCSPPERKHRSIDVDDDGNDEHGLEEGDEEEGNEEEEDDEDIEMNSHKREMDETTKHGGTVKSNVGDATVLHDEYRTKQQEGQASSVQQQKKYKGSQDKQQKSKLLESLYNENPKLSALCGIFFPQNSQSVWSGPHSLMQRRNSARTSSIHAAISSFVE >Vigun06g238700.3.v1.2 pep primary_assembly:ASM411807v1:6:34317885:34323358:1 gene:Vigun06g238700.v1.2 transcript:Vigun06g238700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGHKKGKSKGTTNHGISANDNLSNGGGQNKEETSGLDEFGYDKDNSGMEVDTPSSTGTDQHYNLANINPDGSIDKAIGKSVGRVKVKLRTPKMLDSQPTSSDAPTQSDTDKSSQQHGLEKQGLSAERMEDSANSLPDMKLSNLSKRAGSIKIKSSKVLGSNAEHTSKPLSASTEVIHPKERKATQHNALYNKQELDASLVIIRKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICSNLEKNEKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLYTEQSKGTKGMERTSTEDTTLSGDGKVGKSSQLKQKTKKRHGRHHKHDCLCAICVLKRRRREREENARMARGSFGSGGDKHAKEFKHEESIQVDSPGGEDSSSNIDESMGTDGDVDEDKGEVTKMDIGEKQCSPPERKHRSIDVDDDGNDEHGLEEGDEEEGNEEEEDDEDIEMNSHKREMDETTKHGGTVKSNVGDATVLHDEYRTKQQEGQASSVQQQKKYKGSQDKQQKSKLLESLYNENPKLSALCGIFFPQNSQSVWSGPHSLMQRRNSARTSSIHAAISSFVE >Vigun07g250000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36932765:36936008:-1 gene:Vigun07g250000.v1.2 transcript:Vigun07g250000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSLESKETLKIDVPNSCSLQQLIDTVSHTISSSSSSLHLSLNRKDEIRASSPNDSLHSLGVAAGDLIFYSLNPNAFSHETLPHKPETASRDRPTIQNSQETVACDAPSNPTVEKHPSLDSAEVETVEMVNGSDEAVVVSTNSEPFFVRRVLKEALGNNVSDFKLLVFTVHGVILESGFVRIDKDSGMAVSCSHLLDDSPSAFSSVISLRYALPEILVNGASHSVNLKFQTLGHFVNVCGSLSDDTGSRLHYVCLDRRKYVRPLELMLANSESKGSVNDGEEISFGNEVFEMWKMVKDRLALPLLIDLCEKAGLDLPPCFMRLPMELKLLILERLPGVDLAKVACTCSELRYLSTSNELWKKKYIEEFGQGETRGWLFKDLFAVSWETKKRSQGVPFRRQGVSRHFILPPNPFRMPPAPPIWGGEYGVQPFFGVAFPRYQPGRIIIPPCTLRDFNP >Vigun02g124500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27648108:27652060:-1 gene:Vigun02g124500.v1.2 transcript:Vigun02g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLLLLVLCAPLLTCADRSAVTVVEIQALTSFKLNLHDPVGALDGWDPTSPAAPCDWRGVACMNGRVTELRLPRLQLGGRLSDRLSELRMLRKISLRSNNLNGTIPSSLSKCTLLRSLFLQENSFTGNLPTEIANLTGLQIFNVALNRLSGSIPGLLPLGLKALDLSSNAFSGEIPSSLANLFQLQLINLSYNQLSGEIPASLGELQQLQYLWLDHNLLGGTLPSALANCSALLHLSVDGNALTGVIPSAISALPRLQVMSLSQNNFTGSIPASVFCNGSVHAPSLRIVHLSFNGFMDFVGPEMSTCISVLQVLDIQHNRIRGTFPLWLTNVTTLTVLDVSNNALFGEVPPQIGNLFKLEVLKMANNSFTGTIPVELKKCGFLSVVDFEGNEFGGEVPSFLGDVTGLKVLSLGGNHFSGSVPVSFGNLSYLEMLSLRGNRLTGSMPEMIMSLNNLTILDLSGNKFTGELYASIGNLNKLTVLNLSGNGFSGKIPSSLGNLFKLTTLDLSKQNLSGELPLELSGLPSLQVVALQENKLSGDVPEGFSSLTSLQYVNLSSNAFSGSIPENYGFLRSLLVLSLSGNDITGAIPSEIGNCSGIETLELGSNSLVGRIPSDFSRLTFLRVLDLSGNNLTGDLPEEISKCSGLTTLLVDHNHLSGDIPGSLWNLANLTTLDLSANNLSGKIPSNLSMIPGLVFLNVSGNNLDGEIPPTLGSRFSNPDVFVDNPGLCGKPLDTKCEDINNGKDRKRMIVLVVVVACGAFVLVLFCCFYVFSLLRWRKRLKRGVSGEKKKSPARASSGTSGGRSSTESGGPKLVMFNTKVTLAETIEATRQFDEENVLSRTRYGLVFKACYNDGMVLSIRRLQDGSLDENMFRKEAESLGKVKHRNLTVLRGYYAGPPDMRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLGFLHQSSMVHGNVKPQNVLFDADFEAHLSDFGLEKLTVATTPGEASTSASVGTLGYVSPEAVLTGEATKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMSDIVFMLEGCRVGPDIPSSADPTSQPSPA >VigunL029202.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:51065:52963:1 gene:VigunL029202.v1.2 transcript:VigunL029202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTSRQGWSLGLAAACLLLSTAAIAQEGDEHAAHHPGAQGGAMADAGVMGAAPSPAAAGMSGMMDEMMEGEGEHGARRTPFVSQLLAAPSLSAEGRNRLMGEAQARVERGLAMAAEASAAARSEDPAARASAARRLREASDLFASGSAALAALDRGASPQPAAITWFRDQMSLGAPPHAAPIRWLGVSPPHFMLMFVLAMVSAMLLGLQVARLRRVRKIVDAGGSTAPAPAPATATASPRQAAAVEPGAETLTPSNAAPPAGASLRKPRSWSGPLRVVQIVRETPTVQTFRLADPAADRLPFDFLPGQFLQVEVEPVDGKPSRRSYTIASSPTQRAYVELTVKREEQGVVSCFLHDKVAVGDLLKTTGPFGAFTFTGTDADSIVLIAGGVGITPMMSVLRYLTDTAWSGEIFFLYGARSTDEFVFREELERLERRFSNLHVMAAMRRSAGTVWLGPQGPLTRQMLLDAVPDIARRRIHMCGPPGMMAAMRRELAALGVPDAQLHTEAFGPASLPADAAELEVKAPPPAPSSPSVVAPPTPAVAAATITFSVAGVSAPLPAGQTVLEAAEGAGVEIPYACRSGECGVCVTRLISGEVTMAVETGLDPADKAKGYILACQAKSTGQPLVVEA >Vigun10g091232.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26299880:26302794:1 gene:Vigun10g091232.v1.2 transcript:Vigun10g091232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSYFVMHDLMHDLAAFLGGEFYFRADELGKKTIIDRKARHLSFTRFSDPVSDIEVFDIVKFPRTFLLFNFKDSLFNNEKGPLIVVSMLKYLRVLSFSRFQGLFGLPDSIGELIHLRYLNLNRTSIKTLPESLCNLCNLQTLKLSYCPELTKLPSDMQNLVNLCYLEILNTPIKEMPKRMGKLNKLQRLDFYIVGKHIENSIKELGGLPNLSGSFAIKALENVTKGEEAIEANIMDKKHIYDLSLEWSIGNDNSINFETELDVLSKLKPHQDLKSLLIAGYNGAKFPDWVGNFSYGNMTSVSLYNCDNCCMLPSMGQLPSLKSLWISRMNSVKTIEEGFHKNEDGSSVTLFPSLEWLQISYMPCLEVLNFFDSEAFPVLESLYINYCPNLRGDLPENLPALKSLNIKICELLVSSVTRAPTLRRLEIHKSNNVVFHEFPLLVESIDVEGGPMVESMMEAITNIQPTCLQSLTLQNCSSAISFPGDCLPAFLKTLEISGLKKLKFPTQHKHESLTSLSINNSCESLTSLQLAIFPSCENMESLLVSGSESLKSLNSLEIEQCPNFVSFPGEGFCAPNLTRFLICDCEKLKSLPDQMRTLLPKMEYLNISNCQQIESFPEEGMPPNMRTVWIENCEKLLRSKTWVCMDMVTSLYLCGPCDGLNSFPEEALLPPSLTSLVLRDFSSLETLDSKGFLHLTSLRELDIVNCKKLENITGERLPSALIKLSIRDCPLLQKRCHKKDRKIWPKICHVRGIKMDDRWIQ >Vigun09g262800.2.v1.2 pep primary_assembly:ASM411807v1:9:42734134:42737219:1 gene:Vigun09g262800.v1.2 transcript:Vigun09g262800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWTLQFRSLSLRPCSFISSTSNGSIITTRNRIVIRNGISKWRSRTQQLKHDSINSISKFYHQLVNSVTIPSFLLNRSGGSNFPIWVCVALVVLVGVFRVVSRKKERPGSVADLVRRGQLKSDRRGISRPLKYEDPFNNPFVKVGKSNSTVEMCGKVYRLAPVTLTEEQQATHQRRRSRAYQWKRPTIFLREGDSVPPDVDPDTVRWIPANHPFATTATDLDEDLAQNNVYQKHGVPFRIQAEHEALQKKLEALQNDQKLNKLAIDPINAKEFERPFNSHARLNEQAEKSTVNNQEQKINKLVIDPINAKEIERPFNSHTRLNDQAEKSSVDNQVSDPDSPNIDSDLNHFESTSSEDPTL >Vigun09g262800.1.v1.2 pep primary_assembly:ASM411807v1:9:42734213:42737263:1 gene:Vigun09g262800.v1.2 transcript:Vigun09g262800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWTLQFRSLSLRPCSFISSTSNGSIITTRNRIVIRNGISKWRSRTQQLKHDSINSISKFYHQLVNSVTIPSFLLNRSGGSNFPIWVCVALVVLVGVFRVVSRKKERPGSVADLVRRGQLKSDRRGISRPLKYEDPFNNPFVKVGKSNSTVEMCGKVYRLAPVTLTEEQQATHQRRRSRAYQWKRPTIFLREGDSVPPDVDPDTVRWIPANHPFATTATDLDEDLAQNNVYQKHGVPFRIQAEHEALQKKLEALQNDQKLNKLAIDPINAKEFERPFNSHARLNEQAEKSTVNNQEQKINKLVIDPINAKEIERPFNSHTRLNDQAEKSSVDNQVSDPDSPNIDSDLNHFESTSSEDPTL >Vigun11g128500.1.v1.2 pep primary_assembly:ASM411807v1:11:33599945:33607160:-1 gene:Vigun11g128500.v1.2 transcript:Vigun11g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSELFHTRSHRLGRNAIDLGFDTKLQAADSFRRRHHENASNRVGGRYRRSFANDRVISEENLRGSLGASTGERLPVGFVLALKGEPQSRNSIAESLIAKLASWTYDETSHVLRLYHHLQEVSQTLLLVKAVLLDAEEKQQENYELREWLRQIKHVFFDAENMLDEFECETLRKQIVQAHGSTWD >Vigun01g219000.1.v1.2 pep primary_assembly:ASM411807v1:1:39292312:39300177:1 gene:Vigun01g219000.v1.2 transcript:Vigun01g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNSCFFNPAILDSLSEDSLHEIIGSYNGFCDATQSLLTGNGDLSVGPDFVSHVHALCKHRLHSLVQDHFLRVLEETFERNGASRFWRHFDPYSHVAGLNKNDDLDIDEDEIQNVLYNALEEVTLEKQYQEKCLLMLVHGLQSYQDQMLEDKHDFEGERNYLTSKYQWIVSSVLMATLPRHFPVILHWYFKRKLEELSTIMDEEFCDDASQNKDGMDLDEKGKVCNKVGEMDVDECYNDHRFSENSRLVKNIGKVVLDLRNLGFTSMAEDAYASAIFLLLKAKVNDVAGDDYRSSVLQSIKSWIQAVPLQFLHALLVYLGDVVSYESTSSGLKSPLAPQPSSCCPGINTPSEGLVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGNSSSSGNPGDSLLEELNRDEEIQENAGVDDDFNSDDRQAWTNAMRWQPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRTNSIIKATINQLPQTSTEVGDSAISMDVISATIISSNFWPPIQDEPLNLPEPVDQLLSDYAKRFSEIKTPRKLQWKKSLGTIKLELQFQDREMQFTVAPVHACIIMKFQDQPSWTAKDLAAAIGIPADALNRRINFWISKGIITESQGENSSDHVYTIVENMAETSKNGASAGTQELLGGDEEEDRSVASVENQLLKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCIADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Vigun06g117800.1.v1.2 pep primary_assembly:ASM411807v1:6:24554570:24557877:1 gene:Vigun06g117800.v1.2 transcript:Vigun06g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSRVLHLTSSSRHRLLEVLSSRNVEVGDFLRNRRDFTHSAGISTTTNNYAAKGYASDRIFAPYTVYKGKAAFSLSPCLPTFTKLDSGTVVVDRRGSMMMTFMHSIGERKYDWEKRQRFALSATEVGSLITMGSQDSSEFFHDPSMLSSNAGQVRKSLSIKPNANNNGYFVSLTVVNNLLNTKDYFSVPVTTAEFAVMKTACSFALPHIMGWDQITNQQSRGTVGPQGKGGSQVLDLEWEK >Vigun06g117800.2.v1.2 pep primary_assembly:ASM411807v1:6:24554570:24556309:1 gene:Vigun06g117800.v1.2 transcript:Vigun06g117800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSRVLHLTSSSRHRLLEVLSSRNVEVGDFLRNRRDFTHSAGISTTTNNYAAKGYASDRIFAPYTVYKGKAAFSLSPCLPTFTKLDSGTVVVDRRGSMMMTFMHSIGERKYDWEKRQRFALSATEVGSLITMGSQDSSEFFHDPSMLSSNAGQVRKSLSIKPNANNNGYFVSLTVVNNLLNTKDYFSVPVTTAEFAVMKTACSVCLLFA >Vigun01g051400.2.v1.2 pep primary_assembly:ASM411807v1:1:8408226:8410012:-1 gene:Vigun01g051400.v1.2 transcript:Vigun01g051400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWASPFGMRARIALAEKGINYERKEQNLKNKSSLLLQMNPVHKKIPVLIHKDKPICESLIVVEYINEVWNHTNPLLPSDPYQRAQARFWADYVDTKIFDTLEKISKAKGEEETEVAKKELIEALKLFEEQLGDKSYFGGENLGFVDIALVPFYPWIKSFAAFVGLNIEDGEYPKLIVWAKRCLQKESVAKSLPDEQVVNEFTRKIFGIQ >Vigun01g051400.1.v1.2 pep primary_assembly:ASM411807v1:1:8408065:8409945:-1 gene:Vigun01g051400.v1.2 transcript:Vigun01g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWASPFGMRARIALAEKGINYERKEQNLKNKSSLLLQMNPVHKKIPVLIHKDKPICESLIVVEYINEVWNHTNPLLPSDPYQRAQARFWADYVDTKIFDTLEKISKAKGEEETEVAKKELIEALKLFEEQLGDKSYFGGENLGFVDIALVPFYPWIKSFAAFVGLNIEDGEYPKLIVWAKRCLQKESVAKSLPDEQVVNEFTRKIFGIQNISLLDR >Vigun05g038000.1.v1.2 pep primary_assembly:ASM411807v1:5:3060433:3065330:1 gene:Vigun05g038000.v1.2 transcript:Vigun05g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNADSGTAPHQRPTITLPPRPSAEAFFSAAGGASPGPMTLVSSFFGSDAAADCRSFSQLLAGAMASPMAFSTAVADNSGKDDDGPHKGFKQSRPMNLVIARSPVFTVPPGLSPSGFLNSPGFFSPQSPFGMSHQQALAQVTAQAVLAQSHMHMQADYQMHAVTAPTEPPVQQPSFALNEASEQQIVPSVSEAKNTQLETSDISQADKKYQPASQAIDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCLVKKKVERAPDGHITEIIYKGQHNHEKPQANRRVKDNGDSNGSANVQPKSESNSQGWVGQQVNKFSENIPDCSVPESDQISNQGAPRQLLPGSSGSEEVGDVDNREEADDIEPNPKRRNTDLAVSEVPLSQKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHNTANSNSMPLKPHNVVPEKHPLLKDMDFGSNDQRPVHLRLKEEQIIV >Vigun02g073700.2.v1.2 pep primary_assembly:ASM411807v1:2:22526565:22531210:-1 gene:Vigun02g073700.v1.2 transcript:Vigun02g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPPKPEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVTQMGGGNEDKAKMIQTLLFVAGVNTLFQTLFGTRLPVVIGGSYTFVPTTISIILANRYSDILNPQERFERIMRGTQGALIVASTLQIVVGFSGLWRNVVRFLSPLSAVPLVTLSGFGLYELGFPVLAKCVEIGLPEIVILLVFSQYIPHVMKGQKPICDRFAVIFSVAIVWIYAHLLTVGGAYKHVPQATQDTCRTDRAGIITGAPWIRIPYPFQWGAPTFDAGEAFATMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSIFMGLSIPQYFNEYTAFKNYGPVHTHARWFNDMINVPFSSKAFVAGSLALFLDATLHNRDNQTRKDRGMHWWDRFSSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun02g073700.3.v1.2 pep primary_assembly:ASM411807v1:2:22526565:22530789:-1 gene:Vigun02g073700.v1.2 transcript:Vigun02g073700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPPKPEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVTQMGGGNEDKAKMIQTLLFVAGVNTLFQTLFGTRLPVVIGGSYTFVPTTISIILANRYSDILNPQERFERIMRGTQGALIVASTLQIVVGFSGLWRNVVRFLSPLSAVPLVTLSGFGLYELGFPVLAKCVEIGLPEIVILLVFSQYIPHVMKGQKPICDRFAVIFSVAIVWIYAHLLTVGGAYKHVPQATQDTCRTDRAGIITGAPWIRIPYPFQWGAPTFDAGEAFATMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSIFMGLSIPQYFNEYTAFKNYGPVHTHARWFNDMINVPFSSKAFVAGSLALFLDATLHNRDNQTRKDRGMHWWDRFSSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun02g073700.5.v1.2 pep primary_assembly:ASM411807v1:2:22526565:22531210:-1 gene:Vigun02g073700.v1.2 transcript:Vigun02g073700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPPKPEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVTQMGGGNEDKAKMIQTLLFVAGVNTLFQTLFGTRLPVVIGGSYTFVPTTISIILANRYSDILNPQERFERIMRGTQGALIVASTLQIVVGFSGLWRNVVRFLSPLSAVPLVTLSGFGLYELGFPVLAKCVEIGLPEIVILLVFSQYIPHVMKGQKPICDRFAVIFSVAIVWIYAHLLTVGGAYKHVPQATQDTCRTDRAGIITGAPWIRIPYPFQWGAPTFDAGEAFATMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILG >Vigun02g073700.4.v1.2 pep primary_assembly:ASM411807v1:2:22526565:22531210:-1 gene:Vigun02g073700.v1.2 transcript:Vigun02g073700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPPKPEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVTQMGGGNEDKAKMIQTLLFVAGVNTLFQTLFGTRLPVVIGGSYTFVPTTISIILANRYSDILNPQERFERIMRGTQGALIVASTLQIVVGFSGLWRNVVRFLSPLSAVPLVTLSGFGLYELGFPVLAKCVEIGLPEIVILLVFSQYIPHVMKGQKPICDRFAVIFSVAIVWIYAHLLTVGGAYKHVPQATQDTCRTDRAGIITGAPWIRIPYPFQWGAPTFDAGEAFATMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILG >Vigun02g073700.1.v1.2 pep primary_assembly:ASM411807v1:2:22526565:22531210:-1 gene:Vigun02g073700.v1.2 transcript:Vigun02g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPPPKPEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVTQMGGGNEDKAKMIQTLLFVAGVNTLFQTLFGTRLPVVIGGSYTFVPTTISIILANRYSDILNPQERFERIMRGTQGALIVASTLQIVVGFSGLWRNVVRFLSPLSAVPLVTLSGFGLYELGFPVLAKCVEIGLPEIVILLVFSQYIPHVMKGQKPICDRFAVIFSVAIVWIYAHLLTVGGAYKHVPQATQDTCRTDRAGIITGAPWIRIPYPFQWGAPTFDAGEAFATMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSIFMGLSIPQYFNEYTAFKNYGPVHTHARWFNDMINVPFSSKAFVAGSLALFLDATLHNRDNQTRKDRGMHWWDRFSSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun08g061600.1.v1.2 pep primary_assembly:ASM411807v1:8:8258063:8267422:-1 gene:Vigun08g061600.v1.2 transcript:Vigun08g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLMHLHLMNDHTPSNRMHLTSIESSNASTSSRPHTQDEITNTSGGVLLQNSSALVEPNRTGQSGISMFQRSFFYSNMDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTMSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSEPTWMMFPGTDLFARIPFHAAHTIYETDQAKLDFESKKLQSIMKEKAYLLSESGILADKISPGLLKSLVTLNDKRK >Vigun07g273450.1.v1.2 pep primary_assembly:ASM411807v1:7:38907570:38908231:-1 gene:Vigun07g273450.v1.2 transcript:Vigun07g273450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVEAKERKKRSLSEMEEIDLELKLSVAGSTSSDNLLNGIESATSGTPLSLSYQRACLASKMGNDKNLDDTPKDELPPLIAMGCAICLGYVMVSKANPKCPICTNSDLINNFQTNPTKKKRTTWY >Vigun03g038900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2967995:2968882:-1 gene:Vigun03g038900.v1.2 transcript:Vigun03g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSMNWDFIWVLPLTLCCCSLVHSLDSTPASESLNSLVQDFAFRSLVKHRPQTGALYDALLPRNLSGMDISVVRLRSRRLWNKGANFSYFRIPPRTVSIPHVKRLAIVYQNLGNWSTLYYNLPGYSLISSVVGFLVFDASNVTDTSERNLTLNTMGQPISIQFPNITLMGRAHINSRVRCVAFNANGTFQLTQMSSPGVCYSSDQGHFSVVLPLEKKRGRWYLWVIGFVVGFFGFIIVGYAGFSSMRLLKTKRIQAMEKQANEDQVLESRWVGNSKMPSAAVTRTQPVLECGVL >Vigun07g092300.2.v1.2 pep primary_assembly:ASM411807v1:7:14455095:14456426:1 gene:Vigun07g092300.v1.2 transcript:Vigun07g092300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGIVYSFC >Vigun07g092300.1.v1.2 pep primary_assembly:ASM411807v1:7:14455095:14456426:1 gene:Vigun07g092300.v1.2 transcript:Vigun07g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Vigun03g174100.1.v1.2 pep primary_assembly:ASM411807v1:3:21332456:21337258:-1 gene:Vigun03g174100.v1.2 transcript:Vigun03g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISSRKSKEGRSKKVKTKIYKGKKSSSLANGIKSENLESAGVSIETIAATRIQTAFRAYKARKHLRRLRGFTKLRTLTQGFSVQKQTSTTITHLHSWTKIQAEIRARRICMVTEDRLKRKKLHSQLKLEAKIHDLEVEWSGGSETMEKILARLHLREEAAVKRERSMAYAFSHQWRANSSQGLGNYELGKASWSWSWKDRWIAARPWESRVPTSVAISPRKDQIKMSSKVNKVKKSSTSKQPVSVKTPSSNAKGTKPLGNPKGTVKARRRLSYPATEKKTVEEEKQ >Vigun08g178100.2.v1.2 pep primary_assembly:ASM411807v1:8:34798195:34803713:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun08g178100.3.v1.2 pep primary_assembly:ASM411807v1:8:34798267:34803730:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun08g178100.1.v1.2 pep primary_assembly:ASM411807v1:8:34798267:34803795:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun08g178100.7.v1.2 pep primary_assembly:ASM411807v1:8:34798469:34803694:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun08g178100.5.v1.2 pep primary_assembly:ASM411807v1:8:34798469:34803694:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun08g178100.6.v1.2 pep primary_assembly:ASM411807v1:8:34798268:34803795:1 gene:Vigun08g178100.v1.2 transcript:Vigun08g178100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEDDKALQLCRERKKFVRQALDGRCSLAAAHVSYIQSLKNTGTALRKFTEPEGPIEPSLYTNATPEQPLALTERTLSFSSPSVSHHIDAAEHETFSPTPSPPSSSSKFRANHMKHSTISSKKVEERPPVPVIGIVTSSGTTTQNASVAFEDSSLPAGTPQWDFFGLFHPIDHQFSFQDGKGMHQDMGNADDIQRLREEEGIPELEDDEEKSSSHGREHSRDSEDEFDEEPTAETLVQRFENLNRANSSHVQADATPATTRPLSGHSASEVESVNGEKGNSANLSTSKTAPMAALPPPETNKPMEKESHSENKVTPKNFFSSVRDIELLFNKASESGKEVPRMLEANKFHFRPIFPGKENGSVVSSLLKACFSCGEDPSQVPEEPAQNSIKYLTWHRTASSRSSSSRNPLGAANSMDNVEDHTNNLFDSSCMISGSHASTLDRLYAWERKLYDEVKASEIVRKEYDMKCKILRQLESKGEKTSKVDKTRATVKDLHSRIRVAIHRIDSISMRIEELRDKELQPQLEELIEGLCRMWEVMHECHKLQFQIMSAAYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWMGAQKFYLEAIHGWLHKCVLLREKSSKRKKRHQPDLIRYGPPIYVTCEFWLSKLSTIPVKDVADSIKSLAADTAQFLPHQDKNQGKGAHPHMPTWKADIGGESADGLLADDMSEDWATGLDQFRRSLIRFLGQLNNLSGCSVKMYTELRQNIQEVKKFQRLNSQSQNGNLNSQSQDGHQNSEPQS >Vigun11g043700.1.v1.2 pep primary_assembly:ASM411807v1:11:6441711:6443085:1 gene:Vigun11g043700.v1.2 transcript:Vigun11g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKRKRSSESGERKEEDRLSDLPDEVLQHIMGFLPTKQAIQSCVLSKRWKNLWKGLTTLTFTSFNGIRKYNRYVSHALSNRDDSIPLHNMHVKVFNSTTPKVLNNALKYASQHHLQKLTLVMDFKFKKIPNSFIPIVINCKSLTFLNLHANFSRVPLTLPASLSLPSLKTLLLSNVIFTPRDDDNYIEPFSECTSLTTLVLEYSTHSHSTQTLCISNPSLSVLTMENIFYWDTFEPKIVLSVPKLTSITLGNIHFLMSYKFSCTCTCDLPLLNEVKINNNIHIESSIVLSWLRMFSNARTLTISSRTLNSLLLDLHLDTLKVQPPHLAKLESFNIEMDSRIMISEDELNMVVNSLVRNYQTTNVNVIKC >Vigun09g187300.3.v1.2 pep primary_assembly:ASM411807v1:9:36092993:36112801:1 gene:Vigun09g187300.v1.2 transcript:Vigun09g187300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDAADQVLRQVDKMIKNGDRPTRDARVSKDEQPSTQSSVPGELSRKRPVPLDNEQMTNGHETISKRIRSGPDSQSTLPAKINDSGQDPNSVNGVSPNVPLLDSEMTAVEQMIAVIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKTPPPLARIGNLPATRQLNSQVSQSHVIATSVPINSVQSVSGTGQAVLPSTTATVLGSSSLPSETSNFSNLPADSKRDPRRDPRRLDPRRVVVAPGGATVSVTDDSGATKLEFDEPVSSIKPVSLPVVTADDNTPSDLTVKIKNDDMISEGASVSAPDQVTPKTEVQERPGDIHRIAEADTSFGPSVSSADLREEDPSLVNLSDDTETIGTDSSSISEFDQFSLDVQVEPTLEDTCLELPQLPPYVELSKEQRSMVKNMTVRHIINSYKHLHGTYCQQFWMPLLARLVAQIDDDDEFIMMLQKHILEDHWIKGHELVLHVLYHLHSLMIFDSVENASSSAVLYEKFLLGVAKTLLDSFPASDKSFSRLLGEVPLLPESSLKILNDLCYSDVIGHDGKVIRDIERVTQGLGAIWSLILGRPQNRQACLGIALKCAVHPQDEIRAKAIRLVTNKLFQLSYISGDVEKFATKMLLSAVEHEVSDTCLLQPGPTEHRAEAEVEGHEISTSQVSESSISEDESARMAKPLIQNVPSISFSEAQRLISLFFALCTKKPSLLQIVFNVYGQAPKTVKQAFHRHIPIVVRALGQSYSELLRIISDPPQGSENLLTLVLQILTQDTSPSSDLISTVKRLYETKFRDVTILVPLLSSLSKQEVLPIFPRLVDLPLEKFQRALAHILQGSAHTGPALTPVEVLVAMHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPALVDFVMEILSKLVTRQVWRMPKLWVGFLKCVYQTQPRSFHVLLQLPPQQLEGALNRHANLRGPLASYANQPTIKSTLSRSILAVLGLANETHVQQHLSTSLHPSDTSSSVHGATLT >Vigun09g187300.2.v1.2 pep primary_assembly:ASM411807v1:9:36086134:36112801:1 gene:Vigun09g187300.v1.2 transcript:Vigun09g187300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRDQALSLLATANNHGDLAVKTSSLKQAKDLLLSIDHSLAADLFPYLLELQSSPESLVRKLLIQIIEEIGFRAVEHTPTLISVLLTFLRDSDVIVVKQSIVSATNIFASVFEELIVQFQQNGKVERWLEDTWMSMLKFKDAVFGIALEPGSVGIKLLALKFLEMFVLLFASDISDSEKLATKGVRQAVNVLWLVGGHPHPVLDPVVLMSEGNRTLGILLNLLQSVGTLPGCLTITVVNCLAAIARKRPQHYDTILLALLEFDPNVQTAKGCHIASIQYSLRTAFLGFLRCTYSPILESRERLIRSLRAMNAGDAADQVLRQVDKMIKNGDRPTRDARVSKDEQPSTQSSVPGELSRKRPVPLDNEQMTNGHETISKRIRSGPDSQSTLPAKINDSGQDPNSVNGVSPNVPLLDSEMTAVEQMIAVIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKTPPPLARIGNLPATRQLNSQVSQSHVIATSVPINSVQSVSGTGQAVLPSTTATVLGSSSLPSETSNFSNLPADSKRDPRRDPRRLDPRRVVVAPGGATVSVTDDSGATKLEFDEPVSSIKPVSLPVVTADDNTPSDLTVKIKNDDMISEGASVSAPDQVTPKTEVQERPGDIHRIAEADTSFGPSVSSADLREEDPSLVNLSDDTETIGTDSSSISEFDQFSLDVQVEPTLEDTCLELPQLPPYVELSKEQRSMVKNMTVRHIINSYKHLHGTYCQQFWMPLLARLVAQIDDDDEFIMMLQKHILEDHWIKGHELVLHVLYHLHSLMIFDSVENASSSAVLYEKFLLGVAKTLLDSFPASDKSFSRLLGEVPLLPESSLKILNDLCYSDVIGHDGKVIRDIERVTQGLGAIWSLILGRPQNRQACLGIALKCAVHPQDEIRAKAIRLVTNKLFQLSYISGDVEKFATKMLLSAVEHEVSDTCLLQPGPTEHRAEAEVEGHEISTSQVSESSISEDESARMAKPLIQNVPSISFSEAQRLISLFFALCTKKPSLLQIVFNVYGQAPKTVKQAFHRHIPIVVRALGQSYSELLRIISDPPQGSENLLTLVLQILTQDTSPSSDLISTVKRLYETKFRDVTILVPLLSSLSKQEVLPIFPRLVDLPLEKFQRALAHILQGSAHTGPALTPVEVLVAMHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPALVDFVMEILSKLVTRQVWRMPKLWVGFLKCVYQTQPRSFHVLLQINFSSSWSCK >Vigun09g187300.1.v1.2 pep primary_assembly:ASM411807v1:9:36086134:36112801:1 gene:Vigun09g187300.v1.2 transcript:Vigun09g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRDQALSLLATANNHGDLAVKTSSLKQAKDLLLSIDHSLAADLFPYLLELQSSPESLVRKLLIQIIEEIGFRAVEHTPTLISVLLTFLRDSDVIVVKQSIVSATNIFASVFEELIVQFQQNGKVERWLEDTWMSMLKFKDAVFGIALEPGSVGIKLLALKFLEMFVLLFASDISDSEKLATKGVRQAVNVLWLVGGHPHPVLDPVVLMSEGNRTLGILLNLLQSVGTLPGCLTITVVNCLAAIARKRPQHYDTILLALLEFDPNVQTAKGCHIASIQYSLRTAFLGFLRCTYSPILESRERLIRSLRAMNAGDAADQVLRQVDKMIKNGDRPTRDARVSKDEQPSTQSSVPGELSRKRPVPLDNEQMTNGHETISKRIRSGPDSQSTLPAKINDSGQDPNSVNGVSPNVPLLDSEMTAVEQMIAVIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKTPPPLARIGNLPATRQLNSQVSQSHVIATSVPINSVQSVSGTGQAVLPSTTATVLGSSSLPSETSNFSNLPADSKRDPRRDPRRLDPRRVVVAPGGATVSVTDDSGATKLEFDEPVSSIKPVSLPVVTADDNTPSDLTVKIKNDDMISEGASVSAPDQVTPKTEVQERPGDIHRIAEADTSFGPSVSSADLREEDPSLVNLSDDTETIGTDSSSISEFDQFSLDVQVEPTLEDTCLELPQLPPYVELSKEQRSMVKNMTVRHIINSYKHLHGTYCQQFWMPLLARLVAQIDDDDEFIMMLQKHILEDHWIKGHELVLHVLYHLHSLMIFDSVENASSSAVLYEKFLLGVAKTLLDSFPASDKSFSRLLGEVPLLPESSLKILNDLCYSDVIGHDGKVIRDIERVTQGLGAIWSLILGRPQNRQACLGIALKCAVHPQDEIRAKAIRLVTNKLFQLSYISGDVEKFATKMLLSAVEHEVSDTCLLQPGPTEHRAEAEVEGHEISTSQVSESSISEDESARMAKPLIQNVPSISFSEAQRLISLFFALCTKKPSLLQIVFNVYGQAPKTVKQAFHRHIPIVVRALGQSYSELLRIISDPPQGSENLLTLVLQILTQDTSPSSDLISTVKRLYETKFRDVTILVPLLSSLSKQEVLPIFPRLVDLPLEKFQRALAHILQGSAHTGPALTPVEVLVAMHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPALVDFVMEILSKLVTRQVWRMPKLWVGFLKCVYQTQPRSFHVLLQLPPQQLEGALNRHANLRGPLASYANQPTIKSTLSRSILAVLGLANETHVQQHLSTSLHPSDTSSSVHGATLT >Vigun03g295200.2.v1.2 pep primary_assembly:ASM411807v1:3:48086345:48091787:-1 gene:Vigun03g295200.v1.2 transcript:Vigun03g295200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTPKWIEEVRSERGSDVIVVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSTTKQEDMVDVNLRSSGNHDSQSESDGCAC >Vigun03g295200.1.v1.2 pep primary_assembly:ASM411807v1:3:48086322:48091787:-1 gene:Vigun03g295200.v1.2 transcript:Vigun03g295200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTPKWIEEVRSERGSDVIVVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSTTKQEDMVDVNLRSSGNHDSQSESDGCAC >Vigun10g113600.1.v1.2 pep primary_assembly:ASM411807v1:10:31588851:31591315:1 gene:Vigun10g113600.v1.2 transcript:Vigun10g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNHCSCSMSITEPTSVFFRSLARTCSKRKDAKNTYWKPPQASAGNDFHFPISSSVVKNRTSMEGIRSLRLITAVKTPYLPNGQFDLDSYDNLVDMQIAKGIEGILVAGSTGEGQLMTWSEQIMLIAHTVNCFGDKVKVVGNAGSNCTSEAIKATERGFAVGMNAALHINPYYGKTSLDGLVAHYNSVLSIGPIIVYNIPTRTNQDIPPSVVQTLAQSPNLVGVKECVGTERIKQYTDEGIVVWTGIDKMSHDARWDFGAVGVQSVASNLVPGLMRKLMFENKDVTLNSKLIPLFDWLSQEPVPIALNTALAQLGVIKPVFRLPHVPLPVDKRIEFINLVKQMGREHFVGEKDAQVLDDDDFIIVGRY >Vigun06g054100.1.v1.2 pep primary_assembly:ASM411807v1:6:18014329:18020696:1 gene:Vigun06g054100.v1.2 transcript:Vigun06g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEERVLENQLELQLQEQRDSLSAIDQALLSDPTNPELLAVHEELVQAIKDAEEGLFHLKRARLLQEADAVLHNTNIFAEEEKVELLDPTDVEPEPLEEKCHSVGSKCRFRHIDGRWYNGQVVQLDNSMAKVSFLTPTSENMLMCKFFLQQRCRFGSNCRLSHGVDVHLSALKKFVPTIWKQSLVGSSIWAVSTANAGTWREAELESWDEKAGVGQVVFRDDGSTVKLGAEEMALSEHAEVSDSESDSSLQQSESSDFEEEEESQGLGFLESTNLQRGVQTETAKFATWENHTRGIASKMMANMGYREGMGLGVTGQGMLDPIPVKMLPPKQSLDHALESHKREENEDKELKKKRSRGGKRKREKKFAQANRAAKEEEESTSDVFALINNQLAMHSEAFGGGSTKKHQSKGSEEGKKVDRRALVAYEEDVKDLKMRVEKLEQIVNLNKKEKAVYEGAMRKLNETRKALADAEAVHASASNAVVSKEKEKRWLKF >Vigun05g070100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6038990:6042286:1 gene:Vigun05g070100.v1.2 transcript:Vigun05g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPNLRTDPSTIYNLLKSYALSPSTILKAHHLFQQIHRPTLSFWNLMIRGWSLSDQPIEAISLYNLMYRQGLLGDNLTYPFLFKACARVPHVPCGTTLHGRVLKLGFEPYLFVSNALIHMYGSCGHLDFARKVFDEMPERDLVSWNSLICGYGQCKRFREVLGVFEAMRVADVKGDAVTMVKVVLACSVLGEWSVADAMVDYIEENKVEMDVYLGNTLIDMYGRRGLVHLARGVFDRMERRNLVSWNTMIMGYGKVGNLVAARELFDSMLQRDVISWTSMITGYSQASQFTEAVRLFKEMMEAKVKPDEITVASVLSACAHIGSLDVGEAVHDYIRKYDVKADVYVGNALIDMYCKCGVVGKALEVFKEMRKKDSVSWTSIISGFAVNGFADSALDYFSRMLREGVCPSHGAFVGVLLACAHAGLVDKGLEYFESMGKIYGLKPEMKHYGCVVDLLSRSGNLQRAYEFIKEMPVTPDVVVWRILLSASQVHGNVHLAEIATKKLLELDPSNSGNYVLSSNTYAGSNRWEDVVKMRGLMDKSNVQKPSGSSFIEINGPNSSQDSSMKHQQKSELTVL >Vigun04g013900.1.v1.2 pep primary_assembly:ASM411807v1:4:1005922:1011184:-1 gene:Vigun04g013900.v1.2 transcript:Vigun04g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLILSSPTLTGLFASISKIPPKSFNLSFGFKLVHHQHHHLLPLACCFSVSSSPSSPSQCSIDISKYTEAFARRMAMAGLKPHHRIALGVSGGPDSMALCVLTAGWKTAGANVVTTENGGLIDGLLAIIVDHGLRAESKEEANVVSQRVSKMGIRCEIACCDWPIGRPKQGHLQEAAREMRYKIFQEVCAQHQIGVLLIAHHADDQAELFILRLSRNSGVLGLAGMPFTSQIFPTYTHSDEEVQVNQGILLVRPLLEFSKEDMYKICQGGSEDWVEDPTNQSSLYARNRIRMVLKNLSSSKFKFELQSIISACRITRTYVDQIGYNLIRDAVIIKDHGYAVIDLQILCPLKIEDICLMKFLSLVLQFVSQRQRQVRGSAMKLLMDYIRTFPCKNSITAAGCYLCPDPGSRGSRLLVCCCADDCALPLKMQFFWSLSYGQEVHCAANELEKIIEDDHSFANHFVPDSSDVHFLDVNPTSILTEAKMLNIISESTYNNILALQKQETAYFRSTTETISDSASKHGGETVTPFRKSLQPGKFYYFMDRFILTWSLKNKIDEDELSGLVDYEMDLSEEARSFCCTFCVVGHTKVLKVRQMIESDWLYLAELSKYPLSKNFIQYEVKSVNETKQLMDRTSPCLHYASLSAKQALPKLKSIPVAARRSLPVLITEQGQLQSIPSIHFKHCPFLMVHMEFRPKIPLGGGHTLFI >Vigun03g375400.2.v1.2 pep primary_assembly:ASM411807v1:3:57807113:57812721:1 gene:Vigun03g375400.v1.2 transcript:Vigun03g375400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSSTSSILCASFNQDLSYFAVGTRDGFRIFETNTGKLCYERAVGAFVIVEMLFSSSLLAIVGAGDQPSLSPRRLCLFNKTTGAALRELNFLTSILAVRMNRQRLVVILQDKAYVYEINSLSIVDIIDTVPNIKGLCAFSPCLDACYLALPASTTKGSALLYNVMNRHLHCEIEAHRSPLAAMVLSSNGMYIATASEQGTIIRVHLVSDATKSYSFRRGTYPSTIFSLSFGPSKQLPDILAASSSSGSIHLFTLGFASHPRSKSSSGFLGSIIPGAVSDVLDPAYHHVLHDTVPAGVKSYVVIRKVENMTNSSSSDLLACRAILSVITSNGFFKEYNISINARNELSWALGREFNLLTVT >Vigun03g375400.1.v1.2 pep primary_assembly:ASM411807v1:3:57807111:57812721:1 gene:Vigun03g375400.v1.2 transcript:Vigun03g375400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSSTSSILCASFNQDLSYFAVGTRDGFRIFETNTGKLCYERAVGAFVIVEMLFSSSLLAIVGAGDQPSLSPRRLCLFNKTTGAALRELNFLTSILAVRMNRQRLVVILQDKAYVYEINSLSIVDIIDTVPNIKGLCAFSPCLDACYLALPASTTKGSALLYNVMNRHLHCEIEAHRSPLAAMVLSSNGMYIATASEQGTIIRVHLVSDATKQSYSFRRGTYPSTIFSLSFGPSKQLPDILAASSSSGSIHLFTLGFASHPRSKSSSGFLGSIIPGAVSDVLDPAYHHVLHDTVPAGVKSYVVIRKVENMTNSSSSDLLACRAILSVITSNGFFKEYNISINARNELSWALGREFNLLTVT >Vigun06g077366.1.v1.2 pep primary_assembly:ASM411807v1:6:20824100:20826716:-1 gene:Vigun06g077366.v1.2 transcript:Vigun06g077366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGRRETLKLGVRVLDLWYIHNRESNVHLEMILIDQMGDKIHCIVKKDEFDIWDGKLKEGDTYIMHNFKIVKNDGQYRVCDHPFKLLFIGATSVRPQPIANIPNSVYQFRSIKEVVASNFSPDLLIDLIGWVDNVKAKAQSKNVVFSLMDLSGGVVSCTLWDEYCKKFLERYNDNPNSNKLVLILTQAKVKAATGEWPVSVSNTWNGTKLLMDDAIPEITQFKQRLNEMSADDLTIMSQSGSQLTQSSQYSDAERFVYKCLVKSVSEIPLIKKEMICVTVATTTKFSLDNDGWYYLVCNHCNKRTNETGPFKCTYCDQDNNMPTLKFVFNFIFSQLYMLMYKLQLQVCDDAFNYANFVVWDQKCRNIIGISAEELQKKMIKVGEDDPKCFPDELDVMLGCTLAFKLRTQPRNKFASVIKVSDLPEIINYIKKLIQPMSLSGTADNDPDNSSLGTPSKRIVPNSGVSVQSSEDIESGELSATKPMKTIKQEID >Vigun08g089750.1.v1.2 pep primary_assembly:ASM411807v1:8:20730000:20735845:1 gene:Vigun08g089750.v1.2 transcript:Vigun08g089750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVALHHVRPQQAEATDLYLLTTMNKCCITPPCCHNHRNFLLPLCTIVAPVRATKNLPSSDKNLCDDVDAPTTE >Vigun06g201500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31653978:31657321:1 gene:Vigun06g201500.v1.2 transcript:Vigun06g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVSLNPLFIFFLFFGLFYSSVSINPSDLAFKSTYSRLCSHLVPEPAVHSDAGSVPGVADDLRFQSGYFSGGDRIFNRSTASMHASFRVSSVRRSGSDGVFELHGQMLLQQRRGATPASGRMLRRVFSSGKVTHWMRVSLSGFWSQFSGNLCMFGTGSHANLRNANVVLKLRYPRDLSLLNCLIRGTLESFDDKNISQYFEPISILALSQSSKFKFTVAGNEREKVCGGGGGSDREGLSLRNLNRGACTAFLGHTNRFELEYGSQCSNVTCNPVSGDGEELPGYMFFHGTLCAEREKVQMLLGFSDSGYRDAIFPFHPNTTLVSEGKWDEKENQLCAVACRILNFTESWLSPYVGDCNIRLTLRFPAILSLRNRSTVLGQIWSDKAVDEPGYFNKVGFQGLSRVSKNLHGFQYKYTETEKVRKSCVQMMNAGGKVNTYPSGYSSDMAFSMLLTNSKGQVAHGYTSPLSVNDQIYNGQSYGAPIVLTAENSKAHGVQSENYNNLLNISYTMSFKPPPDFKFGGGVLSTEVKIGAEGIYNKNTGVLCMIGCRRLRSTEKVLIKNESLDCEIMVNVQFPPLNSKGGEALKGTIESTRQKSEPYYFDPLQLSSYFIYTTQADASIWRMDFELIMVLISDTLACVCVGLQLLHVKKHPDVLPYISVVMLAVITLGHLIPLILNFEALLTGKRSVQNTFLGTGGWLEVNEVFVRIVTMVAFLLELRLIQLTWSSRQGEGSHRGLWGSDKMVLYMILPLYIGGGLTAWSVHIWKTSYQKKFKPFRLSRHKFKLPRGFVYRPPSLWEAFKSYAGLLLDVFLLPQILFNIIFNSEEKALASSFYVGTTIVRTLPHVYDLFRAHISAWYLDLSYIYANHRMDFYSTAWDIIIPSGAILFALLVYFQQKFGSRCILPKRFRESSAYEKVPVIGNEDL >Vigun05g036700.1.v1.2 pep primary_assembly:ASM411807v1:5:2983613:2990236:1 gene:Vigun05g036700.v1.2 transcript:Vigun05g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSFSLSHIAAPFSCNTNRARFSHRRCPSFSKPIPLKPLRSLPVNKRHPFANGFWTIRRNSSPFTVRCEASSGRITQQEFTEMAWQAIVSSPEVAKENKHQIVESEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATEKYIQRQPKVLGESSGSMLGRDLEALIQRARDHKKKFGDSFVSVEHLVLGFTQDQRFGKQLFRDFQISEPALKSAIESIRGPQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKATKDRLNRLETELSLLKEKQAELTEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLESAEKELDEYMNSGKSMLREEVSGNDIAEIVSKWTGIPVSKLQQSEREKLLYLEEVLHNRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALAAYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHADVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTVPKELAYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDREQISSIVKLQLERVQKRIADRKMKIQVTDAAVQLLGNLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKEEDAILIDTELTAFSNGQLPQQKLVFKKLAAESESTTQEDSSLEPFPQAP >Vigun09g136700.1.v1.2 pep primary_assembly:ASM411807v1:9:29776214:29780716:-1 gene:Vigun09g136700.v1.2 transcript:Vigun09g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIVTSAKSSTPSPNPIRIICHVCQKQFSQYTCPRCNSRYCSLQCYKSHSLRCTESFMKENVVQELQQMQPDEQTKNKMLDILKRFHSEEEMDEDSFADSTLSEETMEKLLSGQEISFDDLSLEEKKQFHRAIAYGELSKMIKPWDPWWSKPSARKIRLSSEGTQLVQLLPEKELPDDIVGEEFSEVPAGPETPLPPLSSLSSREPSPLLTVHLVDILYSYCFTLRLYNGDWRSDALGSVTVVLSLSSVLGQGAQPETVLEALSHFLEQVCCPAYRHVGGLQFGLSVIDDVISLLSLGTSALVCALCDMHRLIQEGEKEARSERPRKSRRDGIRRTIKLAERKIYFIMCWVHEQPEEAWSSLAAIVRAEKTSAMQFQWSNKAEKLNNKAEAKGKRLIEEI >Vigun06g024100.3.v1.2 pep primary_assembly:ASM411807v1:6:11237411:11248539:1 gene:Vigun06g024100.v1.2 transcript:Vigun06g024100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEEFFLQRLSCGISILKSNDRILRLRGPLDVPDEIPCNSHETYDESLPSVPYKHLFDDIDPFPKRPAQEIADQPSKDEERRNNFFHGENWDWSNPEMSEEMIDQPIDDLLSKNHAQTLSIFSAAILDGGEQEKSILENSMYNFCSVCKIRRPNIVGQKEFTYEELLAATDAFSLKNCLSKSGSLFTFRGQLEGGMKLVVKKHDVTKTQVREKVKSQIQTILKARHKNVITLLGSSTAESFLFTVYEYACNGSLDKYLSKESSRPLTWKERKRVVIGLARGLKYLHDNNIVHCNIKPSNILLTHDFNSLIGDYNFGKEQELKSYKNKNKENYEYVAPEYQEKGKLSSKADVYSFGVVILELIAGRRTTDFTSEDKSLVEWAKPLLKKKNYSELVDPIIRNSYEEDHLRWMVQVIAECLEKNPKKRSSMNMVVSALQGIAESELDHMTEDITPAISDSRSVPEINGSQDVGSTKTKADQKNQKVEQIESILGGENNLFYKANQGNSDKLGHNEEQKQRRSRGKDRSSGKMIANDHRMDEREIDQLNEFEEQIPSTLHMEGIRTQKISVDGIMGHTKVDQLSQDQEGNSFAKMMEVGLIPEVDQLVHDKTQTQRSFHENLQDGRQGETILENSKSSACSICRSRRPNNACSEDFAYDELLEATEGFSTENSLSGNEDGPTFQGLIEGRVKIVVKKYQVNASQEQKIIKSEAKLLISARHKNVVMLLGLCTNESQLMVVFEKVCNGSLEQYLTRGSFKSLTWKQRLKVIMGTARGLKYLHGKDIIHGNIKPSNILLTHEFDPLIGDFHFGQQKVGPKKSRKDKSVRNSEYSAPEYLENGKLSYKTDVYSFGVVLLELISGRRAADKLPDGKSLVNWARPLLTGKKYRPLLDGKISNSCEEEKLVWLVQVTEQCLKKNPNDRYSMNVVLSSLQGIEESIECCEIQDSSLENSYSNDLPTSSTQGHMKADQVKKQEQELIDRNQYKEESSKRLTVKTNHKNDHRNEDRMIQGEEDVQRSPHEEMFGVRPISNDMIDQINPVQQFQEKLHIKGSFEIEEMGKEVDKKLMVSKDKTQIQGSCDDSLLNGSEGQIILGNSKSSDCSICKSSRPNSGLQRKYTYEELQASTEGFSVKYSLCEGEYGPAFRGQLENNQEIVIKQHAFTNLQEQKVFMSEFQLLINARHENVIMLLGSCIRLSQLLIVYEKACNGSLDLYLSRESGRTLTWGERVKVAIGVARGLNYLHENNIAHGGIKPSNILLNHELKPLVGDFVFGKERCELKNLKRLKRMRNCGRTAPECYESGILSTKADVYSFGIVLVELITGRMITEKISGERCPTECIRSLLEEQKFLLLVDPKVSSSYDEQQLVALVHVIENCLRKNPNERFTMDMVVSALPSIVDCSDTYVKEDFAPENSHVSEVTNSRVKEEPPNEEDLGMENSEEKGDNVTYNGGNETEIRQECDSNPIGCENSKETEEKESLSIKICWEGCSSYGGAREFYLEGIKEYTACEELFGFVI >Vigun06g216700.2.v1.2 pep primary_assembly:ASM411807v1:6:32761147:32772218:-1 gene:Vigun06g216700.v1.2 transcript:Vigun06g216700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLMVFSSTGKLYDYASTSMKSVFERYNKLKEEHHHLMNPASEEKFWQTEAASLRQQLQYLQECHRQLMGEELTGLGIKELQNLENQLEMSLKGVRMKKDQILTSEIKELRQKGNLIHQDNVELYQKMDQIQKENAELQKKVYGSSTGEENVASSPSYMVRNGYDLHAPISLQLSQPQTQYSESSAKVMKLGLQLH >Vigun06g216700.1.v1.2 pep primary_assembly:ASM411807v1:6:32761147:32772218:-1 gene:Vigun06g216700.v1.2 transcript:Vigun06g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLMVFSSTGKLYDYASTSMKSVFERYNKLKEEHHHLMNPASEEKFWQTEAASLRQQLQYLQECHSRQLMGEELTGLGIKELQNLENQLEMSLKGVRMKKDQILTSEIKELRQKGNLIHQDNVELYQKMDQIQKENAELQKKVYGSSTGEENVASSPSYMVRNGYDLHAPISLQLSQPQTQYSESSAKVMKLGLQLH >VigunL041801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000616.1:94968:95339:1 gene:VigunL041801.v1.2 transcript:VigunL041801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKLRKLLDRYPTSELNSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLI >Vigun04g062100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6450208:6452667:-1 gene:Vigun04g062100.v1.2 transcript:Vigun04g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNSVTIFKFFLHLSTLFTHLQAYTTVNNVTISCGTTGTSYDGERTWTGDTGSMFFSNQDNTVSSNPTTPQPNSTLKVPYNTARVSRSQFNYSFPVTPGSYFLRLFFYPASYPSFPRTQASFTVHCNQFTLFYGFNVNAEDTEFIFREYVVNVHNGETLNLSFTPSQPNSHALINGIEVFSIQSDLNYTTPHGADFKLVGTDASYSVTTDTVLQTEYRIKVGGQGIWLPKDIGLFRNWTDKDEHYLIEEENCSSNDLAGDVYGKMNITLNHGYVPSKELYRTACITERSKLTWDFPVDSGFYYLVRLHFCKLDQNITDIADRLFCIYIGSDLAEDHADVMRWSNQHKGLPVQRNFVVLIPKMDTQKMVSLSLQLHPCLNSSIPCLSGLEIFKISDSNNLARPNVQNDLAVGKRRNVLSIGKSTNYITKHKIIIAGVVFVLVSFLGFAVVWCVISKFNLCSFSTKVHHQLSTNSHELSTNTLICRRFSLVEIKAATNNFDDAAVAGVGGFGHVYRGIIRRIFMPVALKHFRPGSNGPAKFLNEMEVAIKRHKPGSKQGAEEFLNEIELLSQLRHRNLVPLIGYCNSKEEMILVYSFMARGNLRDHLYNSDKPQLPWKRRLQICIDAAQALHYLHSGAKAYTIIHSDVKTTNILLDEEWVAKVSDFGLSRIGPMVESKAHVGDTAMKGSFGYIDPEYYKRQYLTEKSDVYSFGVVLFEVLCARPPLIRTADPMQESLCHWVRYCYQNGTMAQILDPTLNGKIAPKCFRMFCKIGVSCLSEIGTQRPSMNDVVGKLKYALQLQEIADISEAHSNTKIPLSV >Vigun06g065900.1.v1.2 pep primary_assembly:ASM411807v1:6:19421027:19424186:1 gene:Vigun06g065900.v1.2 transcript:Vigun06g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSNSASEHSMASAQSSSSPSFMTRVAMRVSRSRWFTFLRRVFHYQNGPRSDLGSNPFNSSTWMMLELVALLIQITSTTLTLAISKTEKPIWPMRVWIAGYDTGCVLNLLLLCGRYYQLHVTQGGALNLSDLEQQRNNEESSVLRGSHLMDKCRSSLELFFAIWFVMGNVWAFDSRFGSFQQAPKLQVLCMILLAWNAICYSFPFLLFLLLCCCVPLMSTLLGYNMSMGSSARGASDDQISQIPSWRYKAAHTNLDFANDSQTTQRLINDDPECCICLAKYKDKEEVRQLPCSHLFHQKCVDQWLRIISCCPLCKQGLQR >Vigun09g182300.2.v1.2 pep primary_assembly:ASM411807v1:9:35588034:35604577:1 gene:Vigun09g182300.v1.2 transcript:Vigun09g182300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPRNLGLHFTSIGRSRDVRLYSNHGSCCFCQLGSGIPTIMKSLTRRAIMRSLTRRSISTMAMTMDMVAVSRSCNAIAGKPIAIPIIPGLGIIGFAVFGLEPLGRLGRKMFLQEGTDRSWKKSSAYYILTSYFQPMLLWTGVMLICRDLDPLVLSSETSQAMKQRLLSFVRSLSTVLTIAYCSSSLIQQAQKLCMEANDSSDERNMSIDFTGKAVYTAVWVAAVSLFMELLGISTQKWLTAGGLGTVLISLAGREIFTNFLSSIMIHATRPFVVNERIQTKIKGYEVSGKVEHVGWWSPTRIRGSDCEAVHIPNHNLSINVVRNLSKKSHWRIKTHLAISHLDVNKINSIIADMRKVLAKHPQVEQRKLHRRVFLENIDPENQALMILVSCFVKTSRSEEYLRVKEVILLDLLRVISHHRARLATPIRTVQKMYSDTDFDIDPFDDTVSSRSRSTKNRPFALIDPPYKVKPSTHSTITNEDRDGKVDETLPSDFKVDSDEFSVTPSSVQKTSKSQKSKKSKTTSKILSTSNESGSGETTTPSKLDDEKSDVSESSSSPSLGNSLEENIILDAALLGTERRTIAIDEELVDSIPSESQEVAAHQNGSELPIGKDKKDEQNRC >Vigun09g217300.3.v1.2 pep primary_assembly:ASM411807v1:9:39103639:39112443:1 gene:Vigun09g217300.v1.2 transcript:Vigun09g217300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAFAALYRHRVPILKSAHLYHDSFTEIILRKCVPLDCRSSSCLLHRNSYSTGFTSVHGETPSADYARMRRESLESKFGHALGTYSSKSFNAIYRFGPFLALYRAAIISFHVLRLAMWQLFVQDMKKRAVKFRETLIRLGPFYIKLGQALGTRPDILPTIYCQELAELQDQIPPFPTDVAIKSIEREFGVPIDKIFNDITPSPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFHMIGGQLKRFAKARRDLFVAVNEMVRHMFDEIDYVLEGKNAERFATLYCWSSNGIKHKKTNAVKAPKIYWDYTCSTVLTMEWIDGIKLTDETGLTEASLNRRELIDQGLYCSLRQMLEVGYFHADPHPGNLVAINDGSLAYFDFGMMGDIPRHYRIGLIQMIVHFVNRDSLSLANDFLSLGFIPEGVDVLSVSDALKASFADQTGESQDFQGIMNQLYNVMYEFNFSLPPDYALVIRALGSLEGTAKVLDPDFKVIESAYPFVIGRLIADPNPDMRRILRELLIRNNGSIRWNRLERLTRVLECAFSFFGI >Vigun09g217300.2.v1.2 pep primary_assembly:ASM411807v1:9:39103639:39112443:1 gene:Vigun09g217300.v1.2 transcript:Vigun09g217300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVLFLADLYHDSFTEIILRKCVPLDCRSSSCLLHRNSYSTGFTSVHGETPSADYARMRRESLESKFGHALGTYSSKSFNAIYRFGPFLALYRAAIISFHVLRLAMWQLFVQDMKKRAVKFRETLIRLGPFYIKLGQALGTRPDILPTIYCQELAELQDQIPPFPTDVAIKSIEREFGVPIDKIFNDITPSPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFHMIGGQLKRFAKARRDLFVAVNEMVRHMFDEIDYVLEGKNAERFATLYCWSSNGIKHKKTNAVKAPKIYWDYTCSTVLTMEWIDGIKLTDETGLTEASLNRRELIDQGLYCSLRQMLEVGYFHADPHPGNLVAINDGSLAYFDFGMMGDIPRHYRIGLIQMIVHFVNRDSLSLANDFLSLGFIPEGVDVLSVSDALKASFADQTGESQDFQGIMNQLYNVMYEFNFSLPPDYALVIRALGSLEGTAKVLDPDFKVIESAYPFVIGRLIADPNPDMRRILRELLIRNNGSIRWNRLERLVAAISEQASEITGDPSSEKFSSSSIWKLFDMHAVVDSTEDLLLFILSDKGLRVRLFLLRDIIEAADVFLQNEVIDCALDENPQGQRTLLFEERDILGRIGKGFEYLCEVVKLAPAEWTAMLIRMSVRPEVHKFALDIISAIALHSSHKLQVASWLYLSRLLHKMTI >Vigun09g217300.1.v1.2 pep primary_assembly:ASM411807v1:9:39103639:39112443:1 gene:Vigun09g217300.v1.2 transcript:Vigun09g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAFAALYRHRVPILKSAHLYHDSFTEIILRKCVPLDCRSSSCLLHRNSYSTGFTSVHGETPSADYARMRRESLESKFGHALGTYSSKSFNAIYRFGPFLALYRAAIISFHVLRLAMWQLFVQDMKKRAVKFRETLIRLGPFYIKLGQALGTRPDILPTIYCQELAELQDQIPPFPTDVAIKSIEREFGVPIDKIFNDITPSPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFHMIGGQLKRFAKARRDLFVAVNEMVRHMFDEIDYVLEGKNAERFATLYCWSSNGIKHKKTNAVKAPKIYWDYTCSTVLTMEWIDGIKLTDETGLTEASLNRRELIDQGLYCSLRQMLEVGYFHADPHPGNLVAINDGSLAYFDFGMMGDIPRHYRIGLIQMIVHFVNRDSLSLANDFLSLGFIPEGVDVLSVSDALKASFADQTGESQDFQGIMNQLYNVMYEFNFSLPPDYALVIRALGSLEGTAKVLDPDFKVIESAYPFVIGRLIADPNPDMRRILRELLIRNNGSIRWNRLERLVAAISEQASEITGDPSSEKFSSSSIWKLFDMHAVVDSTEDLLLFILSDKGLRVRLFLLRDIIEAADVFLQNEVIDCALDENPQGQRTLLFEERDILGRIGKGFEYLCEVVKLAPAEWTAMLIRMSVRPEVHKFALDIISAIALHSSHKLQVASWLYLSRLLHKMTI >Vigun07g071500.2.v1.2 pep primary_assembly:ASM411807v1:7:8923983:8928038:1 gene:Vigun07g071500.v1.2 transcript:Vigun07g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGSSSSAHQTCSSEGSRDASKKSTEKEVFVNHAEIAWHQMRKEWVGNRSTKLERPPKESIVSLTTSYEDLLLSAAPFQQPISLTEMVDFLVDFWHEEGLYD >Vigun07g071500.1.v1.2 pep primary_assembly:ASM411807v1:7:8923983:8928038:1 gene:Vigun07g071500.v1.2 transcript:Vigun07g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGSSSSAHQTCSSEGSRDASKKSTEKEVFVNHAEIAWHQMRKEWVGNRSTKLERPPKESIVSLTTSYEDLLLSAAPFQQPISLTEMVDFLVDFWHEEGLYD >Vigun03g080600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6722812:6727520:1 gene:Vigun03g080600.v1.2 transcript:Vigun03g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETPESDMYQSSIGAHRLAYRSHISKLVKAGLINHAIHLFDQMTQSNCRVFSVDYNRFIGVLLRHSRLHLAHHYYRRHVIPRGFSLLPFTYSRFISALCSSPNNLPLIQDLLSDLHALGFVSDIWAFNTYLSFLCRHNRLETALEHFHSMPSKGREPDVVSYTIIVDALCKAQRFDEAARVWRGLTGKGLSPDYKACVAIVVGLCGGGRVDLAYELVVDVIKGGVKVNILVYNALIDGFCRMGRVDKAMKIKAFMSGNGCVPDLVTYNILLNYCCDEGMVSEASRLVETMERSGVEPDLYSYNELLKCFCKANMVDRAYMMLVERMQTKGLCDVVSYNTLITAFCKVRRTRRGYELFEEMCGKGIRPDMVTFNILIDAFLREGSIQVVKKLLDEMTRAGILPDRIFYTAVVDHLCKTGKVDTAHSVFRDMVENGVNPDVISYNALVNGFCKASRVMDAMRLYDEMQRNGLYPDEVTFKLIVGGLIKGKKLSLACRVWDQMMEKGLTLDRHLSETLVNAMQSSDGA >Vigun03g080600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6723031:6727508:1 gene:Vigun03g080600.v1.2 transcript:Vigun03g080600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETPESDMYQSSIGAHRLAYRSHISKLVKAGLINHAIHLFDQMTQSNCRVFSVDYNRFIGVLLRHSRLHLAHHYYRRHVIPRGFSLLPFTYSRFISALCSSPNNLPLIQDLLSDLHALGFVSDIWAFNTYLSFLCRHNRLETALEHFHSMPSKGREPDVVSYTIIVDALCKAQRFDEAARVWRGLTGKGLSPDYKACVAIVVGLCGGGRVDLAYELVVDVIKGGVKVNILVYNALIDGFCRMGRVDKAMKIKAFMSGNGCVPDLVTYNILLNYCCDEGMVSEASRLVETMERSGVEPDLYSYNELLKCFCKANMVDRAYMMLVERMQTKGLCDVVSYNTLITAFCKVRRTRRGYELFEEMCGKGIRPDMVTFNILIDAFLREGSIQVVKKLLDEMTRAGILPDRIFYTAVVDHLCKTGKVDTAHSVFRDMVENGVNPDVISYNALVNGFCKASRVMDAMRLYDEMQRNGLYPDEVTFKLIVGGLIKGKKLSLACRVWDQMMEKGLTLDRHLSETLVNAMQSSDGA >Vigun03g080600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6723031:6727508:1 gene:Vigun03g080600.v1.2 transcript:Vigun03g080600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETPESDMYQSSIGAHRLAYRSHISKLVKAGLINHAIHLFDQMTQSNCRVFSVDYNRFIGVLLRHSRLHLAHHYYRRHVIPRGFSLLPFTYSRFISALCSSPNNLPLIQDLLSDLHALGFVSDIWAFNTYLSFLCRHNRLETALEHFHSMPSKGREPDVVSYTIIVDALCKAQRFDEAARVWRGLTGKGLSPDYKACVAIVVGLCGGGRVDLAYELVVDVIKGGVKVNILVYNALIDGFCRMGRVDKAMKIKAFMSGNGCVPDLVTYNILLNYCCDEGMVSEASRLVETMERSGVEPDLYSYNELLKCFCKANMVDRAYMMLVERMQTKGLCDVVSYNTLITAFCKVRRTRRGYELFEEMCGKGIRPDMVTFNILIDAFLREGSIQVVKKLLDEMTRAGILPDRIFYTAVVDHLCKTGKVDTAHSVFRDMVENGVNPDVISYNALVNGFCKASRVMDAMRLYDEMQRNGLYPDEVTFKLIVGGLIKGKKLSLACRVWDQMMEKGLTLDRHLSETLVNAMQSSDGA >Vigun09g069900.2.v1.2 pep primary_assembly:ASM411807v1:9:7559483:7604613:-1 gene:Vigun09g069900.v1.2 transcript:Vigun09g069900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQIPRLTNSLRDPFDVDQYYLHRKTILHNQKPRNSASSLDESELARKIVQGWEEAASSEVRQAYKQFIGAVVDMVDGEMRSEEFHEVVLAVYQLFGTPMEEGYIDKIVSDKKFELQKLIGHTLADAKLRQVASLAQRLLNLQPLNKMSAISFESNLNADEDLEFGADLFFQAPARFLVDVSLDEGDMMDFESTVPLEFHNEQYGHTSAADHSIVDGEKFNLAWIRDACDKIVRNCNSQLSQDELAMAICRVLNSEKPGEEIAGDLLDLVGDSAFETVQIFLLHRKEIVDSIRHGLLVLKSDKNASNAQSRMPSYGTQVTVQTESGKQIDKLRRKEEKRNRRGIEHAGDDDLSVLDFSSLLQASERKNLFDEMIGSGDRSESIAVTALPEGTVRKHFKGYEEVIIPPKPTAPLKPGEKLIEIRELDDFAQAAFRGYKSLNRIQSRIFSTVYGTNENILVCAPTGAGKTNIAMISILHEIGQHFRDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMIVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFAARNELLNDICYRKVADSLRQGHQAMVFVHSRKDTAKTANKLVELARRNEDLELFSNNTHPQYTFMKKEVIKSRNKDLVELFEYGVGVHHAGMLRADRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRMNPLAYGIGWDEVMADPALSSKQRSLVIDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVINMIAHSSEFENIAVREEEQNELEMLARTSCPLEIKGGPSNKHGKISILIQLYISRGSMDSFSLVSDAAYISASLARITRALFEICLRRGWCEMTLFMLEYSKAVDRQVWPHQHPLRQFDKDLSAEILRKLEDRGADLDRLFEMEEKDIGALIRYAPGGRLVKQNLGYFPSLQLSATVSPITRTVLKVDLVITPVFTWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMARGEPYKLSFTVPIFEPHPPQYYIHAVSDSWLHAEAFYTITFHNLPLPEARTSHTELLDLKPLPVSSLGNNAYEALYKFSHFNPIQTQSFHTLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMSDWQKRLVSQLRKKMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHTRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTDRPVRFIGLSTALANAGDLADWLGVEEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQFAASDEQSRQFLSLPEETLQMVLSQVSDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFGNNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREYLHNHINAEIISGTICHKQDAVHYLTWTYLFRRLMVNPAYYGLENADSEFLNSYLSSLVQNTFEDLEDSGCIKMDEEKVEPMMLGSIASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSAASEFDELPVRHNEEKYNEALSEKVKYPVDKNRLDDPHIKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSSITCMRLLQMVMQGLWFDKDSSLWMLPCMNTDLISSLSQRGISSVQELLEIPKTALQTVTANFPASRLHQDLQHFPHIKVKLKVQRRDRDGDGERSDILNIRLEKINSRRHSSRAFVPRYPKIKEEQWWLVLANTSTSELYALKRVSFPDHLNTSMKLPSTPANLQGVKLILVSDCYIGFEQEHSIEKA >Vigun09g069900.1.v1.2 pep primary_assembly:ASM411807v1:9:7559451:7604698:-1 gene:Vigun09g069900.v1.2 transcript:Vigun09g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQIPRLTNSLRDPFDVDQYYLHRKTILHNQKPRNSASSLDESELARKIVQGWEEASSEVRQAYKQFIGAVVDMVDGEMRSEEFHEVVLAVYQLFGTPMEEGYIDKIVSDKKFELQKLIGHTLADAKLRQVASLAQRLLNLQPLNKMSAISFESNLNADEDLEFGADLFFQAPARFLVDVSLDEGDMMDFESTVPLEFHNEQYGHTSAADHSIVDGEKFNLAWIRDACDKIVRNCNSQLSQDELAMAICRVLNSEKPGEEIAGDLLDLVGDSAFETVQIFLLHRKEIVDSIRHGLLVLKSDKNASNAQSRMPSYGTQVTVQTESGKQIDKLRRKEEKRNRRGIEHAGDDDLSVLDFSSLLQASERKNLFDEMIGSGDRSESIAVTALPEGTVRKHFKGYEEVIIPPKPTAPLKPGEKLIEIRELDDFAQAAFRGYKSLNRIQSRIFSTVYGTNENILVCAPTGAGKTNIAMISILHEIGQHFRDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMIVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFAARNELLNDICYRKVADSLRQGHQAMVFVHSRKDTAKTANKLVELARRNEDLELFSNNTHPQYTFMKKEVIKSRNKDLVELFEYGVGVHHAGMLRADRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRMNPLAYGIGWDEVMADPALSSKQRSLVIDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVINMIAHSSEFENIAVREEEQNELEMLARTSCPLEIKGGPSNKHGKISILIQLYISRGSMDSFSLVSDAAYISASLARITRALFEICLRRGWCEMTLFMLEYSKAVDRQVWPHQHPLRQFDKDLSAEILRKLEDRGADLDRLFEMEEKDIGALIRYAPGGRLVKQNLGYFPSLQLSATVSPITRTVLKVDLVITPVFTWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMARGEPYKLSFTVPIFEPHPPQYYIHAVSDSWLHAEAFYTITFHNLPLPEARTSHTELLDLKPLPVSSLGNNAYEALYKFSHFNPIQTQSFHTLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMSDWQKRLVSQLRKKMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHTRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTDRPVRFIGLSTALANAGDLADWLGVEEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQFAASDEQSRQFLSLPEETLQMVLSQVSDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFGNNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREYLHNHINAEIISGTICHKQDAVHYLTWTYLFRRLMVNPAYYGLENADSEFLNSYLSSLVQNTFEDLEDSGCIKMDEEKVEPMMLGSIASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSAASEFDELPVRHNEEKYNEALSEKVKYPVDKNRLDDPHIKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSSITCMRLLQMVMQGLWFDKDSSLWMLPCMNTDLISSLSQRGISSVQELLEIPKTALQTVTANFPASRLHQDLQHFPHIKVKLKVQRRDRDGDGERSDILNIRLEKINSRRHSSRAFVPRYPKIKEEQWWLVLANTSTSELYALKRVSFPDHLNTSMKLPSTPANLQGVKLILVSDCYIGFEQEHSIEKA >Vigun05g010300.1.v1.2 pep primary_assembly:ASM411807v1:5:842071:843143:-1 gene:Vigun05g010300.v1.2 transcript:Vigun05g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQQKTAMAASLPSFFFVRPRRPYLKRSNTRLIKVQNFQDEERWTSIDGDLNVLKKRIEMVRVKERLERCCRSQHGWNYVPLCNDKIRGNKELNIVELIGLVCGTLGLTCFAGTFFICLLSLLLHLQLFFFPHHM >Vigun07g184600.1.v1.2 pep primary_assembly:ASM411807v1:7:30166473:30173326:-1 gene:Vigun07g184600.v1.2 transcript:Vigun07g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEDPGISGKHYIDAVNFGLGNQVSCAEDGHDICYQTGEEFSAEFLRDRVALRRIPVTTDTDMRLPNRLDVNINDNNCQLVYEDLKHGFRLRRADSDGNSDLSEFAFARGCVGEVDNRTYPNNLNRYQFEQGGIRQVSGTFSRQLSGNFSEGSGGDQVNSGPNTSSMYVDSPQSYHPYASVFTEGSFYKKIKFLCSFGGRILPRPNDGKLRYVGGETRIISIRKSMAWEELMRKTSAICSQTHTIKYQLPGEDLDALISVCSNEDLHHMIEEYEELERAGASQRLRIFLIPLNECESLSSNEAIVNQQSDVDYHYVVAVNNMLDPSPQQISSARSLASHTSQFGNTIDYNTPHFHRDSSTSAFASEMKDCTPTSPNFAGLLSKPSPQYFTALAGQSFNQMPPLPPACDHQNSNGQLFMDKPYNAMNETITPFVTEKVPHDNTLYVDNANYVDPVAYYNNLAQGPRRVNYHPNNQYIRESDQIRRPRENLHLHRHNRSNDFVTSAICNLNNTSYERPLITGDGSYHFNNIVSHPHESPSLLSESDPREGSRYTMLHAHSDTTLNENDENYKVHLQFPRNVERDNFLSRTNSNHLEDFSLQPGEIVDRKEHLAKYQNLPVFGITNSYKKVLEIGKESLQHAEKNNDFFDETNNAIDFKHLQHIYTPHVVCSSSPDLQRSECNAFTESFVSLESPLNLRGQTSVVQLDRTTSEFSMRSRNSSKIHHQYAMSAADDSQPLFPGPFKSQNGKESSLPISYMDMISSLREAVIPVGDPAYYLHQKEENTIVENRTSEYIDEFCVNRPVSDSKGPTDYISSGIQSCLKVVSNVDEKVEVEPTSPKKEGIERDSPESESKHARPESGNLNKSTGDRATAETESEIYGLQNIENDDLEELQELGSGTFGTVYHGKWRGTDVAIKRIKSSCFSGRLSEQERLIKDFWREAQILSTLHHPNVVAFYGVVPNGPGGTLATVTEYMVHGSLKNVLMKREKVLDRRKRVLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLGDPERPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDGNSCRVSEKVDIFSFGIVMWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKRCDSEWKKLMEECWSPDPAARPTFTDIKIRLRNISAAVPKKRHNIGNR >Vigun06g223000.2.v1.2 pep primary_assembly:ASM411807v1:6:33179051:33182185:1 gene:Vigun06g223000.v1.2 transcript:Vigun06g223000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVRCMQCGRPIVVPMEAAYSMSIMCYACQAQARNALPQNYHFANNNINNAPSYPPRPGYARRSYNQFYYPQPLQLMAPPPPPPLTPSSSFGNKRAVLVGISYGNLSNSLKGSVNDAQSMKYFLVNNLDFPTDSIRILTDDPEEKNPLRIPTKYNMRMALRWLVEGCRSGDSLFFHFSGHGSREVDMNMDEVDGYDEAICPVDYEHEGKIVDDEINATIVRPLPRGAKLHALVDTCFSGTVLDLPFMCRMNRLLNMRMCYSPSFNKECFIYCSESFVSVESKQKRLLWMGGSQKS >Vigun06g223000.1.v1.2 pep primary_assembly:ASM411807v1:6:33179153:33182185:1 gene:Vigun06g223000.v1.2 transcript:Vigun06g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVRCMQCGRPIVVPMEAAYSMSIMCYACQAQARNALPQNYHFANNNINNAPSYPPRPGYARRSYNQFYYPQPLQLMAPPPPPPLTPSSSFGNKRAVLVGISYGNLSNSLKGSVNDAQSMKYFLVNNLDFPTDSIRILTDDPEEKNPLRIPTKYNMRMALRWLVEGCRSGDSLFFHFSGHGSREVDMNMDEVDGYDEAICPVDYEHEGKIVDDEINATIVRPLPRGAKLHALVDTCFSGTVLDLPFMCRMNRKGYYGWEDHRNPRAYKGTRGGLAVCISACDDDGNAADTSAFSGEESAGALTFSFIRAMQEEPNLTYGNLLNSIRSTIRGAKEKTFGPNDQQLGMNSRMQYAHEPQLTSSEKFDIYTKSIVI >Vigun03g372700.1.v1.2 pep primary_assembly:ASM411807v1:3:57527431:57527742:1 gene:Vigun03g372700.v1.2 transcript:Vigun03g372700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCEICCEIMIAILLPPIGVCFRHGCCSVEFIICLLLTILGYIPGIIYALYAIIFVDRDQYFDEYRRPLYSQY >Vigun10g019000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2142327:2151383:1 gene:Vigun10g019000.v1.2 transcript:Vigun10g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYHTIQSMDSSFIFSKEKNNGFRTHSPSSYGTFYFSHSSVYFLALNLSIYIYLSKSYPFTFCYIQVFYNLQSFTILGFRFNESYNIDLYYIFVLYRKTLLGKNI >Vigun05g112800.1.v1.2 pep primary_assembly:ASM411807v1:5:11855755:11861490:1 gene:Vigun05g112800.v1.2 transcript:Vigun05g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEHYHVPQQSRRDKLRVFAQNHPSFVESSSILHPTCPNLTSLYDPSLIPSDLLACATQQASAAKGEGSNLMMGFVEGGVVNGDAIHVINSNSNHHHNNNNNPFLYQLQNLREFGDAYNDASEMMVFKPEPLSLSLSSQSNNTHLNLQRFGAVIPGLVGRNSEVSRNSAPLGPFTGYASILKESRFLKPAQQLLEELCDGGVREIYASEKSLAPDASLMEPPHEGLSASGVVGGDDPLGEYENESRKKKCRLLTMLDEVYRRYRQYYQQMHAVITSFEYVSGLGNAAPYASLAINAMSKHFKCLKNAITDQLQFINKAPFQINNRKDESPRLHNTDRGTYSQRPGFLEHQQPVWRPQRGLPERAVSVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHMLESQQGQKRPQREEQSRRNLSGGGGGGDHLPSDNSLVTENIPCSSMEKFQDAPYKQHRNEIGNMQMRGQEQLNQSNTSNQQMGVGVSINNGVSLTLGLHQNHGLGLSEPFGMSAAQRFGVALQPEGYVISGFESQNRHFGRDVIGGGQLLHDFVG >Vigun03g142466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14382826:14383983:-1 gene:Vigun03g142466.v1.2 transcript:Vigun03g142466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSFFFTHFPSNYGQYEMWRIFQRWGKVQDIFISRRLNQRGQRFGFVRYRGISNPQALEKQLDNIRIGNMKIHVNKPKYRRYVDASRKEGGQRSENDGFKNNISPTYKKVWKRKNTQTYAQIVKGEAMNYNNTSILAAMEIQVKEEEKEWLHHSYIGRVKNMSNIELIRESFILNGLDFIRLRYMGDDTMLLIAEGNTNIAKAIEENKEWLSTIFETISTWTRNTVMGHRRHGLDVGGFLYPCGGRSALRRSLERLAH >Vigun08g053500.1.v1.2 pep primary_assembly:ASM411807v1:8:6456974:6463470:1 gene:Vigun08g053500.v1.2 transcript:Vigun08g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSFRTRSSPFIAQSFSIHRFQIRHSSTSPSASSHLNSGDPVRTGFGRTALSTALAGSGVLGLLLYGFYSFSPTANFESIASLVDRSTSNSEDQKPPRRTFFPKFSLPESSGLLLGDEFRRNIFFNYEKRMRLRSPPEKVFGYFASDRSPKGEVLMKPADLMRAVVPVFPPSESNLVRDGYLGGERSPGHLRCPPSEFFMLFDVNNDGLISFKEYIFFVTLLSIPESSFTVAFKMFDVNNNGEINKEEFKKVMALMRSRHRQGVHHRDGLRTGLKVNDSVEDGGLVEYFFDKDGNGCLQHDKFVKFLRDLHDEILRLEFAHYDYKSQETISAKDFALSMVASADMSHLSRLLKRVAMLNDDPCLKDARITFEEFKNFAELRKKLVPFSLALFSFGEVNGLLTRDDFQRAASQVCGISLSDNVVEIVFHLFDTNRDGNLSFDEFVRVLHQRERDIAQPVETGIMGLLSRFWHGSNNSFSSSGLFP >Vigun01g020600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2182316:2182913:1 gene:Vigun01g020600.v1.2 transcript:Vigun01g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLSFNSFAVVLVVALFFAVASAQDLSTAPAPGPDAGAAGSVSSSMAVIGASIVLSMLAIFKH >Vigun02g143300.1.v1.2 pep primary_assembly:ASM411807v1:2:29165249:29167831:-1 gene:Vigun02g143300.v1.2 transcript:Vigun02g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVYGSSPPFQGGPFGHPRHFYLAVDRLNFKMQTVIELVDLVARRPSLPIVVCCSTRDDLDSLCSSLSTLPFVSSSALYSDLAEDERAFLLEKFQQVTARWNQSNQGGAPSEDEIGKDEKSHMIIVTDSCLPLLSSGELPLNAHLLINYELPAKKETYARRLAACLTSDGIVINMVVGGEVVTLKSIEESSNIVMQEMPMRILDVL >Vigun10g139800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35628677:35631785:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKWYGIELPFIETSSWFVVSSYGLVCFMDNDSRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun10g139800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35628675:35631846:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKWYGIELPFIETSSWFVVSSYGLVCFMDNDSRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun10g139800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35626187:35631785:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKWYGIELPFIETSSWFVVSSYGLVCFMDNDSRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun10g139800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35628675:35631846:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKWYGIELPFIETSSWFVVSSYGLVCFMDNDSRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun10g139800.8.v1.2 pep primary_assembly:ASM411807v1:10:35628845:35631789:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKCRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun10g139800.9.v1.2 pep primary_assembly:ASM411807v1:10:35628845:35631789:1 gene:Vigun10g139800.v1.2 transcript:Vigun10g139800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSWISHLDDGARRETRDFDSVLEFGEEVDTEETAVSVNTVLPDELVERILAYLPVVSIFRASSVCKRWHEIVTSERFQWNPSNSLPQRPWYFMFTYSDEPTGYAYDPIPRKCRSKLCMCNPITKRCRRLEGPPGLKFSDYSALAMSVNRKSHSYTLAIVKSKQIPEDFVQWEISIHIYHSEKETWMTTTTEVLMGWRGGNESVICNGVLYFLVYSAGGVPSESRHALIAYNVSNLTSQTTLRRSFIAAPCSLTCGRLMNMKEKLVMVGGIGKQDRPDIIKGIGIWVLNDRKWEEIVRMPHKYFQGFGEFDDVFASSGTDDQIYIQSYGSPALLTFDLNLKQWRWSQKCPVSKRFPLQLFTGFCFEPRLEIAP >Vigun07g132100.3.v1.2 pep primary_assembly:ASM411807v1:7:24180904:24183781:1 gene:Vigun07g132100.v1.2 transcript:Vigun07g132100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQKGIDPVTHKPLSEVENGDETGKSQGKAPEVSNELNLLKSESSKSDSASYEQRTSISPKAYAPEMDGSCSSKIYCRSDSNFVTNNCYNKDLFLERFMNSSRQESYNSSCQPSDLMGNFPIQMSYATNECLPNDSNSSHWFGQTGRPFDMNSEFPFNAATSINHPTPTTNLFLPNSFCYKPSLAVPSDSVSTAYGSHYWEGSASNNSNSSIRSNSSTELRGSSPLNIFSSWGLAECSTSTTKEGQIHMMENHTEEAKWDEYLHNNTISMLASVQNQAPESLCNQIKTSMHLVPDTLGAMLPHSTKQQEPSQTSSIFSKDIQKLRAAFGHM >Vigun07g132100.2.v1.2 pep primary_assembly:ASM411807v1:7:24180507:24183781:1 gene:Vigun07g132100.v1.2 transcript:Vigun07g132100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQKGIDPVTHKPLSEVENGDETGKSQGKAPEVSNELNLLKSESSKSDSASYEQRTSISPKAYAPEMDGSCSSKIYCRSDSNFVTNNCYNKDLFLERFMNSSRQESYNSSCQPSDLMGNFPIQMSYATNECLPNDSNSSHWFGQTGRPFDMNSEFPFNAATSINHPTPTTNLFLPNSFCYKPSLAVPSDSVSTAYGSHYWEGSASNNSNSSIRSNSSTELRGSSPLNIFSSWGLAECSTSTTKEGQIHMMENHTEEAKWDEYLHNNTISMLASVQNQAPESLCNQIKTSMHLVPDTLGAMLPHSTKQQEPSQTSSIFSKDIQKLRAAFGHM >Vigun07g132100.1.v1.2 pep primary_assembly:ASM411807v1:7:24180507:24183888:1 gene:Vigun07g132100.v1.2 transcript:Vigun07g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQKGIDPVTHKPLSEVENGDETGKSQGKAPEVSNELNLLKSESSKSDSASYEQRTSISPKAYAPEMDGSCSSKIYCRSDSNFVTNNCYNKDLFLERFMNSSRQESYNSSCQPSDLMGNFPIQMSYATNECLPNDSNSSHWFGQTGRPFDMNSEFPFNAATSINHPTPTTNLFLPNSFCYKPSLAVPSDSVSTAYGSHYWEGSASNNSNSSIRSNSSTELRGSSPLNIFSSWGLAECSTSTTKEGQIHMMENHTEEAKWDEYLHNNTISMLASVQNQAPESLCNQIKTSMHLVPDTLGAMLPHSTKQQEPSQTSSIFSKDIQKLRAAFGHM >Vigun03g173500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21274788:21275024:-1 gene:Vigun03g173500.v1.2 transcript:Vigun03g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKLWFCIILVLCSFVAMYEQRSLPPSFESQTTNSDFTLNGDGIVTVVAGFKLKATENIKHKLNRLSPSGPDPKHH >Vigun03g065600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5433185:5434811:-1 gene:Vigun03g065600.v1.2 transcript:Vigun03g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEQFDFSFGPPYMNQLHEYCLENAFPFQTEDISSPNTIMDEMFGQESLEGLLLQHTNNQDFSFMNHNDPPEIELCDGFAEENVHVSMEEEEEEEGGDSYLKGIQAELMEQTSLADLLLTGAEAVEAQNWDLASDIIEKLNNESSLENGDGLLNRLALFFAQGLYYKSTNDPELLLQRGAVSTHTDAFCVFQVLQELSPYVKFAHFTANQAILEATEGAESVHIVDFDIMEGIQWPPLMVDLAMRKSGTSLRVSAITVDERGAASAQQTGRRLKEFAASINFPFMFDQIMMEREEDIQGIEVGETLVVNCMIHQWMPNRSFSLVKTFLDGVSKLWPRLVVLVEEELFNFSRLKSMSFVEFFCEALHHYTAVCDSLGSSVWGSHKMELRVIEKEILGLRILDSVRQFPCERNERKVWEEGFYSLEGFKCVPMSTCNISQAKFLVSLFGGGYWVQFEKGRLALCWKSRPLTVASIWVPKGY >Vigun07g207200.1.v1.2 pep primary_assembly:ASM411807v1:7:32841014:32851389:1 gene:Vigun07g207200.v1.2 transcript:Vigun07g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRKKQRRLQDSSTSSSSSSSSDSDRSSRRRRRRREKERRRRSGEKEERRRKRRDRERRKRKRLRDSDDSSNSSEDEDEQPRVLPETMVAEMMREFPNVGNDLKQLLQMIDNGQAVDIKGISERSLAKHLKKLFLSLNLKENGDRVFLLPSKGRPTLDVLGPLINSYTNPINEHAEASAPVPESSSVPVDVGNEKMVDDHVLASPDDHSVGPRKRMIGPAMPSAELLAAAAKLTEAQTELREAELDDDSELFIGPPPPAMVTEAESANEAERFEEVTRIMEVEADSPYDVLGVNHNMSDANVKKRYWKISLLVHPDKCSHPQAHQAFIKLNKAFKELQDPEKRKAMDEKIKLKQEQEELKAELKTMREAALWRRSQGISMEGDEELLAQTEVKVEPKRDEWMTTLPPERKPGGVTMQSTKFSRGPKEGRGDTSAWTDTPLDRAQKAKMNYLEAYNEATALASNDEEKKRASEDADLVDKYNKAKRSKTLVQKHQEEVANKSKKKSKQVKQQPGKQEWVGQHPWKPWDREKDLTAGRKSVNFDSESMTKDLSSRFSSGNFQRNFL >Vigun07g207200.2.v1.2 pep primary_assembly:ASM411807v1:7:32841014:32851389:1 gene:Vigun07g207200.v1.2 transcript:Vigun07g207200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRKKQRRLQDSSTSSSSSSSSDSDRSSRRRRRRREKERRRRSGEKEERRRKRRDRERRKRKRLRDSDDSSNSSEDEDEQPRVLPETMVAEMMREFPNVGNDLKQLLQMIDNGQAVDIKGISERSLAKHLKKLFLSLNLKENGDRVFLLPSKGRPTLDVLGPLINSYTNPINEHAEASAPVPESSSVPVDVGNEKMVDDHVLASPDDHSVGPRKRMIGPAMPSAELLAAAAKLTEAQTELREAELDDDSELFIGPPPPAMVTEAESANEAERFEEVTRIMEVEADSPYDVLGVNHNMSDANVKKRYWKISLLVHPDKCSHPQAHQAFIKLNKAFKELQDPEKRKAMDEKIKLKQEQEELKAELKTMREAALWRRSQGISMEGDEELLAQTEVKVEPKRDEWMTTLPPERKVV >Vigun03g014800.1.v1.2 pep primary_assembly:ASM411807v1:3:1015324:1019339:-1 gene:Vigun03g014800.v1.2 transcript:Vigun03g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFFNCFIGYCLLYSLLLVHGKPLSCNETPYPSVCKHYVETTNTLSTLDARSSSFHDLALKVTVDQAMQVYKLVSNMDLNNFKDKRSKSAWEDCLELYEDTLYQLKRSVNSNNLNDRLTWQSASIANHQTCQNGFTEFNLPTHLNYFPNMLSNFSKLLSNSLSLSKAMTLTSLSSTKQNGGRKLLSDGFPSWISHSDRRLLQETTSKADVVVAQDGTGNYKTISEGVAAAAKLSGKGRVVVHVKAGVYKESIDIKRTVKNLMIIGDGMGATIVTGSDNAQDGSTTFRSATFAVSGDGFIARDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVYANRQFYRDCDIYGTVDFIFGDAVSVLQNCNIYVRKPMGNQQNTVTAQGRTDPNENTGIIIHNCLITAAGDLKAVQGSFRTYLGRPWQKYSRTVIMKTALDGLINPAGWSEWSGNFALGTLYYGEHANTGAGAGTGGRVKWGGFRVISSAEAVKFTVGEFLAGGSWIPGSGVPFDAGL >Vigun04g150100.1.v1.2 pep primary_assembly:ASM411807v1:4:36586458:36589140:1 gene:Vigun04g150100.v1.2 transcript:Vigun04g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIDDKSESRLYIGNLDLRITEAALLKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAELAKEKMHGRFACGRPLVVRLAGEKCLLETADKSTKAASEGHKMLLIGGGGGGGAMGQTSRTAKIAAIKNKLKSLEEESSRTKKQKQSENIC >Vigun04g150100.2.v1.2 pep primary_assembly:ASM411807v1:4:36586995:36589140:1 gene:Vigun04g150100.v1.2 transcript:Vigun04g150100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIDDKSESRLYIGNLDLRITEAALLKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAELAKEKMHGRFACGRPLVVRLAGEKCLLETADKSTKAASEGHKMLLIGGGGGGGAMGQTSRTAKIAAIKNKLKSLEEESSRTKKQKQSENIC >Vigun01g105600.10.v1.2 pep primary_assembly:ASM411807v1:1:27680295:27682216:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCKNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVQTSILKSPFFSNYLVWIFISIMMNVLWLSIVRCWWMFYLGTLCFF >Vigun01g105600.8.v1.2 pep primary_assembly:ASM411807v1:1:27679610:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.7.v1.2 pep primary_assembly:ASM411807v1:1:27679610:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.5.v1.2 pep primary_assembly:ASM411807v1:1:27679858:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.6.v1.2 pep primary_assembly:ASM411807v1:1:27679870:27686134:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.4.v1.2 pep primary_assembly:ASM411807v1:1:27679610:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.2.v1.2 pep primary_assembly:ASM411807v1:1:27679610:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.3.v1.2 pep primary_assembly:ASM411807v1:1:27679610:27686070:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCKNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.1.v1.2 pep primary_assembly:ASM411807v1:1:27679858:27686133:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCKNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRGIDLLLILMISSYFKIFLVAMMVWEFPSSVIFIIELFCLSSNAVALKVMTESTMSRCVWTCFFAYAMKFLVTQILEFILLGQLMQGWSQISSSFLKASMI >Vigun01g105600.9.v1.2 pep primary_assembly:ASM411807v1:1:27680295:27682359:1 gene:Vigun01g105600.v1.2 transcript:Vigun01g105600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKCIQCGCPVKTLYVQYSPGNIRLMKCKNCRAVADEYIECEIMILVIDLILHKPKAYRHLLHNVINQKTMKFQGLLWKLAVVFLLFEAHRCLILESGKGKTGSSMSFSSLLSICSKVLVDVLFGNFMFLLTFFFMIKIFFHVSITISRYIFFIYLPYLVMKKGQLVIQEKVKICLLF >VigunL080200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:12315:12617:-1 gene:VigunL080200.v1.2 transcript:VigunL080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLLRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun09g028900.1.v1.2 pep primary_assembly:ASM411807v1:9:2399779:2404827:-1 gene:Vigun09g028900.v1.2 transcript:Vigun09g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFQSFRKAYGALKDSTKVGLAKVNSEYKDLDIAIVKATNHVEYPPKERHVRKIFYATSAHQPRADVSYCIHKLSKRLSKTRSWIVAIKTLIVIHRTLREGDPTFREEMLNYSRRGHVLQISNFKDDSSPQAWDCSAWVRTYALFLEERLECFRVLKYDIESERLTKSSPTATKAHSRTRSLNSEDLLGQLPALQQLLYRLIACQPEGCSYSNYLVQYALALVLKESFKIYCALNDGIINLVDMFFDMTRHDAVKALNIYKRAGQQAENLADFYEYCKGLELARNFQFPTLRQPPPSFLATMEEYIREAPQTGYVNRRLEYQENDDESSKEDSESDETEDPQENEEHYEEMNEEDSVAGDEAQLKEEEVEVEPPPLISTDDGTNDLLGLNEINLKAMELEENNAMALAIVPPGGSNPNNLALSSIDGTTGWELSLVAAPSKHTAQVTDRKMAGGFDNLLLDSLYEDENARRQLQLQNAGYGHGATAIDAQNNPFDHYNQQQQDPFVMSNNIAPPTNVQLALLAQQQQQHMMFQQPQMMYQQQQQQQPQQHDMMMMVPHQHQQQPQNNQYSQHMQSPGSHNPFGDPLPVPNYNHNYVSQQGNYNLM >Vigun09g028900.2.v1.2 pep primary_assembly:ASM411807v1:9:2399779:2404827:-1 gene:Vigun09g028900.v1.2 transcript:Vigun09g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFQSFRKAYGALKDSTKVGLAKVNSEYKDLDIAIVKATNHVEYPPKERHVRKIFYATSAHQPRADVSYCIHKLSKRLSKTRSWIVAIKTLIVIHRTLREGDPTFREEMLNYSRRGHVLQISNFKDDSSPQAWDCSAWVRTYALFLEERLECFRVLKYDIESERLTKSSPTATKAHSRTRSLNSEDLLGQLPALQQLLYRLIACQPEGCSYSNYLVQYALALVLKESFKIYCALNDGIINLVDMFFDMTRHDAVKALNIYKRAGQQAENLADFYEYCKGLELARNFQFPTLRQPPPSFLATMEEYIREAPQTGYVNRRLEYQENDDESSKEDSESDETEDPQENEEHYEEMNEEDSVAGDEAQLKEEEVEVEPPPLISTDDGTNDLLGLNEINLKAMELEENNAMALAIVPPGGSNPNNLALSSIDGTTGWELSLVAAPSKHTAQVTDRKMSV >Vigun05g219000.2.v1.2 pep primary_assembly:ASM411807v1:5:41079764:41091543:1 gene:Vigun05g219000.v1.2 transcript:Vigun05g219000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERYAAKGRGVITCMAAGNDVIVIGTSRGWVIRHDFGLGNSSEIDLTVGRPGDQSIHRVFVDPGGSHCIATVVGAGGAETFYTHAKWNKPRILTKLKGLVVNAVAWNKQQITEVSTKEVILGTENGQLHELYVDEKDKKEKYIKFLYELRELSEDFMGLQMETASIINGTRYYVMAVTPTRLYSFTGFGSLETVFSGYLDRTVHFMELPGDIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGGQQSSSGANENFIENKALLDYSKLSEGAEVVKPSSMALSEFHFLLLLGNKVKVVNRISEHIIEELQFDQTSDSASKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMNEYAAALANCRDPFQRDQVYLVQAEAAFSSRDYFRAASFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKSDKCQITMISTWATELYLDKINRLLLEDDSESKNSNLEYQSIIKEFRAFLSDSKDVLDEATTMKLLESYGRVEELVYFASLEGHYEIVVHHYIQQGESKKALEVLQKPAVPIDLQYKFAPDLIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSSLLRFLQCKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDSELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKDEMNDATRGADNIRNDISALAQRCTIIDRDEECGVCRRKILAVGRDVGMGRGFTLVGQMAPFYIFPCGHAFHAQCLIAHVTRCTVDSQAEYILDLQKQLTLMGSETKRESNGTLSPEESIPSLSTVDKLRSQLDDAIASECPFCGDLMIREISLPFIHPEEEQHLLRSWEIKPSAGNQNQRNMSLPIST >Vigun05g219000.1.v1.2 pep primary_assembly:ASM411807v1:5:41079829:41091525:1 gene:Vigun05g219000.v1.2 transcript:Vigun05g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERYAAKGRGVITCMAAGNDVIVIGTSRGWVIRHDFGLGNSSEIDLTVGRPGDQSIHRVFVDPGGSHCIATVVGAGGAETFYTHAKWNKPRILTKLKGLVVNAVAWNKQQITEVSTKEVILGTENGQLHELYVDEKDKKEKYIKFLYELRELSEDFMGLQMETASIINGTRYYVMAVTPTRLYSFTGFGSLETVFSGYLDRTVHFMELPGDIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGGQQSSSGANENFIENKALLDYSKLSEGAEVVKPSSMALSEFHFLLLLGNKVKVVNRISEHIIEELQFDQTSDSASKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMNEYAAALANCRDPFQRDQVYLVQAEAAFSSRDYFRAASFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKSDKCQITMISTWATELYLDKINRLLLEDDSESKNSNLEYQSIIKEFRAFLSDSKDVLDEATTMKLLESYGRVEELVYFASLEGHYEIVVHHYIQQGESKKALEVLQKPAVPIDLQYKFAPDLIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSSLLRFLQCKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDSELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKDEMNDATRGADNIRNDISALAQRCTIIDRDEECGVCRRKILAVGRDVGMGRGFTLVGQMAPFYIFPCGHAFHAQCLIAHVTRCTVDSQAEYILDLQKQLTLMGSETKRESNGTLSPEESIPSLSTVDKLRSQLDDAIASECPFCGDLMIREISLPFIHPEEEQHLLRSWEIKPSAGNQNQRNMSLPIST >Vigun05g219000.3.v1.2 pep primary_assembly:ASM411807v1:5:41080093:41091578:1 gene:Vigun05g219000.v1.2 transcript:Vigun05g219000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERYAAKGRGVITCMAAGNDVIVIGTSRGWVIRHDFGLGNSSEIDLTVGRPGDQSIHRVFVDPGGSHCIATVVGAGGAETFYTHAKWNKPRILTKLKGLVVNAVAWNKQQITEVSTKEVILGTENGQLHELYVDEKDKKEKYIKFLYELRELSEDFMGLQMETASIINGTRYYVMAVTPTRLYSFTGFGSLETVFSGYLDRTVHFMELPGDIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGGQQSSSGANENFIENKALLDYSKLSEGAEVVKPSSMALSEFHFLLLLGNKVKVVNRISEHIIEELQFDQTSDSASKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMNEYAAALANCRDPFQRDQVYLVQAEAAFSSRDYFRAASFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKSDKCQITMISTWATELYLDKINRLLLEDDSESKNSNLEYQSIIKEFRAFLSDSKDVLDEATTMKLLESYGRVEELVYFASLEGHYEIVVHHYIQQGESKKALEVLQKPAVPIDLQYKFAPDLIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSSLLRFLQCKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDSELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKDEMNDATRGADNIRNDISALAQRCTIIDRDEECGVCRRKILAVGRDVGMGRGFTLVGQMAPFYIFPCGHAFHAQCLIAHVTRCTVDSQAEYILDLQKQLTLMGSETKRESNGTLSPEESIPSLSTVDKLRSQLDDAIASECPFCGDLMIREISLPFIHPEEEQHLLRSWEIKPSAGNQNQRNMSLPIST >Vigun10g179100.2.v1.2 pep primary_assembly:ASM411807v1:10:39727216:39733273:1 gene:Vigun10g179100.v1.2 transcript:Vigun10g179100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVRKPHWGLLLFLLHLHFSTCYSTEPMNCTDTSRVCTSFMAFKPEPNQTLAVIQSMFDVLPGDITVEGNGWGYMFIRKNCSCAAGIKKYLSNTTFTVKSNEGLVYDVVMNAYDGLAVLPNTTRRARNGAVVSLRLFCGCSSGLWNYLVSYVMRDGDSIESLASRFGVSMDSIESVNGISNLDNVTVGSLYYIPLDSVPGDPYPLNNAAPPVPVPAPSFDNFSADQVNHNAHVPLGWIIGGLGVGVILIGLLVVILCVCMRSSNCFADDARTHEKDAEGKISHKFHILRNPSFFCGSGRYICGKHVEHKQTDAESSNHTITIPKVSTLGPDVFEMDKPVVFTYEEIFSTSDGFSDSNLLGHGTYGSVYYSLLRDQEVAIKRMTATKTKEFLSEMKVLCKVHHANLVELIGYAASQEELFLVYEYAQKGSLRSHLHDPQSHSPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDASFRAKISDFGLAKLVGKANEGEISTTKVVGTYGYLAPEYLSEGLATTKSDIYAFGVVLFEIISGKEAIIRPEGTTTKNPERRSLASIMLGVLRNSPDSMSMSSLRDYIDPNMMDLYPHDCVFKMATLAKQCVDEDPILRPDMRQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >Vigun10g179100.1.v1.2 pep primary_assembly:ASM411807v1:10:39727216:39733273:1 gene:Vigun10g179100.v1.2 transcript:Vigun10g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVRKPHWGLLLFLLHLHFSTCYSTEPMNCTDTSRVCTSFMAFKPEPNQTLAVIQSMFDVLPGDITVEGNGWGYMFIRKNCSCAAGIKKYLSNTTFTVKSNEGLVYDVVMNAYDGLAVLPNTTRRARNGAVVSLRLFCGCSSGLWNYLVSYVMRDGDSIESLASRFGVSMDSIESVNGISNLDNVTVGSLYYIPLDSVPGDPYPLNNAAPPVPVPAPSFDNFSADQVNHNAHVPLGWIIGGLGVGVILIGLLVVILCVCMRSSNCFADDARTHEKDAEGKISHKFHILRNPSFFCGSGRYICGKHVEHKQTDAESSNHTITIPKVSTLGPDVFEMDKPVVFTYEEIFSTSDGFSDSNLLGHGTYGSVYYSLLRDQEVAIKRMTATKTKEFLSEMKVLCKVHHANLVELIGYAASQEELFLVYEYAQKGSLRSHLHDPQSKGHSPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDASFRAKISDFGLAKLVGKANEGEISTTKVVGTYGYLAPEYLSEGLATTKSDIYAFGVVLFEIISGKEAIIRPEGTTTKNPERRSLASIMLGVLRNSPDSMSMSSLRDYIDPNMMDLYPHDCVFKMATLAKQCVDEDPILRPDMRQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >Vigun07g054000.1.v1.2 pep primary_assembly:ASM411807v1:7:5775580:5778279:-1 gene:Vigun07g054000.v1.2 transcript:Vigun07g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMLMVLFNLLIQTQLGASTVVDHNTFSFVTFTSESCTNGELLCMGSATAGNGYLSLTPEPQQSSNSSSTLSNTTRNVGRVLYPHPVNVWPAIISTTFTLRITPSSENSTSYGDGVALLFANDNRPSPNGSYHGIFDQSTPGGGVQQLAVEMNTLMNGLDQDGNHIRIVTTSITDPLASESLNSTGIDLRSGRDIEVKVDYDGWSKMIFVSVGYPESQLKNVLNHSIDLPDILPSSIYVGFTASTGSTFSESYQILNWVFTSVPLPVLSVEHSRVGTIKTLLVIVLVCLFPFIWIAVWRRYVRGKKKGDIESLSKNAADIPKVFTYKQLSRATCNFSQENLLGKGAFGSVYKGIISYSGKTVAVKKISATSKQGEREFLAEICTIGRLSHKNLVKLQGWCIEGKNLLLVYEYMQNRSLDHFIAKGNLNWELRHRVLTGLASALLYLHEECGNPFVHRDVKPNNVMLDSNHDAHLGDFGLARLLKSEGSVTTNLNGTLGYLAPELSFTGRATPESDVYSFGMVVLEVICGKRLNWIKQGNSFVDSVWNLHAENGLVECVDKKLDNKFDAEEAKRALIVGLACLHPDSMLRPRMRKVVNIFQNPNEPLMQLPKVRPTGVYVSVSCSSSSSNTSSKSELQLQSFTTSSHEKFNSV >Vigun06g090500.1.v1.2 pep primary_assembly:ASM411807v1:6:22301805:22304133:1 gene:Vigun06g090500.v1.2 transcript:Vigun06g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQFDSQFFMSIVFLGFAGLLCYLYTSFVEKPKRLRSKLMKQGINGPPPTILVGNILELKKARSDTSKPSSSSKIPSSHNCAALLLPLFDKWRNEYGQVFMFSLGTTQILCVNQPDIVREVTVCTSLDLGKPAYQLKQLGPLVGQGILTSNGTKWVHQRKIIAPELYMEKVKGIMNIISESAVSVVNLWSNRIEAEGGAADIKVDECMRNFSGNVISKACFGSNYAKSKEIFLKLTALQERLSWKNLFRRIPGTCYLPTKTNREIWRLEKEVKEMILQVVKERKETSFEKDLLQMVLENAMGSNLSKEAIGSFIVDNCKNIYLAGYETTAVTATWCLMLLASNPDWQDRVRAEVTEICRGGIPDFSMLGKMKQLGMVINEALRLYPPVTVVSREALKDMKFGNLDVPKGFNLWIMVVSLHTNPEIWGDDAYKFKPERFANGTTGCCKLPHVYMPFGVGPRVCLGQNLAIVELKMIIALILSKFTFSLSPRYVHSPTLRLLIEPEHGVNILVKKL >Vigun06g090500.2.v1.2 pep primary_assembly:ASM411807v1:6:22301805:22304133:1 gene:Vigun06g090500.v1.2 transcript:Vigun06g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQFDSQFFMSIVFLGFAGLLCYLYTSFVEKPKRLRSKLMKQGINGPPPTILVGNILELKKARSDTSKPSSSSKIPSSHNCAALLLPLFDKWRNEYGQVFMFSLGTTQILCVNQPDIVREVTVCTSLDLGKPAYQLKQLGPLVGQGILTSNGTKWVHQRKIIAPELYMEKVKGIMNIISESAVSVVNLWSNRIEAEGGAADIKVDECMRNFSGNVISKACFGSNYAKSKEIFLKLTALQERLSWKNLFRRIPGTCYLPTKTNREIWRLEKEVKEMILQVVKERKETSFEKDLLQMVLENAMGSNLSKEAIGSFIVDNCKNIYLAGYETTAVTATWCLMLLASNPDWQDRVRAEVTEICRGGIPDFSMLGKMKQEWLLMKHCGFILL >Vigun05g032300.1.v1.2 pep primary_assembly:ASM411807v1:5:2580476:2586255:1 gene:Vigun05g032300.v1.2 transcript:Vigun05g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSLKSLKDENPDLQKQIGCITGFFQLFDRHRFLTGQRSGTCIQHRPTSGASSYEIKELNGTMLKKTKAKNLKDAREKQQFSTESSITSLSSSSCSSSMSSLEFNRTIQMQSSSRNQTRIPEHTNSKVAKKQLDTSRHESLHFNHIVKDSMHKEAQGLSVRTVAEEEKKGHTNTLKLIDSPRPLRSQKSVNVGVTVAGEQFHTLAKSKKTPWDSPRLSYDETFKSATKHKEFPRLSLDSREGSNRGSNEGNKSRNLLKGQQKGYAKSSSTMINQLQEPETSKRSSSVVAKLMGLEALPERAQTCGSPIGTSRFSSTCDEDMHHQKFTLPQFRKADSITNEKPYSRFALESTPWRQPDAIQSSQLQTSKGCESDVKASKTSLTVYGEIEKRVAELEFKKSGKDLRALKHILEAMQRRKDSLDIARDQASNSPSDNKNSTNTSESSNLQSPRVRQKDLVSVTVEMSNSNRGSKLPIVIMKPAKVARKVNSPSPTELSVHGKSGVNKCSSSNPTNGRLVDKNSAKGISSTKNIKDPLGQQVRPSYKNLRTSKLMQSLEVSQDKTGECTTSSGYITVSGSPRLQKKFGLERCSRPTSSSSDSCINRREHNTQPVELSSPSTTPRHKFSSLQQINERFSEISSNWRNLKHHVNVISDLDDKRNSTGHSEIEVIRIDQTGKIISSSIQLSCMHQNNAFEELRKAETMVTAEQPSPVSVLDAAFYRDDPPSPVKKKPDISKYSVGEAQSTDGDSEENSVDILQEIDFFEEKFINFNNTKHPDHKYITEILIASGLLSGHSSSQIFHSPGHLINPKLFFALEQEKTKKRHFNIEDSARLKNPEQIQRKLIFDVVNDILVQKLILDSSSALLWCQPSEFASTRTKGQKLLDELCTEIDQLQPQYGNVGLAHEDENLKHHHAIWTNCCTETPNVVLDIERLIFKDLITEVVRGEVANHTGTHCRQLVFFK >Vigun05g032300.4.v1.2 pep primary_assembly:ASM411807v1:5:2580676:2586255:1 gene:Vigun05g032300.v1.2 transcript:Vigun05g032300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSLKSLKDENPDLQKQIGCITGFFQLFDRHRFLTGQRSGTCIQHRPTSGASSYEIKELNGTMLKKTKAKNLKDAREKQQFSTESSITSLSSSSCSSSMSSLEFNRTIQMQSSSRNQTRIPEHTNSKVAKKQLDTSRHESLHFNHIVKDSMHKEAQGLSVRTVAEEEKKGHTNTLKLIDSPRPLRSQKSVNVGVTVAGEQFHTLAKSKKTPWDSPRLSYDETFKSATKHKEFPRLSLDSREGSNRGSNEGNKSRNLLKGQQKGYAKSSSTMINQLQEPETSKRSSSVVAKLMGLEALPERAQTCGSPIGTSRFSSTCDEDMHHQKFTLPQFRKADSITNEKPYSRFALESTPWRQPDAIQSSQLQTSKGCESDVKASKTSLTVYGEIEKRVAELEFKKSGKDLRALKHILEAMQRRKDSLDIARDQASNSPSDNKNSTNTSESSNLQSPRVRQKDLVSVTVEMSNSNRGSKLPIVIMKPAKVARKVNSPSPTELSVHGKSGVNKCSSSNPTNGRLVDKNSAKGISSTKNIKDPLGQQVRPSYKNLRTSKLMQSLEVSQDKTGECTTSSGYITVSGSPRLQKKFGLERCSRPTSSSSDSCINRREHNTQPVELSSPSTTPRHKFSSLQQINERFSEISSNWRNLKHHVNVISDLDDKRNSTGHSEIEVIRIDQTGKIISSSIQLSCMHQNNAFEELRKAETMVTAEQPSPVSVLDAAFYRDDPPSPVKKKPDISKYSVGEAQSTDGDSEENSVDILQEIDFFEEKFINFNNTKHPDHKYITEILIASGLLSGHSSSQIFHSPGHLINPKLFFALEQEKTKKRHFNIEDSARLKNPEQIQRKLIFDVVNDILVQKLILDSSSALLWCQPSEFASTRTKGQKLLDELCTEIDQLQPQYGNVGLAHEDENLKHHHAIWTNCCTETPNVVLDIERLIFKDLITEVVRGEVANHTGTHCRQLVFFK >Vigun05g032300.3.v1.2 pep primary_assembly:ASM411807v1:5:2580676:2586255:1 gene:Vigun05g032300.v1.2 transcript:Vigun05g032300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSLKSLKDENPDLQKQIGCITGFFQLFDRHRFLTGQRSGTCIQHRPTSGASSYEIKELNGTMLKKTKAKNLKDAREKQQFSTESSITSLSSSSCSSSMSSLEFNRTIQMQSSSRNQTRIPEHTNSKVAKKQLDTSRHESLHFNHIVKDSMHKEAQGLSVRTVAEEEKKGHTNTLKLIDSPRPLRSQKSVNVGVTVAGEQFHTLAKSKKTPWDSPRLSYDETFKSATKHKEFPRLSLDSREGSNRGSNEGNKSRNLLKGQQKGYAKSSSTMINQLQEPETSKRSSSVVAKLMGLEALPERAQTCGSPIGTSRFSSTCDEDMHHQKFTLPQFRKADSITNEKPYSRFALESTPWRQPDAIQSSQLQTSKGCESDVKASKTSLTVYGEIEKRVAELEFKKSGKDLRALKHILEAMQRRKDSLDIARDQASNSPSDNKNSTNTSESSNLQSPRVRQKDLVSVTVEMSNSNRGSKLPIVIMKPAKVARKVNSPSPTELSVHGKSGVNKCSSSNPTNGRLVDKNSAKGISSTKNIKDPLGQQVRPSYKNLRTSKLMQSLEVSQDKTGECTTSSGYITVSGSPRLQKKFGLERCSRPTSSSSDSCINRREHNTQPVELSSPSTTPRHKFSSLQQINERFSEISSNWRNLKHHVNVISDLDDKRNSTGHSEIEVIRIDQTGKIISSSIQLSCMHQNNAFEELRKAETMVTAEQPSPVSVLDAAFYRDDPPSPVKKKPDISKYSVGEAQSTDGDSEENSVDILQEIDFFEEKFINFNNTKHPDHKYITEILIASGLLSGHSSSQIFHSPGHLINPKLFFALEQEKTKKRHFNIEDSARLKNPEQIQRKLIFDVVNDILVQKLILDSSSALLWCQPSEFASTRTKGQKLLDELCTEIDQLQPQYGNVGLAHEDENLKHHHAIWTNCCTETPNVVLDIERLIFKDLITEVVRGEVANHTGTHCRQLVFFK >Vigun05g032300.2.v1.2 pep primary_assembly:ASM411807v1:5:2580289:2586255:1 gene:Vigun05g032300.v1.2 transcript:Vigun05g032300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSLKSLKDENPDLQKQIGCITGFFQLFDRHRFLTGQRSGTCIQHRPTSGASSYEIKELNGTMLKKTKAKNLKDAREKQQFSTESSITSLSSSSCSSSMSSLEFNRTIQMQSSSRNQTRIPEHTNSKVAKKQLDTSRHESLHFNHIVKDSMHKEAQGLSVRTVAEEEKKGHTNTLKLIDSPRPLRSQKSVNVGVTVAGEQFHTLAKSKKTPWDSPRLSYDETFKSATKHKEFPRLSLDSREGSNRGSNEGNKSRNLLKGQQKGYAKSSSTMINQLQEPETSKRSSSVVAKLMGLEALPERAQTCGSPIGTSRFSSTCDEDMHHQKFTLPQFRKADSITNEKPYSRFALESTPWRQPDAIQSSQLQTSKGCESDVKASKTSLTVYGEIEKRVAELEFKKSGKDLRALKHILEAMQRRKDSLDIARDQASNSPSDNKNSTNTSESSNLQSPRVRQKDLVSVTVEMSNSNRGSKLPIVIMKPAKVARKVNSPSPTELSVHGKSGVNKCSSSNPTNGRLVDKNSAKGISSTKNIKDPLGQQVRPSYKNLRTSKLMQSLEVSQDKTGECTTSSGYITVSGSPRLQKKFGLERCSRPTSSSSDSCINRREHNTQPVELSSPSTTPRHKFSSLQQINERFSEISSNWRNLKHHVNVISDLDDKRNSTGHSEIEVIRIDQTGKIISSSIQLSCMHQNNAFEELRKAETMVTAEQPSPVSVLDAAFYRDDPPSPVKKKPDISKYSVGEAQSTDGDSEENSVDILQEIDFFEEKFINFNNTKHPDHKYITEILIASGLLSGHSSSQIFHSPGHLINPKLFFALEQEKTKKRHFNIEDSARLKNPEQIQRKLIFDVVNDILVQKLILDSSSALLWCQPSEFASTRTKGQKLLDELCTEIDQLQPQYGNVGLAHEDENLKHHHAIWTNCCTETPNVVLDIERLIFKDLITEVVRGEVANHTGTHCRQLVFFK >Vigun02g067500.1.v1.2 pep primary_assembly:ASM411807v1:2:21747421:21752415:-1 gene:Vigun02g067500.v1.2 transcript:Vigun02g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLDMWGYQVATSSQACVSAINSYYHQVLIYGRKRSVILEAVAHDNHCVLANILAAHFLYSPDSSRALTCLHAAQSHLDQATLYEKLVFDTISYLLSEDRDDDVALELHSKLLQEFPRDLVSLKRAQVLCFYMGLPGLSLSLAQQVLPENEGQNYIYGMLAFPLLELGRMEEAEKAASRGFEINKEDIWSQHALCHVFQYKCCFREAVKFMEECSSSWCSASSFMLTHNWWHAAVCYLEGNAPTQRVLEIYDNYIWKELDKDDSMKAEVYLNAAGLLLRLYVRGELDIYGDRLKLLAECLTNEANWHVEWHFDVLILWTLAKAGEISKAEDLLKGLKTRFLRMTKKKQQRMKRGMMLAEALYAYGMGDEKHGLDLLDPDFDATDYKVIGASDEQVDVFNEVWYNMLLNTGKASKAIEVLEKQIKKRDGVPYLWRLLEKGYKLANKSEEKFANEKATSLENRYFN >Vigun10g089100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25660128:25660980:1 gene:Vigun10g089100.v1.2 transcript:Vigun10g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLIFSLLVSFQVLTFTLADETGFVGTVNPKELGLHKTRKFSHFKFFFHERFTGSNATSVTIVPPLTNYSTTSFGLVGITDNALTVGSDPNTKVVGRIEGLYAGTSQTEFNLLLVVNFVLTEGKYNGSSIAVLGRNRISLKVRELPVIGGSGVFKFARGYAETSTLYLDANRSTIEYNIYVSHY >Vigun03g389800.2.v1.2 pep primary_assembly:ASM411807v1:3:59630142:59632988:-1 gene:Vigun03g389800.v1.2 transcript:Vigun03g389800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWSFQSSSAVDHRNSISAPNPNNQSGSIQFSAGTSNRRLIQSSNSTSLGQSSHSGGFSRFFGASSSNNYSTGNNTSTSFWGSENSQASRGRDEEERGQILDVADLRAFTLAELKAATQNFRHGSLLGEGGFGKVYKGVIRSEGLPIAIKKLNSESKQGIAEWQSEVNFLGRLSHPNLVKLLGFGREESKLFLVYEFMPCGSLDNHLFGRGANVRPLSWDTRLTIMIGAARGLHFLHSLENAIIYRDFKPSNILLDSTYAAKLSDFGLAKSMTSPDQSHVSTHVVGTHGYAAPEYIATVMCMDLEYFWWSC >Vigun03g389800.1.v1.2 pep primary_assembly:ASM411807v1:3:59630142:59632988:-1 gene:Vigun03g389800.v1.2 transcript:Vigun03g389800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWSFQSSSAVDHRNSISAPNPNNQSGSIQFSAGTSNRRLIQSSNSTSLGQSSHSGGFSRFFGASSSNNYSTGNNTSTSFWGSENSQASRGRDEEERGQILDVADLRAFTLAELKAATQNFRHGSLLGEGGFGKVYKGVIRSEGLPIAIKKLNSESKQGIAEWQSEVNFLGRLSHPNLVKLLGFGREESKLFLVYEFMPCGSLDNHLFGRGANVRPLSWDTRLTIMIGAARGLHFLHSLENAIIYRDFKPSNILLDSTYAAKLSDFGLAKSMTSPDQSHVSTHVVGTHGYAAPEYIATGHLYVKSDVYGFGIFLVELLTGKRITGIMQLCEETSLRDWVKRNLVNRGYIRSTMDAKLEGKYPPNLALETAQLALKCIQIEPKVRPSMKEVLERLEQIEAANEKPADNRRRVNRSRLVQQHGPPDGG >Vigun05g236200.1.v1.2 pep primary_assembly:ASM411807v1:5:42926618:42929515:-1 gene:Vigun05g236200.v1.2 transcript:Vigun05g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHHQHQGKNIHSSSRMPIPSERHMFLQTGNGSGDSGLVLSTDAKPRLKWTADLHARFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQSNNVTHKMTTSATTGERLSETSGTHMNKLSLGPQANKDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGIVGLETAKVQLSELVSKVSSQCLNSAFSELKELQGFCPQQTHTNQPNDCSVDSCLTSCDRSQKEQEIQNGFRHFSSHVFMEQREATEAPNNLRNRELNWCDDGKKNTFLAPLSRNEERRNFAAETGPGNLSMSIGLERETENRSSMYPENLITENQSEGEFQHRNRIKTETMKPVDEKVSQDYRMPASYFVAARLDLNNHGDNEAATTCKQLDLNRFSWS >Vigun05g236200.2.v1.2 pep primary_assembly:ASM411807v1:5:42926618:42929515:-1 gene:Vigun05g236200.v1.2 transcript:Vigun05g236200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHHQHQGKNIHSSSRMPIPSERHMFLQTGNGSGDSGLVLSTDAKPRLKWTADLHARFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQYRLSKNLHGQSNNVTHKMTTSATTGERLSETSGTHMNKLSLGPQANKDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGIVGLETAKVQLSELVSKVSSQCLNSAFSELKELQGFCPQQTHTNQPNDCSVDSCLTSCDRSQKEQEIQNGFRHFSSHVFMEQREATEAPNNLRNRELNWCDDGKKNTFLAPLSRNEERRNFAAETGPGNLSMSIGLERETENRSSMYPENLITENQSEGEFQHRNRIKTETMKPVDEKVSQDYRMPASYFVAARLDLNNHGDNEAATTCKQLDLNRFSWS >Vigun09g247400.1.v1.2 pep primary_assembly:ASM411807v1:9:41520326:41523415:-1 gene:Vigun09g247400.v1.2 transcript:Vigun09g247400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPCHCYRIVASDKPYLSGHNLRRRSPFPSSISFRRRISCVASPAVTQKVALKDFLHIDDFDKDTILKMLDRAIEVKALLKSGDRSFRPFEGKTMSMIFTKPSMRTRVSFETGFSLLGGHAIYLGPNDIQMGKREETRDIARVLCRYNDIIMARVFAHQDILDLAKYATVPVINGLTDYNHPCQIMADALTMIEHIGRFEGTKVVYVGDGNNIVHSWLLMASVIPFHFVCACPKGFEPDAKTVEKARKAGISKIEITNDPKEAVKGADVVYSDVWASMGQKEEAEHRRQVFKEFQVNKDLMEIAGSKAFFMHCLPAERGVEVTDEVIEAPNSIVFPQAENRMHAQNAIMLHVLGK >VigunL071000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000491.1:29771:34077:-1 gene:VigunL071000.v1.2 transcript:VigunL071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYRVLGLHQTATKEEIKTAFKKLAFQFHPDKHSQSPRAIRENATIRFKQVSEAYEVLMDDRKRADYNFRRSSGDPGRSNHYSQYSYGYSRSGSRYEYKPGSGGGGGLASNFEMALRILTGRSSILYLGFAAAILCGIVVIDSSRESLWRMQNSGKSFEEAMKSIEKAKAYREDNMKERP >Vigun10g091600.1.v1.2 pep primary_assembly:ASM411807v1:10:26355468:26356483:-1 gene:Vigun10g091600.v1.2 transcript:Vigun10g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLATQLMNTLLFQQFDQKGHYSPCWFLPQDQSTGLKDILRRDWHPITMPNYRFLIKEVCQLIHGHEENHM >Vigun07g247566.1.v1.2 pep primary_assembly:ASM411807v1:7:36749168:36752143:1 gene:Vigun07g247566.v1.2 transcript:Vigun07g247566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSNDTIFNQMETSPSYYAWNVQNVTEADQFSEVLDNLMKNVRDIAASGDSRRKYATAENSTAINFQTIYGLAQCTPDLSRQQCDQCLEGAISDIPNCCNGKEGGRVLRPSCNIRFEVYSFYGTATTLDPDTEAPPPSTNTTSSQESSNTTIIVIAVVVATVVVVILLICLFLYLRRRKARKDLAVKEDDDEDEIKIAESLQFNFDTIRVATEDFSDSNKLGQGGFGAVYRGRLSNGQMIAVKRLSRDSGQGDTEFKNEVVLVVKLQHRNLVRLLGFCLEGRERLLVYEFVPNKSLDYFIFDPAKKAQLDWEKRYQIIRGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKIADFGMARLVLLDQTQVNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEIVSGQKNSGINNGENMEDLLSFAWRNWKEGKAINIVDPSLNSNSRNEMLRCIHIGLLCVQEHLIDRPTMATIMLMLNSYSLSLSIPAEPAFYMNSRTRSFPAMQSWEYNSRETGSSEATLKSAQESENEASITELYPR >Vigun10g161900.1.v1.2 pep primary_assembly:ASM411807v1:10:38075556:38078046:-1 gene:Vigun10g161900.v1.2 transcript:Vigun10g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPNTVSEARRSGKAPEKSSFSQTCSLLSQFLKEKRASGDSALGMGGKMEPKASTKDLFGSLQNSDGALKLSASAMDFLPQLVENPCIKKSNLRSPVPESPQMTIFYAGKMLVFDGFPPEKATEVMELATKLALDTSGADETPPSAPVTTKELAETKVPQTNTSETPKPGSQGVGSDMRYPRRASLLKFLEKRKERVNARGPYQVNNQKAEGSSSGGDPEDQCSKQFDLNL >Vigun01g003450.4.v1.2 pep primary_assembly:ASM411807v1:1:378183:381733:-1 gene:Vigun01g003450.v1.2 transcript:Vigun01g003450.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSGGAGRMSASCLVAETVWKDIESTHKVNDDQLWTLHFLFGKNFEGATRIVDQRGVSKISAHPSGRFIFQVNQTLTATSKVCQRSDKSTIDVSCRLRMAVITAVIWESIILVWTSNYSSNQYVRIRIH >Vigun01g003450.2.v1.2 pep primary_assembly:ASM411807v1:1:378839:381733:-1 gene:Vigun01g003450.v1.2 transcript:Vigun01g003450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSGGAGRMSASCLVAETVWKDIESTHKVNDDQLWTLHFLFGKNFEGATRIVDQRGVSKISAHPSGRFIFQVRGESRRKEQYLCFAENFCACYSFFYDVVNRREQLCCKHQLAARLAASLGSYAEVKVSDEELALLLSTI >Vigun01g003450.3.v1.2 pep primary_assembly:ASM411807v1:1:378839:381741:-1 gene:Vigun01g003450.v1.2 transcript:Vigun01g003450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCLVAETVWKDIESTHKVNDDQLWTLHFLFGKNFEGATRIVDQRGVSKISAHPSGRFIFQVRGESRRKEQYLCFAENFCACYSFFYDVVNRREQLCCKHQLAARLAASLGSYAEVKVSDEELALLLSTI >Vigun01g003450.1.v1.2 pep primary_assembly:ASM411807v1:1:378839:381733:-1 gene:Vigun01g003450.v1.2 transcript:Vigun01g003450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSGGAGRMSASCLVAETVWKDIESTHKVNDDQLWTLVNCFPFLCVLFKSLLPRIVFLLKLFLVFMQHETSLHFLFGKNFEGATRIVDQRGVSKISAHPSGRFIFQVRGESRRKEQYLCFAENFCACYSFFYDVVNRREQLCCKHQLAARLAASLGSYAEVKVSDEELALLLSTI >Vigun02g073000.2.v1.2 pep primary_assembly:ASM411807v1:2:22454614:22458898:1 gene:Vigun02g073000.v1.2 transcript:Vigun02g073000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNPMKAIPLLLKRLRKVEQQANLDDLKSVLINIKDVFSLVKKNEEELLDTLALVDGYIRKSNIRKLMEDKEKLCKKIMDSTQKLLPREAIEATKSSSKPFQDVNRAPPSSQLQNIEEYKVNYQNLGHLCHKRGFLSLLSFPKNAITSKRNIFTWWMGMGDIDGEEDGEDVFVELLHCNLVVPHRQGKCPHVNKFKVNPWVRNSILSQNDKNQPFGIYSQIVSSVHANDTSYSNLILDQREAKLSDELGFESNHWRSIFNVNTSYVKFGFQWMAKMKHLEVLHLGRWLQHSSSHHIEVESEEFLKELIDHEYLKYLSLRGISRISNLPTNIFELESLETLDLKACHNLETLPNDIASLKKLKHLNLSQCYLLERMPKGIEKLTELQVLKGLVIDSSSKTPYKISDLENLKKLEQLSIHIAREGVIEEGDFESLKDLSTLHHLKISWGVSTSMHTDVKIILPPNLEKLHVEGFPGQNFPEWLMPHKVPLSLKELNISGGKLNRMDHGKIYDTLHWLKILRLRLFIVSFCIFFAPWIKDNLICPTTAHNTRYPCCLHILR >Vigun02g073000.4.v1.2 pep primary_assembly:ASM411807v1:2:22454614:22458898:1 gene:Vigun02g073000.v1.2 transcript:Vigun02g073000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNPMKAIPLLLKRLRKVEQQANLDDLKSVLINIKDVFSLVKKNEEELLDTLALVDGYIRKSNIRKLMEDKEKLCKKIMDSTQKLLPREAIEATKSSSKPFQDVNRAPPSSQLQNIEEYKVNYQNLGHLCHKRGFLSLLSFPKNAITSKRNIFTWWMGMGDIDGEEDGEDVFVELLHCNLVVPHRQGKCPHVNKFKVNPWVRNSILSQNDKNQPFGIYSQIVSSVHANDTSYSNLILDQREAKLSDELGFESNHWRSIFNVNTSYVKFGFQWMAKMKHLEVLHLGRWLQHSSSHHIEVESEEFLKELIDHEYLKYLSLRGISRISNLPTNIFELESLETLDLKACHNLETLPNDIASLKKLKHLNLSQCYLLERMPKGIEKLTELQVLKGLVIDSSSKTPYKISDLENLKKLEQLSIHIAREGVIEEGDFESLKDLSTLHHLKISWGVSTSMHTDVKIILPPNLEKLHVEGFPGQNFPEWLMPHKVPLSLKELNISGGKLNRMDHGKIYDTLHWLKILRLRLFIVSFCIFFAPWIKDNLICPTTAHNTRYPCCLHILRLCEKANKERVVRSRGET >Vigun02g073000.3.v1.2 pep primary_assembly:ASM411807v1:2:22454767:22458898:1 gene:Vigun02g073000.v1.2 transcript:Vigun02g073000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNPMKAIPLLLKRLRKVEQQANLDDLKSVLINIKDVFSLVKKNEEELLDTLALVDGYIRKSNIRKLMEDKEKLCKKIMDSTQKLLPREAIEATKSSSKPFQDVNRAPPSSQLQNIEEYKVNYQNLGHLCHKRGFLSLLSFPKNAITSKRNIFTWWMGMGDIDGEEDGEDVFVELLHCNLVVPHRQGKCPHVNKFKVNPWVRNSILSQNDKNQPFGIYSQIVSSVHANDTSYSNLILDQREAKLSDELGFESNHWRSIFNVNTSYVKFGFQWMAKMKHLEVLHLGRWLQHSSSHHIEVESEEFLKELIDHEYLKYLSLRGISRISNLPTNIFELESLETLDLKACHNLETLPNDIASLKKLKHLNLSQCYLLERMPKGIEKLTELQVLKGLVIDSSSKTPYKISDLENLKKLEQLSIHIAREGVIEEGDFESLKDLSTLHHLKISWGVSTSMHTDVKIILPPNLEKLHVEGFPGQNFPEWLMPHKVPLSLKELNISGGKLNRMDHGKIYDTLHWLKILRLRLFIVSFCIFFAPWIKDNLICPTTAHNTRYPCCLHILRLCEKANKERVVRSRGET >Vigun08g037100.1.v1.2 pep primary_assembly:ASM411807v1:8:3565094:3571327:-1 gene:Vigun08g037100.v1.2 transcript:Vigun08g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSENDDEALRRKRILSSKLYFDVSPSKVPLIYSASYDISFLGIEKLHPFDSSKWGRICRFLVSFGILDKGSIVEPLEASKDDLLVVHTELYLNSLKESSKVAMIVEVPPVALIPNCLVQQKVLFPFKKQVGGTVLAAKLAKERGWAINVGGGFHHCSAEKGGGFCVYADISLCIHFAFVQLNISRVMIIDLDAHQGNGHEMDFACDSRVYILDMYNPGIYPLDYEARNYINQKVEVKSGTLTEEYLQKLDEALEVAGRRFNPELVIYNAGTDILQGDPLGRLEISPEGIALRDEKVFRFAREKNIPIVMLTSGGYMKSSARVIADSIVNLSNKCLIETGGAPKSA >Vigun08g037100.3.v1.2 pep primary_assembly:ASM411807v1:8:3565093:3571328:-1 gene:Vigun08g037100.v1.2 transcript:Vigun08g037100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRPRHPFDSSKWGRICRFLVSFGILDKGSIVEPLEASKDDLLVVHTELYLNSLKESSKVAMIVEVPPVALIPNCLVQQKVLFPFKKQVGGTVLAAKLAKERGWAINVGGGFHHCSAEKGGGFCVYADISLCIHFAFVQLNISRVMIIDLDAHQGNGHEMDFACDSRVYILDMYNPGIYPLDYEARNYINQKVEVKSGTLTEEYLQKLDEALEVAGRRFNPELVIYNAGTDILQGDPLGRLEISPEGIALRDEKVFRFAREKNIPIVMLTSGGYMKSSARVIADSIVNLSNKCLIETGGAPKSA >Vigun09g271300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43350742:43351409:-1 gene:Vigun09g271300.v1.2 transcript:Vigun09g271300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRSSFSGSQTSSCKDAEVPKGYLAVYVGEKMKRFLIPVLFLNEPLFQELLRQAEEEFGYCHPMGGLTIPCEETVFFNVASRLNQL >Vigun07g222100.1.v1.2 pep primary_assembly:ASM411807v1:7:34458392:34462151:1 gene:Vigun07g222100.v1.2 transcript:Vigun07g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPSEAYYMDPSAMSLPGILPFATATAAADSLEDPAKKTRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNVPVLSQVSGSFQSSSALLEPGYIMKRDSSAMLKTPIINAAASSWSNNSLQKSTNLLHGHKVNNCCSNSGSPRAKLVGESNGQGNNIHPLRVLPDFGQVYGFIGSVFDPNESGHLQKLKRMDPIDVETVLLLMRNLSINLVSPDFEDHRRLLASYEVEPESDNYYINVDRNMLDEQLKSVT >Vigun07g222100.2.v1.2 pep primary_assembly:ASM411807v1:7:34458392:34462151:1 gene:Vigun07g222100.v1.2 transcript:Vigun07g222100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPSEAYYMDPSAMSLPGILPFATATAAADSLEDPAKKTRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNVPVLSQVSGSFQSSSALLEPGYIMKRDSSAMLKTPIINAAASSWSNNSLQKSTNLLHGHKVNNCCSNSGSPRAKLVGESNGQGNNIHPLRVLPDFGQVYGFIGSVFDPNESGHLQKLKRMDPIDVETVLLLMRNLSINLVSPDFEDHAASII >Vigun09g054700.1.v1.2 pep primary_assembly:ASM411807v1:9:5477056:5489212:1 gene:Vigun09g054700.v1.2 transcript:Vigun09g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTAPSCSFHRTTTLTTSHSLPFTSLHHSCLHHCHFSFCTQPLRLSNLRCHTLSRLTTKTLKVNCSIGEPLKVMISGAPASGKGTQCELIVRKFGLVHISTGDLLRAEVAAGTEIGNKAKEFMNAGQLVPDEIVTAMVATRLSLEDAKQKGWLLDGYPRSLGQAQSLEKMQIRPDVYIVLDVPDETLIDRCVGRRLDPVTGKIYHLTFFPPETEEIKARLVTRPDDTEEKVKSRLNIYKQNAEAVSSSYSKIIHKIDGSNPKEAVFKEIESLLSQLQHDKVKVVKSAEKSILDTKKGRTSLSQDKWRGIPTRLNNIPHSREIRKYFYDDVLQATERAINDGKTRLKVDINIPELNPEMDVYRIGTLMELVRALALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGSRKILEFMDWGDYGAKGTFINIGSIGAAEVEEQDDLYILVAPQNAVGNCIIDDLRAMTNAAEHRPVILVNARLKDLPGSSGIMQTMGRDQRLKYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPNQYELYKRVDESPGKEKYVILSTFTHRPSTDEINDAFQGKPSNESRKTSGIWGFLSGIL >Vigun09g054700.3.v1.2 pep primary_assembly:ASM411807v1:9:5477056:5489212:1 gene:Vigun09g054700.v1.2 transcript:Vigun09g054700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTAPSCSFHRTTTLTTSHSLPFTSLHHSCLHHCHFSFCTQPLRLSNLRCHTLSRLTTKTLKVNCSIGEPLKVMISGAPASGKGTQCELIVRKFGLVHISTGDLLRAEVAAGTEIGNKAKEFMNAGQLVPDEIVTAMVATRLSLEDAKQKGWLLDGYPRSLGQAQSLEKMQIRPDVYIVLDVPDETLIDRCVGRRLDPVTGKIYHLTFFPPETEEIKARLVTRPDDTEEKVKSRLNIYKQNAEAVSSSYSKIIHKIDGSNPKEAVFKEIESLLSQLQHDKVKVVKSAEKSILDTKKGRTSLSQDKWRGIPTRLNNIPHSREIRKYFYDDVLQATERAINDGKTRLKVDINIPELNPEMDVYRIGTLMELVRALALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGSRKILEFMDWGDYGAKGTFINIGSIGAAEVEEQDDLYILVAPQNAVGNCIIDDLRAMTNAAEHRPVILVNARLKVKFLAPLL >Vigun09g054700.2.v1.2 pep primary_assembly:ASM411807v1:9:5477702:5489212:1 gene:Vigun09g054700.v1.2 transcript:Vigun09g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLVPDEIVTAMVATRLSLEDAKQKGWLLDGYPRSLGQAQSLEKMQIRPDVYIVLDVPDETLIDRCVGRRLDPVTGKIYHLTFFPPETEEIKARLVTRPDDTEEKVKSRLNIYKQNAEAVSSSYSKIIHKIDGSNPKEAVFKEIESLLSQLQHDKVKVVKSAEKSILDTKKGRTSLSQDKWRGIPTRLNNIPHSREIRKYFYDDVLQATERAINDGKTRLKVDINIPELNPEMDVYRIGTLMELVRALALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGSRKILEFMDWGDYGAKGTFINIGSIGAAEVEEQDDLYILVAPQNAVGNCIIDDLRAMTNAAEHRPVILVNARLKDLPGSSGIMQTMGRDQRLKYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPNQYELYKRVDESPGKEKYVILSTFTHRPSTDEINDAFQGKPSNESRKTSGIWGFLSGIL >Vigun01g145500.1.v1.2 pep primary_assembly:ASM411807v1:1:32738743:32743133:-1 gene:Vigun01g145500.v1.2 transcript:Vigun01g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMTNFQKVHTLLLPILFLCLLPLKIASSATAEAEALVKWKNTLSPPLPPSLNSWSLTNLSNLCIWDAIVCDNTNTTVSQINLSAANITGTLSALDFASLPNLTQLNLNTNKFEGSIPSAIGNLSKLTLLDLGNNLFEDTLPNELGQLRELQYLSLYNNNLNGTIPYQLMNLPKVWYMDLGSNYFITPPHWSQYSCMPSLTRLALHLNPSLTGQFPSFILDCHNLTYLDISQNGWHGSIPESLYSNLGKLEYLNLTNSGFEGKLSPNLSMLSNLKELRIGNNMFNGPVPTEIGLLSGLQFLELNNISAYGKIPSSLGQLRELWHLDLSLNSFNSTITSELGQCTNLSFMSLAENDLTGPLPTSLANLTKISELGLSDNSFSGELSATLLSNWTQLTSLQVQNNHFTGNIPSEIGLLKRIKYLYLYNNHFSGLIPVEIGNLMEMTELDLSQNQLSGPIPSTLWNMTSIRVMNLFFNELSGIIPPDIGNLTSLEIFDVNSNNLYGELPETIVQLTPLRKFSVFSNNFTGIIPREFGKGNPSLTNVYLSNNSFSGELPLDLCSGGQLTILAVNNNSFSGPLPKSLRNCSSLVRLRLDNNQLTGNITDAFGVLPNLDLISLSTNQLVGELSPEWGECASLTSMDMGNNKLSGKIPSALSKLSQLGYLNLHSNEFTGSIPPEIGNLSRLFRFNLSSNHLSGEIPKSYGRLAKLDFLDLSNNNFSGSIPKELGDCDGLLSLNLSHNSLSGEIPNELGNLFSLQIMLDISSNSLSGALPQNLEKLTALEILNVSRNHLSGTIPRSYSSMISLQSIDFSYNKLSGSIPTGRVFQTATAEAYAGNSGLCGEINGLTCTKSLSPDKSGGVNKKVLLGVIIPVCVLIGIIIVGVILRRRHSIKHLDEESKSNEKSDQPISVVWGRDGKFTFSDLVKATNDFNDKYCIGKGGFGSVYRAQLLTGQVVAVKRLNISDSDDIPPMNRQSFLNEIEALTGVRHRNIIKLYGFCSCREQMFLVYEYIDRGSLAKVLYGEEGKLELRWAKRLKIVQGLAHAISYLHTDCSPPIVHRDVTLNNILLDSDLEPHLADFGTAKLLSSDTSTWTSVAGSYGYMAPELAQTMRVTEKCDVYSFGVVVMEIMMGKHPGEVLGTLSSNKYLSSTEEPQVLLKDVLDQRLAPPTGQLAEAVVFTMIIALACTREAPESRPIMRAVAQELSATTQAYLSLPFGMITMNKLRGFQK >Vigun11g104100.1.v1.2 pep primary_assembly:ASM411807v1:11:30210933:30211956:1 gene:Vigun11g104100.v1.2 transcript:Vigun11g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSAFLAASVAAASVSAVFLSSSSTKLSLQDGGVRRDLIRSSTAASAASMEKFAPRFDGLRFIETLITAHR >Vigun08g027600.2.v1.2 pep primary_assembly:ASM411807v1:8:2484223:2486192:1 gene:Vigun08g027600.v1.2 transcript:Vigun08g027600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHYPKHLLDTLYCSEDHIHLEEQKQREEDDYISTTSKPDFSDVVLSEQDLFWDTHELSSLLARENLNNLSISLPNNPSLASSRGEAVEWILKLNLHHSFSTLTALLAVNYLDRFLCTLRFQNDKPWLTQLVAVACLSLAAKVEETHVPLFVDLQVGESKYLFEAKTVKRMEILVLSSLGWKMNPVTPLSFLDYITRKLGLKGHLCLEFLRRLKIYEFSSFCVGNCNDDARGERCGAWFGRGIPRSALGYSRNRQGKGERMLQGDDGGCVREE >Vigun08g027600.1.v1.2 pep primary_assembly:ASM411807v1:8:2484223:2486192:1 gene:Vigun08g027600.v1.2 transcript:Vigun08g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHYPKHLLDTLYCSEDHIHLEEQKQREEDDYISTTSKPDFSDVVLSEQDLFWDTHELSSLLARENLNNLSISLPNNPSLASSRGEAVEWILKLNLHHSFSTLTALLAVNYLDRFLCTLRFQNDKPWLTQLVAVACLSLAAKVEETHVPLFVDLQVGESKYLFEAKTVKRMEILVLSSLGWKMNPVTPLSFLDYITRKLGLKGHLCLEFLRRCESVLLSVFADLRFMSFLPSVLATATTMRVVSVVEPGLGEEYQDQLLGILGIDKEKVKECYKVMMEVVSGKSEEGKRGNLKKRKFECVQCSRNDVMEGSFSCDSSCDASLKKSRSQDQLLLKHSNSDFLTIPR >Vigun07g235200.1.v1.2 pep primary_assembly:ASM411807v1:7:35680883:35684335:-1 gene:Vigun07g235200.v1.2 transcript:Vigun07g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSVTNTASPSPCLLQPHPSSSSSYLHVSLPYNRKSGVSGMLGLRSFPFNWRRRRTLMRAVNQDAEEATVETDSPIDVLRKTDSYQDGSRTISTVWDTSIEAWSQFARRVSGEWDGFRADFSNEGKAIELPESVVPEAYREWEVKVFDWQTQCPTLAEPKERVLQYKSIQLLPTVGCEADAATQYSVDERKVGEGISGLTAFAYQSSGSYVAVWQKKDNLLELECCMVNPQDCESRVRVIQFVNVLNNTEMVLQGIRVFREQWYGPFRNGDQLGGCAIRDSAFASTAPTIASDVAGIWKGSTAVASFSTPNTGILQELFDEKVQDSVRDGDSYILLPKQLWCSLKQSKEGETLSEVGWLLDHGQAITSSCLFSNTAKPQEISLALETRALEYA >Vigun07g235200.2.v1.2 pep primary_assembly:ASM411807v1:7:35680883:35684335:-1 gene:Vigun07g235200.v1.2 transcript:Vigun07g235200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLMRAVNQDAEEATVETDSPIDVLRKTDSYQDGSRTISTVWDTSIEAWSQFARRVSGEWDGFRADFSNEGKAIELPESVVPEAYREWEVKVFDWQTQCPTLAEPKERVLQYKSIQLLPTVGCEADAATQYSVDERKVGEGISGLTAFAYQSSGSYVAVWQKKDNLLELECCMVNPQDCESRVRVIQFVNVLNNTEMVLQGIRVFREQWYGPFRNGDQLGGCAIRDSAFASTAPTIASDVAGIWKGSTAVASFSTPNTGILQELFDEKVQDSVRDGDSYILLPKQLWCSLKQSKEGETLSEVGWLLDHGQAITSSCLFSNTAKPQEISLALETRALEYA >Vigun09g253700.1.v1.2 pep primary_assembly:ASM411807v1:9:42037348:42039240:1 gene:Vigun09g253700.v1.2 transcript:Vigun09g253700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTGSSVSSYSTRENEVAEILVKLRSFILEFGCVRGILPQSWGRKKKRSVISANPKAEEASSPATPLSFSPSESDENPTSLFRRNVSLKRKREHCVKIIQDLSKDNDLLSGEIKNVQCYFDKLKDYNLKLKARKQELSHGPMQGVVEHKQPQFSGVAHHPPLILNQSACGVATASSGVASSSSNDVGPIGIPDLNLPLEESMGLEFCEPLDMSVNVANRNLSRAMAAQARQNRLHIYRFKNSIGISKPRYSCR >Vigun09g177600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34994102:34995449:1 gene:Vigun09g177600.v1.2 transcript:Vigun09g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTATYIYLSPLTLLPHHEITNLSKMSTFLSLHCFLPLFFLISVFHLSSAARNLNGQVQDHSQLLHYHNGPLLYGKITVNLIWYGHFKPSQKAIIADFVTSLSSPLTSNNQPSVSTWWKTTEKYYHLSPRKVASLSLSLGDQILDESYSLGKSLTTKHLVELASKGGQKNAINVVLTSADVAVEGFCMSRCGTHGSAASAGHVNGGKNYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLIAPNNDVGVDGMVINFASLLAGTATNPFGNGYFQGPAGAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNVNGANARKYLVPALYDPSTSSCSTPV >Vigun08g191000.1.v1.2 pep primary_assembly:ASM411807v1:8:35864170:35869551:-1 gene:Vigun08g191000.v1.2 transcript:Vigun08g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAAETTENSLEKIKRQLASASGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKIRRNEPSVKGTIIFDANSTITVSPVNFQGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSTKLGTVATVVAAANSTALECSKEIEAAMQISLRNALGMMNNRTTDGPMDDLTIMKETLRVKDEELQNLSRDLRARDSTIKDIADKLSETAEAAEAAASAAYTMDEHRRIACAEIERLSKDSEKQQELFTQKLKESEEKVTILSKEKEQLIRQRDAAIQEANMWRTELAKAREHDVILEAAVVRAEEKVRVAEANAEARIREAVQRESAATKEKEELLAYVNVLKAQLQRQHIDTTQVFEKTESCSDTKHVDPTEENVDKACLSVSRAMPAPAENVVHMATDQVNIQPVGDNEWSDIQATEARIADVREVAPETDGSSLDIPVVSQPGTNHHHEQGANSFHQP >Vigun03g145200.1.v1.2 pep primary_assembly:ASM411807v1:3:14805309:14815147:1 gene:Vigun03g145200.v1.2 transcript:Vigun03g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRTCFVCWNLSGFNFVNHSNGNGRIVRVSFPASCKMRHRNTFSLQHKRQQIKPSTEVGLRQNQDEEDDSEVSFNNDAPLAMNINGAEQAEPVSGKQLEDLLGMIRNAEKNILLLNQARVRALENLEMILAEKDALRGEINDLETRLAETDAQIKVAAQEKIHVELLEQQLEKLRDELAEKGVTEARYEELGGLQNGDLRDANPLSNKGIIHPLTEELNSLRTENASLKNTLESFKTQFSVVKNNDERLVALEKERSSLESALKDLESKLCSQEDVSKLSTLTFECKDLLGKVENLQSLLDKATKQADQAVIVMQQNQDLRRKVDELETSLEEANIYKLSSDQLQKYSELMKQKVNLLDERLKKSDEELNSYIQLYQKSVKEFQDTLDTLKEQSKTRALEEPVEDMPWEFWSQLLLLIDGWAIEKKISVDDASLLREKVWRRDRRISETYMAYKEQDEHEAISAFLGLLTSGTSPGLHVIHIAAEMAPVAKVGGLGDVVSGLGKALQKKGHLVEIVLPKYDCMQYDRVCNLRALSVPIESYFDRQLHKNKIWVGSIEGLPVYFIEPEHPSKFFWRGKFYGEHDDFRRFSCFSRAALDFLLQAGKKPDIIHCHDWQTAFIAPLYWEIFVHKGLNSARICFTCHNFEYQGTAAASELDSCGLVSQNLNKSDKMQDNSAHDRVNSVKGGIVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSSHFRKFIGILNGIDTDAWNPATDAFLPVQYNATDLQGKVENKQALRRSLGLSSADIRMPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQKEFEGIANQFQNHDHVRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTIPSQFRNGFTFVNADEQGLSGALVRALNLFKNNPERWKQLVQKDMNIDFSWETSSAQYEELYLKSVARAKASKRA >Vigun03g145200.2.v1.2 pep primary_assembly:ASM411807v1:3:14805316:14815146:1 gene:Vigun03g145200.v1.2 transcript:Vigun03g145200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRTCFVCWNLSGFNFVNHSNGNGRIVRVSFPASCKMRHRNTFSLQHKRQQIKPSTEVGLRQNQDEEDDSEVSFNNDAPLAMNINGAEQAEPVSGKQLEDLLGMIRNAEKNILLLNQARVRALENLEMILAEKDALRGEINDLETRLAETDAQIKVAAQEKIHVELLEQQLEKLRDELAEKGVTEARYEELGGLQNGDLRDANPLSNKGIIHPLTEELNSLRTENASLKNTLESFKTQFSVVKNNDERLVALEKERSSLESALKDLESKLCSQEDVSKLSTLTFECKDLLGKVENLQSLLDKATKQADQAVIVMQQNQDLRRKVDELETSLEEANIYKLSSDQLQKYSELMKQKVNLLDERLKKSDEELNSYIQLYQKSVKEFQDTLDTLKEQSKTRALEEPVEDMPWEFWSQLLLLIDGWAIEKKISVDDASLLREKVWRRDRRISETYMAYKEQDEHEAISAFLGLLTSGTSPGLHVIHIAAEMAPVAKVGGLGDVVSGLGKALQKKGHLVEIVLPKYDCMQYDRVCNLRALSVPIESYFDRQLHKNKIWVGSIEGLPVYFIEPEHPSKFFWRGKFYGEHDDFRRFSCFSRAALDFLLQAGKKPDIIHCHDWQTAFIAPLYWEIFVHKGLNSARICFTCHNFEYQGTAAASELDSCGLVSQNLNKSDKMQDNSAHDRVNSVKGGIVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSSHFRKFIGILNGIDTDAWNPATDAFLPVQYNATDLQGKVENKQALRRSLGLSSADIRMPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQKEFEGIANQFQNHDHVRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTIPSQFRNGFTFVNADEQGLSGALVRALNLFKNNPERWKQLVQKDMNIDFSWETSSAQYEELYLKSVARAKASKRA >Vigun03g145200.4.v1.2 pep primary_assembly:ASM411807v1:3:14805328:14815106:1 gene:Vigun03g145200.v1.2 transcript:Vigun03g145200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRTCFVCWNLSGFNFVNHSNGNGRIVRVSFPASCKMRHRNTFSLQHKRQQIKPSTEVGLRQNQDEEDDSEVSFNNDAPLAMNINGAEQAEPVSGKQLEDLLGMIRNAEKNILLLNQARVRALENLEMILAEKDALRGEINDLETRLAETDAQIKVAAQEKIHVELLEQQLEKLRDELAEKGVTEARYEELGGLQNGDLRDANPLSNKGIIHPLTEELNSLRTENASLKNTLESFKTQFSVVKNNDERLVALEKERSSLESALKDLESKLCSQEDVSKLSTLTFECKDLLGKVENLQSLLDKATKQADQAVIVMQQNQDLRRKVDELETSLEEANIYKLSSDQLQKYSELMKQKVNLLDERLKKSDEELNSYIQLYQKSVKEFQDTLDTLKEQSKTRALEEPVEDMPWEFWSQLLLLIDGWAIEKKISVDDASLLREKVWRRDRRISETYMAYKEQDEHEAISAFLGLLTSGTSPGLHVIHIAAEMAPVAKVGGLGDVVSGLGKALQKKGHLVEIVLPKYDCMQYDRVCNLRALSVPIESYFDRQLHKNKIWVGSIEGLPVYFIEPEHPSKFFWRGKFYGEHDDFRRFSCFSRAALDFLLQAGKKPDIIHCHDWQTAFIAPLYWEIFVHKGLNSARICFTCHNFEYQGTAAASELDSCGLVSQNLNKSDKMQDNSAHDRVNSVKGGIVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSSHFRKFIGILNGIDTDAWNPATDAFLPVQYNATDLQGKVENKQALRRSLGLSSADIRMPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQKEFEGIANQFQNHDHVRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTIPSQFRNGFTFVNADEQGLSGALVRALNLFKNNPERWKQLVQKDMNIDFSWETSSAQYEELYLKSVARAKASKRWERI >Vigun03g145200.3.v1.2 pep primary_assembly:ASM411807v1:3:14805328:14815106:1 gene:Vigun03g145200.v1.2 transcript:Vigun03g145200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRTCFVCWNLSGFNFVNHSNGNGRIVRVSFPASCKMRHRNTFSLQHKRQQIKPSTEVGLRQNQDEEDDSEVSFNNDAPLAMNINGAEQAEPVSGKQLEDLLGMIRNAEKNILLLNQARVRALENLEMILAEKDALRGEINDLETRLAETDAQIKVAAQEKIHVELLEQQLEKLRDELAEKGVTEARYEELGGLQNGDLRDANPLSNKGIIHPLTEELNSLRTENASLKNTLESFKTQFSVVKNNDERLVALEKERSSLESALKDLESKLCSQEDVSKLSTLTFECKDLLGKVENLQSLLDKATKQADQAVIVMQQNQDLRRKVDELETSLEEANIYKLSSDQLQKYSELMKQKVNLLDERLKKSDEELNSYIQLYQKSVKEFQDTLDTLKEQSKTRALEEPVEDMPWEFWSQLLLLIDGWAIEKKISVDDASLLREKVWRRDRRISETYMAYKEQDEHEAISAFLGLLTSGTSPGLHVIHIAAEMAPVAKVGGLGDVVSGLGKALQKKGHLVEIVLPKYDCMQYDRVCNLRALSVPIESYFDRQLHKNKIWVGSIEGLPVYFIEPEHPSKFFWRGKFYGEHDDFRRFSCFSRAALDFLLQAGKKPDIIHCHDWQTAFIAPLYWEIFVHKGLNSARICFTCHNFEYQGTAAASELDSCGLVSQNLNKSDKMQDNSAHDRVNSVKGGIVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSSHFRKFIGILNGIDTDAWNPATDAFLPVQYNATDLQGKVENKQALRRSLGLSSADIRMPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQKEFEGIANQFQNHDHVRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTIPSQFRNGFTFVNADEQGLSGALVRALNLFKNNPERWKQLVQKDMNIDFSWETSSAQYEELYLKSVARAKASKRWERI >Vigun03g145200.5.v1.2 pep primary_assembly:ASM411807v1:3:14805316:14815146:1 gene:Vigun03g145200.v1.2 transcript:Vigun03g145200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRTCFVCWNLSGFNFVNHSNGNGRIVRVSFPASCKMRHRNTFSLQHKRQQIKPSTEVGLRQNQDEEDDSEVSFNNDAPLAMNINGAEQAEPVSGKQLEDLLGMIRNAEKNILLLNQARVRALENLEMILAEKDALRGEINDLETRLAETDAQIKVAAQEKIHVELLEQQLEKLRDELAEKGVTEARYEELGGLQNGDLRDANPLSNKGIIHPLTEELNSLRTENASLKNTLESFKTQFSVVKNNDERLVALEKERSSLESALKDLESKLCSQEDVSKLSTLTFECKDLLGKVENLQSLLDKATKQADQAVIVMQQNQDLRRKVDELETSLEEANIYKLSSDQLQKYSELMKQKVNLLDERLKKSDEELNSYIQLYQKSVKEFQDTLDTLKEQSKTRALEEPVEDMPWEFWSQLLLLIDGWAIEKKISVDDASLLREKVWRRDRRISETYMAYKEQDEHEAISAFLGLLTSGTSPGLHVIHIAAEMAPVAKVGGLGDVVSGLGKALQKKGHLVEIVLPKYDCMQYDRVCNLRALSVPIESYFDRQLHKNKIWVGSIEGLPVYFIEPEHPSKFFWRGKFYGEHDDFRRFSCFSRAALDFLLQAGKKPDIIHCHDWQTAFIAPLYWEIFVHKGLNSARICFTCHNFEYQGTAAASELDSCGLVSQNLNKSDKMQDNSAHDRVNSVKGGIVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLSSHFRKFIGILNGIDTDAWNPATDAFLPVQYNATDLQGKVENKQALRRSLGLSSADIRMPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQKEFEGIANQFQNHDHVRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMISMRYGAIPIVRKTGGLNDSVFDVDDDTIPSQFRNGFTFVNADEQGLSGALVRALNLFKNNPERWKQLVQKDMNIDFSWETSSAQYEELYLKSVARAKASKRA >Vigun05g297900.3.v1.2 pep primary_assembly:ASM411807v1:5:48259686:48261385:1 gene:Vigun05g297900.v1.2 transcript:Vigun05g297900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHASDKDIVKEPSSGMTKIVAEEAFSTSSNQKSKSCGSTPTIPSQREQGMKKGTARSTLYEICAANHWKPPFFECCKEEGPSHEKMFTFKVIITVEASGNTLECYGAPHRKKKEAADHAAEGALFYLKYCQ >Vigun05g297900.1.v1.2 pep primary_assembly:ASM411807v1:5:48259686:48261385:1 gene:Vigun05g297900.v1.2 transcript:Vigun05g297900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNCSHTPKLAVNLKHLPPIDPFPVTNPSSNSKSKLNNSFCSRPAAKAGNRKHSLGKMMHASDKDIVKEPSSGMTKIVAEEAFSTSSNQKSKSCGSTPTIPSQREQGMKKGTARSTLYEICAANHWKPPFFECCKEEGPSHEKMFTFKVIITVEASGNTLECYGAPHRKKKEAADHAAEGALFYLKYCQ >Vigun05g297900.2.v1.2 pep primary_assembly:ASM411807v1:5:48259686:48261385:1 gene:Vigun05g297900.v1.2 transcript:Vigun05g297900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNCSHTPKLAVNLKHLPPIDPFPVTNPSSNSKSKLNNSFCSRPAAKAGNRKHSLGKMMHASDKDIVKEPSSGMTKIVAEEAFSTSSNQKSMKKGTARSTLYEICAANHWKPPFFECCKEEGPSHEKMFTFKVIITVEASGNTLECYGAPHRKKKEAADHAAEGALFYLKYCQ >Vigun10g177000.4.v1.2 pep primary_assembly:ASM411807v1:10:39532846:39538295:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVCRRMNRMRGGIEESKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFAPASPSLFPLPLRNYTPHTSSSHISSNQSIQFNSSAPSILAEKSQAYNFRRTNLTKYTQDNFINSKNSLKALDGEDAFITSGSAHGKGSCCSIIQNNKDEDGCNLSCSLKNLNSFRKKVNSPGVVDLKSAQYGKIQMEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQDSLKTLQGNSVHTHEEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun10g177000.5.v1.2 pep primary_assembly:ASM411807v1:10:39532840:39538286:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHTHSGTTLLSLPTIFVCRRMNRMRGGIEESKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFAPASPSLFPLPLRNYTPHTSSSHISSNQSIQFNSSAPSILAEKSQAYNFRRTNLTKYTQDNFINSKNSLKALDGEDAFITSGSAHGKGSCCSIIQNNKDEDGCNLSCSLKNLNSFRKKVNSPGVVDLKSAQYGKIQMEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun10g177000.1.v1.2 pep primary_assembly:ASM411807v1:10:39532905:39538286:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHTHSGTTLLSLPTIFVCRRMNRMRGGIEESKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFAPASPSLFPLPLRNYTPHTSSSHISSNQSIQFNSSAPSILAEKSQAYNFRRTNLTKYTQDNFINSKNSLKALDGEDAFITSGSAHGKGSCCSIIQNNKDEDGCNLSCSLKNLNSFRKKVNSPGVVDLKSAQYGKIQMEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQDSLKTLQGNSVHTHEEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun10g177000.3.v1.2 pep primary_assembly:ASM411807v1:10:39532846:39538328:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVCRRMNRMRGGIEESKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFAPASPSLFPLPLRNYTPHTSSSHISSNQSIQFNSSAPSILAEKSQAYNFRRTNLTKYTQDNFINSKNSLKALDGEDAFITSGSAHGKGSCCSIIQNNKDEDGCNLSCSLKNLNSFRKKVNSPGVVDLKSAQYGKIQMEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQGNSVHTHEEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun10g177000.2.v1.2 pep primary_assembly:ASM411807v1:10:39532830:39538369:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVCRRMNRMRGGIEESKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFAPASPSLFPLPLRNYTPHTSSSHISSNQSIQFNSSAPSILAEKSQAYNFRRTNLTKYTQDNFINSKNSLKALDGEDAFITSGSAHGKGSCCSIIQNNKDEDGCNLSCSLKNLNSFRKKVNSPGVVDLKSAQYGKIQMEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun10g177000.6.v1.2 pep primary_assembly:ASM411807v1:10:39532903:39538295:-1 gene:Vigun10g177000.v1.2 transcript:Vigun10g177000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTKVSQNGQKPEEVLSLDGFGDMTDASLISLVQGRDSKSMNKEHKSLKDEIRSISVDSLKTLQGNSVHTHEEHAAFGDKFNSRDHHIEKPAASDVHKCSGELEIGRRFFLDKGAGNEDEETSVMHISPDNVVGAIGEQQFWKARRTIVNQQKIFVMQVFELHRLIKVQRLIAGSPHILLADNLLLNKPPPKTSTTKKFQSDFVSEKPSSVAKLDSKSVKAPTAEHATNSAVEKIPIPCVNNITKGHANQLPNYGHHLANLPIASSDTNSKQSPSYVYPPPGNQWLVPVMSPSEGLVYKPVIGPCPPNAGFMAPIYGTCGSVSFNPLSKDASSEAALAPSSHQKFGILSGSSLPQFLPPPFIHNPSSMSASAVEQMGQSNGPENHNSCGEVNSAIIYQSSSNISSPTSQVMSRNISTHHSPKDKEPQRSTASSPSKRGSAGEVLPLFPLAPTFWQTEDRHTQVELQPRVIKAMPHNPKSASESAARIFRSIQEERKHL >Vigun11g117100.1.v1.2 pep primary_assembly:ASM411807v1:11:32249852:32257654:1 gene:Vigun11g117100.v1.2 transcript:Vigun11g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGDQGQADLEKSSICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVEEGDLGNNFLVDESSLGKSKAKCVCSFLQELNDAVKAKFVEESPETLIETNPSFFSQFTLVVATQLVEYSMIKLDRICREANVILIFARSYGLTGFVRISVKEHDVIESKPEHFLDDLRLNNPWPELKRFADDIDLNVQDPVVHKHVPYVVILVKKADEWTKSHGGRLPSTREEKKEFKELLKAGMVAQDEDNYKEAIDSSFKVFAPRGISTELQQILNDSSVEVDSNSSDFWVLVAALKDFIANEGGGEVPLEGSIPDMTSSTEQYVNLQNIYHAKAEADFLVIEHLVRGILKKIGRDSNSIPRSTIKSFCKNARKLKVCRYRLIEDEFNAPNLPDLQKYLTDEDSSIAMGIYILLRAADRFAANYNSFPGQFDSAMDEDIPRLKSTAISLLNDLGCNGATLAEDLINEMCRFGAAELHAVAALVGGIASQEVIKLITKQFVPMSGTYIFNGIDHKSQLLSL >Vigun11g117100.2.v1.2 pep primary_assembly:ASM411807v1:11:32249874:32257591:1 gene:Vigun11g117100.v1.2 transcript:Vigun11g117100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGDQGQADLEKSSICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVEEGDLGNNFLVDESSLGKSKAKCVCSFLQELNDAVKAKFVEESPETLIETNPSFFSQFTLVVATQLVEYSMIKLDRICREANVILIFARSYGLTGFVRISVKEHDVIESKPEHFLDDLRLNNPWPELKRFADDIDLNVQDPVVHKHVPYVVILVKKADEWTKSHGGRLPSTREEKKEFKELLKAGMVAQDEDNYKEAIDSSFKVFAPRGISTELQQILNDSSVEVDSNSSDFWVLVAALKDFIANEGGGEVPLEGSIPDMTSSTEQYVNLQNIYHAKAEADFLVIEHLVRGILKKIGRDSNSIPRSTIKSFCKNARKLKVCRYRLIEDEFNAPNLPDLQKYLTDEDSSIAMGIYILLRAADRFAANYNSFPGQFDSAMDEDIPRLKSTAISLLNDLGCNGATLAEDLINEMCRFGAAELHAVAALVGGIASQEVIKLITKQFVPMSGTYIFNGIDHKSQLLSL >Vigun11g117100.3.v1.2 pep primary_assembly:ASM411807v1:11:32249874:32257591:1 gene:Vigun11g117100.v1.2 transcript:Vigun11g117100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGDQGQADLEKSSICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVEEGDLGNNFLVDESSLGKSKAKCVCSFLQELNDAVKAKFVEESPETLIETNPSFFSQFTLVVATQLVEYSMIKLDRICREANVILIFARSYGLTGFVRISVKEHDVIESKPEHFLDDLRLNNPWPELKRFADDIDLNVQDPVVHKHVPYVVILVKKADEWTKSHGGRLPSTREEKKEFKELLKAGMVAQDEDNYKEAIDSSFKVFAPRGISTELQQILNDSSVEVDSNSSDFWVLVAALKDFIANEGGGEVPLEGSIPDMTSSTEQYVNLQNIYHAKAEADFLVIEHLVRGILKKIGRDSNSIPRSTIKSFCKNARKLKVCRYRLIEDEFNAPNLPDLQKYLTDEDSSIAMGIYILLRAADRFAANYNSFPGQFDSAMDEDIPRLKSTAISLLNDLGCNGATLAEDLINEMCRFGAAELHAVAALVGGIASQEVIKLITKQFVPMSGTYIFNGIDHKSQLLSL >Vigun11g213700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40835401:40837661:1 gene:Vigun11g213700.v1.2 transcript:Vigun11g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLYLSCRAESAVSTSDSVASSSREKEKEKERSSIKIQEFQYSDLEAATNGFSDRKLLGKGSHGYVYKAVVRGRPVAVKRPSRPHHHGVVVPRPVVSSSAPVEITNEVDNEIDILSKIQSPRLVNLVGFTNDSRDRLLVVEFMSNGTLYDVLHSSPRPPNWGRRIRLALQTAKAIDTLHSSTPPVIHRDIKSANVLIDRSYNARLGDFGLALRGHVDDYRLRSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDITYSPPSIVDWAIPLIKKGKLLAVYDPRIAPPKDPVVRKQLAVIAAKCVRSCRERRPSMKEVVTWLCGLCKLVPLHSWNGFNNPCMMVETVGRPVEARNGEFGVEEGKFEALDGRLSKSAMRYSRRVYSDLGFSSNLMDLMATTEEPEFLRDSDGVVEHSSKSAEQVSSSRFGSGRYSIRGRNLYKPCGSDKDAFGLSKGQIVVGQNETTSKQNEVSGSNSKNLNLNLNSLVAEVV >Vigun03g100600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8653301:8658472:1 gene:Vigun03g100600.v1.2 transcript:Vigun03g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVRRVKSPSTDCNRSFPYPSTSRNIEMRKPTNLSGSVDEMKEWEEARCPICMEPPHNGVLLKCSSHEKGCRPYMCNTSYRHSNCLDQFCKSFASHLSSEVLEEIPATSRVSHDREVQPEPGHPSHLGSQLKPKLTCPLCRGEIYGYMLLEPARSYMNSKPRSCSSETCEFQGTYPELRKHARSEHPSVRPSDVDPSRQCDWLRMEQERSLEDLFSSIHSSSGAEYNRNAILTGGLADLMSSFLYEIFISIEDVSRMASSLSNSRHRVPLYDRRSGITHRVSNHTQANQSARWRSNLPSVHQLERIARSSSSRVRTNFPILSSRDLEAEANRTARWRTNLSSLRTPRDDHQFYRDLSAEVTSSTRMPRTSQGAQTNPQTDISSSARIPGRQLRWRDQRWSTNNYYQR >Vigun03g100600.2.v1.2 pep primary_assembly:ASM411807v1:3:8653366:8658252:1 gene:Vigun03g100600.v1.2 transcript:Vigun03g100600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDLVFSYCILNFKMPKVRRVKSPSTDCNRSFPYPSTSRNIEMRKPTNLSGSVDEMKEWEEARCPICMEPPHNGVLLKCSSHEKGCRPYMCNTSYRHSNCLDQFCKSFASHLSSEVLEEIPATSRVSHDREVQPEPGHPSHLGSQLKPKLTCPLCRGEIYGYMLLEPARSYMNSKPRSCSSETCEFQGTYPELRKHARSEHPSVRPSDVDPSRQCDWLRMEQERSLEDLFSSIHSSSGAEYNRNAILTGGLADLMSSFLYEIFISIEDVSRMASSLSNSRHRVPLYDRRSGITHRVSNHTQANQSARWRSNLPSVHQLERIARSSSSRVRTNFPILSSRDLEAEANRTARWRTNLSSLRTPRDDHQFYRDLSAEVTSSTRMPRTSQGAQTNPQTDISSSARIPGRQLRWRDQRWSTNNYYQR >Vigun05g076400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6986672:6987881:-1 gene:Vigun05g076400.v1.2 transcript:Vigun05g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPGPHDSLKRTLDLTVLSVEDLHANWRHAAQSLYVVVRADSIASYATGIATVETTSDGSGNPSWNEKLEVDVPAQARSITLTVKCKNAPSMKDVGIARIDITEVLRAAAPEQNLQILSYGLRDWEGRRSGVIKFSVRVRERDMLCSSSANVVGNGLMYD >Vigun06g172600.1.v1.2 pep primary_assembly:ASM411807v1:6:29344868:29348972:1 gene:Vigun06g172600.v1.2 transcript:Vigun06g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRVWCVVVSALALMMQWHGAWGSYAGTASAIIDPSKVKQISWKPRAFVYKGFLTELECDHLISIAKSELKRSAVADNLSGESKLSEVRTSSGMFISKNKDPIISGIEDRISAWTFLPKENGEDIQVLRYEHGQKYDPHYDYFSDQVNIARGGHRVATVLMYLSDVTKGGETVFPNAEESPHHKDSETNDDLSECAQKGIEVKPKRGDALLFFSLFPNAIPDTKSLHAGCPVLEGEKWSATKWIHVDSFDTVVGGECSDHNENCEKWANLGECTSNPEYMVGSPGLPGYCMKSCKAC >Vigun06g063500.1.v1.2 pep primary_assembly:ASM411807v1:6:19214462:19216933:1 gene:Vigun06g063500.v1.2 transcript:Vigun06g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVETFAGGEEHVFRSQYSPVPIPDNVTLPQYVLQNAELYAEKVAFVDAVTGKGVTYSEVVRDVERFSKALRSLGLRKGHVVIVLLPNVVEYAIVALGIMAAGGVFSGANPTSHVSEIKKQAESADAKIFVTDNTNYEKVKGLELPVIVVDDEVVEGGMKWKKLLEEAERGGDDDFCKEPVSQNDLCAMPFSSGTTGMSKGVMLTHRNLVANLCSTLFSVTREMEGMVTTLGLIPFFHIYGITGICCATLRSKGKVVVMGRFELKKFLNALITHEVTFAPIVPPIILSLVKNPIVDEFDLTKLKLQAVMTAAAPLAPELLNAFEHKFPGVSVQEAYGLTEHSCITLTLATKGLGSVHKNSVGFILPNLEVKFVDPDTGRSLPRNTPGELCVRSQCVMQGYYKQVDETAQTIDENGWLHTGDIGFIDGEENVFIIDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVPIPDEDAGEIPGASVVLSPGAKESEEDIMNYVANNAAHYKKVRVVHFVESIPKSPSGKIMRRLIKDKMIQKMKTSSSTQSQNV >Vigun06g063500.2.v1.2 pep primary_assembly:ASM411807v1:6:19214462:19216933:1 gene:Vigun06g063500.v1.2 transcript:Vigun06g063500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVETFAGGEEHVFRSQYSPVPIPDNVTLPQYVLQNAELYAEKVAFVDAVTGKGVTYSEVVRDVERFSKALRSLGLRKGHVVIVLLPNVVEYAIVALGIMAAGGVFSGANPTSHVSEIKKQAESADAKIFVTDNTNYEKVKGLELPVIVVDDEVVEGGMKWKKLLEEAERGGDDDFCKEPVSQNDLCAMPFSSGTTGMSKGVMLTHRNLVANLCSTLFSVTREMEGMVTTLGLIPFFHIYGITGICCATLRSKGKVVVMGRFELKKFLNALITHEVTFAPIVPPIILSLVKNPIVDEFDLTKLKLQAVMTAAAPLAPELLNAFEHKFPGVSVQEAYGLTEHSCITLTLATKGLGSVHKNSVGFILPNLEVKFVDPDTGRSLPRNTPGELCVRSQCVMQGYYKQVDETAQTIDENGWLHTGDIGFIDGEENVFIIDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVP >Vigun11g070450.1.v1.2 pep primary_assembly:ASM411807v1:11:20552584:20553432:-1 gene:Vigun11g070450.v1.2 transcript:Vigun11g070450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRYARNIPQKVKLKEKVAFVKNS >Vigun09g087200.1.v1.2 pep primary_assembly:ASM411807v1:9:11697395:11718681:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun09g087200.6.v1.2 pep primary_assembly:ASM411807v1:9:11701353:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSDNNPKEDPLLLWLSGGPGCSSLSGFFLEIGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.2.v1.2 pep primary_assembly:ASM411807v1:9:11697395:11718681:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun09g087200.4.v1.2 pep primary_assembly:ASM411807v1:9:11701353:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSDNNPKEDPLLLWLSGGPGCSSLSGFFLEIGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.8.v1.2 pep primary_assembly:ASM411807v1:9:11701353:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.12.v1.2 pep primary_assembly:ASM411807v1:9:11701508:11718279:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.5.v1.2 pep primary_assembly:ASM411807v1:9:11697505:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSDNNPKEDPLLLWLSGGPGCSSLSGFFLEIGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun09g087200.10.v1.2 pep primary_assembly:ASM411807v1:9:11697505:11718279:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun09g087200.11.v1.2 pep primary_assembly:ASM411807v1:9:11701353:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.9.v1.2 pep primary_assembly:ASM411807v1:9:11701508:11718279:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKYT >Vigun09g087200.7.v1.2 pep primary_assembly:ASM411807v1:9:11697505:11718279:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun09g087200.3.v1.2 pep primary_assembly:ASM411807v1:9:11697505:11718633:-1 gene:Vigun09g087200.v1.2 transcript:Vigun09g087200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSDNNPKEDPLLLWLSGGPGCSSLSGFFLEIGFTYARTELAAQSSDTVLASQGHQFLRKWLIDHPNFLSNEVYIGGDSYSGIPLPAIVQEIAQGNEKGFQPRINLQGYILGNPFTTRREPNYAIPFAHGMALISDELYESLQKNCKGNYINVDPENILCYRDMESFNKLVSGIFEYNILEPWCEKDENQPPLRRSLIEKFPRKNFLKTDLKLLVVTCPTYTDFLSDYWTNDDNVRTALHIHKGSIGTWIKCHRDRRFKYDISSSVEYHANLSRKGYRSLIYSGDHDMVFPFLGTQEWMRSLNYSIVDDWRPWNSNGQVAGYTRTYSNQMTFATVKGAGHIAPTHKPKECFDMFSRWISKKAL >Vigun05g228000.1.v1.2 pep primary_assembly:ASM411807v1:5:42098620:42101736:-1 gene:Vigun05g228000.v1.2 transcript:Vigun05g228000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGLSIDSDPIGSFFPHNPVVLNSFPEDDNNTIINNNSSGQQKWKLGPNMDATVSRNRSPSSSPNNNNTSTSTIPFQVNLSSDNKRPHIDEMDFFPNKTDDDHKNGINNFASASTSAPPSLDHLLHTHDHSSTPAMLELKVNTGLNLLTTNTGSDHAMVDDQTSTNSEEKRAKSEMVVLQAELERMKVENHRLRNMLDQVNTNYNALQMHLVSIMQEQKEEEGEQQVFDGKLDEEKQSGNGVLVPRQFMDLGLASNVDTNEPSHSSSVGRSQDLSKSPINNEVASKEFDTKKNGSVSDEGFDQEKKEFGRGIEREDSPSEGAAATSNVPKFSPPRNVDQAEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDRSILITTYEGNHNHPLPPAAIAMAQTTSAAARMLLSGSMSSADGLMNGNFLTRTLLPCSSSMATISASAPFPTVTLDLTQSPNPLQFPKPPNQFQIPFPGVPQNFANSSASLLPQIFGQALYNQSKFSGLQMSQDADPSQLSNQSQRPPPHLADTVSAATAAIAADPNFTAALAAAITSIIGGAQPNNNTSTNNNATTSSNTSNANVTTSNNNK >Vigun03g144000.1.v1.2 pep primary_assembly:ASM411807v1:3:14567802:14574769:-1 gene:Vigun03g144000.v1.2 transcript:Vigun03g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSELDDTELRSLIARPRIDFTSVFSLVNPILDHVRQRGDAAVKEYTLRFDKVQLDDIVQLVADLPDPLLDAHVKEAFDIAYSNIYAFHAAQRTPETNVENIKGVQCKRVSRSINTVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPAQDGTICKEVVYCAKKAGVTHILKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDLPAGPSEVLVIADKHAIPRHVAADLLSQAEHGPDSQVVLVIAGEGVDLNAIEEELSKQCQSLPRGEFAAKALTHSFIVHAHDMLESINFSNLYAPEHLIVNVKDAEKWEGFIQNAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLRKLGPYVATMAEVEGLDAHKLAVTLRLKDIEGRQVSS >Vigun03g178300.1.v1.2 pep primary_assembly:ASM411807v1:3:22304193:22308224:1 gene:Vigun03g178300.v1.2 transcript:Vigun03g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVRVAMGFQKSPAPATHTPPPQKKQPPPSPSTAKSSSHKSPFSRSFGAYFPRSSAQVQPRPPDVAELLRLVEELRESESRLKTELLEHKLLRESIAIVPVLESEISARETEIERSRRRAEETEVENERLKKELQELKVRVEEERRESERKLKALEDEIAEMKKTMSYSGCSSSSSSRAETLESHVHDHEHEHEASQRLVEVSVRSNVMKSLKKTASDLGSGILKREAAETERPHHSRCNSEELADCSDSVLSSSVRSRAPRVPNPPPRPSSSSPSSPSSGSSNNGETEQAIPPPPPPPPPPMMKAAPPPPIKAAPPPPPPPPRKPTSKAAPPPPPPPPPAKAGKLAPAKVRRVPEVVEFYHSLMRRDSHPRRDSGSGGAAEVPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRYLIKEVESAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLVSEASSFRDDPRQLCGPALKKMQTLLEKLEHGIYNISRMGESATKRYKVFQIPVDWMLDSGYVSQIKLASVKLAMKYMKRVSAELETVGGGPEEEELIVQGVRFAFRVHQFAGGFDVDTMRAFQELRDKARSCHIQCHGQQHKFFCRSATC >Vigun09g091501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12784351:12785698:-1 gene:Vigun09g091501.v1.2 transcript:Vigun09g091501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKTITRKQITITNITPSAFVFTNLHLNPSLAKNQRANPQFQSQTAHKPSHFSSSTWHKPKQKTKRRETPAGGLAAGESKPVDGGVWPRGVLKPGRVRSRERRRDGRAVTSRGALAHQRHRVSLDGDGETDDDLEVAEPAGDGVRQARPRGHGEDECSPGLERAREKGFRALQKKKKGCVAGVDGCCRRAPCGGAARQRRRWCADGRRRGQCVRMGRGDACG >Vigun08g058400.4.v1.2 pep primary_assembly:ASM411807v1:8:7405221:7413658:1 gene:Vigun08g058400.v1.2 transcript:Vigun08g058400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPGSGKTTLLLALAGRLGKDLKAAAQEGQQNSVVIDYILKILGLEVCADIMVGDEMIRGISGGQKKRLTTGEMMVGPIRVLFMDEISTGLDSSTTFQIVNSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWAHKDEPYSFVTVKDFSEAFQSFHIGKQLGDEMENPFDKSKCHPNALTTKKYGVNKKELLRACASREFLLMKRNSFVYIFKATQLTYLAILTTTLFLRTKMSHYTKADAETYMGALFFTVTVAMFNGISELNMTIMKLPVFYKQRDHLLYPSWAYSLPPWILKIPLTLVEVSIWECISYYAIGYDPNIVRLLKQYLVVFCINQMASALFRLMAALGRDIVVANTAGTFALLAVTVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGNSWRKVSPNSSETLGVLILKSRGFFPQAYWYWIGIGALIGYVFLFNFLFTLALQYLSPFRKDQAGLSQEKLLERNASMAEEFIQSPTRKNSSDTKMDKEASPSSKSFSEEVGKKNKASDSERRGMVLPFQPLFLAFDEIRYSVDMPQEMKSEGISENRLELLKGINGAFRPGVLTALMGVSGAGKTTLLDVLSGRKTSGYIEGSITISGYPKKQETFARISGYCEQLDIHSPNVTVFESLLYSAWLRLSPEVDKETRKMFIEEVMELVELNSLREALVGLPGQTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQIYSGPIGSNSSHLIQYFEAVPGVPQIKDGYNPATWMLEVTSAAKEASLKIDFTDVYKNSELHRRNKQLIQELSVPCEGSRDLYFHSQHSQSFMAQLIACLWKQHLSYWRNTSYTAVRLLFTTTTGLLFGVIFWDVGLKRRKEQDLFDAMGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSALPYAISQVIIELPHILAQALIYGIIVYAMMGFEWTAPKVFWNLYYTYFSFLYYTFYGMMTMAITPNPHIAAILSTSFYAVWCLFSGFIIPLSRIPIWWKWYYWICPVAWTLYGLVASQYGDDMHTLENGQRVEEFVKNYFGFEHDFLGVVAIVVAGFSLLFALIFAFGIKVFNFQKR >Vigun08g058400.3.v1.2 pep primary_assembly:ASM411807v1:8:7405221:7413658:1 gene:Vigun08g058400.v1.2 transcript:Vigun08g058400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPGSGKTTLLLALAGRLGKDLKHSGRVTYNGHGLEEFVPQRTSAYISQHDNHIGEMTVRETLAFSARCQGIGQNYEVLTDLLRREKEANIEPDPDVDAYMKAAAQEGQQNSVVIDYILKILGLEVCADIMVGDEMIRGISGGQKKRLTTGEMMVGPIRVLFMDEISTGLDSSTTFQIVNSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWAHKDEPYSFVTVKDFSEAFQSFHIGKQLGDEMENPFDKSKCHPNALTTKKYGVNKKELLRACASREFLLMKRNSFVYIFKATQLTYLAILTTTLFLRTKMSHYTKADAETYMGALFFTVTVAMFNGISELNMTIMKLPVFYKQRDHLLYPSWAYSLPPWILKIPLTLVEVSIWECISYYAIGYDPNIVRLLKQYLVVFCINQMASALFRLMAALGRDIVVANTAGTFALLAVTVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGNSWRKVSPNSSETLGVLILKSRGFFPQAYWYWIGIGALIGYVFLFNFLFTLALQYLSPFRKDQAGLSQEKLLERNASMAEEFIQSPTRKNSSDTKMDKEASPSSKSFSEEVGKKNKASDSERRGMVLPFQPLFLAFDEIRYSVDMPQEMKSEGISENRLELLKGINGAFRPGVLTALMGVSGAGKTTLLDVLSGRKTSGYIEGSITISGYPKKQETFARISGYCEQLDIHSPNVTVFESLLYSAWLRLSPEVDKETRKMFIEEVMELVELNSLREALVGLPGQTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQIYSGPIGSNSSHLIQYFEAVPGVPQIKDGYNPATWMLEVTSAAKEASLKIDFTDVYKNSELHRRNKQLIQELSVPCEGSRDLYFHSQHSQSFMAQLIACLWKQHLSYWRNTSYTAVRLLFTTTTGLLFGVIFWDVGLKRRKEQDLFDAMGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSALPYAISQVIIELPHILAQALIYGIIVYAMMGFEWTAPKVFWNLYYTYFSFLYYTFYGMMTMAITPNPHIAAILSTSFYAVWCLFSGFIIPLSRIPIWWKWYYWICPVAWTLYGLVASQYGDDMHTLENGQRVEEFVKNYFGFEHDFLGVVAIVVAGFSLLFALIFAFGIKVFNFQKR >Vigun08g058400.2.v1.2 pep primary_assembly:ASM411807v1:8:7403905:7413658:1 gene:Vigun08g058400.v1.2 transcript:Vigun08g058400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSETQRVRGFDMWRSHCIGVLSTSEREEDEETLKCVAIERLLARACTKRSVVNQVEGKAEEVDTKQLELSERKSLLDRLVKIAEEDNERFLLKLRERMDRVGLEIPTIEVRFEHINVEAQVYVGSRALPTVLNFFVNVLEGFLNSLHIIKSPKKPLHILQNVSGIIKPKRMALLLGPPGSGKTTLLLALAGRLGKDLKAAAQEGQQNSVVIDYILKILGLEVCADIMVGDEMIRGISGGQKKRLTTGEMMVGPIRVLFMDEISTGLDSSTTFQIVNSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWAHKDEPYSFVTVKDFSEAFQSFHIGKQLGDEMENPFDKSKCHPNALTTKKYGVNKKELLRACASREFLLMKRNSFVYIFKATQLTYLAILTTTLFLRTKMSHYTKADAETYMGALFFTVTVAMFNGISELNMTIMKLPVFYKQRDHLLYPSWAYSLPPWILKIPLTLVEVSIWECISYYAIGYDPNIVRLLKQYLVVFCINQMASALFRLMAALGRDIVVANTAGTFALLAVTVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGNSWRKVSPNSSETLGVLILKSRGFFPQAYWYWIGIGALIGYVFLFNFLFTLALQYLSPFRKDQAGLSQEKLLERNASMAEEFIQSPTRKNSSDTKMDKEASPSSKSFSEEVGKKNKASDSERRGMVLPFQPLFLAFDEIRYSVDMPQEMKSEGISENRLELLKGINGAFRPGVLTALMGVSGAGKTTLLDVLSGRKTSGYIEGSITISGYPKKQETFARISGYCEQLDIHSPNVTVFESLLYSAWLRLSPEVDKETRKMFIEEVMELVELNSLREALVGLPGQTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQIYSGPIGSNSSHLIQYFEAVPGVPQIKDGYNPATWMLEVTSAAKEASLKIDFTDVYKNSELHRRNKQLIQELSVPCEGSRDLYFHSQHSQSFMAQLIACLWKQHLSYWRNTSYTAVRLLFTTTTGLLFGVIFWDVGLKRRKEQDLFDAMGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSALPYAISQVIIELPHILAQALIYGIIVYAMMGFEWTAPKVFWNLYYTYFSFLYYTFYGMMTMAITPNPHIAAILSTSFYAVWCLFSGFIIPLSRIPIWWKWYYWICPVAWTLYGLVASQYGDDMHTLENGQRVEEFVKNYFGFEHDFLGVVAIVVAGFSLLFALIFAFGIKVFNFQKR >Vigun08g058400.1.v1.2 pep primary_assembly:ASM411807v1:8:7403905:7413658:1 gene:Vigun08g058400.v1.2 transcript:Vigun08g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSETQRVRGFDMWRSHCIGVLSTSEREEDEETLKCVAIERLLARACTKRSVVNQVEGKAEEVDTKQLELSERKSLLDRLVKIAEEDNERFLLKLRERMDRVGLEIPTIEVRFEHINVEAQVYVGSRALPTVLNFFVNVLEGFLNSLHIIKSPKKPLHILQNVSGIIKPKRMALLLGPPGSGKTTLLLALAGRLGKDLKHSGRVTYNGHGLEEFVPQRTSAYISQHDNHIGEMTVRETLAFSARCQGIGQNYEVLTDLLRREKEANIEPDPDVDAYMKAAAQEGQQNSVVIDYILKILGLEVCADIMVGDEMIRGISGGQKKRLTTGEMMVGPIRVLFMDEISTGLDSSTTFQIVNSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWAHKDEPYSFVTVKDFSEAFQSFHIGKQLGDEMENPFDKSKCHPNALTTKKYGVNKKELLRACASREFLLMKRNSFVYIFKATQLTYLAILTTTLFLRTKMSHYTKADAETYMGALFFTVTVAMFNGISELNMTIMKLPVFYKQRDHLLYPSWAYSLPPWILKIPLTLVEVSIWECISYYAIGYDPNIVRLLKQYLVVFCINQMASALFRLMAALGRDIVVANTAGTFALLAVTVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGNSWRKVSPNSSETLGVLILKSRGFFPQAYWYWIGIGALIGYVFLFNFLFTLALQYLSPFRKDQAGLSQEKLLERNASMAEEFIQSPTRKNSSDTKMDKEASPSSKSFSEEVGKKNKASDSERRGMVLPFQPLFLAFDEIRYSVDMPQEMKSEGISENRLELLKGINGAFRPGVLTALMGVSGAGKTTLLDVLSGRKTSGYIEGSITISGYPKKQETFARISGYCEQLDIHSPNVTVFESLLYSAWLRLSPEVDKETRKMFIEEVMELVELNSLREALVGLPGQTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQIYSGPIGSNSSHLIQYFEAVPGVPQIKDGYNPATWMLEVTSAAKEASLKIDFTDVYKNSELHRRNKQLIQELSVPCEGSRDLYFHSQHSQSFMAQLIACLWKQHLSYWRNTSYTAVRLLFTTTTGLLFGVIFWDVGLKRRKEQDLFDAMGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSALPYAISQVIIELPHILAQALIYGIIVYAMMGFEWTAPKVFWNLYYTYFSFLYYTFYGMMTMAITPNPHIAAILSTSFYAVWCLFSGFIIPLSRIPIWWKWYYWICPVAWTLYGLVASQYGDDMHTLENGQRVEEFVKNYFGFEHDFLGVVAIVVAGFSLLFALIFAFGIKVFNFQKR >Vigun01g102900.1.v1.2 pep primary_assembly:ASM411807v1:1:27164456:27182618:-1 gene:Vigun01g102900.v1.2 transcript:Vigun01g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVSVGGSSVSELSPDEERIMIKDIALAAQANSKEGDTFFLITQRWWQYWIEYVNQDQSNTSYDGSSLSEHDLANSSALKRPAGIDNSDLIDDTVSEDSGMGIEIHDTLLEGRDYVLLPQEVWDQLFRWYGGGPTLARKVISSGFSQTELAVEVYPLRLQLLMLPKNDRFAIRISKKETIGQLHRKACEIFDLPPDQVCIWDYYSRRKHALMNDMDKTLDDANLQMDQDILVEVINNSNNTSFAQENGSALREANFALVEPPKSSLSIAGGLSASKGASRGYNTDLSSSLNINSPLRDIENPYGTSGVTTRGSFGGLTGLLNLGNTCYMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPIAPRPFKTKLVRFAPQFSGHNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHISRNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSSTATRTMTVTVFACDGAALPSPCTVTVPKQGRIKDLVQALSNACSLKHNERLLLVEVRNHLIHRYLEDPVHLSTIKDDERLAAYKIPKTDKNTKYLQLIHRRREQSSDSHVISGWKPYGTPIVSLISCDDTVTRGDIQVMVNRILSPLLRRGGNVEQATNSEASIPKAEPDECSNTHDAACAGNTVSNSSNKDFTNSKAPSMPTLPLLLVGDNNACIDLSMGEEKVVKLPQSSPKVLVYIDWSQKLLEKYDTHPIESLPEVLKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYIANKNNSRRQLYELYALTNHYGSMGSGHYTAHIKFSNVQLLDENRWYNFDDSHITLISEDEVNTAAAYVLFYRRVKTEEAAISNGA >Vigun07g179900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29708650:29709276:1 gene:Vigun07g179900.v1.2 transcript:Vigun07g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNFILALIFFLAVVDVEPNLAIRVLNMMEQPSLVSVEDKGPVPPSGPSTCTYIPRTGGKNCPPFEEIMNVSEQNYEAETETYPRLVVPTGVATSQH >Vigun06g141100.1.v1.2 pep primary_assembly:ASM411807v1:6:26667265:26669104:-1 gene:Vigun06g141100.v1.2 transcript:Vigun06g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLCVVVTALVVLGGLPFSSDAQLDPFFYRWTCPPVRNVVFKVVEKVSRRDPRMPASLVRLFFHDCFVQGCDASILLNNTATIVSEQQALPNNNSIRGLDVVNDIKTAVENVCPNVVSCADILTIAAEVSSILAGGPSIKFPLGRRDSLTANRTLANQNLPSPFSTLGQLKDAFSVQKLDTTDLVALSGAHTFGRAHCFFILSRLYNFSGTGRPDPTLDPTYLQQLRNICPQGGPNNLVNFDPTTPDRFDKNYYSNLQVKKGLLQSDQELFSTPGADTIPIVNRFSSNQNDFFSSFAKSMIKMGNIGVLTGNKGEIRKQCNFINRRSVEVDTVASELEEGMVSSI >Vigun07g158700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27048861:27051027:-1 gene:Vigun07g158700.v1.2 transcript:Vigun07g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSTEFLSHTLSLRTNSKRSWHPKPNAVIRCRIPVLNEDNPSKRKNNYNKGNGRVSSSDTRPRHYDFRDTHHMKSLNRLCKTGKYTEALYFLEQMVKRNYKPDVILCTKLIKGLFTSKKTEKAVRVMEILEEHGDPDAFAYNAVISGFCRSDRFDAANGVLVRMKNRGFSPDVVTYNILIGSLCARGKLDLAMKVMDQLMKDNCNPTVITYTILIEATIIHGGIDEAMKLLDEMVSRGLQPDMYTYNVIVRGMCKRGLVDRAFDFVCNLSTTPSLNLYNLVLKGLLNEGRWETGERLMSDMMLKGCEPNVVTYSVLINSLCRDGKTGEAVDLLKVMKEKGLSPDAYCYDPLISAFCKEGKVDLAIGFVDDMISAGWLPDIVNYNTIMGSLCKKGRGDEALTIFKKLDEVGCPPNVSSYNTMLGALWSSGDKIRALGMVLEMLNNGVDPDRITYNSLISCLCRDGMVDEAIGLLVDMERSEWQPTVISYNIVLLGLCKAHRMVDAIEVLAVMVDNGCQPNETTYTLLVEGVSYAGWPSDAVELAKSLASINAISQDLFRRLNKQSLFRA >Vigun10g081650.1.v1.2 pep primary_assembly:ASM411807v1:10:22873570:22873876:1 gene:Vigun10g081650.v1.2 transcript:Vigun10g081650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRTNTPTSLSVSLSLRFSVLVSNEHKNNPLRWHLLFPYSFNRQIYPRRFLRRSPPLRRALRVGDLHSGASPTAT >Vigun08g177000.1.v1.2 pep primary_assembly:ASM411807v1:8:34722617:34726421:1 gene:Vigun08g177000.v1.2 transcript:Vigun08g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHRAPPTVHDGAIHHPNRGGKRDSSSTPSVSSPKASDALPLPLYLTNAIFFTLFFSVAYFLLHRWRDKIRSHTPLHVVTLSEIAAIFSLIASFIYLLGFFGIDFVQSFITRASHDAWDVDEAVSEPSPAPSPSPSITKLPPVECSLISQDDEDIVCSVVDGVTPSYALESRLGDCRRAAAIRRAALQRMTGRSLEGLPLEGFDYDSILGQCCEMPVGYVQIPVGVAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYASGGASSVVLRDCMSRAPVVRFSTAKRAAQLKFFLEDPLNFDTLAVVFNRSSRFARLQGIQCAMAGKNVYLRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVPALVELNMLKNLTGSAIAGALGGFNAHASNIVSAVFIATGQDPAQNIESSHCITMMEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGSNSRLLAAIVAGSVLAGELSLMSAIAAGQLVNSHMKYNRSSKDVTKISS >Vigun10g148300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36679804:36680796:1 gene:Vigun10g148300.v1.2 transcript:Vigun10g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKQSSSMETETETEFEDLLPLMAEKLDVESFVSELCGGFKLLSDPETGLITSQSLLTNSALLGMEGMSMEDADAMVRQGDLDGDGKLNQTEFCILMVRLSPGIMEHAEAWLEKAIHQELTKS >Vigun04g103050.1.v1.2 pep primary_assembly:ASM411807v1:4:24047205:24051279:1 gene:Vigun04g103050.v1.2 transcript:Vigun04g103050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFWYLGIFHDILIFNQVASFTSEEKAIEKYNDKLRISYITTVEQGLALGFGMGILLLIIFSTYALAMWYGSKLIIEKGYDGGIVLNIIISINTDAMALGQATPCVNLKNFQVRWIREQIGLVEKEDVDAGGAGEA >VigunL019650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:33146:33541:1 gene:VigunL019650.v1.2 transcript:VigunL019650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSYLLKQSITLSFLTLQPTPSIPFLQQYSLSPPYLDAYSSICFPVVMARIREKMLFILFFVFFLNGATRGKAQLSTLPQKGGRFLLSKNASSAIRAQQAAELCSKASFVSFQQKQYMVRSALFHPARIP >Vigun05g070500.1.v1.2 pep primary_assembly:ASM411807v1:5:6074183:6078580:-1 gene:Vigun05g070500.v1.2 transcript:Vigun05g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPGVIALFDVDGTLTAPRKVATPEMLTFMQQLRKVVTVGVVGGSDLIKISEQLGNTVTNDYDYVFSENGLVAHKGGKLVGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFERYDKVQNIRPKMVSVLREKFAHFNLTFSIGGQISFDVFPQGWDKTYCLRYLDDFNEIHFFGDKTYKGGNDHEIYESERTIGHTVTSPDDTVKQCKSLFLEN >Vigun02g025500.1.v1.2 pep primary_assembly:ASM411807v1:2:8476998:8480129:-1 gene:Vigun02g025500.v1.2 transcript:Vigun02g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGIVSFSICRLPLHERRFLSHFLSDDLYDPPFSPSPKPLKANKKKKHSVNGAPKQNGAPKFPLKSNLPFDFRYSYSETQPSVGPISFRESPKFSPFGPGRLDRTWTGVSAPVQSEPDWKRVEEERNRVLGAPLSEDEVAELVERYRHSDCVRQINLGKDGVTHNMLDDIHNHWKKAEAVRIKCLGVPTLDMDNVCFHLEDKSYGKVIYRNINILLLYRGRNYDPKNRPVIPLMLWKPYAPIYPRLVKNVIEGLTYEETKEVRNNGLNSDPLIKLTRNGVYVNVVERVREAFKTQEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGNFNEDQSSDSQYQQGENALIHN >Vigun01g065800.1.v1.2 pep primary_assembly:ASM411807v1:1:17409127:17411369:-1 gene:Vigun01g065800.v1.2 transcript:Vigun01g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGEKSSAAPSAPAATASQPAQAQKKSKK >Vigun06g102100.1.v1.2 pep primary_assembly:ASM411807v1:6:23221841:23225038:1 gene:Vigun06g102100.v1.2 transcript:Vigun06g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITEEPEPEPQQQPHKPKTKPKPPSSPPPHSNTNNGNNNPFSFWFYFTLSVSLFTLIFVFTSSLSPRDPKAWFLTLPTSLRHHYSNGRTIKVQTHPNEAPIQVFTFQEGSTSSENVVIVHGQGLSSYSYRQLAKSLAAKGVHVTAVDLPGHGFSDKSVEVSVEGVDGVLGRFQYVYSEIQEKGIFWAFDQMVETGQIPYEEILARMSKRKVRKPVDLGPQEMGKVLGEVIDSMGLAPVHLVLHDSALGLSADFVSQRAELVRSVTLIDAASYGAFPLWVLEVPVVREVVLGVSFVYAKVVGLCCSKRVGVTDSDALRLFLKERDARGAVVNVGKRVNSSFDMAEWGEGLKGMPMQVLWSATWSKEWSQEGDRVANAIPQASFVTHSGGRWAQEDAAVEIADKISQFVLSLPKSVRKVEEESIPEHIQKMLDEAKSSGHDHHHHHSHGHDHHDEL >Vigun04g143450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35651966:35653334:1 gene:Vigun04g143450.v1.2 transcript:Vigun04g143450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEMTAMLRPRRVLQQRKKGNYFLPTKTLRAPTFLVQIVFPCSGHIFTMLSHVFAFVPSTSHHIRLRPVHRSPQNLEPLRNLEYLQNLELLQNLDHLLHQVVRLPRILKRWGGLPALEIGGILLPTDNDHGIVFQNEEQKV >Vigun03g048400.1.v1.2 pep primary_assembly:ASM411807v1:3:3854151:3858342:1 gene:Vigun03g048400.v1.2 transcript:Vigun03g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGMKGTVAEFCKNIVLAGVGSLTLVDDRVATEEALSSNFLIPPVEDVYGGKTLAELCCNSLKDFNPMVRVSVDKGDLSSFGVEFFSKFDVVVVSCCSLSAKKLANDKCRKLSKRVAFYAVDCRDSCGEVFVDLQDYKYSKKKQDETVECDLKYPSFEDALSVPWRSLPKRMSKLYFAMRVIEKFEESEGRSAGEVSIADLSAVLKLKKDLCTAQSLNESHVPDTLLERLVTNATEFPPVCALIGGILGQEVIKAISGKGDPLKNFFFFDVFDGKGIIEDISAN >VigunL010501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:57994:59748:-1 gene:VigunL010501.v1.2 transcript:VigunL010501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECTVAVELCEKEEPPPHPENNGSTKKVKIRTEEEDPPPVVEIFEEEVDNGSEMISEEGRDKKDMVQEEVMPSIQFSSRIDDLLNQSMRLAVVVKQLVRSMGYRRLHDKIMNLWKRTVPLQLADLEGDSFIVKFQNAQDYQNALLGGPW >VigunL059262.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:30955:31929:-1 gene:VigunL059262.v1.2 transcript:VigunL059262.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIWGHLEAFPTLTSQKPRISFFHKTPTKPSSYAAVHRPTWPCAKPSELKTFVHQEFHGIHLGAFGGHSNPHISKTTNFIFSQISPPSHLAMPRCTANLGHVQNPPNSKLSCTKNFMVFIWGIWRPFQPSHLKNHEFHFFTKLPTKPFSYAVVHRQPWPCAKPSELKTFVHQEFHGIHLGAFGGLSNPHISKTTNFIFSQNSPPSLLAMPRCTDQLGHVQNPPNSKLSCTKNFMVFIWGHLEAIPTLTSQKPRISFFHKLPTKPFSYAAVHRQPWPCAKPSELKTFVHQEFHGIHLGAFGGLSNPHISKTTNFIFSQTPHQAI >Vigun05g170100.1.v1.2 pep primary_assembly:ASM411807v1:5:29695829:29700760:-1 gene:Vigun05g170100.v1.2 transcript:Vigun05g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRLNMRGRCSGSTPSEESALDLERNCCSHSNLPSLSPPTLQPFASAGQHCESSAAYFSWPSRLNDAAEERANYFLNLQKGVLPETPGRLPKGHQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRQGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPVPKEQLYTEIVDNLRGGDPYIGSGSQVANQETYGTLGAIVKSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDMCTVTTSVRGVGDIGDVKIIDLQAPISSLIGKQVVKVGRSSGLTTGVVLAYALEYNDEKGICFLTDLLVVGENQQTFDLEGDSGSLIMLKGDNGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDLITTEEGLQVAVQEQRAVSATVIGSTVGDSSPPDGMLPKEKAEDKYEPLGLQIQSIPLGVAPSSQDMKPSIMETEFKLEDGIKVGPSIEHQFIPSFIGRSPLHKNSIHDRIAAENLSSLRNNCDEDLCVSLQLGDNEAKRRRSEASTSTEEPQ >Vigun08g055300.1.v1.2 pep primary_assembly:ASM411807v1:8:6821038:6825091:-1 gene:Vigun08g055300.v1.2 transcript:Vigun08g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLGFSGGDDFCPGGSIYANPKEASFFLPLGPQVDVYFPPRKRSRVNAPFVLDGEWFEQKQKTSIEGLPDECLFEIFRRLPAGEDRSACACVSKRWLMLLSSICKDEICAKKNTSAENIEKDGDDVEFGGEGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLSIRGSNMCRGVTSLGLRAVAHGCPSLKSFSLWNVSNVGDEGLTEIANGCHQLEKLDLCKCPAVTDKALVAIAKNCQNLTELSLESCPNVGNEGLRAIGKFCPNLRSVTIKDCTGVSDQGIAGLFSSSLVLTKVKLQALSVSDLSLAVIGHYGKSVTDLVLNCLPNVSEKGFWVMGNGSGLQKLKSLTVSSCRGVTDIGLEAVGKGCPNLKIAHLHKCAFLSDNGLISFAKAASSLESLRLEECHRITQFGFFGVLFNCGAKLKSLSLVRCYGIKDLNLVLPTISPCESLRSLTISNCPGFGDASLSVLGKLCPKLQHVELSGLDGVTDAGVVPLLESSEAGLVKVNLSGCTNVTDKVVSSLANLHGWTLENLNLDGCKNISDASLMAIAENCALLCDLDVSKCSITDAGIAALANAQQINLQILSLSGCALVSDRSLPALRKLGRTLLGLNIQHCNAINSGTVDMLVELLWRCDILS >Vigun01g023800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2583634:2585322:-1 gene:Vigun01g023800.v1.2 transcript:Vigun01g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFENFGLFPRCHALKTLLFSTHRMGMVGMADTLRTHLHQSNGSVENSLSKVNPKLDSQCVIEVLNSCHPKQPLLGVRFFVWAGFQSGYRHSAYTYNKACKLLGIQQNPQIIRDVVLSYEAEGCLVNVNTFREVLKLCKEAQLAEVALWVLRKMEQSFNIRADTVMYNVVIRLCCKNGNIETAEKLTGEMSLNGLYPDLITYMAIVEGFCDAGRPEHAYSLLKVMRVHRCSPNLVLLSAILDGLCRSGSMEMALELLDEMEKGGDCSPNVVTYTSVIQSFCKRGQWAEALDILDRMKASGCHANHVTVFTLVDSLCVEGRVEEAYKLIDKFVVEHGVSYGDCCSSLVISLIRIRKLDEAMKLFMEMLSGDARPDTLASSLLLKELCMKDQVLDGFYLLEAIENKGCLSAIDSGIYSILLVGLCQRSHLTEATKLAKIMLKKSVSLKPPYKDGAIDILIESGEKDLVNQLTGIRKGL >Vigun09g101700.4.v1.2 pep primary_assembly:ASM411807v1:9:17321286:17322672:1 gene:Vigun09g101700.v1.2 transcript:Vigun09g101700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSLRRRRRTPNPSQRRLLFLYTDDDEELAVVVPSSSKSSFFLHQLHNRTQNLNVKALGLVNKINWPLYKNISMASSGEVASIPGSLSLVNLKIVNRMAENFLVYLEEHVIWFVECCSDLELSKTLFFFVLLQSFCIKRKG >Vigun09g101700.1.v1.2 pep primary_assembly:ASM411807v1:9:17321286:17322625:1 gene:Vigun09g101700.v1.2 transcript:Vigun09g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSLRRRRRTPNPSQRRLLFLYTDDDEELAVVVPSSSKSSFFLHQLHNRTQNLNVKALGLVNKINWPLYKNISMASSGEVASIPGSLSLVNLKIVNRMAENFLVYLEEHVIWFVECCSDLELSKTLFFFVLLQSFCIKRKE >Vigun09g101700.3.v1.2 pep primary_assembly:ASM411807v1:9:17321070:17326165:1 gene:Vigun09g101700.v1.2 transcript:Vigun09g101700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSLRRRRRTPNPSQRRLLFLYTDDDEELAVVVPSSSKSSFFLHQLHNRTQNLNVKALGLVNKINWPLYKNISMASSGEVASIPGSLSLVNLKIVNRMAENFLVYLEEHVIWFVECCSDLELSKTLFFFVLLQSFCIKRKG >Vigun09g101700.2.v1.2 pep primary_assembly:ASM411807v1:9:17321286:17322579:1 gene:Vigun09g101700.v1.2 transcript:Vigun09g101700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSLRRRRRTPNPSQRRLLFLYTDDDEELAVVVPSSSKSSFFLHQLHNRTQNLNVKALGLVNKINWPLYKNISMASSGEVASIPGSLSLVNLKIVNRMAENFLVYLEEHVIWFVECCSDLELSKTLFFFVLLQSFCIKRKGLLSLSFFFNYMFSEINVLSYILAFLSCSLFLSIRCLS >Vigun08g003200.1.v1.2 pep primary_assembly:ASM411807v1:8:300268:304720:1 gene:Vigun08g003200.v1.2 transcript:Vigun08g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFMKTTPNVFQRHQNPLAFVANGISARTSVSVSQKRRSRSFAVVSMSATPVETSLVKSAPSSSQNTGIPIMVNACTGKMGKAVINAAEAAGLYVVPVSFGNEEESGQTFEIGGKDFLVHGPSDRESALVSALERYPNLIVVDYTVPNAVNGNAELYCKVGVPFVMGTTGGDRVLLHKSVEDSKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKVDASGTAKAVISCFNKLGVSFDMDQIKLIRDPRQQLEMVGVPEEHLSGHAFHMYHLTSPDDTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIEAKDEKRLYNMIDVLREGNMR >Vigun08g003200.2.v1.2 pep primary_assembly:ASM411807v1:8:300268:304720:1 gene:Vigun08g003200.v1.2 transcript:Vigun08g003200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFMKTTPNVFQRHQNPLAFVANGISARTSVSVSQKRRSRSFAVVSMSATPVETSLVKSAPSSSQNTGIPIMVNACTGKMGKAVINAAEAAGLYVVPVSFGNEEESGQTFEIGGKDFLVHGPSDRESALVSALERYPNLIVVDYTVPNAVNGNAELYCKVGVPFVMGTTGGDRVLLHKSVEDSKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKVDASGTAKAVISCFNKLGVSFDMDQGSQATT >Vigun02g191000.1.v1.2 pep primary_assembly:ASM411807v1:2:32859316:32862234:-1 gene:Vigun02g191000.v1.2 transcript:Vigun02g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGGLGDEIGVMKGPNEEDDSVGKNEEQKWPPWLRPLLQTSFFVQCKVHADSHKSECNMYCLDCNNGALCSVCLASHREHRAIQIRRSSYHDVIRVSEIQKFVDITEVQTYIINSAKIVFLNERPQPRPGKGVTNTCQVCERSLLDSFTFCSLGCKIVGTSKKFRKKKMLAETDGSDEEESINGISNESARIKIQSFTPSTPPPTVVNHRTAKRRKGVPHRSPMGGALIIGYY >Vigun02g191000.3.v1.2 pep primary_assembly:ASM411807v1:2:32859718:32862095:-1 gene:Vigun02g191000.v1.2 transcript:Vigun02g191000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGGLGDEIGVMKGPNEEDDSVGKNEEQKWPPWLRPLLQTSFFVQCKVHADSHKSECNMYCLDCNNGALCSVCLASHREHRAIQVVSYTFHSMLNYASKVNYGWPVKIRRSSYHDVIRVSEIQKFVDITEVQTYIINSAKIVFLNERPQPRPGKGVTNTCQVCERSLLDSFTFCSLGCKIVGTSKKFRKKKMLAETDGSDEEESINGISNESARIKIQSFTPSTPPPTVVNHRTAKRRKGVPHRSPMGGALIIGYY >Vigun05g296100.1.v1.2 pep primary_assembly:ASM411807v1:5:48101543:48105717:-1 gene:Vigun05g296100.v1.2 transcript:Vigun05g296100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLGPDVPIFDPNFSYDHNPYSYDDDLFRNDDVPRSLNNAVVACHHDGTSPLPLGMDWSPPPREWAGRNTVWPRHPPTGWSFCVTLPSWVTVPQPPPSDPVVFFRVQVGIQSPEATTTTRLILRRFSDFAGLYSELKKEFAMKNLPPLPPKKMLRIKSHALLEERRSSLANWIEKLLSDINVSRSAPVAIFLELEAAARSSFHEVNEHLDETSANRTTPAQVFQDNNSPGSYAASVSDNDKLSELGAPQHGRDKHSDQIMDNSTSEHGLINQSETAVDHATSGKDFVNKDNSRDKDAMALNLDGTEFTRNLNVHIKKLSLESIGNDLNSLKNTETTSTTFVQDVAHGLIESHDEASRNLDLLLTFPMDDRHKLNKILNTQKQRLVTAKADVEDLIARLNQEMAARQYLVTKVKDLEVELETTRLNCQENMQQAVLAEKERFTQMQWDIEELRRKCMETELKLKLEEDERLLAESTKASAIQEKQMLQQELDVVREQLKNLQKHHDESKTDMKVLIKEVKSLRSGELDLKQQLGELIKDKLDLERILQKEKQRMENSHNANTKLLHECAILQKRLRECSVNFLVEEEDKLNIDTSPSDALDLLATSDNRIGLLLAEAQLLAQDVDDAVVAVEETRDTTTDSIVKTYDELRKMLAHMFVDNASLRKQVNSVIRSALNTNVNSEEEREEIHLQKTVLIKFLEK >Vigun05g296100.2.v1.2 pep primary_assembly:ASM411807v1:5:48101543:48105717:-1 gene:Vigun05g296100.v1.2 transcript:Vigun05g296100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLGPDVPIFDPNFSYDHNPYSYDDDLFRNDDVPRSLNNAVVACHHDGTSPLPLGMDWSPPPREWAGRNTVWPRHPPTGWSFCVTLPSWVTVPQPPPSDPVVFFRVQVGIQSPEATTTTRLILRRFSDFAGLYSELKKEFAMKNLPPLPPKKMLRIKSHALLEERRSSLANWIEKLLSDINVSRSAPVAIFLELEAAARSSFHEVNEHLDETSANRTTPAQVFQDNNSPGSYAASVSDNDKLSELGAPQHGRDKHSDQIMDNSTSEHGLINQSETAVDHATSGKDFVNKDNSRDKDAMALNLDGTEFTRNLNDVAHGLIESHDEASRNLDLLLTFPMDDRHKLNKILNTQKQRLVTAKADVEDLIARLNQEMAARQYLVTKVKDLEVELETTRLNCQENMQQAVLAEKERFTQMQWDIEELRRKCMETELKLKLEEDERLLAESTKASAIQEKQMLQQELDVVREQLKNLQKHHDESKTDMKVLIKEVKSLRSGELDLKQQLGELIKDKLDLERILQKEKQRMENSHNANTKLLHECAILQKRLRECSVNFLVEEEDKLNIDTSPSDALDLLATSDNRIGLLLAEAQLLAQDVDDAVVAVEETRDTTTDSIVKTYDELRKMLAHMFVDNASLRKQVNSVIRSALNTNVNSEEEREEIHLQKTVLIKFLEK >Vigun09g050800.12.v1.2 pep primary_assembly:ASM411807v1:9:5013277:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.9.v1.2 pep primary_assembly:ASM411807v1:9:5013277:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.11.v1.2 pep primary_assembly:ASM411807v1:9:5013275:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.8.v1.2 pep primary_assembly:ASM411807v1:9:5013275:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.2.v1.2 pep primary_assembly:ASM411807v1:9:5011766:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.5.v1.2 pep primary_assembly:ASM411807v1:9:5013256:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.1.v1.2 pep primary_assembly:ASM411807v1:9:5012838:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSVGTSNSSLCIFPVNIPVKQPRRKMDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.7.v1.2 pep primary_assembly:ASM411807v1:9:5013277:5017261:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.6.v1.2 pep primary_assembly:ASM411807v1:9:5013256:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKGQTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.4.v1.2 pep primary_assembly:ASM411807v1:9:5012838:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSVGTSNSSLCIFPVNIPVKQPRRKMDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.10.v1.2 pep primary_assembly:ASM411807v1:9:5013277:5017261:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun09g050800.3.v1.2 pep primary_assembly:ASM411807v1:9:5011766:5017174:1 gene:Vigun09g050800.v1.2 transcript:Vigun09g050800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGLTPGLPRRRSMEDRSASRTRRSVFFAATAEAETLDPDDFADVFGGPPRSLLAHKFSRSNSFYEEIFRPPEFASPAKSKGGRSLPVFRIPAKNEAFYSDIFGSDDDRRSRERSGPQSKAKSKSNSSSALSSEELSPLRPPIGDDVALSAFASKLRPINVPCRWNSTTMMPGEHPIKQGGPLFPFNNQLFEVHCQDNEFKESFKSPHFGFSRRVSSPETISLESNSYQSMKVSADDWELSSPFSAVSGLCHEHEAKSSVHDYVLPELVIDQDDDEVMSSYVIEVNSNFREESCGTADIDEAIAWAKEKFQSRNSDEESSLRNESSEQNTAVEGTSDAGECHSDETGNIQSSKTETEKLDRDIRLWSSGKETDIRLLLSTLHHILWPESGWYAVPLPNLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTAFISEDVSF >Vigun05g249300.1.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308987:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.2.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308987:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.7.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308867:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.6.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44311612:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.9.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308894:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.3.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44311612:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.10.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308894:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.8.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44308867:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.5.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44311612:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun05g249300.4.v1.2 pep primary_assembly:ASM411807v1:5:44296101:44311612:-1 gene:Vigun05g249300.v1.2 transcript:Vigun05g249300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETECVRVAVNIRPLITSELLHGCTDCISVVPGEPQVQIGSHCFTYDFVYGSTGLPSSSIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYNEEVSSGGIIPRVIDTIFDRIKATTDSTEFLIRVSFIEIFKEEVFDLLDSSTSKGEATSTTKVAAHPTRVPIQIRETLNGEITLAGVTEADVKTKDEMALYLSSGSLSRATGSTNMNSQSSRSHAIFTISMEQKNGDDILCSKLHLVDLAGSERVKRTGAGGLRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPAAAQMQTMRNQIEQLQAELLFYRGDTNGPIEEVQILKHKISLLEASNAELKHELKRRQLTSENVAQRALETQVERDQLLLKIESLRNGKSWEEIDSNSNQDYAVVKSYVSKIQDLEGELLQLKTSSATNSSHFVDCFDSDDDGYGSKHALFAPDEMEDDEKELEHSSIQEKLDKELKELDKKLEQKEAEMKLYSNADALVLRHHYEKKLLEMEQEKKVLQKEIEELKCNLADISSTSDDGSQMLKQDYLQKLNALEAQVSELKRKQEAQAQLLKQKQKTEEFAKGLQDEIHRIKAQKVNLQNKIKQESEQFRLWKASREKEVLQLKKEGRKNEFEMHKLVALNQRQKMVLQRKTEEASLATKRLKELLESRKASSREATGVGGGNGLGVQALMKAIEHEIEVNVRVHEVRSECERQKEVRAKMAEEMMRLKKETQMVNQNSTSSDCPPPMSPGARHSRIFALQNMLSTSSATLVSMLSQLSEAEEQERIFSGKGRWSQVRSLADAKNMMNYLFNIASSSRCSLRDKEVIYREKEMEIRDLKEKVVGLSYSLRQSEKIKAELSHKLELQNDESLNSEYVGDSEYSDSNVGGHKYDLCNSEYRRSSEDMDIYETESEYYDFTDDEWEESGKLGVRKRKSKTNMENNESNINNSEDLGENSRDFFDTAWESASDICCSCSRTSLCNTTKCACKAMGSSCGNSCGCQVNNCANRASISNESKEGPAQSASIEETDKDRLLVTQGAELLQGALVDRHPDTNNDHGERKPLSDIGNTQMKSNAKNENPKRKLPTSTVIIVPYLQPENLEVEEEVVPRRNLKQKKESNSRSEANVGVNKDRASHRRSKSRPENAASVPRAEGNFVEPDVATVKSRRSARRSSTSNTHGVPLWDRNASTNSDQSHVFETRTPVRYKRTLDQKENNNRR >Vigun07g286100.1.v1.2 pep primary_assembly:ASM411807v1:7:39899919:39901397:-1 gene:Vigun07g286100.v1.2 transcript:Vigun07g286100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISMASSSSKLTLKLLIDSKREKVLFAEASKAVIDFLFSLLCLPIGTVIRILNKNQMVGSLGNLYESVENLDETYMQPQLHKDLLLKPSASVSSQISGLLPSINDTSSNTSTNVFYRCPSHYAYVTCDNTTRCPHQCGNMNSVMQFVGEKVANVQNSADKSGFVKEVVTYMVMDDLVIQPMSSISSITLLNKFNVKEVGVLQEKVVELDMNKGVDLLKASMESKMVLTTVFLKKCT >Vigun07g286100.2.v1.2 pep primary_assembly:ASM411807v1:7:39899919:39901397:-1 gene:Vigun07g286100.v1.2 transcript:Vigun07g286100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISMASSSSKLTLKLLIDSKREKVLFAEASKAVIDFLFSLLCLPIGTVIRILNKNQMVGSLGNLYESVENLDETYMQPQLHKDLLLKPSASVSSQISGLLPSINDTSSNTSTNVFYRCPSHYAYVTCDNTTRCPHQCGNMNSVMQFVGEKVANVQNSADKSGFVKEVVTYMVMDDLVIQPMSSISSITLLNKFNVKEVGVLQEKGVDLLKASMESKMVLTTVFLKKCT >Vigun05g263000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45549279:45552748:-1 gene:Vigun05g263000.v1.2 transcript:Vigun05g263000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGLGGGFLSGPSGGILDLESSFHRHQQTQLGHPSITGQQHLNIMGGLESDHPIGLIEVKNLNAGLNFGKGKTIAPSNSNELSDEDEPSYAEEGNCENPDGGKSKKGSPWQRMKWTDNVVRLLITVVSCVGDDGTIGGMDGHKRKSGVLQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTCCQVVENPALMDSIPNLSAKMKDDVRKILSSKHLFYKEMCAYHNGQRIPNCHELDLQGYSMEHGRDSRENNGSEDEDEENNDSEDDELDDEININAHEDGGRMQELCDRNTLSEEDGHFGPQTSRMDKFEVEMARVFQDPGKSLREQREWIKIQMLQLQEQNISYQAQALELEKQRLKWLRYCSKKDRELERLRLENKRMKLENERRILKLKQKELETDFSTSEMPLDPASIGMNRPQGREHISLGRQQ >Vigun09g209200.1.v1.2 pep primary_assembly:ASM411807v1:9:38371783:38372861:1 gene:Vigun09g209200.v1.2 transcript:Vigun09g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAFQPRHLTCNGPPRGQIYGFRRALWYEHLGDVDDRSIFDDPESEECMKLVNNLAETNWGVYSEQTFDENREFHHLMRYPVEVTKHGAITNLPGLQYFLTLRLGFWVPNQIIFLRFSPLSL >Vigun09g179950.1.v1.2 pep primary_assembly:ASM411807v1:9:35247483:35248221:1 gene:Vigun09g179950.v1.2 transcript:Vigun09g179950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNQTVPSHSDAIAEGYVPLDFGHARLQQLGYKQELKRGLSLNYGGTVSIVYGWFIVSALIFFLPQKSSAEPFFLPRIPAQCHLNKRKGREGNGRKRQHQ >Vigun08g149600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32180286:32180837:1 gene:Vigun08g149600.v1.2 transcript:Vigun08g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNVDEGCRTPKRTPRRIPAALVCPPAPKKKPPHYTARQRAPPKNGYFVPPDLELIFGVSSTGEAFA >Vigun02g119300.1.v1.2 pep primary_assembly:ASM411807v1:2:27200344:27204306:1 gene:Vigun02g119300.v1.2 transcript:Vigun02g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVAFLNLVIMLSVVSTGKSLSLNYYENTCPKAECIVAKAVKDATAKDKTVPAALLRMHFHDCFIRGCDASVLLNSKGNNKAEKDGPPNVSLHAFYVIDAAKKALEAACPGVVSCADILALAARDAVFLSGGPTWDVPKGRKDGRTSKASETIKLPGPTFNLSQLRQSFSQRGLSGEDLVALSGGHTLGFSHCSSFKSRLHSFNATHDIDPSLNPSFAEKLKSICPLKNLAKNAGTTMDPSSATFDNTYYRLILQHKGLFSSDQALLDNPDTKNLVTKFATSQKAFNQAFAKSMIKMSSINGGQEVRKDCRVVN >Vigun09g261300.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun09g261300.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun09g261300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun09g261300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun09g261300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun09g261300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42660279:42663800:-1 gene:Vigun09g261300.v1.2 transcript:Vigun09g261300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRLGADESYCQDLQNLSVSKRLVRSFSQRLKKKNNRNAVEEDDDDVNGVSLRCLNLYGRGGGCKVGADTSDDFGDSNSRRRSSASDEGKGYKPICGPEETAVDCFSYGVKDRFWRRHNRKNSELEEFLTNNRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQMRREGSYQSPWLFLFGAVKDGFCSGEIHALDVSLNQWHRIDAHFLRGRFLFSVAGIHDDIFIVGGCSSLTNFGKVDRSSYRTHKGVLVFSPLTKSWRKMPYMKYARSNPILGVSEVSLDFPTCQSHQNRPDRRFPRSRIGGISDVYEDPHKLSLRRHCRSSFNETEALSLPSRKAYKFVRQKSDHSSSKGSKRFLLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIPRLPFDFGVARSGIVCGRMFYVYSETDKLAAYDIERGCWIGIQTTPIPPRVHEYYPILVSSSGRLFMFSVSWCEGDGQIGQRNKAVRKLWELDLAYLTWTEASVHPDAPMDWSAVFLSDKNLIFGVEMFKIFGRVLGFFTVCDVSDITKWNHISGNHVTHELDGSSCLTKSVAVLHL >Vigun08g106433.1.v1.2 pep primary_assembly:ASM411807v1:8:26412490:26416446:1 gene:Vigun08g106433.v1.2 transcript:Vigun08g106433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNVCSNLWVSLCAPKRHFLFLCFLFHLPLCLVFQFSRVSFDQVFRRNPNILSLRMLEEEVRRWQWLLLWLDCNREGDNIAFALRSISS >Vigun03g012200.1.v1.2 pep primary_assembly:ASM411807v1:3:823148:827992:-1 gene:Vigun03g012200.v1.2 transcript:Vigun03g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQIYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDIVKVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPDNAISIIETDCEVDFAPPLDYKEPEKPVAPHPTGKAPVAAEDTPAETEPKFNPFSGTGRRLDGKPLKYEPDVPVSSSSGSKDKKPDVPNVNSQSSTTSSSQSNARQSQGKLVFGSNANRSKETGKAKEPKPEPPKEKEESKFQAFTGKKYSLRG >Vigun01g048500.2.v1.2 pep primary_assembly:ASM411807v1:1:7229381:7234326:-1 gene:Vigun01g048500.v1.2 transcript:Vigun01g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKIRVDNPIVEMDGDEMTRVIWKMIKDKLILPYLELNIKYFDLGLPHRDATADRVTIESAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFAPSGGEGTKELEVYNFTGAGGIALSMYNTDESIRAFAEASMNFAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVFEAQWSHRFKAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNARLLEFTEKLEAACIGTVELGKMTKDLALLVHGPKVSRSQYLNTEEFIDAVAEELRTRLSSQSKM >Vigun01g048500.1.v1.2 pep primary_assembly:ASM411807v1:1:7229381:7234326:-1 gene:Vigun01g048500.v1.2 transcript:Vigun01g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKIRVDNPIVEMDGDEMTRVIWKMIKDKLILPYLELNIKYFDLGLPHRDATADRVTIESAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFAPSGGEGTKELEVYNFTGAGGIALSMYNTDESIRAFAEASMNFAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVFEAQWSHRFKAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNARLLEFTEKLEAACIGTVELGKMTKDLALLVHGPKVSRSQYLNTEEFIDAVAEELRTRLSSQSKM >Vigun07g294500.1.v1.2 pep primary_assembly:ASM411807v1:7:40534924:40540143:-1 gene:Vigun07g294500.v1.2 transcript:Vigun07g294500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNPFDLLGDDAEDPSQQIVAEQLKVVTAAPKKPQTQPNKPAQLPTKPPPPSQAVREARSDSSRGGRGGGGRGSGRGRGRGGSGFNRDFSNDENSFAPHAGQGALDGESGRSSERSGYGGPRGPYRGGRGGRGGFGDGEAGEDGQPRRVYERRSGTGRGNEFKREGYGRGNWGLQTDDLAQATDEVNETAKNFGEEKPSGENDVAADGNMENPANEAEEKEPEDKEMTLEEYEKVLEERRKALQALKTEERKVDTKVFESMQQLSNKKDNDDIFIKLGSDKDKRKDTLEKEEKAKKSVSINEFLKPPEGESFYTAGGRGRGRGRGRGARGGYGGYPTANVPAPSIEDPGQFPTLGVK >VigunL059103.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000107.1:39092:40803:1 gene:VigunL059103.v1.2 transcript:VigunL059103.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGMLALTSKGSSSFFQQGLRTMPASLFGYGSLPSEWYLIQDSLLPGRFPNLFPRPEAFSFFLFTLVARADLAQRGLDPYIIEKGTVPGKDVSRLPFSIDTDMEPKTDSIMLYLLNGDSPLSIEVGGPPSHHSGLLLRGILPMLKVACLPSHREASRQKEAARTRSCLRSFPPPVVEVLGATLIQKVPDFIRSGSFPPPLFLLPPSVIKVPFPFLKCPIGLPQQMYKVDRCLADPLLPIWVGLTQKSNEVKRISPVVSLFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPFSGYVEVHYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDE >Vigun05g289600.10.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.7.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.2.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.8.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.6.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.4.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.11.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.5.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.9.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47623105:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRIVSAMKGALREPDKKKKFTGHLVIDRTALQRQNQEMRNAVSTSHCSQPNTIEYPMSIEWLLHYAKFNACWDALYQRQMKEIQDVKSKLRMDRNFSD >Vigun05g289600.3.v1.2 pep primary_assembly:ASM411807v1:5:47616771:47622347:1 gene:Vigun05g289600.v1.2 transcript:Vigun05g289600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSSSANVGEVIDFKWGKKRGVGVKNKDTHYYESFVYDGVEYFLYDCVYLFSTSNVETNIGKLIKIYERPTREKMIKVVWFFRPMEIRNFLGDYQPCWNELFLASGEGTGLSNMNHLESIIGKCNVVCTSKDIRNPKPSETELNNADYFFNCTFDVGRRVLIDKFTNEIDGVKVEQFFNKRRVDQTSNHLHVGTDIRPKIVTKTYPISHCQVNDRAEGRTSENTFPKHSSDSYPYKKRKIIEEKPNIGQSSETPKEEKINEKKVEIKRDEKFNADKRIIDVVERPDADKRKWFKKMPWDERLRRAQELDTLVLLNNLDPSYTSYEVEDLVWCALKVKVQARMIEWIPSSSTYYGRAFVIFKTKCEAESAISELSRRCLSLGEGRFSTGLSVP >Vigun03g164600.1.v1.2 pep primary_assembly:ASM411807v1:3:18775353:18784462:1 gene:Vigun03g164600.v1.2 transcript:Vigun03g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFNRGRDSPADASPPSSAVTAPSSSSASPVTGPARPIRLVYCDEKGKFRMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLLGKGSGFQVASTHRPCTKGLWLWSTPLKRTALDGTDYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGKTSASELGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLEIALRPVEGSGRDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQISVGKLRPEFRSGLDALTKFVFERTRPKQVGATMMTGPVLVGITESYLDALNHGAVPTISSSWQSVEEAECRRAYDSATDVYMSSFERSTAPEEGALREAHELAVQKSMAAFNASAVGVGSARKKYEDLLLRFFKKAFEDYRRNAFMEADLQCSNAIQSMEKRLRAACNGSDAKIDNVAKVLDALLSEYEKTIQGPGKWHKLAVFLQRSFEGPIVDLTKRLIAKVESEKSSHALQCRLIEDKMALLMKRLEASEGEKSDYIKRYEDAIKDKKKLTDEYMNRITDLQSNRRLLDERYSGLLKTLDSTKQESMDWKRKYEQVLSRQKAEEDQASSEIAALKSRSGAAEARLAAAKEQAQSAQEEAKEWKRKYDIAVREAKSALEKASIVQERTNKQTQLREDALREEFSGTLAEKEDEIREKTAKIDHAEKCLATLNLELKAAESKIRSYDTEISSLRIEIKELTEKLKIENARAQSYEREAMVFQQEKNHLEQKYQTEFKRFDEVQERCKIAEKEAARATEVADKMRAEAGMAQKEKSEMQRLAMERLTQIERAERRIESLGREKDNLEAELKRVRDSEKDALTRAVKLEEKVQQREKDLEALLDKDKTHRRNSAQILEQLLETEREAHAQANNRAEALSLQLQSAQAKIDSLHQELTKFRLNETAFDGKLNTASHGKRMRVDDDFGDDMDVSPRIVKVNKRTRSTSSPLKYTQPEDGGSVFEGAEENISQPSEEDYRKFTVQKLKQELTKHNYGDQLLRLKNPNKKDIIALYEKCVLQKS >Vigun03g164600.2.v1.2 pep primary_assembly:ASM411807v1:3:18776046:18784462:1 gene:Vigun03g164600.v1.2 transcript:Vigun03g164600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGKTSASELGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLEIALRPVEGSGRDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQISVGKLRPEFRSGLDALTKFVFERTRPKQVGATMMTGPVLVGITESYLDALNHGAVPTISSSWQSVEEAECRRAYDSATDVYMSSFERSTAPEEGALREAHELAVQKSMAAFNASAVGVGSARKKYEDLLLRFFKKAFEDYRRNAFMEADLQCSNAIQSMEKRLRAACNGSDAKIDNVAKVLDALLSEYEKTIQGPGKWHKLAVFLQRSFEGPIVDLTKRLIAKVESEKSSHALQCRLIEDKMALLMKRLEASEGEKSDYIKRYEDAIKDKKKLTDEYMNRITDLQSNRRLLDERYSGLLKTLDSTKQESMDWKRKYEQVLSRQKAEEDQASSEIAALKSRSGAAEARLAAAKEQAQSAQEEAKEWKRKYDIAVREAKSALEKASIVQERTNKQTQLREDALREEFSGTLAEKEDEIREKTAKIDHAEKCLATLNLELKAAESKIRSYDTEISSLRIEIKELTEKLKIENARAQSYEREAMVFQQEKNHLEQKYQTEFKRFDEVQERCKIAEKEAARATEVADKMRAEAGMAQKEKSEMQRLAMERLTQIERAERRIESLGREKDNLEAELKRVRDSEKDALTRAVKLEEKVQQREKDLEALLDKDKTHRRNSAQILEQLLETEREAHAQANNRAEALSLQLQSAQAKIDSLHQELTKFRLNETAFDGKLNTASHGKRMRVDDDFGDDMDVSPRIVKVNKRTRSTSSPLKYTQPEDGGSVFEGAEENISQPSEEDYRKFTVQKLKQELTKHNYGDQLLRLKNPNKKDIIALYEKCVLQKS >Vigun04g149800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36556971:36559415:1 gene:Vigun04g149800.v1.2 transcript:Vigun04g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLRNIVSRLCVDHTWIAPYVVSCSNITETHHANKHKVSAIHKDINPFGLDSTSFHVACHMFEEMSDLTVASATAIIQGFVKRHCYKDAIHLFCMMLASEIRPNEFTFGTMLNSSTSLGSVVIGRQLHACAMKVGLSRHVFVGTPLLDLYFKLGSTEDAQKAFRDTLHPNVVSYTTLICGYLKRGGFEEALQVFHEMPERNVVSWNAMVGGCSQTGHNEEAVNFFIGMLREGYIPNESTFPCVICAAANIASLGVGKGFHACAIKFLGKVDQFVGNSLISFYAKCGSMDDSLLMFDKLVKRNIVSWNAVICGYAQNGRGVEAISFFKRMCLEGYKPNDVTLLGLLWACNHAGLVDEGYSYFNRARFENPNLLKSEHYACMVNLLARSGRFAEAEDFLRSVPFDPGLGFWKALLGGCQIHSNKELGELAARKILSLDPDDVSSYVMMSNAHSAAGKWSEVATVRTEMKEKGMKRIPGSSWIEVRGKVHAFLTADHNHDRNYETNLLLIFFCEHLRESEDSDLFNIYWYLSS >Vigun07g130750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24046301:24046669:-1 gene:Vigun07g130750.v1.2 transcript:Vigun07g130750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSGSKISQTCSSSSDMTSKLCGCGERLLLLKATTVKNNGRLFWRCRNWAVSDKFGIYWANLISFDVLMVLFYLCSPILIAISSNGLMKRILNMKGMHWTFKTVVEHELKKMKCVWRRRK >Vigun05g008500.1.v1.2 pep primary_assembly:ASM411807v1:5:715165:720870:-1 gene:Vigun05g008500.v1.2 transcript:Vigun05g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTVHLSLFTVLHHSVIFLLLIQIPPCSSSNDSYSNCANPINCGKIRNMSFPFWGGNRPKECGHPLMQLICKNDTSYITIKDVQYQVLEANPDSHTLKITRQDYWIDLCQPTHVSTTLDSQLYVYESLYKNLTLSYDCALFPTYIPCNGVLGGTSVYTQFGSLSPESCKTSVVVPVPLSFMEINSFIQVYHAIKEGFVVRWIIGVEECDKCEKSGGVCGFDGSSHQTCYCREGACPNFSPDTQASSGKGKVSLRKWILIVVSTTVSMTFIFLCYYLIKRKPKKIFLCSYLIKRKSKKSIKSLLRENFGNESVTLEPLQFNLATLKAATNNFSDENRIGKGGFGEVYKGILLDGQHIAVKRLSKNSSQGAKEFKNEVSVIAKLQHRNLVTFVGFCLEEQNKILIYEYVPNKSLDYFLFDSRRSKLLSWMERYDIIRGIARGIFYLHELSRLKVIHRDLKPSNVLLDENMIPKISDFGLARIVEINQDQVGTNRIVGTFGYMPPEYAMLGHFSEKSDVFSFGVMILEIITGKKNLSSHEPHLVAKGLLSYVWRQWRDQTWLDILDPNIKETYSEIEVTKCIQIGLLCVQQNPEVRPTMTDVLSYLSSYFNELPSPQEPAFFLNESTNPTIFARESSISQPINNSIPFSINKMSVSEFLPRQ >Vigun07g160700.3.v1.2 pep primary_assembly:ASM411807v1:7:27253383:27257723:1 gene:Vigun07g160700.v1.2 transcript:Vigun07g160700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSAGLSSTLSSLKNLIALSNDVLSVTPSPPTLNTNLIQCPFSPHHLIPPHALFLHHLRCPSSPRPLPDLTHSLTYPQTLHNSPSDQLSFYLHSLSNFFYRDCPAVVSFSPADALTRTATLTLPAFLSLECADTDTHSNLIPLSHHAPILPSHYFSIARELQAWNDFPTTFSNSVLRAISGLGIANEHHLMDWIIANSPRYGVVVDTAMQQHMFLLCCLCLKSIIREASVSTESQNAHVICPVSNQALTWLAYQVSILYGAANGKGFVLNFLKHCITVGASVLLLFPLEDQGASKHESKNLDKESVDMKDVKPAAPVAEKKNSILNRKIFVSQVAAAVAALHERSLFEQKIKGFWFSQQPSNYQLVAEHSYLSEKANEERAKRPDYTPIIDHDGIHRPQSSNQESSREKTREELIAEERDYKRRRMSYRGKKTNQSPLQVMRYMIEDFMEQIKRAGGFENPVKMSEEGGLFPFKPPGHDISMEASNSTRASIDSPAVTTSNPRYSQQQSQSSFCDESKEMDVSFSRDYKQLKHEHHRSHHYRDDQWNADRGKDHRDRPSTSHERHSSHSRPREHSSHQNKQDYCSNRKKHDNSSRSRDRRQNDTHRSHISDSFPNKTFSDRYDPSESLDICDDDISSDAKYIKSDKFYDKEHY >Vigun07g160700.2.v1.2 pep primary_assembly:ASM411807v1:7:27253321:27257724:1 gene:Vigun07g160700.v1.2 transcript:Vigun07g160700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSAGLSSTLSSLKNLIALSNDVLSVTPSPPTLNTNLIQCPFSPHHLIPPHALFLHHLRCPSSPRPLPDLTHSLTYPQTLHNSPSDQLSFYLHSLSNFFYRDCPAVVSFSPADALTRTATLTLPAFLSLECADTDTHSNLIPLSHHAPILPSHYFSIARELQAWNDFPTTFSNSVLRAISGLGIANEHHLMDWIIANSPRYGVVVDTAMQQHMFLLCCLCLKSIIREASVSTESQNAHVICPVSNQALTWLAYQVSILYGAANGKGFVLNFLKHCITVGASVLLLFPLEDQGASKHESKNLDKESVDMKDVKPAAPVAEKKNSILNRKIFVSQVAAAVAALHERSLFEQKIKGFWFSQQPSNYQLVAEHSYLSEKANEERAKRPDYTPIIDHDGIHRPQSSNQESSREKTREELIAEERDYKRRRMSYRGKKTNQSPLQVMRYMIEDFMEQIKRAGGFENPVKMSEEGGLFPFKPPGHDISMEASNSTRASIDSPAVTTSNPRYSQQQSQSSFCDESKEMDVSFSRDYKQLKHEHHRSHHYRDDQWNADRGKDHRDRPSTSHERHSSHSRPREHSSHQNKQDYCSNRKKHDNSSRSRDRRQNDTHRSHISDSFPNKTFSDRYDPSESLDICDDDISSDAKYIKSDKFYDKEHY >Vigun07g160700.5.v1.2 pep primary_assembly:ASM411807v1:7:27253321:27257724:1 gene:Vigun07g160700.v1.2 transcript:Vigun07g160700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSAGLSSTLSSLKNLIALSNDVLSVTPSPPTLNTNLIQCPFSPHHLIPPHALFLHHLRCPSSPRPLPDLTHSLTYPQTLHNSPSDQLSFYLHSLSNFFYRDCPAVVSFSPADALTRTATLTLPAFLSLECADTDTHSNLIPLSHHAPILPSHYFSIARELQAWNDFPTTFSNSVLRAISGLGIANEHHLMDWIIANSPRYGVVVDTAMQQHMFLLCCLCLKSIIREASVSTESQNAHVICPVSNQALTWLAYQVSILYGAANGKGFVLNFLKHCITVGASVLLLFPLEDQGASKHESKNLDKESVDMKDVKPAAPVAEKKNSILNRKIFVSQVAAAVAALHERSLFEQKIKGFWFSQQPSNYQLVAEHSYLSEKANEERAKRPDYTPIIDHDGIHRPQSSNQESSREKTREELIAEERDYKRRRMSYRGKKTNQSPLQVMRYMIEDFMEQIKRAGGFENPVKMSEEGGLFPFKPPGHDISMEASNSTRASIDSPAVTTSNPRYSQQQSQSSFCDESKEMDVSFSRDYKQLKHEHHRSHHYRDDQWNADRGKDHRDRPSTSHERHSSHSRPREHSSHQNKQDYCSNRKKHDNSSRSRDRRQNDTHRSHISDSFPNKTFSDRYDPSESLDICDDDISSDAKYIKSDKFYDKEHY >Vigun07g160700.4.v1.2 pep primary_assembly:ASM411807v1:7:27253321:27257724:1 gene:Vigun07g160700.v1.2 transcript:Vigun07g160700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSAGLSSTLSSLKNLIALSNDVLSVTPSPPTLNTNLIQCPFSPHHLIPPHALFLHHLRCPSSPRPLPDLTHSLTYPQTLHNSPSDQLSFYLHSLSNFFYRDCPAVVSFSPADALTRTATLTLPAFLSLECADTDTHSNLIPLSHHAPILPSHYFSIARELQAWNDFPTTFSNSVLRAISGLGIANEHHLMDWIIANSPRYGVVVDTAMQQHMFLLCCLCLKSIIREASVSTESQNAHVICPVSNQALTWLAYQVSILYGAANGKGFVLNFLKHCITVGASVLLLFPLEDQGASKHESKNLDKESVDMKDVKPAAPVAEKKNSILNRKIFVSQVAAAVAALHERSLFEQKIKGFWFSQQPSNYQLVAEHSYLSEKANEERAKRPDYTPIIDHDGIHRPQSSNQESSREKTREELIAEERDYKRRRMSYRGKKTNQSPLQVMRYMIEDFMEQIKRAGGFENPVKMSEEGGLFPFKPPGHDISMEASNSTRASIDSPAVTTSNPRYSQQQSQSSFCDESKEMDVSFSRDYKQLKHEHHRSHHYRDDQWNADRGKDHRDRPSTSHERHSSHSRPREHSSHQNKQDYCSNRKKHDNSSRSRDRRQNDTHRSHISDSFPNKTFSDRYDPSESLDICDDDISSDAKYIKSDKFYDKEHY >Vigun07g160700.1.v1.2 pep primary_assembly:ASM411807v1:7:27253321:27257724:1 gene:Vigun07g160700.v1.2 transcript:Vigun07g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNSAGLSSTLSSLKNLIALSNDVLSVTPSPPTLNTNLIQCPFSPHHLIPPHALFLHHLRCPSSPRPLPDLTHSLTYPQTLHNSPSDQLSFYLHSLSNFFYRDCPAVVSFSPADALTRTATLTLPAFLSLECADTDTHSNLIPLSHHAPILPSHYFSIARELQAWNDFPTTFSNSVLRAISGLGIANEHHLMDWIIANSPRYGVVVDTAMQQHMFLLCCLCLKSIIREASVSTESQNAHVICPVSNQALTWLAYQVSILYGAANGKGFVLNFLKHCITVGASVLLLFPLEDQGASKHESKNLDKESVDMKDVKPAAPVAEKKNSILNRKIFVSQVAAAVAALHERSLFEQKIKGFWFSQQPSNYQLVAEHSYLSEKANEERAKRPDYTPIIDHDGIHRPQSSNQESSREKTREELIAEERDYKRRRMSYRGKKTNQSPLQVMRYMIEDFMEQIKRAGGFENPVKMSEEGGLFPFKPPGHDISMEASNSTRASIDSPAVTTSNPRYSQQQSQSSFCDESKEMDVSFSRDYKQLKHEHHRSHHYRDDQWNADRGKDHRDRPSTSHERHSSHSRPREHSSHQNKQDYCSNRKKHDNSSRSRDRRQNDTHRSHISDSFPNKTFSDRYDPSESLDICDDDISSDAKYIKSDKFYDKEHY >Vigun06g207200.1.v1.2 pep primary_assembly:ASM411807v1:6:32085327:32085929:-1 gene:Vigun06g207200.v1.2 transcript:Vigun06g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVQKLGWKSQRKNHSLFGKIQQDRSRFNLGLL >Vigun04g061100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6310433:6311315:-1 gene:Vigun04g061100.v1.2 transcript:Vigun04g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSMVLVMILIHSYYVVNAKYEGFIGNNNSESEFSFDSHVNRMLYDVSLSVCGKTGNNNNKAVFCPELFSYRSCLPEPNGGGPRRFCADYTRGC >Vigun08g100400.1.v1.2 pep primary_assembly:ASM411807v1:8:24653259:24659323:1 gene:Vigun08g100400.v1.2 transcript:Vigun08g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGQYYPGRVSRWWLKQSLAHLDSSLRSLGTPLITKRSTNSVSSLLEVVKSTGATQLFFNHLYDPLSLVRDHRTKEVLTAQGITVRSFNSDLLYEPWDVNDAHGQPFTTFSAFWERCLSMPYDPQAPLLPPKRIIPGDASRCPCDTLVFEDDLEKASNALLSRAWSPGWSNADKALTAFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVFWANEGNKAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKCDPNGEYVRRWLPELARLPTEWIHHPWNAPEYVLQAAGIELGSNYPLPIVGIDAAKVRLQEALIQMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDTHMEERPVEPVRNNPPHGTRRYEDQMVPSMTSSHVRVEEEETSSDLRNSAADNRAEVPTNVTTEQNARETVNHGGLPNVNRNTRVQNNATEMWLRNAAEDSTAESSSSTRRERDGGVVPVWSPPASNFSEQFVDDENGIGASSSYLQRQHPQSHQLMNWTRLPQTG >Vigun08g100400.2.v1.2 pep primary_assembly:ASM411807v1:8:24653259:24659323:1 gene:Vigun08g100400.v1.2 transcript:Vigun08g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGQYYPGRVSRWWLKQSLAHLDSSLRSLGTPLITKRSTNSVSSLLEVVKSTGATQLFFNHLYDPLSLVRDHRTKEVLTAQGITVRSFNSDLLYEPWDVNDAHGQPFTTFSAFWERCLSMPYDPQAPLLPPKRIIPGDASRCPCDTLVFEDDLEKASNALLSRAWSPGWSNADKALTAFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVFWANEGNKAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKCDPNGEYVRRWLPELARLPTEWIHHPWNAPEYVLQAAGIELGSNYPLPIVGIDAAKVRLQEALIQMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDTHMEERPVEPVRNNPPHGTRRYEDQMVPSMTSSHVRVEEEETSSDLRNSAADNRAEVPTNVTTEQNARETVNHGGLPNVNRNTRVQNNATEMWLRNAAEDSTAESSSSTRRERDGGVVPVWSPPASNFSEQFVDDENGIGASSSYLQRQHPQSHQLMNWTRLPQTG >Vigun04g068900.1.v1.2 pep primary_assembly:ASM411807v1:4:7934900:7935815:-1 gene:Vigun04g068900.v1.2 transcript:Vigun04g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNVGQAKGQAQEKASNMMDKASDVAHSAQDSMQQAGQQMQEKAQGAADSIKSALNSKNQ >Vigun03g089000.1.v1.2 pep primary_assembly:ASM411807v1:3:7470603:7474659:1 gene:Vigun03g089000.v1.2 transcript:Vigun03g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANPADQATDLLQKLTLETQPKALEMPEPTKKATGNQYGSVDSGNAANGQIPSYDRSVTPVLQDFIDPTVCYLPNGYPSTAYYYGGYDGTGNEWDDYSRYVNSEGVEMTSGVYGDNGSLVYHHGYGYAPYGPYSPAGSPVPTMGNDGQLYGPQHYQYPPYFQPLTPTSAPFTPTPAVLPQGEVSTSVGADQKTLPVEAANGNSNGVANGGNAKGNNSVAPVKQANQNSSYSSKASNERVPMPGRGPTSGYQDPRFGFDGVRSPIPWLDAPLFSDGQPRPVSSSAITPTISGGNNNASRNQTFRPNSQFMGLHHPRPMPAMGATHNFINRMYPNKLYGQYGSTVRSGMGYGTHGYDTRTNGRAWLAVDSKYKTRGRSGGYFGYGNENVDGLNELNRGPRAKGGKNQKGFAPTVLAVKGQNLPATLSTDEEKDKTSTVPDRDQYNKADFPEEYADAKFFVIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKPGGCPVFLFFSVNTSGQFVGLAEMIGPVDFNKSVEYWQQDKWNGCFPLKWHIVKDVPNNLLRHITLDNNENKPVTNSRDTQEVMLEPGLKLIKIFKEYTSKTCILDDFGFYEARQKTILEKKAKQQYPKQVWEGKPTDEKVEVNGEVTIQKSESELLKESNLAEKDGEEPKVAENGSISKTGEAPKGAKPVVSEGKVALGSNGIANGC >Vigun03g089000.2.v1.2 pep primary_assembly:ASM411807v1:3:7470540:7474672:1 gene:Vigun03g089000.v1.2 transcript:Vigun03g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANPADQATDLLQKLTLETQPKALEMPEPTKKATGNQYGSVDSGNAANGQIPSYDRSVTPVLQDFIDPTVCYLPNGYPSTAYYYGGYDGTGNEWDDYSRYVNSEGVEMTSGVYGDNGSLVYHHGYGYAPYGPYSPAGSPVPTMGNDGQLYGPQHYQYPPYFQPLTPTSAPFTPTPAVLPQGEVSTSVGADQKTLPVEAANGNSNGVANGGNAKGRGPTSGYQDPRFGFDGVRSPIPWLDAPLFSDGQPRPVSSSAITPTISGGNNNASRNQTFRPNSQFMGLHHPRPMPAMGATHNFINRMYPNKLYGQYGSTVRSGMGYGTHGYDTRTNGRAWLAVDSKYKTRGRSGGYFGYGNENVDGLNELNRGPRAKGGKNQKGFAPTVLAVKGQNLPATLSTDEEKDKTSTVPDRDQYNKADFPEEYADAKFFVIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKPGGCPVFLFFSVNTSGQFVGLAEMIGPVDFNKSVEYWQQDKWNGCFPLKWHIVKDVPNNLLRHITLDNNENKPVTNSRDTQEVMLEPGLKLIKIFKEYTSKTCILDDFGFYEARQKTILEKKAKQQYPKQVWEGKPTDEKVEVNGEVTIQKSESELLKESNLAEKDGEEPKVAENGSISKTGEAPKGAKPVVSEGKVALGSNGIANGC >Vigun03g324600.3.v1.2 pep primary_assembly:ASM411807v1:3:52047080:52056702:-1 gene:Vigun03g324600.v1.2 transcript:Vigun03g324600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPPPSTSTATDENPGSEIAQPANMDQQNTREAEPAKPSSTPSVFVNSQPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDSAPTVQTGGVNQDGQLKSSSNNQQQTQLKTLQPVSPASTGVNTSSGTLPRSRFHWSHALIAVGLLAASGAGTAIIIKKSLLPRLKSWIRKVVLDNDDEELKKSDDKPTLMEEAAQAAKSAAAAAAEVAKVSQEILASKGEERRYFVDVVSLLDKQVQEMKSMTNAIRRLEGQEDLQISQTSSKQLIVNGKADYDMRSVRSSSPPASVQPSSGLHPKSYMEIMAMVQRGEKPSNTRPWEVGQVQNTSTQILQSQVNGEDLNTKTQDTSLVNGDDPLPWWQKKNVRITEIDNENGSNGVPYAAATSQQPVQRVWVPPQPPPIAMPGAAEAIRRPKQVAQKEQKSDNQSVAQSSDTSDDVQGVSKPLESEGAVESSNVSSVPSSNEIQEEHEVKYDEK >Vigun03g324600.2.v1.2 pep primary_assembly:ASM411807v1:3:52045794:52056700:-1 gene:Vigun03g324600.v1.2 transcript:Vigun03g324600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPPPSTSTATDENPGSEIAQPANMDQQNTREAEPAKPSSTPSVFVNSQPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDSAPTVQTGGVNQDGQLKSSSNNQQQTQLKTLQPVSPASTGVNTSSGTLPRSRFHWSHALIAVGLLAASGAGTAIIIKKSLLPRLKSWIRKVVLDNDDEELKKSDDKPTLMEEAAQAAKSAAAAAAEVAKVSQEILASKGEERRYFVDVVSLLDKQVQEMKSMTNAIRRLEGQEDLQISQTSSKQLIVNGKADYDMRSVRSSSPPASVQPSSGLHPKSYMEPWEVGQVQNTSTQILQSQVNGEDLNTKTQDTSLVNGDDPLPWWQKKNVRITEIDNENGSNGVPYAAATSQQPVQRVWVPPQPPPIAMPGAAEAIRRPKQVAQKEQKSDNQSVAQSSDTSDDVQGVSKPLESEGAVESSNVSSVPSSNEIQEEHEVKYDEK >Vigun03g324600.1.v1.2 pep primary_assembly:ASM411807v1:3:52045794:52056700:-1 gene:Vigun03g324600.v1.2 transcript:Vigun03g324600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPPPSTSTATDENPGSEIAQPANMDQQNTREAEPAKPSSTPSVFVNSQPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDSAPTVQTGGVNQDGQLKSSSNNQQQTQLKTLQPVSPASTGVNTSSGTLPRSRFHWSHALIAVGLLAASGAGTAIIIKKSLLPRLKSWIRKVVLDNDDEELKKSDDKPTLMEEAAQAAKSAAAAAAEVAKVSQEILASKGEERRYFVDVVSLLDKQVQEMKSMTNAIRRLEGQEDLQISQTSSKQLIVNGKADYDMRSVRSSSPPASVQPSSGLHPKSYMEIMAMVQRGEKPSNTRPWEVGQVQNTSTQILQSQVNGEDLNTKTQDTSLVNGDDPLPWWQKKNVRITEIDNENGSNGVPYAAATSQQPVQRVWVPPQPPPIAMPGAAEAIRRPKQVAQKEQKSDNQSVAQSSDTSDDVQGVSKPLESEGAVESSNVSSVPSSNEIQEEHEVKYDEK >Vigun03g324600.4.v1.2 pep primary_assembly:ASM411807v1:3:52047080:52056702:-1 gene:Vigun03g324600.v1.2 transcript:Vigun03g324600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPPPSTSTATDENPGSEIAQPANMDQQNTREAEPAKPSSTPSVFVNSQPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDSAPTVQTGGVNQDGQLKSSSNNQQQTQLKTLQPVSPASTGVNTSSGTLPRSRFHWSHALIAVGLLAASGAGTAIIIKKSLLPRLKSWIRKVVLDNDDEELKKSDDKPTLMEEAAQAAKSAAAAAAEVAKVSQEILASKGEERRYFVDVVSLLDKQVQEMKSMTNAIRRLEGQEDLQISQTSSKQLIVNGKADYDMRSVRSSSPPASVQPSSGLHPKSYMEPWEVGQVQNTSTQILQSQVNGEDLNTKTQDTSLVNGDDPLPWWQKKNVRITEIDNENGSNGVPYAAATSQQPVQRVWVPPQPPPIAMPGAAEAIRRPKQVAQKEQKSDNQSVAQSSDTSDDVQGVSKPLESEGAVESSNVSSVPSSNEIQEEHEVKYDEK >Vigun06g231900.1.v1.2 pep primary_assembly:ASM411807v1:6:33833170:33835800:1 gene:Vigun06g231900.v1.2 transcript:Vigun06g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAILQCILSHICNARDVACCNCVSKRWKESMIYIKTLYFPRNSLENTSAGESPEEVVKRMVSTVVRLEHLTVYSPFSSSGLASWLSLVGLSLSQLELRLDNLAEEQSYHHQQSPSKLECIGAARNLKCLKLWGVLMPRFPKWDVFENLRTLEMVGVRLEESALIAVLQSCPYLRRFVLLGCEGVRTISIDLPYLEECKLDFYGLGNCSLTLTSSKIVSLEVQGCSWIRVPESQHLRNLSISNSAGRVYMVEFGNLPALEFLSMRGVQWCWDAICKILKLASEVKHLFMKVEFTGNFEALQPFPEVDFVDFFNSHPHLRKFDIHGAMFAALCQKNSLKHVDPGFLIPCLEEVVITVRSPLNAEQKMNTLESMLKYGKNLRTMVIKILQMKSTHNSADDFFDEVCRFRYMNHRIVRIE >Vigun10g135800.1.v1.2 pep primary_assembly:ASM411807v1:10:34764929:34766627:1 gene:Vigun10g135800.v1.2 transcript:Vigun10g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSENKIRFVEADGDFVDFLVSFLTTPLGSILNLKNKKLSCGLTPLFASILKLRNGRLSLGCIRNLYKSVKNLDPSWFVESSNKSLLNPKVAPHFGCEKNPLLNQSQDDTAKYWYGLGEMKNGKGRYEMRVISKKRDMLQDSKDIKMLDPRSSDRKNKDGVGFMKRPCLFVVWDNLKLSPLTTTGLPISFSDSDTVLYPDLEEHRLKIRKSEALNLLRASLTSYKGAFTRSLSFLLWKWRLQRLIPLWDLWRIKRNEKLEKRKRRKNEKEIKLKDKKEKKKQEKSAVETKKEKEEINSNSVQKTKEEVKP >Vigun07g245600.2.v1.2 pep primary_assembly:ASM411807v1:7:36638692:36642694:1 gene:Vigun07g245600.v1.2 transcript:Vigun07g245600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLIHIFALLSFTINFVATEAQFGYDFSGYNCSESLTTRNSDFQSNVRTLLSYLSSNATANKQFYNTTVTGTTHSNTVYGMFFCSGDVPPELCSECVANATNAIFSDPDAYPNCSLTTDAVIGYGNCMFRFSNRYFFSELNLYPNFPTSNICDPKSEPTQKDSNRFLYKTCKEAVDEAAESPIGVKKYATREARMSGFQTLYCQAQCTPDLSPHDCRKCLNYMMPGAQDNSCLPRYRLLSCSLRCEVYPFYRPTTTPAPPEPVLVPDSSNTDSQQPRYLSHNCSSSSNKAMITADSAFLSNLATLFTYLSSNSTTKPRFYNTTVDTVSGLFMCRGDLSPDLCRLCVLDATKRIATECRSSKEAVIWYNNCFLRYSNHPFPSTLEISPTYHRLDVENTSAPNLHQRFFTWTLAKALYEAQMDTGGAFKNYGTKEEKLNDHQSLYTLAQCTPNIDGFTCQICLDRIFNEIPWCCLASPVGKVFYPSCYMTFGLSPGNTSDHQIEAKSPATVGHESVTLKGLQFHLNMVKAATNNFSHQNKIGKGGFGEVYKGILSDGRQVAIKRLSRCSKQGSTEFKNEVLLIAKLQHKNLVAFIGFCLEEEEKVLIYEYMQKGSLDYLLFDDTQPQKLSWSERYKIIEGIALGILYLHDYSRLKIIHRDLKPSNVLLDENMNPKISDFGMAKIVDLDQDRGNTNKIVGTYGYMSPEYAMLGQFSEKSDVFSFGIMVLEIVTGKRNVNAYESQDESGTIVEGFMGYVWRQWKDQRLLGILDSNIKENFSEVEVLKCIHIGLLCIQENPNIRPTMATVVSYLNNGSLELSSPQDPTLFMQNIGHPIIPKQGSSSSQDNNDHHQVSINEMSVSNFYPR >Vigun07g245600.1.v1.2 pep primary_assembly:ASM411807v1:7:36638692:36642694:1 gene:Vigun07g245600.v1.2 transcript:Vigun07g245600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLIHIFALLSFTINFVATEAQFGYDFSGYNCSESLTTRNSDFQSNVRTLLSYLSSNATANKQFYNTTVTGTTHSNTVYGMFFCSGDVPPELCSECVANATNAIFSDPDAYPNCSLTTDAVIGYGNCMFRFSNRYFFSELNLYPNFPTSNICDPKSEPTQKDSNRFLYKTCKEAVDEAAESPIGVKKYATREARMSGFQTLYCQAQCTPDLSPHDCRKCLNYMMPGAQDNSCLPRYRLLSCSLRCEVYPFYRPTTTPAPPEPVLVPDSSNTDSQQPRYLSHNCSSSSNKAMITADSAFLSNLATLFTYLSSNSTTKPRFYNTTVDTVSGLFMCRGDLSPDLCRLCVLDATKRIATECRSSKEAVIWYNNCFLRYSNHPFPSTLEISPTYHRLDVENTSAPNLHQRFFTWTLAKALYEAQMDTGGAFKNYGTKEEKLNDHQSLYTLAQCTPNIDGFTCQICLDRIFNEIPWCCLASPVGKVFYPSCYMTFGLSPGNTSDHQIEAKSPATGKGRSSMIILIVFFVALSLVLLSFCCYLRRRNARKSSYNTLLRKIFGHESVTLKGLQFHLNMVKAATNNFSHQNKIGKGGFGEVYKGILSDGRQVAIKRLSRCSKQGSTEFKNEVLLIAKLQHKNLVAFIGFCLEEEEKVLIYEYMQKGSLDYLLFDDTQPQKLSWSERYKIIEGIALGILYLHDYSRLKIIHRDLKPSNVLLDENMNPKISDFGMAKIVDLDQDRGNTNKIVGTYGYMSPEYAMLGQFSEKSDVFSFGIMVLEIVTGKRNVNAYESQDESGTIVEGFMGYVWRQWKDQRLLGILDSNIKENFSEVEVLKCIHIGLLCIQENPNIRPTMATVVSYLNNGSLELSSPQDPTLFMQNIGHPIIPKQGSSSSQDNNDHHQVSINEMSVSNFYPR >Vigun01g074600.1.v1.2 pep primary_assembly:ASM411807v1:1:20873740:20875675:1 gene:Vigun01g074600.v1.2 transcript:Vigun01g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSTKDPEQQGFNRCENSDEGESLHENNKSICKKKKKNKGVAKGMKPLGVEETKDKEGSNGERLKEKSGVGTNDFKVEVKSKKVAEAENKFRHDDFIAHGSPSFREYCNDYDCGDRSFTEYSNDSDSSGSIKNGSGALLNKNNEQMNEESVDSNKEPSKKQRKGRGIRNAISKRKTRGRRNLLNFGCHSGNTQAQVQGSSDKNVAKTA >Vigun01g074600.2.v1.2 pep primary_assembly:ASM411807v1:1:20873740:20875675:1 gene:Vigun01g074600.v1.2 transcript:Vigun01g074600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGVEETKDKEGSNGERLKEKSGVGTNDFKVEVKSKKVAEAENKFRHDDFIAHGSPSFREYCNDYDCGDRSFTEYSNDSDSSGSIKNGSGALLNKNNEQMNEESVDSNKEPSKKQRKGRGIRNAISKRKTRGRRNLLNFGCHSGNTQAQVQGSSDKNVAKTA >Vigun11g176900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38144383:38145414:-1 gene:Vigun11g176900.v1.2 transcript:Vigun11g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQLNSKSHFHARSNSLPSRPHPLILQCNEHLERLKASNETSSSSSTLSHKLGLLQDLHECVEKLFQLPLTQEALHRESEENWVEELLNGSLRLLDVCTTAKDSLLHTKECIRELQSIMRRRKGGEVELRSEIKKFLTSRKVVKRAISKALANLKGATRKCSMAPANKDNQTVALVSLMENVEMVTLSAFQTLLHLISGSAQSKSSSWILVSKIMQTKKVGCSRLEDEREFAQLDAALQSCMFSQTGKSENMSRLQNQLEKVESVVQDLEEGFEFLFRRLIKTRVSLLNTLNH >Vigun02g123900.1.v1.2 pep primary_assembly:ASM411807v1:2:27597657:27598223:-1 gene:Vigun02g123900.v1.2 transcript:Vigun02g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGEMNKLSEAPMSNLSQRSAAAGEESREQNFQSPSNMMLSQNMQILKLDASGGKTRDWD >Vigun08g065100.1.v1.2 pep primary_assembly:ASM411807v1:8:9262765:9265353:-1 gene:Vigun08g065100.v1.2 transcript:Vigun08g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMGFPKIDDQKAIQEAASEGLKGMEHLIRILSHQPSHLNTDLTDVTVSKFKKLISLLNRTGHARFRRAPVQPQVQPPEPVQTPEPIQTLAPAHNPPSAPLHLPPPQTANLSLPALFASPAPVHHASGSVTLDFTKPHNALRNSKAKSVELEFSKETFSVSSNSSFMSSAITGDGSVSNGKIFLAPPPPPPATAAGKPPTFKKRCLEHREHSDDVSGSGKCHCVKRRKNRVKKTVRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAPDDPAMLIVTYEGEHRHAVQAAMQENAAGVVGLVFEST >Vigun11g082766.1.v1.2 pep primary_assembly:ASM411807v1:11:24640028:24641335:-1 gene:Vigun11g082766.v1.2 transcript:Vigun11g082766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKEERTNLKLSWRPLISIYGNTIYESNEDYTVSQNEDYNPFASSHITTSHTYYSVYWIRPREDINIYVNLTYFVFLFFN >Vigun01g193400.1.v1.2 pep primary_assembly:ASM411807v1:1:37097418:37100046:-1 gene:Vigun01g193400.v1.2 transcript:Vigun01g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSYSLSIFLFLLPFFHSVIVSADTVSNPSTPVSPGTACQSTPDPSYCKSVLPPQNGNVYDYGRFSVKKSLSQARKFLSLVDKYLQRRSSLSATAIRALQDCRTLGELNFDFLSSSFQTVNKTTRFLPSFQADDIQTLLSAILTNQQTCLDGLKDTASAWSVRNGLTLPLSNDTKLYSVSLALFTKGWVPRSKLNTMHPTKKQQGFRNGRLPLKMSSTTRAIYESVSRRKLLQVSVSQGVVVRDIVTVSQDGSGNFTTISDAIAAAPNKSVSTDGYFLIYVTAGVYDEIVTIDKKKTYLMMVGDGINKTIITGNRSVVDGWTTFSSATLAVVGQGFVGVNMTIRNTAGAVKHQAVAVRNGADLSTFYSCSFEGYQDTLYVHSLRQFYSECDIYGTVDFIFGNAKVVFQSCNMYPRLPMQGQFNAITAQGRTDPNQDTGISIHNCSIRAADDLASSSGVSTYLGRPWKEYSRTVYMQTFMDDLINGAGWRAWDGDFALSTLYYAEYSNTGPGSNTSNRVTWSGYHVINATDAANFTVSNFLLGDDWLPQTGVSYTDNLI >Vigun10g142200.1.v1.2 pep primary_assembly:ASM411807v1:10:35895263:35898492:-1 gene:Vigun10g142200.v1.2 transcript:Vigun10g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSQQQQQGKHKNREEEEEEEENHLLQSECETIPLSSPDVLLSNWEHADKEKQEEEKDAVYAAKDKVQIFDLEASGSAGSTAVPPFSWRKLWLFTGPGLLMSVAFLDPGNLEGDLQAGAIAGYSLLWLLMWSTIMGLVIQLLSARLGVATGRHLAELCREEYSNWARLVLWILAEMALIAADIQEVIGSAIAFKILSHGLIPIWAGVVITATDCFFFLFLENYGVRKLEGVFAVFIGTMGLSFAWMFFDTKPSEEELMMGLLIPRLSSKTLRQAVEIVGCVITPHNVFLHSALVQSRDIDIRNKGQVQEALNYYSIESSVALLVTLLINLSVITVFARVFYGTEQANGIGLVNAGQYLEERYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFITEGFLKLNIKKWLRALITRSCAIVPTMICAIVFNTSESSLDTLNEWLNVVQAIQIPFALIPLLTLVSKEEVMGTFRIGPIVEKVAWSVAVLVILVYGYMLLDFFLDEVDGVLFGFLVCLGAAAWISFIVYLVQHSGAIPSLMMRSPNSRGFFSLSRN >Vigun07g284600.1.v1.2 pep primary_assembly:ASM411807v1:7:39798449:39804755:-1 gene:Vigun07g284600.v1.2 transcript:Vigun07g284600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWENILRNHQNSLKSLFHRSKPSSPDAASAADDSANSSKPIPQLSPLANSVVSRCSKILGMSTQELQHCFDSELPSSVKELLTYARHLLEFCSYKALHKLSESSDFLNDKEFRRLTFDMMLAWEAPSVHTLPETPGSSKEETVGEEDDSSLFYTSSTNMALQVDDKKTVGQEAFSRIAPVCVPIADVVTVHNLFYALTSTSAHRLHFLVYDKYLRFLDKVIKNSKSVMAASVVNLQLADDEIVLDVDGTIPTQPVLQHIGIVAWPGRLTLTNHALYFESLGVGIYEKAVRYDLCSDLKQVIKPDLTGPLGARLFDKAVMYKSTSVAEPAYFEFPEFKANLRRDYWLDISLEILRAHKFIRKYNLKETQKLEVLARAILGIFRYRAVREAFRFFSSHYKTLLTFNLAENLPRGDLILETMSHNLANLTAVSGKRDVPASKDTKGHLSVSPGAVIALFYLGFRPKMVVDICEVTTFVSDIRVGEIHPLEMAVKKSLLDTGKAEAAQATVDQVKVEGIDTNVAVMKELLFPVIVAANRLQLLASWKDFYKSATFLLLTCYMIIRGWIQYILPSIFVFIAILMLWRRYFRKGRSLEAFIVTPPANRNAVEQLLTLQEAITHFESLIQAANITLLKLRALLLAILPQATEKVALILVFIAAVFAFVPPKYIFLVVFLEYYTREMPCRKESSDRWIRRIREWWIRIPAAPVQLIKPEDSKKRK >Vigun04g184600.1.v1.2 pep primary_assembly:ASM411807v1:4:40946646:40958536:1 gene:Vigun04g184600.v1.2 transcript:Vigun04g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHNLFQTFLSSAQNFIAERNNTREKKRKKKKKKLRMVNPAIARNVVGIIGNVISFGLFFSPAPTFYGIVKKKSVEEFKPDPYIATVLNCAFWVFYGMPFVHPNSILVLSINSVGLVFEFFYLTIYYMYANNKGRKKVLIFLLIELIFFAAVALVTMLALHGTKKRSLVVGILSDIFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALIHPFDLFVLISNGIGAISGLVQLMLYACYCSCKSEKDDDSDLNLKPSGVQLSNLNGTVAV >Vigun04g184600.2.v1.2 pep primary_assembly:ASM411807v1:4:40955587:40958559:1 gene:Vigun04g184600.v1.2 transcript:Vigun04g184600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPAIARNVVGIIGNVISFGLFFSPAPTFYGIVKKKSVEEFKPDPYIATVLNCAFWVFYGMPFVHPNSILVLSINSVGLVFEFFYLTIYYMYANNKGRKKVLIFLLIELIFFAAVALVTMLALHGTKKRSLVVGILSDIFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALIHPFDLFVLISNGIGAISGLVQLMLYACYCSCKSEKDDDSDLNLKPSGVQLSNLNGTVAV >Vigun04g184600.4.v1.2 pep primary_assembly:ASM411807v1:4:40946646:40958536:1 gene:Vigun04g184600.v1.2 transcript:Vigun04g184600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPAIARNVVGIIGNVISFGLFFSPAPTFYGIVKKKSVEEFKPDPYIATVLNCAFWVFYGMPFVHPNSILVLSINSVGLVFEFFYLTIYYMYANNKGRKKVLIFLLIELIFFAAVALVTMLALHGTKKRSLVVGILSDIFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALIHPFDLFVLISNGIGAISGLVQLMLYACYCSCKSEKDDDSDLNLKPSGVQLSNLNGTVAV >Vigun04g184600.3.v1.2 pep primary_assembly:ASM411807v1:4:40946639:40958560:1 gene:Vigun04g184600.v1.2 transcript:Vigun04g184600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPAIARNVVGIIGNVISFGLFFSPAPTFYGIVKKKSVEEFKPDPYIATVLNCAFWVFYGMPFVHPNSILVLSINSVGLVFEFFYLTIYYMYANNKGRKKVLIFLLIELIFFAAVALVTMLALHGTKKRSLVVGILSDIFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALIHPFDLFVLISNGIGAISGLVQLMLYACYCSCKSEKDDDSDLNLKPSGVQLSNLNGTVAV >Vigun04g184600.5.v1.2 pep primary_assembly:ASM411807v1:4:40946646:40958536:1 gene:Vigun04g184600.v1.2 transcript:Vigun04g184600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVHPNSILVLSINSVGLVFEFFYLTIYYMYANNKGRKKVLIFLLIELIFFAAVALVTMLALHGTKKRSLVVGILSDIFNVMMYVSPLTVMAKVIKTKSVKYMPFWLSVANFLNGLCWTTYALIHPFDLFVLISNGIGAISGLVQLMLYACYCSCKSEKDDDSDLNLKPSGVQLSNLNGTVAV >Vigun10g098600.3.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.5.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGISIRSVIELRVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.1.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQETLPSNMPNGSHSSETSYSGVYENLPILSGYSGLLQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGISIRSVIELRVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.4.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGISIRSVIELRVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.7.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQETLPSNMPNGSHSSETSYSGVYENLPILSGYSGLLQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.2.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.8.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQETLPSNMPNGSHSSETSYSGVYENLPILSGYSGLLQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGISIRSVIELRVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun10g098600.6.v1.2 pep primary_assembly:ASM411807v1:10:28855943:28863672:-1 gene:Vigun10g098600.v1.2 transcript:Vigun10g098600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSCMNVACATLTTIRWRKGWALRSGEFADLCDKCGTAYEQSTYCDTFHSNDSGWRECTSCDKRLHCGCIASMSQLELLDTGGVSCISCARNSGLQPIAINEKPNGSGTSKVIDVSAQQCTSLANQLNLRGMQGGHYAENGGLRCWFKPHKVETDGPSTEMKPEILPSVGELGSTLISQFHFESNGSSKASKAENCKADTEIRDIYESLAQTNLSMTLATPLGNSNPFHSSVVDEREQSKTSSLLLGSRSRHLLPKPPRSTSGTGLEVNAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPQISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSTAVQETLPSNMPNGSHSSETSYSGVYENLPILSGYSGLLQSQKGCSETHLNVLSKKWNSAGGDMNWHNIDIPESRKREGLPLPPVLVPEKKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAVKPSIVMIEDQVFEEYEEPPVFGKRSIFVVRSAGVNEQWTQCDSCSKWRKLPVDVLIPPKWTCVENLWDQSRCSCAAPNELNPRELDNLLRLNKEFKKQRLAASQRPALDHESSGLDALANAAILGDDASDSGRTPVVTTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQLAWRTKEESEVDSTSRHLTPVDGLENEVRAPSELEPRTQDQVAEAAKGQLDLNCQPDREEAQTGPNNVSMTSLLEEANLPLETYLKQNGLTSLISEQQTNSASNVQAQTTNDNEGRQNEDSGTASVIHEQDSSHEENSGQDKDQNNSLS >Vigun04g037400.1.v1.2 pep primary_assembly:ASM411807v1:4:3146160:3148127:1 gene:Vigun04g037400.v1.2 transcript:Vigun04g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTIPEVVLNSGHKIPNLGFGTGTVPLPPPDVLIPAFIEAIKVGYRHFDTAAYYGSEGPLGEAIAQALDQGLIKNRSELFITTKLWCTEAHPGLVLPALKSSLQTLGLEYVDLYLIHFPVRLRQGSKGINYAKGDILPLDMKGTWEDMEQCSKLGLAKSIGLSNFGVKKISQILENAVIPPALVQVEMNAAWKQENLRKFCKERGIHVTAWSPLGANGAVWGSLAVMDSPILKDIALKSGKSVAQVALRWIIEEGATPIVKSFNSERMRKNLQIFDWELSESDSEKIKQIPQHRGFNGERFVTEFSHFKTFEDLWE >Vigun03g442700.1.v1.2 pep primary_assembly:ASM411807v1:3:64508736:64513583:-1 gene:Vigun03g442700.v1.2 transcript:Vigun03g442700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVSDWIDSCSKEQLKTYQEWFILADSDGDARISGNDATKFFALSNLSRSQLKHVWAIADAKRQGFLGFQEFVIAMQLVALAQAGYNINSDILKAEIDKESIKSPVMEGLDGLIDQTKSLTLHSQPEAFGTAQPQPLSLNSWAAPKSVKKLPLNAVTSIIDGLKRLYVEKLRPLEVTYQFNDFVSPLLTDSDFDAKPMVMLLGQYSTGKTTFIKHMLRCDYPGAHIGPEPTTDRFVVVMSGSDERSIPGNTVAVDASMPFSGLSTFGGSFLSKFQCSQLPHPLLDEITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIGSLHGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPITEGFVGPLGQELFEKEQNDLLADLVDIPRKACDSRINEFVKRARSAKIHAYIISHLRNEMPAMMGKAKTQQRLIENLEDEFRKVQREFHLPAGDFPDVEHFREILSGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKKFRNPYD >Vigun03g442700.2.v1.2 pep primary_assembly:ASM411807v1:3:64508736:64513583:-1 gene:Vigun03g442700.v1.2 transcript:Vigun03g442700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVSDWIDSCSKEQLKTYQEWFILADSDGDARISGNDATKFFALSNLSRSQLKHVWAIADAKRQGFLGFQEFVIAMQLVALAQAGYNINSDILKAEIDKESIKSPVMEGLDGLIDTKSLTLHSQPEAFGTAQPQPLSLNSWAAPKSVKKLPLNAVTSIIDGLKRLYVEKLRPLEVTYQFNDFVSPLLTDSDFDAKPMVMLLGQYSTGKTTFIKHMLRCDYPGAHIGPEPTTDRFVVVMSGSDERSIPGNTVAVDASMPFSGLSTFGGSFLSKFQCSQLPHPLLDEITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIGSLHGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPITEGFVGPLGQELFEKEQNDLLADLVDIPRKACDSRINEFVKRARSAKIHAYIISHLRNEMPAMMGKAKTQQRLIENLEDEFRKVQREFHLPAGDFPDVEHFREILSGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKKFRNPYD >Vigun11g066025.1.v1.2 pep primary_assembly:ASM411807v1:11:16089983:16091301:-1 gene:Vigun11g066025.v1.2 transcript:Vigun11g066025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCFFTITWIVILNTKWTPALTITHPDSLLQRVPELPRVDLFVTTADPVLEPPIITANTVLSLLALDYPTNNLACYVSDDGCSPLTFYALLEASKFAQCWVPFCKKNKIQVRAPFSYFSSVATTESEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLQLDGEFAVLSNTEGRNHRTIIKVTK >Vigun04g009200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:699453:701485:-1 gene:Vigun04g009200.v1.2 transcript:Vigun04g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAPSSESETRNAVGSGSGSVSSPSSSSSSSKKRGRSSDNNGSSNDGKHSVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFATAEMAARAHDVAALTIKGSSAILNFPELAASLPRPASNSPRDVQAAAAKAASMEAPPRTPPSPPAAPEDDLGEIVELPPLGSSFDSPDPNTEFVFLDPDEGWPYPHPWCHGIYDGGYFIGDMNMNMVSMQESESMVLSLWP >Vigun03g036800.1.v1.2 pep primary_assembly:ASM411807v1:3:2832161:2833131:1 gene:Vigun03g036800.v1.2 transcript:Vigun03g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKVESYFVFMSYDPEYERLRTLGTKRGAQELDLYLSTKHDEVLASTLEPGTYNKTSSLVIVDGFAVEITQDQANELRSAKGVRVVEKNEELV >Vigun03g036800.2.v1.2 pep primary_assembly:ASM411807v1:3:2830643:2833106:1 gene:Vigun03g036800.v1.2 transcript:Vigun03g036800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLGNYKMDQAQVKSPRKVYRLWTWTGPEKIGEQHLGFKQIVTKRGAQELDLYLSTKHDEVLASTLEPGTYNKTSSLVIVDGFAVEITQDQANELRSAKGVRVVEKNEELV >Vigun02g064300.2.v1.2 pep primary_assembly:ASM411807v1:2:21308456:21310214:-1 gene:Vigun02g064300.v1.2 transcript:Vigun02g064300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFPPLPQYPSVAELPQRVFADYSVYTARGVLTLTPRPPDFESKASGAFGVSRDGYMLLQFAPSVGTEESIYDWNQKQFSHGTPDWKQYGELCSCHNTSSLNLTKETIFPPRKTQYRVVKRLSIGDLALSASQYRKI >Vigun02g064300.1.v1.2 pep primary_assembly:ASM411807v1:2:21305306:21310214:-1 gene:Vigun02g064300.v1.2 transcript:Vigun02g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVHAHPLKHLSLPFHSLVPPKFIVPLNRNSSFISPTSLSVRCNHSNLMEPFPPLPQYPSVAELPQRVFADYSVYTARGVLTLTPRPPDFESKASGAFGVSRDGYMLLQFAPSVGTEESIYDWNQKQVFSLSVSEMGTLISLGAMESWEFFRKISKAKSNGIEVRKVLKVEPLLDATGHSFSLSVYKKPANMEEIEENIYLPITRAQLAVLRSIFNYIVPYLLGWNAFASTIKPEVYSQVNSANPRYTANNEWNR >Vigun02g064300.3.v1.2 pep primary_assembly:ASM411807v1:2:21308469:21310214:-1 gene:Vigun02g064300.v1.2 transcript:Vigun02g064300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFPPLPQYPSVAELPQRVFADYSVYTARGVLTLTPRPPDFESKASGAFGVSRDGYMLLQFAPSVGTEESIYDWNQKQFSHGTPDWKQYGELCSCHNTSSLNLTKETIFPPRKTQYRVVKRLSIGDLALSASQYRKI >Vigun09g155100.1.v1.2 pep primary_assembly:ASM411807v1:9:32050653:32053264:1 gene:Vigun09g155100.v1.2 transcript:Vigun09g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGVVALLLSIEFLDVIVYTLSKAAMKKGMNDFVFVMYSNALASCLLLPITLFFHRKRAPPPLTYSVVGQIFFNGVLSCSVQMLRFFGIGFSSPTLATAMSDLIPAFTFILAIFFRMEKLDWKTNSTRAKSIGTFVSITGALLITLYKGKAIINNHPSNKLFPKNLVSSEQFDWVIGAMLLVGHSFVLSLLFIVQTWIIRNYPAELIVVLIRGILVALLSVPASLISVKDSRALKLGFDVQLIAIICQAIFSVSFRSVVHIWVMGKKGPLYVAMFKPIGIVFAAIMGISFLGDSLYLGSVLGAAIVVIGFYGVLWGKSQERTKEESEDHTLESSSPVAPLLQNKRMEE >Vigun08g157300.7.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun08g157300.6.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun08g157300.1.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun08g157300.11.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002098:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.12.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002098:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.13.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.15.v1.2 pep primary_assembly:ASM411807v1:8:32998104:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun08g157300.17.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun08g157300.2.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.9.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.14.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGESSRLLRDFSIGFSIIVFVYSSYLLGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.3.v1.2 pep primary_assembly:ASM411807v1:8:32998113:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGPSTSSQIGFSFLEFTSFAGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMDMEADAMFCWLYFSFVVPY >Vigun08g157300.16.v1.2 pep primary_assembly:ASM411807v1:8:32998104:33002008:-1 gene:Vigun08g157300.v1.2 transcript:Vigun08g157300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYLIGKLRIEGGAGCANGGWKFCDIEALRMSIWDKCGWNLLSGLMSGSSCKQALYGYERLSNLYSLPLTRLAVKTCNGCLLGCGGNGGILLFRMTVVTLIC >Vigun09g043900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4120614:4120877:-1 gene:Vigun09g043900.v1.2 transcript:Vigun09g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRREERVRGKKSSGKSIYSILKACFSCENRYDEYWEGSGNGRRVFASDEDRAHWVAEPGIDRKASDFIAKYYATRLTDSHSKFAS >Vigun01g131500.1.v1.2 pep primary_assembly:ASM411807v1:1:30953772:30957498:1 gene:Vigun01g131500.v1.2 transcript:Vigun01g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVSEKSIHEFSVKDAKGRDVNLTTYKGKVLLVVNVASKCGFTNSNYTQLTELYSTYKDKGFEILAFPCNQFLKQEPGNSEEAEQFACTRYKAEYPIFGKIRVNGPDTAPVYKFLKANKSGFLCSRIKWNFTKFLVDKEGKVLQRYGPTTSPLSIEKDIKMALGEA >Vigun01g002300.1.v1.2 pep primary_assembly:ASM411807v1:1:303685:305210:-1 gene:Vigun01g002300.v1.2 transcript:Vigun01g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGLLHVSSPHKCLPLESFLLSAMFMFDALSKICSAQFLKSLFIKLLQLLGWLAASPIILGTLFVVFLPFFKILVHKFSSPSSPKNSEIKLKELGSLEREPLMKSKLTD >Vigun01g002300.2.v1.2 pep primary_assembly:ASM411807v1:1:303685:305210:-1 gene:Vigun01g002300.v1.2 transcript:Vigun01g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGLLHVSSPHKCLPLESFLLSAMFMFDALSKICSAQFLKSLFIKLLQLLGWLAASPIILGTLFVVFLPFFKILVHKFSSPSSPKNSEIKLKNNKDL >Vigun03g082200.7.v1.2 pep primary_assembly:ASM411807v1:3:6837400:6841827:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.5.v1.2 pep primary_assembly:ASM411807v1:3:6830297:6841819:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFASSFLDGGTGFWNLRATVEMLCYKSRSLPRLRCPACFIAASKLQNPLCPLRYFMFKIVMANSSIAIYQDNYIIENHMFGTMVEVKVLLIVAFGSEISESRALHGIRIIQATTATRRTMLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.6.v1.2 pep primary_assembly:ASM411807v1:3:6837451:6841823:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKRAIFKPMTTEQQQYLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSISVTSKGLVYSFGSNNFGQLGHGTTREEWKPRPIRALHGIRIIQATTATRRTMLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.4.v1.2 pep primary_assembly:ASM411807v1:3:6837864:6841822:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTLQREQRHCFGDSSPGEFPLSANPSIVLHLLTSYDLYAQDLAKLEATCSFFKQPAKFEPDFDLSLSELAALDMCQKRAIFKPMTTEQQQYLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSISVTSKGLVYSFGSNNFGQLGHGTTREEWKPRPIRALHGIRIIQATTATRRTMLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.3.v1.2 pep primary_assembly:ASM411807v1:3:6837400:6841827:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTLQREQRHCFGDSSPGEFPLSANPSIVLHLLTSYDLYAQDLAKLEATCSFFKQPAKFEPDFDLSLSELAALDMCQKRAIFKPMTTEQQQYLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSISVTSKGLVYSFGSNNFGQLGHGTTREEWKPRPIRALHGIRIIQATTATRRTMLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.1.v1.2 pep primary_assembly:ASM411807v1:3:6837829:6841819:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTLQREQRHCFGDSSPGEFPLSANPSIVLHLLTSYDLYAQDLAKLEATCSFFKQPAKFEPDFDLSLSELAALDMCQKRAIFKPMTTEQQQYLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSISVTSKGLVYSFGSNNFGQLGHGTTREEWKPRPIRALHGIRIIQATTATRRTMLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun03g082200.8.v1.2 pep primary_assembly:ASM411807v1:3:6837864:6841822:1 gene:Vigun03g082200.v1.2 transcript:Vigun03g082200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDSGQVYAFGKESFGEAEIGIHGSKMVTTPQLVDSLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLCHNTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKFPRLIEQFQLLNLKPMVVAAGAWHAAVVGQDGRICTWGWGRYGCLGHGNEECESVPKVVEGLRDVKAVHVATGDYTTFVVADNGDVYSFGCGESANLGHIPENHEEGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTLAITESGKLYGFGAGDKGQLGVELGANQTERGKPEQLDIDLR >Vigun09g261100.1.v1.2 pep primary_assembly:ASM411807v1:9:42640202:42651052:1 gene:Vigun09g261100.v1.2 transcript:Vigun09g261100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAYFRRADLDGDGRISGVEAVSFFMGSNLPKQVLAQVWAYADQAKTGFLGRAEFFNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPAPQINLASVPQPVPNARPHAGSVGQMGVTAPNSAQGFAYRGQGLAGPGANPQYYPSQQNPAIRPHQSMPVSGAPHLQQGVAGPDISRGVNMGGHNFSNPGLSNDWNKARPGMVATRPAGMNPSAALQTSQSPVSPMPQSSPVSPMPQLSPVSPMPQSTTVHTKALGVSGNGFSSNPALGNDFFSAASSTPKQEPAGHSYSVSNVSSAIVPVSTGPQPANKQNSLDSLQSAFSSVLPANSQFQRPQSAPNQQIKPQASSSPHTPSGMTGGVGNANSDNVQLSWPKMKPTDVQKYTKVFLEVDTDRDGKITGEQARSLFLSWRLPIEVLKKVWDLSDQDNDSMLSLKEFCFALYLMERYREGRPLPQSLPSNVMFDETLMSMTGQPKNAPGNAAWGINQGFQQQQQGMPGARPVAPTAGFRPPVHGSSAQADFTTQPNQQKSGTPVLEESFLNRTDSGEQNASNTKPQDATTAEKKPEEAQLVILDSKEKMELYRNKMQELVLYKSRCDNRLNEITERASADKREAESLGKKYEEKYKQVAEIASKLTVEEAKFRDIQERKVELQQAIVKIEQGGSADGILQVRAERIQSDLEELFKALADRCKKHGIDVKSITMVQLPAGWQPGIPEGAALWDEDWDKFEDEGFANDLTFDPKNASSKPKPVFIDGEQNFSDDNSIHGSPMKANGKQENSANGDYTVEDYAHSEEDLARSPHDSLAGRSTLESPSQDFSNAHFGKGSETDAETHRSFDESTWGAFDNNDDVDSVWGFKTKDSDFEQGDFFKSDDFGINPIRTGSTHTDNTFQTKSPFTFDDSVPATPVSKFENSPRYSEAGDHFFDTSRFDSFRHESGYSPQPERLTRFDSISSSKDFGFGNDNKFTRFDSISSSKDFGFGNDKFTRFDSISSSKDFGNSNDKFTRFDSISGSKDFGYNPEKLQRFDSMNSSNDFGFGQQGHARFDSISSTSGPFSFDDSDPFGSSAPFKVSSENHSPKKGSDNWSAF >Vigun03g124600.1.v1.2 pep primary_assembly:ASM411807v1:3:11740259:11746206:-1 gene:Vigun03g124600.v1.2 transcript:Vigun03g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNNRSQNGEDHPPPLPVAALPMHVDAFHYANGSDGGEEAAAAPLGHASSAVHARTSELTISFEGEVYVFPAVTPQKVQAVLLLLGGQEAPNTVPTSDFSLQQISQDIREINDPSRCSKLSRRIASLVRFREKRKERCFEKKIRYSCRKEVAQRMHRKNGQFASLKEDYKSYAENWDSSNATPGPESTERRCQHCGISEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLTKAGRIAFEQNELDTSADIKPSKAEAEHPCAKQDKEGSPEETKPVQIDSRRSPEKTNEQFIIGTAESVTDNLSIQVENHALGLHEQDTLEDLADASGTEFEIPAGFDEQVDIDDSNMRTYWL >Vigun07g167000.9.v1.2 pep primary_assembly:ASM411807v1:7:28130745:28143587:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.4.v1.2 pep primary_assembly:ASM411807v1:7:28130745:28143587:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQDALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.1.v1.2 pep primary_assembly:ASM411807v1:7:28132270:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQDALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.10.v1.2 pep primary_assembly:ASM411807v1:7:28132221:28143587:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.8.v1.2 pep primary_assembly:ASM411807v1:7:28132270:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.6.v1.2 pep primary_assembly:ASM411807v1:7:28130699:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.2.v1.2 pep primary_assembly:ASM411807v1:7:28130745:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQDALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.7.v1.2 pep primary_assembly:ASM411807v1:7:28130745:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.3.v1.2 pep primary_assembly:ASM411807v1:7:28130699:28143611:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQDALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun07g167000.5.v1.2 pep primary_assembly:ASM411807v1:7:28132221:28143587:-1 gene:Vigun07g167000.v1.2 transcript:Vigun07g167000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRSHEESVHPSSKHPHEDLGTYSKLVSSSVSNEYHRPYDINQDSRVAKAPRTEFRDADKRSPLHPVYRMSSSLNDSHVDHPTGPESRTESRDAKDSRDIRFENRDAKTEKKELYGEARRDPPSAKSEKDVRVEGKGDDNKDIRHDRDSHSDLKGDIKTDKDGYNVVGIHLNWKDSKEYHRGKRYSDAPSGSLDTWQMLRGNTQGSVEVGKESTTTEERDYVEAHEAVAENKVDPKGDDRTKEKDRKRKDVKHRDWGDREKERTDRRNSTQVSSSIGDCKEPAKEDRDVERLEREKKDLPKEKENLKEREKDQMKRELWNGMEKEVSNNEKEPADGLAKLPEHGSLLPEQQKQKDVDSWKNVDREAREKRKEREADLEGDKSDKRSRCLDKESNDGCADGEGVMEKEREAYNYSSQHRKRIQRSRGSPQVPNREPRFRSRAQDNDGSQGKIEVSSVVYKVGESMQELIKLWKEYESSQSQIEKNGESSNNGPTLEIRIPSEHVTATNRQDALRHQYPYSANYLVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPMAIQELRVTVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVTVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLETHLSRYELCFAGEKMVKATPATQLHDPASEKSQNHHAHSANGEKNDSENVLIDAFRWSRCKKPLPQKVMRTIGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >Vigun05g231900.1.v1.2 pep primary_assembly:ASM411807v1:5:42566361:42570707:-1 gene:Vigun05g231900.v1.2 transcript:Vigun05g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVSKAEEFEKKAEKKLSGWALFGSKYEDAADLFEKAANSFKLAKSWDRAGGTYLKLANCHLKSESKHEAAQAHVDAAHCYKKTNINEAISCLNRAVNLYCDIGRLSMAARYLKEIAELYESEQNIEKALVYYEKSADFYQNEEVTTTANQCKQKVAQFAAQLEQYQKSIEIYEDIARQSLSNNLLKYGVKGHLLNAGICQLCKGDIVAITNALERYQELDPTFSSTRECRLLADIAAAIDEEDVGKFTDAVKDFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Vigun09g027750.1.v1.2 pep primary_assembly:ASM411807v1:9:2283975:2284280:1 gene:Vigun09g027750.v1.2 transcript:Vigun09g027750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQVFLRFSDGYYIHQGGEEHEEHLCVVNSEINVLDFDMLMKKMERHVIEWRFLTR >Vigun01g099300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26608253:26609707:-1 gene:Vigun01g099300.v1.2 transcript:Vigun01g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVRDTDASFSPYLRPDNKATITTGPVEDAISELSIFDAHKYFNDDSGSNNTNNIQKVSISRVSPMERIPERGDVIATETTRYSSASSSVDGYAHIRNYRARSFHAATPTASSEASWNSQTGLLSHPPGAIPVSMQNPQNPKNLRNRKHSKSIWLLRRKCPCTGKKSVQIKESAQESKSQISQQERNYELHNVANHIAPNKWILNQTPASLPVPVTVTATATIAAAKSQRFHNSNSHRVTSSVRVPFTEGFTFPVLNPNNPITKLKLANGVAEEDPPRDSLEVFRPPDEPAPKPLNFPFPAKARVVEDDAASDASSDLFEIESFSTATQSTFPAAYRRNSRDSFDEASGSTSTTAFFFGRRSVDEGSTTPTITECYEPSEASIEWSVTTAEGHDEAVPGVEEKWKRKGGNGLLVSCRCEKAVSVGPQPVKCGSEGQRGATSPSASHVNAIASGGNSSKIGSVNKPPLARAHRNAPRVSLAFAT >Vigun03g400300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60717079:60718129:-1 gene:Vigun03g400300.v1.2 transcript:Vigun03g400300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQPISTLAFTLFLLLLSPPRAAVARPPPDPLRTSCAQARYPALCVQTLSNLSNPAAKPLDLAQAAVRASLARTRGLSVYLGTLKAASQGFELRQRVAVSDCVQQISDSVIELSKTLNELQHLRDETFQWQMSNVQTWTSAALTNGDTCISGFNAVAAAAGNIKLELKQRVTDVSMFTSNALYLISRLADSVKGKPRANSGN >Vigun07g028500.1.v1.2 pep primary_assembly:ASM411807v1:7:2585360:2587784:-1 gene:Vigun07g028500.v1.2 transcript:Vigun07g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGEYFVLLLLWLASIFLLRVILRKVGMRARLPPSPTPLPVLGHLHLLTNLPHQAFHAISSRHGPLVYLVFGSNPCVLVSSPEMATQCLKTNESCFLNRPKRTNLDYITYGSSDFVLAPYGPYWRFMKRVCMTELLGSRMLRHHLPIRAQETRLFLNTIMQKAGSREDVNVGKELAMLTNNIITRMALRRRCCDDVEGEGHQLIQLVKEMTVLGGKFNLGDMLWFVKKLDLQGFGKKLESVRSRYDAIMEKIIKEHEDARRNKSGDGDETVKDLLDILLDIYADEHSEIRLTRENIKAFIMNMFGAGTETSATTIEWGLAELINHPEMMVKAREEIESVVGKKRLVEESDIPNLPYVQSIVKETMRLHPTGPLIVRQCTEDCNVKGYEIPAKTTVFVNVWAIGRDPKYWENPLEFKPERFLDEEGRIPLDLKGQHFELLSFGAGRRSCPGASLALQIIPTTLAAMIQCFEWKVGEDGKGVVDMEEGPGMALPRAHPLLCVPVVRFPPLV >Vigun10g038700.1.v1.2 pep primary_assembly:ASM411807v1:10:5300830:5303504:1 gene:Vigun10g038700.v1.2 transcript:Vigun10g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSKLSRKYDVLINFNGEDIRRKFVSHLDSAFSAVGLTTFLPHDNAMKSTHIQQPIVDLCRIAIVVFTKTYSQSARCLHQLQQIIEWHETYCRHVLPVYYETQPSDVRLQKGDFGEAFKATAHQTFSGQELEHGMSRWSYALTKAANFFGLDDSNYRSDAELVEKIVKSVLNLPILSAAKFPVGLQSRVEDVIQIMEKKSWRVFTIVIFGRGGSGKTTLAKAIYNQIHGTFTEKSFIEDIAQVRRNRAYAHLQKLLSDVLKTKVEMHSFEMGANMIRERLSGKRMLIVLDDLNEYTPFLELCACFGGGTVVIITTRNESIRNIYPVGSVFRIKLLNANESLELLSWNAFREAKPKEEYNDLAKSVAFHCGGLPLALEIIGNCLFQSTKEEWNSILCKLARIPQHHVEPKLKISFEGLRNQMEKDLFLDVCCSFVGEGRTFVKKILNGCGIYDDDSGIRVLIERGLVKVKKNNKLGMHHLLQKMGRQIIHEIDKDEMWKERQMRFDGAGYVLTNNRGTRVTDRLPVKLRSTRREPSRLQKLDGNSEYHSKKLRWISLQGFHENYQIFNEFSLHDAIAIDLDHNLLQFDWKQPQVLRWLKVLNLSHSKYLTETPDFSGLQSLEQLFLKNCQRLRKVHRSIGCLCYLILLNLKNCTSLSNLPREIYTLNSLRTLILSGCSKIDLMEKDIVRMKSLITLIAENTVVKQVPFSIVSSKAIGYISLRGFERFSCNLFPSIIIRS >Vigun05g279300.5.v1.2 pep primary_assembly:ASM411807v1:5:46867123:46870851:-1 gene:Vigun05g279300.v1.2 transcript:Vigun05g279300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSLFKAQREIRLIVRMMMIGQEKGVKPVLLKFGLALALSFAGFLYSRFRIRRIKPSKSRKGGSFGHESEVNSGGGIGAALSTCNTVSAGNFLCSEETCTNRVITGNSPLALSRDSTQNGDRDEFLLPEFNDLLKVVDFGASVVRNSSKRNMEAPWLKEYADPEKDDYEQEVIELRNMIRMLQDREQSLEVQLLEYCGLREQETAVMELQNRLKASTVEVKMFNLKVKTLQSENLRLKEQVSDHTKVLAELESAKAQVKLLNEKIRCEVEQNREQIMSLQQKVSRLQDQQCKDAAACDQDIQIKLQKLKELESEAEELRKSNLRLHIENSHLVRRLDSTQILANAVLEDPEVDAVKQESECLKQENEHLKKEIEQLYSDRCSDLEELVYMRWINACLRYELRNYEAPPGKTVAKDLSRSLSPTSERKAKQLILEYANADAPGNIVDFDIDQWSSSQASSLTDIGECDDFSSVDNSSAAKTNTISQTKLLSKLRQLIQGKDSGHHHSHVSSQEKIRRQNSNLQQQSTSTGIEGLRSEFSTPIATSRTSLDFSRLTCTQEEVNRRNSDSAFMESSKNFSARKSSSFSDSLGLEKNNLEKYAEALKDSSVSARQQRRSRSASCS >Vigun05g279300.6.v1.2 pep primary_assembly:ASM411807v1:5:46867123:46870851:-1 gene:Vigun05g279300.v1.2 transcript:Vigun05g279300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSLFKAQREIRLIVRMMMIGQEKGVKPVLLKFGLALALSFAGFLYSRFRIRRIKPSKSRKGGSFGHESEVNSGGGIGAALSTCNTVSAGNFLCSEETCTNRVITGNSPLALSRDSTQNGDRDEFLLPEFNDLLKVVDFGASVVRNSSKRNMEAPWLKEYADPEKDDYEQEVIELRNMIRMLQDREQSLEVQLLEYCGLREQETAVMELQNRLKASTVEVKMFNLKVKTLQSENLRLKEQVSDHTKVLAELESAKAQVKLLNEKIRCEVEQNREQIMSLQQKVSRLQDQQCKDAAACDQDIQIKLQKLKELESEAEELRKSNLRLHIENSHLVRRLDSTQILANAVLEDPEVDAVKQESECLKQENEHLKKEIEQLYSDRCSDLEELVYMRWINACLRYELRNYEAPPGKTVAKDLSRSLSPTSERKAKQLILEYANADAPGNIVDFDIDQWSSSQASSLTDIGECDDFSSVDNSSAAKTNTISQTKLLSKLRQLIQGKDSGHHHSHVSSQEKIRRQNSNLQQQSTSTGIEGLRSEFSTPIATSRTSLDFSRLTCTQEEVNRRNSDSAFMESSKNFSARKSSSFSDSLGLEKNNLEKYAEALKDSSVSARQQRRSRSASCS >Vigun05g279300.2.v1.2 pep primary_assembly:ASM411807v1:5:46867123:46870264:-1 gene:Vigun05g279300.v1.2 transcript:Vigun05g279300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSLFKAQREIRLIVRMMMIGQEKGVKPVLLKFGLALALSFAGFLYSRFRIRRIKPSKSRKGGSFGHESEVNSGGGIGAALSTCNTVSAGNFLCSEETCTNRVITGNSPLALSRDSTQNGDRDEFLLPEFNDLLKVVDFGASVVRNSSKRNMEAPWLKEYADPEKDDYEQEVIELRNMIRMLQDREQSLEVQLLEYCGLREQETAVMELQNRLKASTVEVKMFNLKVKTLQSENLRLKEQVSDHTKVLAELESAKAQVKLLNEKIRCEVEQNREQIMSLQQKVSRLQDQQCKDAAACDQDIQIKLQKLKELESEAEELRKSNLRLHIENSHLVRRLDSTQILANAVLEDPEVDAVKQESECLKQENEHLKKEIEQLYSDRCSDLEELVYMRWINACLRYELRNYEAPPGKTVAKDLSRSLSPTSERKAKQLILEYANADAPGNIVDFDIDQWSSSQASSLTDIGECDDFSSVDNSSAAKTNTISQTKLLSKLRQLIQGKDSGHHHSHVSSQEKIRRQNSNLQQQSTSTGIEGLRSEFSTPIATSRTSLDFSRLTCTQEEVNRRNSDSAFMESSKNFSARKSSSFSDSLGLEKNNLEKYAEALKDSSVSARQQRRSRSASCS >Vigun05g279300.4.v1.2 pep primary_assembly:ASM411807v1:5:46867123:46870851:-1 gene:Vigun05g279300.v1.2 transcript:Vigun05g279300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSLFKAQREIRLIVRMMMIGQEKGVKPVLLKFGLALALSFAGFLYSRFRIRRIKPSKSRKGGSFGHESEVNSGGGIGAALSTCNTVSAGNFLCSEETCTNRVITGNSPLALSRDSTQNGDRDEFLLPEFNDLLKVVDFGASVVRNSSKRNMEAPWLKEYADPEKDDYEQEVIELRNMIRMLQDREQSLEVQLLEYCGLREQETAVMELQNRLKASTVEVKMFNLKVKTLQSENLRLKEQVSDHTKVLAELESAKAQVKLLNEKIRCEVEQNREQIMSLQQKVSRLQDQQCKDAAACDQDIQIKLQKLKELESEAEELRKSNLRLHIENSHLVRRLDSTQILANAVLEDPEVDAVKQESECLKQENEHLKKEIEQLYSDRCSDLEELVYMRWINACLRYELRNYEAPPGKTVAKDLSRSLSPTSERKAKQLILEYANADAPGNIVDFDIDQWSSSQASSLTDIGECDDFSSVDNSSAAKTNTISQTKLLSKLRQLIQGKDSGHHHSHVSSQEKIRRQNSNLQQQSTSTGIEGLRSEFSTPIATSRTSLDFSRLTCTQEEVNRRNSDSAFMESSKNFSARKSSSFSDSLGLEKNNLEKYAEALKDSSVSARQQRRSRSASCS >Vigun05g279300.3.v1.2 pep primary_assembly:ASM411807v1:5:46867123:46870264:-1 gene:Vigun05g279300.v1.2 transcript:Vigun05g279300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWLKEYADPEKDDYEQEVIELRNMIRMLQDREQSLEVQLLEYCGLREQETAVMELQNRLKASTVEVKMFNLKVKTLQSENLRLKEQVSDHTKVLAELESAKAQVKLLNEKIRCEVEQNREQIMSLQQKVSRLQDQQCKDAAACDQDIQIKLQKLKELESEAEELRKSNLRLHIENSHLVRRLDSTQILANAVLEDPEVDAVKQESECLKQENEHLKKEIEQLYSDRCSDLEELVYMRWINACLRYELRNYEAPPGKTVAKDLSRSLSPTSERKAKQLILEYANADAPGNIVDFDIDQWSSSQASSLTDIGECDDFSSVDNSSAAKTNTISQTKLLSKLRQLIQGKDSGHHHSHVSSQEKIRRQNSNLQQQSTSTGIEGLRSEFSTPIATSRTSLDFSRLTCTQEEVNRRNSDSAFMESSKNFSARKSSSFSDSLGLEKNNLEKYAEALKDSSVSARQQRRSRSASCS >Vigun11g000400.3.v1.2 pep primary_assembly:ASM411807v1:11:64469:73726:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGVSRTVTKGLLMHLGCDVTTASSSEECLRVVSLEHEVVFMDVCTGLDGYELAVRIHEKFTKHQDRPLIVALTGNTKRVTKENCMRVGMDGLILKPISVDKMRGVLSELLERRVLFETV >Vigun11g000400.6.v1.2 pep primary_assembly:ASM411807v1:11:68358:73726:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGLVLLQHYVVYHPNLLPSETSIICSHP >Vigun11g000400.1.v1.2 pep primary_assembly:ASM411807v1:11:64464:73803:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGVSRTVTKGLLMHLGCDVTTASSSEECLRVVSLEHEVVFMDVCTGLDGYELAVRIHEKFTKHQDRPLIVALTGNTKRVTKENCMRVGMDGLILKPISVDKMRGVLSELLERRVLFETV >Vigun11g000400.5.v1.2 pep primary_assembly:ASM411807v1:11:68317:73803:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGLVLLQHYVVYHPNLLPSETSIICSHP >Vigun11g000400.2.v1.2 pep primary_assembly:ASM411807v1:11:64466:73822:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGVSRTVTKGLLMHLGCDVTTASSSEECLRVVSLEHEVVFMDVCTGLDGYELAVRIHEKFTKHQDRPLIVALTGNTKRVTKENCMRVGMDGLILKPISVDKMRGVLSELLERRVLFETV >Vigun11g000400.4.v1.2 pep primary_assembly:ASM411807v1:11:68358:73816:-1 gene:Vigun11g000400.v1.2 transcript:Vigun11g000400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQMPVDDLLVKYQYMSDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFRIHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRAVKISHNCPVARLRPYAGKYMPGAVVAVRVPLLHLSNFQIYDWPEVSSRNYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETDLTAEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEEATFNLHSLFREVLNLIKPVASVKKLSLTSHLASDLPMYAIGDEKRLMQTILNVVGNAVKFSKEGCISITAFIAKPESFRDPRIPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQSLATRNPAGSGLGLAICRRFVNLMEGHIWIDSEGIGKGCTATFIVRLGIPDRSNELKLPFVPKVPGNHVHGRTNFAGLKVLLMDDNGLVLLQHYVVYHPNLLPSETSIICSHP >Vigun10g039900.1.v1.2 pep primary_assembly:ASM411807v1:10:5430448:5434528:-1 gene:Vigun10g039900.v1.2 transcript:Vigun10g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRLITLTRRLLLLLPPTARPFSAAPLTSSHPSPPMLYDRLASATVAKLRRLDHPDPRFLKHGSPVPSNTDHTRVLAAPQTRVTTLPSGLRVATESSLAARTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTAKRTVRELEEEIENMGGHLNAYTSREQTTYYAKVMNKDVPKALDILADILQNSKFDDNRIIRERDVILREMEEVEGQMEEVIFDHLHATAFQYSPLGRTILGPAQNIKTITKDHLQNYIQTHYTAPRMVVAASGAVKHEEIVEEVKTLFAKLSRDPTTASQLAAKEPAIFTGSEVRILDDDIPLAQFAVAFEGAAWTDPDSVALMVMQAMLGSWNKSTGGGKHMGSALAQRVGINEVAESMMAFNTNYKDTGLFGVYAVAKKDCLDDLSYAIMYETTKLAYKVSQDDVIRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYNRRIPFAELFARIDAVDASTIKRVANRFIYDKDIVIAAMGPVQRLPDYNWFRRRTYWNRY >Vigun09g051800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5114195:5116208:1 gene:Vigun09g051800.v1.2 transcript:Vigun09g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSRTSLEPSLIYDYGLAFARNELQLEDAIYFNHEFLQSMGVSIAKHRLEILKLAKKQDGAPKSNKLSGVIKKCLKKCFSLVSRDDHDHQDTMMKKEMPPEPNWYQGKWRRARHNNEEVKGENKGVVQRSRNIALSGPLDGTNGRVLHEKMMNNSKLMMKLSGPLDGKVNNGCERVVFANANRSPIMSSAPANNGRFVGTAKSPRLSGPLDARSVVCNRSPRLPRPLDERAYSKVRADAAADYDDDDYAIWPTLFEDLKPT >Vigun01g081400.1.v1.2 pep primary_assembly:ASM411807v1:1:23024432:23029135:1 gene:Vigun01g081400.v1.2 transcript:Vigun01g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPARTGRQRQRYEDNLRLVSGCIPYRWRKDDTDLMGGTEIMEVLMISSPKRDDLVFPKGGWEDDETVTEAACREALEEAGVKGILREIPLGIWEFKSKSSQDLCSIEGGCRGYMFALEVTEELEHWPEQKNRSRRWLNIKEAFRLSRYDWMCNALEAFLRVMEEDRKVDEKQEQNTELEQPSIMVADVSECQTMSPNCYKRSSTMQHHGMPPSVNLLSRATQEIAIHFGY >Vigun11g158700.1.v1.2 pep primary_assembly:ASM411807v1:11:36680391:36682441:1 gene:Vigun11g158700.v1.2 transcript:Vigun11g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERNSGSKSSKWCLQGMTALVTGGSKGIGYAIVEELAQLGATVHTCSRNEAELNESLKEWTTKGYRVTGSVCDVASRVEREELIARVSSQFNGKLNILVNNVGTNIQKQTLDFTAEDFAFLMNTNLESGFHLSQLAYPLLKASEAASIIFISAVASFVATNIVSVVYSATKGAMNQVTKNLACEWAKDNIRTNCVAPGPIRTPLGDKHFKEETLMNAVVSQTPLARIGEAEEVSSLVAFLCSPAASYITGQIICVDGGYAVKGLHV >Vigun09g183900.1.v1.2 pep primary_assembly:ASM411807v1:9:35768959:35771728:-1 gene:Vigun09g183900.v1.2 transcript:Vigun09g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLNREQYVYLAKLSEQAERYEEMVEFMQKVVVGWTPATELSVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVALVKHYRSKVETELSNVCASILNLLDSNLVPSASNTESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDVAYADLPPTHPIRLGLALNFSVFYYEILNQSDKACGMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >Vigun07g108225.1.v1.2 pep primary_assembly:ASM411807v1:7:19993171:19994502:1 gene:Vigun07g108225.v1.2 transcript:Vigun07g108225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKESMKISRNLLNELVNIWVERRCGFRLSKEIVRFSLLDVCLGLGLKVVGTKIYLNEGFLHSECRKHFDDENVDVKMVYEFLLQHHGDLSVVDFCRLYVLICICEFLLFSCSGRIFPILFNIVDDFGSLGKYNWHLLTWKRKGGTPTSRFPRILHWMDVKVGDSVVSSSLKKKAVLHDVVVSEEELKHVVVQEVFHEYGCGFGKIQRTNEGGGNSVDEDDEVHKSNDMCDEMEERNMYARMKHQPRTRYKSRSIRTPYASYGIRRLMK >Vigun02g075600.2.v1.2 pep primary_assembly:ASM411807v1:2:22731996:22740473:-1 gene:Vigun02g075600.v1.2 transcript:Vigun02g075600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLIRLRRYACPHSRLLRLPINHFSSAVAAMVAHAKDDAYLQAAIPKRIKLFETIQAEQRTQRLSLSPDPIKVTLPDGGVREAKKWLSTPLDVAREISKNLANNALIAKVNGVLWDMARPLEDDCQLQIFKFDDDEGRDTFWHSSAHILGQSLETEYGCKLCIGPCTTRGEGFYYDAFYGDLGLNDDHFKQIEAGALKAVAEKQPFERIEVTRDQALEMFSDNKFKIEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSAYWRGDKDRESLQRVYGISYPDQKSLKEYLHRLEEAKKYDHRILGVKQELILHHEWSPGSWFFLPHGTRVYNKLMDFIRNQYKDRGYQEVISPNVFNMDLWVQSGHAANYREDMFILEIDKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIKDEVRNALSFINYVYNIFGFTYELKLSTRPEKYLGDIATWDKAESALKEALDDFGKPWQLNEGDGAFYGPKIDISVSDALSRKFQCATLQLDFQLPDRFKLEFSAEDEAKIERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVRSSEFYALFFYIFAYNY >Vigun02g075600.1.v1.2 pep primary_assembly:ASM411807v1:2:22729278:22740473:-1 gene:Vigun02g075600.v1.2 transcript:Vigun02g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLIRLRRYACPHSRLLRLPINHFSSAVAAMVAHAKDDAYLQAAIPKRIKLFETIQAEQRTQRLSLSPDPIKVTLPDGGVREAKKWLSTPLDVAREISKNLANNALIAKVNGVLWDMARPLEDDCQLQIFKFDDDEGRDTFWHSSAHILGQSLETEYGCKLCIGPCTTRGEGFYYDAFYGDLGLNDDHFKQIEAGALKAVAEKQPFERIEVTRDQALEMFSDNKFKIEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSAYWRGDKDRESLQRVYGISYPDQKSLKEYLHRLEEAKKYDHRILGVKQELILHHEWSPGSWFFLPHGTRVYNKLMDFIRNQYKDRGYQEVISPNVFNMDLWVQSGHAANYREDMFILEIDKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIKDEVRNALSFINYVYNIFGFTYELKLSTRPEKYLGDIATWDKAESALKEALDDFGKPWQLNEGDGAFYGPKIDISVSDALSRKFQCATLQLDFQLPDRFKLEFSAEDEAKIERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVKDQIHQAGFHIDADTTDRKIQKKVREAQLAQYNYILVVGEEEANTGQVSVRVRDKGDHNVMSIENLLKHFRDEAAAYH >Vigun01g142700.2.v1.2 pep primary_assembly:ASM411807v1:1:32393948:32396855:-1 gene:Vigun01g142700.v1.2 transcript:Vigun01g142700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKSLFFSQIHDPLFHFALLQRTAPIIITSFIHSTIFSLTLYNNFSSLLPFHTSLSPSSTPTSLVIMSFRGLSRPNASSGMGVADHSKNTFMELKQKKVHRYVIFKVDEKKREVVVEKTGGPAESYDDFAASLPENDCRYAVFDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATTKDRFRRELDGVHYEIQATDPTEMDLEVLRDRAH >Vigun06g130500.1.v1.2 pep primary_assembly:ASM411807v1:6:25666597:25675399:1 gene:Vigun06g130500.v1.2 transcript:Vigun06g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALMSLIARCWKPFGLGDDANAGRECKDGLLWFRDIGRFAAGDFSMAVVQANQVLEDQSQIESGPFGTFVGVYDGHGGPDCSRYVCDNLFRNLQAILAESHNSVVTSEAIQQAFRRTEEGFTALVSELWSSRPQIATTGTCCLVGVICRQTLFVASLGDSRAVLGRRVGNTGGMAAMQLSTEHNANIEAVRQELKELHPDDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHAQFNREPINAKFRLPEPMNMPFLSANPTVISHPIQPNDSFLIFASDGLWEHLSNDQAVDIVHSSPRAGSAKRLIKAALQEAARKREMRYSDLYKIDKKVRRHFHDDITVIVLFLNHDLISRGAVLNSPLTVRSALDH >Vigun04g003000.2.v1.2 pep primary_assembly:ASM411807v1:4:222131:225377:-1 gene:Vigun04g003000.v1.2 transcript:Vigun04g003000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSFLKARSRCRFSLSSALHHSRFFFFSSQTNRVHTSTLTKPSLIHPTAAVHPDAVIGQGVSIGPFCSVGSSAKLGNGCRLYPGSHVSGSTELGDNCTLMTGAVVGDDYPGRTVIGSNNSIGYHAVIGVKPQDLKYKQEDECFLEVGDNNDIREHTSIHRSSKSTDRTVIGNGNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVEVEDNVHTAGATVVHQFCHIGSYSFLGGGSVVTQDVPTYVMVAGERAELRGLNLVGLTRSET >Vigun04g003000.1.v1.2 pep primary_assembly:ASM411807v1:4:222131:225377:-1 gene:Vigun04g003000.v1.2 transcript:Vigun04g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSFLKARSRCRFSLSSALHHSRFFFFSSQTNRVHTSTLTKPSLIHPTAAVHPDAVIGQGVSIGPFCSVGSSAKLGNGCRLYPGSHVSGSTELGDNCTLMTGAVVGDDYPGRTVIGSNNSIGYHAVIGVKPQDLKYKQEDECFLEVGDNNDIREHTSIHRSSKSTDRTVIGNGNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVEVEDNVHTAGATVVHQFCHIGSYSFLGGGSVVTQDVPTYVMVAGERAELRGLNLVGLTRCGFSSAEIRNLRTAYRNLFMRVDANAGSLEERIAEVELHEELGHVPAVRAMLQSIRNSFAENRRGICKIRHWNAS >Vigun09g190900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36556604:36562880:1 gene:Vigun09g190900.v1.2 transcript:Vigun09g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESDKRWKGKVVVELAETNAEVAWTVVEDFCSIHKWMSLDTCYQLEGTLGKPGLIRYCASTVDVENTPTLKWAKEKVLAIDPVERCLTYEIVENNMGFKFYVATLKVLSNEEGGCKIEWEFVCDPVEGWSCEGLESYVESSLKVVKKNIELAYNTP >Vigun10g174500.1.v1.2 pep primary_assembly:ASM411807v1:10:39304678:39307824:-1 gene:Vigun10g174500.v1.2 transcript:Vigun10g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGAEEASLDDDYASLIATTDVELLKRAWRNEKAAPEILRYESHLISRVKEQIELMEETVEEKSSVGNDPLSVSLYQMDLDRTLFLLRSYLRIRIQKIEKYMFHILKTEELWNRLSKDERDFARTCTSDLNQHLEETVLSKLPENYQSVLKQSVISEEEDMVPEPQLDTFVLCRSKEYLTGIQLEDGPADDRSKLFEMEPGVLHFICYRSIKALVESGKIDLL >Vigun10g131250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34012340:34012999:-1 gene:Vigun10g131250.v1.2 transcript:Vigun10g131250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSTLDATGTSTFVTSGSRKSFWDEDFEHLAHGRAYNFSAADGAALAGKTISSTREDVLRHLHQTEATTLFLVDRMETLEINESVLVDSLEKAKKEAMQLRSDVADLVKIRKTLEEKETELVSLRAKLDELMPLKAKLEELTPKVDVYETQVQELTTKCQNLENKKEELADQLCATLNQGFQLALDQVKILCPDADISLASITKEVVEGQLIEIGDE >Vigun11g104250.1.v1.2 pep primary_assembly:ASM411807v1:11:30248806:30249150:1 gene:Vigun11g104250.v1.2 transcript:Vigun11g104250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALSFLFSFTHLIYVFLASFVHLGVEIFHQKYENKYHCLFLCSTHATVLHCSLMAEFCFLLWQI >Vigun11g196700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39589934:39593462:-1 gene:Vigun11g196700.v1.2 transcript:Vigun11g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPAMTQFLNSVLSQRGPSAVPYSEDTKWLIRQHLVALTAAFPSLEPKTAAFTHNDGRSVNLLQADGTIPMTFQGVTYNIPVVIWLMESYPRHPPCVYVNPTRDMIIKRPHPHVNPSGLVSVPYLQNWTYPSSNLVDLVLNLSLHFGRDPPLYSQRRPNPNPNPNPNPNPNPNPHPHPHPHPSFGNSSSNLSSSTSSSSGYPHAQPPSRPYPPSPYPAPASRVQATEDPSEVFKRNAINKLVEMVHGDVSALRKTREGEMEGLFSLQGVLKQREETLNKGLKEMQEEMEALEQQLQIVLMNTDVLEGWLRDNQGKKLGGLDNPEDAFECADVLSKQMLDCTAADLAIEDTLYALDKSLQVGALPFDQYLRSVRALSREQFFHRATTAKVRAAQLQAQVANMAARNHHYGS >Vigun09g047700.1.v1.2 pep primary_assembly:ASM411807v1:9:4570883:4574119:-1 gene:Vigun09g047700.v1.2 transcript:Vigun09g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVRKRSCCSIQKNDFLPEESFKSWGNYTTALRETPARLKDRFLTRSSEQVEISEMTARSSHQMKKTLNWWDLIWLGVGSVVGAGIFVLTGLEARNVAGPAVILSYVVSGFSAMLSVFCYTEFAVEIPVAGGSFAYLKVELGDFVAFIAAGNILLEYVISCAAVARSWTSYFATLCNKNPDDFRIVVHNMNPDYGHLDPIAVAILALVCVMAVCSTKASSVFNYVASVIHVVIIVFIVITGLIHAKPQNYVPFAPFGVRGVFQASAVLYFAYVGFDAVATMAEETKNPSRDIPIGLVGSMLIATLAYCLLAATLCLMQPYTNIDVNAPFSVAFSAIGWDWAKYIVSLGALKGMTTVLLVTSVGQARYLTHISRTHMMPPWFAFVDERTGTPVNATISLVLISAVIGFFTELEVLSNLLSISTLFVFTLVAVALLVRRYYSSGVTTKGNQMKLIVFIVVIVGSSCGISSYWALSDGWIGYAVLCPIWVLGTGGLWLVVPQAKEPKLWGVPLVPWLPSISIFVNIFLLGSIDKDSFVRFGVWTLLLLVYYILFGLHASYDTAKEFEAQRCVQRELNSLEDGAVSSTT >Vigun06g008400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4116320:4117796:-1 gene:Vigun06g008400.v1.2 transcript:Vigun06g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLTFRSQSVPNPGQGAPLVERISEEPLALPNKSAQSTVTCIYQANVAGCWRNVSVLWCKNLMNHTLNLKVDSTGGEFSYTCKIDVKPWYFWNKKGYKSFEVDGHQVEVYWDLRSARFSGGAPEPVSDYYVAMVSEEEVALLLGDYKKKAYKRMKMRPSIVEAMLLVKRESVFAKKSFATKAKFDEKRKESDIVVESSTFGSKEPEMWISIDGIVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSVPGSGPGLFIFKPGPVEAETERDERLNEGCDSDNGSCASGYYSTLSYAPSESCLVLYAYKLD >Vigun05g155000.7.v1.2 pep primary_assembly:ASM411807v1:5:24822853:24830784:1 gene:Vigun05g155000.v1.2 transcript:Vigun05g155000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAAPSQPKPVVVRVKRKPSQSPLDAFWLEINERPLKRSLLDLGKLTISGSAQKVEFHNKKVFVQHVETISSSEVTFDIVQSFVDPDSSCASISKSKFEERKNFFKKNNKQDQLLVKAKQEKESLAKDARFEQIWKSRKGNKGTGTGTKHDKALLEICNFYDIVRVDNEEKKKEVQEQEMSLEDQRLLSSYLPLLREFIPNAAEEVEADLIAHLGGHSKEENYVYDLYTVTDEMDTNTEDTLASYPLVQVEEEEDYYDGPDDSDYESDDSNAENNPLNDYPDEVSEEDEEEVEDSGSESEGENGDASNESSNEDTEHHGFSKGDADPYDKDFDEYEGVCNDDENAEDEDWRWSYR >Vigun05g155000.1.v1.2 pep primary_assembly:ASM411807v1:5:24822853:24830784:1 gene:Vigun05g155000.v1.2 transcript:Vigun05g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAAPSQPKPVVVRVKRKPSQSPLDAFWLEINERPLKRSLLDLGKLTISGSAQKVEFHNKKVFVQHVETISSSEVTFDIVQSFVDPDSSCASISKSKFEERKNFFKKNNKQDQLLVKAKQEKESLAKDARFEQIWKSRKGNKGTGTGTKHDKALLEICNFYDIVRVDNEEKKKEVQEQEMSLEDQRLLSSYLPLLREFIPNAAEEVEADLIAHLGGHSKEENYVYDLYTVTDEMDTNTEDTLASYPLVQVEEEEDYYDGPDDSDYESDDSNAENNPLNDYPDEVSEEDEEEVEDSGSESEGENGDASNESSNEDTEHHGFSKGDADPYDKDFDEYEGVCNDDENAEDEDWRWSYR >Vigun05g155000.5.v1.2 pep primary_assembly:ASM411807v1:5:24821490:24830774:1 gene:Vigun05g155000.v1.2 transcript:Vigun05g155000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAAPSQPKPVVVRVKRKPSQSPLDAFWLEINERPLKRSLLDLGKLTISGSAQKVEFHNKKVFVQHVETISSSEVTFDIVQSFVDPDSSCASISKSKFEERKNFFKKNNKQDQLLVKAKQEKESLAKDARFEQIWKSRKGNKGTGTGTKHDKALLEICNFYDIVRVDNEEKKKEVQEQEMSLEDQRLLSSYLPLLREFIPNAAEEVEADLIAHLGGHSKEENYVYDLYTVTDEMDTNTEDTLASYPLVQVEEEEDYYDGPDDSDYESDDSNAENNPLNDYPDEVSEEDEEEVEDSGSESEGENGDASNESSNEDTEHHGFSKGDADPYDKDFDEYEGVCNDDENAEDEDWRWSYR >Vigun05g155000.8.v1.2 pep primary_assembly:ASM411807v1:5:24822853:24830784:1 gene:Vigun05g155000.v1.2 transcript:Vigun05g155000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAAPSQPKPVVVRVKRKPSQSPLDAFWLEINERPLKRSLLDLGKLTISGSAQKVEFHNKKVFVQHVETISSSEVTFDIVQSFVDPDSSCASISKSKFEERKNFFKKNNKQDQLLVKAKQEKESLAKDARFEQIWKSRKGNKGTGTGTKHDKALLEICNFYDIVRVDNEEKKKEVQEQEMSLEDQRLLSSYLPLLREFIPNAAEEVEADLIAHLGGHSKEENYVYDLYTVTDEMDTNTEDTLASYPLVQVEEEEDYYDGPDDSDYESDDSNAENNPLNDYPDEVSEEDEEEVEDSGSESEGENGDASNESSNEDTEHHGFSKGDADPYDKDFDEYEGVCNDDENAEDEDWRWSYR >Vigun05g155000.6.v1.2 pep primary_assembly:ASM411807v1:5:24822853:24830784:1 gene:Vigun05g155000.v1.2 transcript:Vigun05g155000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAAPSQPKPVVVRVKRKPSQSPLDAFWLEINERPLKRSLLDLGKLTISGSAQKVEFHNKKVFVQHVETISSSEVTFDIVQSFVDPDSSCASISKSKFEERKNFFKKNNKQDQLLVKAKQEKESLAKDARFEQIWKSRKGNKGTGTGTKHDKALLEICNFYDIVRVDNEEKKKEVQEQEMSLEDQRLLSSYLPLLREFIPNAAEEVEADLIAHLGGHSKEENYVYDLYTVTDEMDTNTEDTLASYPLVQVEEEEDYYDGPDDSDYESDDSNAENNPLNDYPDEVSEEDEEEVEDSGSESEGENGDASNESSNEDTEHHGFSKGDADPYDKDFDEYEGVCNDDENAEDEDWRWSYR >Vigun04g137301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34296969:34297940:1 gene:Vigun04g137301.v1.2 transcript:Vigun04g137301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAANGKTLNALNISSLFPLQNIQHLDLSNNGFVRSHIPQLIASLTNLRYLNLSYSHFGGSIPTQLGSLRHLLSLDLSHNYLLRGDIPYQLGSLSNLTSLDLSYSNLDGKLPCQFANLSQLRYLDLSGNSFSGALPFQVGNLPFLHTLRLGGDFDVKPKDARWLSNLSSLTHLAFNGLHNPDWLQMIHSPKLRELRLVDCALSDTHIQSLFYSPSNFSNSLTILDLSSNVLTFSTFQLLSNFSLNLQELCLYDNNIVLSSPVYSNFPSLVTLDLSYNNMTSLVFQGSFNFSSKLQNLYLRNCSLRDDNFLISAISITNSSSSQ >Vigun07g279800.1.v1.2 pep primary_assembly:ASM411807v1:7:39470513:39476412:1 gene:Vigun07g279800.v1.2 transcript:Vigun07g279800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAGLSHLFVTIFLTGFGGVIAIPSITDVTMAALCPGQDQCSLAIYLSGIQQAMAGVGSVVMTPLIGNLSDRYGRKALITLPLTLSVIPYVILAYSRETQFFYAYYVVRTLASMAGEGSFHCLALAYVADKVPDGKRTSAFGILAGVGSASFVGGTLAARFLSTALTFQVAAVLSMIALVYMRIFLKDSVPGGGVRQPLLKEVEETCAEDDSSRRATGAFKKLPSLGDLICLVKCSPTFSQAAVVSFFNSLVEGGLMAVLLYYLKARFQFNKNQFADLLMITGVGATLAQLFLMPVLVPVIGEEKLLSTGLLIGCINVLVYSIAWSGWVPYALAGCSIFTVFVRPSLCSIASKQVGPTEQGMVQGCLSGISSSANIIAPLVFSPLTALFLSENAPFYYPGFSLLCLGLAMMIAFFQSLMIRAAPPIVVGKNSSSRCTDTLV >Vigun03g387200.2.v1.2 pep primary_assembly:ASM411807v1:3:59329144:59334022:1 gene:Vigun03g387200.v1.2 transcript:Vigun03g387200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLRGRLLVDPHIVKDESNSPNLVMDNPLSQNPDSTWSRFFRNAEIEKMIDQDLSRLYPEHGNYFQTPGCQGILRRILLLWCHRHPECGYRQGMHELLAPVLYVLQYDVECLSEVRKLYEDHFTDRFDDLLCQENDLSYNFDLRKSSDSKEDGIDSNGNAMKIKSLDELDPKIQNIVLLSDAYGAEGELGIVLSEKFIEHDAYCMFDALMNGARGSIAMIDFFSYSPLPGSHTGLPPVIEASAALYHLLSHVDSSLHAHLVDLGVEPQYFALRWLRVLFGREFSLSNLLIIWDEIFLSDNSKVETHAEDNFRIFNSSRGAFISAMAVAMMLHLRSSLLAAENPTTCLQRLLNFPENTDIEKLIEKAKSLKALALSTEISSSSMPSFVEYHNQAKAVIARSNTLSSESISPKTPMNLVPESYWEKKWRVVHKAEELKQDGVEKQVPTRKKGWTEKVKFSLRRTESDPSSPRSRSGKKESKSPIRRSLLEDLSKELGFEEDTEKLNSNDNLPATVEEEQREDGSECSNCSSPADRCLSQNTSSVENSPAMSCSASPPNEANDHKNDCEKSSVGSSLSLDGISEASISSPPFDSHLPISDHPENASNTTGRNNTNSAGNSTTHSKERKLNKFQWLWKLGRNNGEFTLEKGSYASEAVKPANSCDDQSNTVPSSTANTHCSPLGCKGDSTEQDMMDTMRNIGQSMLEHIQVIEKAFQQWGEGASLDSMSENVAVGEEQVSPMSALKELRKISNLLSEI >Vigun03g387200.3.v1.2 pep primary_assembly:ASM411807v1:3:59329386:59334022:1 gene:Vigun03g387200.v1.2 transcript:Vigun03g387200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLRGRLLVDPHIVKDESNSPNLVMDNPLSQNPDSTWSRFFRNAEIEKMIDQDLSRLYPEHGNYFQTPGCQGILRRILLLWCHRHPECGYRQGMHELLAPVLYVLQYDVECLSEVRKLYEDHFTDRFDDLLCQENDLSYNFDLRKSSDSKEDGIDSNGNAMKIKSLDELDPKIQNIVLLSDAYGAEGELGIVLSEKFIEHDAYCMFDALMNGARGSIAMIDFFSYSPLPGSHTGLPPVIEASAALYHLLSHVDSSLHAHLVDLGVEPQYFALRWLRVLFGREFSLSNLLIIWDEIFLSDNSKVETHAEDNFRIFNSSRGAFISAMAVAMMLHLRSSLLAAENPTTCLQRLLNFPENTDIEKLIEKAKSLKALALSTEISSSSMPSFVEYHNQAKAVIARSNTLSSESISPKTPMNLVPESYWEKKWRVVHKAEELKQDGVEKQVPTRKKGWTEKVKFSLRRTESDPSSPRSRSGKKESKSPIRRSLLEDLSKELGFEEDTEKLNSNDNLPATVEEEQREDGSECSNCSSPADRCLSQNTSSVENSPAMSCSASPPNEANDHKNDCEKSSVGSSLSLDGISEASISSPPFDSHLPISDHPENASNTTGRNNTNSAGNSTTHSKERKLNKFQWLWKLGRNNGEFTLEKGSYASEAVKPANSCDDQSNTVPSSTANTHCSPLGCKGDSTEQDMMDTMRNIGQSMLEHIQVIEKAFQQWGEGASLDSMSENVAVGEEQVSPMSALKELRKISNLLSEI >Vigun03g387200.1.v1.2 pep primary_assembly:ASM411807v1:3:59328158:59334022:1 gene:Vigun03g387200.v1.2 transcript:Vigun03g387200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVMDPPLLESSSVLSFSSDIVFPGTEPDNRRFGDLRGLQWRINLGVLPSSSIDDLRRATANSRRRYASLRGRLLVDPHIVKDESNSPNLVMDNPLSQNPDSTWSRFFRNAEIEKMIDQDLSRLYPEHGNYFQTPGCQGILRRILLLWCHRHPECGYRQGMHELLAPVLYVLQYDVECLSEVRKLYEDHFTDRFDDLLCQENDLSYNFDLRKSSDSKEDGIDSNGNAMKIKSLDELDPKIQNIVLLSDAYGAEGELGIVLSEKFIEHDAYCMFDALMNGARGSIAMIDFFSYSPLPGSHTGLPPVIEASAALYHLLSHVDSSLHAHLVDLGVEPQYFALRWLRVLFGREFSLSNLLIIWDEIFLSDNSKVETHAEDNFRIFNSSRGAFISAMAVAMMLHLRSSLLAAENPTTCLQRLLNFPENTDIEKLIEKAKSLKALALSTEISSSSMPSFVEYHNQAKAVIARSNTLSSESISPKTPMNLVPESYWEKKWRVVHKAEELKQDGVEKQVPTRKKGWTEKVKFSLRRTESDPSSPRSRSGKKESKSPIRRSLLEDLSKELGFEEDTEKLNSNDNLPATVEEEQREDGSECSNCSSPADRCLSQNTSSVENSPAMSCSASPPNEANDHKNDCEKSSVGSSLSLDGISEASISSPPFDSHLPISDHPENASNTTGRNNTNSAGNSTTHSKERKLNKFQWLWKLGRNNGEFTLEKGSYASEAVKPANSCDDQSNTVPSSTANTHCSPLGCKGDSTEQDMMDTMRNIGQSMLEHIQVIEKAFQQWGEGASLDSMSENVAVGEEQVSPMSALKELRKISNLLSEI >Vigun11g091100.1.v1.2 pep primary_assembly:ASM411807v1:11:27104264:27105378:1 gene:Vigun11g091100.v1.2 transcript:Vigun11g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNQFYHISLPLLFCMGFLAFQVSCRTLQDATMYERHEEWMARYGKGYKDPQEREKRFRIFKENVNYIEAFNSAANKPYKLAINQFADLTNEEFIAPRNRFKGHMCSSIIRTTTFKYENVTAVPSTVDWRQKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLISLSEQELVDCDINGEDQGCGGGLMDDAFKFIIQNHGLNTEANYPYQGVDGKCNANAAGSHAATITGYEDVPANNEKALQKAVANQPVSVAIDASGSDFQFYKSGVFSGSCGTELDHGVTAVGYGVSDDGSEYWLVKNSWGTEWGEEGYIRMQRGVAAQEGLCGIAMMASYPTA >Vigun04g189050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41321200:41322117:-1 gene:Vigun04g189050.v1.2 transcript:Vigun04g189050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLRVAFDRLASPQFVDFFRGRKLDEKLLDNLKIMLHSINALADDAEHKQFTHPHVKAWLFSVKEAVFDAEDLLEEIDYELTRC >Vigun03g248000.1.v1.2 pep primary_assembly:ASM411807v1:3:41268186:41269564:-1 gene:Vigun03g248000.v1.2 transcript:Vigun03g248000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPTHGHTDQEQSTWYIVLRLTFDTSQIVTRFQLTMHDGVHFTLEQIPDSEADLLPFNPMLHEAWTQRDYELVHEPYTHLIPRGNWLNESLTLRQHQVPEHVQNQVDEFFSLLPPSDYAGQYPRFWFGDIVVGPSASNNSSADQTLQMLPVNVPNEEAGGSTYESQIQMGAATATPSMNANADADEEIDLELRLGRSQ >Vigun01g079200.2.v1.2 pep primary_assembly:ASM411807v1:1:22388428:22400726:-1 gene:Vigun01g079200.v1.2 transcript:Vigun01g079200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQALDLVDQPLMVSTRGEDSVNSNSSVTNGVNGDTDLKKLKKSKVSPTTPRRSSARIQALQKTEKTLAGPNAIVVKEKDKCQDNVKEEKGKKRSRKSGAGESLVVEEASVEEESVLLLKKKPSACDKDDIKPENAVGQSGDKSDTVKVKDTIRLFNKHYLQFVQEEEKRCGKVETQPKDANTSKKSSQKASKSKKGAPPVDSKKPAKRPDLKAISKMMQNSEILYSEKRIGNIPGIEVGYQFYSRAEMVAVGFHSHWLNGIDFMGQSYAKADNAEDVVYTGQGGHNLTGDKRQIRDQKLERGNLALKNCAEQCVPVRVIRGHDSSSSYSGKVYTYDGLYKVVNHWSETGIAGFKVFKFRLRRLEGQPTLTTNQVFFTYGRVPQSIAEIRGLVCEDITGGQEDIPIPATNVVDDPPVPPTGFTYCKSLKVAKNVKLPTNATGCNCKGSCNDPTTCACALRNGSDFPYVSRNGGRLVEAKDVVFECGPKCGCGPGCVNRTSQKGIRYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTEDMDIVLENNYIFEIDALQTIKGLGGRERRSQDGEIPEDILNKYDDLSSESVPEFCIDAGSTGNIARFINHCCEPNLFVQCVLSTHDDLRLARVMLFAADNIPPLQELTYDYGYALDSVLGSDGKIKQMPCYCGAADCRKRLF >Vigun01g079200.1.v1.2 pep primary_assembly:ASM411807v1:1:22388428:22400726:-1 gene:Vigun01g079200.v1.2 transcript:Vigun01g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQALDLVDQPLMVSTRGEDSVNSNSSVTNGVNGDTDLKKLKKSKVSPTTPRRSSARIQALQKTEKTLAGPNAIVVKEKDKCQDNVKEEKGKKRSRKSGAGESLVVEEASVEEESVLLLKKKPSACDKDDIKPENAVGQSGDKSDTVKVKDTIRLFNKHYLQFVQEEEKRCGKVETQPKDANTSKKSSQKASKSKKGAPPVDSKKPAKRPDLKAISKMMQNSEILYSEKRIGNIPGIEVGYQFYSRAEMVAVGFHSHWLNGIDFMGQSYAKAYNLEFPIAIAIVLSGMYEDDQDNAEDVVYTGQGGHNLTGDKRQIRDQKLERGNLALKNCAEQCVPVRVIRGHDSSSSYSGKVYTYDGLYKVVNHWSETGIAGFKVFKFRLRRLEGQPTLTTNQVFFTYGRVPQSIAEIRGLVCEDITGGQEDIPIPATNVVDDPPVPPTGFTYCKSLKVAKNVKLPTNATGCNCKGSCNDPTTCACALRNGSDFPYVSRNGGRLVEAKDVVFECGPKCGCGPGCVNRTSQKGIRYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTEDMDIVLENNYIFEIDALQTIKGLGGRERRSQDGEIPEDILNKYDDLSSESVPEFCIDAGSTGNIARFINHCCEPNLFVQCVLSTHDDLRLARVMLFAADNIPPLQELTYDYGYALDSVLGSDGKIKQMPCYCGAADCRKRLF >Vigun04g123000.1.v1.2 pep primary_assembly:ASM411807v1:4:31406923:31409169:1 gene:Vigun04g123000.v1.2 transcript:Vigun04g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSARIFSDVALRLRQNLSLRGVRVQNINIGGGMGGEIPDNKRLEYALQHLHGIGRSKAHHIVCELGVENKFVKDLSKRELYSIRELLSKYLIGNDLKKCAERDVVRLVGIQCYRGIRHVDNLPCRGQRTHTNARTRRSRKTFSGSR >Vigun07g259500.1.v1.2 pep primary_assembly:ASM411807v1:7:37635842:37638508:-1 gene:Vigun07g259500.v1.2 transcript:Vigun07g259500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEDFKLLKIQTCVLKVNIHCDGCKHKVKKLLQRIEGVYQVQIDAEQQKVTVSGSVDSATLIKKLVRAGKYAELWSQKTNQNQKQKNNNNTAKDDKNKGQKQGLAKGLDAFKNQQKFPVFSSEEDEYYSEYEDDDEDEDEEMRFLREKAHHLQMLKQQAANANNVRKSMGAIGAGAINGKMNNGGGGGNGGGGGGKKGGPNPNMGMKESPNAGLDQKTMAALKLNGGHVVGGEGLGLNLGEAKRANDIGAMMNMAGFNGNGGNVTSATVLGANNSSAMGGFPVQSNNMIPGSSAGFSNGGGQYPSSLLMNMNGFNNHPSPSPLMMNMNMNMQARQAMQQQPQMMYHRSPLIPPNTGYYYNHSNNGYNPAHAQQYSYSYGLPSYPGGDDHSATHMFSDDNTSSSCSIM >Vigun05g163200.1.v1.2 pep primary_assembly:ASM411807v1:5:26266319:26269336:-1 gene:Vigun05g163200.v1.2 transcript:Vigun05g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYSQKIDYVFKVVLIGDSAVGKTQLLARFSRNEFSFDSKATIGVEFQTKTLIIDNKTVKSQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMVRWLEELRGHADSSIIVMLIGNKSDLASLRAVPMEDAEEFAQRENLFFMETSALESTNVETCFLTILTEIYRIHAKKSLTANDDDNGGSGLLKGSRIIVSNQEIDNAGKKGCCFAS >Vigun05g163200.2.v1.2 pep primary_assembly:ASM411807v1:5:26266506:26269322:-1 gene:Vigun05g163200.v1.2 transcript:Vigun05g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYSQKIDYVFKVVLIGDSAVGKTQLLARFSRNEFSFDSKATIGVEFQTKTLIIDNKTVKSQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMVRWLEELRGHADSSIIVMLIGNKSDLASLRAVPMEDAEEFAQRENLFFMETSALESTNVETCFLTILTEIYRIHAKKSLTANDDDNGGSGLLKGSRIIVSNQEIDNAGKKGCCFAS >Vigun04g150800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36687791:36688906:1 gene:Vigun04g150800.v1.2 transcript:Vigun04g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVKISSARGLKNVNWRNGENRPYVVVWVEPGNKLSTHVDENGDTEANWDQTLVIPVPPKPLEDQTLYIDVVHAVKEEEDTKPLIGSAKLKLSEILSDAGMGERVSRSLMLKRPSGRPQGKIEVTVTIRQPQPHYPPPGGYQAPAYGVRDYSPAPQGYGYPPYGAPPPQDAYYSAAPPSGYPYNAPTQGYGAAPQQGYGVPQQGYGAPPQSGYGYNAPPQTASYGQGSSAYGYAPKEEKKKSKFGGMGAGLAVGAVAGVLGGVALVEGAEYLENKIADDAAEKVEEDLGYDGDDF >Vigun05g214900.1.v1.2 pep primary_assembly:ASM411807v1:5:40691597:40697325:-1 gene:Vigun05g214900.v1.2 transcript:Vigun05g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIASKSAAVDDSREGVARELTSSSRRTSEIKASALGSKKRVDGVWGKDKVLDGADMKVSLIGKESGGSMRLFDYQNGKKKKEKPESAVHDHPGVGRVPKGLEGEQVAAGWPTWLSSVAGEAIQGWIPRRADTFERFGKIGQGTYSSVYKARDLTHQKIVALKRVRFDNFDAESVKFMAREILVLRRLDHPNIIKLEGLITSQTSRSLYLVFEYMEHDLTGLASSPSIKFSEPQIKCYMQQLLSGLNHCHSRGVLHRDIKGSNLLIDNNGTLKIADFGLANFIDPHHKVPLTSRVVTLWYRPPELLLGASNYGVAVDLWSTGCILGELYSGRPILPGKTEVEQLHRIFKLCGSPSEDYWRKLRSPHSTVFRPPHHYRRCVAETFKEYPSAATKLIESLLALDPTLRGTAATALKSEFFSTEPLPCDPSSLPKYPPCKEMDTKFWEEATRQGADGRKEKKNGPRVRQEKEPQTFILSKDNEDCRVSMQQGQRLPNSRSRNEFFNPHREPVSGHLVFPQKVSEDHKSSVNYFSGPLYQRPMHSGPLVPGNSWEMAVKEAGEQPYVSNKAALPKLSGVASRTSLSGDKKENHVSSRPREAIQAQRSLESTNAGSESRRRHDKKRHSQRIDHRQIENARVSTQTLIQEGHGPMGNNICHLSGPLLVSSNNVDQMLKERDRKIQEYSRRARMNKSGEIARANQN >Vigun04g065800.1.v1.2 pep primary_assembly:ASM411807v1:4:7279629:7285273:1 gene:Vigun04g065800.v1.2 transcript:Vigun04g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPDPPSYTVAEEADGRAKMVEVATRENVDVLKVRTERGNSVVAMYIKNPTASLTLLYSHGNAADLGQMYELFSELSLHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYKCLQEKYGAKEEDIVLYGQSVGSGPTIDLASRLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLVIHGTADDVVDCSHGKQLWENCKQKYEPLWIKGGNHCDLELYPQYIKHLKKFVTVIEKSLHQKTGSGHIPDPQDKPRNSIDFREKSRPSMDLRENLRSVDQKEKPGASTVTDHKEKSRASIDRRDKSRKSIDRPEKAYHGADIPEKARNSIDRFGEMVRSVGLCNIDCFRPTATHA >Vigun01g246800.1.v1.2 pep primary_assembly:ASM411807v1:1:41474453:41478080:1 gene:Vigun01g246800.v1.2 transcript:Vigun01g246800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLLFEHTRKTAESNYARDPLDADNLTKLGAALVELSAFEKPQDSKAMIADAISKLEEALLINPTKHDTLWYLGNAQTSYGFLTPDISEAKDYFGRAHEYFQKAVDVDPENELYRKSLEIAVKAPELHMEIHNNELGPMSNAGSSATSKGKESKRQKNNDFKYDIFGWIILAVGIVAWVGMAKSNIPPSPPR >Vigun09g059700.2.v1.2 pep primary_assembly:ASM411807v1:9:6079010:6087856:-1 gene:Vigun09g059700.v1.2 transcript:Vigun09g059700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDPCMERILERYERYSYAERQLVVSDQPQSENWTLEHAKLKARLEVLQKNQRNFMGQDLDGLSIKELQNLEHQLDSALKHIRSRKNQLMYESISELNKKDKALQEQNNTLAKKIKEKEKALAAQQAQFERQADEMDLASSVLVPQPLETSNISDV >Vigun09g059700.1.v1.2 pep primary_assembly:ASM411807v1:9:6080934:6087856:-1 gene:Vigun09g059700.v1.2 transcript:Vigun09g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDPCMERILERYERYSYAERQLVVSDQPQSENWTLEHAKLKARLEVLQKNQRNFMGQDLDGLSIKELQNLEHQLDSALKHIRSRKNQLMYESISELNKKDKALQEQNNTLAKKIKEKEKALAAQQAQFERQADEMDLASSVLVPQPLETSNIRGSSQVGGDVGDNDGIVTPTRANAILPPWMLRPTNE >Vigun06g142700.1.v1.2 pep primary_assembly:ASM411807v1:6:26851379:26859482:-1 gene:Vigun06g142700.v1.2 transcript:Vigun06g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPKLPSFKFPSPSHCCSITMSSSIVRFGLRRVSSLSVFASAVNEAVAEPAVGSPSLGHSTRPHFPILHQEVNGSKLVYLDNAATSQKPTVVLKALQNYYEGYNSNVHRGIHFLSAKATDEYESARRKVASFINASDSREIVFTKNASEAINLVAYSWGLANLKPEDEIILTVAEHHSAIVPWQIVAEKVGAVLKFVDLNQDGIPDISKLKDMFSRKTKIVVAHHVSNVLASVLPIRDIAQWAHDVGAKVLVDACQSVPHMVVDVQSLNVDFLVASSHKMCGPTGIGFLYGKIDLLSSMPPFLGGGEMISDVYLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQTIHDYEVELGSYLYERLLSVPNIRIYGPAPSENVQRAALCSFNVENLHPTDLATFLDQQHGVAIRSGHHCAQPLHRFLGVSSSARASLYFYNTKEDVDYFIHALNDTVNFFNSFK >Vigun03g053200.1.v1.2 pep primary_assembly:ASM411807v1:3:4380611:4384082:1 gene:Vigun03g053200.v1.2 transcript:Vigun03g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTIAFPVPSSSFFTPQTWVQSRPFSLHCLGGKMEHSNNREKIKSTILHHLFQKQRQSPYYDNLCRPVSDLLPFIANGIRGVTTNPAIFQRAISSSNAYDQQLRKLVGEGKDTESVYWELVVKDIHDTCKMLEPIYNDSDGVDGYVSVAVSPKLANDTKGTIEEAKWLHKMIGCSNVYIKIPATDESILSMKEVISLGISVNATLIFCLTRYEAVIDAYLDGLEACGRTDLSKVSSAAAFYISRVDSALDTKLHQIGTSEALHLKGKGAIAQAVLAYQLYQKKFSGPRWEHLEKRGAKKQRLMWASTNVKNPEYPDAFYVDSLIGPDTISTMPEQALKAFMHHGILSRTLDAKVLEAQHIYSAIQKLGIQWPSVGSQLQLEVLDSFTKSFHNVLQCIHNKTLGQV >Vigun09g219600.1.v1.2 pep primary_assembly:ASM411807v1:9:39343266:39346189:-1 gene:Vigun09g219600.v1.2 transcript:Vigun09g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKITWKSIVLILNCYKTQSSLQESEEQVLKRGSFQRLCLSDISIPSSPQAIEDLSISLVGPKLYTFTLEELREATHSFSWSNLLGEGGFGPVYKGFVDDKLRPGLKAQSVAVKQLNLDGFQGHREWLAEIIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMARGSLENQLFRRYSAALPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDSDYTAKLSDLGLAKDGPEGEDTHVTTTCIMGTRGYAAPEYIMSGHLSTKSDVYSYGVVLLELLTGRRVVDKCRPNRERSLVEWARPLLRDQKKLHHIIDPRLEGQFPTKGALKVAALTYKCLSHHPYPRPTMSDVVKILESLQDFDDVIIGPFVYVAVSESSQ >Vigun09g219600.2.v1.2 pep primary_assembly:ASM411807v1:9:39343266:39346189:-1 gene:Vigun09g219600.v1.2 transcript:Vigun09g219600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKITWKSIVLILNCYKTQSSLQESEEQVLKRGSFQRLCLSDISIPSSPQAIEDLSISLVGPKLYTFTLEELREATHSFSWSNLLGEGGFGPVYKGFVDDKLRPGLKAQSVAVKQLNLDGFQGHREWLAEIIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMARGSLENQLFRTGYSAALPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDSDYTAKLSDLGLAKDGPEGEDTHVTTTCIMGTRGYAAPEYIMSGHLSTKSDVYSYGVVLLELLTGRRVVDKCRPNRERSLVEWARPLLRDQKKLHHIIDPRLEGQFPTKGALKVAALTYKCLSHHPYPRPTMSDVVKILESLQDFDDVIIGPFVYVAVSESSQ >Vigun11g048100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7486583:7488361:-1 gene:Vigun11g048100.v1.2 transcript:Vigun11g048100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKQLKLKNHTAMSTSKPISSFRLTSLLRSQKDPSVALQLFLNPNPNHPSARPFRHSLRSYDLIITKLAQAKMFPQMEQLLNQLHTQTRFPTPEPLLRHVIAAYARAGLPSRALRTFLSIPSPSLRSFNSLLHALLSCRDFASFTRLLPHLPRFRGPDACSYNILIHACSLTDDRDRAWKLFNEMRRRGVRPNQITFGTLINLLCKSPQLHLPKAFKVKEEMERVFKIKPNAFVYTNLIKAVCEVGDFDSAVRLKDQMVRNSLKLDAVVYNTLVSGFLKGGKKDIGFRVLEEMKSGGVKPDSVTCNVLIGEFCREGKFEEAYRVLDDGLEGVKPDVFGYNVVIGWLCKEGKWREADDLFRDMPRRQCVPDVVTYRTLFDGLCRCMQFEEAGLVLEEMIFKGYVPRSSSLNEFVGMVCKEGDFELLGKVFSGLVGGRFCCEDVWKTLVLLVCQSEKLLGDFEPFNELVLA >Vigun03g104700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9069852:9070653:-1 gene:Vigun03g104700.v1.2 transcript:Vigun03g104700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFNTHHQTRRELPESDQATVSEVLKLCLKCYKSMSLIPRLFGGRRSNVFDPFSLDVWDPFKDFPFPESGRENSALVSTRVDWKETPEAHVFTADIPGLKKEEVKVEIEDDNVLQISGERNVEKGDKNDRWHRVERSSGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKSEVKAIEISG >Vigun10g179500.1.v1.2 pep primary_assembly:ASM411807v1:10:39752336:39757504:-1 gene:Vigun10g179500.v1.2 transcript:Vigun10g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNACSFMCKSGIGGWSSCSNFSASRRRRSAPSRVTCCAPTSTSGKTHGGSVMAKEANGTSTAVGETPITGGGVRDVYGEDKATEDQFVTPWSVSVSSGYTLLRDPHFNKGLAFTEEERETHFLRGLLPPSVISQETQVKKMIQHIRQYQVPLQKYVAMMDLQGRNERLFYKLLLDHVEELLPVVYTPTVGEACQKYGSIFMHPQGLYISLREKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYSALGGVRPSACLPITIDVGTNNEKLLNEELYIGLKHKRATGQEYAELLHEFMIAVKQNYGEKVLIQFEDFANHNAFVLLEKYRSTHLVFNDDIQGTAAVVLAGLVAALKLVGGNLTDHRFLFLGAGEAGTGIAELIALETSKRTNVPVEELRKNIWLVDSKGLIVRSRKDSLQHFKRPWAHEHEPVQKLVDAINKIKPTVLIGTSGQGRAFTKEVIEAMASINAKPIILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFGPVEYGGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAAAEALASQVTQEDYNKGLIYPPFRNIRKISAHIAAKVAAKAYELGLASNLPQPKDMVKFAENSMYSPAYRNYR >Vigun10g179500.2.v1.2 pep primary_assembly:ASM411807v1:10:39752336:39757504:-1 gene:Vigun10g179500.v1.2 transcript:Vigun10g179500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNACSFMCKSGIGGWSSCSNFSASRRRRSAPSRVTCCAPTSTSGKTHGGSVMAKEANGTSTAVGETPITGGGVRDVYGEDKATEDQFVTPWSVSVSSGYTLLRDPHFNKGLAFTEEERETHFLRGLLPPSVISQETQVKKMIQHIRQYQVPLQKYVAMMDLQGRNERLFYKLLLDHVEELLPVVYTPTVGEACQKYGSIFMHPQGLYISLREKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYSALGGVRPSALLNEELYIGLKHKRATGQEYAELLHEFMIAVKQNYGEKVLIQFEDFANHNAFVLLEKYRSTHLVFNDDIQGTAAVVLAGLVAALKLVGGNLTDHRFLFLGAGEAGTGIAELIALETSKRTNVPVEELRKNIWLVDSKGLIVRSRKDSLQHFKRPWAHEHEPVQKLVDAINKIKPTVLIGTSGQGRAFTKEVIEAMASINAKPIILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFGPVEYGGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAAAEALASQVTQEDYNKGLIYPPFRNIRKISAHIAAKVAAKAYELGLASNLPQPKDMVKFAENSMYSPAYRNYR >Vigun03g230500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38383320:38384225:1 gene:Vigun03g230500.v1.2 transcript:Vigun03g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASVIAGSSTHPLDLIKVRMQLQGESAFNPGSVHAPPPKVGPISVGVKLVQQEGVTALFSGVSATILRQLLYSTTRMGLYDVLKHKWSDPNSASNTMPLTYKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPPSQRRNYKSVVDAITRMTKDEGVTILWRGSSLTVNRAMIVTASQLASYDQFKEMILEKGVMRDGLGTHVTASFAAGFVAAVMSNPVDVIKTRVMNMKVEHGAPPPYSGALDCVLKTVRAEGPMALYKGFVPTISRQGPFTVVLFVTLEQVRNLLKDF >Vigun02g033800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14268369:14269415:1 gene:Vigun02g033800.v1.2 transcript:Vigun02g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATTKFEALKGQRPSSLSINKYSHLIRKPSSSSSTSHLALTKAISNNPIITYAESPKIIHTKPRDFMALVQRLTGMSNSNDHLVDDAASSQNSGSLSSDGSNKRQGNDEQQGEDVGDGQSCVKEEPFARSNMDFSDFPIFTPNSVFTYATGSPFGFLGALLSPPGMEFMKELPEY >Vigun07g210800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33216337:33216696:1 gene:Vigun07g210800.v1.2 transcript:Vigun07g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTFPPSRHRFLAAAIIVVALCMILPVSNATQPLKLCILTTPTPTCPVKCFVANPVCGEDGVTYWCGCDEAACAGVEVERIGPCVEGNGGSAPIPGQALLLVHIVWLILLGFSVLFGLF >Vigun11g080150.2.v1.2 pep primary_assembly:ASM411807v1:11:23699835:23706315:1 gene:Vigun11g080150.v1.2 transcript:Vigun11g080150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKRFQNPHKSLLQPPANSLPSTNPVPTNETSSHTSMSITPPIDSSLPTENSQQPKTSTKYVQRESSSYWTVHAIDLEGVIKKIKVKVREVNNLPRGERIIVDFDELGMAIGEGQGVLAGYCGTLAIDSNLFPINFERWSGKTGMPDTYFLECFKEILQPRFCFKTGEASAQRYCKLTFSRKWAAHRQNLWNEFYDPTKSKNEIISNVPNGIDRTQWAHFVTYRLKPETWEICKKNRENRKKQVIPHTDGSKPMSRRRHEMFLETGQLPSRGKLYIDTHKRKDGSFVNDAAKAISC >Vigun11g080150.1.v1.2 pep primary_assembly:ASM411807v1:11:23699835:23706315:1 gene:Vigun11g080150.v1.2 transcript:Vigun11g080150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKRFQNPHKSLLQPPANSLPSTNPVPTNETSSHTSMSITPPIDSSLPTENSQQPKTSTKYVQRESSSYWTVHAIDLEGVIKKIKVKVREVNNLPRGERIIVDFDELGMAIGEGQGVLAGYCGTLAIDSNLFPINFERWSGKTGMPDTYFLECFKEILQPRFCFKTGEASAQRYCKLTFSRKWAAHRQNLWNEFYDPTKSKNEIISNVPNGIDRTQWAHFVTYRLKPETWEICKKNRENRKKQVIPHTDGSKPMSRRRHEMFLETGQLPSRGKLYIDTHKRKDGSFVNDAAKAISAQSILGGCDAWV >Vigun08g130250.1.v1.2 pep primary_assembly:ASM411807v1:8:30060047:30060688:-1 gene:Vigun08g130250.v1.2 transcript:Vigun08g130250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITFIGLDYLVAQASFVNKTFIAEYDTNPLGDHIIFIKYFTM >Vigun06g036800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15214220:15216627:1 gene:Vigun06g036800.v1.2 transcript:Vigun06g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVSLILVLLVLHSSCTVESIFEHLNQNDLNGSSLAAVKFPDHAHFNADSSSSETGCSFSKSEKFESSVGTMTSKEGTDGENGEASLAAGHHKQSVKLNLRHHSESKDAEPKKSVVDSTVRDLKRIQTLCRRVIEKKNQNTISRLEKAQEQTKKFYKPEAAAPAAPPEYFSGQLVATLESGVSLGSGEYFMDVFVGTPPKHFSLILDTGSDLNWIQCVPCYACFEQNGPYYDPKDSSSFKNITCHDPRCQLVSSPDPPQPCKAETQSCPYFYWYGDSSNTTGDLAIETFTVNLTTPKGKSELKLVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFATQLQSLYGHSFSYCLVDRNSNSSVSSKLIFGEDKELLSHPNLNFTSFVGGKENPVDTFYYVQIKSIMVGSEVLKIPEDTWHLSAQGGGGTIIDSGTTLTYFAEPAYETIKEAFMRKIKGYQLVETFPPLKPCYNVSGVEKIELPEFSILFADGAVWDFPVENYFIQIEPEDVVCLAILGTPRSALSIIGNYQQQNFHILYDVQKSRLGYAPMNCADV >Vigun11g125800.1.v1.2 pep primary_assembly:ASM411807v1:11:33315731:33318682:1 gene:Vigun11g125800.v1.2 transcript:Vigun11g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIWKHVKLLYLLLLLHLLLPVNSEHQINSSNLASINRVGSGGGGHGSGHASSMGMHGGSNGEHGNLPHSEDGSTVPLYGAAAAAGSARERNNNHHHHGKSNATLTSVCFYNCLLLLFFSAPMGIFLCT >Vigun01g009400.1.v1.2 pep primary_assembly:ASM411807v1:1:1091865:1095736:1 gene:Vigun01g009400.v1.2 transcript:Vigun01g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAEYYRSLPPVSKTYGVACLMTTSAYYLQLYDAWNIALFYEPVFKRFQVWRLITNFFFLGPFSLPFAVRLIMIAKYGVSLEKGPFDKRTADYVWMFIFGAFSLLVISAVPYFRFPFLGVSLVFMIVYVWSREFPNARINIYGVVSLKGFYLPWALLALDLIFGNPIKPDILGMVAGHIYYFLTVLHPLAGGNFKLKTPLWVHRIVAYWGEGTQINSPVQSDPSAGIVFKGRSHRLGGTERTAEQSEGNVSASSHQQQTQTNGVAFRGKSRRLNG >Vigun06g083300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21471692:21472150:1 gene:Vigun06g083300.v1.2 transcript:Vigun06g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVTVLMKQLTTARGKFHEKGRDESFDGELEKLRLVLKKIKDVFMEVKKKEEKLLDTLAEVYDHLRRLNRRKLHEDMHSICESIRDSALMLLPTLVFDDSSQGWRNISLIGRLGAVPSEYLDSRRLSPVTRSIEILFFVSPNFS >Vigun03g078900.1.v1.2 pep primary_assembly:ASM411807v1:3:6553742:6556107:-1 gene:Vigun03g078900.v1.2 transcript:Vigun03g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGSSDSLGALMTICPTTDEHSPRNNHVYGREFQSMLEGLDDEGCVEEPGHHAEKKRRLSVDQVKALEKNFEVENKLEPDRKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKLNYDTLQQDNEALLKEIKELKSRLLLQEESNTESDVSVKEEMITLQDSNPLCETAIPGSECFNKSDEVLGGFKDGSSDSDSSAILNEENANNNDNSPNNATISSSGVMQSQSFLSSSSPMNCFQFQKQFPQYVKMEEHNFLSADEACNFFSDEQAPTLQWYCSEEWS >Vigun05g054400.1.v1.2 pep primary_assembly:ASM411807v1:5:4653717:4656881:-1 gene:Vigun05g054400.v1.2 transcript:Vigun05g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMKTTPITVAGFVSTKSSTLPPFKSSFLSYRSGAPEVPGMRASYPHSLKRNCHGGGALGTQMNLYSRFARVIKSYVNIIISFFEDPKKILEQAVLDMNSDLIKVRQATAQVLASQKQLESKYQSVQNASDKWYGKAQLALRKGDEDLASEALKRRKSYADNATSLKTQLDQQKKVADDLLSKTRLLESKIQEAKSKKDTLKARAQSAKTLTVVSEMVGNISTSSALAAFDNMEEKVLTMEAQADALNQLFTDNLEGKFALLENSSVHDDLAELKKELFASSKKLELPPGRNVSSNKEFPFLAI >Vigun03g328700.1.v1.2 pep primary_assembly:ASM411807v1:3:52562262:52564890:1 gene:Vigun03g328700.v1.2 transcript:Vigun03g328700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGEIFRQNLTEFLEESPSGSPELHVLAVDDSLVDRKVIERLLKISSCKVTVVESGTRALQYLGLDGEAGSLGFDSVKVNLIMTDYSMPGMTGYELLKKIKQESSVFREIPVVIMSSENVLTRIDRCMEEGAEEFLLKPVKLSDVRRLKDFIMRGKAKEVEKKSLKRMRSIDCSPPLSATYSSISLPCDPSTSLLSTLSSKKARL >Vigun03g328700.2.v1.2 pep primary_assembly:ASM411807v1:3:52562262:52565035:1 gene:Vigun03g328700.v1.2 transcript:Vigun03g328700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGEIFRQNLTEFLEESPSGSPELHVLAVDDSLVDRKVIERLLKISSCKVTVVESGTRALQYLGLDGEAGSLGFDSVKVNLIMTDYSMPGMTGYELLKKIKESSVFREIPVVIMSSENVLTRIDRCMEEGAEEFLLKPVKLSDVRRLKDFIMRGKAKEVEKKSLKRMRSIDCSPPLSATYSSISLPCDPSTSLLSTLSSKKARL >Vigun11g221600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41343431:41345011:-1 gene:Vigun11g221600.v1.2 transcript:Vigun11g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMLVRFPPNAMSLCSQKKNKNKNSNENKTWKERRLEGKERRSVLRYPKPKSTPLLIHRRPPPQTQLEALEQVITNLEDSIEKGIRIDPEIYASLLEICYRLQAIRPGIRLHRLIPTSLLRRNFGISSKLLRLYAACGYVDDAHELFDQMYNRDTSAFPWNSLISGYAQRGLYDEAIALYFQMVEEGVEPDLFTFPRVLKVCAGIGSVRVGEEVHRHLVRAGFATDGFVLNALVDMYSKCGDIVKARKIFDKMPHRDLISWNSMLTAYVHHGLEGGAVNIFRQMILEGCEPDSVSISTILTGVSSLCLGVQIHGWVIRRGLDWNLSIANSLMVMYSSHGRLEKACWIFNLMPERDVVSWNSIISAHCKRREALAFLEQMEEAGAEPDKITFVSTLSACAHLVLVKEGERVFALMCEKYKIKPIMEHYGCMVNLYGRAGLIKKAYSIIVDGIGSEAAGPTLWGALLYACFLHGDATIGEIAANRLFDLEPDNEHNFVLLMGIYENAGRLEDMERVRMMMVDRGLDY >Vigun02g052300.1.v1.2 pep primary_assembly:ASM411807v1:2:19450979:19455926:1 gene:Vigun02g052300.v1.2 transcript:Vigun02g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPFTSLPFVFVTLLSILHLSHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTVGDYRVVTELEVYAVSIVGPFPTAVTSLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDAIPPEIGQLKSLTHLYLSFNSFKGEIPKELANLPDLRYLHLHENRLTGRIPPELGTLQNLRHLDAGNNHLVGTLRELIRIEGCFPSLRNLYLNNNYFTGGVPAQLANLTSLEILYLSYNKMAGVIPSSIAHIPKLTYLYLDHNQFSGRIPDPFYKHLFLKEMYLEGNAFRPGVNPIGFHKVLEVSDSDFLA >Vigun03g182500.1.v1.2 pep primary_assembly:ASM411807v1:3:23504985:23508862:-1 gene:Vigun03g182500.v1.2 transcript:Vigun03g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYDLVDLSGDKDNEKLTLKEASDKGNEAIKPLDRDIIFSVDELNALTKEEQMNVLYRSAPELVGWLSELNEAHRQLECEINPFLSKVKTGEIVMKGETHYFELKQLILLSYCQAITFYLLLKSEGQSVHDHPITARLEEIKKLSDQIKELDRKLPVELEDILVGNNGLETVLKSDNENSRMATDSVIKNQELPPVSAKSGDETVPSKQDMQKFGSSKEGVQKTRKVIPQKDHIGAQSLEMLKVRASLEEKLKKKGLYVAPKPSNGVKRSRPVNGQLETYDDFNDDTEDVNGEGRLINGSSYKKVSQFLNANMKKPKVVSGDDDLPKRDEIGERRRKHELRVLAGAGINTEDDDDNDEDDQMGGDLGPDEVEDADDDAGSGDSENEFYKQVEQLRAAKLAAKEAYSRTTSVSSQPETVEGKRLISSQMEKNRGLTRNRNKAKKNPRKNYKLKHQKAVKNRKGQVQSIRRPTAPYGGESTGINAAVSRSIRFKS >Vigun03g182500.2.v1.2 pep primary_assembly:ASM411807v1:3:23504985:23508862:-1 gene:Vigun03g182500.v1.2 transcript:Vigun03g182500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYDLVDLSGDKDNEKLTLKEASDKGNEAIKPLDRDIIFSVDELNALTKEEQMNVLYRSAPELVGWLSELNEAHRQLECEINPFLSKVKTGEIVMKGETHYFELKQLILLSYCQAITFYLLLKSEGQSVHDHPITARLEEIKKLSDQIKELDRKLPVELEDILVGNNGLETVLKSDNENSRMATDSVIKNQELPPVSAKSGDETPSKQDMQKFGSSKEGVQKTRKVIPQKDHIGAQSLEMLKVRASLEEKLKKKGLYVAPKPSNGVKRSRPVNGQLETYDDFNDDTEDVNGEGRLINGSSYKKVSQFLNANMKKPKVVSGDDDLPKRDEIGERRRKHELRVLAGAGINTEDDDDNDEDDQMGGDLGPDEVEDADDDAGSGDSENEFYKQVEQLRAAKLAAKEAYSRTTSVSSQPETVEGKRLISSQMEKNRGLTRNRNKAKKNPRKNYKLKHQKAVKNRKGQVQSIRRPTAPYGGESTGINAAVSRSIRFKS >Vigun01g108600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28104636:28104782:1 gene:Vigun01g108600.v1.2 transcript:Vigun01g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALDAAVWIAKMTWIALSGWISSCLTVADEFASSLRSGDIGPFHVG >Vigun08g091800.2.v1.2 pep primary_assembly:ASM411807v1:8:21385416:21391937:-1 gene:Vigun08g091800.v1.2 transcript:Vigun08g091800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSGKIEKPTHASTSLEGSLKQINVGSSNEQGLSNASNIAFTSMPPMHINAQVESVDLRIVPVYGGGIDSKSFSEPAGCRGSSGINKNKDTVPCGPIRNCGQKCIFSPHLSLEAVEKALEKGDVFKALFHVNAHNRVEAYCKIDGMPTDVLISGIPAQNRAVEGDIVAVKIDPLPLWTKMKGPNGPCNNTSTLEGCNLSTEDNDVDGKGKHKVDADHWSGLCGSYPGQNEDADQQSISYKNDSLTGKGIVCDDNTPQGSTNHLDLLGGANHGSINGHHHATPDSLKSNSCSGHIEVVNAVENMCMLVNSVPSKRPTGRVVSIIERSPRREGIVGHLNVKQWASYKEITKRDVKKNRNLVSDNDYIQLIPTDPKFPNMMLLVRKLPECIMKRLKSGDMTIEMDLVAAQIDDWIEENPFPEAHILHVFGRGGEIQTHLDAILFQNAICFSEFPPEALSCLPCVPWEVPPKEIQCRKDLRNLCLFTIDPSTATDLDDALSIEKLPNGNFRVGVHIADVSYFVLPDTALDSEAQSRSTSVYMLQRKLPMLPPLLSENIGSLSPGVDRLALSMLLDVNGEGDVVDRWIGRAVIHSCCKLSYDHAQDIIDRGFDFEGLKNTEDGYPKVYGHFEWPDVIMSLKSLYEISNVLKRKRFTDGALRLENPKVVILFDENGVPYDSKLSERKESNFLVEEYMLLANTVAAEVICRAYPDAALLRRHPEPNMRKMREFMAFCQKHGLELNTTSSGQFHWSLEQIREKLKGDPVLYYILISYATKPMQLASYFCSGDLKDSANEWGHYALAVPFYTHFTSPLRRYPDIIVHRTLLATIEAEDLYMKHQKALHVHKEMDMEKRCFTGINFDKSAAESIKGREALSAAAVKYSVPGGEMLASIAAHCNERKLASRNVKDACDKLYMWFILKKKEVLLSDARIMGLGPRFMSIYIQKLAIERRIYYDDVEGLNAEWLETTSTLVLSMSTTNRCTFRRGWSNKWRAIEEVALLSCPYNLKITTDNSNQSHAETETEIAPLVFPLTVHVLSTIPVALHAVGGDDGPLDIGVRLYMSSYFG >Vigun08g091800.1.v1.2 pep primary_assembly:ASM411807v1:8:21385416:21391937:-1 gene:Vigun08g091800.v1.2 transcript:Vigun08g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMAEGSLVERSDDGEKEKRKKRRSNRRSKQNTSSSTISEVSEAQGMLPDSGKIEKPTHASTSLEGSLKQINVGSSNEQGLSNASNIAFTSMPPMHINAQVESVDLRIVPVYGGGIDSKSFSEPAGCRGSSGINKNKDTVPCGPIRNCGQKCIFSPHLSLEAVEKALEKGDVFKALFHVNAHNRVEAYCKIDGMPTDVLISGIPAQNRAVEGDIVAVKIDPLPLWTKMKGPNGPCNNTSTLEGCNLSTEDNDVDGKGKHKVDADHWSGLCGSYPGQNEDADQQSISYKNDSLTGKGIVCDDNTPQGSTNHLDLLGGANHGSINGHHHATPDSLKSNSCSGHIEVVNAVENMCMLVNSVPSKRPTGRVVSIIERSPRREGIVGHLNVKQWASYKEITKRDVKKNRNLVSDNDYIQLIPTDPKFPNMMLLVRKLPECIMKRLKSGDMTIEMDLVAAQIDDWIEENPFPEAHILHVFGRGGEIQTHLDAILFQNAICFSEFPPEALSCLPCVPWEVPPKEIQCRKDLRNLCLFTIDPSTATDLDDALSIEKLPNGNFRVGVHIADVSYFVLPDTALDSEAQSRSTSVYMLQRKLPMLPPLLSENIGSLSPGVDRLALSMLLDVNGEGDVVDRWIGRAVIHSCCKLSYDHAQDIIDRGFDFEGLKNTEDGYPKVYGHFEWPDVIMSLKSLYEISNVLKRKRFTDGALRLENPKVVILFDENGVPYDSKLSERKESNFLVEEYMLLANTVAAEVICRAYPDAALLRRHPEPNMRKMREFMAFCQKHGLELNTTSSGQFHWSLEQIREKLKGDPVLYYILISYATKPMQLASYFCSGDLKDSANEWGHYALAVPFYTHFTSPLRRYPDIIVHRTLLATIEAEDLYMKHQKALHVHKEMDMEKRCFTGINFDKSAAESIKGREALSAAAVKYSVPGGEMLASIAAHCNERKLASRNVKDACDKLYMWFILKKKEVLLSDARIMGLGPRFMSIYIQKLAIERRIYYDDVEGLNAEWLETTSTLVLSMSTTNRCTFRRGWSNKWRAIEEVALLSCPYNLKITTDNSNQSHAETETEIAPLVFPLTVHVLSTIPVALHAVGGDDGPLDIGVRLYMSSYFG >Vigun06g040300.1.v1.2 pep primary_assembly:ASM411807v1:6:16064567:16076659:1 gene:Vigun06g040300.v1.2 transcript:Vigun06g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSTTWFFSLLVSLTIHLSGIDSARIMTLINQCNYTVWPALSNRTGFVLASGENSSVGVPENWQGRIWARTHCTTDSVTGNFSCATGDCNSGNLTCNKEGSAPETVVEFNLDGVNGSEFYYVSLLQGFNVPVIVDPVSGSGGKCESTGCGVDLNAVCPTELKVTRNEEVVGCENPCDGSDSSCEIFETACPQASINPVRPFNCSNPAYYNIVFCPKSTNASQRVGDSLIAGNGTSKWLSSSGDFAFGFYQLPNKLFLLAIWYNHIQNGSIIWYANGENLAPIGSKLELNASRGLVLRTPEGLELWRSNFTSGPVFTSQMNDDGNFQLLDQNFVSLWESFIHPTDTLVPTQALELGGELFSRQGEFNFSTGRFTLYMQQDGNLVLKLINLLSNYSNAPYFNTGTADANNKTNVGMRFVFDKSGFLYVLKKSGEKFKVSTSNETISSNDVYYKATLNFDGVLTVSYYPKDPNKEQRWVTLKTIPENICLNSTIMDGGGVCGFNSICNLKDDQRPMCNCPERYSLIDSNNMYGGCIPNFEVNCEGGGHMNSQDDYIMEELQNTDWQKSDYKTVSPYTLEECTKSCLQDCLCVLVTFSGSSCRQMKLPLINGISGKEVNVTSIMKLMKNDELLTPLPKQKMNKDHDTLIIVISVLLGFSVFVIFMLVGAMYFCFSYNRKKIKRGRTNDGVVEKNLRNFTFKELVEATRNFSEELGRGSFSIVYKGTIDITSVAVKKLDKLFEDNNKEFQTEVNVIGQTHHRNLVRLLGYCNEGQHRILVYEFMSNGTLASFLFTPLKANWRQRFDIASGIARGLVYLHEECCTQIIHCDIKPQNILLDDQCNARISDFGLAKLLLINQSHTETAIRGTKGYVAPDWFRSSPITTKVDTYSFGVLLLEIICCRRNVEKEIIDEEKGILTDWAYDCYKTRRLDILLENDVEAINNIKSFEKFVMVALWCIHEDPSLRPTMKKVLLMLEGIIDVSKPPNPYLYTSLS >Vigun02g031700.1.v1.2 pep primary_assembly:ASM411807v1:2:13439849:13445430:1 gene:Vigun02g031700.v1.2 transcript:Vigun02g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNVEDEDASNSETFAKSNREHVVRDDEVEFDLPSSSKKRKKKEEINDELPRKKKKVYRPKLVSQTPKGRKHSQAKSSTPKPSTPEQRENDIRRGGGGGSCERELFSENSSNASNEIGIQHNSMQSYQNVLSLSGLCVLKEKQIGSNFSFSFKKKRVARQRVFLQQLLTTIERRMRSKSFTRKRRHEVDFRIEGFKNKELIYRIKKIRSLMRKERKMDELELIPYKRSSLNIDVLIDEETSRVWNLLMEEEGHDENDEMKRKYWENIRKIFQSKAEEFINTMHVILGDRRFLLWKGSVLDSVIGVFLTQNVADYLSSSAFMTLASRFPLKKKEATFEKGETSSKFEEEREEKKEAEDKNESGSIKIDDEKKSNVKKTRNQEEKEKMMEEKRKHWDSLRKLYTKNFRSEEHMDSVDWEAVRVAKPSELAEAIAARGQHNIIARRIQNLLNSLMESNGSLDLEWLRYAPPKDVKEYLLTIHGLGLKSVECIRLLALHHNAFPVDINVARIVVRLGWVPIQPLPEYMQIHNLEMFPDSNKIQQYLWPRLCTLDPQQLYELHYQLITFGKVFCTKQKPNCNACPLKTECKYYESAFMRAKLALPEAKLDEEDKTVSKFALPESTSVIYEPKPCKPIIEQPPSPDRHEHVNDCKDIEDISTIMFNRQDNYNSESTQDISRSTAIITPYADHIPMPKMKDVSRLKTERLVYVLPDNHPLLSAMQCEPRQADDPCPYLLILWDRGELEESSESNLDEEANPLTIPATLMIPCRSAMRGRFPLNGTYFQVNEVFVDYASMIRPMNVPRKWLWNLEQRIVYIGTTVSSIMRGLSMGQIQDCFWNGFVCVRAFDAKTRAPRPISEILHRNTTAKLRKDKDMKK >Vigun05g114201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12069514:12070662:-1 gene:Vigun05g114201.v1.2 transcript:Vigun05g114201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEEVWCKDMVMEILSRLPVKSLLRFMCVSKYFQSLILDPHFMNSRKSINFLLSYFNESRTSCFFVPSPIRSLFEHSTSFYASSLEDSTPFFPIDIMYQSFPQRNKYRVLGSCNGLVCLAICNITGPIILHLWNPATKVVFENLHSMSELPNNINFVMLGMAMITQGMPTKWLQ >Vigun09g267300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43059649:43059774:1 gene:Vigun09g267300.v1.2 transcript:Vigun09g267300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILSEIFFSGCMINSTVRRRTHLVQSFSVAFLYWLYYVS >Vigun04g119550.1.v1.2 pep primary_assembly:ASM411807v1:4:30635690:30638341:1 gene:Vigun04g119550.v1.2 transcript:Vigun04g119550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIGMGRMSKRWHNWLIEHVRSVETFATVVSSRSDKVNHTNLVYRIVKEFWVRIRTPKSLSSNLNELEELKNLVINVARRSVCMIYTGKVSCAEVAE >Vigun09g022500.1.v1.2 pep primary_assembly:ASM411807v1:9:1788758:1789315:-1 gene:Vigun09g022500.v1.2 transcript:Vigun09g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTDRYANREYESPGKYLSNGKSSNQKGSSKGTEKSHESTGDHLSEKKRSEGKKGSRSNGRN >Vigun06g032650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13986179:13986496:-1 gene:Vigun06g032650.v1.2 transcript:Vigun06g032650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYEYKSLFVPCATYLLNLHVRPTTPGCCAEFVHAFGEANNPVAIEKRCTCLFASIPILGFYPKKLILLPTTCKIKLSFLLLKSVQWVKVVIRLLKLLKPYIYNN >Vigun03g041600.1.v1.2 pep primary_assembly:ASM411807v1:3:3266616:3269614:1 gene:Vigun03g041600.v1.2 transcript:Vigun03g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKTSVSSTTIAQSIDHNPSQFSYSSNLLGHFSSNLDNPQTKPPLQETLFSSTCPLFMFETPSLEGPATDCNSVRAENFQDAVGLSTETWLSHHQVQALPPLTVTVGLDTTNYLPPLIENVDNMVPIEVQPCTMEEQGDIALECLQRQGLNEWVETQQQCPNFLFWDSVEGHIGGEELGPNSANVEANTLSPFPSSL >Vigun04g173700.2.v1.2 pep primary_assembly:ASM411807v1:4:39782981:39789684:-1 gene:Vigun04g173700.v1.2 transcript:Vigun04g173700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEATTHAGMEIEATARPSGNGSTLPGLSPLSETLWREKANSEIIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPWADKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDRLYLLSSGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEGSDDPLDRITTAEAIRTLIDFYRTSQHSYAATQKVDEISKVKGTVLEAGGSEASFLMQSYTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLIFDNQTPDLPKIPGEYILEKVFQIDVNRSKWINLSVIFSMIVIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDLLTQSPSLRTYVSPPTK >Vigun04g173700.5.v1.2 pep primary_assembly:ASM411807v1:4:39781577:39789683:-1 gene:Vigun04g173700.v1.2 transcript:Vigun04g173700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEATTHAGMEIEATARPSGNGSTLPGLSPLSETLWREKANSEIIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPWADKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDRLYLLSSGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEGSDDPLDRITTAEAIRTLIDFYRTSQHSYAATQKVDEISKVKGTVLEAGGSEASFLMQSYTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLIFDNQTPDLPKIPGEYILEKVFQIDVNRSKWINLSVIFSMIVIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDLLTQSPSLRTYVSPPTK >Vigun04g173700.4.v1.2 pep primary_assembly:ASM411807v1:4:39781264:39789683:-1 gene:Vigun04g173700.v1.2 transcript:Vigun04g173700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEATTHAGMEIEATARPSGNGSTLPGLSPLSETLWREKANSEIIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPWADKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDRLYLLSSGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEGSDDPLDRITTAEAIRTLIDFYRTSQHSYAATQKVDEISKVKGTVLEAGGSEASFLMQSYTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLIFDNQTPDLPKIPGEYILEKVFQIDVNRSKWINLSVIFSMIVIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDLLTQSPSLRTYVSPPTK >Vigun04g173700.3.v1.2 pep primary_assembly:ASM411807v1:4:39780241:39789683:-1 gene:Vigun04g173700.v1.2 transcript:Vigun04g173700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSEATTHAGMEIEATARPSGNGSTLPGLSPLSETLWREKANSEIIGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKAKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPWADKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDRLYLLSSGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEGSDDPLDRITTAEAIRTLIDFYRTSQHSYAATQKVDEISKVKGTVLEAGGSEASFLMQSYTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLSGTICYFMVRLHPGFWHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLIFDNQTPDLPKIPGEYILEKVFQIDVNRSKWINLSVIFSMIVIYRIIFFIMIKINEDVTPWVRGYLARRRMQQKSGAQNTTIAPDLLTQSPSLRTYVSPPTK >Vigun05g192200.1.v1.2 pep primary_assembly:ASM411807v1:5:37353363:37360962:1 gene:Vigun05g192200.v1.2 transcript:Vigun05g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGLNGVKVGTTASQAYLEGKAVKETRALMAELCRHFYTLGWVTGTGGSITMRVHDDSIPKPQQLILMSPSGVQKERMEAEDMYVLSIMGSILSAPSPKPYPHKPPKCTDCDPLFRKAYEMRDAGAVFHSHGIESCLVTMINPLSKEFRITHMEMIKGIKGHGYYDELVVPIIENTAYEYQLTESFAKAIEDYPKATAVLVRNHGIFVWGDSWISAKTQSECYHYLFDAALKLHQMGLDWSTPNHGPIQSARRGLSIAGESNVSVKASKSNGEIDPFPRCIVLDIEGTTTPISFVSEVLFPYARDNVGKHLSLTYDTPDTKADIELLRSQVQSDLEQGIAGAVPIPPDDAGKEEVIAGIVANVDAMIKADRKITALKELQGHIWKTGYENNELEGIVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLIFGKTNHGDLRKFLSGFFDTTVGNKKETRSYVEISESLGVDKPSDILFVTDVYQEATAAKAAGLEVIISIRPGNGPLPDNHGFKTIKSFSEI >Vigun01g206900.1.v1.2 pep primary_assembly:ASM411807v1:1:38262018:38268689:-1 gene:Vigun01g206900.v1.2 transcript:Vigun01g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSKAVKGTGFPLPRCPSSNSNASSSSLDLWSQIIAAEPPQPQRIDVVYRRRHPRNPHNQTNSNPSDPPLPRPTRPSLDPNKRVSWNRSLSSRGRTSIAVGACMVYQPQLKKDKRKGKPALPKGKLVQPPNVEKEKLYFEEVDAFELLEESPSPKKSTWITGDITQEGPLPTICSRLEKWLHSRRLNSSYGPSTTLSKILDASSTRLEGIQDIDFSTPELRILETNEKNRSLLQTIKTGDSIKTGDRKTSVGNDIHQQQTNEKMLFAHSGEGCEDINAAVTKLSLASTSSSIDNDHISPFSALLATCGQSAPSLLQDIFSSVSKTIVKVGEGTFGEAFKVNNYVCKIVPFDGDFRVNGEIQKRSEELLEEVLLCKTLNLLRGKEGASDNLCSAFIDCIEFRVCQGPYDEELIRAWEDWDLKHGSENDHPKEFPDKQCYVVFVQEHGGKDLEGFALLNFDEARALLAQVTAGLAVAESAFEFEHRDLHWGNILVGRSDSEALQFTLDGRKMLVKTYGLMISIIDFTLSRINTGDRILYLDLSSDPDLFKGPKGDKQSETYRRMKEVTEDWWEGSCPKTNVLWLIYLVDILLMKKSFERTSKHERDLRSFKKRLDKYDSSKEAISDPFFTDLFIESDAMV >Vigun07g164400.1.v1.2 pep primary_assembly:ASM411807v1:7:27676864:27678224:1 gene:Vigun07g164400.v1.2 transcript:Vigun07g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSPNRMLAFLFLTLVQIQSKVFCYQYKVGDLDAWGTPTSSNPQLYKKWSTHQNLTIGDSLLFLYPPSQDSVIQVTEESFVKCNIKDPILYMNNGNSLFNITSKGQFYFTSGVAGHCQKNQKLHIAVGEGIISENVDSADAAPASAPSYPTVFGNIPVAASTSTPATSTSSQLTSTFQVLIIGFLISAFFSSLCEY >Vigun01g096400.5.v1.2 pep primary_assembly:ASM411807v1:1:26085393:26094086:1 gene:Vigun01g096400.v1.2 transcript:Vigun01g096400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRSRSRPEYIPGHIPDPSYVRILDTTLRDGEQAPGAAMTAEQKLQIARQLAKMGVDIIEAGFPCASQEDFNAVKMIAQEVGNKCDDDGYVPVIVAICRCNEKDIRTAWEAVKEAKRPRLLPFIATSPIHMEYKLGKTKQEVLQIARHMVAFARSLGCGDIEFGAEDAARSDREFLYEIFGEVIKAGATTVVIADTVGITMPFEFGKLISDIKANTPGIENAVIAVHCHNDLGHATANTIEAARAGARQLEVTINGIGERAGNAAFEEVVMALRCRGDHVLSGLYTGINTKHILKTSKMVEEFSGMHLQPHKAIVGDNAFLHESGIHQAGLLKHRGTYEILSPEDIGYERTSGPNMVLGKLSGRQALKNRLKELGYELKVDEVESVFKNFKAMAEKRKVNNKFSFFFFISEYNIFFVVLYY >Vigun01g096400.1.v1.2 pep primary_assembly:ASM411807v1:1:26085393:26095255:1 gene:Vigun01g096400.v1.2 transcript:Vigun01g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRSRSRPEYIPGHIPDPSYVRILDTTLRDGEQAPGAAMTAEQKLQIARQLAKMGVDIIEAGFPCASQEDFNAVKMIAQEVGNKCDDDGYVPVIVAICRCNEKDIRTAWEAVKEAKRPRLLPFIATSPIHMEYKLGKTKQEVLQIARHMVAFARSLGCGDIEFGAEDAARSDREFLYEIFGEVIKAGATTVVIADTVGITMPFEFGKLISDIKANTPGIENAVIAVHCHNDLGHATANTIEAARAGARQLEVTINGIGERAGNAAFEEVVMALRCRGDHVLSGLYTGINTKHILKTSKMVEEFSGMHLQPHKAIVGDNAFLHESGIHQAGLLKHRGTYEILSPEDIGYERTSGPNMVLGKLSGRQALKNRLKELGYELKVDEVESVFKNFKAMAEKRKRVTDADLKALVSEKVCDVEPIWKLGGLEVICGTMKFSSTSIKLVSIDGSTHVACSVGKGPVDSAYKAVDLIVKEAVKVLEYSLNTVTEGTDAIATVHVVLRKENMHSDTSTLNGNIINSTFSGIGKGVDVVVSSVEAYLTALNKMLQFKE >Vigun01g096400.4.v1.2 pep primary_assembly:ASM411807v1:1:26085393:26106963:1 gene:Vigun01g096400.v1.2 transcript:Vigun01g096400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRSRSRPEYIPGHIPDPSYVRILDTTLRDGEQAPGAAMTAEQKLQIARQLAKMGVDIIEAGFPCASQEDFNAVKMIAQEVGNKCDDDGYVPVIVAICRCNEKDIRTAWEAVKEAKRPRLLPFIATSPIHMEYKLGKTKQEVLQIARHMVAFARSLGCGDIEFGAEDAARSDREFLYEIFGEVIKAGATTVVIADTVGITMPFEFGKLISDIKANTPGIENAVIAVHCHNDLGHATANTIEAARAGARQLEVTINGIGERAGNAAFEEVVMALRCRGDHVLSGLYTGINTKHILKTSKMVEEFSGMHLQPHKAIVGDNAFLHESGIHQAGLLKHRGTYEILSPEDIGYERTSGPNMVLGKLSGRQALKNRLKELGYELKVDEVESVFKNFKAMAEKRKRVTDADLKALVSEKVCDVEPIWKLGGLEVICGTMKFSSTSIKLVSIDGSTHVACSVGKGPVDSAYKAVDLIVKEAVKVLEYSLNTVTEGTDAIATVHVVLRKENMHSDTSTLNGNIINSTFSGIGKGVDVVFSSVEAYLVALNKMLDSKK >Vigun01g096400.3.v1.2 pep primary_assembly:ASM411807v1:1:26085393:26095177:1 gene:Vigun01g096400.v1.2 transcript:Vigun01g096400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRSRSRPEYIPGHIPDPSYVRILDTTLRDGEQAPGAAMTAEQKLQIARQLAKMGVDIIEAGFPCASQEDFNAVKMIAQEVGNKCDDDGYVPVIVAICRCNEKDIRTAWEAVKEAKRPRLLPFIATSPIHMEYKLGKTKQEVLQIARHMVAFARSLGCGDIEFGAEDAARSDREFLYEIFGEVIKAGATTVVIADTVGITMPFEFGKLISDIKANTPGIENAVIAVHCHNDLGHATANTIEAARAGARQLEVTINGIGERAGNAAFEEVVMALRCRGDHVLSGLYTGINTKHILKTSKMVEEFSGMHLQPHKAIVGDNAFLHESGIHQAGLLKHRGTYEILSPEDIGYERTSGPNMVLGKLSGRQALKNRLKELGYELKVDEVESVFKNFKAMAEKRKWDWKRSRCCCFQCRGLSYCTKQNAPVQGMIYV >Vigun08g140700.1.v1.2 pep primary_assembly:ASM411807v1:8:31285258:31287848:-1 gene:Vigun08g140700.v1.2 transcript:Vigun08g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFAVAAALFTLSILLTLVSSHYSSSSSDYPPSLQASPEFTEWRSAHATYYAAADPRDAVGGACGYGDLVKGGYGMATVGLSETLFERGQICGACFELSCVDDNRWCIPGTSIIVTATNFCAPNYGFTNDGGGHCNPPNKHFVLPIESFEKIAIWKAGNMPVKYRRIKCRKEGGIRFTVTGSGIFISVLISNVAGHGDIVAVKVKGSRTGWLPMGRNWGQIWHVNALLQNQPLSFEVTSSDGKTLTSYNVAPKDWTFGQTFEGKQYDT >Vigun05g153200.2.v1.2 pep primary_assembly:ASM411807v1:5:24325901:24336905:-1 gene:Vigun05g153200.v1.2 transcript:Vigun05g153200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFATKEDINSSQATLSSSYNQEELDEMLAASIAAEENGKHARKEVPYIVINPSEEEHGAEEQILLPSVNAEVDMAVLAALPQSMQLDILAQLKGKKKEGLLKEVYNHEQHDVDYRGKGKGVLLSEAGMVGGSSGHDSVASRTGNQDSIDEMLAAFIALEENDKLVNNASTSVGASTLEEEDVDYDEDEEMILPAVHGEVDPLVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVAFRREINEVQKAAAGGGVEGVQTSRIASEANREYIFSSSFTGDKHELTSTSLEKNKSTKQKAQGAHHSQNLANGIVAGNDFNKSSELVCNEPGEPSDENIQTYLDERGRFRVSRLRAMGMRMTRDIQRNLDLMKEFEQERAHVNKASNIGTMKNGENKGSSESSGIQLVDKSKEVNVDLVGENVQNEQNMLDQSASIDISFEYGHENEFANGEDDIFSSLVGGNPVAIFGTDDSAATVHPSHSDWEEGIVEGKSTVFHKHDKVELKPSVADDDNNNESEVEWEEGDCDGANSSLLSGKYASRGQLEEESNLQEAIRRSLESAGDGKHKCMSLVDVHSNTYENKLDHDFEHGDHSDRMALNENTGFLNNENKMEGLTLCREDGTEKKELHETEDKKHDFVSVNNAQTFPFHGSPSKPSVTFSSDKTEILIDTTCSRDSHHCSEDSISDTDIMTKDQVPMVAEKLFDKHDNDKVSFYHKNTSKAVLVGATEEGKKNYINEPEPFSNSIDTTKPTIHLVESSLKGVTEDLIEPNLASKGNDGNFFDTGNSSHGSDTVNSLGGFHALATEVSLEEEIQILGQEYINLENEQRKLERNAESVNSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELEKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEEGLLKFRKWVESPDPTILGRLDAKTGSNTKKKGAKDKINSLNCDVKESPSEQNLPHSLEENELPDYIQETRQIFFNKHRNVSKNWHIPSSFPSETVISAYCSPQVDKSTEPFTWGKPDHLVLRKLCWEKFGWIGQKADELLLPVLKEYNKRETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKLPSNMIDDSAEELSKSRKIGGEPEDSTSKISGGTDKSLEHQRKSIKQSTKRKTDTIAKEQSKKKKVNDDPSSAPGTSEMENLQPSIQTEEQCDGKALVRNTSGRGRHRGMGIKRGRDKENLRFESSSASSDIDDQGPRVHVDKVPKHVRKSLRSRKPVNYSVEDPEDEDADDVFDHSNQTGPTEENVSKIHAASEDGPTDFSAMNFPSRENSPTDPFKSEGPFFMHAGETNDPSTGNHDSSTGNHDSSDEYLKMGGGFCLDDGDTDKPDTIDDVNTATVDSTQDFSHFSDCLDETNRDKNSSDILFSGTEKSENGIGGGGDFYKFGIEPNDLVNTSSYDHSDIEILKPENAHNNSGASAGVFSGMPFLRKRKKK >Vigun05g153200.1.v1.2 pep primary_assembly:ASM411807v1:5:24325901:24336905:-1 gene:Vigun05g153200.v1.2 transcript:Vigun05g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLSPVGRRVSVETLAGKTLAVDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKVRKTAEKLLLNHLKTLRLKELADDIKSQRMQKNSNTKGQNGSNQKDFVYSDSKGSHVKELDEMAAAKFATKEDINSSQATLSSSYNQEELDEMLAASIAAEENGKHARKEVPYIVINPSEEEHGAEEQILLPSVNAEVDMAVLAALPQSMQLDILAQLKGKKKEGLLKEVYNHEQHDVDYRGKGKGVLLSEAGMVGGSSGHDSVASRTGNQDSIDEMLAAFIALEENDKLVNNASTSVGASTLEEEDVDYDEDEEMILPAVHGEVDPLVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVAFRREINEVQKAAAGGGVEGVQTSRIASEANREYIFSSSFTGDKHELTSTSLEKNKSTKQKAQGAHHSQNLANGIVAGNDFNKSSELVCNEPGEPSDENIQTYLDERGRFRVSRLRAMGMRMTRDIQRNLDLMKEFEQERAHVNKASNIGTMKNGENKGSSESSGIQLVDKSKEVNVDLVGENVQNEQNMLDQSASIDISFEYGHENEFANGEDDIFSSLVGGNPVAIFGTDDSAATVHPSHSDWEEGIVEGKSTVFHKHDKVELKPSVADDDNNNESEVEWEEGDCDGANSSLLSGKYASRGQLEEESNLQEAIRRSLESAGDGKHKCMSLVDVHSNTYENKLDHDFEHGDHSDRMALNENTGFLNNENKMEGLTLCREDGTEKKELHETEDKKHDFVSVNNAQTFPFHGSPSKPSVTFSSDKTEILIDTTCSRDSHHCSEDSISDTDIMTKDQVPMVAEKLFDKHDNDKVSFYHKNTSKAVLVGATEEGKKNYINEPEPFSNSIDTTKPTIHLVESSLKGVTEDLIEPNLASKGNDGNFFDTGNSSHGSDTVNSLGGFHALATEVSLEEEIQILGQEYINLENEQRKLERNAESVNSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELEKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEEGLLKFRKWVESPDPTILGRLDAKTGSNTKKKGAKDKINSLNCDVKESPSEQNLPHSLEENELPDYIQETRQIFFNKHRNVSKNWHIPSSFPSETVISAYCSPQVDKSTEPFTWGKPDHLVLRKLCWEKFGWIGQKADELLLPVLKEYNKRETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKLPSNMIDDSAEELSKSRKIGGEPEDSTSKISGGTDKSLEHQRKSIKQSTKRKTDTIAKEQSKKKKVNDDPSSAPGTSEMENLQPSIQTEEQCDGKALVRNTSGRGRHRGMGIKRGRDKENLRFESSSASSDIDDQGPRVHVDKVPKHVRKSLRSRKPVNYSVEDPEDEDADDVFDHSNQTGPTEENVSKIHAASEDGPTDFSAMNFPSRENSPTDPFKSEGPFFMHAGETNDPSTGNHDSSTGNHDSSDEYLKMGGGFCLDDGDTDKPDTIDDVNTATVDSTQDFSHFSDCLDETNRDKNSSDILFSGTEKSENGIGGGGDFYKFGIEPNDLVNTSSYDHSDIEILKPENAHNNSGASAGVFSGMPFLRKRKKK >Vigun05g153200.4.v1.2 pep primary_assembly:ASM411807v1:5:24325901:24336905:-1 gene:Vigun05g153200.v1.2 transcript:Vigun05g153200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLSPVGRRVSVETLAGKTLAVDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKVRKTAEKLLLNHLKTLRLKELADDIKSQRMQKNSNTKGQNGSNQKDFVYSDSKGSHVKELDEMAAAKFATKEDINSSQATLSSSYNQEELDEMLAASIAAEENGKHARKEVPYIVINPSEEEHGAEEQILLPSVNAEVDMAVLAALPQSMQLDILAQLKGKKKEGLLKEVYNHEQHDVDYRGKGKGVLLSEAGMVGGSSGHDSVASRTGNQDSIDEMLAAFIALEENDKLVNNASTSVGASTLEEEDVDYDEDEEMILPAVHGEVDPLVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVAFRREINEVQKAAAGGGVEGVQTSRIASEANREYIFSSSFTGDKHELTSTSLEKNKSTKQKAQGAHHSQNLANGIVAGNDFNKSSELVCNEPGEPSDENIQTYLDERGRFRVSRLRAMGMRMTRDIQRNLDLMKEFEQERAHVNKASNIGTMKNGENKGSSESSGIQLVDKSKEVNVDLVGENVQNEQNMLDQSASIDISFEYGHENEFANGEDDIFSSLVGGNPVAIFGTDDSAATVHPSHSDWEEGIVEGKSTVFHKHDKVELKPSVADDDNNNESEVEWEEGDCDGANSSLLSGKYASRGQLEEESNLQEAIRRSLESAGDGKHKCMSLVDVHSNTYENKLDHDFEHGDHSDRMALNENTGFLNNENKMEGLTLCREDGTEKKELHETEDKKHDFVSVNNAQTFPFHGSPSKPSVTFSSDKTEILIDTTCSRDSHHCSEDSISDTDIMTKDQVPMVAEKLFDKHDNDKVSFYHKNTSKAVLVGATEEGKKNYINEPEPFSNSIDTTKPTIHLVESSLKGVTEDLIEPNLASKGNDGNFFDTGNSSHGSDTVNSLGGFHALATEVSLEEEIQILGQEYINLENEQRKLERNAESVNSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELEKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEEGLLKFRKWVESPDPTILGRLDAKTGSNTKKKGAKDKINSLNCDVKESPSEQNLPHSLEENELPDYIQETRQIFFNKHRNVSKNWHIPSSFPSETVISAYCSPQVDKSTEPFTWGKPDHLVLRKLCWEKFGWIGQKADELLLPVLKEYNKRETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKLPSNMIDDSAEELSKSRKIGGEPEDSTSKISGGTDKSLEHQRKSIKQSTKRKTDTIAKEQSKKKKVNDDPSSAPGTSEMENLQPSIQTEEQCDGKALVRNTSGRGRHRGMGIKRGRDKENLRFESSSASSDIDDQGPRVHVDKVPKHVRKSLRSRKPVNYSVEDPEDEDADDVFDHSNQTGPTEENVSKIHAASEDGPTDFSAMNFPSRENSPTDPFKSEGPFFMHAGETNDPSTGNHDSSTGNHDSSDEYLKMGGGFCLDDGDTDKPDTIDDVNTATVDSTQDFSHFSDCLDETNRDKNSSDILFSGTEKSENGIGGGGDFYKFGIEPNDLVNTSSYDHSDIEILKPENAHNNSGASAGVFSGMPFLRKRKKK >Vigun05g153200.5.v1.2 pep primary_assembly:ASM411807v1:5:24325901:24336905:-1 gene:Vigun05g153200.v1.2 transcript:Vigun05g153200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLSPVGRRVSVETLAGKTLAVDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKVRKTAEKLLLNHLKTLRLKELADDIKSQRMQKNSNTKGQNGSNQKDFVYSDSKGSHVKELDEMAAAKFATKEDINSSQATLSSSYNQEELDEMLAASIAAEENGKHARKEVPYIVINPSEEEHGAEEQILLPSVNAEVDMAVLAALPQSMQLDILAQLKGKKKEGLLKEVYNHEQHDVDYRGKGKGVLLSEAGMVGGSSGHDSVASRTGNQDSIDEMLAAFIALEENDKLVNNASTSVGASTLEEEDVDYDEDEEMILPAVHGEVDPLVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVAFRREINEVQKAAAGGGVEGVQTSRIASEANREYIFSSSFTGDKHELTSTSLEKNKSTKQKAQGAHHSQNLANGIVAGNDFNKSSELVCNEPGEPSDENIQTYLDERGRFRVSRLRAMGMRMTRDIQRNLDLMKEFEQERAHVNKASNIGTMKNGENKGSSESSGIQLVDKSKEVNVDLVGENVQNEQNMLDQSASIDISFEYGHENEFANGEDDIFSSLVGGNPVAIFGTDDSAATVHPSHSDWEEGIVEGKSTVFHKHDKVELKPSVADDDNNNESEVEWEEGDCDGANSSLLSGKYASRGQLEEESNLQEAIRRSLESAGDGKHKCMSLVDVHSNTYENKLDHDFEHGDHSDRMALNENTGFLNNENKMEGLTLCREDGTEKKELHETEDKKHDFVSVNNAQTFPFHGSPSKPSVTFSSDKTEILIDTTCSRDSHHCSEDSISDTDIMTKDQVPMVAEKLFDKHDNDKVSFYHKNTSKAVLVGATEEGKKNYINEPEPFSNSIDTTKPTIHLVESSLKGVTEDLIEPNLASKGNDGNFFDTGNSSHGSDTVNSLGGFHALATEVSLEEEIQILGQEYINLENEQRKLERNAESVNSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELEKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEEGLLKFRKWVESPDPTILGRLDAKTGSNTKKKGAKDKINSLNCDVKESPSEQNLPHSLEENELPDYIQETREMLARIGISLLLFQVKQLYLLIVLHKLINQPSLSHGESQIILFFGNCAGRNLAGLARKQMNCYYLC >Vigun05g153200.3.v1.2 pep primary_assembly:ASM411807v1:5:24325901:24336905:-1 gene:Vigun05g153200.v1.2 transcript:Vigun05g153200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRVMFIVLNYLFRAAAKFATKEDINSSQATLSSSYNQEELDEMLAASIAAEENGKHARKEVPYIVINPSEEEHGAEEQILLPSVNAEVDMAVLAALPQSMQLDILAQLKGKKKEGLLKEVYNHEQHDVDYRGKGKGVLLSEAGMVGGSSGHDSVASRTGNQDSIDEMLAAFIALEENDKLVNNASTSVGASTLEEEDVDYDEDEEMILPAVHGEVDPLVLASLPPSMQLDLLVQMRERLIAENRQKYQKVKKDPAKFSELQIQAYLKTVAFRREINEVQKAAAGGGVEGVQTSRIASEANREYIFSSSFTGDKHELTSTSLEKNKSTKQKAQGAHHSQNLANGIVAGNDFNKSSELVCNEPGEPSDENIQTYLDERGRFRVSRLRAMGMRMTRDIQRNLDLMKEFEQERAHVNKASNIGTMKNGENKGSSESSGIQLVDKSKEVNVDLVGENVQNEQNMLDQSASIDISFEYGHENEFANGEDDIFSSLVGGNPVAIFGTDDSAATVHPSHSDWEEGIVEGKSTVFHKHDKVELKPSVADDDNNNESEVEWEEGDCDGANSSLLSGKYASRGQLEEESNLQEAIRRSLESAGDGKHKCMSLVDVHSNTYENKLDHDFEHGDHSDRMALNENTGFLNNENKMEGLTLCREDGTEKKELHETEDKKHDFVSVNNAQTFPFHGSPSKPSVTFSSDKTEILIDTTCSRDSHHCSEDSISDTDIMTKDQVPMVAEKLFDKHDNDKVSFYHKNTSKAVLVGATEEGKKNYINEPEPFSNSIDTTKPTIHLVESSLKGVTEDLIEPNLASKGNDGNFFDTGNSSHGSDTVNSLGGFHALATEVSLEEEIQILGQEYINLENEQRKLERNAESVNSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELEKLVDGVVTDDSDVLLFGARSVYKNIFDDRKYVETYFMEDIEKELGLTREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEEGLLKFRKWVESPDPTILGRLDAKTGSNTKKKGAKDKINSLNCDVKESPSEQNLPHSLEENELPDYIQETRQIFFNKHRNVSKNWHIPSSFPSETVISAYCSPQVDKSTEPFTWGKPDHLVLRKLCWEKFGWIGQKADELLLPVLKEYNKRETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKLPSNMIDDSAEELSKSRKIGGEPEDSTSKISGGTDKSLEHQRKSIKQSTKRKTDTIAKEQSKKKKVNDDPSSAPGTSEMENLQPSIQTEEQCDGKALVRNTSGRGRHRGMGIKRGRDKENLRFESSSASSDIDDQGPRVHVDKVPKHVRKSLRSRKPVNYSVEDPEDEDADDVFDHSNQTGPTEENVSKIHAASEDGPTDFSAMNFPSRENSPTDPFKSEGPFFMHAGETNDPSTGNHDSSTGNHDSSDEYLKMGGGFCLDDGDTDKPDTIDDVNTATVDSTQDFSHFSDCLDETNRDKNSSDILFSGTEKSENGIGGGGDFYKFGIEPNDLVNTSSYDHSDIEILKPENAHNNSGASAGVFSGMPFLRKRKKK >Vigun11g148200.4.v1.2 pep primary_assembly:ASM411807v1:11:35751597:35758751:1 gene:Vigun11g148200.v1.2 transcript:Vigun11g148200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVFLIRLGHSLPYKPIFVCLWLQVSARLADLETTLEAGIRHRNKALASVGGPLTIWMEMVRREKSVYDTLNMLNFDVTKKCLVGEGWCPVFAKTQIQEALQRATFDSNSEVDIIFHSMDALESPPTYFRTNNFTGPYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESKLSTQRLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGASAYKCRDNTCRDARTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIILSYFNAHFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPFDNLGENQLFWGQRPLQVVLLLLSVIAVPWMLFPKPFMLKKLHNERFQGRTYGVLNSFEVDLEMEPGSTRQHDDEFNFTEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLLGLAVFSFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTEDEN >Vigun11g148200.2.v1.2 pep primary_assembly:ASM411807v1:11:35748523:35758750:1 gene:Vigun11g148200.v1.2 transcript:Vigun11g148200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRFFKDQINKAGLMSSPSVLQSDIHLEDLEIQLAEHEHELIEMNSNSEKLRQSYNELLEFKIVLEKACSFLVSSHGAVISEERELKENVFSIGNYVETPFLYEQEMTPAPSNHSGLRFISGIICKSKVLRFERMLFRATRGNMLFNQAPADEQIIDPISNEMVEKIVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITSEVSARLADLETTLEAGIRHRNKALASVGGPLTIWMEMVRREKSVYDTLNMLNFDVTKKCLVGEGWCPVFAKTQIQEALQRATFDSNSEVDIIFHSMDALESPPTYFRTNNFTGPYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESKLSTQRLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGASAYKCRDNTCRDARTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIILSYFNAHFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPFDNLGENQLFWGQRPLQVVLLLLSVIAVPWMLFPKPFMLKKLHNERFQGRTYGVLNSFEVDLEMEPGSTRQHDDEFNFTEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLLGLAVFSFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTEDEN >Vigun11g148200.3.v1.2 pep primary_assembly:ASM411807v1:11:35748782:35758750:1 gene:Vigun11g148200.v1.2 transcript:Vigun11g148200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRFFKDQINKAGLMSSPSVLQSDIHLEDLEIQLAEHEHELIEMNSNSEKLRQSYNELLEFKIVLEKACSFLVSSHGAVISEERELKENVFSIGNYVETPFLYEQEMTPAPSNHSGLRFISGIICKSKVLRFERMLFRATRGNMLFNQAPADEQIIDPISNEMVEKIVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITSEVSARLADLETTLEAGIRHRNKALASVGGPLTIWMEMVRREKSVYDTLNMLNFDVTKKCLVGEGWCPVFAKTQIQEALQRATFDSNSEVDIIFHSMDALESPPTYFRTNNFTGPYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESKLSTQRLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGASAYKCRDNTCRDARTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIILSYFNAHFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPFDNLGENQLFWGQRPLQVVLLLLSVIAVPWMLFPKPFMLKKLHNERFQGRTYGVLNSFEVDLEMEPGSTRQHDDEFNFTEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLLGLAVFSFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTEDEN >Vigun11g148200.1.v1.2 pep primary_assembly:ASM411807v1:11:35747213:35758750:1 gene:Vigun11g148200.v1.2 transcript:Vigun11g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFVDNLPPMDLMRSEKMTFVQLIIPAESSHRAITYLGELGLVQFRDLNAEKSPFQRTFVNQVKRCAEMSRKLRFFKDQINKAGLMSSPSVLQSDIHLEDLEIQLAEHEHELIEMNSNSEKLRQSYNELLEFKIVLEKACSFLVSSHGAVISEERELKENVFSIGNYVETPFLYEQEMTPAPSNHSGLRFISGIICKSKVLRFERMLFRATRGNMLFNQAPADEQIIDPISNEMVEKIVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITSEVSARLADLETTLEAGIRHRNKALASVGGPLTIWMEMVRREKSVYDTLNMLNFDVTKKCLVGEGWCPVFAKTQIQEALQRATFDSNSEVDIIFHSMDALESPPTYFRTNNFTGPYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESKLSTQRLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPYHIFGASAYKCRDNTCRDARTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGIILSYFNAHFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPFDNLGENQLFWGQRPLQVVLLLLSVIAVPWMLFPKPFMLKKLHNERFQGRTYGVLNSFEVDLEMEPGSTRQHDDEFNFTEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLLGLAVFSFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTEDEN >Vigun11g024300.3.v1.2 pep primary_assembly:ASM411807v1:11:3111121:3116377:1 gene:Vigun11g024300.v1.2 transcript:Vigun11g024300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQAKELSEGQGVPIRNLSIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWATGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESMDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWGVSPRKLGGLNFSTNDVGNVLSITGAALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALNVVIVIASILKNILSDTIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGAVLTWSQKRMDASFLPGTNMVFFVLNIVEAIGITMMFKPFLAEKKKTQSDQLH >Vigun11g024300.2.v1.2 pep primary_assembly:ASM411807v1:11:3111030:3116377:1 gene:Vigun11g024300.v1.2 transcript:Vigun11g024300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQAKELSEGQGVPIRNLSIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWATGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESMDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWGVSPRKLGGLNFSTNDVGNVLSITGAALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALNVVIVIASILKNILSDTIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGAVLTWSQKRMDASFLPGTNMVFFVLNIVEAIGITMMFKPFLAEKKKTQSDQLH >Vigun11g024300.1.v1.2 pep primary_assembly:ASM411807v1:11:3111101:3116377:1 gene:Vigun11g024300.v1.2 transcript:Vigun11g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREENMKQPLLGRKKYYENCPGCKVDQAKELSEGQGVPIRNLSIIWILVLSNALPISSLFPFLYFMVRDFNIAETEADISSYAGYIGSSFMLGRCLTSVLWGVVADRYGRKPVMFTGVVVVIIFNTLFGLSTSFWMALTTRFLLGSLNGMLGPVKAYATEIFRDEHHALGLSTVSAAWATGLIIGPALGGYLAQPVEKYPHLFTKGSLWDKFPYLLPNLVISAVAFLVIICCFWIPETLHTHNCSDESMDDAEALENGNSEAGKDMTTQKNENLLLNWPLMSSIIIYCVFSLHDIAYQEVFSLWGVSPRKLGGLNFSTNDVGNVLSITGAALIIYQISVYPFVERACGPVGIARITGMLSIPLLQSYPFIALLSGVALNVVIVIASILKNILSDTIITGLFLLQNRAVEQHQRGAANGISMTGMSLFKAIGPATGGAVLTWSQKRMDASFLPGTNMVFFVLNIVEAIGITMMFKPFLAEKKKTQSDQLH >Vigun10g006400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:628591:631464:-1 gene:Vigun10g006400.v1.2 transcript:Vigun10g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVEHNIQHIINIPEVIEPAVWPECCIYKVPTSLLKVKVVAYTPLLVSIGPVHHNNAQLEEMHEQKQRYFHFFWARLSQMSKLDLLHYKAFLQLEEQNLRRCYQKKFPDISKDQFVEIMLLDAVFIMELFLRQSKKWEHKEDYLVTQGCVGKSIQCDLMLLENQLPMTVLEKLYDKVVPSSVKSHTRFINLAHEYFGGYYPHEHTSENKFEQRKWEKSLHFTDLIRNSYLPKNLSIQKNYSHKECVLRTATKLNEAGISFDMVHDRSLLDVKFEKKRFFSWFLCLGCLPCCKLFKARFQIPQLKVDHTTECVLRNLIAFEQCHYPEEPYICNYVSLIDSLIHTKDDAELLVEKETIVHELGSDQELANLVNDLCKHVVTNSTCYHQIMEDVNEHYNNDWKWAMGTLRWVYFRDPWRSSSTIVGVAVLIFTAFNFYRVTDMLF >Vigun10g006400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:628591:631464:-1 gene:Vigun10g006400.v1.2 transcript:Vigun10g006400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVEHNIQHIINIPEVIEPAVWPECCIYKVPTSLLKVKVVAYTPLLVSIGPVHHNNAQLEEMHEQKQRYFHFFWARLSQMSKLDLLHYKAFLQLEEQNLRRCYQKKFPDISKDQFVEIMLLDAVFIMELFLRQSKKWEHKEDYLVTQGCVGKSIQCDLMLLENQLPMTVLEKLYDKVVPSSVKSHTRFINLAHEYFGGYYPHEHTSENKFEQRKWEKSLHFTDLIRNSYLPKNLSIQKNYSHKECVLRTATKLNEAGISFDMVHDRSLLDVKFEKKRFFSWFLCLGCLPCCKLFKARFQIPQLKVDHTTECVLRNLIAFEQCHYPEEPYICNYVSLIDSLIHTKDDAELLVEKETIVHELGSDQELANLVNDLCKHVVTNSTCYHQIMEDVNEHYNNDWKWAMGTLRWVYFRDPWRSSSTIVGVAVLIFTAFNFYRVTDMLF >Vigun06g211300.1.v1.2 pep primary_assembly:ASM411807v1:6:32410256:32412627:1 gene:Vigun06g211300.v1.2 transcript:Vigun06g211300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTFSFSAKTLTLTLPYKPKTQISNFYKVTNVRLRSSIVTQTRIGNRIRASLDGDFSAKRSSSNSDQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLDTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCKYPTYQPKRSAPKNESRRYERRRDGPPPDRRRPRQEAAASDSSST >Vigun06g211300.3.v1.2 pep primary_assembly:ASM411807v1:6:32410297:32412041:1 gene:Vigun06g211300.v1.2 transcript:Vigun06g211300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTFSFSAKTLTLTLPYKPKTQISNFYKVTNVRLRSSIVTQTRIGNRIRASLDGDFSAKRSSSNSDQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLDTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCKYPTYQPKRSAPKNESRRYERRRDGPPPDRRRPRQEAAASDSSST >Vigun06g211300.4.v1.2 pep primary_assembly:ASM411807v1:6:32410297:32412041:1 gene:Vigun06g211300.v1.2 transcript:Vigun06g211300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTFSFSAKTLTLTLPYKPKTQISNFYKVTNVRLRSSIVTQTRIGNRIRASLDGDFSAKRSSSNSDQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLDTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCKYPTYQPKRSAPKNESRRYERRRDGPPPDRRRPRQEAAASDSSST >Vigun04g089500.1.v1.2 pep primary_assembly:ASM411807v1:4:15925207:15927643:-1 gene:Vigun04g089500.v1.2 transcript:Vigun04g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGEPTKLRWGELEEDDGEDLDFLLPPRQVIGPDDNGIKKVIEYKFDEDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGSRLTMVSTEEILLERPKPLGSKTEEPKSGGDPLAQFQKGAVLMVCRTCGKKGDHWTSRCPYKDLAPPSEGFVDKPATLDAAAATGGATKGAYVPPGMRAGAERSSGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGPVSRVYVAIDQKTSMSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRAN >Vigun11g183100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38606516:38607086:1 gene:Vigun11g183100.v1.2 transcript:Vigun11g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKVLSAANQASSKAVDAPKGYLAVYVGEKMKRFVIPVSYLNQPSFQDLLSQVEEEYGFEHSMGGLTIPCSEDVFQHITSCLNAQ >Vigun03g190500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26122939:26125135:1 gene:Vigun03g190500.v1.2 transcript:Vigun03g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNRYRLCLMLPTLTIIIPFFAGIRLIMLNFVTLKHDMRLTNSKFQITFESYLVLFTFFFFLSYFENIVGSVYIKQRVDQGIYSSHNLWQESGDTCDPLNPLRDSSVPPQLQCTFLISSLFSLPQLNKHRHIRFFFSSKMKTNSNNTKLILFHPSLHKQTPLPTNATTLFSSHRLSLFFFFLLFSTLLFTCTLITTTISSTAASTSAAALSTLPPSVSKALLHYAAASNVSAKPMSSAELAALSKALLLTPRPNFLVFGLTHESLLWAALNHQGRTVFLDENEYAISKFEQSNPGVEAYDVQFTTKVSDFPKLLSQARAHAQADCRPVQNLLFSECKLAINDLPNHIYQVAWDVILVDGPRGYFPGAPGRMSAIFTAAVLARSAKAGKTHVFVHDFGREVERVFSDEFLCQENLVELVDSLGHFLVASEAHDAERAVFCRNSSSSFSSLPSSKDVGDEED >Vigun03g156000.1.v1.2 pep primary_assembly:ASM411807v1:3:16703311:16714485:-1 gene:Vigun03g156000.v1.2 transcript:Vigun03g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGDDVVLLDRASRATRGKRLTKLLDDEIQEDELFWNQDALKEEEEDDNYQEEPEIADEFDSDFDEDEPEPEEEEPNKYDADDRMHKKKRLVFPGKTLAVKKKKKKTLSKFEGSPKDEEHSGKAAEEQQDETGERMIRKSTRTSVIVRQAERDAIRAALQATIKPVKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKRRAIVHKNVYNGPQIQYISKNGCSYLEFIKGSSFHSEISTGPVQYPEQPVCAITGLPAKYRDPKTGLPYATKEAFKIIRERFLNESGNTRKEMSMGGLYDSVSGCGFSMKQKRSPMPDRNVNPDVRSLARFRRIPVFEDEDSD >Vigun03g156000.2.v1.2 pep primary_assembly:ASM411807v1:3:16704088:16714485:-1 gene:Vigun03g156000.v1.2 transcript:Vigun03g156000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGDDVVLLDRASRATRGKRLTKLLDDEIQEDELFWNQDALKEEEEDDNYQEEPEIADEFDSDFDEDEPEPEEEEPNKYDADDRMHKKKRLVFPGKTLAVKKKKKKTLSKFEGSPKDEEHSGKAAEEQQDETGERMIRKSTRTSVIVRQAERDAIRAALQATIKPVKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKRRAIVHKNVYNGPQIQYISKNGCSYLEFIKGSSFHSEISTGPVQYPEQPVCAITGLPAKYRDPKTGLPYATKEAFKIIRERLSYDYR >Vigun09g150550.1.v1.2 pep primary_assembly:ASM411807v1:9:31471800:31472774:-1 gene:Vigun09g150550.v1.2 transcript:Vigun09g150550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAFILYPHTHKIWCFKPSLSVTPPPQQQQQREEGTSHDDKAKLITSASDPFLKHCLKLRNSSSYCRAHASALVVAMMKSSSHSSSSFWAGLTAVGYEQCLFFTEILLGFETQ >Vigun02g153400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29969092:29970743:1 gene:Vigun02g153400.v1.2 transcript:Vigun02g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALPSSDSLLGNAFPAFDYALGPWDGPEFFSFKPTSPNPVTSSSASDDPNPTPADQKPASDQSNRVVSLTEERKRRRMISNRDSARRSRMRKQRHLENLRNQTNLFRVENRELNNGFQFLLHHCNRLRTENEWLRSERTLLRQKLADINQILLFRQLQPFSTAWPCNIVMAE >VigunL059121.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000681.1:129171:131150:1 gene:VigunL059121.v1.2 transcript:VigunL059121.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSTSLSASSSSQSTESDRSRDERLRGEGMSAGVGTSGMPMEVVREVREDPPEELEESNWPAKGGYAWVASDVRDQSSLFRWSRLLNSWLNCTPVMSRGFFYMYMCHFSQLHIRLPFDDFTMGVLRALNVAPTQLHPNSWAYLLAFRILCESLYLEPSPYAFLYFYDTRQATWLSLISRPSISRLDAFSQSFKHFKDGYFKVVVKEGGEPYFLNADGSTKFPFSWTNNPSRYKDMGVEELSAGDKEVVGMLLRFVDKLPTKGLVRVYNSVHPIIDIEGICLLLKLWHMAQSGKKNLALFQALRKEMAAKAKEAGKAGVPNLQESVVEVHVHGGTKRRAELPPRPGKGKDVKKIRAAILGSAVGAGSASGEKLPEAGLIELPEISVRKDISINLPDTVVNSIDNMEVDHIVRTMVEFRSKALVLGRRVGSLYRREVKEVGELQGKVDKLAEEKAALEKEKEGWEAERKRLASWKVRCLDFEEKLNKRIGELEEDYEDLNDKYDGAVGELDDLKNSVIQEHINGFKKGLRQAAFFYKDVDALDLRFDVDKDVVGGKLVGEDEEGGEEVGNEAAQDEKDVDATVEGDDNKAA >Vigun08g207901.1.v1.2 pep primary_assembly:ASM411807v1:8:37053646:37067748:-1 gene:Vigun08g207901.v1.2 transcript:Vigun08g207901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCMEMGWLFPVLLLFHFSFSHSLCHPHDNLALLHFKASLTISITYNYCDEIYRSIERWENGTDCCSWSGVTCHPLSGHVIALDLACAVLQGKINANSTLFGLSHMQSLNLAFNDFSNSQIPSTIGDLVSLTHLNLSSSNFQGEIPPQISHLSKLQSLDLSQLDDFEFQLKWEEGTWKRMLQNATHLRGASFGL >Vigun11g135800.1.v1.2 pep primary_assembly:ASM411807v1:11:34505602:34508705:1 gene:Vigun11g135800.v1.2 transcript:Vigun11g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTPPNSRGYYVKMKLLHKHGRPHHQQEKNCFYKYYKWLLWLSLSLYFFTSYLISNNNHPKQPSHHVSRTLIESNNTAPPQSVNSRGFSSLKNLKVFVYDLPPKYNTDWLSNERCSKHLFASEVAIHRALLTSEVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLIASAVNLVSSEYPFWNRSRGSDHVFVASHDFGSCFHTLEDVAVADGVPEIMRNSIVLQTFGVVYDHPCQKVEHVVIPPYVSPESVQDTMNNSPVNGRRDIWVFFRGKMELHPKNVSGRFYSKKVRTMIWRKFNGDRRFYLQRHRFAGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPIVIADGIRLPFLSAVKWSEISITVAERDVGRLAEILERVAATNLTRIQKNLWDPATKRALLFNNQVREGDATWQVLRALSEKLDRSYRGSRVSRQLDFDT >Vigun03g146100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14906249:14909078:1 gene:Vigun03g146100.v1.2 transcript:Vigun03g146100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRNPKGKGKAPCSSVTPSRKPSFKNYGAGQQNFEDSKIKSDSCSCVLRRNGSGKVDYDGGAGQKFENSMINGVKVESETTSSSGSKTTSSEIYCSTCESFNNLGTGSQNFEGSMIRIG >Vigun03g146100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14906206:14909078:1 gene:Vigun03g146100.v1.2 transcript:Vigun03g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRNPKGKGKAPCSSVTPSRKPSFKNYGAGQQNFEDSKIKSDSCSCVLRRNGSGKVDYDGGAGQKFENSMINGVKVESETTSSSGSKTTSSEIYCSTCESFNNLGTGSQNFEGSMIRIG >Vigun02g013200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4972391:4977745:1 gene:Vigun02g013200.v1.2 transcript:Vigun02g013200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPKPQHHRRCFSSTTLCSSSSSSSNDALVGERAIAYLKHQPQMLPSLSPHFTPQAASYVLLHSQSNRGILLRFLSWAQAQAHTFLTLHCKCLAIHILTRFKLYNTAHSLAATLIDHTAADLFRHLHDTHYLCNSSSVAAAAGAFDLVVKSLSRLDFPDKALEVLCISTRHGFALAVLSHNAILHALLRRLHRKNSLRQAEQLFRDMIGNGVSPNVYTYNIMIRGVVDRGDLERGFEFMREMEREGVSPTVVTYNTLIDACCKRRRVKEAMVLLRVMAARGMVANLISYNSVINGLFVEGRISEVGELVEEMSVKGFVPDEVTYNTLVNGFCKEGNFHQGFLLLSEMVEKGLSPNVVTYTTLINCMCKAGNLGRAMEIFYQMRVRGLRPNERTYTTLIDGFCQKGLLNDAYRVLSEMIISGFSPSVVTYNALVHGYCFLGRVEEAVGILKGMVERGLFPDVVSYTTVIAGFCRERELGKAFRMKEEMVEKGVMPDGVTYSSLIQGLCLQQKLVEAFDLFREMLQMGLPPDEVTYTSLINAHCVEGELSKALRLHDEMMQRGFFPDDVTYSVLINGLNKKARTKEAKRLLLKLFYEESVPNHVTYNTLIENCSNSEFKSVVGLVKGFCMKGLMNEADQVLTTMLQRNHKPNAAIQNLIIHGHCRSGNVHKAYKMYTELEHYGFVSHTVTVLALVKALSRKGMNDELSQVLQNVLRSCKLNDAEVAKVLVEVNFKEGNMDAVLNVLTEMAKDGLLPDGGMHSFAPGRT >Vigun02g013200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4972318:4977817:1 gene:Vigun02g013200.v1.2 transcript:Vigun02g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPKPQHHRRCFSSTTLCSSSSSSSNDALVGERAIAYLKHQPQMLPSLSPHFTPQAASYVLLHSQSNRGILLRFLSWAQAQAHTFLTLHCKCLAIHILTRFKLYNTAHSLAATLIDHTAADLFRHLHDTHYLCNSSSVAAAAGAFDLVVKSLSRLDFPDKALEVLCISTRHGFALAVLSHNAILHALLRRLHRKNSLRQAEQLFRDMIGNGVSPNVYTYNIMIRGVVDRGDLERGFEFMREMEREGVSPTVVTYNTLIDACCKRRRVKEAMVLLRVMAARGMVANLISYNSVINGLFVEGRISEVGELVEEMSVKGFVPDEVTYNTLVNGFCKEGNFHQGFLLLSEMVEKGLSPNVVTYTTLINCMCKAGNLGRAMEIFYQMRVRGLRPNERTYTTLIDGFCQKGLLNDAYRVLSEMIISGFSPSVVTYNALVHGYCFLGRVEEAVGILKGMVERGLFPDVVSYTTVIAGFCRERELGKAFRMKEEMVEKGVMPDGVTYSSLIQGLCLQQKLVEAFDLFREMLQMGLPPDEVTYTSLINAHCVEGELSKALRLHDEMMQRGFFPDDVTYSVLINGLNKKARTKEAKRLLLKLFYEESVPNHVTYNTLIENCSNSEFKSVVGLVKGFCMKGLMNEADQVLTTMLQRNHKPNAAIQNLIIHGHCRSGNVHKAYKMYTELEHYGFVSHTVTVLALVKALSRKGMNDELSQVLQNVLRSCKLNDAEVAKVLVEVNFKEGNMDAVLNVLTEMAKDGLLPDGGMHSFAPGRT >VigunL069200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:81074:81169:-1 gene:VigunL069200.v1.2 transcript:VigunL069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petL MPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun09g202500.1.v1.2 pep primary_assembly:ASM411807v1:9:37698578:37708214:1 gene:Vigun09g202500.v1.2 transcript:Vigun09g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGNQAPPPHDEEEDSKKEEDELVTKVNKLMEKITSAPDNPNATVLHALASILETQESRYMDENGHSSSSTARAAHVIGRLGGLIRENDEFFELISSKFLSETRYSTSVQAAAGRLLLCCSLTWIYPHVFEEPVMENIKNWVMDDNTGLSSEEQNLKHSSGGRREASDSEMLKTYSTGLLAVCLVGGGQIVEDVLTSGLSAKLMRYLRLRVLGETSSNQKDVSHITESRHASANTSGRARDDGRGRFRQLLEPNHLDDTRMIDESSLDDVSLERAPDRSISGQTLQEGSWIEGEPPDGLGEGVDVQEVDSDGEDRWRYRDIRDGRIKYSDHDDNVRDDSSRRRSNRGWGRSKGKGRVTEGTVESDSILSSPGSGSRLVQGRRDRSLMRNADVRRVAESKKILGRTSLESSGFERDDHDDCFHECRIGNKDITDLVRKAVQAAEAEARSANAPEEAVKAAGDAAADLVKSAASEEYKSSNDEEAAFLAASKAASTVIDAASAVEVSRSSIGNNTVTETESGKETETNEDVEEYFIPDTQSLAQLREKYCIQCLELLGEYVEVLGPVLHEKGVDVCLALLQQNSKHREPSKVALLLPDVMKLICALAAHRKFAALFVDRDGMEKLLAVPRMAQTFFGLSSCLFTIGSLQVIMERVCASKVVYHVVELALQLLECNQDQARKNAALFFAASFVFRAVLDAFDSLDGLQKLLGLLNDAASVRSGINSGALSLSNSGSLRNERSPAEVLTSSEKQIAYHTCVALRQYFRAHLLVLVDSIRPNKSNRSAARNNPSARAVYKPLDISNEAMDGVFLQLQKDRKLGPAFVRTRWLAVEKFLASNGHVTMLELCQAPPVERYLHDLLQYALGVLHIVTLVPSSRKMIVNVTLSNNRVGIAVILDAANIASNHVDPEIIQPALNVLVNLVCPPPSISNKPAMVAQGQQLPSSQTSNGPPSEARDRNAERSVSDRGVHSTSQIDPRERNGESNAIDRGSAASLSTQAVGSTPQTPVASATSGLVGDRRISLGVGAGCAGLAAQLEQGYRQARETVRSNNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTPGTEQGRWQAELSQAAIELIGIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAQTASMLLKEAQVTPLPSLVPPSSLAQQPTTQEASSTHIQWPSGRTPSGFLSNKLKFNAKDEDAVLKSDSVSAKKKSLTFSSSFHSRLQLLDSQQSSVRKLSNTAKESSETSGVETGSEYSVKHNIDTGSQFKTPIALPAKRKLSDLKDISMFSSSGKRLNVGDQGVRSPICSSAIRKSGLQPDAVGLFTPTCNLKNQHSRCMGDLDENQCSISNLGQMTPSSQVLNDLQPSNPERVTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNVTARLGTREFKYMYGGVHGNRRDRQFVYSRFRPWRTCRDDAGALLTCITFVGDSSHIAVGSHNGELKFFESNNSNVVESYTGHQAPLTLVQSFVSGETQLLLSSSSQDVRLWDATSILGGPSHSFEGCRAARFSNSGNVFAALSSESSRREILLYDIQTCHLESKLTDTFAASTGRGHVYSLIHFNPSDSMLLWNGVLWDRRVSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTSITFNARGDVMYAILRRNLEDVMSAVHTRRVKHPLFSAFRTVDAVNYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQDEMYASARIYEIGRRRPTDDDSDPDDAESEEEDEDDDDDDGDVDPLLGPGLGGDSGSDADDMSNDDEEDDSVSDLDEDEDGDFILDDVDFDGGPGILEIVTEGDEDDDDSQVLESLSSDDEDFVGNGFGY >VigunL071400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000502.1:1811:2789:1 gene:VigunL071400.v1.2 transcript:VigunL071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun01g148300.1.v1.2 pep primary_assembly:ASM411807v1:1:32991771:32992990:-1 gene:Vigun01g148300.v1.2 transcript:Vigun01g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKDKNEHATKSDNSRTQLKVLIVDDVKVVRMTHKMLLERVGIKDHIAVENGKEAVELHNNGQSFDLILMDKDMPVMNGIEATRTLRSMGISCKIIGVSSSTCNQKYVQEFVEAGLNGFYTKPLTVKMLGEILEKVKS >Vigun06g127500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25428092:25429367:1 gene:Vigun06g127500.v1.2 transcript:Vigun06g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGEKRVKGFQGKKEGFRGGVPKGCLAIKVGQGDDQQRFVVPVMYFNHPLFMQLLKEAEEEYGFDQKGTITIPCHVEQFRNVRGIIDSEKSLLHHNHHVRCFGF >Vigun06g146850.1.v1.2 pep primary_assembly:ASM411807v1:6:27206255:27208102:-1 gene:Vigun06g146850.v1.2 transcript:Vigun06g146850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSRLGHSFSLRRDRVLHVEGMYLAAMIGSLLASTFTRLFGRLETMFFGNLFFHLGMMLWCFHQEQLMVSCVFLGFGIGWTFQSVPIYISEFAPYKYRRALNVLFQLAITIGIFVANVLNYIFSRKNGEEWFYNLLDYALVSAVITTLSLMFPLIFHLDTPSSLIVRDFNKRAKITLIRIRGTTNVKEEFEDLVVASESSKVVKHPWVSLLKRQYRPQLTFAIVIPFFQQLTGMNMIVYNAPVLFKTIGFEANASLLFAMITGCCNVIATFVSIFTVDKFGRRSLFLKGVIQMFISIAIACKFGFDGNPGMLPKWYIIMVVCGICVYVTGIAWSWGPLGCLVPSEIFPLEVRSSAQSITVSMNMIFTFVVIQNFTNIFCHMKLGLFIFFACFIIVMSTFIDSLLPETKEVPIEKMHVVWQSHPYWKKFVKQTDVTTNGGS >Vigun10g117000.2.v1.2 pep primary_assembly:ASM411807v1:10:32243168:32253388:-1 gene:Vigun10g117000.v1.2 transcript:Vigun10g117000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRGFSFAKSRGKGSCFPVLGFILLCVLAPVVFFLARGLYAADLDYIADVPRKQVSKWREWQALQDLKSLLSEEVLNVIVSSTNDLGPFSLDNFRKNLSASWRVVGLETTNSTHELKQPTHVRQEKPKMKDGRSSDGLPLWTDSPARETRRHLIERRREKRAAELVKEDNVVTVKLENAAIERSKSVESAVLGKYSIWRKEIENENGDSTVRLMRDQIIMAKVYLSIAKMKNKVELYQELIFRLKESQRALGDTVSDADLHHSAHGKIKAMGQILSKARELLYDCKLVTGKLRAMLQTADDQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKKMFPQSENLENPSLYHYALFSDNVLAASVVVNSTITNAKDPSKHVFHIVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESSTMKEYYFKAGHPNTLSFGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGQSFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYNPSVDRSEIDNAAVVHYNGNMKPWLEIAMTKYRSYWIKYVKYSHPYLRTCKLNE >Vigun10g117000.1.v1.2 pep primary_assembly:ASM411807v1:10:32243168:32253388:-1 gene:Vigun10g117000.v1.2 transcript:Vigun10g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRGFSFAKSRGKGSCFPVLGFILLCVLAPVVFFLARGLYAADLDYIADVPRKQVSKWREWQALQDLKSLLSEEVLNVIVSSTNDLGPFSLDNFRKNLSASWRVVGLETTNSTHEVWKNNLKQPTHVRQEKPKMKDGRSSDGLPLWTDSPARETRRHLIERRREKRAAELVKEDNVVTVKLENAAIERSKSVESAVLGKYSIWRKEIENENGDSTVRLMRDQIIMAKVYLSIAKMKNKVELYQELIFRLKESQRALGDTVSDADLHHSAHGKIKAMGQILSKARELLYDCKLVTGKLRAMLQTADDQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKKMFPQSENLENPSLYHYALFSDNVLAASVVVNSTITNAKDPSKHVFHIVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESSTMKEYYFKAGHPNTLSFGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGQSFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYNPSVDRSEIDNAAVVHYNGNMKPWLEIAMTKYRSYWIKYVKYSHPYLRTCKLNE >Vigun02g024800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8281819:8283545:1 gene:Vigun02g024800.v1.2 transcript:Vigun02g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTIQTLLFFAVQISTVLAGGIHVINFRSPNLFPEGLAWDPTGQRFLVGSLRHRTISGVSDTGIVKTLISDPFLPENVTILGLAVDPRNNRVLAAVHALAPLPPFNALAAYDLSSRRRLFLSPLPSEGEHQRAIANDVAVDFEGNAYVTNAAGNYIWKVNKKGEASTLSKSARFTEHPVLIDAEYSFCGLNGVVYNGKGYLLVVQTNTGKMFKVDTEDGVARDVVLNEDLVGADGVALRSDGVVLVVSLKRVWFVKSDDGWGRGVVFDRIELDDEGFPTSVVVGQRERAYVLHGHIVKGTLGNQWESFKIEEVRSGKEKRASENVWILVMMVGLGFAYFLFWRFQMKEPLKKMNKKIK >Vigun08g172150.1.v1.2 pep primary_assembly:ASM411807v1:8:34309215:34315893:1 gene:Vigun08g172150.v1.2 transcript:Vigun08g172150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAHQTVVEFVNSVCICIWEILGGLNELKKSKEKHRWAYRLFVELMKRHDVVALTGGNPIDLKIEADKYNVFSPWTQGESSRKKGEENETIRKENDSESNKKKGIDKKETPFLAAARNGIVEIVCGILFQNPNSMRETNSKEENVLLVAARNRKPLVLKILKLRLRPEFWNTLSMAVDKDGKTMLHLAAEAPTQDMPSHVSYSALQMMWDANWFQYVTRFVPQHYQLLRDKDQKTPREIFNETHEKLRKDSGEWLKETSESCSVVAALVAAASFATATTVPGGIDDNGIPHLEENPAFNAFIFASLFGLCFSVTGLIMFLTILTSRKLPTDYRRALPLKLLLGLSSLFLSIIALLLSFCTGHSFLFTHKYKKFILPIYVASFPVTFFALDQVPLYVDLLAAILVRVPKATDEK >Vigun01g068900.2.v1.2 pep primary_assembly:ASM411807v1:1:18527823:18530141:1 gene:Vigun01g068900.v1.2 transcript:Vigun01g068900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSQLRDTTARAHHQKFVNQSEKEWRRENRIRRTTIYGLISHCWNLVGAVEESYENAMTEKIARASENTVASTY >Vigun01g068900.1.v1.2 pep primary_assembly:ASM411807v1:1:18527823:18530141:1 gene:Vigun01g068900.v1.2 transcript:Vigun01g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSQLRDTTARAHHQKFVNQSEKEWRRENRIRRTTIYGLISHCWNLVGAVERYGEKLHYSMPDILEMLRNHMKML >Vigun11g205600.1.v1.2 pep primary_assembly:ASM411807v1:11:40249083:40251059:-1 gene:Vigun11g205600.v1.2 transcript:Vigun11g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLMGRVNALGERLKIGGAEVGRKMSAGMSSVSFKVKEFFQDSTHADKLVGEATSETLHHPHWPIILHLCDLVNGDQLNTAEVVRAIKKRVVSKSHRTQYLALVLLEALVKNCDKAFLEVATERVLDEMVKLVDDPCTFVHTRNKALMMIEAWGESTIELRYLPVYSQTYKSLKSRGIRFPGRDNESLAPIFTPPRSGTAPEADVSLEHLMQHNVRSFSPVAPSRSATTSGSDVSLANLMHHEVHVKNLTSQQTEEAFDVARNSFELLSTVLSSSTQKDVVKDDLVTTLVQQCRQSQITVHRIIETSWDNEALLCEALNINDEIQKVLKRYEEMMKKKKKHEPEGDEAPHFREEKALVRRSGSSRSGNRGNQDDMLDDLDEMIFGKRGGFDEEQYPKKQHSSTKDDLISF >VigunL059069.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000463.1:11569:13467:1 gene:VigunL059069.v1.2 transcript:VigunL059069.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNPSELQPFDPEIDRTFHRGSRHPRNPSLHAEYSVTFPDSSDSHHIPDTPHSLHSEHTVYSEHFHTDNMAQPPPPHERTMSELTAPEFTYDSLCIQYPEEEVPYVLKAGLIHLLPKFHGLAGEDPCKHLKQFHVVCSTMKPADVQEDHVYLKAFSHSLEGNAKDWLYYLAPRSITSWDDLKRLFLAKFFPASRTTAIRKEISGIKQQSGETLYEYWERFKNLCSSCPHHQISEHLLLQYFYEGLHHMDRNMIDAASGGALGNITPAAARQLIENMASNSQQFGTRSDAIVVRGVHDVGAVEYTKKLESKIDALTTLVNQLASNQRAPAARVCGLCTSVDHFTDSCPALQQQASSSTPVDTPQAYATNIFNNNRPQQQQQNHHDLSTNRYNPGWRNHPNLRWGNQGNQQPQQFQNVQPPPQQRVAPAPQAAPVPPVPTPALAVAPAPFSSTSLEELVRMMTLQNMQFQQETRASIQSLTNQMGQMATQLNQAQAQNSDKLPSQTVENPRNVSAITLRSGKNIEVPTSEPTPPKEADPTTLQRKRDAHAAGPSTSGATSTPSTSTAAPSIPLPFPLRAIPSKKMEEVDREILETFRKVEVNIPLLDAIKQIPSYAKFLKELCTHKRRLKGK >Vigun02g161100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30673435:30680450:-1 gene:Vigun02g161100.v1.2 transcript:Vigun02g161100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPENNGHSNEERYGSLMKSGATSPVRPVFKRRKVSATRDFPDGCGPYASRIDEVSNINTAGCGPINGTIVEVKNGEHLAGVKSCTCENDVWQSEVKGFLLMETLGPTADSGLDKDNHMVSSYHVDGSTAKDKPGIVTTRQINDCESTAEDKPGVVTNSQTTDRGLNKESPVDTSHRVDEHITEDEAAKVTLGRTTECSLNQENPVVSSHQVDGSTPEDNPAEVKLGQTGDCSLSLKNREVSSHQVNGCIAEDKLAKVTGERTTDCGLNKENPVVSSRLVDGPTAEDKPAEVPLMDHETLNTEFARTANTGKCDSSYVLESSSPVVEMAVPDDSKHLLSIDNISASSACMVEPITRRYLPQRKVSAVRNFPPLCGRNAPRLGKDKHVCLEGTSSLNNKTEGQQNLAVDDNPLKKVATTDVKEGKSNIQDEYNCKRKLVDIDQLDSERHSAERLKKLRACSPSSEMKKSPEVEREKYASPPETSNHHQIKINSKTVVKEKNRDETKPSSISQSKHKLKGNFNRLQVTSQRKVILGLMTDSECPWRSDKGTSKLKLVAGNSKGKRKKGDSFALPDSSRTEYSGLLNDSEKKPLKKKKGSPASEGMGELVLWEKEDYLNPNENVDPLQIVLRSNEFDVNLTPSSHSNFTGDENDPNVTRKKVRETLRLFQVICRKLLQEVESKLNERANNKRVDLVASKILKEHGKYINTGKQILGCVPGVEVGDEFQYRVELNIVGLHRPIQGGIDYVRHNGKVLATSIVASGGYADDLDNSDVLTYTGQGGNVMNSDKEPEDQKLERGNLALMNSSIEKNPVRVIRGCESVDAKCRTYVYDGLYVVEAGWDEHGPHGKKIFKFRLLREPGQPELPFREVKKSKKFKTREGVCVADISLGKERIPICAVNTIDDDKPPPFNYITSMIYPKFHLLPAEGCDCINGCSDVEKCSCVVKNGGEIPFNHNGAIVQAKPLVYECGPTCKCPSTCYNRVSQLGIKFQLEIFKTQSRGWGVRSLSSIPSGSYICEYIGELLEEKEAELRAGNDEYLFDIGNNCSNSTLWDGLSTLMPDAQTSSCDVVKDGGFTIDAAEFGNIGRFINHSCSPNIIAQNVLYDHHDTRMPHIMFFAADNIPPLQELTYDYNYQLDQVRDSDGNIKRKYCYCGSAECTGRMY >Vigun02g161100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30673238:30680497:-1 gene:Vigun02g161100.v1.2 transcript:Vigun02g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPENNGHSNEERYGSLMKSGATSPVRPVFKRRKVSATRDFPDGCGPYASRIDEVSNINTAGCGPINGTIVEVKNGEHLAGVKSCTCENDVWQSEVKGFLLMETLGPTADSGLDKDNHMVSSYHVDGSTAKDKPGIVTTRQINDCESTAEDKPGVVTNSQTTDRGLNKESPVDTSHRVDEHITEDEAAKVTLGRTTECSLNQENPVVSSHQVDGSTPEDNPAEVKLGQTGDCSLSLKNREVSSHQVNGCIAEDKLAKVTGERTTDCGLNKENPVVSSRLVDGPTAEDKPAEVPLMDHETLNTEFARTANTGKCDSSYVLESSSPVVEMAVPDDSKHLLSIDNISASSACMVEPITRRYLPQRKVSAVRNFPPLCGRNAPRLGKDKHVCLEGTSSLNNKTEGQQNLAVDDNPLKKVATTDVKEGKSNIQDEYNCKRKLVDIDQLDSERHSAERLKKLRACSPSSEMKKSPEVEREKYASPPETSNHHQIKINSKTVVKEKNRDETKPSSISQSKHKLKGNFNRLQVTSQRKVILGLMTDSECPWRSDKGTSKLKLVAGNSKGKRKKGDSFALPDSSRTEYSGLLNDSEKKPLKKKKGSPASEGMGELVLWEKEDYLNPNENVDPLQIVLRSNEFDVNLTPSSHSNFTGDENDPNVTRKKVRETLRLFQVICRKLLQEVESKLNERANNKRVDLVASKILKEHGKYINTGKQILGCVPGVEVGDEFQYRVELNIVGLHRPIQGGIDYVRHNGKVLATSIVASGGYADDLDNSDVLTYTGQGGNVMNSDKEPEDQKLERGNLALMNSSIEKNPVRVIRGCESVDAKCRTYVYDGLYVVEAGWDEHGPHGKKIFKFRLLREPGQPELPFREVKKSKKFKTREGVCVADISLGKERIPICAVNTIDDDKPPPFNYITSMIYPKFHLLPAEGCDCINGCSDVEKCSCVVKNGGEIPFNHNGAIVQAKPLVYECGPTCKCPSTCYNRVSQLGIKFQLEIFKTQSRGWGVRSLSSIPSGSYICEYIGELLEEKEAELRAGNDEYLFDIGNNCSNSTLWDGLSTLMPDAQTSSCDVVKDGGFTIDAAEFGNIGRFINHSCSPNIIAQNVLYDHHDTRMPHIMFFAADNIPPLQELTYDYNYQLDQVRDSDGNIKRKYCYCGSAECTGRMY >Vigun02g161100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30673435:30680479:-1 gene:Vigun02g161100.v1.2 transcript:Vigun02g161100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPENNGHSNEERYGSLMKSGATSPVRPVFKRRKVSATRDFPDGCGPYASRIDEVSNINTAGCGPINGTIVEVKNGEHLAGVKSCTCENDVWQSEVKGFLLMETLGPTADSGLDKDNHMVSSYHVDGSTAKDKPGIVTTRQINDCESTAEDKPGVVTNSQTTDRGLNKESPVDTSHRVDEHITEDEAAKVTLGRTTECSLNQENPVVSSHQVDGSTPEDNPAEVKLGQTGDCSLSLKNREVSSHQVNGCIAEDKLAKVTGERTTDCGLNKENPVVSSRLVDGPTAEDKPAEVPLMDHETLNTEFARTANTGKCDSSYVLESSSPVVEMAVPDDSKHLLSIDNISASSACMVEPITRRYLPQRKVSAVRNFPPLCGRNAPRLGKDKHVCLEGTSSLNNKTEGQQNLAVDDNPLKKVATTDVKEGKSNIQDEYNCKRKLVDIDQLDSERHSAERLKKLRACSPSSEMKKSPEVEREKYASPPETSNHHQIKINSKTVVKEKNRDETKPSSISQSKHKLKGNFNRLQVTSQRKVILGLMTDSECPWRSDKGTSKLKLVAGNSKGKRKKGDSFALPDSSRTEYSGLLNDSEKKPLKKKKGSPASEGMGELVLWEKEDYLNPNENVDPLQIVLRSNEFDVNLTPSSHSNFTGDENDPNVTRKKVRETLRLFQVICRKLLQEVESKLNERANNKRVDLVASKILKEHGKYINTGKQILGCVPGVEVGDEFQYRVELNIVGLHRPIQGGIDYVRHNGKVLATSIVASGGYADDLDNSDVLTYTGQGGNVMNSDKEPEDQKLERGNLALMNSSIEKNPVRVIRGCESVDAKCRTYVYDGLYVVEAGWDEHGPHGKKIFKFRLLREPGQPELPFREVKKSKKFKTREGVCVADISLGKERIPICAVNTIDDDKPPPFNYITSMIYPKFHLLPAEGCDCINGCSDVEKCSCVVKNGGEIPFNHNGAIVQAKPLVYECGPTCKCPSTCYNRVSQLGIKFQLEIFKTQSRGWGVRSLSSIPSGSYICEYIGELLEEKEAELRAGNDEYLFDIGNNCSNSTLWDGLSTLMPDAQTSSCDVVKDGGFTIDAAEFGNIGRFINHSCSPNIIAQNVLYDHHDTRMPHIMFFAADNIPPLQELTYDYNYQLDQVRDSDGNIKRKYCYCGSAECTGRMY >Vigun07g209100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33079486:33082750:1 gene:Vigun07g209100.v1.2 transcript:Vigun07g209100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSPYALIFACLLRAMMFDAGTCNFNNRCSEKDTQALLNFKKGIMDPSGVLSSWSTQHNCCEWKGVTCDRINNRVTHLSLPCFTTTLNYIDKEDKSHCLTGTFSFSLLMELEFLSYLNLRNNDFLAIQFDSVHNHNYYSNLSVSNRPRQFLNSSTLRHLDLSFNENLVINSLQFLFRMSSLENLYISGVDLHMETNWLQLVTMLPSLSVLQMSYCQLKDLSPSLQHANFTALKILDLSGNKFHSELPKWLFNLSCGVSSISIGLCSLTGQLPKALLNLRHLEKLFLLNNDLNGPIPDWIGELDHLQDLMLDENMFSGIIPKSLGNLSSLIHLSLGSNKFTGVVSERNFAKMSKLKSLDIQSSPPLIFDFDSHWIPPFQLEALSLSFSGPHLPAWLYTQRSIQSLSIYGSWFQAPDKFWNFVSRVPELTLEDNSIVGNLSNILLNSTVIRLSSNRLKGSLPRLSPNAAVVSLSNNSLSGSISPLLCDHKMLNGKSNLVYLDISLNHLSGGLTNCWEKWKSLIHVNLGGNNLTGKIPPSMGLLSNLTSLHLHENKLYGEIPPSLQNCHILIFNVRGNNFTGNIPNWIPHAAKVLEFRSNHFSGSIPAQICQMSSLFILDIADNTISGHIPTCLCNITALNNVSHREFSSTTLQYNFLNRTFYFFEESLELVTKGLVLEYENNLPFMNLIDMSSNNLSGTIPLQMFSLIGLASLNLSHNKLTGKLPDEIGNMKNLESLDFSVNQFSGEIPEALSKVSFLGFLNLSFNNFTGKIPSGTQLQGFDAISYIGNSDLCGPPLTKICFKSSDDKSKDTKPIDEDGDKSEFFPWFHIGLESGFVAGFLGVFCSILLNRKWREA >Vigun01g065100.1.v1.2 pep primary_assembly:ASM411807v1:1:17158540:17171550:-1 gene:Vigun01g065100.v1.2 transcript:Vigun01g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGFCASLLSFVRFLPYFIGLLLLGNIKGILFCPLICIIIATGNTAIIVALWTVHAVWTYYCVLRTKQLGPLLKIVICLCVLPVLLVLCPIFGILGSIVGGAAYGFLSPIFATFEAVEEGKDDKLYHCFIDGTWSTVQKACMVVRDVKDVAFHSYFSVMDDLLHRGPPNAKYYEIRLLYIPGALIAAVIGIVVDVPIISFVALFKGPYMLFKGWHRLFHDLIGREGPFLETICVPLAGLAILLWPLAVGGAVLASMLASFFLGAYAGIIAYQESSFKFGLSYVVAALAIYDEYSNDILDMPEGTCFPRPQFHKEVDSSQRASNSISVSRPSSFRKAPSRTTSMKNNIAELKSFELLDALFKECCRVGEKMVSEGLITRKDLEEARSGEGNRVISIGLPAYCLVQGLLRSAKSNAMGIFIGDDTELTTTNRPREKFFDWFLNPLLIIKEQIKAENLSASEEDYLCKLVLLTGDAERLKKSIITPAPESEVKRAELEALARRLQGITKSMSRFPTFKRRFDDLVKSLCDDQKLGGPIMTRSKSAFARLVSFKSFKGTRNGSSHGLNVVRDIENS >Vigun08g081550.1.v1.2 pep primary_assembly:ASM411807v1:8:17083176:17083592:-1 gene:Vigun08g081550.v1.2 transcript:Vigun08g081550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKWRKGKNDKGDGARLPTRRIGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDIMAAESAIGLAIFVITFQVRGTIAVEFINSIQG >Vigun09g145500.6.v1.2 pep primary_assembly:ASM411807v1:9:30851791:30860627:-1 gene:Vigun09g145500.v1.2 transcript:Vigun09g145500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKIERLPVEICMKIFGLLDYCHLAVAQQGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFKEKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIVNVVISLIESVKLERKHILKDILKLVDTYDLN >Vigun09g145500.1.v1.2 pep primary_assembly:ASM411807v1:9:30851736:30860627:-1 gene:Vigun09g145500.v1.2 transcript:Vigun09g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKIERLPVEICMKIFGLLDYCHLAVAQQGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSVKGSSLNSLPNQSFQNLLDVNGCFQEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFKEKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIVNVVISLIESVKLERKHILKDILKLVDTYDLN >Vigun09g145500.3.v1.2 pep primary_assembly:ASM411807v1:9:30851652:30860627:-1 gene:Vigun09g145500.v1.2 transcript:Vigun09g145500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKIERLPVEICMKIFGLLDYCHLAVAQQGSSHLSLLRRNSSTELEQLFSLQFEGLYLKPKDYRGLLTYAKVLISPQASFVATLDLTGCLHIFKLDKEGLTLSRFVLGERDGSAMSGDLSNGVNKSCVDFMDFTWWCDHILAIVNRSGVVMLIDILNGSTVPAEDHAYFLPVLERALKYKGCVFLLASQSLKEGGDASHDGSTEELHQTDWIITDRLNQFHLSRLFWHLVSFTEKSVPEMYSILINKKKYQAALDFADSHGLDKEKVLKSQWLNSSHGVNEIKNILSNIKDKNFVLSECVDRIGVTEDAVKALLDYGLRITDHHKFSVVDDDNSSKVWNLRLARLKILQFRDRLETYLGINMGRFSVQEYSKFRIMPINEAAISLAESGKIGALNLLFKRHPYSLSLFMLEILAAIPETVPVQMYGQLLPGRSPPSGFAVRQDDWVECEKMVHFINASVKNHDILIQVKTEPFVKHFHGFPWPSIDELSNWYTNRARAMDDFSGQLDNCLSLLEFALRKGLSELQSFHQDVLYLNQIVYSNDDDSEMGFSMNLAKWVELSDYEKFKFLLKGVKEENVTERLHSRAIPFLREKFHKVSLLGDVIVSDCTNRNMEESFLVRWLKDTSEENKLDICLVVIEEGCRNFQSNDYFKTEAEAVDCALQCIYLSTVTDKWSIMAAILSKVSQLHDGAFQVEDLERRLKTAEGHIEAGRLLAFYQVPKPLNFFLGAQLDEKGVKQIIRLILSKFIRRQPSRSDSEWASMWRDMQYLREKAFPFLDPEYILTEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKARECLNLYPSSGNVKAEADIIDALTAKLPNLGVNILPMQFRQIKDPMEIIKMAITNQSGAYFHVDELIEVARLLGLRSADDISTVEEAIAREAAVSGDLQLAFDLCLGLARKGHGTIWDLCAAIARGPALDNMDVDSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCEILMTSTETNPSKFSEFDGIGADNQDVHLQKARDVLSIVAKTLAIGDRVDWASILTENGKVLSFAASQLPWLIELSKKGEHQRKLSTGKQYLNIRTQAVVTILCWLARNGFAPRDNLIASLAESIMEPPVTEEEDIMGCSYLLNLVDAFNGVEIIEEQLKIRKDYQEICSIMSVGMAYSLLHNSGLKTDPSQRRELLKRRFKEKHASPSSDDMDKLGKVQSSFWREWKLKLEEQKRLTEHSRALEKIIPGVETERFLSRDSIYIVNVVISLIESVKLERKHILKDILKLVDTYDLN >Vigun05g023500.3.v1.2 pep primary_assembly:ASM411807v1:5:1963126:1966660:1 gene:Vigun05g023500.v1.2 transcript:Vigun05g023500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVGMPPGAPQLHPQPPTSSQPNLLGNAFNAAGSGLIRGGLGAYGEKIFGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPMYDINAPDLYIPLMAFGTYVVLAGISLGLHGKFSPEALNWLFIKGLVGWFMQTGLLKVALLSLGTGEAPLLDIIAYAGYTFTGLCLAVCGRMIWSYSYYFLMLSTCLCMAVFLVKTMKRVLFAEARSYDSTKHHYLLLFIALAQFPLFTWLGNITVNWVI >Vigun05g023500.2.v1.2 pep primary_assembly:ASM411807v1:5:1963126:1966756:1 gene:Vigun05g023500.v1.2 transcript:Vigun05g023500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVGMPPGAPQLHPQPPTSSQPNLLGNAFNAAGSGLIRGGLGAYGEKIFGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPMYDINAPDLYIPLMAFGTYVVLAGISLGLHGKFSPEALNWLFIKGLVGWFMQTGLLKVALLSLGTGEAPLLDIIAYAGYTFTGLCLAVCGRMIWSYSYYFLMLSTCLCMAVFLVKTMKRVLFAEARSYDSTKHHYLLLFIALAQFPLFTWLGNITVNWVI >Vigun04g104700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:24855410:24855769:-1 gene:Vigun04g104700.v1.2 transcript:Vigun04g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYLTWKKDEARLRAKQFERQQEELKRENKQSKRLLKEYVRTMQHNGGCRGLNMEGDLVLKMVSYSHTIDKLSPKWVRPCRVYRVVDKEACKLETLDGRRVPYMRNVSYFHFIVVDQL >Vigun09g047200.1.v1.2 pep primary_assembly:ASM411807v1:9:4486888:4492494:-1 gene:Vigun09g047200.v1.2 transcript:Vigun09g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINIFKKKTSPKEALRSSKREMAVATRGIEREITSLQMEEKKLVAEIKREAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQVKVIREFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNTENVAPRSEESQDVEELEKRLASLRRI >Vigun01g042059.1.v1.2 pep primary_assembly:ASM411807v1:1:5945341:5945854:1 gene:Vigun01g042059.v1.2 transcript:Vigun01g042059.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETVLYNCHNSPTPYSFTKCLVFIKKRSATLLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFFFSL >Vigun09g235400.1.v1.2 pep primary_assembly:ASM411807v1:9:40592031:40594474:-1 gene:Vigun09g235400.v1.2 transcript:Vigun09g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHHHHHAHDTNLKSLLDTLYCSEEHWEEDVGEDELDEAENNNTTNNNATSSRSTLINSLHYPHVLFESDMFCDEQELTSLLGKEQHNPLTTCLQTNPALQCAHREAVEWMLRVNAHYSFSALTSLLAVNYFDRFLSTIHFQDAKPWMTHLAAVACLSLAAKVEETQVPLLLDLQVEEGRYLFEAKTIKRMEILVLSTLGWKMNPPTPLSFLDYITRRLGLKDHHCWDFLRKCEGVLVSVLGDWRLMGYLPSELASATMIHVVKSVEPCLEGEYQRQLLGILGFDKEKVNECGKVLELWSGYEKQGKQCMKRKLGSVPGSPNGVMEMSFSCDSSNEAWGVAAAAAAASASGSVCCSAEGLWKKSRSEVKR >Vigun04g148400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36406544:36407653:-1 gene:Vigun04g148400.v1.2 transcript:Vigun04g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLGPGKFYGTSLPRPRIYTDVKFNDHRVDPPIPVTDPLMSWAEEAHWSMGGLSFKRLRLQGKIEGNVQRLRSQREKAQSLSPTPAHSVPAPSERSSSPTPPPAPVVTKRRRYMDLIVDEEEDEEEEEPEVVEPPRTRVRKSRLVKKLGDDFDRVAEENDEPETMPLKTLTRARKLVKIAEKKTVVETAQKSKSNGKKSSTSEAEKSPRVRTSPRLAKRLSY >Vigun01g240900.1.v1.2 pep primary_assembly:ASM411807v1:1:41072877:41073414:-1 gene:Vigun01g240900.v1.2 transcript:Vigun01g240900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCTKRCSATQRGCSLDEIGKSFYRIVKNCCCYTSLKTKDEKIQGKV >Vigun08g144800.3.v1.2 pep primary_assembly:ASM411807v1:8:31777814:31781256:1 gene:Vigun08g144800.v1.2 transcript:Vigun08g144800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILFFVLWLVNSHNSHRVHAIHIDNLEIERQLQVINKPSLKSIQTEFGYIVDCIDIYKQSAFDHHLLKDHKLQKKPSFQNSYEKTSTKNSSNELIFGLQKEKCPKRTVPIRRTTKDDLIQEKSSFYNQSMIQEASGVHMAEIYVTEIFGPFYQVKGTNSIYNPRVLKKNVNQESITHIWVQNGGTNKVAFGWHVAPQFHGRDTGTYVFSAWTSDNFKQTGCYNLQCSGFVQINQDNFIGSRLSNTSVYGGRLIEIAISITMDRINKNWWLKVGEEYIGYYPAELFSNMASADRVGWGGRTVTPPGLPSPQMGSGIFPDKNFYHAAYFRFISFQNEERKDFEPNKHMTKAFIDKSDCFNVEHYGDGGEGLGSCLQYGGPGGVCGD >Vigun08g144800.2.v1.2 pep primary_assembly:ASM411807v1:8:31777814:31780116:1 gene:Vigun08g144800.v1.2 transcript:Vigun08g144800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILFFVLWLVNSHNSHRVHAIHIDNLEIERQLQVINKPSLKSIQTEFGYIVDCIDIYKQSAFDHHLLKDHKLQKKPSFQNSYEKTSTKNSSNELIFGLQKEKCPKRTVPIRRTTKDDLIQEKSSFYNQSMIQEASGVHMAEIYVTEIFGPFYQVKGTNSIYNPRVLKKNVNQESITHIWVQNGGTNKVAFGWHVAPQFHGRDTGTYVFSAWTSDNFKQTGCYNLQCSGFVQINQDNFIGSRLSNTSVYGGRLIEIAISITMDRINKNWWLKVGEEYIGYYPAELFSNMASADRVGWGGRTVTPPGLPSPQMGSGIFPDKNFYHAAYFRFISFQNEERKDFEPNKHMTKAFIDKSDCFNVEHYGDGGEGLGSCLQYGGPGGVCGD >Vigun09g172900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34305967:34309171:-1 gene:Vigun09g172900.v1.2 transcript:Vigun09g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFFSVASTKSDKREWNGVSPNETCKRQRMSPTVDEESPRLIPNLPDELSLQIIARLPRICYLNARMVSKKWKSTIMSLDLYKLRKELGTTEEWLYLLIKAGENNLVWHALDPRSKIWQRVPNMPNFAEEEEPRKGSSRLWMWNMVEGIRIAEVIRGFLGRKDAFDEMPYCGCAIGAVDGCLYVLGGFSKASTMRCVWRFDPIQSTWSKVTPMSTGRAYSKTGVLNNKLYVVGGVSQGHAGLVPLQSAEVFDPSTDTWSHVPSMPFSRAQVLPSAFLADMLKPIATGLTSYMGRLCVPQSLYSWPFFVDVGGEIYDPDTNSWIEMPAGMGEGWPARQAGTKLSVVVDGELYAFDPSNAMDSGRIKVYDRGEDAWKVVIGKVPIYDSADSESPYLLAGFHGKLHVITKDANHDIAVLQAGLRNNLGSSPSLTTLSQSTLHESPELASESDAAVVWRVVACRDFGRAELVSCQVIDI >Vigun09g172900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34305967:34309171:-1 gene:Vigun09g172900.v1.2 transcript:Vigun09g172900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFFSVASTKSDKREWNGVSPNETCKRQRMSPTVDEESPRLIPNLPDELSLQIIARLPRICYLNARMVSKKWKSTIMSLDLYKLRKELGTTEEWLYLLIKAGENNLVWHALDPRSKIWQRVPNMPNFAEEEEPRKGSSRLWMWNMVEGIRIAEVIRGFLGRKDAFDEMPYCGCAIGAVDGCLYVLGGFSKASTMRCVWRFDPIQSTWSKVTPMSTGRAYSKTGVLNNKLYVVGGVSQGHAGLVPLQSAEVFDPSTDTWSHVPSMPFSRAQVLPSAFLADMLKPIATGLTSYMGRLCVPQSLYSWPFFVDVGGEIYDPDTNSWIEMPAGMGEGWPARQAGTKLSVVVDGELYAFDPSNAMDSGRIKVYDRGEDAWKVVIGKVPIYDSADSESPYLLAGFHGKLHVITKDANHDIAVLQAGLRNNLGSSPSLTTLSQSTLHESPELASESDAAVVWRVVACRDFGRAELVSCQVIDI >Vigun11g202000.1.v1.2 pep primary_assembly:ASM411807v1:11:39993305:39999394:1 gene:Vigun11g202000.v1.2 transcript:Vigun11g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAATAKEKGVGEGGETMEVSENRGMYLVWEDLTVVVPNFGDGHTKRLLNGLSGYAEPNKIMAIMGPSGSGKSTLLDALAGRLSRNVIMSGNVFVNGKKRRLDYGGAAYVTQEDTMLGTLTVRETIYYSANLRLPGSMRKEEVNEIIEGTIMEMGLQECADRIIGNWHLRGISGGEKRRLSIALEILTRPTLLFLDEPTTGLDSASAYFVAQTLRNLAHDAKTVISSIHQPSSEVFALFDNLFLLSGGQTIYFGPAQKATQFFAKAGFPCPSRRNPSDHFLHCINSDFDAVTTTITASQTRQEGVYFSTAETKAILVEKYRWSEHAATAKARIKEISNIEGIDFERKSKCEAKWWKQLLVLTQRSFVNMSRDVGYYWIRITIYIALSLCVGTIFYEVGSSYTAIFARGACGAFISGFMTFMSIGGFPSFIEEMKVFNKERMNGYYGVGVYILSNFLSSLPFVTVMSFATGTITYYMVKFRSEFSHFLYICLDLIGCIAVVESSMMIIASLVPNFLMGLIIGAGYIGVMMMTAGYFRRIPDLPKFFWRYPISYINYGAWGLQGAYKNDMIGMEFEPLEPGAPKLKGEFILKSVLGIEIDNSKWWDLGAVVMILIMLRVLFFFILKFKERVGPLFYSIYAKQTLHRIKKRPSFRKVPSFPSKRHQSLHPLSAQEGLNSPIH >VigunL020001.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:1049:2641:-1 gene:VigunL020001.v1.2 transcript:VigunL020001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIGFGFRPTEEELVDYYLRHRLLGNDPQGHVILDIDLCQVEPWDVPMYFEESDAQFDFPEWFFFSPVDFKYPNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGGDEGESSRIMDNYVNNEESTNTYFNTFTQSKSLRKVYDTDAEAVSQQCVVNIPTQTNTIIKEFDSELSNVDVHEGRKVSNNAVFHVEAQKNFLIVNRKKNS >Vigun10g200500.1.v1.2 pep primary_assembly:ASM411807v1:10:41306361:41310146:1 gene:Vigun10g200500.v1.2 transcript:Vigun10g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEDGGDKTSRGNEWEVVSLTASTYAAAPGPDDVEMKDEGRESSFVPDEAETSRALFMSGHFVFPPSQHENLPVEPDYSEIHDDSGDKDVTSDETVGEVIRPSGKDEENLTLPGLEVSEEFEGMRYFDQKINRLSVRGKEFEEGTTLPDFGLTEKGEIMYDPAKYTSFQGETTIGGVTAYGESIVEPETTESEGSNVSPDLSLSKNSSKDDEYNTSDLPCGAWWKRRAASLYAHAKETNAFWSVFVAAAVMGLVMLGQHWQQERALQLKWQISINDEVRSRVLAPIIRFKDVIVGGHRRGSLVRGSSSSES >Vigun10g200500.2.v1.2 pep primary_assembly:ASM411807v1:10:41306482:41310136:1 gene:Vigun10g200500.v1.2 transcript:Vigun10g200500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEDGGDKTSRGNEWEVVSLTASTYAAAPGPDDVEMKDEGRESSFVPDEAETSRALFMSGHFVFPPSQHENLPVEPDYSEIHDDSGDKDVTSDETVGEVIRPSGKDEENLTLPGLEVSEEFEGMRYFDQKINRLSVRGKEFEEGTTLPDFGLTEKGEIMYDPAKYTSFQGETTIGGVTAYGESIVEPETTESEGSNVSPDLSLSKNSSKDDEYNTSDLPCGAWWKRRAASLYAHAKETNAFWSVFVAAAVMGLVMLGQHWQQERALQLKWQISINDEVRSRVLAPIIRFKDVIVGGHRRGSLVRGSSSSES >Vigun10g200500.3.v1.2 pep primary_assembly:ASM411807v1:10:41306482:41310126:1 gene:Vigun10g200500.v1.2 transcript:Vigun10g200500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEDGGDKTSRGNEWEVVSLTASTYAAAPGPDDVEMKDEGRESSFVPDEAETSRALFMSGHFVFPPSQHENLPVEPDYSEIHDDSGDKDVTSDETVGEVIRPSGKDEENLTLPGLEVSEEFEGMRYFDQKINRLSVRGKEFEEGTTLPDFGLTEKGEIMYDPAKYTSFQGETTIGGVTAYGESIVEPETTESEGSNVSPDLSLSKNSSKDDEYNTSDLPCGAWWKRRAASLYAHAKETNAFWSVFVAAAVMGLVMLGQHWQQERALQLKWQISINDEVRSRVLAPIIRFKDVIVGGHRRGSLVRGSSSSES >Vigun03g247632.1.v1.2 pep primary_assembly:ASM411807v1:3:41167766:41177027:1 gene:Vigun03g247632.v1.2 transcript:Vigun03g247632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTTLQGVHVPLFQQHKRLFSASREKAVSGKNKASDTASCALPASVRVRCASDAGKRGSDAVSELHRLIQALVSGCSAGVHRRMCKRCGNMRRLACSTCKGTESIREGGLLGMKPVKDLFETLDNTESQVKQIACVKCEDKVHMNLLNIEMVVGNGQLPQVSHHHQDISMQRFDSIYIILFTFFFSGCITELCVIYFQ >Vigun10g013400.2.v1.2 pep primary_assembly:ASM411807v1:10:1474955:1477634:-1 gene:Vigun10g013400.v1.2 transcript:Vigun10g013400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSLYLSKSFFTTHHHHLGFNHTLSSTHNSLSSLSSTLLKPCSTRLITAQAQKPKRSVPKEGVRVRGNKENVWSIDNELAKVASASSQKEKRRKRRVVKRKGEKGGRIIVSGAMLVEVETVLQTQEPVIKPVWNTFASSLNGIWKGVGAVFSPITAEMEPMEIGSKNENLYDCYTLSRIEAVPSASGDPTSQIRRKVNWVTLNPYGETQQHNKGSNATKDRKHKTGENVTNHTLPTFESFDLERGDVMEEDVMGCEPGLVYFEDGSYSRGPMDIPVGESDDTKYFISPTFKFEQCLVKGCHKRIRIVHTIEFSNGGADIQIMRVAVYEEEWASPSSIDDQR >Vigun10g013400.1.v1.2 pep primary_assembly:ASM411807v1:10:1473838:1477634:-1 gene:Vigun10g013400.v1.2 transcript:Vigun10g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSLYLSKSFFTTHHHHLGFNHTLSSTHNSLSSLSSTLLKPCSTRLITAQAQKPKRSVPKEGVRVRGNKENVWSIDNELAKVASASSQKEKRRKRRVVKRKGEKGGRIIVSGAMLVEVETVLQTQEPVIKPVWNTFASSLNGIWKGVGAVFSPITAEMEPMEIGSKNENLYDCYTLSRIEAVPSASGDPTSQIRRKVNWVTLNPYGETQQHNKGSNATKDRKHKTGENVTNHTLPTFESFDLERGDVMEEDVMGCEPGLVYFEDGSYSRGPMDIPVGESDDTKYFISPTFKFEQCLVKGCHKRIRIVHTIEFSNGGADIQIMRVAVYEEEWASPSSIDDQSDMEFHVKPLSQRKRTKPSDLTGSWKVFEIGATPVYGEESMAEEGTETPYVYLCTENLKKRSLPENTNYFGEEERLDMQDVTMLWLPGGVTCYVDINKDGILCIGVGWYSDEGINLVMERDYGLDGKLKEVRWKTEVKRRWSSPPPIE >Vigun05g161000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25660333:25663452:1 gene:Vigun05g161000.v1.2 transcript:Vigun05g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPFSSSTKNPLSFFDPILKELKKKKKKFEFFTFLVLKVLSEKFKLFLNIVIEKAKGIIQSILEIIRKSIVFLTKKKQEFLNLKYFFIKRKPPKLDELSENKKYSTIWKNNSMISEATISIQSIKSTNFSLKKKSIKDLNTKRKVVIKKIEKMKKEEKKRGLGIPETNIHSKKKIYDSKRIEFEKKILQIFQRRNISLTKKSHSFFQFLMKKIYIDIFLYIICIPKIQLQLFLESTKKFLNKWLYDNEPNTERSYKTNQSIIPFLLKLHKYFNNKNKNANSHNYFDVSFLSQPYVFLNLLQTRIININIYKLRLLFQYNKNFFFLKNEIKDSFFGAQGIVHSKRKQNNLLNSELNQWTNWLKNNYYQYNLSNSSWSKLVSQKWRNRITKCGVTQNKNLTKWDSYGKSPLILYKEQQGIPLKKKIRKQYKYDLLSYNFMNYANKKDSYIYRYRSLFQSNKNTMISSNYNTYKKDLFDRISNIFIKNYIAEDTIIINPDRKYLDWTGINRKILNRSICNPEFWFFSKFVIFYNTFRGNSQIIPIKLLYLHSLFLHSKGNKNVSEKNITRKKKKIDVFRTSKKKPKNNMEAELQFLVRNFLNFHLNWKNFLGQKIFNNVKVYCLLIRLTNLRKITIASIQRGELGLDIMMIQKQTNLTLSGLRKKKNNKFRKKELFVIEPVRLSRKNNKQFFKYKMMGFSLIHKNKRKIYKKYSEKIHVNKKFFDKYITRTKDQKITENKENEKFNLLVPENILSARRRRELRIRICLDPNNINSMHRNTIFYNENKVQNCFKVLTKKRNEKEKKKLMNFKIFLWPKYRLEDLACINRYWFNTHNGSRFSIVRIHMYPRVKIR >Vigun10g051600.2.v1.2 pep primary_assembly:ASM411807v1:10:8084742:8089018:1 gene:Vigun10g051600.v1.2 transcript:Vigun10g051600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKTTFQNQRPCHQKPRINNTAVLPTPPLWLLIDSLANPQIFLLLFPHSSHYKVPSHLLNPTKHFETLSFLLSCSPLRVKMAASVPTFGAVNMAPLKLNGSSSGVAGSALFGTCLKKVSCVSSSQKSVCGNFKVRAQIEYDEEKQTSKDRWAGLAYDTSDDQQDITRGKGMVDTLFQAPMESGTHYAVMSSYDYISAGLRQYNLDNTMDGFYIAPAFMDKIVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCQGIFRTDGIPKEDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISGVGVENVGKKLVNSKEGPPTFKQPQMSLNKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANEDAIKTGNFYG >Vigun10g051600.1.v1.2 pep primary_assembly:ASM411807v1:10:8084742:8089018:1 gene:Vigun10g051600.v1.2 transcript:Vigun10g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKTTFQNQRPCHQKPRINNTAVLPTPPLWLLIDSLANPQIFLLLFPHSSHYKVPSHLLNPTKHFETLSFLLSCSPLRVKMAASVPTFGAVNMAPLKLNGSSSGVAGSALFGTCLKKVSCVSSSQKSVCGNFKVRAQIEYDEEKQTSKDRWAGLAYDTSDDQQDITRGKGMVDTLFQAPMESGTHYAVMSSYDYISAGLRQYNLDNTMDGFYIAPAFMDKIVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCQGIFRTDGIPKEDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISGVGVENVGKKLVNSKEGPPTFKQPQMSLNKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANEDAIKTGNFYGQAAQQVHVPVPEGCTDPTAQNYDPTARSDDGSCTYTL >Vigun10g051600.4.v1.2 pep primary_assembly:ASM411807v1:10:8084742:8089018:1 gene:Vigun10g051600.v1.2 transcript:Vigun10g051600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLFQAPMESGTHYAVMSSYDYISAGLRQYNLDNTMDGFYIAPAFMDKIVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCQGIFRTDGIPKEDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISGVGVENVGKKLVNSKEGPPTFKQPQMSLNKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANEDAIKTGNFYGQAAQQVHVPVPEGCTDPTAQNYDPTARSDDGSCTYTL >Vigun10g051600.3.v1.2 pep primary_assembly:ASM411807v1:10:8084742:8089018:1 gene:Vigun10g051600.v1.2 transcript:Vigun10g051600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLNGSSSGVAGSALFGTCLKKVSCVSSSQKSVCGNFKVRAQIEYDEEKQTSKDRWAGLAYDTSDDQQDITRGKGMVDTLFQAPMESGTHYAVMSSYDYISAGLRQYNLDNTMDGFYIAPAFMDKIVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCQGIFRTDGIPKEDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISGVGVENVGKKLVNSKEGPPTFKQPQMSLNKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANEDAIKTGNFYGQAAQQVHVPVPEGCTDPTAQNYDPTARSDDGSCTYTL >Vigun04g151300.5.v1.2 pep primary_assembly:ASM411807v1:4:36815357:36818517:1 gene:Vigun04g151300.v1.2 transcript:Vigun04g151300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSKVVLGSVAFLIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFKVLGPDEAYGAIDLPILGLLFGTMLVTIFLERANMFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCIVLTEFVLKIARQHDLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGRFLMGILPAMVVGVVVNIVFIIVMYWKVLSSPKDEENQISEVVVEEEAVSHQFSPARMSHLNPQECNGSLELGNAVPNPSLALVSSQVHVIKDQSATGVSEVQMVHSGTKEETNPSKSVAAVVDKPVVGLVVSSSEGKDYMSVKWKRVLWKSCVYAITLVMLIAMLIGLNMAWTAIAAAITLVVLDFKDAGPSLDKVSYSLLIFFCGMFITIEGFKKTEIPSAMWDVMEPYSRIDHASGTAILAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEEKAWLILAWVSTIAGNFSLLGSAANLIVCEQARRAPNLGYNLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun04g151300.4.v1.2 pep primary_assembly:ASM411807v1:4:36815242:36818517:1 gene:Vigun04g151300.v1.2 transcript:Vigun04g151300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSKVVLGSVAFLIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFKVLGPDEAYGAIDLPILGLLFGTMLVTIFLERANMFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCIVLTEFVLKIARQHDLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGRFLMGILPAMVVGVVVNIVFIIVMYWKVLSSPKDEENQISEVVVEEEAVSHQFSPARMSHLNPQECNGSLELGNAVPNPSLALVSSQVHVIKDQSATGVSEVQMVHSGTKEETNPSKSVAAVVDKPVVGLVVSSSEGKDYMSVKWKRVLWKSCVYAITLVMLIAMLIGLNMAWTAIAAAITLVVLDFKDAGPSLDKVSYSLLIFFCGMFITIEGFKKTEIPSAMWDVMEPYSRIDHASGTAILAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEEKAWLILAWVSTIAGNFSLLGSAANLIVCEQARRAPNLGYNLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun04g151300.6.v1.2 pep primary_assembly:ASM411807v1:4:36815357:36818517:1 gene:Vigun04g151300.v1.2 transcript:Vigun04g151300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSKVVLGSVAFLIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFKVLGPDEAYGAIDLPILGLLFGTMLVTIFLERANMFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCIVLTEFVLKIARQHDLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGRFLMGILPAMVVGVVVNIVFIIVMYWKVLSSPKDEENQISEVVVEEEAVSHQFSPARMSHLNPQECNGSLELGNAVPNPSLALVSSQVHVIKDQSATGVSEVQMVHSGTKEETNPSKSVAAVVDKPVVGLVVSSSEGKDYMSVKWKRVLWKSCVYAITLVMLIAMLIGLNMAWTAIAAAITLVVLDFKDAGPSLDKVSYSLLIFFCGMFITIEGFKKTEIPSAMWDVMEPYSRIDHASGTAILAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEEKAWLILAWVSTIAGNFSLLGSAANLIVCEQARRAPNLGYNLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun04g151300.3.v1.2 pep primary_assembly:ASM411807v1:4:36814617:36818517:1 gene:Vigun04g151300.v1.2 transcript:Vigun04g151300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSKVVLGSVAFLIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFKVLGPDEAYGAIDLPILGLLFGTMLVTIFLERANMFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCIVLTEFVLKIARQHDLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGRFLMGILPAMVVGVVVNIVFIIVMYWKVLSSPKDEENQISEVVVEEEAVSHQFSPARMSHLNPQECNGSLELGNAVPNPSLALVSSQVHVIKDQSATGVSEVQMVHSGTKEETNPSKSVAAVVDKPVVGLVVSSSEGKDYMSVKWKRVLWKSCVYAITLVMLIAMLIGLNMAWTAIAAAITLVVLDFKDAGPSLDKVSYSLLIFFCGMFITIEGFKKTEIPSAMWDVMEPYSRIDHASGTAILAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEEKAWLILAWVSTIAGNFSLLGSAANLIVCEQARRAPNLGYNLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun04g151300.2.v1.2 pep primary_assembly:ASM411807v1:4:36811563:36818517:1 gene:Vigun04g151300.v1.2 transcript:Vigun04g151300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSKVVLGSVAFLIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFKVLGPDEAYGAIDLPILGLLFGTMLVTIFLERANMFKYLGKLLSWKSRGPKDLLCRICLISAISSAFFTNDTSCIVLTEFVLKIARQHDLPPYPFLLALASSANIGSSATPIGNPQNLVIAIQGKISFGRFLMGILPAMVVGVVVNIVFIIVMYWKVLSSPKDEENQISEVVVEEEAVSHQFSPARMSHLNPQECNGSLELGNAVPNPSLALVSSQVHVIKDQSATGVSEVQMVHSGTKEETNPSKSVAAVVDKPVVGLVVSSSEGKDYMSVKWKRVLWKSCVYAITLVMLIAMLIGLNMAWTAIAAAITLVVLDFKDAGPSLDKVSYSLLIFFCGMFITIEGFKKTEIPSAMWDVMEPYSRIDHASGTAILAVVILVLSNLASNVPTVLLLGARVAASAAAISKADEEKAWLILAWVSTIAGNFSLLGSAANLIVCEQARRAPNLGYNLTFWTHLKFGLPSTLIVTAIGLTLIR >Vigun08g033400.1.v1.2 pep primary_assembly:ASM411807v1:8:3102307:3107330:1 gene:Vigun08g033400.v1.2 transcript:Vigun08g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNDGNTDDGNNHNWLGFSLSPHMKMEITSSADPPHHHHHHYYHHPQVSAAAGPCNTVPTGFYISPSHLNPSGICYGVGENSAFHPPLAMMPLKSDGSLCIMEALTRSQTQVMVPTTSPKLEDFLGGASVGAQDYGGHEREAMALSLDSVYYSSQNAEPEANRGHPSSLGLLSDPFRQQTHPYYSGLGIYQVEEEPKQPHVTVCSSQMPHAVEEGIACFKNWVPPRGYSSTQQNLEQQQGNNSSGMVEGHGASGNAGVGCGELQSLSLSMSPGSQSSCVTVPTQISSSKTESVAGDAKKRGSAKLGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQTELEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDISRYDVERIMASNTLLAGELARRNKESEQRTEGMEYNGVSSQQQEAENVNNNEKNEKGSSSSSDWKMGLYHQQHQQQSNNNNCEMKNMKCGNYRGSGFSVSLQDLIGIDSVGSSQAMIEESTKIGAHFSNPSSLVTSLSSSREGSPDKTGPTMLFPKPPVGSKVVTSPIANGVSVGAWFPSQMRPVSMSHLPVFAAWSDT >Vigun01g211900.1.v1.2 pep primary_assembly:ASM411807v1:1:38605374:38612549:-1 gene:Vigun01g211900.v1.2 transcript:Vigun01g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVHAGEWEEVEKYLTGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKIFSTFNEELYKEITQLLTLSNFRENEQLSKYGDTKTARGIMLIELKKLIEANPLFRDKLIFPTLRSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPIAAVAKPAAYTPIGAHGPFPPAAATANANALAGWMANASASSSVQAAVVTASTMPVPQNQVSILKHPRTPPIAPGMVDYQNADHEQLMKRLRPAPSLEEVSYPASRQASWSPDDLPRTVAMTLHQGYSVTSMDFHPSHQTLLLVGSTNGEITLWELGLRDRSVTKPFKIRDISACSLPFQAAMAKDASISVSRVTWSLDGNFVGVAFTKHLIHLYSYILSNELVQRMEVDAHIGSVNDLAFSHPNKQLCIVTCGDDKLIKVWDLTGRILFNFEGHEAPIYSICPHHKENIQFVFSTAIDGKIKAWLYDNAGSRVDYDAPGHWCTTLLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDGQIKFWEMDSVNLLTSTDAEGGLQALPLLRFNKEGSLLAVTTADNGFKILANVSGLRSLRTVQTPGFEALRSPIDSAAIKASGSSAVNVSPVNCKVERSSPVRPSPILNGGVDPTGRNAEKPITVEEGIDRAKPWQLSEIVDPVQCQSVTMPDSTDASSKVVRLLYTNSGAGLLALGSNGVQRLWKWARSEQNPNGKATASVVPQHWQPQSGLLMTNDVSGVNLDEAVPCIALSKNDSYVLSACGGKVSLFNMMTFKVMTTFMPPPLPLPFWLSTLKIITS >Vigun04g182200.1.v1.2 pep primary_assembly:ASM411807v1:4:40652174:40660548:1 gene:Vigun04g182200.v1.2 transcript:Vigun04g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGGDQWKHNRHMWPVPANATTVAIDSSPSQFICKDGRKIRAGDCALFKPPRDSPPFIGIIRKLSYDKQESPSLEVHWLYRPADLKLAKGIVLEAAPNEVFYSFHKDETPAASLLHPCKVAFLRKGVELPSGISAFVCRRVYDIENNCLWWLTDKDYLNEQQEEVNQLLDKTKLEMHGAVQSGGRSPKPLNGPASTQSLKSGSDNIQNSSSFGAQGKGKKRERGDQGSDSSKKERLFKIEDGDSGQFRPESMLKSEIAKITDKGGLVDFEGVEKLVQLMQPDSADKKIDLPGRIMLVDVIALTDRYDCLGWFVQLRGLPVLDEWLQEVHKGKIGDGNPKESDKSVDDFLLALLRALDKLPVNLHALQTCNVGKSVNHLRTHKNAEIQRKARSLVDTWKRRVEAEMNMNDSKSGSNRAVSWPAKPANSESPHVGNRKTGGSSDNVVKSSAIQPSLSKSSQSKLSSGEALSKSSSPASTKSSTTPAGVNSKDQNSKFFVAATSDLPLTPIKEERSSSSSQSQNNSITCSSEHAKTIGSCREDAKSSTAVSMSGSKIHGCTSRTRKSSNGVHGGAGTAVGQKEHNSAKHATRNSPSEKVSPTRASHEKSIDQALADQGNNQRLILRLPNTGRSPSRGASGGSFEEPATTSSKASSPADRNDNQDRRVKTKAECLLTHVSNMMNEACDANDALIGDEGKGTPIVDERSRANEDSDKVLETSKPTSLPSGFVSRSGQTYDASLSPMNALVESCVKFSEASSSVSHGDDGMNLLATVAAGEISRSENASPVASPERKSPAADEMCSGNDLKLKHSGEAAVRTLSELNGRATGEHPLNTVDSLQIKNELRHPAMTLSRDFSGDGETISSHDTHINVSPTNLSQNVEGPCLRTETKEDTSVTILTAKKESNADAEVSDSKLMPRASSLDDDQKVDHMSEEIIEDEKMLVSKPVTNVESENVSGEKQPELTSGVVDNENQISVEKATGTGILVQKASPIAENCESAYLKKESPASGNALIVPKDESADDMKSVVIEPDVRPIEQDSSAPDDSNECAEVNMGRKETIGQCSGSSVQPDLQAMARTESEVSKSCEQKLDANPSEVSGERHACSTAGADAAVKLDFDLNEGFPVDDVSQGEIARQDDPITSSAVHVPCPLPFPISSISGGFHPSITVASAAKGPVVPPENPLRIKGELGWKGSAATSAFRPAEPRKNTEMQSSTNDITSVDVTSIKQNRPPLDFDLNVADERCFEDVGSHGSLDSGPHDRSVGLDLDLNRVDETPEIGTFSISKLDIPALPSKPSLSSGLSNGGSVSRDFDLNNGPGLEEVGSEVPIRSQQMKNSVPFPSAVHSTRTNSAEYGNYSAWFPPGNSYSAITVPPLLSGRGEQSYVTGAGAQRIMGPTGSTPFGPEIYRGSVLSSSPAVAYPSTTAFPYPGFPFETNFPLSSNSFSGSNAFMDSSNVGGLCFPTMPTQPVGPGGVVSSTYPRPYVMSLPGGTSNVIPDSRKWGSQSLDLNSGPGVADTERRDERLPSGLRQMSVPNPQPSIEDHLKMFQMAGAAALKRKEPDGGWDAERFGYKQHSRQ >Vigun02g188000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEIHEVKDGDSKRSHRDRDRDRTGERGKDKNDGRHRDREKRDRESRRHERERSTDSEDKYDREREKRKDKDKDVDRERGREKKRERDRDRDDRERTDRVREKEKERERKEDKERIRERERERRDHEREKEKERERVRRAREREKRREVDSDYSDGESRERDRKRHKKEDGDYKKRERERSVSKPSRQSEEREGSPRKKIVGDDSDTKDGEKKPTREEEMENEQKRLDEEMEKRRRRVQEWQELRRKKEEAEREKHGEVSTNEPESGKTWTLEGESDDEEGPGTEKHDTGMDVDEDDKPADMEPKDVMVVDTDNGTITSDLQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun02g188000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEIHEVKDGDSKRSHRDRDRDRTGERGKDKNDGRHRDREKRDRESRRHERERSTDSEDKYDREREKRKDKDKDVDRERGREKKRERDRDRDDRERTDRVREKEKERERKEDKERIRERERERRDHEREKEKERERVRRAREREKRREVDSDYSDGESRERDRKRHKKEDGDYKKRERERSVSKPSRQSEEREGSPRKKIVGDDSDTKDGEKKPTREEEMENEQKRLDEEMEKRRRRVQEWQELRRKKEEAEREKHGEVSTNEPESGKTWTLEGESDDEEGPGTEKHDTGMDVDEDDKPADMEPKDVMVVDTDNGTITSDLQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun02g188000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEIHEVKDGDSKRSHRDRDRDRTGERGKDKNDGRHRDREKRDRESRRHERERSTDSEDKYDREREKRKDKDKDVDRERGREKKRERDRDRDDRERTDRVREKEKERERKEDKERIRERERERRDHEREKEKERERVRRAREREKRREVDSDYSDGESRERDRKRHKKEDGDYKKRERERSVSKPSRQSEEREGSPRKKIVGDDSDTKDGEKKPTREEEMENEQKRLDEEMEKRRRRVQEWQELRRKKEEAEREKHGEVSTNEPESGKTWTLEGESDDEEGPGTEKHDTGMDVDEDDKPADMEPKDVMVVDTDNGTITSDLQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun02g188000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEIHEVKDGDSKRSHRDRDRDRTGERGKDKNDGRHRDREKRDRESRRHERERSTDSEDKYDREREKRKDKDKDVDRERGREKKRERDRDRDDRERTDRVREKEKERERKEDKERIRERERERRDHEREKEKERERVRRAREREKRREVDSDYSDGESRERDRKRHKKEDGDYKKRERERSVSKPSRQSEEREGSPRKKIVGDDSDTKDGEKKPTREEEMENEQKRLDEEMEKRRRRVQEWQELRRKKEEAEREKHGEVSTNEPESGKTWTLEGESDDEEGPGTEKHDTGMDVDEDDKPADMEPKDVMVVDTDNGTITSDLQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun02g188000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKHKSRKEIHEVKDGDSKRSHRDRDRDRTGERGKDKNDGRHRDREKRDRESRRHERERSTDSEDKYDREREKRKDKDKDVDRERGREKKRERDRDRDDRERTDRVREKEKERERKEDKERIRERERERRDHEREKEKERERVRRAREREKRREVDSDYSDGESRERDRKRHKKEDGDYKKRERERSVSKPSRQSEEREGSPRKKIVGDDSDTKDGEKKPTREEEMENEQKRLDEEMEKRRRRVQEWQELRRKKEEAEREKHGEVSTNEPESGKTWTLEGESDDEEGPGTEKHDTGMDVDEDDKPADMEPKDVMVVDTDNGTITSDLQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun02g188000.3.v1.2 pep primary_assembly:ASM411807v1:2:32635176:32640486:1 gene:Vigun02g188000.v1.2 transcript:Vigun02g188000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKDRVLRSMIQEGTAGTPDDEEIDPLDAFMNSMVLPEVEKLNNAVTSVISDKAIDIKAKDKGNEQSRGAQSRKGSNKSIGRIIPGEESDSDYADDEVERDPLDEDDDEFMKRVKKTKAEKLSLVDHSKIDYEPFKKTFYIEVKEISKIAPEEAAAYRKQLELKIHGKDVPKPIKSWHQTGLASKILETIKKMNFDKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDILQLVEVRPDNERFLRLLEILGEWYEKGKILIFVHSQEKCDSLFKDLLRHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEEARYAPDLLKALELSEQTVPNDLKTLADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHPALAQILAATKVNAPALPTPISAAQLISNGGLPVSFPSVLGLQTPTVLPGTGLPLSANDGAARAALAAMNLHRNIEKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKIPGPGERKLYLFIEGPTEQSVKTAKAQLKRVLEDITNQALQLPGGNQPGKYSVV >Vigun01g141500.1.v1.2 pep primary_assembly:ASM411807v1:1:32254579:32258118:1 gene:Vigun01g141500.v1.2 transcript:Vigun01g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFLGRVLFASVFILSAYQEFNAYGVDGGPAAKALRPKFDAFAHQVHSRVGFQLPDIDMKFLVAGAIALKGIGGILFILGSSFGALLLLLHQVITTPILYDFYNYDSEDKGFIQLFIKFTQNMALFGALLFFVGMKNSIPRRQPKKKAPKTKTY >Vigun08g000600.4.v1.2 pep primary_assembly:ASM411807v1:8:87238:92776:1 gene:Vigun08g000600.v1.2 transcript:Vigun08g000600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTGPYAHETQPVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLSSSVDLKNSDKGNYISANDLQATYSLYPGSPASSLISPISRNSGDCLSSSFPEREFRPQWDSSLSPENGKYQRTGSGRVSGHDTNGVTSASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDVQSNGGNGHQSRHARSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMEDSFTMMPFASGKSTMEENIEPSLMKGFKAQETQVALQSLKSLGSDPGPVGKEGHNQAAIYQGYEDLKSQRHGSNSSGFSPPENPTFVDDEDIFSKMESSRISRKYKMGLSCSDAEIDYSRGRSLREGKRM >Vigun08g000600.3.v1.2 pep primary_assembly:ASM411807v1:8:85660:92776:1 gene:Vigun08g000600.v1.2 transcript:Vigun08g000600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTGPYAHETQPVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLSSSVDLKNSDKGNYISANDLQATYSLYPGSPASSLISPISRNSGDCLSSSFPEREFRPQWDSSLSPENGKYQRTGSGRVSGHDTNGVTSASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDVQSNGGNGHQSRHARSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMEDSFTMMPFASGKSTMEENIEPSLMKGFKAQETQVALQSLKSLGSDPGPVGKEGHNQAAIYQGYEDLKSQRHGSNSSGFSPPENPTFVDDEDIFSKMESSRISRKYKMGLSCSDAEIDYSRGRSLREGKRM >Vigun08g000600.1.v1.2 pep primary_assembly:ASM411807v1:8:85660:92776:1 gene:Vigun08g000600.v1.2 transcript:Vigun08g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNRFPHHERRKRWGGCWGAFSCFGSQKGGKRIVPASRIPESSGPASQPNGPQVVGLTNQATGLAPSLLAPPSSPASFTHSALPSTAQSPSCFLSLSANSPGGPSSTMYVTGPYAHETQPVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLSSSVDLKNSDKGNYISANDLQATYSLYPGSPASSLISPISRNSGDCLSSSFPEREFRPQWDSSLSPENGKYQRTGSGRVSGHDTNGVTSASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDVQSNGGNGHQSRHARSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMEDSFTMMPFASGKSTMEENIEPSLMKGFKAQETQVALQSLKSLGSDPGPVGKEGHNQAAIYQGYEDLKSQRHGSNSSGFSPPENPTFVDDEDIFSKMESSRISRKYKMGLSCSDAEIDYSRGRSLREGKRM >Vigun08g000600.2.v1.2 pep primary_assembly:ASM411807v1:8:85660:92776:1 gene:Vigun08g000600.v1.2 transcript:Vigun08g000600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTGPYAHETQPVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLSSSVDLKNSDKGNYISANDLQATYSLYPGSPASSLISPISRNSGDCLSSSFPEREFRPQWDSSLSPENGKYQRTGSGRVSGHDTNGVTSASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDVQSNGGNGHQSRHARSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMEDSFTMMPFASGKSTMEENIEPSLMKGFKAQETQVALQSLKSLGSDPGPVGKEGHNQAAIYQGYEDLKSQRHGSNSSGFSPPENPTFVDDEDIFSKMESSRISRKYKMGLSCSDAEIDYSRGRSLREGKRM >Vigun03g178100.2.v1.2 pep primary_assembly:ASM411807v1:3:22215014:22222281:-1 gene:Vigun03g178100.v1.2 transcript:Vigun03g178100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYIKKARTDGVDLLRKEKQKERKHKKDKKDKEKRESKEKREKEGRDGKHKEKRDKKEKRREKKKDKNKDKNKDRDKSKISSTDDKGFPRQSEGLNAGNIHQEEIKHDETKGILFENRLTKQYSGNNGEKATEKNPLAENTETKFLLELDRRIRNDDGGATNQLVHNFTNANHGKNEATNRLVAKGSGTRLDGNEKLKDKGLDVKKIDGRGVHAEVRPIGNSPAQNYVGNVHPRVDGIPKLLGKYFEKNLEATVEGKERVKEKKDEGREKTEEEKVKEKKDEGKEKVKKKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAKESSELKTTEQNKLKESNKIALKDSNCFSQLSRNSHEDPVGGENLKKRKDIESNGVPRVNDSLPNKFPKLSSSQPFTENGRPLEPRHISVPNASDRPQVATGVKLLQSHPIQTPST >Vigun03g178100.1.v1.2 pep primary_assembly:ASM411807v1:3:22215014:22222281:-1 gene:Vigun03g178100.v1.2 transcript:Vigun03g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYIKKARTDGVDLLRKEKQKERKHKKDKKDKEKRESKEKREKEGRDGKHKEKRDKKEKRREKKKDKNKDKNKDRDKSKISSTDDKGFPRQSEGLNAGNIHQEEIKHDETKGILFENRLTKQYSGNNGEKATEKNPLAENTETKFLLELDRRIRNDDGGATNQLVHNFTNANHGKNEATNRLVAKGSGTRLDGNEKLKDKGLDVKKIDGRGVHAEVRPIGNSPAQNYVGNVHPRVDGIPKLLGKYFEKNLEATVEGKERVKEKKDEGREKTEEEKVKEKKDEGKEKVKKKKDDKRRDKRKDKEKEKKGHGKNKDRDKEKKKEEKAKESSELKTTEQNKLKESNKIALKDSNCFSQLSRNSHEDPVGGENLKKRKDIESNGVPRVNDSLPNKFPKLSSSQPFTENGRPLEPRHISVPNASDRPQVATGVKVENKEGMKNEIFETQSFSASSNKTRTDIVPVDFVTEASAKPSHPDSKYLGQVYSVPKVDQWSDFGDQEWLFDSNILPERKSVVQSSEVGDTPQVWAEALRIESADVFALPYVIPY >Vigun10g166400.1.v1.2 pep primary_assembly:ASM411807v1:10:38538205:38543629:-1 gene:Vigun10g166400.v1.2 transcript:Vigun10g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNSFRVFDRIKRVIFVCVLLAAIDNNNSNNSSYPVTDFAYHNDSLQIKYDVFVSFRGTDIRQDFLSHLIEAFSQRHINAFVDNKIVKGDVLSEALIRAIEGSSISLIIFSQDYASSHWCLSELVKIVECRRTNGQIVLPIFYKVDPSHVRYQKGSYEHAFAKHQIRYSLSTMQIWRTALTEAANLSGFHSSTFRDEAEFMKEIVKCVLMRLNQVQQVKSRGLVGIGKRIAHVELLLQSEEPDVRIIGIWGMGGIGKTTIAEEVYNKLCFEYEGCCFLANIREESGRHGIMSLKKKLFSTLLGGEDLKIDTPNGLPQYIERRLRRMKVLIILDDVNDSDQLEVLAGTHDWFGSGSRIIITTRDKQVLAKEFASIYKVEALNFDESLRLFNLNAFKQNHLESEYHELSKKVVNYAKGIPLVLKVLGHLLHGKDKETWESQLERLKKVQNRKVHDMIKLSYNDLDRDEKKIFLDIACFFDGLNLKVKHINFLLKDHDYSVVAGLERLKDKALITVSQENGVSMHNIIQETAWQIAREESIEDPRSQIQLLDPEDIYHVLNYNKGDEAIRSIVINLSRIKQLQLNPQVFARMSKLHFLDFYSKGSCSCLGDQGGLYLPQGLESLSNELRYLRWTHYPLESLPSKFSAENLVELNLPHSRLKKLWQEAPDLVNLRVLILHSSTRLKELPNFSKATNLKAIDLRFCVGLTSVHSSIFSLRNLEKLDLGGCISLRSLRSNVHLDSLRYLSLYGCMSLKDFSVTSKNMVKLNLELTGIKQLPSSFGLQSNLQKLRLAYTYIDNLPTSIKHLTRLRHLDLRYCRELRTLPELPPSLETLDARGCVSLESVMFPFAVSEQLKENKKRVAFWNCLKLDEPSLNAIELNAQINMMKFAHQHFSLFGDAQSTYVYPGSKVPKWLVHKTTHDDFVIMDLSSVLSPHSSHIGFIFGFVVPEVPFGGLALEFRISTGGEGGEGSHINVYVDRPRHGITSDHVYLMYDQACSHYLNSRAKHEPRLKIKVALASRTLTSKYVPLELKAFGISLINTTDFLSFVQNVKFGDNVPNVPILPKFFCPFCIVVFVGTLNICIRRLV >Vigun10g166400.3.v1.2 pep primary_assembly:ASM411807v1:10:38538205:38543630:-1 gene:Vigun10g166400.v1.2 transcript:Vigun10g166400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNSFRVFDRIKRVIFVCVLLAAIDNNNSNNSSYPVTDFAYHNDSLQIKYDVFVSFRGTDIRQDFLSHLIEAFSQRHINAFVDNKIVKGDVLSEALIRAIEGSSISLIIFSQDYASSHWCLSELVKIVECRRTNGQIVLPIFYKVDPSHVRYQKGSYEHAFAKHQIRYSLSTMQIWRTALTEAANLSGFHSSTFRDEAEFMKEIVKCVLMRLNQVQQVKSRGLVGIGKRIAHVELLLQSEEPDVRIIGIWGMGGIGKTTIAEEVYNKLCFEYEGCCFLANIREESGRHGIMSLKKKLFSTLLGGEDLKIDTPNGLPQYIERRLRRMKVLIILDDVNDSDQLEVLAGTHDWFGSGSRIIITTRDKQVLAKEFASIYKVEALNFDESLRLFNLNAFKQNHLESEYHELSKKVVNYAKGIPLVLKVLGHLLHGKDKETWESQLERLKKVQNRKVHDMIKLSYNDLDRDEKKIFLDIACFFDGLNLKVKHINFLLKDHDYSVVAGLERLKDKALITVSQENGVSMHNIIQETAWQIAREESIEDPRSQIQLLDPEDIYHVLNYNKGDEAIRSIVINLSRIKQLQLNPQVFARMSKLHFLDFYSKGSCSCLGDQGGLYLPQGLESLSNELRYLRWTHYPLESLPSKFSAENLVELNLPHSRLKKLWQEAPDLVNLRVLILHSSTRLKELPNFSKATNLKAIDLRFCVGLTSVHSSIFSLRNLEKLDLGGCISLRSLRSNVHLDSLRYLSLYGCMSLKDFSVTSKNMVKLNLELTGIKQLPSSFGLQSNLQKLRLAYTYIDNLPTSIKHLTRLRHLDLRYCRELRTLPELPPSLETLDARGCVSLESVMFPFAVSEQLKENKKRVAFWNCLKLDEPSLNAIELNAQINMMKFAHQHFSLFGDAQSTYVYPGSKVPKWLVHKTTHDDFVIMDLSSVLSPHSSHIGFIFGFVVPEVPFGGLALEFRISTGGEGGEGSHINVYVDRPRHGITSDHVYLMYDQACSHYLNSRAKHEPRLKIKVALASRTLTSKYVPLELKAFGISLINTTDFLSFVQNVKFGDNVPNVPILPKFFCPFCIVVFVGTLNICIRRLV >Vigun10g166400.2.v1.2 pep primary_assembly:ASM411807v1:10:38538205:38543625:-1 gene:Vigun10g166400.v1.2 transcript:Vigun10g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNSFRVFDRIKRVIFVCVLLAAIDNNNSNNSSYPVTDFAYHNDSLQIKYDVFVSFRGTDIRQDFLSHLIEAFSQRHINAFVDNKIVKGDVLSEALIRAIEGSSISLIIFSQDYASSHWCLSELVKIVECRRTNGQIVLPIFYKVDPSHVRYQKGSYEHAFAKHQIRYSLSTMQIWRTALTEAANLSGFHSSTFRDEAEFMKEIVKCVLMRLNQVQQVKSRGLVGIGKRIAHVELLLQSEEPDVRIIGIWGMGGIGKTTIAEEVYNKLCFEYEGCCFLANIREESGRHGIMSLKKKLFSTLLGGEDLKIDTPNGLPQYIERRLRRMKVLIILDDVNDSDQLEVLAGTHDWFGSGSRIIITTRDKQVLAKEFASIYKVEALNFDESLRLFNLNAFKQNHLESEYHELSKKVVNYAKGIPLVLKVLGHLLHGKDKETWESQLERLKKVQNRKVHDMIKLSYNDLDRDEKKIFLDIACFFDGLNLKVKHINFLLKDHDYSVVAGLERLKDKALITVSQENGVSMHNIIQETAWQIAREESIEDPRSQIQLLDPEDIYHVLNYNKGDEAIRSIVINLSRIKQLQLNPQVFARMSKLHFLDFYSKGSCSCLGDQGGLYLPQGLESLSNELRYLRWTHYPLESLPSKFSAENLVELNLPHSRLKKLWQEAPDLVNLRVLILHSSTRLKELPNFSKATNLKAIDLRFCVGLTSVHSSIFSLRNLEKLDLGGCISLRSLRSNVHLDSLRYLSLYGCMSLKDFSVTSKNMVKLNLELTGIKQLPSSFGLQSNLQKLRLAYTYIDNLPTSIKHLTRLRHLDLRYCRELRTLPELPPSLETLDARGCVSLESVMFPFAVSEQLKENKKRVAFWNCLKLDEPSLNAIELNAQINMMKFAHQHFSLFGDAQSTYVYPGSKVPKWLVHKTTHDDFVIMDLSSVLSPHSSHIGFIFGFVVPEVPFGGLALEFRISTGGEGGEGSHINVYVDRPRHGITSDHVYLMYDQACSHYLNSRAKHEPRLKIKVALASRTLTSKYVPLELKAFGISLINTTDFLSFVQNVKFGDNVPNVPILPKFFCPFCIVVFVGTLNICIRRLV >Vigun10g166400.4.v1.2 pep primary_assembly:ASM411807v1:10:38538205:38543629:-1 gene:Vigun10g166400.v1.2 transcript:Vigun10g166400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNSFRVFDRIKRVIFVCVLLAAIDNNNSNNSSYPVTDFAYHNDSLQIKYDVFVSFRGTDIRQDFLSHLIEAFSQRHINAFVDNKIVKGDVLSEALIRAIEGSSISLIIFSQDYASSHWCLSELVKIVECRRTNGQIVLPIFYKVDPSHVRYQKGSYEHAFAKHQIRYSLSTMQIWRTALTEAANLSGFHSSTFRDEAEFMKEIVKCVLMRLNQVQQVKSRGLVGIGKRIAHVELLLQSEEPDVRIIGIWGMGGIGKTTIAEEVYNKLCFEYEGCCFLANIREESGRHGIMSLKKKLFSTLLGGEDLKIDTPNGLPQYIERRLRRMKVLIILDDVNDSDQLEVLAGTHDWFGSGSRIIITTRDKQVLAKEFASIYKVEALNFDESLRLFNLNAFKQNHLESEYHELSKKVVNYAKGIPLVLKVLGHLLHGKDKETWESQLERLKKVQNRKVHDMIKLSYNDLDRDEKKIFLDIACFFDGLNLKVKHINFLLKDHDYSVVAGLERLKDKALITVSQENGVSMHNIIQETAWQIAREESIEDPRSQIQLLDPEDIYHVLNYNKGDEAIRSIVINLSRIKQLQLNPQVFARMSKLHFLDFYSKGSCSCLGDQGGLYLPQGLESLSNELRYLRWTHYPLESLPSKFSAENLVELNLPHSRLKKLWQEAPDLVNLRVLILHSSTRLKELPNFSKATNLKAIDLRFCVGLTSVHSSIFSLRNLEKLDLGGCISLRSLRSNVHLDSLRYLSLYGCMSLKDFSVTSKNMVKLNLELTGIKQLPSSFGLQSNLQKLRLAYTYIDNLPTSIKHLTRLRHLDLRYCRELRTLPELPPSLETLDARGCVSLESVMFPFAVSEQLKENKKRVAFWNCLKLDEPSLNAIELNAQINMMKFAHQHFSLFGDAQSTYVYPGSKVPKWLVHKTTHDDFVIMDLSSVLSPHSSHIGFIFGFVVPEVPFGGLALEFRISTGGEGGEGSHINVYVDRPRHGITSDHVYLMYDQACSHYLNSRAKHEPRLKIKVALASRTLTSKYVPLELKAFGISLINTTDFLSFVQNVKFGDNVPNVPILPKFFCPFCIVVFVGTLNICIRRLV >Vigun01g064300.3.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.6.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.11.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.10.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.8.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSIMKLMGILQLSGWADYEVFLVLNREVVSLNCTLCFFHLQLQWFFLFRMPQI >Vigun01g064300.13.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.5.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.12.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.4.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.9.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSIMKLMGILQLSGWADYEVFLVLNREVVSLNCTLCFFHLQLQWFFLFRMPQI >Vigun01g064300.15.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun01g064300.1.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSIMKLMGILQLSGWADYEVFLVLNREVVSLNCTLCFFHLQLQWFFLFRMPQI >Vigun01g064300.2.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFFDTMARSWLIDVGGFAKKVKNTTLSSADQIKDCGAYRECPNCHYRIDNSDVSSEWPGFPLGVKFDPSDVELLEHLAGKCGVGNTQPDMFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSIMKLMGILQLSGWADYEVFLVLNREVVSLNCTLCFFHLQLQWFFLFRMPQI >Vigun01g064300.7.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEGEYVVSKIFYQQQKQTENNEDNPMVEVPDNIVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSIMKLMGILQLSGWADYEVFLVLNREVVSLNCTLCFFHLQLQWFFLFRMPQI >Vigun01g064300.14.v1.2 pep primary_assembly:ASM411807v1:1:16807371:16811695:-1 gene:Vigun01g064300.v1.2 transcript:Vigun01g064300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFINEFISTLEGDQGICCTHPENLQGAKKDGSYFHFFHKTINAYATGQRKRRKIHHQQGLTEEHWHWHKTGRTKAIIQNGMCKGFKKIMVLHVRSKSGSKPFKSNWVMHQYHLGTEEEEKEVSRTSPRTPKPNPPHPPPRVGNSVDCDDCIYETIRLPSGQTILGESHAPISDVQDLDNSACLPGESQAEEHTEYDGLDDILLCKEILDSSALLNDSGLDSSNLYDLAFYDNQIARNDNESCGISVLDTLELDTPDFDHSNLNFYSQYRL >Vigun09g186400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35964184:35965335:1 gene:Vigun09g186400.v1.2 transcript:Vigun09g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKFGGSERKKTKTGDRKSEKMKTNSPPFIHEELMEEILLKLLTKSVLRFKCLSKKWFSRISDPQFARRHFDAAVAPTYKLLNLVKGSDEAYCVDIESALCADSWHAVSNFSVPSSLSVAGSCRGFLLLQIYFQNFVVWNPSTGIQKQILRNTCVQYDLCGMGYDPVDDDIVVVTVTLKGGRYNNTLVRYFSLRKNCWSSVECGISYARPYFGCNLRHGQFWNGALYWIVASANRLRSVVIAFDVREKRLLEILLPDHLAIIQMESDIYHLKVMGEYLYLCLESCNQNLRIEIWSMKEYKQETFWTKTFVFLFNSRDLVNLIFPTCFTKNGEILALSNSNRLVKINKKGKLRYWMHSISDILSCDMYRESFLSLPSNSGEIK >Vigun08g094100.2.v1.2 pep primary_assembly:ASM411807v1:8:22242101:22242682:-1 gene:Vigun08g094100.v1.2 transcript:Vigun08g094100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERKSGPEVLKAQTKPRKDEVRSEVEVVAMASSLSFWEYTVIFFLRPLFAILFVLFLISSGWFVAWKLVLVHVPLVQEVFGLKKKPVRVKPPIGRFSKIYSTLHAQNSPST >Vigun01g226600.3.v1.2 pep primary_assembly:ASM411807v1:1:39933681:39937831:-1 gene:Vigun01g226600.v1.2 transcript:Vigun01g226600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLEPSSETSPSRSQTPKDEVEWVPLPKHPLFTAHGGATVSGYRNILAWDGASRLYFWDTNSRILHRLSLRLGDPDPSSVLASSPSKVLQADAELDFDVHKISVNRNGTAILLFGSERLSVMYLYGRASKNDVNLICRTITVGSQTYSTGGNDIRVLQALWHPYSDTHLGILSSDSVFRLFNLAVDPLQPEQEYYLQPVEPGRLRNASSVCPVDFSFGGDHLWDRFSVFILFSNGAIYVLCPVVPFGSLFKCESLVEIYNDAHTFGIISANSVAASNSKLAISWLEAAFPELQNQDTEGDSLSLLRARAYSLFDASLVLQGPLQRVGQDGNGDSVGCSTECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWCVGSPPRLRVDSHDQILGLAMICESITCSSLGKVDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDMLMPERIFSLHDGGIDSIVLHFLPFTSQSNGKDDTMKTPSVHPVLNTCQSGYSSEPSFCGFVSLSDSFGYSWIVAITLSLECVVLEMKSWNLLLPVSIDMEKKPISSEGVSKERDIPTIISKELLSGPKEVLVPHASPSLRSVAADSIEGRSTLHQYFKLFHETYVEYGHK >Vigun01g226600.4.v1.2 pep primary_assembly:ASM411807v1:1:39932103:39937831:-1 gene:Vigun01g226600.v1.2 transcript:Vigun01g226600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLEPSSETSPSRSQTPKDEVEWVPLPKHPLFTAHGGATVSGYRNILAWDGASRLYFWDTNSRILHRLSLRLGDPDPSSVLASSPSKVLQADAELDFDVHKISVNRNGTAILLFGSERLSVMYLYGRASKNDVNLICRTITVGSQTYSTGGNDIRVLQALWHPYSDTHLGILSSDSVFRLFNLAVDPLQPEQEYYLQPVEPGRLRNASSVCPVDFSFGGDHLWDRFSVFILFSNGAIYVLCPVVPFGSLFKCESLVEIYNDAHTFGIISANSVAASNSKLAISWLEAAFPELQNQDTEGDSLSLLRARAYSLFDASLVLQGPLQRVGQDGNGDSVGCSTECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWCVGSPPRLRVDSHDQILGLAMICESITCSSLGKVDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDMLMPERIFSLHDGGIDSIVLHFLPFTSQSNGKDDTMKTPSVHPVLNTCQSGYSSEPSFCGFVSLSDSFGYSWIVAITLSLECVVLEMKSWNLLLPVSIDMEKKPISSEGVSKERDIPTIISKELLSGPKEVYLELKHHAPQLNKIINDQHSRLGEAQQKLLNVEKKEAILQKRLDRAIQMHNSLEERLQQLRNLPCAHKKPLSTAERQFKSELDRFKEVELDALHSSVDAVSARLRRHLQASKANQQQKTAGKKIHAVDSQISMLKSSLEKLSLVNTDNSKKVKLVESTLRNKERSSGKESSLPLP >Vigun01g226600.5.v1.2 pep primary_assembly:ASM411807v1:1:39932103:39937831:-1 gene:Vigun01g226600.v1.2 transcript:Vigun01g226600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGCYKHYGTLTVILIWEFFLLTQFSDFSTLLWILCNQSKNIIYSLSSLVDLEMPHQCAQLIFLLGVTTYGTGLVNGAIYVLCPVVPFGSLFKCESLVEIYNDAHTFGIISANSVAASNSKLAISWLEAAFPELQNQDTEGDSLSLLRARAYSLFDASLVLQGPLQRVGQDGNGDSVGCSTECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWCVGSPPRLRVDSHDQILGLAMICESITCSSLGKVDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDMLMPERIFSLHDGGIDSIVLHFLPFTSQSNGKDDTMKTPSVHPVLNTCQSGYSSEPSFCGFVSLSDSFGYSWIVAITLSLECVVLEMKSWNLLLPVSIDMEKKPISSEGVSKERDIPTIISKELLSGPKEVLVPHASPSLRSVAADSIEGRSTLHQYFKLFHETYVEYGHKVYLELKHHAPQLNKIINDQHSRLGEAQQKLLNVEKKEAILQKRLDRAIQMHNSLEERLQQLRNLPCAHKKPLSTAERQFKSELDRFKEVELDALHSSVDAVSARLRRHLQASKANQQQKTAGKKIHAVDSQISMLKSSLEKLSLVNTDNSKKVKLVESTLRNKERSSGKESSLPLP >Vigun01g226600.2.v1.2 pep primary_assembly:ASM411807v1:1:39932103:39937831:-1 gene:Vigun01g226600.v1.2 transcript:Vigun01g226600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLEPSSETSPSRSQTPKDEVEWVPLPKHPLFTAHGGATVSGYRNILAWDGASRLYFWDTNSRILHRLSLRLGDPDPSSVLASSPSKVLQADAELDFDVHKISVNRNGTAILLFGSERLSVMYLYGRASKNDVNLICRTITVGSQTYSTGGNDIRVLQALWHPYSDTHLGILSSDSVFRLFNLAVDPLQPEQEYYLQPVEPGRLRNASSVCPVDFSFGGDHLWDRFSVFILFSNGAIYVLCPVVPFGSLFKCESLVEIYNDAHTFGIISANSVAASNSKLAISWLEAAFPELQNQDTEGDSLSLLRARAYSLFDASLVLQGPLQRVGQDGNGDSVGCSTECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWCVGSPPRLRVDSHDQILGLAMICESITCSSLGKVDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDMLMPERIFSLHDGGIDSIVLHFLPFTSQSNGKDDTMKTPSVHPVLNTCQSGYSSEPSFCGFVSLSDSFGYSWIVAITLSLECVVLEMKSWNLLLPVSIDMEKKPISSEGVSKERDIPTIISKELLSGPKEVLVPHASPSLRSVAADSIEGRSTLHQYFKLFHETYVEYGHK >Vigun01g226600.1.v1.2 pep primary_assembly:ASM411807v1:1:39932103:39937831:-1 gene:Vigun01g226600.v1.2 transcript:Vigun01g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLEPSSETSPSRSQTPKDEVEWVPLPKHPLFTAHGGATVSGYRNILAWDGASRLYFWDTNSRILHRLSLRLGDPDPSSVLASSPSKVLQADAELDFDVHKISVNRNGTAILLFGSERLSVMYLYGRASKNDVNLICRTITVGSQTYSTGGNDIRVLQALWHPYSDTHLGILSSDSVFRLFNLAVDPLQPEQEYYLQPVEPGRLRNASSVCPVDFSFGGDHLWDRFSVFILFSNGAIYVLCPVVPFGSLFKCESLVEIYNDAHTFGIISANSVAASNSKLAISWLEAAFPELQNQDTEGDSLSLLRARAYSLFDASLVLQGPLQRVGQDGNGDSVGCSTECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWCVGSPPRLRVDSHDQILGLAMICESITCSSLGKVDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDMLMPERIFSLHDGGIDSIVLHFLPFTSQSNGKDDTMKTPSVHPVLNTCQSGYSSEPSFCGFVSLSDSFGYSWIVAITLSLECVVLEMKSWNLLLPVSIDMEKKPISSEGVSKERDIPTIISKELLSGPKEVLVPHASPSLRSVAADSIEGRSTLHQYFKLFHETYVEYGHKVYLELKHHAPQLNKIINDQHSRLGEAQQKLLNVEKKEAILQKRLDRAIQMHNSLEERLQQLRNLPCAHKKPLSTAERQFKSELDRFKEVELDALHSSVDAVSARLRRHLQASKANQQQKTAGKKIHAVDSQISMLKSSLEKLSLVNTDNSKKVKLVESTLRNKERSSGKESSLPLP >Vigun11g117200.2.v1.2 pep primary_assembly:ASM411807v1:11:32258092:32265659:-1 gene:Vigun11g117200.v1.2 transcript:Vigun11g117200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMRVTFTVATVAVAAAIISIFLAARRRRKHDSSSSSCYLHSEQKPQSAFKRVLSDNSYTPFKHLSNDEDASHSHPFEAEITALLKTPPSEIEFGTEIVDLEMKDSYVWVHTETQLKELVDVLSEERFFAVDTEQHSLRSFQGFTALVQISTLEKDYLVDTIALHDFMGILRHVFANPSICKVFHGADNDIVWLQRDFHIYVVNLFDTSKACELLSKPQKSLAYLLETYCGVTTNKLLQREDWRQRPLSAEMVHYARTDAHFLLYIANCLINELKQLDNENSSSDKFHFVLEACRRSNTICLQLFTKEIEAYPGEYSALSLFSRQVSSHGSTSISNETQNIVRQLCAWRDLMARIHDESLKYVLSDQAIVALASQPSASHSEIHKIIAQADINMEMGVNSFIISPSPVVCSHLTDIYHMLANKLVNDDDDIYSVILQKCIGQNGNCPLSIFNYALLINNNLRPNLAHKQLGPKNSKQYSRKASRDLFVQKFSCKSPVYHNCRIFANDGRLLCYCDEKKLKWYLSRDLAKLVDEDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKRKIAVEFGIPLYLRRVVHPGQKTEKQIEESGVSPLQLRTAAMALLRHGPRMPLNRREELTEIIKRYYGGRDISDEDLERALQVGMTPHERRRFEKKRGFSFKYSTGSTATVPEQNFDAELKADVDDLKADTHEGSYANEVDTELLIRKDDFRNSTSASDVTVNGPDSATLNENAITVATADCNEGGDSAAVDVDNSCLNRTQTNGLDDLSCSPNDEKSMHTEHNSKLSLLGHGPHGKQVVEHLLREYGEDGIREFCQRWRQVFVDAVKPRFLPGGWDVKHRL >Vigun11g117200.1.v1.2 pep primary_assembly:ASM411807v1:11:32258092:32265659:-1 gene:Vigun11g117200.v1.2 transcript:Vigun11g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMRVTFTVATVAVAAAIISIFLAARRRRKHDSSSSSCYLHSEQKPQSAFKRVLSDNSYTPFKHLSNDEDASHSHPFEAEITALLKTPPSEIEFGTEIVDLEMKDSYVWVHTETQLKELVDVLSEERFFAVDTEQHSLRSFQGFTALVQISTLEKDYLVDTIALHDFMGILRHVFANPSICKVFHGADNDIVWLQRDFHIYVVNLFDTSKACELLSKPQKSLAYLLETYCGVTTNKLLQREDWRQRPLSAEMVHYARTDAHFLLYIANCLINELKQLDNENSSSDKFHFVLEACRRSNTICLQLFTKEIEAYPGEYSALSLFSRQVSSHGSTSISNETQNIVRQLCAWRDLMARIHDESLKYVLSDQAIVALASQPSASHSEIHKIIAQADINMEMGVNSFIISPSPVVCSHLTDIYHMLANKLVNDDDDIYSVILQKCIGQNGNCPLSIFNYALLINNNLRPNLAHKQLGPKNSKQYSRKASRDLFVQKFSCKSPVYHNCRIFANDGRLLCYCDEKKLKWYLSRDLAKLVDEDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKRKIAVEFGIPLYLRRVVHPGQKTEKQIEESGVSPLQLRTAAMALLRHGPRMPLNRREELTEIIKRYYGGRDISDEDLERALQVGMTPHERRRFEKKRGFSFKYSTGSTATVPEQNFDAELKADVDDLKADTHEGSYANEVDTELLIRKDDFRNSTSASDVTVNGPDSATLNENAITVATADCNEGGDSAAVDVDNSCLNRTQTNGLDDLSCSPNDEKSMHTEHNSKLSLLGHGPHGKQVVEHLLREYGEDGIREFCQRWRQVFVDAVKPRFLPGGWDVKHSGRRDFGEFSVYKPDKREASATAD >Vigun03g306300.1.v1.2 pep primary_assembly:ASM411807v1:3:49714524:49718704:-1 gene:Vigun03g306300.v1.2 transcript:Vigun03g306300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLRVATTTLCNLNGSQRRPTPLSPLRFMGFRPRPSHSLTSSSLSHFFGSTRINSNTPFPRQHAPRRPFSVFAMAADDTKRSVPLKDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFYRTRDMIVTNLGAKPLVIQLPIGSEDSFKGVIDLVRMKAIVWSGEELGAKFEIVDIPEDLQELAQDYRSQLIETIVELDDQAMENYLEGIEPDEETIKKLIRKGTISASFVPVMCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGSDPENPEVTIERVASDDEPFAGLAFKIMSDPFVGSLTFVRVYAGKLSAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIIALAGLKDTITGETLCDPDSPIMLERMDFPDPVIKVAIEPKTKADVDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKIAEVKYVHKKQSGGQGQFADITVRFEPMDPGSGYEFKSEIKGGAVPKEYIPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGVRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAMFDVVPQHIQNQLASKEQEVAA >Vigun03g434900.2.v1.2 pep primary_assembly:ASM411807v1:3:63892550:63897252:1 gene:Vigun03g434900.v1.2 transcript:Vigun03g434900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRRLLFFHNFASLPSDVTVRGFCTRAAPLDFTQIQITRLPTVVILGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGVAKLGDLRFRVLDSAGLEAEASSGSILHRTASMTANVLARSNFALFLTDARAGIHPLDLEVGKWLRKHAPQIKPIVAMNKSESLFDADDSLATAANEMCRLGFGDPIAISAETGLGMHELYVSLRPLLEDYMLRVLNDTDEGDHENSHDEDSSNLDVDKSKIPLQLAIVGRPNVGKSTLLNALLQEDRVLVGSEAGLTRDAIRTQFEFQGRTIYLVDTAGWLQRTKQEKGAASLSIMQSRKSLLRAHIIALVLDAEEILNAKRSMKHAEVVIARRAVEEGRGLVVIVNKMDLLRGKHKSLSYEKVMEVVPQEIQTIIPQVTGIPVVFISALEGRGRSTVLNQVIDTYEKWCSRLPTARLNRWLQKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVRGKTLLSDTDIRFLTKSLKEDFDLGGIPIRITQRSVSRKDTSGTSKSSRHSVGKVVAERVVSDKRSILAE >Vigun03g434900.3.v1.2 pep primary_assembly:ASM411807v1:3:63892550:63897252:1 gene:Vigun03g434900.v1.2 transcript:Vigun03g434900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRRLLFFHNFAFAALPSDVTVRGFCTRAAPLDFTQIQITRLPTVVILGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGVAKLGDLRFRVLDSAGLEAEASSGSILHRTASMTANVLARAGIHPLDLEVGKWLRKHAPQIKPIVAMNKSESLFDADDSLATAANEMCRLGFGDPIAISAETGLGMHELYVSLRPLLEDYMLRVLNDTDEGDHENSHDEDSSNLDVDKSKIPLQLAIVGRPNVGKSTLLNALLQEDRVLVGSEAGLTRDAIRTQFEFQGRTIYLVDTAGWLQRTKQEKGAASLSIMQSRKSLLRAHIIALVLDAEEILNAKRSMKHAEVVIARRAVEEGRGLVVIVNKMDLLRGKHKSLSYEKVMEVVPQEIQTIIPQVTGIPVVFISALEGRGRSTVLNQVIDTYEKWCSRLPTARLNRWLQKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVRGKTLLSDTDIRFLTKSLKEDFDLGGIPIRITQRSVSRKDTSGTSKSSRHSVGKVVAERVVSDKRSILAE >Vigun03g434900.1.v1.2 pep primary_assembly:ASM411807v1:3:63892550:63897252:1 gene:Vigun03g434900.v1.2 transcript:Vigun03g434900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRRLLFFHNFAFAALPSDVTVRGFCTRAAPLDFTQIQITRLPTVVILGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGVAKLGDLRFRVLDSAGLEAEASSGSILHRTASMTANVLARSNFALFLTDARAGIHPLDLEVGKWLRKHAPQIKPIVAMNKSESLFDADDSLATAANEMCRLGFGDPIAISAETGLGMHELYVSLRPLLEDYMLRVLNDTDEGDHENSHDEDSSNLDVDKSKIPLQLAIVGRPNVGKSTLLNALLQEDRVLVGSEAGLTRDAIRTQFEFQGRTIYLVDTAGWLQRTKQEKGAASLSIMQSRKSLLRAHIIALVLDAEEILNAKRSMKHAEVVIARRAVEEGRGLVVIVNKMDLLRGKHKSLSYEKVMEVVPQEIQTIIPQVTGIPVVFISALEGRGRSTVLNQVIDTYEKWCSRLPTARLNRWLQKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVRGKTLLSDTDIRFLTKSLKEDFDLGGIPIRITQRSVSRKDTSGTSKSSRHSVGKVVAERVVSDKRSILAE >Vigun07g272100.3.v1.2 pep primary_assembly:ASM411807v1:7:38768156:38770859:-1 gene:Vigun07g272100.v1.2 transcript:Vigun07g272100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRGSSSATMPMGNQYVEKWADDSQHTEDTCTDIDTDDKNQCFSTVSWGTGVGDGALVVVDSQDQCKTKVKPEDQKTVRRLAQNREAARKSRLRKKAYVQQLENSRVRLAQLEQELQRARQQGVLVASGITADRGHSVVGNGALAFDMDYARWVDEHQRLINDLRSALNSRMGDNELHVLVDGVVAHYDELFRLKSIGAKADVFHILSGMWKTPAERCFIWLGGFRSSEILKIVRNQLEPLTEEQLMGIYNLQQSSQQAEDALSQGMEALQQSLSDTLSTPSQGPCGSGNVAEYMGQMAIALGKLATLESFLHQADLLRQQTLQQMRRILSTFQAARALLVINDYVSRLRALNSLWLACPREY >Vigun07g272100.1.v1.2 pep primary_assembly:ASM411807v1:7:38768156:38771584:-1 gene:Vigun07g272100.v1.2 transcript:Vigun07g272100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFNPTESESTSPLYSHSSFIHRGNDANPNPTRFSDLQHSFRQHDAIDLSSSSVFGAKSNNMAVVASNLQCGTFNTNLGGAEFASTVVGCAETDSQHMYQRGSSSATMPMGNQYVEKWADDSQHTEDTCTDIDTDDKNQCFSTVSWGTGVGDGALVVVDSQDQCKTKVKPEDQKTVRRLAQNREAARKSRLRKKAYVQQLENSRVRLAQLEQELQRARQQGVLVASGITADRGHSVVGNGALAFDMDYARWVDEHQRLINDLRSALNSRMGDNELHVLVDGVVAHYDELFRLKSIGAKADVFHILSGMWKTPAERCFIWLGGFRSSEILKIVRNQLEPLTEEQLMGIYNLQQSSQQAEDALSQGMEALQQSLSDTLSTPSQGPCGSGNVAEYMGQMAIALGKLATLESFLHQADLLRQQTLQQMRRILSTFQAARALLVINDYVSRLRALNSLWLACPREY >Vigun07g272100.2.v1.2 pep primary_assembly:ASM411807v1:7:38768156:38771584:-1 gene:Vigun07g272100.v1.2 transcript:Vigun07g272100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASNLQCGTFNTNLGGAEFASTVVGCAETDSQHMYQRGSSSATMPMGNQYVEKWADDSQHTEDTCTDIDTDDKNQCFSTVSWGTGVGDGALVVVDSQDQCKTKVKPEDQKTVRRLAQNREAARKSRLRKKAYVQQLENSRVRLAQLEQELQRARQQGVLVASGITADRGHSVVGNGALAFDMDYARWVDEHQRLINDLRSALNSRMGDNELHVLVDGVVAHYDELFRLKSIGAKADVFHILSGMWKTPAERCFIWLGGFRSSEILKIVRNQLEPLTEEQLMGIYNLQQSSQQAEDALSQGMEALQQSLSDTLSTPSQGPCGSGNVAEYMGQMAIALGKLATLESFLHQADLLRQQTLQQMRRILSTFQAARALLVINDYVSRLRALNSLWLACPREY >Vigun10g190200.1.v1.2 pep primary_assembly:ASM411807v1:10:40504587:40505429:1 gene:Vigun10g190200.v1.2 transcript:Vigun10g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARSYPLHGLKLSITVLFIFFFSLLPSKSGGSVMLDTRKGLEQSKMVIGSKPPTCVNKCKSCRPCMATLVVPNHQKRKKGFSFRVFSHGDDDTYYLLSWKCKCGDKLFQP >Vigun03g065700.2.v1.2 pep primary_assembly:ASM411807v1:3:5446586:5452023:-1 gene:Vigun03g065700.v1.2 transcript:Vigun03g065700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGNAHSDAAKMEQIITEFFAKSLHIILESRAPCVSSRNFYGDQAAMSPCSSSSSSSSVRPRDKWFNLALRECPAALENIDIWRQNNIECIVIDVILVQRPLDWDPVTASLSPRRVLPRSSSLKERCPFGWNTDQEELGVVGRSEKIVERWVVQYENRKTRDSSSCSRRSSSVSLHNSYKKSTLLLRSLYSTLRLLPAYKVFRELNSCGQIRDFTLAHRVSSFVEPFTRKEEAEMMKFGFTPVDTSSGRLCLSVMYCPVVSRVSSEPSTPISPQVIADYVGSPLADPWRRFPSVPVAGLPSHGSPSSLPSSRQRSMSFDHYRASPPSYLPSPTYSESLSSVYSANLRRFPPASLPPHPTEMSLIQKKNTNFDDYYHSRSPSTDNSGPLPCKPLLRSGSAPVSIPAEVADFPGYSNRHNNVPPSPPLRGSRGTVKIDRCTNAMQTGATAEKSFSLGKDESRKYSGVKISTNSSPSSRSYQDDFDDTDFTCPFDVDDDDITDPGSRAESLDHGLLVETFEAGGFFPVRKSHDAAVGALVQMLKKAPPLRQDFSTSQHLSQGVTNPETWNNNLEGPNQILEASKQVSIMSSGIIATRKTTADALEEFQGYKEMKNLLLMRDSKHQT >Vigun03g065700.1.v1.2 pep primary_assembly:ASM411807v1:3:5446504:5452347:-1 gene:Vigun03g065700.v1.2 transcript:Vigun03g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGNAHSDAAKMEQIITEFFAKSLHIILESRAPCVSSRNFYGDQAAMSPCSSSSSSSSVRPRDKWFNLALRECPAALENIDIWRQNNIECIVIDVILVQRPLDWDPVTASLSPRRVLPRSSSLKERCPFGWNTDQEELGVVGRSEKIVERWVVQYENRKTRDSSSCSRRSSSVSLHNSYKKSTLLLRSLYSTLRLLPAYKVFRELNSCGQIRDFTLAHRVSSFVEPFTRKEEAEMMKFGFTPVDTSSGRLCLSVMYCPVVSRVSSEPSTPISPQVIADYVGSPLADPWRRFPSVPVAGLPSHGSPSSLPSSRQRSMSFDHYRASPPSYLPSPTYSESLSSVYSANLRRFPPASLPPHPTEMSLIQKKNTNFDDYYHSRSPSTDNSGPLPCKPLLRSGSAPVSIPAEVADFPGYSNRHNNVPPSPPLRGSRGTVKIDRCTNAMQTGATAEKSFSLGKDESRKYSGVKISTNSSPSSRSYQDDFDDTDFTCPFDVDDDDITDPGSRAESLDHGLLVETFEAGGFFPVRKSHDAAVGALVQMLKKAPPLRQDFSTSQHLSQGVTNPETWNNNLEGPNQILEASKQVSIMSSGIIATRKTTADALEEFQGYKEMKNLLLMRDSKHQT >VigunL059050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000339.1:2083:13683:-1 gene:VigunL059050.v1.2 transcript:VigunL059050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GEARPTRTAQKPRRAGGTKRPTKPGARPGGTDQRARGKTQPTQNGRAPRRAGRQGGQGEARPTRTAQKPRRAGAQNAPPSQERGRGAPTTGPGAKPNQRKTGGHQDGQGGRGGRGGRPTRTAQKPRRAGGTKRPTKPGARPGAPTTGPRGKPNQRKTGGTKTGRGGRGGRGGQANRHSAKTTKGTGHKTPHQARERTGQGDSRGEARGPRRGSRENEKRTGGKRGQRQGEKAGEGERKGRDEAKRQGRKRRGAGQQGHAATDKTRARREGGGKGGDPAGERKGAARRRARRRRRTRGTNDTGRGGPRPPTAGRQTNNGRTQRGEPGGGRRGGQAEANARERRATGGQPSARTKGRSNGAARTRGRTRATRAAGGENEPGARRAKPSARARGGGTSQHGGRRRHKERKSRQRRSKKQRREERGAATSQGARGNGRTPRAAKAEGRKEREATRARGGGGRESRSKRAGPGGHTRGRARGAQRRTPAGAGNRGAAPAKRPTGQGRPPGATDRRRPGAKKRGSAPPPRHGRRKRTGKGGEGTGAGPAPTEPTPRKAGTKADERQAQQGRRAPRRPPSPGPGRGARERRQGQGEAREARQARHEGEDEAGATGREAEGRPPGPARGEGRHGDRQSTGQKAQGGNSRKDQRKAGGRKQAEAPGRTRAEATGRRPGKRPRRARAQAGPRPARDDPRAPRKQREQATDSRRGGTGTPGPSPQSQAGPEGRSQGPTARADRGQRPEAGHRGDRRREEDDQAGEARGPPEGKGRRGRTGHHATGGARPAAGPDRRRSRGQGGQAGKQKRERGPGPPPTAPDARTGPAAATARGRKGTRGPGGGRAESASRRAAPGPEERRTQGQGPGTGNRAPRRPAKGAGEEGDDHQERHRRPRRPGARPGGQRPPRPPTQRGGDGPRRPGRGRARERQPGRGEGRRQGRGTHAGAEGDGQDHRPAGGSDQHPGGARGARRAAPEPSGRGSPQRQGGGPKRAHGERARPGHGATEQPGRPTEGKGRRGRGRGAPEAAKQGRDPRERARGRQRAGGKRRREPATRRGEEAGAPRPKADERGARQERGGPPPEGPRAAPRAGRGHQRAGPDREARTRTRHRGAGAGGGATHKGSPPSSGRAPDEGTHPGTRTQGRRRGPGGKTGRRGSPQAEARSTHGPRHARRRARPATSATRTAPRAGQQPGRGPPAQAAASKAARRAADRRAPGHSRPRHSAGPQPRPARQGQARGDARAKAGAAGPRGTGRERARTRREPGTEGTARGGGRPKQPDAPTAPRGATGAGHNGARTRAGAPGQGTGARAATEDAAPDDKANTEGERGAGGAGPGARAGTRGSRGGAGPPRRERRKRSGEPRRTGGREKSGGGNRNTKHNRANQGQPQSTTGTRGRERDAATREDEGRREERRGEPTTRKAAREANGHRKAQGQGGTRGDTQAGGPATEGRQAQRAGKDARGHGRRQGTPRSAGRDGRQRGKSRESRGREAGQDRTGRGQGKRARRGDQPKRDTSRGEGSAGGKGGERGGAKAKGQNTSAPNREGREEARPEAEGGARQRQGAGRRGTDGNRGRRRRPRKEKGQGTAHHGAGSEPPTAPQAEHGTGPGKREERRAGGQRAGTEATRAEDARGRGRPRGRPTRARSEPQHEERAKRTRAGRPRRETRGRQQGSARAAQNSEGQHRPGRAANGRGRSGQRPAKKRAGEGTATERGSRRRARAGTEGTRQSAPPTKNGQAPPPREARKSAQAGKPDEGGTGEGAPGEAKEAAGATPGGPGRQGREGAAGRPDAPRNPKTGRAQKGPAEAEKQQPRSPGRQREGETRTGAERRRAPNGRAGGRKTAGAKAGAGGGGQKAKKGTADEERRRPPTGPGKQDAEPEGQHKRSRSRGGSPGEGSQSGGGRGAREGRQRNSTGGTTRPGKARSAAPAEGTSRPGHTRGGPSDPTQGPTTSGERQQRKETRGEREGPRRRAPDGPAKGAAGREGEGTQAKDQTQGAREGGGHDRPGAGGGRGAPAAGRGGGAGAQAPAPEANPKAPAPGTTRGGHEPTSERGEGRKGKEGAPAQRPGEPTREQEAAKQQAEPAATGSEERQPGQKAGGGTEEREKDDGEPRRRDQQTNENGGKEPGAGAQAEGGQTDTGRAEAGRQAEDDGQEQPGSRRSGQPSTKHAKAGRARGRRQTKATAAQAGGPRTGHRGADPTATPPTGEKQEGKRDGQNSPRGQRQPAKGPAAQGGEAARGANGSPPRGRHAGGPRARGASGSPPRGRGRRAAEGTRGQGQPPKGPGRRAGEGTRASSSPPRGRGRRGPRARGARGSPPRGRGAGGPRARGARGSPPRGRGQQPKRPEEAEGERGRPGRPTTQEARGGGGRAGPPRAANNPRGRRRRRASGTAPGGQQPKRPEEAEGERGRPGRPTTQEARGGGGRAGPPRGPTTQEAGGGGGAQRGPGSQQPKGRAEGEGRRRGRRGQRPKNRPAAQGGEGARGANGPRRGPPRRGPRARGASGSPPRGRGRRAAEGTRGQQQPPKGRGRRAAEGTRGQRQPPKGPGAQGGRGHAGPAAAPQGAGAQGAEGTRGQGQPPKGPGAQGAEGTRGQGQPPKGRGQQPKRPEEAEGERGRPGRPTTQEARGGGGRAGPPRAANNPRGRRRRRASGTAPGGQQPKRPEEAEGERGRPGRPTTQEAGGGGGRAGPPGAANNPRGQRRRRARGAAQGGKQPKRPAAAQGNSAARGANRPKGRRRRRATKSAARGATGQGPRGATTRAHTARKRGRAGQAKAQRRGRPAHARRRGGKNERGTTRARTTAQARDTTEGGDGGAKGNPRAEKAPQPKERATTRAARRGAREGTRAGQEEPPTPRREGKREGEATTEGPARGRQHRDGATKSTQGNGGKGKRGRRDRARRKAGSQPARAARGQRTHPHGRKTPHHKTGHPPPTRGKQAKGNSKGRRGKQREAGKERATRAEGRAAERTNEQGRPGGEEESATTRKRRGGQEKQANARTTGGGGRSKRPGKPARGERAGARSTPPREEGKRQKAKGDKERHGGSRQKARARATRRRGGEGGRKAEKKGSAGRTKGQKGREGERGGRGDGPGRGKSGKKRKAQGDGEGRPQGGQATTKQEQEPPHKRAGRGAKGGKGTKGGTKRARRGAPTNGAKGKRQPRQNGGAPTRGQDAGGDRGRPGQPAQRKNHEGQGAQSAPPSQRARPRGTANGARGKTAERKTGGHQEGQGGRGGQGEAGQPAQRKNHEGQGAQTPTKPGARPGAPPTGQGQNPQRKTGGHQEGRGGRGAGGGQANPHSAKTTKGRGHKTPHQASERGRGAPPTGPGAKPAERKTGGHQEGQGRQGGQGRPANPHSAKTTKGRGHKTPHQASERGRGAPTNGARGKTRRTQNGRAPRRAGEAGGAGGGRPTRTAQKPRRAGGTKRPTKPGARPRGTDQRGQGQNPPTQNGRAPRRAGRQGGQGEAGQPAHAKTTKGRGHKTPHQARERGRGHRPTGQGQNPTNAKRAGTKTGRGGRGGRGRPGQPAQRKNHEGQGAQNAPPSQGARPGGTDNRARGKTHQRKTGGHQDGQGRQGGQGEAGPTRTAQKPRRAGGTKRPTKPGSEAGGHRQPGPGGKPNQRKTGGTKTGRGGRGGRGRPGQPAQRKNHEGHGAQNAPPSKGKDRTGRQQRRGQGTKEGQPRERKTDRGEERTKTGRESRGGGEEGEGRSKATRAEAQGSGAARPRGHGQDPGAEGRRRQRRRPGGREEGRGKAARKEEAPDKGHQRHGPRGAEAPDGGAANKQRAHAARRARRRTERRAGRSQRTGAARHGRGNQARGPRGRSNGAARTRGKDEGDTGSRRGKRTGRTTGETQRTGPRGGEQANTGGRRRHKERKSRQRRSKKQRR >Vigun03g083500.1.v1.2 pep primary_assembly:ASM411807v1:3:6945549:6947722:-1 gene:Vigun03g083500.v1.2 transcript:Vigun03g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRGRTRKKGKQLQVTDSSESYSPNSKSESSDLPSSARMRLISNSSVVHARRSSLRLANKYIETRRTTPLHANQVSDSTVIHGCCSSVRLEQQEIVETGTTAEKIIEVSDNEDQMVNPSTQVEEHNALEVEHAPLEKELLAEGIQAFKCREREDKNNSSKSISMVGHSSSQFSLQLTQMVNMWENEGDDEVESVGQSSTMDRAEGYQVKEEFMPLLRKILTKHGDVFENSLILTARFRSVILEAICEIISELKDKDLNKITEDRLHNMIALANEMKTMQMNIEWLHLRLQEIYEARKILKQSGMLKERKDSNKRVIETAKRELDQCQEEKEALEARFQSLRERIFDKETACKETLARAQDEYVCISQTITDAKSKVKRFLNCSLVNGLL >Vigun06g173800.2.v1.2 pep primary_assembly:ASM411807v1:6:29476432:29483600:1 gene:Vigun06g173800.v1.2 transcript:Vigun06g173800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGRGRYSTVYKGRKKKTIEYFAIKSVDKSQKTKVLEEVRILHTLDHINVLKFYDWYETSAHLWLVLEYCVGGDLISILRQDSKLPEDSVHDLAYDLVKALQFLHSNGIIYCDLKPSNILLDENGCAKLCDFGLARKLKDISKAPSSSLPRAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSIISDPTPPLPGNPSRPFVNLINSLLVKDPAERIQWPELCGHAVWRTKIPPVPLPAQPAFDDMVELHTRPCLSERNGDKSSHNRTPPKYREKDVKGVLKKDENSVLGSRGIETPTRATPNGPRTQIKGSGRTNDVKKKDHSNISKGVNLLRLSRIAKTNLQRENEKENYRRPLPNNSENDSEVKIENTDMELDFNENTEDDTHEETDGNEQTTSVPGEKIDNHFLNQGKTEEIENTAHQLDTPSAVNPPVSDDPRSFDHESTLDHPDISGISPSVSPQVKKNRAKEDSGSALDSDSSRLTNNLSQVLWHPSDLSVRPVMPSRKVDKVSEVIPSLPFEALQASDFVKMPKEQLEAVHNRILAILNGNTSIGEKQNVIRYLEMLSINADAANVLTNGPIMLTLVKLLRQSKASALRVQLASLIGLLIRHSTFVDDSLANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNADCKDNNQLESPSKDNRTTYGWQVPNSLISLVSSMLRKGEDDITQLYALRTIENICSQGGFWVGRLASQDVFSNLCYIYRAAGKQESMRLTAGSCLVRLVRFNPPSIQSVIEKLSFKDLASALVKGSPREQQISLNLLNISMLGSHLFTNIGRYLIQLAEDKNLIPSLLALVEQGSEVLRGKALVLVALLCKHGRRWLPHFFCSQKLLSVVDRLGKEKDAYVRQCLDAFVHIVASSIPGLLDIITGDVQQMMGGRRHGHISALTSRSPKANIHLFPVVLHLLGTSTFKHKVVTPHVVLQLANLIKLVETPFQGRDDFQITLLRIFESLTEESPMILGNADIFIREILPSLTVLYKGNKDGDARFLCLKILFDVMIILLSEPIEEEQRLKDLKFISNTRFLPLYPTLIEDEDPIPIYAQKLLVMLLEFSFIRIPDILHMKAISQCFEFLLGDLSNANVNNVKLCLALASAPEMESKLLSHLKVVRRIGNFLEFVHAKGMEDLLEPTLGLCRAFIARSVGCTKGLSSATEPTLLGDYPPELSGGAVDPQQCIKDIADFGSNFGVLLELSASAETSIADIASECVVLLFKAAPREATTGILTNLPKVTVILESWNRGIPHLMVQRMLHSLGYACKQYLLHAMILSISKSEISRIEVVVSEIKSSGVPLLAKTAGLAALELQRLPRCI >Vigun06g173800.1.v1.2 pep primary_assembly:ASM411807v1:6:29476386:29483685:1 gene:Vigun06g173800.v1.2 transcript:Vigun06g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGRGRYSTVYKGRKKKTIEYFAIKSVDKSQKTKVLEEVRILHTLDHINVLKFYDWYETSAHLWLVLEYCVGGDLISILRQDSKLPEDSVHDLAYDLVKALQFLHSNGIIYCDLKPSNILLDENGCAKLCDFGLARKLKDISKAPSSSLPRAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSIISDPTPPLPGNPSRPFVNLINSLLVKDPAERIQWPELCGHAVWRTKIPPVPLPAQPAFDDMVELHTRPCLSERNGDKSSHNRTPPKYREKDVKGVLKKDENSVLGSRGIETPTRATPNGPRTQIKGSGRTNDVKKKDHSNISKGVNLLRLSRIAKTNLQRENEKENYRRPLPNNSENDSEVKIENTDMELDFNENTEDDTHEETDGNEQTTSVPGEKIDNHFLNQGKTEEIENTAHQLDTPSAVNPPVSDDPRSFDHESTLDHPDISGISPSVSPQVKKNRAKEDSGSALDSDSSRLTNNLSQVLWHPSDLSVRPVMPSRKVDKVSEVIPSLPFEALQASDFVKMPKEQLEAVHNRILAILNGNTSIGEKQNVIRYLEMLSINADAANVLTNGPIMLTLVKLLRQSKASALRVQLASLIGLLIRHSTFVDDSLANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNADCKDNNQLESPSKDNRTTYGWQVPNSLISLVSSMLRKGEDDITQLYALRTIENICSQGGFWVGRLASQDVFSNLCYIYRAAGKQESMRLTAGSCLVRLVRFNPPSIQSVIEKLSFKDLASALVKGSPREQQISLNLLNISMLGSHLFTNIGRYLIQLAEDKNLIPSLLALVEQGSEVLRGKALVLVALLCKHGRRWLPHFFCSQKLLSVVDRLGKEKDAYVRQCLDAFVHIVASSIPGLLDIITGDVQQMMGGRRHGHISALTSRSPKANIHLFPVVLHLLGTSTFKHKVVTPHVVLQLANLIKLVETPFQGRDDFQITLLRIFESLTEESPMILGNADIFIREILPSLTVLYKGNKDGDARFLCLKILFDVMIILLSEPIEEEQRLKDLKFISNTRFLPLYPTLIEDEDPIPIYAQKLLVMLLEFSFIRIPDILHMKAISQCFEFLLGDLSNANVNNVKLCLALASAPEMESKLLSHLKVVRRIGNFLEFVHAKGMEDLLEPTLGLCRAFIARSVGCTKGLSSATEPTLLGDYPPELSGGAVDPQQCIKDIADFGSNFGVLLELSASAETSIADIASECVVLLFKAAPREATTGILTNLPKVTVILESWNRGIPHLMVQRMLHSLGYACKQYLLHAMILSISKSEISRIEVVVSEIKSSGVPLLAKTAGLAALELQRLPRCI >Vigun04g033200.2.v1.2 pep primary_assembly:ASM411807v1:4:2726214:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.4.v1.2 pep primary_assembly:ASM411807v1:4:2726214:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVVLQGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.5.v1.2 pep primary_assembly:ASM411807v1:4:2726214:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVVLQGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.1.v1.2 pep primary_assembly:ASM411807v1:4:2726198:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.7.v1.2 pep primary_assembly:ASM411807v1:4:2727186:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIARNGEGAREWSRENVYWICISICKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.6.v1.2 pep primary_assembly:ASM411807v1:4:2726214:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun04g033200.3.v1.2 pep primary_assembly:ASM411807v1:4:2726214:2741575:1 gene:Vigun04g033200.v1.2 transcript:Vigun04g033200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSPDASSNSPRSFSSNSPSRSDKKKAKSAAHPTLAAGGGGGSGGGGALAACRQTQVKDGTKKKDVVKGKESQTPPPEARKDSPVKKLTAAEGRESSASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGTTALGGSNLSRPGVGVRGGDGKKKEPASQNRVGFREGSRGGAAATGGWGDNGSNSDSVSTSGSMPLREQSPVVLPRSRLQNGESSSEAAGKQASSWAQSGGLRSADVCTPETAYDFENPKESESPRFQAILRVTSAPRKRFPSDIKSFSHELNSKGVWPFPFLKPRRLNNLEEILVVIRAKFDKAKEDVNSDLAIFAADLVGILEKNADTHPEWQETIEDLLVLARSCAMTSSGEFWLQCESIVQELDDRRQEHPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEPVFNLRQSRVLHSAGKCIPPSVGRDSKSSSAAKALKPSSKKAFSQEQSMMGWKKDVMQPENLSLPADDDNTKHFDSSGRDRMASWKKFPSPSGKSPKEAAQLKEQNYGRVESSKASNNKRFPSDVDLSTAKPSEFLPIKDSLDHASKHQHKVSWGYWGDQQNNSEENSIICRICEEEVPTSHVEDHSRICAVADRCDQKGLSVNDRLVRIAETLEKMMESCSQKDTQQMVGSPDVAKVSNSSMTEESDVPSPKLSDWSRRGSDDMLDCFPETDNSAFMDDLKGLPLISCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRTSPIDLLLAGKGAYSEHDDLLQMNELADIARCVANAPLDDDRTTSYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKIDTESTVDDDVLLEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVNGTSLLEEDETDVFTSEDQWERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPSVPAEMSPEAQDLIDRLLTEDPNQRLGSKGASEVKQHVFFKDINWDTLARQKAAFVPASESALDTSYFTSRYSWNTSDGLVYPASDVEDSSDADSLSGSSSCLSNRQDEVVLQGDECGGLAEFDSGTSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPPTNSSA >Vigun07g264900.2.v1.2 pep primary_assembly:ASM411807v1:7:38054019:38059006:-1 gene:Vigun07g264900.v1.2 transcript:Vigun07g264900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRFMDHRLWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIALHGGILLVHGIINSLPISLLSFLGQMAAIWNVLGVFVLTIVIPSVATERASAKFVFTHFNTENGEGISSRPYIFLLGLLMSQYTLTGFDASAHMTEETKDADRNGPKGIISAVGISIIVGWFYILGITFAVTDILSLLSEDNDAGGYAIAEVFYLAFKKRYGNGSGGIVCLVIVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSLWHQVNKQEVPIYAVWLSVSISFCMALTSLGSIVAFQAMVSIATIGLYIAYAFPIFFRVTLARKHFVPGPFNLGRYGVIVGWVAVLWVLTISILFSLPVSYPITAEKLNYTPVAVACLLIFVVSYWIISGRRWFKGPITNI >Vigun07g264900.3.v1.2 pep primary_assembly:ASM411807v1:7:38054019:38056156:-1 gene:Vigun07g264900.v1.2 transcript:Vigun07g264900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWNVLGVFVLTIVIPSVATERASAKFVFTHFNTENGEGISSRPYIFLLGLLMSQYTLTGFDASAHMTEETKDADRNGPKGIISAVGISIIVGWFYILGITFAVTDILSLLSEDNDAGGYAIAEVFYLAFKKRYGNGSGGIVCLVIVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSLWHQVNKQEVPIYAVWLSVSISFCMALTSLGSIVAFQAMVSIATIGLYIAYAFPIFFRVTLARKHFVPGPFNLGRYGVIVGWVAVLWVLTISILFSLPVSYPITAEKLNYTPVAVACLLIFVVSYWIISGRRWFKGPITNI >Vigun07g264900.1.v1.2 pep primary_assembly:ASM411807v1:7:38054019:38059006:-1 gene:Vigun07g264900.v1.2 transcript:Vigun07g264900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKVLPSHALASGHAPLDSGHARLRELGYKQELKRDLSVISNFAFSFSIISVLTGVTTLYNTGLNYGGPVSFVYGWFIASAFTMIVALSMAEICSSYPTSGGLYYWSAKLAGPRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIALHGGILLVHGIINSLPISLLSFLGQMAAIWNVLGVFVLTIVIPSVATERASAKFVFTHFNTENGEGISSRPYIFLLGLLMSQYTLTGFDASAHMTEETKDADRNGPKGIISAVGISIIVGWFYILGITFAVTDILSLLSEDNDAGGYAIAEVFYLAFKKRYGNGSGGIVCLVIVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSLWHQVNKQEVPIYAVWLSVSISFCMALTSLGSIVAFQAMVSIATIGLYIAYAFPIFFRVTLARKHFVPGPFNLGRYGVIVGWVAVLWVLTISILFSLPVSYPITAEKLNYTPVAVACLLIFVVSYWIISGRRWFKGPITNI >Vigun06g072500.1.v1.2 pep primary_assembly:ASM411807v1:6:20350296:20353933:1 gene:Vigun06g072500.v1.2 transcript:Vigun06g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVLQLSQPVLPHSPSSSQTLASAISSPSSKPRTLVCSFVHRSNLFPASTRLLPKTKSFDNHALFTRRGKLRRACSASLEPFSDEEFAKKIEELALKFQLSCDAANANDSESEDFQEISPALKFAEEFEPPEEIIPANIERKANSVELPFSLRIIKKKLQWKEGFRDAGESAYCSVKKAFSSMVFIIRELHSYTLQMREVLFYEDLQGILQRVQNEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVYSMGHNTAIAAVVPPPVTTVVEVQDQRGHTHSIDSSALKTFSVSNGKNTASVGGGNGGGGRVRPAANGTDGDGRFDRSDRYGTVFPDGASSQVCKTGETESVSGQEEEEAKLWNAMVEEASRMEVSSRGEGLDRDAMKGFVSPVMARIESDDYAEYLRTELVYQTGLSQDPNNTLLLSNYAQFLYLVAHDYDRAEEYFKKAIEVEPPDAEAYNKYATFLWKVKNDLWAAEETYLEAISADPNNSFYAANYAHFLWNTGGEDTCFPLSSPDNSQEV >Vigun06g169000.1.v1.2 pep primary_assembly:ASM411807v1:6:29054626:29061144:1 gene:Vigun06g169000.v1.2 transcript:Vigun06g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSTQWQMRITKSSLHASPITSNSWSSLLMKQNKKTSSAKFRVLAVKSDNSAINRLEGLLNLDITPFTDKIIAEYIWIGGTGIDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDSYTPAGEPIPTNKRYRAAEVFSNPKVIAEVPWYGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCSAGADKSFGRDISDAHYKACLFAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHNEHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTSLLAETTLLWEPTLEAEALAAQKLSLKV >Vigun03g004000.1.v1.2 pep primary_assembly:ASM411807v1:3:271794:275568:1 gene:Vigun03g004000.v1.2 transcript:Vigun03g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVGYNDAADFTMPDYALNGRKLRPLMPRPVTSPNNTPNANNNNPPCFTRIHHANDFFSQYHNLASVADQGKREFNPPPVVVSSRWNPTPEQLRALEELYRRGTRTPSAEQIQQITAQLRRFGKIEGKNVFYWFQNHKARERQKRRRQMESAAEGHHARDYDGTLEKKDLGASRTVFEVEQTKNWAPSTNCSTLAKESVSIQRAAKAAVAADCRTDGWLQFDEGELQHTRNLMERNATWHMMQLPCPSPPTVSPHFINAPPTISTATMATTPSVTPRVMDPKLIKTHDLSFFLSPNTENGVIHLSSINTYTPDDISVESQTLQLFPVRNEDDEGSDNINHQKETEVSISAMNAPSQFFEFLPLKN >Vigun09g023766.1.v1.2 pep primary_assembly:ASM411807v1:9:1906461:1906940:1 gene:Vigun09g023766.v1.2 transcript:Vigun09g023766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYDLEGDLSYLSRSTLQYGAKKPK >VigunL060358.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:50268:50702:1 gene:VigunL060358.v1.2 transcript:VigunL060358.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRSLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFRVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFRF >Vigun05g214000.1.v1.2 pep primary_assembly:ASM411807v1:5:40503634:40504378:1 gene:Vigun05g214000.v1.2 transcript:Vigun05g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSNNRFLGLFLFFISMSILFSSPATACTFFQLDWVPNPSQHYTHWAQRNRFQVNDTLLFKYKSGCDSVLVVKKEDYDSCNTSNAMQEMDGGDSMFTFVKSGPFFFITGNAQNCKRGQKLTVVVLAVRHNKHTHLLSPAATPPTAGAETPAENGPIPSSDVSPSGPTSSAPSQPKHSGSMRFRGSVGVAMGVVSVGVGLFFSILG >Vigun01g222700.1.v1.2 pep primary_assembly:ASM411807v1:1:39598152:39601828:-1 gene:Vigun01g222700.v1.2 transcript:Vigun01g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFFDKNETSRNKTDGVSSSLTDSTADSRETSFCASTSSVTVDEAKAKGSSSPAPLGWPILKATLSKRSNSREKENEHKSHLENTKLSRIGLKLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATVFGQLWRLEPLPSEKKEMWQREVEWLVSVSDYIVELMPSWQTFPDGSKLEVMTCRPRTDIFINLPALRKLDNMLLEILDSFTSTEFWYVDQGIVAAEADGSASFRKTIQRQEEKWWLPVPRVPPAGLSEDSRKQLNHSRECANQILKAAMAINNIALADMEVPDSYLEVLPKNGRTCLGDFVYRYITSDQFSQEYLLDCLDISSEHVALEIANRVEAAIYVWRRRVHSRFLPSPRPSRSTPKSSWEIVKDFMADGDKRELLADRAENILVSLKQRFPGLSQTTLDTSKIQCNKDVGKSVLESYSRVLESMAFNIVARIDDLLYVDDLTKQSERFALVPTTATTVNVVSQQKKVTRPHSVSVSGTVTPHKAAFGRPGFSPASVSLISPARGERTPFLHNNDSIKPHRRGFGVRRVLSNYLGVETKTTKICSNSTEVKCSNPSSKKTEQQREKKPCTIKNKTK >Vigun01g222700.2.v1.2 pep primary_assembly:ASM411807v1:1:39598216:39601718:-1 gene:Vigun01g222700.v1.2 transcript:Vigun01g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFFDKNETSRNKTDGVSSSLTDSTADSRETSFCASTSSVTVDEAKAKGSSSPAPLGWPILKATLSKRSNSREKENEHKSHLENTKLSRIGLKLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATVFGQLWRLEPLPSEKKEMWQREVEWLVSVSDYIVELMPSWQTFPDGSKLEVMTCRPRTDIFINLPALRKLDNMLLEILDSFTSTEFWYVDQGIVAAEADGSASFRKTIQRQEEKWWLPVPRVPPAGLSEDSRKQLNHSRECANQILKAAMAINNIALADMEVPDSYLEVLPKNGRTCLGDFVYRYITSDQFSQEYLLDCLDISSEHVALEIANRVEAAIYVWRRRVHSRFLPSPRPSRSTPKSSWEIVKDFMADGDKRELLADRAENILVSLKQRFPGLSQTTLDTSKIQCNKDVGKSVLESYSRVLESMAFNIVARIDDLLYVDDLTKQSERFALVPTTATTVNVVSQQKKVTRPHSVSVSGTVTPHKAAFGRPGFSPASVSLISPARGERTPFLHNNDSIKPHRRGFGVRRVLSNYLGVETKTTKICSNSTEVKCSNPSSKKTEQQREKKPCTIKNKTK >Vigun03g374500.1.v1.2 pep primary_assembly:ASM411807v1:3:57736502:57738677:-1 gene:Vigun03g374500.v1.2 transcript:Vigun03g374500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNWGLILAAKPKYAPPVSYLSRRNPTVWIGRTKGEMENATVNLKPHGEDLSGRVHQLPCCVKHDGPASVSHYFKPKPNGVSDEGLPLQEAHFRGRLLQGTTLQLPHGYTGFVLAKKTSPPSSKQNSNSWVTKATFQDITYWNHDYVPSHNDELLRAFHWLTVAKALHDPVTPEELASSSLSL >Vigun05g298400.1.v1.2 pep primary_assembly:ASM411807v1:5:48284375:48286672:-1 gene:Vigun05g298400.v1.2 transcript:Vigun05g298400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKWGISLKVTEAPGLNSCGKSSENALYSPMEQAWQNWSHQMEMDDDDDRHEDLMLEECHTEEEEFLREILGEPSFCSESETHHHNSTTMAPSKRSSSSPRTYILSFDSSTIIPATTPQPPSSSPLSGKKRRQNLNSEQPKPKSTTQKRGRNGSVDHIMAERKRRQELTERFIALSATIPGLKKTDKSSILGEAINYVKELKERVTELEERNKRGKESVMIQKKSDVCDSSETDSKDWCRMLPDIEARVMENEVLIEIHCEKEEGVELKLLDHLENLHLCVTATSVLPFGNSTLGITIIAQMGDAYKMTVKDVVKNLRKVLMNHMNIHGDPY >Vigun05g298400.2.v1.2 pep primary_assembly:ASM411807v1:5:48284375:48286672:-1 gene:Vigun05g298400.v1.2 transcript:Vigun05g298400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKWGISLKVTEAPGLNSCGKSSENALYSPMEQAWQNWSHQMEMDDDDDRHEDLMLEECHTEEEEFLREILGEPSFCSESETHHHNSTTMAPSKRSSSSPRTYILSFDSSTIIPATTPQPPSSSPLSGKKRRQNLNSEQPKPKSTTQKRGRNGSVDHIMAERKRRQELTERFIALSATIPGLKKTDKSSILGEAINYVKELKERVTELEERNKRGKESVMIQKKSDVCDSSETDSKDWCRMLPDIEARVMENEVLIEIHCEKEEGVELKLLDHLENLHLCVTATSVLPFGNSTLGITIIAQCLRSWLQMGDAYKMTVKDVVKNLRKVLMNHMNIHGDPY >VigunL060815.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:99573:99881:-1 gene:VigunL060815.v1.2 transcript:VigunL060815.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun02g189200.1.v1.2 pep primary_assembly:ASM411807v1:2:32714893:32716461:-1 gene:Vigun02g189200.v1.2 transcript:Vigun02g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPPNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEVLKENPNMCAYMAPSLDARQDIVVVEVPKLGKDAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSEGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSNYGNMSSACVLFILDEMRRKSTENKLKTTGEGLEWGVLFGFGPGLTIETVVLHSVEI >Vigun02g192200.2.v1.2 pep primary_assembly:ASM411807v1:2:32921112:32926358:-1 gene:Vigun02g192200.v1.2 transcript:Vigun02g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSKKSKLSWSKRMVRKFFNIKTKEEDSYQSNGAAHGGGDAEYRSRKSFSDREPCTIKKSKTEKFSRNTEQVRRGRVSLDHPRIVDVHNYSVFVATWNVAGRSPPRNLSIDDWLHASPPADIYVLGFQEVVPLNVGNILGAEDNGPAKKWLALIGRTLNNLPGSSGGGGYYAPSPLPQPVVESNVDFEGSARQRNSSLFHRRSFQTTSSSWGIDNDPSNTQPRLDRRFSVCDRVIFGQRKSDFDPSFRWGYRPSDYSRASDYSRPSDYSRWSSSDDDNALGDSPNTVLFSPLSHGGPASTEDGNAMPRRSRYCLVASKQMVGIFLTIWVRSELKDHVRNMKVSCVGRGLMGYLGNKGSISISMSVHETSFCFICSHLTSGQKEGDELRRNSDVIEILKKTRFPRVHGPDNEKSPETILEHDRIIWLGDLNYRIVLSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHKPVYGIFSAEVEANHGRLKKSVSCCSRNRIEVEELLPYSHGYTELSFF >Vigun02g192200.1.v1.2 pep primary_assembly:ASM411807v1:2:32921112:32926358:-1 gene:Vigun02g192200.v1.2 transcript:Vigun02g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSKKSKLSWSKRMVRKFFNIKTKEEDSYQSNGAAHGGGDAEYRSRKSFSDREPCTIKKSKTEKFSRNTEQVRRGRVSLDHPRIVDVHNYSVFVATWNVAGRSPPRNLSIDDWLHASPPADIYVLGFQEVVPLNVGNILGAEDNGPAKKWLALIGRTLNNLPGSSGGGGYYAPSPLPQPVVESNVDFEGSARQRNSSLFHRRSFQTTSSSWGIDNDPSNTQPRLDRRFSVCDRVIFGQRKSDFDPSFRWGYRPSDYSRASDYSRPSDYSRWSSSDDDNALGDSPNTVLFSPLSHGGPASTEDGNAMPRRSRYCLVASKQMVGIFLTIWVRSELKDHVRNMKVSCVGRGLMGYLGNKGSISISMSVHETSFCFICSHLTSGQKEGDELRRNSDVIEILKKTRFPRVHGPDNEKSPETILEHDRIIWLGDLNYRIVLSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHKPVYGIFSAEVEANHGRLKKSVSCCSRNRIEVEELLPYSHGYTELSFF >Vigun06g221100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33069128:33070320:-1 gene:Vigun06g221100.v1.2 transcript:Vigun06g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFTLILMVAAMLVFSVVAQSPASSPNAAATSPAVSPSKSPPTVSPSPDSAVSSPPSPPPSSASSPSPSVSPSSISAPPSEAPAPSENGAASYGFSTVGSVVVGFFAALLVL >Vigun05g202600.3.v1.2 pep primary_assembly:ASM411807v1:5:39136782:39140729:1 gene:Vigun05g202600.v1.2 transcript:Vigun05g202600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESTGLIIGISIGVVIGIALAISALFCFRYHRKRSQIGNSSSRRAAAIPIRQNGFDSCTILSDSTLGPDSPVRSARNGTSFWLEGFKKSSNMVSASGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGCLASHLYSEENGALGWELRVHIALDVARGIEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEVVDKQAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNSEGKVGWEEIVDSRLEGKCEFEEVNEVAALAYKCINRVPKKRPSMRDIVQVLTRILKSRHQRNHHHEKSLSATGDEVSIDVDQLETKTSLTHHHTREESIDSSTADMYDL >Vigun05g202600.2.v1.2 pep primary_assembly:ASM411807v1:5:39136679:39140739:1 gene:Vigun05g202600.v1.2 transcript:Vigun05g202600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESTGLIIGISIGVVIGIALAISALFCFRYHRKRSQIGNSSSRRAAAIPIRQNGFDSCTILSDSTLGPDSPVRSARNGTSFWLEGFKKSSNMVSASGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGCLASHLYSEENGALGWELRVHIALDVARGIEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEVVDKQAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNSEGKVGWEEIVDSRLEGKCEFEEVNEVAALAYKCINRVPKKRPSMRDIVQVLTRILKSRHQRNHHHEKSLSATGDEVSIDVDQLETKTSLTHHHTREESIDSSTADMYDL >Vigun05g202600.1.v1.2 pep primary_assembly:ASM411807v1:5:39136679:39140739:1 gene:Vigun05g202600.v1.2 transcript:Vigun05g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESTGLIIGISIGVVIGIALAISALFCFRYHRKRSQIGNSSSRRAAAIPIRQNGFDSCTILSDSTLGPDSPVRSARNGTSFWLEGFKKSSNMVSASGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGCLASHLYSEENGALGWELRVHIALDVARGIEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEVVDKQAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNSEGKVGWEEIVDSRLEGKCEFEEVNEVAALAYKCINRVPKKRPSMRDIVQVLTRILKSRHQRNHHHEKSLSATGDEVSIDVDQLETKTSLTHHHTREESIDSSTADMYDL >Vigun09g070400.2.v1.2 pep primary_assembly:ASM411807v1:9:7659737:7673860:1 gene:Vigun09g070400.v1.2 transcript:Vigun09g070400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFIRQMRLKAQTVFSSICSIVVVRGISFFNLFFLLLALYQVFKQDIIFFLFCFSSHFFFILLMILFAFSNIFQLHAFHFFFSKKKIHQNRTMKPQKEDDYVCEICGDIGWKEVLLTCSICKGGHEHAYCTRFHTLKIPEYWVCEPCQQSQCVSTSPLIEDQGIGSRASKTYQTNKTAKVKSLTEDEVIRLSSGASHPVSSTVVLGKHHRNDEILKKTMTSKHASCSFSKGPTKECVGENQQFLGRVIADHNIQNYSPRKEKRADKAPFEASSTRKFSPFADSGPSKICLEKNQRPMGEVTPAKKVQIHDPQKEKPTKATPFEALFARKSPPVVDSGGIPCVNEDGKQFNIKKYDKSIQQSLNLDYKFLPCSIVTWRGQFQILHTAAPSKFYDGFEAQPPFSVNRKAFEFSREMPSILQLESRPALKVLIDIFQDDSPKLQDIAVYFFPSEQTERSRKNLNSILKLMRDEKTMLRSYFNGVELLIFSSNQLGMDQRVCTGAIAAVNDGHFLWGLFRKRKIDKSIEVVPKMEPLAINFDIAGGRADHLALVIPKLEFDDNLGVPPGFKE >Vigun02g083200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23664554:23669995:-1 gene:Vigun02g083200.v1.2 transcript:Vigun02g083200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGENNAPKPVHNLRPALAFQSGSNVHVATIPQARVGPIGVGVRLVQQEGLAALFSGVSATVLRQTLYSTTRMGLYDILKTKWTDPASGTMHLGRKIEAGLIAGAIGAAVGNPADVAMVRMQADGRLPPAERRNYKSVVDAILRMARQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKEMILQRGVMRDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEPGSPPPYAGALDCALKTVRAEGPMALYKGFVPTISRQGPFTVVLFVTLEQVRKLLKDF >Vigun02g083200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23664556:23669995:-1 gene:Vigun02g083200.v1.2 transcript:Vigun02g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGENNAPKPVHNLRPALAFQSGSNVHVATIPQARVGPIGVGVRLVQQEGLAALFSGVSATVLRQTLYSTTRMGLYDILKTKWTDPASGTMHLGRKIEAGLIAGAIGAAVGNPADVAMVRMQADGRLPPAERRNYKSVVDAILRMARQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKEMILQRGVMRDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEPGSPPPYAGALDCALKTVRAEGPMALYKGFVPTISRQGPFTVVLFVTLEQVRKLLKDF >Vigun03g370100.2.v1.2 pep primary_assembly:ASM411807v1:3:57267816:57270846:1 gene:Vigun03g370100.v1.2 transcript:Vigun03g370100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSDDEECPTAPGTKKRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYVSGAVPEHDRGVPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVDRLNSNLPSQIRIFGYKRVTGSFNAKKFCDRRRYVYLIPVFALDPSCHRDRETVMASLGSTNELVKCLECSERGRKVVGLVGNRKHNLELEAIDVDTGISSNRDVVLDSVITEDVEVSLSKGDDNHLNGESGIDTKGGVIVDGTNPETGIENVILVQDEDIPLNGESVNNSDIIEEEQMNGEDKSTGGSKFYYGEKERERFNRILNYFVGTHNFHNFTTRTKAQDPAARRYIISFNANTTVVVEGIEFVKCEVVGQSFMLHQIRKMMGLAVAIMRNCAPESLISKALRQDVNINVPTAPEVGLYLDECFFASYNQKWKDSHEEVSMKAYEKEAEEFKMKYIYSHISSTEQKEGTVALWLHSLNHRNYPDLRDVNEEAITDNKSADPEEAISDHKTADPEEITDNKSADPEETIIDNKSTDREEAISDNKSADPEQGITDNKSAADPEL >Vigun03g370100.1.v1.2 pep primary_assembly:ASM411807v1:3:57267800:57270846:1 gene:Vigun03g370100.v1.2 transcript:Vigun03g370100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPDTTLTTSSLPPPPPLAADEPEHKKLKMSTTTSDDEECPTAPGTKKRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYVSGAVPEHDRGVPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVDRLNSNLPSQIRIFGYKRVTGSFNAKKFCDRRRYVYLIPVFALDPSCHRDRETVMASLGSTNELVKCLECSERGRKVVGLVGNRKHNLELEAIDVDTGISSNRDVVLDSVITEDVEVSLSKGDDNHLNGESGIDTKGGVIVDGTNPETGIENVILVQDEDIPLNGESVNNSDIIEEEQMNGEDKSTGGSKFYYGEKERERFNRILNYFVGTHNFHNFTTRTKAQDPAARRYIISFNANTTVVVEGIEFVKCEVVGQSFMLHQIRKMMGLAVAIMRNCAPESLISKALRQDVNINVPTAPEVGLYLDECFFASYNQKWKDSHEEVSMKAYEKEAEEFKMKYIYSHISSTEQKEGTVALWLHSLNHRNYPDLRDVNEEAITDNKSADPEEAISDHKTADPEEITDNKSADPEETIIDNKSTDREEAISDNKSADPEQGITDNKSAADPEL >Vigun01g049200.1.v1.2 pep primary_assembly:ASM411807v1:1:7630597:7635620:-1 gene:Vigun01g049200.v1.2 transcript:Vigun01g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCALSLTNVLPPSHLSIHIKFKSFAFSIAATHSLIPTPKPSLLLSINHSKSNSHFSFSVAAQQPQQVQPGEEENIQLVSAIKTDYNDILIVDTPKARMLLLDSSYSVHSLLYHGSKWTCSYWDEFASLPAVVPKGPIAILGLGGGTAAHLMLDLWPSLQLDGWEIDQILIDKVRDYFGLSDLEKKTEDGGVLNIHVGDVFVPSKDLHGRYAGIIVDLFADGKVLPQLQEVSTWLQLQERLMANGRFMVNCGGVGGGPSATGPETLLSGKSWLLNPAMKALSEAFPGQVSWKRMPKEYGANFMALTGPLPDLNSWSASVPSPLSQSVKNWRPL >Vigun08g052600.2.v1.2 pep primary_assembly:ASM411807v1:8:6283777:6289898:-1 gene:Vigun08g052600.v1.2 transcript:Vigun08g052600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLVTMRRERALFLLLLLLLLHLHHHICASKEEQEHVCPPSSCGKITNISYPFRLKGDPEKCGNKRYELGCENNVTVLYLYSAKYHVEAINYKNYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYDYYTDPYQAGLSSYENREYLIFEHIVFLSCNHSVRENGKFVKTEECVKWDSKGYAYAVGGDLKAADMEVGCDIKLVAPTSLRTFNNHSYTSMHWSLAYGFEISWVQLACDNYCPDGFCYFDSASQNLDCRKGLLGAAILAAGLMKI >Vigun08g052600.1.v1.2 pep primary_assembly:ASM411807v1:8:6283777:6289898:-1 gene:Vigun08g052600.v1.2 transcript:Vigun08g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLVTMRRERALFLLLLLLLLHLHHHICASKEEQEHVCPPSSCGKITNISYPFRLKGDPEKCGNKRYELGCENNVTVLYLYSAKYHVEAINYKNYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYDYYTDPYQAGLSSYENREYLIFEHIVFLSCNHSVRENGKFVKTEECVKWDSKGYAYAVGGDLKAADMEVGCDIKLVAPTSLRTFNNHSYTSMHWSLAYGFEISWVQLACDNYCPDGFCYFDSASQNLDCRKGLLGAAILAADP >Vigun06g075800.1.v1.2 pep primary_assembly:ASM411807v1:6:20624057:20632835:1 gene:Vigun06g075800.v1.2 transcript:Vigun06g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGFSFDMSSEPGKESFAKASNAEWVEEDEEELQMAALLRLPSQKRVNTALIRRASSRVNDEGEKKGKGLEQVDVRKLDRFNRERVVKDALATNEQDNYKLLSAIKERYNKVGLDVPSIEVRYRNLTIGAEVQIGSRALPTLINHTRDLFEGMLAGIGIGRPQRHSLTILNNISGVIKPGRMTLLLGPPGSGKTTLLLALSGKLDSNLKKSGSITYNGHEQSEFCVQRASAYTGQTDNHIAELTVRETFDFANRCQGSSDAEIVKNLERLEKEKNIMPSPEIDAFMKASLVGGKRHNVMTDYVLKVLGLDVCSDTVVGNEMLRGVSGGQKRRVTTGEMIVGPRKALFLDEISTGLDSSTTFQIVKCIRNFVHQMEATVVVALLQPAPETFELFDDLLLLSDGYVVYQGPREDVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWADPSKPYKFISVPEIAEAFNNSRFGKSVESMVTAPFDKSKSHPSALPTSRFAVPKWELFKACLSRELTLLNGHKFLYIFRTCQVTFVGLVTCTMFLQTRFHEKDETYGNLYQSALFFGLVHMMFNGYSELSLMIARLPVFYKQRGNLFYPGWAWSLATWILGVPYSLVEAVVWTCVVYYSVGFAPAPGRFFRYMLLLLMLHQMALGLFRFMAALARDMVIANTFGSAALMIIFLLGGFIIPKAMIKPWWIWGYWLSPLTYGQRAISVNEFTATRWMQHSAFGGDTVGHNILNGYSLPSDDYWYWIGFGVLTLYTIIFNCLVTWGLSYLNPLQKARSILLADEDDSQKSSDKNGSKSSGDEGKASGMILPFEPMTMTFHGVNYYVDMPQEIAKQGVGETRLKLLSNVSGVFAPGVLTALMGSSGAGKTTLMDVLAGRKTGGYIEGEIKISGHPKVQQTFARISGYVEQNDIHSPQLTVEESLWFSASLRLPKEVSMEKKLEFVEQVMKLVELDSLRNALVGMPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKIGKQSDVMIKYFQSLEGITPIPNGYNPATWMLEVTTPAIEQKLGVDFAEVYDNSEQFRGVLSSIKEHGQPPPGSQPLKFDTLYSQDTMAQFFKCLWKQNIVYWRSPPYNAMRIFFTIICALIFGTVFWDIGTKRGTTHEVYVIMGALFSACLFLGVNNASSVQPVVSIERTVFYREKAAGMYSPISYAMAQGLVEIPYVAVQTIVFGVITYYMVNFERDAGKFLLYLVFLFLTFMYFTFYGMMAVGITPTQHFAAVISSAFYSLWNLVSGFLIPKSHIPVWWIWFHYLCPVSWTLRGIITSQLGDVEEMIQGPGFQGTVKEYISVTLGYDQTINGMSSVLLSVIVLICFNILFFGSFAVSVKVLNFQKR >Vigun07g132750.1.v1.2 pep primary_assembly:ASM411807v1:7:24255375:24255859:1 gene:Vigun07g132750.v1.2 transcript:Vigun07g132750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNISLILEKIENYTQRVSELLESWKIMLRALTNEFEEKIVICAVKIHKHQVRKWQEEIRELRALDASNEEADALLHNARYLLQPILDVYVQSLT >Vigun06g014100.3.v1.2 pep primary_assembly:ASM411807v1:6:6621970:6626362:-1 gene:Vigun06g014100.v1.2 transcript:Vigun06g014100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSWRHHVVIQSLLSRGPLCEKDMNAMFEQLTKRNPGTERQLFDGFILKINKALSCANFELRACINQYDGQVYYGVVNTVADEHSKLGTKYSVPQIAFYKAIIEAIVQDASAKGVIFSIHALNLSLDGQDQWLNLTTEGVIKLGLKSFLDLRSWFRNNDVPSCHVCNEAGIKAEVCQNENCTVRIHRYCLKQLFLQGKVAKVCPSCGTSWPHTVPKEEALQTGDDSEPRQSQRVTRSDRKRQSANGVVVDDGFGCSSQDELNENKEIQNGNVLARKRRRGRQTDDAETGGPGASQSSAAISGFRRVTRNSARLK >Vigun06g014100.2.v1.2 pep primary_assembly:ASM411807v1:6:6621934:6626397:-1 gene:Vigun06g014100.v1.2 transcript:Vigun06g014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSWRHHVVIQSLLSRGPLCEKDMNAMFEQLTKRNPGTERQLFDGFILKINKALSCANFELRACINQYDGQVYYGVVNTVADEHSKLGTKYSVPQIAFYKAIIEAIVQDASAKGVIFSIHALNLSLDGQDQWLNLTTEGVIKLGLKSFLDLRSWFRNNDVPSCHVCNEAGIKAEVCQNENCTVRIHRYCLKQLFLQGKVAKVCPSCGTSWPHTVPKEEALQTGDDSEPRQSQRVTRSDRKRQSANGVVVDDGFGCSSQDELNENKEIQNGNVLARKRRRGRQTDDAETGGPGASQSSAAISGFRRVTRNSARLK >Vigun06g014100.1.v1.2 pep primary_assembly:ASM411807v1:6:6621970:6626362:-1 gene:Vigun06g014100.v1.2 transcript:Vigun06g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSWRHHVVIQSLLSRGPLCEKDMNAMFEQLTKRNPGTERQLFDGFILKINKALSCANFELRACINQYDGQVYYGVVNTVADEHSKLGTKYSVPQIAFYKAIIEAIVQDASAKGVIFSIHALNLSLDGQVTIMTDPQSQGSQSDVPSTLKTFSLSQKEKTLNELVQDQWLNLTTEGVIKLGLKSFLDLRSWFRNNDVPSCHVCNEAGIKAEVCQNENCTVRIHRYCLKQLFLQGKVAKVCPSCGTSWPHTVPKEEALQTGDDSEPRQSQRVTRSDRKRQSANGVVVDDGFGCSSQDELNENKEIQNGNVLARKRRRGRQTDDAETGGPGASQSSAAISGFRRVTRNSARLK >Vigun06g014100.4.v1.2 pep primary_assembly:ASM411807v1:6:6621970:6626362:-1 gene:Vigun06g014100.v1.2 transcript:Vigun06g014100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSWRHHVVIQSLLSRGPLCEKDMNAMFEQLTKRNPGTERQLFDGFILKINKALSCANFELRACINQYDGQVYYGVVNTVADEHSKLGTKYSVPQIAFYKAIIEAIVQDASAKGVIFSIHALNLSLDGQVTIMTDPQSQGSQSDVPSTLKTFSLSQKEKTLNELVQDQWLNLTTEGVIKLGLKSFLDLRSWFRNNDVPSCHVCNEAGIKAEVCQNENCTVRIHRYCLKQLFLQGKVAKVCPSCGTSWPHTVPKEEALQTGDDSEPRQSQRVTRSDRKRQSANGVVVDDGFGCSSQDELNENKEIQNGNVLARKRRRGRQTDDAETGGPGASQSSAAISGFRRVTRNSARLK >Vigun07g149300.1.v1.2 pep primary_assembly:ASM411807v1:7:25999142:26003122:-1 gene:Vigun07g149300.v1.2 transcript:Vigun07g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSNLCITNNTKPFFSFTSKPIICEAKNLTSKRNLILQTSLLSIGIGIGLTSQVSIAQSSPEAVPSKSLLSGIENTSSWFQFYGDGFSIRVPPEFKDIMEPEDFNAGGSLYGDKAKAKTFSARFASSDGSEILSVVTRPTNQLKITFLQAQNISDLGSLKEAAKIFVPGGSKLYSARSIKIKEDEGFTTYYFYEFGKDDQHVAMMTGVSSGQAFIAGATAPQSKWDSDGVKLRSAAISLKIL >Vigun06g135000.1.v1.2 pep primary_assembly:ASM411807v1:6:26127325:26129717:1 gene:Vigun06g135000.v1.2 transcript:Vigun06g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIGANDSSPIEDVQNIKNACKGLGTDEATLISILAHRNVSERKLVREAYEEIYHEDLIQQLKSELSGSFQRGICNWTLDPAERDAAFIKETLEKEYVDYKVIIEIACTRTSEEFLAVKRSYQLLYKHCLEEDVASKTIGDIRRLLVAVVSTYRYEGEEFDESLAHLESNILHEAIEKKAFSDDEIIRILCTRSKKQLFATFSTFRNTYGTTITKGLSSDASDEYMVTLRTTIRCIKNPRRYLAKVLGYALSDLVAEEHALSRVIITRAEKDLNEISDIYFKRNGVTLHNSVAKKTSGNYKTFLLALLGKNSV >Vigun10g007500.1.v1.2 pep primary_assembly:ASM411807v1:10:720299:723332:-1 gene:Vigun10g007500.v1.2 transcript:Vigun10g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERFVEADNAEALITRIEHKSRKIETLLKQYKPVEALKTALEGTYAIIGDERCKSAHWIVVHRAIMAIKDVDGMLSSLDPEYYDILMKYLYRGLATGDRPTCDQCLRIHEKLTEKAGLGCILRFLTDTVNTV >Vigun06g208400.1.v1.2 pep primary_assembly:ASM411807v1:6:32178835:32184458:1 gene:Vigun06g208400.v1.2 transcript:Vigun06g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHDTIFVALKAHSHFLGSRLPSPLSLLSPQFWTYISCYGKKKMSRVAFLQRLYGAFRENQSRLKLVLICTAVSGGGLLAYGESVAPEETITEKKKVVVLGTGWAATSFLKNLNNPKYEVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIFKKKKVDVQFSEAECYKIDAENKKVYCRSSLNNNLNGKEEFVVDYDYLIIGVGANVNTFNTPGVMENCHFLKEVEDAQKIRRTVIDCFERANLPDVSEDEKKRILHFAIVGGGPTGVEFAASLHDFVNEDLVRLYPGIKDLVKITLLEAADHILGMFDKRITVFAEDKFRRDGIDVKTGSMVVKVSEKEISTKELKSGGEIKTIPYGMAVWSTGIGTRPFIKDFMTQIGQASRRALATDEWLRVEGCNNVYALGDCATINQRKVMDDITAIFKKADKDNSGTLTVKEFQEAMKDISERYPQVELYLKNKQMRNIADLLKEVKGDDKKKSIELNIEELTTALSKVDSQMKFLPATAQVASQQGTYLATCFNRMEDCEKNPEGPLRFRGEGRHRFKPFRYKHLGQFAPLGGEKTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTKALVVSDWTRRFIFGRDSSSI >Vigun06g208400.2.v1.2 pep primary_assembly:ASM411807v1:6:32180790:32184458:1 gene:Vigun06g208400.v1.2 transcript:Vigun06g208400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKKVDVQFSEAECYKIDAENKKVYCRSSLNNNLNGKEEFVVDYDYLIIGVGANVNTFNTPGVMENCHFLKEVEDAQKIRRTVIDCFERANLPDVSEDEKKRILHFAIVGGGPTGVEFAASLHDFVNEDLVRLYPGIKDLVKITLLEAADHILGMFDKRITVFAEDKFRRDGIDVKTGSMVVKVSEKEISTKELKSGGEIKTIPYGMAVWSTGIGTRPFIKDFMTQIGQASRRALATDEWLRVEGCNNVYALGDCATINQRKVMDDITAIFKKADKDNSGTLTVKEFQEAMKDISERYPQVELYLKNKQMRNIADLLKEVKGDDKKKSIELNIEELTTALSKVDSQMKFLPATAQVASQQGTYLATCFNRMEDCEKNPEGPLRFRGEGRHRFKPFRYKHLGQFAPLGGEKTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTKALVVSDWTRRFIFGRDSSSI >Vigun08g152800.1.v1.2 pep primary_assembly:ASM411807v1:8:32522737:32524129:-1 gene:Vigun08g152800.v1.2 transcript:Vigun08g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPTDDAQGLAEKEGLSFLETSALEASNVEKAFQTILFDIYHIMSKKALAAQQANASSGLPQGTTINVSNMQGNTAARSCCSN >Vigun08g152800.2.v1.2 pep primary_assembly:ASM411807v1:8:32522737:32524163:-1 gene:Vigun08g152800.v1.2 transcript:Vigun08g152800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPTDDAQGLAEKEGLSFLETSALEASNVEKAFQTILFDIYHIMSKKALAAQQANASSGLPQGTTINVSNMQGNTAARSCCSN >Vigun08g152800.3.v1.2 pep primary_assembly:ASM411807v1:8:32522737:32523800:-1 gene:Vigun08g152800.v1.2 transcript:Vigun08g152800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLKVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPTDDAQGLAEKEGLSFLETSALEASNVEKAFQTILFDIYHIMSKKALAAQQANASSGLPQGTTINVSNMQGNTAARSCCSN >Vigun03g076300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6336453:6337273:1 gene:Vigun03g076300.v1.2 transcript:Vigun03g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIAILPMMPLIPTTSSKEIKEIAFKTAPSASKLEIKCFLESFYGFEVQKVRTLIMKGKKKRYGGSLVAKPDYKKAYVTLKNPLSFSQNPFPFSSTLQDNKNQKMLTTHR >Vigun07g224200.1.v1.2 pep primary_assembly:ASM411807v1:7:34614737:34621235:-1 gene:Vigun07g224200.v1.2 transcript:Vigun07g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLPASGTTGFTKTDDGNDSLDTIFRQAIGKEPLLSFPRAGDSPVQWIQLLHALDQQELPGWPLLSPVKVQLQKCNKCSREFCSPINYRRHIRVQHRLKKLDKDSKKNRELLGAYWDKLSIEEAKEVVSFKNVMLEEVPGSSILEALTTLRKQGFSSLPQYYLRAGSALLNIVQSRPSSFPISSQELFSILDDSSEKTFLVGSAVSMQRYVFDGEAGKIGLEQKNLVACTSFLLEQKLVKAWLADKDAEALRCQKLLVEEEEAAQKRKAEILERKRQKKLRQKEHKAKEQIEDDTETKGNISSAGEDVSPGEASLGTCDFDAHNPDIFADHSPPPHVTSHCLDTNEVIEGDTPSGYDDCDTDQYIERQTLQGHNRRGTMPARWQGLPKSQWARANGLNAGQNSQLSKLGVNQKHRTSHDLRVAPIINGSKVWSRKPKAESNAVVLKNKMLKEPDKVKNHEVLIGSVSVSLGNCCPSGGNSMAPQRDCSAGNLSKLNTAQEKPGSNGRLTKFWRPVSQHGTKESFPLQNGGTEADVINGKIDENSSGQSSLRLCSVEGNDDIDFGDNFSHNVAKVDTDSLRLSSLAAKSFLAQRWKEAISSNHVKLVCTPDSEPQPRGAQSVQDCDTENRLPATSGVARSKPKTKPEKGMKIKYIPKQKAAV >Vigun05g035100.1.v1.2 pep primary_assembly:ASM411807v1:5:2870216:2872121:1 gene:Vigun05g035100.v1.2 transcript:Vigun05g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHFLCLPLPIQGHVNPLMQFSLLLAKHGCKVTFVHTEFSYKRANTAGGDNKEEALVKLVTLPDGLEPEDDRTDVAKFLFSMKNTMPALLPKLIQDIDASDVENKITCIIVTINMGWALEIGHKLGIKGALLCPASATSLASAASIPNLIEDGIIDSEGLPTKNQQIQLSPEIPMMDTDHLPWHGFKKMFFDHLVQEMQTLKLGEWWLCNTTYDLEPGAFSMSPKFLPIGPLMENSNRSSFWEEDRACLEWLDEQAPQSVVYVSFGSLAVMDPKQFKELALALDLLDRPFIWVVRPSNEKENVNAYPNDFHGSKGKIVGWAPQKKILNHPSIACFISHCGWNSTLEGICAGVPFLCWPFTTDQFLDKSYICDVWKIGVGLEKDESGIISREEIKKKVDEVVVDEDIKARSLKLKEMTINNTQEGGQSSKNLSFFIDWAK >Vigun11g082650.1.v1.2 pep primary_assembly:ASM411807v1:11:24596222:24596652:1 gene:Vigun11g082650.v1.2 transcript:Vigun11g082650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGASKYNSTIERKILMIGKLNELLSYQVCDLEGESLRGTKLKENDLGCVFMD >Vigun08g207400.1.v1.2 pep primary_assembly:ASM411807v1:8:37021435:37022118:-1 gene:Vigun08g207400.v1.2 transcript:Vigun08g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHGLSSVVLQSLFLFVCEALRRRRFTRGFSGFRFCLILGFKSKRIIRGKLFSLNLRFSNMF >Vigun06g159300.1.v1.2 pep primary_assembly:ASM411807v1:6:28214512:28216422:1 gene:Vigun06g159300.v1.2 transcript:Vigun06g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTILIVLSACSLPTLASPLNNRDSTKEFNALSPIPSYITESSPKNVVMNTIDSCWRAKTNWASNRQSLADCAIGFGKDAIGGKFGDVYEVTDPSDDPVDPKPGTLRYGAIQTEPLWITFGKDMVITLKNELMVNSYKSIDGRGAKVEIANGACITIQGVSHVIIHGISIHDCKPGDGGMVRSTPEHVGYREGSDGDAISIFASSNVWIDHCFLARCTDGLIDVIHASTAITISNNYFTHHDKVMLLGHSDEYSADKVMKVTVAFNHFASDLIERMPRVRFGYAHVVNNRYDEWVMYAIGGSADPTIFSECNYFMASDDSAAKEVTKRESSEKWNNWKWRSYGDEFLNGAYFVPSGYGSCSPLYSSAQTFTATEASMVPLLTLNAGPLNCVVDKAC >Vigun07g048500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5023491:5024251:1 gene:Vigun07g048500.v1.2 transcript:Vigun07g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELHNLIYLLQNLAEKKKKKKKESINKIMVISKKTIGAMLMCLVISMGSVERAETLSDCAKQCMPVCLKEKGATIAVCGPACEKYCEQISGNSKLRAKQP >VigunL050900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:11442:12378:1 gene:VigunL050900.v1.2 transcript:VigunL050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFANKVAIVTGAGGGIGKVTALLLAAQGAFVAVNDLDEAAALSSVADIRSAGGQALAIPGDASDETVAAQAVAEVMQARDRIDILVNNAGVSVVAPAEDFLAWDRVLRINLYSQFFWSKAVAKAAMIPAARGAIINVASIAGLAAVPHQLGYVVSKHGVIGLTRSLAVEWGRYGLRVNCVCPGITATDLTTGADGMASERLRARIARVPLGRMGTAQEQAEAIAFLASDEASYLTGLILNADGGQMALHSGVMILEDRFRRLASAVSGAAAFGARARKE >Vigun06g066000.1.v1.2 pep primary_assembly:ASM411807v1:6:19427516:19429406:1 gene:Vigun06g066000.v1.2 transcript:Vigun06g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNTGKVCDRRNMEPKAEYQKPPKTMLKKLSDKLPELDVEILKKNSHMLVSPTSQTLNMKKSPGRVNCLCSPTTHAGSFRCRHHRSYDMRRGKSIGSNLAELGSKAGPISDSLNAQ >Vigun05g268500.1.v1.2 pep primary_assembly:ASM411807v1:5:46023304:46026943:1 gene:Vigun05g268500.v1.2 transcript:Vigun05g268500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYIITTKPAFYSLSLLPFKERFRKSKHILSLPMAFSNGVPATGTGLIASFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENGVRADGITFDQGARTALAFVTLRADGEREFMFYRNPSADMLLQPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGCLLSYDPNLRLPLWPSADEARKHILSIWEKADLIKVSDVELEFLTKSDKIDDASALSLWHPNLKLLLVTLGEQGCRYYTKNFKGSVDAFHVKTIDTTGAGDSFVGALLSKIVDDQTILEDESRLRDVLKFANACGAITTTQKGAIPALPKEEDALKLIKGA >Vigun09g079800.1.v1.2 pep primary_assembly:ASM411807v1:9:9406695:9410326:-1 gene:Vigun09g079800.v1.2 transcript:Vigun09g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNLQTLFMLTRKRSIQFLIGAFFLYLVLVTLEIPLVFKTDFTTVATRTPKLLSEEDSLLKESPARPLKTVSNADSPSQLARRSVVSGLVLNDAVFESNANDGSLELYKLVKRARQMGRRLWTDLESGKLRTVVSKPENRSSSCPGSVSLSGKDALGVVPLPCGLTLGSHVTIVGKPLAARPDFEPNIAVVTENEPVMVSQFVVELQGLKTVDGEEPPRVFHFNPRLKGDWSGKPVIELNTCYRMQWGSALRCDGWKSKADDDTVDRMVKCEKWIRDDEQHLEESKSTWWLKRLIGRTKKVNVDWPFPFSEGKLFVLTISAGLEGYHVTVDGRHVASFPYRAGFTLEDATGLSLAGDIDVHSVFAASLPSSHPSFSPQRHLEFSTRWRAQPLPDSGVELFVGVLSAGNHFSERMAVRKSWMQHRLVKSGAVVARFFVALHARQEINVELKKEADFFGDIVIVPYLDNYDLVVLKTVAICEYGVHTVSAKYIMKGDDDTFVRVDAVISEARKVPDDTSFYIGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSSDIARYIVSEFEMHKLRLFKMEDVSMGMWVEQFNRSKAVHYSHSLNFCQFGCIEDYYTAHYQSPRQMMCLWDKLQRQTRPQCCDVR >Vigun04g143375.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35644405:35648072:-1 gene:Vigun04g143375.v1.2 transcript:Vigun04g143375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMKNLKVTMFMLCVVLQVVHGEQEMRCNPKEREALLQFKAAIVDDYAMLSSWTTSDCCRWEGIRCSNLTAHILSIDLHGELHFQYDHEEFSGRYIGGEIHKSLMELRHLMYLNLSSNFLRGYIPEFLGSLRNLRYLDLSSCDFTGRIPSQLGSLSHLIVLDLSWNSLEDSIPSELGNLSQLQHLDLSCNSFEGNIPPQLGNLSELHELYLGGYDINFAHGGQWLLNLRSLTHLYLESVPNLNHSHSWLQVIVNLPKLRELSLVDCGLSDHFILSSMPFNFNFSTTLSALHLTLNLLTSPVVFQWVSNITSNLVELRVDGNLLEGSTSSHFGTVMTSLKHLDLSYNYFKARDLNPFVNICTLHSLSVAGNNLTEDLPSIFSDLSSGCVRHSLQTLDLAYNFIIGTFPDVSIFSSLKTLLLGTNLLSGMIHEGVKLPSTLEGLSIASNFLEGGIPKSFGNACLLSLDMSDNSLSDELPVVISHLSGCATHSLEELSLSMNQINGTLPDLSTFTSLKRLNLFKNKLNGEVPTYFRFPPHLEELYMQSNSLKGVLTNYHFTNMSKLHELDLSDNSLTLAFTQNWVPPFQLSMIELRSCKLGPTFPEWLRTQNKFVGIDISNAGISDIVPEWFWAKLPLQEVMTMNVSFNYLQGIIPNFPPTYISNSMSLGSNQFEGSIPLFLRNSRFLDLSKNKFSDFLSFLCGNDTIQILFHLDLSYNQLSGHIPNCWRQLNSLVYLDLSHNNFSGKIPTSMGLFLDLQVMLLRNNNLVEGIPSSLRNCQKLVMLDISENKLSGYIPHWIGTKKDLQILSLKSNKFFGSLPLEICCLRKIQLLDLSLNNLSGKIPKCIQNFTSMAQTTSSMDYEGQLYSFNDNLNALLMWKYLEQSFHLKASLMWKGLEQMFMNKGLSLLKSIDLSSNHFIGEIPIEIEKLFGLVSLNLSRNSLFGEIPSNIGKLASLDSLDLSRNQLVGSIPLSLSQIYGLGVLDLSHNHLSGGIPTGTQLQSFTTSTYEDNLDLCGPPLKKLCDGETTQESNVKVHEDEYSFFNVDFFISMTFGFVLSFWMIFGFLTTCLFHPIE >Vigun04g143375.2.v1.2 pep primary_assembly:ASM411807v1:4:35644405:35648072:-1 gene:Vigun04g143375.v1.2 transcript:Vigun04g143375.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMKNLKVTMFMLCVVLQVVHGEQEMRCNPKEREALLQFKAAIVDDYAMLSSWTTSDCCRWEGIRCSNLTAHILSIDLHGELHFQYDHEEFSGRYIGGEIHKSLMELRHLMYLNLSSNFLRGYIPEFLGSLRNLRYLDLSSCDFTGRIPSQLGNIPPQLGNLSELHELYLGGYDINFAHGGQWLLNLRSLTHLYLESVPNLNHSHSWLQVIVNLPKLRELSLVDCGLSDHFILSSMPFNFNFSTTLSALHLTLNLLTSPVVFQWVSNITSNLVELRVDGNLLEGSTSSHFGTVMTSLKHLDLSYNYFKARDLNPFVNICTLHSLSVAGNNLTEDLPSIFSDLSSGCVRHSLQTLDLAYNFIIGTFPDVSIFSSLKTLLLGTNLLSGMIHEGVKLPSTLEGLSIASNFLEGGIPKSFGNACLLSLDMSDNSLSDELPVVISHLSGCATHSLEELSLSMNQINGTLPDLSTFTSLKRLNLFKNKLNGEVPTYFRFPPHLEELYMQSNSLKGVLTNYHFTNMSKLHELDLSDNSLTLAFTQNWVPPFQLSMIELRSCKLGPTFPEWLRTQNKFVGIDISNAGISDIVPEWFWAKLPLQEVMTMNVSFNYLQGIIPNFPPTYISNSMSLGSNQFEGSIPLFLRNSRFLDLSKNKFSDFLSFLCGNDTIQILFHLDLSYNQLSGHIPNCWRQLNSLVYLDLSHNNFSGKIPTSMGLFLDLQVMLLRNNNLVEGIPSSLRNCQKLVMLDISENKLSGYIPHWIGTKKDLQILSLKSNKFFGSLPLEICCLRKIQLLDLSLNNLSGKIPKCIQNFTSMAQTTSSMDYEGQLYSFNDNLNALLMWKYLEQSFHLKASLMWKGLEQMFMNKGLSLLKSIDLSSNHFIGEIPIEIEKLFGLVSLNLSRNSLFGEIPSNIGKLASLDSLDLSRNQLVGSIPLSLSQIYGLGVLDLSHNHLSGGIPTGTQLQSFTTSTYEDNLDLCGPPLKKLCDGETTQESNVKVHEDEYSFFNVDFFISMTFGFVLSFWMIFGFLTTCLFHPIE >Vigun11g108800.1.v1.2 pep primary_assembly:ASM411807v1:11:30938026:30944973:1 gene:Vigun11g108800.v1.2 transcript:Vigun11g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRSALECQRVKICGQVLLGFLLICTIHTSSAVTDPTDVAAINSLYAALGSPVLPGWVASGGDPCGEGWQGILCNGSFIQKIVLNGANLGGELGDKLSTFVSISVIDLSNNNIGGNIPSSLPVTMRNFFLSANQFTGSIPTSLSTLAGLTDMSLNNNFLTGEIPDAFQSLTQLINLDLSHNNLSGALPSSMDNLSSLTTLRLQNNQLSGTLDVLQDLPLKDLNIENNQFAGPIPPKLLSIPAFRQAGNPFNVNGTTTTPASSPRSPAIAPPGTPISGTPPGTPISGSPPSSGRVPTKQADGPAAANESHTGKSKNSTKRVVWISIGSVLGFIILLLGFILFIPRCSRRERDGRRSKHQIGAYGAEREMPRGNGSSVQPPSQIEKVPVGDVPRPKEERQVENRTVWANPRPKEGRQAEIRTVSAIPNPHTNPRSEQEKDVQRMATIPNPGDHEIDISTLEVYSMPPPPPPPPPPPPPPPPPPPTTEKVTVEPATSRRATNVTTHKKSSVPTFTKFFGIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKFLAVKKLDKRASDNQKDEEFLELINSIDRIRHANVVELVGYCSEHGQRLLIYEYCSNGSLYDALHSDDDFKTTLSWNTRIRIALGAARALEYLHELCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGAVSQLSGNLLTAYGYGAPEFESGIYTYQSDVYSFGVIMLELLTGRRSHDRTRPRGEQFLARWAVPQLHDIEALSNMVDPALNGNYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIKKESQQGESNEK >Vigun11g108800.4.v1.2 pep primary_assembly:ASM411807v1:11:30938080:30944973:1 gene:Vigun11g108800.v1.2 transcript:Vigun11g108800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRSALECQRVKICGQVLLGFLLICTIHTSSAVTDPTDVAAINSLYAALGSPVLPGWVASGGDPCGEGWQGILCNGSFIQKIVLNGANLGGELGDKLSTFVSISVIDLSNNNIGGNIPSSLPVTMRNFFLSANQFTGSIPTSLSTLAGLTDMSLNNNFLTGEIPDAFQSLTQLINLDLSHNNLSGALPSSMDNLSSLTTLRLQNNQLSGTLDVLQDLPLKDLNIENNQFAGPIPPKLLSIPAFRQAGNPFNVNGTTTTPASSPRSPAIAPPGTPISGTPPGTPISGSPPSSGRVPTKQADGPAAANESHTGKSKNSTKRVVWISIGSVLGFIILLLGFILFIPRCSRRERDGRRSKHQIGAYGAEREMPRGNGSSVQPPSQIEKVPVGDVPRPKEERQVENRTVWANPRPKEGRQAEIRTVSAIPNPHTNPRSEQEKDVQRMATIPNPGDHEIDISTLEVYSMPPPPPPPPPPPPPPPPPPPTTEKVTVEPATSRRATNVTTHKKSSVPTFTKFFGIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKFLAVKKLDKRASDNQKDEEFLELINSIDRIRHANVVELVGYCSEHGQRLLIYEYCSNGSLYDALHSDDDFKTTLSWNTRIRIALGAARALEYLHELCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGAVSQLSGNLLTAYGYGAPEFESGIYTYQSDVYSFGVIMLELLTGRRSHDRTRPRGEQFLARWAVPQLHDIEALSNMVDPALNGNYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIKKESQQGESNEK >Vigun11g108800.5.v1.2 pep primary_assembly:ASM411807v1:11:30938295:30944973:1 gene:Vigun11g108800.v1.2 transcript:Vigun11g108800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRSALECQRVKICGQVLLGFLLICTIHTSSAVTDPTDVAAINSLYAALGSPVLPGWVASGGDPCGEGWQGILCNGSFIQKIVLNGANLGGELGDKLSTFVSISVIDLSNNNIGGNIPSSLPVTMRNFFLSANQFTGSIPTSLSTLAGLTDMSLNNNFLTGEIPDAFQSLTQLINLDLSHNNLSGALPSSMDNLSSLTTLRLQNNQLSGTLDVLQDLPLKDLNIENNQFAGPIPPKLLSIPAFRQAGNPFNVNGTTTTPASSPRSPAIAPPGTPISGTPPGTPISGSPPSSGRVPTKQADGPAAANESHTGKSKNSTKRVVWISIGSVLGFIILLLGFILFIPRCSRRERDGRRSKHQIGAYGAEREMPRGNGSSVQPPSQIEKVPVGDVPRPKEERQVENRTVWANPRPKEGRQAEIRTVSAIPNPHTNPRSEQEKDVQRMATIPNPGDHEIDISTLEVYSMPPPPPPPPPPPPPPPPPPPTTEKVTVEPATSRRATNVTTHKKSSVPTFTKFFGIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKFLAVKKLDKRASDNQKDEEFLELINSIDRIRHANVVELVGYCSEHGQRLLIYEYCSNGSLYDALHSDDDFKTTLSWNTRIRIALGAARALEYLHELCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGAVSQLSGNLLTAYGYGAPEFESGIYTYQSDVYSFGVIMLELLTGRRSHDRTRPRGEQFLARWAVPQLHDIEALSNMVDPALNGNYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIKKESQQGESNEK >Vigun11g108800.3.v1.2 pep primary_assembly:ASM411807v1:11:30938277:30944984:1 gene:Vigun11g108800.v1.2 transcript:Vigun11g108800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRSALECQRVKICGQVLLGFLLICTIHTSSAVTDPTDVAAINSLYAALGSPVLPGWVASGGDPCGEGWQGILCNGSFIQKIVLNGANLGGELGDKLSTFVSISVIDLSNNNIGGNIPSSLPVTMRNFFLSANQFTGSIPTSLSTLAGLTDMSLNNNFLTGEIPDAFQSLTQLINLDLSHNNLSGALPSSMDNLSSLTTLRLQNNQLSGTLDVLQDLPLKDLNIENNQFAGPIPPKLLSIPAFRQAGNPFNVNGTTTTPASSPRSPAIAPPGTPISGTPPGTPISGSPPSSGRVPTKQADGPAAANESHTGKSKNSTKRVVWISIGSVLGFIILLLGFILFIPRCSRRERDGRRSKHQIGAYGAEREMPRGNGSSVQPPSQIEKVPVGDVPRPKEERQVENRTVWANPRPKEGRQAEIRTVSAIPNPHTNPRSEQEKDVQRMATIPNPGDHEIDISTLEVYSMPPPPPPPPPPPPPPPPPPPTTEKVTVEPATSRRATNVTTHKKSSVPTFTKFFGIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKFLAVKKLDKRASDNQKDEEFLELINSIDRIRHANVVELVGYCSEHGQRLLIYEYCSNGSLYDALHSDDDFKTTLSWNTRIRIALGAARALEYLHELCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGAVSQLSGNLLTAYGYGAPEFESGIYTYQSDVYSFGVIMLELLTGRRSHDRTRPRGEQFLARWAVPQLHDIEALSNMVDPALNGNYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIKKESQQGESNEK >Vigun11g108800.2.v1.2 pep primary_assembly:ASM411807v1:11:30938048:30944980:1 gene:Vigun11g108800.v1.2 transcript:Vigun11g108800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRSALECQRVKICGQVLLGFLLICTIHTSSAVTDPTDVAAINSLYAALGSPVLPGWVASGGDPCGEGWQGILCNGSFIQKIVLNGANLGGELGDKLSTFVSISVIDLSNNNIGGNIPSSLPVTMRNFFLSANQFTGSIPTSLSTLAGLTDMSLNNNFLTGEIPDAFQSLTQLINLDLSHNNLSGALPSSMDNLSSLTTLRLQNNQLSGTLDVLQDLPLKDLNIENNQFAGPIPPKLLSIPAFRQAGNPFNVNGTTTTPASSPRSPAIAPPGTPISGTPPGTPISGSPPSSGRVPTKQADGPAAANESHTGKSKNSTKRVVWISIGSVLGFIILLLGFILFIPRCSRRERDGRRSKHQIGAYGAEREMPRGNGSSVQPPSQIEKVPVGDVPRPKEERQVENRTVWANPRPKEGRQAEIRTVSAIPNPHTNPRSEQEKDVQRMATIPNPGDHEIDISTLEVYSMPPPPPPPPPPPPPPPPPPPTTEKVTVEPATSRRATNVTTHKKSSVPTFTKFFGIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKFLAVKKLDKRASDNQKDEEFLELINSIDRIRHANVVELVGYCSEHGQRLLIYEYCSNGSLYDALHSDDDFKTTLSWNTRIRIALGAARALEYLHELCQPPVVHRNLKSANILLDDDLSVRVSDCGLAPLIASGAVSQLSGNLLTAYGYGAPEFESGIYTYQSDVYSFGVIMLELLTGRRSHDRTRPRGEQFLARWAVPQLHDIEALSNMVDPALNGNYPAKSLSNFADIISRCLQSEPEFRPAMSEVVLYLLNMIKKESQQGESNEK >Vigun01g013400.1.v1.2 pep primary_assembly:ASM411807v1:1:1511343:1513416:-1 gene:Vigun01g013400.v1.2 transcript:Vigun01g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHTKVKQEKQQMSYHEAHQRAAKSVCSVCHNRRPQYERNRKKEFSYAELCAATQGFSLKNYLSEGGFGSVYKGELCGQKIAVKQHTYSNQKGEKEFKSEVDVLSKAMHENVVMLLGSCSERNLRLLVYEYICNASLDQHLSQHSRKLLDWPDRVKVADGVAKGLLYLHENNIIHRDLTANNILLTHDYDALLGDFGLARTVIEDSSYSTECVGNTGYMAPEYAEFGKVSIKTDVYSFGVVLLQLITGMKPIDKRLGERTLVGWVVDALTHIVEGKTPDCILRDYNYSPARSNSI >Vigun09g060300.3.v1.2 pep primary_assembly:ASM411807v1:9:6147855:6154133:1 gene:Vigun09g060300.v1.2 transcript:Vigun09g060300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVKGTGGEGPGRRWGHTCNAVRDGRLLYLFGGYGKFNCQTNQVHVFDTLRQSWSEPAVKGTPPTPRDSHSCSVVGDSLFVFGGTDGTRLLNDLHILDTSSHTWIFPTVRGEAPEAREGHAAAVIGKRLYVFGGCGRSANNTNDVYYNDLYILNTETYTWHRATTSGTPPSPRDSHTCSSWKNKIIVIGGEDEQDYYLSDVHVLDTDTLTWSKMCMSSQPLPPRAGHCTVSLGNSLFVFGGFTEAQCLYNDLHMLDIETGVWTKVATTPTGPSARFSVAGDCLDPHVGGVLLFLGGCNRSLEALDDMYYLYTGITQERELRPEKLSLRKQLKLKCQEQNLSLVQNPVLCGYGVDAGSNHPMTILNYSQQSKLNIPVRQPMPPGKRMFHAKVTEKISAGYTIETVIDGKPLHGILFSNKPNIQSPVANTSSNRKRTAGEIENVALNDMLMQSDNVTTSTVLRQEKMENQSELRGESSEFLEPHKEADTIAASSIPTTAAESLKDKRVMRQTLMLMS >Vigun09g060300.2.v1.2 pep primary_assembly:ASM411807v1:9:6147613:6154132:1 gene:Vigun09g060300.v1.2 transcript:Vigun09g060300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVKGTGGEGPGRRWGHTCNAVRDGRLLYLFGGYGKFNCQTNQVHVFDTLRQSWSEPAVKGTPPTPRDSHSCSVVGDSLFVFGGTDGTRLLNDLHILDTSSHTWIFPTVRGEAPEAREGHAAAVIGKRLYVFGGCGRSANNTNDVYYNDLYILNTETYTWHRATTSGTPPSPRDSHTCSSWKNKIIVIGGEDEQDYYLSDVHVLDTDTLTWSKMCMSSQPLPPRAGHCTVSLGNSLFVFGGFTEAQCLYNDLHMLDIETGVWTKVATTPTGPSARFSVAGDCLDPHVGGVLLFLGGCNRSLEALDDMYYLYTGITQERELRPEKLSLRKQLKLKCQEQNLSLVQNPVLCGYGVDAGSNHPMTILNYSQQSKLNIPVRQPMPPGKRMFHAKVTEKISAGYTIETVIDGKPLHGILFSNKPNIQSPVANTSSNRKRTAGEIENVALNDMLMQSDNVTTSTVLRQEKMENQSELRGESSEFLEPHKEADTIAASSIPTTAAESLKDSVNPEPEAVSLNQNDNEKNDSPKPLIESLKNDGSNNETSSKDEMQIGEDINVPVSNCEISGQTSDAPNSNADVLKPAAAESSVSPPNQGVTDDCTTPRTEGQSEQEKLT >Vigun09g060300.1.v1.2 pep primary_assembly:ASM411807v1:9:6147855:6154132:1 gene:Vigun09g060300.v1.2 transcript:Vigun09g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVKGTGGEGPGRRWGHTCNAVRDGRLLYLFGGYGKFNCQTNQVHVFDTLRQSWSEPAVKGTPPTPRDSHSCSVVGDSLFVFGGTDGTRLLNDLHILDTSSHTWIFPTVRGEAPEAREGHAAAVIGKRLYVFGGCGRSANNTNDVYYNDLYILNTETYTWHRATTSGTPPSPRDSHTCSSWKNKIIVIGGEDEQDYYLSDVHVLDTDTLTWSKMCMSSQPLPPRAGHCTVSLGNSLFVFGGFTEAQCLYNDLHMLDIETGVWTKVATTPTGPSARFSVAGDCLDPHVGGVLLFLGGCNRSLEALDDMYYLYTGITQERELRPEKLSLRKQLKLKCQEQNLSLVQNPVLCGYGVDAGSNHPMTILNYSQQSKLNIPVRQPMPPGKRMFHAKVTEKISAGYTIETVIDGKPLHGILFSNKPNIQSPVANTSSNRKRTAGEIENVALNDMLMQSDNVTTSTVLRQEKMENQSELRGESSEFLEPHKEADTIAASSIPTTAAESLKDSVNPEPEAVSLNQNDNEKNDSPKPLIESLKNDGSNNETSSKDEMQIGEDINVPVSNCEISGQTSDAPNSNADVLKPAAAESSVSPPNQGVTDDCTTPRTEGQSEQEKLT >Vigun09g060300.4.v1.2 pep primary_assembly:ASM411807v1:9:6147613:6154132:1 gene:Vigun09g060300.v1.2 transcript:Vigun09g060300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVKGTGGEGPGRRWGHTCNAVRDGRLLYLFGGYGKFNCQTNQVHVFDTLRQSWSEPAVKGTPPTPRDSHSCSVVGDSLFVFGGTDGTRLLNDLHILDTSSHTWIFPTVRGEAPEAREGHAAAVIGKRLYVFGGCGRSANNTNDVYYNDLYILNTETYTWHRATTSGTPPSPRDSHTCSSWKNKIIVIGGEDEQDYYLSDVHVLDTDTLTWSKMCMSSQPLPPRAGHCTVSLGNSLFVFGGFTEAQCLYNDLHMLDIETGVWTKVATTPTGPSARFSVAGDCLDPHVGGVLLFLGGCNRSLEALDDMYYLYTGITQERELRPEKLSLRKQLKLKCQEQNLSLVQNPVLCGYGVDAGSNHPMTILNYSQQSKLNIPVRQPMPPGKRMFHAKVTEKISAGYTIETVIDGKPLHGILFSNKPNIQSPVANTSSNRKRTAGEIENVALNDMLMQSDNVTTSTVLRQEKMENQSELRGESSEFLEPHKEADTIAASSIPTTAAESLKDKRVMRQTLMLMS >Vigun04g047200.1.v1.2 pep primary_assembly:ASM411807v1:4:4080836:4087240:-1 gene:Vigun04g047200.v1.2 transcript:Vigun04g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPWPKRHSCSQSKTPFTNHNFAHKKESKTLHELGKNSMAVLSPSTLSPLLLNTNSEFGSPPSFPLLTFSSAPTTRSSSPLSVSYSKSENGLASEQKKILLERYGYDIDADEYFSHSSSKSKRRKEPLKRRGGKQVQDPPEDPKPPRTTHKLLQVLGGTARRVKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPAALRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDVLRPNLEETGFLDASVIHTVRVEKFFERAEQFVGNSGPFDYISVTPPYTQVDYGVLMRQISESPLLGENTFIVVEYPLKTDMLDSCGNLVKITDRRFGRTLLAIYGPTWSQKKKR >Vigun04g047200.3.v1.2 pep primary_assembly:ASM411807v1:4:4080836:4085629:-1 gene:Vigun04g047200.v1.2 transcript:Vigun04g047200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPSTLSPLLLNTNSEFGSPPSFPLLTFSSAPTTRSSSPLSVSYSKSENGLASEQKKILLERYGYDIDADEYFSHSSSKVLGGTARRVKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPAALRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDVLRPNLEETGFLDASVIHTVRVEKFFERAEQFVGNSGPFDYISVTPPYTQVDYGVLMRQISESPLLGENTFIVVEYPLKTDMLDSCGNLVKITDRRFGRTLLAIYGPTWSQKKKR >Vigun04g047200.2.v1.2 pep primary_assembly:ASM411807v1:4:4080836:4085648:-1 gene:Vigun04g047200.v1.2 transcript:Vigun04g047200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPSTLSPLLLNTNSEFGSPPSFPLLTFSSAPTTRSSSPLSVSYSKSENGLASEQKKILLERYGYDIDADEYFSHSSSKSKRRKEPLKRRGGKQVQDPPEDPKPPRTTHKLLQVLGGTARRVKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPAALRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDVLRPNLEETGFLDASVIHTVRVEKFFERAEQFVGNSGPFDYISVTPPYTQVDYGVLMRQISESPLLGENTFIVVEYPLKTDMLDSCGNLVKITDRRFGRTLLAIYGPTWSQKKKR >Vigun02g004100.7.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPVMAYYPSCYQS >Vigun02g004100.10.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPLIMR >Vigun02g004100.1.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKSGD >Vigun02g004100.3.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKSGD >Vigun02g004100.6.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPVMAYYPSCYQS >Vigun02g004100.4.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKSGD >Vigun02g004100.5.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPVMAYYPSCYQS >Vigun02g004100.8.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPLIMR >Vigun02g004100.9.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPLIMR >Vigun02g004100.2.v1.2 pep primary_assembly:ASM411807v1:2:2124550:2129601:1 gene:Vigun02g004100.v1.2 transcript:Vigun02g004100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLRAPPSDPSRPVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMKPHNQNLELYGKLCRERTNKYMVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKSGD >Vigun09g101600.3.v1.2 pep primary_assembly:ASM411807v1:9:17278408:17285784:1 gene:Vigun09g101600.v1.2 transcript:Vigun09g101600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGKADKSIHWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVSSNMTLRRLRHFIADLPEKIQWATEAAWILALAYFIAYLETVAISNFPYYEFVDRDTMYKVGCLFYAIYFIVSFPMFLRIDEKPGDKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVLIPDAKQCPQVGLPWFTGHANLT >Vigun09g101600.2.v1.2 pep primary_assembly:ASM411807v1:9:17278227:17285784:1 gene:Vigun09g101600.v1.2 transcript:Vigun09g101600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLFIYGCVVQKFTELEYLLLGLVSAVPAFVIPMLLVGKADKSIHWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVSSNMTLRRLRHFIADLPEKIQWATEAAWILALAYFIAYLETVAISNFPYYEFVDRDTMYKVGCLFYAIYFIVSFPMFLRIDEKPGDKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVLIPDAKQCPQVGLPWFTGHANLT >Vigun09g101600.1.v1.2 pep primary_assembly:ASM411807v1:9:17277749:17285784:1 gene:Vigun09g101600.v1.2 transcript:Vigun09g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVPPSLWLAPNPSKRWGELFFLLYTPFWLTLCLGIVIPFNLYEKFTELEYLLLGLVSAVPAFVIPMLLVGKADKSIHWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVSSNMTLRRLRHFIADLPEKIQWATEAAWILALAYFIAYLETVAISNFPYYEFVDRDTMYKVGCLFYAIYFIVSFPMFLRIDEKPGDKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVLIPDAKQCPQVGLPWFTGHANLT >Vigun03g357300.1.v1.2 pep primary_assembly:ASM411807v1:3:55939059:55943993:1 gene:Vigun03g357300.v1.2 transcript:Vigun03g357300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKEVGAAGAGADVGAGAGEEPLSLELPAPTGWKKKFFPKKYGTPKKNEIVFTAPTGEEIHNRKQLEQYLKAHPGGPAVSEFDWGTGETPRRSARISEKAKTTPPPEYEPPKKRGKKSPALKKEASQEDEKDETKEVQMEEADETKDHKDLEQEKNVVEENQDEKRVEDTDGNESTHHGENTDKPKDDENFKIAEAEVHTSKEKLEDKVVEGSEVVQSKDEEKIEQPLEETKKDDGTDEKKVELEGENKEEHSKGTQVSQVNDEEKVGQPLEESKKDDGTNEKKVELEGENKVEHNKGTQVNDEAHFKVHDINKKAESELTENGS >Vigun03g153200.1.v1.2 pep primary_assembly:ASM411807v1:3:16189407:16189790:-1 gene:Vigun03g153200.v1.2 transcript:Vigun03g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVGTLFINPKRFGSLHKPCMKEMAMFLNCMAANQSDMEACARQKELLNACMDSQSKKNRKSWGSINYQLQRLNRGRK >Vigun03g153200.2.v1.2 pep primary_assembly:ASM411807v1:3:16189014:16198803:-1 gene:Vigun03g153200.v1.2 transcript:Vigun03g153200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVGTLFINPKRFGSLHKPCMKEMAMFLNCMAANQSDMEACARQKELLNACMDSQSKKNRKSWGSINYQLQRLNRGRK >Vigun06g140600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26604665:26605563:1 gene:Vigun06g140600.v1.2 transcript:Vigun06g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVSVYLILCVASSIIMVMATVQRARFLLGTNDYYVRVINGFTDNSSVPLVIWCSSEEMDLGGRALQEHDDFSWVMRPSFWSSNRMKCTMKWDSTRKSFEAFKASRDTERCGIHRTCSWMVTQDGFYFSNDEVNWRKDFLW >Vigun07g198700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31930223:31930375:-1 gene:Vigun07g198700.v1.2 transcript:Vigun07g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEDINSCTKHVCEPFKAFGRRCSRLAKEQRARFYILRRCITMLLCWTE >Vigun08g129500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30017573:30019988:1 gene:Vigun08g129500.v1.2 transcript:Vigun08g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIQSWLHQPKVWRFVCFVSSIVGLVCYAFSSSFNHLLGNWSWWKIFLYILFSFLISLSTLFAKTWQYSNSRCLEAHTAFSVLLITSVYSFFLDKDVKQNADAYSLLSSVAFAIMSLGLSRLSHLGFEVDLLYFFSGLLIVQLMKIKLWLVVVGAAFSYSLILLRSSLDPQPRSGYHGLQHQDHVVVEIGSHSQPQGTSCSSVTPVDSPQTIITATGNAYSQPHQVISPQEGSVGGGAALENIDGTKAYFMSSIEALKKESGNVISTISMHVDKYLKANLLSENQIAVPELHGDDNMLVDSLSSGMIRNIRQSVGKMVSDGFGMECFQVYSNWRREFLKESLWTLGLQDQQLNMEDNNKTEKIERLIKAMNIAWRILFPNERTLFNRVTSSIPSRQFRFREICTELTTILLNSALGLGTWSHFMRNTLQELIQELEPFTTLRNIVLLLIRQRLYIYEALEDASLISGGGIHPTTIEVMYYVYSIYKNKEISKVSQDLKEGKIPSFVYEARVRVLFDKSLEAKSKNGNKLTVAYYMKSLNQSRESSLRKGLEDEMLSPVWMDRMIELLESSFEANSKNYKNPTLGYVFIMNNRRFIELKTKLNGLGHIFGDDWLHKNTTKLQQNLELYLRSTWNKIVELLKLDIINQLEPSNTVELMKDKLYRFNEQFDETCNIQSAWSVCDEELREQIIKSIENMLLPAYGSFLGRFEEFVGKHAYEYIKYGMFELQDRLNKLFLVE >Vigun11g143800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35325007:35327015:-1 gene:Vigun11g143800.v1.2 transcript:Vigun11g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQCVFSFALALLVSFLYFTNTLAQLSPAASPLKPPQPTPTPPAAAPKPLVPSLPESPSDSTPDTAAAVDIVGILRQAKSFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDGSFSELKPGFLNSLSDGQKLELLQFHVISEYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVGKVLLPMDFFAVAKAPAKGPSLAPEPSAKAPKADKDNSLSPDSSESSQINSSKDNSGTVKINVYGKWLSLLLGVVLMTVFSS >Vigun09g255200.1.v1.2 pep primary_assembly:ASM411807v1:9:42168553:42170578:1 gene:Vigun09g255200.v1.2 transcript:Vigun09g255200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLLFLYIYLEENRGKNHKHKRKMELWSVVFVWCVLCFGFLHTEAIVKLRGNETIPALILFGDSIVDTGANNNLITAFKSNFPPYGRDFHGAQPTGRFSNGKVPSDFVAEELGINEYLAAYTSPGLKPDDMLKGINFASGGTGYDPFTAQLASVIPLSEQLEQFKEYIGKLKANFGEAKTNFILSKSLVLVVSSSNDIANTYFASGIRKLDYDVPNYTDMLVQYASSFVKELYGLGVRRIGVFGAPPLGCVPFMRTLFGGVERECGEEINMASKLFNSKLSAELHKLQRRNWPQSRIVYIHIYDPLLNIIQNPTKYGLEVVDRGCCGTGSVEAGILCNPLDLETCTDDSKYVFWDSYHPTQRTYQILVGEILHRYINNFS >Vigun02g199801.1.v1.2 pep primary_assembly:ASM411807v1:2:33463274:33465047:-1 gene:Vigun02g199801.v1.2 transcript:Vigun02g199801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPRYALDAFRSVNQKVNVSPIVIKTGRVTTEQIIAVSVHITAVNIFPGTHAWFSDSVMSYIHQ >Vigun02g141800.1.v1.2 pep primary_assembly:ASM411807v1:2:29034245:29040157:-1 gene:Vigun02g141800.v1.2 transcript:Vigun02g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSTASSSSARGIAAIVGVGPKLGRSIARNFAHHGYTVAILARDLGRLSRVAEEIAREEKAQVFAIRVDCADSKSVRDAFEGAHSLGFVEVLVYNANYQPLSPKPVSFRNIHLDTFQNSVAVSAVGAFHCAQQVLTGMVERGKGTILFTGCSASLNGIPGYSQLCCGKFALRALAQCLAREFQPQGVHIAHVIIDGVVAPPSYCRGKSGSVGDEISISSREDEGTVDPDAVAQTYWHLHIQDRTAWTQEIDLRSNTATFF >Vigun07g064700.2.v1.2 pep primary_assembly:ASM411807v1:7:7539285:7541725:1 gene:Vigun07g064700.v1.2 transcript:Vigun07g064700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQHETRAEAASKLAAKELEQANRERARDEQANRERARDEQANRERVRDEQANRERVRDEQANRERVQANRERVRDDVAVADDVGVVDQQHDQDQEKRGVIGSMIRAVQEAVVGKKESVPAKAHTTEVIHDINFQPDDVTGGEVRDISVTKSPGIYDSSATEETGSKVGEYADYAAQKAKETKDAAMEKAGEYTDYASQKAKEAKEKTMEKGGEYKDYAAEKAKEGKDATVNKLGEYKDYTAEKTKEGKDSAMEKLGELKDSAADAAKRAVEYLSGKTEETKEKVSESAEVAKNKAAATAETAKNKAAEMKDAAKDKAAETADATKNKTAETAEAAKNKGREMKDKAAETAEAAKNKTAETAEAAKNKTAQTAEAAKNKTAETAEAAKNKGWEMKDKAAETAEAAKNKTAETTEAAKNKAVEMKDKAAESAEAGKNKTSEATDAARYKAWEMKDKAADTTEAAKQRTAQENVRGGDEDARRKMEELRLHDKGFKDLSEGRGHKDKVVMKVEESRPGAMADTLKAADQMAAQTFNDVGRMDEEGVVRKKY >Vigun07g064700.1.v1.2 pep primary_assembly:ASM411807v1:7:7539285:7541725:1 gene:Vigun07g064700.v1.2 transcript:Vigun07g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQHETRAEAASKLAAKELEQANRERARDEQANRERARDEQANRERVRDEQANRERVRDEQANRERVQANRERVRDDVAVADDVGVVDQQHDQDQEKRGVIGSMIRAVQEAVVGKKESVPAKAHTTEVIHDINFQPDDVTGGEVRDISVTKSPGIYDSSATEETGSKVGEYADYAAQKAKETKDAAMEKAGEYTDYASQKAKEAKEKTMEKGGEYKDYAAEKAKEGKDATVNKLGEYKDYTAEKTKEGKDSAMEKLGELKDSAADAAKRAVEYLSGKTEETKEKVSESAEVAKNKAAATAETAKNKAAEMKDAAKDKAAETADATKNKTAETAEAAKNKGREMKDKAAETAEAAKNKTAETAEAAKNKTAQTAEAAKNKTAETAEAAKNKGWEMKDKAAETAEAAKNKTAETTEAAKNKAVEMKDKAAESAEAGKNKTSEATDAARYKAWEMKDKAADTTEAAKQRTAQARDRTKENVRGGDEDARRKMEELRLHDKGFKDLSEGRGHKDKVVMKVEESRPGAMADTLKAADQMAAQTFNDVGRMDEEGVVRKKY >Vigun09g168700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33781989:33784571:1 gene:Vigun09g168700.v1.2 transcript:Vigun09g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPILTLSCIFFLCNTISARTFSYITPNFTASYLHFIDDFGTFLLSPNNTFKAAIFNPGGQQKSFYLCVIHDASNTIIWSANRDAPISDSGQMLLTVKGITILDQDGNPKWSTPSLKSEADRLVLTEMGNLVLQDKSNGSLWESFQSPTDTIVVGQRLPVGASLSSAASNSNLSTGDFKFTISSSDAVLQWFGQTYWKLSTDTKVFKNSNDMVEYMAVNNSGFYLFGDGGTAFQLGLPLANFRIATLGTSGQFTINSFSDSGLTQEFVGPSDGCQTPLACGRAGLCTKSTDSSSPVCSCPPNFRVGSGTSGGCVLSNGSYSLPLACENSAVVSFLNIGYVQYFGTFFTDPVMYKGDLSSCQKFCSNNCSCLGILYKNTSGSCYTIENELGSIQSSNGDDRDMLGFVKTNVVESKPGTNDDSGNKQSSQNGGFPVAVAVLLPVIGFIILMAIIFLAWRRLILVSKIKEVKLGKNSPSSGDLDAFYIPGLPARFDYEELEEATENFKTLIGSGGFGTVYKGVLPDKSAVAVKKIVNIGIQGKKDFCTEIAVIGNIHHVNLVKLKGFCAQGRHRLLVYEYMNRGSLDRNLFGGGGPVLEWQERFEVALGTARGLAYLHSGCEQKVIHCDIKPENILLQDQFQAKISDFGLSKLLSPEQSGLFTTMRGTRGYLAPEWLTNSAITEKTDVYSFGMVLLELVSGRKNCSFRSRSHSMDESSSGGHSSTSSTTGLVYFPLFALEMHEQKSYLELADPRLEGRVTYEEVEKVIRIALCCVHEEPVLRPNMVTVVGMLEGGTPLPHPRIESLNFLRFYGRRFTEASTIAEETEYGSLMHHEARRSTSIPSDSPSHGFSYMSSQNISGPR >Vigun06g164300.1.v1.2 pep primary_assembly:ASM411807v1:6:28638984:28640417:-1 gene:Vigun06g164300.v1.2 transcript:Vigun06g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVELEDDLFFADLSKEIALLIMDEDEDHLDSSPPGSLQAFSEAIYPPPQFAFLYEHALRRESKGTGVFIPQATQPRRKQRKGRAGSHAKHQKQSQDTRTVSHVPNKNSFKSRNG >Vigun03g148850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15271695:15271925:1 gene:Vigun03g148850.v1.2 transcript:Vigun03g148850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLILLILIFVTAADFNTATFANVTDFTNTVVAVVDGLTSPILHLQSLSFGDEKELLLLPQISVDNQISASIPR >Vigun02g128800.1.v1.2 pep primary_assembly:ASM411807v1:2:28046043:28050152:-1 gene:Vigun02g128800.v1.2 transcript:Vigun02g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPPYYNRFPQTPNSTKKGRSGYEPSDTETEWQEIPRHERERRNFTLQRNKSPMALHRRHPSRVEHEISSASTTSAPRRRHNSKSPYKLRVAEDVAASSSPVTGLDTRRNISPLPRPDLGRTVSPFREQRTEKPHYKRSVTAPRLRIQESNHGGIINDTVLKQREVSPFKTPSVGKINEMIAQVKLSNDPRSDYSSVLESTDSIQPGDLFFSRECNVLQGRNSSLPKRIQQSEYFSPMPTVNSSRTPSESKGNNSDLNMNMNMGLNSAATSRKGSGTGKTSSVTSEGSGKTTASMRKFTANRKKNQKDTWFACMRTGNCRTTRKSPERRPIDESSYIERAVVVESLPQFLADKHQPASLNGFICNRQEAQLLKELVSHGSCPHILLKGPSGSGKRELAMALLREIYGDACCNLSHDLRHFPIQDQRLKKVSVPITSSPHHMELDVNSEPNAKYALMGLIKEISNIYAIAPEVSNINFKSDFKVIVLYDVHKAVDNIQHIIKWIVDRYSDICKLVLCCEDDADIIGPVKNRFKTVQLYAPQTHEIVEVLMQIAKNENFDLSMNFAAKIATKSKQNLRKAIMALEACKAHNYPFSEEQPISVVWEEIVIEVATEILADPSFSRLLSIRGKFQMLLLDFVQPKLILLKLVEHLLKRIDASLKRELYYWHAYYDRRLPSGITALLKLEEFVAKFMGMCRKNSGNRQYV >Vigun02g128800.2.v1.2 pep primary_assembly:ASM411807v1:2:28046046:28050122:-1 gene:Vigun02g128800.v1.2 transcript:Vigun02g128800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPPYYNRFPQTPNSTKKGRSGYEPSDTETEWQEIPRHERERRNFTLQRNKSPMALHRRHPSRVEHEISSASTTSAPRRRHNSKSPYKLRVAEDVAASSSPVTGLDTRRNISPLPRPDLGRTVSPFREQRTEKPHYKRSVTAPRLRIQESNHGGIINDTVLKQREVSPFKTPSVGKINEMIAQVKLSNDPRSDYSSVLESTDSIQPGDLFFSRECNVLQGRNSSLPKRIQQSEYFSPMPTVNSSRTPSESKGNNSDLNMNMNMGLNSAATSRKGSGTGKTSSVTSEGSGKTTASMRKFTANRKKNQKDTWFACMRTGNCRTTRKSPERRPIDESSYIERAVVVESLPQFLADKHQPASLNGFICNRQEAQLLKELVSHGSCPHILLKGPSGSGKRELAMALLREIYGDACCNLSHDLRHFPIQDQRLKKVSVPITSSPHHMELDVNSEPNAKYALMGLIKEISNIYAIAPEVSNINFKSDFKVIVLYDVHKAVDNIQHIIKWIVDRYSDICKLVLCCEDDADIIGPVKNRFKTVQLYAPQTHEIVEVLMQIAKNENFDLSMNFAAKIATKSKQNLRKAIMALEACKAHNYPFSEEQPISVVWEEIVIEVATEILADPSFSRLLSIRGKFQMLLLDFVQPKLILLKLVEHLLKRIDASLKRELYYWHAYYVSFSLHSLSEGQKTPIRNNSFTKVRRICGQVHGHVQKKLWQSAICVG >VigunL059378.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000503.1:18613:18979:-1 gene:VigunL059378.v1.2 transcript:VigunL059378.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun05g212400.1.v1.2 pep primary_assembly:ASM411807v1:5:40320690:40324538:-1 gene:Vigun05g212400.v1.2 transcript:Vigun05g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSHQLFLFLLLFLSLNPTLSLNQDGLFLLQAKLQLSDPTNALSDWNHRDATPCNWTAVTCDAATGAVASLNFDNLQLSGPLPEAALCRLPSLASLSMANNSLGGTLPDAAFSACAALRKLDLSENAIIGPIPSALAFLPALETLDLSSNNFSGEIPASFGQFRQLRSLFLVSNLLNGTIPSFLGNVSTLQKLHLAYNVYFDAGHIPPSLGNLTNLEELWLAGCNLVGPIPPSLGNLTKLTNLDLSINNLVGNIPEQLVSGLRSIVQIELYENALSGALPRAAFANLANLERFDASTNELTGTIPDELCGLKKLGSLNLYANKLEGTLPESIVNSDNLYELKLFNNSLSGSLPSGLGGNSKLKSFDVSFNRFSGEIPAGLCGGGALEELILIYNTFSGRIPESLGECKSLRRVRLRNNNLSGVVPGGLWGLPHLNLLELVENSLSGSIANGISGARSLSILLISGNNFSGSIPEGIGELVNLGVFVANNNNLTGRIPTSVVGLSQLDRLVLSDNQLFGEIPASVGGWKTLNELDLANNRLDGSIPKELLDLPVLKYLDLSNNRLSGLIPIELQNMKLNLLNLSNNQFSGEIPPLYANEYYRNSFLGNPGLCSSLSGLCPSLNENEGKGRKYVWIFRFIFVLAGIVLIVGVSWFYFKFRNFKKMKKGFQISKWRSFHKLGFSEFEVVKLLSEDNVIGSGASGKVYKVALSNGEVVAVKKLFGAPNMGNGSVDSEKDGFEVEVETLGKIRHKNIVRLWCCCNSKDSKLLVYEYMPNGSLADLLHSSKKGLLDWPTRYKIAIDAAEGLSYLHHDCVPPIVHRDVKSSNILLDDEFGAKVADFGVAKIVNGANQGAQSMSVIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPLDPEYGEKDLVKWVYSTMDQKGQDEVIDPSLDGEYREEMRKVLSVGLLCTTSLPITRPSMRRVVKMLKEVTAPVLPKSLSGKLSPYFQEVPSDNDHQGSLV >Vigun03g101000.1.v1.2 pep primary_assembly:ASM411807v1:3:8686575:8690046:-1 gene:Vigun03g101000.v1.2 transcript:Vigun03g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLPKKIVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKRTRHYLPNGFKKFVVSNVKDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKTARLRSQEDE >Vigun10g013000.1.v1.2 pep primary_assembly:ASM411807v1:10:1393439:1405682:-1 gene:Vigun10g013000.v1.2 transcript:Vigun10g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECIYIISSSDDDDDELEEIDVRKRTLPEWATTTERSSDYGRRGNSSTGANSSNLSSSSVYNHSQTKPLTLPVSSSNALNHRIARRDEPSYHAQNGNTGQQQTVNSRISKSHSADYEKMSSQQAFKRTLPSTLQPSATRALPSPLFASDIRLSNLKDSTDNSHLHDTYKNRRPGVGSSISGDRGYIHDSFFRGGDEGHLLYQNGGNRILPPSLVLGKAITPHFAISSESAYRSGIGDERSAENDERLIYEAALQDISQPKTEYDLPSGVLSVSLLRHQKIALAWMLQRETKSLHCLGGILADDQGLGKTVSMISLILALRSLQSKSKTDDVRNHKTEALNLDDDDDNGGINVEKHKNSVEANDLFPSREPSSSTQAPGRKRPAAGTLVVCPASVMRQWARELDEKVGDEKLSVLVYHGGSRTKSHVELAKFDVVLTTYSIVTNEVPKLPLVEDDDIEDKNGERFGLSPEFSVRKRKKPFNGNKKGKKGRKGIDSSSECGSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAAYKSFYNTIKVPISRNSEQGYKKLQAVLRAIMLRRTKGTLLDGKPIVNLPPKTIDLNKVDFSVEERAFYTKLESDSRIKFKAYAAAGTVNQNYANILLMLLRLRQACDHPRLVKDIDSDPVGKDSVEMAKTLPRELLINLFNCLDATFTICHVCNDTPDRAVITMCGHVFCYECVQEYLSGDDNTCPAVNCKETIGDDLVFSKVTLRSCISDDGGTSSSSNSHLSDYTQVQRDDYISSKIQAVLDVLQSNCNVKISNSDLPNSGCCRDSPSSSSVDLDVDDSDSEVKIAKHTKKGSESTTEGSIKAIVFSQWTSMLDLVESSLCQFGILYRRLDGRMTLGARDKAVRDFNTEPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDHAGGSGTRLTVDDLKYLFMV >Vigun10g013000.2.v1.2 pep primary_assembly:ASM411807v1:10:1393439:1405684:-1 gene:Vigun10g013000.v1.2 transcript:Vigun10g013000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECIYIISSSDDDDDELEEIDVRKRTLPEWATTTERSSDYGRRGNSSTGANSSNLSSSSVYNHSQTKPLTLPVSSSNALNHRIARRDEPSYHAQNGNTGQQQTVNSRISKSHSADYEKMSSQQAFKRTLPSTLQPSATRALPSPLFASDIRLSNLKDSTDNSHLHDTYKNRRPGVGSSISGDRGYIHDSFFRGGDEGHLLYQNGGNRILPPSLVLGKAITPHFAISSESAYRSGIGDERSAENDERLIYEAALQDISQPKTEYDLPSGVLSVSLLRHQRETKSLHCLGGILADDQGLGKTVSMISLILALRSLQSKSKTDDVRNHKTEALNLDDDDDNGGINVEKHKNSVEANDLFPSREPSSSTQAPGRKRPAAGTLVVCPASVMRQWARELDEKVGDEKLSVLVYHGGSRTKSHVELAKFDVVLTTYSIVTNEVPKLPLVEDDDIEDKNGERFGLSPEFSVRKRKKPFNGNKKGKKGRKGIDSSSECGSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAAYKSFYNTIKVPISRNSEQGYKKLQAVLRAIMLRRTKGTLLDGKPIVNLPPKTIDLNKVDFSVEERAFYTKLESDSRIKFKAYAAAGTVNQNYANILLMLLRLRQACDHPRLVKDIDSDPVGKDSVEMAKTLPRELLINLFNCLDATFTICHVCNDTPDRAVITMCGHVFCYECVQEYLSGDDNTCPAVNCKETIGDDLVFSKVTLRSCISDDGGTSSSSNSHLSDYTQVQRDDYISSKIQAVLDVLQSNCNVKISNSDLPNSGCCRDSPSSSSVDLDVDDSDSEVKIAKHTKKGSESTTEGSIKAIVFSQWTSMLDLVESSLCQFGILYRRLDGRMTLGARDKAVRDFNTEPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDHAGGSGTRLTVDDLKYLFMV >Vigun05g039600.1.v1.2 pep primary_assembly:ASM411807v1:5:3164722:3169624:1 gene:Vigun05g039600.v1.2 transcript:Vigun05g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFVSQMVAEWEEAYMDYNSLKATLKHISRFRELNESAAMASTPKGSLKRRLTLYRAFSGLTGGQRGSPRKSEDEVILVRAEEGEGSEGSYQTMFLKPSEEGEEQDLVFFKKLDREFNKVNNFYKKMVKEMVEEAEELSKQMNALIALRIKIDKVGFRNVASNGSSNSISLSDHIYNARPGHSRVHMDVIHEIELSSESHLENGTGNYEKQTTPDEGFRPASVEILDRVKINMTTLDSPISTIKGLLSSSKPGQSFSRKELTKVEEQMSIALKEFYHKLRLLKSYSFLNLLAFSKIMKKYDKVSSRNASKDYLKMVDSSYVGSSDEVNRLMERVEHAFIKHFANGNHRKGMNTLRPTAKKERHRITFLLGLFTGCSIALIVALIILIHARNILYSEGRTKYMDNIFPLYSLFGYLVLHMIMYSANVYFWRRYKINYSFIFGFKEGTELGYREVFLLSSGLAVLSLAAVLSNLDMEMDQRTKSFSAFTELVPLGLVIVLLLITFCPFNIIYKSSRFFLIRCAFHCICAPLYKVNLPENFLADQLTSQVQAYRSLEFYVCYYFWGNFKTRSNECLQSDLYKAFYLIVAIIPFWIRCLQCFRRLLEEKNAMHGLNGLKYISTIVALVMRTTNEFHRGMVWQILAATSSSIATIVNTYWDVVIDWGLLRRNSKNPWLREKLSVPNKSVYFAAMVLNVVLRLAWMQSVLGIREAPFLHRTALTALVACLEILRRGIWNFFRLENEHLNNVGNYRAFKSVPLPFNYEDEEEITVT >Vigun03g108700.1.v1.2 pep primary_assembly:ASM411807v1:3:9721960:9722701:-1 gene:Vigun03g108700.v1.2 transcript:Vigun03g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKRVLTLFLRFLAIGATIAAVIVMLTSHDSTQVLNLTFTAKYSNDQAFKFFVIAEAIACGYSIILLFTCSQTSLWRLVLLLDVVIAMLLSSSVSAALAIAHVGKKGNTHAGWLPICGQVPKFCDHVTGALVAAFAAAIIYFLLIFSSLYSVQNTLFL >Vigun03g040000.1.v1.2 pep primary_assembly:ASM411807v1:3:3059297:3061687:-1 gene:Vigun03g040000.v1.2 transcript:Vigun03g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHEHEEAKGESLLDKISGKIHDHDSSSSSDSDNEKTGPSDSLKSKVFRLFGREKPIHHALGGGKPADVFLWRNKKVSASTLGVATAIWILFELLEYHLLTLVCHFVILALAGLFLWSNLFIFVNKRAPKLPQVHIPEEPILQFASALRIEINRALSVLRDIASGRDLKKFLSVIAGLWVFSILGSWANFLTLFYIAFVLLHTVPVLYEKYENQVDSFGEKAFGEIKKQYAVFDAKVLSKIPKGPLKDKKKD >Vigun03g403300.1.v1.2 pep primary_assembly:ASM411807v1:3:61032601:61041437:-1 gene:Vigun03g403300.v1.2 transcript:Vigun03g403300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEAPQFQEAARCVVCNCGFNTFRRRHHCRSCGRTLCNEHSANQMALPQFGIYSNVRVCADCFNNSGSGKDVPQGSLGGANSVTDTISKLDINANADSKSVPTAANNLVPDIKECKCGMPLCICEAPAPSSEAPSQQRKPNPVVAAPSNPKPKKTDTAPKNRSSTSTSKFSAMNGTSDKPQSDYGVSGEGLREAIKNGDIDAVKKLLNEGVDANYKDKQGLSLLHLAAVFNQTDIVFTLMDSGANLDYKNPQGETPLDCAPATLQYKMRKKMEEGGARD >Vigun09g101750.2.v1.2 pep primary_assembly:ASM411807v1:9:17339402:17342902:1 gene:Vigun09g101750.v1.2 transcript:Vigun09g101750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCMILSKFLKETYFASKQARHAFLRDDTCFFIQLETPIEVTRWCNLLIEFHPLPGEESSSEVSKNVLAYFFKRVKILCSSNDLVLCFVASENEVNFYIINPTTQSCSPIPTPDHIQNSSFYDHKIGFLCELDGNFMIYHFIDNLVEWSSYFDCKVYKDGVWKGKEGFFSGSRNLRFDMLVHHRGAIHFISDCSAYLTRNNPYFRPYIMSYNFEDGKSRMLRVPNKWGKTIDSDQSICLVRLRKGVFTVWVLRKYESSLWRKILKIRVKAMGVLENDPSQIVVKNFIILNGELLVFSTQKKIYLYGLSDNKIHKFWDYECEFNFLRFTPYIDTLRTCDIGTRNISLPIH >Vigun09g101750.1.v1.2 pep primary_assembly:ASM411807v1:9:17339402:17342908:1 gene:Vigun09g101750.v1.2 transcript:Vigun09g101750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCMILSKFLKETYFASKQARHAFLRDDTCFFIQLETPIEVTRWCNLLIEFHPLPGEESSSEVSKNVLAYFFKRVKILCSSNDLVLCFVASENEVNFYIINPTTQSCSPIPTPDHIQNSSFYDHKIGFLCELDGNFMIYHFIDNLVEWSSYFDCKVYKDGVWKGKEGFFSGSRNLRFDMLVHHRGAIHFISDCSAYLTRNNPYFRPYIMSYNFEDGKSRMDVTPKEARKGSHDKSYQSICLVRLRKGVFTVWVLRKYESSLWRKILKIRVKAMGVLENDPSQIVVKNFIILNGELLVFSTQKKIYLYGLSDNKIHKFWDYECEFNFLRFTPYIDTLRTCDIGTRNISLPIHD >Vigun04g034900.4.v1.2 pep primary_assembly:ASM411807v1:4:2899625:2900784:1 gene:Vigun04g034900.v1.2 transcript:Vigun04g034900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKERTLKELTNEDMCCQPLCKQIPIAEGTSNSTQIRINPASANVSWPGWRKPTPHIIKFCQSKFLYQFTWGFNFFLLQLIYSCFTTSYQIES >Vigun04g034900.5.v1.2 pep primary_assembly:ASM411807v1:4:2899625:2900792:1 gene:Vigun04g034900.v1.2 transcript:Vigun04g034900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKERTLKELTNEDMCCQPLCKQIPIAEGTSNSTQIRINPASANVSWPGWRKPTPHIIKFYYQLSNRELTR >Vigun04g034900.3.v1.2 pep primary_assembly:ASM411807v1:4:2899184:2901376:1 gene:Vigun04g034900.v1.2 transcript:Vigun04g034900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKERTLKELTNEDMCCQPLCKQIPIAEGTSNSTQIRINPASANVSWPGWRKPTPHIIKFCQSKFLYQFTWGFNFFLLQLIYSCRLYFLVDILIFINIFTVIVL >Vigun05g268300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46010987:46014707:1 gene:Vigun05g268300.v1.2 transcript:Vigun05g268300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAVSYGDKGSAFCGLKPDGSHTVNCYGMNSAIIYGTPTHFPFFGLAAGDGFVCGLLMSSSQPYCWGSSGHVEMGVPQPMLKGAQYLEISAGDFHVCGLRKPLTGRHRNTSLVDCWGYNMTNNYVFDGQVQAISAGSQFNCGLFSQNRSVFCWGDETSSQVINMVPRGMRFQKISAGGYHVCGILEGVNSRAVCWGRSLLDLGEELSISLTGSGQGQGKVELAPNDPMLSVVGGKFHACGIKTYDHGVVCWGYSFKAGTRVPSGIKAFEIGAGNYFTCGVLVEKSHMPVCWGVGFPTSLPLPVSPRMCRSTPCPPGYFETSQNGICKTPDSHVCMPCSAACPPEMYKRSGCNLKSDILCEYNCSLCSSPECLSNCSSSYSNAANGKRSERFWSLQLPVVIAEIAFAVFFVCIVSITAMLYVRYKLRDCQCSSSSSARGSKGKKLRGSSSHQKEKSKVRPDMEEFKIRRAQMFPYEELERATGGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMYPNVQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMAHGSLHQHLHGNKVMKEQMDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVQWAVPLIKSGDIASILDPVLKAPSDVDALRRIANVACKSVRMRGKDRPSMDKVTTVLERALAQLMGSPCIEQPILPTEVVLGSNRLHKKSSQRSSNRSASESTDVEDQRFEFRAPSWITFPSVTSSQRRSGSEADVEGKNVEGRNLGNVGGGGGGGGGGGGDALKSLEEEIGLASPREKLFLQHNF >Vigun05g268300.1.v1.2 pep primary_assembly:ASM411807v1:5:46010987:46014707:1 gene:Vigun05g268300.v1.2 transcript:Vigun05g268300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVLHFCTLNMNLWPVRFVAIFEVVVFSCLWLQVSSLGSMSSIAVSYGDKGSAFCGLKPDGSHTVNCYGMNSAIIYGTPTHFPFFGLAAGDGFVCGLLMSSSQPYCWGSSGHVEMGVPQPMLKGAQYLEISAGDFHVCGLRKPLTGRHRNTSLVDCWGYNMTNNYVFDGQVQAISAGSQFNCGLFSQNRSVFCWGDETSSQVINMVPRGMRFQKISAGGYHVCGILEGVNSRAVCWGRSLLDLGEELSISLTGSGQGQGKVELAPNDPMLSVVGGKFHACGIKTYDHGVVCWGYSFKAGTRVPSGIKAFEIGAGNYFTCGVLVEKSHMPVCWGVGFPTSLPLPVSPRMCRSTPCPPGYFETSQNGICKTPDSHVCMPCSAACPPEMYKRSGCNLKSDILCEYNCSLCSSPECLSNCSSSYSNAANGKRSERFWSLQLPVVIAEIAFAVFFVCIVSITAMLYVRYKLRDCQCSSSSSARGSKGKKLRGSSSHQKEKSKVRPDMEEFKIRRAQMFPYEELERATGGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMYPNVQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMAHGSLHQHLHGNKVMKEQMDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVQWAVPLIKSGDIASILDPVLKAPSDVDALRRIANVACKSVRMRGKDRPSMDKVTTVLERALAQLMGSPCIEQPILPTEVVLGSNRLHKKSSQRSSNRSASESTDVEDQRFEFRAPSWITFPSVTSSQRRSGSEADVEGKNVEGRNLGNVGGGGGGGGGGGGDALKSLEEEIGLASPREKLFLQHNF >Vigun05g154500.2.v1.2 pep primary_assembly:ASM411807v1:5:24653427:24665437:1 gene:Vigun05g154500.v1.2 transcript:Vigun05g154500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRKRGRKPKPATEEETASQNYSTASIKDAVSLPATTAEAVEPNDPDSQGCRRGRSKKIKVASPERRSSRLADSNGDCATAAETPLIPKWEGTVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIVGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDMPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPALNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIEHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMGPNKKGVRIRRIEPTAPESHVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDRAQVKVLRNSQILEFNIKLSTHKRLVPAHIKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLEKHLHSMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKNLATMVESCDDSSVKNQYCKGCNLRYPHHTLYTFCNV >Vigun05g154500.3.v1.2 pep primary_assembly:ASM411807v1:5:24653427:24665437:1 gene:Vigun05g154500.v1.2 transcript:Vigun05g154500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRKRGRKPKPATEEETASQNYSTASIKDAVSLPATTAEAVEPNDPDSQGCRRGRSKKIKVASPERRSSRLADSNGDCATAAETPLIPKWEGTVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIVGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDMPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPALNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIEHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMGPNKKGVRIRRIEPTAPESHVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDRAQVKVLRNSQILEFNIKLSTHKRLVPAHIKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLEKHLHSMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKNLATMVESCDGEYLKFDLEYQQIAVLYILP >Vigun05g154500.4.v1.2 pep primary_assembly:ASM411807v1:5:24653427:24665437:1 gene:Vigun05g154500.v1.2 transcript:Vigun05g154500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRKRGRKPKPATEEETASQNYSTASIKDAVSLPATTAEAVEPNDPDSQGCRRGRSKKIKVASPERRSSRLADSNGDCATAAETPLIPKWEGTVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIVGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDMPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPALNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIEHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMGPNKKGVRIRRIEPTAPESHVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDRAQVKVLRNSQILEFNIKLSTHKRLVPAHIKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLEKHLHSMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKNLATMVESCDGEYLKFDLEYQQ >Vigun05g154500.1.v1.2 pep primary_assembly:ASM411807v1:5:24653427:24665437:1 gene:Vigun05g154500.v1.2 transcript:Vigun05g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRKRGRKPKPATEEETASQNYSTASIKDAVSLPATTAEAVEPNDPDSQGCRRGRSKKIKVASPERRSSRLADSNGDCATAAETPLIPKWEGTVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIVGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDMPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPALNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIEHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMGPNKKGVRIRRIEPTAPESHVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDRAQVKVLRNSQILEFNIKLSTHKRLVPAHIKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLEKHLHSMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKNLATMVESCDGEYLKFDLEYQQIVVLKTSTAKAATLDILTTHCIPSAMSEDLKT >Vigun03g094800.1.v1.2 pep primary_assembly:ASM411807v1:3:8008953:8010988:-1 gene:Vigun03g094800.v1.2 transcript:Vigun03g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSGGSETQEVVVNVTKDTPKTIERSDSFVSVPFLQKLIAEVVGTYFLIFAGCGSVVVNKNNNNVVTLPGIAIVWGLVVTVLVYSVGHISGAHFNPAVTIAFASTKRFPLTQVPAYVAAQLLGGTLASGTLKLLFMGKHDQFSGTLPNGTDLQAFVFEFIITFLLMFVISGVATDNRAIGELAGIAIGSTILLNVMIGGPVTGASMNPVRSLGPAFVHSEYRGIWIYILAPVLGAVGGAWVYNIIRYTEKPLREITKSASFLKGRVGGTK >Vigun02g176200.2.v1.2 pep primary_assembly:ASM411807v1:2:31825102:31829061:1 gene:Vigun02g176200.v1.2 transcript:Vigun02g176200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNIIGLRNDKQNISLERGGQFELSGAPLKTLHQTCDEINSHLYQAKTVADEMGIAFMGLGYQPKWKLEDIVRVPKERYNILQNQLCKFGSPGVEILIMTCSVQVNLDFSSEADMVKKMRAGIALQPLAAALFANSPFKDGVPSSYLTTRGHIGEFDKHRTGILPFVFYDNFGFEQYVDYALDVPMVFAYRENKYIDCRDMSFRDFIAGKLPAIPGQVPTLADWENHLTTIFPEVRLKRYMEMRGADNGPIDMICAVPAFWVGLLYDEVSLQNVVDMIADWTPEDIQNLRDMAPITGLRTQVRGRLLRHIAEEVLKWAKEGLDRRCLSESVFLDPLKEVVETGLTQAHKLLELYHTKWGNNVDHVFRECCY >Vigun02g176200.1.v1.2 pep primary_assembly:ASM411807v1:2:31825102:31829061:1 gene:Vigun02g176200.v1.2 transcript:Vigun02g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNLKWASGCLALGKVESSKTTLRGSYAYDKFKRKEKGQRSVIVATGPAIDHAPAIAEEPLTKEHLIHYMASGCKPKQNWRIGTEHEKFGFERKTLRSINYEQLSALLNGIAERFDWEKIMEGDNIIGLRNDKQNISLERGGQFELSGAPLKTLHQTCDEINSHLYQAKTVADEMGIAFMGLGYQPKWKLEDIVRVPKERYNILQNQLCKFGSPGVEILIMTCSVQVNLDFSSEADMVKKMRAGIALQPLAAALFANSPFKDGVPSSYLTTRGHIGEFDKHRTGILPFVFYDNFGFEQYVDYALDVPMVFAYRENKYIDCRDMSFRDFIAGKLPAIPGQVPTLADWENHLTTIFPEVRLKRYMEMRGADNGPIDMICAVPAFWVGLLYDEVSLQNVVDMIADWTPEDIQNLRDMAPITGLRTQVRGRLLRHIAEEVLKWAKEGLDRRCLSESVFLDPLKEVVETGLTQAHKLLELYHTKWGNNVDHVFRECCY >Vigun09g019600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1495016:1497072:-1 gene:Vigun09g019600.v1.2 transcript:Vigun09g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRDNDEAEPQRGYWRWSKQDFLPEESFQSWNNYVSALSQTWLRFKDRLFSRSDDATETEELKKQSEHDMKRCLNWWDLIWFGFGAVIGAGIFVLTGQEAHDHAGAAIVLSYVASGFSAMLSVFCYTEFAVEVPSAGGSFAYLRVELGDFVAFITAGNILLESVIGSAAVARSWTSYFTNLLNRPKNSLRIKTNLKEGYNLLDPIASGVLVIASVITIISTRKTSFLNWIASAINTAVIIFVIIAGFLHADTSNLSPFLPYGAKGVFQAAAIIYFAYGGFDSIATMAEETKKPSRDIPIGLVGSMSMITVIYCLMALSLSMMQKYTEIDTGAAFSIAFQSVGMKWAKYVVAFGALKGMTTVLLVARLSQARYITHIARCHMIPPWFALVHPKTGTPINATLLITVASAIIAFFTGLDVLSSLISVSTLFVFMMISAALLVRRYYVRGVTPRENLVKLVMFLVVIIGSSIGISAFWGLNPNGWLGYTVAVPVWFLGTLGMSLFLNQQRVPRVWGVPFVPWLPSLSIATNVFLMGSLEYDAFIRFGVCTVVMLIYYFLFGLHATYDMAHQQQKLPSKVEHTETIKNEGP >Vigun09g145100.2.v1.2 pep primary_assembly:ASM411807v1:9:30815158:30817810:1 gene:Vigun09g145100.v1.2 transcript:Vigun09g145100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRASCFASPNASPALKLFEPETPTFWKHSTQSSTSEAFMNRDDTVSIITKTASTKYSAKHIGLEIIANVLKLHEDHPHLHRLYPAIYRNFVEAIDAVDNGVSEYDLNESPKYVVNTCLSSRIKRLNLNWMDSDQSSDRENELFLQAMALAGAEFLDNVNYYAKSWLPARSIVMESLVARESVDSSGEIIKLSRPCPWKLHIHELEEEMKISPSIKYVVYPDDRGEKWRLQAVAISPARFESRKPLPCLWRGFENDKLSEVAGIPGCTFVHMSGFIGGNQSYDGAVAMARASLKA >Vigun09g145100.1.v1.2 pep primary_assembly:ASM411807v1:9:30815158:30817810:1 gene:Vigun09g145100.v1.2 transcript:Vigun09g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSVSGLVWHKTFAFHKPRFLLASCFFSTRVGTHNGTFHCDEALACFMLRLSKRFSGAQIVRTRDPNLLETLDAVVDVGGVYEPRRHRFDHHQNGFDQVFGQGFHTKLSSAGLVYKHIGLEIIANVLKLHEDHPHLHRLYPAIYRNFVEAIDAVDNGVSEYDLNESPKYVVNTCLSSRIKRLNLNWMDSDQSSDRENELFLQAMALAGAEFLDNVNYYAKSWLPARSIVMESLVARESVDSSGEIIKLSRPCPWKLHIHELEEEMKISPSIKYVVYPDDRGEKWRLQAVAISPARFESRKPLPCLWRGFENDKLSEVAGIPGCTFVHMSGFIGGNQSYDGAVAMARASLKA >Vigun09g145100.5.v1.2 pep primary_assembly:ASM411807v1:9:30815158:30817810:1 gene:Vigun09g145100.v1.2 transcript:Vigun09g145100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRASCFASPNASPALKLFEPETPTFWKHSTQSSTSEAFMNRDDTVSIITKTASTKYSAKHIGLEIIANVLKLHEDHPHLHRLYPAIYRNFVEAIDAVDNGVSEYDLNESPKYVVNTCLSSRIKRLNLNWMDSDQSSDRENELFLQAMALAGAEFLDNVNYYAKSWLPARSIVMESLVARESVDSSGEIIKLSRPCPWKLHIHELEEEMKISPSIKYVVYPDDRGEKWRLQAVAISPARFESRKPLPCLWRGFENDKLSEVAGIPGCTFVHMSGFIGGNQSYDGAVAMARASLKA >Vigun09g145100.4.v1.2 pep primary_assembly:ASM411807v1:9:30815158:30817810:1 gene:Vigun09g145100.v1.2 transcript:Vigun09g145100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSVSGLVWHKTFAFHKPRFLLASCFFSTRVGTHNGTFHCDEALACFMLRLSKRFSGAQIVRTRDPNLLETLDAVVDVGGVYEPRRHRFDHHQNGFDQVFGQGFHTKLSSAGLVYKHIGLEIIANVLKLHEDHPHLHRLYPAIYRNFVEAIDAVDNGVSEYDLNESPKYVVNTCLSSRIKRLNLNWMDSDQSSDRENELFLQAMALAGAEFLDNVNYYAKSWLPARSIVMESLVARESVDSSGEIIKLSRPCPWKLHIHELEEEMKISPSIKYVVYPDDRGEKWRLQAVAISPARFESRKPLPCLWRGFENDKLSEVAGIPGCTFVHMSGFIGGNQSYDGAVAMARASLKA >Vigun05g001100.1.v1.2 pep primary_assembly:ASM411807v1:5:104740:107544:1 gene:Vigun05g001100.v1.2 transcript:Vigun05g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITHHTLAFAFGMLGNVISFLVFLAPVPTFYRIYKKKSTESFQSLPYLVALFSSMLWLYYALLKKNAFLLITINSFGCVVEIIYIVLYITYATRDSRNLTIKLFSAMNMGSFALILIVTNFVVHGPLRVQVLGWICVSISVSVFAAPLSIVAQVVRTKSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFVLGLLQMLLYAIYRKGDKKSNKMEKNPAEALKNIVVASPLGTGEVFPVEEDEETKKSQVVEREEKKNQECEV >Vigun05g260200.1.v1.2 pep primary_assembly:ASM411807v1:5:45354385:45357103:-1 gene:Vigun05g260200.v1.2 transcript:Vigun05g260200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEHSRTWILTTNKLLQNKMIRVIPSSVATLFVLTIFWTVPNNAVVSATSNNGGVTRHYQFDIRLKNITRLCHTKNMLTVNGKFPGPRVVAREGDRLVVKVVNHVPNNITIHWHGVRQLRSGWSDGPSYITQCPVQSGESYVYNFSLVGQRGTLFWHAHHSWLRATLYGPLIILPRTNQSYPFAKPYKEIPILFGEWWNVDPEAVIAQALHTGGGPNVSDAYTINGLPGPLYNCSTNDTFNLRVKPGKTYLLRLINAAVNNELFFSIANHTMTVVEADAAYVKPFNSDIIVISPGQTTNVVLNTKPEYINATFFMLARPFFTAKGTFLSSTLAGILEYDNDNDNDTPPSEYSDMKNRTLLKPTLPAINDTSFVANFSRKFRSLNSAKYPANVPQKVDRSFFFTIGLGSIPCPRNQTCEGPNKRTKFAASMNNISFDLPSVAILQQHYFSGKDNGGDYTTDFPVVPPRAFNYTGTPPNNTMVKIGTKVVVIPYNTRVQVVLQDTSILGAESHPLHLHGFNMMVVGQGFGNFDPVTDPGKFNLVDPVERNTIGVPSGGWVAIRFVADNPGVWLMHCHIDLHLSWGLRMAWIVNNGKLSHQKLLPPPSDLPKC >Vigun10g008900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:826414:827370:1 gene:Vigun10g008900.v1.2 transcript:Vigun10g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHASNTHVNDALDLSELPSTTPFQDASPSLWPTAVFGRSSIFSAELPLKEDDADDMVIYGALLEAAAAEWFPANGGGVSNVAVKMEKGGGSSGTKGASGTHAPPVKGLSYRGVRRRPWGKFAAEIRDAKRNGVRVWLGTYETAEDAALAYDRAAFRMHGSKAKLNFPHLIGSDEAEPIRVTSKKRSLNVLPASLSLVTAKRSKDNSLVDSEMKKLNMW >Vigun11g076700.1.v1.2 pep primary_assembly:ASM411807v1:11:22637553:22646243:-1 gene:Vigun11g076700.v1.2 transcript:Vigun11g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAIMQCSSSSSSQVTRIYDVFVSFRGEDTRNNFTDFLFQVLRRKGFHAFKDDADLRKGESIAPELQQAIEGSRIFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSDVRKQSGYYEKPFVEFEERFREDNEGMEEVQRWREALTQVANLSGWDIRNRPQYAVIEEIVQKVTDVLGHKFSSLPNDNLVGMQFRVEELEKLLYMGSSNDVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKMLQRKKTLIVLDNVDEVEQLKIFTGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVHQVQPLEKEEAVQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYEVENAVEILKFRGFYPDYGLKVLINKSLLIVKDGRLIMHRLLIDLGRSIVREISPKEPRNWSRVWSSKDLQKILSNNMPAENLEAVVCNKFEYRKTTLNADAFSNVSHLKLLKINGAYFSGILDYLPSELGYLCWIGYPFKSLPSRFVPYKLVSLSLTYSNIEQLWEDTKPLHNLKRLDLSFSEDLIKMPDLGEAINLEWLSLRSCTKIKEIHPSIGLRRKLSYVTLEGCENLIKLPHFEETQNLEILDLESCIKLEKIHPSIGHIRKLKFLNLKDCESLTMLPHFREDLNLEILNLQGCMKLRQINPSIHHLKKLTILNMEGCKRLVSLPYTILCLNSLSYLNLSACSNLCYIQFLEEARDEGHLKRPCIGEASVRSSIMKRWFKWPLHLLHSRRHKDSASHFLPSPYFSCMRELDLSFCALLEIPDVVGSFHRLERLNLSGNSFSKLPSLKELSKLYHLVLRHCEQLIYLPELPSHTYLPSVIYKRPWPTTETPVWTDGPQKQPGHYIKSGLEVLNCPKLVEIERERCTRMTVSWMIQILQAQRRVDPLSMPMNPMFSSVIPGSEIPSFFNHQEDSGISCIDASRYGHNYVAALLCVVFGAQCRRGIVSPMCPSKARGIYNGSKIQMVLHDDLAIMDEFSDCMWIAFFNEHEFNRCQYSWFYDKILAIIGNDFDINVKKWGYRLIYDLDLELSTLAMMHGGNSSALKNELLAIEENK >Vigun11g076700.2.v1.2 pep primary_assembly:ASM411807v1:11:22637553:22646243:-1 gene:Vigun11g076700.v1.2 transcript:Vigun11g076700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAIMQCSSSSSSQVTRIYDVFVSFRGEDTRNNFTDFLFQVLRRKGFHAFKDDADLRKGESIAPELQQAIEGSRIFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSDVRKQSGYYEKPFVEFEERFREDNEGMEEVQRWREALTQVANLSGWDIRNRPQYAVIEEIVQKVTDVLGHKFSSLPNDNLVGMQFRVEELEKLLYMGSSNDVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKMLQRKKTLIVLDNVDEVEQLKIFTGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVHQVQPLEKEEAVQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYEVENAVEILKFRGFYPDYGLKVLINKSLLIVKDGRLIMHRLLIDLGRSIVREISPKEPRNWSRVWSSKDLQKILSNNMPLHNLKRLDLSFSEDLIKMPDLGEAINLEWLSLRSCTKIKEIHPSIGLRRKLSYVTLEGCENLIKLPHFEETQNLEILDLESCIKLEKIHPSIGHIRKLKFLNLKDCESLTMLPHFREDLNLEILNLQGCMKLRQINPSIHHLKKLTILNMEGCKRLVSLPYTILCLNSLSYLNLSACSNLCYIQFLEEARDEGHLKRPCIGEASVRSSIMKRWFKWPLHLLHSRRHKDSASHFLPSPYFSCMRELDLSFCALLEIPDVVGSFHRLERLNLSGNSFSKLPSLKELSKLYHLVLRHCEQLIYLPELPSHTYLPSVIYKRPWPTTETPVWTDGPQKQPGHYIKSGLEVLNCPKLVEIERERCTRMTVSWMIQILQAQRRVDPLSMPMNPMFSSVIPGSEIPSFFNHQEDSGISCIDASRYGHNYVAALLCVVFGAQCRRGIVSPMCPSKARGIYNGSKIQMVLHDDLAIMDEFSDCMWIAFFNEHEFNRCQYSWFYDKILAIIGNDFDINVKKWGYRLIYDLDLELSTLAMMHGGNSSALKNELLAIEENK >Vigun11g076700.4.v1.2 pep primary_assembly:ASM411807v1:11:22637553:22646234:-1 gene:Vigun11g076700.v1.2 transcript:Vigun11g076700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITRNKVGEISKKRPTQRPQDSCQSIMQCSSSSSSQVTRIYDVFVSFRGEDTRNNFTDFLFQVLRRKGFHAFKDDADLRKGESIAPELQQAIEGSRIFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSDVRKQSGYYEKPFVEFEERFREDNEGMEEVQRWREALTQVANLSGWDIRNRPQYAVIEEIVQKVTDVLGHKFSSLPNDNLVGMQFRVEELEKLLYMGSSNDVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKMLQRKKTLIVLDNVDEVEQLKIFTGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVHQVQPLEKEEAVQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYEVENAVEILKFRGFYPDYGLKVLINKSLLIVKDGRLIMHRLLIDLGRSIVREISPKEPRNWSRVWSSKDLQKILSNNMPLHNLKRLDLSFSEDLIKMPDLGEAINLEWLSLRSCTKIKEIHPSIGLRRKLSYVTLEGCENLIKLPHFEETQNLEILDLESCIKLEKIHPSIGHIRKLKFLNLKDCESLTMLPHFREDLNLEILNLQGCMKLRQINPSIHHLKKLTILNMEGCKRLVSLPYTILCLNSLSYLNLSACSNLCYIQFLEEARDEGHLKRPCIGEASVRSSIMKRWFKWPLHLLHSRRHKDSASHFLPSPYFSCMRELDLSFCALLEIPDVVGSFHRLERLNLSGNSFSKLPSLKELSKLYHLVLRHCEQLIYLPELPSHTYLPSVIYKRPWPTTETPVWTDGPQKQPGHYIKSGLEVLNCPKLVEIERERCTRMTVSWMIQILQAQRRVDPLSMPMNPMFSSVIPGSEIPSFFNHQEDSGISCIDASRYGHNYVAALLCVVFGAQCRRGIVSPMCPSKARGIYNGSKIQMVLHDDLAIMDEFSDCMWIAFFNEHEFNRCQYSWFYDKILAIIGNDFDINVKKWGYRLIYDLDLELSTLAMMHGGNSSALKNELLAIEENK >Vigun11g076700.3.v1.2 pep primary_assembly:ASM411807v1:11:22637553:22646234:-1 gene:Vigun11g076700.v1.2 transcript:Vigun11g076700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITRNKVGEISKKRPTQRPQDSCQSIMQCSSSSSSQVTRIYDVFVSFRGEDTRNNFTDFLFQVLRRKGFHAFKDDADLRKGESIAPELQQAIEGSRIFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSDVRKQSGYYEKPFVEFEERFREDNEGMEEVQRWREALTQVANLSGWDIRNRPQYAVIEEIVQKVTDVLGHKFSSLPNDNLVGMQFRVEELEKLLYMGSSNDVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKMLQRKKTLIVLDNVDEVEQLKIFTGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVHQVQPLEKEEAVQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYEVENAVEILKFRGFYPDYGLKVLINKSLLIVKDGRLIMHRLLIDLGRSIVREISPKEPRNWSRVWSSKDLQKILSNNMPAENLEAVVCNKFEYRKTTLNADAFSNVSHLKLLKINGAYFSGILDYLPSELGYLCWIGYPFKSLPSRFVPYKLVSLSLTYSNIEQLWEDTKPLHNLKRLDLSFSEDLIKMPDLGEAINLEWLSLRSCTKIKEIHPSIGLRRKLSYVTLEGCENLIKLPHFEETQNLEILDLESCIKLEKIHPSIGHIRKLKFLNLKDCESLTMLPHFREDLNLEILNLQGCMKLRQINPSIHHLKKLTILNMEGCKRLVSLPYTILCLNSLSYLNLSACSNLCYIQFLEEARDEGHLKRPCIGEASVRSSIMKRWFKWPLHLLHSRRHKDSASHFLPSPYFSCMRELDLSFCALLEIPDVVGSFHRLERLNLSGNSFSKLPSLKELSKLYHLVLRHCEQLIYLPELPSHTYLPSVIYKRPWPTTETPVWTDGPQKQPGHYIKSGLEVLNCPKLVEIERERCTRMTVSWMIQILQAQRRVDPLSMPMNPMFSSVIPGSEIPSFFNHQEDSGISCIDASRYGHNYVAALLCVVFGAQCRRGIVSPMCPSKARGIYNGSKIQMVLHDDLAIMDEFSDCMWIAFFNEHEFNRCQYSWFYDKILAIIGNDFDINVKKWGYRLIYDLDLELSTLAMMHGGNSSALKNELLAIEENK >Vigun11g076700.5.v1.2 pep primary_assembly:ASM411807v1:11:22637553:22646234:-1 gene:Vigun11g076700.v1.2 transcript:Vigun11g076700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQRWREALTQVANLSGWDIRNRPQYAVIEEIVQKVTDVLGHKFSSLPNDNLVGMQFRVEELEKLLYMGSSNDVRVFGISGMGGVGKTTLVRALYERICHQYDFTCYIDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEGTYLIWKMLQRKKTLIVLDNVDEVEQLKIFTGNRDTMLRDCLGRGSIIIIISRDEQILRIHGVDEVHQVQPLEKEEAVQLFCRHAFKANIIMSDYEKMTNEVLWHVQGHPLALEILGSTLFGLSVSQWRSALAMLRENKSKKIMDVLRISFEALDSTNKEIFLDISCFLHNYEVENAVEILKFRGFYPDYGLKVLINKSLLIVKDGRLIMHRLLIDLGRSIVREISPKEPRNWSRVWSSKDLQKILSNNMPAENLEAVVCNKFEYRKTTLNADAFSNVSHLKLLKINGAYFSGILDYLPSELGYLCWIGYPFKSLPSRFVPYKLVSLSLTYSNIEQLWEDTKPLHNLKRLDLSFSEDLIKMPDLGEAINLEWLSLRSCTKIKEIHPSIGLRRKLSYVTLEGCENLIKLPHFEETQNLEILDLESCIKLEKIHPSIGHIRKLKFLNLKDCESLTMLPHFREDLNLEILNLQGCMKLRQINPSIHHLKKLTILNMEGCKRLVSLPYTILCLNSLSYLNLSACSNLCYIQFLEEARDEGHLKRPCIGEASVRSSIMKRWFKWPLHLLHSRRHKDSASHFLPSPYFSCMRELDLSFCALLEIPDVVGSFHRLERLNLSGNSFSKLPSLKELSKLYHLVLRHCEQLIYLPELPSHTYLPSVIYKRPWPTTETPVWTDGPQKQPGHYIKSGLEVLNCPKLVEIERERCTRMTVSWMIQILQAQRRVDPLSMPMNPMFSSVIPGSEIPSFFNHQEDSGISCIDASRYGHNYVAALLCVVFGAQCRRGIVSPMCPSKARGIYNGSKIQMVLHDDLAIMDEFSDCMWIAFFNEHEFNRCQYSWFYDKILAIIGNDFDINVKKWGYRLIYDLDLELSTLAMMHGGNSSALKNELLAIEENK >Vigun08g043200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4521315:4523225:-1 gene:Vigun08g043200.v1.2 transcript:Vigun08g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFENFHYFKTFLLLLILCILPINIAQPLSFTITNFNDTESASIVGYAGAAMIENGAAVLNPLINNGVGRAVYGQPLRLKNSSNGHVTDFSTRFSFTIDVPLGTKYGDGFAFYLAPLAYQIPTATSDGSLLGLYDDTQNNIVAVEFDTYINELDPPMQHVGINNNSVASLNYTKFDIESNTGKMGHALITYNASAKLLAVSWSFDGTGSSSTPTAYLSYKIDLWAILPEWVNVGFSGSTGSSTEKNVIHSWEFSSSLDINSMHGQGKKENGIATKCKVQVKTVVVTVICSVVFLLVVISISWMTMKKGRTDDGFGFDREAMPRRFGYEEIVAATNGFADDRRLGEGGSGQVYKGFLSDLGRVVAVKRIFSDIEDCERIFINEVKIISRLIHRNLVQFMGWCHEKEELLLVFEYMSNGSLDNHLFGKRRSLTWDVRYKIALGVARALRYLHEDAEQSVVHRDIKSANVLLDTDFNTKISDFGIARLVDPRLRTQRTKVVGTYGYLAPEYIKEGRVSKESDMYSFGVLALEIACGRRTYQDGEDNHVPLRKWVWKHYVDGNILNAADKELKSDFDVNEMKCLVSVGIWCTLEDHKERPTSEQVINVLKQQLPLPLFSSKPSHNAKLDSFESSSRKA >Vigun01g121300.1.v1.2 pep primary_assembly:ASM411807v1:1:29817675:29819914:1 gene:Vigun01g121300.v1.2 transcript:Vigun01g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLSLICLISTVVTAFILWRKQKKTLLPPTPMALPIIGHLHLLSTIPHQDFHNLSIRYGPIMHILLGSVPCVVASTAEAAKEFHKTHESSFSNRPAQTLAIETLTYGFKGFTFAPYGPYWKFMKKLCMSELLGGHMLEQFLPVRQQENKRFIQRLLQKGVAGEAVDFEEELMTLSNNIVSRMTVGHTSTEKELTKLVADAAELLGQFNISDFIWFLKRFDLQGFNVRLKKTRDRFDAVLDRIIKQREEERRNKKETGGTRSFNDVLDVLLDIFEDESSEMKLNKDNIKSFILEIFVAGTDSTSLTIEWAMSELINHPHVLEKARLEIDAMVGKSRIVEESDIKNLPYLQAIVKETLRLHPPFPLNLRESSTSEVVCGYNIPPKTRLFINIWAIGRDPNQWKNPLEFMPERFIDENGRSEFDVRGQSYNLLPFGSGRRRCPGISLALHVVHVNLGALIQCFQLKVNGGDGKVDMEEKPGLTLPRAHPLICVPVPRLNPFPSM >Vigun06g041600.2.v1.2 pep primary_assembly:ASM411807v1:6:16277583:16279695:1 gene:Vigun06g041600.v1.2 transcript:Vigun06g041600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVLLNWLHLVYHYSKPIVMANDEMFVRSGKMSKVLSLMNKTFYSNKEIFLRELINNASNALDKIQVIDENILDDGMIIRLVPHKGNKTLSIIDTGIGMSKADLAYSLGVGFYSAYLVAHKVIVTSKHNDHDQYIWESQSSGSFIVTKDTNAQQPSSGTNITLFLKDNQLEYLEETTIKNLVVKNCQHISHPIYLWNTKEHWQLINFWLHNQEKDKIFIAQRLMNHLPNDLIFSILSKLCLKSLKRFGCLQRSWTLLFENSHFMNLFHNNFICNNHSSYDDTSLLLSLTIDRTTLYSVSDERFQNMEKIDWPNSFQEDYSALCSIVGSYSINGIICIYSHVGETMYLWNPTNNEFKVIPPSPFEPLQYGIPLDRKCQGFGYDSVKDDYKVIKHVSLNDAFTMEDFYDPKYATIVEMYSLRRNSWKKLEHDIDLPVCYDDIDKFYFEGMCHWLGYPYELEEAYLVSFDLSNEVFIITKTPLDIPEEIFYNSQADYKWRHLLILNGSIAFMLNFERTIYLYILVEVGKKETWTKLHVFGPIPCIAYPIGTWNMGNILFNTPDDDELVWFNLCTHKIEKLNFKVNFHVTCSMGLQLVVYKESLVRINS >VigunL006001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:25428:25628:-1 gene:VigunL006001.v1.2 transcript:VigunL006001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun03g121300.1.v1.2 pep primary_assembly:ASM411807v1:3:11326547:11331859:1 gene:Vigun03g121300.v1.2 transcript:Vigun03g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYTCCTTQFFVHILLIVLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAAVAPFVRVLVCVCFPVAFPISKLLDFLLGHRHEALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPIAETFAVDINSKLDRELMNEILEKGHSRVPVFYEQPTNIIGLILVKNLLTVHPEDEASVKSVTIRRIPRVPESMPLYDILNEFQKGHSHMAVVVRRCDKTKQQSSQNNANDSVRDVKVDIDGEKPPKEKPLKSKVPLHKWKSFPNTNMSNGGGSRSRKWSKNMYSDILEIDGSPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >Vigun03g013400.1.v1.2 pep primary_assembly:ASM411807v1:3:904375:909357:1 gene:Vigun03g013400.v1.2 transcript:Vigun03g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKDKVKGFMKKVNNQFSSSSSGKFKGQGRVLGSSSTPADSNPTSRPIPSLNPNSKPSSPNPKPTSPNPKPISPNPKPSPQNTEPSRSTEKPRKDGDGFDPFDSLVTNSNRSKNGYSLNVFECPVCKQPFGSEEAVSEHVDDCLSNLVQREPIGDDGNGVSESEIGSNTDGELEVCVGTYISGNPSEGSVDIILKLLRNIGREPENVKFRRIRMNNPKIKEAVGDVAGGVELLSFLGFELREENEETWAVMEVPTEEQIKFIKKAITLLESQLVQQAPPKREDSASANSAQTSVKVERKPVDRQVKVFFAVPESVAAKIVLPDSFYSLSSEEVRREAELRRKKIADSQLLIPKSLREKQAKASRKRYTKTIIRVQFPDGVVLQAVFAPWEATTALYEFVSSALKEPGLEFELMHPVVVQRRVIPHFPKAGENPKTIEEEDLVPSALIKFKPLETDSVVFTGLKNELLEISEPLVNS >Vigun01g223200.1.v1.2 pep primary_assembly:ASM411807v1:1:39658340:39661740:-1 gene:Vigun01g223200.v1.2 transcript:Vigun01g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNLDREDGLETVLEVPIPEEILTHKSGTTKAWHNMKNWMKPHAESRSNSTSMAAVFGGKNTEIQLLLGVVGAPLIPSPTASDNQPITRSIKDQHIEVSMAKYIVKQYVAAVGGERCLNCVDSMYAMGQVKMATTEFCGGEGSVNSKKVVKVKNLQMKGEMGGFVVWQKRPELWCLELVVSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSNSICIGEKTVNNEDCFILKLETETSTLRARSNSNVEIVRHTVWGYFSQRTGLLVQLEDSHLLKLKSHEHESIYWETNMESLLEDYRSVDGIQIAHGGKTWVSLLRFGGGPETHSRTRMEEVWQVEEVDFNIKGLSIDCFLPPSDLKREEEKEEEAECGGGVVASNNAKLPYKIRSASFRISASKVAAVNLDDSCTSESDEDL >Vigun10g101000.1.v1.2 pep primary_assembly:ASM411807v1:10:29417435:29420688:1 gene:Vigun10g101000.v1.2 transcript:Vigun10g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPTIIITDFFICQLLTLVQNLNLPMFAFSPTNAWLVALGLHTPTLDKQIQGEYVDEIEPISIPGCKPIYPRDMFQMLSDRTHRLYHEFIAACEGAALADAIFVNTFHELEAKTLEALGSGGIISKVPVYPVGPIVWEPSPSPSPSPSSEEGKRSEIVEWLKRQEEESVVFVSLGSGYTMSREEIKEMALGLELSGNKFVWSLRPSVNKAGHDHYLTAGDETGSNGTVSNVEKSDLFPDEFHRIESSGIVITDWAPQLEILKHPSIGGFVSHCGWNSVMESVSCGVPIVGLPLFADQMMNATMLVEEVGNAVRVEVSPSTNMVQGVDLAKEIRKIMDKDDKEGCVIRKRAKELKHLAERAWSHHGSSYSALCKIAH >Vigun04g068200.1.v1.2 pep primary_assembly:ASM411807v1:4:7758217:7761745:-1 gene:Vigun04g068200.v1.2 transcript:Vigun04g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMGSKRAKVMKIDGETFKLKTPARANDVVKDYPGHVLLDSQAVKNFGPRAKPLEPDHELKPKKLYFLVELPKVRPEPLTRRVRSSGIRGMNAKDRLDFLMLSKRSVSDLAVVKHGPGPDTSSGPTRVRMRVPKAQLERLMEESHDGGEVAEKILSLYMGNNAAAVDGGASVVEAQKELRNRKAQRKKVSFSPVEHEEIHEESAAPQKSLVYTSPSR >Vigun04g144683.1.v1.2 pep primary_assembly:ASM411807v1:4:35900046:35904611:1 gene:Vigun04g144683.v1.2 transcript:Vigun04g144683.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQWVSNITSNLFELDLSANHLEGFTSSDFGTVKNSLRHLDLSSNNFKAKDLKSFTNICTLHSLYLSSNKFTEDLPSILSNLSRGCVRHSLQKLDLSSNHITGTLSDISVFSSLKTLFLQTNRLSGRIPEGVKLPSTLEDLSISENFFKGGIPKSFGNACALHSFDMSGNNLNVELPTIISHLSGCARYSLQELYLHMNKIIGTLPDFSTFTSLKVLYLSENKLNGEIHKDIQFPHQLEELDVHSNSLKGVLSDYHFTNMSKLHVLDLSDNSLALTFTQNWVPPFRLFTIKLRSCNLGPAFPKWLQTQNKYFYIDISKAAISDIVPKWFWAKLPLQQVMMMNISYNNLRGIISNFPLTHLSDSMSLESNQFEGSIPQFLGNSKILDLSKNKFSDPLSFLCRDDTIQTLFYLDLSYNKLFGHIPDCWRQLNKLVYLDLSHNNFSGKIPMSMGSLLDLQALFLRNNNLVEGIPFSLRNCTELVMLDMSENKLSGSIPDWIGTKEELQILSLGKNQFFGSLPLEVCCLRSIQVLDLSINNLSGKIPKCIKDLTSMTQTPSSIDYGYHSYFFKIGVFDTSMTYDLSALLTWKGSEQMFMNKGLSLLKLIDLSSNHFSGEILVEIEKLSGLISLNLSRNNLIGKIPSNIGKLASLNSLDLSRNWLVGSIPPSLAQLYGLGVLDLSHNHLSGKIPTGTQLQSFNKSSYENNLDLCGPPLERLCIDGKLTQEPNVKVYKDEYSFFNNDFFTSMAIGFALSFWTVFGSILFKRSWRHSYFNFLNKLTDNILVKVAIFRKNIYGP >Vigun06g179400.4.v1.2 pep primary_assembly:ASM411807v1:6:29910068:29915170:-1 gene:Vigun06g179400.v1.2 transcript:Vigun06g179400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKRMIRRRPSLRDYVVGFAAEKVHCHCPLPSQHLARLTPKRFLDLHQFINKKAIEEERARLGDEMKRGYFADMAEFKQHGGKIAVANKVIIPAMAAVKFPDLEVSFSDGKTMKLPIRVSDLAVDSDKSSVPKASLVCLSFRASSQEMINSWSVPFLEAFRKSKGVHLYQVSFIDSWLLCRPPIKRFLLWTMKKPNNHESKDTLEKHTVYSFGDHYYFRKELQILNLLTGYIFLLDNFGRVRWQGFGLAKEDEISSLHSCTSLLLDEK >Vigun01g090200.1.v1.2 pep primary_assembly:ASM411807v1:1:25028066:25028761:-1 gene:Vigun01g090200.v1.2 transcript:Vigun01g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSAPLAFFAISMVLLSSVAMATDYVVGDDKGWTLDFNYTVWAQDKVFRVGDNLLFNYDSSKHDVVKVNGTEFQDCSSTSANEVFTSGKDGILLKSEGKKWYICSKGNHCSNHEMKLVINVESAAPAPAPVSSAPSLLASLSAGALIVAGAAILA >Vigun04g104000.1.v1.2 pep primary_assembly:ASM411807v1:4:24378886:24384224:1 gene:Vigun04g104000.v1.2 transcript:Vigun04g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSSIPVFPYDAKPNSNVPRHTATFHPSIWGDYFLSYDSSFHEDDSDMKQVPVLKEYVREMIVSPVGNNILFKLNIINSVQRLGVSYHFEHEIDEALHQIYEISTKDNNIISYNDDLHHLALLFRLLRQQGYPISSDVFCQLKDKAGNFKESILNDVQGMLSLYDASQLRFHGEDILEETYSFTLTELTKSLTTKLSPFLSGLVHRSIGQALRKGMPRLEARYYISFYQQDPSHNQRLLTFAKLDFNTLQKLHQKEVRNVTKWWVKDLNVSANFPFVRDRIVEICFWIIGVYYEPQYYLARRILTKLTAMLSIIDDVYDAYGTIDELEIFTNAIERWDISSLVDLPEYMKLCYKALLDIFEETEQELKKQGKEYFVKYSKSEMKRLAQAYLSESRWFHSNHTPTVEEYMEVATITSTYSLLTTVSFLGMEDTTEEVLIWVTSHPKIIEAASVISRLMDDIVGTEFEHERGHVVSSVDCYMKQYNSSRQNALKELHKLVESAWKDINKECLNPTQVPMMFLIRVLNLARVMDVLYKEQDNYTNSGGVTKDYIKALLVNKVSTQ >Vigun07g027000.1.v1.2 pep primary_assembly:ASM411807v1:7:2452697:2454641:1 gene:Vigun07g027000.v1.2 transcript:Vigun07g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSSQEFTGVHNSVANVGGSENNTFNFQHHDQHLFDVPVSCLNFDSQNFLCQSSGDNSAQLFGSVVDSFLSTHEDTFYETYSVYEHFRNEKNNVSEGGASAPVDGVEVVCATSGNTASSMVPTRKKKGIKWTKDLHEQFVAAVNSLGGAQKATPKAVLRMMNSKSLTIFHVKSHLQKYRSTMFVKNTFREGHEESDGRDMVTELQQKICMQIEESRKLQLEIGRGIQEQLELQRNLQMLVQEQKKQVNDAKKGN >Vigun01g034200.1.v1.2 pep primary_assembly:ASM411807v1:1:4450264:4452804:1 gene:Vigun01g034200.v1.2 transcript:Vigun01g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERLKKMASSVRTGGKGTMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPNIIHQLGPDNLENLKKLAEQFQKQAPEAGAGATTAQEDDDDDAVPDLVPGETFEAVAEETKS >Vigun05g055200.1.v1.2 pep primary_assembly:ASM411807v1:5:4723174:4727868:-1 gene:Vigun05g055200.v1.2 transcript:Vigun05g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSAESRSPHPTSPSSSFRKRKSNAKKRLGSRASSFEYWRNEPLHRIPGRIFLNGSSQVASLFTQQGKKGTNQDAMVVWENFCSRQDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLNAHWEVNVPGEEVLKEISVNPAGSMNIEEAASASADEDPRVSVDAEETEKHPEIFQTLKESFLTAFKVMDRELKTHQSIDCFCSGTTAVTLIKQGHDLIVGNVGDSRAVLGTRANDDSLVAVQLTVDLKPNLPAEEERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEMSYRRLTEKDEFIVLATDGIWDVLSNKEVVDIVAATSRRASAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTASHKLCSASIAKSKEQPSSAVQVHNGDRGDVSAPTGLARSGTCRENDEGEGECEGDDTNKNEEEKESKEDEIDPESEIEWSALEGVSRVNTLLNLPRFEPDKEDMMRKQN >Vigun07g290400.1.v1.2 pep primary_assembly:ASM411807v1:7:40230884:40235052:-1 gene:Vigun07g290400.v1.2 transcript:Vigun07g290400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSLPNGNNATGTPIAATPNPAPPPPSNLPQLTESLKLEHQFLRVPFEHYKKSLRANHRAVEKEMSAVISGVNEAADLSPDDAVNHLNSLVSRLQGLKRKLEEGSRAEHLQAQKCRARLDHLESADAENLPEWNNTRLKRILVDYMLRMSYYDTAVKLAESSNLQDLVDIDVFQEAKKVIDALQNKDVAPALAWCADNKSRLKKSKSKLEFQLRLQEFIELVRAENNLRAIAYARKYLAPWGATHMKELQRVLATLAFKKDTECATYKVLFEDKQWDFLVDQFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQEAFRTLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALEEMAKKNNGRIICPRTGLVCSDTDLVKAYIS >Vigun09g186800.1.v1.2 pep primary_assembly:ASM411807v1:9:36026073:36027382:1 gene:Vigun09g186800.v1.2 transcript:Vigun09g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDTSGGVSIAFPLGLALLIAVLFFFCSFFCCCLHWEKLRPLFPFSSGNISTHPHHTHPLTDITPPHKPGFPVLMMKQNCGESLPVLMPGDEVPKFIALACPCQPPTNERITIHVHKTAPNDFC >Vigun05g196500.1.v1.2 pep primary_assembly:ASM411807v1:5:37999645:38002260:-1 gene:Vigun05g196500.v1.2 transcript:Vigun05g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLITVFVFDLIAFGLAVAAEQRRSTAKIVEDSDGNYNHCVYNSDIATGYGVGAFLFLLVSQVLIMVASRCFCCGKPLSPGGSRACAIVLFIICWVFFIIAEICLLAGSVENAYHTKYRRNVFGNQELSCETVRKGVFAAGAAFVFFTAIVSEFYYVNYSSARERFQPYGGGETGVGMGTYK >Vigun08g093000.1.v1.2 pep primary_assembly:ASM411807v1:8:21772023:21777403:1 gene:Vigun08g093000.v1.2 transcript:Vigun08g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREALACDVAGAASSPAPPVVVTGRSRSQGFPRRVTPATLPLAPVSGSAVERVLPNGDFYAGRFSGNVPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATYEGQFKSGRMEGFGTFVGSDGDTYRGSWISDRKHGFGQKRYANGDLYEGWWKRNVQDGHGRYVWKNGNEYVGEWKNGVINGKGALVWANGNRYEGQWENGVPKGHGVMKIQHRLLWGENFSVKRFSVDGRGSVNNDKSFPRICIWESEGEAGDITCDIIDNVEASMFYRDGTTSDSEDLRRNPCCFASEVKRPGETISKGHKNYDLMLNLQLGIRYSVGKEGSTLRELKQSDFDPKEKFWTRFPSEGSKITPPHQSAEFRWKDYCPVVFRHLRKLFQVDPADYMLAICGDDALRELSSPGKSGSIFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYQHVSRYENSLVTKFYGVHCVKPIGGQKIRFIVMGNLFCSEYPIHRRFDLKGSSHGRATDKPEEDIDETTTLKDLDLNFAFRVQRNWFQELIKQIELDCEFLEAEKIMDYSLLVGIHFRDDNTCDKMGLSPFLLRTGNRDTYQNEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERVARRSDFDQYTTVGISHLTPYRSGETYDVILYFGIIDILQDYDISKKIEHAYKSFQVDPSSISAVDPKLYSKRFRDFVGRIFIEER >Vigun03g086400.1.v1.2 pep primary_assembly:ASM411807v1:3:7158372:7165519:1 gene:Vigun03g086400.v1.2 transcript:Vigun03g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLYRSLLFPLFISLFLSSAFASESDHKYQRDEPVNLWVNKLGPYNNPQETYNYYSLPFCHPSSNATAAHKWGGLGEVLGGNELIDSQIDIKFQRNVDKTVFCQIDLNEAKVKQFKDAIENNYWFEFFMDDLPLWGYVGEIRPDKNGDGKHVLYTHKNIIVRYNNDQIIHVNLTQDIPKPLEVGKHLDMTYSVKWDSTNVTFGHRFDVYLDHPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRNLVILSAVVGTGAQLALLVLLVVLLAIVGMLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTIVIVFVIWAFISFPLALLGTVVGRNWSGALNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYMKTKMSGFFQTSFYFGYTLMFSLGLGILCGAVGFLGSNLFVRRIYRNIKCD >Vigun01g132800.2.v1.2 pep primary_assembly:ASM411807v1:1:31066749:31076577:1 gene:Vigun01g132800.v1.2 transcript:Vigun01g132800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSRLQSMLRAAVQSVQWTYTLFWQLCPQQGILTWGDGYYNGAIKTRKTVQAMEVSTEEASLQRSEQLRELYESLSAGEANSQTRRPCAALSPEDLTESEWFYLLCVSFSFHPGVGLPGTAYARRQHIWLTGANEVESKAFSRAILAKSAHIQTVVCIPVLDGVVELGTTDKVEEDLNFIQHIKSFFIEQHPPTAKPALSEHSTSNPTSSSYPVVVTATTAPPNNIPNQNDIVDKGKEVKLNSETAGLVVRSNTFIPTELMELDTLEGFRVGSPGDGSNHLDSFPTEASMALCSAGLELLQLQLPPQPPEHPPSENIAQGDTDTHYSQTVSSILQKNSGWWPDSPSVNHLTDSFQSAFNKWNSGTDEYRGQFHMTVADVTSQWLLKYILFSVPYLHANRVKGKGAPSYETSHVMAERRRREKLNERFLILRSMVPFVTRMDKASILGDTIEYIKQLREKIESLEARERHAGKRRVREVEVSIIEGDALLEVECVHREGLLLDVMTLLRELGVDVMMVQSWVRDDGVFVAEMRAKVKDNGNGEKASIVEVKNALNQIIPRHIPHHDPYTLPSNDHF >Vigun01g132800.1.v1.2 pep primary_assembly:ASM411807v1:1:31066746:31076578:1 gene:Vigun01g132800.v1.2 transcript:Vigun01g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSRLQSMLRAAVQSVQWTYTLFWQLCPQQGILTWGDGYYNGAIKTRKTVQAMEVSTEEASLQRSEQLRELYESLSAGEANSQTRRPCAALSPEDLTESEWFYLLCVSFSFHPGVGLPGTAYARRQHIWLTGANEVESKAFSRAILAKSAHIQTVVCIPVLDGVVELGTTDKVEEDLNFIQHIKSFFIEQHPPTAKPALSEHSTSNPTSSSYPVVVTATTAPPNNIPNQNDIVDKGKEVKLNSETAGLVVRSNTFIPTELMELDTLEGFRVGSPGDGSNHLDSFPTEASMALCSAGLELLQLQLPPQPPEHPPSENIAQGDTDTHYSQTVSSILQKNSGWWPDSPSVNHLTDSFQSAFNKWNSGTDEYRGQFHMTVADVTSQWLLKYILFSVPYLHANRVKGKGAPSYETSHVMAERRRREKLNERFLILRSMVPFVTRMDKASILGDTIEYIKQLREKIESLEARERHAGKRRVREVEVSIIEGDALLEVECVHREGLLLDVMTLLRELGVDVMMVQSWVRDDGVFVAEMRAKVKDNGNGEKASIVEVKNALNQIIPRHIPHHDPYTLPSNDHF >Vigun01g006900.1.v1.2 pep primary_assembly:ASM411807v1:1:830774:833243:1 gene:Vigun01g006900.v1.2 transcript:Vigun01g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAYFSNEMKTLVLVGRTGNGKSATGNNILGRKAFKSKISSSGVTSVCELQTTTIKDGPIINVIDTPGLFDGTNSAGKEIVKCIDLAKDGIHAIILVFSVRTRFSEEEQATFLTLQALFGHKIVDYMLVVFTGGDDLEANEETLDDYLDCNCPQPLQDILTLCGNRKVLFNNRTKDENERLEQVQQLLNLVDEVMLHNAGQPFTNELFKRLKEKATETEKAETLGIKMQLQKRYDDELKRMTDLIESKFNEEIAKLMKMLEEEKVARLKAEENYKSIQTSSNKEIQKLQWNLQEANKVRVCEPVRRRCVIM >Vigun01g180600.2.v1.2 pep primary_assembly:ASM411807v1:1:36153687:36171255:1 gene:Vigun01g180600.v1.2 transcript:Vigun01g180600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDPLMTPLGQMLLEEITPVVMLISTPSVEEASRKNGLSFLQMLTPFCSFDNIDVPVRTASDQPYRLHKFKLRLFYASDVKRPDLKEAKEQLKQVISEAGEKEFPDSNSDLPKINLELSSSSEYENTPSWFRFLNKELVRVASFSDHEAFDHPVICLLAVSSKDEQPINRFVDFFNTNKLPSLLNDGAMDPKVSKHYLLVHDNQDGPADRASKILTEMRSTFGTSDCTLLCINSSLDGPFKHQDNPWASYITNSSSSPTQDLGCFLKMDDIDEIKVLMQDLSSKHIIPNMEQKIRILNQQVSATRKGFRNQIKNLWWRKGKEDGADSLNGPAYNFNSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFILDQSRKEAEYCMENAFNTYLLNATRCGLWWIEMLKARDQYKEAATVYFRICGEDILHSAVMLEQASYCYLLSKPSMLRKYGFHLVLSGEQYKKCDQIKHAIRTYRCALSVFKGTTWSYINDHVHFHIGQWYASLGMYDVAVKHMTEILYCSHQSKTTQELFLSDFLQIVQKTGRTFEVTKLQLPVINISTLRVIHEDHRTFGSPSAANIREGLWRSLEEEMLPSFSAAKTNWLELQSKLILKKHNQNVCVAGEAVKVTIEFKNPLQISIPISTVTLVCKYSSSTDEVISNENESSMEKDNKVDRFRNMSSDNSSFMVSEVDFLLGGGETTMIQLSATPKEEGTLEIIGVRWKLSGTIVGFYNFELGQPKKNILKRRTTKHHPNEKFKFMVIKSIPKLQGSIHPLPGKAYAGDLRQLVLELRNPSEFPVKNLKMKISHPRFLIIGKQENMMSEFPACLRKNTDSVQSVMHDNPNITTDTVFLFPEGTSVQGETPFLWPLWFRAAVPGDISLCMSIYYEIGDVSSIIKYRTLRLHYNVQVLPSLDVSFQICPFRSRLEEFLVRLDVVNKTSSESFQVCQLSSVGHQWEISLVQAPDSIFPSQSLMAGQAISCFYTLKKSRRLSTLGMTCLHPLSEVMQDWYHKSLRI >Vigun01g180600.1.v1.2 pep primary_assembly:ASM411807v1:1:36153687:36171255:1 gene:Vigun01g180600.v1.2 transcript:Vigun01g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDPLMTPLGQMLLEEITPVVMLISTPSVEEASRKNGLSFLQMLTPFCSFDNIDVPVRTASDQPYRLHKFKLRLFYASDVKRPDLKEAKEQLKQVISEAGEKEFPDSNSDLPKINLELSSSSEYENTPSWFRFLNKELVRVASFSDHEAFDHPVICLLAVSSKDEQPINRFVDFFNTNKLPSLLNDGAMDPKVSKHYLLVHDNQDGPADRASKILTEMRSTFGTSDCTLLCINSSLDGPFKHQDNPWASYITNSSSSPTQDLGCFLKMDDIDEIKVLMQDLSSKHIIPNMEQKIRILNQQVSATRKGFRNQIKNLWWRKGKEDGADSLNGPAYNFNSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFILDQSRKEAEYCMENAFNTYLKLGSLGQLNATRCGLWWIEMLKARDQYKEAATVYFRICGEDILHSAVMLEQASYCYLLSKPSMLRKYGFHLVLSGEQYKKCDQIKHAIRTYRCALSVFKGTTWSYINDHVHFHIGQWYASLGMYDVAVKHMTEILYCSHQSKTTQELFLSDFLQIVQKTGRTFEVTKLQLPVINISTLRVIHEDHRTFGSPSAANIREGLWRSLEEEMLPSFSAAKTNWLELQSKLILKKHNQNVCVAGEAVKVTIEFKNPLQISIPISTVTLVCKYSSSTDEVISNENESSMEKDNKVDRFRNMSSDNSSFMVSEVDFLLGGGETTMIQLSATPKEEGTLEIIGVRWKLSGTIVGFYNFELGQPKKNILKRRTTKHHPNEKFKFMVIKSIPKLQGSIHPLPGKAYAGDLRQLVLELRNPSEFPVKNLKMKISHPRFLIIGKQENMMSEFPACLRKNTDSVQSVMHDNPNITTDTVFLFPEGTSVQGETPFLWPLWFRAAVPGDISLCMSIYYEIGDVSSIIKYRTLRLHYNVQVLPSLDVSFQICPFRSRLEEFLVRLDVVNKTSSESFQVCQLSSVGHQWEISLVQAPDSIFPSQSLMAGQAISCFYTLKKSRRLSTLGMTCLHPLSEVMQDWYHKSLRI >Vigun07g246900.1.v1.2 pep primary_assembly:ASM411807v1:7:36715703:36719058:1 gene:Vigun07g246900.v1.2 transcript:Vigun07g246900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMPGFLCMFLILLTISHSYAQTSFLYNFCMNEKGNYTANSTYHNNLNTLLSNLSSDTDIDYGFYNFSHGQSSDRVNAIGLCRGDVKPDACRRCLNDSKVLLPKLCPNQKEAIGWYDSCMLRYSNRSLFNTMETSPSFYMWNLVNATDIDQFNQALRNLLDSLIGQATLGDSKRKFAAANVSGPAFQTIFGLMQCTPDLSQQDCTECLSGVIAEIPRCCDGKRGGRVVRPSCNFRYETYSFYDPTNIEIPPSPAPAAPAPAPAPKVSALPPSTTDTLSTEGKSKTYLTVIAIVVPIAFVILVILMCVYLRTRKSRKQIEVQAENEDEIRSAETLQLDLCTIMTATNNFSDANRLGEGGFGPVYKGKLSNGQEIAVKRLSENSLQGDIEFKSEVMLVAKLQHRNLVKLLGFCLERRERLLVYEFVPNKSLDFFIFDEVRRAELDWEKRHKIIRGIARGLLYLHEDSRLTIIHRDLKASNILLDAGMHPKISDFGMARLFEVDQTQDNTNRIVGTYGYMAPEYVFYGQFSVKSDVYSFGILVLEIVSGQKNSWVQRGENEGDLLTYIWQNWRNGTVSNIIDPTIADSSRNEIMRFIHIALLCVQEKVGDRPTMASIVLMLSSHSVSLPLPSQPAFSVPSRNFSVIQSEEYDPVASA >Vigun01g008200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:985658:986308:-1 gene:Vigun01g008200.v1.2 transcript:Vigun01g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQILTIVAFFILLAKPSTTSACENSTISTNFTQTKIEYSASNYGNTSTTQTFKNYIKTSCNSTTYPSICYSTLSPYAAKIGADPLKLCSVSLSMAYKAAKSASTNISKILKKNNMTRIAEQVVQDCFGNVKDSIGELKDSLDSLGNLDGADRKFQISNIKTWVSASITNDQTCSDGFDEMNVDSSLTAKIRTGVLDVARKTSNALYFINNNLY >Vigun02g198100.1.v1.2 pep primary_assembly:ASM411807v1:2:33322945:33325194:-1 gene:Vigun02g198100.v1.2 transcript:Vigun02g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLPYLSPSLSSVAAIVVLVLVSCGILIHECEGQTQQPKALPPAGETCDGIFITYDFLTRTKEFPKVKNVTAQSWAFSSTASVLNTGKEVVKAWKLFIEFQHDEILVSVGGGSLEEATEFPASVGNGTTFVGASQPDLDSAINTAQDLNQMQAIIELSGTMFGVKPPTVPMPKTIKLVNDGYKCPKPTTHKSSMYACCKKDPKAKKTPAKKTKFMARQKGDLLISYDVTQVFDTNYMVQVVIDNEHFLGRLDHWNLTWEWTRGEFIYSMKGAFTREIESLGCVNGEAGKYYGGLDFSKVLNCQKNPVVSDLPSEKYNDSELGKIPNCCKNGNLLPVLMDPSKSKAAFTMLVYKIPPDSNKTAIYPPEKWQITGILNPNYQCGLPRRVEPARFPDSRGLEAEVIAFASWQIVCNITKPTKRSTRCCVSFSSYYNDSVIPCNTCACGCDTTKTHKCNPKSPAMLLPPEALLVPHENRSTKTVAWAKMKHFTIPKRLPCGDNCGVSINWHVVSDYKGGWSARITLFNWMHSQFENWFTALQFKKTPRGYQAAYSFNATTIPTLNHTIFLQGFIGANYLIGLDNKSNPHVPGKQQSVISFTKKYSPNIEVAEGDGFPSKVIFNGEECSLPTRIPLKSGNQPNVVVDLAYQLLLFALAFTFSHVL >Vigun05g119300.2.v1.2 pep primary_assembly:ASM411807v1:5:12992890:12996840:1 gene:Vigun05g119300.v1.2 transcript:Vigun05g119300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPKPVKSFTPKKSSDTSISVSKVRVIVRVRPFLAHETSTKNGDPVSCISVLDQESEFPQEEVAVYLKDPDTSRNECYQLDSFFGQEDDNVGQIFCREVSPLIPGMFGGSNATVFAYGATGSGKTYTMQGTEEQPGLMPLAMSMILSICQSTGSTAQISYYEVYMDRCYDLLELKANEISVWDDKDGQIHLRGLSQVPINTMSEFQDVFSCGVQRRKVAHTALNDVSSRSHGVLVISVSTPSADGTGTVVCGKLNLIDLAGNEDNRRTCNEGIRLQESVKINQSLFALSNVIYALNNNKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVYTVSLAARSRHVTNFVTSAHKQETPKVKVDMETKLRAWLESKGKTKSTQRLGPFNSPLLKKNPVSIVTPAKRSITFNSSVKKGGRTAINFDTKRTNESAFAVAFGNLLDVEASIDSCMENAPSAVKDNDNKETEHDANKAAWESYKNLPAEPSRKGVNSNNESKDAAQSPLRKALSPININAIQEPLEALSFTQTPFLTTCSNKGIQKDATPLGKFSTRSSTLKNCLVQEYLDFLNNASREELLELKGIGEKMAEYIIDLREETPLKSLNDLEKIGLSNKQAHNLFTKAANKLFEE >Vigun05g119300.1.v1.2 pep primary_assembly:ASM411807v1:5:12992890:12996841:1 gene:Vigun05g119300.v1.2 transcript:Vigun05g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPKPVKSFTPKKSSDTSISVSKVRVIVRVRPFLAHETSTKNGDPVSCISVLDQESEFPQEEVAVYLKDPDTSRNECYQLDSFFGQEDDNVGQIFCREVSPLIPGMFGGSNATVFAYGATGSGKTYTMQGTEEQPGLMPLAMSMILSICQSTGSTAQISYYEVYMDRCYDLLELKANEISVWDDKDGQIHLRGLSQVPINTMSEFQDVFSCGVQRRKVAHTALNDVSSRSHGVLVISVSTPSADGTGTVVCGKLNLIDLAGNEDNRRTCNEGIRLQESVKINQSLFALSNVIYALNNNKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVYTVSLAARSRHVTNFVTSAHKQETPKVKVDMETKLRAWLESKGKTKSTQRLGPFNSPLLKKNPVSIVTPAKRSITFNSSVKKGGRTAINFDTKRTNESSAFAVAFGNLLDVEASIDSCMENAPSAVKDNDNKETEHDANKAAWESYKNLPAEPSRKGVNSNNESKDAAQSPLRKALSPININAIQEPLEALSFTQTPFLTTCSNKGIQKDATPLGKFSTRSSTLKNCLVQEYLDFLNNASREELLELKGIGEKMAEYIIDLREETPLKSLNDLEKIGLSNKQAHNLFTKAANKLFEE >Vigun01g170400.1.v1.2 pep primary_assembly:ASM411807v1:1:35229917:35233694:-1 gene:Vigun01g170400.v1.2 transcript:Vigun01g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDHHHHHHHQHHEQHHHHQQQYAITDLRQLMNGPRSTHFPTMPPQPKPDLFPAGHPNLAPSQHFEMMMFGRQVADIMPRCLHDFASTDSATNNNNTSIAAATPTTTTSASTPPLSCLEGETAGCIGGDASTGRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGENSNQTSVPETNFGSGSLRFHSNNHNPSQTNQEMFHSQKHCDSLSLTNSTDLDTSSSDDNDQNSTGGLKDNDSTEKRRKRLSGRSWKVKIKDFIDSQMRKLVEKQEEWLDKLTKTLEQKEKERVLREEEWRRQEAVRLEREHKFWAKERAWIEARDAALMEALQKLTGNEMIKSTQSPEGVMVTGIQNHSENLNEDGSEILNSTTARGAESWPESEITRLQQLRAEMETRYMQSGCSEEFMWEEIATKMACFGYERSALVFKEKWESTRNYARNAKDGNKKRKEDSRGCFYFDNSEQSSLYNQGGTYCDINDQRHERRLQNDGSSPSNSNVAGDNCFPFLMTEGANLWENYSLKVNKASQNQ >Vigun10g082300.1.v1.2 pep primary_assembly:ASM411807v1:10:23255465:23264339:-1 gene:Vigun10g082300.v1.2 transcript:Vigun10g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQMEEIRKKLADLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDSPDRDEETGRIQYLAEIAKFLGITTTVDTEAIQGHGSYEDRTEMLRLIVDLVEATICADNPEWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDVSELESKFSEQSKILLNLQQKVDDLASKHAYHPDEEYTEVEAQLRAHLESFLETARTFNLIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAFGSSETSDGPSSVTRIISECESALTVLNHDLGILSASIAREQGEKMNI >Vigun10g082300.2.v1.2 pep primary_assembly:ASM411807v1:10:23255465:23264339:-1 gene:Vigun10g082300.v1.2 transcript:Vigun10g082300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQMEEIRKKLADLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDSPDRDEETGRIQYTEAIQGHGSYEDRTEMLRLIVDLVEATICADNPEWSVDEQVAKDIQLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDVSELESKFSEQSKILLNLQQKVDDLASKHAYHPDEEYTEVEAQLRAHLESFLETARTFNLIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAFGSSETSDGPSSVTRIISECESALTVLNHDLGILSASIAREQGEKMNI >Vigun07g043300.1.v1.2 pep primary_assembly:ASM411807v1:7:4309524:4352135:1 gene:Vigun07g043300.v1.2 transcript:Vigun07g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQLEAMCERLYNSQDSVERAQAESTLKCFSLNSDYISQCQYVLDNASSPYALMLASSSLLKQVTEQSLPLQLRIDIRNYLINYLASKGPELEPFVLGSLIQLFCRITKFGWLDDDKFREVVKEAMNFLSQQAQHHYAIGLRILYQLVSEINQHNPGLPAARQRRIASSFRDHSLFQIFRISLTSLLQLKSDVGSKLQELSLMLSLSCLSFDFMGTSYDESSDEIGTVQVPSAWKPTLEDSSTLQIFFDYYALNQFFSKEALECLLRLASTRRSLFPNDTARMKFLSHLMQGTKEILQTGIGLSDHDNYHGFCRLLGRFKLNYQLSELVNAEGYSEWIHLVAEFTLKSLHSWKWAGSSVYYLLNLWSRSVASVRYLKGDKPNLLDEYVPKVIEGFVSSRFDSLQSELSDELGENPLDNVEVLQDQLEFFPFLCRFQYESCSSYLMKIVEPIMKNYMKEANLQSQVDSFELSVTESKLAWFTHIVASILRTKQITGSSEESHEILDAEISACVLQLINISDSGFHSKRYGDVSKQRLDRAILTFFQHFRKCYIGDQSVSSSKLYTRLSELLGLHDHLLLLNVIVGKMITNLKCYTKCKEVIDHTLNLFLEMTSGYMSGKLLLKLDSVKHMISNKNRELFPFIENWECFRSRTTLYYTIGMLIFLEDNPVKFKSTMEPFSQVLARLESTPDVLFQSDAVKFAFIGLMRDLRGIALATNSRRTYGFLFDWLYPAHMPLLLKGISHYADIPEVTTPLLKLVAELVLNKSQRLNFEYSSPNGILLFREVSKLIVAYGSRILPLPNKADMYASKYKGMSLCLIILTRAISGSFVNFGIFELYGDRALVDALDIIVKMILSIPLADIFAYRKVAAAYFAFLDSLFSCHLSFVLSLDKTTFMLVVGSLESGLKDLSDKISSQCAYAIDNLATFYFTHVIVGELTASPSALNVSGLISDCAELFSRILRTLFEVVIFEDRGNQWTLSRAILSIMLISEEMFTNVKAQILASYPPDQHQRLSLCFDKLMTDVTLSLDGKNRDKFSQNLTKFKTEFCAK >Vigun08g030900.2.v1.2 pep primary_assembly:ASM411807v1:8:2831047:2833753:1 gene:Vigun08g030900.v1.2 transcript:Vigun08g030900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVAKPEKNLEEKPGAAKVSSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDHEKSENNGKINGEEVAAEA >Vigun08g030900.1.v1.2 pep primary_assembly:ASM411807v1:8:2830829:2833770:1 gene:Vigun08g030900.v1.2 transcript:Vigun08g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVAKPEKNLEEKPGAAKVSSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDHEKSENNGKINGEEVAAEA >Vigun08g030900.3.v1.2 pep primary_assembly:ASM411807v1:8:2831555:2833658:1 gene:Vigun08g030900.v1.2 transcript:Vigun08g030900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVAKPEKNLEEKPGAAKVSSMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGDHEKSENNGKINGEEVAAEA >Vigun09g170400.1.v1.2 pep primary_assembly:ASM411807v1:9:33976043:33980640:1 gene:Vigun09g170400.v1.2 transcript:Vigun09g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEVVQEGRSKTPDAAAEREIYRVKAGNRRVTPSEEESEVSSRRVEKRLPSGDVYMGSFSGNAPSGSGKYLWRDGCMYEGEWKKGKACGKGKFSWPSGATYEGEFKSGRMEGFGTFTGSEGETYCGSWSSDRKQGYGQKRYANGDFYEGLWKRNVQEGEGRYVWRNGNEYYGEWRNGVICGRGTLIWANGNRYEGQWENGVPKGQGVFTWPDGSCYVGCWNKDLKLHQLDGTFYPGSGDNLTVTMRKRSSVDSSRASGVKSFPRICIWESEGEAGDITCDIIDNVSLLYRDGRESGSDRGLVKPFRRNPCCFSGEVKRPGQTISKGHKNYDLMLKLQLGIRYSVGKEASISRGLKSSDFEPKEKFWTRFPSEGSKITPPHQSAEFRWKDYCPMVFRQLRKLFQVDPADYMLAICGDDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYQHVSKYENSLVTKFYGVHCVKPIGGQKTRFIVMGNLFCSEYPIHRRFDLKGSSHGRTTDKTKEEIDETTTLKDLDLNFVFRLQKSWFQDLIKQIERDCEFLEAEGIMDYSLLVGLHFRDDNTYEKMGLSPFLLRTGKWEDSYQSEKFMRGYRFLEAELQDRDWVKSGRRSLIRLGANMPVRAERMGRRSDFDQYTPCCSGETYDVVLYFGIIDILQDYDISKKLEHAYKSLQVDPSSISAVDPKLYSKRFRDFVGRIFIEDR >Vigun09g170400.2.v1.2 pep primary_assembly:ASM411807v1:9:33976043:33980640:1 gene:Vigun09g170400.v1.2 transcript:Vigun09g170400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEVVQEGRSKTPDAAAEREIYRVKAGNRRVTPSEEESEVSSRRVEKRLPSGDVYMGSFSGNAPSGSGKYLWRDGCMYEGEWKKGKACGKGKFSWPSGATYEGEFKSGRMEGFGTFTGSEGETYCGSWSSDRKQGYGQKRYANGDFYEGLWKRNVQEGEGRYVWRNGNEYYGEWRNGVICGRGTLIWANGNRYEGQWENGVPKGQGVFTWPDGSCYVGCWNKDLKLHQLDGTFYPGSGDNLTVTMRKRSSVDSSRASGVKSFPRICIWESEGEAGDITCDIIDNVSLLYRDGRESGSDRGLVKPFRRNPCCFSGEVKRPGQTISKGHKNYDLMLKLQLGIRYSVGKEASISRGLKSSDFEPKEKFWTRFPSEGSKITPPHQSAEFRWKDYCPMVFRQLRKLFQVDPADYMLAICGDDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYQHVSKYENSLVTKFYGVHCVKPIGGQKTRFIVMGNLFCSEYPIHRRFDLKGSSHGRTTDKTKEEIDETTTLKDLDLNFVFRLQKSWFQDLIKQIERDCEFLEAEGIMDYSLLVGLHFRDDNTYEKMGLSPFLLRTGKWEDSYQSEKFMRGYRFLEAELQDRDWVKSGDH >Vigun10g163200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38219532:38221859:1 gene:Vigun10g163200.v1.2 transcript:Vigun10g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GFFSHLSPLSSIVPLSYTSVCSCFCLILIGRSTNLHSPRGAIAEAHAFLHTPPLVHFHPPLPPYLPQPATLLYTYSAAAAGFSVRLTPSQLSHLRSHPAVLAVEPDQVRHPHTTHTPRFLGLADSFGLWPNSDYADDVIIGILDTGIWPELKSFNDDNLSPVPSTWKGSCEASRDFPASSCNKKIIGAKAFYKGYEAYLDGPIDESEESKSPRDTEGHGSHTSSTAAGAVVSNASLFHYAQGEARGMATKARVAAYKICWQYGCFDSDILAAMDEAVADGVHVISLSVGASGYLPQYFRDSIALGAFGAARHNVLVSCSAGNSGPGPSTAVNIAPWILTVGASTIDRDFPATVVLGDGRVFRGVSLYYGESLPDFKLPLIYARDAGNRYCYMGSLQPSKVQGKIVVCDRGGNARVEKGSAVKLAGGLGMILTNPPENGEELLADAHLLAATMVGQIAGDAIKEYIRSSQYPTATIEFKGTVIGGSPSAPQVASFSSRGPNRLTPEILKPDVIAPGVNILAGWTGKVGPTDLDIDPRRVEFNIISGTSMSCPHVSGIAALLRKAYPEWSPAAVKSALITTAYTVDNSGENIKDLGTGKDSNPFVHGAGHVDPNRALNPGLVYDSDIDDYLAFLCSIGYDAYQIAVFTRDPAANVCEEKEGKFASPGDLNYPSFAVQLGEGNNLVKYKRVVTNVGSVVDAVYTVKVNAPPGIAVTVSPTTLVFSAENKTQAFEVAFSRITSQVSATFGSIEWSDGSHVVRSPIAVTWRLNGDSSSSF >Vigun11g139000.12.v1.2 pep primary_assembly:ASM411807v1:11:34815145:34821199:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQR >Vigun11g139000.10.v1.2 pep primary_assembly:ASM411807v1:11:34811461:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQRLLSSCAGLGAIELGLRVHV >Vigun11g139000.5.v1.2 pep primary_assembly:ASM411807v1:11:34811461:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRLLSSCAGLGAIELGLRVHV >Vigun11g139000.9.v1.2 pep primary_assembly:ASM411807v1:11:34814233:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQRLLSSCAGLGAIELGLRVHV >Vigun11g139000.3.v1.2 pep primary_assembly:ASM411807v1:11:34811461:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQRLLSSCAGLGAIELGLRVHV >Vigun11g139000.4.v1.2 pep primary_assembly:ASM411807v1:11:34811461:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRLLSSCAGLGAIELGLRVHV >Vigun11g139000.6.v1.2 pep primary_assembly:ASM411807v1:11:34814235:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRLLSSCAGLGAIELGLRVHV >Vigun11g139000.11.v1.2 pep primary_assembly:ASM411807v1:11:34814233:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQRLLSSCAGLGAIELGLRVHV >Vigun11g139000.2.v1.2 pep primary_assembly:ASM411807v1:11:34815145:34821199:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRYRRNLDLFHRSRSEPVAVPEPQCSYSSLLVEKNERKAKLRTRSLSIPALGKHRPAMNDPILSGAPQR >Vigun11g139000.7.v1.2 pep primary_assembly:ASM411807v1:11:34814235:34821113:-1 gene:Vigun11g139000.v1.2 transcript:Vigun11g139000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGKILYIVVVDDAQKEESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELTRKANSTDILQPEIEALSGFDAFKGNSSKKEGQGADAGLGIADIRNQLLSGKDYKFKSVPFELYKRRTSAFIRRENFLDIVCDALSEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVVSTDSIRHMMRSFANEKENPLLWASTYHAGECLDPVAVAAAKARRKAKKLAGVSRSLHKDEATEGNNSSKSDNRMSETNSAPKELLSPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVHITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRAEKHFVPKINNTNVDKSVAAIHATVFSCLRKREMGEQLYDPVRNTVSVVYEEYRNQCSANALTAKGMLQLIQRQGSSRNLMALVNTDGSVARAWPVNLVDSNGRPVWCHGPENGICHPMYGPLRIGKAEPVNLQFGLYGISAWPSDGGTSRAGSVDESRADGTDTGSRYVSSCCSSPRMSDVASKELKEDFSVHGSDEEIDDQPEVGSDEDFSDDADKHGHEEVGSVDEESTKSDEEYDDLAMQDVVENGYWSDDDDEFRSKVGLVGGELGSKVHGGNRLLSSCAGLGAIELGLRVHV >Vigun11g036300.1.v1.2 pep primary_assembly:ASM411807v1:11:4884171:4884913:-1 gene:Vigun11g036300.v1.2 transcript:Vigun11g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLFICLTCMSYIVVSSHIWTLQGFGDFEDGFMLEWGKKLTLGTNYFTDPMGNVMNLEFEEDLIRKGIFRIPPYFEEFYELRHLHYVCTVFCGDIYFRLRIFDLQWTEIEYRGLGDSYIVEEDLVWSRFLSSFRVLLTPKEGTVYNLLGCLFSHILGEENHLWPGDGVRRSLF >Vigun01g234900.1.v1.2 pep primary_assembly:ASM411807v1:1:40648333:40651302:1 gene:Vigun01g234900.v1.2 transcript:Vigun01g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMANALHNAAMTCGFPSLSTLRAMHKGKHIELKRMAVPIKAKSNSDFDDSGVDISLSHRVDAVKLSKTLAICDHATALSQSGVPVIRLFFGESDFDTPAVIAEAGMNAIRDGYTRYTPNAGTLELRQAICHKLKEENGIIHSPDQIVVSNGAKQSIVQAVLAVCSPGDEVIIPAPFYVSYPEMARLAHATPVILPTHILNDFLLDPKLLEDNLTERSRLLILCSPCNPTGSVYPEKLLEEIAQIVAKHPRLLVLSDENYEHIIYAPATHTSFASLPGMRDRTLTVNGLSKTFAMTGWRLGYIAGPKHFVAACGKIQSQFTSGASSISQKAAVAALGLGYAGGEAVSTMVKAYRERRDFLVESFRDMDGARICEPQGGFYVFIDLSSYYGRQAEGFGLIENSDSLCRYLLEKGQVALVPGSAFGDDSCIRISFAESLSTLSTAVDRIKNALISLSS >Vigun05g191700.1.v1.2 pep primary_assembly:ASM411807v1:5:37285614:37288664:1 gene:Vigun05g191700.v1.2 transcript:Vigun05g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSHGITRAALLEECDIEGRENRKWWNRVLDMEEAKHQLLFSLPMILTNLFYYLITLVSVMLVGHLGELQLAGATLANSWFSVTGVALMVGLSGALETLCGQGFGAKEYHMLGIYLQASCIISLIFSIIVSIIVFYTEPILVFLHQSHDIARTAGLYMRFFIPGLFAYSFLQNILRFLQTQSVVMPLVVLSALPLLVHIGIAYALVQGSGLSFTGAPVAASISLWISMLLLAFYVIYAQKFKHTWQGFSMDSFSYVLTNMKLALPSAAMVCLEYWAFEVLVFLAGLLPNSQITTSLVAICTNTEFIAYMITYGLSAAASTRVSNELGAGNPERAKHAMNVTLKLSLLLGFFFVLALGFGHNIWIQLFSDSSTIKEEFASVAPLLALSILLDSIQGVLSGVARGCGWQHLAVYINLATFYLIGLPISCFLGFKTNLQYKGLWIGLICGMVCQTVTLFVFTRRAKWSKLHLSHEDKDKDHPLLI >Vigun05g165900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27481786:27482815:-1 gene:Vigun05g165900.v1.2 transcript:Vigun05g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRTSVMSDRTQAPHHVQVHTTTQHYEAGVVPGPRYERAVVPPQFYESGGKTSSYTAERLPSASQILAVVVGLPVGGVLLLLGGLTLAGTLTGLAVAIPLFILFSPILVPATVVIGLAVAGFLTAGAFELTAMSSFSWILNCIRESQGPVQVNLAAAAKHQLADAAEYVGQKTKEVGQKTKEVGQDIQNKAQDAKEKSAKEAKDAKEIKRTTLIATTATD >VigunL052600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:28963:30207:-1 gene:VigunL052600.v1.2 transcript:VigunL052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSARPFDAQAFNANFNHHAAEFGAHREAIFDDLRARCPVSRTDAWDGFHILTRYEDIAAVAKDDVTFSSEPGITVPGLPADQMLRLPISIDPPRAFFYRNILARFFTVRWLKTLEPWMRDLVDDMVDGFIETGKADLQTQLGQPLTAKFIMHITGLPMERWYELSEPVIAAVGHGKDDPSGILRRGEASRILAAEIERQKTDPSGAPDEKVIPYLLSLEFEGKKLTDAELMGMVELLLDGGFDTTLAAMGNSFIYLSKNPDKRQQLIDDPELIPSAVDEFLRWVTPQQGLFRTAMVDTEISGVKIAKGDKVYLAWPAANHDPEAFPDPHEVRFDRGPIRHLTFGVGSHLCLGINVAKLEMRVAFETVLKRLPDFKVDESGVVRPPGLGIVYGVEHVPITFTPGRKRAAAAA >Vigun10g199700.1.v1.2 pep primary_assembly:ASM411807v1:10:41194157:41197814:-1 gene:Vigun10g199700.v1.2 transcript:Vigun10g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNLNLARLWLALLAVSTTSVHCKTSSEDVSALNVMYSSLNSPSKLSGWKSSGGDPCGDSWEGIKCSGSSVTEIKLSGMGLTGSMGYQLASLSSVTYFDLSNNNLKGEIPYQLPPKARNIDLSKNGFSGSMPYSFSQMNGLTSLNLAHNQLQNQLGDIFGKLGKLKQLDVSFNSLSGDLPQSLKSLKSLKKLHLQNNQFTGSITVLAKLPLEDLNIENNKFTGSVPEELKKVNKLRTGGNSLSKGQGSPSRPGTPSTNQSMKKKEKSVISGVAIAGIVIGVLAVIIILLALCKRKSSKPSSHFIDEEKHSQGSSSFVTSQELPKDSGANVNKESKGFNSVDSTSIDVKTLQKSPSIGVRSSVSDCVQSFNDNEFANRMNARRSTSIRVTTFSLAELYSATANFTSARVLGEGSIGCIGRKKINPSLLHDAPSEEFSQIVSTLTKLHHPNIVELVGYCSEKEHVLIYDYFRNGSLHGYLHLADDFSNPLTWNTRIRIALGTARAIEYLHDICSPPLLHKNIKSSNILLDNDLNPRLSDNGFASFHQRTSQNLGTGYNAPECTKPSAFTQKSDVYSFGVVMLELLSGRMAFDSSKPKAEQCLVHWATPLLRDIDAVEKIVDPALRGLYPPKSLFRFADIIALSVQTEPEFRPPVSELVQGLTRLVQHSSMREDFE >Vigun09g047000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4456142:4456806:1 gene:Vigun09g047000.v1.2 transcript:Vigun09g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGVSGERKEEDRLSDLSDEVLQHISEFLPTRQAIQTCVISKRWKNLWKGFTTLTFRSFNEIDKYNIYVSQVLSNRDHSISLHKMHLTVFNSTASKVFINAINYASLHDLHKFTLVIDSEVKQIPNSFVELSYMKIK >Vigun02g082800.2.v1.2 pep primary_assembly:ASM411807v1:2:23629843:23632961:1 gene:Vigun02g082800.v1.2 transcript:Vigun02g082800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MWCLTIRYSSPTSAGAECIDPDCNWVEQWVHRAGPRGKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVTKIVGIPYGYRGFSDQELTEVPLSRRVVQNIHLSGGSLLGVSRGGPGVSEIVDSLEERGITMLFVLGGNGTHAGANAIHNECRKRRLKVSIIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRDSGFIAMHASLASGQIDICLIPEVPFNIHGPRGVLSYLKYLIETKGSAVVCVAEGAGQNLLRKTNATDASGNTVFRDIGVYIQQETKKHFKEIGVHADVKYIDPTYMIRACRANASDGIFCTVLAQNAVHGAFAGYSGITVGTCNTHYAYFPIPEVIALPKLVDSNSRMWHRCLTATGQPDFI >Vigun02g082800.1.v1.2 pep primary_assembly:ASM411807v1:2:23628443:23632961:1 gene:Vigun02g082800.v1.2 transcript:Vigun02g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MMASMSHVITLHGVAPSNRYCRRFSHSNPRFMTMAVPTRVATVKSQSCNSKSTAEIDFSDPDWNTKFQNDFEDRFRLPHVTDIFPDAPSMPSTFCPNSRTPRTNDFPGNYPLDENWHGYINDNDRVLLKTIRYSSPTSAGAECIDPDCNWVEQWVHRAGPRGKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVTKIVGIPYGYRGFSDQELTEVPLSRRVVQNIHLSGGSLLGVSRGGPGVSEIVDSLEERGITMLFVLGGNGTHAGANAIHNECRKRRLKVSIIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRDSGFIAMHASLASGQIDICLIPEVPFNIHGPRGVLSYLKYLIETKGSAVVCVAEGAGQNLLRKTNATDASGNTVFRDIGVYIQQETKKHFKEIGVHADVKYIDPTYMIRACRANASDGIFCTVLAQNAVHGAFAGYSGITVGTCNTHYAYFPIPEVIALPKLVDSNSRMWHRCLTATGQPDFI >Vigun01g063100.3.v1.2 pep primary_assembly:ASM411807v1:1:14883590:14894794:1 gene:Vigun01g063100.v1.2 transcript:Vigun01g063100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSYIHILKEKLQRFDLELKSVKLKKQLPRWDIVEDIEATIHCAEWLSMVGGSSTGEQRVRLIGWRERMTSSGLQCDVCVVHFIWIKGESGWIKSKVY >Vigun11g205200.1.v1.2 pep primary_assembly:ASM411807v1:11:40221900:40222524:-1 gene:Vigun11g205200.v1.2 transcript:Vigun11g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFHQHFLLGVLIVVLVLPSGLVAAKPLPTQSVGVEIDSSQNCNGLRCVLGTQLCRYCCKGETYKNGQRYKDGDCIPQEDIFVCKCRE >Vigun10g064200.1.v1.2 pep primary_assembly:ASM411807v1:10:14002720:14004365:1 gene:Vigun10g064200.v1.2 transcript:Vigun10g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQQTPVTAYPVDQSNLTAPPPPVGYPTKDGDSTQQTVPVKTTTRGDGFWKGCCAGLCCCCALDCCL >Vigun10g180300.2.v1.2 pep primary_assembly:ASM411807v1:10:39834776:39836427:1 gene:Vigun10g180300.v1.2 transcript:Vigun10g180300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGFFDNVAGNSTDSSTVTAVDSCNSSISGSDNRFAAAIVPRGYAGDPQFSGELCVPYDDMAELEWLSNFVEDSFSAEEELKTLQLLSGGAASTAIGAKPQTPESSSSTDTLPPFASDETARNAPFLHTETPLPGKARSKRSRAAPGDWSTRLLHLVAPEQERLPQTKASPVKKREGTNAECSGRKCLHCGAEKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSTKHSNSHRKVLELRRQKEMHRQQHQQLMSQSSIFGVSNGGDEFLIHHHHHQHCGPDFRHVI >Vigun10g180300.1.v1.2 pep primary_assembly:ASM411807v1:10:39834743:39836446:1 gene:Vigun10g180300.v1.2 transcript:Vigun10g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEYFVGGYFATGGADQFSQPEKRHADQKIGEPFAIDDLLDFSHADAIMSDGFFDNVAGNSTDSSTVTAVDSCNSSISGSDNRFAAAIVPRGYAGDPQFSGELCVPYDDMAELEWLSNFVEDSFSAEEELKTLQLLSGGAASTAIGAKPQTPESSSSTDTLPPFASDETARNAPFLHTETPLPGKARSKRSRAAPGDWSTRLLHLVAPEQERLPQTKASPVKKREGTNAECSGRKCLHCGAEKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSTKHSNSHRKVLELRRQKEMHRQQHQQLMSQSSIFGVSNGGDEFLIHHHHHQHCGPDFRHVI >Vigun04g008000.1.v1.2 pep primary_assembly:ASM411807v1:4:571433:578782:1 gene:Vigun04g008000.v1.2 transcript:Vigun04g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETETQKVEMRRKGRASIAIILRYADWIDVVLMLMGALGAIGDGMSTNILLLFASRIMNSLGYSNNNQQQSSTKTYMAEVEKCSLYFVYLGLAVMVVAFMEGYCWSKTSERQVLRIRYKYLEAVLRQEVGFFDSQEATTSEIINSISKDTSLIQEVLSEKVPLFLMHSSSFISGVAFATYFSWRLALVAFPTLLLLIIPGMIYGKYLIYLSKSSVKEYGKANSIVEQALSSVKTVYSFTAEKRISGRYSDILCRTSRLGIKQGIAKGLAVGSTGLSFAIWAFIAWYGSRLVMYKGESGGRIYASGISFIMCGLSLGVVLPDLKYFTEASVAASRIFDMIDRTPLIDGEDTKGLVLDHMSGKVEFEHVKFTYPSRPDMVVLSDFNLQVEAGKTVALVGASGSGKSTAIALMQRFYDADEGVVRVDGVDIKTLKLKWIRGKMGLVSQEHAMFGTSIKENIMFGKTDATMDEIVAAATAANAHNFIRQLPEGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESELLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVSGGRIIETGTHNELINRQNGHYANLAKLQTQLSMDDQDQNSELGALSAPRSSAGRPSTARSSPAIFPKSPLPAEATTTPSPVSHPPPSFTRLLSLNAPEWKQGLIGTLSAIAFGSVQPLYALTIGGMISAFFAKSHLEMMHRIRTYSLIFCSLSLASITLNLLQHYNFAYMGAMLTKRIRLRMLENILTFETAWFDEEQNSSGALCSRLSNEASMVKSLVADRLSLLVQTTSAVTIAMIIGLAVAWKLALVMIAVQPLTILCFYTRKVLLSTLSTKFVKAQNQSTQIAVEAVYNHRIVTSFGSIPKVLSLFDEAQEAPRKEARKKSWLAGIGMGSAQCLTFMSWALDFWYGGTLVEKREISAGDVFKTFFVLVSTGKVIADAGSMTSDLAKSSTAVASVFEILDRKSLIPKAGDNASGIKLEKMSGKIELKNVDFAYPSRAGTPILRKFCLEVKPGKSVGLVGKSGCGKSTVIGLIQRFYDVERGSVKVDNVDIRELDIHWYRQHTALVSQEPVIYSGSIRENILFGKQDATENEVVEAARAANAHEFISSLKEGYETECGERGVQLSGGQKQRIAIARAIIRNPKILLLDEATSALDVQSEQVVQEALDRTMVGRTTIVVAHRLNTIKELDSIAYVCEGKVLEQGTYAQLRHMRGAFFNLASHQIQT >Vigun08g117800.1.v1.2 pep primary_assembly:ASM411807v1:8:28517729:28520748:1 gene:Vigun08g117800.v1.2 transcript:Vigun08g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIMWIRLILLLSACMLPLSVEAMVRHYKFNVVVKNVTRLCSTKPIVTVNGKFPGPTIYAREDDTVLVKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPGHEFVYNFTLTGQRGTLWWHAHILWLRSTLHGALVILPKLGVPYPFPKPNAEKVILLSEWWKSDTEAIIKEALKSGLAPNVSDAHTINGHPGSVQQCASQGGYKLQVHAGNTYLLRIINAALNEELFFKIAGHELTVVEVDAVYTKPLKTDTIVIAPGQTTNVLLKANRATGKYLVAATPFMDSPIAVDNVTATATLHYAGSLSSTITTLTSLPPKNATPVATTFTDSLRSLNSKNYPARVPTKVDHSLFFTVSLGVNPCPTCVNGSRVVAAINNVTFVMPKVSLLQAHFFNISGVFTDDFPRKPSVVYDFTGTQQPANLRTNRGTRLYRLAYNSTVQLVLQDTGMITPENHPIHLHGFNFFVVGRGQGNFNPKRDTKKFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGEGPNESLLPPPSDLPKC >Vigun03g312700.2.v1.2 pep primary_assembly:ASM411807v1:3:50551035:50552285:-1 gene:Vigun03g312700.v1.2 transcript:Vigun03g312700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVNRELDLLPPSRSDSSLLRLRSAVSSSSTTDCGGAPSLDLQLSISVRPPAAMLMCDGVEALKWQAAEQIRLAALEKAYAERMRELTRREMEMAQSEFARARQMWERAREEVERAERIKERATRQVDSTCMEITCHSCRQRQHN >Vigun03g312700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50550572:50552367:-1 gene:Vigun03g312700.v1.2 transcript:Vigun03g312700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVNRELDLLPPSRSDSSLLRLRSAVSSSSTTDCGGAPSLDLQLSISVRPPAAMLMCDGVEALKWQAAEQIRLAALEKAYAERMRELTRREMEMAQSEFARARQMWERAREEVERAERIKERATRQVDSTCMEITCHSCRQRFRPA >Vigun11g098500.1.v1.2 pep primary_assembly:ASM411807v1:11:29007964:29014268:1 gene:Vigun11g098500.v1.2 transcript:Vigun11g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEIGEERSLEETSTWAVSVFCFFFLLISLIIEASLHKLSQILRKRKGKSLGRALTKTKTEMMKFGFMSFLLTMSEVPISKICINKDVATYFLPCKDAAELTGLSATHTSTSEFDVAPATNESEDEINYCEAKGMVSLISSDGILQLNIFISFLAVFHILFCTLTMCLGKAKMRRWKRWEEETRTLEYQIANDPRRFQYTGQTMIGKRHLNFWSYHSPLLWMVCFIRQFYGSVSKDDYYTLRNGFIAANISLGTNFNFKKFLSRTYDEDFEKVMGIRIWIWIFSILFIFFSAHEFYNYYWLPFIPLMVALLAGTKLQVIITKMCVDSCKANPVIKGSLLVTPSDAHFWFHRPEWLLHLLKFILIQNSFQLAFFTWTWYEFGPRSCFNRENEDIGIRIGMGIAVQLLCGYVTLPLFALVTQMGSSMRREIFTESVSRGLKNWHKRAKQSLHNNNSTSRKHSDSLHVGDNSARGTMHSLNTPDNVVVTNNPNEGKNIAPTNNEQNVPSPSISEITATEEENSKIIITRGTYDGEISFGSSWKNVGSSRGIGEIGSITEEDDAQKLPEFVP >Vigun02g076800.2.v1.2 pep primary_assembly:ASM411807v1:2:22917922:22921046:-1 gene:Vigun02g076800.v1.2 transcript:Vigun02g076800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQLTLLCLFILFSSLSVTFAGAADGDKNPFTPKAYAIRYWDKEIRSTLPKPPFILSKASPLSAVESAAFVKLAAQNALDQRLPEFCAAAKLLCFPEVGSSLEKHDKDANFAVYRDKNFTNYGTGRPGGVDSFKNYSEGENIPVNDFRRYSRDSAGHKDSFLSYSTEGNVVQQSFHTYAAGATGGTGDFKRYSDETNVPNLDFTSYSDDANGRTQSFNSYSENGNAGEQSFSSYGKNGNGPTNTFNSYGTGSNVVGSGFNNYAENANAPNDTFKGYGVDMNNPTNTFSNYAAGGNGAVEKFSNYRDRANVGADSFSSYAKSANAADIGFSTYGKSFNEGTDAFISYAKSSNGGTKVGFTSYGVNNTFKEYSKEGPTFSFSKYTNVSTTLSVSATAASGKMVNKWVEPGKFFREKMMKEGTVMPMPDIRDKMPERSFLPRSILSKLPFSVSKMDELKRVFRASDNGSMERMMRESLEECERAPSRGETKRCVGSLEDMIDFATSVLGRNVAVRSTENVKGSKKSVMVGPVTGINGGKVTQSVSCHQSLFPYLLYYCHAVPKVRLYQADLLDPKTKTKINHGIAICHLDTSDWSPTHGAFLSLGSAPGRIEVCHWIFQNDMAWTIAD >Vigun02g076800.4.v1.2 pep primary_assembly:ASM411807v1:2:22917917:22921254:-1 gene:Vigun02g076800.v1.2 transcript:Vigun02g076800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQLTLLCLFILFSSLSVTFAGAADGDKNPFTPKAYAIRYWDKEIRSTLPKPPFILSKASPLSAVESAAFVKLAAQNALDQRLPEFCAAAKLLCFPEVGSSLEKHDKDANFAVYRDKNFTNYGTGRPGGVDSFKNYSEGENIPVNDFRRYSRDSAGHKDSFLSYSTEGNVVQQSFHTYAAGATGGTGDFKRYSDETNVPNLDFTSYSDDANGRTQSFNSYSENGNAGEQSFSSYGKNGNGPTNTFNSYGTGSNVVGSGFNNYAENANAPNDTFKGYGVDMNNPTNTFSNYAAGGNGAVEKFSNYRDRANVGADSFSSYAKSANAADIGFSTYGKSFNEGTDAFISYAKSSNGGTKVGFTSYGVNNTFKEYSKEGPTFSFSKYTNVSTTLSVSATAASGKMVNKWVEPGKFFREKMMKEGTVMPMPDIRDKMPERSFLPRSILSKLPFSVSKMDELKRVFRASDNGSMERMMRESLEECERAPSRGETKRCVGSLEDMIDFATSVLGRNVAVRSTENVKGSKKSVMVGPVTGINGGKVTQSVSCHQSLFPYLLYYCHAVPKVRLYQADLLDPKTKTKINHGIAICHLDTSDWSPTHGAFLSLGSAPGRIEVCHWIFQNDMAWTIAD >Vigun02g076800.1.v1.2 pep primary_assembly:ASM411807v1:2:22917922:22920864:-1 gene:Vigun02g076800.v1.2 transcript:Vigun02g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQLTLLCLFILFSSLSVTFAGAADGDKNPFTPKAYAIRYWDKEIRSTLPKPPFILSKASPLSAVESAAFVKLAAQNALDQRLPEFCAAAKLLCFPEVGSSLEKHDKDANFAVYRDKNFTNYGTGRPGGVDSFKNYSEGENIPVNDFRRYSRDSAGHKDSFLSYSTEGNVVQQSFHTYAAGATGGTGDFKRYSDETNVPNLDFTSYSDDANGRTQSFNSYSENGNAGEQSFSSYGKNGNGPTNTFNSYGTGSNVVGSGFNNYAENANAPNDTFKGYGVDMNNPTNTFSNYAAGGNGAVEKFSNYRDRANVGADSFSSYAKSANAADIGFSTYGKSFNEGTDAFISYAKSSNGGTKVGFTSYGVNNTFKEYSKEGPTFSFSKYTNVSTTLSVSATAASGKMVNKWVEPGKFFREKMMKEGTVMPMPDIRDKMPERSFLPRSILSKLPFSVSKMDELKRVFRASDNGSMERMMRESLEECERAPSRGETKRCVGSLEDMIDFATSVLGRNVAVRSTENVKGSKKSVMVGPVTGINGGKVTQSVSCHQSLFPYLLYYCHAVPKVRLYQADLLDPKTKTKINHGIAICHLDTSDWSPTHGAFLSLGSAPGRIEVCHWIFQNDMAWTIAD >Vigun11g161700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36900628:36902188:1 gene:Vigun11g161700.v1.2 transcript:Vigun11g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALRFRSHLLRTASLLPSSLRRPPFQPSPPLLSAQRLLSTARRAAPRPRKSVDIGARARQLQTRRLWAYALTFSGLAGFVVIVLNNFQDQLVFYITPTDALEKYATNPSKARFRLGGLVLEGSVVYPASKPEVEFVITDLITDIVVRYEGSLPDLFREGHSVVVEGIVKPFTEEIKKEVSTNNVSEKARKLECYFCAAEVLAKHDEKYMPKEVAAAIEKNKKIIEEAAAAGVAAEAPKTT >Vigun04g022200.2.v1.2 pep primary_assembly:ASM411807v1:4:1697760:1701121:-1 gene:Vigun04g022200.v1.2 transcript:Vigun04g022200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKEEALVRLFYNVSSSFHLLFLLLFSSSLLLINFLNFVATFTIFQRDHHYEYVSSEYDEEEEEEEEIQERYSYEHDSGESDHLVADIIGGGETLLFVHNNRSLRSTHSSSDEFTSPCDSLEEELLEENYSTETLSFHKSPLLSDLENEEEVEELIFPAEDADSVPNSVPDETRPTLNLYKSDSPDSDKNCDDLAEIGLVENKKLQEERLTRDERFLVYDPTQLEAKKLIVQEKDDEEIYGDSCTVGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMSFQDRISAQKLQEIESLRSIKVSPRSISERIVFKFSSMNKKPNDTRHNPYHELEAAYVAQICSTWEALNWNYKNFQSKRASRGHDVDVGCPATVAQRLQQFQVLLQRYIENEPYEHGRRPEIYARVRHLAPKLLLVPEYRESEDDEREENGVQSKISSASFLMIMEDGIRTFMGFLKADKEKPCQIFAAYFRRNRRPLVDPTLLRLIKKVNQKKKMKVKDLRRSRKCLRKRKLKGEEEMEILMALIDLKVVSRVLRMSELSEEQLHWCEEKMSKVRVVEGKLERDSSPLFFPAH >Vigun04g022200.1.v1.2 pep primary_assembly:ASM411807v1:4:1697760:1701121:-1 gene:Vigun04g022200.v1.2 transcript:Vigun04g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKEEALVRLFYNVSSSFHLLFLLLFSSSLLLINFLNFVATFTIFQRDHHYEYVSSEYDEEEEEEEEIQERYSYEHDSGESDHLVADIIGGGETLLFVHNNRSLRSTHSSSDEFTSPCDSLEEELLEENYSTETLSFHKSPLLSDLENEEEVEELIFPAEDADSVPNSVPDETRPTLNLYKSDSPDSDKNCDEDLAEIGLVENKKLQEERLTRDERFLVYDPTQLEAKKLIVQEKDDEEIYGDSCTVGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMSFQDRISAQKLQEIESLRSIKVSPRSISERIVFKFSSMNKKPNDTRHNPYHELEAAYVAQICSTWEALNWNYKNFQSKRASRGHDVDVGCPATVAQRLQQFQVLLQRYIENEPYEHGRRPEIYARVRHLAPKLLLVPEYRESEDDEREENGVQSKISSASFLMIMEDGIRTFMGFLKADKEKPCQIFAAYFRRNRRPLVDPTLLRLIKKVNQKKKMKVKDLRRSRKCLRKRKLKGEEEMEILMALIDLKVVSRVLRMSELSEEQLHWCEEKMSKVRVVEGKLERDSSPLFFPAH >Vigun11g072400.1.v1.2 pep primary_assembly:ASM411807v1:11:20623611:20625796:1 gene:Vigun11g072400.v1.2 transcript:Vigun11g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIFNTPFFTLFVFFSLQLYLSFSSSPSIHDLLRSKGLPPGLLPEEVKSYTFSQNGHLEVFLDAPCLTKYENRVLFEQVVTANLTYGSLIGVEGLQQEELFVWLPVKDIIVNDPSSGLILFDIGLAHKQLSLSLFEDPPHCKPEGGLRNHVRKEKGLEVLR >Vigun10g094475.1.v1.2 pep primary_assembly:ASM411807v1:10:27240761:27241240:1 gene:Vigun10g094475.v1.2 transcript:Vigun10g094475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun06g132800.1.v1.2 pep primary_assembly:ASM411807v1:6:25885767:25888173:-1 gene:Vigun06g132800.v1.2 transcript:Vigun06g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGRVSTIVQSEDEMDLRRGPWTVDEDLALINYIANHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHGRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLVERIQAAAAAANTAAAAVGSPTASASATTTITTNNNITYNYNTNNNNLNNSFEVHSGSMMLSPAIMNNNFGGSQSYTPENSSTGASSDSFGTQVSPVSELTQDYYNNVTVGNSNSNSNNNNNPNPDYYQQAQDQLSFLDCITSPSGLFSQQLDFQSMEPNTPWIQSNGDTSNGFWNVENMLLFQQLADNM >Vigun04g154860.1.v1.2 pep primary_assembly:ASM411807v1:4:37489478:37492041:-1 gene:Vigun04g154860.v1.2 transcript:Vigun04g154860.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEQFLLRWEIFPICDWLSNLSKLKYLHLERVDQTVNWLHTLETLPSLTNLHLGLCTLPHYNQPSFLNSSLLTLDLSRTNYYPSISFVPEWVFGLKKLVSLTLSSNKFEGPIPNGFQNLTLLENLDLSGNSFSSIPDWFYGNFRHLMFLNLRDNKWHGTISDALGNMTSLVELDLSHNQLEGPIPTSLGNLCKLRKIDFSYLKLNQQVNKILDILTPCISHGLTTLQVRGSQLSGHFTDQFNDFKSIVTLHLQENKISGELPRSFGKLSSLRFLGLLQNQFSGHPFESLRSLSKLSYLDISDNHFEGVVTENHLANLTSLIEFRASGNNLTLTVDPSWHPTFQLTRLDMNSWQLGPNFPSWIQSQNKLRYLAMSNTGILGSFPTGFWETFSQVSFLNLSHNHIYGELGATLRNPISVIVVDLSANNLSGKLPFLSNDVVSLDLSSNYFSQSMDDFLCKSQDWSMELSFLNLASNNLLGEIPDCWGIWPHLVDINLQSNSFIGNLPPSIGSFMGLNALSLRNNSLSGTFPNILKNTSELNLLDLGENNFSGTIPSWVGERLIYMKVLILRSNRFLGYIPQKICDMSSLQILDLAQNNLIGNIPTCFNSLKAMTHMNTNPLIQYFAVTNTSEYSYYSTAKVLLWLKGRGDEYRNILGLVTSIDLSNNQLVGEIPREITNLIGLEFLNLSHNQLIGHIPQSIGDMRSLLSIDFSRNQLFGEIPRTLSNLNFLSMLDLSYNHLKGKIPTGTQLQTFAASNFIGNNLCGPPLLINCTSNATTDNFDHNGKGSDRHKVNWFFISMTIGFVMGFWIVIGPLFISRSWRHTYFHFLDNVWFKLQSIF >Vigun11g193500.1.v1.2 pep primary_assembly:ASM411807v1:11:39263293:39266331:-1 gene:Vigun11g193500.v1.2 transcript:Vigun11g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEIMAEGEVLKFDETTISAQRAARSGNWRMFKKILEKDTKRLVEPFDLFGNTAIHIATRSNNPGLLRELLEMLSEKERWHALRKGNCVNNTLLHEIIFCTTMEMAGVVLKFQNEAAPEEISEEIPEEKRRVPLLEIVNDSGETPLFRAAKLGRLKMLKYMAKHAEGDIRRLFVRFDKYSILHASILGQFFDVAIWLLKMDEKLAQHKDMNGMTCLQLLSNMPLVFRSQAPTMGTLKNLIYSMLPEEGYEIHDDDDENGSADYTRQRNDIESGQQEKNNFPSSVLSRINYAVWRTLAKEFDGIGRIWQLKKQHKLVEHLSELLVEKDFSWQISFHENFQPLIVLPVLSSKSDRIKHLHYTKEMHKTNSEMSRSRSYRPITTATSLPHSQNYTPLLMAAGSGIVEIVGKIIDRFPEAICHVSQDEHNVLHMAVKHRQLKIFNMLRKHSAFKSLIFRITAEGRTLLHQISRMEFYVEQHLPGVAFQLQDELRWYERVKDIVPTYYLMHCDKDGLTAEDVLEMEHREMHKEAKGWIKETAQSCSTVAVLVATVVFAAAYTIPGGTNQNNGTPLFLGSRVFLFYTVTDVVALVSSLASVVMFLSILTSPFELWDFRRSLPQKLSLGFASLFFSLVCTMLTFSATVLLTIRLENHQKWASVLFCCAVFFPVAFFWRMQFPLYKMIRHLAKRLFKTLRQVVPTTFVKYSRKRAQTRNYNVIND >Vigun11g193500.2.v1.2 pep primary_assembly:ASM411807v1:11:39263292:39266332:-1 gene:Vigun11g193500.v1.2 transcript:Vigun11g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEIMAEGEVLKFDETTISAQRAARSGNWRMFKKILEKDTKRLVEPFDLFGNTAIHIATRSNNPGLLRELLEMLSEKERWHALRKGNCVNNTLLHEIIFCTTMEMAGVVLKFQNEAAPEEISEEIPEEKRRVPLLEIVNDSGETPLFRAAKLGRLKMLKYMAKHAEGDIRRLFVRFDKYSILHASILGQFFDVAIWLLKMDEKLAQHKDMNGMTCLQLLSNMPLVFRSQAPTMGTLKNLIYSMLPEEGYEIHDDDDENGSADYTRQRNDIESGQQEKNNFPSSVLSRINYAVWRTLAKEFDGIGRIWQLKKQHKLVEHLSELLVEKDFSWQISFHENFQPLIVLPVLSSKSDRIKHLHYTKEMHKTNSEMSRSRSYRPITTATSLPHSQNYTPLLMAAGSGIVEIVGKIIDRFPEAICHVSQDEHNVLHMAVKHRQLKIFNMLRKHSAFKSLIFRITAEGRTLLHQISRMEFYVEQHLPGVAFQLQDELRWYECAASERHCSNILPDAL >Vigun06g075700.2.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCSFKDSLKALEADIQYANTLALDHPRERDGGCFQMRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun06g075700.6.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun06g075700.5.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun06g075700.4.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun06g075700.1.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSECYSVFLEVVKWDLEAGIFLKKRALDHPRERDGGCFQMRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun06g075700.3.v1.2 pep primary_assembly:ASM411807v1:6:20613482:20616472:-1 gene:Vigun06g075700.v1.2 transcript:Vigun06g075700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYSPAAPIFLFLVQWADYRLAGALGLLRVLIYVTYGNGNNTMSIYERKASIRQFYTVIFPALLQLEKGITDLEERKQKEVALRYKGKSGFTERTLSDIDIEREEECGVCLEVKAKVVLPDCCHHMCLQCYRDWCQRSQSCPFCRNSLKRINSGDLWIYTDMNDVVDIGTISRENCKRLFLYIEKLPLIVPDPRYVFYDPFLR >Vigun03g361300.1.v1.2 pep primary_assembly:ASM411807v1:3:56467068:56476304:1 gene:Vigun03g361300.v1.2 transcript:Vigun03g361300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGVVVGIVVGLGIIVGFVRCENARAAQRSQLATTIAAFARMTVEDSRKILPPQFYPSWVVFSSSQKLTWLNSHLTKIWPYVNEAASELIKTSVEPILEQYRPVILDSLKFSKFTLGTVAPQFTGVSIIEDGGDGVTMELEMQWDGNPSIILDIKTLLGVALPVQVKNIGFTGVFRLIFKPLVDEFPGFGAISYSLRQKKKLDFTLKVIGGDISAIPGLYDAIEGAIRDAVEDSITWPVRKVVPILPGDYSDLELKPVGILEVKLVQAKELTNKDIIGKSDPYAVVYIRPLRDRMKKSKTINNDLNPIWNEHFEFIVEDVSTQHLIVKVYDSEGLQSSELIGCAQLGLAELQPGKVKDVWLKLVKDLEIQRDNKNRGQVHLELLYCPFGMENSFTNPFAPNYSMTSLEKVLKNATNGIESNANENAATPKKKEVIIRGVLSVTVISAEDLPATDFLGKSDPFVVLTLKKAETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLIVEVWDHDTFGKDYMGRCILTLTRVILEGEYKERFELDGAKSGYLNLHLKWMPQPIFRDA >Vigun07g025300.2.v1.2 pep primary_assembly:ASM411807v1:7:2272193:2276565:-1 gene:Vigun07g025300.v1.2 transcript:Vigun07g025300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARIVSACLRPVRRYARMSKDDFDDAAAAGDVLVWHRDLEKHSCGEFSFAVVQANEVIEDQSQVETGADAVFVGIYDGHGGAEASRFINDHLFHNLMRVAQENGSITEDIIRNAVSATEDGFLTLVRRSYGIKPLIAAMGSCCLVGVIWKGTLYIANLGDSRAVIGSVGRSNKIIAEQLTKEHNASKEEVRRELRSLHPEDSQIVVMKQGTWRIKGIIQVFFSRSKEISVNDGLCCCCCSYCSVFASLSVCNIISPSVYKLLWLQWNQFSSS >Vigun07g025300.1.v1.2 pep primary_assembly:ASM411807v1:7:2272193:2276565:-1 gene:Vigun07g025300.v1.2 transcript:Vigun07g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARIVSACLRPVRRYARMSKDDFDDAAAAGDVLVWHRDLEKHSCGEFSFAVVQANEVIEDQSQVETGADAVFVGIYDGHGGAEASRFINDHLFHNLMRVAQENGSITEDIIRNAVSATEDGFLTLVRRSYGIKPLIAAMGSCCLVGVIWKGTLYIANLGDSRAVIGSVGRSNKIIAEQLTKEHNASKEEVRRELRSLHPEDSQIVVMKQGTWRIKGIIQVSRSIGDAYLKRPEFSFDPSFPRFHLPEPIRRPVLTAEPSICSRVLRPNDKFVIFASDGLWEHLTNQEAAEIVHNNPRTGIARRLLMAALNEAARKREMRYKDLQKIGKGIRRFFHDDITVVVVFIDHEMGGKKVTVPELSIKGFIDTVGPSNFRSLRGLE >Vigun08g051501.1.v1.2 pep primary_assembly:ASM411807v1:8:5990768:5991642:-1 gene:Vigun08g051501.v1.2 transcript:Vigun08g051501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWQRNCDSPFQPSMSAMVSSVPAPEPEQILFKGIMYCVKICIVELFLSHIY >Vigun03g285700.3.v1.2 pep primary_assembly:ASM411807v1:3:46715826:46718909:1 gene:Vigun03g285700.v1.2 transcript:Vigun03g285700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRRVVSSIDLKKKPWEQNPPLHNRWHPHIPHVATVNTGEVFRVEMVDWTGGAIRDDDSPLDVKSLDLSTVHYLSGPIRIVDDDGIPAKPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPCATKAIWYFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDIEENGVKSFKLSEVLHTRPLANLPSTKSCLLGKIEKGCSEWERIAKEAARTIPGRENGGNCDIKNLSRGAKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPSPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYISKFGYSKQQV >Vigun03g285700.1.v1.2 pep primary_assembly:ASM411807v1:3:46715826:46718909:1 gene:Vigun03g285700.v1.2 transcript:Vigun03g285700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRRVVSSIDLKKKPWEQNPPLHNRWHPHIPHVATVNTGEVFRVEMVDWTGGAIRDDDSPLDVKSLDLSTVHYLSGPIRIVDDDGIPAKPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPCATKAIWYFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDIEENGVKSFKLSEVLHTRPLANLPSTKSCLLGKIEKGCSEWERIAKEAARTIPGRENGGNCDIKNLSRGAKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPSPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYISKFGYSKQQVYLLLSCIPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLVRKPDVFKCTYDGNLPITKNPSATS >Vigun03g285700.2.v1.2 pep primary_assembly:ASM411807v1:3:46714521:46718909:1 gene:Vigun03g285700.v1.2 transcript:Vigun03g285700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGPRLVVAIDLKKKAWEQKTPLHNRWHPDIPPVAEVTAGEVFRVEMVDFSGGAITNNLTAHDVKHLDPLTVHYLSGPIRIVDDDGIPAKPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPCATKAIWYFEGIYAHSPQIPGVRFPGLTHPGIIGTAPSMELLNIWNERERDIEENGVKSFKLSEVLHTRPLANLPSTKSCLLGKIEKGCSEWERIAKEAARTIPGRENGGNCDIKNLSRGAKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPSPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYISKFGYSKQQVYLLLSCIPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLVRKPDVFKCTYDGNLPITKNPSATS >VigunL059226.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:6148:6907:-1 gene:VigunL059226.v1.2 transcript:VigunL059226.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun01g169100.1.v1.2 pep primary_assembly:ASM411807v1:1:35064519:35067297:1 gene:Vigun01g169100.v1.2 transcript:Vigun01g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLVLSNMYQRPDMNMNIITNPNQPQPQSLDPDKVQDHFDDFYEDLFEELSKYGPIQSLNICDNLADHMVGNVYVQFREEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRRKLFGRNRRWNGRSGSRSRSPPRNRNHGEHSHSVRNAGRRDFERPHGHHVRRPRSRSPRHRGGKRSRSPMGRDRSPVRESSAERRAKIEQWNREKEQEETGSKNNTKSNDYQERSGAQNGNESGDHQI >Vigun04g179800.1.v1.2 pep primary_assembly:ASM411807v1:4:40361879:40364106:-1 gene:Vigun04g179800.v1.2 transcript:Vigun04g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLSYMNSTTIGLLSLTLFCLFLYNNFKLFPCKEAPTAAGAWPVLGHLPLLSASKTPHRMLGALAEKYGPIFTIKLGSKKALVINNWEIAKECFTTNDTVVSSRPKLVAMELMGYNQAIFAFSPYGPYWRELRKITTFEILSPRRVEQMQHVRVSEVQNSIKQLYNVWCSQKSESGFASVELKQWFSHLVFNMVLRMVVGKRYFGGENVDDEKAQRCVKAVEEFLRLLGVFTVGDAIPWLRWFDFGGHEKAMRETSKDLDIVLGEWLEEHREKKGLGEVQDFMDVMISLFDGRTFDGFDADTVIKSTVLAVIAGGSGTNNIILTWTIYSILRNPLLFKKIKAELDIHVGKERCVSEDDISKLTYLQATVKETLRLYPPAPLSAPREFTKSCTLSGYNVKKGTRLITNQWKLHTDINVWEDPLEFKPERFLTTHQNIDFRGHDFELLPFGSGRRMCPGISFGLQMVHLTLATFLHSFEIQYSSSHLIDLTKTSQWIGTSIPLEILIKPHLSFSCYENNKLC >Vigun09g111700.1.v1.2 pep primary_assembly:ASM411807v1:9:24264018:24268709:1 gene:Vigun09g111700.v1.2 transcript:Vigun09g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTESLTNGLPSLPPENENDAGDKLANSVSQLNNLSIAIQTFKSRYDELQRHFDFIEQAINARTKELQSSGSNASPNAKETTEAIVSRSETGKTDSNPKPKPKAEEEEEETEKGKVKEEEKEEDELLSLCKTMNSRGLRKYVLSRLSEPASLREQVPVALKSSPKPSKLVFECIGRFFLQGSKAYTKDSPMIPARQVSVLVLEYYLLSGRVGNEEEVEASLKKEADSAAVAWRKRLIVEGGLSKASEIDARGLILFVAGFGIPAAFRDEDICNLVSVSNNREIFDALRQSQLLLKRVSDIADGMIRKNMVVKAVDLAYSFGLEEKYSPHTALTSFLQKSEETWKKSKQDANDFPSALKQAHEKYLAALKSVVNCLEGHNIDFVKLLPGWELKDKIINLEKDISDINIKIEEKSMIKRKVDKNNSSNKMKVPEAKRTRFGGRDASVLSPSIAALHEQRIVSRMDGNSSYEGSLAAHLLDGRSYGYPNSYLSAASIQLGSVSDSLAEKYLGTTVAASGANMLGGGMGGSYSGYQGDMIRDNVGTVLNSNSYRWHGVGEGASLSHDRSVGQSFVGQSTALVNNLYGKTSQEGFAGVPEHLSIGASSRTGASDLYSFADGVFDS >Vigun02g021600.1.v1.2 pep primary_assembly:ASM411807v1:2:7485480:7489124:1 gene:Vigun02g021600.v1.2 transcript:Vigun02g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHAHVVRFGFHQDVYVWNTLINFYAICGSMPSARQVFEESPVPDVVSWNTVLVGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMISGYAQHECFSEALALFQEMQLHGVRPNETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGKRGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRACFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVHEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKETALFRHSEKLAVAFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHEACSCMDFW >Vigun04g004100.1.v1.2 pep primary_assembly:ASM411807v1:4:271346:273616:-1 gene:Vigun04g004100.v1.2 transcript:Vigun04g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKMGPRKGVIAQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVYRKLDSDLQNSKNRHAQLVEQCQELKKGREESEERAEAVADLKAVEQQHNELKVELEKYRDNDPAAFEAMREAIVVAQASANRWTDNIFTLRHWCSNNFPEAKEQLENLYKEVGLTDDFDYLELVAPVPLKAVAE >Vigun09g075800.1.v1.2 pep primary_assembly:ASM411807v1:9:8648695:8651072:1 gene:Vigun09g075800.v1.2 transcript:Vigun09g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCSHEELRKGAWTVQEDQKLIAYIHKHGTGSWRTLPQKAGLQRCGKSCRLRWFNYLRPDIKRGKLSPEEEQKIIKLQAVLGNRWSSIAKHLPMRTDNEIKNYWNSYLKRHYEKNDVDPSGSKPISTASSTESKSSDLCKVPPKETDCHGPNATTHQSNQSNIPKTMSSSTQLLNRVASKILSSGYLEAIKSYKHVVGGNTNSDSVVKTGIGVEKEGNREPLVANNSVTSSAQLLNKMATSLPHKVHGLESAKAVFSKLLESSEKGDSGSDGSFISSDEIGECLNALSPFEDGPHITNVVGSPSSPSLIFNQVTTPSCFSDNEDNWQSVSSNYVSFRHCAPPTAAQCTVSELNLGESSGSTSCFLFENADLGTCDDEISKYIQYL >Vigun10g104700.1.v1.2 pep primary_assembly:ASM411807v1:10:30145709:30148212:1 gene:Vigun10g104700.v1.2 transcript:Vigun10g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSLLLSNHQSLWLFVIIVLKALIPSFIQKWSKKQKLKLPPGPKPWSMVGNLPEMLANKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLSEHDATFASRSLTTCTDLVTSGYLTTILVPFGDQWKKMKKILTTALLSSQKHLWLHDRRIEEADNLMFYVYNKSKSVNNGVAGLVNIRSVARHYCGNVTRKIVFNTRYFGKGRKDGGPSFEEKEHVDSIFVLLKYVYAFSISDYIPCLRRLDLDGHQKKVKEALKVIKKYHDPIVEGRVKQWNDLPKIEEEDWLDILISLKDANNNPLLTLEEINAQIVEIVIAAVDNPSNAFEWALAEMINQPNLLQRAIEELDSVVGNERLVQESDIPKLNFVKACAREAFRLHPMAPFNPPHVSISDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLQFKPERHLKSDNGSNVTLTEPNLRFISFTTGKRGCPGVMLGTTMTVMLFARLLHGFTWTAPPNVSKINLAESDDDINLAEALMAVAKPRLASHLYHL >Vigun07g294600.1.v1.2 pep primary_assembly:ASM411807v1:7:40541570:40548141:-1 gene:Vigun07g294600.v1.2 transcript:Vigun07g294600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALLLTHTHLFSPKSLFPPSLRHRPTAATATATKRIFHFCPPLACRSMDHSSIESVTQRLSNHNLHRLSLEDLKWDHSFVRELPADPRSDSFPREVLHACYTKVSPSAQVEDPQLVAFSHPVADLLDLDYKEFERQDFPLFFSGASPLVGALPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHHLGIPTTRALCLVTTGKLVTRDMFYDGNAKEEPGAIVCRVAQSFLRFGSYQIHASRGEEDLGLVRVLADYTIKHHFPHIENMSKSEGLSFSTGDEDHSVVDLTSNKYAAWVVEVAERTASLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPKFTPNTTDLPGRRYCFANQPDIGLWNIAQFTTTLQAAHLIDEKEANYAMERYGTRFMDDYQVIMTKKLGLPKYNKQLINKLLTNMAVDKVDYTNFFRTLSNIKADTSIPDEELLVPLKSVLLDIGKERKEAWTSWLKTYVHELSTSGISDDERKRSMDGVNPKYILRNYLCQTAIDAAEIGDFGEVNRLLKLVERPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >Vigun01g248700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41589313:41589522:1 gene:Vigun01g248700.v1.2 transcript:Vigun01g248700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQIPGKSRVVEFGNMQTSGISILVHTIIFFGLITIFLIAIGVHINTG >Vigun01g237300.2.v1.2 pep primary_assembly:ASM411807v1:1:40858253:40865484:-1 gene:Vigun01g237300.v1.2 transcript:Vigun01g237300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGSLTPRIASLKSLETLSLQGNNITGDIPKEFGNLTSLVRLDLENNKLTGEIPYSLGSLRKLQFLTLSQNNLNGTIPESLASLPSLINVLLDSNDLSGKIPERLFSIPTYNFTGNNLNCGVSYHHLCSSDNAVQGSSHKTKVGLIVGIVAGLVVILFLGCLLFFWYKGCKREIFVDVPGEVDRRITFGQIKRFSWKELQIATDNFSEKNILGQGGFGKVYKGVLADGTKVAVKRLTDYESPAGDAAFQREVELISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELRPGEPVLDWPTRKRVALGTARGLEYLHEQCNPRIIHRDVKAANILLDGDFEAVVGDFGLAKLVDVRNTTVTTQVRGTMGHIAPEYLSTGKSSERTDIFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKRLDVIVDSNLKKNYVIGEVEMIVQIALLCTQASPEDRPAMSEVVRMLEGEGLAQRWEEWQHVEVATRQDYERLQRRMKWGEDSMNNQDAIELSGGR >Vigun01g237300.1.v1.2 pep primary_assembly:ASM411807v1:1:40858253:40865484:-1 gene:Vigun01g237300.v1.2 transcript:Vigun01g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFVLLLLTSVCSSALAGLDSQEDALYALKLSLNASPSQLTNWNKNLVDPCTWSNVECDQNNNVIRVSLEFMGFTGSLTPRIASLKSLETLSLQGNNITGDIPKEFGNLTSLVRLDLENNKLTGEIPYSLGSLRKLQFLTLSQNNLNGTIPESLASLPSLINVLLDSNDLSGKIPERLFSIPTYNFTGNNLNCGVSYHHLCSSDNAVQGSSHKTKVGLIVGIVAGLVVILFLGCLLFFWYKGCKREIFVDVPGEVDRRITFGQIKRFSWKELQIATDNFSEKNILGQGGFGKVYKGVLADGTKVAVKRLTDYESPAGDAAFQREVELISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELRPGEPVLDWPTRKRVALGTARGLEYLHEQCNPRIIHRDVKAANILLDGDFEAVVGDFGLAKLVDVRNTTVTTQVRGTMGHIAPEYLSTGKSSERTDIFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKRLDVIVDSNLKKNYVIGEVEMIVQIALLCTQASPEDRPAMSEVVRMLEGEGLAQRWEEWQHVEVATRQDYERLQRRMKWGEDSMNNQDAIELSGGR >Vigun09g175800.1.v1.2 pep primary_assembly:ASM411807v1:9:34747285:34750484:1 gene:Vigun09g175800.v1.2 transcript:Vigun09g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPHTSTADLLTWSETPISDSPPPPSSASRSHQPSDGIRKVVFGGQVTDEEVESLNKRKPCSEYKMKEITGSGIFVANGEDDPSEAGSTNPNKTGVRMYQQVTAGISHISFGDEESISPKKPTSLPEVAKQRELSGTLESEDSILKKQLSDAKCKELSGHDIFAPPPEIKPSPITPRILELKGSIGIGERHADGDQSETNAAGEPMKTAKKIYDKKYAELSGNDIFKGDVPPSSAEKSLSGAKLREMSGSNIFADGKVEARDYLGGVRKPPGGESSIALV >Vigun09g175800.2.v1.2 pep primary_assembly:ASM411807v1:9:34747285:34750484:1 gene:Vigun09g175800.v1.2 transcript:Vigun09g175800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPHTSTADLLTWSETPISDSPPPPSSASRSHQPSDGIRKVVFGGQVTDEEVESLNKRKPCSEYKMKEITGSGIFVANGEDDPSEAGSTNPNKTGVRMYQQVTAGISHISFGDEESISPKKPTSLPEVAKQRELSGTLESEDSILKKQLSDAKCKELSGHDIFAPPPEIKPSPITPRILELKGSIGIGERHADGDQSETNAAGEPMKTAKKIYDKKYAELSGNDIFKGDVPPSSAEKSLSGAKLREMSGSNIFADGKVEARDYLGGVRKPPGGESSIALV >Vigun03g414700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62157520:62158084:1 gene:Vigun03g414700.v1.2 transcript:Vigun03g414700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQKIEALKGLVDVLNSMDDGESGQNPTPPNSDGGSAAAGTGRKGRSVGKFRNTGDQKIKGLSNQTGFTEGNANGAINFGDLEA >VigunL027050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:105159:105377:-1 gene:VigunL027050.v1.2 transcript:VigunL027050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun07g026900.3.v1.2 pep primary_assembly:ASM411807v1:7:2444759:2447900:1 gene:Vigun07g026900.v1.2 transcript:Vigun07g026900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKIDCVGRIQRSYGLNGDLSSEFGNCSSQCFDIIQASNMGTCNQPLAMASGGFEQEPHIGQTKSSSSIISRFESPASAFYATEICMGFPQYGNPSLISQFSMISDVEFPLYQSPRQNLFLASLANQPAPNFELSNPLQAMLLSHVNSDQCVRSSEKSDKAPSGNFPCSSFLPIIDDAAAASPSVPCKGNQDQRDCSAQISFSSQQEMQSPTLSAGSLLTSSGNSASNGAVVSSKTRIRWTQELHEKFVECVNRLGEATPKAILRLMESDGLTIFHVKSHLQKYRIAKYMPQSTQGKSEKRTNVENVHLDAKTGLQIREALQLQLDVQRRLHEQLEIQRKLQLRIEEQGKQLKMMFDQQQKTSDSNLSTQNLDNTTNNDRSISSKDVQVSISEGSERLLVPSNIT >Vigun07g026900.4.v1.2 pep primary_assembly:ASM411807v1:7:2444759:2447900:1 gene:Vigun07g026900.v1.2 transcript:Vigun07g026900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKIDCVGRIQRSYGLNGDLSSEFGNCSSQCFDIIQASNMGTCNQPLAMASGGFEQEPHIGQTKSSSSIISRFESPASAFYATEICMGFPQYGNPSLISQFSMISDVEFPLYQSPRQNLFLASLANQPAPNFELSNPLQAMLLSHVNSDQCVRSSEKSDKAPSGNFPCSSFLPIIDDAAAASPSVPCKGNQDQRDCSAQISFSSQQEMQSPTLSAGSLLTSSGNSASNGAVVSSKTRIRWTQELHEKFVECVNRLGGAEKATPKAILRLMESDGLTIFHVKSHLQKYRIAKYMPQSTQGKSEKRTNVENVHLDAKTGLQIREALQLQLDVQRRLHEQLEQV >Vigun07g026900.2.v1.2 pep primary_assembly:ASM411807v1:7:2444759:2447900:1 gene:Vigun07g026900.v1.2 transcript:Vigun07g026900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKIDCVGRIQRSYGLNGDLSSEFGNCSSQCFDIIQASNMGTCNQPLAMASGGFEQEPHIGQTKSSSSIISRFESPASAFYATEICMGFPQYGNPSLISQFSMISDVEFPLYQSPRQNLFLASLANQPAPNFELSNPLQAMLLSHVNSDQCVRSSEKSDKAPSGNFPCSSFLPIIDDAAAASPSVPCKGNQDQRISFSSQQEMQSPTLSAGSLLTSSGNSASNGAVVSSKTRIRWTQELHEKFVECVNRLGGAEKATPKAILRLMESDGLTIFHVKSHLQKYRIAKYMPQSTQGKSEKRTNVENVHLDAKTGLQIREALQLQLDVQRRLHEQLEIQRKLQLRIEEQGKQLKMMFDQQQKTSDSNLSTQNLDNTTNNDRSISSKDVQVSISEGSERLLVPSNIT >Vigun07g026900.1.v1.2 pep primary_assembly:ASM411807v1:7:2444759:2447900:1 gene:Vigun07g026900.v1.2 transcript:Vigun07g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKIDCVGRIQRSYGLNGDLSSEFGNCSSQCFDIIQASNMGTCNQPLAMASGGFEQEPHIGQTKSSSSIISRFESPASAFYATEICMGFPQYGNPSLISQFSMISDVEFPLYQSPRQNLFLASLANQPAPNFELSNPLQAMLLSHVNSDQCVRSSEKSDKAPSGNFPCSSFLPIIDDAAAASPSVPCKGNQDQRDCSAQISFSSQQEMQSPTLSAGSLLTSSGNSASNGAVVSSKTRIRWTQELHEKFVECVNRLGGAEKATPKAILRLMESDGLTIFHVKSHLQKYRIAKYMPQSTQGKSEKRTNVENVHLDAKTGLQIREALQLQLDVQRRLHEQLEIQRKLQLRIEEQGKQLKMMFDQQQKTSDSNLSTQNLDNTTNNDRSISSKDVQVSISEGSERLLVPSNIT >Vigun04g197500.1.v1.2 pep primary_assembly:ASM411807v1:4:42234413:42236593:-1 gene:Vigun04g197500.v1.2 transcript:Vigun04g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEILESLWNGDGESQVQAALELCRLSRKQRHKLEESRVMVPLISMLHSENYEAVEAALCALLSLSFGSERNKIRIIKYGALAVLVSLLHCDSQRATHLTLAAMLTLSSCKANKVAIASSGAVQLLVGFVNNSNCSIQSQLDAIATLQNLTTCKEIVPLIVSSGVMMSLLELIHTSVKSSPLVEKAIGLLENIVSSSESALCEAGSTIGAIRILVETVEDGSSVSKEHAVCVLLLVCQSCREKYRELILTEGVMPGLLQLSVDGTWRAKSMARELLLLLRDCSNYSSRCKQIDHELVERIMDEIEAEGEELADTTLRLVEEMIAKLHA >Vigun04g197500.2.v1.2 pep primary_assembly:ASM411807v1:4:42234413:42236593:-1 gene:Vigun04g197500.v1.2 transcript:Vigun04g197500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEILESLWNGDGESQVQAALELCRLSRKQRHKLEESRVMVPLISMLHSENYEAVEAALCALLSLSFGSERNKIRIIKYGALAVLVSLLHCDSQRATHLTLAAMLTLSSCKANKNLTTCKEIVPLIVSSGVMMSLLELIHTSVKSSPLVEKAIGLLENIVSSSESALCEAGSTIGAIRILVETVEDGSSVSKEHAVCVLLLVCQSCREKYRELILTEGVMPGLLQLSVDGTWRAKSMARELLLLLRDCSNYSSRCKQIDHELVERIMDEIEAEGEELADTTLRLVEEMIAKLHA >Vigun11g025500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3295084:3296560:1 gene:Vigun11g025500.v1.2 transcript:Vigun11g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPQENAEDELILSSLPREMGWAIPDLYLFQQFWCPSILIKEVNIFQKQFEAKDSDIFVASFPKSGTTWLKAITFAIVNRQCFSSIHNHPLLTSNPHQLVPAVEFMYRGDIHCPTTEPRVFGTHTPFPSLPNSIIESKCKIIYICRNPFDIFVSAWTFFDKIKLEPLSTLKIEEAFEKYCNGIIEFGPWWSHMVGYWKESLAKPNKVLFLKYEDLKDDANFQVKKIADFLGFPFTQEEENNQVIESIIKLCSFEKMKNSEVNKSGIIYQFLEKKYFFRKGETRDWVNYFSPSMTEKLCKIIEEKFGGSGLSFMI >Vigun09g254200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42082897:42084113:1 gene:Vigun09g254200.v1.2 transcript:Vigun09g254200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAISDVVARNLTTIFLALMASFEAYAFICGRSFNGGYLVVVSTAAVILILLTTLTWDVSRKATCAFHRHHGDSREVCKGGICWHGVAPRSPASQVRLRLPRQLPLAPL >Vigun03g393700.1.v1.2 pep primary_assembly:ASM411807v1:3:59978493:59987407:-1 gene:Vigun03g393700.v1.2 transcript:Vigun03g393700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDQPETIATAIDHSTVRRRPNATSAGGLYNSPESTDSSGEDLAKDSGSDDSMDTVDSAVGYSQPPQKHETKTSDLDFAYRPSVPAHRRVKESPLSSDSIFRQLQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIKSGFWFSSYSLSDWPLFMCCLSLVVFPFTAFVVEKLAQRNCIPESVVVLLHIIITSASVLYPILVILRCDSAFLSGVTLMLFSCVVWLKLVSYAHTNYDMRQLTKSIEKGEAVPSIVNMDYPYSVSFKSLVYFMVAPTLCYQQSYPRTPYIRKGWVFRQVVKLIIFTGVMGFIIEQYINPIVQNSQHPFKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEDYWRMWNMPVHKWMIRHLYFPCLRHGIPKAVALLIAFLVSALFHELCIAVPCHIFKLWAFGGIMFQVPLVLITHYLQNKFRNSMVGNMIFWFIFSILGQPMCVLLYYHDLMNRKSKLERS >Vigun03g393700.2.v1.2 pep primary_assembly:ASM411807v1:3:59978493:59987407:-1 gene:Vigun03g393700.v1.2 transcript:Vigun03g393700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDQPETIATAIDHSTVRRRPNATSAGGLYNSPESTDSSGEDLAKDSGSDDSMDTVDSAVGYSQPPQKHETKTSDLDFAYRPSVPAHRRVKESPLSSDSIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIKSGFWFSSYSLSDWPLFMCCLSLVVFPFTAFVVEKLAQRNCIPESVVVLLHIIITSASVLYPILVILRCDSAFLSGVTLMLFSCVVWLKLVSYAHTNYDMRQLTKSIEKGEAVPSIVNMDYPYSVSFKSLVYFMVAPTLCYQQSYPRTPYIRKGWVFRQVVKLIIFTGVMGFIIEQYINPIVQNSQHPFKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEDYWRMWNMPVHKWMIRHLYFPCLRHGIPKAVALLIAFLVSALFHELCIAVPCHIFKLWAFGGIMFQVPLVLITHYLQNKFRNSMVGNMIFWFIFSILGQPMCVLLYYHDLMNRKSKLERS >Vigun03g320250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51450780:51451160:-1 gene:Vigun03g320250.v1.2 transcript:Vigun03g320250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSIILTLVVAVMVSCFVGALRDRKIEGLYLVVALLSFASVVLLAFRVTMVTSITLLVLLSFAGYRRRVLVQQGWLITLDVAWSLGSSVFRSQKGLFALVCATLLSLFATYGRMNEVTTTRQWQ >Vigun01g201900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37816556:37817627:1 gene:Vigun01g201900.v1.2 transcript:Vigun01g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNVKELVENPQFLQSGLKGSRVSAMEDFANSFCGWSWEENKLFELALAVVDEQHPERWEVVAAMVGGEKSAGDVRQHYVILLQDLLVIESGKLDHKLGEVMPVVLVESKE >Vigun01g215000.1.v1.2 pep primary_assembly:ASM411807v1:1:38864939:38866973:1 gene:Vigun01g215000.v1.2 transcript:Vigun01g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPTPALFLILFSLATFFVLSLSSAADFFLYGGCTQQRYASNSPYESNLNSLLTSLVNSATYSSFNKLTVVGSSQSDVVHGLYQCRGDLAMPDCAACVTRAVARAGQLCPAACGGVVQLDGCFVKYDNSTFLGVEDKTVVLKRCGPSMGLGSGTTGERDAVLGGLASSGGFFRVGGSGEVKGVAQCCGDLSFAECQDCVGDAIRRLRSECAAAEYGDVFLGKCYVRFSTNGARAYNNKAHGKSDNEGEKTFAIIVGLLAGVAILIIFLAFLRRICEGHGK >Vigun11g067700.2.v1.2 pep primary_assembly:ASM411807v1:11:19452873:19458079:1 gene:Vigun11g067700.v1.2 transcript:Vigun11g067700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHAFVIIFACILVFPLEISGANDSINVVQSLSDGNTLVSKGGKFEVGFFSPGSSQKRYLGIWYKNIPVKTYVWVANGANPINDSSGILTVNTTGNLVLTQNGSLVWHTNNSHKQAQNPVVEMLDSGNLVIRNEGETNPEEYLWQSFDYPSDTLLPGMKLGWDLRTGLERKYTSWKSPDDPSPGDVSRVLKLYSYPEVYMMRGTQKLLRYGPWNGEYFSGMPDLLNNTIFGLSFVSNEEEIYYTYTLVNDSVPSRTVTNQSGTIVRYVWVDDDKTWKPYRTYPKEFCDNYDSCGPNGLCVRTQSQPCKCLKGFSPKSQQNWYSSDWSEGCERNKALNCSHDTFVLFEGLKVPATTVTWLNKSIGLKECRVKCLSDCSCMAYTNSDIRNGGSGCVLWFGDLIDMKQIETGGQDLYIRMNASEAEPGPSNNTRTIVASTVGAICGVLILLSTYIIFRLQKNKAVNSFTEDISKRHVDDLDVQLFDLVTIAKATNNFSKENMIGEGGFGHVYKGIIDGKEIAVKTLSRSSWQGVTEFINEVNLTAKLQHRNLIKLLGCCIQGQERMLIYQYMENGSLDSLIFDEKRSKSLEWPQRFQIICGIARGLMYLHQDSPLRIIHRDLKASNILLDKTLNPKISDFGVSRSFGVDQFEGSTSRVVGTWSVCVYPEPFFPSSQISIVLDA >Vigun11g067700.1.v1.2 pep primary_assembly:ASM411807v1:11:19452873:19458079:1 gene:Vigun11g067700.v1.2 transcript:Vigun11g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHAFVIIFACILVFPLEISGANDSINVVQSLSDGNTLVSKGGKFEVGFFSPGSSQKRYLGIWYKNIPVKTYVWVANGANPINDSSGILTVNTTGNLVLTQNGSLVWHTNNSHKQAQNPVVEMLDSGNLVIRNEGETNPEEYLWQSFDYPSDTLLPGMKLGWDLRTGLERKYTSWKSPDDPSPGDVSRVLKLYSYPEVYMMRGTQKLLRYGPWNGEYFSGMPDLLNNTIFGLSFVSNEEEIYYTYTLVNDSVPSRTVTNQSGTIVRYVWVDDDKTWKPYRTYPKEFCDNYDSCGPNGLCVRTQSQPCKCLKGFSPKSQQNWYSSDWSEGCERNKALNCSHDTFVLFEGLKVPATTVTWLNKSIGLKECRVKCLSDCSCMAYTNSDIRNGGSGCVLWFGDLIDMKQIETGGQDLYIRMNASEAEPGPSNNTRTIVASTVGAICGVLILLSTYIIFRLQKNKAVNSFTEDISKRHVDDLDVQLFDLVTIAKATNNFSKENMIGEGGFGHVYKGIIDGKEIAVKTLSRSSWQGVTEFINEVNLTAKLQHRNLIKLLGCCIQGQERMLIYQYMENGSLDSLIFDEKRSKSLEWPQRFQIICGIARGLMYLHQDSPLRIIHRDLKASNILLDKTLNPKISDFGVSRSFGVDQFEGSTSRVVGTCGYMAPEYAVDGLFSEKSDVFSFGILVLEIVSGKRNRGLYHTDKSLNLVAHSWTLWKAGKAIDLIASNMNTPSCVISEVLRCLHVGLLCVQQYPDDRPTMMSVILMLESHIELAGPREPGFISRSVLPEKDLGSPTKDTSSTNEVTITVLEPR >Vigun05g292870.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47901760:47902212:-1 gene:Vigun05g292870.v1.2 transcript:Vigun05g292870.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMFCVLCDFVVFCNVFVPVCVLCVVSFGFCVFYSVCVICVFCVVCVCIVLYCCVMCFLVVLTVFVFVCFFILFLWLCGVLGVFDIYCLLCGVLCFVCMFFMFLCCVLCVWCVVRVLCCVCFVVCVFWVVFLWCVFGVFVFCVVYVLLC >Vigun07g250800.1.v1.2 pep primary_assembly:ASM411807v1:7:37009901:37014314:-1 gene:Vigun07g250800.v1.2 transcript:Vigun07g250800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGKADEFLPHPVKDQLPGVDYCVTSSPSWPEGVILGFQHYLVVLGTIVIVSTILVPLIGGGNVEKAETIQTLLFVAAINTLLQTLFGTRLPVVVGASYSFLIPAVSIAFSSRMSVFADPHQRFKQSMRAIQGALIVASFFQIIVGFFGFWRIFARFLSPLSVAPLVTLTGLGFFMLGFPKLADCVEIGLPALVIIIILSQYIPQKMKSRGADRFAIIVSIGIAWAFAEILTAAGAYNKRSPKTQLSCRTDRSGLISAAPWIRVPYPFQWGRPSFNAGDAFAVIAASLVAIVESTGTFIAASRFGSATPVPPSVLSRGVGWLGIGTLLDGLFGTGTGSTASVENAGLLGLTRVGSRRVIQISAGFMFFFSILGKFGAVLASIPLSIIAAIYCVLFAYVASAGLGFLQFCNLNSYRSLFILGLSLFLGLSVQQYFNEYLLISGHGPVHTGSAAFNDIVQVIFSSPATVAIMVAYFLDLTLSRGDSLTRRDSGRHWWEKFRNFNHDIRSEEFYSLPFNLNRFFPSF >Vigun03g303801.1.v1.2 pep primary_assembly:ASM411807v1:3:49372613:49374128:-1 gene:Vigun03g303801.v1.2 transcript:Vigun03g303801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMVHFINNSRKIQKKVIDFCCHTGKSFMLSHPHYFPQMKKRILRSSSDLKKNCRPGLLCKLETANQMQNFCCNDTLAASFRILQQRGFGTENHTAINPSRRERVNNYTTWLNKNQ >VigunL011801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:30731:31943:-1 gene:VigunL011801.v1.2 transcript:VigunL011801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLKRPTHNWRIRRFNSYWIILIETRTHREENRFMNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITMAIHLYKTSTPSTRNGNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun04g125001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31688722:31691616:1 gene:Vigun04g125001.v1.2 transcript:Vigun04g125001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESNSTSQNQAQYLEAELTKKLQEVVVEMSEVNEQCIYKVPQKFRHGNPKAYTPQVVSIGPFHKQSDSNEGNNNLKKMEELKLEYLQRFLDRNDNYEEFASRTD >Vigun10g138300.1.v1.2 pep primary_assembly:ASM411807v1:10:35481002:35483120:1 gene:Vigun10g138300.v1.2 transcript:Vigun10g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLNKAKNFVSEKVNEMAKPEASVNDVDFKRVSKDSMEYLVKVAVRNPYSTPIPICEISYSFKSASREIASGTIPDPGSLKAKDTTMVDVPVKVPYSILMSLARDIGADWDIDYQLDLRLVIDLPVIGNFTIPLSHNGEIKLPTLSTMFA >Vigun07g043900.1.v1.2 pep primary_assembly:ASM411807v1:7:4426079:4428357:-1 gene:Vigun07g043900.v1.2 transcript:Vigun07g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSELYQFLSNTNHSFFPKPESSTMQQSFSASFSSYPLEPFHAPPLQDKALAALRNHKEAEKRRRERINAHLNKLRTLLPCNSKTDKASLLAKVVERLRELKQRMSEITNSETFPSETDEISVLSTSGDEGGGDGRVIFRASLCCEDRSDLIPDLVEILKSLHLTTLKAEIATLGGRTRNVLVVATDKDHGVESIHFLHSSLNSLLQHNATSKRRRLVGPKFIA >Vigun01g166450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34839322:34839774:1 gene:Vigun01g166450.v1.2 transcript:Vigun01g166450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYVTPLVLSTAGIVCSTVAIAVYHCIILLRHFVRTHTTQRRDSTTSVKSEGIQQEILNKISVFSISTTQTSSTGAIAIHLGQNIECSICLGQWEDDDVVRLLPLCNHVFHKSCVDAWFMDHANCPVCRSPITSDCELALPMASQNGDA >Vigun07g192000.1.v1.2 pep primary_assembly:ASM411807v1:7:31035670:31041730:-1 gene:Vigun07g192000.v1.2 transcript:Vigun07g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQIGGGGGGNGGCSNSGSGSCCDMSVKCSCRWRLENQQYYKRLFSSGFVFFFGCFVLFGSIATLYGWVAFSPAVRTSLSAYGCRDDNEGSWSIGIFYGDSPFSLKPIEAANVSNDESAAWPVANPVVTCASVSDAGFPSNFVADPFLFIQGNTFYLFYETKNSITNQGDIGVSKSTDKGATWQQLGIALNEDWHLSYPYVFEHDGQIYMMPEGSRKGDLRLYRAVNFPLQWRLAKVIIKKPLVDSFIINYSGRYWLFGSDHSGFGSKKNGQLEIWYGNSPLGPWKPHKKNPIYNIDKSLGARNGGRPFKYEGNLYRVGQNCGDTYGRKVRVFKIETLTSDEYKEVEVPLGFVEPNKGRNAWNGARHHHLDVQHLPSGGWVGVMDGDRVPSGDSVRRFTVGCASVAVAAILIVLLGVLLGFVNCIVPLNWIIHNSGKRNLTILSWERSNVFSSRVRRFCSRLNRAPTFLRGKIKHNACARRFILSIIFAVGVGLMCTGVKNIYGGNGSEEPYPLKGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVREIVVVWNKGVPPKLSDLDSAVPVRIRIEEKNSLNNRFREDPLIKTRSVLELDDDIMMPCDDIERGFNVWRQHPDRIVGFYPRLIAGSPLKYRGEKYARLHKGYNMILTGAAFIDSQVAFKRYWSKEAMQGRELVDQYFNCEDVLLNYLYANASSLPRTVDYVKPAWAIDTSKFSGAAISRNTKVHYELRSQCLVKFSELYGSLGGRKCGFDSRKDGWDV >Vigun04g198600.1.v1.2 pep primary_assembly:ASM411807v1:4:42324996:42326983:-1 gene:Vigun04g198600.v1.2 transcript:Vigun04g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQQLRRMGVNPEDPAAMASIQRVASTFFNAIDKKEGTPYVFREGKQSIIEPAAGLEESEPGVDSDQEELDRFIAEIEDAADKEYEAEEAKEKEEFGRIRYWNKEEFGGRYRRSDVSRNDDHDVEARGQRVWQSTQPKRHAIDSDDEENAHFDNDDDEDDEWRFNNADDASDLDSNSNGSEGSRGRFKESRRKREKQNNPEMGQHRDNRSSNRHAGAKFRSNVSMEDSESEGMFSDVENAMWESEDEENNDSGHLRKFSENNYNSGSSDDDDDDEYTHQAMRNNKSGVKKDHKGKVGDSAQYHNSFGAPKSLRGKHGVNKVDSESIDEFSGSENWLWPSDAEGDYPTKQNSNVIKSDIPKRRTPKDADEAWDSD >Vigun03g188550.1.v1.2 pep primary_assembly:ASM411807v1:3:25386751:25387743:-1 gene:Vigun03g188550.v1.2 transcript:Vigun03g188550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTVTYNMDSDNPRITEVFKGECTTTSWTTFMNRITHQNTRSIFSVKLSKYQSKASHLDLPSNFANFIREVKVENVFLIGPKTIVNCKILISNKQRSSTKIDQGWRLFCSENELKEGDIVVFQVDNDFIESNVEVFVNGCCCY >Vigun03g144300.3.v1.2 pep primary_assembly:ASM411807v1:3:14620369:14622533:-1 gene:Vigun03g144300.v1.2 transcript:Vigun03g144300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLAILVVILGTTCGGAFAELESKVKTAVFLSPKFELGPGSVANKYDYGIDFPKGHIALKSFNAEVVDESGNPVPLHETYLHHWVVARYYEPKHVTTQTRYDGHRLLRPSGHIVVRNSGTCQRDELGQYYGLGSETRGTATDVPDPFGIVVGDPAEIPEGYEEKWLLNIHAIDTRGVVDKLGCTECRCELYNVTEDENGKAIRSDYKGGLHCCYDETQCKLREGFHGPKRSLYLRYTVKWVDWDKFIVPVKIYILDVTDTVKITDDSSGDIVDHNCLIEYNVESCSSGEKECLDVKRTSFPMQKGGYVIYGVAHQHSGGTGSTLYGKDGRVICSSIPTYGKGKNAGNEENYIVGMSTCYPKAGSVKINNGETLTLVSNYSSSDGHTGVMGLFYLLVAEQLPHQDFRHSTRSSFFTNINSLFS >Vigun03g144300.5.v1.2 pep primary_assembly:ASM411807v1:3:14620370:14622204:-1 gene:Vigun03g144300.v1.2 transcript:Vigun03g144300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLAILVVILGTTCGGAFAELESKVKTAVFLSPKFELGPGSVANKYDYGIDFPKGHIALKSFNAEVVDESGNPVPLHETYLHHWVVARYYEPKHVTTQTRYDGHRLLRPSGHIVVRNSGTCQRDELGQYYGLGSETRGTATDVPDPFGIVVGDPAEIPEGYEEKWLLNIHAIDTRGVVDKLGCTECRCELYNVTEDENGKAIRSDYKGGLHCCYDETQCKLREGFHGPKRSLYLRYTVKWVDWDKFIVPVKIYILDVTDTVKITDDSSGDIVDHNCLIEYNVESCSSGEKECLDVKRTSFPMQKGGYVIYGVAHQHSGGTGSTLYGKDGRVICSSIPTYGKGKNAGNEENYIVGMSTCYPKAGSVKINNGETLTLVSNYSSSDGHTGVMGLFYLLVAEQLPHQDFRHSTRSSFFTNINSLFS >Vigun03g144300.2.v1.2 pep primary_assembly:ASM411807v1:3:14620370:14622533:-1 gene:Vigun03g144300.v1.2 transcript:Vigun03g144300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLAILVVILGTTCGGAFAELESKVKTAVFLSPKFELGPGSVANKYDYGIDFPKGHIALKSFNAEVVDESGNPVPLHETYLHHWVVARYYEPKHVTTQTRYDGHRLLRPSGHIVVRNSGTCQRDELGQYYGLGSETRGTATDVPDPFGIVVGDPAEIPEGYEEKWLLNIHAIDTRGVVDKLGCTECRCELYNVTEDENGKAIRSDYKGGLHCCYDETQCKLREGFHGPKRSLYLRYTVKWVDWDKFIVPVKIYILDVTDTVKITDDSSGDIVDHNCLIEYNVESCSSGEKECLDVKRTSFPMQKGGYVIYGVAHQHSGGTGSTLYGKVITYSMLQSQVIDVDQKFKLQEYGKHLFIVYILYFDCLISE >Vigun03g144300.4.v1.2 pep primary_assembly:ASM411807v1:3:14620370:14622533:-1 gene:Vigun03g144300.v1.2 transcript:Vigun03g144300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLAILVVILGTTCGGAFAELESKVKTAVFLSPKFELGPGSVANKYDYGIDFPKGHIALKSFNAEVVDESGNPVPLHETYLHHWVVARYYEPKHVTTQTRYDGHRLLRPSGHIVVRNSGTCQRDELGQYYGLGSETRGTATDVPDPFGIVVGDPAEIPEGYEEKWLLNIHAIDTRGVVDKLGCTECRCELYNVTEDENGKAIRSDYKGGLHCCYDETQCKLREGFHGPKRSLYLRYTVKWVDWDKFIVPVKIYILDVTDTVKITDDSSGDIVDHNCLIEYNVESCSSGEKECLDVKRTSFPMQKGGYVIYGVAHQHSGGTGSTLYGKDGRVICSSIPTYGKGKNAGNEENYIVGMSTCYPKAGSVKINNGETLTLVSNYSSSDGHTGVMGLFYLLVAEQLPHQDFRHSTRSSFFTNINSLFS >Vigun10g090050.1.v1.2 pep primary_assembly:ASM411807v1:10:25981465:25982592:1 gene:Vigun10g090050.v1.2 transcript:Vigun10g090050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSSVKEKVCIFANVSDVLDLRVLEESGLVILADQTKEKTIQPSQIAVSGCLDSLQQKQQKFLCLTVLVLN >Vigun05g239400.1.v1.2 pep primary_assembly:ASM411807v1:5:43249595:43251357:-1 gene:Vigun05g239400.v1.2 transcript:Vigun05g239400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNVAENVLKAIPKIDKIRVTREERHHKNRMKGKKEKLMKEAVRELEQGISLVKAPSVLQQDPSLTLPKIKVKVSQQQSEENHAAMEE >Vigun05g222500.1.v1.2 pep primary_assembly:ASM411807v1:5:41436145:41442367:1 gene:Vigun05g222500.v1.2 transcript:Vigun05g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVVLSFSFLFLWLSICFEICYGRDTLKVNQKITQDSEGNLFSSNATFELGFFSPGEESGEKRYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEDASSKTHWSSKLEANSSANKTLKLLDSGNLVLIQDDSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSADPAPGNFTFKMTQKAEKQRFVVQYHSHIYWAPYELGTEAASQKVFDLLHNTTWNSTTYKYSNKTVFVSKPYMYNKSRLVMSYSGEIVFLKWDEKPLQWNKKWFGPEDKCDIYDYCGSFGICNRDNLRCKCLPGFSSVQGLHSDRESESQGCERKSKPCNSTNEDVWFLNLTNIKVGNSDQEIYTQTEAECQSMCINMCPEPQCQAYSFNTSTYGDRSSYSCYIWTEPLTSLVENNPRGRDLSILVKKSDIAPTAKSCEPCGTYAIPYPLSTGPNCGDPMYHNFNCNKSSGIVSFMMPGGKSYPVTWIDEATRMFSIQTDYSYFCGSKNQNNTPKPPFRLADSKCSKNVGMVNISWEAAPEPPCGKLIDCENWLHSTCTETSEGDHRCHCNSHYKWDTSIMMCAQEKHSTIPKTTLVIFTIMVILGCIIAFLIVWRKRKAHKLDSADEASSRIQESLHESERHVKGLIGLGSLEENDIEGIEVPCYTFASILSATDNFSDSNKLGRGGYGPVYKGTFYGGHDIAVKRLSNVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRTRTLLLDWPMRFKIIVGIARGMLYLHQDSRLRVIHRDLKTSNILLDEEMNPKISDFGLAKIFGGKETEASTERVVGTYGYMAPEYALDGLFSIKSDVFSFGVILLEILSGKRNTGFYESNQISSLLGYAWKLWTENRLLDLMDSCIGETCNQNQFIKCAIIGLLCIQDEPIDRPNMSNVLFMLDRDTTTMPLPIPTQPTFFVNK >Vigun05g222500.2.v1.2 pep primary_assembly:ASM411807v1:5:41436162:41442302:1 gene:Vigun05g222500.v1.2 transcript:Vigun05g222500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVVLSFSFLFLWLSICFEICYGRDTLKVNQKITQDSEGNLFSSNATFELGFFSPGEESGEKRYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEDASSKTHWSSKLEANSSANKTLKLLDSGNLVLIQDDSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSADPAPGNFTFKMTQKAEKQRFVVQYHSHIYWAPYELGTEAASQKVFDLLHNTTWNSTTYKYSNKTVFVSKPYMYNKSRLVMSYSGEIVFLKWDEKPLQWNKKWFGPEDKCDIYDYCGSFGICNRDNLRCKCLPGFSSVQGLHSDRESESQGCERKSKPCNSTNEDVWFLNLTNIKVGNSDQEIYTQTEAECQSMCINMCPEPQCQAYSFNTSTYGDRSSYSCYIWTEPLTSLVENNPRGRDLSILVKKSDIAPTAKSCEPCGTYAIPYPLSTGPNCGDPMYHNFNCNKSSGIVSFMMPGGKSYPVTWIDEATRMFSIQTDYSYFCGSKNQNNTPKPPFRLADSKCSKNVGMVNISWEAAPEPPCGKLIDCENWLHSTCTETSEGDHRCHCNSHYKWDTSIMMCAQEKHSTIPKTTLVIFTIMVILGCIIAFLIVWRKRKAHKLDEASSRIQESLHESERHVKGLIGLGSLEENDIEGIEVPCYTFASILSATDNFSDSNKLGRGGYGPVYKGTFYGGHDIAVKRLSNVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRTRTLLLDWPMRFKIIVGIARGMLYLHQDSRLRVIHRDLKTSNILLDEEMNPKISDFGLAKIFGGKETEASTERVVGTYGYMAPEYALDGLFSIKSDVFSFGVILLEILSGKRNTGFYESNQISSLLGYAWKLWTENRLLDLMDSCIGETCNQNQFIKCAIIGLLCIQDEPIDRPNMSNVLFMLDRDTTTMPLPIPTQPTFFVNK >Vigun09g245500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41418625:41420000:1 gene:Vigun09g245500.v1.2 transcript:Vigun09g245500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKRQKTKELSVAIAEASSKGGDTEHHHHQQQQEQPEPPRKRGRPRKVVVEETEIEGEKVEPEASAATKKEKEEEQQHGKSQEELTSASATTCTMIVTKEEGVFEGIQLPKGEPSRSRARRKSKPRKST >Vigun06g205400.4.v1.2 pep primary_assembly:ASM411807v1:6:31964002:31969319:1 gene:Vigun06g205400.v1.2 transcript:Vigun06g205400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWLVIRQLAEIGAMATTKKVITREEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRTESGTEPDIDLATITDRMAVKKAVQNGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLLWAQNQLDEKAAYPRINDLSTAILEDSAV >Vigun06g205400.3.v1.2 pep primary_assembly:ASM411807v1:6:31964002:31969319:1 gene:Vigun06g205400.v1.2 transcript:Vigun06g205400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWLVIRQLAEIGAMATTKKVITREEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRTESGTEPDIDLATITDRMAVKKAVQNGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLLWAQNQLDEKAAYPRINDLSTAILEDSAV >Vigun05g243100.1.v1.2 pep primary_assembly:ASM411807v1:5:43625047:43632356:1 gene:Vigun05g243100.v1.2 transcript:Vigun05g243100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTDSDEFVLLSRVRTGLKREFAFAMKAQSEICGASLGRTRASKNRPDPPVQAASARKRSRKTVEPKTSEDVLSEEEAKSDVVDLQSDDEPKNNHAGESESAAMLVCEEEAKSGVAMENIIGEEEPKVLETVICEEELKVLETVISEEEPKALEIVMGEEEPKVLDSGVNEEEPVVADTLKEEVVDETAQPLGEINEESEKGVSGDKVPSGETLVVENDDDKGKKNKKRTKKWLERLPIVRRFTRSALKEKPEAANDEKNVGAVGLDDVIKRESETEASVLMTTPISGRFSNSRLRKFPTKLKDLLATGILDGLTVRYMKGSKARKNGETGLQGVIQNSGILCFCESCMGIEVVTPTVFELHAGSANKRPPEYIYMDCGNGGNNLRDVMNACCDLSLESMEEAVQKLLGGFTMNKSSICFHCRGACKGVSKLVCDACIASPPQTATTSSKKISSPVQPRSPEPVMVQKSLDNEMQPNSLDNGVQPESLNNCEVPNSLTNEMKPNLLHSGLKLNSSNSGMKPNSLKNGMKHSTSRGKSQGRLTRKDLRLHKLVFEADVLPDGTEVAYYAHGQKLLVGYKKGYGIFCTCCNSEVSASQFEAHAGWASRRKPYLHIYTSNGISLHELSISLSKDRRFSNNDNDDLCIICEDGGDLLCCDGCPRAFHIDCVPLPCIPSGTWYCKYCQNVFQKDRQGQHNVNALAAGRIEGTDILEQMNPRCIRVVRTVEVDHGGCALCSRHNFSKSFGPRTVIICDQCEKEYHVGCLKDHNMQNLEELPEGNWFCGTSCNQIHSALADLAACGEKSVPDSLLSLIKKKHEEKNVDIRGDLDVKWRVINWKLDDSVENRKRLSKAVAIFHERFDPIVDSTSGRDFIPTMLFGRNIRGQDFSGMYCAVLTVNGDIVCAGVFRVFGSEIAELPLVATTSDQQGQGYFQCLFSCIETLLGSLNVKNLVLPAADEAESIWTGKFGFTKLAQDEINKYKKFYRMMIFQGTSVLHKPVPAL >Vigun03g132350.1.v1.2 pep primary_assembly:ASM411807v1:3:12910244:12911767:1 gene:Vigun03g132350.v1.2 transcript:Vigun03g132350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSNVSSYCQRLKSIADQLKNVGAPVSESRLVLQLVGGLAPPYRGVGTLIRQSNPLPPFYKARSMLTLEEAGLAKEAATESAMLTTSTDDGSPHTAKSGQSKNHYGSHHSSGGRRNHGGGRKNRGGRSSTGGKGGGRGPFSGGQGRFISPHWQQHDQSGNRPPQWQQQQPVPWGWYNPTRPIPPCPFPTQGWARPNTPPPRQVGVLGPRPPQQAYVHPAASPAPLEQSTLSAPTDIETAMHTMSLQQPDQSWYMDTGATSHMTSSIGLSDWDVNNEM >Vigun05g206500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39577867:39579385:-1 gene:Vigun05g206500.v1.2 transcript:Vigun05g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPRRTQSKLEQFNLFPHLITFYPINVPHVEGLPHGAETTSDVSFSLGPLIMTAMDRTERDIELLLNQLKPQIVFFDFTYWLPNITSRLGIKSFQYMIVSPATISYTTAPQRMNHKGALTELDLMQPPLDYPVSSIKLHAHEAKFLVSKRNWEFGSGVLFYDRIYGGLTLSDAIGFKGCREIEGPYVDYLAEQFGKSILLSGPIIPESPNTILEEKWSAWLGRFKDGSMVFCALGSEWKLSHDQFQELVLGLELTGLPFLVVVKVPIGFETIDAALPEGFKERVEGRGIVHNGWIQQQLILEHPSVGCFITHCGAGSLTEALVNKCQMVLLPQLDSDQVINARMLGSNLKVGVEVEKGEEDGLFRKESVCKAVEIVMDEENGVGREVRENHAKLRNFLISHDLESNCIDDFCQKLQDLVV >Vigun10g072700.1.v1.2 pep primary_assembly:ASM411807v1:10:18330886:18333640:-1 gene:Vigun10g072700.v1.2 transcript:Vigun10g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNKLPLFLLLSSLFMNASLGEMACEELPKEVCAFSVASSGKRCLLETEKAADGGVEYQCRTSEVVVERMAEYIETDQCVEACGVDRNSVGISSDAFFEPQFTAKLCSSQCYQNCSNIIDLFFNLAAGEGVFLPELCEKQKTNPRRAMVELVSSGAAPGPVSDVSEDIVAAPAPSPL >Vigun03g286100.1.v1.2 pep primary_assembly:ASM411807v1:3:46781744:46785909:-1 gene:Vigun03g286100.v1.2 transcript:Vigun03g286100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQNDGDNIRYVATELATDIVVNVGNVKFYLHKFPLMSKSARIQKVVTNSNEENNDEVHIQDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMHETVEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEELKVVSHAIDSVATKASIDTSKVEWSYTYNRKKLPSENSNDPHFNSVRKQQLVPKDWWVEDLCELNLDLYERIITTIVTKGNVSGAVIGESLNAYASRRMAGFTKGVIQGGDTVKNRLLLETIIRLLPMDVGSVSFSFLVKLLRVGILLECEELERSELIRRIGMCLEEAKVSDLLIRAPDGDTIFDVDIVQRLVEEFVACDQHVQLDSLLEDEFQEIRSPGIVSDTSKAKVAKLVDGYLAEIARDPNLPLSNFVNLAELVSSFPRTSHDGLYRAIDMYLKEHPGISKSERKRICRLMNCRKLSAEACMHAVQNERLPMRVVVQVLFFEQLRGTASSGGNTTPDHSGSIRALLPGGSHGSSRSTITNTEEEWDAVGTVEDIKSLKGELDALKLSGGNNNNCGKGNAENNVVASKMKGLMSKKIMSKIWSSKERSGELTSSDTSESPASTVVEETKSTPSRSRRHSVS >Vigun01g208300.1.v1.2 pep primary_assembly:ASM411807v1:1:38389871:38393256:-1 gene:Vigun01g208300.v1.2 transcript:Vigun01g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAALQQHLLSFSPLTPSLSDLSGTRLHAQHQLKRKLWQPKGTLCVSASSTKNILNMGGTRFIGVFLSRLLVKEGHRVTLFTRGKAPVTQQLPCESDGDYADFSSKAKAFAVSRRKAASLILSTYIISKVGVALAQQSPVFREYIDAFDGYSFQYPGSWIQVRGAGADIFFRDPFVLDENLSLEISSPSSSQYKSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYEVEVNIKSYANNNELAVMPQDRVARLEWDRRYLSVLGVENNQLYELRLQVPENVFAEEESDLRRVMDSFRVSKIAA >Vigun06g228300.1.v1.2 pep primary_assembly:ASM411807v1:6:33584506:33586724:1 gene:Vigun06g228300.v1.2 transcript:Vigun06g228300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIGEVVHGLKPALLMLMVQIAFASVNVLYKLAINDGMSVRIITAYRLIFAAASTVPLALIFERKNLQTLTWRVILKSFFCGLFGGSLFQNLYFESLKLISATFASAVFNLIPAVTFILAVTCGFEKLNFQRVAGKAKVLGTITGVGGAMMLTFLKGVEINIWNFHINLLHKKGTTETLNGDSGSKLLGVFCGLGSCLCFALWLIIQAEMSKEFPGHHSSTALMSIMGAIQATVFALCIEKDWSQWRLGWSIRLLAAAYSGIVASGIMVVVIAWCVRMRGPLFASVFNPLLLVLVAIAGSLMLDENLYVGSVIGAVLIVMGLYMVLWGKSKEMERVSDLETTPELEEIEVVVTCRATEDDKSVYSNDKYTCSERNIIEKDNDDASKSRDEGQDNESNGKKP >Vigun03g396900.1.v1.2 pep primary_assembly:ASM411807v1:3:60318161:60331687:-1 gene:Vigun03g396900.v1.2 transcript:Vigun03g396900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCELAYYRRCSRISAFGGLIAQMTGMSKEEGSASPSWGASFFMQTREDVARAVAAAVNSPMSSKDDNSGSQLQRLQYQVARMLKGFSHTPDVENTNYNPEILTSLKRQWAANFQLQYMDHKTWKEPSRLFESMVVVGLHPNCDVQALQRQYLDRKFEGSAKLRSALGYQSESCVEPNIEPQVLFVYPPEKQLPLKCKDLLSFCFPGGLEVRAVERTPSMSELNEILFGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVEKPSGLVSLISDKQPSSSSLRRHILTTQRCYCILSRLPAFELHFGVLNSIFTQERLERLTRSVGDLNLEFDEGNSLKEENPEGYSESALVSDGPIEDRLGGNPIISPLRAGNSTPENIVDDGQPKHLVVDGELQTYKERINYDDVLVADNVTDSTAAKEDSGPTNSENSDQYGDAFATNKQSEDKHLPNAILPLLRYCQYESSESSCSFQGSPCEDRNFRSDVDDNETEEASFSGQEDLNDLNDILEWAKENNHGPLQIISEYYRLSYPARGSSVIFHPLEHLHPLEYQRSAESVLRLAGSTVDLKTFSTGLELVDAHIALVVEEANALSVWAVACLCGTLRLENVLTFFAGVLLEKQIVVVCSNLGILSASVLSVIPLIQPYRWQSLLMPVLPNDMLDFLDAPVPYIVGIRNKTSEVQSKFTNVILVDADRNQVKSPTIPQLPRQKELVSSLRPYHSTLVGESYLGRRRPVYECTEVQIEAAKGFLSVLRSYLDSLCCNIRSHTITNVQSNDDKVSLLLKESFIDSFPYRDRHFMKLFVDTQLFSVHTDLVLSLLQKE >Vigun05g083600.1.v1.2 pep primary_assembly:ASM411807v1:5:7897016:7904134:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFQYPLYSSSLPFSCFSGYTVMPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.8.v1.2 pep primary_assembly:ASM411807v1:5:7896951:7904485:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.6.v1.2 pep primary_assembly:ASM411807v1:5:7896950:7904507:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.7.v1.2 pep primary_assembly:ASM411807v1:5:7896974:7904620:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.3.v1.2 pep primary_assembly:ASM411807v1:5:7896942:7904869:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.2.v1.2 pep primary_assembly:ASM411807v1:5:7896942:7904869:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.5.v1.2 pep primary_assembly:ASM411807v1:5:7896955:7904869:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.9.v1.2 pep primary_assembly:ASM411807v1:5:7896966:7904844:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISEILKPVTFFWTPSSLLKWPILDSHGLYQT >Vigun05g083600.4.v1.2 pep primary_assembly:ASM411807v1:5:7896951:7904869:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGRSRKTKGSLNFSTRLRIAMGAAKGILYLHTEANPPIFHRDIKASNILLDSKFTAKVADFGLSRLVPDLDEEGTAPKYVSTVVRGTPGYLDPEYLLTHKLTDKCDVYSLGIVYLELLTGMQPISHGKNIVREVNMARQSGTVYSIIDSRIGLYTSECLEKFLTLALSCCQDHPEERPSMIDVVRTLEDIIAMLPEAETVFSDVSLDSSGNIAPPSSSASASTSASHVTREEQHMSSYVSGSDLVSDVIPTIVPR >Vigun05g083600.11.v1.2 pep primary_assembly:ASM411807v1:5:7896957:7904731:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGR >Vigun05g083600.10.v1.2 pep primary_assembly:ASM411807v1:5:7896957:7904731:-1 gene:Vigun05g083600.v1.2 transcript:Vigun05g083600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRIHGYALALSFCLITFIAASQRTDPSEVNALIDIKKSLIDRKHNLKNWNKGDPCTRNWTGVWCFDKTGDDGYLHVREIYLMTMNLSGNLSPQLGQLSHLEIMDFMWNNLTGTIPKEIGNIKTLKLLLLNGNMLSGSLPDELGNLPNLNRFQVDENQLSGSLPDSLANMTSVKHLHLNNNSFSGQLPSTLSKLSNLMHLLVDNNNLSGNLPPEYSMLKGLAILQLDNNNFSGNGIPSTYANLTRLVKLSLRNCSLQGAIPDFSSIPKLTYLDLSWNQFTGPLSSNKLADNMTTIDLSNNLFNGSIPRNYSYPHLQKLSLANNLMSGSIPASIWQNMSFGVKDKLIIDLHNNSFEDVLGNLNPPANVTLRLSGNPICKNSNTQSVGQYCGPEEDKAAQDSTNSTVCPVQSCPFNDFYEYAPNSPVPCYCAAPLRIGYRLKSPSFSYFAPYRSSFEHYITDSLKLHLYQLSVDSVAWEEGPRLRMYLKLFPSYNDSRSNVFNESEVRRISSLFTSWRFPRTDFFGPYELLNFTLLGPYENIIIHSEKGKVSVGIKVAVAIAAAACALAISAIIILLITRRRMKYHKKISRKSTTNLSIKIDGMKSFTYKELALATNKFNISTKVGQGGYGNVYKGILSDESFVAVKRAEAGSLQGQREFLTEIELLSRLHHRNLVSLIGYCNEEGEQMLVYEFMPNGTLRDWISGR >VigunL020150.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000256.1:32664:33070:1 gene:VigunL020150.v1.2 transcript:VigunL020150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELISSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun04g113600.3.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCITSFHSSHLHLKIHRNAKPNPSWTRNLHGNTTTTTKFVFKMSKSYHKYLCYLHWQQQKPLIRRRIYGRKAQKFSNELSKETSKVAEKKEGTVVGAVALIIGTSIGTGILALPEKAFPAGIIPSSISVIVCWIFLLVEAFLLVEINVALMNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAGGYCMTVLYGVLPPAMAWAMHKREPELYGQKEFLNANVALLVAELFACGIVVEQILQDIPALHS >Vigun04g113600.6.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAGGYCMTVLYGVLPPAMAWAMHKREPELYGQKEFLNANVALLVAELFACGIVVEQILQDIPALHS >Vigun04g113600.5.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCITSFHSSHLHLKIHRNAKPNPSWTRNLHGNTTTTTKFVFKMSKSYHKYLCYLHWQQQKPLIRRRIYGRKAQKFSNELSKETSKVAEKKEGTVVGAVALIIGTSIGTGILALPEKAFPAGIIPSSISVIVCWIFLLVEAFLLVEINVALMNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAVS >Vigun04g113600.7.v1.2 pep primary_assembly:ASM411807v1:4:28502055:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAGGYCMTVLYGVLPPAMAWAMHKREPELYGQKEFLNANVALLVAELFACGIVVEQILQDIPALHS >Vigun04g113600.4.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAGGYCMTVLYGVLPPAMAWAMHKREPELYGQKEFLNANVALLVAELFACGIVVEQILQDIPALHS >Vigun04g113600.2.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCITSFHSSHLHLKIHRNAKPNPSWTRNLHGNTTTTTKFVFKMSNRSYHKYLCYLHWQQQKPLIRRRIYGRKAQKFSNELSKETSKVAEKKEGTVVGAVALIIGTSIGTGILALPEKAFPAGIIPSSISVIVCWIFLLVEAFLLVEINVALMNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAVS >Vigun04g113600.1.v1.2 pep primary_assembly:ASM411807v1:4:28501330:28506355:1 gene:Vigun04g113600.v1.2 transcript:Vigun04g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCITSFHSSHLHLKIHRNAKPNPSWTRNLHGNTTTTTKFVFKMSNRSYHKYLCYLHWQQQKPLIRRRIYGRKAQKFSNELSKETSKVAEKKEGTVVGAVALIIGTSIGTGILALPEKAFPAGIIPSSISVIVCWIFLLVEAFLLVEINVALMNKKGRKEEENELDVISIRTMAQETLGEWGGTVATVAYVFLGYSSMVAYSCKSGEILFQLINLPAPVSGSLFTVLFTMLISIWGTQATDRVNQYLTASLIGLLLVIEVLAVVFGGWSGVEGLSDWTKIPPTIPVIIFSLVFHDITPFICTYLEGDLRRIKASVFIGALVPLVAVLVWNAVSLGLAAEAKQVVDPVALLYRLRNNGVSIMVAAFSLLVVGTSLIGTLLAFTEFFKEQLKNGTWHSPPTEKGNWWSRNKTNFTAVTMVVAPSLFVSTTFPDAFSAATDIAGGYCMTVLYGVLPPAMAWAMHKREPELYGQKEFLNANVALLVAELFACGIVVEQILQDIPALHS >Vigun06g098732.1.v1.2 pep primary_assembly:ASM411807v1:6:22934007:22935259:-1 gene:Vigun06g098732.v1.2 transcript:Vigun06g098732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFILPPTLSSNGNDDVDCSSATFTSFIDNEISLGNAWSKFYSTTNIPNPTTVLLPSASILMLNNFDKLWRFHHSVCLHNMDLLWGCLVWQKDCINLGAYRWGASLLQASREVQDLDVDNLLFISQILCGGD >Vigun03g175400.1.v1.2 pep primary_assembly:ASM411807v1:3:21699933:21701879:1 gene:Vigun03g175400.v1.2 transcript:Vigun03g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIAIGLLVLGLFLHLRPTPSAKSKALRHLPNPPSPKPRLPLIGHLHLLKDQLLHHSLIDLSKRYGPLYSLYFGSMPTVVASTPELFKLFLQTHEAASFNTRFQTSAIKRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTVNKLRPLRSHEIRKVLRVLAQSAEAQQPLNVTEELLKWTNNTISMLMLGEAEEVRDLARETVKIFGEYSLTDFIWPLKKLKFGKYEKRIDEIFNKFDPVIEKVIKKRQEIVRRRKNGEVVEGEQSGIFLDTLLEFAEDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWALAELINNPRVLQKAREEVYSVVGKDRLVDEVDTQNLPYIRAIVKETFRMHPPLPVVKRKCVEECEIEGCVIPEGALILFNVWAVGRDPKYWDRPSEFRPERFLENGGEGAVGPIDLRGQHFQLLPFGSGRRMCPGVNLSTSGMATLLASVIQCFDLQVLDPQGHVLKGDDAKVSMEERAGLTVPRKHNLVCLPLAKTTLAAKLLSP >Vigun05g168200.1.v1.2 pep primary_assembly:ASM411807v1:5:28668829:28673710:1 gene:Vigun05g168200.v1.2 transcript:Vigun05g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTCPLSQSIFFFVLHLFAWPRFVLANEATHQCLSTPIKNIGVVLDLDSLMGKQQKVAMEIAVQEFNSFTCSNLELNIQNSKGISATTIASALNLTQNEQVLALIGTITHNEATIASELNDTIKNIPILSLTSPTINIEMLSPQLPHFIQFGNDIKIHMQCISAIVGEFRWRKVTTIYELSNTFSSDPGMLLDLSYSLRLVGSEIDNHLALPSLSSLSDPKSTIENGLKKLKRKSNRVFLIVKSSLEMANIIFEKAKQMDLMEKDSVWVIPDGVASLLDSVNSTSIVNMQGVIGFKTHFIETSEKFRRFKFKFRRRFALEFAEEDNINPSFFALQSYDATWVVAHATRKLQWNFSLEQSSRTNLSNNRKIQQSPIFSIINVIGKSYRELGLWSLELGLTKNLVTQQETEIMKTHGSSTKVLSTIYWPGGLQFVPKGWTYSTKEKTLQIAVPANGVFHQFVNVTYNQKTNNTSITGFSIDVFKAAINTLPYDLKYTFVPFNGSYDEMVEKVYNKTLDAAVGDTSIMAYRYHLVDFSQPYLESGLNMVVPEQSTKSKEAWMFLDAFTKEMWLMMAALHIFVGLVIWLIERQVNTELKGLGSMLWFLVTVIFYAHREPIRSPLARTVMAPWLFVILIVTSTFTASLTSMMTFSQLVPSVLDIQTLQQKNSPVGCNGNSFIVKYLTEVLKFKPENIRKIYSVSDYPEAFQNKYIEAAFLSTPHTKVFLAKYSCRGLIKAGNTFKLGGIGFVFPKGSNLAVDISEALLKVIESGEIEELEKEMLGGNSSCSPLQSKMKDVSSTGFQPFLGLFCICGVVSILALLYNMICVFMNNVETFTNYMHVTLTQLRRTYRWTSEYFTWSCPRLEWGSIRSGITTQITRNAEETSINSQQSTMVLEVTDVVLAAHSS >Vigun03g210900.1.v1.2 pep primary_assembly:ASM411807v1:3:34826086:34827789:1 gene:Vigun03g210900.v1.2 transcript:Vigun03g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQTPVSTQITDAQITDLVSKLQHLIPELRARRSDKVSASKVLQETCNYIKSLHREVDDLSDRLSQLLATTDSNSAQAAIIRSLLM >Vigun09g031900.1.v1.2 pep primary_assembly:ASM411807v1:9:2728793:2730628:1 gene:Vigun09g031900.v1.2 transcript:Vigun09g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDMVDPVPRTRMEEQLAIQEAASAGLKSMEHFIRLLSPSACNSSSFSSNNNNLDCSEITDFTVSKFKQVINLLNRTGHARFRRAPPQPQPQAPPQPQPQPQPQPQPQPQQGFTLDFVKPTILNSKPCNKDETLTLSTTTTTSSSFLSSVTNDASVSDGKIGPFLPPSAAKPPLSSSHRKKCREAALSAKPSCHCSKKRKSRVKQTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPFPRGYYKCSTVRGCPARKHVERAQDDPKMLIVTYEGEHRHALPLPAATGGGFGL >Vigun10g010400.1.v1.2 pep primary_assembly:ASM411807v1:10:1027919:1030505:-1 gene:Vigun10g010400.v1.2 transcript:Vigun10g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVFFVLLFAGQVLGGFSTQKLPQSDSGNTLTILSIDGGGIKGIIPATVLDYLDKALKARDPNADLAHYFDVIGGTSTGGLITAMLATPSPHDPTRGAFTPAQIVDFYKLNGPHIFNSSRPGNGPQFDGEFLHNITRQLLKDTRLSQTLTNVVIPAFDLKRQKPVIFSNYKLEDAPYLNALLSDICISTSAAPTQLPPHYFVNDGVEFNMVDGGVAAGNPTQATISEVLQHSEYPKILVLSLGTGTEKYVENPDFDARLAANWTILNWAFVANDMLGRASSAITEYYLASLFSGFQPPQSTYLRIDEHDLNHDFSNSVNVTKENMEGLEKTGKQLLQEKVVKMNLDTFNLEEFGETNAEALDRFADILYEERQHRLKGKYVGNGGRPLLETLRVRSDKYQENWAFAKNLFI >Vigun05g155600.1.v1.2 pep primary_assembly:ASM411807v1:5:25097170:25101079:1 gene:Vigun05g155600.v1.2 transcript:Vigun05g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWVTSKTVKHQKLCTRFDLFRCRMKMRLKTCQTLKQRKLCTRFDLFRCKIKMRSKTCRTLKQQKLCTRFDLFHCRMKMRSKTCKILKQQKLCTRFDLFRCRMKMRSKTCKTLKQQKLCTRFDLFHCKTKMIDYLFQEYNQGFLSYE >Vigun04g187700.1.v1.2 pep primary_assembly:ASM411807v1:4:41220991:41223881:1 gene:Vigun04g187700.v1.2 transcript:Vigun04g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPGSKAQAPYKSSMPHAGFQELQKPKTPTQELVLQIPGCKVHLMGEGEALELAQGHFTIMRVMEQNVALATVIKVGGSFQWPLTKDEPVVKVDDLHYLFSLPVKDGGEPLSYGVTFPEQCYGIMRMLDSFLKDHCCFSWLERSNKSDLDWEDFAPRVKDYNHFLARAIAGGTGQIVKGIFMCSNAYTNQVQKIGEAILNSAAEKNNANMVRQSMNKRNDATKNSGMNENLKRVRELTNMTENLTKSLLDGVATMSGSVMTRVLKSQPGQAFLKMLPREVPLASLDSVKRVFEAAEAAEKQTFSATSKAATRMVSNRFGEEAGEATEHVLASAGHAVNTVRNVSVIRKAIIPATSSNAAGALKNFARKRKASL >Vigun04g187700.2.v1.2 pep primary_assembly:ASM411807v1:4:41220976:41223881:1 gene:Vigun04g187700.v1.2 transcript:Vigun04g187700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPELQKPKTPTQELVLQIPGCKVHLMGEGEALELAQGHFTIMRVMEQNVALATVIKVGGSFQWPLTKDEPVVKVDDLHYLFSLPVKDGGEPLSYGVTFPEQCYGIMRMLDSFLKDHCCFSWLERSNKSDLDWEDFAPRVKDYNHFLARAIAGGTGQIVKGIFMCSNAYTNQVQKIGEAILNSAAEKNNANMVRQSMNKRNDATKNSGMNENLKRVRELTNMTENLTKSLLDGVATMSGSVMTRVLKSQPGQAFLKMLPREVPLASLDSVKRVFEAAEAAEKQTFSATSKAATRMVSNRFGEEAGEATEHVLASAGHAVNTVRNVSVIRKAIIPATSSNAAGALKNFARKRKASL >Vigun09g045350.4.v1.2 pep primary_assembly:ASM411807v1:9:4298283:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSDEK >Vigun09g045350.6.v1.2 pep primary_assembly:ASM411807v1:9:4296485:4303361:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.2.v1.2 pep primary_assembly:ASM411807v1:9:4296982:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSDEK >Vigun09g045350.5.v1.2 pep primary_assembly:ASM411807v1:9:4296729:4303362:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.11.v1.2 pep primary_assembly:ASM411807v1:9:4297688:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.13.v1.2 pep primary_assembly:ASM411807v1:9:4298283:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.8.v1.2 pep primary_assembly:ASM411807v1:9:4296550:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.9.v1.2 pep primary_assembly:ASM411807v1:9:4296662:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.1.v1.2 pep primary_assembly:ASM411807v1:9:4296484:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSDEK >Vigun09g045350.3.v1.2 pep primary_assembly:ASM411807v1:9:4298283:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSDEK >Vigun09g045350.7.v1.2 pep primary_assembly:ASM411807v1:9:4296720:4303361:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.10.v1.2 pep primary_assembly:ASM411807v1:9:4296982:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun09g045350.12.v1.2 pep primary_assembly:ASM411807v1:9:4298283:4303353:1 gene:Vigun09g045350.v1.2 transcript:Vigun09g045350.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKIVSGGIYRLKQHLARVSGEVTYCEKAPDEVYLKMKENLEGCRSHKKQKQVDAQAYMNFHSNDDEDEEEQVGSRSKGKQLMDDRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYQKIKENMKWHRTGRRLRRPEAKELMPFYAKSDNDDDEFEQVDDALHHMNKETLMDVDKRFSKDVMKTFKGMPPSTGPEPLLRRSRLDNIYLKLPKNQTSQTYKQIKVKTGPTKKLRKEVISSICKFFYHAGIPIQAADSLYFHKMLEMVGQYGPGLVCQPSQLMSGRFLQEEINSIKSYLVEYKASWAVTGCSIMADSWIDTQGRTTINFLISCPHGVYFVSSVDATNVIEDAPNLFKLLDKVVEEVGEENVVQVITENTPNYKAAGKMLEEKRRNLFWTPCATYCINRMLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTEGQELLKPTTTQFASSFATLHNLLDHRVGLRRMFLSNKWILSRFSSSNEGKEVEKIVLNVTFWKKMQYVRKSIDPILQVLKKLYSGESLSMPFIYNDMYRAKLAIKSVHGDDARKYEPFWKVIDSHWNSLFCHPLYLAAYFLNPSYRYRQDFVPHSEVVRGLNECIVRLESDNMRRISASMQIAHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDWSIYDQIHSKRQNRLSQKKLNDVIYVHYNLRLRESQLRKRSRDSKLSSLDSVLQAHLLDDWIIDDNVQSSDVDKNILLGAEVDDEYENDSIDYDDGGGRSLKGSLELVTMADLAVGSPDVDHGNMDAATDDESDLNYFDDDLSE >Vigun06g227400.1.v1.2 pep primary_assembly:ASM411807v1:6:33502526:33507562:-1 gene:Vigun06g227400.v1.2 transcript:Vigun06g227400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFSTSAEKEIVRDVKEKLAYVALDFEQEMETTKSSSAVEKSYELPDGQVITIGSERFRCPEVLFQPSLIGMEATGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >Vigun03g195900.1.v1.2 pep primary_assembly:ASM411807v1:3:27635092:27637472:1 gene:Vigun03g195900.v1.2 transcript:Vigun03g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVLRVPNTLRSFATFASSNPNGAPPGGSGSSSGGGGGPVILEIPIDKIRRPLMRTRANDPNKVQELMDSIKEIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHLR >Vigun09g174600.1.v1.2 pep primary_assembly:ASM411807v1:9:34556840:34561495:-1 gene:Vigun09g174600.v1.2 transcript:Vigun09g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFTFAAIIFLTLHTVHSSNPPFACDWSNPASKSYPFCNPKLPIPQRTKDLLSRLTLQEKLSQLVNTAPSIPRLGIPAYQWWSEALHGVGSVGPGIHFNGSVSSATSFPQVILSAATFDPLLWYRIGRAIGIEARAIYNAAQAQGLTFWAPNINIFRDPRWGRGQETPGEDPLLTSRYAVSYVRGLQGDSFHGGKLRGHLQASACCKHFTAYDLDNWKGVDRFRFDARVSLQDLADTYQPPFQSCVQQGGASGIMCAYNRVNGVPSCADFNLLTKTVRKEWRFRGYVTSDCGAVGIIHDQQGFAKSAEDAVADVLRAGMDVECGSYLTDHAESAVLQKKVSMSEIDRALHNLFSIRMRLGLFGGNPSSLPFGMIGPNHVCSKEHQYLALEAARNGIVLLKNSPKLLPLPKTSPSISLAVIGPNANASPLILLGNYAGPPCKFLTILQGFQHYVKNAVYHPGCDGGPKCSSAQIEEAVEVAKKVDYVVLVMGLDQSEEREKRDRIHLDLPGKQLELVNSVAEASKKPVILVLLCGGPVDISSAKYNHKIGGILWAGYPGELGGIALAQIIFGDHNPGGRLPVTWYPKDYIKVPMTDMRMRADPSTGYPGRTYRFYKGPKVYEFGYGLSYSNYSYEFVSVTHDKLHLNQSSTHLMVEDSETVRYKLVSELGEQTCQSMSLSVTVRVQNHGSMVGKHPVLLFMRPKNQKSGNPVKQLVGFESVMLDAGEMTHVGFSVSPCEHLSRANEAGAMIIEEGSQVLLVDDQEHPIHIIV >Vigun03g415400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62231899:62232570:1 gene:Vigun03g415400.v1.2 transcript:Vigun03g415400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFGQLFGLLNDDGEPMQTTQPRRRSQNPSAVPRSAHNEEPRASFNNTGTQNMRGLINNAGYTKGNGNGSIVFGGFNSSTN >Vigun06g237200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34223984:34227145:-1 gene:Vigun06g237200.v1.2 transcript:Vigun06g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRIATEAFGLVTICLVAILILLGLMCIAYSFYFRSHIHSQGLLQLTYFSGPWIIRITIILFSIWWGIGEIIRLTLLRSTFHLKWPDTVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQDLETGIMSKKWNVKTSGYVLLYCLPMFVLQLFVILVGPRLDKNRGSGKKLPHYFSSAGAASSMAGESDTAFCTYPLLSTILLGLYAIVLTSYLFWLGSRILKLVINRGLQKRVYTLLFSVSCFLPLRVLFLGLSVLSGPEHFMFEAFVFLAFLALVCCAGLCMYTLVYRPVADSLALGNLQDMEVARRRNDDHNDTVSFIASQSHLEGNVEENVRSSPGRYSDASTKRGSISFRTLEKGVTSTGTFVELSLFSPSRSATPPGSPPLLGWPMRSPTQHIGP >Vigun08g204400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36809590:36811316:1 gene:Vigun08g204400.v1.2 transcript:Vigun08g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNCSFCCCEAYFRGQKLPKEDSCNGRKSIIVWIVENFKQSYLLRKKRKQKRNKEEEQQQQKKGKELTLEEWLLQSPITQNYINCNADHCDLKHGPNSDYPSVARESTYFSNCGECSLSLEQLLNDGDVEIFSVGYGISLENLLKDEKVDEKEMGFNSMTRSQSSTVRKRVSFRLPEVSETIILHPLEKDFSEC >Vigun01g080700.1.v1.2 pep primary_assembly:ASM411807v1:1:22686728:22689836:-1 gene:Vigun01g080700.v1.2 transcript:Vigun01g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLAAIFGGAAGAVALVGIAILIWFCLSRQRNVSRTSETGSSDPSQVGRHGAIELPIRDTRRFEMEELSLATKSFSDKNLIGEGKFGEVYKGLLQDGMLVAIKKRRGLASQEFVDEVRYLSSIHHRNLVTLLGYCQENSLQFLIYEYVPNGSVSSHLYGSGQQSQEKLEFKHRLSIAQGAAKGLAHLHSLSPRLVHKNFKTANVLVDENFIAKVADAGLRNFLGRVDTAGSSSQVPTDEIFLASEVREFRRFSEKSDVYSFGVFLLELLSGKEATASPFPDSNQNLVEWVVSNEDRGMMSYTIDRRLESSFTAEGLEDYIMLMIRCLDPSSERRPAMSYVEMELDRILEKEMNLTTIMGEGTPTVTLGSQLFKSTT >Vigun01g105800.1.v1.2 pep primary_assembly:ASM411807v1:1:27707186:27710299:-1 gene:Vigun01g105800.v1.2 transcript:Vigun01g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLISFVSFLILSKSFTNKPLSSGQGGTKIGSTGFWGSLSSWLLSSLRKGSTTNPCSFFRFSLVMSLKNNSSVSKVENEEEEGKVSLLDLPDLPLECILGHLSPSELCSVAAVCTSLRDTSRSDHLWKKHMERKWGKVFGDAAYRQWKCHVASKAREKISNHQNQKGLFAFLHGDFRPLVWMKAKSEKGTRSSNSLPEDSFMALYLSLESGKFWFPAQVYNRENGHAGFMLSCYDAQLCYDSRSDTFLARYSPHGRWTTEENIRWDRLRVPPIASSPHALHISDCLDDLRPGDHIEIQWRRNKEFPYGWWYGVIGHLEQCQGHGNHCRCHNNDMVILEFMQYSAGSRWRQTMIDRKHHIEKGNEIDGFYGGIRKLHNREEITRWKKLWPTKTVVHD >Vigun09g081700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9758014:9758807:1 gene:Vigun09g081700.v1.2 transcript:Vigun09g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFRKPFVYGSRHFWKLVENGSRHFWKLVENGSRHFYKPVVYKSRHFRKSLAYGSRHFLKPFACLRISSLPETTCLRISPLPKTSCLRISPLPETSFLRKSSLSKSSVLRKSVSII >Vigun01g134000.1.v1.2 pep primary_assembly:ASM411807v1:1:31222694:31224734:-1 gene:Vigun01g134000.v1.2 transcript:Vigun01g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVTLEIFSKLEQQWLSHYEATSKTRILSIDGGGTTAIVSGTALVHLEDQIRAHTSDPHAQIADYFDIIAGTGIGAILAAMITADDGFGRPLYTARDAVHFVAESNGELFKPKRAGVFRRCRRFSSRSMENALKRVFQRKEEERRLLTMKDTCKPLLIPCFDLKSSAPFVFSRADASESPSFNFELWKACRATSATPGLFAPFHFASVDGKTSCAAVDGGLVMNNPAAAAVTHVLHNKRDFPSVNGVEDLLVLSIGNGAPAKRVNTAGECSTSTVVDIALDGVSETVDQMLGNAFCWNRTDYVRIQAFGLGEGKEEKVLNERVLESLPFGGKRLLQETNANRIESFVQRLVATGKTSLPPSPCKVPP >Vigun11g211620.1.v1.2 pep primary_assembly:ASM411807v1:11:40652433:40668545:-1 gene:Vigun11g211620.v1.2 transcript:Vigun11g211620.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPGAFPVIQVWTVSLPVTLVNASDRNPFLHVVDVIGWILASGVDRFKRPFAHLEEHCGNSERSTPLHCRDNMSPYLERVCAPKDESKQQNNIENVPESDAIGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCPPCLILILVLLPCSDSLNNEREVVMVV >Vigun09g240500.3.v1.2 pep primary_assembly:ASM411807v1:9:41049135:41052791:1 gene:Vigun09g240500.v1.2 transcript:Vigun09g240500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRGRANSSVKGGKTSSKDRKLALQQDVDRLKKKLRREENIHRALERAFNRPLGALPRLPPYLPPYTLGLLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYMSSSRMKLEQSAGVSNVSPHSSPKQVKEESLSQTLDNAARSETRPTATLPKDRHGKENQTCTNSSKSSKQSICKGQTTKSPFKKLPVDNKSPQKRWDPLKKQQELRMKDQPIAEMRNHSLHDKPKGGESPNIISENILKCLSSILLRMSTVKNLDSAGNVPHSWTPKSSKNCVEGSEFWDPYGICLEFGKREIGPYKQLCAIEAKSFDPKRTAKSLFLLHRLKLLLRKLACVNIDNLNHQEKLAFWINIYNSCMMNAYIENGIPESPEMVVALMQKATINVGGHLLSATTIEHGILRLPYHYKFTTLSKGGKNHETYGLELSEPLVTFALSCGTWSSPAVRIYTASQVENELEMAKKEYLQAAVGISTSKFLIPKLLDWYLLDFAKDLESLLDWICLQLPSDVGKEAIKLIEERKTEPLSQFVQIMTHEFNFRYLLCT >Vigun09g240500.2.v1.2 pep primary_assembly:ASM411807v1:9:41048643:41052787:1 gene:Vigun09g240500.v1.2 transcript:Vigun09g240500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRGRANSSVKGGKTSSKDRKLALQQDVDRLKKKLRREENIHRALERAFNRPLGALPRLPPYLPPYTLGLLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYMSSSRMKLEQSAGVSNVSPHSSPKQVKEESLSQTLDNAARSETRPTATLPKDRHGKENQTCTNSSKSSKQSICKGQTTKSPFKKLPVDNKSPQKRWDPLKKQQELRMKDQPIAEMRNHSLHDKPKGGESPNIISENILKCLSSILLRMSTVKNLDSAGNVPHSWTPKSSKNCVEGSEFWDPYGICLEFGKREIGPYKQLCAIEAKSFDPKRTAKSLFLLHRLKLLLRKLACVNIDNLNHQEKLAFWINIYNSCMMNAYIENGIPESPEMVVALMQKATINVGGHLLSATTIEHGILRLPYHYKFTTLSKGGKNHETYGLELSEPLVTFALSCGTWSSPAVRIYTASQVENELEMAKKEYLQAAVGISTSKFLIPKLLDWYLLDFAKDLESLLDWICLQLPSDVGKEAIKLIEERKTEPLSQFVQIMTHEFNFRYLLCT >Vigun09g240500.4.v1.2 pep primary_assembly:ASM411807v1:9:41049150:41052792:1 gene:Vigun09g240500.v1.2 transcript:Vigun09g240500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSRGRANSSVKGGKTSSKDRKLALQQDVDRLKKKLRREENIHRALERAFNRPLGALPRLPPYLPPYTLGLLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYMSSSRMKLEQSAGVSNVSPHSSPKQVKEESLSQTLDNAARSETRPTATLPKDRHGKENQTCTNSSKSSKQSICKGQTTKSPFKKLPVDNKSPQKRWDPLKKQQELRMKDQPIAEMRNHSLHDKPKGGESPNIISENILKCLSSILLRMSTVKNLDSAGNVPHSWTPKSSKNCVEGSEFWDPYGICLEFGKREIGPYKQLCAIEAKSFDPKRTAKSLFLLHRLKLLLRKLACVNIDNLNHQEKLAFWINIYNSCMMNAYIENGIPESPEMVVALMQKATINVGGHLLSATTIEHGILRLPYHYKFTTLSKGGKNHETYGLELSEPLVTFALSCGTWSSPAVRIYTASQVENELEMAKKEYLQAAVGISTSKFLIPKLLDWYLLDFAKDLESLLDWICLQLPSDVGKEAIKLIEERKTEPLSQFVQIMTHEFNFRYLLCT >Vigun09g240500.1.v1.2 pep primary_assembly:ASM411807v1:9:41048643:41052787:1 gene:Vigun09g240500.v1.2 transcript:Vigun09g240500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSIRAKLQHKKPPITVSHERAEMRESSRGRANSSVKGGKTSSKDRKLALQQDVDRLKKKLRREENIHRALERAFNRPLGALPRLPPYLPPYTLGLLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYMSSSRMKLEQSAGVSNVSPHSSPKQVKEESLSQTLDNAARSETRPTATLPKDRHGKENQTCTNSSKSSKQSICKGQTTKSPFKKLPVDNKSPQKRWDPLKKQQELRMKDQPIAEMRNHSLHDKPKGGESPNIISENILKCLSSILLRMSTVKNLDSAGNVPHSWTPKSSKNCVEGSEFWDPYGICLEFGKREIGPYKQLCAIEAKSFDPKRTAKSLFLLHRLKLLLRKLACVNIDNLNHQEKLAFWINIYNSCMMNAYIENGIPESPEMVVALMQKATINVGGHLLSATTIEHGILRLPYHYKFTTLSKGGKNHETYGLELSEPLVTFALSCGTWSSPAVRIYTASQVENELEMAKKEYLQAAVGISTSKFLIPKLLDWYLLDFAKDLESLLDWICLQLPSDVGKEAIKLIEERKTEPLSQFVQIMTHEFNFRYLLCT >Vigun05g219700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41113040:41114012:-1 gene:Vigun05g219700.v1.2 transcript:Vigun05g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGALVVATTVGVVETLKDQGYCRMNSTMKTVAQHAKNQIGSTTQAKKLDFSSSSPSSSSAISNKLRDEKRRNAEESLRTVMYLSTWGPNS >Vigun01g021900.3.v1.2 pep primary_assembly:ASM411807v1:1:2322250:2324855:1 gene:Vigun01g021900.v1.2 transcript:Vigun01g021900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPSIMDKVAGQLHLRSGFSSGIKSYDGAFHHPAMYQRPSFGNYSNAALQYPVMSSCKTTMDLSAAATTTSPVFAAAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTMADEGAISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGERQFKGLVDVYRKTLASDGIAGLYRGFNLSCVGIIVYRGLYFGLYDSVKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKNSLDAFQQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLVFGKKYGSGGA >Vigun01g021900.2.v1.2 pep primary_assembly:ASM411807v1:1:2321287:2324855:1 gene:Vigun01g021900.v1.2 transcript:Vigun01g021900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQHPSIMDKVAGQLHLRSGFSSGIKSYDGAFHHPAMYQRPSFGNYSNAALQYPVMSSCKTTMDLSAAATTTSPVFAAAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTMADEGAISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGERQFKGLVDVYRKTLASDGIAGLYRGFNLSCVGIIVYRGLYFGLYDSVKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKNSLDAFQQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLVFGKKYGSGGA >Vigun01g021900.1.v1.2 pep primary_assembly:ASM411807v1:1:2321417:2324855:1 gene:Vigun01g021900.v1.2 transcript:Vigun01g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLPQRKATMVDQVQHPSIMDKVAGQLHLRSGFSSGIKSYDGAFHHPAMYQRPSFGNYSNAALQYPVMSSCKTTMDLSAAATTTSPVFAAAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTMADEGAISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGERQFKGLVDVYRKTLASDGIAGLYRGFNLSCVGIIVYRGLYFGLYDSVKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKNSLDAFQQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLVFGKKYGSGGA >Vigun02g146500.1.v1.2 pep primary_assembly:ASM411807v1:2:29454674:29458622:1 gene:Vigun02g146500.v1.2 transcript:Vigun02g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALQQSYLTRRANSFRGSAQLDSSGDGAVKSPATIFWLVIHGLCCLISLVLGFRFSRLVFFFLFSTSLYTAPFRGGADIAAPLNVPPAANRTAAVSTASRVVVGRHGIRIRPWPHPDPVEVMKAHRIIERVQREQRSLFGVKNPRTVIAVTPTYVRTFQTLHLTGVMHALMLVPYDLVWIVVEAGGVTNETASIIAKSGLRTIHVGVSQRMPNSWDGRHKLESRMRLHALRVVRKEKLDGVVVFADDSNMHSMELFDEAQNVKWIGAVSVGILLHSDDSSSMVQTEEEGAVMPVQGPACNATDKLVGWHTFNSLRYTGRSAVYIDDKAPVLPTKLEWSGFVLNSRLVWKDVDDKPEWVKDLDELDGVDEGIESPLSLLKTTSVVEPLGNCGRQVLLWWLRVEARTDSKFPSQWIIDPPLDITIPSKRTPWPDTPPELPSNNEKVLIGGQEQINKPSTRTKSPRSRRSRSKRKHESKVIGVQVSTHSEQN >Vigun05g029400.1.v1.2 pep primary_assembly:ASM411807v1:5:2349922:2351271:-1 gene:Vigun05g029400.v1.2 transcript:Vigun05g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRNRRCMCRIVWKRLLKCEIMVAMMGCVATEKEWKKGPWTGEEDKLLSEYVSFYGEGRWSSVAKFTGLNRSGKSCRLRWVNYLKPGLKKGQLTPLEEGTIMELHAILGNKWSTIAKYLPGRTDNEIKNYWRTHFGKREKSKHKKLQRRQKEQQQERQPEKCDFKNIMCHKDTTNETKSFETQNNKLQEIGSMNPTMDNQYNNTVPSMHEGFSSTWPDTTVDDGLWFGLWDFDESLSFADYVNQFSKCGMPNESTFYVGGDSTLSDDKTCCFDDAYGNLFYGKDIFG >Vigun02g095000.2.v1.2 pep primary_assembly:ASM411807v1:2:25039946:25048971:1 gene:Vigun02g095000.v1.2 transcript:Vigun02g095000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGSSAYCVAQAFPPFFRLSNKTMFLLSTPPTTFFPTPTTPRVPLLSSTASSSSTASSSSSSISLRSSTAPSPSCSSVTPKDNSLVSAKHSHPNMSASVSSRTFLNARSEQDVFAGIKKEVEAGSLPANVAAGMQEVYNNYKSAVIQSGDPKANEIVLSNMIALLDRVFLDVTDPFVFQPHHKAKREPFDYYVFGQNYIRPLVDFKNSYVGNMPLFIEMEEKLKQGHNIILMSNHQTEADPAIIALLLETRLPYIAENLTYVAGDRVITDPLSKPFSIGRNLICVYSKKHMLDDPALVETKRTANIRALKEMAMLLRNGSQIVWIAPSGGRDRPDAQTREWVPAPFDISSVDNMRRLVEHSGPPGHVYPLAILCHDIMPPPLKVEKEIGEKRIISFHGAGISVAPAISFSEATATCENPENAKDVFTKALYDSVTEQYNVLKSAIHGKKGFEASTPVVSLSQPWK >Vigun02g095000.3.v1.2 pep primary_assembly:ASM411807v1:2:25039946:25049784:1 gene:Vigun02g095000.v1.2 transcript:Vigun02g095000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGSSAYCVAQAFPPFFRLSNKTMFLLSTPPTTFFPTPTTPRVPLLSSTASSSSTASSSSSSISLRSSTAPSPSCSSVTPKDNSLVSAKHSHPNMSASVSSRTFLNARSEQDVFAGIKKEVEAGSLPANVAAGMQEVYNNYKSAVIQSGDPKANEIVLSNMIALLDRVFLDVTDPFVFQPHHKAKREPFDYYVFGQNYIRPLVDFKNSYVGNMPLFIEMEEKLKQGHNIILMSNHQTEADPAIIALLLETRLPYIAENLTYVAGDRVITDPLSKPFSIGRNLICVYSKKHMLDDPALVETKRTANIRALKEMAMLLRNGSQIVWIAPSGGRDRPDAQTREWVPAPFDISSVDNMRRLVEHSGPPGHVYPLAILCHDIMPPPLKVEKEIGEKRIISFHGAGISVAPAISFSEATATCENPENAKDVFTKALYDSVTEQYNVLKSAIHGKKGFEASTPVVSLSQPWK >Vigun02g095000.1.v1.2 pep primary_assembly:ASM411807v1:2:25039946:25048971:1 gene:Vigun02g095000.v1.2 transcript:Vigun02g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGSSAYCVAQAFPPFFRLSNKTMFLLSTPPTTFFPTPTTPRVPLLSSTASSSSTASSSSSSISLRSSTAPSPSCSSVTPKDNSLVSAKHSHPNMSASVSSRTFLNARSEQDVFAGIKKEVEAGSLPANVAAGMQEVYNNYKSAVIQSGDPKANEIVLSNMIALLDRVFLDVTDPFVFQPHHKAKREPFDYYVFGQNYIRPLVDFKNSYVGNMPLFIEMEEKLKQGHNIILMSNHQTEADPAIIALLLETRLPYIAENLTYVAGDRVITDPLSKPFSIGRNLICVYSKKHMLDDPALVETKRTANIRALKEMAMLLRNGSQIVWIAPSGGRDRPDAQTREWVPAPFDISSVDNMRRLVEHSGPPGHVYPLAILCHDIMPPPLKVEKEIGEKRIISFHGAGISVAPAISFSEATATCENPENAKDVFTKALYDSVTEQYNVLKSAIHGKKGFEASTPVVSLSQPWK >Vigun02g095000.4.v1.2 pep primary_assembly:ASM411807v1:2:25039946:25049784:1 gene:Vigun02g095000.v1.2 transcript:Vigun02g095000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGSSAYCVAQAFPPFFRLSNKTMFLLSTPPTTFFPTPTTPRVPLLSSTASSSSTASSSSSSISLRSSTAPSPSCSSVTPKDNSLVSAKHSHPNMSASVSSRTFLNARSEQDVFAGIKKEVEAGSLPANVAAGMQEVYNNYKSAVIQSGDPKANEIVLSNMIALLDRVFLDVTDPFVFQPHHKAKREPFDYYVFGQNYIRPLVDFKNSYVGNMPLFIEMEEKLKQGHNIILMSNHQTEADPAIIALLLETRLPYIAENLTYVAGDRVITDPLSKPFSIGRNLICVYSKKHMLDDPALVETKRTANIRALKEMAMLLRNGSQIVWIAPSGGRDRPDAQTREWVPAPFDISSVDNMRRLVEHSGPPGHVYPLAILCHDIMPPPLKVEKEIGEKRIISFHGAGISVAPAISFSEATATCENPENAKDVFTKALYDSVTEQYNVLKSAIHGKKGFEASTPVVSLSQPWK >Vigun10g187700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40361133:40364203:1 gene:Vigun10g187700.v1.2 transcript:Vigun10g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFTFFQPTVIRASAGSLGKPDPNNRKPVSSNWWAPLFGWSAEADYISPKPSGKPDPELNRPGSKFAAGCFTEEKAKQLRKKTVETSTFHDIMYHSAIASRLASDVSKGYDK >Vigun01g114900.1.v1.2 pep primary_assembly:ASM411807v1:1:29112225:29126739:-1 gene:Vigun01g114900.v1.2 transcript:Vigun01g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKVLDPAFQGVGQKVGTEIWRIENFQPVPLPRSEYGKFYMGDSYIILQTTQGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDASLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCRGKRVVRIKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQLLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIVPEAIPAQLYSIADGEVKPVEGELSKSLLENYKCYLLDCGAEVFVWVGRVTQVEERKAACQAAEEFVASQKRPKSTRITRIIQGYETHSFKSNFDSWPSGSATTSADEGRGKVAAMLKQQGMGVKGAAKSTPVVEEIPPLLEGGGKIEVWQINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSSERKEDYYLCSWFGKDSVEEDQRMAIRLANTMFNSLKGRPVQGRIFDGKEPPQFIALFQPMVVLKGGVSSGYKKFIADKGLPDETYTADSIALIRISGTSPHNNKVVQVDAIAASLNSTECFVLQSGSAVFTWHGNQCSLEQQQLATKVAEFLKPGVSLKLAKEGTETSAFWFAVGGKQSYTSKKATNDIVRDAHLFTFSFNRGKLQVEEIYNFSQDDLLTEDILILDTHAEVFVWIGQCVDPKEKQNAFEIAQKYIDKAASLDGLSPQVPLYKVTEGNEPCFFTAYFSWDHAKALVPGNSFQKKVTLLFGIGHAVEEKSNGSSQGGPRQRAEALAALNSAFKSSPEAAASADKLNGLNQGGPRQRAEALAALNSAFNSSSGAKIYTPRSSGRSQGSQRAAAVAALSSVLTAEKKKTSPETSPVASTSPVVENTNFGEKPSSIPDTKSESAPSETDVVEEVVPEVKETQEPATETGTNGDSEPKQENVDNGGNDSENNQNVFTYEQLKTKSGSVVSGIDLKRREAYLSDKEFETVFGMSKEAFTKLPRWKQDMLKRKVDLF >Vigun04g075900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9690421:9691221:1 gene:Vigun04g075900.v1.2 transcript:Vigun04g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPHIPPPTRGHAVNAVNASHHRQCRQREPSYLSHFFSSFLLPEHEAPSSHLRSQPRPATTVPTTTIRTREHHGSPTSGLRDPDRHRCGPSLSFFFLRETDAQASLPLFSSVARTASASFSQTTTTEAAIVASSP >Vigun08g018900.2.v1.2 pep primary_assembly:ASM411807v1:8:1602643:1605385:1 gene:Vigun08g018900.v1.2 transcript:Vigun08g018900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRISYLPGHVIDQILSHLSIKEAVRTSVLSSKWRYKWATLPNLVFDNECGSAVTQDHMIVKNKFLRTVDHVLLLHSGPINKFKLSHRDLLGVTDIDRWTLHVSRKSVKEFVLEIWKGQRYKIHSCLFCCQSLTHLELFNCWLKPPSTFKGFKNLKSLDLQHVTLAQDVFENLISSCPVLERLTLMNFDGFTHLNIDTPNLLFFDIGGKFEDISFENTFQLAVVSIGLYVNFEIYQSRFHGGSSNLLKFFVHLPHLQRLEIQSYFLKYLAVGVVPINLPRPCIDLSYLSIRINLNDLKEISAALCLLRSSPNLQELEILARQEDQTLLITHNYCWEDVFFACPEMMHMRNVKIDGISGIKPELDFISFLLLHCPVLERMTVIPVLNIGTELMKQLLRCRRTSRQAEIIYLDP >Vigun08g018900.1.v1.2 pep primary_assembly:ASM411807v1:8:1602205:1605385:1 gene:Vigun08g018900.v1.2 transcript:Vigun08g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPPESRCLTDMESDRISYLPGHVIDQILSHLSIKEAVRTSVLSSKWRYKWATLPNLVFDNECGSAVTQDHMIVKNKFLRTVDHVLLLHSGPINKFKLSHRDLLGVTDIDRWTLHVSRKSVKEFVLEIWKGQRYKIHSCLFCCQSLTHLELFNCWLKPPSTFKGFKNLKSLDLQHVTLAQDVFENLISSCPVLERLTLMNFDGFTHLNIDTPNLLFFDIGGKFEDISFENTFQLAVVSIGLYVNFEIYQSRFHGGSSNLLKFFVHLPHLQRLEIQSYFLKYLAVGVVPINLPRPCIDLSYLSIRINLNDLKEISAALCLLRSSPNLQELEILARQEDQTLLITHNYCWEDVFFACPEMMHMRNVKIDGISGIKPELDFISFLLLHCPVLERMTVIPVLNIGTELMKQLLRCRRTSRQAEIIYLDP >Vigun07g037000.1.v1.2 pep primary_assembly:ASM411807v1:7:3556483:3559236:1 gene:Vigun07g037000.v1.2 transcript:Vigun07g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETDSRDSSLTLLKQGAEARVFESSFVGRRCVVKERFSKKYRHPTLDSKLTLKRLNAEARCVTKARKLGVCTPVLYAVDTVLHTLTFEYVEGPSVKDVFLEFGSRGLGEEGLDNIATQIGHAIGKLHDGGLVHGDLTTSNMLLKNGTNELVLIDFGLSFTSTLPEDKAVDLYVLERAILSMHSSCGNVMDRILAAYRKSSKQWSSTLNKLAQVRQRGRKRTMVG >Vigun06g176100.1.v1.2 pep primary_assembly:ASM411807v1:6:29623133:29630563:-1 gene:Vigun06g176100.v1.2 transcript:Vigun06g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKDQIATLLENGLYNSAQMLGCFLVSSPAANAESAPHLKTESLVLLGDSFFREREYRRAIHTYKQALQYYKMIPKQSMTSRGSLSSNRSSSPNSCNGSVINENEVKFKIASSHCFLNENKAALVEMEGIPNKARNLAMNLLLGKLYRVSRHSRAAVAIYKDCIRHCPYILEAITALAELGSTAKDIISLFPQTPNRSARAPFDHTDASRWLQRYVEAQCCMASNDYKGGLELFADLLQRFPNNTHLLLEMAKVESIIGKNEEAIMNFEKARSIDPYIITYMDEYAMLLKLKCDYPKLNKLVHDLLNIDPARPEVFVALSVLWERKDEKKSLQYAEQSIRIDERHIPGYIMKGNLLLTMKRAEAAVSAFRSAQELRPDIRSYQGLVHTYLALSKIKEALYASREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYQAALRLNTQNEAAKRGLERLEKQMKGVDPDAPEEDEDNDVEDADGDQDETELL >Vigun04g145800.1.v1.2 pep primary_assembly:ASM411807v1:4:36125109:36133103:-1 gene:Vigun04g145800.v1.2 transcript:Vigun04g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSEPHRRRRHHNNHIATFLQSTASNFASLFNPPNPPSLTLPHPPSSLSLPLLFAPPLSASNALDSTASTAEPARPAAKSVSVARLGANGKGGSGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKIFAAITKSERNGPVFRFFIDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAISQHGVRKKVDGVPVFSAENLDIAIATTDGIKWYTPYFFDKNMLDNILEEAVDQHFHTLIQTRHMQRRRDVVDDNLAAEVIEEMGDSLGEPPEVQELLDEMGHPSIPLSVISKAAELQFHYTVDKVFLGNRWLRKATGIQPKFPYLVDSFERRSEASYLRATESRRCLENPEVEDDRKISECINSSNCSLDDNTEAIRQPSFRLNLPFGNWFHHLWPKQCDEKAGSSKKGVNKEDTKATPFLPKVTMVGLSTEEAGQMSKANLKKTMEDLTKELEKTELDNMSGGDCKEGTMEDRDPLFVANVGDYYSSLGRAGSHRWVRGGTN >Vigun09g210400.11.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVCYGWAFSIY >Vigun09g210400.4.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKVCSLVSCVAINVFCIVPDWH >Vigun09g210400.6.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKVCSLVSCVAINVFCIVPDWH >Vigun09g210400.3.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRVSSRFFRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKDLFTISFGWIIFGGLPFDFWNVLGQLLGFVGSGLFAYNKLIGK >Vigun09g210400.13.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRVSSRFFRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKVCSLVSCVAINVFCIVPDWH >Vigun09g210400.9.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVCYGCFVFFLHTCFLPELQYFSEHNSQFSSGSDNMW >Vigun09g210400.14.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRVSSRFFRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMVVISVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKDLFTISFGWIIFGGLPFDFWNVLGQLLGFVGSGLFAYNKLIGK >Vigun09g210400.12.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRVSSRFFRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKVCSLVSCVAINVFCIVPDWH >Vigun09g210400.8.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMVVISVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKDLFTISFGWIIFGGLPFDFWNVLGQLLGFVGSGLFAYNKLIGK >Vigun09g210400.2.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVCYGCFVFFLHTCFLPELQYFSEHNSQFSSGSDNMW >Vigun09g210400.5.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKVCSLVSCVAINVFCIVPDWH >Vigun09g210400.10.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVCYGCFVFFLHTCFLPELQYFSEHNSQFSSGSDNMW >Vigun09g210400.1.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIVVLFFSCILAFFLNYSIFLNTTLNSALAQTICGNLKDLFTISFGWIIFGGLPFDFWNVLGQLLGFVGSGLFAYNKLIGK >Vigun09g210400.7.v1.2 pep primary_assembly:ASM411807v1:9:38457616:38463516:1 gene:Vigun09g210400.v1.2 transcript:Vigun09g210400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSYSAENLMLPVSDPPNEEDRERLLKSDSKTFRGRRIYAAISYMSCAVLLILFNKAVLSSYGFPSSSIVTLLQMVCSCCFLYVLRRWRMISLIAGESVITADNSKKFVPLRTLKHTLPLAGAYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMLVEYMLMGHRYTSSVAFSVGLIVFGAFIAGARDFSFDTYGYALVFLSNITTAIYLAMIARVGKTSGLNSFGLMWCNGIICGPFLFIWTLVQGDLKMTLNFPYLFSPGFIDLFTISFGWIIFGGLPFDFWNVLGQLLGFVGSGLFAYNKLIGK >Vigun09g007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:533822:534882:1 gene:Vigun09g007100.v1.2 transcript:Vigun09g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTQTTNYSEQFPLSNSPLIFLRKTVDTWTAEYNACGGICELELAKTNADQKQNQDLTISIRDHASNHTSDYVTYKMEVSLKMGLLSGDVTVNGPSTMLRPPQCKLPTAQGGVLKSSAFFYGTDAERMGLVVMLRARTHDDDDELPYMITVKHYFFSAYYSHGVSLVAKMCSNGEKLLKIEIEKPSKHSKGELLKMFDDVKVRGWWPDPTSSDWALKLLLPNQNDNPVPSNNNTKGLINNGGHVNGNGNGSIIVHKHYYRSRRLKIWFEGFGGKQ >Vigun04g129900.3.v1.2 pep primary_assembly:ASM411807v1:4:32573653:32585588:1 gene:Vigun04g129900.v1.2 transcript:Vigun04g129900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWDSPRQDLIVDRLSECEKQKLLNLAKIQNDGTVDVDLEKDASLVSELLKFQSSEESTTMSASVVSESKKSAPWLQIVMLVVGTRGDVQPFLAMAKKLQDYGHRVRLATHADFDTFVKSAGVDFYPLGGDPRALARYMVRNKGIIPSGPTEISIQRKQLKAIIDSLLPACIEPDLETGIPFRAQAIIANPTACGHTHIAEALGVPLHIFFTMPWTPTYEFSHPLARVPQSAGYWLSYIIVDVLIWWGIRGLINDFRKRKLKLAPIAYFSMYSGSITHLPTGYMWSPHVVPKPTDWGPLVDVVGYCFLNLGSKYQPQEDFVRWIQKGPKPLYFGFGSMPLDDPKRTTDIIVEALKDTGQRGIIDRGWGNLGNSAEVPENVFVLEECPHDWLFPQCSALVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYQRGLGPVPIPISELSLENLTNAIKFMLQPEVKSQAMAIAKLIENEDGVTAAVDAFHRHLPPELPLPTPSPVVEDHANPLQWFFLQLAKLCTLPCGSV >Vigun04g129900.2.v1.2 pep primary_assembly:ASM411807v1:4:32573653:32585588:1 gene:Vigun04g129900.v1.2 transcript:Vigun04g129900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWDSPRQDLIVDRLSECEKQKLLNLAKIQNDGTVDVDLEKDASLVSELLKFQSSEESTTMSASVVSESKKSAPWLQIVMLVVGTRGDVQPFLAMAKKLQDYGHRVRLATHADFDTFVKSAGVDFYPLGGDPRALARYMVRNKGIIPSGPTEISIQRKQLKAIIDSLLPACIEPDLETGIPFRAQAIIANPTACGHTHIAEALGVPLHIFFTMPWTPTYEFSHPLARVPQSAGYWLSYIIVDVLIWWGIRGLINDFRKRKLKLAPIAYFSMYSGSITHLPTGYMWSPHVVPKPTDWGPLVDVVGYCFLNLGSKYQPQEDFVRWIQKGPKPLYFGFGSMPLDDPKRTTDIIVEALKDTGQRGIIDRGWGNLGNSAEVPENVFVLEECPHDWLFPQCSALVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYQRGLGPVPIPISELSLENLTNAIKFMLQPEVKSQAMAIAKLIENEDGVTAAVDAFHRHLPPELPLPTPSPVVEDHANPLQWFFLQLAKLCTLPCGSV >Vigun04g129900.1.v1.2 pep primary_assembly:ASM411807v1:4:32573653:32585588:1 gene:Vigun04g129900.v1.2 transcript:Vigun04g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDELVTVDNVSRYELESTSSEKEENWSENLEQNSSDVNSTSSEVNSTLGVGLDHFIPEPVGTESNLLVAADNEILFPKSMMEFWDSPRQDLIVDRLSECEKQKLLNLAKIQNDGTVDVDLEKDASLVSELLKFQSSEESTTMSASVVSESKKSAPWLQIVMLVVGTRGDVQPFLAMAKKLQDYGHRVRLATHADFDTFVKSAGVDFYPLGGDPRALARYMVRNKGIIPSGPTEISIQRKQLKAIIDSLLPACIEPDLETGIPFRAQAIIANPTACGHTHIAEALGVPLHIFFTMPWTPTYEFSHPLARVPQSAGYWLSYIIVDVLIWWGIRGLINDFRKRKLKLAPIAYFSMYSGSITHLPTGYMWSPHVVPKPTDWGPLVDVVGYCFLNLGSKYQPQEDFVRWIQKGPKPLYFGFGSMPLDDPKRTTDIIVEALKDTGQRGIIDRGWGNLGNSAEVPENVFVLEECPHDWLFPQCSALVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYQRGLGPVPIPISELSLENLTNAIKFMLQPEVKSQAMAIAKLIENEDGVTAAVDAFHRHLPPELPLPTPSPVVEDHANPLQWFFLQLAKLCTLPCGSV >Vigun02g006200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2759598:2761454:-1 gene:Vigun02g006200.v1.2 transcript:Vigun02g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLYHLPFTLLSLPKSPSSNVSTIRLTSTVAIHLDIKRCNLVIARLCQNGKIVHARKVFDEILERDSGLWTTMISGYLKCGMIREARKLFDRLDAKKNVVTWTAMVNGYIRHNQIKEAERLFYEMPLRNVVSWNTMIDGYARNGLTQQALDLFRRMPERNVVSWNTIITTLVQCGRVDDAQRLFDQMKERDVVSWTTMVAGFSKNGRVEDARALFDRMPDRNVVSWNAMITGYAQNGRLDEALDLFQRMPERNMPSWNTMITGFIQNGELNRAEELFCVMRVKNVITWTAMMTGYVQHGLSEEALKVFNKMLAADGLKPNTGTFVTVLGACSDLAGLTEGQQIHQIISKTNFQDSTCVVSALINMYSKCGELRIARKMFDDGLLRQRDLISWNGMIAAYAHHGYSKEAINLFKEMQELGVPANDVTFVGLLTACSHTGLVEEGLKYFDEILKNKSIELREDHCACLIDLCGRAGRLKEAFNIIEGLGEEASLTVWGALLAGCNVHGNADIGKLVAEKILKIDPQNAGTYSLLSNMYASAGKWKEAANVRMKMKDKGLKKQPGCSWIEVGNSVQVFVVGDKSHSQYKLLGHLLDDLHTKMKKARETPEDDLLVNVEL >Vigun09g137750.1.v1.2 pep primary_assembly:ASM411807v1:9:29929644:29933216:-1 gene:Vigun09g137750.v1.2 transcript:Vigun09g137750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMIKDIDGKRETLKFAVRIVDLWYVESWESKRSMEMVLMDQKGDAIVAMIKKEDMGVWEEKLKEGESYIMHNFKLLKNKAQYRVCEHPFKLLFIGATSIRPQPIASIPRKLWKFKSIKDIIDGKYCSDLLVDVIGMLDNVEEKGHSKNVVFDLKDLSGAIICCTLWDSYCEKLLSYWRTCSQTSNVAIILTQAKIKPASGPWPVSLSNSWNGSKLIIGDDIPELINFKKQFAEYKLEIQVTDGKKVANFMLWDQDCMNLIGVSAADLRKKMIKHGEDDPKCFPEDLDVILGCTCAFKVKLQGKNRPASVIRVSTDVEIIDHVKALLGHEEASFVGECIVSCPSDIVPDTSNSSPMVIGKCSNAILLDVPTSSGTSLAIELVECIGNAACDLTTDTDSSLMCLSSTADDEPDIVLCMMPSKDVSAPIDDVPDIPSSIMEFDFLEDIPLAQLSATKTTKPTKSIKKEKL >Vigun06g028700.2.v1.2 pep primary_assembly:ASM411807v1:6:12701649:12704556:1 gene:Vigun06g028700.v1.2 transcript:Vigun06g028700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNEGCAVGIDLGTTYSCVAVWQEQQGRVEIIHNDQGNNITPSFVAFNDKQRLIGDAAKNQAATNPENTVFDAKRLIGRKYSDPIVQKDKRLWPFKVIPGDGDKPMIVVNYKGQQKYLSAEEISSMVLSKMREIAETFQGKPVRNAVVTVPAHFNDSQRKATVDAGTIAGLNVMRIINEPTAAAIAYGFDKGIRSVGERNLLVFDLGGGTFDVSILTVKDKVFQVKVTAGNTHLGGEDIDNRMVEYFMEEIKRKYRVDINGNPRALRRLRTACERAKRTLSSTLTTNIEIDALFQGIDFCSSITRAKFDEINMRLFQECLETVDNCLNDAKMDKTSVHDIVLVGGSSRIPKVQQLLQDFFNGKDLCKSIHPDEAVAYGAAVQAASLCEDIQNIPDLVLLDVTPLSLGTYTTGDIMGVMIPRNTRIPVKKTEVYATVYDNQTCASVKVYEGERTKASNNNLLGLFSITFPPAPRGSPVLVCFVIDENGTLSVSAEDQTTGNKNQISITNSRERLSAEEMSRMIEEAKIYEAEDRKFLRKAKAMNSLHDYVYKMTNALKDKYTRSKLSLQQRLNISTAITKVTCLLDDDNHQVDVDVFEDYLKELESLFDQIFSIND >Vigun06g028700.1.v1.2 pep primary_assembly:ASM411807v1:6:12701815:12704547:1 gene:Vigun06g028700.v1.2 transcript:Vigun06g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNEGCAVGIDLGTTYSCVAVWQEQQGRVEIIHNDQGNNITPSFVAFNDKQRLIGDAAKNQAATNPENTVFDAKRLIGRKYSDPIVQKDKRLWPFKVIPGDGDKPMIVVNYKGQQKYLSAEEISSMVLSKMREIAETFQGKPVRNAVVTVPAHFNDSQRKATVDAGTIAGLNVMRIINEPTAAAIAYGFDKGIRSVGERNLLVFDLGGGTFDVSILTVKDKVFQVKVTAGNTHLGGEDIDNRMVEYFMEEIKRKYRVDINGNPRALRRLRTACERAKRTLSSTLTTNIEIDALFQGIDFCSSITRAKFDEINMRLFQECLETVDNCLNDAKMDKTSVHDIVLVGGSSRIPKVQQLLQDFFNGKDLCKSIHPDEAVAYGAAVQAASLCEDIQNIPDLVLLDVTPLSLGTYTTGDIMGVMIPRNTRIPVKKTEVYATVYDNQTCASVKVYEGERTKASNNNLLGLFSITFPPAPRGSPVLVCFVIDENGTLSVSAEDQTTGNKNQISITNSRERLSAEEMSRMIEEAKIYEAEDRKFLRKAKAMNSLHDYVYKMTNALKDKYTRSKLSLQQRLNISTAITKVTCLLDDDNHQVDVDVFEDYLKELESLFDQIFSIND >Vigun08g078300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15478646:15478807:-1 gene:Vigun08g078300.v1.2 transcript:Vigun08g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun07g151100.3.v1.2 pep primary_assembly:ASM411807v1:7:26133994:26138307:-1 gene:Vigun07g151100.v1.2 transcript:Vigun07g151100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASILEIEPKELKFIFELKKQSSCSVRLTNNTFDYVAFKVKTTSPKKYSVRPNVGVLVPKATSEFIVTMQAQKEAPEDMVCKDKFLIQGTVVPAETTTDEVTSSLFVKDGSKYIEENKLKVTLISPPNSPDLSPINGDFKNGLDHGKVQIYSKDETQSPQPMVRVLKNPVMVHEVLKLEEDMELRPDYYMGLDNMKDVEEPNEEAQLKVSKDKELNMVKDVELKPQKKLGVEVSKDADLNNVKNAEELKPDKATQFKVSNVMDYNKARNAEELKPEKATELKVSKVRDLNKVKNTEELKPESAAGLKVSEVKNLNPVNSVKELNPETELKMSKDLEFKTINNVEEPKPGNVEELKMSKDMELKALKNVEELKLEKEAELRVSKSIEELKLLKAIEEMKLKLDGLESKLNESGVTISKLTEERRLSNHETKILQEKLADLINKGPRKVQVGFPLLYVCMVALVCVFLGYRLHS >Vigun07g151100.2.v1.2 pep primary_assembly:ASM411807v1:7:26133881:26138402:-1 gene:Vigun07g151100.v1.2 transcript:Vigun07g151100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASILEIEPKELKFIFELKKQSSCSVRLTNNTFDYVAFKVKTTSPKKYSVRPNVGVLVPKATSEFIVTMQAQKEAPEDMVCKDKFLIQGTVVPAETTTDEVTSSLFVKDGSKYIEENKLKVTLISPPNSPDLSPINGDFKNGLDHGKVQIYSKDETQSPQPMVLKNPVMVHEVLKLEEDMELRPDYYMGLDNMKDVEEPNEEAQLKVSKDKELNMVKDVELKPQKKLGVEVSKDADLNNVKNAEELKPDKATQFKVSNVMDYNKARNAEELKPEKATELKVSKVRDLNKVKNTEELKPESAAGLKVSEVKNLNPVNSVKELNPETELKMSKDLEFKTINNVEEPKPGNVEELKMSKDMELKALKNVEELKLEKEAELRVSKSIEELKLLKAIEEMKLKLDGLESKLNESGVTISKLTEERRLSNHETKILQEKLADLINKGPRKVQVGFPLLYVCMVALVCVFLGYRLHS >Vigun07g151100.1.v1.2 pep primary_assembly:ASM411807v1:7:26133994:26138307:-1 gene:Vigun07g151100.v1.2 transcript:Vigun07g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASILEIEPKELKFIFELKKQSSCSVRLTNNTFDYVAFKVKTTSPKKYSVRPNVGVLVPKATSEFIVTMQAQKEAPEDMVCKDKFLIQGTVVPAETTTDEVTSSLFVKDGSKYIEENKLKVTLISPPNSPDLSPINGDFKNGLDHGKVQIYSKDETQSPQPMVRVLKNPVMVHEVLKLEEDMELRPDYYMGLDNMKDVEEPNEEAQLKVSKDKELNMVKDVELKPQKKLGVEVSKDADLNNVKNAEELKPDKATQFKVSNVMDYNKARNAEELKPEKATELKVSKVRDLNKVKNTEELKPESAAGLKVSEVKNLNPVNSVKELNPETELKMSKDLEFKTINNVEEPKPGNVEELKMSKDMELKALKNVEELKLEKEAELRVSKSIEELKLLKAIEEMKLKLDGLESKLNESGVTISKLTEERRLSNHETKILQEKLILLIKVQEKFKSDSHFYTSVWWRLSVSSWDTVCTRNTVCRKLLTF >Vigun09g177050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34911003:34911374:-1 gene:Vigun09g177050.v1.2 transcript:Vigun09g177050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSSHGCLLQPLLMVTTITVVATTAPNKHLYYSRQQPLPPHSHRCHHQHQQSGHHNSRCHKFSSANPMVLPCIPVPYHFMSDHRRGGKHNQLSPRDHSSMLFPSTNFSQPFVLNIFLINYS >Vigun08g170300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34106720:34109686:-1 gene:Vigun08g170300.v1.2 transcript:Vigun08g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHHNGNNPFLFFHGSYKKPLTKRNPFPLSPKVPSFPSSTATPVLQVSRVHFIHSHFSAKRLSRQVKGKVEDVLLDYLHSSRGYSFVDAEFISKNSPSFVQGLVSEFHGQDDDVATCLRKWLWYNPINEFEPFFESLGISPHELPLFLPRGMMYLSDDHVMLANFHALSNYGVPRNRVGKIYREAKEVFGYPNGLLLSKFRGYENLGLSRSMVIKLVVCCPSLLVGETSVELAMVLDWLKKIDIGHDWIGNYMSCLKTYDPRRMLDAMQFFHEVGYTEEQMHNFFKENPALLLEGLEKKLYVFLGLAFKLGLNRNVIYSCFVEYPHILTTKCAKNLLGVIGFLHYLGMDIDDISRILSNHMHLLSSHPLKGPKAVCSQLKLRKADLCQIIKDDPLKLISLASKLKQNSNLQLLRDDPSKHLGKTTFLLKLGYAENSEEMAKAVKRFRGRGDQLQERFDCLVEAGLDYNIALKMIKCAPMILNQKKVVIQKKIDFLRNILGYPLDCVVAFPAYLCYDLERIMLRFSMYAWVAERKAAKPMMALSTILASSDDKFVKYIVNAHPEGPAIWDGLKKLLLKDKSQLFP >Vigun01g078975.3.v1.2 pep primary_assembly:ASM411807v1:1:22268804:22270071:1 gene:Vigun01g078975.v1.2 transcript:Vigun01g078975.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGQKHVNYTSVFDLLKQQEDEGNNLALTSLYALESTISQRKTELTGENEKPSWTKRLLLNDKLLCSKIREEANELCQTLGNNEDKSRTASEMADVLYHSMVLLAQKDVKIEEKPFIPETIGSLNFMSSLYLKQYI >Vigun01g078975.1.v1.2 pep primary_assembly:ASM411807v1:1:22268277:22270071:1 gene:Vigun01g078975.v1.2 transcript:Vigun01g078975.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGQKHVNYTSVFDLLKQQEDEGNNLALTSLYALESTISQRKTELTGENEKPSWTKRLLLNDKLLCSKIREEANELCQTLGNNEDKSRTASEMADVLYHSMVLLAQKDVKIEEKPFIPETIGSLNFMSSLYLKQYI >Vigun01g078975.2.v1.2 pep primary_assembly:ASM411807v1:1:22267855:22270071:1 gene:Vigun01g078975.v1.2 transcript:Vigun01g078975.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGQKHVNYTSVFDLLKQQEDEGNNLALTSLYALESTISQRKTELTGENEKPSWTKRLLLNDKLLCSKIREEANELCQTLGNNEDKSRTASEMADVLYHSMVLLAQKDVKIEEKPFIPETIGSLNFMSSLYLKQYI >Vigun01g183100.1.v1.2 pep primary_assembly:ASM411807v1:1:36363104:36367132:-1 gene:Vigun01g183100.v1.2 transcript:Vigun01g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESSGDSVTCCKHCGCECSDCSMAAQSLRNWSRSVKRKHMELDRRGQISDPGPACDPVARVEIGNECEALRQAVSSQQQSIQDLYAELEEERSAASSAANETMSMILRLQREKAELQMEARQFKRFVEERTVYDQQEVLFLEDMLYKKEQAIYSLTCEVQAYKHRLMSFGLTESEAEGDQDEFPPYEYPPLRCKAMHTCADTDNDDIDIDKYAFGETPKDRLRNLESRISQMERSPTYSQVDGEFGGKNIFEKVIVGQSPRWTKHSRKFSCDSSSLCPEYMMDSPSFRKMDCPSQSGDLPNLKKMDNVSEAGDDMIDKVYTIDSEFKTGFSVCDDYASTPRGSVYNADFEDPHVKKLYMRLQALEADRESMRQAIISMRTDKAQLVLLREIAQELCKEMSEQKRMAARSFIGSFSFFTVFKWIASIVFWRKEAHKIKYMFGLPSDNEGLLMLLDKGQGPHVRSWRYLQSTQMGE >Vigun03g152800.1.v1.2 pep primary_assembly:ASM411807v1:3:16131959:16137190:-1 gene:Vigun03g152800.v1.2 transcript:Vigun03g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSMAASHSHSHFPSDSGQHRPPTRQSASKVCRVCSDQIGHGENGKLFVACHVCSFPVCQPCYEFERSEGNQCCPQCNTRYKRHKGCPRVAGDDDEEHSDADDFHDDPDENHDANHLENKDYKEQQWHPKVQALSSGGSVTGKEFEGEKEFFINGEWEERLEQWKTRQEKRGLLNKEEGKDDQGEDDYLLAEARQPLWRKVPISSSLINPYRIVIIMRLFILVFFLRFRVLTPAYDAYPLWLASVICEVWFALSWILDQFPKWFPITRETYLDRLSMRFEREGEPNLLAPVDVYVSTVDPLKEPPIITANTVLSILAVDYPVDKVCCYVSDDGASMLLFDALSETSEFARRWVPFCKKYSIEPRAPEFYFSQKIDYLKDKVHPTFVKERRAMKREYEEFKVKINSLVAKAQKKPEDGWVMQDGTPWPGNNTRDHPGMIQVYLGSGGALDVEGKELPQLVYISREKRPGYSHHKKAGAMNALVRVSAVLSNAPFMLNLDCDHYINNSKAIREAMCFLMDPQIGKKLCYVQFPQRFDGIDRHDRYANRNIVFFDINMKALDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKSKSKKKSGGGGGLFSRLYTKKKKMMGKNYVRKGSESMFDLQEIEEGLEGYDELEKSSLMSQKKFEKRFGQSPVFIESTLMENGGVPEGTNTQSRIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLGNLASVWFMGLFISIILTGVLELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAADDSEFGELYLLKWTTLLIPPTTLIMMNMVGIVAGVSEAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >Vigun02g120500.1.v1.2 pep primary_assembly:ASM411807v1:2:27304425:27315572:1 gene:Vigun02g120500.v1.2 transcript:Vigun02g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGTEDEEKFLAAGIAGLQQNSFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVADAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDAVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQASVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMPTVGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKKLSGKGKIEDNKATKQIVALLSAPLEKYNDVMTALKLSNYPRVMEYLDIPTTKVMATVIIQSIMKNGTHISTSEKVDALFGLIKGLIKDSDGVPKDELDEDDFKEEQNSVARLIQMLYNEDPEEMFKIIDTVRKHILTGGPSRLPFTVPPLVFSSLKLVRQLQGQEENPFGDDASVTPKKIFQLLNQTIETLSGVLAPELALQLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDNMKDGERVLLCLKRALRIANAAQQMSNAARGNTGSVMLFIEILNKYLYFFEKGNLQVTVAAIQGLIELIMNEMQSDTTTSDPAADAFLASTMRYIEFQKQKGGTVGEKYEALKVSHAG >Vigun05g224150.1.v1.2 pep primary_assembly:ASM411807v1:5:41668880:41669349:-1 gene:Vigun05g224150.v1.2 transcript:Vigun05g224150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRKNKTHTLCVRCGRRSFHLQKICVLDWSMVSDNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRQRGAATTA >Vigun09g126300.1.v1.2 pep primary_assembly:ASM411807v1:9:27991450:28003638:-1 gene:Vigun09g126300.v1.2 transcript:Vigun09g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKRWKMGELKPYLAMFVVQLIQSGLTLLSKAVFNRGMNTFVFIFYRQVTGALILVPLTLIHEKKSAVSVSLSFPTFCKIFANSFLGLTLSLNLQAIALVYTSATLAAAIINSLPAFTFFFAVLLRMEKVNIRTKCGVTKIASVFLCLVGVATLAFYKGPQLRIAHHHYYNHHEDHFSSTKRWILGSLLLFIGVIIWSLWLVIQAQILKSYPAKLKFTTLQCLSSAIQSFGIAVAFERDIEQWKLGWDMKLLAVVYCVRVKQMGILVTGVIHCLQIWVIEKRGPVFPAMWNPLSFAIATTGSIFLLGEPLFLGSVLGGIVLIISLYSVLWAKSKEEVSHHQNCLPIKECAEVKTEGSSMEPHSNTNDLFKNVH >Vigun02g018000.1.v1.2 pep primary_assembly:ASM411807v1:2:6338062:6343229:-1 gene:Vigun02g018000.v1.2 transcript:Vigun02g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPNPPLSSQPKLASESTLHRATPTSNRFRSSQLLREREGKGEGEKEDSSASRKVLKADREKLRRDRLNEHFQELGNTLDPDRPKNDKATILTETVQMLKDLTAEVNRLKTEHKALSEESHELMQEKNELREEKTSLKSDIENLNAQYQQRVRIMFPWTAIDHSVVISPPYSYPLPIPIPPAPISIHPSLQPFPFFGNQNPGPIPSPCSMYVPYSTPTNAPVDPPSVLYASTSHVSNQRESFSKSSGNRRPGSDAERCSESHDVATELELKMPGSSSTQQDCTSGGRKGKHSVIRDRTIIGGSAGSASSRYSSSQGLQDSSNSVSDIPKTD >Vigun04g151400.1.v1.2 pep primary_assembly:ASM411807v1:4:36823444:36826086:-1 gene:Vigun04g151400.v1.2 transcript:Vigun04g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTIPACYNVSIVNPNQFWKTDKVLKTELPILAFQIAFVAVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPILVAPCLYALFRNVYGHVMMFPLEESTSNAYMIWTLILTVTGFPVVAHTLSELKLLYTGLGKAALTAAMIGDTYGWILFTLFVPFSINGKGAIYTVLSTITFVVVCIFVVRPLIQWFIDHKEDKDEWSDNQLLFIFMGVLACSCISDFLGAHAIVGAFVFGLILPHGKFAELVMSISDDFVSGFLVPLFFTGTGMRLMLIAIFSQESWPFTIVIILLLCALKILSTLFVTFFFGMRIRDGLSLGLILNNKGTMALIMLNIAWDRAIFSIPTYAVITSAVLLMTIVVSPIINVVYKPRQIFEQNKLKTIQKLRIDAELRFIACVHNTRQAASMISIIECFNATRVSPVHVFALYLVELTGRAAALVAAHIGKHRSQLGEQNLTRSQEELESIQNTFDALGEAYDAVRVETLNVVSAYTTIHEDIYHSADENRTSLILLPFHKQLSLEGTLEVTNVSYKDINQNVMHGAPCSVGIFVDRDFGLVPKMNLHICVIFVGGPDDREALAIAWRMAGRSGTQLSVVRILLLGDAAKVDASIHDEAQGILTAVIDTDRQKELDEEYISTFRLTAVNNSESISYSEIDVHGGENIPTVLNEIDKFGYDIYIVGQGNCRNSKVFSNLMEWCDCLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMVFENNLNQKATNKSTIESLV >Vigun03g071800.1.v1.2 pep primary_assembly:ASM411807v1:3:5906745:5908874:1 gene:Vigun03g071800.v1.2 transcript:Vigun03g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIAIILLSLAYLWLWRRNSKAKKLPPGPRGLPILGSLHKLGSNPHRDLHQLAKEYGSVMYLKLGVVPTVVVSSPQAAELFLKTHDLVFASRPPHEAARYISWDQRNLSFAEYGSYWRNMRKMCTLELLSQTKINSFRSMRKEELDLLIEGLREAANDGAAVNLSAKVSTLSADMACRMVLGKKYMDRDLDEKGFKGVMQEGMHLSATPNMGDYIPYIGALDLQGLNKRMKAVAKIFDDFFEKIIDEHVQSPKGEDKTKDFVDVMLGFVGTEESEYRIERPNIKAIMLDMLAGSMDTSATAIEWTLSELLKNPRVMKKLQTELESVVGMERKVEESDLEKLEYLDMVIKESLRLHPVAPLLIPHQSIEDCMVGDYFIPKKSRVIVNAWAIMRDPSAWDDAEKFWPERFEGRNTDVRGREFELIPFGSGRRGCPGIQLGLTVVRQTVAQVVHCFDWKLPNNMLPSELDMADEFGLTMPRANPLHAIPSYRLSSERDY >Vigun03g179100.1.v1.2 pep primary_assembly:ASM411807v1:3:22457264:22460708:-1 gene:Vigun03g179100.v1.2 transcript:Vigun03g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVNILVSLVLLLVVFLHQARAAKSGNYNVSRLKGRKEITNRCNLFIGSWVIDPSHPLYDSSSCPFIDAEFDCQKYGRPDKQYLKYSWKPDSCALPRFDGVDFLNRWRGKRIMFVGDSLSLNMWESLSCMLHASVPNATTTFVRREALSTVTFQEYEVTIELYRTPYLVDIVREEVGRVLTLDSIQAGNAWTGMDMLIFNSWHWWTHTGNSQGWDYIRDGPNLLKDMDRLEAFYKGMSTWAEWVDENIDPTRTKVFFQGISPTHYQGEEWNEPRKSCSGELEPLGGSTYPAGLPPAANIVNRVLKKMKSKAFLLDITLLSQLRKDAHPSAYSGDHAGNDCSHWCLPGLPDTWNELLNAALIT >Vigun09g223600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39650192:39651162:1 gene:Vigun09g223600.v1.2 transcript:Vigun09g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHISNSGFITKKLFSWMKVSFIVLALFTRVTWEDKAEDPSSALFCISDCSTCPVICSPPPPSLITPPPSPPHPPSSSSSLPYNSYFSPPPPPSKPKLAPPPPQSHSSGAPPPPPPPSGLGQPLPTVVSAPHDFSYPYYYFYASTASSLSVHAPFLLLLFFLLLVASSFVL >Vigun08g192000.1.v1.2 pep primary_assembly:ASM411807v1:8:35955688:35958158:1 gene:Vigun08g192000.v1.2 transcript:Vigun08g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQQLGAFLFQVSIVFVMFLIVSASEEHKKVRGRHSSRKDHSTKMSPRLQFEITLHGLLLWASMAFLLPVGILVIRLSNREGNRRRLRIIFYVHAVLQKLAVLLATAGAVMSIKNFNNSFNNSHQRLGVALYGIMWLQVLVGIFRPQRGSKRRSVWFFAHWIMGTAVSLLGVLNVFIGLQAYQEKTSKSITTWKILFSVQICLIVIFYLLQEKWVYIKNQGVV >Vigun01g088000.1.v1.2 pep primary_assembly:ASM411807v1:1:24628088:24635090:-1 gene:Vigun01g088000.v1.2 transcript:Vigun01g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLFFITCFSLLPYSYSSPSLPFASFLYDLQSQCSLTVFPNPPLQVDGNFIEGVLYTRKRVGYVSVLFYASWCPFSHRILPEFEILSSMFPHVEHVALEQSLALPSLYSKNGIHSLPAILLVNQTSRVRYHGPKNLDSLIEFYSRNTGFEARDKVVVVGQLSNLMSDEHSTIKGFSLGEISRREPYLALSILFLCLRIILSVFPTVMSHLKAFWSSYVNHLSFQKLGQVMERVLHVMDLKRIWTKLELCKSRSFHERARSARVLASSLTSVSLGDSSAM >Vigun01g108500.1.v1.2 pep primary_assembly:ASM411807v1:1:28089526:28096525:-1 gene:Vigun01g108500.v1.2 transcript:Vigun01g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAISLKPFLQSLVIDRYAQSSTAATRWECLGFNKSENFSTKRVLSTEGFKLSCLVDNREMEVESSSSSFVDDAVVSLSEEDLGEPSISTIVMNFENKFDPFGAISTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALESLLAKLDKADRALCFTSGMAALTAVVHLVGTGEEIVAGDDVYGGSDRLLSQVVPRTGIVVKRVNTSDLNEVASAIGPRTKLVWLESPTNPRLQISDIRKIAEMAHSHGALVLVDNSIMSPVLSWPLELGADIVMHSATKFIGGHSDIMAGVLAVKGEKLGKELYFLQNAEGSGLAPFDCWLCMRGIKTMALRVEKQQDNAQKIAEFLASHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVLSFLTGSLPLSRHIVETTKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLIRISVGIEDVNDLISDLDNALRTGPL >Vigun02g177800.1.v1.2 pep primary_assembly:ASM411807v1:2:31929854:31931813:1 gene:Vigun02g177800.v1.2 transcript:Vigun02g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVINLAAKTSCHWLGIDSNITKRLAIRFPSSRTKCSLYSDKIEIKRHTINNNVLGKCWGRNRILAMASKRDPNSQQNSLSSAETVVDQYFTSINGKDLRQLDECISEDACFEDYAFTKPFQGKKEVMRFLQQLTECMGRNVKFRVKHIFEGDDLTAAANWHMEWKEKQIPFTRGCTFFKLTKLGKNLIIWRTEVLIESPIKPGSIVLTLLKNVTSTFDNFPNLAEWFLRSPHVILTWMLRFYNIFIACWLHPLLDGYIKLWSFFVRFLSSVITLVNFISKTFFNTP >Vigun02g177800.2.v1.2 pep primary_assembly:ASM411807v1:2:31929854:31931545:1 gene:Vigun02g177800.v1.2 transcript:Vigun02g177800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVINLAAKTSCHWLGIDSNITKRLAIRFPSSRTKCSLYSDKIEIKRHTINNNVLGKCWGRNRILAMASKRDPNSQQNSLSSAETVVDQYFTSINGKDLRQLDECISEDACFEDYAFTKPFQGKKEVMRFLQQLTECMGRNVKFRVKHIFEGDDLTAAANWHMEWKEKQIPFTRGCTFFKLTKLGKNLIIWRTEVLIESPIKPGSIVLTLLKNVTSTFDNFPNLAEWFLRSPHVILTWMLRFYNIFIACWLHPLLDGYIKLWSFFVRFLSSVITLVNFISKTFFK >Vigun02g174500.1.v1.2 pep primary_assembly:ASM411807v1:2:31683406:31692522:-1 gene:Vigun02g174500.v1.2 transcript:Vigun02g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQRKMSEATNRQISNSTKCNSKQTVEKSDEHPEWLPDGWIVDSKTRKSGAYMGCGYKCYIDPTGRKFYSKPEVLRYLETININSHSSKKEKMRKSNDAVEKSPVEDLPPGWTIETKVRKGGTGNRKDLFYIDPVGGYVFRSKKDALRYVKSGDISVCVVKPYKQQIQDQDKVSNADEKSTIQDLLPGQMTEVKIRKGSSDKRIDPDSVEKSQVEDLPPGWITEAKVRKGGSGKKKDLFYLDPVSGYVFRSKKDALRYVNSGDIDTCVIKPYKRGIQDEDRISPSSIGKKQKLKQSAANQQLSVGESDVKSQSLEHATVHSPEMMKTFDSDDVLEKDHFENMMEHASEKNHSNRSLSKTKESNVARRFSRRLSGAEPDHLTYVPNEQALQVPKRKLGKNISILDTDLTNKSSQQLSGVLETERSYKEQEEVQLNSNKSRTKEEKQIFYRSSKRLAESEPKLMSNSSSHKTSYKSKRSKGDAKATLQQSDGQPVTEFAASTNGNKGKKLPEATPITSNQLKKFDDQEINNDEKSELEQSFAFHYSWSDPCLEFAIQTLTGALPVEDSTDNGEARVSETDTSPKNKSVENVTGSSNDKTSRVNSKKSKNKKELTVPRRLSKRLAGNESEVLPTEKAAEFAPQKSCNDKLAATASLTNGVSGHLRDREESELVVQASDRLKTLCGESLIKNEKSYDAQTVPNEQMQSYEEENADDERSYSQFPTPFGDSWSDPCLEFAIKTLSGALPVDAPTADILPVVTPDVSDPPNKESLQSMEQKSMNAETHDNPYQSQTKNEFNTVCHTSKQDLNQPEVTTYSTSFGNDPKFATRESYKDGDSITRNSNGREPERIKSGNALEIDINKTILEEEPQPATINYDNSDREFAASFMDSWSDPCLEFAFDTLSGAIPVEENITIQACFQERAHSHGQRGGFSTVPDFGFSNSGISFHNNIEEKSKSVQQSSASSSFLPQEKQGLGAFYGVDPQEQYFQNSNNFQRK >Vigun02g174500.2.v1.2 pep primary_assembly:ASM411807v1:2:31683406:31692522:-1 gene:Vigun02g174500.v1.2 transcript:Vigun02g174500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQRKMSEATNRQISNSTKCNSKQTVEKSDEHPEWLPDGWIVDSKTRKSGAYMGCGYKCYIDPTGRKFYSKPEVLRYLETININSHSSKKEKMRKSNDAVEKSPVEDLPPGWTIETKVRKGGTGNRKDLFYIDPVGGYVFRSKKDALRYVKSGDISVCVVKPYKQQIQDQDKVSNADEKSTIQDLLPGQMTEVKIRKGSSDKRIDPDSVEKSQVEDLPPGWITEAKVRKGGSGKKKDLFYLDPVSGYVFRSKKDALRYVNSGDIDTCVIKPYKRGIQDEDRISPSSIGKKQKLKQSAANQQLSVGESDVKSQSLEHATVHSPEMMKTFDSDDVLEKDHFENMMEHASEKNHSNRSLSKTKESNVARRFSRRLSGAEPDHLTYVPNEQALQVPKRKLGKNISILDTDLTNKSSQQLSGVLETERSYKEQEEVQLNSNKSRTKEEKQIFYRSSKRLAESEPKLMSNSSSHKTSYKSKRSKGDAKATLQQSDGQPVTEFAASTNGNKGKKLPEATPITSNQLKKFDDQEINNDEKSELEQSFAFHYSWSDPCLEFAIQTLTGALPVEDSTDNGEARVSETDTSPKNKSVENVTGSSNDKTSRVNSKKSKNKKELTVPRRLSKRLAGNESEVLPTEKAAEFAPQKSCNDKLAATASLTNGVSGHLRDREESELVVQASDRLKTLCGESLIKNEKSYDAQTVPNEQMQSYEEENADDERSYSQFPTPFGDSWSDPCLEFAIKTLSGALPVDAPTADILPVVTPDVSDPPNKESLQSMEQKSMNAETHDNPYQSQTKNEFNTVCHTSKQDLNQPEVTTYSTSFGNDPKFATRESYKDGDSITRNSNGREPERIKSGNALEIDINKTILEEEPQPATINYDNSDREFAASFMDSWSDPCLEFAFDTLSGAIPVEENITIQACFQERAHSHGQRGGFSTVPDFGFSNSGISFHNNIEEKSKSVQQSSASSSFLPQEKQGLGAFYGVDPQEQYFQNSNNFQRK >Vigun02g174500.3.v1.2 pep primary_assembly:ASM411807v1:2:31683406:31692522:-1 gene:Vigun02g174500.v1.2 transcript:Vigun02g174500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQRKMSEATNRQISNSTKCNSKQTVEKSDEHPEWLPDGWIVDSKTRKSGAYMGCGYKCYIDPTGRKFYSKPEVLRYLETININSHSSKKEKMRKSNDAVEKSPVEDLPPGWTIETKFYIDPVGGYVFRSKKDALRYVKSGDISVCVVKPYKQQIQDQDKVSNADEKSTIQDLLPGQMTEVKIRKGSSDKRIDPDSVEKSQVEDLPPGWITEAKVRKGGSGKKKDLFYLDPVSGYVFRSKKDALRYVNSGDIDTCVIKPYKRGIQDEDRISPSSIGKKQKLKQSAANQQLSVGESDVKSQSLEHATVHSPEMMKTFDSDDVLEKDHFENMMEHASEKNHSNRSLSKTKESNVARRFSRRLSGAEPDHLTYVPNEQALQVPKRKLGKNISILDTDLTNKSSQQLSGVLETERSYKEQEEVQLNSNKSRTKEEKQIFYRSSKRLAESEPKLMSNSSSHKTSYKSKRSKGDAKATLQQSDGQPVTEFAASTNGNKGKKLPEATPITSNQLKKFDDQEINNDEKSELEQSFAFHYSWSDPCLEFAIQTLTGALPVEDSTDNGEARVSETDTSPKNKSVENVTGSSNDKTSRVNSKKSKNKKELTVPRRLSKRLAGNESEVLPTEKAAEFAPQKSCNDKLAATASLTNGVSGHLRDREESELVVQASDRLKTLCGESLIKNEKSYDAQTVPNEQMQSYEEENADDERSYSQFPTPFGDSWSDPCLEFAIKTLSGALPVDAPTADILPVVTPDVSDPPNKESLQSMEQKSMNAETHDNPYQSQTKNEFNTVCHTSKQDLNQPEVTTYSTSFGNDPKFATRESYKDGDSITRNSNGREPERIKSGNALEIDINKTILEEEPQPATINYDNSDREFAASFMDSWSDPCLEFAFDTLSGAIPVEENITIQACFQERAHSHGQRGGFSTVPDFGFSNSGISFHNNIEEKSKSVQQSSASSSFLPQEKQGLGAFYGVDPQEQYFQNSNNFQRK >Vigun02g174500.4.v1.2 pep primary_assembly:ASM411807v1:2:31683406:31692522:-1 gene:Vigun02g174500.v1.2 transcript:Vigun02g174500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQRKMSEATNRQISNSTKCNSKQTVEKSDEHPEWLPDGWIVDSKTRKSGAYMGCGYKCYIDPTGRKFYSKPEVLRYLETININSHSSKKEKMRKSNDAVEKSPVEDLPPGWTIETKFYIDPVGGYVFRSKKDALRYVKSGDISVCVVKPYKQQIQDQDKVSNADEKSTIQDLLPGQMTEVKIRKGSSDKRIDPDSVEKSQVEDLPPGWITEAKVRKGGSGKKKDLFYLDPVSGYVFRSKKDALRYVNSGDIDTCVIKPYKRGIQDEDRISPSSIGKKQKLKQSAANQQLSVGESDVKSQSLEHATVHSPEMMKTFDSDDVLEKDHFENMMEHASEKNHSNRSLSKTKESNVARRFSRRLSGAEPDHLTYVPNEQALQVPKRKLGKNISILDTDLTNKSSQQLSGVLETERSYKEQEEVQLNSNKSRTKEEKQIFYRSSKRLAESEPKLMSNSSSHKTSYKSKRSKGDAKATLQQSDGQPVTEFAASTNGNKGKKLPEATPITSNQLKKFDDQEINNDEKSELEQSFAFHYSWSDPCLEFAIQTLTGALPVEDSTDNGEARVSETDTSPKNKSVENVTGSSNDKTSRVNSKKSKNKKELTVPRRLSKRLAGNESEVLPTEKAAEFAPQKSCNDKLAATASLTNGVSGHLRDREESELVVQASDRLKTLCGESLIKNEKSYDAQTVPNEQMQSYEEENADDERSYSQFPTPFGDSWSDPCLEFAIKTLSGALPVDAPTADILPVVTPDVSDPPNKESLQSMEQKSMNAETHDNPYQSQTKNEFNTVCHTSKQDLNQPEVTTYSTSFGNDPKFATRESYKDGDSITRNSNGREPERIKSGNALEIDINKTILEEEPQPATINYDNSDREFAASFMDSWSDPCLEFAFDTLSGAIPVEENITIQACFQERAHSHGQRGGFSTVPDFGFSNSGISFHNNIEEKSKSVQQSSASSSFLPQEKQGLGAFYGVDPQEQYFQNSNNFQRK >Vigun03g248200.2.v1.2 pep primary_assembly:ASM411807v1:3:41296684:41304780:-1 gene:Vigun03g248200.v1.2 transcript:Vigun03g248200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVSNKEEFPVMEKGGYSDSQLVSHFKGLLVAVTTGDRENYDELVGYLHPKKNLSPDEVAVLVTTLKALSGAVSFIDSFHHESLLFAVSRMSLWNYGTEVMDALLELIVSLAASNGKYIDWCLEMLVKHFVPPFYIFDSLKQENGIDRKDKVLSRVHAALKDIADLVPLAPLRLSPIVVQNMPSVFSNEPETVMYVENMLKLESGAVGETVGSTMLPALVDRLLELDVEIGWDGILQEDTRGIFDMELEDICKFTDEDENFDSMSEMLNRKNLQGNLVVQKLDSLIVLAFLHLESCQSSGRLAEVFDILLPSFQRTVLNAYNSKFTQFVMFYACALDPEGCGVKYAMVLADVFSYDVNPPITRMSAVAYLASYLSRAKFLSAAMVTTIIQSLVDWCYAYCKLRDFDMNPRAHQVFYSGCQAIMYILCFRLRSLMDIPRLKLQLLNMPMEAIWKHKLSPLKVCLPTVVVEFLRQAKAAQLFMASDSFVFNDVLESDLSKAYGGMDRLDMFFPFDPCLLKKSERNTEEIIDDDDMVVTVEDLDFNPDLNKMSITPKNSLKYGMRMPARIRPSTSPESL >Vigun03g248200.3.v1.2 pep primary_assembly:ASM411807v1:3:41296684:41304780:-1 gene:Vigun03g248200.v1.2 transcript:Vigun03g248200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVSNKEEFPVMEKGGYSDSQLVSHFKGLLVAVTTGDRENYDELVGYLHPKKNLSPDEVAVLVTTLKALSGAVSFIDSFHHESLLFAVSRMSLWNYGTEVMDALLELIVSLAASNGKYIDWCLEMLVKHFVPPFYIFDSLKQENGIDRKDKVLSRVHAALKDIADLVPLAPLRLSPIVVQNMPSVFSNEPETVMYVENMLKLESGAVGETVGSTMLPALVDRLLELDVEIGWDGILQEDTRGIFDMELEDICKFTDEDENFDSMVFDILLPSFQRTVLNAYNSKFTQFVMFYACALDPEGCGVKYAMVLADVFSYDVNPPITRMSAVAYLASYLSRAKFLSAAMVTTIIQSLVDWCYAYCKLRDFDMNPRAHQVFYSGCQAIMYILCFRLRSLMDIPRLKLQLLNMPMEAIWKHKLSPLKVCLPTVVVEFLRQAKAAQLFMASDSFVFNDVLESDLSKAYGGMDRLDMFFPFDPCLLKKSESYIRPHFVRWSKVRTTYDDDIDEVSDNDSEVSDDDFVGRNTEEIIDDDDMVVTVEDLDFNPDLNKMSITPKNSLKYGMRMPARIRPSTSPESL >Vigun03g248200.1.v1.2 pep primary_assembly:ASM411807v1:3:41296684:41304780:-1 gene:Vigun03g248200.v1.2 transcript:Vigun03g248200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVSNKEEFPVMEKGGYSDSQLVSHFKGLLVAVTTGDRENYDELVGYLHPKKNLSPDEVAVLVTTLKALSGAVSFIDSFHHESLLFAVSRMSLWNYGTEVMDALLELIVSLAASNGKYIDWCLEMLVKHFVPPFYIFDSLKQENGIDRKDKVLSRVHAALKDIADLVPLAPLRLSPIVVQNMPSVFSNEPETVMYVENMLKLESGAVGETVGSTMLPALVDRLLELDVEIGWDGILQEDTRGIFDMELEDICKFTDEDENFDSMSEMLNRKNLQGNLVVQKLDSLIVLAFLHLESCQSSGRLAEVFDILLPSFQRTVLNAYNSKFTQFVMFYACALDPEGCGVKYAMVLADVFSYDVNPPITRMSAVAYLASYLSRAKFLSAAMVTTIIQSLVDWCYAYCKLRDFDMNPRAHQVFYSGCQAIMYILCFRLRSLMDIPRLKLQLLNMPMEAIWKHKLSPLKVCLPTVVVEFLRQAKAAQLFMASDSFVFNDVLESDLSKAYGGMDRLDMFFPFDPCLLKKSESYIRPHFVRWSKVRTTYDDDIDEVSDNDSEVSDDDFVGRNTEEIIDDDDMVVTVEDLDFNPDLNKMSITPKNSLKYGMRMPARIRPSTSPESL >Vigun10g078500.1.v1.2 pep primary_assembly:ASM411807v1:10:21366420:21368692:-1 gene:Vigun10g078500.v1.2 transcript:Vigun10g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAATSSFMGTRLLDAHSGSGRIQARFGFGSKKKAAPKKVSKGPGTDRPLWYPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNEAGIIIGTRTEAADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKATLQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >Vigun03g120300.1.v1.2 pep primary_assembly:ASM411807v1:3:11235134:11236730:-1 gene:Vigun03g120300.v1.2 transcript:Vigun03g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLEQKFKRLPFPLTGPSQRNLGSVDLRTMVFSVLLLMLWSLQLQTCFTMSNAGSSELNGGSLFDPSFWYSFTIGYVCSATGIIFAFMCFCVPWPQLKKAKRKFMSSSVRSRNIHAIKANQVPKLLLCMLLLKKGNQEVSRVLNKLISSISFMELCKATDYFNMTNVIGTGRTGMVYKATLSNGSKVAVKRLYDSNLFETKFALEIVILQRFKHKNLVDLLEFCTERKQRILVYEFMPNGKLSDWLHPLQNEAFPLDWPIRLKIALGLARGLCFLHHCCNWKILHFGISSEHVLLDKNFEPKLWNFRSAELMKENQKKLGKKDVYDFGIVLMELITGKKHIETNGNFNVIDSYVSGKGFDEQISCLVKVAVDCIQFSPHQRPSMVDVYKYIERLWEGYETDD >Vigun03g316300.2.v1.2 pep primary_assembly:ASM411807v1:3:51031325:51033964:-1 gene:Vigun03g316300.v1.2 transcript:Vigun03g316300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTMFHTFALPFHLPKTFSCASPMATTASPSPRAIGCDCGVSIKGILYPALSHSNTLYFKSAYNVQIVVGDDEPEDRIVYRFKKEVLKAGVLQECRRRRFFENKHDKIKRKARQASRRNRKRKPLSRALAQNKHDSEKKKNADHDDDTGIDNWELPEVDIPYT >Vigun03g316300.3.v1.2 pep primary_assembly:ASM411807v1:3:51031325:51033964:-1 gene:Vigun03g316300.v1.2 transcript:Vigun03g316300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTMFHTFALPFHLPKTFSCASPMATTASPSPRAIGCDCGVSIKGILYPALSHSNTLYFKSAYNVQIVVGDDEPEDRIVYRFKKEVLKAGVLQECRRRRFFENKHDKIKRKARQASRRNRKRKPLSRALAQNKHDSEKKKNADHDDDTGIDNWELPEVDIPYT >Vigun03g316300.1.v1.2 pep primary_assembly:ASM411807v1:3:51032439:51034159:-1 gene:Vigun03g316300.v1.2 transcript:Vigun03g316300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTMFHTFALPFHLPKTFSCASPMATTASPSPRAIGCDCGVSIKGILYPALSHSNTLYFKSAYNVQIVVGDDEPEDRIVYRFKKEVLKAGVLQECRRRRFFENKHDKIKRKARQASRRNRKRKPLSRALAQNKHDSEKKKNADHDDDTGIDNWELPEVDIPYT >Vigun03g200900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29973570:29975485:1 gene:Vigun03g200900.v1.2 transcript:Vigun03g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSLVIGLMACLMAASVHGEDPYVFYTWNVTYGTVAPLGVEQQGILINGLFPGPEINCTSNNNIVVNVFNFLDEPLLFTWNGIQHRKNSWQDGTLGAQCPILPGTNYTYHFQVKDQIGSYFYYPSIGMHRAVGGFGGLRIYSRLLIPVPYADPADEFWVLIGDWYGKTHQTLRQFLDSGRSIGRPSGVHINGKNGGLEPAYTMEPGKTYKYRICNVGTKNSLNFRIQGHPLKLVEMEGSHVVQNIYNSLDVHVGQCFSVLVTADQEPKDYYMVASTRFTKKTLGATRIIRYSNGVAPASPELPPSPEGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNTLSRSGGKLRYGLNGVSHLDNETPFKLAEYYGVSDKVFQYNLISDDPNSLIGDLTLASNVITANFRDFIEVIFENPTKVPQSYNLDGYSFFAVAIEPGKWSPEKRKNYNLLDAVSRHTIQVFPKSWAAIMLTFDNAGMWNLRSELGENRYLGQQLYVSVLSPNRSLRDEYNLPDTQLLCGIVKDMPKPPPYSS >Vigun03g429400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63380190:63381296:-1 gene:Vigun03g429400.v1.2 transcript:Vigun03g429400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRVWEGWIYHMLLFLFVWLCSSFEIDLALALAGLEASHYYSLQKNYNNLVTLACAKGPSSLSVYLFFLSWDFL >Vigun03g374300.1.v1.2 pep primary_assembly:ASM411807v1:3:57727133:57730235:-1 gene:Vigun03g374300.v1.2 transcript:Vigun03g374300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTSFMTFRSDLETVSLKLEVEEPLQEEHAPLNKRFKPSSASQEQWNGSNSAASSSPPQYNILDEPSPLGLRLRKSPSLLHLIQMKLSQGNVFIANAQNENLSSGLKKESLAAPASGSVEKLKASNFPASLLRIGSWEYKSKHEGDLVAKCYFAKRKLVWEVLEGELKSKMEIQWSDIMSLKANCPDTGPSSLTVALARQPLFFKETNPQPRKHTVWQTTSDFTDGEAGKHRQHFLEFPQGMLAKNFEKLVQCDAHLNFVSQQPEIILDSPHFDTQPDAFENLVIEGSTSSETDSKGPRNCDQIKLAGLRTSMSLSDFIGHIEHCLSEQITCGDPSFCDGRGRPGFQEMLEEIAQHLLNDNQVIAASDEKSLITRVNSLYCLLQTDPAALQSSHDKENAADGKNILLSHDLESMHNIKIKIDVKPAEVDFRDVSGGMSRKDSFGDFLLHLPRITSLSKFLLNISEEDSDC >Vigun07g158500.2.v1.2 pep primary_assembly:ASM411807v1:7:27038510:27042306:1 gene:Vigun07g158500.v1.2 transcript:Vigun07g158500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSSSSASQSQFAYSNPSYFPVPFHLQQPATTHYAAPYVAAPSVQIPAPPVVGPVAPAPVPAVYSAVPQYQAQQLFERDAQIITPEALENVKAAIASSDVEHKTDAKRKAVPRKAAGQSWEDPILAEWPEDDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFANPSDLAAALKEMNGKYVGNRPIKLRKSNWKERTDYEALEKQKNHIQKKPKLSRKGVLHK >Vigun07g158500.1.v1.2 pep primary_assembly:ASM411807v1:7:27038510:27042306:1 gene:Vigun07g158500.v1.2 transcript:Vigun07g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSSSSASQSQFAYSNPSYFPVPFHLQQPATTHYAAPYVAAPSVQIPAPPVVGPVAPAPVPAVYSAVPQYQAQQLFERDAQIITPEALENVKAAIASSDVEHKTDAKRKAVPRKAAGQSWEDPILAEWPEDDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFANPSDLAAALKEMNGKYVGNRPIKLRKSNWKERTDYEALEKQKNHIQKKPKLSRKGVLHK >Vigun07g178200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29454959:29456701:-1 gene:Vigun07g178200.v1.2 transcript:Vigun07g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSFFNSPSSDFSSESSSPEAFSWEGYLPFNENDPEEMLLYGMIAGANTAERAADRAGSEESEAVQKEKSYRGVRRRPWGKFAAEIRDSTRHGMRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFPVDIVRESLREMNYTVDSNEEGCSPVVALKRKHSLRRKIGVKKNNKQSTVDNAVVFEDLGPDYLEQLLMSSDHIPSSF >Vigun01g085000.1.v1.2 pep primary_assembly:ASM411807v1:1:24039864:24041935:1 gene:Vigun01g085000.v1.2 transcript:Vigun01g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHLNLISFHMATKSHNHKIPSLLPTPKISILSFPLLLPISLLLHIMGSPQSNLPPGFRFHPTDEELILHYLSKRVASIPLPVSIIAEVDIYKLDPWDLPAKATFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKTIVTSLQGGAVQENIGVKKALVFYKGRPPKGVKTNWIMHEYRLVDNNKPIKLKDSSMRLDDWVLCRIYKKSKHALTSTEAGIGVGEVDQAEEQLFNDTLLPILKSPTPPPQNTLMSQKSVSFSNLLDAMDYSVLSSFLSENHSNPPGIGSSAGFNTENLEHQSSPINTPNNGYTFQKNTQFNPSLSNMENMRPKRQFSSIDDETLYPSKKYLSSSCNFPSNINPQYENPQWNYLVKQSLLNQRLLLGPQLQFQG >Vigun06g200500.1.v1.2 pep primary_assembly:ASM411807v1:6:31569477:31572629:-1 gene:Vigun06g200500.v1.2 transcript:Vigun06g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSNSWIRRTKFSHTVCHRLDPSRLGHVPISIQSEEKSDPVSEVQRHPITTKQRSSSPLPETSLSEAFREARHDQKRFSTPNPQRDNRITGKELNKDSPETKESSSKFPSRGPSKKVKSKHSKDAAWTKFLDNGGSKITAVETAEDWNIDMSQLFFGLKFAHGAHSRLYHGVYKDESVAVKLIMEPEDDETGDLASRLEKQFVREVTLLSRLHHQNVIKFSAACRKPPVYCIITEYLSEGSLRAYLHKLEHQTISLQKLLTFALDIARGMEYIHSQGVIHRDLKPENILIGEGCRLKIADFGIACEEASCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILSEMLTGTIPYEDMNPIQAAFAVVNKNSRPVIPSDCPPAMRALVEQCWSLQPDKRPEFWQIVKILEQFESSLARDGTLTLLPNPCWDNKKGLLHWIQKLGPVHQNSGPVPKPKFT >Vigun05g127100.1.v1.2 pep primary_assembly:ASM411807v1:5:14389147:14392047:-1 gene:Vigun05g127100.v1.2 transcript:Vigun05g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTGVAAQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQIPIAFLEQIKEDFTKKYAGGKAATAAAQSLNREFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIRRKMWFQNMKIKLIVLGILIALILIIVLSVCGGFHCGK >Vigun02g005800.1.v1.2 pep primary_assembly:ASM411807v1:2:2617663:2621565:-1 gene:Vigun02g005800.v1.2 transcript:Vigun02g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPLSMPCSSHTHSSLNTNIAMNMATASSASASLCLPSHIIKKPLGFHPSSLHNKSFSKSNASTSILTCKASSSSSSSVIDFDLYELLGIDSSCDQSEVKVAYRNLQKRCHPDIAGPAGHDMAIILNEAYAILSDPNARHAYDKEQAKSSEFKGFTGRPIYSVWCGSESEQRAIFVDEIKCVGCLKCALLAEKTFAVESVYGRARVVAQWADSPQKIDEAIESCPVNCISVVERSNLAALEFLMSKQPRGNVRVGAAHTAGARVANIFVDVEKFQTRFQESKQKASKSSKETDLQRQSRMSAIQAIRSISNWLYWQTPSASSGSSKSEKSMTRVVYKLPEPDISKLRDAAARKKVRERTRTKHQAPLNCIHPEDYWTPSTHVLPSSTTTTATPTPPEKPSVKGQKNTKEIDHESYENQNSPIRWGLPMVTALTAVVTVHVHTLGSTPELQEHVGGSLALDIVNSSWLQSILAAATWYMIGMAITELLALIGSKNR >Vigun06g209600.1.v1.2 pep primary_assembly:ASM411807v1:6:32287854:32290558:-1 gene:Vigun06g209600.v1.2 transcript:Vigun06g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEKQQRVGEGREENGDGEKESLLESTAVVDFDLLCSSVALRSSHGSWGKLGGRDDEQQGGVLRMWEGELLDCFDDRRIAFESACCPCYRFGKNMKLAGFGSCYLQAIVYLVLVLGALVTFIAYTIWRIHYFVYLAVAFTIAVGVYLGFYRTRMRKKFNIKGSDSSLDDCAYHFVCPCCTLCQESRTLEMNNVQNGTWHGRGDTLCIGGFRDESKPLFEIRPPSVVFMEPTDEHRTKKSTDAATNGS >Vigun03g166300.1.v1.2 pep primary_assembly:ASM411807v1:3:19125225:19148985:-1 gene:Vigun03g166300.v1.2 transcript:Vigun03g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVPDWLNSSLWSSSQSSSPPSAAASPFQQPPRPLRPAVAIPSEPPPPPVVQDPPPTQRIEDSPIEDHGDVFPSAYDVTRQAHLLAELSKKVVDMSELRSRACQGIPDAAGIRSTVWKLLLGYLPPDRGLWSSELAKKRSQYKQFKEEILMNPSEITRKMYNPTICDTDDADCERVLLSRSEITQGEHPLSLGTTSVWNQFFQDTEIIDQIDRDVKRTHPDMHFFCGDSQFAKSNQEALKNVLIIFAKLNPGVRYVQGMNELLAPLFYVFKNDPDEENAAFAEADSFFCFVELLSGLRDNFVQQLDNSVVGIRSTITRLSQLLREHDEELWRHLEVTSKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPDGPQETLLRVCCAMLVLVRKRLLAGDFTSNLKLLQNYPTTNISHLLYVANKFRVQSV >Vigun10g136200.1.v1.2 pep primary_assembly:ASM411807v1:10:34951866:34991417:-1 gene:Vigun10g136200.v1.2 transcript:Vigun10g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQGTNPNPDSKKETAGGKQDTSGGTQGTGTKVLKKGNFLGDYKGCKVLLSSRDKKVFRDEVDVESNFCLKELDGNDALMLFEKVIGGGNKMSMPKEEIQNYCTGLPMRIVTFGVAFKNWIESESKPTLDKFKKQGLVEWQKSSETPNKKKYDLPKNKELKFIYLLCAQMGHLPLVNDLVKYCFGLGIFEGVSSLSAAREKINESIQELKNLSLVSYENPNIHFHMSHMVRDDALSNALMDHNVFAFRDGKLDYWPDLEKCISISICNSYITDGFPQVINCPQLQFLQIETNDPSLKIPERFFSCMKNLLVLILTGFHLSSLPYSIGDLLNLRMLCLERCILDCNLSVLRKFKKLRILSFSGSQLKNLPNELRYLDKLRMLDISDCFKLKIIPFDLFSNLTCLEELYIRKSLIKMLVEKGENKGHNSFLSELKNLHQLKVVDLSIPCVSILPSHLFFDRLKDYKIEIGDLEMFSVGEFRMPNKYEELKVLTLQLKDDTDIHSHQGIKLLFKTAQSLFLGKICVQNVVNELNIDGFQNLKHLSIINNNDVEYVNSTDLSNCVNIFSNLESLCLYNMMKLKMICHGPITIESFAKLKTIKVEMCCQLENLFSFDAIKISASTGTSEIFKCNSNMKKFLASLEMIEVCECGSLKEILQIPLDCGEVCECESLKQILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHSTEAQTTNRGHTKISTEQGGHNDNAPPLFGELVEVPNLENLNLSSLNIHKIWSDQYLSSFYFQNLIKLVVKDCDKLTHLCSLPMASSLKKLKSLVISGCLKMEQIFEIEGSSENKVCVFPKLEEIHLNKMKRLTDMWQTEVGIDSFSSLISVRIEECDQLDKIFPSHMEGWFESLINLQISKCKSVKEIFEINDSQEIDASGGIDTNLQVILLEGLPKLKELWSKDPDGILNFKKLRTIDVSYCDELRNLFPASVAKDVSKLERMSVLRCKRMVEIVSNKDTSEANNDPLEFPELTFVRLYALPNMKQFYKGRHPIKCSKLKELSMGKCMKLKTFLQETSDEKFVFSSEAVFPKLEYMEIDFEEAQNWLSNYKMLKLKELIILNSVHRPDLLYPFLYKMPNLEKLKLTSSYSESLETLRSTNNGQQDRLGVVLQLKQLFICSSDISDLGFERDQVLERLELLRLKGCYNLSNLGPSSVSLSYLTSLKLKRCHRLKDLVASSTAKTMVQLKTMKVINCRQVEQIVSNHRSEEGKRIKIVFSKLISIELVGLMNMTSFCGYKNCEFEFPLLEKLIVRKCPKMKKFSERESIAPKLKDIFCVEGDKKAKWQWEGDLNATIQKIFDDKLSFAYTEDLRLSDDTMEQLWQASRWVHQNSFGYLKSLSFWGCHTVVDVIPSHLLSFFHNLDELSVFSCRAAEVIFNMNDENRIMTKASPIFRLKTLSLSNLPKLEHIWEKDPEGIMGLQALEIMYVYGCGRLKSLFPASLATTDLTRLEVLEVRDCEELREIFGKDEKVGEGTTQHSAFPPLTTLTLDQLPRLTIHCSKQQESTSNLSEGDIQEICLGSRSIPNSYFYLLESLTLDGCEFLTDVLLPFNLLPFLTNLETLEVRNFDSVKIIFDVKCTTQEREMASMGQTLPFSLKKLVVSKLPNLENVWNENPYGILTLHHLQELHVEECKGLTSVFPPSVAKDVVELENQVVEDVLLPFSLLSFSTNLETLEVRKCDSVKTIFDVKCTTQGRDVTYMGQTLPFSLKKLIVSKLPNLKNVWNEDPQVILSMHHLQEVCVEECEGLTSVFPASKDKYLLKNLVVKDCKGLMIIFAEDNIDPRTKLELTCPFVRSLELEGLPNFKYFYYSSLYCDIFTDLESHTENKVGSEKLLKCLSLGEKGVNMILQGEFQPNLLDNIKALTLCLGSDLFRYGILERFPNIEKLVVCDGSFKEMFCCESGNNVLHQLKVLRLESLEELVSIGPENSWTDSFVRNLETFKVIKCGSLKSLVACTVSFSNLICLKVEGCHSLSYLLTSSTAKSLGQLKRMEIKNCDSIEEIVCKEESDEDEIIFPQLSCLNLEWLFKLKRFYRGRLSLPSLEELSVKYCKEMITFCVGSVDAGKLSQVTIDSREVIPLETDLNSIMWKKYLRKISRLDLDRSKPELQEIWRGSLPIPNFCFRELVTLKVNGCPFLSDAVLPFHLFSLLSKLETLEVRNCDSVKVIFDVVKCSTQHTLITFPVKNLVLSNLPELEAVWNEDPPHGILCMQHLKEVHVNECERLTSVFPAYVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLRGLQKFNYFYCCSLNTHLESPTQDQLPNEKISRLDLNSSKPELREIWSGSLSISNFCFSKLATLIVEDCPFLSDAVIPFHLFPLLSKLETLEVRNCDSVKVIFDDVKCSTQHTLITSPMEAVWNADPPHGILCMQHLKKVHVTRCKRLTSVFPASVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLRGLQKFNYFYYCSLNKHLESPTQDQLPHEKLQCLSLGENGMKMILRGELERNLLGSLKALTLCFGSDVFGYEILEEFPNIEKLVVCDGSLKEMFCCESGNNVLQQLKVLRLESLEELVSIGPGNSWTDSFVRNLESFEVIKCGSLKSLVAMKKGVSFSNLICLIVEGCDSLSYLFTSSTAKSLGQLKRMEIKRCGSIEEIVCKEESDEDEIIFPKLSCLNLEWLSKLKSFCKGSLSFPSLKELTVYYCREMITLCVGTVKADKLSQVTLDSKAIQLEIDLNSTIRKRFLKENTQRRSQKCLEFKDRADLQEIWSVSLKMHDFCFTYLETLIVDGCQLLSCVIPFAVLPLLPQLQTLQVRNCHSLKTIFDVKCTTQDTSITFPLKTLVLWKLPNLETLWNEDTDGNPGHPEGTNPNLTFPTLTSLTLWDLPNFNHNIHDATPTSELITPNLQHLLVGENELKMIVDGELQKNLLSELKVLGLCFDIECDEFPEYGFLKQLPNVKKLMVWSSSFKLIFCNQRPNNSELLLQLKQLRLESLGELVSIGLENSWTEPFVRNLKTFEVISCSSLENLVTCTVSFSNLICLKVKNCDSLSYLFTSSTAKSLGQLKRIEIENCKSIEEIVCGEESDDEEDEIIFPQLSWLKLYRLSNLRRLYRGSLSFPLLEKLSVTHCNDMVTLCPSTLKADKLTQVRIEYGKVISLDTDLNSTTRKEFGRKISELKKLELKSRPKLPEIWHDPLYIPDLCFSELVTLIVKNCQFLSDAVLPFHLLPLLPKLKTLEVGKCDSVKTIFDLKRTTKDTLVTLPLPLKKLSLSNLSNLENIWSEDPHGILIMHHLKQVHVKECKGLTSVFPASVAKDLIVDDCEGLKAIVADESKEDEIIFPQLMYLEVESCISLPYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDEKVEIKFEQLQHLYLEKLDELRCFYDGNFTLNFPSLEEVHVIKCSSMKTFSASNKIDNSIKWYYSEYARARKETDLNSALNRTSEEEVQVMIN >Vigun10g136200.2.v1.2 pep primary_assembly:ASM411807v1:10:34951866:34975843:-1 gene:Vigun10g136200.v1.2 transcript:Vigun10g136200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISDCFKLKIIPFDLFSNLTCLEELYIRKSLIKMLVEKGENKGHNSFLSELKNLHQLKVVDLSIPCVSILPSHLFFDRLKDYKIEIGDLEMFSVGEFRMPNKYEELKVLTLQLKDDTDIHSHQGIKLLFKTAQSLFLGKICVQNVVNELNIDGFQNLKHLSIINNNDVEYVNSTDLSNCVNIFSNLESLCLYNMMKLKMICHGPITIESFAKLKTIKVEMCCQLENLFSFDAIKISASTGTSEIFKCNSNMKKFLASLEMIEVCECGSLKEILQIPLDCGEVCECESLKQILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHSTEAQTTNRGHTKISTEQGGHNDNAPPLFGELVEVPNLENLNLSSLNIHKIWSDQYLSSFYFQNLIKLVVKDCDKLTHLCSLPMASSLKKLKSLVISGCLKMEQIFEIEGSSENKVCVFPKLEEIHLNKMKRLTDMWQTEVGIDSFSSLISVRIEECDQLDKIFPSHMEGWFESLINLQISKCKSVKEIFEINDSQEIDASGGIDTNLQVILLEGLPKLKELWSKDPDGILNFKKLRTIDVSYCDELRNLFPASVAKDVSKLERMSVLRCKRMVEIVSNKDTSEANNDPLEFPELTFVRLYALPNMKQFYKGRHPIKCSKLKELSMGKCMKLKTFLQETSDEKFVFSSEAVFPKLEYMEIDFEEAQNWLSNYKMLKLKELIILNSVHRPDLLYPFLYKMPNLEKLKLTSSYSESLETLRSTNNGQQDRLGVVLQLKQLFICSSDISDLGFERDQVLERLELLRLKGCYNLSNLGPSSVSLSYLTSLKLKRCHRLKDLVASSTAKTMVQLKTMKVINCRQVEQIVSNHRSEEGKRIKIVFSKLISIELVGLMNMTSFCGYKNCEFEFPLLEKLIVRKCPKMKKFSERESIAPKLKDIFCVEGDKKAKWQWEGDLNATIQKIFDDKLSFAYTEDLRLSDDTMEQLWQASRWVHQNSFGYLKSLSFWGCHTVVDVIPSHLLSFFHNLDELSVFSCRAAEVIFNMNDENRIMTKASPIFRLKTLSLSNLPKLEHIWEKDPEGIMGLQALEIMYVYGCGRLKSLFPASLATTDLTRLEVLEVRDCEELREIFGKDEKVGEGTTQHSAFPPLTTLTLDQLPRLTIHCSKQQESTSNLSEGDIQEICLGSRSIPNSYFYLLESLTLDGCEFLTDVLLPFNLLPFLTNLETLEVRNFDSVKIIFDVKCTTQEREMASMGQTLPFSLKKLVVSKLPNLENVWNENPYGILTLHHLQELHVEECKGLTSVFPPSVAKDVVELENQVVEDVLLPFSLLSFSTNLETLEVRKCDSVKTIFDVKCTTQGRDVTYMGQTLPFSLKKLIVSKLPNLKNVWNEDPQVILSMHHLQEVCVEECEGLTSVFPASKDKYLLKNLVVKDCKGLMIIFAEDNIDPRTKLELTCPFVRSLELEGLPNFKYFYYSSLYCDIFTDLESHTENKVGSEKLLKCLSLGEKGVNMILQGEFQPNLLDNIKALTLCLGSDLFRYGILERFPNIEKLVVCDGSFKEMFCCESGNNVLHQLKVLRLESLEELVSIGPENSWTDSFVRNLETFKVIKCGSLKSLVACTVSFSNLICLKVEGCHSLSYLLTSSTAKSLGQLKRMEIKNCDSIEEIVCKEESDEDEIIFPQLSCLNLEWLFKLKRFYRGRLSLPSLEELSVKYCKEMITFCVGSVDAGKLSQVTIDSREVIPLETDLNSIMWKKYLRKISRLDLDRSKPELQEIWRGSLPIPNFCFRELVTLKVNGCPFLSDAVLPFHLFSLLSKLETLEVRNCDSVKVIFDVVKCSTQHTLITFPVKNLVLSNLPELEAVWNEDPPHGILCMQHLKEVHVNECERLTSVFPAYVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLRGLQKFNYFYCCSLNTHLESPTQDQLPNEKISRLDLNSSKPELREIWSGSLSISNFCFSKLATLIVEDCPFLSDAVIPFHLFPLLSKLETLEVRNCDSVKVIFDDVKCSTQHTLITSPMEAVWNADPPHGILCMQHLKKVHVTRCKRLTSVFPASVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLRGLQKFNYFYYCSLNKHLESPTQDQLPHEKCLSLGENGMKMILRGELERNLLGSLKALTLCFGSDVFGYEILEEFPNIEKLVVCDGSLKEMFCCESGNNVLQQLKVLRLESLEELVSIGPGNSWTDSFVRNLESFEVIKCGSLKSLVAMKKGVSFSNLICLIVEGCDSLSYLFTSSTAKSLGQLKRMEIKRCGSIEEIVCKEESDEDEIIFPKLSCLNLEWLSKLKSFCKGSLSFPSLKELTVYYCREMITLCVGTVKADKLSQVTLDSKAIQLEIDLNSTIRKRFLKENTQRRSQKCLEFKDRADLQEIWSVSLKMHDFCFTYLETLIVDGCQLLSCVIPFAVLPLLPQLQTLQVRNCHSLKTIFDVKCTTQDTSITFPLKTLVLWKLPNLETLWNEDTDGNPGHPEGTNPNLTFPTLTSLTLWDLPNFNHNIHDATPTSELITPNLQHLLVGENELKMIVDGELQKNLLSELKVLGLCFDIECDEFPEYGFLKQLPNVKKLMVWSSSFKLIFCNQRPNNSELLLQLKQLRLESLGELVSIGLENSWTEPFVRNLKTFEVISCSSLENLVTCTVSFSNLICLKVKNCDSLSYLFTSSTAKSLGQLKRIEIENCKSIEEIVCGEESDDEEDEIIFPQLSWLKLYRLSNLRRLYRGSLSFPLLEKLSVTHCNDMVTLCPSTLKADKLTQVRIEYGKVISLDTDLNSTTRKEFGRKISELKKLELKSRPKLPEIWHDPLYIPDLCFSELVTLIVKNCQFLSDAVLPFHLLPLLPKLKTLEVGKCDSVKTIFDLKRTTKDTLVTLPLPLKKLSLSNLSNLENIWSEDPHGILIMHHLKQVHVKECKGLTSVFPASVAKDLIVDDCEGLKAIVADESKEDEIIFPQLMYLEVESCISLPYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDEKVEIKFEQLQHLYLEKLDELRCFYDGNFTLNFPSLEEVHVIKCSSMKTFSASNKIDNSIKWYYSEYARARKETDLNSALNRTSEEEVQVMIN >Vigun05g157300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25549206:25550192:1 gene:Vigun05g157300.v1.2 transcript:Vigun05g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun08g037800.1.v1.2 pep primary_assembly:ASM411807v1:8:3624888:3632062:-1 gene:Vigun08g037800.v1.2 transcript:Vigun08g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAILKRLVSEAKQHQKNESVLHSSTTPMLLYSSSRCMSSVSSAAVRNRGSRTETLLGRSMNIATVSRGGVVGGFLGVGSTRSISVEALQRSDTFPRRHNSATPEEQSKMAESCGFESLDSLVDATVPKSIRLKEMKFGKFDGGLTESEMIEHMKELASKNKVFKSYIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTMITDLTGLPMSNASLLDEGTAAAEAMSMCNHIQKGKKKTFIIASNCHPQTIDICKTRAAGFDLKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHAHEVKVVMASDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSTGKTALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKNIAHRVHGLAGALALGLKKLGTVEVQDLPFFDTVKVKTSNAHAIADAAIKSQINLRVVDGNTITVAFDETTTLEDVDKLFKVFAGGKPVPFTAASIAPEVQSAIPSGLTRNSPYLTHPIFNTYQTEHELLRYMYRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFSDIHPFAPVDQAEGYQEMFNNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLARGDHHRDVCIIPVSAHGTNPASAAMCGMKIVSVGTDAKGNINIEELRKAAEKHKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVISTGGIPAPENPQPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDTLISIRQEIADIEKGKADINNNVLKGAPHPPSLLMADAWTKPYSREYAAFPAPWLRASKFWPTTGRVDNVYGDRNLICTLLPASQAVEEEAAATA >Vigun08g037800.2.v1.2 pep primary_assembly:ASM411807v1:8:3624888:3632062:-1 gene:Vigun08g037800.v1.2 transcript:Vigun08g037800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAILKRLVSEAKQHQKNESVLHSSTTPMLLYSSSRCMSSVSSAAVRNRGSRTETLLGRSMNIATVSRGGVVGGFLGVGSTRSISVEALQRSDTFPRRHNSATPEEQSKMAESCGFESLDSLVDATVPKSIRLKEMKFGKFDGGLTESEMIEHMKELASKNKVFKSYIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTMITDLTGLPMSNASLLDEGTAAAEAMSMCNHIQKGKKKTFIIASNCHPQTIDICKTRAAGFDLKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHAHEVKVVMASDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSTGKTALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKNIAHRVHGLAGALALGLKKLGTVEVQDLPFFDTVKVKTSNAHAIADAAIKSQINLRVVDGNTITVAFDETTTLEDVDKLFKVFAGGKPVPFTAASIAPEVQSAIPSGLTRNSPYLTHPIFNTYQTEHELLRYMYRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFSDIHPFAPVDQAEGYQEMFNNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLARGDHHRDVCIIPVSAHGTNPASAAMCGMKIVSVGTDAKGNINIEELRKAAEKHKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVISTGGIPAPENPQPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKVSPWKIRPF >Vigun09g232500.1.v1.2 pep primary_assembly:ASM411807v1:9:40375958:40380480:-1 gene:Vigun09g232500.v1.2 transcript:Vigun09g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAISTPKKLAPRYTSSSSSSSPRSPSPFTPKRSQSVERRRTGTSGATRTTTSSGKMLPTSAITRSLSVSFQGESFWITVNKAKPTTNIVSSPKLVAPRKSPLDGTSSPRGVINSRGQVSFIRPASPNKVSNAVAASSSSSSSSRGVSPLRLRNGASYNGFTNEPSVLSFAVDVKRGRVGENRIVEAHSLRLLHNRLLQWRFVNARADSDLSSQKSNAENCLYDAWASTTKLRQSVSSKRRELQLLKHKLKLVFILKKQMVYLEDWAILDEVYISSLAGAIEALKASTLRLPVVDGAKVDVHKVKDAVCSAVDIMKAMGSSICFFLPKVGYVNSLVVEVANLITQEHVLLDECRHLLSMVTTIQVRECSLRTHISQLKCLPEAQH >Vigun09g232500.4.v1.2 pep primary_assembly:ASM411807v1:9:40375949:40380847:-1 gene:Vigun09g232500.v1.2 transcript:Vigun09g232500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAISTPKKLAPRYTSSSSSSSPRSPSPFTPKRSQSVERRRTGTSGATRTTTSSGKMLPTSAITRSLSVSFQGESFWITVNKAKPTTNIVSSPKLVAPRKSPLDGTSSPRGVINSRGQVSFIRPASPNKVSNAVAASSSSSSSSRGVSPLRLRNGASYNGFTNEPSVLSFAVDVKRGRVGENRIVEAHSLRLLHNRLLQWRFVNARADSDLSSQKSNAENCLYDAWASTTKLRQSVSSKRRELQLLKHKLKLVFILKKQMVYLEDWAILDEVYISSLAGAIEALKASTLRLPVVDGAKMYIK >Vigun09g232500.3.v1.2 pep primary_assembly:ASM411807v1:9:40375949:40380847:-1 gene:Vigun09g232500.v1.2 transcript:Vigun09g232500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAISTPKKLAPRYTSSSSSSSPRSPSPFTPKRSQSVERRRTGTSGATRTTTSSGKMLPTSAITRSLSVSFQGESFWITVNKAKPTTNIVSSPKLVAPRKSPLDGTSSPRGVINSRGQVSFIRPASPNKVSNAVAASSSSSSSSRGVSPLRLRNGASYNGFTNEPSVLSFAVDVKRGRVGENRIVEAHSLRLLHNRLLQWRFVNARADSDLSSQKSNAENCLYDAWASTTKLRQSVSSKRRELQLLKHKLKLVFILKKQMVYLEDWAILDEVYISSLAGAIEALKASTLRLPVVDGAKVDVHKVKDAVCSAVDIMKAMGSSICFFLPKVGYVNSLVVEVANLITQEHVLLDECRHLLSMVTTIQVRECSLRTHISQLKCLPEAQH >Vigun09g232500.5.v1.2 pep primary_assembly:ASM411807v1:9:40376007:40380282:-1 gene:Vigun09g232500.v1.2 transcript:Vigun09g232500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAISTPKKLAPRYTSSSSSSSPRSPSPFTPKRSQSVERRRTGTSGATRTTTSSGKMLPTSAITRSLSVSFQGESFWITVNKAKPTTNIVSSPKLVAPRKSPLDGTSSPRGVINSRGQVSFIRPASPNKVSNAVAASSSSSSSSRGVSPLRLRNGASYNGFTNEPSVLSFAVDVKRGRVGENRIVEAHSLRLLHNRLLQWRFVNARADSDLSSQKSNAENCLYDAWASTTKLRQSVSSKRRELQLLKHKLKLVFILKKQMVYLEDWAILDEVYISSLAGAIEALKASTLRLPVVDGAKMYIK >Vigun09g232500.2.v1.2 pep primary_assembly:ASM411807v1:9:40374366:40380847:-1 gene:Vigun09g232500.v1.2 transcript:Vigun09g232500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAISTPKKLAPRYTSSSSSSSPRSPSPFTPKRSQSVERRRTGTSGATRTTTSSGKMLPTSAITRSLSVSFQGESFWITVNKAKPTTNIVSSPKLVAPRKSPLDGTSSPRGVINSRGQVSFIRPASPNKVSNAVAASSSSSSSSRGVSPLRLRNGASYNGFTNEPSVLSFAVDVKRGRVGENRIVEAHSLRLLHNRLLQWRFVNARADSDLSSQKSNAENCLYDAWASTTKLRQSVSSKRRELQLLKHKLKLVFILKKQMVYLEDWAILDEVYISSLAGAIEALKASTLRLPVVDGAKVDVHKVKDAVCSAVDIMKAMGSSICFFLPKVGYVNSLVVEVANLITQEHVLLDECRHLLSMVTTIQVRECSLRTHISQLKCLPEAQH >Vigun04g094233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:19171313:19171851:1 gene:Vigun04g094233.v1.2 transcript:Vigun04g094233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSSFILSFVLVIGIIVFGAEARPRAFFVFGDSLVDNGNNNFLATTARADAPPYGIDYAPTHRPTGRFSNGFNIPDLISSVYTSLSPHIVFLQCSSFQVSLLSCTFEDLNPFL >Vigun03g307200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49814987:49815439:1 gene:Vigun03g307200.v1.2 transcript:Vigun03g307200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQHTNGTSYPTSEPKLCANNCGFFGTPENGNFCSKCYKQLCVQVPPSAINSLFCQSASPALLDVVKPSSSVEPSPPCAAEPSKPKNRCECCNKKVGLTGFVCKCGITFCGVHRYPEKHQCTYDFRTAGREAISKENPIVKGDKVQRF >Vigun05g037200.1.v1.2 pep primary_assembly:ASM411807v1:5:3017767:3023730:1 gene:Vigun05g037200.v1.2 transcript:Vigun05g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTFSSLFWWIGKKLRRRNTDSNGESGNNDNVGGAVVVDDNPFGSTVECYACTQVGVPVFHSTSCDSFNQPQWEASAGSSLVPIQNRPNKVLRFRAASGSNIGPFGRVLDPRSKRVQKWNRALLLARGVALAIDPLFFYSLSIGREGSPCLYMDGGLAAMVTVARTCVDAVHLLHVWLQFRLAYVSRESLVVGCGKLVWDAREIASHYLRSLKGFWFDAFVILPVPQAVFWLIVPKLIREERIKIIMTIMLLIFLFQFLPKVYHSICMMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCERTNGCNLSVSCSEEVCYQSLSGAIGDSCGGNSTVALKKPYCLDVEGPFKYGIYQWALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICIVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHFERQRWAAMGGEDEMEMIKDLPEGLRRDIKRHLCLDLIRKVPLFHNLDELILDNICDRVRPLVFSKDEKIIREGDPVPRMVFIVRGRIKRSQSLSKGMVASSILEPGGFLGDELLSWCLRRPFIDRLPASSATFVCLESAEAFGLDAEHLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQFAWRRYRQRTRGPVTPVRESGGTERRLLQYAAMFMSIRPHDHLE >Vigun05g225300.1.v1.2 pep primary_assembly:ASM411807v1:5:41763390:41768021:1 gene:Vigun05g225300.v1.2 transcript:Vigun05g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNGDTSKQELIHLIKRFGAYVTFKISNFFPVSLHNLDIRSFGAVAGLAVAIVFTWRLLRSPSGTQRRQQKRQGASSSNPGVATHSNLAAVPSNACSSSDDSRAQNVVDEFFQPDKPTLGQIVRQKLSEGRKVTCRLLGVILEESIPEELQNQATVKSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEEAGIFTSGGLVKDKVLFCSTENGRSSFVRQLEPDWHIDSNPEIVSQLARFIKYQLHVAPYKAERTAANVFSAPSLEQFFGSI >Vigun05g225300.2.v1.2 pep primary_assembly:ASM411807v1:5:41763390:41768021:1 gene:Vigun05g225300.v1.2 transcript:Vigun05g225300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNGDTSKQELIHLIKRFGAYVTFKISNFFPDIRSFGAVAGLAVAIVFTWRLLRSPSGTQRRQQKRQGASSSNPGVATHSNLAAVPSNACSSSDDSRAQNVVDEFFQPDKPTLGQIVRQKLSEGRKVTCRLLGVILEESIPEELQNQATVKSSVLEVLLEITKFCDLYLMERVLDDESEKRVLVALEEAGIFTSGGLVKDKVLFCSTENGRSSFVRQLEPDWHIDSNPEIVSQLARFIKYQLHVAPYKAERTAANVFSAPSLEQFFGSI >Vigun03g249400.1.v1.2 pep primary_assembly:ASM411807v1:3:41489580:41493615:-1 gene:Vigun03g249400.v1.2 transcript:Vigun03g249400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEEEDEGFDSYRKGGYHAVRVGDQFAGGRYIAQRKLGWGQFSTVWLAYDTSTSAYVALKIQKSAAQFVQAALHEIDVLASISEGVDVNSKCVVQLIDHFKHAGPNGQHLCMVIEFLGDSLLRLIKYNRYKGLPLNKVREICHCILIGLDYLHREHGIIHTDLKPENVLLISTIDPAKDPVKSGVSPILERPEGSINGGVTSLIEKKLKRRARRAVAKISGRGASMGGAEDQKSMRNLDGIDVRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILLAGYSFSVDMWSFACIAFELATGDMLFTPKVGQGFSEDEDHLALMMELLGKMPRKVATAGTKSKDFFDRHGDLKRIRRLKFWPLSKLLVDRYKFAQSDAHEFSEFLLPLLDFAPEKRPTAQQCLQHPWIRAMESNEKKESSVENVGAGMSNLKIKGGK >Vigun05g150600.7.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKIRVDQFPSPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTESQIPWTLTTRIFLLHM >Vigun05g150600.5.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKIRVDQFPSPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTELFSKNNPSSLSAAFGVARESNSLDIDNENILTSYVKTAAEVCASSIQEKKRLLEDSDPGNFAASKKHRCTSREFIEEQSSPSEMRRQCCSIETTGQKEIESYLGFKSVFSFL >Vigun05g150600.2.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTAFFPP >Vigun05g150600.13.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIWSIQLALMSTKSFP >Vigun05g150600.11.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEGTTPEMIILTFMILENILSSFFCFTVGFGNRNEHFSCSGRWAFS >Vigun05g150600.3.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMVCYLNVF >Vigun05g150600.9.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKIRVDQFPSPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTAFFPP >Vigun05g150600.1.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTELFSKNNPSSLSAAFGVARESNSLDIDNENILTSYVKTAAEVCASSIQEKKRLLEDSDPGNFAASKKHRCTSREFIEEQSSPSEMRRQCCSIETTGQKEIESYLGFKSVFSFL >Vigun05g150600.6.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTELFSKNNPSSLSAAFGVARESNSLDIDNENILTSYVKTAAEEKKRLLEDSDPGNFAASKKHRCTSREFIEEQSSPSEMRRQCCSIETTGQKEIESYLGFKSVFSFL >Vigun05g150600.10.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKIRVDQFPSPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEGTTPEMIILTFMILENILSSFFCFTVGFGNRNEHFSCSGRWAFS >Vigun05g150600.12.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKIRVDQFPSPYCATILVPIPQEKQTIKADFIFEKYIWSIQLALMSTKSFP >Vigun05g150600.8.v1.2 pep primary_assembly:ASM411807v1:5:22567407:22578377:-1 gene:Vigun05g150600.v1.2 transcript:Vigun05g150600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSLKSVFDLPPPFRSCFSYRYFNSLQSECFPICFHSDVNMVISAPTGSGKTVLFELCILRLLSRFISAGGIFIHEKGSLKTIYIAPSKALVQEKLRDWNKKFGPLGINCLELTGDNESYTPRNILEADIILTTPEKFDAVSRYGIESGGLSFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKIVSGNPKLKSNPLAQVRFLAVSATIPNIEDLAKWLEVPDQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQIVMTFGQSNPFIKNREQQDRLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNIVEGLFLKGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKCLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCEVVESQLLPCLTEHLLAEIVQLTVSDITKAIEWLKFSYLYVRMKMNPMNYAIKKGISGDSLEKHVQDICVHKVKELSQCQMVWVDEDGFLLRPLDPGRLMTKYYLRFDTMKQIMRTPENCSLEDALHVVCSAEEIAWIQLRRNEKKLLNEINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHDLSLIQDMNSICSNGFRIAKCMKEYFVYKRNYKGAVYSALLAKSLDQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFEALAEADPRRIELVTGRKYPFGNHIKDSLLSLPPKVDVKLAEIENHIEGKSKIVVTLARISESGQSVKGHYADMIIGSEDNTILFHEKISPYCATILVPIPQEKQTIKADFIFEKYIGIDVHQKLSLMRESNSIVLLKRNRKQASCPPPQEIYVIEDDNINVPHLPKKELCTLSVAKDSIPSFDLLDESLDEVEDGHFLEVEEEKCKMITEKTVFDHIRQKAKSFSLLSAFDNIRCPSLEVFLSKDNTRLKRRDHHHEIVVLDDEDGLEVPQPNGVNLPVELKNAEQDGIRPQLTLNNHYTAGSSNIVDTESQIPWTLTTRIFLLHM >Vigun05g248900.1.v1.2 pep primary_assembly:ASM411807v1:5:44255938:44260700:1 gene:Vigun05g248900.v1.2 transcript:Vigun05g248900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLLLSLATLLLFSSFSSTLCAAPPAADDDNEDLSFLEEETSAPDHHDHHFDDANYGDFDDFEDDSEAYKQPQVDEKDVVVLKDKNFSDVIKNNRFVLVEFYAPWCGHCQALAPEYAAAASELKGDDVILAKVDATEENELGQQYDVQGFPTIYFFADGIHKPYNGQRTKDAIVTWIKKKIGPGIYNLTTLEDAQRVLTNETKVVLGFLNSLVGPESEELAAASRLEDDVNFYQTVNSDVAKLFHIDPDVKRPALILVKKEEEKLSHFDGKFEKSAIADFVFSNKLPLVTLFTRESAPAVFENSIKKQVLLFATSNDSEKFVPIFQEAAKYFKGKLIFVFVELDNEDVGKPVSEYFGISGDGPKVLAYTGNDDGRKFVLDGEVTADRIKAFGEDFLDDKLKPFYKSDPIPESNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPIYDKLAKHLRSIDSLVIAKMDGTTNEHPRAKPDGFPTLLFFPAGNKSFEPITVDTDRTVVAFYKFLKKHASIPFKLQKPTSTTQSESSGAKESSDAKESQSSNSDVKDEL >VigunL030550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:170557:172158:-1 gene:VigunL030550.v1.2 transcript:VigunL030550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSDNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIYNSV >Vigun01g208600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38403259:38406300:-1 gene:Vigun01g208600.v1.2 transcript:Vigun01g208600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENMLLEAAGRTSSPVRKRHKSRNSKSKREGGAAFSDGGSHSREEDSDEGANKKPQRYSSHVPLKKRLDVSRRSSNNSIRNEHGGGDLEDGAPDRDGGSSEESDVGSDLYKNEDDKQKLANMTELEREMILSNRATKKCEKEFKEKMRSKRENKNASAKTGSAANSSHHPSSAKVRSSARNAERTASKGDVLSELRAKRMKQQTVDPHDKLGKASGSGSSSKQKPGATASPSSSSQSESVIRSDSERDSSDDGGLGDSDDDKNIHESRMPSFENIREITIRRSKLVKWLNEPFFEELIVGCFVRIGIGKSESGPVYRLCTVQRVDGGDPNKHYKVENRVTHKYLVCVWGSENSAKKFQVAVVSDSAPLEREFRQWVREVERTCSQMPSKMSVLEKKEAIKRTSAYVYSAATVKQMLEEKKSAPSRPLNIAVEKDRMKNLLEVAKCKNDEAEVDRILRKLADLEACRKSRETDTKALRLAEMNRKNKVENFKNLSEHKLSNANLKAGEEGYDPFSRRWTRSRNYYGKDTEKNEEKGEKDGEVSKEEEKEETGVTTKVGVEVTELALQAAANEGKLIDTNAPVDGGTESNMLHDFDLPISLSELKNFGGPQGLKNGFLARKQKIEATVGCRVSDNDGSRHALTLTISDYKRRRGLL >Vigun01g208600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38403260:38406299:-1 gene:Vigun01g208600.v1.2 transcript:Vigun01g208600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENMLLEAAGRTSSPVRKRHKSRNSKSKREGGAAFSDGGSHSREEDSDEGANKKPQRYSSHVPLKKRLDVSRRSSNNSIRNEHGGGDLEDGAPDRDGGSSEESDVGSDLYKNEDDKQKLANMTELEREMILSNRATKKCEKEFKEKMRSKRENKNASAKTGSAANSSHHPSSAKVRSSARNAERTASKGDVLSELRAKRMKQQTVDPHDKLGKASGSGSSSKQKPGATASPSSSSQSESVIRSDSERDSSDDGGLGDSDDDKNIHESRMPSFENIREITIRRSKLVKWLNEPFFEELIVGCFVRIGIGKSESGPVYRLCTVQRVDGGDPNKHYKVENRVTHKYLVCVWGSENSAKKFQVAVVSDSAPLEREFRQWVREVERTCSQMPSKMSVLEKKEAIKRTSAYVYSAATVKQMLEEKKSAPSRPLNIAVEKDRMKNLLEVAKCKNDEAEVDRILRKLADLEACRKSRETDTKALRLAEMNRKNKVENFKNLSEHKLSNANLKAGEEGYDPFSRRWTRSRNYYGKDTEKNEEKGEKDGEVSKEEEKEETGVTTKVGVEVTELALQAAANEGKLIDTNAPVDGGTESNMLHDFDLPISLSELKNFGGPQGLKNGFLARKQKIEATVGCRVSDNDGSRHALTLTISDYKRRRGLL >Vigun04g138100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34416949:34418444:1 gene:Vigun04g138100.v1.2 transcript:Vigun04g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATLEPSGRHFGTFDVSSFEGNFGLCGEQLNRTCPEDGNQTTIKTEEHGNDDEDNVLYEALYMSMGIGYFTGFWGLFGTMLLWRSWRNTFLRFLNRLTYAIYVFLVL >Vigun06g183400.1.v1.2 pep primary_assembly:ASM411807v1:6:30293150:30296080:1 gene:Vigun06g183400.v1.2 transcript:Vigun06g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKNIMNIGLVIVVLVLWGNGVGFAQQVPCYFIFGDSLVDNGNNNQLNSLAKANYLPYGIDFAAGPTGRFSNGKTTVDVVAQLLGFNNYISPYATARGRDILRGVNYASAAAGIREETGQQLGGRISFRGQVQNYQRTVTQIVNLLGDENTAANYLSKCIYSIGLGSNDYLNNYFMPLVYSSSRQFTPQQYANVLIQAYAQQLRILYNNGARKLALFGVGQIGCSPNALAQNSPDGRTCVARINSANQLFNNGLRSLVDNFNNQFPDAKATYVNVYGIFQDIINNPSSFGFRVTNAGCCGVGRNNGQITCLPFQPPCRNRKEYLFWDAFHPTESANAIIANRAYNAQSASDAYPVDINRLAQI >Vigun10g114866.1.v1.2 pep primary_assembly:ASM411807v1:10:31864356:31870787:-1 gene:Vigun10g114866.v1.2 transcript:Vigun10g114866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSKKPVSAFREVIQAPKKDKQMKSDSTKNIDTEILANHKKKEREATKQGKRPFYLKKSEIRKQRPIEKYNQLKSSGKLEAFVEKRRRRNAAKDHRYMPYRRSGDAE >Vigun05g297400.1.v1.2 pep primary_assembly:ASM411807v1:5:48227602:48230481:1 gene:Vigun05g297400.v1.2 transcript:Vigun05g297400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQSQIRCIYNYTRPIVSTRVVSSLSAELSTNSYINLMCKKQQYKEALDAFNFNLEKSSIQLEPSTYANLILACTNFRYLNYGKKIHDHISKSKFQSDLVLQNHILNMYGKCGSLKDARKVFDAMQLRNVVSWTIMISRYMQNDQENDAIIMYIEMLRSGYLPDQFTFGSIIKACCIVGDIDLGRQLHGHVIKSGYDRHLIAQNALISMYTKFGQIALASGVFAMISTKDLISWASMITGFTQLGNDIDALYLFRDMLRQSVYQPNEFIFGSVFSACGSLLEPEFGRQIHGVCAKFGLGRNIFSGCSLCDMYSKFGFLPLAEKAFYQIESPDLVSWNAIIAAFSDSGHVNEAVSFFRQMMQTGLMPDNITFLSLLCPCGSFLTCNQGMQMHSYIIKIGLDKETAVCNSLLTMYTKCSNLHDAFNVFAYLGKRANLVSWNAILSACLQHKHAKEAFRLFKLMLFSENKPDSITITTILGTCAELASLEVGNQVHCFTIKSGLVVDVSVRNRLIDMYAKCGSLIHARDVFDSTQNPDIVSWSSLIVGYAQFGLGHEALNLFRIMRNLGVQPNEVTYLGVLSACSHIGLVEEGWHLYKTMEVELGIAPTREHVSCMVDLLARAGCLLEAENFIKETGFDPDINTWKTLLASCKTHGNADIAERVAENILKLDPCNSAALVLLSNINASAGNWKEVARLRHLMKQMGVQKVPGQSWIEFKDQIHVFFSEDSSHPQSGKIYSMLEDLWLQMLDHAYDPCQRARKFWKNMGFGCY >Vigun03g358400.1.v1.2 pep primary_assembly:ASM411807v1:3:56035714:56045204:-1 gene:Vigun03g358400.v1.2 transcript:Vigun03g358400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMRYFEDMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLDNFRHNEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANTAAAILKRPRTPPTNNPAMDYQTADSDHVLKRTRPFGISDEVSNLPVNLLPVAYSSQSHGQSSYSSDDLPKTVVMTLSQGSIVKSMDFHPLQQILLLVGTSMGDVMVWDIGSRERIAHKNFKVWELGACSVALQASLSNDYSASVNRVVWSPDGTLCSVAYSKHIVHIYSYHGGDDLRNHLEIEAHTGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKESIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGESYLVEWNESEGAVKRTYHGLGKRSVGVVQFDTTKNRFLVAGDEFTIKFWDMDNTNLLTSVDADGGLQASPCIRFNKDGILLAVSTNDNGVKILANAEGIRLLRTVENRTFDASRVASAAVVKAPTIGAFPSTNVTVGTSLAERAPPVAAMVGINNDTRSLADVKPRIVDEAVDKSRIWKLTEINEPSQCRSLKLPDSLSSMRVSRLIYTNQGVAILALATNAVHKLWKWQRNERNPSGKASANILPQLWQPSSGMLMTNDISDTNPEDAVSCFALSKNDSYVLSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWSTDGWEKQASKFLQMPSGRPPAPLADTRVQFHQDQTHLLAVHETQIALYEAPKLECIKQFSSRENNPITHATYSCDSQSIYVSFEDGSVGILTVPALRLRCRISQTAYLHPNPSLRVYPVVIAAHPSEPNQFALGLTDGGVHVLEPLETEGKWGTPPPNENGAGPSTASGAAASEQPQR >Vigun05g303700.1.v1.2 pep primary_assembly:ASM411807v1:5:48639075:48644913:-1 gene:Vigun05g303700.v1.2 transcript:Vigun05g303700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKIQTNWFVPVAVPFPVPHKVEGCYVMSKCLLSTHPPTPFEVDNDKSRRRRRRRRRRSNWSCGCGSEEAHAQRQDLSESESESKRELEVLEARRAISSYLGELGVSEEESLSIAWNCPGYVKMLVEGVRDLDEWGWEADEEIAGLSFKDKILHVAAQKGDKGKIAYLETLGLTLSWSINVAGYLSAHTLPSLMHKVTRIKQLFFSPSDNDNNNHPSLLMRNIRLTMRHLSISIDEDLQRTFSFFEKLQAKPGGLNILASQDSAFSSLIQSFPRMLHLSVDNHLTLILDSLLNFGIPTSRVSHIILAFPPLLFWNHQILQTRLLAVKQINVADQDYAKLLLKYPWLLSTSIQENYVELLAFSYSIKVPKTQIDRAIESHPHLLSCSTSKLELMVDQFAKLGVRKKKLSQVIAKSPQLLLRKPKDFLQIVLLFENMDLDKETIGRILARCPEIFASSINKTLQRKIEFLDRVGVRKTFWPGVIRKYPELLVSDIDKTLLLRIMYLMKLGLSEEEIAFMVRTFSPLLGYSIEGVLKPKIEFLVNSMERPVRDVVSYPRYFSYSLEKKIKPRYWVLKRRDIKCSLKDMLGKNDEEFAAEFMGIGRMPVSHPESSNDSL >Vigun05g303700.2.v1.2 pep primary_assembly:ASM411807v1:5:48639075:48644913:-1 gene:Vigun05g303700.v1.2 transcript:Vigun05g303700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKIQTNWFVPVAVPFPVPHKVEGCYVMSKCLLSTHPPTPFEVDNDKSRRRRRRRRRRSNWSCGCGSEEAHAQRQDLSESESESKRELEVLEARRAISSYLGELGVSEEESLSIAWNCPGYVKMLVEGVRDLDEWGWEADEEIAGLSFKDKILHVAAQKGDKGKIAYLETLGLTLSWSINVAGYLSAHTLPSLMHKVTRIKQLFFSPSDNDNNNHPSLLMRNIRLTMRHLSISIDEDLQRTFSFFEKLQAKPGGLNILASQDSAFSSLIQSFPRMLHLSVDNHLTLILDSLLNFGIPTSRVSHIILAFPPLLFWNHQILQTRLLAVKQINVADQDYAKLLLKYPWLLSTSIQENYVELLAFSYSIKVPKTQIDRAIESHPHLLSCSTSKLELMVDQFAKLGVRKKKLSQVIAKSPQLLLRKPKDFLQDNVLNEVRSFRRRNCIYGTHIFSSSWVQYRGGS >Vigun06g200900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31598895:31600952:-1 gene:Vigun06g200900.v1.2 transcript:Vigun06g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHKLKHTHPLAPLVWIILFANFTCAIDFVFNGFNSSEVLLFGNATIDSRILTLTHHQTFSIGRALYKEKIPTKKPNSSFVYPFSTSFIFAMAPYEDTLPGHGLVFIFTPVTGIQGTSSAQHLGLFNLTNNGNSNNHVLGVEFDVFMNQEFDDINDNHVGIDINSLKSSVSNDSGYWQDDGDKSFKELTLNSGENYQVWIDYEDSWMNVTMAPVGRKRPSRPLLNVSLNLSQVFEDEMFLGFTSATGQLVESHKILGWSFSNENISLSDELITTGLPSFVLPKDSILKSKGFVAGFTVGAFLVICVLVLLALVLIHRKRLRERKRQEMEDWELEYWPHRMVYEEIEAATKGFSEENVIGVGGNGKVYKGVLRGGVEIAVKRISHENDGLREFLAEVSSLGRLKQRNLVGLRGWCKKDVGSFLLVYDYMENGSLDKRVFDCDERKMLSYEDRIRIIKDVGFAVLYLHEGWEAKVLHRDIKASNVLLDKDMNGRLGDFGLARMHSHGQVASTTKLVGTVGYMAPEVFKTGRASTQTDVYMFGILILEVLCGRRPLEEGKSPLVEWVWQLMVQGQLVFALDERLRTKGEFSEQEVERLMHLGLLCAYPEPKVRPTMRQVVNVLEGKNDEDDSEIENMDSYLLQQLKSRDILSEYSQYFNYASHPTFQDIGNSSSMSLTWSESVVEGR >Vigun05g146680.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20406809:20407192:1 gene:Vigun05g146680.v1.2 transcript:Vigun05g146680.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDILNPISLEEISLWNNEIDQSEALPDSMPSHTQEDELDEVPMVGMIFETVDKVKDFYKQYAIRCGFGVCVRSSSKGQDNELCFVKLVCSREGNYVSTIPPELKSQPTKTKNCGARITTITKGG >VigunL041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:409692:410054:1 gene:VigunL041900.v1.2 transcript:VigunL041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun06g086700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21868528:21869304:-1 gene:Vigun06g086700.v1.2 transcript:Vigun06g086700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRNYKGTQFSLLTCFSCFLVTVIMSRRKVQLTFIANNSDRKASYIKRKKSLLKKTEEISTLCGVEACTIVYGPYAPVPDVWTSKSGVQNVVEKFRNTCEHNKKMATQESFLSERIAKGREKMKKHVRSNQEKEMTMFMFQCLKNGRVELLNNLTPDDLNVLSSVIDSKLRDINKKMETLNVSEMLPNQIQMMPPPAVLPVAAPENIIVPPNHGDGTYLNSDDPLQSQWFMELLNDNGDEETMKSSFGDPNLPPL >Vigun03g049300.1.v1.2 pep primary_assembly:ASM411807v1:3:3948937:3953097:-1 gene:Vigun03g049300.v1.2 transcript:Vigun03g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRLRLDSDTDEEEQHPLQPQSQPRPQPQPHFPAVPVEISDDEDFIDVAESLSPPSPSCPVSDFLRRLGLSLKRDWLASCLRQLQASVASFEHLDVAAKAKRCFEQFLFADMNSCGAGVLPPNVDSMHLRVLPGPYVLQVDEIINITCNLRGRYEQAPPGPKRCLKLSMTDGVQRVFGMEYRPIKALEVCASSGLKVAISNVHVRRGLLMLVPETIEILGGLVEELDAARKRLVEEINKPPRGKRNKNGELPPLATRATLAAWPPGGVDNRACNGSTLHSNVSFQENNQGAGQSIPSPVNNLPTEDTLSMGARSAASNSIPCMSSHSNAMNVDTPRNTDPVSHASPMSNQLSSVISRAKDMHIDASNARECSIDHQYSNMDSNVAAAHMDSVNITRENSVGIECSPVVVNIDTDTDRTPVATDTTHLQRTSSTVLDTSDVQMVDAIDHPLILSRDQEVPFTYIASLSAKWAKIKEEAPSVQGKIKCFLTGVKGFQFKKRTTYELQAYVDDGSLISEILVDHNVVEKGIGYSPEEVTAALSSPDTKIVHQMKDTMRKFQAFLANFEGIILVEFNKKSPLPLALEMSQGCPQSDAWLLLRRLKSLQPALVQNPTDPIELSP >Vigun03g049300.2.v1.2 pep primary_assembly:ASM411807v1:3:3948937:3952548:-1 gene:Vigun03g049300.v1.2 transcript:Vigun03g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGVQRVFGMEYRPIKALEVCASSGLKVAISNVHVRRGLLMLVPETIEILGGLVEELDAARKRLVEEINKPPRGKRNKNGELPPLATRATLAAWPPGGVDNRACNGSTLHSNVSFQENNQGAGQSIPSPVNNLPTEDTLSMGARSAASNSIPCMSSHSNAMNVDTPRNTDPVSHASPMSNQLSSVISRAKDMHIDASNARECSIDHQYSNMDSNVAAAHMDSVNITRENSVGIECSPVVVNIDTDTDRTPVATDTTHLQRTSSTVLDTSDVQMVDAIDHPLILSRDQEVPFTYIASLSAKWAKIKEEAPSVQGKIKCFLTGVKGFQFKKRTTYELQAYVDDGSLISEILVDHNVVEKGIGYSPEEVTAALSSPDTKIVHQMKDTMRKFQAFLANFEGIILVEFNKKSPLPLALEMSQGCPQSDAWLLLRRLKSLQPALVQNPTDPIELSP >Vigun03g056700.1.v1.2 pep primary_assembly:ASM411807v1:3:4605948:4606399:-1 gene:Vigun03g056700.v1.2 transcript:Vigun03g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKMLRFSMFLLFLVLAADVAVKTTEAKECWQASLTFKGVCIISGNCAKTCISEGETGGKCRGFPPHCDCYYIC >Vigun01g173200.1.v1.2 pep primary_assembly:ASM411807v1:1:35531233:35532782:1 gene:Vigun01g173200.v1.2 transcript:Vigun01g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEGEMNSQGEVESRFQQDANKNHHHSQFPPSSIGTQPSIYSLTLDEFQHSLCETGKNFGSMNMDEFISSIWNAEENQAIITSSNVPLSQPFTDKEPTTLRKQPSLPRQPSLSLPAPLCRKTVDEVWSQIQKEQDDTNNNGNVLNNTESAPRQPTFGEMTLEDFLVKAGVVREQPCAPPQLSHHSHHLHHQQQPQPPPQPQPPPQHYASNNGAMPGMGSSFVGRNVIGAVSNVVAPGYQVGEASGGYAGNGKRDGGGGSGFGMGPTMGMGGPVSPANSSDGIGNDCGQFGLEMGGLRGRKRIGDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLRQALAELERRRKQQQFLEELNRISVQNNAEKVKDKLRTLRRNNSYS >Vigun05g303300.1.v1.2 pep primary_assembly:ASM411807v1:5:48583674:48585248:-1 gene:Vigun05g303300.v1.2 transcript:Vigun05g303300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSESPTSSPPTPPSPLPISFGPGNRTYSFSVSSTPSPPFSLPPSSHPSHDNLPLLHKTLPTTNVPYAFSLDHQQLESKNTCLKDLLEWFVERCCSCRDKLP >Vigun06g138900.1.v1.2 pep primary_assembly:ASM411807v1:6:26440175:26448634:1 gene:Vigun06g138900.v1.2 transcript:Vigun06g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQGDKQTMEEALAGEIYDNTQQQNNTRDVLPFARSYQLEALDNALRENTIVYLETGSGKTLIAIMLLRSYAHHLRKPSSSIAVFLVPQVVLVSQQSEVVKKHTDLKVGTYYGAIGVDFWDAATWKQEMEKHEVFVMTPAILLNCLRHSFIKLNTIKVLIMDECHHARGKHPYACIMTEFYHHQLKLGVSDLPRIFGMTASPIKSKVGNSELSWSENIRSLITLMHSKVYTCVNEDVLAEFIPSSTPKFKFYRDSGSQFALFEDLASKLKMLKEQHGFTLRSSDFTKSAAESAEKRIEKIFCALMFCLDELGVWLTFKAAESLSSNEYESFSWGHSGDTVVKNFILACVQTLKTYLPFGPQWSIGNDIKSDVEMGLLTTKVCCLIDSLLEYRDLTDIRCIIFVQRVVTAIVLQDLLNTLLPTINSWKTKFIAGHKFGLQNQSRKKQNKIVEEFQMGLVNIIVATSILEEGLDVQTCNLVIRFDPSPTVCSFIQSRGRARMKNSDYLLIVKSGDSATCSRLEKYLASGDIMRKEALRHSSLPCDPLESDQFDEETYRVASTDAFANLSSSISLIHLYCSRLPADGYFKPAPRWDKETGTLYLPKSCPLKPIHVGDKNLLKSNACLEACKQLHKIGALTDNLVPDIVIEEAEVEEFGNEPYDENQPIYAPFELVNCVSNSSHTTYHCYLMEFSQNFCYHTCVQDLFLALRIKLDPEIECMQFDMDFDRGSVSVKLSYQGTISLSLNQVLLCKKFQVTLLRILIGNCMDKFTNGLDKSFLKDDLEIDYLLLPANGKRPNSTIDWLVINSVNPSSITCKYHQPHISTKSGLVCTCKLHNALVCTSHAAGKIYFYITTGITELDTNSPMILRNGEVTTYKKYFEQYHGIQLQFEHQRLLKAKHSFQVKNYCHGLRQRKEKEASHAFVELPPELCSVVMSPITDSVIYSFSFVPSIMHRLESLLGALNLKKMHLDHCTENEIQTTKVLEAITTKRCKEAFHYESLETLGDSFLKYAASQQLFKTYQNHHEGLLSLKREKIISNAALCKLGCSSGLPGFIRNEPFDPHVWIIPGDNSRSFKFTELVTKGKKNYVYGKRKLRRKIIADVVEALIGAFLSTGGEKAALLFMDWVGIKVSFDQIAYERHFDIQPEKLVNVEFLESKLKYSFRDRSLLVEALTHGSYMLPEVPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSLVS >Vigun07g012500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1102974:1104029:-1 gene:Vigun07g012500.v1.2 transcript:Vigun07g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSARKLTFNTVSVSLGCGTCRRPKLLRHIFHPKRRPKRPTFRAQTHHWSQDRDDTTTTATTSTTTTTTFSPCHVDSSAQFCDYAKSVRGFGRVGSEGVAVEKDSDDPYLDFRHSMLQMILQNEIYSKDDLRELLNCFLQLNSPDHHGVIVRAFTEIWNGVFSVSSGATAFHRNRKTRDF >Vigun05g061800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5330780:5333771:1 gene:Vigun05g061800.v1.2 transcript:Vigun05g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQDANRSFFPFGNPFRMISPKGIKISSQLLLVLQAFEATLEERLKRLIPESKDEILSLSWMTLAMQVLCESHSDIKTLTAELELPVNDWDVKWIDVYFDISMKLLDICNAFSSELSRMSQGQLLLQCALHNLGSSSSEQYHRACSSLDGWKKHMGSGNPRIEKCGGILDGLLGSLDLPKVKKSAKGKVLVQAMYGIKVLTVFVCSVFAAAFSGSTKNMFDLDVADIYSWASTFKRLQNLVNEEIRVRFSCGRFAVLNELESVDSSLKELYPIMQSAVDPTEMKLLPDVVGQLGRSTTNLSQGLDLLAKEVDSFFQVVLTGRDALLSNLRSGATVIHSNLGVTEMQR >Vigun08g208300.2.v1.2 pep primary_assembly:ASM411807v1:8:37115557:37121763:-1 gene:Vigun08g208300.v1.2 transcript:Vigun08g208300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFLHPSYIHLSNNVLLQPVFLHGLSGFFHLLLLIAVSLSWTWKKFTTRIRDESEEKHDNILFKTTVFCSLGVSTFSFLLCLFNYFCWYSSGWSEEKFVNLLDLALRTLAWGVVCICLHRRFFSSAERRFSFLFKAWCVLYLFVSCYCFVVDIVVISERRVALPTQCIVSNVLSTCVGLLFCYVGYFAKNKGHMRAKEHDGTQEPLLDGDTSVDDALEIKETKGGNTITPFSYAGFLSLLTFSWVGPLIAVGNKKTLDLEDVPQLDSRDSVVGAFPGFRDKLEADCGAINSVTTLKLVKSLVMISWKEILFTAFLALFHALASYVGPYLMDGFVEYLDGQRLYENQGYVLISAFFFAKIVECLSQLHRCFRLQQVGLRIRALLVTMIYNKALTLSCQSKQGHTDGEIINFMAVDAERVGVFSWHIHDLWMVALKVTLAFLILYKNLGLASIVAFVATIVVMLTNVPLGSLQGKFQKRLMQSKDRRMKATTEILRNMKILKLQGWEMKFLSKITELRKTEQGWLKRGAYTAAVTSFLFKGAPTFVAVVTFGTCMLRGVPLKSGNILSALATFEILQEPIYNLPGTISMMAQTKVSLDRIASFLRMDDLPSDVVEKLPRGSSDTAIELVDGNFSWELSSPNPTLQDINLKVSNGMRVAVCGTVGSGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSGKIEDNILFGKQMDREKYENVLEACSLKKDLEILTFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSGVDAHTGSHLFKECLLGLLSSKTVVYVTHQVEFLPAADHILVMKDGKITQCGRYNDLLGYGADFMELVGAHKIALSTIDSLDGTNVSNEISTLEQDVNVSGTQGSKEEEESTDKQNGKTDKSEPKCQFIQKEERVKGKVSFSVYWKCITTAYGGALVPFILLAQILFQVLQIGSNYWMAWATPVSTDVEPPVEETTLIVIYVSLAIGSSLCILARSMLLVTAGYKTATILFTKMHYCIFRAPMSFFDSTPSGRMLNRVSTDQNALDTNIPRQIASFAFIMIQLLGIIAVMSQAAWQVFVVFIPVIIVSICYQQYYIPSAKELTRLVGVCKAPLIQHFSETISGTSTIRSFDQQSRFQETNMKLTDAYSRPKFNIAGAMEWLCFRLDMLSSIIFAFSLIFIISVPPGFIDPVTYGLNLNNIQALMIWSLCKMESKIISVERILQYTSIPSEPPLVINENQPDPSWPSNGEVDIQDLQVRYAPHLPLVLCGLTCKFHGGLKTGIVGRTGSGKSTLIQTLFRIVEPAVGKIMIDNINICSIGLHDLRSRLSIIPQDPTMFEGTVRNNLDPLEEHTDEQIWEALDKCQLGDEVRKKEGKLDSKVSANGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDSATDNLIQQTLRQHFTDSTVITIAHRITSVLDSDMVLLLSQGFIEEYETPTKLLENKSSSFAQLVAEYTMRSKSSFEESDDH >Vigun08g208300.1.v1.2 pep primary_assembly:ASM411807v1:8:37115557:37121763:-1 gene:Vigun08g208300.v1.2 transcript:Vigun08g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFLHPSYIHLSNNVLLQPVFLHGLSGFFHLLLLIAVSLSWTWKKFTTRIRDESEEKHDNILFKTTVFCSLGVSTFSFLLCLFNYFCWYSSGWSEEKFVNLLDLALRTLAWGVVCICLHRRFFSSAERRFSFLFKAWCVLYLFVSCYCFVVDIVVISERRVALPTQCIVSNVLSTCVGLLFCYVGYFAKNKGHMRAKEHDGTQEPLLDGDTSVDDALEIKETKGGNTITPFSYAGFLSLLTFSWVGPLIAVGNKKTLDLEDVPQLDSRDSVVGAFPGFRDKLEADCGAINSVTTLKLVKSLVMISWKEILFTAFLALFHALASYVGPYLMDGFVEYLDGQRLYENQGYVLISAFFFAKIVECLSQLHRCFRLQQVGLRIRALLVTMIYNKALTLSCQSKQGHTDGEIINFMAVDAERVGVFSWHIHDLWMVALKVTLAFLILYKNLGLASIVAFVATIVVMLTNVPLGSLQGKFQKRLMQSKDRRMKATTEILRNMKILKLQGWEMKFLSKITELRKTEQGWLKRGAYTAAVTSFLFKGAPTFVAVVTFGTCMLRGVPLKSGNILSALATFEILQEPIYNLPGTISMMAQTKVSLDRIASFLRMDDLPSDVVEKLPRGSSDTAIELVDGNFSWELSSPNPTLQDINLKVSNGMRVAVCGTVGSGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSGKIEDNILFGKQMDREKYENVLEACSLKKDLEILTFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSGVDAHTGSHLFKECLLGLLSSKTVVYVTHQVEFLPAADHILVMKDGKITQCGRYNDLLGYGADFMELVGAHKIALSTIDSLDGTNVSNEISTLEQDVNVSGTQGSKEEEESTDKQNGKTDKSEPKCQFIQKEERVKGKVSFSVYWKCITTAYGGALVPFILLAQILFQVLQIGSNYWMAWATPVSTDVEPPVEETTLIVIYVSLAIGSSLCILARSMLLVTAGYKTATILFTKMHYCIFRAPMSFFDSTPSGRMLNRVSTDQNALDTNIPRQIASFAFIMIQLLGIIAVMSQAAWQVFVVFIPVIIVSICYQQYYIPSAKELTRLVGVCKAPLIQHFSETISGTSTIRSFDQQSRFQETNMKLTDAYSRPKFNIAGAMEWLCFRLDMLSSIIFAFSLIFIISVPPGFIDPGLAGLAVTYGLNLNNIQALMIWSLCKMESKIISVERILQYTSIPSEPPLVINENQPDPSWPSNGEVDIQDLQVRYAPHLPLVLCGLTCKFHGGLKTGIVGRTGSGKSTLIQTLFRIVEPAVGKIMIDNINICSIGLHDLRSRLSIIPQDPTMFEGTVRNNLDPLEEHTDEQIWEALDKCQLGDEVRKKEGKLDSKVSANGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDSATDNLIQQTLRQHFTDSTVITIAHRITSVLDSDMVLLLSQGFIEEYETPTKLLENKSSSFAQLVAEYTMRSKSSFEESDDH >Vigun01g162800.1.v1.2 pep primary_assembly:ASM411807v1:1:34454694:34457762:1 gene:Vigun01g162800.v1.2 transcript:Vigun01g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFAILIFMAPSSNAYWPPSPGYWPSSKFRSMSFYKGFRNLWGPQHQSLDQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKVQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREMKFHLWFDPTQDFHHYAILWSPKEIIFLVDDVPIRRYPRKSGATFPLRPMWLYGSIWDASSWATEDGKYKADYKYQPFVAKYSNFKASGCSAYAPRWCHPVSASPYRSGGLTRQQDRAMRWVQRYLMVYNYCQDPKRDHSLTPECWG >Vigun10g081966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23162390:23170833:1 gene:Vigun10g081966.v1.2 transcript:Vigun10g081966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLFSPISHEKKFSPTTHPTLCTSSHFATTSKLTSFEPPKSNGSRERHIQGSSPRLVWRHLEWQQREWRGCRGRRGIRWSERRLARRGIVPQARGKHYGGNQPRCRA >Vigun08g103400.2.v1.2 pep primary_assembly:ASM411807v1:8:25661115:25666166:1 gene:Vigun08g103400.v1.2 transcript:Vigun08g103400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAADKSQSLAEEYHVSDKQEKTAVVEKPVEVKEVESPQEAASVEAVVEKIVEETTPVAPAVAQESSEVSPPPAEESTEGQSSGNVEDNSGNEEAAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >Vigun08g103400.1.v1.2 pep primary_assembly:ASM411807v1:8:25661211:25665876:1 gene:Vigun08g103400.v1.2 transcript:Vigun08g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQLLTFPFGSDDLFPQFTFFMRPICILLHSDGWFFVFIVLDKEVTCFIDLQEYHVSDKQEKTAVVEKPVEVKEVESPQEAASVEAVVEKIVEETTPVAPAVAQESSEVSPPPAEESTEGQSSGNVEDNSGNEEAAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >Vigun07g190700.1.v1.2 pep primary_assembly:ASM411807v1:7:30898585:30900237:1 gene:Vigun07g190700.v1.2 transcript:Vigun07g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LBII MVAFSDKQEGLVNGAYEAFKADIPKYSVVFYTTILEKAPAAKNLFSFLANGVDATNPKLTGHAEKLFGLVRDSAAQLRASGGVVADAALGAVHSQKAVNDAQFVVVKEALVKTLKEAVGDKWSDELGTAVELAYDELAAAIKKAY >Vigun05g074600.1.v1.2 pep primary_assembly:ASM411807v1:5:6628211:6633582:1 gene:Vigun05g074600.v1.2 transcript:Vigun05g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MSTLDATRAELGLLVLYLSKAETRDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHALISPTPQGTPLPLILLGKSKNALLSTFLFLDQIVWLGRTGIYQNKERTELIGRISLFCWLGSSVCTTLVELGELGRLSASMKKLEKELKNKNKSDDAQYRGKVKQSNERTLSLIKAGIDIVVAAGLLQLAPKTVNPRVTGAFGFVSSLISCYQLLPAPAKSKTS >Vigun05g074600.2.v1.2 pep primary_assembly:ASM411807v1:5:6628559:6633571:1 gene:Vigun05g074600.v1.2 transcript:Vigun05g074600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MSTLDATRAELGLLVLYLSKAETRDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHALISPTPQGTPLPLILLGKSKNALLSTFLFLDQIVWLGRTGIYQNKERTELIGRISLFCWLGSSVCTTLVELGELGRLSASMKKLEKELKNKNKSDDAQYRGKVKQSNERTLSLIKAGIDIVVAAGLLQLAPKTVNPRVTGAFGFVSSLISCYQLLPAPAKSKTS >Vigun08g125600.1.v1.2 pep primary_assembly:ASM411807v1:8:29579115:29583024:-1 gene:Vigun08g125600.v1.2 transcript:Vigun08g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARAKFQNPDFRPDFHADLKVSTHDGLQFWQFMLAGSVAGCVEHMAMFPVDTVKTRMQALGSCPVKTGTVRQALRSILQSEGPSALYRGIGAMGLGAGPAHAVYFSVYETCKKRLSEGNPNNAAAHAASGICATVASDAVFTPMDMVKQRLQLGNSGYKGVWDCVRRVMSEEGFRAFYASYRTTVLMNAPFTAVHFTTYEAAKRGLMEVSPESVDDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIKSIVKKDGYRGLMRGWIPRMLFHAPAAAICWSTYEAGKSFFQDFNQQKDVGTVT >Vigun06g064800.1.v1.2 pep primary_assembly:ASM411807v1:6:19323780:19327930:-1 gene:Vigun06g064800.v1.2 transcript:Vigun06g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEHTPLFETKKSRGRLIYRSFATSLFVCICFVWIYRISHIIDAKGEDGKWVWLGMLGAEFWFGFYWVLTQAFRWNLVFRKPFRNILSQRYERKLPGVDIFVCTADPDIEPPMMVINTVLSVMAYDYPTEKLNVYLSDDAGSQITFYALLEASNFAKHWIPFCRKFKVEPRSPAAYFKSIVSSGYSNHSDQTKELTAIKKLYNEMERRIEDATKFGEVPKEARLKHKGFSQWDSYSSRRDHDTIFEILLHRKDPENSKDVDGFLLPTLVYLAREKRPQYFHNFKAGAMNSLLRVSSNISDGKIILNVDCDMYSNNSQSVRDALCFFMDEEKGHKIAYVQFRQIFENVTKNDLYGSNLLAISEVEFPGADGCGGPLYVGSGCFHRRESLCGLKFSDQYRNNWNVNEDYQFKETSLEELKEESKALASCTYEENTLWGKEMGLRYGCPVEDVITGLSIQCQGWKSVYYNPPRKAFLGLAPTTLPQTLVQHKRWSEGDLQILLSKFSPAWYGLGRISLALQMGYSIYCLWAPSSFATLYYSIIPSLYLLKGIPLFPKMSSPWFIPFAYIIVGEATYSLLEFLFCGGTFQGWWNELRIWLYKRTSSYLFACIDTILKLFGFSDSTFVITSKVTEEEASKRYEKEIMEFGTSSPMLTVLATLALLNLFCFLSVLKDAVLREDGFGICDTMVLQVLLCGFLVLINLPIYQGLFLRKDNGRLPGSVAIKSVLLALCVVTFFSFA >Vigun06g064800.3.v1.2 pep primary_assembly:ASM411807v1:6:19323780:19327930:-1 gene:Vigun06g064800.v1.2 transcript:Vigun06g064800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEHTPLFETKKSRGRLIYRSFATSLFVCICFVWIYRISHIIDAKGEDGKWVWLGMLGAEFWFGFYWVLTQAFRWNLVFRKPFRNILSQRYERKLPGVDIFVCTADPDIEPPMMVINTVLSVMAYDYPTEKLNVYLSDDAGSQITFYALLEASNFAKHWIPFCRKFKVEPRSPAAYFKSIVSSGYSNHSDQTKELTAIKKLYNEMERRIEDATKFGEVPKEARLKHKGFSQWDSYSSRRDHDTIFEILLHRKDPENSKDVDGFLLPTLVYLAREKRPQYFHNFKAGAMNSLLRVSSNISDGKIILNVDCDMYSNNSQSVRDALCFFMDEEKGHKIAYVQFRQIFENVTKNDLYGSNLLAISEVEFPGADGCGGPLYVGSGCFHRRESLCGLKFSDQYRNNWNVNEDYQFKETSLEELKEESKALASCTYEENTLWGKEMGLRYGCPVEDVITGLSIQCQGWKSVYYNPPRKAFLGLAPTTLPQTLVQHKRWSEGDLQILLSKFSPAWYGLGRISLALQMGYSIYCLWAPSSFATLYYSIIPSLYLLKGIPLFPKMSSPWFIPFAYIIVGEATYSLLEFLFCGGTFQDSTFVITSKVTEEEASKRYEKEIMEFGTSSPMLTVLATLALLNLFCFLSVLKDAVLREDGFGICDTMVLQVLLCGFLVLINLPIYQGLFLRKDNGRLPGSVAIKSVLLALCVVTFFSFA >Vigun06g064800.4.v1.2 pep primary_assembly:ASM411807v1:6:19323780:19327930:-1 gene:Vigun06g064800.v1.2 transcript:Vigun06g064800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEHTPLFETKKSRGRLIYRSFATSLFVCICFVWIYRISHIIDAKGEDGKWVWLGMLGAEFWFGFYWVLTQAFRWNLVFRKPFRNILSQRYERKLPGVDIFVCTADPDIEPPMMVINTVLSVMAYDYPTEKLNVYLSDDAGSQITFYALLEASNFAKHWIPFCRKFKVEPRSPAAYFKSIVSSGYSNHSDQTKELTAIKKLYNEMERRIEDATKFGEVPKEARLKHKGFSQWDSYSSRRDHDTIFEILLHRKDPENSKDVDGFLLPTLVYLAREKRPQYFHNFKAGAMNSLLRVSSNISDGKIILNVDCDMYSNNSQSVRDALCFFMDEEKGHKIAYVQFRQIFENVTKNDLYGSNLLAISEVEFPGADGCGGPLYVGSGCFHRRESLCGLKFSDQYRNNWNVNEDYQFKETSLEELKEESKALASCTYEENTLWGKEMGLRYGCPVEDVITGLSIQCQGWKSVYYNPPRKAFLGCQVHGSYLLHISLLVKPLIVCWSFYSVGEHFKVGGMNYGYGYTREQALIFLPALTPS >Vigun03g023900.1.v1.2 pep primary_assembly:ASM411807v1:3:1766541:1770014:-1 gene:Vigun03g023900.v1.2 transcript:Vigun03g023900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHVTPHTNTLLNPTKLKNAEHFIFFFMALKLAHTHTFPIFAPTLDPNPNPRPSSEPRLSRWTNPQTRSDRSPNARRTGRPSGPAKRSKSPPRPNVDPQSHPAFRFSNIPKLKPRRITSAPDNVKISDDGLSYVIDGAPFEFKYSYTETPKAKPTKIREPPFLPFGPSTMPRPWTGRAPLPPSKKKLKEFDSFELPPPHKKGVKPVQSPGPYLPGTGPRYVKSREEILGEPLTKEEIRELVKSCMKTQRQLNMGRDGFTHNMLDNIHAHWKRRRVCKIRCLGVCTVDMDNVCQQLEEKTGGKVILRRGGKVYLFRGRNYNHKTRPRFPLMLWKPVSPVYPRLIQRVPEGLTLEEATEMRQKGRKLIPICRLGKNGVYYNLVNTVREAFEECDLVRINCQGLNKSDYRKIGAKLRDLVPCTLLSFQYEHILIWRGPNWKSSIPDLGDDLKEANKNVDNKNFEPRPSEALEISAPSLQENPVEHESNLSHDATISSCSSDVTLDKVEVPYSNENSRQSISEVTELASLTKVYEVETANVATDSYAEPDPCTSPSSSLTLSHYNSSSEGSTRAMSDNHRAENIMDSQTICGDLSASISGSDTTVGGVDNHMNGMVDLHSDELLDALGEVDVSQLPRSAAPCMKEILLLFEQAVEKGSALVLDKDSLDADNIYQKTVAFAKSASPGPVFGKQRKAVAVVQKSPKKEGSTLETKETTTVSTKREKAKSTKISRKTNFDGQLLNAVPQGTLGVDELAKLLL >Vigun10g062200.1.v1.2 pep primary_assembly:ASM411807v1:10:13105451:13120386:1 gene:Vigun10g062200.v1.2 transcript:Vigun10g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSRTKPLLLLFLLLLHHHAAVVLSDAPKSKNSFREREASEDSLGYPEIDEDALVNSKCPKNLELRWQTEVSSSIYANPLIADINSDGKLEVVVPSFVHYLEVLEGADGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRVSGYLMSDKLEIPRRKVLKDWFVGLNPDPVDRSHPNIHDDQLVQEATIKNSMSQMNGSRHEAKSSVATSTENPLDTKNQSNPEPEKKVNGSEVGESIKVSNLEPVKKMNGSEVDESLKVPNHEPEKKINGSQVEESIKVPNLEPENKINESQVHESIKVPTNVDNSSVNAGSLETVHTDNKTSTGRRLLEDNNSKEAKQGVSETGGIHASTVENDEGLEADADSSFELFRNSEELADEYSYDYDDYVDETMWGDEEWTEVKHDKLEDHVNVDSHILCTPVIADIDNDGVSEMIVAVSYFFDHEYYDNQEHRKELGDIDIGKYVAGGIVVYNLDTKQIKWTADLDLSTDTSNFRAYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKVREKFPLEMAEIQGSVVAADVNDDGKIELVSADAHGNVAVWTPKGDLVWEKHLKSLIPQGPTVGDIDGDGHTELVVPTLSGKIHVLDGRDGSSIGRYPYPTHGRIMNQILLVDLNKPKEKKKGLTIVTTSFDGYLYLIDGPTGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKAWRLPSQGRNNVANLYNREGIYVTHPYRAFRDEEGKSFWVEIEIVDNYRYPSGHQGPYKVTTSLLVPGNYQGERTVKLNNTYDLPGKYQIKLPTVSVRTTGTVLVEMVDRNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFGVLVIFRPQDSMPLPSFSRNID >Vigun10g062200.2.v1.2 pep primary_assembly:ASM411807v1:10:13106133:13120386:1 gene:Vigun10g062200.v1.2 transcript:Vigun10g062200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRVSGYLMSDKLEIPRRKVLKDWFVGLNPDPVDRSHPNIHDDQLVQEATIKNSMSQMNGSRHEAKSSVATSTENPLDTKNQSNPEPEKKVNGSEVGESIKVSNLEPVKKMNGSEVDESLKVPNHEPEKKINGSQVEESIKVPNLEPENKINESQVHESIKVPTNVDNSSVNAGSLETVHTDNKTSTGRRLLEDNNSKEAKQGVSETGGIHASTVENDEGLEADADSSFELFRNSEELADEYSYDYDDYVDETMWGDEEWTEVKHDKLEDHVNVDSHILCTPVIADIDNDGVSEMIVAVSYFFDHEYYDNQEHRKELGDIDIGKYVAGGIVVYNLDTKQIKWTADLDLSTDTSNFRAYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKVREKFPLEMAEIQGSVVAADVNDDGKIELVSADAHGNVAVWTPKGDLVWEKHLKSLIPQGPTVGDIDGDGHTELVVPTLSGKIHVLDGRDGSSIGRYPYPTHGRIMNQILLVDLNKPKEKKKGLTIVTTSFDGYLYLIDGPTGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKAWRLPSQGRNNVANLYNREGIYVTHPYRAFRDEEGKSFWVEIEIVDNYRYPSGHQGPYKVTTSLLVPGNYQGERTVKLNNTYDLPGKYQIKLPTVSVRTTGTVLVEMVDRNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFGVLVIFRPQDSMPLPSFSRNID >Vigun11g022900.5.v1.2 pep primary_assembly:ASM411807v1:11:2795283:2802473:1 gene:Vigun11g022900.v1.2 transcript:Vigun11g022900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILENHPQLLLSRRTISTKYVEASDLRPIAVLEDTLNYLWSLLESKEHSFEEVHDFMFDRTRSLRQDITMQNIVNHKAIYLYERMGEPLSVWFRRVSTPVLKSKEMCFARRILRSSQLGNYKDFFCTAAAQATYLQFCIMMPYINEVRALALSCINFGGYKLHPYPLVDLSKHLMIKESDLESFCHYCGLEICTDESGNKLLPTKQTTFSHPKGRFQSYSFLGLQEYESQF >Vigun11g022900.2.v1.2 pep primary_assembly:ASM411807v1:11:2795283:2802473:1 gene:Vigun11g022900.v1.2 transcript:Vigun11g022900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGNRGSSFSQSRRSRGRGRGHRFPSNQSKVSNFGGRGTSSSTSGNTASNSVLSNRQEEDAQPSSNIVGTCPYMCPERERIQREKLRDLAGFERLNGNPRKSSPALAVKKFCRTISTKYVEASDLRPIAVLEDTLNYLWSLLESKEHSFEEVHDFMFDRTRSLRQDITMQNIVNHKAIYLYERMGEPLSVWFRRVSTPVLKSKEMCFARRILRSSQLGNYKDFFCTAAAQATYLQFCIMMPYINEVRALALSCINFGGYKLHPYPLVDLSKHLMIKESDLESFCHYCGLEICTDESGNKLLPTKQTTFSHPKGRFQSYSFLGLQEYESQF >Vigun11g022900.4.v1.2 pep primary_assembly:ASM411807v1:11:2798361:2802473:1 gene:Vigun11g022900.v1.2 transcript:Vigun11g022900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRTRSLRQDITMQNIVNHKAIYLYERMVKFHVISHYNLWRSMSDPNTASMHHLNLEQLTKTLTSLFNLYEANRNSNHVHENEAEFHSLYVLLHLGSYSQPMGEPLSVWFRRVSTPVLKSKEMCFARRILRSSQLGNYKDFFCTAAAQATYLQFCIMMPYINEVRALALSCINFGGYKLHPYPLVDLSKHLMIKESDLESFCHYCGLEICTDESGNKLLPTKQTTFSHPKGRFQSYSFLGLQEYESQF >Vigun11g022900.3.v1.2 pep primary_assembly:ASM411807v1:11:2795283:2802473:1 gene:Vigun11g022900.v1.2 transcript:Vigun11g022900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILENHPQLLLSRRTISTKYVEASDLRPIAVLEDTLNYLWSLLESKEHSFEEVHDFMFDRTRSLRQDITMQNIVNHKAIYLYERMVKFHVISHYNLWRSMSDPNTASMHHLNLEQLTKTLTSLFNLYEANRNSNHVHENEAEFHSLYVLLHLGSYSQPMGEPLSVWFRRVSTPVLKSKEMCFARRILRSSQLGNYKDFFCTAAAQATYLQFCIMMPYINEVRALALSCINFGGYKLHPYPLVDLSKHLMIKESDLESFCHYCGLEICTDESGNKLLPTKQTTFSHPKGRFQSYSFLGLQEYESQF >Vigun11g022900.1.v1.2 pep primary_assembly:ASM411807v1:11:2795283:2802473:1 gene:Vigun11g022900.v1.2 transcript:Vigun11g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGNRGSSFSQSRRSRGRGRGHRFPSNQSKVSNFGGRGTSSSTSGNTASNSVLSNRQEEDAQPSSNIVGTCPYMCPERERIQREKLRDLAGFERLNGNPRKSSPALAVKKFCRTISTKYVEASDLRPIAVLEDTLNYLWSLLESKEHSFEEVHDFMFDRTRSLRQDITMQNIVNHKAIYLYERMVKFHVISHYNLWRSMSDPNTASMHHLNLEQLTKTLTSLFNLYEANRNSNHVHENEAEFHSLYVLLHLGSYSQPMGEPLSVWFRRVSTPVLKSKEMCFARRILRSSQLGNYKDFFCTAAAQATYLQFCIMMPYINEVRALALSCINFGGYKLHPYPLVDLSKHLMIKESDLESFCHYCGLEICTDESGNKLLPTKQTTFSHPKGRFQSYSFLGLQEYESQF >Vigun06g188800.26.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.23.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.24.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.10.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706477:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.14.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706477:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.7.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706477:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.6.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706477:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.20.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.28.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.30.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706441:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKVCMNLFPSYFGLQQPNWARYGITFCSIFMLLKLMCTFLYLRDLK >Vigun06g188800.17.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706477:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.19.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.22.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.25.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.31.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.31.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKELLS >Vigun06g188800.18.v1.2 pep primary_assembly:ASM411807v1:6:30702249:30706375:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVAELLS >Vigun06g188800.21.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQLQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.27.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706551:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKGLEMTSIENLNDPNKLHPQSDYTKKKTVFKMFGQSGKSFLAPSGDKGNEIAQVIRRSLGKTLDFDKHMHPEALLFLNLWLDSEAERCFRKYETYHCLMEAGLDVNCTTVANPL >Vigun06g188800.2.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706483:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKELLS >Vigun06g188800.29.v1.2 pep primary_assembly:ASM411807v1:6:30702090:30706441:1 gene:Vigun06g188800.v1.2 transcript:Vigun06g188800.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNQSKQLTWLTTPLSPLAKPFTLNRSTLQPCSNSLFSGYPFLELPKEGVFDGFGEDFSLPTYSPLGHGKQGEDSRKSLFVKGSDLADSTMSNEGNQAIHGLSPCLTESVGTGTAEDLLSNSKGTSLVDDESSIFPLFNCKVSSLKSLTTDMSSAKNTSLNQSSKNLIENDSDVDSPCWKGTMAFCQTPIENSGSIQTNNIEKATEKHNRLNPLAPQFFPRIAYVKEDFGSSNSGSPVATNFYSGEHMLKKTVMAESPVELNTGIELQPSSNTCEKEKAFNMINDPKNSYVDPALNLHCKVTQSSSKEDSTMSIGKIEAVVDADNFAEGTKDPRVCRSISEAFTTKSRSPISTMASSSSGVAVVTDLLKTFEGISKSLSKSPTPDVGTVVSAIHVLSELLVQKSMDGVGSNNEHGHDEIMIQKVINNLNDFSTKRCVQRIPTPESTPADNPFCHDRSLELPKVCMNLFPSYFGLQQPNWARYGITFCSIFMLLKLMCTFLYLRDLK >Vigun06g228900.1.v1.2 pep primary_assembly:ASM411807v1:6:33636800:33638439:1 gene:Vigun06g228900.v1.2 transcript:Vigun06g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGSHNPLAATFGILGNIISVMVYLAPARTFQKIYKKKSTQSFQSLPYLVALFSSSLWLFYASFDFKHSLLIITINSFGCVTEIIYILIFVKYADRDAKNLTIKLFAVMNLGCLASIVLVVRCAVDQSKRVLIIGWICDVVSVIVFASPLSVVFQVIRTKSVQFMPFCLSFSLLLNAIMWLAYGLFNKDMCVAMPNVGGLALGLLQMLLHVIYRKRGATEEVATEDAVRTIVVVMNPLGPAEVFPIAVKDEHSEGGEDDAEGKTVEANDCPL >Vigun02g003900.1.v1.2 pep primary_assembly:ASM411807v1:2:2059197:2061471:-1 gene:Vigun02g003900.v1.2 transcript:Vigun02g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPFPSNLLPGDGSPEWMSRADNAWQLVAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMSLYAFACVFFCWVVWGYRMSFGDPLVPFWGKPAMSLDHGYLFERAFLGAFPNATMVYFQCVFAAITLILIAGAVLGRMNFYAWMLFVPLWLTFSYTFTAFSIWSTNGFLSKMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLNKDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYIVNADASLAVLNTHACTATSLLTWVILDVIFFRKPSVIGAVQGMITGLVCITPAAGVVEGWAALLMGVFSGSIPWFTMMVVHKRSKLLQKVDDTMAVFHTHAIAGTLGGLLTGLFAEPRLNKLFYGVPGQYVGFFYGFHSNKVHTGFRQMGVQIIGIIFVVFVNVVTTSLICLFIRVFVPLRMSEEDMEIGDEAAHGEEAYAIWGQGDKLENSSSKYGSSLYDDVEAGSHKKKHGGVVEMM >Vigun09g133400.1.v1.2 pep primary_assembly:ASM411807v1:9:29244235:29251887:1 gene:Vigun09g133400.v1.2 transcript:Vigun09g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADSRKKSVVLALCKYLSLDPSVVPAESLDSDIKSLYLKIKEASGHGVDNTDEVLKWVSFAEAFPVANDACFENLKRLNDELSGKSVVLGNGLKPSEADVIVYSVIHSSLVNLSDTNKEKLSHVLRWMDYIQNKQEFVGLFEKILLQKPGFEPPVTKAVGVLEADLKSKTDQSIKNVSKSETEPSKDKNKAEGKATAADKEPNKAKAKPAEKEVAGKENEVSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYFSPDELTNRRVVLITNVKPGKLRDVVSEGLVLCASNEGPTVVEPLIPPEGAKIGERISFTGIDGKPEDVLNPKKKQLEKITPHLFTDDKGVATFKGIPFMTSGGPCTSSIPRATIK >Vigun03g198500.1.v1.2 pep primary_assembly:ASM411807v1:3:28441699:28444801:1 gene:Vigun03g198500.v1.2 transcript:Vigun03g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSLTHFKIIFVFFILFTLLTPQAVADCEAESANTCNNKQKALSLKVIAIFTILVSSIIGVTLPLVTRSVPALSPENDLFIIVKCFAAGIILGTGFMHVLPDSFDMLWSDCLKEKPWHQFPFSGLVAMFSAIITMMVDSLATSVYTKRGRTEIIPAENSPAAGGDQEMGGVVNFGHFHGHHHHHHPENKTEEGQDSQLLRYRVVAMVLELGIVVHSVVIGLGMGASNNTCTIKGLIAALCFHQMFEGMGLGGCILQAQYKFLKKAIMVFFFSVTTPFGIALGIALSTTYREKSPSALITVGLLNASSAGLLIYMALVDLLSADFMSPRLQGSINLQLKSYLAVFLGAAGMSLMAKWA >Vigun07g230600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35244605:35247956:-1 gene:Vigun07g230600.v1.2 transcript:Vigun07g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGQSLKWVLLDLIHKSNDLRSFKQIHAHLLTSALVANDLVVSKAANFLGKHVTDVHYPCNFLKQFDWNLSSFPCNLMISGYASGHLPWLAILICRWTVRNGFVPDVYTILAVLKSCAKFYGIVEVKQFHSVAFKTGLWCDIYVQNSFVHVYCISGDTVGAGKVFDDMLVRDVVSWTGLISGYVKAGLFNEAIALFLRMDVEPNVATFVSILGACGKLGCLNLGKGIHGLGLKCLYGKELVVCNAVLDMYMKCESATDARQMFDEIPEKDIISWTSMISGLVQCQYPSESLDLFCQMQDSGFEPDGVILTSVLSACASLGLLDYGRWVHQYIDECRIKWDVHIGTTLVDMYAKCGCIDMAQRIFSGMPSRNIRTWNAYIGGLAINGHGREALKLFEDLIESGAKPNEVTFLAVFTACCHSGLVSEGRKYFNEMSSPLYNLSPLLEHYGCMVDLLCRAGLVEEAGELIKTMPMPPDVQIIGALLSACNTYGNVGFTQEILKSLQNFEFQDSGIYVLLSNLYATNKKWADVRNVRRLMKQKGISKAPGSSIIRVDGKSHEFLVGDSSHPYSEDIYVLLNILANQIYSEGHINTLC >Vigun10g151275.1.v1.2 pep primary_assembly:ASM411807v1:10:37096847:37098859:-1 gene:Vigun10g151275.v1.2 transcript:Vigun10g151275.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPPTKLDTTHSPEFLGLNPNTGAWPAAKFGEDVIVGFVDTRVWPESESFNDEGMHDKLPTKWYGNCESSIKCNKKLIGAQFFNKGLLAQKSKLATIVNSTRDTGGHGTHTSSTVVGRKVDNASLFGNANGSVKGVASRARLAIYKVMLGDAIYPSDVIAAVDTAISDGVDILSFSFGFNSFSLFDDPIAITTFEAMDRGIFVSTSAGNSGPSRESLHNGIPWVINVAASTLDRQIQASLTLGNNVKLFGLSTYLIGKFSTHQVPIVFLGSCDNLTELVNAHNKIVVCEVMGANLRSLVSNIKTTNVSASVLVSGVTDISIINDPSLVGIIINPRNGEILTSYIKSNFDAKENMSFTITSLGIKPAPRVDVYSSRGLSISCPFVLKPDITAPGTSILAAWPQNLPVAYSGSRPLYSNFNLLTGTSMACPHVAGVAALLKGTHPDWSPATIRSAIMTTSDILDNNKEPIKDIAMGGKPASPLAMGAGHINPNKALEPGLVYDVGVHDYVNLLCTMNLTKQEITTITRPSSFNCSKPSLDLNYPSFIALFSKSGSFNESIGTWEFFRTVINVGDGQTIYTASITPIKGFNVSLIPSKLVFKEKNEKVSFNLRIEGPKMEGFGYVTWTNRKHVVRSPIVVTYQSSPY >Vigun03g125800.1.v1.2 pep primary_assembly:ASM411807v1:3:11888659:11888886:1 gene:Vigun03g125800.v1.2 transcript:Vigun03g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEIINRGFVYISVGRVSGHWVCCWVVLHRSLLMKKIQSSYL >Vigun01g173300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35533644:35535720:-1 gene:Vigun01g173300.v1.2 transcript:Vigun01g173300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPVEVIGNILSLLGSARDVVVASATCKKWKEAWRSHLHSLSFNFSDWPPYHDYTSSRLEILITQTIFQTKALQFLTIFMDDEREFSAAPVIAWLMYTRDSLRQLHYNVRTTPSFNIIEKCSRHRLEVLALARNSIIGVEPGYLKFPCLKSLSLSFVSISALDLSLLMSSCPKLETLAMVSPEIATSDSHASVDLNSVSLKDFHLELFSSDKFILEVDLLEKLHLKECTFEVFELVGKGTLKALKIDDVSVIHLDIADSTENLEIIDVCNFTIMWPKFYHMISKASKLYKLRLWGVVFDDEDEVVDIETISVCFPLLTHMSLSYDLRDGVLHYGLQGLSCLMNVVVLELGWTVISDLFSVWVAGLLEGCPNLKKLVVHGYVSDVKTHEECQILARFSEFILQLGRKYGHVKFEFEYE >Vigun01g173300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35533533:35535738:-1 gene:Vigun01g173300.v1.2 transcript:Vigun01g173300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPVEVIGNILSLLGSARDVVVASATCKKWKEAWRSHLHSLSFNFSDWPPYHDYTSSRLEILITQTIFQTKALQFLTIFMDDEREFSAAPVIAWLMYTRDSLRQLHYNVRTTPSFNIIEKCSRHRLEVLALARNSIIGVEPGYLKFPCLKSLSLSFVSISALDLSLLMSSCPKLETLAMVSPEIATSDSHASVDLNSVSLKDFHLELFSSDKFILEVDLLEKLHLKECTFEVFELVGKGTLKALKIDDVSVIHLDIADSTENLEIIDVCNFTIMWPKFYHMISKASKLYKLRLWGVVFDDEDEVVDIETISVCFPLLTHMSLSYDLRDGVLHYGLQGLSCLMNVVVLELGWTVISDLFSVWVAGLLEGCPNLKKLVVHGYVSDVKTHEECQILARFSEFILQLGRKYGHVKFEFEYE >Vigun06g007400.2.v1.2 pep primary_assembly:ASM411807v1:6:3777174:3777965:-1 gene:Vigun06g007400.v1.2 transcript:Vigun06g007400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWARDIFEGSPTPVTTFLSIAPKISIFANISRVSIYGSYGATLQQIFCFCSIASMILGALAAIAQTKVKRPLAHSSIGHIGYIRTGFSCGTIEGIQSLLIGILIYALMTIDAFATVLALRQTRVKYIADLGALAKTNPISAITFSITMFSYVGIPPLAGFCSKFYLFFVALGCGAYFLALVGVVTSVIGCWVAGRLPRLTESVATSMGMHATKDRVESKTSTVYSISLYESTITTRDEPWFCEWVP >Vigun07g049100.1.v1.2 pep primary_assembly:ASM411807v1:7:5076717:5083333:1 gene:Vigun07g049100.v1.2 transcript:Vigun07g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMNKGSLDYWRNYFGAANSDIFGIIDHAIMVAASDCPEEFRMRRDGIAERLFSCRLSRCLGCERVELAVPVDDDGGESGGACKNGFEGTGAEFESEAGASKESKVNSASVDDPGVMNTNRVSNYSYGEAEALTDEIEEESQYVEEVFRIKDVLLNCEEESDSVLFDSLRRLQLMELTVDLLKATEIGKAVNPLRKHGSSDICQLARTLIEGWKEMVDEWVKATTAIAGSEGTPDSVNPSVIDDEEGLPSPPMDEGALFAPPTGSMELSQFFDGMDDDGNPRHSGEFTKNRDHGRSPTLKRRPQASNEANIIAKEGKGQQAKKNEVAVRPNKPVIGDSGPGRPPKSTMQKKGSVEPKVQQNTVKNTIPKNPHVRQLDKPRCSDDASVQVKLEASKRKLQERYQQVENAKRQRTVQVMELHDLPKQGIGHRNPHVKPGNHKKQWGHGRR >Vigun07g293100.3.v1.2 pep primary_assembly:ASM411807v1:7:40456428:40462333:1 gene:Vigun07g293100.v1.2 transcript:Vigun07g293100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIRNPLFIPSSSHHHNLNRNNSSVLLTLRFPHTSLRHNSLQFKSRFAVSCSQSEPSSRRRPPYIPNRIPDPSYVRIFDTTLRDGEQSPGASMTSKEKLDVARQLAKLGVDIIEAGFPAASKDDFEAVKMIAQEVGNAVDDDGYVPVICGLSRCNENDIRRAWDAVKYAKRPRVHTFIATSAIHMEYKLRMSKEKVIDTARNMVKFARSLGCEDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGRLIADIKANTPGIENVIISTHCQNDLGLSTANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALRCGAHVTGNLHTGINTKHIFLTSKMVEEYTGLQLQPHKALVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNEAGIVLGKLSGRHALRKRLEELGYELNDDQIQTLFWRFKGVAEQKKRVTDADLRALVSDEVFQAEPVWKLGDIQVTCGTLGLSTSTVKLLSSDGRTHVACSVGTGPVDSAYKAIDLIVKEPVTLLEYSMNAVTEGIDAIATTRVVIRAESETSTTTTTSALTGQTVLRTFSGSGAGMDVVVSSVKAYIAAINKMLGFKETYPSAEKIPIPSLKI >Vigun07g293100.2.v1.2 pep primary_assembly:ASM411807v1:7:40456428:40462333:1 gene:Vigun07g293100.v1.2 transcript:Vigun07g293100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIRNPLFIPSSSHHHNLNRNNSSVLLTLRFPHTSLRHNSLQFKSRFAVSCSQSEPSSRRRPPYIPNRIPDPSYVRIFDTTLRDGEQSPGASMTSKEKLDVARQLAKLGVDIIEAGFPAASKDDFEAVKMIAQEVGNAVDDDGYVPVICGLSRCNENDIRRAWDAVKYAKRPRVHTFIATSAIHMEYKLRMSKEKVIDTARNMVKFARSLGCEDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGRLIADIKANTPGIENVIISTHCQNDLGLSTANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALRCGAHVTGNLHTGINTKHIFLTSKMVEEYTGLQLQPHKALVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNEAGIVLGKLSGRHALRKRLEELGYELNDDQIQTLFWRFKGVAEQKKRVTDADLRALVSDEVFQAEPVWKLGDIQVTCGTLGLSTSTVKLLSSDGRTHVACSVGTGPVDSAYKAIDLIVKVWNCIFSLLFVRLLSLDTLNHINNIFGLLLPKNL >Vigun07g293100.1.v1.2 pep primary_assembly:ASM411807v1:7:40456223:40462498:1 gene:Vigun07g293100.v1.2 transcript:Vigun07g293100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIRNPLFIPSSSHHHNLNRNNSSVLLTLRFPHTSLRHNSLQFKSRFAVSCSQSEPSSRRRPPYIPNRIPDPSYVRIFDTTLRDGEQSPGASMTSKEKLDVARQLAKLGVDIIEAGFPAASKDDFEAVKMIAQEVGNAVDDDGYVPVICGLSRCNENDIRRAWDAVKYAKRPRVHTFIATSAIHMEYKLRMSKEKVIDTARNMVKFARSLGCEDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGRLIADIKANTPGIENVIISTHCQNDLGLSTANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALRCGAHVTGNLHTGINTKHIFLTSKMVEEYTGLQLQPHKALVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNEAGIVLGKLSGRHALRKRLEELGYELNDDQIQTLFWRFKGVAEQKKRVTDADLRALVSDEVFQAEPVWKLGDIQVTCGTLGLSTSTVKLLSSDGRTHVACSVGTGPVDSAYKAIDLIVKEPVTLLEYSMNAVTEGIDAIATTRVVIRAESETSTTTTTSALTGQTVLRTFSGSGAGMDVVVSSVKAYIAAINKMLGFKETYPSAEKIPIPSLKI >Vigun09g155200.1.v1.2 pep primary_assembly:ASM411807v1:9:32054135:32058301:-1 gene:Vigun09g155200.v1.2 transcript:Vigun09g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTLPFVGMIMAEFAQVGLIILSKKVMAQGMTNFIFIFYSNTIAALLLLPISLLIHRFERPPITFSILCEFFLLGLLGYLAQAFGYAGIYYGSATLSTSMLNLVPGFTFVLAVLFRMEQLDWRKFSSLAKSLGTIVSIAGAFIVTLYKGPALLLGVSSANSSPKPLLSEDSNWILAGLFLAVDCVMASAYIILQASTLKKYPAELIVVFFYCFFVAIQSGVTCLVVERDISAWSLEPKLRLLAVLYSGVMGSAFQVGVSSWCLHQTGPVFVSMFKPLGIVISVVLGVLFLGDAFYLGSLVGAIVIVVGFYSVLWGKAEDIKDGGVRMIRYPKVGDEPLLEENSHEDNIQGH >Vigun11g186200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38663242:38664354:-1 gene:Vigun11g186200.v1.2 transcript:Vigun11g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRSSFAVTKAASKSVEVPKGYLAVYVGEKMKRFFIPISYLNQPLFQELLSQAEEEFGYEHPMGGITIPCREEAFLDLTSRLNRL >Vigun08g114900.1.v1.2 pep primary_assembly:ASM411807v1:8:28148551:28150348:1 gene:Vigun08g114900.v1.2 transcript:Vigun08g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDLDFILVPGGLLLMSVYHFWLFYRVMKHPTKTVIGVNAINRRIWVQAMMEDVSKNGVLAVQSLRNNIMASTLLASTAIMLSSLIAVLMSSGHERKTVVSEVFGDRSDLGLSIKFFSILVCFLLAFLLNVQSIRYYSHASILINVPFKKVSSNVRHHMLTAEYVATTVNRGSYFWSLGLRAFYFSFPLFMWLFGPIPVFCTSIALVFMLYFLDVTFECGCAGVGDTDIDEVFQLSKHHVDVEIGRDN >Vigun04g184200.3.v1.2 pep primary_assembly:ASM411807v1:4:40891330:40894633:1 gene:Vigun04g184200.v1.2 transcript:Vigun04g184200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQKFFVASMFMWAIPIAILYAFNHNMLPGASNLSPYSVTLVSGFLAVISVNVVIAFYIYLAMREPADKHEPDPKFVAEAKASINQPTGDAQQSSQAVKKEQ >Vigun04g184200.4.v1.2 pep primary_assembly:ASM411807v1:4:40892649:40894162:1 gene:Vigun04g184200.v1.2 transcript:Vigun04g184200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQKFFVASMFMWAIPIAILYAFNHNMLPGASNLSPYSVTLVSGFLAVISVNVVIAFYIYLAMREPADKHEPDPKFVAEAKASINQPTGDAQQSSQAVKKEQ >Vigun06g220700.1.v1.2 pep primary_assembly:ASM411807v1:6:33044744:33047684:-1 gene:Vigun06g220700.v1.2 transcript:Vigun06g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSLFLSLRRSSGLACVQNRTRFASSGAVSSDLVEESVEGDDLRSRILRLRLPKRSATNILQKWVLQGNPVTLSQLRDISKELRRSQRYKHALEISEWMVSHEQYELSDSDYAVRIDLMTKVFGIDAAERYFEGLPHATKTTETYTALLHSYAGAKLTDKAEELFQRIKDSNLCFDALTYNEMMTLYMSVGQFEKVPTVVEELKQQQVSPDIFTYNLWISSCAAILNIDEVRRILDEMSHGTGSNESWTRYLNLANMYLSVGHLDNASSNTLVETEKRITQGQWITYDFLIILYGGLGSKDKLDQIWNSLRMTKQKMISRNYISIISSYLILGHSKEVGEVIDQWKQSTTTDFDMLACKKIMVGFRNIGLAEIADNLNKILIENNLSLGND >Vigun11g054700.1.v1.2 pep primary_assembly:ASM411807v1:11:10101636:10105399:1 gene:Vigun11g054700.v1.2 transcript:Vigun11g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWCSKFFFAALSEILFSAQHCVSTKALRSRSMALTLEILFVVFLLNNVAGAEYFAGDETREDDDKVTNLPGQPPVNFSHYAGYVKLRPEEEKALFYWFFEAQESPSDKPLVLWLSGGPGCSSVAFGAAQEIGPFHVDDPEHITFNKFSWNRVANVIFLESPISVGFSYTNNSDDLSKIGDQVVALDNYAFLVGWFKRFPRFISHEFYIIGESYGGHYAPQLAEVIHEGNKNGTYINLKGFMMGNAVINDVTDLKGVFDFALCHAIISKQVYDGIRENCDFMAKNNTKECSWKVGKFLQAYSDINIFSIYSPVCLIDYERPVSSMLDVAPYAVSEIDLQNMIPTMGYDPCKVNDVEKYFNKKDVQKAIHAYFPNMSDPYTLCSTKIKKWNDSPITVLPVIQKLLRAGLRIWMYSGDTDGRIPVLSTRYGLRELKLNVTKEWRAWFEGREVGGWVEEYEGGLTFASIRGTGHFVPIYKPQEALSLFSHFLSAQPLPSSRF >Vigun09g276900.1.v1.2 pep primary_assembly:ASM411807v1:9:43704984:43707735:1 gene:Vigun09g276900.v1.2 transcript:Vigun09g276900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPASFLTPFQFEISYECLTALKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPPKVLIDRVQRNILSDKPRVTKFPINFHPENNENEEQPPPSEPPSETGEDPLALVDREPADRKDS >Vigun05g294200.1.v1.2 pep primary_assembly:ASM411807v1:5:47986422:47990670:1 gene:Vigun05g294200.v1.2 transcript:Vigun05g294200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLVHGTLHATIYEVDNLKAGNAGNILTKLLHNIEETIKIGKGITKLYATIDLEKARVGRTRIIEKEHTNPKWCESFHIYCAHTASNVIFTVKDDNPIGATLIGRAYIPVEEILDGKEIDRWVEILDEHKKPIHSHSKIHVKLQYFDVSKDLNWGQGIRTPKFPGVPYTYFSQRQGCRVSLYQDAHVPNNFVPRIPLSGGRTYQPRRCWEDVFDAINNAQHLIYITGWSVYAEITLVRDSRRPKPGGDATLGELLKKKARDGVRVLMLVWDDRTSVPLLKEDGLMATHDEDTGNYFYDSDVHCVLCPRNPDDGGSVVQDIEIFSMFTHHQKIVVVDSALPRSDNKRRIVSFVGGIDLCDGRYDTQFHSLFRTLGTAHHDDFHQPNFPHTTVKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGKDLLIPLRDLEDLIIPPSPVTYPDDHETWNVQFFRSIDGGAASGFPETPEEAARSGLISGKDNVIDRGIQDAYINAIRRAKNFIYIENQYFLGSSYAWSGGDGIKPEDIGALHLIPRELSLKIVSKIEAGERFSVYVVIPMWPEGYPEKGTVQAILDWQRRTMDMMYKDVVGALKGKGIEEDPRNYLTFFCLGNREVKKEGEYEPPERPDPDTDYIRAQESRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSRNEGARGEIHGFRMSLWYEHVGMLHESFLHPESEECIKKINEMGEKYWDLYTTEPLEGDLPGHLLRYPIAVSGEGSVTQLKGFEFFPDTKAPVLGVKSDYMPPILTT >Vigun05g294200.2.v1.2 pep primary_assembly:ASM411807v1:5:47986421:47990670:1 gene:Vigun05g294200.v1.2 transcript:Vigun05g294200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVLVHGTLHATIYEVDNLKAGNAGNILTKLLHNIEETIKIGKGITKLYATIDLEKARVGRTRIIEKEHTNPKWCESFHIYCAHTASNVIFTVKDDNPIGATLIGRAYIPVEEILDGKEIDRWVEILDEHKKPIHSHSKIHVKLQYFDVSKDLNWGQGIRTPKFPGVPYTYFSQRQGCRVSLYQDAHVPNNFVPRIPLSGGRTYQPRRCWEDVFDAINNAQHLIYITGWSVYAEITLVRDSRRPKPGGDATLGELLKKKARDGVRVLMLVWDDRTSVPLLKEDGLMATHDEDTGNYFYDSDVHCVLCPRNPDDGGSVVQDIEIFSMFTHHQKIVVVDSALPRSDNKRRIVSFVGGIDLCDGRYDTQFHSLFRTLGTAHHDDFHQPNFPHTTVKKGGPREPWHDIHSRLEGPVAWDVLFNFEQRWRKQGGKDLLIPLRDLEDLIIPPSPVTYPDDHETWNVQFFRSIDGGAASGFPETPEEAARSGLISGKDNVIDRGIQDAYINAIRRAKNFIYIENQYFLGSSYAWSGGDGIKPEDIGALHLIPRELSLKIVSKIEAGERFSVYVVIPMWPEGYPEKGTVQAILDWQRRTMDMMYKDVVGALKGKGIEEDPRNYLTFFCLGNREVKKEGEYEPPERPDPDTDYIRAQESRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSRNEGARGEIHGFRMSLWYEHVGMLHESFLHPESEECIKKINEMGEKYWDLYTTEPLEGDLPGHLLRYPIAVSGEGSVTQLKGFEFFPDTKAPVLGVKSDYMPPILTT >Vigun09g089100.1.v1.2 pep primary_assembly:ASM411807v1:9:12233011:12238885:1 gene:Vigun09g089100.v1.2 transcript:Vigun09g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRYSEHSNDPFFVAAHNNRRRQQQQCTQPDNNGVPEETCAQQNHSPKLASFTSQNVPLLTTIPSFVALLLLVSSIAPAMCHSLQGNHTFFRPHHELLNLRRVRAHLNKINKPPVKTIQSPDGDLIDCVLSHLQPAFDHPMLRNHTALDPPERPRGKNQTKVDRENESFQVWSDSGETCPEGTVPIRRTTEEDILRASSIRRFGRKPRTVRRDSSGSGHEHAVVFVNGEQYYGARASINVWAPSVSDEFEFSLSQMWVIAGSFGKDLNTIEAGWQVSPQLYGDNYPRFFTYWTTDAYQTTGCYNLLCSGFIQTNNRVAIGAAISPRSAYNRRQFDISLMIWKDPKHGHWWLEFGSGVLVGYWPANMFSHLRSHASMVQFGGEIVNSRSRGYHTATQMGSGHFAEEGFRKSAYFRNLQVVDWDNSLLPLRNIHHLADHSNCYNIRQGTSNVWGTYFYYGGPGRNVRCP >Vigun09g089100.2.v1.2 pep primary_assembly:ASM411807v1:9:12233011:12238792:1 gene:Vigun09g089100.v1.2 transcript:Vigun09g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRYSEHSNDPFFVAAHNNRRRQQQQCTQPDNNGVPEETCAQQNHSPKLASFTSQNVPLLTTIPSFVALLLLVSSIAPAMCHSLQGNHTFFRPHHELLNLRRVRAHLNKINKPPVKTIQSPDGDLIDCVLSHLQPAFDHPMLRNHTALDPPERPRGKNQTKVDRENESFQVWSDSGETCPEGTVPIRRTTEEDILRASSIRRFGRKPRTVRRDSSGSGHEHAVVFVNGEQYYGARASINVWAPSVSDEFEFSLSQMWVIAGSFGKDLNTIEAGWQVSPQLYGDNYPRFFTYWTTDAYQTTGCYNLLCSGFIQTNNRVAIGAAISPRSAYNRRQFDISLMIWKDPKHGHWWLEFGSGVLVGYWPANMFSHLRSHASMVQFGGEIVNSRSRGYHTATQMGSGHFAEEGFRKSAYFRNLQVVDWDNSLLPLRNIHHLADHSNCYNIRQGTSNVWGTYFYYGGPGRNVRCP >Vigun08g084500.1.v1.2 pep primary_assembly:ASM411807v1:8:18489170:18493433:-1 gene:Vigun08g084500.v1.2 transcript:Vigun08g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRLGPNDPGGSSRRSTTPLTKLPPSSSSATTKRKLIILSILATVLIVAAAITAVLVTVVRSRASGNQNGPNLSRKPTQAISRTCSKTRFPTLCINSLIDFPGSTTASEQDLVHISFNMTLRHVSRAFFASSGLSFAATEPKVRAAYDDCLELLEESMDSLSRSLDYILSPSAISDSGGLRPGSDGSTDDVITWLSAALTNHDTCAEGFEDSSGSLKDQMVNNLKDLSELVSNCLAIFSASGSGDFSGVPIQNKRRLMEEEDGEANVNDDILGKFPRWLNKRDRRLLSLPTSAIQADIVVSKNGDGTVKTIAEAIKKAPEHSSRRFIIYVRAGRYEENNLKVGRKKTNVMFIGDGKGKTVITGKKNVIDGMTTFHTASFAASGAGFMARDITFENYAGPVKHQAVALRVGADHAVVYRCNIQGYQDSLYVHSNRQFFRECDIYGTVDFIFGNAAVVFQKCNIYARKPMAQQKNTITAQNRKDPNQNTGISMHNCRILPASDLVPVKGSFPTYLGRPWKQFSRTVFMLSYMGDHIHPRGWLEWNGDFALDTLYYGEYMNYGPGAAVGQRVKWPGYRVITSSVEANRFTVAQFISGSAWLPSTGVAFAAGLSA >Vigun03g400000.1.v1.2 pep primary_assembly:ASM411807v1:3:60647722:60655355:-1 gene:Vigun03g400000.v1.2 transcript:Vigun03g400000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKAPSNSFLPNSGEGERKMINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVNKYEKEAILASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPTLDYSMQPPAQELVAKDLHDNTWPFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKKHLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGITDLDPVRWKNSQWRNIQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPKFPRQPGMPDEESDMENAFKRGMPWLGDEFGMKDASSSIFPGFSLVQWMSMQPNNQLSAAQSGCYPSMLPSNTLQGNLPTEDPSKLLNFQAPVLSSPTLQLNKPNLPNQINQLQQPPVSWPQQQQLQQQQQQQQQLQQQQQQQQLQQQQQLQQQQQQQLQSLLQIPMNHLQQQRQQQLPEPQNLPLLQQMPQQLGQQPQKQQQQSQHASLMNNGVVSSNQITSQFVQPPMAYGQLQQQQLLSGGIQTQQGIQSASKNTFPLTSLPHDSQFQQQIDQQANLLLRQQQQQQQQTQLQQSPLQLLQQNLPQIAPQQQPPATQMLQQNPSEQQLHLQFLQKLQHQQQQQLLSTSTPLLQPQLLPQQNTHQQNQQLPQPPPPISHHQPQQLVNNACSTEKLLSSNNFSSSSLMQSQQNPMSQSQNTQKSLTTTRAPSTLTDGDAPSCSTSPSTNNCQVSPPNLLKRNQQLPAPLGGSLMVEPTSNLLQDLHSKPDVQSKHELLNLKGPDQLKYKGAITDQMEASSSGTSYCLDPGNVQQNLPLSNFCMEGDAQSHPRNSLPFDTNLDDLTPDTMLLRGYDSSKDLQNLLSNYVGAPRDIETELSTADLSSQSFGVPNIPFKPSCSSDVVINETGVLNNSGLRGNQPPRMRTYTKVQKRGSVGRCIDVTRYRGYDELRYDLAKMFGIEGQLDHQRTDWKLVYVDHENDILLVGDDPWDEFVSCVQSIKILSHSEVQQMSLDGDLGGNVPIPNQACSGTDSGNAWRGQYEDNSAASFNR >Vigun11g074300.2.v1.2 pep primary_assembly:ASM411807v1:11:21663823:21665839:1 gene:Vigun11g074300.v1.2 transcript:Vigun11g074300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVAEALNLEGINLHGCIQLRNINPSIGLLRKLVFLFLVDCKSLVSLPNTILGLSSLKYLNVSGCTKLYKNVLLDEARNREHLKKLRLLESPIQFQSTLSLLKNMFLWPLDLLYPKAQRDSISCLLPSSPTLPCLRLLNLSFCNLVQIPDAIAELRCLEKLNLKGNNFVTLPNLKDLSRLYYLDLQHCKQFKYLPDLPSRTHLPSKFYMRPFLRLKVRSDSLFGWLNDHHYEAGLIIFNCPELVERERCISMSVSWMMQIFQATQQCKCLDASILLATNIQSIIPESEIPRWFNNQFVSMDNSIIIDPSPVTHDNNWIGVVCCAIFRLGNEYDVRFPNPTYLQFPRIPKFPVDLRKDPVIDQSDHMKLFYFRRQDFTRPCWVGSDTRKFISLKLRMEDIQIFHHDRLGYQKFVTVDVKKYGYRWVYEEDQQPNFTLMYGKNLTDLKRKFSVIEENR >Vigun10g107800.1.v1.2 pep primary_assembly:ASM411807v1:10:30674912:30675681:-1 gene:Vigun10g107800.v1.2 transcript:Vigun10g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFVIIFVLAFVTQFVYGGGEGSLTIKECPKACDYRCSKASGREDCLYFCNLCCDKCLCVPSGTFGNKEECPCYNNWKAKSGKSKCP >Vigun01g235600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40705938:40707564:-1 gene:Vigun01g235600.v1.2 transcript:Vigun01g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLINEEIAPYMVQEEITEEENEEESIDYDQLKKRMWKDRILLQKLKEKREKQEPDKEAKLEASRRKKMSRAQDSVLKYMVKIMEVCNAQGFVYGIVPEKGKPVSGSSDSLREWWKDQIRFDHSAPTAISNYLPLFEKDEMDITSYIHLLQDLQDSTLGSLLSALLQHCVPPQRKFPLERGLSPPWWPTGEEVWWGEQGLLAQEHGPPPYRKPHDLKKAWKVSVLAAIIKHISPDFDKLRRLVTQSKTLQDKMTAKDSATWSKVMNQEEVLLGMTDKCLKISLSEEDVENHEEGGEASCSAQLLKRKYSFGSASEDDKLYPCQNVECPQSELSMGFLDKNMRLDHEALCAYRTEDSQVPFHRYLSNEASPITSLDDWMNLAIQNDAKVLEAAGGSGTLEDDVCYWLNGVENLELQAALEILVKDNQDFDQEDATSIWDLP >Vigun09g043700.1.v1.2 pep primary_assembly:ASM411807v1:9:4092155:4094366:-1 gene:Vigun09g043700.v1.2 transcript:Vigun09g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLSFLHSCGFPSSASSSSSSLSTISQPKPKSFVVEAKVRTRREDRTARHTRIRKKIEGTPERPRLSVFRSNKHLSVQVIDDTSMHTLASASTMQKTISEEFNYSAGPTLEVAKKMGEIIAKSCLEKGITKVAFDRGGYPYHGRVQALAEAAREHGLDF >Vigun10g160000.2.v1.2 pep primary_assembly:ASM411807v1:10:37901081:37910427:1 gene:Vigun10g160000.v1.2 transcript:Vigun10g160000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGLGVWFEDMAELEKKVIGEGKKVELTDAEGWKGFVEKKRELGERLMAQYANDYESSRGKSGDIKMLVSTQRSGTAADKVSAFAVLVGDNPMANLRSLDALLGMVTSKVGKRHALTGFEALQELFIASLLPDRKLKTLIQRPLKHLPETKDGYSLLLFWYWEECLKQRYERFVTALEEASRDMLPALKNKALKAIYVLLSRKSEQERKLLSALVNKLGDPDNKAASNAHFHLSNLLSDHPNMKAVVIDEVDSFLFRPHLGPRSQYHAINFLSQTRLTNKGDGPKVAKRLIDVYFALFKVLIIDATSNQKLDKSGKGKGNAKEGKSKDLSESHVELDSRLLSVLLTGVNRAFPYVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAAMYTSKAEMFIALLLRAMKRDVNLKRVAAFSKRLLQIALQQPPQYACACLFLLSELLKARPPLWNMVLQNESLDEELEHFEDVIEPDNEPSSVSSKQKDDVAVAKNGEDPNADASSSESEDDLPAASEDDDSDDDDDDGSGDAGFLLAKDETVHKESKKSKSVSNSESQQSQLSAEKSSLRGGYDPRHREPSYCNADHVSWWELMVLASHAHPSVSTMAKTLLSGANIVYNGNPLNDLSMTAFLDKFMEKKAKQSTWHGGSQIEPAKQMDVNNQLIGAEILSLAEADVPPEDLVFHKFYTNKMSSTKSKKKKKKSADEEAAEELFDIDDGEVDGGDESDNEEIENLLDSTDPSLGPDTDYDYDDLDEVAGEDDEDLIGDVSDGEVDMDMDIPSDIGEEEADAPIDDVGSDDDDGIDDIQIGDVDDGSDGDEEEVGRRKRKHKSGGKKGVSPFASYEEFEHLMEDDDHTEKSFP >Vigun10g160000.1.v1.2 pep primary_assembly:ASM411807v1:10:37903382:37910427:1 gene:Vigun10g160000.v1.2 transcript:Vigun10g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKSSKSNKPEDVEILKSDVASFASSLGLSTSHSQSGFNDVDFRKTKTNKPPKKQQPPEKATPQSTQKPKNKPLSKNNGPHEKNNPKPQPKPKSPVLSLENGSSKEKGFNKFRNLPKLPLMKASGLGVWFEDMAELEKKVIGEGKKVELTDAEGWKGFVEKKRELGERLMAQYANDYESSRGKSGDIKMLVSTQRSGTAADKVSAFAVLVGDNPMANLRSLDALLGMVTSKVGKRHALTGFEALQELFIASLLPDRKLKTLIQRPLKHLPETKDGYSLLLFWYWEECLKQRYERFVTALEEASRDMLPALKNKALKAIYVLLSRKSEQERKLLSALVNKLGDPDNKAASNAHFHLSNLLSDHPNMKAVVIDEVDSFLFRPHLGPRSQYHAINFLSQTRLTNKGDGPKVAKRLIDVYFALFKVLIIDATSNQKLDKSGKGKGNAKEGKSKDLSESHVELDSRLLSVLLTGVNRAFPYVSSNEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAAMYTSKAEMFIALLLRAMKRDVNLKRVAAFSKRLLQIALQQPPQYACACLFLLSELLKARPPLWNMVLQNESLDEELEHFEDVIEPDNEPSSVSSKQKDDVAVAKNGEDPNADASSSESEDDLPAASEDDDSDDDDDDGSGDAGFLLAKDETVHKESKKSKSVSNSESQQSQLSAEKSSLRGGYDPRHREPSYCNADHVSWWELMVLASHAHPSVSTMAKTLLSGANIVYNGNPLNDLSMTAFLDKFMEKKAKQSTWHGGSQIEPAKQMDVNNQLIGAEILSLAEADVPPEDLVFHKFYTNKMSSTKSKKKKKKSADEEAAEELFDIDDGEVDGGDESDNEEIENLLDSTDPSLGPDTDYDYDDLDEVAGEDDEDLIGDVSDGEVDMDMDIPSDIGEEEADAPIDDVGSDDDDGIDDIQIGDVDDGSDGDEEEVGRRKRKHKSGGKKGVSPFASYEEFEHLMEDDDHTEKSFP >Vigun06g009300.1.v1.2 pep primary_assembly:ASM411807v1:6:4420275:4423355:1 gene:Vigun06g009300.v1.2 transcript:Vigun06g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRACKLFSSPVLQASKFFLSSSSHAPQNQIRGLVASLIPQGQGLKNRFGLGRSYMSGSLGCLCKEEEEASKGIETLRAVEDQHGGVIVSMEESMDSSVFAFLLEESISKWRQQGKRGVWIKLGREHSNLVDSAVKAGFRFHHAEPDYLMLVNWIANTPDTLPANASHRVAIGAFVINAKKEVLVVQESNGRFSGTGFWKLPTGAVDEGEDICTAAIREVKEETGIETEFVEVIAFKERHKAFFGKSELFFVCMLQPRSFEIQRQVSEIEAAQWMAVEDYMAQPFVRDNEVFDFLTKIGLSKFGGKYNGFSTILSSTSSPKKSYFYFNKKDASPPS >Vigun06g009300.2.v1.2 pep primary_assembly:ASM411807v1:6:4420275:4423355:1 gene:Vigun06g009300.v1.2 transcript:Vigun06g009300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRACKLFSSPVLQASKFFLSSSSHAPQNQIRGLVASLIPQGLKNRFGLGRSYMSGSLGCLCKEEEEASKGIETLRAVEDQHGGVIVSMEESMDSSVFAFLLEESISKWRQQGKRGVWIKLGREHSNLVDSAVKAGFRFHHAEPDYLMLVNWIANTPDTLPANASHRVAIGAFVINAKKEVLVVQESNGRFSGTGFWKLPTGAVDEGEDICTAAIREVKEETGIETEFVEVIAFKERHKAFFGKSELFFVCMLQPRSFEIQRQVSEIEAAQWMAVEDYMAQPFVRDNEVFDFLTKIGLSKFGGKYNGFSTILSSTSSPKKSYFYFNKKDASPPS >Vigun07g223500.1.v1.2 pep primary_assembly:ASM411807v1:7:34562335:34566234:1 gene:Vigun07g223500.v1.2 transcript:Vigun07g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNNTVNAAASAIVAAESRVQPVTSPKKRWGSCWSLYWCFGPHKNNKRIGNAVLVPEPVEPVGQVGSHAAIAAPNPSTAVAMPFVVPPSSPASFLESDPPSATQSPVGLFSLSSLNVNASGGPASIFAIGPYTYETQLVSPPVFSNFTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLESSLDQDCKSKGTNQRFALSNYEFQLYQQYPGSPGTQLISPGSIISTSGSSTPFPDRHPLLEFHKGEEASNLLGFEHFSTHKWNSRVGSGSLTPDSTGQGSGLGSGSLTPNTVIKPASQLGSGCLTPDGAAPTAANDIYVGKPISELTSLANSKNECQPNAALVDHRVSFELPGEDVARCLPNKSGSPLIGNISGSSQDTVVGEPVDRERIPQNSDSCCDLCSRKTSNDKPENSPGEGEEQCNSSKEFNFDSRNGVVSDDPANASEWWTNKKMVGKEGRSSNGPAFFPMLQSEVV >Vigun07g223500.2.v1.2 pep primary_assembly:ASM411807v1:7:34562335:34566234:1 gene:Vigun07g223500.v1.2 transcript:Vigun07g223500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKKKKRWGSCWSLYWCFGPHKNNKRIGNAVLVPEPVEPVGQVGSHAAIAAPNPSTAVAMPFVVPPSSPASFLESDPPSATQSPVGLFSLSSLNVNASGGPASIFAIGPYTYETQLVSPPVFSNFTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLESSLDQDCKSKGTNQRFALSNYEFQLYQQYPGSPGTQLISPGSIISTSGSSTPFPDRHPLLEFHKGEEASNLLGFEHFSTHKWNSRVGSGSLTPDSTGQGSGLGSGSLTPNTVIKPASQLGSGCLTPDGAAPTAANDIYVGKPISELTSLANSKNECQPNAALVDHRVSFELPGEDVARCLPNKSGSPLIGNISGSSQDTVVGEPVDRERIPQNSDSCCDLCSRKTSNDKPENSPGEGEEQCNSSKEFNFDSRNGVVSDDPANASEWWTNKKMVGKEGRSSNGPAFFPMLQSEVV >Vigun06g015500.1.v1.2 pep primary_assembly:ASM411807v1:6:7228272:7232197:1 gene:Vigun06g015500.v1.2 transcript:Vigun06g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTRADYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSIDSNDAIPKSDVNREYFAEEHDRKARAGIDYESSYGKVRPNDTIMKLQRTTPYYKRNRAHICSFYIRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLGKAGEMTTLEAPEDESIKTLYVGGLDARVTEQDLRDHFYAHGEIESIKMVLQRACAFVTYTTREGAEKATEELCNKLVIKGLRLKLMWGRPQTSKPESDSSDQARQQASVAHSGLLPRAVISQQQNQDQTQGVVYYNNPPPPQQERSYYPSMDPQRMGALIPSQDGPPGGPSGSGENKPGLEKPQMQHYPHSLMPPPPGQYHHQYYPPYGFMPPAPPYHHHQYPPPYNAAGPPSQPSAANHPYQHSIQPGSGQAATAPAETGTSTSGSQQQ >Vigun09g006950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:525057:525851:-1 gene:Vigun09g006950.v1.2 transcript:Vigun09g006950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIPSSNNYSERFPLDTYSEVLFRKTKNRRLYEVTNTWSAEYCLLRRTSCELELTKITRNNHEEEVFISIHDHTSSHAKDDFDFRVNMKVEESLLVANVSVDGPRSTQQLPERKIAMAQGGVLKSSFFLYDGGDTDRKGLVIILREKRCDDDEVLPYMITVKHYFVAACSSQGVSVVAKIGSNSDGGLNVEIEKPRKHPKGELLKMFDDVKGKENQNNGNVPMMNMSGQQNISALLSAAGYTNGDYNNSIIFRRCKFWLKKRK >Vigun05g302800.6.v1.2 pep primary_assembly:ASM411807v1:5:48535185:48544984:-1 gene:Vigun05g302800.v1.2 transcript:Vigun05g302800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESKIIHKDHKAPFLMSGCKVVDGIGAMLVTGVGINTEWGLLMATISEDTGEETPLQVRLNGVATFIGIVGLTVAVCVLAVLVGRYFSGYTKDLDGKVQFVAGETSTSEIIDGVIKIFTIAVTIVVVAVPEGLPLAVMLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAYVGRKKLNQPDDLTKVNPEVLSLINEGIAQNTTGNVFVPKDGGEMEVSGSPTEKAILSWAVKLGMNFDFIRSNSTILHVFPFNSAKKRGGVAVKLPDNVVHVHWKGAAEIVLGTCTQYLDSDGHLKSIEEEKIFFKNGIEDMAAQSLRCVAIAYRSYDIEKVPSNEEELNQWSLPEHELVLLAIVGIKDPCRPGVKEAVKVCTEAGVKVRMVTGDNLQTAKAIALECGILTSTEEAVEPNIIEGKSFRELSEIEREQVAKKITVMGRSSPNDKLMLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVASISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMHRSPVGRREPLITNIMWRNLLVQALYQVIVLLILNFCGESILRNEDSTVHTIQVKNTLIFNAFVFSQFYNASTQIFNEFNARKPEEMNVFQGVTKNRLFMGIVGMTFVLQIIIIEFLGKFTTTVRLSWKLWLASLCIGFLSWPLAVVGKLIPVPRTPLSRYFSKSLRKLKKSKSHAQR >Vigun07g055800.2.v1.2 pep primary_assembly:ASM411807v1:7:6069042:6072894:-1 gene:Vigun07g055800.v1.2 transcript:Vigun07g055800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAFAIIFLLTAAFAVLCSCGHAQLGFNEEERQALLSIKGSFKDPSRLSSWEGSSCCQWKGVACNNLTGHVVKLDLRNPCYPLQGAFQPDCKFYDHVLEAQNLHPSILHLKYLTYLDLSGNNFHNTSIPESIQTLQHLQVLYLSDSHFSGRIPYNLGNLTKLLVLDLSFNSHLYADDFYWISQLSSLQHLYMSDVYLGKAQNLLQSLNMLSSLIEIEFINCGLDKLHTHQLVSTTNLSRLEYLNLAENGLQTPFLDVFQSMTSIAVIELSHNNLNSTPFWLENLTSLVYLDLSQNKFDSVPWWLGELKGLQYLNLSGNDVNHIEGSLAHLLGNCCHLQQLDMSRNKVQSDALGNHIQNECIRHDLLYLDLSYNECNGHLPPWLGQLENLSSLIMIDSKLVGSLPCAIITKLVNLKILVLSNNNFTGSLPDCVGEHVSLNILILSSNHFDGVIPMSFRGLLPAPPTLILKSVGGAGRSPLSFMQLVSLSDLDLSRNSLNGTIPHNIGQLQNLSTLYLSENKLQGNIPYGLSQLLNLQNLDISLNHLENLVSDIRWPSQLVYLNLTDNRISGSLPQDISDRLPNVGGLIPNSLCKMDSLYSLDLSGNMLVGEIPNCWSVTQRPGVINLASNTLSGVIPNSLGNLPTLAWLHLNNNSLHGGFPSSLRNLNQLLILDVGENHLSGIIPSWMGNTFSSMQILRLRQNRLNGTIPLQLCQLSALQILDLSNNNLMGPIPHCIGNLTDVRVVMKGRELEYTRNLNFVVNLDLSNNNLIGTIPEEITSLGALLGLNLSHNRLSGHIPKRIGDMKSLESLDLSHDQLFGAISESITSLTWLSHLNLSYNNLSGPIPKGTQLSTFDDPFIYAGNPLLCGPPLQNMCFDDHLKGGNEDEEKDDKEDKVEKLWFYSVIALGYFIGFWAVTGSLLLQRGWRVAYFQFESLTGILKKLRDTLW >Vigun02g016600.2.v1.2 pep primary_assembly:ASM411807v1:2:6000048:6003677:-1 gene:Vigun02g016600.v1.2 transcript:Vigun02g016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGNNNAVALQNTHVKLLAFDLLSLTHFPSCSSDAATSFFRRGIPISRVETLGTVTLRHLKPERLLCFAIDDGTGCVPCVLWLNDANSPSVVRRRRHELAARFVEVVKFGAVARVRGRLSRYKGGVQVTVSDVVMERDPNAEIFHRLDCISFPFSGAFLFQQLVSRAKVRGWDLVRFQQISLFLMERTGADGVCR >Vigun05g016732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1366336:1367167:-1 gene:Vigun05g016732.v1.2 transcript:Vigun05g016732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLCSCWDGIHNIIILQHNEIKTSFERSINLISDSYKALSYRRLVGNISRCALELLAPELERVKKNGFDSSRCGCILRQTYGLPCVCELTRYDPGIIPLQEIHVMWTRLSFSNVSSSQSEGQLSIQREVDLLLNLFKELDIAGKVTIKHKLLDIVCPSMTSMLPPPSKVKTKGAAKSHRSKKSTKRDPSYFEHVDAFIESSNKTHVLQKQKTS >Vigun09g089000.1.v1.2 pep primary_assembly:ASM411807v1:9:12196212:12197207:-1 gene:Vigun09g089000.v1.2 transcript:Vigun09g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVTIILMIANIQLSMKALDLTQMSLTCMSSLRLTFVCIRVKITIALVSPSSTLCYYDNFFLFSPNMNLVTWYDKMKIMEINHYFPNYS >VigunL074100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:129331:131143:1 gene:VigunL074100.v1.2 transcript:VigunL074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYEVSIGLILITVLICVGPRNSSEIVMAQKQIWSGIPLFPVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPT >Vigun07g139300.2.v1.2 pep primary_assembly:ASM411807v1:7:24901560:24904629:1 gene:Vigun07g139300.v1.2 transcript:Vigun07g139300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSKLGTTGEKPGPRDSHGAVLVGHRMIVFGGTNGIKKVNDTHILDLVTKEWIRPKCEGTPPSPRESHTATLVGDERVVIFGGSGEGDANYLNDLHVLDLRSMRWSSPQVKGDLPVPRDSHSTLAIGNRLFVYGGDCGDQYQGDVNVLDMDTMTWSRLKIQGSSPGVRAGHAAVSIGSKVYIIGGVGDKRYYNDIWVFDICTCSWAQLEIRGQPPQGRFSHTAVVADMDIAIYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKVFGTYWNQEKRIIPRGGADTNSTTPFPENHMEAIGKWANEVVLDKVQPCNIDSGTSQQKRKRIGLAKAWDVESEQEEHSLSLSQHSSPSQSDQEQTPAQKANVSNMDSQRYQWFKQMNKSPSYCQLDNDSSNTTFFKNLTQRSVHPMKHQPKQEQYVHIDYDRKVPRHTQHLIGAEVRGKVDGAFDSGLLMTAVVNGRVFRGVLFAPGATVLSTCVEPNCCLSRSFPSTQAFMNSNDARDSHQVTESCHGSRQPQASAMMRGPTSASLAKEHQMRSDLQGLVLTLGGPASGNHASS >Vigun07g139300.1.v1.2 pep primary_assembly:ASM411807v1:7:24900518:24904629:1 gene:Vigun07g139300.v1.2 transcript:Vigun07g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVAETAKKKAMWLYPKVLGYNPSERWGHSACFSGGLMYVFGGCCGGLHFGDVLCLDLVKMNWSKLGTTGEKPGPRDSHGAVLVGHRMIVFGGTNGIKKVNDTHILDLVTKEWIRPKCEGTPPSPRESHTATLVGDERVVIFGGSGEGDANYLNDLHVLDLRSMRWSSPQVKGDLPVPRDSHSTLAIGNRLFVYGGDCGDQYQGDVNVLDMDTMTWSRLKIQGSSPGVRAGHAAVSIGSKVYIIGGVGDKRYYNDIWVFDICTCSWAQLEIRGQPPQGRFSHTAVVADMDIAIYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKVFGTYWNQEKRIIPRGGADTNSTTPFPENHMEAIGKWANEVVLDKVQPCNIDSGTSQQKRKRIGLAKAWDVESEQEEHSLSLSQHSSPSQSDQEQTPAQKANVSNMDSQRYQWFKQMNKSPSYCQLDNDSSNTTFFKNLTQRSVHPMKHQPKQEQYVHIDYDRKVPRHTQHLIGAEVRGKVDGAFDSGLLMTAVVNGRVFRGVLFAPGATVLSTCVEPNCCLSRSFPSTQAFMNSNDARDSHQVTESCHGSRQPQASAMMRGPTSASLAKEHQMRSDLQGLVLTLGGPASGNHASS >Vigun03g170600.1.v1.2 pep primary_assembly:ASM411807v1:3:20390166:20392580:-1 gene:Vigun03g170600.v1.2 transcript:Vigun03g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNINTVDNNAINAWLPVTASRNAKWWYSAFHNLTAMVGAGVLTLPYAMSMMGWGPGSVILIMSWVITLFTLWQMVEMHEMEPGVRSDRYHELGQKAFGEKLGLYIVVPQQLLVEVGTCIVYMVTGGKSLKKFLETVCPSCQKIRSSYWIMIFASVNFALSLLPNFNSISAVSLAAAVMSITYSTIAWVASLKRGTVPNVDYGYKAHSTADAVFNFMTAMGDVAFAYAGHNVVLEIQATIPSTPEKPSKIAMWKGVIVAYLGVGFCYFPVAFIGYYVFGNSVDDNILITLEKPTWLIAAANMFVIIHVIGGYQVFSMPVFDMMETFLVKRMKFPPCFTLRFVARTIFVALTMIIGICIPFFGSLLGFLGGFAFAPTSYFLPCIIWLRLHKPKRFSLSWIVNWTCIVLGILLMTLAPIGSLRKIIVTAKHYKFFS >Vigun05g003500.1.v1.2 pep primary_assembly:ASM411807v1:5:269311:272974:-1 gene:Vigun05g003500.v1.2 transcript:Vigun05g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSYGPSKGRFRPQMAIALAIVLLSFNVASVAADAYTHSPPPPPPYVYSSPPPPSPSPPPPYKYKDPHYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPPYEHKDPYYQYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPYDPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPPHDPYYYKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPYPPHVPYYYKSPPPPSPKPYYYKSPPPPSPKPYYYSSPPPPVAYPPHSPYPHPHPYHHSLIVKVVGKVYCYRCYDWKYPQKSHNKKHLEGATVEVTCSVGSKTITAYGKTKNNGKYSITVDGFDYVKYGSTVCKAKLHAPPKGSRCNIPTKLNEGTKLELKSKDKYEVVLKAKPFAYAPEKPYDCEKHKHSHKPYYYNSPPPPSPSHSYPPYYYKSPPPPPPSYYYKSPPPPSYYYKSPPPPSYYKSPPYYYKSPPPPSPSPPPPYYYKSPPPPPKDPYYPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSPSPPSPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYVSPPPPTKSPPPPAYSYASPPPPIYH >Vigun04g140800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34893507:34893890:-1 gene:Vigun04g140800.v1.2 transcript:Vigun04g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKAYTILMALLLSSIGIKYEGSNNNPFQHASPSKMIFVTAACCHVLVSTVEMSLATTDFIFHLSGIVGCEALMWILVDEFVWWYLTNVLLLLVASFCLNNYNHIIDFIRGTRGHVPNLEAQEGQM >Vigun03g219900.1.v1.2 pep primary_assembly:ASM411807v1:3:36569321:36573852:-1 gene:Vigun03g219900.v1.2 transcript:Vigun03g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEENEREKGREVCANGNSISAEELEAKLDAGNVQEAEAALRDGLSLSSEEAKAVLGKLEYQRGNVENAFQVIDGIDLQPIIQQLQSSLSEKGPVKNALLFEAVYLRIKCLQKLDKCTEAIDECKSVLEGVEKTYGQGTFDTQADNKSQEIAGRLVELLPELWKQVGRYDEALSAYRNALLSQWNLDNEICARIQKALAVFLLYSEVEASPPNKTDGSYVPKSNLEEAVLLLMIILRNFILGKIKWDPAVMEHLTFTLSTCGQTSILTKQFEELIPGVYHRIDRWTFIALCNCGAGENKSALNLLRMSLHKHERPNDLIALLLAAKICSEDPHLGAEGVGYAQRAINNARGLDEHLKGVGLRMLGLCLGKQARVCSSDHERSNLQSKALQSLEKAVRLEQNNSDLIFELAIQYAMHRNLTVALSCAKQFFDKTGGSTLKGWRLLALVLSAQKRFAEAEVVTDAAVDETAKWEQGPLLRLKAKLKISQLKPMDAIEIYRYLLALVQAQKKSPGPSTQGSQVEDGKINELEVWHGLANLYASLSHWKDAEICLQKAKELKEFSPATTHAEAIMFEGRGEHLEALIATLNAVLLEPNYVPSKILMASLILKINFISAAPVARCLLCDALRIEPTNRMAWYYLGLTHKADGRITDAADCFQAASLLEESDPIETFSSMI >Vigun09g089700.2.v1.2 pep primary_assembly:ASM411807v1:9:12391004:12398441:1 gene:Vigun09g089700.v1.2 transcript:Vigun09g089700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASICDTDIPLQLKNIDAFVASYRNSLQSITATAIKTARSQSQLTEVKAKLRESEKELVKALAVKTRREAKRMALKDAIASVKERVEVMKTRIQRRRTKCEECATVVSQHRLVLTASEQQSNESNELKDEAQEAISWYNRILGFHVEGGHGVKFTFKNINENNPNEEFCFTIRHEDDMYTLLNCEPSLKDIDKLIHELNKTNGLFKFVRTMRRKFQEAVTQGSSRLKLAMLQSSPWLLFMALSCGYHY >Vigun09g089700.1.v1.2 pep primary_assembly:ASM411807v1:9:12391004:12398441:1 gene:Vigun09g089700.v1.2 transcript:Vigun09g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASICDTDIPLQLKNIDAFVASYRNSLQSITATAIKTARSQSQLTEVKAKLRESEKELVKALAVKTRREAKRMALKDAIASVKERVEVMKTRIQRRRTKCEECATVVSQHRLVLTASEQQSNESNELKDEAQEAISWYNRILGFHVEGGHGVKFTFKNINENNPNEEFCFTIRHEDDMYTLLNCEPSLKDIDKLIHELNKTNGLFKFVRTMRRKFQEAVTQGSLSMTTNEHQESTFISASAPVLSTLTITEGYEHQGEPTKGNTHLQKQVHQRMVKSAILSPGSASSVCQSPRLKARK >Vigun03g436300.1.v1.2 pep primary_assembly:ASM411807v1:3:64017981:64028458:1 gene:Vigun03g436300.v1.2 transcript:Vigun03g436300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSIPEAAECDLSIPIPRGGPIYVPNMVGPSTRVPHFQTSLLSELQNLEAELSEDFSHLDISVDDLKIFTEDQLMDMALKEVFKGSENDENHPPLPDQPNARCGEKKSKRKRSGTNNSVLDIDCIEKVEHVVRIKQKQEEDKAAVKLHSFNPAFKINEAAHKSTKTERMRTLRSMSSTRKVNAVNLQEHVPVQYPEVVLFVEVYHNVRKGTKIQELLVLGGQMLTALRDKIFCSTDQLMHKAGQHDPSGYFLIEDVFCPDLRDPSAIDLTRPILDWLQNSKEEAQKKWEYIITGELQKKQKAIMGEQSASQLPHFRSIEMQKLRFCDLSFRLGAGYLYCHQGNCTHTLVIRDMRLIHPDDVHNRALYPIVTFQLKLRFQKCSVCKIFRATKITVDDKWTPENPCYFCDDCFSLLHQADDGTALYTDFVEYDYNHD >Vigun07g041800.2.v1.2 pep primary_assembly:ASM411807v1:7:4102163:4116603:-1 gene:Vigun07g041800.v1.2 transcript:Vigun07g041800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEDAVLRKKNKKLRKKNNNSSSSVSAKVAAVIAAKKRRKAGKRRMCQGMCFSLPTPEDPFNDRGGKEEFKTKGPKKKTHSKSKPIDEMVNEKSADGKKGAVPGRNIDQELLDFQRKKEFVKANNDLGQKHIINSEKKKFKATETAHHPSTERHDCDISEFPSKFVFWCLSSIENALRRGDAYTDGEGNSFFLNPWGLEFLKCYSTGKDLMETSGTSATTEQIAWMVSSAADTFSRNEKEDLSFSGPFLLFLVPSQEKAVQVRTVCKPLKSVGIHTVSIHPGASLDHQIQGMKTCEPEFLVSTPERLLELVSVKAIDISGISMLVIDGLNAMCNAGHADALKSIKKCISGNPHMVIFNDCLSGISVPVVPYLLTGSICRLSLNNSITSLSSSIIQSATVCTSEEDIVIKELGSGRQTGEGYEAEGFYTILDLIHMCSVLQLLVLKCFVNDLVILIWKN >Vigun07g041800.1.v1.2 pep primary_assembly:ASM411807v1:7:4097622:4116603:-1 gene:Vigun07g041800.v1.2 transcript:Vigun07g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEDAVLRKKNKKLRKKNNNSSSSVSAKVAAVIAAKKRRKAGKRRMCQGMCFSLPTPEDPFNDRGGKEEFKTKGPKKKTHSKSKPIDEMVNEKSADGKKGAVPGRNIDQELLDFQRKKEFVKANNDLGQKHIINSEKKKFKATETAHHPSTERHDCDISEFPSKFVFWCLSSIENALRRGDAYTDGEGNSFFLNPWGLEFLKCYSTGKDLMETSGTSATTEQIAWMVSSAADTFSRNEKEDLSFSGPFLLFLVPSQEKAVQVRTVCKPLKSVGIHTVSIHPGASLDHQIQGMKTCEPEFLVSTPERLLELVSVKAIDISGISMLVIDGLNAMCNAGHADALKSIKKCISGNPHMVIFNDCLSGISVPVVPYLLTGSICRLSLNNSITSLSSSIIQSATVCTSEEDIVIKSMKTLKQFQNSSNQNSNMLYILRKDVKCHKLLKILKSKGCSICLDSNSASLDDSVDSDNKEVVSAIALEHISTTDIGTYDIVILPSFVPSIDTYVHILTNMARQSAKGVLYSFLTKRDRELASPLITVLEQCGQEVPQTLLDLHHSLKMVED >Vigun03g013600.1.v1.2 pep primary_assembly:ASM411807v1:3:920355:924506:1 gene:Vigun03g013600.v1.2 transcript:Vigun03g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLFFMLPLSISASGSGDAFIGVNIGLDISDVPGPTEIVALLKAQGIQHVRLYDADRDLLRALAKTGIRVTVSVPNDQLLGIGQSNATAANWVNRNVIAHVPATNITAICVGSEVLTTLPNAAPILVSAINFIHSALVAANLDQQIKVSSPHSSSIILDSFPPSQAFFNRTWNTVMVPMLNFLQTTGSYLMLNVYPYYDYQQANDAIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAVVDAAYFAMSDLNFTNIPIVVTESGWPSKGDSSEPDATVDNANTYNSNLIRHVLNNTGTPKHPGIAVSTYIYELYNEDLRSGPFSEKNWGLFYANGEPVYTLHLTGAGTLFANDTTNQTFCVAKSNADTKMLQAALDWACGPGKVDCSPLLQGQTCYEPDNVVSHSTYAFNAYYQKMDKSPGSCDFKGVASITTTDPSHGSCIFPGSHGRKGTRVNGTSLAPSNSSSSGCLPQYYYNDGFFTSSLGLVVLLLCAVM >Vigun05g214200.2.v1.2 pep primary_assembly:ASM411807v1:5:40532390:40536782:1 gene:Vigun05g214200.v1.2 transcript:Vigun05g214200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFEEDVPMPLSPMADYFSSSLINVFVMAVVESEIPIDESKVLPLLKNKLIPMCSRFSSIMVTVKNGKKVWKQVDVNLKDHVKIPKFTSNNRTFKLYDECFDEYMEKIGMEQIREDKPLWEVHLFKYPTSKCEGTFIFKLHHALGDGFSFMTTFLSIVESADNPSIPVKFPSSKSSTSTNGMPKQLSQTASVMFKSAVDFGWSLLKNSLIPDQQTPLRSGHEDVGFRPMRIVNVSLSLDSIKEVKNKLKVSVNDVLVGAIFLGIELYMKAKNHRSRSGESTALVLLNTRKIRAYIPAKEMHATNSTAPWGNRFHFMHVPLPMLSHNKKLNPLEFVLDAKKNINRQRNSLAVPMTGVLLRFLNQIKGPQAATQYVYKIMNNASLSISHMVGPSEKVTLANHPIKGIYFMSVGLSQSITVTITSYMGYLRVGFGVEEGFIDEYQLKSCFQTSLQMILEAAKKITYLKSIL >Vigun09g057700.4.v1.2 pep primary_assembly:ASM411807v1:9:5814300:5831947:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVSRMDGGKFCVFDRSVLVSNGLLHTELLNRIGPATEKLKNKGIDFSMWYKPENYRADV >Vigun09g057700.7.v1.2 pep primary_assembly:ASM411807v1:9:5813844:5831965:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLGSILGVVEA >Vigun09g057700.1.v1.2 pep primary_assembly:ASM411807v1:9:5813844:5831947:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFSAGTLSPLRSLSSTLNFHPNGFLPILSKSRSHSLIANSLLSDKFPTVAAPSTGPIPPSHLIEVVKTAANTGAQVVMEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVSRMDGGKFCVFDRSVLVSNGLLHTELLNRIGPATEKLKNKGIDFSMWYKPENYRADV >Vigun09g057700.3.v1.2 pep primary_assembly:ASM411807v1:9:5814300:5831965:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLGSILGVVEA >Vigun09g057700.6.v1.2 pep primary_assembly:ASM411807v1:9:5813844:5831965:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVSRMDGGKFCVFDRSVLVSNGLLHTELLNRIGPATEKLKNKGIDFSMWYKPENYRADV >Vigun09g057700.5.v1.2 pep primary_assembly:ASM411807v1:9:5813844:5831947:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFSAGTLSPLRSLSSTLNFHPNGFLPILSKSRSHSLIANSLLSDKFPTVAAPSTGPIPPSHLIEVVKTAANTGAQVVMEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLGSILGVVEA >Vigun09g057700.2.v1.2 pep primary_assembly:ASM411807v1:9:5813844:5831947:1 gene:Vigun09g057700.v1.2 transcript:Vigun09g057700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFSAGTLSPLRSLSSTLNFHPNGFLPILSKSRSHSLIANSLLSDKFPTVAAPSTGPIPPSHLIEVVKTAANTGAQVVMEAVNKPRNITYKGSTDLVTETDKMSEAAILEVVKKNFDDHLILGEEGGVIGDAASDYLWCIDPLDGTTNFAHGYPSFAVSIGVLYRGNPAAAAVVEFVGGPMCWNTRLFSATAGGGAFCNGQRIQVSATNQVEKSLLVTGFGYDHDDAWATNIDLFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLGSILGVVEA >Vigun03g359000.1.v1.2 pep primary_assembly:ASM411807v1:3:56141901:56142368:1 gene:Vigun03g359000.v1.2 transcript:Vigun03g359000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSAIWEAEKAMLVRFNPKTDLHVEAVKYDLRLSRANITYHCCFPAHPNSSDSLVRILLQTLIKVVINKHFPLGCSNLELKPVAATSKKLYLKCNIAIITTGDPT >Vigun11g014850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1823943:1826360:1 gene:Vigun11g014850.v1.2 transcript:Vigun11g014850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGALVSTFVERTIDTLASLFGDVFRARKHNKKQLSDLKMKLLAIDVVAFDAEQKQFTDSRVRNWLLKAKDVVLDAEDLLEEIDYELSKSQVETESQSATNKVWISLRSSFFSFFENEIKSMMEQVIEDLEHLATQSCFLGLKKGSGGVGSGSGSKLAYTSLPNESVIYGRDDDKEFVFNWLTSDTDNKLSILSMVGWLRPHLPNMHSMTQGLKLNLILKLGSMFHRNLMFSMYLEQFLTQLLVQLNAVCNRK >Vigun06g052200.1.v1.2 pep primary_assembly:ASM411807v1:6:17794174:17795851:1 gene:Vigun06g052200.v1.2 transcript:Vigun06g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASYKFKCKHQFLNVLCLTISIDIPLASLPKRKMASTVVPNMSFYAHTSPTRINKFSSVKLLPLSSTGNRTFCNNVKAKAGGEASLQKLKQQQQQQLQPKMRVPQASPKVLLNQFPVARTVQQMMDTMERMVENPLVYDSTSPWIVAGDDEYSKGKIPWAIKEGQKDYRMRFNMPGMNKDDVKIWVEENMLVVKAEKTLREHHEAQANSNEEISTKYEEDWPANSYGRYNHRIALPENIEFDKIKAQVKDGILHVTIPKANTSAKVINIDVQ >Vigun02g158700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30421358:30422225:-1 gene:Vigun02g158700.v1.2 transcript:Vigun02g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWWRKGNKPQIQENSQPNPSSSILKSNAADTTKLLAEATGMNGAVEVPRPPNAAVSIFEFGSVAASNDKVTLAGYCPVSEDFEPCRWEILPATQSNAPQFRVVF >Vigun10g191300.4.v1.2 pep primary_assembly:ASM411807v1:10:40554269:40556714:1 gene:Vigun10g191300.v1.2 transcript:Vigun10g191300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFCCGKVSNRARRGKEQPTWRVFSLKELHSATNNFNYDNKLGEGSFGSVYWGQLWDGSQIAVKRLKVWSNRAETEFTVELETLARIRDKNLLSLRGYCAEGQERLIVYEYMQNLSLYSHLHGHHSFECSLDWNRRMNIAIGSAEGIVYLHHQARPHIIHRDIKSSNVLLDSDFKARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGVLLLELASGRRPTEKLSSTVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEGELKRVVLVALMCAQDLPEKRPTMLDVVELLKGESRDKFSHIENSEMFRNPLAAETNNGTSLADDRLDYISEEKELERPLRENAEV >Vigun10g191300.2.v1.2 pep primary_assembly:ASM411807v1:10:40554269:40556677:1 gene:Vigun10g191300.v1.2 transcript:Vigun10g191300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFCCGKVSNRARRGKEQPTWRVFSLKELHSATNNFNYDNKLGEGSFGSVYWGQLWDGSQIAVKRLKVWSNRAETEFTVELETLARIRDKNLLSLRGYCAEGQERLIVYEYMQNLSLYSHLHGHHSFECSLDWNRRMNIAIGSAEGIVYLHHQARPHIIHRDIKSSNVLLDSDFKARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGVLLLELASGRRPTEKLSSTVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEGELKRVVLVALMCAQDLPEKRPTMLDVVELLKGESRDKFSHIENSEMFRNPLAAETNNGTSLADDRLDYISEEKELERPLRENAEV >Vigun10g191300.1.v1.2 pep primary_assembly:ASM411807v1:10:40554022:40556708:1 gene:Vigun10g191300.v1.2 transcript:Vigun10g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFCCGKVSNRARRGKEQPTWRVFSLKELHSATNNFNYDNKLGEGSFGSVYWGQLWDGSQIAVKRLKVWSNRAETEFTVELETLARIRDKNLLSLRGYCAEGQERLIVYEYMQNLSLYSHLHGHHSFECSLDWNRRMNIAIGSAEGIVYLHHQARPHIIHRDIKSSNVLLDSDFKARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGVLLLELASGRRPTEKLSSTVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEGELKRVVLVALMCAQDLPEKRPTMLDVVELLKGESRDKFSHIENSEMFRNPLAAETNNGTSLADDRLDYISEEKELERPLRENAEV >Vigun10g191300.3.v1.2 pep primary_assembly:ASM411807v1:10:40554269:40556691:1 gene:Vigun10g191300.v1.2 transcript:Vigun10g191300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFCCGKVSNRARRGKEQPTWRVFSLKELHSATNNFNYDNKLGEGSFGSVYWGQLWDGSQIAVKRLKVWSNRAETEFTVELETLARIRDKNLLSLRGYCAEGQERLIVYEYMQNLSLYSHLHGHHSFECSLDWNRRMNIAIGSAEGIVYLHHQARPHIIHRDIKSSNVLLDSDFKARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGVLLLELASGRRPTEKLSSTVRRSIVDWALPLVCEKKFSEIADPRLNGNYVEGELKRVVLVALMCAQDLPEKRPTMLDVVELLKGESRDKFSHIENSEMFRNPLAAETNNGTSLADDRLDYISEEKELERPLRENAEV >Vigun07g181200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29800371:29802784:-1 gene:Vigun07g181200.v1.2 transcript:Vigun07g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSSESKTREMDGQLAGLGYKVRSSELCQVAENMERLENAINTVNSSRDISQVVSDALLYDPSNIGLGSWVDTLLSELDQTVTVSLPYDLSSDLPDLPTDPNRQLGMVTTVEEDSGIRLVHTLITCADSVQRGDLAFAGSLIESMQALLAHVNTSCGIGKVAGYFIDALRRRISSPQSAAFPTSPYENDVLYHHYYEACPYLKFAHFTANQAILEAFNGHDCVHVIDFNLMQGLQWPALIQALALRPGGPPSLRLTGVGTPSPDERDTLREIGARLAELAHSVNVRFTFRGVAAWRLEDVKPWMLQVSPKEAVAVNSIMQLHRVLGSGIDAVLGWIRSLNPKIVTVVEQEANHNGEGFLERFTEALHYYSTVFDSLEACSVEPDKGLAEMYLQREICNVVCCEGPARLERHEPLAKWRERLAKAGFRPLHLGSNAYKQASMLLTLFSAEGYCVEENQGCLTLGWHSRPLIAASAWQAAPLREGETVRFQR >Vigun03g066900.1.v1.2 pep primary_assembly:ASM411807v1:3:5525535:5528964:1 gene:Vigun03g066900.v1.2 transcript:Vigun03g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKSPQTELPHKAFGWAATDTSGTLSPFHFSRRENGVDDVTVQILFCGVCHSDLHTVKNDWGFTTYPVVPGHEIVGVVTKVGSNVKYFKAGDKVGVGVIVESCKECECCQQDLENYCPRPVFTYNSPYYDGTRTQGGYSNIVVVNQRYVLRFPENLPLDAGAPLLCAGITVYSPMIYYGMTEPGKHLGVAGLGGLGHVAIKIGKAFGLKVTVISGSPHKEAEAVDKLGADSFVVSSDPAKMKAAIGTMDYIIDTISAVHSLTPLLGLLKLNGKLVTVGLPNKPLEVPIFQLALGRKLVGGSNFGGIKETQEMLDFCGKHNITADIELIKMDQINTAMERLGKGDVKYRFVIDVANSFSSL >Vigun05g292828.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47897789:47898436:-1 gene:Vigun05g292828.v1.2 transcript:Vigun05g292828.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFFVCDLCCVCVFCIVYVFCCVLCCACLCCVLFYACLCCFVLLCWCFCGCVNCFCACVFFYLFLCLCVFLFVFVLVGCWLFFIYTVFCVGCCACLYCCVQCFCGCIDCFCACVFFYLFLWLCGVLDVFDLYCLLCGVLCFVLCVMCLVCFFCVVYVLGCVFLWCLFFLCCDLGCVCFVVFDVFVLLCFFICFCGCVGVSDIVFSVGCCVFCM >Vigun04g086700.2.v1.2 pep primary_assembly:ASM411807v1:4:14704585:14713638:1 gene:Vigun04g086700.v1.2 transcript:Vigun04g086700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRGPIVPWELGAERGRPVAAYYGYLKGCDNILAQLHTKHPELCDKVGGLLAFHIDDLRVFAPLWLSKTEEVREDRAHWATNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYNPREGIEPILLHYGLPFSVGNWSFNKLAHHDDGIVYECNKLFPEPPYPKEVKQLELDHNRRRGLFISIECINIINEGLLLQHAENGCPKPVWSKYLSFLKSKAYAELTQPKYVTPATLQMMEDIKEEHVDDGAAKPHPKIHTIFSTECTTYFDWQTVGLMHSFHKSGQPGNITRLLSCSDEELQKYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVNIDAEFIVILDADMILRGPITPWEFKAARGHPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFAMLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLKHTISDEILIYPGYVPATGVKYRVFHYGLRFSVGNWSFDKADWRNVDVVNKCWAKFPDPPDSSTLGEDNKEDLQRDLLSIECAKTLNEALNLHHQRKCSSDNSLTSEGEEKKKKL >Vigun04g086700.1.v1.2 pep primary_assembly:ASM411807v1:4:14704138:14713583:1 gene:Vigun04g086700.v1.2 transcript:Vigun04g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVWILMVVVLVGVVGIEGARKSPSGRIHTLFSVECQNYFDWQTVGLMNSYRKAKQPGPITRLLSCTDEEKKNYKGMHLAPTFEVPSMSRHPRTGDWYPAINKPAGVVHWLKHSKDAKKVDWVVILDADMIIRGPIVPWELGAERGRPVAAYYGYLKGCDNILAQLHTKHPELCDKVGGLLAFHIDDLRVFAPLWLSKTEEVREDRAHWATNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYNPREGIEPILLHYGLPFSVGNWSFNKLAHHDDGIVYECNKLFPEPPYPKEVKQLELDHNRRRGLFISIECINIINEGLLLQHAENGCPKPVWSKYLSFLKSKAYAELTQPKYVTPATLQMMEDIKEEHVDDGAAKPHPKIHTIFSTECTTYFDWQTVGLMHSFHKSGQPGNITRLLSCSDEELQKYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVNIDAEFIVILDADMILRGPITPWEFKAARGHPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFAMLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLKHTISDEILIYPGYVPATGVKYRVFHYGLRFSVGNWSFDKADWRNVDVVNKCWAKFPDPPDSSTLGEDNKEDLQRDLLSIECAKTLNEALNLHHQRKCSSDNSLTSEGEEKKKKL >Vigun10g035750.1.v1.2 pep primary_assembly:ASM411807v1:10:4795127:4799731:-1 gene:Vigun10g035750.v1.2 transcript:Vigun10g035750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSSPSFSKSKHQRIHDVFINFRGEDTRRKFVSHLHYALSNAGVNTFFDEENLVKGMQLQELMRAVEGSQIAIVVFSQTYTESTWCLDELEQIIKCNQTQGQSVLPVFYEIDPSDVRHHKGDFGKSLEEAARRTYSGEQLERALSRWKRALNKLLVSLVGMNEAELVRQIVDRVQKLLDYEVLSITEYPVGLESRAQEVIGLIETRSTQVCMIGIWGMGGSGKTTVAKAVYNQIHRRFMDKSFIENIRETCEIMLLSNVLKTKVEIHSVGMGTTMIESRLAGKTALIVLDDVNEYNQLKAVCGNRKWIGQGSVIIVTTRDVGLLTRLEVDYSLQLFSFHCFGDSKPKEDFSELSRNVVAYCGGLPLALEVLGSHLFDKSSKRVWLGVLSILEKIPNDEVQRKLRISFDSLSNEMEKDIFLDVCCFFIGKDRGYVTEILNERSLIKVEKNNKLGMHPLLQEMGREIIRENSRKEPGKRSRLWFQKDVVEVLTKNTGTETIEGLVLKMHLTSRDCFEADSFQKMESLRLLQLHHVQVAGNYGYLSKQLRWISWQGFPSYFLPNNFCMDDVIAIDLKHSHLRFLWKQTPDLKWLKVLNLSHSMYLAETPDFSRLPSLEQLILKDCPSLLEIHNSIGGLCNIILINLKDCTSLSNLPKEIYTLKSMESLTTLMADNTAVKKVPFSIVSSKSIGYISLCGFVGLARNNNTLGELAPMLRGLSDLRSVLVQCETEFQLSKHELGISRHQLRSSLIGVGSYKAFFDNLSDQISEGLATNEACEVVLPGDGHSVYFTVPEDRGMKGMALCIVYFSNPEMKPTECFTSVLIANYTKRTLQIHKQDTVEIFVTFGDDLVVKKTAVYLMYGESHDIQIKPTNSEPNDPLHGESNGIEIKPIHSEVNDIKIEPMNSESSVLPMKSDPKPNGNGCIRFFKKFAMCEW >Vigun09g131500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29007504:29008445:-1 gene:Vigun09g131500.v1.2 transcript:Vigun09g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPEANHRYLASMLLLFLLLTSSTSSSARPFSHNYFSLVATHPTVNLALPSDRVVRVVLPETPGRVSACAHMRAAGKQPFPVTRLAGGKHVPLVLNMLPKGPVPPSGPSKGINNLNN >Vigun01g184300.1.v1.2 pep primary_assembly:ASM411807v1:1:36466969:36483381:1 gene:Vigun01g184300.v1.2 transcript:Vigun01g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEECSSAKSGPSSSSSASRYYLSKCVLRGSVVLQVLYAHIRSPSSNDIVFGKETSIELVVIEDDGNVQSVCDQPVFGTIKDLAILPWNEKFRARDPQLWGKDLLVATSDSGKLSLLTFCNEMHRFVSVTHIQMSIPGNPMDLPGRRLAVDSSGCFVASSAYEDRLALFSMSMSSGDIIDERIVYPSESEGTASSSRSIQRTSICGTIWSICFISQDSRQPSKEQNPVLAVIINRRGALQNELLLLEWNVKAHKVLCISQYAEAGPLAYDIAEVPNSGGLAFLFRTGDVLLMDLRDPRNPSCIYKTNLNILPNAMEEQTYVDDSCKLHDVDDERFNVAACALLELSDYDPMCIDSDNGGANSSYKYICSWSWGPENNRDPRMIFCVDTGEFFMMEVLFDSEGPKVNLSECLYKGLPCKALLWVEGGYVAALVEMGDGVVLKLEDGRLCYTNPIQNIAPILDMAVVDYRDEKHDQMFACCGVAPEGSLRIIRNGINVENLHRTASIYQGVTGTWTVRMKITDSHHSFLVLSFVEETRILSVGLSFTDVTDSVGFEPNVCTLACGLVTDGVLVQIHRYTVKLCLPTKAAHSEGIPLPSPISTSWSPDNVSISLGAVGHNFIVVSTSNPCFLFILGVRLLSAYQYEIYEMQHLVLQNELSCISIPGQEIEQKPSNSSISANNSTISSFQSGVDISKTFVIGTHRPSVEIWFYAPGGGITVVACGTISLTNTIGTAISGCVPQDVRLVFVDKYYVLVGLRNGMLLRFEWPVEPCPSSPINMVDTALSSINLVNSASNALGKRNDLPSTLQLIAIRRIGITPVFLVPLGDTLDADIIALSDRPWLLHSARHSLSYTSISFQPSTHVTPVCSVDCPKGILFVAENCLHLVEMVHSKRLNMQKFHLEGTPRKVLYHDESKMLLVMRTELNCGTCLSDICCVDPLSGSVVSSFRLELGETGKSMELIRVGSEQVLVVGTSLSSGPAIMPSGEAESCKGRLLVLCLVHVQNSDSGSMTFCSKGGSSSQKTSPFHEIVSYAPEQLSSSSLGSSPDDNSSDGIKLDENEVWQFRLAYATKWQGVVFKICPYLDRYFLASAGNAFYVCGFPNDNPQRVRRYAMGRTHHMITSLSAHFTRIAVGDCRDGIILFSYHEEARKLEQLCCDPSRRLVADCILMDADTAVVSDRKGGIAILCSNHLEDNASTECNMTLSCAYFMAEIALSVQKGSYSYRLPADDVLQGGNGPKTNVDSLQNTIIASTLLGSIMIFIPLSREEYELLEAVQERLVVHQLTAPVLGNDHNEFRSREIRGGVPKILDGDVLTQFLELTSMQQKMILSSEPPDIAKPSLKPLLPPMFL >Vigun02g159200.13.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478741:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.10.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478741:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.4.v1.2 pep primary_assembly:ASM411807v1:2:30460583:30478779:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.14.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478741:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.8.v1.2 pep primary_assembly:ASM411807v1:2:30460583:30478839:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.3.v1.2 pep primary_assembly:ASM411807v1:2:30460583:30478779:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.9.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478779:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.12.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478743:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.1.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478743:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.6.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478742:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.2.v1.2 pep primary_assembly:ASM411807v1:2:30460556:30478761:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.5.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478743:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.7.v1.2 pep primary_assembly:ASM411807v1:2:30460583:30478839:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGMITASELGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun02g159200.11.v1.2 pep primary_assembly:ASM411807v1:2:30460645:30478779:1 gene:Vigun02g159200.v1.2 transcript:Vigun02g159200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMHWNLWCCILLGYLHVYCISLSSGQHLNRSTGLARWLGYSESLVLDDSQLHDSAFVDTSTLSSPLNVLVSCEDLEGVGSFNTTCLLSSTHYLKSDINIYGVGNLELLSDVSLLCPVEGCMISVNVSGNIKLGQNASIVAGSVVLSSANLTMEYSSYIDSSSLGGLPPSQTSGTPVGSDGAGGGHGGRGASCLKNNKTNWGGDVYAWSTLSEPWSYGSKGGGKSNIKQYGGNGGGRVKLLVKDTLYVNGSIIAEGGDGGSNGGGGSGGSILVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDLNVTVHGGLSIGCPGNSGAAGTYFNAHLLSLKVSNDNVTTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYSGGSLIFGLSDYPISEFELVAEELLLSDSIIKVFGAFRVSVKMLLMWNSTMQIDGGETTVVTASVLEVRNLAVLRQNSVISSNSNLALYGQGLLQLTGEGDAIKGERLSLSLFYNVTVGLGSLLQAPLDDDASRGMVTKHLCDTQRCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIMMGSIIHIHRARTVIVDTDGCTEGIGKGNFLNGAGGGAGHGGKGGSGYFNGRESIGGNDYGNAILPCELGSGTEGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGTLRADGESFSKSITSSDGSLVGGLGGGSGGTVLLFLQELRLLENSSLSVVGGNGGPVGGGGGGGGRIHFHWSKIGVEEEYVPVASIIGTMNNSGGAGDNDGHHGQEGTITGKACPKGLYGIFCEECPIGSYKDVDGSEEDLCIPCPLDLLPNRANFIYKRGGVTERSCPYKCISDKYRMPNCYTPLEELIYTFWGPWPFSVMLSFILLILALLLSTLRIKLIGSGSYHSSSSIEHRNHHRFPYLLSLSEVRGARAEETQSHVHRVYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKHWRRRVKISRLQEYVKSEYDHSCLRSCRSRALYKGMKVGTTPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYYLHNDTLLTNLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRTALGPVVDWINSHGNPQLEFHGVKIELGWFQATASGYYQLGIVVAVGDYSLLELHQSDTWVGTDEVVRKNVPHGKKNLKQLQHSWPYMSNSLSLKRITGGINGGLINDATLKSLDFKRDFLFPLSLLLCNTRPVGRQDTVQFLITLMLLADISVTLLMLLQFYWISLPAFLSVLLILPLSLLSPFPAGVNALFSKEPRRASLFRVYALWNATSLFNIGVAFICCLLHYALSHFHHPDEASTRNVKRDDDKCWLLPIILFLFKSIQARFVNWHIANLEIEDFSLFCPDPDAFWAHESGF >Vigun05g142232.1.v1.2 pep primary_assembly:ASM411807v1:5:18147706:18148068:-1 gene:Vigun05g142232.v1.2 transcript:Vigun05g142232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTCKQTHTEAVLKNQHRDYIVTLADDKPAPRGYREPHLPPMLHIYGFSLKNLQNLDEGFRRHVAWKRRG >Vigun09g086300.1.v1.2 pep primary_assembly:ASM411807v1:9:11333703:11336177:-1 gene:Vigun09g086300.v1.2 transcript:Vigun09g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALHTFPHHSLKLSLTSFLPSSMEQITLSQHDDQDSVFSDSESSVSGTVMGSSEDASFVRLLQGDVLHDLIKTRFIRGLGLLGPKTEVLSVHRNTCSGVVLQARLQSFQLHARAVAELREGNANVKYAWYGTRGEDDVNNIVSHGFAHVHGPQLLLSPDDVPLQIVRECVVGKDGVRHALLCRVILGRSELVDDDTEQCYPSSEKYDSGVDSFSAPSKYIIWSNRMNTHVLPAYVVSFRVPSFKGVEKSEEELSRPTSPWMPFPTLISALSKVLASRDIALISKFYRDKREKKISRHELIQRVRQIAGDKLLFAVIKSFREKKKQRFLQTR >Vigun11g133300.1.v1.2 pep primary_assembly:ASM411807v1:11:34266529:34273099:-1 gene:Vigun11g133300.v1.2 transcript:Vigun11g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPHLLCIFLFIALLFHGGKVAAFKTQDGSEEWGYVQVRPKAHMFWWLYRSPYRVEDPSKPWPIVLWLQGGPGASGVGIGNFEEVGPLNTELKPRNSTWLKKADLLFVDNPVGTGYSFVEDKKLFVKTDDEAATDLTTLLIELFNRDEKLQKSPLFIVAESYGGKFAVTLGLSAVKAIEAGKLKLRLGGVALGDSWISPEDFVFSWGPLLKDLSRLDDTGLQKSNSIAERIKQQLEDGKFVEATDSWSELESVISIESNHVDFYNLLEDAGSDDVATLELGLYKKISMKRYSRYLTSLRSRSRTPGGEVDLDTLLNGVIKKKLKIIPENVTWGGQSGEVFDFLSGDFMKPRINEVDELLAKGVNVTVYNGQVDLICSTKGTDAWVHKLKWEGLKNFLAKDRTPLYCGSDKSTTKGFVQSYKNLFFYWILKAGHFVPTDQPCVALKMVGAITQSPAT >Vigun10g149400.1.v1.2 pep primary_assembly:ASM411807v1:10:36792648:36797143:1 gene:Vigun10g149400.v1.2 transcript:Vigun10g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDERNSITVKKYTVRRKDHLLLSILSSVEEAVMGSSTKTFTFEEVAKHNHKKDCWIIIKGKAYDVTPFLDDHPGGDEVLVTATEKDATTDFEDIGHSDAAVEMMQTYFVGNVDTTSLPAAQVPNETLRPPAQAPAYNNQSSGFVKILQYLVPLLILGFAFALQYYGKKTKSTESEN >Vigun10g149400.2.v1.2 pep primary_assembly:ASM411807v1:10:36792979:36797143:1 gene:Vigun10g149400.v1.2 transcript:Vigun10g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTKTFTFEEVAKHNHKKDCWIIIKGKAYDVTPFLDDHPGGDEVLVTATEKDATTDFEDIGHSDAAVEMMQTYFVGNVDTTSLPAAQVPNETLRPPAQAPAYNNQSSGFVKILQYLVPLLILGFAFALQYYGKKTKSTESEN >VigunL005600.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:74969:76182:1 gene:VigunL005600.v1.2 transcript:VigunL005600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLKRPTHNWRIRSILIETRTHREENRFMNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRTYDHYASTGMAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAV >Vigun07g283050.1.v1.2 pep primary_assembly:ASM411807v1:7:39699857:39701911:1 gene:Vigun07g283050.v1.2 transcript:Vigun07g283050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHLMTLPQRESFQKFSKAKAEPRPKRGFGNSFQPAIDAPRLEPH >Vigun11g091650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27277094:27277698:-1 gene:Vigun11g091650.v1.2 transcript:Vigun11g091650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSLFSRLLSNQHNNGIRKAPFAANQASSKVVDSPKGYLAVYVREKMKRFVILVSYLNLPLFQDMLSEGGEEFRYYHSMGGLTIPCNEDVFQRITSSLNSK >Vigun02g033700.1.v1.2 pep primary_assembly:ASM411807v1:2:14234220:14235313:1 gene:Vigun02g033700.v1.2 transcript:Vigun02g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTFQFLILTSFILAPLLSVEGKIPESCKKYECPTFNVTEMGKDYEIRSYDSPVWISTSPVQDTSLVAATRKEFSRLFSYVNGYNNEKKVIKMSAPVISEVSNGNSIVVSLYVPKANQKNPPSADGIKVQRWKASYVAVRQFGGFVTDSNFMTEVAALNGSLAGTKWASKTHNGFFVAQYNAPFEVFNRVNEIWFLY >Vigun02g057200.2.v1.2 pep primary_assembly:ASM411807v1:2:20117098:20118471:-1 gene:Vigun02g057200.v1.2 transcript:Vigun02g057200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMACCEKVGFKKGPWTPEEDNKLLAYVEKHGHGNWRSVPAKAGLERCGKSCRLRWINYLKPDIKRGNFSTEEDHTIIQLHALLGNKWSIIAHHLPQRTDNEIKNYWNTNVKKRLIRMGLDPLTHKPIKRNTFEDYGGGGHHVQSKDTINMDHVAQWENARLEAEARGSMLQVESHSSHHPQLMLSKIPTQLSPSSSDSLSTKHNTVYNMYDLIFAENHDLPSEVSTLSIPNMKQFTDTGTSLSSEGDFNVTETSFQIIEDRVSNLEDDDDITMAVEAFTTERCESIQELLRGSTLTW >Vigun02g057200.1.v1.2 pep primary_assembly:ASM411807v1:2:20117098:20118217:-1 gene:Vigun02g057200.v1.2 transcript:Vigun02g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLCLGLERCGKSCRLRWINYLKPDIKRGNFSTEEDHTIIQLHALLGNKWSIIAHHLPQRTDNEIKNYWNTNVKKRLIRMGLDPLTHKPIKRNTFEDYGGGGHHVQSKDTINMDHVAQWENARLEAEARGSMLQVESHSSHHPQLMLSKIPTQLSPSSSDSLSTKHNTVYNMYDLIFAENHDLPSEVSTLSIPNMKQFTDTGTSLSSEGDFNVTETSFQIIEDRVSNLEDDDDITMAVEAFTTERCESIQELLRGSTLTW >Vigun11g030400.1.v1.2 pep primary_assembly:ASM411807v1:11:3925648:3929303:-1 gene:Vigun11g030400.v1.2 transcript:Vigun11g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRQRTPTFRPNLSIRRVYETFQPRSEIKDLPEAYLLRLYLPGFQRDSVKVTYVASSRTVRTTGERQIQGTRWYRFDQSYPIPDYCEPEALQAKFETPVLTLTMPKKPTSQDEKLQDSTTTPQPTTKVEEPIQGNKTVPPSSQPIKDHGEPQMGEKELEAKSSTTTMQGDEKIQKGQEGFEPRPTPTRRATMERDEKIQKGQEIESEPTPTVPTKMETDEKPQKGQEEFEPRAAPAMLTKAKTAETYQKGQEDFEPKPTPTMLNKVKTAEKLQNGEEEFEPKAAPTVEPISKTDAQPPKGQEEDDITNVTRKQTVKEQLKEKKTEETRGEDSEKTSYEDAEGTTDEDEEKERITKRGVQEKPSKSRMSVKDKEQSDFGQKETVTEKLFAKEEETSAPKIHKGKEKQSNNRSSLKKELKSEENSMEKVGSVTQVFTKFAEGALNEEEKKLAANIGAAVLVIAALGYYVSYRFAS >Vigun06g086200.1.v1.2 pep primary_assembly:ASM411807v1:6:21807262:21820219:-1 gene:Vigun06g086200.v1.2 transcript:Vigun06g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSRLLLYLHQPTTTLGLLPPHPFFLPPPIKTTLSLSHLTLTNHAHTTFFAATPRSLTLHSHFLYNQKRKKQPLIMGMKGASDHDDNVVLKSPNDRRLYRLLHLPNGLRALLVHDPEIYPEGPPKHAPEEDEVEEEEEDEDDEEEEYDDDEEEEEDDDDDDDDEGDGETDGVKGGGGAAAQSKKAAAAMCVGMGSFSDPNEAQGLAHFLEHMLFMGSEEFPDENEYDSYLSKHGGSSNAYTETEYTCYHFEVKREFLKGALKRFSQFFISPLVKMEAMEREVLAVDSEFNQVLQSDACRLQQLQCHTSAHNHPLNRFFWGNKKSLVDAMEKGINLREQILKLYGDYYHGGLMKLVVIGGESLDVLESWVVELFGAVKKGQANPMFSVEGPIWKSGKVYRLEAVKDVHILDLSWTLPCLHQEYLKKPEDYLAHLLGHEGKGSLLSFLKTRGWATSLSAGVGDDGIYRSSIAYVFVMSIHLTDSGIEKIFDIIGFVYQYLKLLRQDSPQEWIFKELQSIGNMDFRFVEEQPQDDYAAELAENMHFYPPEHVIYGDYVYKTWDEQLLKKVLGFFIPENMRVDVVSKSFLKSEDFQNEPWFGSRYVEEDISQKMMELWRNPPEIDASLHLPSKNEFIPSDFSIRASDTCADDFANSTSPRCIVDEALIKFWYKPDSTFKVPRANTYFRISMKGGYADVKSCVLSELFIHLLKDELNEITYQASVAKLETYVNYVGDMLELKVYGFNEKLPVLLSKFFSVAKSFVPTDDRFKVIKEDMKRTLKNSNMKPLSHSTYVRLQVLCESFYDVDEKLHYLNDLCLDDLKAFVPGLLSQLYVEGLCHGNLSKEEAIGISNIFKMNFPVNPLPTELRHAERVICLPSGANLVRDISVKNKSEKNSVAELYFQFDQDFGLGSIKLKALIDLFEEIVEEPFFNQLRTKEQLGYVVECSPRVTYRVFGFCFCIQSSEYNPVYLQGRIDNFLNGLEELLDGLEGDSFENYKSGLMAKLLEKDPSLSCESNRLWNQIVDKRYIFDFSMKEAEELKNITKHDVVEWYKTYFKPSSPKCRRLLIRVWGCNTDLKVAEAPPESVQVITDAAAFKKQSKFYPSFC >Vigun07g012600.1.v1.2 pep primary_assembly:ASM411807v1:7:1108650:1112200:1 gene:Vigun07g012600.v1.2 transcript:Vigun07g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFINRILILLMGYAYPGFECYKTVEKNKIDMEELRFWCKYWIIVALFTVLEKFPDIFFGWLPLYGEMKLVFFVWLWYPKTKGTGYIYETFLKPYVSKHENDIDRKIMEWKARGWDYVIFYWQFVAKYGQTAFLQALNQMATQSNKFSANPNSEKKEAQSESATLSKAKNHPSNVSKSEYQQPRDPQTVTVDGEGHRDDDEPVSVKDRISQARARLRGLDSQQQPQSPRTPRTPTRQHQQKQQ >Vigun07g065600.1.v1.2 pep primary_assembly:ASM411807v1:7:7690488:7695021:1 gene:Vigun07g065600.v1.2 transcript:Vigun07g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDDDFSLLRDDNHHLHQPYAPHHHFSPSAVAVAPVPPKSVADDADDYGNPFDDDGGSEKRKDREEIGEGATSYGFNKRSKAPQSSAGSAEYRKDREEWSDTAIVCLLEAYTEKFTQLNRGNLRGRDWEEVAFVVSERCENQSKSVEQCKNKVDNLKKRYKLERQRMSSGCISTSHWPWFKQLEQIVGNSLPAKFSDEDKAVVSAGTSPRQSKRYGVATPSTGQANSIRSKALTNLRWRRVVFKISGAALTGSDTCNMDPKVAMLVSREVAIASRLGVEVAIVVGGCNFFSGDAWVNATGLERCTAYQVGMMATVMNSILLQSTLEKMGVQTRVQTSIAMQEFAELYNRQRAIRHLEKGRVIIFGGISFGAGNPLFSTDIAAALRASEINAEAVLKGTNVDGVYDCNSRDNNFTFEHISFRELGSRGITSMDASALSFCKENAIPVVVFNLLEPGNISKALCGEQVGTLIDQTGAVS >Vigun07g065600.2.v1.2 pep primary_assembly:ASM411807v1:7:7690503:7696446:1 gene:Vigun07g065600.v1.2 transcript:Vigun07g065600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDDDFSLLRDDNHHLHQPYAPHHHFSPSAVAVAPVPPKSVADDADDYGNPFDDDGGSEKRKDREEIGEGATSYGFNKRSKAPQSSAGSAEYRKDREEWSDTAIVCLLEAYTEKFTQLNRGNLRGRDWEEVAFVVSERCENQSKSVEQCKNKVDNLKKRYKLERQRMSSGCISTSHWPWFKQLEQIVGNSLPAKFSDEDKAVVSAGTSPRQSKRYGVATPSTGQANSIRSKALTNLRWRRVVFKISGAALTGSDTCNMDPKVAMLVSREVAIASRLGVEVAIVVGGCNFFSGDAWVNATGLERCTAYQVGMMATVMNSILLQSTLEKMGVQTRVQTSIAMQEFAELYNRQRAIRHLEKGRVIIFGGISFGAGNPLFSTDIAAALRASEINAEAVLKGTNVDGVYDCNSRDNNFTFEHISFRELGSRGITSMDASALSFCKENAIPVVVFNLLEPGNISKALCGEQVGTLIDQTGAGRMRFNS >Vigun10g191650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40586949:40592117:1 gene:Vigun10g191650.v1.2 transcript:Vigun10g191650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELDAQVTPDNNDVIPYSGMQPNKRMRKKSMVWEYFTVEAVGAGCTRAYCNQCKKSFSYITDSKLAGTSHLKRHISLGICQVLREKNQQSSYPKTGGSLYTANPPKKRPRENSRFSGNGISFDQERCNHDVAKMIILHDYPLHIVKQQGFIDFVRILQPQFNPSCLNSVERDCIAIYLRKKQNLLNVINVIPGQVNLTLELWTSNQAMGYVFVRGHFIDSDWNLHRPILNVVTVPFPGSEDSLNQTLVTCLSDWHLEGRLFTLALDKSFSSENVIENLRGLLSVKNPAILNGQLLNQNCYARVLSRLAADALWAVRETISKVRDSVKHVKSSESHKEKFIDLKQHLQVPSMVNLSIDDPCKWDTTYHMLVAACELKEIFICLDTTDSDYRMTLTMDDWNQVETLCSYLKYLYDAAKILTQQPYPTSNLFFSEVSKIQVELTQAVFIQDPFIRSLIFPLHKNFDQYWRESCIILAIAVAMDPRHKMKLVEFTFAKTFGENAETWIKTVEDGLRDLFIEYSTQMFLTATNDDDGDDIMIKEICQEDSIDCSLLVDGDGLSDYEFYISDFTGNPQFKSELEEYLEEPLLTIVEDFDILSWWRVNGLKYPTLSRIASDILSLPVSTLSADSVFDTQIRKMDSYRSSLSSLTLEALICAKDWIQSE >Vigun03g107500.1.v1.2 pep primary_assembly:ASM411807v1:3:9590881:9592554:-1 gene:Vigun03g107500.v1.2 transcript:Vigun03g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQHAETDAGDVSSAQAVLLGALAPGVNGPTWITLKSTFLMLGVSLAVMLALAFSSSDSWLMFHVAFLVIICVTLFFLLSWFLAETGLVSVNYQMREMGLEHKDHSENLKSK >Vigun02g057433.1.v1.2 pep primary_assembly:ASM411807v1:2:20179372:20181069:1 gene:Vigun02g057433.v1.2 transcript:Vigun02g057433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGFDFLQNYCDALIMPKRPSIIKLVFEKYSRFEVREEIRRHDRRSTNGGSFWLQKTIY >Vigun03g355100.1.v1.2 pep primary_assembly:ASM411807v1:3:55649573:55653763:1 gene:Vigun03g355100.v1.2 transcript:Vigun03g355100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKMSWIVAEAVDYKGFPADRSKTGGWIPATLILGIEIVERLSTMGIAVNLVTYMISVMHLPSSTAANTVTDFMGTSFLLCLLGGFLADSFLGRYKTIGIFASIQTLGTATLAISTKLPQLRPPSCPANSDSCKQANGFQMGILYLSLYLIALGTGGLKSSVSGFGSDQFDEKDEKEKSQMAYFFNRFFFFISFGTLAAVTVLVYLQDEVSRSLAYGICSVSMIVAIVVFLSGTKRYRYKKSLGSPIVHIFQVIVASIKKRKMQLPYNVGSLYEDTPEASRIEHTDQFRFLEKAAIVTEGDFETNASGSAPNPWKLCSLTRVEEVKMMVRLLPVWATTIIFWTTYAQMITFSVEQASTMERNMGSFQIPAGSLTVFFVAAILITLGVYDRLIMPLWKKWKGKPGFTDLQRIAIGLVLSILGMAVASACERKRLSVAKSVGGNHATLPISVFLLIPQFFLVGSGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKKVTGTRDGQGWLADNINNGRLDLFYGLLTILSFVNFVAFAVCAVWFKPKKPKQAAMQMGAINGSTAEEKC >Vigun03g136700.1.v1.2 pep primary_assembly:ASM411807v1:3:13394833:13397348:-1 gene:Vigun03g136700.v1.2 transcript:Vigun03g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIECTKTKAIQNIYPTFLFINSPPNSTQQNYKNIAYAAVNVIYKLAINDGMSMRVVTAYRLLFASLFTIPVALIYDRKTRSKITWKVLFLGFLCGLFGGSLFSNSYLVAMDLTSASFMLAMINLTPAITFIMALSCGLEKLNLKVAEGRAKVIGTIIGISGAMLVTFVKGTEINICSFKINLMHTHHNPSSPHQFRNKLLGVPLAILSCCSYSLWYILQAKLNEEYPNSNSSTAIMNTMGALQATIFTLCVDRDWKQWKLGFNIRLLTAAYSGIVASGIMVVIIAWCIKKRGPLFVSIFSPLQLVLVDIAAYFMLDEKLYLGSVVGAVMIVCGLYVVLWGKAQELKKKKSELVSLENTTRGEFENVEVVSSAPRSQQP >Vigun04g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42430529:42431299:1 gene:Vigun04g200800.v1.2 transcript:Vigun04g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKIMIILCLLLVVVVQLEGIVSAAPFFPTKITVEITNKLSTKQKQLDLYCKDKHHDLGLIKLNVNETYRFRFFPEYFIPTTLYFCHFVWSNGDHHFDIYVEKRDVYCTHNRCSWKILENGPCKINSESPQCFSWN >Vigun01g158600.2.v1.2 pep primary_assembly:ASM411807v1:1:34054317:34057118:1 gene:Vigun01g158600.v1.2 transcript:Vigun01g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIPSDPFSLSTTLPGLPNEQNTNPNPNPPPPLTKKKRNLPGTPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKEVRKKVYICPEKTCVHHHAARALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESSRLSSVATSSLNFKSEDASVMNTQAGLSSRGLITGQGMQSVPHFGPHGFRLMGMGADQQRPNLSLWLNQGHHINNPLDVPSSSSSGLPEVVQMAQAIGSSSSVFSNFGTNSKGEGGASDLASIYSESQNKNSISASPMSATALLQKAAQMGSTRSTNPSIFSGSFGVMSSSSTQTTTLNNTNANQSSDQLNQVFQNMNQQQNFNGTSSSATMLGHSTNFPSLTHPSNSFDQFLMQNNVEATQINLHPGSNTVEHNLTRDFLGMNRNGGGHPFLPQELAKFASLGSSMGLNQFTAGHQ >Vigun01g158600.1.v1.2 pep primary_assembly:ASM411807v1:1:34054317:34057107:1 gene:Vigun01g158600.v1.2 transcript:Vigun01g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIPSDPFSLSTTLPGLPNEQNTNPNPNPPPPLTKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKEVRKKVYICPEKTCVHHHAARALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESSRLSSVATSSLNFKSEDASVMNTQAGLSSRGLITGQGMQSVPHFGPHGFRLMGMGADQQRPNLSLWLNQGHHINNPLDVPSSSSSGLPEVVQMAQAIGSSSSVFSNFGTNSKGEGGASDLASIYSESQNKNSISASPMSATALLQKAAQMGSTRSTNPSIFSGSFGVMSSSSTQTTTLNNTNANQSSDQLNQVFQNMNQQQNFNGTSSSATMLGHSTNFPSLTHPSNSFDQFLMQNNVEATQINLHPGSNTVEHNLTRDFLGMNRNGGGHPFLPQELAKFASLGSSMGLNQFTAGHQ >Vigun06g215100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32628606:32629353:-1 gene:Vigun06g215100.v1.2 transcript:Vigun06g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIRFTSPLFCSASPVTLSTHKLITRISCTASGDGGISDAKLASEFAVRVARLHAQSLQADEAMSKSRKLLFGELCEYMCLNEEQAQHKWSSMDEEEKLVLVKAFLKEWGSHFHPLSARSTKEMLEEYLRQGNGPPKPSSSSSFFSGLNRIIGFP >Vigun10g041300.1.v1.2 pep primary_assembly:ASM411807v1:10:5704007:5704967:-1 gene:Vigun10g041300.v1.2 transcript:Vigun10g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKITSEIGVHATAAKWFNLFSTQLHHVQNLSDRVHGTKLHRGEDWHHTDSIKHWTYTIEGKVTTCLESIECVDEANKRITYKLFDGDLDPQFKLFKFVFQTIHKNSGGAIIIWTVEYERVSEEVDPPYGYVEYLHTFTRHIDAHLRIA >Vigun02g178800.1.v1.2 pep primary_assembly:ASM411807v1:2:31994794:31999030:1 gene:Vigun02g178800.v1.2 transcript:Vigun02g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKGLEEHSLLRNNTVEHTSTSTLQIEMAKELEKKAKEAFFDDDFALAVDFYSEAIKLDPNDAHLFADRAQAHIKLNAFTEAVSDANNAIQLNPSLSKAYIRKGTACMKLEEYHTAKVALQNGAAFAPDDLRFSKLIQECDRFISEESNGLVSTLSSNGSHLSIRNDGVAKEAEEDSLVSQIKEVTINRPKYRHEYYQKPEEVVVTIFAKGISAKDLVVDFGEQILSVTIDVPGQDAYRFQPRLFGKIIPNNCKVVVLSTKIEIRLAKAEAINWTSLEYSKDTLPTKISMPIVQSQRPSYPSSKARTKDWDKLEALVKKEEKEEKLDGDAGLNKLFRDIYQNADEDMRRAMSKSFVESNGTVLSTDWKEVGSKKVEGSPPDGLELKKWEY >Vigun05g237800.1.v1.2 pep primary_assembly:ASM411807v1:5:43087711:43093343:1 gene:Vigun05g237800.v1.2 transcript:Vigun05g237800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLDLHSPHTQFFFTTHFPFFFFLNTFSPMEVGSVLDFLQNKTILIIGATGFIAKIFLEKILRVQPNVKKIFLLLRASDDSSATYRLHNEIIAKDLFIVLKEKLGANFKSFISEKLTLVPGDITYEDLGLKDSILREDICNQTDVIVNLAATTNFDERYDIALGINSFGTKHVMNFAKQCTKLKVLLHVSTAYVCGERGGLILEDPYHFGETLNGVSGLDIDAEKTIVCKKLDELKEQGATERDVKIAMKNLGITRAKVYGWPNTYVFTKAVGEMLVEKLKGNLSVVILRPTIVTSTMKEPFPGYAEGVRTIDSLAVTYAKGKLTCFLGDINGVVDVVPADMVVNAMLVAMVAHAKQPSDIIYHVGSSVRNPVTYLNLQDFGLKYFTAKPWINKDGTPVKVGRVTVLTSMDSFQRYMFLRYLLPLKGLELANTALCQYFRGTYLELHRKIQVVMRMVELYRPYMFFKGVFDDMNTEKLRMAAKESGTETDLFYFDTKNINWDDYFMKTHLPGIVKYIFK >Vigun09g265900.3.v1.2 pep primary_assembly:ASM411807v1:9:42986410:42989178:-1 gene:Vigun09g265900.v1.2 transcript:Vigun09g265900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGAAPSSAPVKQYLQEFSKLFQYYLDKSTPHSAYRWIGTLVMASIYVLRIVYVQGFYVVSYGLGIYLLNLLIGFLSPLVDPELDPSNSPLLPTKGSDEFKPFIRRLPEFKFWYSFTKALCIAFVMTFFSPFDVPVFWPILLCYWFVLFVLTMRRQVAHMIKYKYIPFNLGKQKYTGKKSSAGSSGSRAD >Vigun06g065000.1.v1.2 pep primary_assembly:ASM411807v1:6:19349736:19353457:1 gene:Vigun06g065000.v1.2 transcript:Vigun06g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNASSSDFFYRRHVFLCYKNPAVWPPRIEAAEFDRLPRLLHAAINARNPHIKKETCLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVETFVEEVIVKEGEWLPGNPESLKASYVFVCSHGSRDRRCGVFGPVLVSRFREEIGLHGLQGKVLVSSCSHIGGNKYAGNVIIIGSSINGEVTGHLYGYVTPDDVPLLFRQHIIAGEIFDSLWRGQIGLSEDEQKKKQEQRLQLNGARNLEGSTVAAAAAGRCQTDGESCCQDSEDSCCCQNDVLEDRNEVSGDHKRKENLNVLRRINKGKGVSHKFSSMPTWLDSWEQEDTYAALAVVCAAVSVAIAYSCYKQ >Vigun08g099000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24094134:24096276:1 gene:Vigun08g099000.v1.2 transcript:Vigun08g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSISSSGDEEYDSRPHHTLLPPTFLTNNHPSQFLSSSSSSHYQHHPHPSLFDLSSTYLHALSQPNSSFLHLDTATSSSSHPPRSQPDCTHPSGVNVASSPQTTTNISQCLLGPQVGLASDNARSQEPISLEPGRTVNNVGRNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFSASSSYSRRLDLLTGSSSLRTTSHLDATTGPFYPLRPSPQKVNHHHHHHHHQNPLLLSSSSSPYNNMVDAITPTTTTTNNSSSNNNNNTTTINYQQQLPPDLGIPYHHNPQNIMLSMQNHPNLAFNPPPPPLHPFGQAGFSAKLPSIEDLGMSHGQVNNNANFVSSGISVPLRSVNVDGGGTRDVSLRSLDGGGSCKLNFAVASASTSLNRENSTLPNNNNNNNPTTRGEGTVDSWICSSD >Vigun04g040200.1.v1.2 pep primary_assembly:ASM411807v1:4:3441862:3446695:1 gene:Vigun04g040200.v1.2 transcript:Vigun04g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSGSPGDLHHHHDGSSDSQRRKKRYHRHTANQIQRLESMFKECPHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRQENDKIRCENIAIREALKNVICPSCGGPPINDDCYFDEQKLRLENAQLKEELDRVSSIAAKYIGRPISQLPPVQPIHISSLDLSMGSFASQGMGGPSLDLDLLPGSSSSMPNVPPFQPACLSDMDKSLMSDIASNAMEEMIRLLQTNEPLWMKGPDGRDVLDLDTYERMFPKANGHMKNPNVHAEASRDSGVVIMNGLTLVDMFMDPNKWLELFPTIVTIARTIEVISSGMMGGHSGCLQLMYEELQVLSPLVSTREFYFLRYCQQIEQGLWAIVDVSYDFPQDNQFAPQFRSHRLPSGVFIQDLPNGYSKVTWIEHVEVEDKTPVHRLYRSMIYSGIAFGAQRWLTTLQRMCERIACLMVTSNSTRDLGGVIPSPEGKRSMMKLAQRMVTNFCASISASAGHRWTTLSGSGMNEIGVRVTVHKSTDPGQPNGVVLSAATTIWLPIPPQTVFNFFKDEKKRPQWDVLSNGNAVQEVAHIANGAHPGNCISVLRAFNTSQNNMLILQESCVDSSGSLVVYCPVDLPAINIAMSGEDPSYIPLLPSGFTISPDGQGEQDGGGASSSTSSGRVMGGSGGSLITVAFQILVSSLPSAKLNFESVTTVNSLIGNTVQQIKAALNCPSS >Vigun06g219100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32961559:32963573:1 gene:Vigun06g219100.v1.2 transcript:Vigun06g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGGGTRGEAERWLYTANKVLSARDLHGARSFAIRARESDPRYEPTQLLLTVIDTLMAGEARINDHLDWYAILQVLRYTQNIDYIAAQYRRLATQLDPHHNPFAFAAHAFTLVNDAWSVLSNSTKKAYYDTQLRLLTQPPPPPPPPPPPQPPAPPVTFFPIQPPQPNLNPNPTPTPYQVPQREKSPRPWVEVEVPSQEDNASELTRETETGSEGASFWTACPYCYVMYEYPKVYVDCTLRCQSCRRGFHAVVVRPPPEDGLGSFSSWGFFPVGFSGDFRDISGSSSKWNPFSPLIPCAFKGEEQQGGKQQKEPYVFYDDDASAEFAEGSDTTKDDSDDDDWHGGNQKGMATETKKRTRKRGRKRRNGSGGGVRREPIERPRRGVHSHSGGGGDVNENVENGEVADVDGVAAAAAPDSGKKGALGGCRRRSERNLGKLDLNVEFSNEVEESLHEVDEGNENGNGNAEDNIEGIGFFEGLDEFLSSLPILNAVADDKVKGH >Vigun05g218300.2.v1.2 pep primary_assembly:ASM411807v1:5:41035092:41037272:1 gene:Vigun05g218300.v1.2 transcript:Vigun05g218300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHEPGVVADPTQIDVKLFNRWSFDDVQVNDISLADYIGVVASKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Vigun05g218300.1.v1.2 pep primary_assembly:ASM411807v1:5:41034987:41037272:1 gene:Vigun05g218300.v1.2 transcript:Vigun05g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHEPGVVADPTQIDVKLFNRWSFDDVQVNDISLADYIGVVASKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Vigun01g145900.2.v1.2 pep primary_assembly:ASM411807v1:1:32768968:32776061:-1 gene:Vigun01g145900.v1.2 transcript:Vigun01g145900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPTFSIERSNAKQHNNLGMSEAFPSSMPVLPMTLEGTCPKLSDSQPAFMEKELKAKPFTRSNHLTTSGAVGHMFSSSPGYSTDLHHSSFSSHEKHPINTPFISQSLNNMASLPLSYSSNSEPLPSTTSTPYSNGNSVSWHTDSLSSFLDFPPNTSIDNSQVESSAGNIMANEEYSKRSDWQEWADQLIGDDDPLTSNWNDLLADNIQDLEPKASNSSSQLPIGHQSQSHQQLSVSSGENRVGVAPSSSANSVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGAAEKKLSPIEEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGIETFKASPTAVESPSGVSSDAMKDSTAKTDSETVKVDLCESGPNQANGSTKVEESSSKVDEKQDPHESKASENPKQHASEDSSQAPKRQRTED >Vigun01g145900.1.v1.2 pep primary_assembly:ASM411807v1:1:32768968:32776061:-1 gene:Vigun01g145900.v1.2 transcript:Vigun01g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPTFSIERSNAKQHNNLGMSEAFPSSMPVLPMTLEGTCPKLSDSQPAFMEKELKAKPFTRSNHLTTSGAVGHMFSSSPGYSTDLHHSSFSSHEKHPINTPFISQSLNNMASLPLSYSSNSEPLPSTTSTPYSNGNSVSWHTDSLSSFLDFPPNTSIDNSQVESSAGNIMANEEYSKRSDWQEWADQLIGDDDPLTSNWNDLLADNIQDLEPKALYQASNSSSQLPIGHQSQSHQQLSVSSGENRVGVAPSSSANSVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGAAEKKLSPIEEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGIETFKASPTAVESPSGVSSDAMKDSTAKTDSETVKVDLCESGPNQANGSTKVEESSSKVDEKQDPHESKASENPKQHASEDSSQAPKRQRTED >Vigun01g123200.2.v1.2 pep primary_assembly:ASM411807v1:1:30000089:30005047:-1 gene:Vigun01g123200.v1.2 transcript:Vigun01g123200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKKRKLDEAGNGDFASKEELRFLIEPLAKPQLVDLLAKLGSQYPSIAEEIKSIASADPAHRKLFVRGLAWNTTSETLRAAFEEHGEIEEGAVIYDKVTGKSRGYGFITFKNMESTQQALRASSKLIDGRLAVCNLACEGLSGTSSAPDLSLRKLYIGSLSPEVTSEMLLNYFARHGEIDEGSVAYDRDTNESRGFGFVTYKTAEAAKKAIDDLEKTLGGRNIIVKYADSNKGKTGQQQFPSGVVPMAALTMSTGYMQAGKAHGCPSGGSYFAESIPSPCF >Vigun01g123200.3.v1.2 pep primary_assembly:ASM411807v1:1:30000554:30005048:-1 gene:Vigun01g123200.v1.2 transcript:Vigun01g123200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQQALRASSKLIDGRLAVCNLACEGLSGTSSAPDLSLRKLYIGSLSPEVTSEMLLNYFARHGEIDEGSVAYDRDTNESRGFGFVTYKTAEAAKKAIDDLEKTLGGRNIIVKYADSNKGKTGQQQFPSGVVPMAALTMSTGYMQAGKAHVSSGPPINYSYPQTVAPYSASPYPNPHTVPSPYPTQGQIPYPPLSAKKDQHGFPPTQPVGMNNYPYYYPKQ >Vigun01g123200.1.v1.2 pep primary_assembly:ASM411807v1:1:30000527:30005047:-1 gene:Vigun01g123200.v1.2 transcript:Vigun01g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKKRKLDEAGNGDFASKEELRFLIEPLAKPQLVDLLAKLGSQYPSIAEEIKSIASADPAHRKLFVRGLAWNTTSETLRAAFEEHGEIEEGAVIYDKVTGKSRGYGFITFKNMESTQQALRASSKLIDGRLAVCNLACEGLSGTSSAPDLSLRKLYIGSLSPEVTSEMLLNYFARHGEIDEGSVAYDRDTNESRGFGFVTYKTAEAAKKAIDDLEKTLGGRNIIVKYADSNKGKTGQQQFPSGVVPMAALTMSTGYMQAGKAHVSSGPPINYSYPQTVAPYSASPYPNPHTVPSPYPTQGQIPYPPLSAKKDQHGFPPTQPVGMNNYPYYYPKQ >Vigun01g123200.4.v1.2 pep primary_assembly:ASM411807v1:1:30000527:30005047:-1 gene:Vigun01g123200.v1.2 transcript:Vigun01g123200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQQALRASSKLIDGRLAVCNLACEGLSGTSSAPDLSLRKLYIGSLSPEVTSEMLLNYFARHGEIDEGSVAYDRDTNESRGFGFVTYKTAEAAKKAIDDLEKTLGGRNIIVKYADSNKGKTGQQQFPSGVVPMAALTMSTGYMQAGKAHVSSGPPINYSYPQTVAPYSASPYPNPHTVPSPYPTQGQIPYPPLSAKKDQHGFPPTQPVGMNNYPYYYPKQ >Vigun02g062000.1.v1.2 pep primary_assembly:ASM411807v1:2:20794379:20798209:1 gene:Vigun02g062000.v1.2 transcript:Vigun02g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDLESLAEATSGAIGSLISTTILYPLDTCKTKYQADARSHGRTRYRNLTDVLLEAISNGQVLSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLDKSGYRSIGTKANLVIAAAAGACTAIVTQPLDTASSRMQTSAFGKSKGLLKTLTEGTWSDAFDGLSISLLLTSNPAIQYTVFDQLKQRALQNKQSKAEKGSSPESLSAFMAFLLGAISKSIATCLTYPAIRCKVIIQAADSDEANSKTKTKSQKTVSSVLYGIWKREGIVGYFKGLHAQILKTVLSSALLLMIKEKISASTWVLILALKRYLLRPARRVKNL >Vigun11g144700.1.v1.2 pep primary_assembly:ASM411807v1:11:35402498:35403162:1 gene:Vigun11g144700.v1.2 transcript:Vigun11g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAKQSRVCGNVFFLFLFCTLIFSSSAASTKDSEIAERSVALTGGARKLIGSRPPSCYSRCAYCTPCSPIVVNLSPDAAIWKCSCGGRVYDP >Vigun04g015600.1.v1.2 pep primary_assembly:ASM411807v1:4:1163181:1168404:1 gene:Vigun04g015600.v1.2 transcript:Vigun04g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLGRGFSPKCKSLIKLTKNRIDVIRRKRKATEKFLTKDIADLLANGLDVNAYGRAEGLFVELTLSSCYDFVEQSCEFVLKHLSVLQKVSGCPEELREAISSLMFAAARFSDLPELRELRQIFQDRYESSLECYVNQEFATNLNLKSATLEKKVQLMQDIASEFSIKWDSKAFEQRMSKSSVSIQDHSNFKSSHSIPLQSNDATLKGVKFERSHDHPHDRHKFHNGKEALSKGDEEHLRSKSKLPNSENGFKPLSSYDEVNLKRDSHGHPLPGREELTSKTSDRGGYWKEGSTLKPIGGSSKDTKEKQIEGGSNLHDSWGNARQVKERQDTTTARKSPAHARFISKNGGILDVDNSERKCQKDETPQVKPPSNNAIPPAYTREEQFEGGSNQHGWGSARRVKENQDTVTARKSPGHAGSRSKNNTHEPFAVNDGGLPVVDNSERKTQKDETPRVKPFAIPPPYVKPNSKLKNRTHGTNSVPSNIGNDGVTAYPLVHEKLDAAPTMHRIQSGLDDSERDLQAIRHAARPSKQGHENERLVQEDVTEAVVLKQKSSRRRHSKSRSSIYDDASSEDAEVVRKHRSRRRDEQKRGLQILFDDERHKNDEEERIIDRLLIHYSKKPSINVPEKARRKSRSRHAHQIDKDGTKDGPDETPEMVTRAPRSVSLPRDQTEAVEVKKVFARAASFQPERSNEARHVHPNLPDCDDLAARIAALRGT >Vigun11g218300.1.v1.2 pep primary_assembly:ASM411807v1:11:41132696:41133587:1 gene:Vigun11g218300.v1.2 transcript:Vigun11g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFTITTVMASKTKRNILLLLFICFLFSFSAKAIAARNLAANYAGIDDERGVIRRGLGSAPCKRGRETSEVVGGERRQGYDVPRCHNYL >VigunL028300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:121355:121600:-1 gene:VigunL028300.v1.2 transcript:VigunL028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun01g221700.3.v1.2 pep primary_assembly:ASM411807v1:1:39522687:39527313:1 gene:Vigun01g221700.v1.2 transcript:Vigun01g221700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAHPPYAPVPAYPHGYGIASHSRICGGCNQEIYGDCLGVGHSYFHPDCFRCHSCRYPITEREFSLSGKYPYHKSCFKELTHPRCEVCYQFIPINAAGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRNERYCRLEDGRILCFECMESAISDTGECQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSVQRRPRIGGHRLIGMRSQPQKLLRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYHNLSPEVEEGICQVLSYMWLEAEVMSCARTMPSTSSASSSSSFSSHSSKKGAKSHVEHKLGEFFMNQIANDSSPAYGGGFRAANEAVNKYGLRCTLEHIRLTGNFPV >Vigun01g221700.2.v1.2 pep primary_assembly:ASM411807v1:1:39521705:39527312:1 gene:Vigun01g221700.v1.2 transcript:Vigun01g221700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFVSSYSERKSGFMKWFGKIFKLGSNRGRAGGRHLQQPEEENMAWPAPSRSWDDRARSRKEKEDLDHAIALSLGENFKRPTGYRWRTGARIDEDYAKALQDRMFSSAHPPYAPVPAYPHGYGIASHSRICGGCNQEIYGDCLGVGHSYFHPDCFRCHSCRYPITEREFSLSGKYPYHKSCFKELTHPRCEVCYQFIPINAAGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRNERYCRLEDGRILCFECMESAISDTGECQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSVQRRPRIGGHRLIGMRSQPQKLLRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYHNLSPEVEEGICQVLSYMWLEAEVMSCARTMPSTSSASSSSSFSSHSSKKGAKSHVEHKLGEFFMNQIANDSSPAYGGGFRAANEAVNKYGLRCTLEHIRLTGNFPV >Vigun01g221700.1.v1.2 pep primary_assembly:ASM411807v1:1:39521705:39527312:1 gene:Vigun01g221700.v1.2 transcript:Vigun01g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSDINHLSHPCIYGDFVSSYSERKSGFMKWFGKIFKLGSNRGRAGGRHLQQPEEENMAWPAPSRSWDDRARSRKEKEDLDHAIALSLGENFKRPTGYRWRTGARIDEDYAKALQDRMFSSAHPPYAPVPAYPHGYGIASHSRICGGCNQEIYGDCLGVGHSYFHPDCFRCHSCRYPITEREFSLSGKYPYHKSCFKELTHPRCEVCYQFIPINAAGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRNERYCRLEDGRILCFECMESAISDTGECQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSVQRRPRIGGHRLIGMRSQPQKLLRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYHNLSPEVEEGICQVLSYMWLEAEVMSCARTMPSTSSASSSSSFSSHSSKKGAKSHVEHKLGEFFMNQIANDSSPAYGGGFRAANEAVNKYGLRCTLEHIRLTGNFPV >Vigun01g221700.4.v1.2 pep primary_assembly:ASM411807v1:1:39524055:39527313:1 gene:Vigun01g221700.v1.2 transcript:Vigun01g221700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAHPPYAPVPAYPHGYGIASHSRICGGCNQEIYGDCLGVGHSYFHPDCFRCHSCRYPITEREFSLSGKYPYHKSCFKELTHPRCEVCYQFIPINAAGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRNERYCRLEDGRILCFECMESAISDTGECQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSVQRRPRIGGHRLIGMRSQPQKLLRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYHNLSPEVEEGICQVLSYMWLEAEVMSCARTMPSTSSASSSSSFSSHSSKKGAKSHVEHKLGEFFMNQIANDSSPAYGGGFRAANEAVNKYGLRCTLEHIRLTGNFPV >Vigun01g221700.5.v1.2 pep primary_assembly:ASM411807v1:1:39524052:39527312:1 gene:Vigun01g221700.v1.2 transcript:Vigun01g221700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAHPPYAPVPAYPHGYGIASHSRICGGCNQEIYGDCLGVGHSYFHPDCFRCHSCRYPITEREFSLSGKYPYHKSCFKELTHPRCEVCYQFIPINAAGLIEYRCHPYWNQKYCPSHEYDNTARCCSCERLESRNERYCRLEDGRILCFECMESAISDTGECQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNDAIVGEKNGFHHLPETRGLCLSEEQTVTSVQRRPRIGGHRLIGMRSQPQKLLRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYHNLSPEVEEGICQVLSYMWLEAEVMSCARTMPSTSSASSSSSFSSHSSKKGAKSHVEHKLGEFFMNQIANDSSPAYGGGFRAANEAVNKYGLRCTLEHIRLTGNFPV >Vigun09g200600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37493305:37493505:-1 gene:Vigun09g200600.v1.2 transcript:Vigun09g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCGEDEKVLSRQPAPGACPYCGGMIQAMDVETQWNFCFLPLYCKTKRRYYCTICTRPLVLQ >Vigun03g029101.1.v1.2 pep primary_assembly:ASM411807v1:3:2171559:2173972:-1 gene:Vigun03g029101.v1.2 transcript:Vigun03g029101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENISEPKVSPNTVILQSEGLLKYILETGVYPREAETLKELRNATAKHPMGFMGAAPDAGQLMAMLLKVSNAKNTIEVGVFTGYSLLLTALTIPDDGKIIAMDRDRKAYEIGLPFIKKAGVEHKIDFIESPALPVLDKLLEDAAKEGSFDFAFIDADKKNYWNYHERLMRLVKIGGIVAYDNALWGGTVALPEKAVSEDKQEWRLLSLAFNQAISKDSRVEIAFVSIGDGIIFCRRIT >Vigun07g198200.1.v1.2 pep primary_assembly:ASM411807v1:7:31833132:31838137:1 gene:Vigun07g198200.v1.2 transcript:Vigun07g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRKLGTVTATAIAAAYGGAVVLHDPLISASDYGGNSRLEALRKKVHEPGAVVPAREAQQSALASASKSNPLDVLVIGGGATGSGAALDAVTRGLRVGLVEREDFAAGTSSRSTKLLHGGVRYLEKAVFNLDYGQFKLVLHALEERKQVIDNAPHLCHALPCMTPCFSWFEVVYYWIGLKMYDLVAGRQLLHISRYYSTEESVDLFPTLARKVNDRTLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDDGKRIIGARIRDSLTGNEFDTYAKVVVNASGPFCDSIRKMANKNAQQMISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTGITFLPEPHEDEIQFILDAISGYLSVKVRRSDVLSAWSGIRPLAMDPTAKNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPTSECVTHNLRLVGGEGWERSSFTVLAQQYTRMKSSYSGKVVRGVMDSAAARHLSHAYGTLAERVAAIAQNESLGKRLAHGYPYLEAEVAYCARNEYCESAIDFIARRTRLAFLETDAAQKALPRVIEILAKEHKWNDAKQKEELEKATEFLKTFKSSRNAKFHDGKHC >Vigun06g214500.2.v1.2 pep primary_assembly:ASM411807v1:6:32588044:32590986:-1 gene:Vigun06g214500.v1.2 transcript:Vigun06g214500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHMEISSIRGLPELGIIEDPNFLHQWQLNPTDTSCLTGAAFGESLQKVSFSGNSNFNPKASMETSPNGNERPTKQLRNNNWISKKSQHQTPETQYASCSNLLSFVNSNFSNELGLGKPKVEMVCPEIDNSALAEMLVSQGTLGNQNYHFKANHEAIKIETRPKLSQPQDHIIAERKRREKLSQRFIALSALVPGLKKMDKASVLGEAIKYLKQLQEKVGTLEEEQNRKRTVESVVTVKKSQLSDDAEDSSSSDTGGTLDEALPEIEARFCERNVLIRIHCEKNKGIIEKTISEIEKLHLKVTNSSALSFGSFILDITIIAQMDMEFCMTVKDLVRSLRSAFSY >Vigun06g214500.1.v1.2 pep primary_assembly:ASM411807v1:6:32588044:32590986:-1 gene:Vigun06g214500.v1.2 transcript:Vigun06g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHMEISSIRGLPELGIIEDPNFLHQWQLNPTDTSCLTGAAFGESLQKVSFSGNSNFNPKASMETSPNGNERPTKQLRNNNWISKKSQHQTPETQYASCSNLLSFVNSNFSNELGLGKPKVEMVCPEIDNSALAEMLVSQGTLGNQNYHFKANHEAIKIETRPKLSQPQDHIIAERKRREKLSQRFIALSALVPGLKKMDKASVLGEAIKYLKQLQEKVGTLEEEQNRKRTVESVVTVKKSQLSDDAEDSSSSDTGGTLDEALPEIEARFCERNVLIRIHCEKNKGIIEKTISEIEKLHLKVTNSSALSFGSFILDITIIAQMDMEFCMTVKDLVRSLRSAFSY >Vigun03g445900.1.v1.2 pep primary_assembly:ASM411807v1:3:64755886:64758841:1 gene:Vigun03g445900.v1.2 transcript:Vigun03g445900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNTAPIIKPQNYAHSPVHHAVVLADHTTLSRIISSLPRLPDPSLIQTESDSLAQEKIADQISALLDRRDVPYRETPLHLAVRLNDLFAARALATAGADVSLQNSAGWNALQEALCRRASDIALVLLRLHHRNAWSKWRRRLPRVISVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRTDQSFLFLGDGDHAHDVPPGSLLVLNRDDRKIFDAFENAGGPMNESDVAGFCSQTSVYRPGMDVTKAELVGRTNWRRQEKTESVGEWKAKVYEMHNVVFSFRSRKVAGGESETAGSEQVLPLELDEDDDGFLVAENPNFGFPVPDKRRHSSFVREDREWVPMGRKSVDLTYVTAPPPRRSCASVTAPQTKEKEFIRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRLREILTTTFPAGTFPVKVAIPVVPTVRVVITFTKFVELQPLEQFYTPFSSPRHLVSGEEQQKKAESRCSSSTSSTTWLRRSSSVSGAGNKQQQQQLQRCSSSMTLDSDPFAIPAGYTWTSVDDKSRKMKKSKSVRKSK >Vigun04g059400.2.v1.2 pep primary_assembly:ASM411807v1:4:5983640:5986861:-1 gene:Vigun04g059400.v1.2 transcript:Vigun04g059400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPVVLVLPYPAQGHVNPLMILSQKLVKNGCKVVFVNTEFDHKRVVSSFGDQEHSRKHEEESVMKLVSVPDGLGADDDRNDFGKLCDALQNSMPKELEKLIHNMHLKGENKISFIVADLFMAWALDVGKKFGMKGAIVGPASATTFALTYSIPSLIHDGTLDSDGLRLTTKETIQISSSMAEMNIGDFFWLNIGDIISSKMIFQYLIHCCQSLNLAEWWLCNTTHELEPGALSFVPKILPIGPLLRSYDSKIGTTKAIGQYWEEDLSCINWLDEQHHGTVLYVAFGSITLFDQNQFNELALGLVLTNRPFLWVIREGNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVTHCGWNSTMEGLSNGVPFLCWPYFAEQIQNGRYICDELKVGLGFDKDKNGVVSCEELKLKVEQLLSDENVKSRSFELKEKLMNNIVKGGESWENLNRFVKWLKE >Vigun04g059400.1.v1.2 pep primary_assembly:ASM411807v1:4:5983640:5986861:-1 gene:Vigun04g059400.v1.2 transcript:Vigun04g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPVVLVLPYPAQGHVNPLMILSQKLVKNGCKVVFVNTEFDHKRVVSSFGDQEHSRKHEEESVMKLVSVPDGLGADDDRNDFGKLCDALQNSMPKELEKLIHNMHLKGENKISFIVADLFMAWALDVGKKFGMKGAIVGPASATTFALTYSIPSLIHDGTLDSDGSSNNLFRFLQDWARTVRQIPTHYAPVRHFVFNCSHFLGETSNLHARSLFSFCPAPRKQRSTCTSLRLTTKETIQISSSMAEMNIGDFFWLNIGDIISSKMIFQYLIHCCQSLNLAEWWLCNTTHELEPGALSFVPKILPIGPLLRSYDSKIGTTKAIGQYWEEDLSCINWLDEQHHGTVLYVAFGSITLFDQNQFNELALGLVLTNRPFLWVIREGNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVTHCGWNSTMEGLSNGVPFLCWPYFAEQIQNGRYICDELKVGLGFDKDKNGVVSCEELKLKVEQLLSDENVKSRSFELKEKLMNNIVKGGESWENLNRFVKWLKE >Vigun07g096950.1.v1.2 pep primary_assembly:ASM411807v1:7:16553065:16555816:1 gene:Vigun07g096950.v1.2 transcript:Vigun07g096950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTHDKMNSSGNLPKQFDELVDRSLLFKVESRNDQNFKLEQSFRVKKICVDDDIIEKFNDSSLKSVDVYARNGEFSREKMRIVNESTVNISEDLLVRFTKETIECGSQSPEIIQDNPTNDDANSSHKRESGKKTASLESIEEDNVPLKLLKRNIKKEKAVI >Vigun02g134500.1.v1.2 pep primary_assembly:ASM411807v1:2:28461851:28466201:1 gene:Vigun02g134500.v1.2 transcript:Vigun02g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSNLTSEVGLRLLLCPLGSNVVIRTACCGVGVALPVYSTFKAIENKDQDAQQRCLFYWAAYGSFSLVEVFTDKLISWCPMYYHMKFAFLVWLQLPPTSGAKQLYTNHLRPFLLRHQERVDQVLGFAYCEMIKLVSSYHAEIKLVKSMVVKITGSAEKLLRGTAESDRSQQHSSAEDPAVPSDTEPDQNHNH >Vigun07g264233.1.v1.2 pep primary_assembly:ASM411807v1:7:38000619:38001207:-1 gene:Vigun07g264233.v1.2 transcript:Vigun07g264233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESTPLTCYCAFFYSDGKIYSKVIELHILLVQLRDKHHSTFFNPTIDHYTIIAKICSQTQCAYKSIQVANWDKITTFVTQRDEVPHKIKIFD >Vigun11g014050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1707401:1709491:-1 gene:Vigun11g014050.v1.2 transcript:Vigun11g014050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELVGGALLSVFLDVAFQKLASPQILDFFHARKLDEKLLNKLETKLHSIHSLADDAEGKQFTDPHVRNWLLEVKDAVLDAEDLLDDIQMLSKRGVDADSESQTFSGCTCKVLDFFKSSRISSLNKEIESRMEQILDGLEFLSSQKGALGLKKASGVGSGLSSELPQKSQTTSLVVGTDFYGRDHDKELIFDWLISDDNSTNRPSILSIVGMGGVGKTTLAQHVFNDPRVDEAKFDFKAWVCVSDEFDVFKVSRAILEAVIGSTDDSRNLEMVHRRLKEKLTEKKFLLVLDDVWNENQSKWEDVQKSLVFGAKGSRILVTTRSKEVASTMGSKEHSLKQLQRDDCWKLFAKHAFRDDDTEPNPECREIGMKIVEKCKGLPLALKTMGSLLYRKSSISEWKSVFQSEIWDFSQDRYDIVPALALSYIHLSSHLKVCFAYCALFPKDYEFRKEDLFQLWMTENFLHCSQHSRTPEDVCQQYFNDLLSRSFFQQSDEKEEVFVMHDLIHDLANYVGGGIYFMWEVGKTEKIQKVTRHFSVKLRYNQYFDGFGKLCSTKKLRTFMPTGGLLLNMSIHELFSKFKLLQMLSLCFVLNLEELPDSVGNLEHLRSLDLSNTAIKKLTEKICSLTLLQILKLNFCRDLEELPSNLYLLTNLYRLEFIETKVRKVPPHLEKLKNLKVIMNFSVGHGREFGIQHS >Vigun06g044800.1.v1.2 pep primary_assembly:ASM411807v1:6:16932074:16937024:-1 gene:Vigun06g044800.v1.2 transcript:Vigun06g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLRQPVVGISGSDLLLRQRHATPVKARSFLPSLAREKGQGSLVSVHKPLHIASSLGGRNFVSVKSDGKGDSVKCEAYEADRSEVESSNTPSEASKKVKIGIYFATWWALNVVFNIYNKKVLNAYPFPWLTSTLSLACGSLMMLISWATGIAEAPKTDPEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLAILTPFAIAVEGPQMWIAGWKTSISQIGNQLIWWIAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Vigun09g009600.1.v1.2 pep primary_assembly:ASM411807v1:9:740142:741686:1 gene:Vigun09g009600.v1.2 transcript:Vigun09g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSTEREQVCAVAPARLFKAMAFDFGNVFPKAIPNLVKSAEIIGDGGPGSIKKIVLANGYAIQKVDVVDEENYVYHYTVDEGSVISETLEKVCYEYKLEPNLDDEGGCMIKCRIKYHTKGDAQLTDEFLKVNKEISAGFTKAVVDYLLANPD >Vigun09g246900.1.v1.2 pep primary_assembly:ASM411807v1:9:41498061:41499844:1 gene:Vigun09g246900.v1.2 transcript:Vigun09g246900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKHVVEASAKAGHPTFALVRESTVSDKAELINHFKDLGVNLVHGDLYDHENLVKAIKQVDVVISTVGHQQLADQVKIIAAIKKAGNVKRFFPSEFGVDVDRVHAVEPAKSAFATKAQIRRSIEAEGIPYTYVATNYFAGYFLPTLAQPGVFAPPPPKDKVIILGDGNPKAIFNKEEDIGTYTIRAVDDPRTLNKILYLRPPQNIYSFNELVALWEKKIGKTVEKIYVPEEKLLKDIEESPIPINVILSINHSAFVKGDHTNFEIEPSFGVEASELYPDVKYTTVEEFLSQFA >Vigun07g254600.1.v1.2 pep primary_assembly:ASM411807v1:7:37286731:37289958:-1 gene:Vigun07g254600.v1.2 transcript:Vigun07g254600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKQSFFSALKDEVVRGLSPSRSRSKSPARTASPISGLLRRKKHNPDSSVARSASLRPLGETLTPLIEGPDPDATENGDPKRIGSGLGNWMKGQLSRAPSVSYKRSDLRLLLGVMGAPLAPVHVCATDPLPHLSIKDTPIETSSAQYILQQYLAASGGLKLQNSIRNAYAMGKVKMVASEFETATKVVKNRNASRCAESGGFVLWQMNPDMWYVELVVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGIDPRTTASMFADARCIGEKNINGEDCFILKLCTDPETLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSNGGDAVYWETTINSFLGDYKPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRTGSVSEACELPQDERGKNSSAVHRAKVVALEKSHNCSIDNMIWKMEI >Vigun01g188900.1.v1.2 pep primary_assembly:ASM411807v1:1:36824743:36827402:-1 gene:Vigun01g188900.v1.2 transcript:Vigun01g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSGDEGSFSSGNNGEEVLQETQHQQPQNQLHDSTSGPSAACNTNASTNQQTKKKRNLPGTPDPSAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEVKKRVYVCPEPSCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALTEENNRVNQGLTSGMPPNLQSQIPDLMSTMPLNTSPNTVYDPKNPLKSLPQELVPIPFKSMSMGGGMFSTNAGALFGGPKSMSPSSSSLQLGSNTSSSFNYLQDNKNGALIAASAQMSATALLQKAAQMGATASNTINSPIMQKGFVSSTSAPDHVSSTRPPYSGAMLQHNNSYDHFSPQPDLSNMAGVSGGGAFINQLFHKGQEISQVFDSNTAGSAMNEVGMFSQMPLGSDHNHNTGLMKNVEQEVSNCSGLIHGRDVVEGNPMQPSRFGGSDMTTVHDFLGIGGSTSRVMNHFHHHFPHQDSAMEEPMWDV >Vigun05g208000.5.v1.2 pep primary_assembly:ASM411807v1:5:39799141:39801885:-1 gene:Vigun05g208000.v1.2 transcript:Vigun05g208000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKDLMEEKQLNFNQPLLSVRRFSSTVANEADRRSKTDDSLTHYQSELKSVPVRNAGTVPFVWEKVPGKPKDETKLQTQSVTESRTGSSFSSNSQRVASLDKRVPKYSKDGNMEKESSYSDDGDESYKDAFDTLSRSESFFTSCSFSGLSGWEEQEAQSYGSFSSDHLQARDFMIGYVSKKELVGQEQQKQKKREVNVESDECNEYEKSNTTSCGLFPRFCILNPMPGLRMDKDQRNAAHRMHDKSGASHIGSTKGQVRTTCGFTEEKEILDVLVKSRHGTHPHQRVCRKIVSYESTQHDYENHVREKTMYVDSVNKVTSQTNSRGGDKECSLDINRRFTKSGHQETSGASCFGLPLVLPSLKAPSESWLKRTLPTISKKNVTSRSSFIAKLHAQCHSPDNIIVP >Vigun05g208000.4.v1.2 pep primary_assembly:ASM411807v1:5:39799018:39801984:-1 gene:Vigun05g208000.v1.2 transcript:Vigun05g208000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKDLMEEKQLNFNQPLLSVRRFSSTVANEADRRSKTDDSLTHYQSELKSVPVRNAGTVPFVWEKVPGKPKDETKLQTQSVTESRTGSSFSSNSQRVASLDKRVPKYSKDGNMEKESSYSDDGDESYKDAFDTLSRSESFFTSCSFSGLSGWEEQEAQSYGSFSSDHLQARDFMIGYVSKKELVGQEQQKQKKREVNVESDECNEYEKSNTTSCGLFPRFCILNPMPGLRMDKDQRNAAHRMHDKSGASHIGSTKGQVRTTCGFTEEKEILDVLVKSRHGTHPHQRVCRKIVSYESTQHDYENHVREKTMYVDSVNKVTSQTNSRGGDKECSLDINRRFTKSGHQETSGASCFGLPLVLPSLKAPSESWLKRTLPTISKKNVTSRSSFIAKLHAQCHSPDNIIVP >Vigun05g208000.3.v1.2 pep primary_assembly:ASM411807v1:5:39799124:39801985:-1 gene:Vigun05g208000.v1.2 transcript:Vigun05g208000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKDLMEEKQLNFNQPLLSVRRFSSTVANEADRRSKTDDSLTHYQSELKSVPVRNAGTVPFVWEKVPGKPKDETKLQTQSVTESRTGSSFSSNSQRVASLDKRVPKYSKDGNMEKESSYSDDGDESYKDAFDTLSRSESFFTSCSFSGLSGWEEQEAQSYGSFSSDHLQARDFMIGYVSKKELVGQEQQKQKKREVNVESDECNEYEKSNTTSCGLFPRFCILNPMPGLRMDKDQRNAAHRMHDKSGASHIGSTKGQVRTTCGFTEEKEILDVLVKSRHGTHPHQRVCRKIVSYESTQHDYENHVREKTMYVDSVNKVTSQTNSRGGDKECSLDINRRFTKSGHQETSGASCFGLPLVLPSLKAPSESWLKRTLPTISKKNVTSRSSFIAKLHAQCHSPDNIIVP >Vigun05g208000.1.v1.2 pep primary_assembly:ASM411807v1:5:39799018:39801984:-1 gene:Vigun05g208000.v1.2 transcript:Vigun05g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKDLMEEKQLNFNQPLLSVRRFSSTVANEADRRSKTDDSLTHYQSELKSVPVRNAGTVPFVWEKVPGKPKDETKLQTQSVTESRTGSSFSSNSQRVASLDKRVPKYSKDGNMEKESSYSDDGDESYKDAFDTLSRSESFFTSCSFSGLSGWEEQEAQSYGSFSSDHLQARDFMIGYVSKKELVGQEQQKQKKREVNVESDECNEYEKSNTTSCGLFPRFCILNPMPGLRMDKDQRNAAHRMHDKSGASHIGSTKGQVRTTCGFTEEKEILDVLVKSRHGTHPHQRVCRKIVSYESTQHDYENHVREKTMYVDSVNKVTSQTNSRGGDKECSLDINRRFTKSGHQETSGASCFGLPLVLPSLKAPSESWLKRTLPTISKKNVTSRSSFIAKLHAQCHSPDNIIVP >Vigun02g203800.1.v1.2 pep primary_assembly:ASM411807v1:2:33748143:33750491:-1 gene:Vigun02g203800.v1.2 transcript:Vigun02g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGIQGQPLEVTVVSCSKLKDTEWISRQDPYVCIEYGSTKFRTRTCTDGGKNPVFQEKFVFPLIEGLREINVLVWNSNTLTFDDFIGSGKIQLHKVLSQGFDDSAWPLQTKSGRHSGEVKVILHYAIANQRQKSGSGHATSEPPYVPTPTPPFPSHSNPYPASSYPPPPHSTSSYPPHPSATAYHTTGSYPQVASTPSYPPPPTAYPPHPSHSSPYPQHSYSASAPYPPSSYPPPQSYPPVSAYPPSSYPPPAGYTPGIYPPPPY >Vigun09g176800.1.v1.2 pep primary_assembly:ASM411807v1:9:34883766:34889820:1 gene:Vigun09g176800.v1.2 transcript:Vigun09g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGQYYPGRVSRWWLKQSLVHLDSSLRNLGTRLITKRSTDTVSSLLDLVKSTGATQLFFNHLYDPLSLVRDHRAKEVLNSQGITVRSFNADLLYEPWDVNDVHARPFTTFAAFWDRCLTMPYDPDSPLLPPKRIIPGDVSRCPSDTLVFEDESEKASNALLARAWSPGWSNANKALTAFINGPLIEYSINRRKADSATTSLLSPHLHFGELSVKKVFHLVRIKQLLWANEGNKASEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWVVNEAYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRQFDRIDHPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPEFVLQASGIELGTNYPLPIVGIDAAKTRLQEALSEMWQLEAASRAAMENGTEEGLGDSSESIPAAFPQDMQMEETHEPVRNNPFPVGRRYLDQMVPSITSTLLRIEEEETTSDLRNSAEESSRAEVPINVDAQQNAGVISNERMLQNAHRNTQVQNNTTMELRNVAEDSGVESSSGTRRERDGGIVPVWSPPASSYSEQFVGDENGITSGSSYLQRHPRSHQLLNCRQLPQTG >Vigun08g098000.1.v1.2 pep primary_assembly:ASM411807v1:8:23736648:23739904:1 gene:Vigun08g098000.v1.2 transcript:Vigun08g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINVPSFYLFKHTLFSLSLSHMHWYKGAAGTLNESLCCRKQKRMAAGVYNQAIGAFQSNSHINNFNHQHKLNNIGRLAVTKDFIRSNRLSRRNFNVIQCIESKTSVVDLVSSPSRSKNSDRDTHKKSNEAALILVRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDLIFTSALIRAQMTAMLAMTQHRRMKVPIIMHNENEQAREWSQVFGEDTKKHSIPVISAWQLNERMYGELQGLNKQETAERYGKEQVHEWRRSYNIPPPNGESLEMCAQRAVAYFREQIEPQLLSGKNVMVSAHGNSLRSIIMYLDKLTSREVISLELSTGIPMLYIFKEGRFIRRGSPTGPTEAGVYAYTRRLALYRQRLDDMLQ >Vigun10g127200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33525576:33530942:1 gene:Vigun10g127200.v1.2 transcript:Vigun10g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVGAHDLMPKDGQGSCSTYVELQFDGQKFRTSTKEKDLSPVWNEKFYFNVTDPNKLQTLTLDACVYHYSKSNNSKVFLGKVHLTGPSFVPYSDAVVLHYPLEKKNVFSRIKGELGLKVFVTDDPSVKSSHPLHEIEPSADTVQRSTTDQSPVSFANSILNVFSRKKNDTRHTFHNLPNSNEEKQHKSSSSESGKASADHGKHEIKPGLPPPRVFHAYPGLSSPMDYALKETSPYLGGGQVVGGRVKRGYGPASSYDLVEPMQYLFVRVVKARDLPSKGVTGGLDPYVEVKVGNFKGVTKHYEKTQDPEWNQVFAFARENQQSTSLEVVVKDKNMLLDGVVGTVRFDLHDVPTRVPPNSPLAPEWYRLDKGKDKKKGELMLAVWFGTQADEAFPDAWHSDALTPSELSSSAYAHMRSKVYHSPRLWYLRVKVIEAQDLHSSESSQIHDAYVKLQIGNQILRTKPVESRSLALRWDQELMFVAAEPFDEHLIVSVENRVGPNKDETVGVVAIPLSQADKRADDRAIHSRWYHLEESMSSAMDGEHEKKEKDKFFSRIHLSVCLDGGYHVFDGSTYYSSDLRATSKQLWKKPIGVLEIGILSVHGLHPMKTRDGRGTTDTYCVAKYGHKWVRTRTISDSLSPKYNEQYTWEVYDPATVLTVGVFDNGQLNNPDGNKDLIVGKVRIRISTLETGRVYTNVYPLLVLHPSGVKKMGELHLAIRFSCFSMVDLMQLYFKPHMPKMHYKRPLNIMEQEKLRHQAVNVVAARLSRAEPPLRKEVVEYMSDTDSHLWSMRRSKANFYRLMTVFSGILSIVRWLGEVSTWKHPITTVLVHVLFLMLVCFPELLLPTVFLYLFVIGMWNWRFRPRYPPHMNTRLSYAEGVTPDELDEEFDTFPSSKSPDVVRWRYDRLRSVAGRIQSVVGDLATQGERIQALVNWRDPRATTMFMLFCFVSAIVLYVTPFQLPILLTGFYLMRHPMLRSKVPPAPVNFFRRLPALTDSML >Vigun04g137600.1.v1.2 pep primary_assembly:ASM411807v1:4:34328510:34342531:1 gene:Vigun04g137600.v1.2 transcript:Vigun04g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHYLKLFYVLLPLLLRGAAGSMIRFNSSAEIKCIDRERQALLNFKYSLVDFYGVLSSWRDDDNTQDCCKWKGIQCDQQTGHVTILRLPGHHAHYLSGTLNITSLFPLQNIQHLDLSYNLFLRNFIPQLMGSFTNLRYLNLSYSYFGGSIPTQLGNLTNLLSLDLRGNHILWQKIPYQLGNLGNGYFYGEIPYQLGNLTRLRYLDLSYNFLDGELPYQLANLSQLTYLDLSQNSFSGALPFQVGNLPFLHTLRLSGDFDFKSKDAQWLSNLNPLTNLALYFLNNLDWLQTIIFPNLRELRLVDCSLSDAHIQSLFYSGSNFSTSLTILDLSSNILTFSTFQLLSNFSLILQELYLSHNNIILSSPIYLRFPSLVILDLSDNNVTSLVFQGSFNFSSKLQNLYLSNCGLRDDNFLISAISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLCDLELYDNMLEGPIPDGFGKVMNSLEVLYLHGNKLQGEIPSFFGNICTLRDLDLSNNKLSGNISSFLQNSSWCNKHVFQALGLSLNNITGTLPKSIGLLSELLVLSLAGNYLEGDVTESHLSNFSKLIFLELQHNSLSLKISPTWVPPFQLVLLELGSCNLGPTFPSWLQTQSSLVLLNISNSKLNDSVPDWFWNNLQNMGRLDMSNNNLIGAIPGISLKFLHKPSIFLHSNQFEGIIPPFLVQASKLKLSKNKFSNLFSFLCDQSTSANLATLDLSNNQMKGQLPNCWKYVDRLLFLDLSNNKLSGNIPVSMGSLVKLEVLVLRNNNLMGELPSSLKNCGNLIMVDVSENMLSGPIRPWIGESMQQLIILNMRGNHFSGHLPVHLCYLKRIQSLDLSRNYLSRGIPTCLKNLTVMSEKIIDRGATLNNIYWSTNLTYHEPFPDFLSSSDNYTLSITWMWKGVEMWFSDPELQLKSIDLSSNNLTGEIPKEIGYLAGLVSLNLSRNYLSGEIPSEMGNLSSLESLDLSRNHISGGIPFSLSQIDDLGKLDLSHNSLSGRIPRERHFETFEGYSFEGNRDLCGFQLNKSCPGDGDQRTVKFPEVEAINGDEDSVFYEALYMSMGIGFFTGFWGLLGPILLWHPWRKDYMRFVNRLINYIFEWILRTFFYGSHFSYTI >VigunL059119.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000678.1:23616:23787:1 gene:VigunL059119.v1.2 transcript:VigunL059119.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQARFEFIKSAFIFSSPSKKGPSSNWRFESSF >Vigun06g196000.1.v1.2 pep primary_assembly:ASM411807v1:6:31173777:31177522:-1 gene:Vigun06g196000.v1.2 transcript:Vigun06g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKYKSKPTGRRQFSTPEDLLAGTSNRPRTFKQKEAEHVEEESEEASGDESGEESEEESSKKKGTQGIIEIENPNLVKPKSVKARDVDVGKTTELSRREREELEKQRAHERYMRLQEQGKTEQAKKDLERLALIRQQRAEAAKKREEEKAAKEQKKAEARK >Vigun01g110500.1.v1.2 pep primary_assembly:ASM411807v1:1:28388304:28390123:1 gene:Vigun01g110500.v1.2 transcript:Vigun01g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPNAPLYIPAAFRQVEDFSPEWWQLVTTFTWYHDFWLSQQQEDGTYYGEEDEFDGNDVVDLLPDSFDLDGGEDLAVLDAQLEDFIQSYETKETGPKVTA >Vigun10g010100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:993218:994506:-1 gene:Vigun10g010100.v1.2 transcript:Vigun10g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIEIDIGAVISQEIALIASNATKLGFPALITALCKAKGVVSDTPVLLRLQPPINSRFISKHCMNPAVAHIPAPRPVPRPRPPNVPRASSSVSEATFQAAMSKMFARQEDIWDSQQAVRRGTRCIMDNLHKLSLAVPDTPDDYLMTGAQFDEYISWPQGRPESQWGGGTAADSDDGDDGDDGDDGDDGADDADEAENAVEEEVPPDDDA >Vigun03g086800.1.v1.2 pep primary_assembly:ASM411807v1:3:7195128:7198796:1 gene:Vigun03g086800.v1.2 transcript:Vigun03g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFSFRLSVSRRRIKKTTAPPPRRSPPQPPYAAGITTSPDGAANNRLVAGAGAGTLTKVKKKTGSARLWMRFDWSGGSELVVWEKNTIIHHAAIPARDLRILGPVFSHSSNILDKCIKRHLGSCRTLWQSAVPATLSDSDISSRVIDDRNILRRSLNNSKFGLHVLDLIQCGSLKPDRSLYNTLLKRCTQLGKLKEGKLVHFHILNSEFKNDLVIQNSVLFMYARCGSLEDARRVFDEMPCRDMVTWTSMITGYAQNESANDALVLFPEMLRDGAKPNEFTLSSLVKCCGFIASYGYGKQIHACCLKFGCNSNVFVGSSLVDMYARCGYLGEARLVFDELGCKNEVSWNALITGYARKGEGEEALAMFVRMQREGYMPTEFTYSALLGSCSSMGCLEQGKWLHAHLMKSGRKLVGYVGNTLLHMYAKSGSIWDAEKVFGKLVKVDVVSCNSMLIGYAQHGLGKEAVQHFEEMIRFGIVPNDITFLSVLTACSRARLLDEGKHYFGLMKKYNIEPEVSHYATIVDLLGRAGLLDQAKSFIEEMPIEPTVAIWGALLGACKMHKNTEMGAYAAQRVFELDPSYPGTHTLLANIYASAGRWEDVAKVRKIMKDSGLKKEPACSWVEIENSVHVFVANDLAHPQKDKIHKLWEELNQKIKEIGYVPDTSHVLLFVDQQEKELNLQYHSEKLALAFALLNTPPGSTIRIMKNIRVCGDCHSALKYVSLVVKREIIVRDTNRFHHIRDGSCSCGDYW >Vigun08g121000.1.v1.2 pep primary_assembly:ASM411807v1:8:28932687:28935314:1 gene:Vigun08g121000.v1.2 transcript:Vigun08g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSESYPPILRHLSHPRHHQPDGGDPIRESEPDPLPLIDLQLLSHDMNMQKVLEDACKHWGLFRLVNHGIPSTLLTQLQHQAKQLFSLSFESKQASCNAADPVTYFWGTPALTSSGTPLTTAPQNINWFEGFNMSLPHLSQFQPQLPQLHSFRDSVVEYGEHLSRIGRRLFEAMVKKLDLNIEASSSYVAESTGIMRIYRYPKCSNSDVGLGMEVHTDSSVLSILSQENEVSGLELLRDHHWLTVKPVSNTLIVNLGDMMQAISDDKYKSVTHRVKLNNEGERISLCYFVFPDEELEIKSSNYRPFTYKQFRTQVQQDIKTVGNKVGLPRFQHTPHSSHENLQK >Vigun01g048600.1.v1.2 pep primary_assembly:ASM411807v1:1:7261464:7266907:1 gene:Vigun01g048600.v1.2 transcript:Vigun01g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTYASAIAAAAASAALLNQSTAYADGISPTNPSENQPSPAPPKVRNDHPRTTSAGFDPEALERGVKALKEISTSPHGKKVFEAIKKQEETKQAELAAKVAEFRQMKAQHETERQKIIYDEQKKLAQHQAQTKSQMAKYEDELARKRMQAENEYHRARNQELVKMQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRMLVDRANAEREKWVAAINTTFDHIGGGIKAILTDQNKLVVAVGGVTALAAGVYTTREGARVIWGYIDRILGQPSLIRESSRGKYPWSGMFSRGMSSLSRRNDPASASKNGNGFGDVILHPSLQKRIEQLSSATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRKSGFVKDLFKGKPQQIEIRGLTDDIVKEAAAKTEGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAGSDKPMP >Vigun11g085100.1.v1.2 pep primary_assembly:ASM411807v1:11:25475114:25482655:-1 gene:Vigun11g085100.v1.2 transcript:Vigun11g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIMKSMNRNGLSNTQTFKALLSSASSTYQLASLGELLYQCHYNYSACGLGSDGTDRLVHLVQELQHSAESKYEGGTLYGAKITGGGSGGTVCVIGRNCLKSSEHTFKDAPNVERHDYAKTYLLGPMFGPYSFINVVGGKEQFDDEGRSYKNLAEVAVVMTILKNLHKAWLTSKHEFNIGIVNCDDRFNVVVSSVDGFQGGEKDIIMLSTVRTSSRSSLEFISSPQRTNVALTRARHCLWILGNERAITNNENVWKAIVLDAKNRKCFFNADQDEEMVKAILDSKKKAYQFDDLLDTNSVLFRSKLWKVLKIWDILRLEDIQQWAQRLDKVFRRYTDEYIRMCKKKGTNNLVNIEFPLNWPLSANIQKFENVNNDENDRDENSNDDTNEAEKTRVEQQEWLLSALKAYLTRIWELL >Vigun10g021900.1.v1.2 pep primary_assembly:ASM411807v1:10:2614387:2618147:-1 gene:Vigun10g021900.v1.2 transcript:Vigun10g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRNKRVVLRNYVAGFPKESDMKLVEGRIKLKVPEGSDKVLVKNLYLSCDPVMRVMMINIEAIDVYHHYTPDAPLKGYGVYKVLESGHPDYKKGDLAWGFTKWEEYSFISPSQICFKIEHTDVPLSYYTGILGMPGMTAYAGFLELGSPKKGENVFVSAAAGAVGQLVGQFAKLSDCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEPDLDAALKRYFPEGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMISQYNLAQPEGVTNLAHLIFKRIKMEGFIVNDFYHSYYKFLEFVLPPIREGKVVYVEDIAEGLESGPAALVGLFSGRNVGKQVVVVARE >Vigun09g082050.1.v1.2 pep primary_assembly:ASM411807v1:9:9836439:9839843:-1 gene:Vigun09g082050.v1.2 transcript:Vigun09g082050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGQLSKNIKELRFLMCQSSSASASARAFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKAIKLEGLSEAQISKALENLVKAG >Vigun09g082050.2.v1.2 pep primary_assembly:ASM411807v1:9:9836439:9839812:-1 gene:Vigun09g082050.v1.2 transcript:Vigun09g082050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGQLSKNIKELRFLMCQSSSASASARAFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKAIKLEGLSEAQISKALENLVKAG >Vigun03g084900.1.v1.2 pep primary_assembly:ASM411807v1:3:7055389:7056293:1 gene:Vigun03g084900.v1.2 transcript:Vigun03g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGSVIVALTLSFWSVLHCEMAHSATYTVGDANGWTFNTVAWPKGKRFRAGDTLVFNYSPGTHNVVAVNNGGYKACKTPKGAKTYNSGTDQIKLAKGPNYLICNFVGHCESGTKIAINAL >Vigun02g066200.1.v1.2 pep primary_assembly:ASM411807v1:2:21615520:21616917:1 gene:Vigun02g066200.v1.2 transcript:Vigun02g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFSSFEFVEKSSYSSSTSSSFILTFHSTAKWNAHFHASKETNKLLVIDFTATWCGPCKTMDPIIKEFAAKYTDVEFIKIDVDELMEVAQGFQVQTMPTFILIKKGKVVEKVVGAKKEELQKLIEKRRN >Vigun02g103400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25793457:25794232:-1 gene:Vigun02g103400.v1.2 transcript:Vigun02g103400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSCIKQWQISCIHRQINLHMITPSFSAGHLHHLLRLNTDKFNHFSSFCNNLVSMDDDRGHRFLNNFMILLLAMGLSAFFVSMCHLIAICFWYQRITHQNPPQRHPTPPATASTAVQMIPTHTYHRKRVDGGVVSGDGDEGGTCVVCLGEFEEGEKLRRLPECMHCFHVACIDTWLCSHSSCPVCRSIAAPPLGVLHSEHDLNDAYEHSIHMTQFSVVQNGFVLRG >Vigun07g092600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14728499:14728792:1 gene:Vigun07g092600.v1.2 transcript:Vigun07g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGVYSFQRDQFMKFHRKTRVTSSVTLGSVQRRTPLRCFPPSCIFRQVYHKLKNRLKQALVWKRTSPQYSYDFRSYSLNFDDGLSNEHMPPRFSS >Vigun06g220200.1.v1.2 pep primary_assembly:ASM411807v1:6:33016585:33017924:1 gene:Vigun06g220200.v1.2 transcript:Vigun06g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKQLLRAGTGPKPLPGQNVTVHCTGFGKNGDLTQKFWSTKDPGQTPFSFKIGQGSVIKGWDEGVIGMQIGEVARLRCTPDYAYGAGGFPAWGIQPNSVLEFEIEVLSAN >Vigun08g016800.1.v1.2 pep primary_assembly:ASM411807v1:8:1417328:1420170:1 gene:Vigun08g016800.v1.2 transcript:Vigun08g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGKYDVDKNGAAFATVAVNAADVKFRASVTEATFINGPSLTGLSLAVEKPGSFIVDYNVPKKDIRFHFMNTVRVGDRALNLNYMHSRGDNRTVLDGTFVLDPANKVSANYALDSGNCKLKYSYVHKGLTTIEPTYDVAKNSWDFAVSRKVYGDDSLRATYQTSSKVLGVEWARNPKHNAGFKILASVNLAEELKAPKLIAETTWNFEM >Vigun03g302600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49230060:49231729:1 gene:Vigun03g302600.v1.2 transcript:Vigun03g302600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRHKCKLCSRSFSNGRALGGHMKAHLASLPLPPKPQTLTHSSFTYSSSSDSEQEHLKEQDSLSYALRENPKKTFRVTDPEDRESETESKNPTRQRSKRNRKSAMLKLSFVVSTPLITEPEPVSSVSDTSPEEDVAMSLMMLSRDTWNVVTNAGPPQRSKRRCTGSESKLKKLRGKCLCHTCGKAFRSSRALGSHRTICCRQEEAQPQTQTQNQNNNNNSIKVFECPFCYKVFGSGQALGGHKRSHLIPSSSSTVNDSLKFKQTFIDLNMPAQPEEDDLSVVSDA >Vigun09g194100.1.v1.2 pep primary_assembly:ASM411807v1:9:36890597:36891479:-1 gene:Vigun09g194100.v1.2 transcript:Vigun09g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKKEVRLVILAKFKEDATPEKIEEAITEYAKLVDVIPAMNKFHWGKDESFRVNLHLHEGYTHIFESSFDSYDGIQEYEDHPEHAVFEQLLLSRTEKLLAFTYEPTIVNLQK >Vigun11g225600.5.v1.2 pep primary_assembly:ASM411807v1:11:41590056:41591939:1 gene:Vigun11g225600.v1.2 transcript:Vigun11g225600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWTCRAPLQVELGKFWILRKRSNLQVQCSGRPPRAPPGVDTRIHWDNEDEGWIGGGDREKDTKSSNMFADGDDLADLLLTSSLGSHYEFLGVSPDADLEEIKVAYRKLSKEYHPDTTSLPLKSASEKFMKLREIYNVLSDEESRKFYDWSLAQEAASRRAEKMRMKLEDPREQQLRNWEPVPDMVDRLGGKNMKLSDQAVSAITIDVFIIIFSICCIIYVIFFREPYYY >Vigun11g225600.4.v1.2 pep primary_assembly:ASM411807v1:11:41590435:41591940:1 gene:Vigun11g225600.v1.2 transcript:Vigun11g225600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWTCRAPLQVELGKFWILRKRSNLQVQCSGRPPRAPPGVDTRIHWDNEDEGWIGGGDREKDTKSSNMFADGDDLADLLLTSSLGSHYEFLGVSPDADLEEIKVAYRKLSKEYHPDTTSLPLKSASEKFMKLREIYNVLSDEESRKFYDWSLAQEAASRRAEKMRMKLEDPREQQLRNWEPVPDMVDRLGGKNMKLSDQAVSAITIDVFIIIFSICCIIYVIFFREPYYY >Vigun11g225600.2.v1.2 pep primary_assembly:ASM411807v1:11:41590435:41591939:1 gene:Vigun11g225600.v1.2 transcript:Vigun11g225600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLQLCSSMASTWTCRAPLQVELGKFWILRKRSNLQVQCSGRPPRAPPGVDTRIHWDNEDEGWIGGGDREKDTKSSNMFADGDDLADLLLTSSLGSHYEFLGVSPDADLEEIKVAYRKLSKEYHPDTTSLPLKSASEKFMKLREIYNVLSDEESRKFYDWSLAQEAASRRAEKMRMKLEDPREQQLRNWEPVPDMVDRLGGKNMKLSDQAVSAITIDVFIIIFSICCIIYVIFFREPYYY >Vigun11g225600.3.v1.2 pep primary_assembly:ASM411807v1:11:41590814:41591939:1 gene:Vigun11g225600.v1.2 transcript:Vigun11g225600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLQLCSSMASTWTCRAPLQVELGKFWILRKRSNLQVQCSGRPPRAPPGVDTRIHWDNEDEGWIGGGDREKDTKSSNMFADGDDLADLLLTSSLGSHYEFLGVSPDADLEEIKVAYRKLSKEYHPDTTSLPLKSASEKFMKLREIYNVLSDEESRKFYDWSLAQEAASRRAEKMRMKLEDPREQQLRNWEPVPDMVDRLGGKNMKLSDQAVSAITIDVFIIIFSICCIIYVIFFREPYYY >Vigun10g068666.1.v1.2 pep primary_assembly:ASM411807v1:10:16243347:16245537:-1 gene:Vigun10g068666.v1.2 transcript:Vigun10g068666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGVPGVRIGPHRPWFRPLFNLKQINNVFFVIYLAKEPKTDKCLWLHGSISRILPSTRPATLVRLAPRIGSRFHCPAAQFCLQLPYASFTYQRFNLCYLHVFGP >Vigun09g157300.1.v1.2 pep primary_assembly:ASM411807v1:9:32319861:32321829:-1 gene:Vigun09g157300.v1.2 transcript:Vigun09g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVPVVIVGAGPAGLATSACLNKLSIPNLILERDDCHASLWRKRTYDRLKLHLGKDFCSLPHMPFPSDFPTFVPRVDFLRYLDSYVSRFKISIRYNRNVESAHLDHNSGKWRLVVRDIASDADEVYVSQFLVVASGENSEGYVPRVEGLEGFEGEYMHCSKYLNGRDMYGKNVLVVGCGNSGMEIAYDLSNWGANTSIVVRGPVHFFTKEMVFVGMTLLKYLKIERVDKLMMLMSKLKYGDMSKYGLVRPRDGPFVLKRKGGTTPTIDVGCVGRIKKGEIKVFPALSSIREGKTAEFEDGRDAEFDIIIFATGYNSTVLKWLKDYKELFNSNGMPKPGFPNHWKGENGLYCAGFSRRGLDGIAFDAQRIANDISMTTSARGPDWDDANFDSLKLIEK >Vigun05g228700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42231418:42233124:1 gene:Vigun05g228700.v1.2 transcript:Vigun05g228700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAASKRKANSIVLVQKQHPKRHRVSFAQNPPKEKLQDAKKPSPTTTHSSSPHIGESLVSDVYEYLHEMEMQKKRRPIVDYIEKVQKLFTPTMRGILVDWLVEVGEEYKLLPDTLHLSVSYIDRFLSVNPVTKSRLQLLGVSSMLIASKYEEIDPPCVDDFCSITDHTYDKEEVVKMEADILQSLKFEMGNPTVNTFLRRFVDVASDHEMTPNSQIEFLSQYLAELSLLDYDCLRFLPSVVAASAVFLSRFIISPEVHPWSHSLSECSGYKPAELKECVKTLHDLYLLRKAASFEAVRDKYKQQKFKCVANLSSPPYVPNSYFEDF >Vigun03g158100.3.v1.2 pep primary_assembly:ASM411807v1:3:17237613:17241534:-1 gene:Vigun03g158100.v1.2 transcript:Vigun03g158100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSASSGAPSSSDAAFALSENGVANKRKRRPAGTPDPDAEVVSLSPTTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQGQKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRQHRPELQALQPACSSRTASSASPSSEANFRIAPPLQGLPLPKPASADQPATVLLTSEIHNNKSTTSRNLELQLLPSSINSQEKRNPKENYGLKLSIGSCSNDKGNEERVCSEAHRSPPERNNNVSDYSTLEVARLKEFAAEELKLAMAEKAYAEEARKEAKRQIEIAELEFENAKRIRKQAQSELAKAEELRKQAMKKISSTVLEITCQSCKQQFQSSTLGVPSEETSIVMSYMSSATTEGEAE >Vigun03g158100.5.v1.2 pep primary_assembly:ASM411807v1:3:17237613:17241421:-1 gene:Vigun03g158100.v1.2 transcript:Vigun03g158100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSASSGAPSSSDAAFALSENGVANKRKRRPAGTPDPDAEVVSLSPTTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQGQKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRQHRPELQALQPACSSRTASSASPSSEANFRIAPPLQGLPLPKPASADQPATVLLTSEIHNNKSTTSRNLELQLLPSSINSQEKRNPKENYGLKLSIGSCSNDKGNEERVCSEAHRSPPERNNNVSDYSTLEVARLKEFAAEELKLAMAEKAYAEEARKEAKRQIEIAELEFENAKRIRKQAQSELAKAEELRKQAMKKISSTVLEITCQSCKQQFQSSTLGVPSEETSIVMSYMSSATTEGEAE >Vigun03g158100.4.v1.2 pep primary_assembly:ASM411807v1:3:17237524:17241760:-1 gene:Vigun03g158100.v1.2 transcript:Vigun03g158100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSASSGAPSSSDAAFALSENGVANKRKRRPAGTPDPDAEVVSLSPTTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQGQKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRQHRPELQALQPACSSRTASSASPSSEANFRIAPPLQGLPLPKPASADQPATVLLTSEIHNNKSTTSRNLELQLLPSSINSQEKRNPKENYGLKLSIGSCSNDKGNEERVCSEAHRSPPERNNNVSDYSTLEVARLKEFAAEELKLAMAEKAYAEEARKEAKRQIEIAELEFENAKRIRKQAQSELAKAEELRKQAMKKISSTVLEITCQSCKQQFQSSTLGVPSEETSIVMSYMSSATTEGEAE >Vigun03g092800.1.v1.2 pep primary_assembly:ASM411807v1:3:7834174:7836298:1 gene:Vigun03g092800.v1.2 transcript:Vigun03g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPALSSYLCCLSSDNKNSLSQSHGIFHTHFLNTCFLLQRFGEICDGWHKESIILFNT >Vigun11g036800.1.v1.2 pep primary_assembly:ASM411807v1:11:4982731:4986875:1 gene:Vigun11g036800.v1.2 transcript:Vigun11g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSAARKTQKADREKLRRDRLNEQFVELGNVLDPDRPKNDKATILSDTIQLLKDLTSQVSKLKEEYATLNEESRELTMEKNDLREEKASLKSDIDNLNNQYQQQLRTMFPWTAMDHSVVMAPPSYPFPMPMAVPPGPIPMQPFPYFANQHPAVISNPHSTFVPYLAPNTIVEQQSTQYVSPPLHPGCRSNVSEKPESKSKSSRESKAEKNEDSNDVTTDLQLKTPGSSAEQDLSSGQRKSGKLSRRESSCCSEGNTLGRCSSSHSAQDSSSSSAVASRKDNE >Vigun06g017200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8105084:8107442:1 gene:Vigun06g017200.v1.2 transcript:Vigun06g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLNPPRLSSTEDDSVILFSTSNSPEESISASSSSFHHSPPPSQQQFRAAYKLSVRNLSYTLQPHKTTSFSFCHQSKKPEPLNILNSISFIARSSEIVAVAGPSGTGKSTLLRIVAGRVKDEDFDPKSISINDHPMTSAAQLRKTCGFVAQEDNLLPMLTVRETLLFSAKFRLKEMTPKDRELRVESLLQELGLSHVADSFVGDEENRGISGGERKRVSIGVDMIHNPPILLLDEPTSGLDSTSALQVIELLSSIVKAKHRIVVLSIHQPSYRILQYVSKFLILSHGSVVHNGSLEQLEETISKLGLQIPTQLNALEFSMEIIRGLEDFSSKYVEKEPFPHLMWAEEEENCGGIQIVQSQFTKESFSSVCYAYLVEILFLCSRFWKIIYRTKQLFLARTMQALVGGFGLGSVYIKVTRDEGGVAERLGLFAFSLSFLLSSTVEALPIYLQERSVLMKEASRGAYRISSYMIANTFVFLPFLFVVSTLFAVPVYWLVGLNPSLSAFTFFTFVVWLIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPRESIPKYWLFMYYVSLYRYPLDALLTNEYWNVRNECFSHQTEGSQCLITGFDVLKSRGLERDNRWINVGIMLAFFVLYRVLCWIILARKASKTTI >Vigun10g060866.1.v1.2 pep primary_assembly:ASM411807v1:10:12517088:12518060:1 gene:Vigun10g060866.v1.2 transcript:Vigun10g060866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSCSSCTCNAWEMKNSSVSSYGGAVRRSDLTPVCYCGEKAITRTARTAKNRGRKFWGCPKFKGGSEEVVGCNFFSWCSENVVEERCGPTKNDDDDTATKMVERDDQSKLIKTKMVEREGENKLISIEKCIMRLEKWVKVLFGMVCLLYVLNIFLFTMY >Vigun01g211000.4.v1.2 pep primary_assembly:ASM411807v1:1:38541692:38546020:1 gene:Vigun01g211000.v1.2 transcript:Vigun01g211000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRQTQQEEEKRGDQERSRENSRVSETWRIVRSFRYLRLNLNELQPYLVDSVRNMIRQELELQLATRPNSIQTEISGARRLLKLVFRNKLPDTIYTLSKLKDRDNNPLEVFLLDIESNSIVCDENDPLSSIKVKICVLDGEFGSDGDENWSKDEFNSKILRQRANKGQLLKGDTVIALKNGIGFIPTGLSFTDNSCWIRTRRFILGAKVAKSNLNDAIIIREGISKPFTVKDYRVNKGKDPTLSDEIWHLKHISKKGKIYEELAKDGILTVGDLLKEHETNPSSLEQKLGKISKRKREEIFKQAKKAKHAETGVAETVIFDRPNYLSENNTLNSDQRQACQSSRGLMQIEVINEESPCLRDPEDWSAFCDELFTE >Vigun01g211000.1.v1.2 pep primary_assembly:ASM411807v1:1:38541692:38546020:1 gene:Vigun01g211000.v1.2 transcript:Vigun01g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRQTQQEEEKRGDQERSRENSRVSETWRIVRSFRYLRLNLNELQPYLVDSVRNMIRQELELQLATRPNSIQTEISGARRLLKLVFRNKLPDTIYTLSKLKDRDNNPLEVFLLDIESNSIVCDENDPLSSIKVKICVLDGEFGSDGDENWSKDEFNSKILRQRANKGQLLKGDTVIALKNGIGFIPTGLSFTDNSCWIRTRRFILGAKVAKSNLNDAIIIREGISKPFTVKDYRGELNKGKDPTLSDEIWHLKHISKKGKIYEELAKDGILTVGDLLKEHETNPSSLEQKLGKISKRKREEIFKQAKKAKHAETGVAETVIFDRPNYLSENNTLNSDQRQACQSSRGLMQIEVINEESPCLRDPEDWSAFCDELFTE >Vigun01g211000.3.v1.2 pep primary_assembly:ASM411807v1:1:38540779:38546019:1 gene:Vigun01g211000.v1.2 transcript:Vigun01g211000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQELELQLATRPNSIQTEISGARRLLKLVFRNKLPDTIYTLSKLKDRDNNPLEVFLLDIESNSIVCDENDPLSSIKVKICVLDGEFGSDGDENWSKDEFNSKILRQRANKGQLLKGDTVIALKNGIGFIPTGLSFTDNSCWIRTRRFILGAKVAKSNLNDAIIIREGISKPFTVKDYRGELNKGKDPTLSDEIWHLKHISKKGKIYEELAKDGILTVGDLLKEHETNPSSLEQKLGKISKRKREEIFKQAKKAKHAETGVAETVIFDRPNYLSENNTLNSDQRQACQSSRGLMQIEVINEESPCLRDPEDWSAFCDELFTE >Vigun01g211000.2.v1.2 pep primary_assembly:ASM411807v1:1:38540779:38546019:1 gene:Vigun01g211000.v1.2 transcript:Vigun01g211000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQELELQLATRPNSIQTEISGARRLLKLVFRNKLPDTIYTLSKLKDRDNNPLEVFLLDIESNSIVCDENDPLSSIKVKICVLDGEFGSDGDENWSKDEFNSKILRQRANKGQLLKGDTVIALKNGIGFIPTGLSFTDNSCWIRTRRFILGAKVAKSNLNDAIIIREGISKPFTVKDYRVNKGKDPTLSDEIWHLKHISKKGKIYEELAKDGILTVGDLLKEHETNPSSLEQKLGKISKRKREEIFKQAKKAKHAETGVAETVIFDRPNYLSENNTLNSDQRQACQSSRGLMQIEVINEESPCLRDPEDWSAFCDELFTE >Vigun07g205600.1.v1.2 pep primary_assembly:ASM411807v1:7:32716235:32717834:-1 gene:Vigun07g205600.v1.2 transcript:Vigun07g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLITTSPQKSDEGARVSAMLLSTAVVYPAVLNAAIELNLFEIIAKATPHGSFMSPHEIASELPNQHPDLPDRLDRMLRLLASYSLLTSSTRTTQHGATETVYGLSQIGQYYATGATTGYFASFASYLSCPALSQLWPSFKEAVVNADVDLFKKVHGIGAFQYMEKDPKMNQMFIRAMADLCATDMIRVLEIYAGFEGISTLVDVGGGNGQNLKMIISKYPSIKGINFDLPQVIENAPLLSGVEHVGGDMFARVPEGDAMILKVVLHNWSDEKCVEILSNCHKALSGNGKVIVLEIIMPEEPEATEESQLISCLDNLMFISAGGKERTEKQYENLCKLAGFSKFHVACRSSSGPGVMEFYK >Vigun07g189500.1.v1.2 pep primary_assembly:ASM411807v1:7:30756475:30760322:1 gene:Vigun07g189500.v1.2 transcript:Vigun07g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEIVSSNEEEYDISQPPFGNKSGYNSRIGIYHSLVKLETRHEIPTKPDLNTAHFVLSQFPQAHLAEAKTAFIDARTNLRVSYSELRRCTYSLASALFHGLEVRKGDVVFLLSPNSTLYSAICLAVLSIGAVLTTANPINTASEIAKQVRDSGAKLAISTPEELHKLVPTGVPTILTSRSSDGRMLSVEELIEGCYDSTELPHVPVAQSDTAAILYSSGTTGISKGVVLTHANLISIMRLVLWSADVSGSHDDIFLAFIPMFHIYGFVFFGLGLLCVGITTVLMQKFDFQDMLDAIQKHKVSNVPAVPPVILALVKYSSKARCDLSTLRRLGTGAAPLSKEVAQEFRKMFPWIELRQGYGLTESSGGATFFVSDKDAKAHPDSCGRLIPTFSAKVIDTETGKPLPPNKEGELWLKSPTIMKGYLGNLEATSATIDSEGWLRTGDLSYIDDNGFVHIVERIKELIKHNGYQVAPAELESVLLSHPLIADAAVIPLEDEETGQIPMAYVVRAAGSELSEDQIIQFVAGQVAPYKKVRKVSFIDTIPKSAAGKILRKDLVSQSKFQHVSKL >Vigun02g082500.1.v1.2 pep primary_assembly:ASM411807v1:2:23584305:23585846:1 gene:Vigun02g082500.v1.2 transcript:Vigun02g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTQRKPRILCLHGFRTSGEILKQLVLRWPESVIQNLDLVFPDGQFPAQGRSDVEGTFDPPYYEWFQANEDFSGYRNFEECLAYIEDFMLKNGPFDGILGFSQGAILAAALPGMQAQGVALGKVEKIKFLIVISGAKFGGNKFGMPELASKAFSKPIDCLSLHFIGEKDFMKEESVALLEAFQNPVVIHHPKGHTVPKLDDKSLEIMVNFIDSIQRMI >Vigun06g199000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31412003:31414366:-1 gene:Vigun06g199000.v1.2 transcript:Vigun06g199000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSASREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPPAPALCLDLNAPSSDHRWPNVPSRTLVLTEFLHTAVLKDIEPPQPLPPATAALRRPDGAVPLSGGVQVVESSQTASFLGLVRRGIPIDLNEPPPLWL >Vigun06g199000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31412002:31414366:-1 gene:Vigun06g199000.v1.2 transcript:Vigun06g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSASREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPPAPALCLDLNAPSSDHRWPNVPSRTLVLTEFLHTAVLKDIEPPQPLPPATAALRRPDGAVPLSGGVQVVESSQTASFLGLVRRGIPIDLNEPPPLWL >Vigun07g145400.1.v1.2 pep primary_assembly:ASM411807v1:7:25611377:25619443:1 gene:Vigun07g145400.v1.2 transcript:Vigun07g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLKETVQEEEEKEEEADNQSFEELGVDARLLRALQKKGIEKPTPIQGVAIPLILEGKDVVARAKTGSGKTLAYLLPLLQKLFTVSADRKKLAPNAIVLVPTRELCQQVYAEVLSLVELCRVKLKVVQLNSNMLANDLRAALAGPPDILISTPACVAKCLSGGILKAASINESLETLVLDEADLLLSYGYENDIKAFTPHVPRSCQCLLMSATSSADVDKLKKLILHNPSVLTLSEMGNNKDEIIPKNVQQFWISCSASDKLLYILAVLKLGLVQKKVLIFTNTIDMSFRLKLFLEKFGIRSAVLNPELPQNSRLHILEEFNAGLFDYLIATDLSQSKEKDEVPKESNAISRKSRKRAKAKLDSEFGVVRGIDFKNVFTVINFEMPQNVEGYVHRIGRTGRAYNSGTSVSLVSPDEMDTLEKIRSFVGDDENKGTSSIAEFPLLTKNAVESLRYRAEDVAKSVTRIAVRESRAQDLRNEILNSEKLKAHFETNPKDLDLLKHDKVLSKNAPPPHLRDVPDYLLDKQTKEAREMVKLARDAMGNNNRRKGSKRKLRKDGDPLKAISALASKRPHKVRKTGGSDGNNSSDRHKRKNKGL >Vigun03g436500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64046276:64047442:-1 gene:Vigun03g436500.v1.2 transcript:Vigun03g436500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQKTKRGRQEDDDESEKEWYENANNAKRRDVCGMKLMKEVEKEKEKEKEKEGCSSDAWESQLGLGVFDFPWLKDGVRCKSEEYFLGFEDNFSSLLEQEDASSKGSSVGLFETPEEKLEHIAWQPFESEMVEHEAEDVDCIWSSLLKHPL >Vigun03g436500.1.v1.2 pep primary_assembly:ASM411807v1:3:64046276:64047442:-1 gene:Vigun03g436500.v1.2 transcript:Vigun03g436500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASITKDLEIIGLQMEQQQKTKRGRQEDDDESEKEWYENANNAKRRDVCGMKLMKEVEKEKEKEKEKEGCSSDAWESQLGLGVFDFPWLKDGVRCKSEEYFLGFEDNFSSLLEQEDASSKGSSVGLFETPEEKLEHIAWQPFESEMVEHEAEDVDCIWSSLLKHPL >Vigun05g277200.2.v1.2 pep primary_assembly:ASM411807v1:5:46695663:46697392:1 gene:Vigun05g277200.v1.2 transcript:Vigun05g277200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIPQLQSKVNEASQIVARNGASYYKLLMEQNKHYIQDPPTVEKCQSLAKQLFYTRLASIPLRYNSFWKELDHAKNTVKNWKDLSRESVGFAALFGLECFAWFWGGEIVGRGFTFTGYHV >Vigun05g277200.1.v1.2 pep primary_assembly:ASM411807v1:5:46695522:46697392:1 gene:Vigun05g277200.v1.2 transcript:Vigun05g277200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIPQLQSKVNEASQIVARNGASYYKLLMEQNKHYIQDPPTVEKCQSLAKQLFYTRLASIPLRYNSFWKELDHAKNTVKNWKDLSRESVGFAALFGLECFAWFWGGEIVGRGFTFTGYHV >Vigun07g145200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25600541:25600936:1 gene:Vigun07g145200.v1.2 transcript:Vigun07g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFGHIVFAVIGFSASFFFCVPNIKRWQRKHLAAEKLKMVNEALEAAEERAVRFQERHDRILNQICSTYLTNAELVEAIAGARATMNQALDFALQLRKIQFRIITSFPDAIEIDVRLDLDASKQIHSDRV >Vigun06g144800.2.v1.2 pep primary_assembly:ASM411807v1:6:27040874:27043572:1 gene:Vigun06g144800.v1.2 transcript:Vigun06g144800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEENKIILMEDSQNQGCHPEGTAWFEFHMELPSETEPFQLEQAVCSHGFFMMAPNHWDPLSKTLTRPLLLHNPSSPSSSSSSLLVSISQRSQSLAVRVHSVHSISPQQQRHITAQISRMLRLSQAEEKAVREFRSVHIDHPNRSFGGRVFRSPTLFEDMVKCILLCNCQWPRTLNMAQALCELQFELQNVLPCAVVGSSNPKVEAEGFVPKTPASKENRIKKAQPKGTLLKKKLELELELEVDGNLQIDNHVFDLSSDTTSLPPDNGDSEMHGSDDSCYQFPNEGEYFDRTGNFPSPIELANLSESFLAERCRLGYRARYILELAQAIVEGKIQLEELEELSKDASLSCYKQLGEQLKQIKGFGPFTRANVLMCLGYYHVIPWDSETIRHLKQVLSTCFFCFIMCILISGFLFILSITNALDVYEMC >Vigun06g144800.1.v1.2 pep primary_assembly:ASM411807v1:6:27040874:27043572:1 gene:Vigun06g144800.v1.2 transcript:Vigun06g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEENKIILMEDSQNQGCHPEGTAWFEFHMELPSETEPFQLEQAVCSHGFFMMAPNHWDPLSKTLTRPLLLHNPSSPSSSSSSLLVSISQRSQSLAVRVHSVHSISPQQQRHITAQISRMLRLSQAEEKAVREFRSVHIDHPNRSFGGRVFRSPTLFEDMVKCILLCNCQWPRTLNMAQALCELQFELQNVLPCAVVGSSNPKVEAEGFVPKTPASKENRIKKAQPKGTLLKKKLELELELEVDGNLQIDNHVFDLSSDTTSLPPDNGDSEMHGSDDSCYQFPNEGEYFDRTGNFPSPIELANLSESFLAERCRLGYRARYILELAQAIVEGKIQLEELEELSKDASLSCYKQLGEQLKQIKGFGPFTRANVLMCLGYYHVIPWDSETIRHLKQVHSKNTTSKTIESDLEEIYGKYEPYQFLAFWSEIWDFYETRFGKMNEMHSSVYKRITASNMRSTVNATNKRKRPSRKCQC >Vigun06g144800.3.v1.2 pep primary_assembly:ASM411807v1:6:27040874:27043572:1 gene:Vigun06g144800.v1.2 transcript:Vigun06g144800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIRWPRTLNMAQALCELQFELQNVLPCAVVGSSNPKVEAEGFVPKTPASKENRIKKAQPKGTLLKKKLELELELEVDGNLQIDNHVFDLSSDTTSLPPDNGDSEMHGSDDSCYQFPNEGEYFDRTGNFPSPIELANLSESFLAERCRLGYRARYILELAQAIVEGKIQLEELEELSKDASLSCYKQLGEQLKQIKGFGPFTRANVLMCLGYYHVIPWDSETIRHLKQVHSKNTTSKTIESDLEEIYGKYEPYQFLAFWSEIWDFYETRFGKMNEMHSSVYKRITASNMRSTVNATNKRKRPSRKCQC >Vigun03g442600.1.v1.2 pep primary_assembly:ASM411807v1:3:64505202:64507085:-1 gene:Vigun03g442600.v1.2 transcript:Vigun03g442600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKRFEGKVAIVTASTQGIGLAIAERLGLEGASVVISSRKQQNVDAAAEKLRAKGIEVLGVVCHVSNPQQRKDLIHKTVQKYGKIDVVVSNAAANPSVDSILQTKDTVLDKLWEINVKATILLLKDAAPHLQKGSSVVIISSIAGYNPPPSLAMYGVTKTALLGLTKALAAEMAPDTRVNCVAPGFVPTNFASFLTTNEAVRKNLEDKTFLRRLGTTEDMAAATAFLASDDASYITGETIVVAGGTPSRL >Vigun02g188100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32641646:32643816:1 gene:Vigun02g188100.v1.2 transcript:Vigun02g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQGKAEAEMLLLPHSSSSHQPNNATKPRPKRFIKNQIPDSILNDPLLNAAISVLPSNYNFEIHKSVWRVLSTGAKRVALQFPEGLLMYSLSLSDIFTTFAAVTHCYILGDVTYGACCVDDFAAAALGADLLIHYGHSCLVPIDATTIPCLYVFVDIKIDVPHFVDTVRLNLESRPRTLVVAGTIQFASAIRAAKPQLEELGFRVLVPQSKPLSAGEVLGCTAPRVSSNSLGCDVGESVLVFVADGRFHLEAFMIANPGIRAFRYDPYMGKLFLEEYDHVGMKRSRKNAILKAREARSWGVVLGTLGRQGNPRILERLEKKMKERGLDYTVVLMSELSPGRVALFEDSVDAWIQIACPRLSIDWGEAFVKPVLTSFEAEVALGVIPGWWEKNEVCEDGVGCCQRSGSCCEGNAKRSEDFGGDYPMDYYAQDGGEWNSSYVKKSTRPARRVSVSSVAAS >Vigun09g085850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:11233557:11233910:1 gene:Vigun09g085850.v1.2 transcript:Vigun09g085850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFESTCIETKIKNREPEPPFQCQILMRHLPQIHSSHRAQIRNGEQWRLREGGVTLGSRAGKKREGDDSGSWVLIGDAFGGGWRRRRHVSPTVGLVAAAPVLVARWLEASEKGLPFVK >Vigun06g087700.2.v1.2 pep primary_assembly:ASM411807v1:6:21968062:21975436:1 gene:Vigun06g087700.v1.2 transcript:Vigun06g087700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPFLRLSNLRSFWSSLVVIITCFTIIMMKSIRYNFIHSYSMKQKPNLPPGPKPWPIVGNLPQMLANKPAHKWIHNLMKQMNAEIACIRLGNVYVIPVTCPTIATEFLRKQDATFASRSFSVATDLVSTGYSTTALVPFGEQWKKMKKIVTNHLLSPLKHLWLHHKRTEEADNLMFYVYNKCKMMNDGTYGFVNVRNVARHYCDNLIRKNTFKVRYFGEGREDGGPGFEEAEPVDSIFDLLNYVCCFSVSDYMPFLRELDLDGHQKKVREALRIIKKYHDPIVQHRIKQWNDGLKIHEEDWLDVLISLKDSDNNPSLTFEEISAQIIELMLATVDNPSNAFEWALAEMINQPELLRRAVQELDSVVGKDRLVQESDIPKLNYVKACAKEAFRLHPIAPFIPPHVSMSDTVVGNYFIPKGSHVVLSRQELGRNPKVWNEPYKFKPERHLKSDADDVALTEPNMKFISFSTERRSCPGVMLGTTMTVMLFARLLHGFTWTAPTNVSTINLSESDDDILLAQPLLSLGLAFSEAQGQPFSRTPYPNSPSQFHFISVSERQPSPLNFSKKSRSSARAKSVSPQSESNRFLFLIAAPFSLCVPFFPQLRLLEMGILLEVGLMVVAVQPSGPVEVAFEEAPWP >Vigun08g043800.1.v1.2 pep primary_assembly:ASM411807v1:8:4561317:4568596:-1 gene:Vigun08g043800.v1.2 transcript:Vigun08g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIILMQTSQNRATRTFMDYESITQAMDGICALYERKLKELNPAIRNLSYDIADLYNFIDGLADMSALVYDSSIHAYLPHDRQWIKQKTFQHLKKLAH >Vigun03g138101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13549486:13550120:1 gene:Vigun03g138101.v1.2 transcript:Vigun03g138101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFPSFPKIMSLLKLSIAFKTSTCVKVAILQPKGNGVVIGAVDFIFKALNLFHPPFNCDQQCTPAYHTIFHLHEFNPFQHLCHRAYTPHFLQA >Vigun11g070400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20550204:20550365:-1 gene:Vigun11g070400.v1.2 transcript:Vigun11g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun03g273900.2.v1.2 pep primary_assembly:ASM411807v1:3:44958451:44964439:-1 gene:Vigun03g273900.v1.2 transcript:Vigun03g273900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMQDKQTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRITMSEIWNHEWFLKNLPADLMDERIMSNQFEEPDQPMQSVDTIMQIISEATVPAAGTYTFDQLMGEQIYDLESESDAESELDIDSSGEIVYAI >Vigun03g273900.1.v1.2 pep primary_assembly:ASM411807v1:3:44958451:44964439:-1 gene:Vigun03g273900.v1.2 transcript:Vigun03g273900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMQDKQTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRILSVQYAIPDCVQISQECRHLISRIFVFDPAERITMSEIWNHEWFLKNLPADLMDERIMSNQFEEPDQPMQSVDTIMQIISEATVPAAGTYTFDQLMGEQIYDLESESDAESELDIDSSGEIVYAI >Vigun03g273900.4.v1.2 pep primary_assembly:ASM411807v1:3:44958451:44964439:-1 gene:Vigun03g273900.v1.2 transcript:Vigun03g273900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRITMSEIWNHEWFLKNLPADLMDERIMSNQFEEPDQPMQSVDTIMQIISEATVPAAGTYTFDQLMGEQIYDLESESDAESELDIDSSGEIVYAI >Vigun03g273900.3.v1.2 pep primary_assembly:ASM411807v1:3:44958451:44964439:-1 gene:Vigun03g273900.v1.2 transcript:Vigun03g273900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRILSVQYAIPDCVQISQECRHLISRIFVFDPAERITMSEIWNHEWFLKNLPADLMDERIMSNQFEEPDQPMQSVDTIMQIISEATVPAAGTYTFDQLMGEQIYDLESESDAESELDIDSSGEIVYAI >Vigun03g273900.5.v1.2 pep primary_assembly:ASM411807v1:3:44958451:44964439:-1 gene:Vigun03g273900.v1.2 transcript:Vigun03g273900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFTEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRILSVQYAIPDCVQISQECRHLISRIFVFDPAERITMSEIWNHEWFLKNLPADLMDERIMSNQFEEPDQPMQSVDTIMQIISEATVPAAGTYTFDQLMGEQIYDLESESDAESELDIDSSGEIVYAI >Vigun07g262700.1.v1.2 pep primary_assembly:ASM411807v1:7:37853590:37857280:1 gene:Vigun07g262700.v1.2 transcript:Vigun07g262700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLASSLLRSSLRRSQSKPSIAASASRLSSTKRASPHGFLLNRVAEYATAAAAAAAPPSAPPQKKEVGGGGKITDEFTGKGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDHSSRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPITVPVGRATLGRIINVIGEPIDQKGDLTTEHYLPIHREAPSFVEQETEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGTDHYETARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKENITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAAS >Vigun11g166156.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37351522:37351787:-1 gene:Vigun11g166156.v1.2 transcript:Vigun11g166156.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYRNEICTVNQENQSAVREFLMGQPKIYAFRTCTMY >Vigun01g117350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29374141:29374620:-1 gene:Vigun01g117350.v1.2 transcript:Vigun01g117350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLGHDEIVNLSSDPKLAISFIDKTVCFVLNAKSRRLINFLNPSGNASSSVHRVSFNTCRLWRLQIELGSLLMLRFLLMFKYLKCFNLAMVWGKVSNVEPRKSRTRKHFNFDTHVFSTTLPLTAVASFGNSTVLTVVDFLVTKLANKLLSAKDRCWT >Vigun06g054950.1.v1.2 pep primary_assembly:ASM411807v1:6:18167253:18168224:1 gene:Vigun06g054950.v1.2 transcript:Vigun06g054950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELNVLCHYIVEIFIFKGDSAGGSAKQGRDRHFQAILPLRGKILNIEKRDEAAMYKNEEIQNLIFGLDLCVKVKILPVSLICY >Vigun05g169540.1.v1.2 pep primary_assembly:ASM411807v1:5:29187090:29190381:-1 gene:Vigun05g169540.v1.2 transcript:Vigun05g169540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRHYMLRGRGSGDGHGGDGGGEGGHVGCGGGGGALGSDGRSGSGGEGCNGYGGRGDGGQGSGGVGVGGGGRGGGRGGGCVVVALGVVVVVVVVVVVMVVVLVVVVVVVVVVVVVVVVVVVVVVIVVVRVVVVMMVVEVVVLAVVVVVVALVVMVVEVEVVVLAVVVVVVALVVMVVVVVVVVGAVVVVVAVVVVVVGGSGHGGGGGGGGGSGGGGGGVGCGGGGGGNRAGGGDRGGGGGCGGGGGGGGGGGSGGGGGGGSGYGDGSGSGCRGRGGYRGGCRGGGGGGGDSRGSGGDSGRGGGDGHGDGGGGGGDGGGGGGGGGGVGCGRSGGGLCCDGRRGGGGGGCNVYGGRGGGHGGVGSGGHGGGLGGCCVVVVVGVVVVVVVVIAMVVLVVAGVVVVVVAVISVVVVGTMVVTVLVVMVIVVVAVMVVLVVVVTVAVVVAVVVVVVLAMVVVVLVVVDGGGGCGSGGGRGGGGGNGGGGCCGYGGGGGGGGGGSGGGGGSRGGGAGDRGGGGGCGCGHGSYSGGGGCGDGDGDGGGYCDCGGGCDDSGRGVVGGYMLGQMDSRFKFTVHGGGGGGGCGGRGSGCRGSDGRGGGGGGSGRGGGEGHGCDSGGHSSGSGGGNGGTGCGCGGGGPSFDGRGGGGGGGCKGCGGCGGHGGDGRGCGRGGGEGHGCHSGGHSGGGGGGGGGDVVVLAVVVVVVAIVVMVMVVVVVGAVRVVVVVVVMVVVVAVVVVRATVVMVATIMVVVVVVIVVVEVVVLAVVVVVVALVVMVVVVVVVVGAVRVVVAVVVMVVMVVVVGVVMGMLVMVEAMVVVVVVALVSMVVVVVVVVVSVVLLVVVVVVVVGVAVVVVVAVVMLVVVVIVVMVVVVVVGMVVVVVVVEVVVVVVLLAVVVVQVMMVVMW >Vigun10g062650.1.v1.2 pep primary_assembly:ASM411807v1:10:13274709:13284521:1 gene:Vigun10g062650.v1.2 transcript:Vigun10g062650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENMIVITIIKQESEKMRWMETLHEAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVHDTIQLWDLERSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIREVWGKDGGQVCLQEQLLFDINKETKTKIHNIEFGKNILMQSLHHKRVLVILDDVNNLHQLNALCGNREWFGLGSRIIITTRDMHILRGSRVDKLYTMERMDESESIELFSSHAFKQASPRKDFAKLSRSVVAYSECCSVALEVLGSYLFDLEVTEWKSVLEKLHKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGTHDLLQDMGREIIRGKSPKEPEERSRLWFHEDVLDVLSKETGTKAIEGLALMLPRTNTKCLNTKSFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWICWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHYLTHSPDFSNMPNLEKLVLLDCPRLLKVSWTIGHLNKVLHINLQDCISLRNLPRSIYKLKSLKTLILSGCLMIDKLEEDIEQMESLTTLVADKTAITKIPFSIVRSKSISFISLCGYEGFLRDVFPSIIWSWMSPMNSLSSHMQRFAGISFLASLDVSNKSSHHLASISKDLPKLQSLWVECGSKLQLSHDTKIILDALNDTNSGKSETTGTTSQMSNINVFTLIKCNSQVHVSRSNRSLLIQMGRSYEVSYNLKESILQVSSFSQNNQDCLVSDNCYPHWLAFDSNGSSVTFEIPQVNGYKMKTIMCHVHYSSPANITSDGLKNLLVINHTKDTIQLHKRNALAVFEDEDWERVISNIEPGNKVEVVVIFGNRLIVNQTKIYVTYEPNDNKSE >Vigun01g102100.1.v1.2 pep primary_assembly:ASM411807v1:1:27001952:27011578:-1 gene:Vigun01g102100.v1.2 transcript:Vigun01g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVISDEEDDVELDEEEREPIDGEELEEGRDVDNEDEDEEEEGQDEYENDGFIVDDIEDEEEQDEEERADSDDERQKKKKRKKKEEYVLDEDDYELLEDNNINIHRRKESKKFKRLKKGRRDTEEEPSGLSDEEEFVGSGKVGRTAEEKLKRSLFGDDEGPPLEDIAEEEEQGEEEEDADIGEDDEMADFIVDEEEVDENGAPMRQRKLKKKKTRQAPGVSSSALQEAQELFGDPDELILNRQKNLEMSEYRETRLEDEFEPIVLSEKYMTEQDDQIRELDIPERMQISDESTGAPPSDRSSIEEESQWIVNQLSNGAVPWIYKKVPNSQNNEKDDLPINKDDIIRFLELHHVQKLDIPFIAMYRKEECLSLLKDLEQPEAVDENDKTPTLKWHKVLWALQDLDKKWLLLQKRKSALKSYYNKRFEEESRRVYDETRLNLNSQLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDEGQYKRPKRKSMYSTFSKAGLWEVASRFGCSPEQLGLCLTDVNLQELEDPKETPEEMASNFTCAMYDTPEEVLKCARHMAAVEISCEPSIRKHVRAHFLDHAVVSTCPTADGNTTIDSFHQFAGVKWLREKPLSKFEDVQWLLIQKAEEEKLIQVTIKLPEEYLNKLIDQFNEYYISDSVSRSAQLWNEQRKLILQDAIFRFLLPSMEKEARGVLASKAKNWLLMEYGKALRNKVSVGPYQQKENDLGSDEEAAPRVMACCWGPGKPLTTFVMLDSSGEVLDVLYTGSLTFRSQNVSDQQRKKNDQERVLKFMTDHQPHVVVLGAVNLSCTRLKEDIYEVIFKMVEENPRDVGHEMDGLSIVYGDESLPRLYENSRISSEQLPSQQGIVRRAVALGRYLQNPLAMVATLCGPRKEILSWKLCPLESFLNQDDKFAMVEQVMVDVTNQVGLDINLAISHEWLFAPLQFISGLGPRKAASLQRSLVRAGAIFTRKDFVTEHKLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYILAQELAKDVYEEDGTGDANDDDDALEMAIEHVRDRPSYLKNLDVEEYASGKNRQNKIQTFYDIKRELIQGFQDWRNQYEEPSQDEEFYMISGETEETLAEGKIVQVTVRRVQAQKAICGLESGMTGILMKEDYTDDWRDVIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKDSEMRSNRLQNNRDIDPYYHEDRSCFQSDQDKARKEKELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIIRPSSRGPSYLTLTLKINDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGDDTFEDLDEVMDRYVDPLVAYLKTMLNYRKFRKGTKSEVDELLRMEKAEYPTRIVYSFGISHEHPGTFILTYIRSTNPHHEYIGLYPKGFRFRKKMFEDIDRLVAYFQRHIDDPQHDSTPSIRSVAAMVPMRSPAAGGSSGPSVGSGWGGGSNSEGGRRGHSYDRDRSSTPGSRTGRGDYRNNGNQEEHPSGVPRPYGGRGRGRGRGSYNRGHNSNNERQDSSYGGSRWGSNNTKDSDDGLSSFPGAKVQNSPGREAFPGGWGGGSGSWGGGSGGSNNNDNGGWGQQIGGGGGGPSDGDQGSSGWGAAPKKAAAGNGWGSGNSGGW >Vigun09g265300.1.v1.2 pep primary_assembly:ASM411807v1:9:42934308:42939882:-1 gene:Vigun09g265300.v1.2 transcript:Vigun09g265300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVWFRLLLLLVVSQVTLEQIEPLSSSEERESLLELRASLGLRSKEWPRKPDPCLLWVGIACQNGRVVGINISGFKRTRLGRMNPQFAVDALANFTLLESFNASNFLLPGPIPDWLGLSLPSLRVLDLRSCSIVNAIPSTLGNLTNLSSLYLSDNNLIGNVPESLGQLSALSVLDLSRNSLAGSIPTSFAFLGNLSSLDMSANFLSGPIPPEIGSLSRLQYLNLSNNGLATLPTQLGGLTSLLVLDLSQNSFASGGLPQDLVGFRNLRQMILSNSMLTGFLPGRLFSGSLQLQFLVLKQNNFSGSLPVELWSLPRLSFLDVSANNFSGQLPNSSLSANATVAVLNISHNKFYGNLTSALRRFGFIDLSSNYFEGKVLDFMHNVSLDSNCLQNATDQRSTAECASFYAERGLNFDNFGRPNTTSPPASKSSGKKSNKTKIILAAVLGGLGLIALLVLLVVLLLLCVRKRGNSNQRGNGVGPAPVGSSPPNPGVPMEFPNVGDSFTYHQLLQATGDFNDANLIKHGHTGDFFNGVLEGGVPVVIKRIDMRSAKKEAYLSELEFFNKVSHQRFVPLLGHCLEHENEKFLVYKSMPNGDLSNCLYYKNTTSEDGTLQSLDWITRLKIATGAAEALSYLHHECVPPIVHRDIQASSILLDDKYEVRLGSLSEACAQEGDIHQSKITRFLRLPQSSEQGPSGYSTSICAYDVYCLGKVLLELVTGRLGMSAASEVEVKDWFDQILPYINMYEKELVTKIIDPSMVVDEDFLEEVWAIAIVARSCLNPKPSRRPPMRYILKALENPLKVVREENSSSARLRATSSRGSWNAATLFGSWRQSSSDVTATPAASGVKLERAGSLKLSGTTGSRSQSQGSFHNGGGEISLSRRRHSKEIFPEPSGVDDVERLELE >Vigun03g086700.4.v1.2 pep primary_assembly:ASM411807v1:3:7176992:7185327:1 gene:Vigun03g086700.v1.2 transcript:Vigun03g086700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGTSHGCPPREHEGHHDAVFLHGDLDLLIIEAKSLPNLDLSSEAVRKCMTLGNMCHPPFMKGKSLGGKDKMITSDPYVSVCIAGATVARTRVIPNCENPSWDEQFIVPVAHPAHKVEFLVKDNDILGAELIGVVEIPVYKIISGNPVNDWFPIIGQYGNCLKPYPELHLSVQYKPIEVDRNQKLMNEDGTAYLGVSKTYFPLRKGGSVTLYQDAHIPDGMLPEIPLEGGKMFQQNKCWEDICHAILEAHHMIYIIGWSVYHPVRLIREAKKPVPSGGELSLGELLKYKSQEGLRVVVLIWDDRTSHDKFLLKTDGVMQTHDEETKKFFKHSTVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDSLGSGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVFHNDFHNPSFQQLSSNSCAPRQPWHDLHCKIEGPAAYDILTNFEQRWRKAKKWRDFRLKKVTNWHDDALLRLDRISWIVKPAPCPNGEKAVYVTDESDPESWNVQVFRSIDSGSVKGFPKDVDKAKAQNLLCGKNLKVDQSIHTAYVRAIRSAEHFIYIENQYFLGSSYHWPSYKNKAGANHLVPMELALKIAGKISVNERFSVYIVIPMWPEGVPTSAAVQEILFWQVSLISIIHKIISIFTASERENPQAQMFLQHQIHQKTALW >Vigun03g086700.3.v1.2 pep primary_assembly:ASM411807v1:3:7176992:7185327:1 gene:Vigun03g086700.v1.2 transcript:Vigun03g086700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGTSHGCPPREHEGHHDAVFLHGDLDLLIIEAKSLPNLDLSSEAVRKCMTLGNMCHPPFMKGKSLGGKDKMITSDPYVSVCIAGATVARTRVIPNCENPSWDEQFIVPVAHPAHKVEFLVKDNDILGAELIGVVEIPVYKIISGNPVNDWFPIIGQYGNCLKPYPELHLSVQYKPIEVDRNQKLMNEDGTAYLGVSKTYFPLRKGGSVTLYQDAHIPDGMLPEIPLEGGKMFQQNKCWEDICHAILEAHHMIYIIGWSVYHPVRLIREAKKPVPSGGELSLGELLKYKSQEGLRVVVLIWDDRTSHDKFLLKTDGVMQTHDEETKKFFKHSTVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDSLGSGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVFHNDFHNPSFQQLSSNSCAPRQPWHDLHCKIEGPAAYDILTNFEQRWRKAKKWRDFRLKKVTNWHDDALLRLDRISWIVKPAPCPNGEKAVYVTDESDPESWNVQVFRSIDSGSVKGFPKDVDKAKAQNLLCGKNLKVDQSIHTAYVRAIRSAEHFIYIENQYFLGSSYHWPSYKNKAGANHLVPMELALKIAGKISVNERFSVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYKIVADALEKAGLSDQYHPQDYLNFYCLGKREPSSANVSSTPNPSENRALQILTRDH >Vigun03g086700.1.v1.2 pep primary_assembly:ASM411807v1:3:7176992:7185327:1 gene:Vigun03g086700.v1.2 transcript:Vigun03g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGTSHGCPPREHEGHHDAVFLHGDLDLLIIEAKSLPNLDLSSEAVRKCMTLGNMCHPPFMKGKSLGGKDKMITSDPYVSVCIAGATVARTRVIPNCENPSWDEQFIVPVAHPAHKVEFLVKDNDILGAELIGVVEIPVYKIISGNPVNDWFPIIGQYGNCLKPYPELHLSVQYKPIEVDRNQKLMNEDGTAYLGVSKTYFPLRKGGSVTLYQDAHIPDGMLPEIPLEGGKMFQQNKCWEDICHAILEAHHMIYIIGWSVYHPVRLIREAKKPVPSGGELSLGELLKYKSQEGLRVVVLIWDDRTSHDKFLLKTDGVMQTHDEETKKFFKHSTVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDSLGSGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVFHNDFHNPSFQQLSSNSCAPRQPWHDLHCKIEGPAAYDILTNFEQRWRKAKKWRDFRLKKVTNWHDDALLRLDRISWIVKPAPCPNGEKAVYVTDESDPESWNVQVFRSIDSGSVKGFPKDVDKAKAQNLLCGKNLKVDQSIHTAYVRAIRSAEHFIYIENQYFLGSSYHWPSYKNKAGANHLVPMELALKIAGKISVNERFSVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYKIVADALEKAGLSDQYHPQDYLNFYCLGKREPSSANVSSTPNPSENRALVAVKKFRRFMIYVHAKGMIVDDDYVIIGSANINQRSLDGSRDTEIAMGAYQPKYTWTESKPHPRGQVYGYRMSLWAEHLGSLDHCFSEPQSLECVRQVNTIAKQNWGIYVSEDGKYMRGHLMQYPVKISRDGKVSALDDHESFPDVGGKVLGSANSLPDQLTT >Vigun03g086700.2.v1.2 pep primary_assembly:ASM411807v1:3:7176849:7185327:1 gene:Vigun03g086700.v1.2 transcript:Vigun03g086700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGTSHGCPPREHEGHHDAVFLHGDLDLLIIEAKSLPNLDLSSEAVRKLCIAGATVARTRVIPNCENPSWDEQFIVPVAHPAHKVEFLVKDNDILGAELIGVVEIPVYKIISGNPVNDWFPIIGQYGNCLKPYPELHLSVQYKPIEVDRNQKLMNEDGTAYLGVSKTYFPLRKGGSVTLYQDAHIPDGMLPEIPLEGGKMFQQNKCWEDICHAILEAHHMIYIIGWSVYHPVRLIREAKKPVPSGGELSLGELLKYKSQEGLRVVVLIWDDRTSHDKFLLKTDGVMQTHDEETKKFFKHSTVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDSLGSGNNRKITAFIGGLDLCDGRYDTPEHRLFRDIDTVFHNDFHNPSFQQLSSNSCAPRQPWHDLHCKIEGPAAYDILTNFEQRWRKAKKWRDFRLKKVTNWHDDALLRLDRISWIVKPAPCPNGEKAVYVTDESDPESWNVQVFRSIDSGSVKGFPKDVDKAKAQNLLCGKNLKVDQSIHTAYVRAIRSAEHFIYIENQYFLGSSYHWPSYKNKAGANHLVPMELALKIAGKISVNERFSVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYKIVADALEKAGLSDQYHPQDYLNFYCLGKREPSSANVSSTPNPSENRALVAVKKFRRFMIYVHAKGMIVDDDYVIIGSANINQRSLDGSRDTEIAMGAYQPKYTWTESKPHPRGQVYGYRMSLWAEHLGSLDHCFSEPQSLECVRQVNTIAKQNWGIYVSEDGKYMRGHLMQYPVKISRDGKVSALDDHESFPDVGGKVLGSANSLPDQLTT >Vigun08g145200.5.v1.2 pep primary_assembly:ASM411807v1:8:31832134:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRPHTKDDKNDHTLVVHGLADACRFVCNDAKFLSRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun08g145200.3.v1.2 pep primary_assembly:ASM411807v1:8:31831705:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun08g145200.4.v1.2 pep primary_assembly:ASM411807v1:8:31831705:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRPHTKDDKNDHTLVVHGLADACRFVCNDAKFLSRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun08g145200.6.v1.2 pep primary_assembly:ASM411807v1:8:31832134:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRPHTKDDKNDHTLVVHGLADACRFVCNDAKFLSRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun08g145200.1.v1.2 pep primary_assembly:ASM411807v1:8:31831705:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRPHTKDDKNDHTLVVHGLADACRFVCNDAKFLSRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun08g145200.2.v1.2 pep primary_assembly:ASM411807v1:8:31831705:31838976:1 gene:Vigun08g145200.v1.2 transcript:Vigun08g145200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANKVSSSPILTKRTALCKSHEKHYFSSSTRINRIQFSRYRLEHGHLNHRCLHTQRSTIFSDWFWFINGKPVGFISKKSSISCKSTGANNTEEKECIRTYDDITDLTRGIMRLDARARQDVAFLGTEFLKLDARAREDTEKIDRDVKEKASWLSRIATLLKDKAQSRLKNAADEHWSDGALEADLRLADFRAKQRAMEDAFMALELIKNIHDRMVSKMYNFPLRRDKGSLSENNVRGRIMLEKNGKTTNSFPGDVTTERIAALQEAYWSMASALSEADGIDYTNPEELELLIRTLIDLDAMDGKQSVSLLVECSSSPDVSTRRALANALAAAPSMWTLGNAGMGALQRLAEDSNPTIAAAASKAIYELKKQWEIDEGDSWRFMMDENTTMEEKGNRESDNKEDNK >Vigun05g297300.1.v1.2 pep primary_assembly:ASM411807v1:5:48220807:48226075:1 gene:Vigun05g297300.v1.2 transcript:Vigun05g297300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDSNDNNPAPENPNKTLDPTLPDPVIVATEPVDDTNATEAAEVKTSPPAESGNDVPLSEKEKCNSRAERCGTGPASHESEPSKSEELKRKAVFSERNFEMEDKGIKQESNTSAAGVYEVPGEPAIVIDGVPDIIPSDCSIVLRDAPSKVETNVASGLGEWFEGREVRKWFMGRYYSGRVTDYDKDSRWYRVHYEDGDSEDLDWQELEEVLLPLDVTVPLNSLAEEVVRRARKWC >Vigun05g297300.2.v1.2 pep primary_assembly:ASM411807v1:5:48220952:48226076:1 gene:Vigun05g297300.v1.2 transcript:Vigun05g297300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDSNDNNPAPENPNKTLDPTLPDPVIVATEPVDDTNATEAAEVKTSPPAESGNDVPLSEKEKCNSRAERCGTGPASHESEPSKSEELKRKAVFSERNFEMEDKGIKQESNTSAAGVYEVPGEPAIVIDGVPDIIPSDCSIVLRDAPSKVETNVASGLGEWFEGREVRKWFMGRYYSGRVTDYDKDSRWYRVHYEDGDSEDLDWQELEEVLLPLDVTVPLNSLAEEVVRRGKISGPKSLKNVDHSQNPHIKRKTSKGQ >Vigun05g297300.4.v1.2 pep primary_assembly:ASM411807v1:5:48221112:48226670:1 gene:Vigun05g297300.v1.2 transcript:Vigun05g297300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDSNDNNPAPENPNKTLDPTLPDPVIVATEPVDDTNATEAAEVKTSPPAESGNDVPLSEKEKCNSRAERCGTGPASHESEPSKSEELKRKAVFSERNFEMEDKGIKQESNTSAAGVYEVPGEPAIVIDGVPDIIPSDCSIVLRDAPSKVETNVASGLGEWFEGREVRKWFMGRYYSGRVTDYDKDSRWYRVHYEDGDSEDLDWQELEEVLLPLDVTVPLNSLAEEVVRRARKWC >Vigun05g297300.3.v1.2 pep primary_assembly:ASM411807v1:5:48221112:48226670:1 gene:Vigun05g297300.v1.2 transcript:Vigun05g297300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDSNDNNPAPENPNKTLDPTLPDPVIVATEPVDDTNATEAAEVKTSPPAESGNDVPLSEKEKCNSRAERCGTGPASHESEPSKSEELKRKAVFSERNFEMEDKGIKQESNTSAAGVYEVPGEPAIVIDGVPDIIPSDCSIVLRDAPSKVETNVASGLGEWFEGREVRKWFMGRYYSGRVTDYDKDSRWYRVHYEDGDSEDLDWQELEEVLLPLDVTVPLNSLAEEVVRRARKWC >Vigun05g297300.5.v1.2 pep primary_assembly:ASM411807v1:5:48220807:48226074:1 gene:Vigun05g297300.v1.2 transcript:Vigun05g297300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDSNDNNPAPENPNKTLDPTLPDPVIVATEPVDDTNATEAAEVKTSPPAESGNDVPLSEKEKCNSRAERCGTGPASHESEPSKSEELKRKAVFSERNFEMEDKGIKQESNTSAAGVYEVPGEPAIVIDGVPDIIPSDCSIVLRDAPSKVETNVASGLGEWFEGREVRKWFMGRYYSGRVTDYDKDSRWYRVHYEDGDSEDLDWQELEEVLLPLDVTVPLNSLAEEVVRRARKWC >Vigun11g155900.1.v1.2 pep primary_assembly:ASM411807v1:11:36509814:36513353:1 gene:Vigun11g155900.v1.2 transcript:Vigun11g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSLSQVIQSTLLELPRTTGHVRVSAKSHRVSATSDHRPEGPHCIYVGPLETADKENLEALYCQARDAYYSGHPLIVDDMFDRVELRLRWYGSKSVVKYPRCSIRRQSTFADAEEDLSMVCALASTWAMFLAFGSLACVGPISYTVGMAYQNAFDSGVTLGSQTPGVGFLALLNSFIFLGLGVIIGYPVASASVKVLQGLWRNDLVALKGACPNCGEEVFAFVRMDRNIESPHRADCHVCECVLEFRTKVEQSVSRFGRQWIYGRIYLVSLRGRSRRQ >Vigun11g155900.2.v1.2 pep primary_assembly:ASM411807v1:11:36509963:36513099:1 gene:Vigun11g155900.v1.2 transcript:Vigun11g155900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSLSQVIQSTLLELPRTTGHVRVSAKSHRVSATSDHRPEGPHCIYVGPLETADKENLEALYCQARDAYYSGHPLIVDDMFDRVELRLRWYGSKSVVKYPRCSIRRQSTFADAEEDLSMVCALASTWAMFLAFGSLACVGPISYTVGMAYQNAFDSGVTLGSQTPGVGFLALLNSFIFLGLGVIIGYPVASASVKVLQGLWRNDLVALKGACPNCGEEVFAFVRMDRNIESPHRADCHVCECVLEFRTKVEQSVSRFGRQWIYGRIYLVSLRGRSRRQ >Vigun06g025900.6.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRGMWKD >Vigun06g025900.2.v1.2 pep primary_assembly:ASM411807v1:6:11851219:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRGESIPVRLFLGPYELTPTYHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITVYRLGENS >Vigun06g025900.7.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRGMWKD >Vigun06g025900.4.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRDEEDRRYFKQQEITVYRLGENS >Vigun06g025900.3.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRGESIPVRLFLGPYELTPTYHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITVYRLGENS >Vigun06g025900.5.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRDEEDRRYFKQQEITVYRLGENS >Vigun06g025900.1.v1.2 pep primary_assembly:ASM411807v1:6:11850213:11856866:1 gene:Vigun06g025900.v1.2 transcript:Vigun06g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAGAFKPPCKISISLTDGSTRKQVRIKKENGQMVTVPLFQCHDNIVGQVIIEPSNGKRVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGNLYERKTYSFEFSTVQMPYESYSGINVRLRYILKVTISRNYVNNIVEYMDFVVRNYSVPPPINNSIKMEVGIEECLHIEFEYGKSKYHLKDVIVGKIYFLLVRIKIKTMELEVRRRESTGSGTNTYVETETLSKFELMDGVPVRGESIPVRLFLGPYELTPTYHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITVYRLGENS >Vigun01g151100.1.v1.2 pep primary_assembly:ASM411807v1:1:33347888:33350408:1 gene:Vigun01g151100.v1.2 transcript:Vigun01g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMPGLQRLLRHTPLLPSHHDSIRLASTLTSPKLFVSGLSRLTTDEKLKEAFSSFGQLVEAKVITDRASGRSKGFAFVTYTSIEEAEKAREGMNAKFLDGWVIFVDPAKPREPRPPQHSRPQPSESGFTINKTVGWCG >Vigun04g158300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38039993:38041021:-1 gene:Vigun04g158300.v1.2 transcript:Vigun04g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELPDDVLLHIMHFMNTKTAVGTSLLSKRWNNVWKCLPTLCFSRSDFKTLASYYQFVHHVFSHRATSVPLHRLYFEACDIIARQIFHLYTSLLHYVPHLRIFLYHQSTKKCNYSIPFIFSSPSLTSLTLILSNQNTCRMKLPQSLKLPALKTLNLTNVCFTARDSTDERAEPFSSCFALNSLVLVGCSLSDNAEVLIVSNSNLSRFIHVKYSEVSRYKIVLSTPNLTHFGIRSSEAYDVSSTRDLALLEEANIDITDRASRVIVLRLLKMLSYVKILTLSECVLKEILSLSYFEHSSGRRIIPLRFVRLQTLKGKNTEQIEVFMKYFQNSQKTEVEVINF >Vigun10g009100.1.v1.2 pep primary_assembly:ASM411807v1:10:854463:860252:-1 gene:Vigun10g009100.v1.2 transcript:Vigun10g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAEPKLLPRNLPILVEVSKAHASGAREPGQRHNRQEVSGAAGISLREVNQLSKTRDVHVPLSHLASIREVAQLTNARIYLVQEDMGFDARSSEESLTFEPTMTWKGKGSSPEAEEFVPDVDTLKESSYSFEDGGPSLFAGASHPPEPVDTDLMRTVYVPIGQNKSEAGCLIKNLPTKGPFLEDLSIRVPAKKPNPSVLTPEQSLVEEINDIGNLAFLGPRASQNTENSLLPPDAEEKECVWDASLPPSGNVSPLSSIGSTGVVTAMSIVNSCASTYRSDAMTSDGMLSLDRNCDSTKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRLRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRSSYDGDPSKRAGGAFCVQPACIEPSSVCIQPACFIPRLFPQKNKKLRKPRADPGLPSSTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVENELPGKVGPADNAVGVGSTSKRIVGATTTTTDNMKSGGKYLDFEFF >VigunL041200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:395825:397715:1 gene:VigunL041200.v1.2 transcript:VigunL041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun08g110500.3.v1.2 pep primary_assembly:ASM411807v1:8:27484461:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKIIDFNFE >Vigun08g110500.4.v1.2 pep primary_assembly:ASM411807v1:8:27484678:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKIIDFNFE >Vigun08g110500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27484462:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKVRVEI >Vigun08g110500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27484664:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKVRVEI >Vigun08g110500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27484461:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKVRVEI >Vigun08g110500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27484478:27488017:1 gene:Vigun08g110500.v1.2 transcript:Vigun08g110500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFQLFGLSDGVIDFRKPNETWNRKHLGFSVFVCSKHGSSGLKSSLQYSERFSKCALNGVESSARVNNSLNFEESEIRHLHRLIRNGELEESSKFLEYMTNKGNIPDVIACTALIRGFCKIGRPKNATRIMGVLEVSGAVIDVTCYNVLISSYCKLGDTEEALRILDRMSVAPNAATYDAILCSLCDRGKLKQAMQVLDRQVQSRCYPDIVTCTVLIDAACKENGVGQAMKLFNDMRRKGCKPDVITYNVLIKGICHEGRLDEAIRFLNKLPSYGCQPDVISHNIVLRSLCSVGRWMDAMKLMANMLRKGCFPSVVTFNILINFLCQKGLLDKALNILEMMPKHGHTPNSRSYNPLIQRFCNEKGIDRAIEYLEIMVSRGCYPDIVTYNILLTALCKDGKADDAVEILRHLCSKGCSPSLVTYNTVIDGLLKVGKTERAVELFEEMNRIGLKPDIITYNIIIDGLLKMGKAKLAVELLEEMCSKGLKPDPITCTSVVGGLSREGKVHEAINFFHYMKSFGIRPKVFIYNSIMMGLCKAHQTSRAIDFLADMVTKGCKPTEATYSILVEGIIYEGLAEEASELLDELHSRGLVKKSLVEKVRVEI >Vigun04g146800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36215391:36220092:-1 gene:Vigun04g146800.v1.2 transcript:Vigun04g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTMSMKNPVRLGLMMFMVCVVSQVVHGEQKIRCIPEEREALLQFRAAIVDEYGMLSSWTTPHCCQWEGIRCTNLTSHIIGLHLPAGFPDEYDSEYKYMRGEIHKSLMELPQLQYLNLSSNLFEDSHIPEFLGSLKNLKYLDLSSCYFGGEIPSQFGSLSHLKYLNLASNSLNGSIPHQLGNLSQLQCLDLSYNNFEGNIPSQLGNLSQLHELYLASVYGINLAHEGKWLSNLFSLTHLDLSSVSNPNPSHSWLKEIAKLPKLRELSLVDCSLSDHFILSSTSFKFNFSTSLSVLDLSWNTFTSTMVFQWVSNITSNLVELDLSYNLLKGSISSDFVMVMNSLRHLDLSSNSFKDKDLKSLMNSCTLHSLNMAANNLTEDLSSILSNLSGGCVRHSLQEFDLSQNQITGNLLMLSGFSSLKELFLNQNNLSGEIPQDIMLPPNLESLSIMGNSFEGGIPKSFGNACALCSLDISYNSLSKEFSMIINNLSGCARNSLQELSLRGNKINGTLPDLSIFLTLKGLDLSENQLNGKVSEGSKLPSSLEYLSTQSNKFEGGIPKSFGNACALRSLDMSNNSLSEEFPKIIHHLSGCARYSLEELNLNMNQINGTLPDFSIFTSLKRLYVGENMLNGWIPRNIQFPPQLEILDIHSNYLEGVLTDYHFANVSKLQELYLFDNSLALVFTQNWVPPFQLFFINLRSCKLGPTFPKWLKTQNKFYYIDISNASISDVVPEWFWANLQLQEMISVDISYNNLRGIIPNFPPKYLLHYVSLGSNQFDGPIPQFLRNSGVLDLSKNKFSSSSLFLCLNGTIETLYQLDLSNNRLSGQIPDCWSHFKSLTYLDLSYNNFSGKIPTSMGSLFDLQALLLRNNNLTSGIPLSLRSCTKLVMLDMAENKLSGIFPAWIGSSLKKLQFLSLRSNNLYGTLPIQICYLRNIQLLDLSINYLFGKIPKCIKNFVAMAKKTSSEGYHHYSYHIGKLGGTNSYELNEILMWKGSKQMFMNEELSLLKSIDFSSNHFSKEIPMEIEDLFELVSLNLSRNNLIGKIPSNIGKLASLEFLDLSRNKLVGSIPTSLAQINRLTMLDLSHNYLSGEIPIGTQLQSFNASSYENNLDLCGLPLEKLCIKPAQDKNLKIHQDEYSFLNNDFLISMAFGFVISFLMVFFSILFERSWRHAYFKFLNKLADNIYVKVAVFR >Vigun01g066325.2.v1.2 pep primary_assembly:ASM411807v1:1:17647644:17655845:-1 gene:Vigun01g066325.v1.2 transcript:Vigun01g066325.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLAKTCIMIRNLLKSAVANKSTCWTTKKSTNWTTNKSTNRTTNKVQPKTGRNSTRYWFVDAIDEHGHAQSLKVKVKDVHNLPVGLRVVVNYDDRYQPIGEASGLLAAVCEHVASNSVLFLISFESWSAVSDTYKDNAWERTLKSRFIFKVNKELAKRDVIFRIGKLWREYRCKLWNEFYDPLMSKNDLIKNVPDCVNMDQNKEIRKKQSIPHTGGAMALSRRRDNLKIETGRNIGRAEMWKITHKRKNGTYVNEEAMEIGLMLNNPEIASDISPNDLIGLIFGKEHPGRVRGLSHGACPTLAFKQSTT >Vigun01g066325.1.v1.2 pep primary_assembly:ASM411807v1:1:17647271:17655818:-1 gene:Vigun01g066325.v1.2 transcript:Vigun01g066325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLLKSAVANKSTCWTTKKSTNWTTNKSTNRTTNKVQPKTGRNSTRYWFVDAIDEHGHAQSLKVKVKDVHNLPVGLRVVVNYDDRYQPIGEASGLLAAVCEHVASNSVLFLISFESWSAVSDTYKDNAWERTLKSRFIFKVNKELAKRDVIFRIGKLWREYRCKLWNEFYDPLMSKNDLIKNVPDCVNMDQNKEIRKKQSIPHTGGAMALSRRRDNLKIETGRNIGRAEMWKITHKRKNGTYVNEEAMEIGLMLNNPEIASDISPNDLIGLIFGKEHPGRVRGLSHGACTRCWKWCSITYWYKKIKRDRKDKLGT >Vigun11g017100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2153519:2154577:-1 gene:Vigun11g017100.v1.2 transcript:Vigun11g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTILLDFTKKKKKTMDEKQVSEEKKPSQDCNELIVSLPREKGWITPYLHLFQGFWYSSSEIQAISTFQNHFQAKDNDIVVASVPKSGTTWLKALTFAIVKRENFPSLENHPLLVFNPHELVPPFEFVIYDETNWQVHELPKMPEPRIFGTHVPFSSLAKSIKETNTKIVYICRNLFDTFISTWVFVNRIMPEFLPALPLEEAFERYCKGIIGFGPSWNHILGYWRESIARPDKVLFLKYEDVKEDVVFNVKKIAEFLGCPFTKEEESNGVIENIIKLCSFENMKELKVNKCGTMGKGRIVENKYFFRKAEMGDWVNYFSPSMVEKLSKIIEEKLSGSDLSFRVYDLNNSI >Vigun11g177200.1.v1.2 pep primary_assembly:ASM411807v1:11:38157514:38158743:-1 gene:Vigun11g177200.v1.2 transcript:Vigun11g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLSEAPKYKLITPSILSDRLRINGSLARKAIRELMARGSIRMVSAHASQQIYTRATNT >Vigun01g164000.1.v1.2 pep primary_assembly:ASM411807v1:1:34562779:34564688:-1 gene:Vigun01g164000.v1.2 transcript:Vigun01g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGRPEEATHPDTLKAALAEFISTLIFVFAGSGSGIAYNKLTDNGAATPAGLISASIAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITFLRGVVYIIAQLLGSVVASLLLAFVTSSTVPAFGLSAGVGVGNALVLEIVMTFGLVYTVYATAVDPKRGSLGTIAPIAIGFIVGANILLGGAFSGAAMNPAVTFGPAVVSWTWDNNWIYWVGPLIGGGLAGVIYEVVFISHTHEQLPTTDY >VigunL059600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000451.1:8951:9565:1 gene:VigunL059600.v1.2 transcript:VigunL059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIEYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRIKTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWKAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIARDTLF >Vigun01g003200.1.v1.2 pep primary_assembly:ASM411807v1:1:358214:361286:1 gene:Vigun01g003200.v1.2 transcript:Vigun01g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAHTQFLEKWLTICSGTTTTATANSTAKTTARAIVQAWTTLRDSLQSPSALDPQQLHQSLQTLINSQSSLHVAEPQAKLLLTLLQSPTSRRSFPLLLTLLYVWVRKSPNPNPTIVDSALTILSSNVSDDAIFPESLLLLGAFSSSHTVSEKTKTLCLDMMVKLLVGLNKGKLFSEMPRVLAGIGYALSSSVTVYCVEMLGLLFRIWGKGQGCVTHGLMVLYMFDWVVENLIGFGYSDKMGVLVREGFGRFEEEHASFAVFMAAVGVLRALERRGVGADLVSGMGDLVSRRLRFGYSGDNEDDVEEDRCLLRCASLGLTRTVAFSLHSSLFVCLALALLTEIFPLPRLYQSVSEKSRESSGVELKEIREHLNGVLFKEAGAVTGVLCSQYSLADEESKNVVENLMWEYCLDVYSGHRQLTVMLKGEKDELLEGLEKIAESAFLMVVVFALAVTKHKLNSSFSQEIQMDVSLKILVSFSCMEYFRHVRLPEYLETIRKVVAGVKNEHACTSFVNSMPSYADLTDSPDQKTNYIWSKNEVQTARVLFCLRVIPTFVECLPSLVFRNVVAPIMFLYMEHPNDKVARASHSVFMTFMTMGRDSEKNDEANDEASLKEQLVFHYMQRSLLGYPGVTPFEGMASGVVGMVQHLPAGSPAIFYCIHSLVEKANKLCSEVFTYEADAWKKWQGEPEPSKKLMDLLLRLVFLVDIHVLSDLMKLLAQLITKLPRDAQNIVLNELYSQVADSDDVVRKPTLVSWLQSLSYLCTKATDQNATNRKSESGDSLTLASIADPSNSGRITARL >Vigun06g184500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30403096:30403479:1 gene:Vigun06g184500.v1.2 transcript:Vigun06g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAKRNICESALKVALLCVVALGSALAAENAASEQLWRPPPPSARNHRGGFSGTFSSGPYRGPPHYELSPPPPPHHGFSSGPYHGPPLYEIPPPPPRRLSSSYGSFSSGPYHGPPLYEIPPPPPAA >Vigun04g144100.1.v1.2 pep primary_assembly:ASM411807v1:4:35774658:35779362:-1 gene:Vigun04g144100.v1.2 transcript:Vigun04g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIVLYPNIGRGHLVSMVELGKLILSHHPSFSITILILTPSSNATFTQACNSNARYIAAVSATIPAITFHSVPMAQLPLDTPSLPPHLISLELSRHSTQNVALALQSLAKASNIKALVMDFLNFSNPKTLTQNLTTNFPTFFYYTSAASSLIVLFYFSSVFPKQVQDQRFLLRFPGLPAMSMDDFPNESSDPLSHTNQIFDQVGEAMKGSSGIIINTCEAIEEKAIAVLLNEDATVPPLFCVGPLISAPYGEEDKGCLSWLESQPSQSVVLLCFGSMGWFSRGQLKEIAIGLEKSEQRFLWVVRTELEGGDSEEEKPSLDELLPEGFLDRTKEKGLVVRDWAPQREILSHDSVGGFVTHCGWNSVLEAVCEGVPMVAWPLYAEQKLNRVFMVEEMKVALALKEEKDGLVSGSELGERVRELMESDTGKEIRQRIFKMKLSAAEALGEGGTSRVALDKLAMLCKSSFTI >Vigun10g115700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32011299:32015108:1 gene:Vigun10g115700.v1.2 transcript:Vigun10g115700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPASPHTAVAAVSHRREMILCGVIGGALFLTALIVSVTVFLYRKLSYNRTAPFEHNQRRFSYSVLRRATNSFSPSTKLGHGGFGSVHKATLPSGQTVALKVMDSPGSIQGEREFHNELTLCSNLKSPFVISLLGFSSDRRGRKLVLVYELMPNRSLQDALLDRRCPELMCWGKRFDIAVSVAMGLEYLHHACEPPVIHGDIKPSNVLLDEDFGAKIGDFGLARVKAVEDLGMVEEKKEGVVEIGVEEFGVMEEGESVSVVDVDRSPESCPVRVVDYSDASPVGGDKLSVVSDGCFESVDSENVNLNKKKGGGGSGRDWWWRQESGGGGESGRVKDYVMEWIGSEIKKERPKSEWIDSCSSSSPKVENENRNVNGNEKSKKKERKRLDWWASLDEEKVKSKVKKNRKPREWWKEEFCEELSKKSKKKKRGLEWWQREEEGVEQKKKRKSKGSRGSIDWWLDGLSGEIRNNGRRNSQDWGTSGDVPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVAGRRPLQVTASPISEFERANLISWARQLAHNGRLLDLVDTSIHSLDKEQALLCITIALLCLQRCPGKRPSMKEVVGMLNGEADPPHLPFEFSPSPPSNFLFKGRKKAR >Vigun10g115700.1.v1.2 pep primary_assembly:ASM411807v1:10:32011299:32014334:1 gene:Vigun10g115700.v1.2 transcript:Vigun10g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPASPHTAVAAVSHRREMILCGVIGGALFLTALIVSVTVFLYRKLSYNRTAPFEHNQRRFSYSVLRRATNSFSPSTKLGHGGFGSVHKATLPSGQTVALKVMDSPGSIQGEREFHNELTLCSNLKSPFVISLLGFSSDRRGRKLVLVYELMPNRSLQDALLDRRCPELMCWGKRFDIAVSVAMGLEYLHHACEPPVIHGDIKPSNVLLDEDFGAKIGDFGLARVKAVEDLGMVEEKKEGVVEIGVEEFGVMEEGESVSVVDVDRSPESCPVRVVDYSDASPVGGDKLSVVSDGCFESVDSENVNLNKKKGGGGSGRDWWWRQESGGGGESGRVKDYVMEWIGSEIKKERPKSEWIDSCSSSSPKVENENRNVNGNEKSKKKERKRLDWWASLDEEKVKSKVKKNRKPREWWKEEFCEELSKKSKKKKRGLEWWQREEEGVEQKKKRKSKGSRGSIDWWLDGLSGEIRNNGRRNSQDWGTSGDVPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVAGRRPLQVTASPISEFERANLISWARQLAHNGRLLDLVDTSIHSLDKEQALLCITIALLCLQRCPGKRPSMKEVVGMLNGEADPPHLPFEFSPSPPSNFLFKGRKKARD >Vigun10g115700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32011299:32015108:1 gene:Vigun10g115700.v1.2 transcript:Vigun10g115700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPASPHTAVAAVSHRREMILCGVIGGALFLTALIVSVTVFLYRKLSYNRTAPFEHNQRRFSYSVLRRATNSFSPSTKLGHGGFGSVHKATLPSGQTVALKVMDSPGSIQGEREFHNELTLCSNLKSPFVISLLGFSSDRRGRKLVLVYELMPNRSLQDALLDRRCPELMCWGKRFDIAVSVAMGLEYLHHACEPPVIHGDIKPSNVLLDEDFGAKIGDFGLARVKAVEDLGMVEEKKEGVVEIGVEEFGVMEEGESVSVVDVDRSPESCPVRVVDYSDASPVGGDKLSVVSDGCFESVDSENVNLNKKKGGGGSGRDWWWRQESGGGGESGRVKDYVMEWIGSEIKKERPKSEWIDSCSSSSPKVENENRNVNGNEKSKKKERKRLDWWASLDEEKVKSKVKKNRKPREWWKEEFCEELSKKSKKKKRGLEWWQREEEGVEQKKKRKSKGSRGSIDWWLDGLSGEIRNNGRRNSQDWGTSGDVPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVAGRRPLQVTASPISEFERANLISWARQLAHNGRLLDLVDTSIHSLDKEQALLCITIALLCLQRCPGKRPSMKEVVGMLNGEADPPHLPFEFSPSPPSNFLFKGRKKAR >Vigun11g209800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40532718:40533272:1 gene:Vigun11g209800.v1.2 transcript:Vigun11g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRRKLNVCEEYVGDVFFGNDDHSELNNYMYKEDVIESVRRERELKELRKREKKRRSSYFGQCFDFFALLRALFHL >Vigun06g056700.5.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18334374:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEHAPYLAREQNLSVIDAVIDILRKTDFSEPGAPNVMIQSTNSSVLLKFKEKTKYELVYMIDEIVGDIDDSALSDIKTFAHSVVIRKVSVYLTNELFLTASTKTVPKSNCPHQHICSGCWN >Vigun06g056700.8.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18327796:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEVRSVQAIISVIQS >Vigun06g056700.4.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18334374:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEHAPYLAREQNLSVIDAVIDILRKTDFSEPGAPNVMIQSTNSSVLLKFKEKTKYELVYMIDEIVGDIDDSALSDIKTFAHSVVIRKVSVYLTNELFLTASTKTVPKSNCPHQHICSGCWN >Vigun06g056700.3.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18334374:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEHAPYLAREQNLSVIDAVIDILRKTDFSEPGAPNVMIQSTNSSVLLKFKEKTKYELVYMIDEIVGDIDDSALSDIKTFAHSVVIRKVSVYLTNELFLTASTKTVPKLKSSNLSVYVQIFHNEFISQAWDFLSDPTVLINTFVQDAGIDGVITGFLKTADRYRRNRCLNLGDSTPNYMKPVEIGGLFQFIDKSSLPPAIPLPSLIDANVTEPPLAPSSEIAPSSSIGGAPGAQPPHNAQPKFVVCFTMSSLTPLLASLLI >Vigun06g056700.2.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18334374:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFVRSVSTTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEHAPYLAREQNLSVIDAVIDILRKTDFSEPGAPNVMIQSTNSSVLLKFKEKTKYELVYMIDEIVGDIDDSALSDIKTFAHSVVIRKVSVYLTNELFLTASTKTVPKLKSSNLSVYVQIFHNEFISQAWDFLSDPTVLINTFVQDAGIDGVITGFLKTADRYRRNRCLNLGDSTPNYMKPVEIGGLFQFIDKSSLPPAIPLPSLIDANVTEPPLAPSSEIAPSSSIGGAPGAQPPHNAQPKFVVCFTMSSLTPLLASLLI >Vigun06g056700.7.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18329492:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIECLRVFLNQFQEVFACFPSPISGKATISESVTDLECWTMERPVELIQSSFSFSESAMKYRVSERVESSNALGLFRCLRHL >Vigun06g056700.6.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18329493:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIECLRVFLNQFQEVFACFPSPISGKATISESVTDLECWTMERPVELIQSSFSFSESAMKYRVSERVESSNALGLFRCLRHL >Vigun06g056700.1.v1.2 pep primary_assembly:ASM411807v1:6:18319396:18334374:1 gene:Vigun06g056700.v1.2 transcript:Vigun06g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKEHPRRSVVEYTVNVSYISSPEAGFLRSIRTDINPKTTKLVFRFMEKGEVDPSTNQTYASLLKHLTSIKTFASGILVPKDYIWPVDPKSHYLQPHTSLVSDAHTVGLEVFASTFVNDMPISYDYSYDPIAEHLGFIENGNFSVDGLLSDFPLTSSTAIDCFAHLGLNDPKKVNTLVISKYGASGDYPPCTDLAYEKAFSDGADVLDCPVQMSKDGIPFCFRSIDLIPNTNVAQSRFSNLSKSIPEIQSSDGIFAFDLSWNDISSLTPSMLNQFSRYNLYRNPKFNDKGSLITLSEFLNFTQGRTSPLGVVIIIEHAPYLAREQNLSVIDAVIDILRKTDFSEPGAPNVMIQSTNSSVLLKFKEKTKYELVYMIDEIVGDIDDSALSDIKTFAHSVVIRKVSVYLTNELFLTASTKTVPKLKSSNLSVYVQIFHNEFISQAWDFLSDPTVLINTFVQDAGIDGVITGFLKTADRYRRNRCLNLGDSTPNYMKPVEIGGLFQFIDKSSLPPAIPLPSLIDANVTEPPLAPSSEIAPSSSIGGAPGAQPPHNAQPKFVVCFTMSSLTPLLASLLI >Vigun06g059500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18674759:18677620:1 gene:Vigun06g059500.v1.2 transcript:Vigun06g059500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFRSSVLGEGEKHKSEVFSGNSSPLSKDIEVNGKEVVMSNVEEYLGVLDVYIHQARDIQNICIYHKQDVYARICLTSNPENTVSTKTINGGGRNPVFNENLQVNVRTVDASLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVQNGKLEKEFSLSSTDLFHSPSGFVQLTLSYNGASPDVMAISAMPSKVATDAPVQDSETSESLAREFDKIEFPDPKIVNEDHLMVSEYFGIPCEETQCSDSLATSDAENRSCEAGVRLVESFSACSGESVQPPKVDSPPSSVSTNGVSSPSVPASSESSDAAASKSPIQEQVSGTKEDKNVEAKDGDSDSSSGVPSDSFPKPVVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPMDFESEPTSSGNSTTEQKLQPSKSNNSRVFYGSRAFF >Vigun06g059500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18674844:18677472:1 gene:Vigun06g059500.v1.2 transcript:Vigun06g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFRSSVLGEGEKHKSEVFSGNSSPLSKDIEVNGKEVVMSNVEEYLGVLDVYIHQARDIQNICIYHKQDVYARICLTSNPENTVSTKTINGGGRNPVFNENLQVNVRTVDASLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVQNGKLEKEFSLSSTDLFHSPSGFVQLTLSYNGASPDVMAISAMPSKVATDAPVQDSETSESLAREFDKIEFPDPKIVNEDHLMVSEYFGIPCEETQCSDSLATSDAENRSCEAGVRLVESFSACSGESVQPPKVDSPPSSVSTNGVSSPSVPASSESSDAAASKSPIQEQVSGTKEDKNVEAKDGDSDSSSGVPSDSFPKPVVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPMDFESEPTSSGNSTTEQKLQPSKSNNSRVFYGSRAFF >Vigun06g059500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18674759:18677620:1 gene:Vigun06g059500.v1.2 transcript:Vigun06g059500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFRSSVLGEGEKHKSEVFSGNSSPLSKDIEVNGKEVVMSNVEEYLGVLDVYIHQARDIQNICIYHKQDVYARICLTSNPENTVSTKTINGGGRNPVFNENLQVNVRTVDASLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVQNGKLEKEFSLSSTDLFHSPSGFVQLTLSYNGASPDVMAISAMPSKVATDAPVQDSETSESLAREFDKIEFPDPKIVNEDHLMVSEYFGIPCEETQCSDSLATSDAENRSCEAGVRLVESFSACSGESVQPPKVDSPPSSVSTNGVSSPSVPASSESSDAAASKSPIQEQVSGTKEDKNVEAKDGDSDSSSGVPSDSFPKPVVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPMDFESEPTSSGNSTTEQKLQPSKSNNSRVFYGSRAFF >Vigun04g191350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41566854:41567858:-1 gene:Vigun04g191350.v1.2 transcript:Vigun04g191350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEECERKTAAFARLEFLKVYQCPKLKGLPDQLVKVKYLDICGSMKASCLERCEHTVSHNSLEDLNFCDFLIMNIPMSCSFDLLEQIGIISSCVSLTTFPLDFFPNLKSLFLLECRNLQIISQKHTHNRLMHLTIVSCSRFESFPSEGVSAPRLQIIKIDGAGNLKLLPKRMQILLPSLSELQIMNCPKVEMFPDEGLPPNVKDVSLSSFKLMASLRETLGTNTCLQSLSIKNMDVKFFPDEILLPHSITSLRINNFPNLKKMHYKGLCHLSSLTLHACPNLQCLPEDGLPKSISSLEIWNCPLLEQRCQNPQGQDWKKIAHIEKLSVRSKF >Vigun07g104400.1.v1.2 pep primary_assembly:ASM411807v1:7:19041838:19046114:-1 gene:Vigun07g104400.v1.2 transcript:Vigun07g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAALPPAHGGDAATDGKRGKRRGSYNCGRCGLPKKGHNCTIKTPVSASITTAPPDSSLSVVSVPSSAASGLRHPSSSLRRALSFDDLDEGGSGFDPSEQEERVDADLFSETDPDLDLDAESCGLPVNLLWDVMRRLPPAGLLSAAKVCRGWRETAKRIWRAAEELKLRVPVNVTVGFVASMLQKCPGIVRLSLRMESDFDSTMLACVAFSCPNLESMEISTFDAATIRINGDELGRFVADKKSLKSLKMEGCSNLGCFFLCSSSLSTLWLSDLHSLSKMVFNCPQLREISLEFSCQENDSTDLTTMIEGLGRSCPRLQNIHIASERLSHSAVLALTAAQLRGLRMLSLVLGSEITDASVAAISSSYPNLELLDLSGSSVSDSGISMICNVFPDTLKRLLLAVCPNVTSSGIQFATFQLPLLEIMDCGMTICDPNLQNPAADENNCKLQKTSVSNVHLINQKLIIKHSRLRKLSFWGCTGLDALYLNCPRLIDLNLNSCTNLHPGRLLLQCPTLENVHASGCQDMLIGAIQSQVRDASYAATENHSPSKRLPDGSKRVQVPHLLSEESPEPEKKRRRIDRQHCNVLRG >Vigun07g147200.2.v1.2 pep primary_assembly:ASM411807v1:7:25812126:25820745:-1 gene:Vigun07g147200.v1.2 transcript:Vigun07g147200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSGTVNPHSRTGVRIVVAGDQGTGKSSLIITAAADNFPVNVPPVLPPTRLPEDLYPDRVPITIIDTSSRAEDSDKVAEELQRADTVVLTYACDRPETLENLSAFWLPHLRKLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSACRHIQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKKVVQEKMSEGVNDRGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDDIKLADDLIPPVKRAPDQSVELTNEAIEFLKAIFDAFDGDRDGMLRPRELEELFSTAPESPWTGIPYEDAAEKNAFGGLSLDAFLSEWALMTLLNPTLSMENLIYIGYPGDPSSTIRVTRRRRLDRKKQHSDRNVLQCFVFGPRKAGKSALLNSFIGRPYSEGYNPTNEDRYGVNVVDVSMENKKYLVLREIPEDGVRKLLSNKESLASCDIAVFVHDRSDESSWRTSSELLVEIASHGEDTGFEVPCLIVAAKDDLDSFPMAIQESTRVSQDMGVEAPIPISVKLGDFNGLFRKIVTAAEHPHLSIPETEAGRSRKQYHRLINRSLMAVSVGAAVVVVGLAAYRVYSARKNASG >Vigun07g147200.1.v1.2 pep primary_assembly:ASM411807v1:7:25812126:25820745:-1 gene:Vigun07g147200.v1.2 transcript:Vigun07g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSGTVNPHSRTGVRIVVAGDQGTGKSSLIITAAADNFPVNVPPVLPPTRLPEDLYPDRVPITIIDTSSRAEDSDKVAEELQRADTVVLTYACDRPETLENLSAFWLPHLRKLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSACRHIQVPEVFYYAQKAVLHPTAPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKKVVQEKMSEGVNDRGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDDIKLADDLIPPVKRAPDQSVELTNEAIEFLKAIFDAFDGDRDGMLRPRELEELFSTAPESPWTGIPYEDAAEKNAFGGLSLDAFLSEWALMTLLNPTLSMENLIYIGYPGDPSSTIRVTRRRRLDRKKQHSDRNVLQCFVFGPRKAGKSALLNSFIGRPYSEGYNPTNEDRYGVNVVDVSMENKKYLVLREIPEDGVRKLLSNKESLASCDIAVFVHDRSDESSWRTSSELLVEIASHGEDTGFEVPCLIVAAKDDLDSFPMAIQESTRVSQDMGVEAPIPISVKLGDFNGLFRKIVTAAEHPHLSIPETEAGRSRKQYHRLINRSLMAVSVGAAVVVVGLAAYRVYSARKNASG >Vigun07g077000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:10339828:10340559:-1 gene:Vigun07g077000.v1.2 transcript:Vigun07g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIIKGNRNKRQREPSFANPTSITSTSTNNSIDSATTSTTTTTTPIESIEEEQDMVNCLILLAQGTHNITHKSSTNTRVFRCKTCDKCFPSFQSLGGHRTSHRKPKHYTTHDVEPVTATTSVRDNDLTTSTTLSLKPPSRVNNFTVATRVHRCSTCYAEFSSGQALGGHMRRHRNLVNASSTTSMSCGDDRGGSQECHGDKKPLNWDLNLPAPEEDLGESKFSFQRRENVIVFSSSLVNCNY >Vigun03g412300.1.v1.2 pep primary_assembly:ASM411807v1:3:61961233:61963238:-1 gene:Vigun03g412300.v1.2 transcript:Vigun03g412300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFISLIQALMPTFLQQRQRYQILISAYMLLHCKQRGVLVRFNWTLFSHKVVYGCSGCSSKRYLFVFYIEERVLYMNSKFIFYQAAEMKMYAFYSKHLYIPHLVYNAWCIFITSSRLPPGS >Vigun05g152200.2.v1.2 pep primary_assembly:ASM411807v1:5:23600736:23603204:-1 gene:Vigun05g152200.v1.2 transcript:Vigun05g152200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRMESAETHRNKCAACFRQFNKLEHLVEHMRISYHSVHEPTCGICRKHCRSFESLREHLIGPLPKQECRDMFAYRGCKFCLKVFDSPNSRRIHQEKCQHSGTNAGIIGRFSNLGLRDNLAISGGVRGPQVVALACKMVGGGSDGSLDLCGRVCLIDEHENIIFHSYVKPPIPVTNYRYETTGIRPEYLRDAMPMKQVQRRIQDFLCNGEPMWTIRARGGRARILVGHGLDHDLECLQIEYRTEKIRDTAKYPPLMKTSKLSNSLKYLTQTYLGYDIQVGIQDPYDDCVATMRLYMRMRSQIHRTQDYPLVSDPQNRNNFASWRQSELERMTPEQMLEISRSDYYCWCLDSLYP >Vigun05g152200.1.v1.2 pep primary_assembly:ASM411807v1:5:23600736:23603204:-1 gene:Vigun05g152200.v1.2 transcript:Vigun05g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHITYTSLNKCAACFRQFNKLEHLVEHMRISYHSVHEPTCGICRKHCRSFESLREHLIGPLPKQECRDMFAYRGCKFCLKVFDSPNSRRIHQEKCQHSGTNAGIIGRFSNLGLRDNLAISGGVRGPQVVALACKMVGGGSDGSLDLCGRVCLIDEHENIIFHSYVKPPIPVTNYRYETTGIRPEYLRDAMPMKQVQRRIQDFLCNGEPMWTIRARGGRARILVGHGLDHDLECLQIEYRTEKIRDTAKYPPLMKTSKLSNSLKYLTQTYLGYDIQVGIQDPYDDCVATMRLYMRMRSQIHRTQDYPLVSDPQNRNNFASWRQSELERMTPEQMLEISRSDYYCWCLDSLYP >Vigun02g132500.1.v1.2 pep primary_assembly:ASM411807v1:2:28335041:28339801:-1 gene:Vigun02g132500.v1.2 transcript:Vigun02g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPQDSSSDNNEKPDDSTPDNSTPDNSTPDDADNSSSPPSNSSPPPSSPPPSSPPPSPPQSSSSPSPPPPSEKSSPSPPTSTNPTPPSPNTSPQTPSRPTSSHSPPTFKSPTPPHKSLQPPPASKNSGSGSSGKHGKSESSNRGSQDNSKAIVGAVLGVGSVLLVLVIVCVICSRKKKKKRMYYYGEQSLGKGNNNYYNSGGQHPGYYGGPHGDHVVRMQNGMGMGPGGGGGGGGGWGAPPPMMHSADMSSNYSTGPPPLPPPSPTLALGLKGGTFTYEELAAATNGFAESKLIGQGGFGYVHMGVLPNGKEVAVKSLKAGSGQGEREFQAEIDIISRVHHRHLVSLVGYSISGGQRMLVYEFIPNNTLEYHLHGKGRPTMDWPTRMRIAIGAAKGLAYLHEDCHPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDHTSAMDDSLVDWARPLLNRGLEEDGNFGELVDPFLEGNYNPQELTRMAACAASSIKHSSKKRPKMSQIVRILEGDVSLDDLKDGVKVPQSNAFNSSSGSDQYDTMQYNADIEKFRKAVFSSQEYGMSSGGSSSEVSQKQRL >Vigun09g244800.2.v1.2 pep primary_assembly:ASM411807v1:9:41360463:41369526:-1 gene:Vigun09g244800.v1.2 transcript:Vigun09g244800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGNSQDVVVPPVEGVAGGGTAYGWNDGGTLGLNVKGPVDPTEIPTRDLVHVWCMPNTANVGPQDMARHLEPINLLAARNERESVQIAIRPKVSWGGASAAGTVQIQCNDLCSTSGDRLIVGQSLRLRRVVPILGVPDALVPVGLPVSQINLFPGETTALWLSIDVPSSQPPGQYEGEILITAIKADAESPVQSLSKVEKHQLYRDLKGCLDVVEPIDGKPLDEVVERVKSATASLRRILLSPSFSEFISDNGPVDIMDEDAISNLSLRVKLSLTVWEFVLPETPSLPAVFGISDTVIEDRFGVQQGTAEWYEALDQHFKWLLQYRISPYFCKWADGMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYKQVISGNDAAKDYLQKQVEILRTKTHWRKAYFYLWDEDQMMRLLHLLHLRLLLKFQVFCVLIIKFIVQVNGFWAIGKIWLRILLLNYNQRMVRNGGHMSVWDHQILIQIGILECEVPNTVPSCGVCGKRVAQDFYTGGPTAMRRQL >Vigun09g244800.1.v1.2 pep primary_assembly:ASM411807v1:9:41360463:41369526:-1 gene:Vigun09g244800.v1.2 transcript:Vigun09g244800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGNSQDVVVPPVEGVAGGGTAYGWNDGGTLGLNVKGPVDPTEIPTRDLVHVWCMPNTANVGPQDMARHLEPINLLAARNERESVQIAIRPKVSWGGASAAGTVQIQCNDLCSTSGDRLIVGQSLRLRRVVPILGVPDALVPVGLPVSQINLFPGETTALWLSIDVPSSQPPGQYEGEILITAIKADAESPVQSLSKVEKHQLYRDLKGCLDVVEPIDGKPLDEVVERVKSATASLRRILLSPSFSEFISDNGPVDIMDEDAISNLSLRVKLSLTVWEFVLPETPSLPAVFGISDTVIEDRFGVQQGTAEWYEALDQHFKWLLQYRISPYFCKWADGMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYKQVISGNDAAKDYLQKQVEILRTKTHWRKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARILTTYYCGPNDAPLAPTPFEAFVKVPSFLRPHNQIYCTSEWVLGNREDLVKDIIAELQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVASAEIKFRHGLPPGDGVLYYPGEVFSTSHQPVASLRLERILNGLQDIEYLRLYASRYGRDESIALLERTGVYFGPERYTFEHMPIDAMRGQIFNACRS >VigunL035801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000507.1:26019:26562:1 gene:VigunL035801.v1.2 transcript:VigunL035801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHFIPPSFRITKEQQARIENFIDIGDAFIQNLAKFSRLEKDFKHNLDLLLSEQRKRAKHKKEQAKLKKLREYEKKREKEELEKLCAKREQEEKEIKERKKRDEEEKAKEEIKEHFKSKKGTHC >Vigun03g423800.1.v1.2 pep primary_assembly:ASM411807v1:3:62929156:62931968:-1 gene:Vigun03g423800.v1.2 transcript:Vigun03g423800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAKASSDSDSFKPYTLSQTLTGHKRAISAVKFSSNGRLLASSSADKTLRTYGFTNSDSDSDSLSLSPMQEYSGHEQGVSDLAFSSDSRFLVSASDDKTLRLWDVPTGSLIKTLQGHTNYVFCVNFNPQSNVIVSGSFDETVRVWDVKSGKCLKVLPAHSDPVTAVDFNRDGTLIVSSSYDGLCRIWDASTGHCVKTLIDDENPPVSFVKFSPNAKFILVGTLDNTLRLWNYSTGKFLKTYTGHVNSKYCLSSTFSITNGKYVVGGSEDNSIYLWELQSRKIVQKLEGHSDTVVSVSCHPTENMIASGALGNDKTVKIWTQQKE >Vigun10g149100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36753172:36754176:1 gene:Vigun10g149100.v1.2 transcript:Vigun10g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPILIRPWSRLASLRSPSAAESQPKPQLPQPSLKTTNTNSHDTNATVTKSLPTTPVNSQNLKSTTPMIFPPAKLKVHPHPVVELTIEKPNSNGNASVKHESEETKNQKQVIGPNETKGKGIHTKLSEGHGVRVITISGENRGAYMQITRSHKKKPFQKTGNSDGEKVRTLSSPPNRALYGNSNVQCVNNSMLFNTSLSHHEPGVHLVVPKKPFGKGLLLKERGEVQCN >Vigun11g010300.1.v1.2 pep primary_assembly:ASM411807v1:11:1242135:1244814:-1 gene:Vigun11g010300.v1.2 transcript:Vigun11g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAIGSRVESFRNSPLLRSSLNFFRAFSSDSSSTSSSAVSSAQNPKKSKRRKKKNLFEVAQFLPNWGIGYHMAKSHWNEVSYEITKLNLYKDGRHGKAWGIAYKSGLPLADAPKKISGVHKRCWKYLPNVVKASESSTNSTSPTDTGLKGETEAS >Vigun09g114400.1.v1.2 pep primary_assembly:ASM411807v1:9:25100126:25105087:-1 gene:Vigun09g114400.v1.2 transcript:Vigun09g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNGATSEKLAETDWAKNIEICELVAHDRRQARDVVKAIKKRLGSKHPDTQLYAVMLLEMLMNNIGEHIHEQVIDTGIIPILVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYNAYYELVSAGVQFSQRDQVVQSNHPSSQPSRTNNVPNREQAAPRHEAVAPQAESQTVPESSIIQKASNALEVLKEVLDAVDAQNPQGARDEFTLDLVEQCSFQKQRVMHLVMASRDERIVSRAIELNEQLQKVLTRHDDLLAGRTTATATRFNHEEAEEEEEPEQLVRRLRKGKACARPEDEETETDNPPLGLLGERLNRPLIRPLSIEPQSRHAETRSPPVVILPSPEADTRSPPVVIPPPPPRPKQNGDVSNHVAIPPPPAKHVEREKFFQENKDGSNLSGHMRGLSLHSRNGSSSHSGSFDFSE >Vigun09g183300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35699774:35701357:-1 gene:Vigun09g183300.v1.2 transcript:Vigun09g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCNAQSAIATCDPHFKKHKPIAAKPIRHFNYAEIAAAASGFSAETFLGRGSHGRVYKATLDGGKLLAAVKTTKLVSASKNHSTKCTGCGNCTSPVENEIEILSQVPSPRVVNLIGFSTDPNGNKLIVVEYMPNGSLHDLLHSVRKPPGWNRRVRFAVQVAKAVRELHSANPPVIHRDVKSSNVLIDERWNARLGDFGLALRGHVEDVRVKCTPPAGTIGYLDPCYLAPEDLSAKSDVFSFGILLLEIISGRNAIDVNYSPSSIVDWAVPLIKLGDFAGICDRRIGPPPDPAVVRQLSVLAARCVRSTAEKRPSMMEVVECLNLARKRIRASPVWMSLRRRVARMESAVPVVAWEECDDNSINDCDRSEKFASMVKGGSGSRRKGKVSSVWGVGYGSEASNNHKVIRSKSIGSSSGSIRGSWVKMQPHQTEHGFGRRKVRLKKSKSLGVLQGSVLSHYTENSNNRSYSHSYNNNNNNGDDVSVIVSAMAKLVVTDKLEKKMVEKPLVKLHDEVESVRIENEAMI >Vigun09g202700.1.v1.2 pep primary_assembly:ASM411807v1:9:37713502:37718485:-1 gene:Vigun09g202700.v1.2 transcript:Vigun09g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAEEGGDNKQGFEESDLEIEISKLRGRWELASVLNFLEVFNPVLGKNLKLSAEDIEIGLVKPDASLAHLHIELLKGMPPVSKTMEDSDKWVTALCKKLTMWWPWVAEGEIPLVPSKGQEISKYKEIDPSDRLLLLKALCEVRADQHDAVLYINKALKEGTQISSFRKEALGRDRTGTSYWYDATSKGQSHRLYREVIKSDSIPKDEGNRRLSLPTIQWETLASNLEEFSEAAEKLSLSKSAVEVSVSTKLQSDAIPALEKLREKKAKAIKRKQRQDMLLKDVQNSYCSGNTRACRTRRPICYTFEAYDRTMREAIQLTNKRKTSHVADQDKTRQSEDQSNTKDVSTGSDSEQDIVQVSDDDDDDGYDVENHGSSEEENCVDGELVNSEAPSSHAVSYPKGVRCSKRLAGVPSHAILESRGLTTKQTLRQRPTRNSALESIMVLDSENESHEGKTDLSESI >Vigun09g202700.2.v1.2 pep primary_assembly:ASM411807v1:9:37713502:37718432:-1 gene:Vigun09g202700.v1.2 transcript:Vigun09g202700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAEEGGDNKQGFEESDLEIEISKLRGRWELASVLNFLEVFNPVLGKNLKLSAEDIEIGLVKPDASLAHLHIELLKHRLCSCQGMPPVSKTMEDSDKWVTALCKKLTMWWPWVAEGEIPLVPSKGQEISKYKEIDPSDRLLLLKALCEVRADQHDAVLYINKALKEGTQISSFRKEALGRDRTGTSYWYDATSKGQSHRLYREVIKSDSIPKDEGNRRLSLPTIQWETLASNLEEFSEAAEKLSLSKSAVEVSVSTKLQSDAIPALEKLREKKAKAIKRKQRQDMLLKDVQNSYCSGNTRACRTRRPICYTFEAYDRTMREAIQLTNKRKTSHVADQDKTRQSEDQSNTKDVSTGSDSEQDIVQVSDDDDDDGYDVENHGSSEEENCVDGELVNSEAPSSHAVSYPKGVRCSKRLAGVPSHAILESRGLTTKQTLRQRPTRNSALESIMVLDSENESHEGKTDLSESI >Vigun01g200000.1.v1.2 pep primary_assembly:ASM411807v1:1:37634956:37636090:1 gene:Vigun01g200000.v1.2 transcript:Vigun01g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKRQRRLRIWKTKMSNFVYRISTAQEWEELQKNGSTFGGELDRSSGFIHLSNLDQVRPTLQNFFLNSKLELHLLQIDARKLGDGLIYEIVDGSTSFPHFYGPSRSFVPLLLDAVTKAEKLTPSDGGFSCSLLG >Vigun07g021700.1.v1.2 pep primary_assembly:ASM411807v1:7:1832227:1838616:1 gene:Vigun07g021700.v1.2 transcript:Vigun07g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSPTSHRVPSTIPYLNSAILCTKATLGFSSHSHSIGLQHHRHRRCSIPVSKTPQRPSSIRACTGVGAAGSDRPLVEKLLDLKDACWRFLRPHTIRGTALGSFALVARALIEHTNLIKWSLLFKAFSGLFALICGNGYIVGINQIYDISIDKVNKPYLPIAAGDLSVQSAWFLVIFFAVAGLFIVGFNFGPFILSLYTLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLAFEWSSPVVFITTFVTFFALVIAITKDLPDVEGDRKYQISTFATKLGVRNIAFLGSGILLMNYIISVLAAVYMPQDFRRWLLIPAHVTFAISLIYQTWVLEQANYTKDAISGFYRFIWNLFYAEYALFPFI >Vigun02g186600.1.v1.2 pep primary_assembly:ASM411807v1:2:32566741:32572227:-1 gene:Vigun02g186600.v1.2 transcript:Vigun02g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVSGSQVLSFPSIPRPHSNPPPKPAALPSSSSPSTASRDTETDSSSEPNAVKAVKFVYARASPSIRWPHLKLSETYSSTHTQLPPDDIFPARTQPFDTPEEPPKPGRIVVNDDEAQEALGRRSRTRVKKMNKLALKRDKNWRERVKYLTDTILALKSEEFVAGVLEERRVQMTPTDFCFVVKWVGQQNWQRALELYECLNLRHWYAPNARMVATILGVLGKANQEALAVEIFTRAESSVGDTVQVYNSMMGVYARSGRFEKVKELLDLMRERGCVPDLVSFNTLINARMKSGAMEPNLALQLLNEVRRSGIRPDIITYNTLISACSRESNLEEAMAVFSDMESHRCQPDLWTYNAMISVCGRCGRPRKAEELFKELESKGFLPDAVTYNSLLYAFSREGNIEKVREICEEMVNKGFGKDEMTYNTIIHMYGKQGRHDQALQLYRDMKSFGRNPDAVTYTVLIDSLGKASKVEEAANVMSEMLDAGVKPTLHTYSALICAYTKAGRREEAEETFNCMRRSGIKPDNLAYSVMLDFFLRFNEMKKAMGMYHEMIREGFAPDNGLYEVMVNALVKENMWDVIDRIIEDMEKLRGMNPQIISSVLVKGGCYDHAAKMLRVAISNGFELDHEIFLSIMSSYSSSARYSEACELLEFLRERAPDDIQMITEALIIILCKAKKFDAALEEYRSKGGLGSFRSCTVYESLIQESIQNELFDVASQIFSDMRFNGVEPSECLYQAMVSVYCRMGLPETAHQLLYHAEKNGIILDNNVSVYIDIVETYGKLKIWQKAESLVGGLKQRCSKVDRKVWNALIHAYAFSGCYERARAIFNTMMRDGPSPTVDSVNGLLQALIVDGRLNELYVVIQELQDMGLKISKSSILLTLEAFAQAGSVFEVQKIYNGMKAAGYFPTMHLYRIMLRLLCKCKRVRDVETMLCEMEEAGFKPDLQICNSILKLYLSINDFKSMGVIYQKIKDADLKPDEETYNTLIIMYCRDCRPEEGFSLMNKMRSLGLEPKLDTYRSLITAFGKQRMYEQAEELFEELRSDGYKLDRAFYHLMMKMYRTSGDHLKAENLLAMMKESGIEPTTSTMHLLMVSYGESGHPEEAENVLKNLKTTGVVLDTLPYSSVIDAYLKKGNFSAGIEKLTEMKEAGIEPDHRIWTCFIRAASLSERADEAIILLNALQGSGFDLPIRLLKEKSESLVSEVDQCLERLEPVEDNAAFNIVNALLDLLWAFELRATASWIFQLAIKRSIYRHDIFRVADKDWGADFRKLSAGSALVGLTLWLDHMQDASLQGYPESPKSVVLITGTAEYNMVSLDSTMKAYLWEMGSPFLPCKTRQGVLVAKAHSLRMWLKESPFCLDLELKDAPNLPNSNSTRLIEGCLIRRGLVPAFKEITEKLEIVSPKKFSKLALLPDDQRSKTIEAYTEGRKEKMEKMKKIVDPRRLKRIMKIRSIKRRKYFREASIPNAIGKQRTFKPLGTERLS >Vigun09g101300.1.v1.2 pep primary_assembly:ASM411807v1:9:17081127:17085470:-1 gene:Vigun09g101300.v1.2 transcript:Vigun09g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNQKHSLPNTTIDPNTGFCSSSRIFHSLRPNVPLPPPSQPLSLTDYALSLLPAAATATDKSAIIDATTGRHLSYSLFLRQVKSLASSIQSLTPLSKGHVALILTPTSVHVPVLYFSLLSLGVTVAPANPLSSPSELTHLVRLTKPAIAFVTSAVASNIPALKFGTVLTDSPLFLSMLDANVDSHSRAPGVEVSQSDSAAILFSSGTTGRVKGVFLTHRNFIAVTAGYYQLRTIQQDADEAEPHPVSLFTLPLFHVFGFFMLVRCISLGETLVLMQRFDFEGMLKAVERYKISMMPVSPPLVVALAKSELVNKYDLSSLRIIGCGGAPLGEHVADDFKAKFPNVEISQGYGLTESGGAAARTFGPEEFKRHGSVGRLSENMEAKIVDPVTGEALPPCQKGELWIRGPSIMKGYVGDEKASAETLDSGGWLKTGDLCYFDSEGFLYIVDRLKELIKYKAYQVPPAELEHILLTNPEIADAAVIPYPNEEAGQIPMAFVVRKPGSNISADQIMEFVAKQVSPYKKIRRVCFVNSIPKSPAGKILRRELVDYARSSGSSKL >Vigun07g097800.3.v1.2 pep primary_assembly:ASM411807v1:7:17043652:17046121:1 gene:Vigun07g097800.v1.2 transcript:Vigun07g097800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASVFILSAYQEFNEFGVDGGPAAKALRPKFDAFTHRVHSQVGFQLPQIDLLHQHIATPIHYDFYNYESEDKEFTQLFIKFTQNMALFGALLFFIGMKNSIPRRQHKKAPKTKTY >Vigun07g097800.1.v1.2 pep primary_assembly:ASM411807v1:7:17043652:17046121:1 gene:Vigun07g097800.v1.2 transcript:Vigun07g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASVFILSAYQEFNEFGVDGGPAAKALRPKFDAFTHRVHSQVGFQLPQIDTKILIAGAIALKGLGGVLFIFGSSFGALLLLLHQHIATPIHYDFYNYESEDKEFTQLFIKFTQVRDVTFFKNFIFNKIFPDVFLHLFAKYNIIPCRIWLSLGLCCFSSA >Vigun07g097800.2.v1.2 pep primary_assembly:ASM411807v1:7:17043652:17046121:1 gene:Vigun07g097800.v1.2 transcript:Vigun07g097800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASVFILSAYQEFNEFGVDGGPAAKALRPKFDAFTHRVHSQVGFQLPQIDTKILIAGAIALKGLGGVLFIFGSSFGALLLNMALFGALLFFIGMKNSIPRRQHKKAPKTKTY >Vigun07g097800.4.v1.2 pep primary_assembly:ASM411807v1:7:17043652:17046121:1 gene:Vigun07g097800.v1.2 transcript:Vigun07g097800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFLGRVLFASVFILSAYQEFNEFGVDGGPAAKALRPKFDAFTHRVHSQVGFQLPQIDTKILIAGAIALKGLGGVLFIFGSSFGALLLLLHQHIATPIHYDFYNYESEDKEFTQLFIKFTQNMALFGALLFFIGMKNSIPRRQHKKAPKTKTY >Vigun08g151200.1.v1.2 pep primary_assembly:ASM411807v1:8:32405416:32407680:1 gene:Vigun08g151200.v1.2 transcript:Vigun08g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCGVVGEAGETPSPTKPASRPFTRRSLDQLPLKYIAGVAIPAPENPRKRQKLDQRASPARECENAIQSSESKEVEDVSLTDCSKVSTLDSTTGVVEEVYPRYGVTSVCGRRRDMEDAVSVHPSFCLESREEDQKGFHFFAVFDGHGCSHVATMCKERLHEIVKEEIQKAKENLEWESMMKKSFAQMDEEVQRWSRTNETRACRCELQTPRCDAVGSTAVVAVVTPEKIIVANCGDSRAVLCRNSAPVPLSDDHKPDRPDELLRIQDAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEVTVTDRSEGDECLILGSDGLWDTVQNDTACKVARMCLNAQKAAASPGRESAVDCSDKACSDASILLTKLALVRHSSDNVSVVVVDLRRDEGQQ >Vigun10g102000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29501257:29501511:1 gene:Vigun10g102000.v1.2 transcript:Vigun10g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRIPTRNTNPIHNDVPENRTFLLFDQPSGEANTTGTLINKINEIAINAKTANWKAIVMFLILQFTNKRTLPFDPSTPGCLS >Vigun09g018100.1.v1.2 pep primary_assembly:ASM411807v1:9:1359629:1361514:1 gene:Vigun09g018100.v1.2 transcript:Vigun09g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSSLPLFLLLVLCIISASADPYYENSDYKPSSSYTTTSDTVFSLKVPTLDETTFDNLLSFGYYRKTCPKFESILHNKVKEWIKKDYTLAASLMRLHFHDCSVRGCDGSILLKHDGSERTAEASKTLRGFEVVDDIKAELEKQCPKTVSCADILTAAARDATVEVGGPYWAVPYGRKDGKVSIAEEAALVPMGHENITSMIEFFQSRGMTVLDLVVLSGAHTIGRTSCGSIQYRLYNYEGTGKADPTIDPKYLNFLQRKCRWASEYVDLDATTPKIFDNVYYINLKKKMGLLSTDQLLYSDPRTAPLVSALTSTHSVFEHQFAVSMGKLGIVDVITDQDEGEIRTNCNFVNAYY >Vigun11g078800.1.v1.2 pep primary_assembly:ASM411807v1:11:23111444:23114882:1 gene:Vigun11g078800.v1.2 transcript:Vigun11g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDAQIPTAFDPFAEANADDSGAGSKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDVKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >Vigun03g351000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55219127:55220731:-1 gene:Vigun03g351000.v1.2 transcript:Vigun03g351000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRLDSWKQQYYTTTGVVVGYALCSSLLAIINKYAITKFNYPGLLTALQYLTSALGVYVLGKLGLLHHDPFTIPTAKKFFPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTAFRAQPCPSNLTFLSLLLILASAIGYVATDSGFTLTAYSWAFAYLITITTEMVYIKHMVMNLGLNTWGFVLYNNLLSLMMAPFFWFLTGENVQVLAALRSGIFDPAAFYAVSLSCLFGLLISFFGFAARRAVSATAFTVTGVVNKFLTVAINVLIWDKHASPVGLVCLLFTIVGGVLYQQSVSGPVSAPAQPKQLDIENSKGGGIGGGDDDDDLAGESDGTGKLISR >Vigun02g030100.1.v1.2 pep primary_assembly:ASM411807v1:2:12578021:12581195:-1 gene:Vigun02g030100.v1.2 transcript:Vigun02g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHNYSAPISMPPSFNTLDYSLDHDQHHLYPPNQHQHQLMKFQASGDENNSGSMVDYMPQTPPPPPPHGFYGGSSTGTISYDKLSFADVMQFADFGPKLALNQTKSCDESGLDPVYFLKFPVLNDKMEEEQNLMVNEDPDGEAENHDERFNLVDMEEKSRVGEEGMMMREDEETRLSDDNNSVQIRFLGQEETQKSAGVQENKNKRKRPRTVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEAQARQVGEPSIGQQQPPFFPPLTIPNEQMKLVELESGLREETAESKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLQLIILHTNITTIEQTVLYSFNVKVASDSRFTAEDIASSVQQIFNFIHANTTM >Vigun01g130700.1.v1.2 pep primary_assembly:ASM411807v1:1:30868876:30873990:1 gene:Vigun01g130700.v1.2 transcript:Vigun01g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILRETAKPSANSSSASPSSAAPATTSSTSVTETVRGSHQFKITGYSLSKGIGIGKYMASDVFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASDGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVRSRTEGPKIYTIAIPSSSIGHQFGQLLESRKGSDVNFEVNGEFFSAHKLVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALLHFIYWDSLPDMHELTGLNSKWASTLMAQHLLAAADRYGLERLRLTCEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFMCKHRNEALLDGSDINGRRVKQRL >Vigun10g065600.1.v1.2 pep primary_assembly:ASM411807v1:10:14395845:14406690:-1 gene:Vigun10g065600.v1.2 transcript:Vigun10g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLLHVVALPFPAEGHIKPMFNLAKLLCHKGHKITFVNTQHTHNRLLQSSGLPSFDTDFRFTFVADGVPHDVPPNDFSVILSPTSRSKVAEEFREMLRSLVGNPPQWGPPSCIVVDGMMSTIAMDSAEELGVPVIIFRTYSATATWVTIHVSKVIQEGVMNMQDPEDVQKVLSSIQGLENLLRDRDLPSLFKLKPGNSGLDFYMKETLTMTRASALILNTFDHLEAPIITKLTTVFPRVYSLGPLHTHVKNQVTKNPSISLNIAKEDKSCITWLDHQRAKSVIYVSFGTVVKLSVEQLLEFWHGLVNSLKPFLWVVRKDLMNREGDFFHKNVAKELELGTKERGLLVPWAPQEEVLGHPAVGGFLTHCGWNSTLECIAEGVPMLCFPLLVDQTINSRCVSEQWGIGVDVDGTCDRFTIEKMVKDVLENRIEGLRNSVDEIAKQARNSVKAAGSSSHNIESMIKDIVSMKHEK >Vigun05g126200.1.v1.2 pep primary_assembly:ASM411807v1:5:14218070:14239411:1 gene:Vigun05g126200.v1.2 transcript:Vigun05g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTNTGKDPSMFPQHAQQDLSSSVSNTGRHVQNNITMLAGEEFYANVGRDGIAAGKVLVSPDIPRNHENVFGLNRENRNVRYEDLTNILGLRRMDSQNSSDISDFVSLKQSVHEMENGASVNISNKIQKGDGMLRKAVQEPVGDQSGLAVVSPLCRYEASQSNVFSGSGVVDDFLSGKVKFLCSFGGKILPRPSDGKLRYVGGDTHIISIPKDISWQELMKKTLGICNQPHTIKYQLPGEDLDALISVSSDEDLQNMKEEYHGLERHERSQKLRIFLVPLCESEETSSTEVSAVQQSDPDYQYVVAVNGMGDSTRTNIGGQNLTNESSQLGTDLNFTPVLQKTPNASPLEIRDGINALNPDGLFNDSLSLQRPLSIPPAPIPVAGSNTGYIQLLGDHSCQGSIESNASYATTKLHPEYSNIRTIGCIYPQHVSDTCSYQGGDVGQPKKLNGGHLDYNPSKELVTPVYVNPRDEFFGGRSLQRERVYSKTPLSFLDDVICQQGESYGTTDSPHGIPHAFSDPQLLETGARSDCCSQNGYGQSFSLNLEKGQISSLLIPSVSRVNVMEHQHDSFVHHPHIQSTTPKEESAHAPKRQDLTSPYYESMGMNNLVHMDNTLAERKNSIAQTDLGIPSYDAKDVKENSVKLERMKIIEQKNCIPKDSNGQQGKSFAIDKRFVAELHLLDSFPANNLNADINTQKNRDLPFEGIVPIPSDTMDLSLNNYAEKTTCDSNMSERTSEHKINALAKGLNGEQGFDFSWTRNFDLNAPILNSEVGSSDKSSRGDHTYKLTIHPASHKAAQIHPSKNHMAIAFQENPTICYGSLYPAAFCDELDPSVNMPMNDPDNSSNIMPLKKAPPLHDDFITSTGQMVDQFIPKHSASGMSNVEDKVSEQQNILEGYTNVNQVESFNVADMTRVVHPYIFSNIGSVVISPSHTEAGSIIPESEPEDFNEDKNELFSDAMIAEMEASNYGLQIIRNADLEELTELGSGTYGTVYHGKWRGTDIAIKRIKKSCFAGRSSEQERLAKDFWREAQILSNLHHPNVVAFYGIVPDGAEGTLATVTEYMVNGSLRHVLVKNNRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGISMWELLTGEEPYADMHCGAIIGGIVKNTLRPPVPERCDSGWRKLMEECWSPDPESRPSFTEITSRLRSMSLLLQTKGNYQLRPF >Vigun11g098700.1.v1.2 pep primary_assembly:ASM411807v1:11:29044863:29046413:1 gene:Vigun11g098700.v1.2 transcript:Vigun11g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLKKLWVISILIIQQFAFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADILAIRLGLPMPPPYLGVPKSQRHQVVTGINYASGSCGILNSTRSRVYDLGARKFVVSRIGQIGCTPTIIIRTPYSQKCNEDMNQKVKPYSDKLPGKLQEMQTQLPHSLFVNLDNYNFSQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHVNECFSGTQLCLPYNIQKLIHAH >Vigun05g092900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8973949:8975433:-1 gene:Vigun05g092900.v1.2 transcript:Vigun05g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCETQHQLNVTFLPYPTPGHMNPMIDTARLFARHGVNVTIIATPANALTFKNAIDTDFTHGYHIRTQLLPFPAAQVGLPEGLENIKDGASLQLLSGIGRGISMLKGHIELLFQDLRPDCIVSDMFYPWTVESAAELGIPRIFFYSSSYFSDCGIHSIQRHRPHERLVSDTDKFTIPGFPHRIEMTPLQLANWERVSDKVTDNFGRMFESERRSYGALYNSFHELESEYEQLHKSVVGIKSWSIGPVSAWVNKDDGQKINREHKEELPEEPEWLTWLNTEQNDSVIYVNFGSLTRLHHAQLVELAHGLENSGHSFIWVIRKKGGNEKDNSFLQEFEDKMKESKKGFIIWNWAPQLLILNHPALGGIVSHCGWNSLLESLNAGLPVITWPMFAEQFYNERLVVDVLKVGVPVGAKENKFWAFSDEDNVVGREEIANAVVELTEKEEGREMRERARKLSDASKKTIEKGGHSHNNLIQLIHELKSLKISKAVTEAS >Vigun09g020900.1.v1.2 pep primary_assembly:ASM411807v1:9:1608639:1616338:-1 gene:Vigun09g020900.v1.2 transcript:Vigun09g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGGLQLTSLAGDRRAAAADSDDLEDVRLLDSYDKIDAEARRIQVTVTGMTCAACSNSVESALKSLDGVISASVALLQNKADVVFNSALLKDEDIKNAIEDAGFEADILPESSTVGKMPHGTMVGQFAIGGMTCAACVNSVEGILRNLPGVKRAVVALATSAGEVEYDPGVISKDDIVNAIEDCGFDASFLQSNEQDKIILGVVGVYSVIDGQVLEGIISSIKGVRQFHFDQISGELDVLFDPEVLSSRSLVDAIQGGSNGKFTLHVRSPYTRMASKGVEEISTIFRLFVSSLLLSIPLFFVRVVCPHIPMVYSLLLRRCGPFLMSDWLKWALVSVIQFVIGKRFYIAAGRALRNGSTNMDVLVAVGTTASYVYSVCALLYGALTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGKCIEEREIDCLLVQPGDTLKVLPGAKIPADGVVTWGSSYVNESMVTGESVPILKEVNASVIGGTINLHGVLHVQAAKVGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPLVVSLSLLTLFCWYIAGSIGAYPDDWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQRVKYVIFDKTGTLTQGKATVTTAKTFTGMERGEFLKLVASAEASSEHPLAQAILAYARHFHFFDDSSADTGAENDVKTGWLFDVSDFSALPGRGVQCLIDGKRILVGNRKLMAENGIHISTEVENFVIELEESAKTGILVAYNDILTGVLGIADPLKREASVVIQGLQKMGVTPVMVTGDNWRTARAVAKEVSIQDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYVFAMAYNVVAIPVAAGVFYPSLGIKLPPWVAGACMALSSVSVVCSSLLLKRYRRPRLTAILEIIVE >Vigun09g036200.1.v1.2 pep primary_assembly:ASM411807v1:9:3198145:3203897:-1 gene:Vigun09g036200.v1.2 transcript:Vigun09g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGHYNPRTVEEVFRDFKGRRSGMIKALTTDVEEFYQQCDPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLQSVAFYFGARFGFDKADRKRLFTMINDLPTIFEVVTGSAMKQAKEKSSDRNSSKSKSGSKRGSESVKYVKQEVKEEEVLDEEEDEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKHYKCPSCSNKRAKP >Vigun11g025100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3256444:3257397:-1 gene:Vigun11g025100.v1.2 transcript:Vigun11g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERQMKKEDELTLSLPRERGWRVPDLYLFQQFWCPSIFIKGVNILQKQFEAKDSDIIVASFPKSGTTWLKAIAFAIVNRQYFSIHNHPLLTSNPHQLVPFVELMHFPDTHFPTTEPRLFGTHIPFPSLSESITECDSKIIYICRNPFDTFISAWDYFDKIKDHSLSALTMDEAFEMYCNGIIEFGPWWSHMLGYWNESIVRPNKVLFLKYEDLKENVNFCVKRVAEFLGFPFTQEEEGNGVVESIIKLCSFEKMKGLEVNKSGMLFKVLENKHFFRKGEIGDWVNYFSPSMTEKLSKMVEEKLSGSGLSFEIMHS >Vigun08g059800.1.v1.2 pep primary_assembly:ASM411807v1:8:7897951:7898643:-1 gene:Vigun08g059800.v1.2 transcript:Vigun08g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun01g194900.1.v1.2 pep primary_assembly:ASM411807v1:1:37222062:37225722:-1 gene:Vigun01g194900.v1.2 transcript:Vigun01g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENFSFGRSTASRSYQSRLKSHFELFIDFDEVNGDEDFRSAYPCPFCADDFDLLELCCHIDLDHPAEAKSAICPVCTVWIGSNVVDHIAAQHGNLFKSQLKSKWYKDESYPDLSFSRKGKPDFSAGLSNGMSTSNTSSDPWLSFLYGASAVDECENVLPDSSSEISVEEVHSNDKVLERDVQPYLSDKDQIEIAQRSKFVQGLLVSTILDSDF >Vigun03g437700.1.v1.2 pep primary_assembly:ASM411807v1:3:64133428:64137679:1 gene:Vigun03g437700.v1.2 transcript:Vigun03g437700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSQDAIKQFQAFIEQVEEPLRGTFQNVHQGYVTETLMRFLKARDWDPSKAYKMLVDCLNWRVQNEIDHILSKPIVPADLYRAVRDSQLIGLSGYSKEGLPVFAIGAGLSTFDKASVHYYVQSHIQINEYRDRIILPSASKKHKRTITTCIKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKTNTYYITNAPYIFSACWKVVKPLLQERTRRKVQVLPGCGRDELLNVMDYSSLPHFCRREGSGSSRHSETGSENCYSLDHPFHQELYNHIKQQARLREAVEPIKHGSFHVDFPEPPDDEVEIAKNIESELHMFENGNGV >Vigun10g009900.1.v1.2 pep primary_assembly:ASM411807v1:10:976699:978342:1 gene:Vigun10g009900.v1.2 transcript:Vigun10g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIAASFLLLMLAFPTVFAVDYDVGDTSGWASGVDYTNWVSGKTFKVGDNLVFKYDSSHEVDEVNENGYSSCSSSNLIKNHNDGNSKIALSSTGNRYFICPRPGHCAGGMKLQINVVAANSTTPSTPSTPSSPPSESGSPSTTNTTSPPSKPNGAVAVSGSIGLLAAASAVVLGFMG >Vigun06g135200.1.v1.2 pep primary_assembly:ASM411807v1:6:26156047:26161407:1 gene:Vigun06g135200.v1.2 transcript:Vigun06g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVMEMGSMWLILVVVAIGGALLVLKKLNWWLYESKLGVKQYSLPPGDMGWPLIGNMWSFLRAFKSKDPDSFISSFVSRFGRTGMYKTLMFGNPSIIVTTPEACKRVLTDDDKFTTGWPRSAIELIGKRSFISMAFEEHKRLRRLTSSSINGMEALSLYLTYIEKNVRSSLETWAKLGQIEFLTEIRKLTFKIIMHIFLSSESEPIMEALEREYTALNYGVRAMRINIPGFAYHKAFKARKNLVAIFQSIVDERRSIRKGYLPGKAKDMMDALIDVADEDGRKLGDEDIIDIMLMYLNAGHESSGHITMWATFFLQKHPEYLQKAKAEQEEIVRRRPPTQKGLTLKDVREMDFLYKVIDETMRVITFSLVVFREAKSDVNINGYLIPKGWKALVWFRSVHLDPEIYPNPKEFNPYRWNKEHKAGEFLPFGAGSRLCPGNDLAKMEIAVFLHHFLLNYRFEQKNPNCPVRYLPHTRPMDNCLGRVRKC >Vigun03g196700.1.v1.2 pep primary_assembly:ASM411807v1:3:27830731:27835330:-1 gene:Vigun03g196700.v1.2 transcript:Vigun03g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLNHMYPAEVKETLVGIDQNLAPIESLLRLRSKEVRIIGIWGMGGLGKTTIASALFAKLSSQFEASCFLANVMLEHEKKGLDYLRNKLLSEILEDVNPHISTSKVRSTFVMKRLRQKKVLIVLDDVDDSKKLEDLVAQHDCLGSGSRVIVTTRDKHVLSKGVDAIYEVKGLSLDQSVRLFSLNAFGKTYPERGFEMLSKQAVDHANGNPLALKVLGSVLHSRNEQQWDNALRKFKKVPNAEIQNVLRWSYDGLDYEQKNMFLDIACFFRGENKENVIRLLEFCGFYAYIGIKILLEKGLITFSDNGDVCMHELIQEMGWEIVHQESIKDPGRRSRLWDLKEIYDVLKNNRGTEAVEGIILDVSQIRVLLLSYETFSRMINMRFLKFYMGKSRKCNLHLPSGLESLPNKLMYLQWDGYPSKSLPSTFCPDNLVVLSMMESYVEKLWDGIKCLPSLKEMNLHACKNLTNLPDLSQAPNLETIDVSNCTSLLHVPLSIQYVKKLLLFNLESCKSLKSLPRNIHLTSLDMFILRRCSSLDEFSLTSENMTRLDLRETKIADFPETVWQHLNKLVYLNLESCNKLKSLTSKIHFKSLQRLNLRDCSILEEFSVTSESMEYLNLRGTSIRELPTSVWRNNKLYTLVLHSCKKLVSFPERPKLEDSSLVSFSERPNMHELWTLSSLADLSLKGSTIENLPASIKDLPSLKKLTLTECKKLQSLPSLPPSLEDLSLDESNIVCLPDLPPSLKAPLLSESKVDPHLVSMKGLSQLQMFPQVKWKMFHSLPELPPFLEEFSLSESNIKFLPESIKNLSHMRKLAFTKCTRLQYLPELPPNLEDLFVSGCDIESLPISIRDLVHLRKITLIECKKLKALPELPQCLQSLCAADCRSLKIVQSTKNILIEDRYTFYWNCINLDQKSRNNIIADAPFEAAFTSLKERIPLGPLISICLPGTEIPDWFSHQSTNSSLDMEIPLEWFLDSMFLGFALCLVIGGFQQNSYEGYDPDINCYHFVKSASYSGPSDPFLGHCTTVMQVPRGFNSDHIFICYYPTFNASILQDFKDLSLYYDANNLKLRVIFKFKGPSQRLDIVKKCGVRPLLIANTERHHIESELQPE >Vigun09g094400.1.v1.2 pep primary_assembly:ASM411807v1:9:13820901:13824283:1 gene:Vigun09g094400.v1.2 transcript:Vigun09g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKECDSPEEELPQAAALRRNLEKLRFEMNSVNEGIQSYILARRTIGTWGPSRYLIEGEENVSESDGDKYSMLNYTDEEDDAKSLFQCPFCDFEFDFSSVRARLEDEGCYDPRDMTCPLCDENLGEDAVRVAQNSSKRSWKSDKSSISSSDTVVFDKKLPARGRHVPDPLLTPFVRNVSVPNSSGIQPGEGFSSSASDISSGKGYDPDIFSGKGSETDSGDEEDIEERRQKASFVQELVLSTLF >Vigun09g094400.2.v1.2 pep primary_assembly:ASM411807v1:9:13819920:13824283:1 gene:Vigun09g094400.v1.2 transcript:Vigun09g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFWFEKSLLITHSLTTTKPHPSLSPSLPTIMDFIFRAATIHPSNHFPSLQASRLHSDKYSMLNYTDEEDDAKSLFQCPFCDFEFDFSSVRARLEDEGCYDPRDMTCPLCDENLGEDAVRVAQNSSKRSWKSDKSSISSSDTVVFDKKLPARGRHVPDPLLTPFVRNVSVPNSSGIQPGEGFSSSASDISSGKGYDPDIFSGKGSETDSGDEEDIEERRQKASFVQELVLSTLF >Vigun09g094400.3.v1.2 pep primary_assembly:ASM411807v1:9:13819920:13824283:1 gene:Vigun09g094400.v1.2 transcript:Vigun09g094400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFWFEKSLLITHSLTTTKPHPSLSPSLPTIMDFIFRAATIHPSNHFPSLQASRLHSDKYSMLNYTDEEDDAKSLFQCPFCDFEFDFSSVRARLEDEGCYDPRDMTCPLCDENLGEDAVRVAQNSSKRSWKSDKSSISSSDTVVFDKKLPARGRHVPDPLLTPFVRNVSVPNSSGIQPGEGFSSSASDISSGKGSETDSGDEEDIEERRQKASFVQELVLSTLF >Vigun05g240900.2.v1.2 pep primary_assembly:ASM411807v1:5:43410694:43419903:-1 gene:Vigun05g240900.v1.2 transcript:Vigun05g240900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVSDHHLSTVRSIVGSEFTDMDIIRALHMAKNDVNAAINIILDTHTAPRLNLTRPNNHRSVSPPKPSPSPPIVTKPSSSEQRIDNNSDDWWLVSCGEVTGLSTCKGRTINTDEAVIFKFPTKKLSSPSTGKGFGRTAACSEIVRFSTEQAGEIGRIPNEWARCLLPLVRDQKVRIEGKCKFGPQVLGIMDSIILSVSVFINSSMFGKHHQVSLKDATNSTDESVFHPLPTLFRLLGLNPFKKAELTPGDFYSNKRPLSERVTLPRVKFEDPSQNGNENDNEDSISETEVENIVGVGSSAELEEMDPPVNLLCDLRPYQKQALYWMIQMEKGQCMDETATTLHPCWEAYRLVDKRELIVYLNAFSGEATIEFPSTLQMARGGILADAMGLGKTIMTISLLVAHSGKGEAVGNQPMAKSFTEGGEVSDNVHTFSNIPKKATKFSGFDKPTKQMNSLTSGGNLIICPMTLLGQWKAEIETHVHPGSLSLYVHYGQSRPKDAKSLTQSDVVITTYGILASEFSSENADDNGGLFSVRWFRVVLDEAHTIKSSKSQVSVAAAALIADRRWCLTGTPIQNSLQDIYSLLRFLRIEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQVVYCEQTESEQDFYGALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQDFADLNKLAKRFLRGTYNASDGEVKEAPSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASLRNATSGLCPVCRKAVNRQDLITAPTENRFQVDIEKNWVESCKVTALLSELQNLRLSGSKSIVFSQWTAFLDLLQIPFTRNNISFVRLDGTLSQQQREKVIKQFSEDSTTLDPWWNPAVEEQAVMRIHRIGQTKKVTITRFIVKGTVEERMEAVKARKQRVISGALTDQEFRSARIEELKMLFT >Vigun05g240900.1.v1.2 pep primary_assembly:ASM411807v1:5:43410694:43419903:-1 gene:Vigun05g240900.v1.2 transcript:Vigun05g240900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVSDHHLSTVRSIVGSEFTDMDIIRALHMAKNDVNAAINIILDTHTAPRLNLTRPNNHRSVSPPKPSPSPPIVTKPSSSEQRIDNNSDDWWLVSCGEVTGLSTCKGRTINTDEAVIFKFPTKKLSSPSTGKGFGRTAACSEIVRFSTEQAGEIGRIPNEWARCLLPLVRDQKVRIEGKCKFGPQVLGIMDSIILSVSVFINSSMFGKHHQVSLKDATNSTDESVFHPLPTLFRLLGLNPFKKAELTPGDFYSNKRPLSERVTLPRVKFEDPSQNGNENDNEDSISETEVENIVGVGSSAELEEMDPPVNLLCDLRPYQKQALYWMIQMEKGQCMDETATTLHPCWEAYRLVDKRELIVYLNAFSGEATIEFPSTLQMARGGILADAMGLGKTIMTISLLVAHSGKGEAVGNQPMAKSFTEGGEVSDNVHTFSNIPKKATKFSGFDKPTKQMNSLTSGGNLIICPMTLLGQWKAEIETHVHPGSLSLYVHYGQSRPKDAKSLTQSDVVITTYGILASEFSSENADDNGGLFSVRWFRVVLDEAHTIKSSKSQVSVAAAALIADRRWCLTGTPIQNSLQDIYSLLRFLRIEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQVVYCEQTESEQDFYGALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQDFADLNKLAKRFLRGTYNASDGEVKEAPSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASLRNATSGLCPVCRKAVNRQDLITAPTENRFQVDIEKNWVESCKVTALLSELQNLRLSGSKSIVFSQWTAFLDLLQIPFTRNNISFVRLDGTLSQQQREKVIKQFSEDSTTLVLLMSLKAGGMGINVTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKKVTITRFIVKGTVEERMEAVKARKQRVISGALTDQEFRSARIEELKMLFT >Vigun05g240900.3.v1.2 pep primary_assembly:ASM411807v1:5:43410694:43419903:-1 gene:Vigun05g240900.v1.2 transcript:Vigun05g240900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVSDHHLSTVRSIVGSEFTDMDIIRALHMAKNDVNAAINIILDTHTAPRLNLTRPNNHRSVSPPKPSPSPPIVTKPSSSEQRIDNNSDDWWLVSCGEVTGLSTCKGRTINTDEAVIFKFPTKKLSSPSTGKGFGRTAACSEIVRFSTEQAGEIGRIPNEWARCLLPLVRDQKVRIEGKCKFGPQVLGIMDSIILSVSVFINSSMFGKHHQVSLKDATNSTDESVFHPLPTLFRLLGLNPFKKAELTPGDFYSNKRPLSERVTLPRVKFEDPSQNGNENDNEDSISETEVENIVGVGSSAELEEMDPPVNLLCDLRPYQKQALYWMIQMEKGQCMDETATTLHPCWEAYRLVDKRELIVYLNAFSGEATIEFPSTLQMARGGILADAMGLGKTIMTISLLVAHSGKGEAVGNQPMAKSFTEGGEVSDNVHTFSNIPKKATKFSGFDKPTKQMNSLTSGGNLIICPMTLLGQWKAEIETHVHPGSLSLYVHYGQSRPKDAKSLTQSDVVITTYGILASEFSSENADDNGGLFSVRWFRVVLDEAHTIKSSKSQVSVAAAALIADRRWCLTGTPIQNSLQDIYSLLRFLRIEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQVVYCEQTESEQDFYGALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQDFADLNKLAKRFLRGTYNASDGEVKEAPSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASLRNATSGLCPVCRKAVNRQDLITAPTENRFQVDIEKNWVESCKVTALLSELQNLRLSGSKSIVFSQNNISFVRLDGTLSQQQREKVIKQFSEDSTTLVLLMSLKAGGMGINVTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKKVTITRFIVKGTVEERMEAVKARKQRVISGALTDQEFRSARIEELKMLFT >Vigun08g155900.1.v1.2 pep primary_assembly:ASM411807v1:8:32849741:32853738:1 gene:Vigun08g155900.v1.2 transcript:Vigun08g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSRFFTIALVSAWYATNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYIAIAWLKLVPMQTIRSRLQFLKIAALSLVFCVSVVFGNVSLRYLPVSFNQAIGATTPFFTAVFAYLITFKREAWLTYLTLVPVVAGVVIASGGEPSFHLFGFIVCIAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVIGITLALARDDVKIIWYLLFNSALAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSFTVLGVVLYSEAKKRSK >Vigun05g252300.1.v1.2 pep primary_assembly:ASM411807v1:5:44698444:44699352:1 gene:Vigun05g252300.v1.2 transcript:Vigun05g252300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFHLLCHWSQTSPLSSSFFFFCFCFSSFSAFSSSSSASILLRSGPNYIRRMSESPQRKKSSLPPKRGQIKAQIFSSLANSVSSTISKTGESIRNVFGKSGGDSGSASASSASTPPQSGYNSETNNSDVS >Vigun03g186300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24679054:24681057:1 gene:Vigun03g186300.v1.2 transcript:Vigun03g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLIQRARTKMISSSFKLRTHLIHGNHYTQTLTTKSLSTSALPHHYQRVPSHQNQPQSPSDPAHFQAQQWQQHQPQHFNPQTNQFPHHNHQPRQHNVPPPFPEHGGNHNQWNPQSPTPQNPNFQTPTSQNPNFRLSTSSNRWNNQNPATPNQWNPRTQGFPNPNQFQNQGNQLNNNQTSIQGQTSPAPPPPPSITDLTLLCKEGKVKEAIELMDKGVKADAGCFDLLFDLCGQSKSLEDAKKAHDHFLQSSFRSDLKLNNKVIEMYGNCKSMTDARRVFDHMPNRNMDSWLLMMRGYANNTNGDDALQLFEQMNELGLEITSETLLAVLSACASAEAVEDAYVHFESMKSKYGIEPGVEHYMGLLDVLGQSAYLKEAEEFIAKLPFEPTVTVWEKLKHYARVHGDVDLEDYVEELVVSLDPSKAVVNKIPTPPPKKYTAISMLDGRNRIIEYKNPTLYKDDEKLKALNGMKDAGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPPRTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >VigunL042000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:410100:410738:1 gene:VigunL042000.v1.2 transcript:VigunL042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYEDPMSFQRENRCFTTNHKFHVGYSTYTDNYGQEFFYQPPSTSEISSDTFF >Vigun01g223000.1.v1.2 pep primary_assembly:ASM411807v1:1:39648169:39652763:-1 gene:Vigun01g223000.v1.2 transcript:Vigun01g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGRRLLLTPLPLQGHINPMLELAQILYSEGFSITIIHTTFNSPHPSNYPHFTFSCIQHGVSQTQSSDLLNFLLQLNVKCVEPFKDCVTKLLRSDEAAVEPVACLISDAMCYFTQAVADNLHLPRIVLRTAAISSYVAFLAYPLFRQRGYIPTQDCILEEEVKELAPLRVKDLPLIKTEEPEKYYELLRNFLKETKASLGVIWNSFEGLESPALRKLSKEFSIPMFPIGPFHKHLSSSSSSSSLISQDQSCIAWLDTHPPNSVVYVSFGSLAAITETEFVELAWGLANSRHPFLWVVRPGLIKGSEWVEALPSGFLENLEGRGAIVKWAPQQEVLAHSAVGAFWTHCGWNSTLESICEGVPMICMPIFTDQKVNARYVSHVWRVGLQLEKRVEREEIQRIITTLMDVNGEAKEIRDRALKLKEEAELCLRQGGSSRSSLQALVTYILSFDSFTS >Vigun01g223000.2.v1.2 pep primary_assembly:ASM411807v1:1:39648169:39653161:-1 gene:Vigun01g223000.v1.2 transcript:Vigun01g223000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGRRLLLTPLPLQGHINPMLELAQILYSEGFSITIIHTTFNSPHPSNYPHFTFSCIQHGVSQTQSSDLLNFLLQLNVKCVEPFKDCVTKLLRSDEAAVEPVACLISDAMCYFTQAVADNLHLPRIVLRTAAISSYVAFLAYPLFRQRGYIPTQDCILEEEVKELAPLRVKDLPLIKTEEPEKYYELLRNFLKETKASLGVIWNSFEGLESPALRKLSKEFSIPMFPIGPFHKHLSSSSSSSSLISQDQSCIAWLDTHPPNSVVYVSFGSLAAITETEFVELAWGLANSRHPFLWVVRPGLIKGSEWVEALPSGFLENLEGRGAIVKWAPQQEVLAHSAVGAFWTHCGWNSTLESICEGVPMICMPIFTDQKVNARYVSHVWRVGLQLEKRVEREEIQRIITTLMDVNGEAKEIRDRALKLKEEAELCLRQGGSSRSSLQALVTYILSFDSFTS >Vigun03g238200.1.v1.2 pep primary_assembly:ASM411807v1:3:39708000:39712315:-1 gene:Vigun03g238200.v1.2 transcript:Vigun03g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILLTLTLFLLPHVKGKDVVIAEDGYTVTTLFDGHKPHIFPYIVLQRPFSSDLILLDSVNSTFYTAQFPITQEIVFTRLSGDGSVGYLDGDVGSARFDKPRSFAFDLRGNVYVADKNNRAIRKISAKGVTTIAGGDFSEKSTSKDVPALNASFSNDFDLTFIPGMCALLVSDHMHRLVRQISLKEEDCTYGSRSGLSAVMTWTLGLGLSCLLGLVIGFAVRPYIISNKRPNSCRCKETWKHCLTSLGKLAPMLFYGAKSAIASCSCSSVYTILVRFWRLSLSHFVCLSRIRINIVAPRPHLESVSLLDLDACNSGEVTKPGKYYDQLKDLMSFDEDSTKGFFNRGNDSIGRRNVCHEGDLLVKANMGIVEPPNDNVLHPDSSVCNMGIVKRR >Vigun11g114650.1.v1.2 pep primary_assembly:ASM411807v1:11:31867693:31868015:1 gene:Vigun11g114650.v1.2 transcript:Vigun11g114650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGVEGKKHGGSCRSFSPEENSSSAATIGCSTSCALCGLQASLYSNFLALRHVRCFLCNTCQNLTHRYLIGISEILLVVGWIVQKQNC >Vigun05g132100.1.v1.2 pep primary_assembly:ASM411807v1:5:15402249:15404001:-1 gene:Vigun05g132100.v1.2 transcript:Vigun05g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISDLPDEVLSHVLSFLPTAAAIATTVLSKRWNELWRSCPSLTFDDSDYFTNTRSKSYSRFVQCVHVAILSRDWHQTVHSLRLSCRSSACDSTNVSVWINTVVQRGVQHLDLCVGKMFNLPSAVPTCKTLVVLKLDHFYIKKIPTVDFPVLKIMHLRNLNFLEHRDFAELVRASPNLEELEAVDLVILFRAAKGSFQYLPKLVRATVPKIDVPLGVLSNAQFLRLDWMDDTVKDIYPKRGVTVFRNLIHLEFGYANSIQDWLEVSQMLKCSPSLQIFVIDKMYLDPLPQANREGADWIWPVGIWLNLRRCCFKNYKGWRGELGFAEYIMGNAEHLQTMSICCNRYVKEDQKFKMIKELSLCTRRSASCKLIFE >Vigun07g237300.1.v1.2 pep primary_assembly:ASM411807v1:7:35916654:35918717:1 gene:Vigun07g237300.v1.2 transcript:Vigun07g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARVPRLLLLGILFLASLSVSFGIVHREHQESQEESESRGQNNPFYFDSDRWFHTLFRNQYGHLRVLQRFDQRSKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSYILEQGHAQKIPAGTTFFLVNPDDNENLRIVKLAVPVNNPHRFQDFFLSSTEAQQSYLQGFSKNILEASFDSDYKEINRVLFGEEEQKQQDEESQQEGVIVQLKREQIRELMKHAKSTSKKSLSSQNEPFNLRSQKPIYSNKFGRLHEITPEKNPQLRDLDVFLTSVDMKEGGLLMPNYNSKAIVILVVNKGEANIELVGQREQQQQQQEESWEVQRYRAEVSEDDVFVIPASYPVAITATSNLNFIAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPASGEKVEKLINKQSDSHFTDAQPEQQQREEDRKGRKGPLSSILDTLY >Vigun09g166600.1.v1.2 pep primary_assembly:ASM411807v1:9:33448725:33451458:1 gene:Vigun09g166600.v1.2 transcript:Vigun09g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKHLLQATLFLSLLLQALCKSTIEPCSNSDSCNALLGYTLYTDLKVSEVASLFQIDPIALLTANAIDISYPDVEHHILPSKLFLKIPISCSCVDGIRKSVATNYKTRPSDTLSSIADSVYGGLVSSDQLREANSIPDPSVLDVGQNLVVPLPCTCFNGSDNSLPAIYLSYVVRPVDSLAAIAARYFTTLTDLMNVNAMGSTAINDGDILAVPIPACASNFPKSASDFGLLVPNGSYAITAGHCVQCSCGPKNLNLYCMPASLAVSCSSMQCRGSNLMLGNVTVQQTSGGCNVTSCDYDGIVNGTIATTLSPSLQPRCPGPQEFPPLVAPPTTVAKDTVFGPAPAPLFDGAGPMSPISSVVPSTGLPGFSPANGPISGISSGASAACSLAKPLPTLTYALVLLLVKFMIPVAL >Vigun07g059200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6644667:6647128:-1 gene:Vigun07g059200.v1.2 transcript:Vigun07g059200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPSLQFFTNNIYFSSLLLSLTILLLLIAIARFSRRKNILCSCETCQAYLTSSWSQYFHNLCDWYTHLLRTSPTKTIHIHVLRNTITANVENVEYILKTRFHNFPKGKPFSTILGDFLGKGIFNVDGDTWRFQKKMATLELNNNGITFFAFEIVKSEIHDRLLPLLHASASNGVVLDLQDVFRRFSFDSICRFSFGLDPKCLEKSLPMSEFAVCFDLASKLSAERAMALSPYLWKVKRFFNVGSEKRLREAVEVINQLAREVIKQRREMGFSENKDLLSRFMSTVHDDDTYLRDIVVSFLLAGRDTVASALTSFFYLLGKHREVESEIRAEADRVIGPHEDLTSFEELKQLHYLQAAMHESLRLFPPIQFDSKFCLEEDVLPDGTKVESGTRVTYHPYAMGRLEEIWGCDCLEFRPERWLKDGVFHPESPFKYPVFQAGLRVCVGKEMALMELKTVALSLLRKFHIELVEASCGNPRFSPGLTATFRFGLPVKVHERGLEQQHIA >Vigun07g059200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6644600:6647252:-1 gene:Vigun07g059200.v1.2 transcript:Vigun07g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPSLQFFTNNIYFSSLLLSLTILLLLIAIARFSRRKNILCSCETCQAYLTSSWSQYFHNLCDWYTHLLRTSPTKTIHIHVLRNTITANVENVEYILKTRFHNFPKGKPFSTILGDFLGKGIFNVDGDTWRFQKKMATLELNNNGITFFAFEIVKSEIHDRLLPLLHASASNGVVLDLQDVFRRFSFDSICRFSFGLDPKCLEKSLPMSEFAVCFDLASKLSAERAMALSPYLWKVKRFFNVGSEKRLREAVEVINQLAREVIKQRREMGFSENKDLLSRFMSTVHDDDTYLRDIVVSFLLAGRDTVASALTSFFYLLGKHREVESEIRAEADRVIGPHEDLTSFEELKQLHYLQAAMHESLRLFPPIQFDSKFCLEEDVLPDGTKVESGTRVTYHPYAMGRLEEIWGCDCLEFRPERWLKDGVFHPESPFKYPVFQAGLRVCVGKEMALMELKTVALSLLRKFHIELVEASCGNPRFSPGLTATFRFGLPVKVHERGLEQQHIA >Vigun07g205300.1.v1.2 pep primary_assembly:ASM411807v1:7:32672397:32677452:-1 gene:Vigun07g205300.v1.2 transcript:Vigun07g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLGMRKISSHCSISEMDDFDLSRLLDKPRLNIERQRSFDERSLSELSIGLGRAGLENYDTYSPGGRSGFNTPASSTRNSFEPHPMVADAWESLRKSLVHFRGQPVGTIAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLHLQGWEKRVDRFKLGEGVMPASFKVLHDPIRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAESASCQKGMKLILTLCLSEGFDTFPTLLCADGCCMVDRRMGIYGYPIEIQALFFMALRSALSMLKQDDAEGKECVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFEFMPNRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAENRLLKDGWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >Vigun07g248100.1.v1.2 pep primary_assembly:ASM411807v1:7:36778911:36782382:1 gene:Vigun07g248100.v1.2 transcript:Vigun07g248100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIFFLFCVCVIVIISGASAQTSCDNNNGNYTVNSTYYNNLNILLSSFSTHAEINYGFYNFSHGQGTDKAHAVGVCRGDRTQEECLKCLNDSRVTLTKECPNQKEAIVWDGECSLRYSNRSIIGLMENQPTVLLYLTLEVRGSVEQFNATLKSLMRNLTRTAASGDSRRKYATGSASAPDFQTIYGYTQCTPDLSSEDCTKCLDEAVSQIPDCCRGRAGGNVLKPSCRIRFDPYLFYGPTLKLDTDAPPPTTTPPPSRFTNNTSLHSQGKSNTSRTIMAIAVPVASVVLAFSLLCIYLIVRKPGKEIEIGKKEDSDDEDEVTLAESLQFKFETIRVATNEFVDSNKLGQGGFGAVYKGQLSDGQSIAVKRLSSASGQGDTEFKNEVLLLAKLQHRNLVRLIGFCLEGRERLLIYEFVPNKSLDYFIFDPIKKTQLDWQMRYQIIRGITRGILYLHEDSQLRIIHRDLKASNILLDENMCPKISDFGMARLFHVDQTQANTHRVVGTYGYMAPEYAIYGQFSTKSDVFSYGVLVLEIVSGRKSIGARHGETVEDLLSFTWRNWKNGTITNIVDPTLINGSRNEMMRCIHIGLLCVQEDMAARPTMASVVLMLNSYSITLPVPSEPAFVVSSSTRSFPNMLAWEHNSRETGSTESTNKSAQYSVNEASITELYPR >VigunL059117.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:18501:18860:1 gene:VigunL059117.v1.2 transcript:VigunL059117.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g064000.1.v1.2 pep primary_assembly:ASM411807v1:5:5536113:5538906:1 gene:Vigun05g064000.v1.2 transcript:Vigun05g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKPLHTLLLFLLLASSISHFALAGKRKVHITDDLDDVFDDEEDDAWKEWGKKPGPSFPPSDISKMDPSQIQEEMKKRHAGPVIGFVKLRFGVRRTPDMVAEFAMKWTHILRTGAVGVRFMGVDLNTLMFNLESIKDLEELKEFVLDQSEAYEIKMGDEFFRRPGDPPLDEIIEKLNSEKTKADDADTEEIDQNDKTEL >Vigun09g225200.2.v1.2 pep primary_assembly:ASM411807v1:9:39793105:39795147:1 gene:Vigun09g225200.v1.2 transcript:Vigun09g225200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTCAGAELDQCRDESAALVLKFVAIASILLSGMAGIAIPLVGKHRRFLRTDGNLFVGAKAFAAGVILATGFVHMLSDANEALQHPCLPSFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGLNRPSEEQSRVGSSESAVKVFGEEESGGMHIVGMHAHAAHHHHNHPHGHDSCHGIGSEKEQDNALADSHGHGHGHLHGHSHMEDGEEADVRHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKASSATLMACFFALTTPLGVSIGTAISSGYNPYSPGALITEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIASYCMLFLGAGLMSSLAIWA >Vigun09g225200.3.v1.2 pep primary_assembly:ASM411807v1:9:39793105:39795147:1 gene:Vigun09g225200.v1.2 transcript:Vigun09g225200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTCAGAELDQCRDESAALVLKFVAIASILLSGMAGIAIPLVGKHRRFLRTDGNLFVGAKAFAAGVILATGFVHMLSDANEALQHPCLPSFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGLNRPSEEQSRVGSSESAVKVFGEEESGGMHIVGMHAHAAHHHHNHPHGHDSCHGIGSEKEQDNALADSHGHGHGHLHGHSHMEDGEEADVRHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKASSATLMACFFALTTPLGVSIGTAISSGYNPYSPGALITEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIASYCMLFLGAGLMSSLAIWA >Vigun09g225200.4.v1.2 pep primary_assembly:ASM411807v1:9:39793105:39795147:1 gene:Vigun09g225200.v1.2 transcript:Vigun09g225200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTCAGAELDQCRDESAALVLKFVAIASILLSGMAGIAIPLVGKHRRFLRTDGNLFVGAKAFAAGVILATGFVHMLSDANEALQHPCLPSFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGLNRPSEEQSRVGSSESAVKVFGEEESGGMHIVGMHAHAAHHHHNHPHGHDSCHGIGSEKEQDNALADSHGHGHGHLHGHSHMEDGEEADVRHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKASSATLMACFFALTTPLGVSIGTAISSGYNPYSPGALITEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIASYCMLFLGAGLMSSLAIWA >Vigun09g225200.1.v1.2 pep primary_assembly:ASM411807v1:9:39793105:39795147:1 gene:Vigun09g225200.v1.2 transcript:Vigun09g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTEDLWLLLHQLVGNTSLFSEKPSFFHNFLESMTNSTCAGAELDQCRDESAALVLKFVAIASILLSGMAGIAIPLVGKHRRFLRTDGNLFVGAKAFAAGVILATGFVHMLSDANEALQHPCLPSFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGLNRPSEEQSRVGSSESAVKVFGEEESGGMHIVGMHAHAAHHHHNHPHGHDSCHGIGSEKEQDNALADSHGHGHGHLHGHSHMEDGEEADVRHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKASSATLMACFFALTTPLGVSIGTAISSGYNPYSPGALITEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIASYCMLFLGAGLMSSLAIWA >Vigun06g173200.1.v1.2 pep primary_assembly:ASM411807v1:6:29424570:29428705:1 gene:Vigun06g173200.v1.2 transcript:Vigun06g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALSFPLNLRHTTPSDPKTSNPLALPSSHSQWGTDLLTQSPRRHSQGRKRRSGVCASLSEMGEYHSKKPPTPLLDTINYPIHMKNLSTKELNQLAEELRSDVIFHVSRTGGHLGSSLGVVELTVALHYVFNSPKDKILWDVGHQSYPHKILTGRRDMMHTMRQTDGLAGFTKRSESEHDHFGTGHSSTTISAALGMAIGRDLKGDKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSKLQSNRPLRELREVAKGVTKQIGGPMHEIAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHSIDDLVAILNEVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKAQATTQSYTTYFAEALVAEAEADKDIVGIHAAMGGGTGMNIFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVELPPGNKGIPLEIGKGRILVEGERVALLGYGSAVQNCMAAASLLEHHGLQVTVADARFCKPLDHSLVSSLAKTHELLITVEEGSIGGFGSHVAQFLALGGLLDGKLKWRPMVLPDCYIDHGSPADQLKAAGLTPSHIAATVFNLLGQTREALEVMT >Vigun08g206700.1.v1.2 pep primary_assembly:ASM411807v1:8:36985351:36990119:1 gene:Vigun08g206700.v1.2 transcript:Vigun08g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSKTISAALQLVDAKKENLKKAYDDLQTHSSLLSSSFFPPSWSHIDSHFTSLHNSLSERFHLLQTLESHEQHTHPPLSPSKDPTFPPSRSDPSSQNDVALPKTHAERMIALCSCMDGKGLRDYVGDHFKDKVALEVELQTALKRASDPASMILDALDGVVGANVVKDDKELRKRKRTCGLLFQQLRAASVSVSFKVSKRAKKLCAEWKGSLPSEGGEGIGAMTFLQFVAAYGLLSELTNNEIFTFSAMAAANDDLPELYRSIGLTDKVPGLVQKLVDRCRHILAVKYIFEFNLADKIPPIPILKAHVNESQKLAKRLSEEGKSLNEITAREVHALKSAIKVIESHNLQSEYPPESLQQRLEQLTKHKGNLKYGASAFSAKPPSHQQQQGGLKRPRISAPIGSAAVLNSVGGASSTAHHYKHPHFQSSGLLREQQPFFHSPGLLLEQQPHFQSSGLLPEQQPRFQSPGLLPEHRSPFINLPTMSYGMKAPTPTILPYTGASAGPYGLDDVPMGPSGNLGQGGSLPNSSEPLVPSGYHDSVSAYGGYGLQRYYRTSYPQ >Vigun07g048700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5035659:5043540:1 gene:Vigun07g048700.v1.2 transcript:Vigun07g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNSSYSIFPSRVACVLHSSCLFHLLHSHCGPPFFDPLHYCRSRLHSFYTLQSFLLRWSPLCGNCLVVLVVSGSLPIVCRCRCNPPSLGFLPPRSCKVHRSCLCRFCHLWLYPMMVCGSLFIGASVLGLILPLWCHSPS >VigunL059611.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:30504:30626:1 gene:VigunL059611.v1.2 transcript:VigunL059611.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun01g059800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12504561:12504833:1 gene:Vigun01g059800.v1.2 transcript:Vigun01g059800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKEDIKYGTAQARVSEDEAVRVAYVNGTPLEGGNIAHSQPVHMFASARNVANAAATEDSNSHNNQSQMQKAHRPEEASSAEFTRDKL >Vigun06g037800.1.v1.2 pep primary_assembly:ASM411807v1:6:15432916:15435862:-1 gene:Vigun06g037800.v1.2 transcript:Vigun06g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHKESKLQNTEARYSDISVGSMGSLPHVVEDCLGFLQLFSDGSIFRSNDIEFKISAVQDHSVTFNDYLFHKRFNLSLRFYKPQSVTLNTNKLPIVIFLHGGGFCFGSRTWPHIHNCCTRLASGLQAVVLSPDYRLAPEHRLPSAVDDAVEAVRWLQRQGLRLKEDENGGDSWLGSDVDFDRVFVVGDSSGGNIAHHLAVRLGSGSSEMDPVRVRGYVLFAPFFGGEVRTKSEEGPPEHMLNLELLDRFWRLSMPLGESRDHPLANPFGARSPNLEEVKLDPILVIVGGNELLKDRAQDYARRLKEQGKDIEYLEFEGCEHGFFTHDSYSSQLAEEVIQILKRFMLQTST >Vigun07g058232.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6411563:6412439:-1 gene:Vigun07g058232.v1.2 transcript:Vigun07g058232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIRIDQIEVDKETIDMLAALGMSDVPGVVKVDPVPVQAPLAFGRGAGGRRY >VigunL079700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000597.1:38373:39836:-1 gene:VigunL079700.v1.2 transcript:VigunL079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGKTKAKSIDLSFPSSKKNQSGLPAQKSGSQTQAESSTQKSPAEPSTQLIISGSTQKTCPSNYAMAIPTLLAFQDQGLTKLPSKSWKQIFGEEPDNLPENLKDIISSLAQSKVTLHPKQSGKQVVSQPQPITQKNPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPYGFLFKPNHVNKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSYKDFKNNQILKFSQPFDPIGYNYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYHFPQWFSNWWDSFGPIQKILPDSVLEGFNQFKSRFGNETPFHVSLHFFSKFSLAWIFTWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIAAAIAASASDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMNLEDSEEEEEVISE >VigunL026200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:97384:97491:-1 gene:VigunL026200.v1.2 transcript:VigunL026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Vigun05g010400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:845699:848534:1 gene:Vigun05g010400.v1.2 transcript:Vigun05g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGAANSDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETDILITKNQMIQLKCAACGFVSDVDMRDKLTTFIVKNPPEVKKGSKDKKAMRRAEKERLKEGEAADEEQKKVKKDVKKKGSSSAKDGTAKSTISKKKGSGSDEDRTSPTHRQIEEKEDAHDEDDEDDGVQWLTDTSLDAARQRIQEQLSAVTADMVMLSTDEPEKKKKAASNENGGSQNGNSMNYGTVVAEVKANLKKGLGATELLSRLAALSAPAQEKMSALVEALFEGTEKGFGKETLKKKNYFAASVTEEGSQILLLNAIEEFCCKSNSNALKEVALVLKTLYDADVLEEEHIVMWYQKGLKGDNKSSKIWKNAQPFIDWLQNAESESDEE >Vigun03g125200.1.v1.2 pep primary_assembly:ASM411807v1:3:11796748:11807073:-1 gene:Vigun03g125200.v1.2 transcript:Vigun03g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAPTLTFPNKKLAVPFPARTPSLLSLPSFSPCRCLSSDDRSGAKRGWDSVLHHFSEVAKRVDSYWKSLGNAVEDRSRAAGRDEDWDWDRWRRHFEEIDEQERLLSILKSQLSRAVYLENYEDAARLKVAFAAAANNDSVGRVMSYLNRAIKEERYGDAAFLRDKAGAGLVGWWAGISEDVKDPHGLIIRITPEHGRYVARSYSPRQLATSAAGIPLFEIFLTMDKKGEFKSQAVYLKRRGAFHGPPTASSKTLDATGRLSSVESTEDKSELFVVSTEDPENGDDRNDGNDPVEGMPGFQNVLKDMIPGVKVKVFKVITPEKVDTDLSDVIEQIIDDEDEDEGSDQDEDDDDDDDDDDDDEDEDREKEKDIENLELEDIKSETELEGDDEIEVNAGMGTFGREEQNEFAVKIAIGGLVQKLSSNLSTRDLLRVPAKLDMKGYGSFSFTVEKEVNQPIGLDKGKSSSDKSAKFQGRRRVDHIIFDLAKFIGKGKIPSKVLKEVGELINLTLSQAQNHHQLSGSTIFNRIKIPTSFDPLNGLYIGAHGLYSSEVIHLRRRFGQWQEDNGAKEPSDLEFYEYVEAFKLTGDPYVPAGQVAFRAKIGKRYQLPHKGIIPEEFGVIARYKGEGRLAEPGFQNPRWVDGELVILDGKHLKAGPVVGFVYWAPEYHFLVFFNRLRLQQ >Vigun06g046100.2.v1.2 pep primary_assembly:ASM411807v1:6:17065095:17077297:-1 gene:Vigun06g046100.v1.2 transcript:Vigun06g046100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILRIDVKQETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKEIFNKFAEFFQDSSIKKVWHNYSFDCHVIQNYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEGLTGDREVMSRAKLDHEKDLIGKVSMKTIFGQKKVKIDGCEGKMTTIAPVDVLQRDERIPWICYSVLDARSTLKLYESLKSHLSDMPWNLDSVPVLHKNMYDFYQEYWQPFGELLVKMESEGMLVDRLYLKGIEDVAKGEQEAAVNRFRKWASRYCPDAKYMNVGSDAQLRVLLFGGTINRKNHDDVIPTERIFKIPNVDKVIAEGKKVPTKFRDIKLNSIGYSLNVEMYTPSGWPSVSGDALKVLAGKVSAEYDFNEACDVDLDVEDGNPSQSEVLPEEIDKSAYGTAFSCFPTEEEGKEACHAIAALCEVCSIDSLISNFILPLQGHNISGKDERIHCSININTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLADCKSMLEAFEAGGDFHSRTAMNMYPYIREAVKRKEVLLEWHPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSVKEARRTVDLWYNDRKEVLKWQEERKKEAYEFQRVHTLLGRARRFPEIDPDHSYHRGHIERAAINTPVQGSAADVAMCAMLQISKNKKLKELGWKLLLQVHDEVILEGPTESAEVAKAIVVECMEKPFNGKNFLKVGLSVDAKCAKNWYAAK >Vigun06g046100.1.v1.2 pep primary_assembly:ASM411807v1:6:17065095:17077297:-1 gene:Vigun06g046100.v1.2 transcript:Vigun06g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSQTTPLYCPSCSRHFRAALSSLSLFTPSQSPHPRRKIQAILIANNILQRSALSFRKGYSCIKRTPFELNKFKDVVYSHKSPVHISVKKFSDMSYGMLEERRCEEELSPLHPSNSQVKIMGLARFPTNPCTQYWVQECYKLSNSKKEMHLQNEFRVAPNAVTSTGQEQAVSRNVKHTHVQQDLDERPLPSVKHSKLKNSKELLDTKRVDTVLKVLPSKILKEKVDRVKDKSNLETIAKDEASATSVKRAPNSEQLILRGRLCSIYKDILVVNNISLAEEVAKMLTVNYRHLIHACDTEVARIDVKQETPVDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKEIFNKFAEFFQDSSIKKVWHNYSFDCHVIQNYGFKVSGFHADTMHMARLWDSSRRWVGGYSLEGLTGDREVMSRAKLDHEKDLIGKVSMKTIFGQKKVKIDGCEGKMTTIAPVDVLQRDERIPWICYSVLDARSTLKLYESLKSHLSDMPWNLDSVPVLHKNMYDFYQEYWQPFGELLVKMESEGMLVDRLYLKGIEDVAKGEQEAAVNRFRKWASRYCPDAKYMNVGSDAQLRVLLFGGTINRKNHDDVIPTERIFKIPNVDKVIAEGKKVPTKFRDIKLNSIGYSLNVEMYTPSGWPSVSGDALKVLAGKVSAEYDFNEACDVDLDVEDGNPSQSEVLPEEIDKSAYGTAFSCFPTEEEGKEACHAIAALCEVCSIDSLISNFILPLQGHNISGKDERIHCSININTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLADCKSMLEAFEAGGDFHSRTAMNMYPYIREAVKRKEVLLEWHPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSVKEARRTVDLWYNDRKEVLKWQEERKKEAYEFQRVHTLLGRARRFPEIDPDHSYHRGHIERAAINTPVQGSAADVAMCAMLQISKNKKLKELGWKLLLQVHDEVILEGPTESAEVAKAIVVECMEKPFNGKNFLKVGLSVDAKCAKNWYAAK >Vigun09g229900.1.v1.2 pep primary_assembly:ASM411807v1:9:40162947:40165782:-1 gene:Vigun09g229900.v1.2 transcript:Vigun09g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQGVVRIGFAPLTVVVVMLSVVCTCRTSTSGNLHPLILVPGNGGNQLEAKLSREYKPSSFICESWYPVGKKNKGWFRLWFDSSVILAPFTQCFAERMTLHYHPQLDDYFNTPGVLTRVPHFGSINSLLYLNPRLKHITEYMASLVDSLQKLGYADGETLFGAPYDFRYGLAAEGHPSQVGSKFLKDLKNLIEEASTSNGGKPVILVSHSLGGLFVMQLLIRNPPSWREKFIKHFIALSAPWGGAVDEMFTFASGNTLGVPLVEPLLVRGEQRSSESNLWLLPNPKIFGPQTPIVITPNKTYSAHDMVDFLKDIGFPEGVYPYETRIVPLIGNIPAPHVPVTCIMGTGVRTLETLFYEKGDFDDRPEISYGDGDGTVNLVSLLALQSLWKEEKSQYLKVVKIDGVSHTSILKDEVALNQIVREISAINSHADLDLGLSNVFSG >Vigun11g220950.1.v1.2 pep primary_assembly:ASM411807v1:11:41310669:41312675:-1 gene:Vigun11g220950.v1.2 transcript:Vigun11g220950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANISSSAIHIQGTLLQVPSTITILAIDTDLTVLQFITKTCNQDGVQVLASSESLTTVNALKERKVCIDYILIEVDMPIMDGYEFLNFLKKEQINVPLILMSSNDSGASRVRALKLGAHDYWTKPLNESNNNFEEGHDVDESCNSPPAKKPRRVVWDEKLHGVFLNAIQKIGIENVVPKKILVAMNVPGLERGHVASHLQKYRNSLRQQKQKEQQQHNDMSFDSARQIQQHPQQNDMSLVSQIQIEQPQPQQNDMPLFPWRQIAHQQPQPQQHDMSLVSGTQIEQQQPPQNDMSWNTEPRMCAVERVHFQPCFATPMTNFHPGFIGNMEEEALAHDNPLARFGNIAITENFSKEQLVDNFHVEQALANDHHPFATFTNIANIQNSQLGTLDNDTSLVHNPTMLKINDMHHNQMYPLNFQPSSTIISGNPTFVSQNYNFGMNVDHGSHSIQQGGDNIGEAIVDQYSTGDSIYLPQFQNASLPSDTVRRFAGTGITSQVFDTGGIENDLYHPSF >Vigun09g271900.1.v1.2 pep primary_assembly:ASM411807v1:9:43364246:43368141:1 gene:Vigun09g271900.v1.2 transcript:Vigun09g271900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNLRSSKVQSPKPVQFSSKTPDKLSQRIRNRGVAQGLADIKKVCKGLQDRKRRGETKSLPPQSKTVRRQILQCSSPSKSKSTDDHPSKLPEKYEILDHFFDRLDTLISMFSLKGKTPSFTEISSRIESLTDRRFTHGHLAQLKFILPEAIVLKKFLVHDERTSCMKPDIHISLAPEAVESDSKMPPQRGRVSLKKLFHARLREFWESHPEGDEIPEGTLPEPFNRPKKVNILDTLETRLPTKLSLCMRYNDIVNNVESDSIDENKSAAPVETSIELPNEHLAAASHIAPSFRARFSLKFKQNLADTVQQNSQVDSVQPSPAKLASQAARTENCSCASLESSSENCATCASLESSCAPAPSSSPCKTVDNTESEDGSLDNIDAMSTPARKNVEYIENKDGSFKRIDAMSTPAKLVSTPIRLMSATPALRSTKRNLMSPDDHPTSSLNKLARRPPRSRSLVFDTPVKNEDVVNEDNAGGLPIDDDIFDILPGKLIQSIREKERMTMEERDPAITQAKKRKKNIANLPKLFDMIRLLLRQRNCITKMELVSKIISSHSDIVDRSEVEEQLDLLQELAPEWISEKQVSSGDLLLFINKMLNPETIRASLEEAA >Vigun09g271900.4.v1.2 pep primary_assembly:ASM411807v1:9:43364247:43367856:1 gene:Vigun09g271900.v1.2 transcript:Vigun09g271900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNLRSSKVQSPKPVQFSSKTPDKLSQRIRNRGVAQGLADIKKVCKGLQDRKRRGETKSLPPQSKTVRRQILQCSSPSKSKSTDDHPSKLPEKYEILDHFFDRLDTLISMFSLKGKTPSFTEISSRIESLTDRRFTHGHLAQLKFILPEAIVLKKFLVHDERTSCMKPDIHISLAPEAVESDSKMPPQRGRVSLKKLFHARLREFWESHPEGDEIPEGTLPEPFNRPKKVNILDTLETRLPTKLSLCMRYNDIVNNVESDSIDENKSAAPVETSIELPNEHLAAASHIAPSFRARFSLKFKQNLADTVQQNSQVDSVQPSPAKLASQAARTENCSCASLESSSENCATCASLESSCAPAPSSSPCKTVDNTESEDGSLDNIDAMSTPARKNVEYIENKDGSFKRIDAMSTPAKLVSTPIRLMSATPALRSTKRNLMSPDDHPTSSLNKLARRPPRSRSLVFDTPVKNEDVVNEDNAGGLPIDDDIFDILPGKLIQSIREKERMTMEERDPAITQAKKRKKNIANLPKLFDMIRLLLRQRNCITKMELVSKIISSHSDIVDRTFLVRIYR >Vigun09g271900.2.v1.2 pep primary_assembly:ASM411807v1:9:43364247:43370560:1 gene:Vigun09g271900.v1.2 transcript:Vigun09g271900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNLRSSKVQSPKPVQFSSKTPDKLSQRIRNRGVAQGLADIKKVCKGLQDRKRRGETKSLPPQSKTVRRQILQCSSPSKSKSTDDHPSKLPEKYEILDHFFDRLDTLISMFSLKGKTPSFTEISSRIESLTDRRFTHGHLAQLKFILPEAIVLKKFLVHDERTSCMKPDIHISLAPEAVESDSKMPPQRGRVSLKKLFHARLREFWESHPEGDEIPEGTLPEPFNRPKKVNILDTLETRLPTKLSLCMRYNDIVNNVESDSIDENKSAAPVETSIELPNEHLAAASHIAPSFRARFSLKFKQNLADTVQQNSQVDSVQPSPAKLASQAARTENCSCASLESSSENCATCASLESSCAPAPSSSPCKTVDNTESEDGSLDNIDAMSTPARKNVEYIENKDGSFKRIDAMSTPAKLVSTPIRLMSATPALRSTKRNLMSPDDHPTSSLNKLARRPPRSRSLVFDTPVKNEDVVNEDNAGGLPIDDDIFDILPGKLIQSIREKERMTMEERDPAITQAKKRKKNIANLPKLFDMIRLLLRQRNCITKMELVSKIISSHSDIVDRSEVEEQLDLLQELAPEWISEKQVSSGDLLLFINKMLNPETIRASLEEAA >Vigun09g271900.3.v1.2 pep primary_assembly:ASM411807v1:9:43364246:43367864:1 gene:Vigun09g271900.v1.2 transcript:Vigun09g271900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNLRSSKVQSPKPVQFSSKTPDKLSQRIRNRGVAQGLADIKKVCKGLQDRKRRGETKSLPPQSKTVRRQILQCSSPSKSKSTDDHPSKLPEKYEILDHFFDRLDTLISMFSLKGKTPSFTEISSRIESLTDRRFTHGHLAQLKFILPEAIVLKKFLVHDERTSCMKPDIHISLAPEAVESDSKMPPQRGRVSLKKLFHARLREFWESHPEGDEIPEGTLPEPFNRPKKVNILDTLETRLPTKLSLCMRYNDIVNNVESDSIDENKSAAPVETSIELPNEHLAAASHIAPSFRARFSLKFKQNLADTVQQNSQVDSVQPSPAKLASQAARTENCSCASLESSSENCATCASLESSCAPAPSSSPCKTVDNTESEDGSLDNIDAMSTPARKNVEYIENKDGSFKRIDAMSTPAKLVSTPIRLMSATPALRSTKRNLMSPDDHPTSSLNKLARRPPRSRSLVFDTPVKNEDVVNEDNAGGLPIDDDIFDILPGKLIQSIREKERMTMEERDPAITQAKKRKKNIANLPKLFDMIRLLLRQRNCITKMELVSKIISSHSDIVDRSEVEEQLDLLQELAPEWISEKQVSSGDLLLFINKMLNPETIRASLEEAA >Vigun06g069101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19866773:19869485:-1 gene:Vigun06g069101.v1.2 transcript:Vigun06g069101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQSHWIQSENGYENSQCSLERYWWPRTDPKVSHAAWNDPVKLEIDPRSSRSDPQALELIPPFVDFVQRGGVAHGRTSLAYWRVESIRVLPLINIIALQMTWIVQWWCSILIF >Vigun01g185600.1.v1.2 pep primary_assembly:ASM411807v1:1:36580365:36583546:1 gene:Vigun01g185600.v1.2 transcript:Vigun01g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVVVTSVGGCGAGKGRRAAEEDQNPASPVAVLLAALRKSMVACSVDSPDDVISAVHHPMEIGWPTNVKHVSHVTFDRFNGFLGLPLELEVHVPAPVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENTEEEHLREQLNKGVVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVIECDTEEDCVQLVKQLKPTESALLNWAIDLMADVVAEEDYNKMDARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILNTLRKREEAAEGGYSPMSSGSSDRQSEGDYDSQQDDDDGDHHDHHLHDVIYSPTSEEDEEGDASSVSEIVECFLKRLDEKTKRFSEKPVDNNLESNLSFTDIKTENSCSSFSSEDDSRTTLTAEESNADTSSSSMGSTSTNDVEMIDKFPDPVSLVPLFASS >Vigun09g092600.1.v1.2 pep primary_assembly:ASM411807v1:9:13194109:13197458:-1 gene:Vigun09g092600.v1.2 transcript:Vigun09g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGKRFLEYQLIVDNPNPVWKSENLLRFYLPQFTLLFFCMIALTRIIHYILRPFNQPHFVAEFLAGLLVCPQLVAGTIAFTFVAPPKALLGIETMAHLGLIYNVFLTGLEMNLDAILLASRKATTIAMASTIIPMLLGAALYSMAQSLYKGPNLDMSLYNTTSAYLFWALVLSVTNFPVLANILADLKILYTELGRVAVTAATISDFYNWAMFVLLIPFACRTERPFLSVILTIFFVLFCYLVLRPFLTKLLNKKTENNEWDNYKLSYVLVGVLACAHVTEMLGTHSIVGALVYGLMLPRGKFADMLMERSDDLVSMYLEPLFFVGCGIRFDFSSFKLHKVVNVIIIIVMSCCTKIVSTVITAWFYSMPFRDGVALGALLNTKGILPLVMLNIAIDRQILSRDFYTVMVVASVVMTILVTPTINFIYKPRKQFKKNKLRTVQNLKADAEIRIMVCVHNPRHATGMMSILEACSCSNATHLRVFALQLIEMKGRGTAFLIDQGGGSPQSQADTESIRDIFSEFKPERGLINASVETLAAVSSYETIHKDIHHIADERQTSLILLPFHKHSSAEGALEETNPVFREINRNVMRYAPCSVGILVDRGKRLLSEMNLPVAICIVFIGGPDDREALAIAWRMARHKGIHLSMVHILLFGKVAEVDTSATMNDEGNGILSPMLDCAREKELDEEYMSLFRLMAVNNEHSVTYSEKEVHTADDIPLVLDELEREGYDLYVLGHGKRRNSTVLSKLLEWTDCPELGVMGDMLASNSFGSCSSVLVVQQYGFGGMHLRAINQTTCESNEDDIEAIFKVKSIKYIW >Vigun02g027000.2.v1.2 pep primary_assembly:ASM411807v1:2:9291005:9296770:1 gene:Vigun02g027000.v1.2 transcript:Vigun02g027000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCCVVPHSMSRCVGPQAIEPWCRATHHRAIFVVFVRSFGTEGRKKDGPQIPPGDKVYEYILFRGTDIKDLQVKSSPPVQPTPQVNNDPAIIQSHYPRPVTTSTSLPSAVSGSLTDLGSHNPQLGHPGSNFQGPLPLYQPNIGSWGASPTAPNTNGGRLAMPMYWQGYYGAPNGLPHLHQQSLLQPPPGLSMPSSMQQPMQYPNFSPLPTVSSNLPELPSSLLPVSTSIPSVTSASLPSAPSTLPPAPSALPSAPSSLPPAPSALPSAPSTLPPTPSALPLAPSALPHASSALSPVPSATLASEILPVSVTNKAPNVSTSAVSLAANLPSLTSLTNSGPDINALVPPISNKPNAISGSNLPYQTVSQLSPAVVGSSTSIHAEPSAPSLITPGQLMQHGPTTASSTQPSQASHKDVEVVQVSSPSSPEISLPVSAAIQPPILPLPVTSRASHRPGGVPTQSHHGYSYRGRGRGRGAGGSRPVTKFTEDFDFMAMNEKFNKDEVWGHLGKNKSHSKDKDGEGNAFDEDYQDEDIEDLSNFEVKPIYNKDDFFDSLSSNVHDNAPQNGRTRYSEQIKIDTETFGDFVRHRGGRGGRGPGRGGRSWGGYYGRGGGYGYSGRGRGRGMPSRNV >Vigun02g027000.1.v1.2 pep primary_assembly:ASM411807v1:2:9290297:9296770:1 gene:Vigun02g027000.v1.2 transcript:Vigun02g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASESASRSSSAADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGTDIKDLQVKSSPPVQPTPQVNNDPAIIQSHYPRPVTTSTSLPSAVSGSLTDLGSHNPQLGHPGSNFQGPLPLYQPNIGSWGASPTAPNTNGGRLAMPMYWQGYYGAPNGLPHLHQQSLLQPPPGLSMPSSMQQPMQYPNFSPLPTVSSNLPELPSSLLPVSTSIPSVTSASLPSAPSTLPPAPSALPSAPSSLPPAPSALPSAPSTLPPTPSALPLAPSALPHASSALSPVPSATLASEILPVSVTNKAPNVSTSAVSLAANLPSLTSLTNSGPDINALVPPISNKPNAISGSNLPYQTVSQLSPAVVGSSTSIHAEPSAPSLITPGQLMQHGPTTASSTQPSQASHKDVEVVQVSSPSSPEISLPVSAAIQPPILPLPVTSRASHRPGGVPTQSHHGYSYRGRGRGRGAGGSRPVTKFTEDFDFMAMNEKFNKDEVWGHLGKNKSHSKDKDGEGNAFDEDYQDEDIEDLSNFEVKPIYNKDDFFDSLSSNVHDNAPQNGRTRYSEQIKIDTETFGDFVRHRGGRGGRGPGRGGRSWGGYYGRGGGYGYSGRGRGRGMPSRNV >Vigun10g195300.2.v1.2 pep primary_assembly:ASM411807v1:10:40886574:40889012:1 gene:Vigun10g195300.v1.2 transcript:Vigun10g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYKRHLRTCCFSYSITRRSFIVLLLFLSFSFTHSFLFSQSITAEMPTDTSSLSGETVCVTGAGGYIASWLVKVLLEKGYTVRGTVRNPEDPKNAHLKKLEGAKERLTLHKVDLFDIDSIKAAFHGCDGVFHTASPVTDNPEEMVEPAVNGTKNVITVAAEAKVRRVVFTSSIGTVYMNPNTSRDVVVDESFWSDLEYCKNTKNWYCYGKTVAEQSAWDIAKERGVDLVVVNPVVVIGPLLQPTINASTIHILKYLTGSAKTYVNATQSYVHVRDVALAHVLVYETPSAFGRYICAESSLHRGDLVEILAKFFPEYPIPTKCSDEKNPRVKPYLFSSQKLKDLGMEFTPVKQSLYDTVKNLQDNGHLPVLPKQENSY >Vigun03g035401.1.v1.2 pep primary_assembly:ASM411807v1:3:2724168:2724930:1 gene:Vigun03g035401.v1.2 transcript:Vigun03g035401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAAGAAPPHVAPRPKRPRPPSGRTNLASCVVASIFLIFIIIVILIVYFTVFKPQDPKIAVNAVQLPSFSVVNGTVNFTFSQYAAVRNPNRAAFSHYDSSLQLLYSGSQVGFMFIPAGEIDAGRTQYMAATFSVQSFPLSAPPRVGPTLANGDGVGFNYGLRIEPTMEIESKLEMAGRVKVLHFFTHHVYAKAGCRVAIAVTDGSVLGFHC >Vigun09g164100.1.v1.2 pep primary_assembly:ASM411807v1:9:33214118:33220638:-1 gene:Vigun09g164100.v1.2 transcript:Vigun09g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVEDFPAVALCRERKRFLKAASEQRYALAAAHVAYFHSLREIGDALRQFAEQDLTTTGSSSPVLTLPSETKSVNNNKLSSSSASISHVDSPEGSHLPLSSGSELSSPSPTHSHLHSHSSSSSPHIHGSPEPDPPPYGYYQNFQYAHYMKRSVPNGKPMVYEEPERHVATIGQWPDPSYGYGFYGYPGGDYPHYPPPGPSSSPPPPPPAPPSPPRVSTWDFLNVFDSFENGYPIYPPRFGSSASSPDSKEVREREGIPELEDETEHEVQRAAPVKVKEKEGKKVAEEKGFAGARDFGEGPSNTKTVPLQQVSSSEGSSKTVRFHDGSDNGSVEKEIRSSPDTVVSEEHGAKKGVSFEIDEATVAAVEGDSSVLSSVTTLSAHGTRDLREVVVEIQDEFVTASNFGKEVALLLEVCKPPYRSRVAALRVILSRILQMVAPSRLPSDPPSIQFSSREIKLARAYCGEPGKDFKTNPENLSSTLEKLYAWEKKLYKEVKDEERLRAIYEKKFKRLKTLDNIGAESSKIDATRASVRKLQTKINICIRTAETIMGRIHKLRDNELQPQLAALINGFTKMWKFMLKCHQKQFQAIMESKSQSLKINVGLPGDEVLRAIVELEKELLNWCSQFNIWVKTQKTYVKNLNEWLLRCLPNEPEETADGIAPFSPSRLDAPPVFIICNDWHQAMTRISETEVAEAMHEFAQKLHELWERQDDAQRQRIKAEYLRKDFEKQLRTLRTEMGGIEHDKVSGKTALSKLTSDSGVSPLDDLKVDLDSMKKKLHEERVRHKEAVKLVRDAANNSLQAGLIPIFKTLESFTSEVVKAHEQVRVQNATGHS >Vigun10g000600.2.v1.2 pep primary_assembly:ASM411807v1:10:117426:118696:-1 gene:Vigun10g000600.v1.2 transcript:Vigun10g000600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSSGSCTCGGKQPSGGYDGGRSSHGGGSSHGCGARIFAIKPMCYCGQTAVLRTAKTPKNRGKQFWGCPKFKEIEERDVVKMEEKDVVKMEEKDFGGNKVLKVEKIEEKDVVNMRERDGGWIITRKLDEAIVRLQKWMKLMLGMMVVICVVLMVLDEVMVVDGWMVLLWCVVLPGLRELMQFVVGQIM >Vigun01g192700.1.v1.2 pep primary_assembly:ASM411807v1:1:37055579:37057652:1 gene:Vigun01g192700.v1.2 transcript:Vigun01g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPLSLSRLIRYTKGKEDKIAVVVGAVTDDIRVYEVPALKVAALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSRGFRV >Vigun01g192700.2.v1.2 pep primary_assembly:ASM411807v1:1:37055579:37057652:1 gene:Vigun01g192700.v1.2 transcript:Vigun01g192700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNKPPLSLSRLIRYTKGKEDKIAVVVGAVTDDIRVYEVPALKVAALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSRGFRV >Vigun01g192700.3.v1.2 pep primary_assembly:ASM411807v1:1:37055579:37056666:1 gene:Vigun01g192700.v1.2 transcript:Vigun01g192700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPLSLSRLIRYTKGKEDKIAVVVGAVTDDIRVYEVPALKVAALRFTETARARIEKAGGECLTFDQLALRAPLGQNTV >Vigun10g130000.12.v1.2 pep primary_assembly:ASM411807v1:10:33830204:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANFHS >Vigun10g130000.4.v1.2 pep primary_assembly:ASM411807v1:10:33828957:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.7.v1.2 pep primary_assembly:ASM411807v1:10:33828957:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.2.v1.2 pep primary_assembly:ASM411807v1:10:33828391:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKAGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.11.v1.2 pep primary_assembly:ASM411807v1:10:33828352:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKLSSSV >Vigun10g130000.9.v1.2 pep primary_assembly:ASM411807v1:10:33828352:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENADQAKTYLAN >Vigun10g130000.6.v1.2 pep primary_assembly:ASM411807v1:10:33828169:33834336:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.8.v1.2 pep primary_assembly:ASM411807v1:10:33828352:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENADQAKTYLAN >Vigun10g130000.3.v1.2 pep primary_assembly:ASM411807v1:10:33828169:33834336:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.5.v1.2 pep primary_assembly:ASM411807v1:10:33828391:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKAGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKCIKGGIDCAIVIESDEETEVGFSCLVKENAGEDLFGKLTKEEEEETERILRKARRRKRKSIVIL >Vigun10g130000.13.v1.2 pep primary_assembly:ASM411807v1:10:33830204:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKVHYLC >Vigun10g130000.10.v1.2 pep primary_assembly:ASM411807v1:10:33828352:33834335:-1 gene:Vigun10g130000.v1.2 transcript:Vigun10g130000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKACDLSSISVFPPPLLHSRKANNVSSGLQVSHSQHRTQPSQQSFSQGLSSQHGLLSHLSQNSLDEAVTNDLRVGSQEQENSLRRLSSLPRPTYSREESHPLNSRSSSNLMLKWNSADHKAGQLSEGLEHRIGIMETSLSRFAMILDSVQSDVMQVNKGTKEIILEVECIRQKLIAQDNSFQLMTKGQEEFKAMIDGNLKSLSEQMSHVSKKEKLQDVYLAVSSLPQLIEASMQNVKNDLCNITKEMQGISCNLKSFNQKDVAPPSSSSKNLSKQVITPKKRQPLANVTKDRVFFFDFSEANTSLQAAVAPEVEMGGWKSVKKERVTFLDKASEKVQKKKEPETEKLSSSV >Vigun03g243900.15.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.5.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642876:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.1.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642876:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.19.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.12.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642876:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.21.v1.2 pep primary_assembly:ASM411807v1:3:40623449:40642877:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.18.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.9.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642938:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.7.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.20.v1.2 pep primary_assembly:ASM411807v1:3:40623449:40642877:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.2.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.14.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.16.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642938:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.13.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642876:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.17.v1.2 pep primary_assembly:ASM411807v1:3:40623665:40642877:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.6.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642876:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.11.v1.2 pep primary_assembly:ASM411807v1:3:40623449:40642877:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.4.v1.2 pep primary_assembly:ASM411807v1:3:40623666:40642938:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.3.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSAQSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.8.v1.2 pep primary_assembly:ASM411807v1:3:40623621:40642993:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun03g243900.10.v1.2 pep primary_assembly:ASM411807v1:3:40623449:40642877:-1 gene:Vigun03g243900.v1.2 transcript:Vigun03g243900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDTTPGSKTFVRGGTINPNDRFKDDSEGEKSKDGVSVPKKGSRYVPSFIPPPIATKGKESERKKEEEKPKEKEKGKSRNIDHFMEELKHEQEMRERRNQEREHWRDGRHAEHSASSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGRTVALPSQALPAPPPGHMAIRSKEGSTVILSGPSGPPLTSVPNQNSELVLTPNVPDIMVTPPEDEHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPTSKSPEHEKESGSTHAGGRSRRVEPERTLTDAQRDEFEDMLRALTLERSQIKEAMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDIMESFNDLYRSIMGRITAEALKERVLKVLQVWADWFLFSDSYVNGLRATFLRPGNSGVSPFHSICGDAPEIEQKTTSEDIVVGGKTNQDAALAMGRGAAMKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDELKYAHNQVSSGKYSGNRQETSAEPEPVGLSAWNHYGDEDLPSQSRSSVSIASTLPIPQPELKAFTKKEKSDPVLPASKWAREDDESDDEQRRGGKNLGLSYSSSGSDNVDDGLIKADESESAAGTSFPAHTDSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIDKKVESHRKRLQAEYGLSDSGEDGKSNRRTTERRDRHDVSRKRHRSRSPSDSPQPKLSGKDKDREHDLERDRDRQRDKSYDFDIERGKDRHREKSGSRERDDHDKDRSRDRDRDRRRRTK >Vigun05g259500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45321640:45323565:1 gene:Vigun05g259500.v1.2 transcript:Vigun05g259500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNTLVQNFGGPISVFSDQNLVTKGIELDDSSSPSSGTSSSGELTKYSNHILRYISDILMDEEDDLLNKPCMLQECLRLQAAEKSFLDVLVHHSPSSFSGESIGIADSDENFGRTVSFESNGSCTTDNSCESDLVNGGGEFDSSFLPQQTHHVVYSPDAFRVRDGTWNLFQSHTKPLMVEEGRSTSASREKRSHEMNDEDGRRGGKVSAVFSDESESPEILDEVLLCQIGRSECSSEPSQGVDSGGSSGKGARSRSKKGSTKAGTAVDLWTLLTQCAQAVASFDQRNANDLLGQIKQHSSPFGDGLQRLAHYFAKGLEIRLSAGTPSYVPLEVATAADMLKAYKLFVTSSPLQRMSNYLTTKTVLSLVKNESSVHIIDFGICYGFQWPCLIKTLSERDGGPPRLRITGIDLPRPGFRPAERVEETGRRLANYCRKFNVPFEYNCLAQKWESIKLADLKINRNEVTVVSCFYRLKNLPDETVAVDCPRDAVLKLIRKINPNIFIHGVVNGTYSAPFFLTRFREALYHFSSLFDMFEVNVPREDSQRLMFEKGLLGRDAINVIACEGAERVERPETYKQWQIRNLRAGFKQVSFDPQLVNYAKQMVKKEYHKDFVVAEDGKWALQGWKGRILNAISAWVPA >Vigun09g143700.1.v1.2 pep primary_assembly:ASM411807v1:9:30661550:30664108:1 gene:Vigun09g143700.v1.2 transcript:Vigun09g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDENIQASKGKNVESFTSLEAPRRKNKKIENKRRFSDEQIRSLECIFETESKLEPRKKMQLARDLGLQPRQVAIWFQNRRARWKSKRIEQEYRKLKDEYDNLASRFESLKKEKESLQVELQKLSDLVVSSHDGGREDKGGKEHSIEDGGSGSGYSSWKPEEKPRFSNEGLEERVGVYSDDQNENSMMRSEKSEDKGHQVLRMDDNDHAEMPLASLEKWYNSVDPNGILDQSCSSSQWLDFWT >Vigun05g033300.1.v1.2 pep primary_assembly:ASM411807v1:5:2678807:2680229:1 gene:Vigun05g033300.v1.2 transcript:Vigun05g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHGCSANEIFEGQIHLYKHLYAHVLDSMSLKWMIELGIPDIIHNHHQPITLPELASTLQIPLTKVRGVQSLLRYLAHCGFLQIVRIHHHTEEKEAYALTAASQLLLKGSDLSLAPMVELLTKPHAVHAWSQLKKWTYEDDLTLFDVSLGSNLWDFLGKNPENNKLFNESMASDSQMMNLALRGCNWVFEGVESIVDVGGGTGTTARAICDAFPNVKCTVFDRPQVVENLSGTNNLRYVGGDMFESIPKADAVLLKLILHDWNDNDGKKILENCKEAISDKGKRGRVILIETVINEGQDEHGLTGLKLAMDVRMTCLLNGKERSEEEWKKLFMDAGFQSYKIYPLTGYLSLIEIYP >Vigun03g142300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14353927:14355558:1 gene:Vigun03g142300.v1.2 transcript:Vigun03g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLSSCFTGVVIVLLFSFSSSSLDTPEKFVQCLYNYPHITNPISNVVYTQTNSSYSSVLNVSIQNHRFFNSSSKPQVIVTALHVSHIQATIFCSQSHGLQIRTRSGGHDYEGLSYVAEVPSVIVDLLYLRKITVDIENRTAWVQAGATIGELYYTISQKTKTLGFPAGVCSTVGTGGHFSGGGYGFLMRKYGLASDNILDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVSRTLEEYETDIIQKWQLVANKLDKRIFLRMDLTRANSSEHGKQTIQANFVSVFLGGVEEFIPLMRKSFPELGLDKKDCTETSWIGSVVFMNAGFIGSRDLEATEVLVNRTRTRVKNFKGKSDYVRKPIPVDGLRGLWRLLYDDGIEYGQLQFAPYGGIMDEISESQTPFSHRSGYIFHIHYIATWLEEGDETAQRHMNWTRRVYKYMEPYVSKSPRAAYVNYRDLDIGINNNGYTSYDQASIWGVKYFGSNFRRLVEVKTKVDPHNFFRNEQSIPTLSKEGNYNKEFI >Vigun09g161300.1.v1.2 pep primary_assembly:ASM411807v1:9:32869159:32872767:-1 gene:Vigun09g161300.v1.2 transcript:Vigun09g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSTTSTFFFSSPPLSLNPHSHRSLLPFKLKPLSISHSSFLLRTPSRSPHNPFLTRAEDDDGDSAGPDHYEMEEDEMEELDNKKDFDIEYDSLLPVAVAADEDITIVESGSFVSTQGWNSDTVVDYKINEEEFHKISLVDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYSIPRVLAPMPQKYIRCAQSDYGSYNVTEPPIDAPRDPLYKTEREILKVYLTKHYKNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTAPGGRTRDRRSDLLVISDRGSSFKIIHASEKEDPTTVIERQEWETSRAEMERHLKKLRDFSISNWF >Vigun03g007750.1.v1.2 pep primary_assembly:ASM411807v1:3:520853:521947:-1 gene:Vigun03g007750.v1.2 transcript:Vigun03g007750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFQIWLLNMALHSFFYLLILLALIISFSFTQVTAELEIKRKLGKLPRPPPPPSAAPGPGTFYNSPGSLG >Vigun07g089750.1.v1.2 pep primary_assembly:ASM411807v1:7:14066450:14067746:-1 gene:Vigun07g089750.v1.2 transcript:Vigun07g089750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWLWLCGGDGGSGVVVVVWLGDDRVVVVVVQWWWSSGHSGCDGGGDGYDGGDGYGGDGDVVEVVVMVIVVVVVVWLGDVEWYGGGGLGIVVVLVLMVWFVWWWLWQGGDGSVGYGGCGNDGGCGVNGGGGDGGDVIIVVVTVVGGGCRGGGRCGRVLLVVVVVVTVVGWCACGSVVGGFKGGGCGCVVVLVMGGGGGYGCGGDVGNVLVMVVVLVAVCVDGGHSGVVLGMVVVVVVTLHCGFCCSGGSGGCGGCGRVVVVVVIVMVVCF >Vigun09g196100.2.v1.2 pep primary_assembly:ASM411807v1:9:37068902:37074047:-1 gene:Vigun09g196100.v1.2 transcript:Vigun09g196100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTGYFFVLGIVALSATLLPFIKRFLPKHWNEDVIVWRLPYFRSVEIEFTRSQVVAGIPGTFFCAWYALRKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAVRPFSMLGLGDIVIPGIFVALALRFDVSRGKQPQYFKSAFVGYTVGLVLTIVVMNWFQAAQPALLYIVPSVIGFLAAHCIWNGDVKQLLEFDESKTANSPQEQDKSSKKVV >Vigun09g196100.1.v1.2 pep primary_assembly:ASM411807v1:9:37068902:37074047:-1 gene:Vigun09g196100.v1.2 transcript:Vigun09g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTERIANLALTGLTLAPLVVKVDPNLNVILTACLTVFVGCYRSVKPTPPTETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTGYFFVLGIVALSATLLPFIKRFLPKHWNEDVIVWRLPYFRSVEIEFTRSQVVAGIPGTFFCAWYALRKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAVRPFSMLGLGDIVIPGIFVALALRFDVSRGKQPQYFKSAFVGYTVGLVLTIVVMNWFQAAQPALLYIVPSVIGFLAAHCIWNGDVKQLLEFDESKTANSPQEQDKSSKKVV >Vigun05g071101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6109646:6110014:-1 gene:Vigun05g071101.v1.2 transcript:Vigun05g071101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGYGFRVSDYGFLVSSFRLWVWNFGFRLSSFRFRVSGFRLRVSSYAFRVSGLRFGVSDFGFWVWGFRFGVSGWGFRVTSFRLRVSSLGFRVTCFRFRVSGFMFQVSDFGLQILGYRFPV >Vigun03g070300.1.v1.2 pep primary_assembly:ASM411807v1:3:5813203:5814749:-1 gene:Vigun03g070300.v1.2 transcript:Vigun03g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQRTRKVSRNPELIRGIGKYSRSQMYHKRGIWAIKAKNGGVFPRHDPTPKPQSPALKPPKFYPADDVKKPLPNKHKPRLTKLRDSVTPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKIDVSAVNVDKFDDKYFSKESSKKKKKGEGEFFEAEKEEKSVLPQQKKDDQKTLDSVLIKAIESVPDLNAYLGARFSLKAGVKPHELVF >Vigun03g099800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8540516:8541320:-1 gene:Vigun03g099800.v1.2 transcript:Vigun03g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGGACSSGSSSLQNSGSEGEREMVDERKRKRMQSNRESARRSRMRKQQHLEGLSAQLDELKKENSEMNKNIGMTTQVFLKVEAENAILRAQMAELSNRLNSLNEIIGFINSTNYLVLDEAQETQMFNDCGFMDAWNGIPFNQQPIMASADNDILMMY >Vigun03g381000.1.v1.2 pep primary_assembly:ASM411807v1:3:58554672:58556304:1 gene:Vigun03g381000.v1.2 transcript:Vigun03g381000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTNSPCLPLLLLLVSAYFVLCAAANFNQDFQITWGDGRAKILNNANLLTLSLDRASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGTTWDEIDFEFLGNLSGDPYIVHTNVYTQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREYKNLESIGVPFPKSQPMRIYSSLWNADDWATRGGLIKTDWSKAPFTASYRNFNANACVWNSGKSSCKSNSPSSAAPATNAWLSQELDSTAQKRMNWVQKNYMIYNYCTDRKRFPQALPLECTHS >Vigun10g051250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8022859:8023053:-1 gene:Vigun10g051250.v1.2 transcript:Vigun10g051250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAFGLFVVVFVEANHCGGHVNPIVMFGAFVGGKITLLRSILCWIAQLLGSIISFNFNSANSN >Vigun03g143900.1.v1.2 pep primary_assembly:ASM411807v1:3:14561679:14567652:1 gene:Vigun03g143900.v1.2 transcript:Vigun03g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTNMMKAKSNLHKRKSSLISDGHPSAFKQPEGNTIREENYNEDEDKNVIGKYMIKEKAGGEYDAVDDYQDNADYLPEDKGPNTTEEQPHKGMVTRYSDEKNNPSTRHFIHGYHLIQGQMNHGMEDYIFAQHRNLDGYDLGLYAIFDGHSGHEVAKYLRNHLFENILSEPGFWENPVQAIKKACKATDDEVLENVADSRGGSTAVAAILVNGVKLLVANVGDSRAISCKNGRANPLTVDHDPEKEKALVESRGGFVSKRPGNVPRVDGQLAMTRAFGDGKLKKHITAEPDVTIEKIDEDTEFIILASDGVWKVMTNQEACDCIKNVDGAEKASKKLIKEAKSLGSYDDISCIVIMF >Vigun07g252200.1.v1.2 pep primary_assembly:ASM411807v1:7:37126358:37130924:1 gene:Vigun07g252200.v1.2 transcript:Vigun07g252200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRSSFCVFLGFLVLFLSAPSMAKFVVEKNSLTVTSPDNIKGTHDSAIGNFGIPQYGGSMAGNVVYPKDNKKGCKEFDESGISFKSKPGALPTIVLLDRGNCFFALKVWNAQKAGASAVLVADDIEEKLITMDTPEEDGSSAKYIENITIPSALIEKSFGGKLKDAISNGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVIENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKKCADAVIESLGLDIKKIERCMGDPNNDAENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVMKAICAGFEETTEPAICLSSDVETNECLENNGGCWQDKSANITACKDTFRGRVCECPLVDGVQFKGDGYTTCAASGPGRCKINNGGCWHEARNGHAYSACLDDGGVKCKCPAGFKGDGVKNCEDIDECREKKACQCPECSCKNTWGSYDCTCSGDLLYIRDHDTCISKTARQEGRSAWTVFWVILVGLVMAAAGAYLLYKYRIRSYMDSEIRAIMAQYMPLDSQSEVVNHANDERA >Vigun11g101650.1.v1.2 pep primary_assembly:ASM411807v1:11:29730457:29730778:-1 gene:Vigun11g101650.v1.2 transcript:Vigun11g101650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQIDAFLCSNVKLQPQYHAFTTCYDVWKKAKKLYSNHIHCLYNVVSNLITVKLENHNIQTYLGKLDCLIVDFESLMSFTN >Vigun05g144100.2.v1.2 pep primary_assembly:ASM411807v1:5:19420898:19423355:1 gene:Vigun05g144100.v1.2 transcript:Vigun05g144100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPTSPVSEPERGSFFPLQDGTAYLVDTDGNLIENGGSYFITTGNGGGVEFAATGNETCPLSVVQNISPFSSGLPVKLSTLRFIPYIAEGLNLDIGFPFISPCAPTPSWWEVVKGVKGGLSVKLSGYDNTVPGGFRIRSVRNDIVRGYNLLFCPEDTSCGYVGVDLDSKKNRSLVVTQDKKAAMSIQFQRVNLSPATATV >Vigun05g103900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10360011:10362013:-1 gene:Vigun05g103900.v1.2 transcript:Vigun05g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQNESGWMHSIKVTLGSLDHREVKSFISSIPSVSSKLRKSNEEAYSPKFVSIGPLHRGTSSHLLAMEEHKWRYMLALLHRTQNPVSTLDECGTVILGLDDAVRASYGGNIKYEPHELAKIMLLDGSFLLELLLRCAPPNMVPQIPKEENHNGSSSDPILGHKEVFISILTDFTLLENQMPFFVLKTLARVLFPEVFTSEADHLVADLTLSLFNYPLIRSPSVAHFLHLMHLSSIVDEGQKVKKAQQELKRCATRLRAAGVSIKKVERHSKLVHWFGFDITFAKGVLEIPPLHIVETTEVYLRNFIAWEQSRIGINRQFTSYALFLKGLMCSLQDVELLVDSGVLVKDIKISNRDLLTLFGTITKGVDQMDSSYSKLCEDLNAYSAVNHLRKFPILIWHCCNLCVECIRYSCKRSYKILIRDHIPNVWKLIGILVAAVLLALTIMQTYYSSRG >Vigun05g208600.1.v1.2 pep primary_assembly:ASM411807v1:5:39932048:39933393:1 gene:Vigun05g208600.v1.2 transcript:Vigun05g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIEMRVHMDCPGCENKVKEALQKLKGVDGIEIDMKLQKVTVNGYAEQKKVLKTVRKTGCRAELWQLPYTTESQNQYFQQHHCNGPLTYYASQPSSSYNYYKHGYDNSDPSYYNYPSQSSIFGHQTGATFSDDNPHACVIM >Vigun01g086800.1.v1.2 pep primary_assembly:ASM411807v1:1:24434470:24436830:1 gene:Vigun01g086800.v1.2 transcript:Vigun01g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGRGGGFRGGRDGGGRGRGGFGRGGGGRGGFRDEGPPSEVVEVATFMHACEGDAVTKLTNEKVPYFNAPIYLKNMTQIGKVDEIFGPINEAYFSIKMMEGIVATSYSAGDKFYIDPSKLLPLARFLPQPKGQAQGGRGGGGRGRGGGRGGRGGGGGFRGGGGFRGRGGPRGGRGPPRGGGFRGRGRS >Vigun08g088600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20235324:20243146:1 gene:Vigun08g088600.v1.2 transcript:Vigun08g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKVKKEKTKAQHHMPYQGGISFHKSKGQHILKNPLLVDSIIRKFGVQPTDVVLEINPGTENLTKKLLEAAKKVIVVEIDSCIVLELQRWF >Vigun01g206100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38204053:38206158:-1 gene:Vigun01g206100.v1.2 transcript:Vigun01g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMKLALLIRSSSNQNGVPKLHRFSSVPDIKLHLDKAAKLKNLKHATQIHSHIVTTNQTSLGNINSLLVVYAKCGSIKHAVLLFGTTPGASRSVVTWTTLITQLSHFNKPSQALTSFNLMRTTGIYPNQFTFSAILPACAHAMLLSQGQQIHALLLKHAFHTDTFVATALLHMYASCGSMSFAEDVFDQMPHRNLVSWNSMIVGFVKNKMFGRAIGSFREVLSLDPDQVSFSSVLSACAGLVELGFGKQVHGSIVKRGLMGLVYVKNSLVDMYCKCGLLEDATKLFCGGGDRDVVTWNVMIMGCVHSQNFEQACTFFQDMVREGVEPDEASYSSLLHASASIAALAQGTFIHCRVLKTGHMKNACVSSSLVTMYGKCGSLFDAYGVFGETKDCNVVCWTAMITVCHQHGCANEAIELFEEMLKEGIVPEYITFVSVLSACSHTGKVDDGFKYFNSMTDVHNIEPGLEHYACMVDLLGRVGRLEEAWRFIELMPIEPDSSVWGAFLGACGKHGNVEMGREVAERLFELEPDNPGNYVLLANIYTRHGMLEEADEVRRLMGMNGVRKETGCSWIDVKNRTFVFNVNDRSHSRTREIYEMLQKLKELMKRKGYVAETQFATNSVEGSEEQSLWCHSEKLALAFGLLVLPPGSPVRIKKNLRTCGDCHTVMKFASEIFQREIIVRDINRFHRFTNGSCSCRDYW >Vigun11g102600.1.v1.2 pep primary_assembly:ASM411807v1:11:29939918:29944332:1 gene:Vigun11g102600.v1.2 transcript:Vigun11g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYEEVEEAVSPPGQYFNSSVICSYVFGFLEIAIPIDDSKIIPLIKDVFLPINPRFSSIMITNEDGKRRWKRVEVKPEEHVKIPKFPEFELYDHYFDDYVTRIMIERTPQNKPLWEIHIIKYPTSNAAGTVIFKLHHALGDGYSLMAALLSCLQRIDDPSLPLTFPSRTSSSQGSKKNMIQKLPSFISSFFCSMSDFGSSLVKTRMFVDDKTPIRSGYEGTESMPYAMSSISLSLDHVKAIKTKLGVTINDVMVGMIFYGIRLYMQEIDYMARKAKSTVVVMLNTRNVKGYQSVKEMQNSKVKGLWGNKISFLQITIQSLINQKTTTLLSLFGMPENL >Vigun03g031100.3.v1.2 pep primary_assembly:ASM411807v1:3:2357754:2361799:1 gene:Vigun03g031100.v1.2 transcript:Vigun03g031100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPTHSSRSLLPLPPSTKPTFPTLRRLNHRVSLVTASTPLLQVNDLRAKIVESNVQILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERSLSGLFMSFQSPVEIPGVSNDEFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAGAVNRILTPQNSLMMITHYRRILDLLNPTHVHVMDKGKIARTGDISMVETIEAEGYETVSAST >Vigun03g031100.1.v1.2 pep primary_assembly:ASM411807v1:3:2357754:2361799:1 gene:Vigun03g031100.v1.2 transcript:Vigun03g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPTHSSRSLLPLPPSTKPTFPTLRRLNHRVSLVTASTPLLQVNDLRAKIVESNVQILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERSLSGLFMSFQSPVEIPGVSNDEFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAGAVNRILTPQNSLMMITHYRRILDLLNPTHVHVMDKGKIARTGDISMVETIEAEGYETVSAST >Vigun03g031100.6.v1.2 pep primary_assembly:ASM411807v1:3:2357754:2361804:1 gene:Vigun03g031100.v1.2 transcript:Vigun03g031100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPTHSSRSLLPLPPSTKPTFPTLRRLNHRVSLVTASTPLLQVNDLRAKIVESNVQILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERSLSGLFMSFQSPVEIPGVSNDEFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAGAVNRILTPQNSLMMITHYRRILDLLNPTHVHVMDKGKIARTGDISMVETIEAEGYETVSAST >Vigun03g031100.2.v1.2 pep primary_assembly:ASM411807v1:3:2357754:2361803:1 gene:Vigun03g031100.v1.2 transcript:Vigun03g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPTHSSRSLLPLPPSTKPTFPTLRRLNHRVSLVTASTPLLQVNDLRAKIVESNVQILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERSLSGLFMSFQSPVEIPGVSNDEFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAGAVNRILTPQNSLMMITHYRRILDLLNPTHVHVMDKGKIARTGDISMVETIEAEGYETVSAST >Vigun03g031100.4.v1.2 pep primary_assembly:ASM411807v1:3:2357754:2361799:1 gene:Vigun03g031100.v1.2 transcript:Vigun03g031100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPTHSSRSLLPLPPSTKPTFPTLRRLNHRVSLVTASTPLLQVNDLRAKIVESNVQILHGVNLTVNQGEVHAIMGKNGSGKSTFAKVLVGHPDYEVTGGSVVFKGENLLEMEPEERSLSGLFMSFQSPVEIPGVSNDEFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAGAVNRILTPQNSLMMITHYRRILDLLNPTHVHVMDKGKIARTGDISMVETIEAEGYETVSAST >Vigun06g184000.2.v1.2 pep primary_assembly:ASM411807v1:6:30350147:30353690:1 gene:Vigun06g184000.v1.2 transcript:Vigun06g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPNVWLVMLLLFLAAYCIQHGVHGVSQVPCLFILGDSLSDSGNNNDLPTSAKSNYRPYGIDFPAGPTGRFTNGRTEIDIITQLLGFENFIPPFANTSGSDILKGVNYASGGAGIRNETGSHSGGSISLGLQLANHRVIVSEIATRFGSPDLARQYLEKCLYYVNIGSNDYMGNYFNPQFYPTSRIYSLVQYTQALIEELSLNLLALHDLGARKYVLNGLGRFGCTPGVMHSHGTNGSCVEEQNAAALDFNNELKTLVDQFNNRFSANSKFIMIHTAPKAFDTHGFLVSDAACCPLGCIPDQKPCNNRSDYVFWDEVHPTEAWNLLNAIPAYESIIDPAFVYPMDIKHLVDWEVKRVLEFPNGATSQLSVTE >Vigun06g184000.1.v1.2 pep primary_assembly:ASM411807v1:6:30351094:30353776:1 gene:Vigun06g184000.v1.2 transcript:Vigun06g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPNVWLVMLLLFLAAYCIQHGVHGVSQVPCLFILGDSLSDSGNNNDLPTSAKSNYRPYGIDFPAGPTGRFTNGRTEIDIITQLLGFENFIPPFANTSGSDILKGVNYASGGAGIRNETGSHSGGSISLGLQLANHRVIVSEIATRFGSPDLARQYLEKCLYYVNIGSNDYMGNYFNPQFYPTSRIYSLVQYTQALIEELSLNLLALHDLGARKYVLNGLGRFGCTPGVMHSHGTNGSCVEEQNAAALDFNNELKTLVDQFNNRFSANSKFIMIHTAPKAFDTHGFLVSDAACCPLGCIPDQKPCNNRSDYVFWDEVHPTEAWNLLNAIPAYESIIDPAFVYPMDIKHLVDWEVKRVLEFPNGATSQLSVTE >Vigun08g046700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4997824:5000048:-1 gene:Vigun08g046700.v1.2 transcript:Vigun08g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPYPHRRSASVGGIPDWISDSIHGGSLRHVDLENGTNGWASPPGELFSLRSSDYLKNRQKSPAGDYLLAPAGMDWLKSTAKIEHVLSRTDNRVMQALKRSQETQGQSMKSFVFAVNLQIPGGKEHHSAVFYFAAEDPESLQRCSLLNRFVHGDDTFRNQRFKLVNRIVKGPWIVKKAVGNHGACLLGKALQCSYHRGTNYLEIDVDIGSSAIANAILHVALGHVTAVTIDMGFVVEAQTEDELPEKLIGGVRVCQMEMSSATVVEALHVPQVAHVQRGIGSAKVNHHMSADDLLALDR >Vigun06g156500.1.v1.2 pep primary_assembly:ASM411807v1:6:27988753:27992183:-1 gene:Vigun06g156500.v1.2 transcript:Vigun06g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERGSFLPNNKDEHLLPLDGRTELKNFRSYLRWVYVDHSNVCKAGFSWSVFFTLAFVVPVLSHFLLDCPTCDQDHSRPYHIPVQISLSVFAALSFISISSWDRKYGFSKFLFLDKLGDESLKIQRGYSEQMQGTMKLIMRWGLPCFIAECGYKIWWYVSGVSQIPYYGNIYASSIILCAFELCSWLYRTSIFFLVCVLFRLICYLQILRLDEFARVFHRETEVGSILLEHLRLRRNLRIISHRFRVFILSSLLLVTASQLIFLLMVTRPHADVDIMKSGELAFVSITLVSGLFLLLRGATKITHKAHSVTGLAAKWHICATINSFDNIDGETPTTAQAISAQAIAANISWGSSDDEVGDEEDELDNTKLMPIYTQTISFHKRQALVTYLENNRAGITVFGFTLDRTWLHSIFGIQLALCLWLLNKTVGV >Vigun06g156500.2.v1.2 pep primary_assembly:ASM411807v1:6:27988879:27991933:-1 gene:Vigun06g156500.v1.2 transcript:Vigun06g156500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERGSFLPNNKDEHLLPLDGRTELKNFRSYLRWVYVDHSNVCKAGFSWSVFFTLAFVVPVLSHFLLDCPTCDQDHSRPYHIPVQISLSVFAALSFISISSWDRKYGFSKFLFLDKLGDESLKIQRGYSEQMQGTMKLIMRWGLPCFIAECGYKIWWYVSGVSQIPYYGNIYASSIILCAFELCSWLYRTSIFFLVCVLFRLICYLQILRLDEFARVFHRETEVGSILLEHLRLRRNLRIISHRFRVFILSSLLLVTASQLIFLLMVTRPHADVDIMKSGELAFVSITLVSGLFLLLRGATKITHKAHSVTGLAAKWHICATINSFDNIDGETPTTAQAISAQAIAANISWGSSDDEVGDEEDELDNTKLMPIYTQTISFHKRQALAFDAVTYLENNRAGITVFGFTLDRTWLHSIFGIQLALCLWLLNKTVGV >Vigun08g014400.1.v1.2 pep primary_assembly:ASM411807v1:8:1236333:1238224:1 gene:Vigun08g014400.v1.2 transcript:Vigun08g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDLAEGCIAKILSQTSPVDACKLSLVSRTFCSAAESDFVWSSFLLNFTSLIPPSSLPSSSSKKALYFNLCNHPTIIDQGKKSVQLDKRTGKNCYMFSARNLDIEWGDDGHHWEWTSLPESRFEVVAVLRAVCWFDISGKISTVSLSSETHYAVFLVFKMMNASGFHYHPTVISVGVCGGSSNSKYVCLDLNLKENRLRELACPKVRSDGWLEIEMGEFFNSGLKEEQVNVKIMETTSHIWKCGFVLEGIEIRPKHV >Vigun06g107400.1.v1.2 pep primary_assembly:ASM411807v1:6:23668192:23678310:1 gene:Vigun06g107400.v1.2 transcript:Vigun06g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVYERQNSSSGTPTTPSSPGSTVTPVNRHARAGSTGSAMIGARRAQNTATKAAAQRIAQVMSQADDDGEDDDDVPLDYSSISGAGGIGLGGGRPMPSRSPMAVRSVQDPASSARSRSPMSVRGVQDKNPSARSRSPASIRPAQDPTQSARSRSPAPQSLRGSVRSSSTLNALPAEQTPTVLNNAEQPPSARSASGNRSLDFSPSSRTMISTRSTQPSSASNDQPPSARSTAGRPSGLSKVVPMVPPSVPITLRPASSAGIPPSEPLIDVRKDRRLSLDLGSMKVRESANQQQRPTGELEDELDMLQEENDNLVEKVRLAEEKFEEAESRVRQLEQQVANLGEGVTMEARLLARKEAALQQREAAIRNAPKNLEGFQASDAEEEATVALEKLRLMTQRMILTAEEMEEVVLKRCWLSRYWGLCVQHGIHADIAEAKYKYWSMFAPNPVEVVLAAGEKAKEEADLDVIEDTENRRDIKELSGEGNIENMLFVEQGLRQLASLKVEEALAVVLAQHRRPNMLRFGFSDDLKLPIEGQCDAFELSREEAEDVTFKQAWLAYIWRRAKKHEIEPDIAYERLQFWINHNSIVPNSQDAVDVERGLAEIKRLDIETQLWDESRRELEQDADNSNVPGRTDF >Vigun06g107400.4.v1.2 pep primary_assembly:ASM411807v1:6:23668297:23678310:1 gene:Vigun06g107400.v1.2 transcript:Vigun06g107400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRGVQDKNPSARSRSPASIRPAQDPTQSARSRSPASVRAVQEQPQSLRGSVRSSSTLNALPAEQTPTVLNNAEQPPSARSASGNRSLDFSPSSRTMISTRSTQPSSASNDQPPSARSTAGRPSGLSKVVPMVPPSVPITLRPASSAGIPPSEPLIDVRKDRRLSLDLGSMKVRESANQQQRPTGELEDELDMLQEENDNLVEKVRLAEEKFEEAESRVRQLEQQVANLGEGVTMEARLLARKEAALQQREAAIRNAPKNLEGFQASDAEEEATVALEKLRLMTQRMILTAEEMEEVVLKRCWLSRYWGLCVQHGIHADIAEAKYKYWSMFAPNPVEVVLAAGEKAKEEADLDVIEDTENRRDIKELSGEGNIENMLFVEQGLRQLASLKVEEALAVVLAQHRRPNMLRFGFSDDLKLPIEGQCDAFELSREEAEDVTFKQAWLAYIWRRAKKHEIEPDIAYERLQFWINHNSIVPNSQDAVDVERGLAEIKRLDIETQLWDESRRELEQDADNSNVPGRTDF >Vigun06g107400.2.v1.2 pep primary_assembly:ASM411807v1:6:23668296:23678311:1 gene:Vigun06g107400.v1.2 transcript:Vigun06g107400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVYERQNSSSGTPTTPSSPGSTVTPVNRHARAGSTGSAMIGARRAQNTATKAAAQRIAQVMSQADDDGEDDDDVPLDYSSISGAGGIGLGGGRPMPSRSPMAVRSVQDPASSARSRSPMSVRGVQDKNPSARSRSPASIRPAQDPTQSARSRSPASVRAVQEQPQSLRGSVRSSSTLNALPAEQTPTVLNNAEQPPSARSASGNRSLDFSPSSRTMISTRSTQPSSASNDQPPSARSTAGRPSGLSKVVPMVPPSVPITLRPASSAGIPPSEPLIDVRKDRRLSLDLGSMKVRESANQQQRPTGELEDELDMLQEENDNLVEKVRLAEEKFEEAESRVRQLEQQVANLGEGVTMEARLLARKEAALQQREAAIRNAPKNLEGFQASDAEEEATVALEKLRLMTQRMILTAEEMEEVVLKRCWLSRYWGLCVQHGIHADIAEAKYKYWSMFAPNPVEVVLAAGEKAKEEADLDVIEDTENRRDIKELSGEGNIENMLFVEQGLRQLASLKVEEALAVVLAQHRRPNMLRFGFSDDLKLPIEGQCDAFELSREEAEDVTFKQAWLAYIWRRAKKHEIEPDIAYERLQFWINHNSIVPNSQDAVDVERGLAEIKRLDIETQLWDESRRELEQDADNSNVPGRTDF >Vigun06g107400.3.v1.2 pep primary_assembly:ASM411807v1:6:23668297:23678310:1 gene:Vigun06g107400.v1.2 transcript:Vigun06g107400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVYERQNSSSGTPTTPSSPGSTVTPVNRHARAGSTGSAMIGARRAQNTATKAAAQRIAQVMSQADDDGEDDDDVPLDYSSISGAGGIGLGGGRPMPSRSPMAVRSVQDPASSARSRSPMSVRGVQDKNPSARSRSPASIRPAQDPTQSARSRSPASVRAVQEQPQSLRGSVRSSSTLNALPAEQTPTVLNNAEQPPSARSASGNRSLDFSPSSRTMISTRSTQPSSASNDQPPSARSTAGRPSGLSKVVPMVPPSVPITLRPASSAGIPPSEPLIDVRKDRRLSLDLGSMKVRESANQQQRPTGELEDELDMLQEENDNLVEKVRLAEEKFEEAESRVRQLEQQVANLGEGVTMEARLLARKEAALQQREAAIRNAPKNLEGFQASDAEEEATVALEKLRLMTQRMILTAEEMEEVVLKRCWLSRYWGLCVQHGIHADIAEAKYKYWSMFAPNPVEVVLAAGEKAKEEADLDVIEDTENRRDIKELSGEGNIENMLFVEQGLRQLASLKVEEALAVVLAQHRRPNMLRFGFSDDLKLPIEGQCDAFELSREEAEDVTFKQAWLAYIWRRAKKHEIEPDIAYERLQFWINHNSIVPNSQDAVDVERGLAEIKRLDIETQLWDESRRELEQDADNSNVPGRTDF >Vigun04g129300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32469764:32470836:1 gene:Vigun04g129300.v1.2 transcript:Vigun04g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGQAIKLSPSTSELSGGRISMRKTASKSVSSESPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun08g033900.1.v1.2 pep primary_assembly:ASM411807v1:8:3155871:3159913:1 gene:Vigun08g033900.v1.2 transcript:Vigun08g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPYVLGWILCSVLSLFALYSLAFGGESRRRASLPKPVNRIELSETVTTSTSECRSDKRDGDADVIIVGAGVAGAALAHTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLVELGLEDCVDKIDAQQVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKASTLPNVRLEQGTVTSLVEEKGTIKGVQYKNKDGQELTTFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLLLENCELPCANHGHVILGDPSPILFYRISSTEIRCLVDVPGKKVPSISNGEMEKYLKTTVAPQIPPELYDAFIAAVDKGNIRTMPNRSMPADPLPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLPDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGLFSEGPVSLLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIKAEGIRQMFFPATVPAYYRAPPVGQ >Vigun10g056033.1.v1.2 pep primary_assembly:ASM411807v1:10:10114007:10114944:-1 gene:Vigun10g056033.v1.2 transcript:Vigun10g056033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADKPLFNGPKLHFLIFLNPNQCNASHLDLPADFGNYLRQGSFKYIFLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun11g133200.1.v1.2 pep primary_assembly:ASM411807v1:11:34230710:34257796:1 gene:Vigun11g133200.v1.2 transcript:Vigun11g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLFPFGSVLGNPFIFNGDLSEGGIESSRVFFLLPFFLLSQGGAMDLSKVGEKFLSSVRSARSIGLLPPVPDRPEVPARASAAAAVARALAGLPPHQRYSFSSSSEELSSIYGSRPQGPVVEDLEDEFYEEDFDPIKHILERVPADENELTYFEKQAALRLVQLDRVAEHLSRHVMEHHEVMVKGMNLVRELEKDLRIANVICMNGRRHLTSSMNEVSRDLIVNSYSKKKQALVDMLPTLIELQRALNMQSTLESLAEEGNYWKAFQVLSEYLQLLDSLSELSAIQEMSRGVEVWLGRTLQKLDALLLGVCQEFKEDGYITVIDAYALIGDTAGLAEKIQSFFMQEVISETHSVLKAIMHEDEEEVLQNSRLTYSDLCLRIPDSKFRLCLLRTLAVLFDLMCSYHEIMDFELERKDTVRNSNKCNEEISCRPGAQEVDSDVRACNNSLSSSGDILHGSSSREESVTMSSLTETSGSPYSDYHDPFKETGKEDSATLNIESPWYHLRKEAITFVSQTLQRGRRNLWHLTASRVSVLLSSAAVCTASIHQFLKNYEELSVFILTGEAFCGIEAVEFRQKLKAVCENYFTAFHRQNVHALKMVMERETWLKLPLETVQMISFAGLIGDGAPLISLSSGKSINAGAFHSPKSGNMVHTGARKNGFSHWIKSGNPFLQKLPPNGLVHGEFDDRTPRKNDSNHINGASSVSEDENEDLLADFIDEDSQLPSRSSKPFHSRTLSSHGNDDENTTQTGSSLCLLKSMDKYARLMQKLEVVNVEFFKGICQLFEIFFYNIYETFGQQNTGSSGKSSTNSLNYRLRTALSRVNQDCEEWIKPQSSSPTSLTSSFAHPELTPTNPPNANFGHSSGTSLGLTERCVAVDTLSLVARILNRSKAHLQSMLLQSNSTILEDFYVHMVDAVPDLTEHVHRTTVRLLLHINGYVDRVANCKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIRKEIQGLLLDYGLEIVAETLVEGLSRVKRCSDEGRALMSLDLQVLINGLQHFVSVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYSKSQIIGLINLVSTMKGWKRKTRLDILEKIE >Vigun11g133200.2.v1.2 pep primary_assembly:ASM411807v1:11:34230710:34258389:1 gene:Vigun11g133200.v1.2 transcript:Vigun11g133200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLFPFGSVLGNPFIFNGDLSEGGIESSRVFFLLPFFLLSQGGAMDLSKVGEKFLSSVRSARSIGLLPPVPDRPEVPARASAAAAVARALAGLPPHQRYSFSSSSEELSSIYGSRPQGPVVEDLEDEFYEEDFDPIKHILERVPADENELTYFEKQAALRLVQLDRVAEHLSRHVMEHHEVMVKGMNLVRELEKDLRIANVICMNGRRHLTSSMNEVSRDLIVNSYSKKKQALVDMLPTLIELQRALNMQSTLESLAEEGNYWKAFQVLSEYLQLLDSLSELSAIQEMSRGVEVWLGRTLQKLDALLLGVCQEFKEDGYITVIDAYALIGDTAGLAEKIQSFFMQEVISETHSVLKAIMHEDEEEVLQNSRLTYSDLCLRIPDSKFRLCLLRTLAVLFDLMCSYHEIMDFELERKDTVRNSNKCNEEISCRPGAQEVDSDVRACNNSLSSSGDILHGSSSREESVTMSSLTETSGSPYSDYHDPFKETGKEDSATLNIESPWYHLRKEAITFVSQTLQRGRRNLWHLTASRVSVLLSSAAVCTASIHQFLKNYEELSVFILTGEAFCGIEAVEFRQKLKAVCENYFTAFHRQNVHALKMVMERETWLKLPLETVQMISFAGLIGDGAPLISLSSGKSINAGAFHSPKSGNMVHTGARKNGFSHWIKSGNPFLQKLPPNGLVHGEFDDRTPRKNDSNHINGASSVSEDENEDLLADFIDEDSQLPSRSSKPFHSRTLSSHGNDDENTTQTGSSLCLLKSMDKYARLMQKLEVVNVEFFKGICQLFEIFFYNIYETFGQQNTGSSGKSSTNSLNYRLRTALSRVNQDCEEWIKPQSSSPTSLTSSFAHPELTPTNPPNANFGHSSGTSLGLTERCVAVDTLSLVARILNRSKAHLQSMLLQSNSTILEDFYVHMVDAVPDLTEHVHRTTVRLLLHINGYVDRVANCKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIRKEIQGLLLDYGLEIVAETLVEGLSRVKRCSDEGRALMSLDLQVLINGLQHFVSVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYSKSQIIGLINLVSTMKGWKRKTRLDILEKIE >Vigun07g296700.1.v1.2 pep primary_assembly:ASM411807v1:7:40705271:40707495:-1 gene:Vigun07g296700.v1.2 transcript:Vigun07g296700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSMAGAPSSLPSKEAGDDEPWSATALSEYRIEMRVHRVPGRFFLNASSQIASLYGKQGCGGINQDAMLVWENFCSNDDTVLCGVFDGHGPNGHLVAKKLRDSFPLKLMAQWNPPKSDTANTNTNFFSTSINDLEPCTVAPTDMAKLRQSFFRACKVMDRDLKLQNKIDCSCSGSTAVILLKQGHDLLIANVGDSRAVLATQDRNGSLTAVQLTTDLKPDLPREAERIRICKGRVFALKNEPGIYRVWLPNVNSPGLAMSRSFGDFCLKDFGLISVPELSYHRLTHRDQFVVLATDGVWDVLSNQQVVSIVSSSPRSSAARMLVDEAVQAWKTKLPTSKVDDCSVVCLFLDSNSDLTRSTTNNDRSNVVEL >Vigun10g176500.1.v1.2 pep primary_assembly:ASM411807v1:10:39500186:39502722:1 gene:Vigun10g176500.v1.2 transcript:Vigun10g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLVWEIVKKNNSFLVKQFGRGTQSIEFSRESNNLYNLNSFKFSGLSNKKTVTIQPVGKDLSVLLATTKTKKQNKPASLLHKSVMKKEFRRMAKAVENQVADNYYRPDLKNAALARLSAVNRSLKVAKSGVKKRNRQAVKVSGRK >Vigun05g071701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6132669:6133154:-1 gene:Vigun05g071701.v1.2 transcript:Vigun05g071701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSGFQLTGFEFRHSGFKFRMTSFRFGVWSFGFGVSGFQYGGFAFRVLGYGYGFLGTGWWFEVSCFGFRDSCFRFRITSFGFQVTGFELRVSGFGFEVGSFGFRVTSFGFQVKGLGFQVLCSGLGISGYKFRVTGLQFMVSSYMFLVSGFEIHVSGSRF >Vigun07g189700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30776117:30777745:1 gene:Vigun07g189700.v1.2 transcript:Vigun07g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLLFLLFLSLPFSYANLNADYYKETCPDFPKIVMENIYTKQSTSPATAPGILRLFFHDCITDGCDGSILISSNAYNPHAERDADLNLSLSGDAFDVIVKIKNALELACPGVVSCSDIVAQATRDLVKMVGGPYYPVMLGRKDSFDSDAAKVVESLPTPNMTMDQIIEKFTKKGFTVKEMVALTGAHTIGFTHCKEFINRIYNFSKTSDADPSMHPKLVAGLRSVCHNYTSDPSMAAFNDMRTPGRFDNAYFQNVMNGLGLLVSDSMLGVDPRTKPFVELYAKDQQAFFNDFANAMVKLSAFQVKTGKEGEVRSRCDQFNNLKRV >Vigun03g395400.2.v1.2 pep primary_assembly:ASM411807v1:3:60177533:60182435:-1 gene:Vigun03g395400.v1.2 transcript:Vigun03g395400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVNILLYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYAINPSRLRLTYEDIWLRSSDGVRLHAWFIKLFPDCRGPTILFFQENAGNIAHRLEMVRIMLQQLHCNVFMLSYRGYGASDGYPSQHGIIKDAQAALDHLSQRSDIDTSRIVVFGRSLGGAVGAVLTKNNPDKIAALILENTFTSILDMAGVLFPFLKWFIGGSSSNGPKVLNFLVRSPWMTIDVVGQIKQPILFLSGLQDEMVPPSHMQMLYAKAAARNNQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFLEQHAPVRKERNANVAARESSL >Vigun03g395400.1.v1.2 pep primary_assembly:ASM411807v1:3:60177455:60182555:-1 gene:Vigun03g395400.v1.2 transcript:Vigun03g395400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVNILLYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYAINPSRLRLTYEDIWLRSSDGVRLHAWFIKLFPDCRGPTILFFQENAGNIAHRLEMVRIMLQQLHCNVFMLSYRGYGASDGYPSQHGIIKDAQAALDHLSQRSDIDTSRIVVFGRSLGGAVGAVLTKNNPDKIAALILENTFTSILDMAGVLFPFLKWFIGGSSSNGPKVLNFLVRSPWMTIDVVGQIKQPILFLSGLQDEMVPPSHMQMLYAKAAARNNQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFLEQHAPVRKERNANDVGAR >Vigun01g155100.1.v1.2 pep primary_assembly:ASM411807v1:1:33778941:33780969:-1 gene:Vigun01g155100.v1.2 transcript:Vigun01g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial-type ATP binding cassette (ABC) transporter, Al toleranc [Source: Projected from Oryza sativa (Os05g0119000)] MCHQISITMDSFFFLKPNHTLLQATDWSWLVEFLKGMVKPVAATAVVFLAVLLSFYQKLGLELEMVVAILRAFIQLSIIGFVLQFIFNQDNAGWILLAYLFMVSVAGYTAGQRAKHVPRGKYVAGVSILTGTAVTMFVLVLLSVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIKTQMNLVETALALGATPREATHEQVKRAVILALSPVVDNTKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMMIGAATLSSIMATYLCWPAFFTKAYQLETKVFLT >Vigun07g195900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31559313:31560639:1 gene:Vigun07g195900.v1.2 transcript:Vigun07g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIHTVSTLFLQFLILNPSQNSIFQIPFCLNTFLHIFPCVPFSPFATSFSSIMALKISRPLHILLLLSLLLLVFHEFYNLNSNINKNHTTQPTHSIPSSHHLLTGRKFLATKFDFSPFFKHHQPGVAAHHDPSDTEIDPRYGVEKRRVPTGPNPLHH >Vigun04g183500.2.v1.2 pep primary_assembly:ASM411807v1:4:40822096:40827383:-1 gene:Vigun04g183500.v1.2 transcript:Vigun04g183500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREWSFYRDFLPILVLLGTECNDMGLLTLFKVASLQGLNSYVFVAYAYTVATTVLLPITFFHRRSRVVPPLSFSTVSKIVLLGVIGSSSQILGYAGISYSSPALASSIGNLVPAFTFILAVMCRMEKLAAKSRSSQAKVMGSIISISGAFVLTFYKGPSIFNAHKHVSLSPQDPINFLKSEDASWATGGILLIADYILTSVWYILEEDILRVFPEELTLVLFYNVTATIFTATVGLLAVPNASAWKIGLNVSLISIVSSGLFGKLMSNVIYAWTLNLKGAVFVTSLRPLQIVIAVAMGVVFLNDTLYIGSVVGAIIVSIGLYVVLWGKATKEIEEIVGGLESPTAENVPLLQNQRTETSEKNV >Vigun04g183500.1.v1.2 pep primary_assembly:ASM411807v1:4:40821526:40827520:-1 gene:Vigun04g183500.v1.2 transcript:Vigun04g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREWSFYRDFLPILVLLGTECNDMGLLTLFKVASLQGLNSYVFVAYAYTVATTVLLPITFFHRRSRVVPPLSFSTVSKIVLLGVIGSSSQILGYAGISYSSPALASSIGNLVPAFTFILAVMCRMEKLAAKSRSSQAKVMGSIISISGAFVLTFYKGPSIFNAHKHVSLSPQDPINFLKSEDASWATGGILLIADYILTSVWYILEEDILRVFPEELTLVLFYNVTATIFTATVGLLAVPNASAWKIGLNVSLISIVSSGLFGKLMSNVIYAWTLNLKGAVFVTSLRPLQIVIAVAMGVVFLNDTLYIGSVVGAIIVSIGLYVVLWGKATKEIEEIVGGLESPTAENVPLLQNQRTETSEKNV >Vigun04g183500.4.v1.2 pep primary_assembly:ASM411807v1:4:40821526:40827413:-1 gene:Vigun04g183500.v1.2 transcript:Vigun04g183500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMEKLAAKSRSSQAKVMGSIISISGAFVLTFYKGPSIFNAHKHVSLSPQDPINFLKSEDASWATGGILLIADYILTSVWYILEEDILRVFPEELTLVLFYNVTATIFTATVGLLAVPNASAWKIGLNVSLISIVSSGLFGKLMSNVIYAWTLNLKGAVFVTSLRPLQIVIAVAMGVVFLNDTLYIGSVVGAIIVSIGLYVVLWGKATKEIEEIVGGLESPTAENVPLLQNQRTETSEKNV >Vigun04g183500.3.v1.2 pep primary_assembly:ASM411807v1:4:40821526:40827383:-1 gene:Vigun04g183500.v1.2 transcript:Vigun04g183500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREWSFYRDFLPILVLLGTECNDMGLLTLFKVASLQGLNSYVFVAYAYTVATTVLLPITFFHRRSRVVPPLSFSTVSKIVLLGVIGSSSQILGYAGISYSSPALASSIGNLVPAFTFILAVMCRMEKLAAKSRSSQAKVMGSIISISEDASWATGGILLIADYILTSVWYILEEDILRVFPEELTLVLFYNVTATIFTATVGLLAVPNASAWKIGLNVSLISIVSSGLFGKLMSNVIYAWTLNLKGAVFVTSLRPLQIVIAVAMGVVFLNDTLYIGSVVGAIIVSIGLYVVLWGKATKEIEEIVGGLESPTAENVPLLQNQRTETSEKNV >Vigun11g000300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:60396:63666:1 gene:Vigun11g000300.v1.2 transcript:Vigun11g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSSVRVPWAIHGHSPGSSELRKAISTLDLTLHPEEVGNRHKLINSSLLLKACIRSGNLELGKLLHHKLIHSGLPLDSVLLNSLISLYSKCGDWQTALSIFQAMDDHNRDLVSWSSLISCFSNNSMHSQALLTFLHMLQFGIYPNEYCFTASIRSSSNAQYFSIGFVIFGFLFKTGYFHSHVSVGCALIDMFAKGSADIHSARMVFDKMRDKNLVTWTLMITRYAQHGFLSDAIDLFCILLVSDHTPDSFTLTSLLSASVDMGFFSLGKQLHSWVIRSGLASDVCVGCTLVDMYAKCAAAGSVENSRKVFNRMPLHNVMSWTALISGYVQARKEHEAMKLFCNMLHGHVAPNCFTFSSVLKACANLPDFSFGKQLHGQTIKLGLSAINCVGNSLVNMYARSGRTDCARKAFNVLFEKNLFSFRTAIDAHAKAFDSEESFNHDIEHTAVGTSSFTYACLLSAAACLGTVGKGEQIHALIVKSGFGTDLCINNALISMYSKCGNKEAALQVFNDMGHRNVITWTSIISALAKHGFATKALELFYEMLEIGAKPNEVTYIAVLSACSHVGLIDEAWKHFNSMHYNYGISPRMEHYACMVDLLGRSGLLVEAIEFITSMPFDADALVWRTFLGSCRVHRNTKLGEHAAKMILEREPHDPATYILLSNLYASEGRWDDVAAIRKCMKQKKLIKETGYSWIEVDNQIHKFHVGDTSHPQARMIYDELDELALKIKNLGYIPNTDFVLHDVEDEQKDHYLFQHSEKIAVAFALISTPKPKPIRIFKNLRVCGDCHTAMKYISIVTGREIVVRDAYRFHHVKNGKCSCNDYW >Vigun08g081900.1.v1.2 pep primary_assembly:ASM411807v1:8:17156930:17164440:-1 gene:Vigun08g081900.v1.2 transcript:Vigun08g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGWSRCSCSARTLVSVLATIILVFQPDYCIAKRHRPCPTSSCGQIRNISYPFRLKSDPGHCGDRRYELDCVNNATLLLTLFSGKYDVREIDYKRYRIVVRDPGQDEDANCSFIPRNFLTDRSFRTASGPDDFGSQPFTVESREMVRIGYFNCVNPVRNDPRYVKVERSGCGSGGHVYAVLDDAPWFASYGVEDIKVGCDLMVATLWTPKQNVTYHKCDIKAGCDTMTEVTVLEKIPEVNATYDDIQRVISEGFWLSWMPIICDNRCGRGTDCKVFNESSGEVECRNHYCHYAYHTTQKCKPWQKILGYTRAYVRGIIYGIGSRITFSTKQLDDPVGLQYFDGGIFIGRNIISIFLAARYVFGAVLILVLLIYKWRRRHSSIYENIENFLLDSHLNPIRYEYNEIRRMTRGFKVKLGQGGFGAVYKGKLRSGLDVAVKMLSKSNDNGQDFINEVATIGTIHHVNVVRLIGYCVHGKKRALVYEFMLNGSLDKYIFSKEESTHLSYQKIYEISLGIAHGIAYLHQGCDKQILHFDIKPHNILLDENFVPKVSDFGLAKLHATIDGVVNMTAARGTLGYMAPELFYNNMGGVTYKADVYSFGMLLMEMASKRRNSNPDAEHSSQHYFPFWIYDQFKKEKNIDLQDASEEDNIFVKRMFLIALWCIQLNPSDRPSMNKVIDMLEGMIESLGLPPRPSFYKVEAYNDDISSDVTESTYPTNHHDESITLDSSTNIEIGIR >Vigun11g103400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30089828:30092305:1 gene:Vigun11g103400.v1.2 transcript:Vigun11g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEEHPSSPMHPSSSYTFLLNIMSKRRTWACLFLFVYGTLLASSWNFLQSTLSWYNLQVQSSTSAWPALYASVLLGTVFGLLSMVAALMVMVPAVVVTWITVVVLLAFFGKPRRTLVVEGRKMTGEIFGVVVRILLKEGNFVAALCAVLGYFALVRRNGNQEGVVGES >Vigun05g211200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40214664:40220019:-1 gene:Vigun05g211200.v1.2 transcript:Vigun05g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATVQHKFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEAKNYVKIPDLFISLESCQNSNPFSFFSSFPHNLRVQIIDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVSQTRVLLSSAWLERRCLSQSVADILLQMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAHDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEMIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFVPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun05g211200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40214682:40220019:-1 gene:Vigun05g211200.v1.2 transcript:Vigun05g211200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATVQHKFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEAKNYVKIPDLFISLESCQNSNPFSFFSSFPHNLRVQIIDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVSQTRVLLSSAWLERRCLSQSVADILLQMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAHDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEMIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFVPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun05g211200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40214676:40220027:-1 gene:Vigun05g211200.v1.2 transcript:Vigun05g211200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGATVQHKFLLHFRPFLSRCPSARSVSSLQKLEHAVREEVEAKNYVKIPDLFISLESCQNSNPFSFFSSFPHNLRVQIIDEILQSFIPIRPRSKPQLAYSYLLSYTLQSSHPFPLALAVLQRTLRSGCLPVSQTRVLLSSAWLERRCLSQSVADILLQMQTIGYTPDCGTCNYLLSSLCAVDQLVEAVKVLRGMGGAGCIPDSNSYGTVIGAMSRVRKTTEAHDLMKQMVVQYGLTPGQGTLVKLLAALRANREIWNAVEMIEFMEKEGNSVGFESYELVIEGCLEKCEYVLAAKVATGMTERGFVPYIRVRQKIIEGLASIKEWKIACAMRHRFAALKS >Vigun02g040300.1.v1.2 pep primary_assembly:ASM411807v1:2:16536906:16556771:-1 gene:Vigun02g040300.v1.2 transcript:Vigun02g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMNFLYKNRYGIAKLVPTLPVAILVKTRGRLFLPVSVLPRISDRSNTEPPCSSDQRSQTITLNFTQQPYLIMAYGDGHDSRRKHRQSSAPKDADRSSKRHRHRRRHGSRKHGEESERDCETADCETAPLATSQILHCSSGTNRRAPDDDDVEEGEILEEDGADIAKLDARSDAKPAETGVPGDRDDQSNTSNLGHFVRETLLHAEDLKTRTRCIVDDKFISPECEDEACRRSHFGSEIHEDGTGNGLLDGKDDGTSTYSMGNGLLKNKSSGRDMRHGGEYECFKGNETHNGYCDDEDVELEGQNVGYYIISSSESGGEKYRRSRRSCSRDRYRSPSRSNGRAKDGSRSGSIAEKHAHSKRRHPDEQGGLSYCGRLETYYGLDDEGMMASRWENRDLVDKRSEHRASYHVVEARDRGRDRNRSRDKDVKRDLKMEKEQESWVFRREKEHERSHERHKRNGEEERRQREDYRDRRREKEVDRSCETVFERDRRREKERYRSRERTRGDERDRERETAEHDDKGWERDKIKEREKREDRERRTGRDTLNCMGKYLPHEDDYDSRDRHKMQTKQEEIEDHQKSKKNSLPVMVYDENKLQRREDEQDELDEKVTLQSPDQEEEHFNRVKEESRRRREAILEKYKKQQQQVQQNTENKIKEIPPTVPDVLDDVEVETLFSVRKSPLVNGVVASNMISCSGALREGTPKSKEADDEFCDDIFGETPTGAQKSGKGEGLRIENGGLHDNWDDAEGYYSYRFGEILDGRYEVIAAHGRGVFSTVVRAKNLKSISGEPGEVAIKIIRSNDTMYKAGMDELVILKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLRLTAVRVYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLTYDHPLDMWSVGCCLYELYTGKVLFPGSTNNDMLRLHMELKGLFPKKMLRKGAFIEQHFDQDLNFLATEEDPVTKKAIKRMISQFQGSSRKGFCIGSRQEVDSLTGIESPVHHWQVNNVLILTTKMMFTDFCT >Vigun07g277500.1.v1.2 pep primary_assembly:ASM411807v1:7:39265009:39267402:1 gene:Vigun07g277500.v1.2 transcript:Vigun07g277500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDSVIHFFKKIDEDTLRNGDLRIPRSFVSKYWEDISNPLHLLLPKSGEWEVKWRKVGADIWLIDNWKKFAEFYSLDEDNLLMFKYVGMSRFEVVIFHPTGLEIMYPLKEATLDHAENRNGNGNGNSSRHFKTAKSSLPRSCFSKKVKPNLRNQPNISEHVANRSARSRSIKEELDEQHGNGFHSTKFQKRGTKRKTSFCTKSSNSKKRGFSTRSGSAMERAECFHSVNPFFIREMQRSYIKRNIMLIPNGFITEDEDVHDCVTLWTGNKGWGVDFYRNKCNNQINLTSGWLDFVKDNNLGLGDVCVFEKIKKPGISFQVSIFRDREESSPPKFSDDTIPKRNERNERVLLNQFSVCMEPKDWKYLSVGRSFFENHGISNYSAEEEKEVTVTLEVGKISWKVKLDSHWRLTKGWCDFIRGCKLEVGDLCRFELIDEKNIVFKVTIETCID >Vigun07g277500.2.v1.2 pep primary_assembly:ASM411807v1:7:39265361:39267402:1 gene:Vigun07g277500.v1.2 transcript:Vigun07g277500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLTLLNISSQRIPRSFVSKYWEDISNPLHLLLPKSGEWEVKWRKVGADIWLIDNWKKFAEFYSLDEDNLLMFKYVGMSRFEVVIFHPTGLEIMYPLKEATLDHAENRNGNGNGNSSRHFKTAKSSLPRSCFSKKVKPNLRNQPNISEHVANRSARSRSIKEELDEQHGNGFHSTKFQKRGTKRKTSFCTKSSNSKKRGFSTRSGSAMERAECFHSVNPFFIREMQRSYIKRNIMLIPNGFITEDEDVHDCVTLWTGNKGWGVDFYRNKCNNQINLTSGWLDFVKDNNLGLGDVCVFEKIKKPGISFQVSIFRDREESSPPKFSDDTIPKRNERNERVLLNQFSVCMEPKDWKYLSVGRSFFENHGISNYSAEEEKEVTVTLEVGKISWKVKLDSHWRLTKGWCDFIRGCKLEVGDLCRFELIDEKNIVFKVTIETCID >Vigun09g161900.2.v1.2 pep primary_assembly:ASM411807v1:9:32965084:32968177:1 gene:Vigun09g161900.v1.2 transcript:Vigun09g161900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSMLYSFLLFIVILSLQEMYRGKLASSELFTILGGFISSLLFLVLLTFIGNFQETIGARTGWGAVIVAEAVALIAASTVHRVCITTCFLFSAGLLYEVNKISGSSVSTSDIRTKKQSGRA >Vigun09g161900.1.v1.2 pep primary_assembly:ASM411807v1:9:32964007:32968177:1 gene:Vigun09g161900.v1.2 transcript:Vigun09g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSMLYSFLLFIVILSLQEMYRGKLASSELFTILGGFISSLLFLVLLTFIGNFQETIGARTGWGAVIVAEAVALIAASTVHRVCITTCFLFSAGLLYEVNKISGSSVSTSDIRTKKQSGRA >Vigun01g053450.1.v1.2 pep primary_assembly:ASM411807v1:1:9961951:9964872:1 gene:Vigun01g053450.v1.2 transcript:Vigun01g053450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYHPQIDGQSERTIQSLEDLLRTCILDHLGAWDEVLPLIEFTYNNSFHASIGMAPYEALYGRRCRTPLCWYQDEEAVLVGPELLEQTTEKVRMVRDRMLASQSRQKAYADRRRRPLEFAAGDHVFLRVTRTTGVGRALRSRKLSPKFLGPYQITRRIGPVAYEIALPRQLANLHPVFHVSQLRKYVFDPAHVLEAEDIQIREDLTVEVPPIALEDSKVEERRGKSVNLVKVIWDRRTGDSTWELEEDMRKSRPHLFT >Vigun06g224800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33312280:33313858:1 gene:Vigun06g224800.v1.2 transcript:Vigun06g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLTIASTLSISKPFQTLSLPNTLQTPRPPQTRFSVSCRATKQQQQVRGVEDNLYKILSLSPNSATTDDIKKAYRSMARQYHPDVCHDSSRKEELTRMFVQLNAAYTTLSNPRLRAEYDYELGLRSRISVGDESWRMRWVEQLAELKRRSHRRTQRNRGSWGTRVRAQTMNSN >Vigun04g124900.1.v1.2 pep primary_assembly:ASM411807v1:4:31665872:31670512:-1 gene:Vigun04g124900.v1.2 transcript:Vigun04g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRDLAIEIEHLEREWTKTLQDVNPPEMDEIHMQSIYRVPPNIRDYNPKAYTPQIVSVGPYHHNSFEAMEKLKLKYLKGLLNRTEIPIREFLAKIKDLEEKIRVCYADRIIYQGHDFLNMILVDACFIIELFLRCHDYNGWKGKDPLLLKPLLEINICVDLVILENQLPFFVLEQLYNLTGMDEKLLDITFEYFERIPLGIVCPRESPKHFTDLLRSCIISSSELGLGKPKEGKEVRHVYSASQLMEAGLKFKISPNKSLLDLTYSKHGVLSMPIFNIDDSTEVLLKNMMAYEQCHPSATDIITQYVVILDFLINTEKDVDVLIDKKIIVNLMGDANKVVTMINNLNSNLPMTYFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLFQGN >Vigun04g124900.2.v1.2 pep primary_assembly:ASM411807v1:4:31665872:31670512:-1 gene:Vigun04g124900.v1.2 transcript:Vigun04g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRDLAIEIEHLEREWTKTLQDVNPPEMDEIHMQSIYRVPPNIRDYNPKAYTPQIVSVGPYHHNSFEAMEKLKLKYLKGLLNRTEIPIREFLAKIKDLEEKIRVCYADRIIYQGHDFLNMILVDACFIIELFLRCHDYNGWKGKDPLLLKPLLEINICVDLVILENQLPFFVLEQLYNLTGMDEKLLDITFEYFERIPLGIVCPRESPKHFTDLLRSCIISSSELGLGKPKEGKEVRHVYSASQLMEAGLKFKISPNKSLLDLTYSKHGVLSMPIFNIDDSTEVLLKNMMAYEQCHPSATDIITQYVVILDFLINTEKDVDVLIDKKIIVNLMGDANKVVTMINNLNSNLPMTYFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLFQGN >Vigun09g136350.1.v1.2 pep primary_assembly:ASM411807v1:9:29720355:29721967:-1 gene:Vigun09g136350.v1.2 transcript:Vigun09g136350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIILFVWKCMWVAIVWTIRMHKNGIIFRSKVNDGEEVFNLGQAKAWMWITNNVSNARFTYSDWCLCLNLCIKSIVD >Vigun01g111700.1.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.11.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.8.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562835:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.6.v1.2 pep primary_assembly:ASM411807v1:1:28557431:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.9.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562835:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.3.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.12.v1.2 pep primary_assembly:ASM411807v1:1:28559135:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.10.v1.2 pep primary_assembly:ASM411807v1:1:28557429:28562834:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun01g111700.7.v1.2 pep primary_assembly:ASM411807v1:1:28557430:28562835:-1 gene:Vigun01g111700.v1.2 transcript:Vigun01g111700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSDKMLNDNKESTHVDNVLADTNYPKTGVDKGVLKIFTKSSDVTETRIAADWKCGQSYRKGARAGEIDAAGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGQVSRPGLGWTGSAAAEGE >Vigun03g154300.1.v1.2 pep primary_assembly:ASM411807v1:3:16379077:16383896:-1 gene:Vigun03g154300.v1.2 transcript:Vigun03g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSTLVVVLLLCFSPTLVVFGLSNSDHTTLRVLLEVKKSFVKDPRNVLSDWTEDNIDYCSWRGVSCELDSDLDTVSNSLDGDSMQVVGLNLSDSSLTGSLSPSLGRLQNLLHLDLSSNSLTGPIPPNLSNLTSLESLLLFSNQLTGHIPEEFGSLKSLRVMRLGDNALTGTIPASLANLVNLVNLGLASCGLTGSIPRQLGQLKLMENLILQDNELMGPIPTELGNCSSLAVFTAANNKLNGSIPSELGRLSNLQILNFANNSISGEIPSQLGNMSQLVYLNLMGNQLEGTIPPSLAQLGNLQNLDLSMNKLRGGIPEELGNLGELVYLVLSGNNLNGVIPRTICSNATSLEHLMLSESGLHGEIPAELSQCQQLMQLDLSNNALNGSIPLELYGLLQLTDLLLHNNSLVGSISPFIGNLSGLQTLALFHNNLEGVLPREIGMLGKLEILYLYDNQLSGSIPTEIGNCSSLQMIDFFGNRFSGEIPVTIGRLKVLNFLHLRQNELVGEIPATLGNCHKLNILDLADNQLSGAIPATFGFLKELQQLMIYNNSLEGNLPHQLITLTNLTRVNLSKNRLNGSVAALCSSQSFLSFDVTDNEFEGEIPSQMGNSPSLERLRLGNNNFSGEIPRTLGKIRELSLLDLSGNSLTGPIPAELSLCNKLAYIDLSSNFIFGQIPLWLGSLSQLGELKLSSNNFSGPLPLGLFKCSKLLVLSLNDNSLNGTLPSDIGELANLNVLRLDHNNLSGPIPLEIGKLTKLYELRLSRNGFNGEIPAEFGKLQNLQIILDLSYNNLSGLIPLSLGKQSKLEALDLSHNQLTGEVPPQIGEMSSLGKLDLSYNNLQGKLDKHFSRWPDQAFEGNIHLCGSPLESCHRNKDSENAGLNEATVVIISSLSTLAAIALLILAVRIFSKNKQEFCRKASEVNYVYSSSSSQAQRRPLFQLNAAGNRDFRWEDIMDATNNLSEDFMIGSGGSGKIYKAELASGETVAVKKISSKDEFLLNKSFVREVKTLGRIRHRHLVKLIGYCSNKNKEAGWNLLIYEYMENGSVWDWLHGKPAKESKVKSTLDWETRFKIAVGLAQGVEYLHHDCVPKILHRDIKSSNVLLDSKLEAHLGDFGLAKALTENYDSDTESNSWFAGSYGYLAPEYAYSQQATEKSDVYSMGIVLMELVSGKMPTNEIFGAEMNMVRWVEMNVDMRGFTSEELIDPELKPLLPDEEFAAFKVLEIALQCTKATPHERPSSRKACDLLLHVFNNRMINFEKMNLEHCK >Vigun05g058000.1.v1.2 pep primary_assembly:ASM411807v1:5:4965787:4966843:1 gene:Vigun05g058000.v1.2 transcript:Vigun05g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLVTSLPKFGHAGAVIATARAWNPRFLAAATPRSIQVPSSPEGAAAAEGTKQGASETVNNSLNESTQDKAFTTAQVSHKTDELANQMSASAHNMAQKAKQTMQGAWDCTKDTAHRAKDTVVGKSQESAQYVKENAEAVKNNMNSKN >Vigun08g148500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32099336:32100835:1 gene:Vigun08g148500.v1.2 transcript:Vigun08g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSCIINLLSLLGLIFSSFNFISILSSNLQHSMNDCGKMENNRIDFSYFSVIAILSSNLLHFMNDCWKMENNLEEDGDEIEIRKFNPKEKKKVGEA >Vigun02g131300.1.v1.2 pep primary_assembly:ASM411807v1:2:28234400:28236646:1 gene:Vigun02g131300.v1.2 transcript:Vigun02g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKLELDNLLKEERLSGASLLILANKQDIKGALTPEEIAKVLNLEAMDNSRHWQIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Vigun08g166900.1.v1.2 pep primary_assembly:ASM411807v1:8:33857813:33860469:1 gene:Vigun08g166900.v1.2 transcript:Vigun08g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEQKRAALHEQLQHLRSLTNSHALNESSIIIDASKYIEKLKQKVERLNQEIASAETSTAHDPLPMVTVETLEKGFLINVYSAKGCSGLLVSILEVFEEMRLTVLEARVSCTDTFRFQAVGENEEERDAIDAHTVKEAVGQAIKNWHKGGDEE >Vigun08g166900.2.v1.2 pep primary_assembly:ASM411807v1:8:33858267:33860181:1 gene:Vigun08g166900.v1.2 transcript:Vigun08g166900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEQKRAALHEQLQHLRSLTNSHALNESSIIIDASKYIEKLKQKVERLNQEIASAETSTAHDPLPMVTVETLEKGFLINVYSAKGCSGLLVSILEVFEEMRLTVLEARVSCTDTFRFQAVGENEEERDAIDAHTVKEAVGQAIKNWHKGGDEE >Vigun04g166100.1.v1.2 pep primary_assembly:ASM411807v1:4:39060769:39063699:1 gene:Vigun04g166100.v1.2 transcript:Vigun04g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPQTEEEQAAIRKLTKGPTVCEECKSNPWKYTCPGCSLHTCSLPCVKSHKERTGCSGKKNQTLFVPLSKFDDNILLSDYSLLEEVKRVAESAQRMRSKLGIHSYSYFRLPHPLKSLKNAAGSRKTKLMFLPPGMSKRQKNQSRYDHRKKFISWTIEWRFQSTDIVLHDHGVDENSSFYSILEKHLKPGPWNNQLRPFCEVQLDSLKLFIRKYPKGPKSLFKEIDIKMPIRKQLADIVILEFPVVFVFLPSHRINFEVITDVNTRKHKSPQKDSEENQIPQGLSFREEVIEDDNSSVDPQVFDIMKQVESSLSHELVNQHTSSEIAPDDSSNKSLFEGDTGGDLSHSLSETKNLKLPEEDIDFDFDQDLMDFYHDILDQTSPGDLFDFDSDFAKKTENKIDIIGASELFPLPNELEEGEIPE >Vigun03g105600.1.v1.2 pep primary_assembly:ASM411807v1:3:9108052:9112253:-1 gene:Vigun03g105600.v1.2 transcript:Vigun03g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYRPSAEYVEDSNEALVDLTDSTELLFLKLPSSNDFLSDIHGQKLFLTLNNGGKLASFEGSSGKVYDFVNYFAQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELETLNSTKVRHAHRNSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEFSEPSSISKKGHESKSKLKSKFNLSEASHGHSTGISSMSADNSHEGKPKRRKHTE >Vigun03g105600.2.v1.2 pep primary_assembly:ASM411807v1:3:9108052:9112253:-1 gene:Vigun03g105600.v1.2 transcript:Vigun03g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYRPSAEYVEDSNEALVDLTDSTELLFLKLPSSNDFLSDIHGQKLFLTLNNGGKLASFEGSSGKVYDFVNYFAQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELETLNSTKVRHAHRNSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEFSEPSSISKKGHESKSKLKSKFNLSEASHGHSTGISSMSADNSHEGKPKRRKHTE >Vigun08g069800.1.v1.2 pep primary_assembly:ASM411807v1:8:11049402:11053847:-1 gene:Vigun08g069800.v1.2 transcript:Vigun08g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFFTVLLASGGVHTLVTSSYTWHHTRRYREPYRFFSLSVTRFFFISSTFFTSIAAFREAKQTLCSCNQQHPKEPSFLLQRATSRKGKVYLALVEMVGKEGLRTGVLNSSFGKLMMVGGGENSGKGVNLKAGVITDWKDIPVELLMQILSLVDDQTVIIASEVCRGWREAICFGLTRLSLSWCSKNMNNLVLSLAPKFTKLQTLILRQDKPQLEDNAVETIANFCHDLQILDLSKSFKLTDRSLYAIALGCWHLTKLNISGCSAFSDSALAYLASLCRELKVLNLCGCVKAASDTALQAIGHYCNKLQSLNLGWCENVSDVGVTSLAYGCPDLRTLDLCGCVLITDESVIALANSCPHLRSLGLYYCQNITDRAMYSLAQSKVKNRMWGSVKGGNDDEDGLRTLNISQCTALTPSAVQAVCDSSPALHTCSGRHSLIMSGCLSLTSVHCACAVQAHRAITTIPNTAH >Vigun11g106700.1.v1.2 pep primary_assembly:ASM411807v1:11:30527913:30531773:1 gene:Vigun11g106700.v1.2 transcript:Vigun11g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSVHNFLDGKTILVTGATGFLAKLLVEKILRVQPNIKKLYLLLRAENSEEARKRLQDEVLSKKLFRVLRGMWGADFVSFISQKLLAVGCDVSVENLGIKDTKLREMLLAETDIIVNAAATTYFYERLDVATGINTMGALNIVNFAENCSITMTYICGERKGLVAEEPFAMGETLNGSSKLDINIEKLLTEEKLKELEAENASEKTITSVMKNLGTIRSNLHGWPNVYAFTKAMGEMILLKMKGDIPLIIARPTIILSTHSEPFPGWIEGVRTVDLFVVMYGKGKLGRSVGRRSTIIDAIPADMVINSMIVALEACSKSCSKTLIYHIGSSLRNPFRISDLEDLAHQYFTKHPLTDMFGKPVACSKKVSWMSSVSSFHRYINIRYMLPLKGLNVMNKVCCYSHEAFYSESKLKVKKMMGIMRLYKPYLLFEGIFDDKNAENLRMTKMKAKDDDDVGRFNFDPTTIDWTHYVLNAHIPGLVKYGVK >Vigun11g106700.4.v1.2 pep primary_assembly:ASM411807v1:11:30527913:30531773:1 gene:Vigun11g106700.v1.2 transcript:Vigun11g106700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSVHNFLDGKTILVTGATGFLAKLLVEKILRVQPNIKKLYLLLRAENSEEARKRLQDEVLSKKLFRVLRGMWGADFVSFISQKLLAVGCDVSVENLGIKDTKLREMLLAETDIIVNAAATTYFYERLDVATGINTMGALNIVNFAENCSITMTYICGERKGLVAEEPFAMGETLNGSSKLDINIEKLLTEEKLKELEAENASEKTITSVMKNLGTIRSNLHGWPNVYAFTKAMGEMILLKMKGDIPLIIARPTIILSTHSEPFPGWIEGVRTVDLFVVMYGKGKLGRSVGRRSTIIDAIPADMVINSMIVALEACSKSCSKTLIYHIGSSLRNPFRISDLEDLAHQYFTKHPLTDMFGKPVACSKKVSWMSSVSSFHRYINIRYMLPLKGLNVMNKVCCYSHEAFYSESKLKVKKMMGIMRLYKPYLLFEGIKFKDDKNEGKR >Vigun11g106700.3.v1.2 pep primary_assembly:ASM411807v1:11:30527913:30531773:1 gene:Vigun11g106700.v1.2 transcript:Vigun11g106700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSVHNFLDGKTILVTGATGFLAKLLVEKILRVQPNIKKLYLLLRAENSEEARKRLQDEVLSKKLFRVLRGMWGADFVSFISQKLLAVGCDVSVENLGIKDTKLREMLLAETDIIVNAAATTYFYERLDVATGINTMGALNIVNFAENCTYICGERKGLVAEEPFAMGETLNGSSKLDINIEKLLTEEKLKELEAENASEKTITSVMKNLGTIRSNLHGWPNVYAFTKAMGEMILLKMKGDIPLIIARPTIILSTHSEPFPGWIEGVRTVDLFVVMYGKGKLGRSVGRRSTIIDAIPADMVINSMIVALEACSKSCSKTLIYHIGSSLRNPFRISDLEDLAHQYFTKHPLTDMFGKPVACSKKVSWMSSVSSFHRYINIRYMLPLKGLNVMNKVCCYSHEAFYSESKLKVKKMMGIMRLYKPYLLFEGIFDDKNAENLRMTKMKAKDDDDVGRFNFDPTTIDWTHYVLNAHIPGLVKYGVK >Vigun04g094040.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:18963572:18964336:-1 gene:Vigun04g094040.v1.2 transcript:Vigun04g094040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARPLLHEPSTFSTTAVKSFNHQRTTSTQPCPQNLHHKTASKAATIVLHHYAHLNPKRRPSSSHNESDLHAPRTTSSIAGKRTGNSEPPPSWTTTPSAMETQQPSRETYLHYVAETLIWEREGAAMCHTLNGH >Vigun10g190000.4.v1.2 pep primary_assembly:ASM411807v1:10:40496843:40499778:1 gene:Vigun10g190000.v1.2 transcript:Vigun10g190000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAVQSQGSISSASLTNQAVIPHASSSKNSSNPTEFVNHGLILWNQTRQRWVGNKKSENRTQQLREPKLRTYCLCLAKISWLCSWNATYETLLGSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >Vigun10g190000.3.v1.2 pep primary_assembly:ASM411807v1:10:40496799:40499778:1 gene:Vigun10g190000.v1.2 transcript:Vigun10g190000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGGCVGCYKRPTLSATVDVPSKGFATKGNSVRKPSSSEDFWTTSTHDMDNSAVQSQGSISSASLTNQAVIPHASSSKNSSNPTEFVNHGLILWNQTRQRWVGNKKSENRTQQLREPKLSWNATYETLLGSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >Vigun10g190000.1.v1.2 pep primary_assembly:ASM411807v1:10:40496843:40499778:1 gene:Vigun10g190000.v1.2 transcript:Vigun10g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGGCVGCYKRPTLSATVDVPSKGFATKGNSVRKPSSSEDFWTTSTHDMDNSAVQSQGSISSASLTNQAVIPHASSSKNSSNPTEFVNHGLILWNQTRQRWVGNKKSENRTQQLREPKLRTYCLCLAKISWLCSWNATYETLLGSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >Vigun10g190000.5.v1.2 pep primary_assembly:ASM411807v1:10:40497457:40499778:1 gene:Vigun10g190000.v1.2 transcript:Vigun10g190000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAVQSQGSISSASLTNQAVIPHASSSKNSSNPTEFVNHGLILWNQTRQRWVGNKKSENRTQQLREPKLRTYCLCLAKISWLCSWNATYETLLGSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >Vigun10g190000.2.v1.2 pep primary_assembly:ASM411807v1:10:40496799:40499778:1 gene:Vigun10g190000.v1.2 transcript:Vigun10g190000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAVQSQGSISSASLTNQAVIPHASSSKNSSNPTEFVNHGLILWNQTRQRWVGNKKSENRTQQLREPKLSWNATYETLLGSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >Vigun03g157200.1.v1.2 pep primary_assembly:ASM411807v1:3:16923514:16925237:-1 gene:Vigun03g157200.v1.2 transcript:Vigun03g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRQFFVAVISLSVAGAEEAKSSESCGFAAIFNFGDSNSDTGCMSAAFYPAALPYGQTFFHEAVGRASDGRLIIDFIAKHLGLQYLSAYMDSVGSSYEEGANFAAASSTIRRQNRTFFDGGSPFSLEIQVAQFIQFKTRTARLYNKGQGNSFPRPEDFAKAIYTFDIGQNDIAAALQRMSQENSQAVISDIVDQFSNQLIYLYNEGARTFWIHNTGPIGCLPVAMPIHNAYNYTPVDGYLDQNGCVVYQNDMAKEFNTKLNDTVVKLRALYLDASFVYVDIFSAKYELISNAKKEGFVEASEICCGYHEGGNHFFCGDYNATINGREVHVGSCQDPSSRISWDGVHYTDAANSWIANRIITGSFSDPPLPITRSCLLA >Vigun06g159500.2.v1.2 pep primary_assembly:ASM411807v1:6:28221997:28224039:-1 gene:Vigun06g159500.v1.2 transcript:Vigun06g159500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLTHNNLIHTISLSLLIFSSSFTLTTSQSSVCRTSCGDIPIKYPFGIDDGCGSPYYRHLFACSNSGKLELRTPSGSYPVRNVSYADPHVVVTDPFMWSCEDGERFRPTRPFSLDSSTHFKLSPQNEYMFFNCSEDNVIIQPKPMFCEHFPEHCDSSCDSASYLCRHLPGCSFALPRSTCCSYYPKATQSLRLMLKYCTSYASVYWKNVGAPMPYDQVPEYGIRIDFDIPVTTRCLQCQDPLKGGGICGFDTQNQSFLCLCKDGNSTTHCKDYDIARHNRRVHVIAVTGVSVAGAFGIGAAVWYLKKVRAKAPVTCGVQSNENRLF >Vigun06g159500.1.v1.2 pep primary_assembly:ASM411807v1:6:28221997:28224039:-1 gene:Vigun06g159500.v1.2 transcript:Vigun06g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLTHNNLIHTISLSLLIFSSSFTLTTSQSSVCRTSCGDIPIKYPFGIDDGCGSPYYRHLFACSNSGKLELRTPSGSYPVRNVSYADPHVVVTDPFMWSCEDGERFRPTRPFSLDSSTHFKLSPQNEYMFFNCSEDNVIIQPKPMFCEHFPEHCDSSCDSASYLCRHLPGCSFALPRSTCCSYYPKATQSLRLMLKYCTSYASVYWKNVGAPMPYDQVPEYGIRIDFDIPVTTRCLQCQDPLKGGGICGFDTQNQSFLCLCKDGNSTTHCKDYDIARHNRRVHVIAGTVTGVSVAGAFGIGAAVWYLKKVRAKAPVTCGVQSNENRLF >Vigun03g200100.1.v1.2 pep primary_assembly:ASM411807v1:3:29040764:29044580:1 gene:Vigun03g200100.v1.2 transcript:Vigun03g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVEAEKRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLKAAMENTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGNEITISTDYDIKGDDKMICMSYKKLAEDVKPGMVILCADGTISFTVLSCDKKLGLVKCRCENSATLGERKNVNLPGVIVDLPTLTEKDKEDILAWGIPNKIDMIALSFVRKGSDLVEVRKVLGKHAKNIMLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICIEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKAVLILVLTRGGSTAKLVAKYRPGMPILSVVVPELKTDTFDWECSDEAPARHSLIFRGLVPVLSAASARASHAETTEEAIEFAMQHAKSKGLCHNGDSIVALHRVGTASIIKILTVK >Vigun02g106500.2.v1.2 pep primary_assembly:ASM411807v1:2:26045852:26053112:1 gene:Vigun02g106500.v1.2 transcript:Vigun02g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINDDGSTQDLDPQSDHSSDYLAHPNSNPQPHPHPRRPRGFAAAAAATTNSVAKGKKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVDADGTTYRQCPPPSHMGSFAAKSVESQLSGGSLRNCSVKETIENQTSVLRIDECLSPASIDSVVIAERDSKNEKYTNASPINTVDCLEADQLMQDIHSGVHENDFTGTPYVPVYVKLPAGIINKFCQLIDPEGIRQELMHMKSLNVDGVVVDCWWGIVEGWSPQKYVWSGYRELFNIVRKFKLKLQVVMAFHECGGNDSSDALISLPQWVLDIGKDNQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDMMRSFRTEFDDLFAEGLISAVEVGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQHSLRRAAKLRGHSFWARGPDNAGHYNSMPHETGFFCERGDYDNYYGRFFLHWYSQTLIDHADNVLSLATLAFEETKIIVKVPAVYWWYKTPSHAAELTAGYHNPTNQDGYSPVFEVLRKHAVTMKFVCLGFHLSSQEANESLIDPEGLSWQVMLSMFLTFASIIFLMTSFVVTCVKAGILLI >Vigun02g106500.1.v1.2 pep primary_assembly:ASM411807v1:2:26045852:26053112:1 gene:Vigun02g106500.v1.2 transcript:Vigun02g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINDDGSTQDLDPQSDHSSDYLAHPNSNPQPHPHPRRPRGFAAAAAATTNSVAKGKKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVDADGTTYRQCPPPSHMGSFAAKSVESQLSGGSLRNCSVKETIENQTSVLRIDECLSPASIDSVVIAERDSKNEKYTNASPINTVDCLEADQLMQDIHSGVHENDFTGTPYVPVYVKLPAGIINKFCQLIDPEGIRQELMHMKSLNVDGVVVDCWWGIVEGWSPQKYVWSGYRELFNIVRKFKLKLQVVMAFHECGGNDSSDALISLPQWVLDIGKDNQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDMMRSFRTEFDDLFAEGLISAVEVGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQHSLRRAAKLRGHSFWARGPDNAGHYNSMPHETGFFCERGDYDNYYGRFFLHWYSQTLIDHADNVLSLATLAFEETKIIVKVPAVYWWYKTPSHAAELTAGYHNPTNQDGYSPVFEVLRKHAVTMKFVCLGFHLSSQEANESLIDPEGLSWQVLNSAWDRGLIAGGENALLCYDREGYKRLVDTAKPRNDPDHRHFSFFVYQQPSLLQANVCLSDLDFFVKCMHGEMMDL >VigunL059227.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:4271:4498:1 gene:VigunL059227.v1.2 transcript:VigunL059227.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >VigunL059290.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000564.1:31655:31822:1 gene:VigunL059290.v1.2 transcript:VigunL059290.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLISDAHEWINEIPTVPVYYPAKPQPMERAWRNQRGKKTLLSLTLVRLCEMT >Vigun07g031200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2891732:2895405:-1 gene:Vigun07g031200.v1.2 transcript:Vigun07g031200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVMAESLIFAVAESLISKLASRAVEQASLALGVYQELQQMKKTMALIKAFLLDAEQKKEKNNALSEWLRQIRLVFAHAEDIVDNFECEVLRKHVVRTHGSFSRKVCRLFSTSNPLVYRYRMGREINDIKKQLEKVAADGHMFGLQSSDKDTKVLHAREMTHSHVNVSNVVGREHDKQKIIELLLQDDHRDRSLSVISIVGFGGLGKTTLAKVVFNDTKVEEFFTLKMWVCVSNDFELRNVLIKILNSAPSATNEKFKNLETDQLQIRLRNTLQREKFLLVLDDVWNENRVKWDALKEIIDMVGNRGSKILVTTRSHSIDAMMRTKSSNSYTLKGLSEEDSLSLFVKSAFDDGEGKKHPELMEIGRQIVRKCGGIPLAVRTLGSSLFSRVDRKEWESIRDNEIWNLKQNENDILPALELSYDQLPSHLKRCFACFSLASKDFDVSSSYVALLWEALGFLPPPKENETTHDVANEYLRELWSRSFLTDFLDMGSTCRFKLHDLVRELAVYVAKGEFQILYPHSTTISEHAQHLSFIENDMLGQDLVPMGLRTIIFPMEATNGAFLNTLVFRCKYLRVLDLSYSEYESLPSYIGKLKHLRYLSLSGNKKLKGLPDSVCKLQNLQTLDLRGCIQLQKLPKGIRKLISLRRLLVTTMQPDFPDKEISKLASIETLELYSCDNLESLFQAIQPRSLKFLHLSGCGGLRSLSFHVITNLESLVIFKCSKMELSMGLSNLNSIPDSRLKLLVLQSLPQLVTLPEWLQGSVNTLHSLLVVDCNNLEELPEWLSTLTCLKLLIIEHCPRLISLPETTHLLRNLEHLEINDCPELCRRCQPGVGLDWHKISHIKQVIIGEPEE >Vigun07g031200.3.v1.2 pep primary_assembly:ASM411807v1:7:2891732:2895405:-1 gene:Vigun07g031200.v1.2 transcript:Vigun07g031200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAEARCQNSRQLLQEGREHDKQKIIELLLQDDHRDRSLSVISIVGFGGLGKTTLAKVVFNDTKVEEFFTLKMWVCVSNDFELRNVLIKILNSAPSATNEKFKNLETDQLQIRLRNTLQREKFLLVLDDVWNENRVKWDALKEIIDMVGNRGSKILVTTRSHSIDAMMRTKSSNSYTLKGLSEEDSLSLFVKSAFDDGEGKKHPELMEIGRQIVRKCGGIPLAVRTLGSSLFSRVDRKEWESIRDNEIWNLKQNENDILPALELSYDQLPSHLKRCFACFSLASKDFDVSSSYVALLWEALGFLPPPKENETTHDVANEYLRELWSRSFLTDFLDMGSTCRFKLHDLVRELAVYVAKGEFQILYPHSTTISEHAQHLSFIENDMLGQDLVPMGLRTIIFPMEATNGAFLNTLVFRCKYLRVLDLSYSEYESLPSYIGKLKHLRYLSLSGNKKLKGLPDSVCKLQNLQTLDLRGCIQLQKLPKGIRKLISLRRLLVTTMQPDFPDKEISKLASIETLELYSCDNLESLFQAIQPRSLKFLHLSGCGGLRSLSFHVITNLESLVIFKCSKMELSMGLSNLNSIPDSRLKLLVLQSLPQLVTLPEWLQGSVNTLHSLLVVDCNNLEELPEWLSTLTCLKLLIIEHCPRLISLPETTHLLRNLEHLEINDCPELCRRCQPGVGLDWHKISHIKQVIIGEPEE >Vigun07g031200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2891732:2895405:-1 gene:Vigun07g031200.v1.2 transcript:Vigun07g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVMAESLIFAVAESLISKLASRAVEQASLALGVYQELQQMKKTMALIKAFLLDAEQKKEKNNALSEWLRQIRLVFAHAEDIVDNFECEVLRKHVVRTHGSFSRKVCRLFSTSNPLVYRYRMGREINDIKKQLEKVAADGHMFGLQSSDKDTKVLHAREMTHSHVNVSNVVGREHDKQKIIELLLQDDHRDRSLSVISIVGFGGLGKTTLAKVVFNDTKVEEFFTLKMWVCVSNDFELRNVLIKILNSAPSATNEKFKNLETDQLQIRLRNTLQREKFLLVLDDVWNENRVKWDALKEIIDMVGNRGSKILVTTRSHSIDAMMRTKSSNSYTLKGLSEEDSLSLFVKSAFDDGEGKKHPELMEIGRQIVRKCGGIPLAVRTLGSSLFSRVDRKEWESIRDNEIWNLKQNENDILPALELSYDQLPSHLKRCFACFSLASKDFDVSSSYVALLWEALGFLPPPKENETTHDVANEYLRELWSRSFLTDFLDMGSTCRFKLHDLVRELAVYVAKGEFQILYPHSTTISEHAQHLSFIENDMLGQDLVPMGLRTIIFPMEATNGAFLNTLVFRCKYLRVLDLSYSEYESLPSYIGKLKHLRYLSLSGNKKLKGLPDSVCKLQNLQTLDLRGCIQLQKLPKGIRKLISLRRLLVTTMQPDFPDKEISKLASIETLELYSCDNLESLFQAIQPRSLKFLHLSGCGGLRSLSFHVITNLESLVIFKCSKMELSMGLSNLNSIPDSRLKLLVLQSLPQLVTLPEWLQGSVNTLHSLLVVDCNNLEELPEWLSTLTCLKLLIIEHCPRLISLPETTHLLRNLEHLEINDCPELCRRCQPGVGLDWHKISHIKQVIIGEPEE >Vigun05g191400.3.v1.2 pep primary_assembly:ASM411807v1:5:37198366:37205023:1 gene:Vigun05g191400.v1.2 transcript:Vigun05g191400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGENNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEGRLHMTEKEYKILKDRVMNRLRDEEPNNSITETMENVDSGDQTVNVQAVEANTFARDPMAVKDKPQFKRKRAKGPNPLSCKKKSKSQNIGPSKETKGDNEVKRSRKRKRSHKRKMATEGDN >Vigun05g191400.2.v1.2 pep primary_assembly:ASM411807v1:5:37198372:37205023:1 gene:Vigun05g191400.v1.2 transcript:Vigun05g191400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGENNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEGRLHMTEKEYKILKDRVMNRLRDEEPNNSITETMENVDSGDQTVNVQAVEANTFARDPMAVKDKPQFKRKRAKGPNPLSCKKKSKSQNIGPSKETKGDNEVKRSRKRKRSHKRKMATEGDN >Vigun05g191400.1.v1.2 pep primary_assembly:ASM411807v1:5:37198372:37205023:1 gene:Vigun05g191400.v1.2 transcript:Vigun05g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGENNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEGRLHMTEKEYKILKDRVMNRLRDEEPNNSITETMENVDSGDQTVNVQAVEANTFARDPMAVKDKPQFKRKRAKGPNPLSCKKKSKSQNIGPSKETKGDNEVKRSRKRKRSHKRKMATEGDN >Vigun05g191400.4.v1.2 pep primary_assembly:ASM411807v1:5:37199180:37205018:1 gene:Vigun05g191400.v1.2 transcript:Vigun05g191400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKQKRHRKTLTFYTTCFGVRKPYKVLCDGTFVHHLLVNRITPADIALGNILGASVKLYTTRCVLAELKRLGSSYSETLENAHKLIVARCEHDKCVGADACIKEAVGENNSEHFFVASQDTDLRKKLQEVPGVPLIYGLRNALFLESPSAFQRQYVKTSEEGRLHMTEKEYKILKDRVMNRLRDEEPNNSITETMENVDSGDQTVNVQAVEANTFARDPMAVKDKPQFKRKRAKGPNPLSCKKKSKSQNIGPSKETKGDNEVKRSRKRKRSHKRKMATEGDN >Vigun01g024800.2.v1.2 pep primary_assembly:ASM411807v1:1:2756736:2790038:-1 gene:Vigun01g024800.v1.2 transcript:Vigun01g024800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGFLFLIMIFIPSLPVALSKYGAIEPLLQGLDSKRAPPSVQEAAAFGLLKRLLPTHLSSFQFKIVSKDVCGGDSCFLINNHKKSSQNDPEIIIRGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPEPGSLPRLKDEGLKIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEVDWMALQGVNLPLAFTGQETIWQKVFKDFNISSDDLNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALKRIFPMAKITRLGDWNTVDSDPRWCCTYLLDPSDPLFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTNDPEYISTLGAAVYKGISKGDKDAVWLMQGWLFYSDSSFWKPPQIKALLHSVPFGKMVVLDLFADEKPIWKSSFQFYGTPYIWCMLHNFGGNIEMYGTLDAISSGPVDARVSANSTMVGVGMCMEGIEQNPIVYELMSEMAFRDKKVKVPEWIKSYCNRRYGKAVHQVEAAWEILYHTIYNCTDGIADHNHDFIVVFPDWDPSTNSKTGVSNTQKKINLLPPGNRGYLFQETASDKPQAHLWYPTDDVIKALQLFLAGGKNLSRSLTYRYDLVDLTRQVLSKFANQIYYKAVTSFQKKNIEALQFHSNTFLQLIKDIDVLLASDDNFLLGTWLESAKKLAVNPSEKRQYEWNARTQVTMWFDTNDTTQSKLHDYANKYWSGVTESYYLPRASTYFSHLIESLRQNKKFKLIEWRKQWISQSNKWQEDQFGRRKN >Vigun01g024800.1.v1.2 pep primary_assembly:ASM411807v1:1:2758003:2790038:-1 gene:Vigun01g024800.v1.2 transcript:Vigun01g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGFLFLIMIFIPSLPVALSKYGAIEPLLQGLDSKRAPPSVQEAAAFGLLKRLLPTHLSSFQFKIVSKDVCGGDSCFLINNHKKSSQNDPEIIIRGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPEPGSLPRLKDEGLKIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEVDWMALQGVNLPLAFTGQETIWQKVFKDFNISSDDLNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALKRIFPMAKITRLGDWNTVDSDPRWCCTYLLDPSDPLFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTNDPEYISTLGAAVYKGISKGDKDAVWLMQGWLFYSDSSFWKPPQIKALLHSVPFGKMVVLDLFADEKPIWKSSFQFYGTPYIWCMLHNFGGNIEMYGTLDAISSGPVDARVSANSTMVGVGMCMEGIEQNPIVYELMSEMAFRDKKVKVPEWIKSYCNRRYGKAVHQVEAAWEILYHTIYNCTDGIADHNHDFIVVFPDWDPSTNSKTGVSNTQKKINLLPPGNRGYLFQETASDKPQAHLWYPTDDVIKALQLFLAGGKNLSRSLTYRYDLVDLTRQVLSKFANQIYYKAVTSFQKKNIEALQFHSNTFLQLIKDIDVLLASDDNFLLGTWLESAKKLAVNPSEKRQYEWNARTQVTMWFDTNDTTQSKLHDYANKYWSGVTESYYLPRASTYFSHLIESLRQNKKFKLIEWRKQWISQSNKWQEGNELYPVKAKGDALAISQALYEKYFAK >Vigun01g024800.4.v1.2 pep primary_assembly:ASM411807v1:1:2758003:2790038:-1 gene:Vigun01g024800.v1.2 transcript:Vigun01g024800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGFLFLIMIFIPSLPVALSKYGAIEPLLQGLDSKRAPPSVQEAAAFGLLKRLLPTHLSSFQFKIVSKDVCGGDSCFLINNHKKSSQNDPEIIIRGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPEPGSLPRLKDEGLKIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEVDWMALQGVNLPLAFTGQETIWQKVFKDFNISSDDLNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALKRIFPMAKITRLGDWNTVDSDPRWCCTYLLDPSDPLFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTNDPEYISTLGAAVYKGISKGDKDAVWLMQGWLFYSDSSFWKPPQIKALLHSVPFGKMVVLDLFADEKPIWKSSFQFYGTPYIWCMLHNFGGNIEMYGTLDAISSGPVDARVSANSTMVGVGMCMEGIEQNPIVYELMSEMAFRDKKVKVPEWIKSYCNRRYGKAVHQVEAAWEILYHTIYNCTDGIAVRPG >Vigun01g024800.3.v1.2 pep primary_assembly:ASM411807v1:1:2758003:2790038:-1 gene:Vigun01g024800.v1.2 transcript:Vigun01g024800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGFLFLIMIFIPSLPVALSKYGAIEPLLQGLDSKRAPPSVQEAAAFGLLKRLLPTHLSSFQFKIVSKDVCGGDSCFLINNHKKSSQNDPEIIIRGTTAVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPEPGSLPRLKDEGLKIKRPVPWNYYQNVVTSSYSYVWWDWERWEKEVDWMALQGVNLPLAFTGQETIWQKVFKDFNISSDDLNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALKRIFPMAKITRLGDWNTVDSDPRWCCTYLLDPSDPLFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTNDPEYISTLGAAVYKGISKGDKDAVWLMQGWLFYSDSSFWKPPQIKALLHSVPFGKMVVLDLFADEKPIWKSSFQFYGTPYIWCMLHNFGGNIEMYGTLDAISSGPVDARVSANSTMVGVGMCMEGIEQNPIVYELMSEMAFRDKKVKVPEWIKSYCNRRYGKAVHQVEAAWEILYHTIYNCTDGIADHNHDFIVVFPDWDPSTNSKTGVSNTQKKINLLPPGNRGYLFQETASDKPQAHLWYPTDDVIKALQLFLAGGKNLSRSLTYRYDLVDLTRQVLSKFANQIYYKAVTSFQKKNIEALQFHSNTFLQLIKDIDVLLASDDNFLLGTWLESAKKLAVNPSEKSMNGMQGHK >Vigun03g050200.1.v1.2 pep primary_assembly:ASM411807v1:3:4037401:4040043:-1 gene:Vigun03g050200.v1.2 transcript:Vigun03g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHRESWAFVFGLLGNIISFGVFLAPLPTFYQIYKKKSTEGFQSLPYVVALFSAMLWIYYAFVKRESALLLITINTFGIVVESIYLTIFLIYAPRKPRLTTIKLLLLLNVFGFGAMLLSTLYLSKGAKRLAIIGWICLVFNISVFAAPLFIIKRVIKTRSVEYMPFTLSMFLTINAVMWFFYGLLLRDYYVALPNTLGFLFGIIQMVMYLMYRNATPVALDEPVKVQEANGHIIGAVKMGTMEPNHAGAGLGAVGKV >Vigun06g016600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:7806827:7807826:1 gene:Vigun06g016600.v1.2 transcript:Vigun06g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPTPIANPDPVSSEDHHIGSSSQPSPPPALPPPPPPPTSTDQHVTNPSSSKPRGRPLGSKNKTKSTPPPVPLPTEPSVELLVVTVEPNRDIMECILDIAHQDHVSLSIISAIGMINSVTLRNSTHGAPSLVLHGPFKLLSLTGSYLYKNEYTLHLGATPPRPLSFGINLSAGNGEIFTGVVGGRVVAGEGVSLTVSTFKNPHILKYAAESGEGDENNSDDD >Vigun11g214450.1.v1.2 pep primary_assembly:ASM411807v1:11:40895364:40900317:1 gene:Vigun11g214450.v1.2 transcript:Vigun11g214450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGAILAHISSLKEMLDQVNEEMEANIEITREIESSIVKCEEIEADLATREADLIKTSAILQFDTVGYVTVAADLKASVSTLEKELCCLRMKRDEIVNEMDEKRGAFTTLCLEFQKEIDKREDCEVRSLLLEKDSLEHEIELMDKKNNVLKNSVLAFVEEILEDLHSSNSALQVQIQRSTWENEKLLKDISDLKTTLLSAIGTSDDGQ >VigunL006602.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000241.1:3048:4113:1 gene:VigunL006602.v1.2 transcript:VigunL006602.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPGYLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun02g182200.1.v1.2 pep primary_assembly:ASM411807v1:2:32252349:32261973:-1 gene:Vigun02g182200.v1.2 transcript:Vigun02g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSYIVEVEKAKEASEGRPSRGPVYRSLFAKDGFPAPIEGLDCCWDVFRLSVEKYPTSPMLGRREIVDGKAGKYKWLTYKEVYDQVIKVGNSIRSCGYGEGVKCGIYGANSAEWIMSMEACNAHGLYCVPLYDTLGAGAVEFIISHAEVSIAFAEEKKIPELLKTFPNATKYLKTIVSFGKVTPEQRQEVEKFGLVIYSWDEFLQVGQDQSYDLPIKKRSDICTIMYTSGTTGDPKGVLISNESIITLLAGVKRLLESVNEQLSEKDVYISYLPLAHIFDRVIEETFIWHGASIGFWRGDVKLLIEDIGELKPTVFCAVPRVLDRVYSGLTQKIASGGFLKKTLFNCAYSYKLNNMKKGFTHGEASPICDKIVFDKVKQGLGGRVRLILSGAAPLSAHVEGYLRVVTCCHVLQGYGLTETCAGTFVSLPNEMEMLGTVGPPVPNVDVCLESVPEMGYDALASTPRGEICVKGKTLFSGYYKREDLTKEVLIDEWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYGQVSCIESIWVYGNSFEAFLVAVVNPRKEALEQWAQENAISKDFNSLCEDARAKSYILEELSKIAKEKKLKGFEFIKGVHLDPIPFDMERDLITPTYKKKRPQLLKFYQSAIDNMYKSAK >Vigun04g204200.1.v1.2 pep primary_assembly:ASM411807v1:4:42702109:42703610:-1 gene:Vigun04g204200.v1.2 transcript:Vigun04g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLVLAATPMMVTVFPTTSLVVMGRVAAEPTTRFMVTHRHEGISSF >Vigun06g196200.1.v1.2 pep primary_assembly:ASM411807v1:6:31179921:31185566:-1 gene:Vigun06g196200.v1.2 transcript:Vigun06g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMAASSLFSPVLPHTKFLPTLHFTESRNSIFSLSCSSPRSIPVTEQQVLQAIADSDGKNLPCVRTYENDLSQLTLVGTVDFQQALTASAADGGQVASDHIDAGMEAMVVETVFPVPSSDRATVSTRLFLPARKVKEKAAKLRKSFPRDVFSGSASKNILAMTFRQVVLQQIWSYDLNVFQPGEERKMEDLETPREVPASFSLSSSDDYLISVLAEAICMSALQSTQSQFLDKTQGGNRRGFIHWFRKPESVQSKDSAVILSKLFEDEIVENAGSLLDNYNSMKDGFKAVKIKSGHWWRPSCYEKLEKIGGSDFSAWASEYVPAYRLEIDTKIMGDAKIEGWKKSVGGNSWEFVLTHSQMVQLAETLDIYYVDPYSLPDKQLSGGMAAKFANVPNKTGNSFLKLLSVTLASGIFLVAISALGQFCLPWLCKERKQSVEHRSLPSSDVKVSVHDFLDATKLGEYCELAITKVKNTFGWSDEIKVEDDFGVWIGELPAYLRVEGVDTLSTSSENINADAKVSIQDIASYQVVFSSEGKIVGFQPLSRVAVNHWAANPLAKELYRGKKLSPSIIEPGLKVSLPEKVIVVELLMSINPDAYFALARPFR >VigunL072766.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:53674:53978:-1 gene:VigunL072766.v1.2 transcript:VigunL072766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNFWPCTDHLMDHGLNGHCLKGLCPVDRRPPQEDTRNWKDKQTHPVDCRATSPTTQERDSLKSQGRGWPRGPTWRLGISAGNAKVA >Vigun11g103900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30180948:30182231:1 gene:Vigun11g103900.v1.2 transcript:Vigun11g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSSLTLTPTVPRIAATTHTSHNSHPTHLRFTPNFKPTNLRAFLPLTSLAPTRHRRAAGPVCLFTGIVEEMGTVKQLGTAPHGGFDLKIAASTVLDGVNLGDSIAVNGTCLTVTEFDGKVSDFTVGLSPETLRKTSLSELEPGSLVNLERAVTPTSRMGGHFVQGHVDSTGVIVSKVPEGDSLWVKVKTEKSLLKYIVPKGFIAVDGTSLTVVDVFDDEDCFNFMLVAYTQQKVVIPLKNVGDKVNLEVDILGKYVERLLASGFVSSYANSS >Vigun02g033500.1.v1.2 pep primary_assembly:ASM411807v1:2:14128280:14129104:-1 gene:Vigun02g033500.v1.2 transcript:Vigun02g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTFQFSILISLFLVSFFWIVEGNLPQSCKQNECPTYTVVEAGNGYEIRKYDSPVWISTPPIQNKSFVGATTNGFIRLFSYARGKNNQNKQIKLTAPVTSEVSFNGGKPSIVVSFFVPLANQNNPALANGLSVKRWKSKFVAVKQFGGFVTDSNVGRYVASLKASLGATKRSFTFGKSFIIAQYDPPFKLTDRVNEVWFLSQ >Vigun11g182700.1.v1.2 pep primary_assembly:ASM411807v1:11:38597250:38600598:-1 gene:Vigun11g182700.v1.2 transcript:Vigun11g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSSSKKHGQDQPELSGPTPKSQRTKMGKSEDNDKKNLGKKLKDVEISVPIVYGNIAFWLGKKASEYQSHKWTVYVRGATNEDLGAVIKRVVFQLHSSFNNPTRVVESPPFELSEAGWGEFEVAITLYFHSDVCDKPLNLYHHLKLYPEDENSSMSAKKPVVVEFYDEIVFPDPSEAFLSRLQSHPAVNLPRLPPGLTLPPPVPVEDASKRRKGDTKDHSLSQWFTNFSEADELLQLAAARQQVQAHIAKLRRQINLIDGQHQQFKSSSDQ >Vigun04g203300.1.v1.2 pep primary_assembly:ASM411807v1:4:42587353:42591094:1 gene:Vigun04g203300.v1.2 transcript:Vigun04g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGCYCRWQWVEAKSIIGLGCCYSSSTARTNTSFRLRLRSPPRPVSVTVSVSGLSDGNPHTSGDPEDIKGSGTTARGRRLLKVREEKQKREYERLHNYPAWAKVLENACKDDEELRGVLGDSIGNPELMRKRVEDRVRRKGRDFQKSKTGSVLAFKVTFRNFNPTDSYIWFELYGPPSDRDVNLIGNVIQSWYVMGRLGAFNSSNLQMTNSSMEFDPLYDADKGFKVMPSSFHDISDIEFQDNWGRVWVDLGTSDYFAIDVLLNCLTSLSSEYLGIQQIIFGGRRMGDWEEGMTSPEYGYKYFKI >Vigun04g203300.2.v1.2 pep primary_assembly:ASM411807v1:4:42587353:42591094:1 gene:Vigun04g203300.v1.2 transcript:Vigun04g203300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGCYCRWQWVEAKSIIGLGCCYSSSTARTNTSFRLRLRSPPRPVSVTVSVSGLSDGNPHTSGDPEDIKGSGTTARGRRLLKVREEKQKREYERLHNYPAWAKVLENACKDDEELRGVLGDSIGNPELMRKRVEDRVRRKGRDFQKSKTGSVLAFKVTFRNFNPTDSYIWFELYGPPSDRDVNLIGNVIQSWYVMGRLGAFNSSNLQMTNSSMEFDPLYDADKGFKVMPSSFHDISDIEFQDNWGRVWVDLGTSDYFAIDVLLNCLTSLSSDRYLGIQQIIFGGRRMGDWEEGMTSPEYGYKYFKI >Vigun11g030500.1.v1.2 pep primary_assembly:ASM411807v1:11:3930811:3932055:-1 gene:Vigun11g030500.v1.2 transcript:Vigun11g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLSTELPVHATAEKWFHTYTNQLHHIQHVTHKIHGAKLHQGDDWHANDSVKHWTYIVDGKTVTCHEAIESVDEQKKRIVFKLYGEDVDNKYKVLKLIFEAIEKGDGSAAIKWSVEYEKVSEDVHPPYGYLEFCDHCIKDVDAYLIKAEENANK >Vigun11g030500.2.v1.2 pep primary_assembly:ASM411807v1:11:3930811:3932055:-1 gene:Vigun11g030500.v1.2 transcript:Vigun11g030500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGKLSTELPVHATAEKWFHTYTNQLHHIQHVTHKIHGAKLHQDGKTVTCHEAIESVDEQKKRIVFKLYGEDVDNKYKVLKLIFEAIEKGDGSAAIKWSVEYEKVSEDVHPPYGYLEFCDHCIKDVDAYLIKAEENANK >Vigun01g110100.2.v1.2 pep primary_assembly:ASM411807v1:1:28337483:28339016:1 gene:Vigun01g110100.v1.2 transcript:Vigun01g110100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETEVKLPIIDFSTVDLESNVAEWESVKSQVHKALVEYGCFEAVFDKVPQHFRKAIFVEVDELFNLPLETKKKAVSSKPYRGYVGPLHLYESIGIDDADVHEKVETLIKILWPQGKPSFSKNLQLFCEKVARLDQMIRKMCLESLGVEKYLDEHMNSTYYLARLIKYKAPQTNEPQVAITEHTDKNIFTILCQNQIHGLEIQTKSGEWIKFKPSTSNSFVVVTGDTFYVISIHTSTFSATK >Vigun01g110100.1.v1.2 pep primary_assembly:ASM411807v1:1:28337483:28339016:1 gene:Vigun01g110100.v1.2 transcript:Vigun01g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETEVKLPIIDFSTVDLESNVAEWESVKSQVHKALVEYGCFEAVFDKVPQHFRKAIFVEVDELFNLPLETKKKAVSSKPYRGYVGPLHLYESIGIDDADVHEKVETLIKILWPQGKPSFSKNLQLFCEKVARLDQMIRKMCLESLGVEKYLDEHMNSTYYLARLIKYKAPQTNEPQVAITEHTDKNIFTILCQNQIHGLEIQTKSGEWIKFKPSTSNSFVVVTGDTFYAWTNGRVHTPIHRVMMTGNERRLSIGLFTVPKAGFVIKAPDELVTEEHPLLFKPFVQSEFMKFLHSSENIKNALKVYCGV >Vigun04g099360.1.v1.2 pep primary_assembly:ASM411807v1:4:23207520:23209548:1 gene:Vigun04g099360.v1.2 transcript:Vigun04g099360.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDLIKDIDEKKETLKLVVRVKDLWFVQNRDTNRHMELILLDQKEDIGLWEEKLVEGHTYIMHNFKIMKNQGQFRVYDHPYKLLFIGATIIKEQRISSIPLNIYNFKSIEDIIDGKFSADLVYDIIGVVDNVRCNPQSKNVVFHIKDLSSAVIRCTLWDSYYFKFMSNWRGEPDSFIVVVMLTQVKIKSSSGWWPMSISNSWNGSKLCMGDECSELVRRFGNEVSQSQEVSQLSSPSQYSEHEKFMYKAVVSTIFEITTIKEEVYCVTVATTVKFNLGNDEWCYPVCNDCRKKTKEIGAFKCVMCGFNNEKLGIIYKLELQVCDGDTSNNLETITFIRSKLESKMGTHLYHALSLDIVLE >Vigun05g292650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47873980:47874324:-1 gene:Vigun05g292650.v1.2 transcript:Vigun05g292650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGFGVCSVLGCCDLGCVCLVVFCVVRVCVVFCFVHVCVVVLGVFVVVLIVLVLVCFYLFLWLWGVGCFLFILSFVWGVVFCVVYFFLCLCCVLCVCVFFVLCMFCVVCFAVI >Vigun07g256300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37406985:37408384:1 gene:Vigun07g256300.v1.2 transcript:Vigun07g256300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHVLCFLVFLHAFHRTHGVEYSVTNKALSTPGGVAFRDKIGADYAKRTLDSATQFIWRVLQLNNPGDRKSVQKVSLFVDDMDGVAYTSNDQIHLSARYVGNYQGDVKREVSGVLYHEMVHVWQWNGNGGAPGGLIEGIADFVRLKANYAPSHWVKAGQGQKWDQGYDVTARFLDYCNTLKGGFVAQLNKLMRNGYSDQYFVQLLGKPVDQLWRDYKAKYGNTA >Vigun02g096200.1.v1.2 pep primary_assembly:ASM411807v1:2:25202855:25205851:1 gene:Vigun02g096200.v1.2 transcript:Vigun02g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKIKKAAGKLEKRPAEAPKTSMDMVFTLAEAIRFGYGETLGKWNLLDMPRAILYSIMEKGKKTVAIECKEREDCVQLKDPEILKELYEIKKCLTRTKLFSKKRFRSFLFAAGFVKGDVLLRKRRARILKPAFTVIRDKESKCLFVFIRGTRSIKDTLTDAIGAPVSFNHFVCSDGELKRDNVISGHGHRGMVAAARWIKKHCTPKLLDEIRQYPDFQIKIVGHSLGGGTAALLTFMLREIKQFSSCTCVTFGPAACMSMKMAEFGKPFITSIINGYDMVPTLSAASVHDFIHEGLDKRKQFIKSAYSAIGSRIPFASAAKTFADRAVSRGTEVVMNSKQRTRSLISWPKRENAAALTSSKSENMAEAAQSSDTSIEVTEELIISEFTSDEDDGTKSSSEGSDDDEMDEEEEKIIAATQNIANDELNEYYKELQLETQEEDNPDINGGKEKEAATEKGIKEAEMKDEVVRSEESAGKAVAKSDKPVRHHLYPPGRILHIVPVPCSSENPKAIDYDDADAKHVALYETGREMYGRLRLSRGMLFDHMTTKYMKVFQQLINQFEKEKFQSGA >Vigun08g085200.1.v1.2 pep primary_assembly:ASM411807v1:8:18702705:18706700:-1 gene:Vigun08g085200.v1.2 transcript:Vigun08g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRPYHCLVMLFVLGICFEASLGDTDAQILMRFKGSLWNGQALNNWGSESSLCSWTGLLCRENQTFYGLRLEHMELGGKIDIETLVELPNLVSFSVINNTFEGPMPEFKKLVRLRALFLSNNKFSGDIPDDAFEGMRRLKRVFLAQNGFTGPIPKSLANLPRLWDLDLRGNGFGGNIPEFQQQDFRVFNLSYNQLEGQIPESLSNKYPSSFAGNEGLCGKPLSSCNGNESRSLKPNLASPHSKGKKHGVLIIAIIVVTVVAFSSVVALLFIHKHRRKRSKPGILPKRGTSHKSVGFKESQYSVDLTGDLKGGDGELNFVREDKGGFDLQELLRASAVVLGSGSFGSTYKAMILSGPTVVVKRFRHMNNVGKQEFSEYMQRLGTLTHPNLLPLAAFYSRKEDKFLVYDFAENGSLASHLHGRDGFVLNWSTRLKIIKGVARGLAYLYENFPGKTLPHGHLKSSNVVLDNSFEPQLTEYGLVEVMNKSHAQKFMAAYKAPEMNEFGRPNVKSDVWCLGILILEVLTGKFPANYLRHGKGGNNSDLATWVDSVVREEWTGEVFDKDMLGTKNGEGEMLKLLRIGMFCCKWNVENRWDWNEALAKIEEVKEKDGEDDYSCYYVSEGDLNSKTMTEDDFSFSVTNG >Vigun10g024100.1.v1.2 pep primary_assembly:ASM411807v1:10:2861651:2865286:-1 gene:Vigun10g024100.v1.2 transcript:Vigun10g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIITCVLILFFVSPCFCKRLLLASSNTYNVIYYGAKGDGKTDDSQAFLSAWEKTCATGGSTLVIPSKGTFLLKKNLMFKGPCQATNIQIQLHGKIIVPTQKAWQGNDSPIIMISNVNGLTIDGTGGLIDGLGSSWWSCESCKRPTVLAFHACNGLRVSSLSISNSPQAHIHINGCEGATFSNINIKSPGDSPNTDGIDISSSKRVLIKDSHIACGDDCIAIIGDSSDINATGIACGPGHGISIGSLGRNNGHDNVEQVYVYNCTFTRTTNGARIKTFKDGPGYARNITYEKITLIQAYNPILINQHYVGLEGVGGVEVSGVTFRGFEGTSGDDRAITLACGSRGCHDILLDKVNIKSSKTGKAAACSCTNVHGIATSTVPSCHGIYK >Vigun06g153900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27792280:27793822:1 gene:Vigun06g153900.v1.2 transcript:Vigun06g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLRLALSVLVSLLLTSSVQSQTCMNHTFTDNKVFTSCRDLPHLTSYLHWTYEQATAKLDMAFRHAGINASDRWVAWAINPNNNLNSAMVGAQALVAISESGGAPRAYTSSIQGYSTQLAEGNISYAISGLRATRENSEITIYATLTLPNGTTNLVHLWQDGPLSASTPAQHQLATSNLQAKETFDLL >Vigun03g005300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:365332:367175:1 gene:Vigun03g005300.v1.2 transcript:Vigun03g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSNLLNQDEEFTQLGSSALGHHIVSLTSTTYGLLTLDPPPHTTTTTTTPSTPPSRFTLASLFPSEPNSEPKSLWSEPRPFRSEPEIINSWELMSGLDTESFRFSKENSNPNLLTPTKPGPTPPNRFERICPRGGENRVVIYTTTLRGVRKTFEACNAVRAAFEALGVAICERDVSMDSGFREELRGLLKGKPREAMVPPRVFVKGLYIGGAEEMLKVAEDGLLGELLKGLPKKKVGAVCEGCGDMRFLPCFNCNGSCKSVVKDGGRVFVVKCLHCNENGLILCPVCS >Vigun08g107850.1.v1.2 pep primary_assembly:ASM411807v1:8:26818877:26825506:1 gene:Vigun08g107850.v1.2 transcript:Vigun08g107850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKTFDIQFPPPYFKLCGKHAKNFKAEATACIRHEVPLQVKTWKEISDNDLTIIDEEDKEHAMKQLQKQYRNRHHHLYQTYIQNKGRPNDVHPQYRN >Vigun03g075500.3.v1.2 pep primary_assembly:ASM411807v1:3:6273759:6291246:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun03g075500.4.v1.2 pep primary_assembly:ASM411807v1:3:6273770:6291222:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun03g075500.1.v1.2 pep primary_assembly:ASM411807v1:3:6273759:6291246:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun03g075500.7.v1.2 pep primary_assembly:ASM411807v1:3:6273770:6291222:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun03g075500.2.v1.2 pep primary_assembly:ASM411807v1:3:6273759:6291246:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun03g075500.8.v1.2 pep primary_assembly:ASM411807v1:3:6273770:6291222:1 gene:Vigun03g075500.v1.2 transcript:Vigun03g075500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLFQLTRHGQGFLASRRKTLLLATGILVAGGTAAYVQSRIRVNRHDLLSDSDEQSNDRELPKEEVMERTSAPKVKQKKGGLKSLQVLAAILLSEMGQLGAKNLLALVCIVVLRTTLSNRLAKVQGFLFRAAFLRRVPMFLRLITENIVLCFLSSTMQSTSKYITGTLSLHFRKILTTLIHSHYFKNMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIIQDDLTAVTDGLLYSWRLCSYASPKYIFWILAYVLGAGATIRNFSPSFGKLMSREQELEGEYRQLHSRLRTHSESIAFYGGERREEAHIQQKFRSLFRHIKRVQHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLNRLSGYADRIHELMAISRELSLENENFSLQRQGSRNCISEANYVGFYGVKVVTPNGNVLVDNLTLKVEPGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTNGGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVLAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHHRSEDSSTELQNNTMEALETKRQSDAKTVQRAFAMNKKDSAFSNSKAQSYITEVIASSPSTKHTTSSVVPQLCGNSRALPMRVAAMCKVLVPTIFDKQGARLLAVVFLVVSRTLVSDRIASLNGTTVKLVLEQDKISFIRLIGTSVLQSAASSFIAPSIRHLTARLALGGRICLTQHLLQNYLRNNGFYKVFHTASKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVTILYVYMLLGLGFLRTVTPDFGDLISQEQQLEGTFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLTHSKYLLKRKWLFGILDDFITKQLPHNVTWGLSLLYAMEHKGDRASITTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQSEDITSLRSIPPVRDVHSTDVISFSKVDIITPAQKMLARELICDIEGGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRLSRPSEVEDQETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEVKALKMYGKGEKHADTRTLLDSRLKYILECVRLNYLLEREEGNWDATLKWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKDMGITVVTSSQRPALIPFHSVELRLIDGEGNWELRLIKQ >Vigun11g119900.1.v1.2 pep primary_assembly:ASM411807v1:11:32601401:32602919:-1 gene:Vigun11g119900.v1.2 transcript:Vigun11g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLDSEATVVDECRGVLHVYSDGSIVRSSRPSFNVPVNDDGTVLWKDVVFDPAHDLQLRLYKPAGSAGSKLPIFFYFHGGGFCIGSRTWPNCQNYCFRLVSQLRVIVVAPDYRLAPENRLPAAIDDGFTAVKWLQTQAAGNEPDPWLNNVADFSRVFISGDSAGGNIAHHLAARLGFRSPELAPVRVRGYVLLAPFFGGTVRTKLEAEGPKDAFLNLELIDRFWRLSIPIGETTDHPIVNPFGPNSERLEGKNIEPILVVAGGSDLLKDRAEDYARRLKEWGKDIEYVEFEGQEHGFFTIDPNSEPSNKLMFIIKRFIEKHLGNV >Vigun01g137200.2.v1.2 pep primary_assembly:ASM411807v1:1:31744432:31749076:1 gene:Vigun01g137200.v1.2 transcript:Vigun01g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAISNSTSLSEDASVSSGTKVQDLGGLTHALSNISPQQPPQKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLKQRNSKEVKKKAYVCPEPSCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAVAPTTTTNPFQSLFLFQTQQQNFQNHHMTSFNQWDSSQENPNPSNIATSLQIKPESQTFHNPTLSSLLQHQEQQHPNKGMIASPFGNLHVPSQASSSATSAYMSATALLQKAATVGAAAMTGPGPAAVGPRSAMGHVTQLGAGEFGTANQLDPVVVPDSYMRGFPGRSLKSDRLTRDFLGLTAEANGGVDGAAVDVSRNVKDMLTFAGGVEYHQPLRQHHHHALLKPQQGFGFLETTTAPETWGNC >Vigun01g137200.1.v1.2 pep primary_assembly:ASM411807v1:1:31744286:31749076:1 gene:Vigun01g137200.v1.2 transcript:Vigun01g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAISNSTSLSEDASVSSGTKVQDLGGLTHALSNISPQQPPQKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLKQRNSKEVKKKAYVCPEPSCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAVAPTTTTNPFQSLFLFQTQQQNFQNHHMTSFNQWDSSQENPNPSNIATSLQIKPESQTFHNPTLSSLLQHQEQQHPNKGMIASPFGNLHVPSQASSSATSAYMSATALLQKAATVGAAAMTGPGPAAVGPRSAMGHVTQLGAGEFGTANQLDPVVVPDSYMRGFPGRSLKSDRLTRDFLGLTAEANGGVDGAAVDVSRNVKDMLTFAGGVEYHQPLRQHHHHALLKPQQGFGFLETTTAPETWGNC >Vigun03g181400.1.v1.2 pep primary_assembly:ASM411807v1:3:23127841:23131591:-1 gene:Vigun03g181400.v1.2 transcript:Vigun03g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLNPLPTQTFALPQMASLRSPKFRMASTLRSGAKEVENIKKPFTPPREVHVQVTHSMPPQKIEIFKSLEDWAEQNILTLLKPVEKCWQPQDFLPDPSADGFDEQVRELRQRAKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAVWTRAWTAEENRHGDLLNKYLYLCGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGMIASDEKRHETAYTKIVEKLFEVDPDGTVMAFADMMRKKIAMPAHLMYDGLDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVEDLTGLSSEGRKAQDYVCGLPPRIRRLEERAQARVKESSKIRFSWIHDREVLL >Vigun06g083800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21538377:21539762:-1 gene:Vigun06g083800.v1.2 transcript:Vigun06g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKTAFELAMKEVVLIKPSKPTPSSILPLSTLDHTPSFNTLCHTLHVYRSKVDDHDEPSCPNHQLLHPADVIKAALSKALFYYYPLAGRLVDHTDGKLVINCNAKGVPFLEANATCKLSSLHYWDGTDMESAKNLVYDLPSEDESGHQYPLVFKVTNFPCGGFTVGMGLLHSVCDGVGACQFFKAIMEFARGKTEPSVKPVWERDRLKGSITKNPLQIDFLDDASAAVSPFLPTEVLMHQCIKVDSESIRRLKMSLVKESGENENITTFESLAAYVWRSKCRALKMSYDGKVKLNITVGVRRHLQDPLPEGYYGNVVVDADVILTMRELNERPLYEIVKLIKESKKVSCNSDYVRNFMDTLETDLEIETEFNIEGSGAFTTFTDWRHLEFYENVDFGVKEIVNVVPIPSDMFGCVDLCIFSALGNFDSSMEGGVGIFVSLPAPALLTFKEEMEALTLLI >Vigun06g136000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26212754:26214677:1 gene:Vigun06g136000.v1.2 transcript:Vigun06g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLPRILLSLFSIAVLLSTVAHPASAANSSHNTFLHCLVNYSEPSHPITSAIFTPTNASFSSVLDAYVRNLRFNTSTTRKPFLIITALHVSHIQASIICAQKYNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRTIEVDIDTETAWVQAGATLGEVYYRIGEKSKIHGFPAGVCPTVGVGGHISGGGYGNMMRKYGLSVDNVVDAEIVDVEGRLLDRKSMGEDLFWAITGGGGSSFGVVLSYKIKLVRVPEVVTVFRVESTVEKNATDIVYNWQHVAPTIDNDLFIRLILDVVNSTQNGKKTVRASFVALFLGDSKSLVSLLNEKFPELGLKESDCIETSWLRSVLFWTNINITTPVEVLLDRQPQSPVNYLKRKSDYVKEPISKEGFEGLWKKMIELEDTLFQFNPYGGRMAEIPSTSTPFPHRAGNLWKLQYQANWNRAGKEVADHYINLTRVLHKYMTPFVSKNPREAFYNYKDLDLGINHNGKNSYAEGKVYGVEYFKDNFNRLVEIKTKVDPHNFFRNEQSIPTLPRS >Vigun05g012350.1.v1.2 pep primary_assembly:ASM411807v1:5:977916:984860:1 gene:Vigun05g012350.v1.2 transcript:Vigun05g012350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSKGLIIGIDLGTTNSCVAVWQQQHNRVKIIHNDQGNNITPSYAKRLIGRKYSDPLVQKDKMLWPFKVVGWINDRPMISVKYKGKEKNLYAEEVSSMSYLEKPVKNAVVTIPAYFNYSQPTTTIAYGLDKGNDYTGKRNVFIFDFGGGTFDVSLLTMDNNKVFQVRATTGNAHLGGEDIDNRLMNYFVEEIERKNKVNIRIDFCSSITRAKFEEINTNLFEECMKTVDWCLMDVKMDKSNVHDVVLVGGSSRIPKEFFNGQDLCKSINPDEAVAYDIENVPDLVLNDITPLSLWYFHLMKRKNKEDISGNLRVLSRLKNVCEMIYSHVYNVKKALNEENINSKLRLKEKKNINSTILRAIDMFDGVNKMKDIVVIEDCLKELKAINKRCGMVNWFIFLPYMY >Vigun09g110800.1.v1.2 pep primary_assembly:ASM411807v1:9:23918571:23920922:-1 gene:Vigun09g110800.v1.2 transcript:Vigun09g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGREGIYFIAFLLLFSPSGLRFSEAATEDPIPADWPHQFHALMFMNRTGVLQKVDLWYDWSNGRNFNIIQNQLDNVVTYDLEWNNGTSFVYTLHPSNPTCQVLHVEVGILRPNWLQGATYLGQQRVDNFLCNVWEKVDFIWYYEDVLTRRPVKWIFYSGYTAHVMTFEVGAVLEDPNWQAPVYCFTENEKENDNPILRSAVRGGSLRSLMM >Vigun11g151300.1.v1.2 pep primary_assembly:ASM411807v1:11:36168625:36171990:-1 gene:Vigun11g151300.v1.2 transcript:Vigun11g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISESLLSVLSFFLCACLFKVLFERRKKPKAHLKPPPSPPALPIIGHLHLLKPLIHHAFQELSLRYGPLIYLRIGSEKFIVANTPSLAKEFLKTNELTYSSRKMNMAIDMVTYHNATFAFAPYDTYWKFMKKLSTTELLGNRSLHQFLPLRTRLVREFVQTLFRKSQAKESVNLTESLMRLSNNVISQMMLSITSSGTDSQAEQARALVREVTQIFGEFNVSDFIGFCRNLDLQGFKKRALDIHKRYDSLLEKIISDREELRRESKVERCEDGEEKVKDFLDILLDIVEQKDLEVELTRNHVKSLILDYFTAATDTTAISVEWAIAELLNNPKVLQKAQEEVDKVTANKRLLCEADSAKLPYIHGIIKETLRLHPPIPMIMRKGTKDCVVDGNMIPKGSTVCLNIWAMGRDHSVWEKPLEFRPERFVEGEGKDLDLKGHHFELLPFGSGRRGCPGMPLAMRELPTIIGTLVQCFEWKMFGPEGEILEHGRSRINMDERPGLTAPRANDLICIPVARLDPTLFHQL >Vigun04g112600.1.v1.2 pep primary_assembly:ASM411807v1:4:28328563:28335223:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLLCVSVIQFASAFSFSSSSLCLPQPPSFLYNLQSQCPISIPSNPPLQVDGNFLDGVLSARKSVEYISILFYASWCPFSQKMLPQFETLSSMFPQIEHLIIEQSSALPSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGESSSARSSTQGLN >Vigun04g112600.6.v1.2 pep primary_assembly:ASM411807v1:4:28328563:28335211:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVLLCSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGESSSARSSTQGLN >Vigun04g112600.3.v1.2 pep primary_assembly:ASM411807v1:4:28328563:28335211:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLLCVSVIQFASAFSFSSSSLCLPQPPSFLYNLQSQCPISIPSNPPLQVDGNFLDGVLSARKSVEYISILFYASWCPFSQKMLPQFETLSSMFPQIEHLIIEQSSALPSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGESSSARSSTQGLQ >Vigun04g112600.5.v1.2 pep primary_assembly:ASM411807v1:4:28328563:28331445:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQFETLSSMFPQIEHLIIEQSSALPSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGESSSARSSTQGLN >Vigun04g112600.4.v1.2 pep primary_assembly:ASM411807v1:4:28328562:28332328:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQFETLSSMFPQIEHLIIEQSSALPSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGESSSARSSTQGLQ >Vigun04g112600.2.v1.2 pep primary_assembly:ASM411807v1:4:28328563:28335224:-1 gene:Vigun04g112600.v1.2 transcript:Vigun04g112600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLLLLLLCVSVIQFASAFSFSSSSLCLPQPPSFLYNLQSQCPISIPSNPPLQVDGNFLDGVLSARKSVEYISILFYASWCPFSQKMLPQFETLSSMFPQIEHLIIEQSSALPSLFSRYGIHNLPAILLVNQTSVLRYHGPNNLLPVSEFYERNTGIAAIINVVDQPSSMTMTSDENSAMNLFGLSLNETWSRDPYLVFSVLFLCLRLLLFVFPKIVSRLRAFWVSCIPHLNLQIFGETSQVMGRVLQVIDVRRIWNRLRLCKIRIFQERARSARAWASSLASVSLGLQ >Vigun10g065100.1.v1.2 pep primary_assembly:ASM411807v1:10:14177066:14181032:1 gene:Vigun10g065100.v1.2 transcript:Vigun10g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATETAGGIIDVSGSANCLEIAILARFAVDDHNKKQNGVLEFVRVISAKQQVVSGILYYITLEAKDGETKKVYKTKVWVREWLNPKEVQEFNLVTDSAIETKDGGVGDVPSDTLQSGRRESDEEQRNMATATVTLGGITDVPGAANSVEIANLARFAVDDHNKKQNGVLEFVRVISAKQQVVSGILYYITLEAKDGETKMVYKTKVWVREWLNPKEVQEFNLVTDSAIETKDGGVGDVAV >Vigun09g213400.1.v1.2 pep primary_assembly:ASM411807v1:9:38755563:38760139:1 gene:Vigun09g213400.v1.2 transcript:Vigun09g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFVVILLLHYVAAADPPASSPQFPPDFSPGAEQHHLHKKMLVAIVAATTSLAALVFGFLCFWIYHTRCPIKSKTKRVKTPGPDAERGITLAPFLSRFSSIKIVGMKESVPIVDYKQIEKTTNNFLESNILGEGGFGCVYKARLDHNLDVAVKKLHCETQNAEREFENEVKLLSKIQHPNIISLLGCSIDGDTRFIVYELMRNGSLEAHLHGPTHGSALTWHMRMKIALDTARGLEYLHEHCYPAVIHRDMKSSNILLDANFNAKLSDFGLAITDGSQSKKNIKLSGTLGYVAPEYLLDGKLSDKSDVYAFGVVLLELLLGRKPVEKLAPTQCQSIVTWAMPQLTDRAKLPNIVDPVIKDTMDHKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIELGGTLRVSQVRQHASLAVNSRH >Vigun09g213400.2.v1.2 pep primary_assembly:ASM411807v1:9:38755563:38760139:1 gene:Vigun09g213400.v1.2 transcript:Vigun09g213400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFVVILLLHYVAAADPPASSPQFPPDFSPGAEQHHLHKKMLVAIVAATTSLAALVFGFLCFWIYHTRCPIKSKTKRVKTPGPERGITLAPFLSRFSSIKIVGMKESVPIVDYKQIEKTTNNFLESNILGEGGFGCVYKARLDHNLDVAVKKLHCETQNAEREFENEVKLLSKIQHPNIISLLGCSIDGDTRFIVYELMRNGSLEAHLHGPTHGSALTWHMRMKIALDTARGLEYLHEHCYPAVIHRDMKSSNILLDANFNAKLSDFGLAITDGSQSKKNIKLSGTLGYVAPEYLLDGKLSDKSDVYAFGVVLLELLLGRKPVEKLAPTQCQSIVTWAMPQLTDRAKLPNIVDPVIKDTMDHKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIELGGTLRVSQVRQHASLAVNSRH >Vigun03g390400.1.v1.2 pep primary_assembly:ASM411807v1:3:59675438:59676969:1 gene:Vigun03g390400.v1.2 transcript:Vigun03g390400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKPNNKICIKSTSFINPTFPFDYTSSQYNSPTPSLSLSLSLKMEDAEECITGLCLGLGMGGYVPKKGKQKENRPVLDLAFELCPKGEAINLNHDKVESTDSDNSNTNNNNKGCRKKLRLSKDQSSMLENSFKLHTTLNPVQKQALADQLNLTTRQVEVWFQNRRARTKLKQTEVDREWLKKQCQNLSDENKRLKKELQELRALKVGPSSPLCIQLSKTATLTMCSSCDKLVKLNEGNNNIGLEGTN >Vigun09g125300.1.v1.2 pep primary_assembly:ASM411807v1:9:27839945:27842266:-1 gene:Vigun09g125300.v1.2 transcript:Vigun09g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKGKAKAEPRVTPPPEKPNNFPSCIRCVPPSSVAVTIHAKPGSKSASVTDISDEAVGVQIDAPARDGEANAALLDFFSSDIKKSRTQTSRIEWKIQIENTIKNIERNLTEAQDLSGGWIQAEKNAKKKEGRS >Vigun09g125300.2.v1.2 pep primary_assembly:ASM411807v1:9:27840603:27842280:-1 gene:Vigun09g125300.v1.2 transcript:Vigun09g125300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKGKAKAEPRVTPPPEKPNNFPSCIRCVPPSSVAVTIHAKPGSKSASVTDISDEAVGVQIDAPARDGEANAALLDFFSSVLGVKRRQVSLGTGSKSRDKTVIVEDVTQQYVFDALDKVSKQ >Vigun03g411800.1.v1.2 pep primary_assembly:ASM411807v1:3:61899059:61900751:-1 gene:Vigun03g411800.v1.2 transcript:Vigun03g411800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSLKDDDSEIDLESGLVVVEDDSKNVSSPGNTKQGKILFTEISCIKGDDRYSGCCNESKLTVVSMDMVKVSGKLYSVECVENDTPEKEKRKKCSNKKAPKPPRPPRAPSLDAADHKLIREITELAMLKRARIERMKALKKMKAAKASSSSSSSSSIFAMLFTVIFCIVILLQGMSSGKSSVATFQGSPVATGVTEGGLVYVQQQLNPSSSHPNAPGFQYHKIVQQFTGSDLPAKK >Vigun10g125400.1.v1.2 pep primary_assembly:ASM411807v1:10:33371484:33374588:1 gene:Vigun10g125400.v1.2 transcript:Vigun10g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADAKERYAVVTGANKGIGLETVKGLASNGIKVVLTARDVKRGYQAVEELKKEFGFSGLVLFHQLDVTDPASIASLVEFVKNQFGRLDILVNNAGINGFNTDGMVPSKINWKELPQTCEMAKKCLRTNYYGAKETTEAFLPLLQLSNLPMIVNVSSEAGLLKYISNGWARRVLDDTENLSEELIDEVLREYMKDLKEGSLENKGWPTYLSAYMVSKAAMNSYTRLLAYRHKKLCINCVCPGFVKTDINKNTGILSVENGAASVVRLALLPNGSPSGHFFTRQEVSSF >Vigun10g125400.2.v1.2 pep primary_assembly:ASM411807v1:10:33371942:33374342:1 gene:Vigun10g125400.v1.2 transcript:Vigun10g125400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADAKERYAVVTGANKGIGLETVKGLASNGIKVVLTARDVKRGYQAVEELKKEFGFSGLVLFHQLDVTDPASIASLVEFVKNQFGRLDILVNNAGINGFNTDGMVPSKINWKELPQTCEMAKKCLRTNYYGAKETTEAFLPLLQLSNLPMIVNVSSEAGLLKYISNGWARRVLDDTENLSEELIDEVLREYMKDLKEGSLENKGWPTYLSAYMVSKAAMNSYTRLLAYRHKKLCINCVCPGFVKTDINKNTGILSVENGAASVVRLALLPNGSPSGHFFTRQEVSSF >Vigun06g139200.9.v1.2 pep primary_assembly:ASM411807v1:6:26464789:26477105:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPQ >Vigun06g139200.5.v1.2 pep primary_assembly:ASM411807v1:6:26465919:26478925:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPGFIQNEPFDPHTWTIPGDKSEDFKLKELVSGGKKVYVMGERKLNRKIIADVVEALIGAFLSSGGEKAALLFMDWVGIKVSFNKMPYERHFDIQPEKLVHVSHLESQLKYSFHDRTLLVEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSPGQLTDMRSASVNNDCYAWSAINHGLHKHVLHASQELHKHIAVTLVNFDKLSSSSTFGYGSETSLPKVLGDIIESLAGAILVDSGYNMEVVWQSIRPLLEPLVTPETLKLHPVRELNELCQKRSYNIIQEVVSRKDGVTRFKMEVEADGVIHQHEYTGSALQGTAKKIVCKEILNSLKEVRGAL >Vigun06g139200.10.v1.2 pep primary_assembly:ASM411807v1:6:26464731:26478635:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKSKQSLC >Vigun06g139200.2.v1.2 pep primary_assembly:ASM411807v1:6:26462779:26478925:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPGFIQNEPFDPHTWTIPGDKSEDFKLKELVSGGKKVYVMGERKLNRKIIADVVEALIGAFLSSGGEKAALLFMDWVGIKVSFNKMPYERHFDIQPEKLVHVSHLESQLKYSFHDRTLLVEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSPGQLTDMRSASVNNDCYAWSAINHGLHKHVLHASQELHKHIAVTLVNFDKLSSSSTFGYGSETSLPKVLGDIIESLAGAILVDSGYNMEVVWQSIRPLLEPLVTPETLKLHPVRELNELCQKRSYNIIQEVVSRKDGVTRFKMEVEADGVIHQHEYTGSALQGTAKKIVCKEILNSLKEVRGAL >Vigun06g139200.6.v1.2 pep primary_assembly:ASM411807v1:6:26462779:26478925:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPGFIQNEPFDPHTWTIPGDKSEDFKLKELVSGGKKVYVMGERKLNRKIIADVVEALIGAFLSSGGEKAALLFMDWVGIKVSFNKMPYERHFDIQPEKLVHVSHLESQLKYSFHDRTLLVEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSPGQLTDMRSASVNNDCYAWSAINHGLHKHVLHASQELHKHIAVTLVNFDKLSSSSTFGYGSETSLPKVLGDIIESLAGAILVDSGYNMEVVWQSIRPLLEPLVTPETLKLHPVRELNELCQKRSYNIIQEVVSRKDGVTRFKMEVEADGVIHQHEYTGSALQGTAKKIVCKEILNSLKEVRGAL >Vigun06g139200.1.v1.2 pep primary_assembly:ASM411807v1:6:26464159:26478925:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPGFIQNEPFDPHTWTIPGDKSEDFKLKELVSGGKKVYVMGERKLNRKIIADVVEALIGAFLSSGGEKAALLFMDWVGIKVSFNKMPYERHFDIQPEKLVHVSHLESQLKYSFHDRTLLVEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSPGQLTDMRSASVNNDCYAWSAINHGLHKHVLHASQELHKHIAVTLVNFDKLSSSSTFGYGSETSLPKVLGDIIESLAGAILVDSGYNMEVVWQSIRPLLEPLVTPETLKLHPVRELNELCQKRSYNIIQEVVSRKDGVTRFKMEVEADGVIHQHEYTGSALQGTAKKIVCKEILNSLKEVRGAL >Vigun06g139200.7.v1.2 pep primary_assembly:ASM411807v1:6:26464731:26478925:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKGFIQNEPFDPHTWTIPGDKSEDFKLKELVSGGKKVYVMGERKLNRKIIADVVEALIGAFLSSGGEKAALLFMDWVGIKVSFNKMPYERHFDIQPEKLVHVSHLESQLKYSFHDRTLLVEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITWHLYNKYPGMSPGQLTDMRSASVNNDCYAWSAINHGLHKHVLHASQELHKHIAVTLVNFDKLSSSSTFGYGSETSLPKVLGDIIESLAGAILVDSGYNMEVVWQSIRPLLEPLVTPETLKLHPVRELNELCQKRSYNIIQEVVSRKDGVTRFKMEVEADGVIHQHEYTGSALQGTAKKIVCKEILNSLKEVRGAL >Vigun06g139200.8.v1.2 pep primary_assembly:ASM411807v1:6:26464789:26477105:1 gene:Vigun06g139200.v1.2 transcript:Vigun06g139200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVISMGTNTHSQQGQITGDVLPFARSYQLEALDKAIRENTIVYMETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEAVKMHTDLKVGMYWGDMGVDYWDGATWKQETEKHEVLVMTPVILLNCLRHSFFKLNMIKVLIMDECHHARGKHPYACIMTEFYHRRLKSGIFDLPRIFGMTASPIKSKVGNSDSSWSENVRKLMTLMHSKVYTCSEAVITEFIPTSTPIFKFYRDSGIQFVLFEDLEIKLKMLKEQHELTLQSSDFTKSVAESAQKRITKIFCALMFCLDELGVWLALKAAEFLSSNEFDSFSWGHSGDKVVKNFILAVVHTLKSYLQCDPQWSIGDNVDSDVEMGLLTSKVCCLIDSLLEYRALTDMRCIIFVERIITAVVLQDLLNTLLPKYSSWKIKFIAGHNFGLQNQSRRKQNEIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMRNSDYILIVKSGDSVTRSRLEKYLASADIMRKESLRHSSLPCDPFEGEEYDKEYYRVASTGAIANLSSSISLIYLYCSRLPADGYFKPTPRWDRETNTLYLPKSCPLQPIRVEGNKKLLKNIACLEACKQLHKIGALTDYLVPDIFIEEAEMEEFGNEPYDDNQPAYVPFGLVNSVSNNSQTTYHCYLMEFSQNFSYDISVQDIFLATRIELDPEIGCTQFDMGFDRGSLSVKLIYKGTIDLSPDLVLLCKKFQVTLLRILIDNSMNNFAMGLDKCCLEGAVEIDYLLLPAIGKGEKSIVNWLAISAVNPSSIMCEYHPLRIRTKSGIVCPCRLQDALVFTSHAGDKIHFYITTGTTELNGNSPMKLRDGGVTTYKKYYKQKHHVQLQFEDQKLIKARHKFPVKNYIHGYKQGKEGEASKASVELPPELCSIVMSPIKDSIIYTFSFIPSIMHRLESLLGAFNLKKMHLDHCAQNEIPTIKVLEAITATSCKEAFHYESLETLGDSFLKYAASQHLFNTYQNHHEGLLSVKRKKIISNAALCKLGCRSGLPQ >Vigun09g081600.1.v1.2 pep primary_assembly:ASM411807v1:9:9739705:9756096:-1 gene:Vigun09g081600.v1.2 transcript:Vigun09g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPFPAIFIMFIISIPSSIAAAGVGVDAISRLIEIQDRERASPSVQEAAARGVLLRLLPSHSSSFHFQIISKKQCGGEYCFVIKNLPSFGTLGDPQILIEGTTGVDIVSGLHWYLKHWCGSHISWDKTGGSQLFSVPDTGFLPRIPHSAGVSVQRPIPWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGVNLPLAFTGQEAIWQKVFQEKFNMSISDLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWFDNQLILQKKILARMYELGMTPVLPAFSGNVPAALKYIFPSAKITRLGNWFSVKNDLKWCCTYLLDATDSLFIEIGKAFIEKQLQEYGRTSHIYNCDTFDENTPPIDDPEYISSLGAAIFKGLQSGDDEAVWLMQGWLFSYDPFWRPPQMKALLHSVPVGKLVVLDLFAEVKPIWVTSEQFYGVPYIWCMLHNFAGNIEMYGVLDAIASGPIDARTSINSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHKKIDVKAWVDLYSTRRYGQSLPLIQKGWNVLYHTIYNCTDGAYDKNRDVIVAFPDVDPSLISVQHDQSHHYDRPSATFIKETTDTFDRPHLWYSTSEVIYALELFITSGDELSRSKAYRYDLVDLTRQVLAKYANELFSEVIEAYKSQDLHRMTLLSQRFLDLVEDLDTLLACHDGFLLGPWLESAKQLAQNEEQERQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGLLHDYYGPRAAIYFKYLRESLERDEDFKLIEWRREWINLTNEWQKSRNIFPVESKGDALITSRWLFNKYLNLTNPETKLIQE >Vigun11g027600.1.v1.2 pep primary_assembly:ASM411807v1:11:3598884:3614638:1 gene:Vigun11g027600.v1.2 transcript:Vigun11g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCLPPVNITGSGRPVLEPNEIECFFLSAVDLLCEDEPSTSFPHLKSGLLILTTHRLLWLPDSAASGGGAAGAVPLAAISHIYSVKKSLRSVFSSPRVRFQVSLSPEGRVTAVGSRSVVVTVVARGKGDCDSFLAKLWENWRARAWEEAGSGSSNAAAAAETATTSSSGGIYSSDGTVRMVGVSGILRKEQEMWESTDRSLQEAFQDLNALMSKAKEMVMLAEKMRLKLLSGSSSQANATNDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVKIPLEKAGGIINLIDIYCLFNRARGTELISPDDLLQACSLWEKFDVPVVLRKFDSGVMVIQTKSHSDEEVFNKVKMLVMKPDALRAGISASDAARTLGVAPAMAKEHLLSAESKGLLCRDVSPDGFRFYINLFPEIDRVDMHLVKDREIYALWVQANHSHA >Vigun03g175300.1.v1.2 pep primary_assembly:ASM411807v1:3:21673147:21675153:-1 gene:Vigun03g175300.v1.2 transcript:Vigun03g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIAIGLLVLGLFLHLRPTPSAKSKALRHLPNPPSPKPRLPLIGHLHLLKDQLLHHSLIDLSKRYGPLYSLYFGSMPTVVASTPELFKLFLQTHEAASFNTRFQTSAIKRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTVNKLRPLRSHEIRKVLRVLAQSAEAQQPLNVTEELLKWTNNTISMLMLGEAEEVRDLARETVKIFGEYSLTDFIWPLKKLKFGKYEKRIDEIFNKFDPVIEKVIKKRQEIVRRRKNGEVVEGEQSGIFLDTLLEFAEDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWALAELINNPRVLQKAREEVYSVVGKDRLVDEVDTQNLPYIRAIVKETFRMHPPLPVVKRKCVEECEIEGCVIPEGALILFNVWAVGRDPKYWDRPSEFRPERFLENGGEGAVGPIDLRGQHFQLLPFGSGRRMCPGVNLSTSGMATLLASVIQCFDLQVLDPQGHVLKGDDAKVSMEERAGLTVPRKHNLVCLPLAKTTLAAKLLSP >Vigun01g061625.1.v1.2 pep primary_assembly:ASM411807v1:1:13038083:13038728:1 gene:Vigun01g061625.v1.2 transcript:Vigun01g061625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFNECTLPLFKLLLRLSDYSSVVWVLQIFLHAMIYNYGLLQSLVLRIIMDFFILHELMLLWGFLFFRRGFFMVQD >Vigun07g114600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21234308:21237066:1 gene:Vigun07g114600.v1.2 transcript:Vigun07g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKNVGAVLLFIFFSFLHVEAILDPADFLALQSIRKALEDMPGSHFFSSWDFTADPCNFAGVYCDSDRVISLNLGDPRAGSPGLTGRLDPALGKLSSLAEFTVVPGRIYGPLPESLSDLKNLRFLGVNRNFISGEIPTKLGGLRSLRTIDLSYNQLTGRIPPTVGSLPELTNLILCHNRLSGSLPRFESHTLTRLDLKHNSLSGSLPPNSLPPSLQYLSLAWNQLTGPMDRLLGRLDQLNYLDLSLNQFTGPIPDRIFSFPLTNLQLERNQFSGLVQPVEQVSIPTVDLSYNRLSGQISPMLASVQYLYLNNNRFSGRVPASFVERLLDASIQILYLQHNYLTGIEISPTAVIPERSSLCLQYNCMVPPVETPCPLRAGKQKTRPTAQCNQLKT >Vigun06g038850.1.v1.2 pep primary_assembly:ASM411807v1:6:15757351:15759254:1 gene:Vigun06g038850.v1.2 transcript:Vigun06g038850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITGAKSLYCTSEIFFRELINNASNALDKIEFESHTNKNILDDGLIRLIPHKANKTLSIIDTGIGMTRADLAYNLGVGFYSTYLIANKVIVTSKHNDHDQYIWESQPGASFILEYLEGITIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWMHNPKRDSKLVTQKLMNHIPDDFRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNRFKSSLFSISGERFQNMEKLYWPSQIQEDYPDGFGILGSSSINGILCLYVQGMRQLAYLCNPTIREFKGVPPNPFENAPHYVDIEIYYHGFGYDCVRDDYKVIRYVVFWAISDDNVFVNDPFLLNCVWEMYSLRSNSWTNLELDNFIPKSRDDNNKFYLKGMCHWWGYGDGYIQDLVSFDLINKVWIITPPPSDVPMEIYDNFDMLLLRRQLFMLNETIALMSNYAGTTIFYISILVEVGKKETWIKLFVFGSIPYIAFPIGTRNMGNVLFQTHDGDLAWFDLSIHRVQNLVST >Vigun09g181200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35484128:35486837:1 gene:Vigun09g181200.v1.2 transcript:Vigun09g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEKKAMIRDIALQVIVISIAIVSFLWMHGIPQRLYAKLRHRPPNPRAVQAKSHFVKGAQLVAQARRSKSASVTVSLAKQALEEAEKAIALDPKDAASHFLKSMALDLQGFRSNALDALDAALSPLAAASLAADERGDALLKRAELKISLSERRVDSVLADLNESVKLSPRNPKAWCMLGECYEENEMGEEAKKAYKEALQLEPQLNVAQEALNRLGSS >Vigun03g202300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31774464:31774850:-1 gene:Vigun03g202300.v1.2 transcript:Vigun03g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRQCFEKRRRATCKETCTCGSGRGPRYTVLICVGPRNSSEIVMAQKQIWSGIPLFLVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYVWDAILKSPLLTEANVLGSRGLILTETRGGSLPT >Vigun11g131500.1.v1.2 pep primary_assembly:ASM411807v1:11:33949039:33952000:1 gene:Vigun11g131500.v1.2 transcript:Vigun11g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPMEFWGVEVKVGQTVKVDPMDPVSAYIHISQVALGEAKKEKANEPVVVYVKVGDQKIVLGTLKRDDIPHLSLDLVLDSDSELSHSSKTASVFFCGYKVLTDEGNISDFSDSDEEEEDLPLKGQDNGKPVTKVEGAKVTKPSKPAPVKGASVKQAKTVDPKKDEEDDSDSDESDDELAGIDESESSDEMDDDSESDEESESDEETPVKKVNQGKKRQNESASKTPVSSKKAKTATPEKTDGKKNVHVATPYPTKKGGKTPKNPTKGQSPISAGQLSCGTCKKNFANEDGLQQHKKAKHGGQ >Vigun05g110300.1.v1.2 pep primary_assembly:ASM411807v1:5:11422913:11434216:1 gene:Vigun05g110300.v1.2 transcript:Vigun05g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASIKAPIQRGKTGSGSVEGFLHFLPSSRAFSPYTVRISHQVTLVNGCIFCQ >Vigun02g089100.1.v1.2 pep primary_assembly:ASM411807v1:2:24382959:24385173:1 gene:Vigun02g089100.v1.2 transcript:Vigun02g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELLAPRKDTWSALSTGLNELLPSAWSFDSFDDNHGLATLNPSFAAFSTPLDHRFECPYGSEATHPFVDGFSLPELDSSYTRNDESAPLLPQEDNPSLEDEEFGFLGSEKQSLEQATNGCKVEEQVTEIPVFNVGLCAEKKLKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEMEEGTNHINLLGNSKELKPNEAMVRNSPKFDVERREHDTRISICCATKLGLLLSTVNTLEALGLEIHQCVISNFNDFSMQATCSEVAEQRNCTSPEDIKQALFRNAGYGGRCL >Vigun02g089100.3.v1.2 pep primary_assembly:ASM411807v1:2:24382959:24385173:1 gene:Vigun02g089100.v1.2 transcript:Vigun02g089100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELLAPRKDTWSALSTGLNELLPSAWSFDSFDDNHGLATLNPSFAAFSTPLDHRFECPYGSEATHPFVDGFSLPELDSSYTRNDESAPLLPQEDNPSLEDEEFGFLGSEKQSLEQATNGCKVEEQVTEIPVFNVGLCAEKKLKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEMEEGTNHINLLGNSKELKPNEAMVRNSPKFDVERREHDTRISICCATKLGLLLSTVNTLEALGLEIHQCVISNFNDFSMQATCSELSREIVRARKI >Vigun02g089100.2.v1.2 pep primary_assembly:ASM411807v1:2:24382959:24385173:1 gene:Vigun02g089100.v1.2 transcript:Vigun02g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQLGFLDELLAPRKDTWSALSTGLNELLPSAWSFDSFDDNHGLATLNPSFAAFSTPLDHRFECPYGSEATHPFVDGFSLPELDSSYTRNDESAPLLPQEDNPSLEDEEFGFLGSEKQSLEQATNGCKVEEQVTEIPVFNVGLCAEKKLKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIGKLQEEEMEEGTNHINLLGNSKELKPNEAMFDVERREHDTRISICCATKLGLLLSTVNTLEALGLEIHQCVISNFNDFSMQATCSEVAEQRNCTSPEDIKQALFRNAGYGGRCL >Vigun05g293400.2.v1.2 pep primary_assembly:ASM411807v1:5:47949175:47949863:-1 gene:Vigun05g293400.v1.2 transcript:Vigun05g293400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRSIVISILLFISVVVAEDVVAGKVVNPAETAETAEEAGIDETANINLVINEQPQAYESPRFKKFVTHCSSHVAETCSENDPMRGKLGLSLCLFDSMEACLVDHKASLYQTTSSYKSQKSIQYLPVLIETVKFQAVLRTCSKVTAQTCFTTSDVNTSTLSACLKPYLIRCVYLDQDPPPSPPKMRK >Vigun04g167000.1.v1.2 pep primary_assembly:ASM411807v1:4:39160239:39165476:1 gene:Vigun04g167000.v1.2 transcript:Vigun04g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASELVEFPLLLTPINSHYRACTIPYRFPSDNPRKPTPTEISWIDLFLNSIPSFKKRAESDSTVRDAAAKAEKFAQRYADILEDLKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENAKAISLFENVVQLNDAIEDEGKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDHLDTFKMKWSRKSWKKVIIFVDNSGADIILGIMPFARELLRRGSQVVLAANDLPSINDVTCAELVEIISKLKDEQEQLVGVSTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVSS >Vigun04g167000.2.v1.2 pep primary_assembly:ASM411807v1:4:39160023:39165441:1 gene:Vigun04g167000.v1.2 transcript:Vigun04g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASELVEFPLLLTPINSHYRACTIPYRFPSDNPRKPTPTEISWIDLFLNSIPSFKKRAESDSTVRDAAAKAEKFAQRYADILEDLKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENAKAISLFENVVQLNDAIEDEGKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDHLDTFKMKWSRKSWKKVIIFVDNSGADIILGIMPFARELLRRGSQVVLAANDLPSINDVTCAELVEIISKLKDEQEQLVGVSTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVSS >Vigun03g371300.1.v1.2 pep primary_assembly:ASM411807v1:3:57392252:57395485:1 gene:Vigun03g371300.v1.2 transcript:Vigun03g371300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFDSHADAGASKTYPQQAGTIRKNGYIVIKSRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLSQIKEGFAEGKDLVVSVMSAMGEEQICALKDIGPKN >Vigun06g072300.2.v1.2 pep primary_assembly:ASM411807v1:6:20324780:20329842:1 gene:Vigun06g072300.v1.2 transcript:Vigun06g072300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEDARNLARLYKLFPLKKAYRSVIFSIPPLQNRSFFFNLQVPLHSSLTLATKMPVIAAKRSLRSHPSSPPRKSPRRCTAATANSSPNVNSFGTDENQADPAVVKPRWNPKDGEQIKAVKLALHLSTAPPSVVCREEEQNVVLEFCKGCVEQKKAGSLYICGCPGTGKSLSMEKVKEQLLNWAKEAGLPLPDVLSVNCTTLASTSDIFTKMIGLNQAQGKKVSASPLQQLHNMYSQKSSIKNMTLIVADELDYLITKDRAVLHDLFMLTTFPFSRCILIGVANAIDLADRFLPRLTSLNCKPIVVNFQAYSKDQILKILEERVKELPYSVFQQQALELCARKVAASSGDMRNALSICRSAIEMLEAEIRESACNLNNSLEEISFSKQNLPTAPDFIKKREFDIVRTDHMARALSKTYRSPVVDTIQSLPHHQQIILCSSMNHFRGAKKDAMLGELYKSYVGICKSSLIPPAGILEFSNMCRVLSDQGLIKLGQSREDKLRRVSPKVNEGDITFALQGIRFFHNCLK >Vigun08g169100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34020513:34021157:1 gene:Vigun08g169100.v1.2 transcript:Vigun08g169100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELQRMRVLEIHFGVDVKHEPEEVARVYESMFVQFDHDLNGRVDMEEFKEETKEIMLAMANRLGSVPVQMALEHDSLLMKAVQRDFSSA >Vigun04g078500.1.v1.2 pep primary_assembly:ASM411807v1:4:10854331:10855425:1 gene:Vigun04g078500.v1.2 transcript:Vigun04g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMFGWRPRKKKLPNVLSIVELKVHMDCQGCEERIRRAISKLNGVDSLDIDMDQQKVTVRGYVEKGKVLRIVRRTGRRAEYWPFPYDSEYYPYASQYLDESTFSTTYNYYRHGYNESVYGYFPDQAYSTVQDETVFLFSDDNVHAPCAIM >Vigun11g211500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40631613:40634782:1 gene:Vigun11g211500.v1.2 transcript:Vigun11g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVTFVFDHLAQLAAREANLLYGVEDRVQSLQYELQMMKELLSSTKSKKGMEHTVLNQIRDVSHLAEDVIDTFVAKVAIYKRRSILGRMLRGFGQARLLRDVAEKIDKIKNTLNEIRDNKDKYDAFKENNNRSAAEEEEEEKRAQSVQKLRRNVEEEDVVGFVQDSKDVINRLLEGSLNRKALSIIGMGGLGKTTLARKVYNNTQVKKHFTCRAWVYVSNECRVREILLGLHKHLMPDFEQQSRSSKKGKKSSRDNSSLSEEELKILVRNDLEGERYLVVVDDLWKRQDWDAVQDVFPDNHRGSRILITSRLKEVALHAAHDVPHYLRFLNEEESWELFRRKVFRAEDYPSDLEAMGKQMVQSCRGLPLSIIVLAGLLANKEKSFREWSKVVGHVNWYLTQDETQVKDIVLKLSYDDLPRRLKPCFLYLGIYPEDMEIPVRPLLQKWVAEGFIQDTRNRDSDDVAEDYLYELMDRSLVQVAEVKTNGGVKVCHVHDLLRDLCISESKEEKVFEVCTDNNILIPVNSRRLSIHSNISHYISSSNNDHSCIRSLFFFGPRCYVHEKEWKCLLEGFKLVRVLEVGPTKCEKTPSNLREFIHLRYLRIDSCFVEFIPSSILNLWNLQTIDIVSGSYSPISFPVQMWKLKYLRHLNTSKPIKLRGNCSGSDEKIWNLQTISAILLNRQATTLIKKGAFPNLKRIGLKVDSAYKAELPKLLQSLQQSSHLNKLVISLQHRYTGVEECANESVETCNGCKPQELLQSLEQFNSLTVLKIENVLDLLTYALTFPPNVTELTFSRIKCITYVGMKCLGNHTKLKILRLLGDVISVDSFDLNCIAGSFPQLEVFDMEHLRVGKWKLGNGAMPRLQRLVIYYCDWLDDLPNQLWSLSHLRKVHIINPSEQITHRLRNLEINNEIQLVLCVEDYNEKVSKTLKHWERSII >Vigun07g141300.2.v1.2 pep primary_assembly:ASM411807v1:7:25151461:25154970:1 gene:Vigun07g141300.v1.2 transcript:Vigun07g141300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYACLVCALLNEPSLHLPHIYIYAIKALYFLLLPLTTYFALYIISNPWMVSNNKQTFEGNESGERRGNRIPVMQSTQRHNDPKQTPLRNVTKGVWTKDYELYFEHFFRKVVREEVERRIQDYLPARAWFDEIGGDRSRASPFELRFTNKLSDIIFTHSNVISEDKTPIQIALFDIRDQSVVNVGPLSSLKVEICALNGEFGFNGSEDWTEGEFNVNILRERDGRRPLLNGDRVITLKNGVGCINKVMFTDNSRWIRSRKFRLGAKVIQTASIEANVREGRSEPFVVKDYRGESYKKHHPPSLNDDVWRLEQIAKDGKIHERLSLHGIHTVKDLLRLYTTNPSSLLEKVGNIPKRSWMSIIEHVKTCVIDDDETFVYHTAEQSTSLIFNSIYVLVGVSFDGKNYVSPDTLTSDEKEWDSLMLQSKVFNG >Vigun07g141300.1.v1.2 pep primary_assembly:ASM411807v1:7:25151461:25154970:1 gene:Vigun07g141300.v1.2 transcript:Vigun07g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYACLVCALLNEPSLHLPHIYIYAIKALYFLLLPLTTYFALYIISNPWMVSNNKQTFEGNESGERRGNRIPVMQSTQRHNDPKQTPLRNVTKGVWTKDYELYFEHFFRKVVREEVERRIQDYLPARAWFDEIGGDRSRASPFELRFTNKLSDIIFTHSNVISEDKTPIQIALFDIRDQSVVNVGPLSSLKVEICALNGEFGFNGSEDWTEGEFNVNILRERDGRRPLLNGDRVITLKNGVGCINKVMFTDNSRWIRSRKFRLGAKVIQTASIEANVREGRSEPFVVKDYRGESYKKHHPPSLNDDVWRLEQIAKDGKIHERLSLHGIHTVKDLLRLYTTNPSSLLEKVGNIPKRSWMSIIEHVKTCVIDDDETFVYHTAEQSTSLIFNSIYVLVGVSFDGKNYVSPDTLTSDEKRVVEILKHHAYQNMDNLKSIHKTPLECVGMGQSDATEQGLQRLNISTQQEDHQGTWAGHDYPYASTSYRDEGVHDHNMLYSDPNSVPDITDMPLNNPYVINSHTKEFLFGLCVEEDGWVSFG >Vigun09g175000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34633476:34635360:1 gene:Vigun09g175000.v1.2 transcript:Vigun09g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGFYSSTEFQLPSDPFRGELMEVLEPFMKSPSSTPSFSSSPPSTSYSPSPIYFPSSPSFPIMYSNNCSASMTHAFADGLSQSNTQNIIGFAQGQPTSLLGLNHLTPSQISQIQTQIQIQSQHHQNPNTLNFLGTKPIPMKHVGMPLKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSSVGGGFGEYKPLHSSVDAKLQAICEGLAEMQKQGKTEKPQGSSKRSRSKVAPPAEKADSDKVSDDKTINNCCKVEASSSPVTTESESSEGSSPLSDLTFADVSEPQWEGDSDTFNLHKYPSYEIDWDSL >Vigun07g032900.2.v1.2 pep primary_assembly:ASM411807v1:7:3109351:3111161:-1 gene:Vigun07g032900.v1.2 transcript:Vigun07g032900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSSEERTVASSLLLLNITPPSPSLSTPKFRSDSSDAVPERRSSSNTSKQISVSYCSNKSSSSSLTNDDGDSSEKEIKSHSISFSAILRYNQMKLKIARKIRSKVTWTSSCSGDRKPNAGEDANMLSPFSASGEASSCLSSSSSGISSARSLRYAKRCRGAIVERVAGIGREKAAPSRRAAGSPHLRRRGEAILKLLSCGDSSEVKIRQMLGDSPDTSKALRIENKHEPTVWIFHTHKNDNKSLSGCV >Vigun07g032900.1.v1.2 pep primary_assembly:ASM411807v1:7:3108681:3111160:-1 gene:Vigun07g032900.v1.2 transcript:Vigun07g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSSEERTVASSLLLLNITPPSPSLSTPKFRSDSSDAVPERRSSSNTSKQISVSYCSNKSSSSSLTNDDGDSSEKEIKSHSISFSAILRYNQMKLKIARKIRSKVTWTSSCSGDRKPNAGEDANMLSPFSASGEASSCLSSSSSGISSARSLRYAKRCRGAIVERVAGIGREKAAPSRRAAGSPHLRRRGEAILKLLSCGDSSEVKIRQMLGDSPDTSKALRMLLRVDAVKRSGSGGRYDPYVYTISG >Vigun07g155600.1.v1.2 pep primary_assembly:ASM411807v1:7:26673692:26674500:1 gene:Vigun07g155600.v1.2 transcript:Vigun07g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKLTLFVWSMVCVVAVASAQSAVVESTYHLYQPEQHNWDLLAVSAYCATWDANQPLSWRSKYGWTAFCGPVGPQGQDSCGKCLRVTNTRTGDEQIARIVDECQNGGLDLDVSVFQTLDSDGNGNAEGHLTIHYEFVDCAD >Vigun07g220500.1.v1.2 pep primary_assembly:ASM411807v1:7:34297068:34298828:1 gene:Vigun07g220500.v1.2 transcript:Vigun07g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKFSMIVLFLSFFAFSFDLTQSADFQISQFGGKPNGNIAKALQSAWTKACASTSASKIVIPKGTFQMTHVLLKGPCKAPVELYVDGTIKAPVKPEDMGGDEILRIDYVNGLSITGNGVFDGQGSYAWKQNECSKKANCKLLGMNFAFNFVTNSIVRSITSKDSKHFHVNVLGCKNLTFDGFKVTAPHNSANTDGIHIGRSNGVNVLNTNIATGDDCVSLGDGSRNVLVQNVKCGPGHGIAIGSLGKYKEEEPVDGITIKGCTLKGTDNGVRIKTWPSTPGTITVTNMRFEDITMDNVKNPIIIDQEYCPWNQCTKKYPSKIRISKVIIKNIKGTSATKEGLILACSSGVPCQGVEISNVDLKFNGAPAIAVCSNMKPKISGKVPPCTAPSNKKQ >Vigun03g302450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49206057:49208295:-1 gene:Vigun03g302450.v1.2 transcript:Vigun03g302450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPETTDFGRAQSRWKKIVNALKCPEDISMMVAEVSKPKIQRVPDCMGGRLEFQNLYLPNLISIGPIHFLKPYVEEGELHKVVWTATYLKNTGQTYHDLLEKTGNSFHQQLASMFAEECWKYDESQDLRRSMRVEEMLVVDGCSVLHVLEKSVDSDYPEKDLKISIGQLTQAHHDMVLLENQIPYQLLKLLCNDKARLKRCMHNFLLVNGIGQESDGRVSEEHFITIEEDEQDKEEPVHLLDYLRRAVLGRGRVQSCREIKMANRCLHLRKYRIGSVRELKVAGIRITKSSNSFYPSFMDGDLQLPPVVVDGSMALISMNLVAYEMCLGFRNDLEITSLLVLLCSLIQQPEDVKELRRMGVLRNRLSSDKEVADVFNKLDVLQLPETAAFAHLRQQIQVHLKAKRGRIRVLRWMGEAYHTYFRSPWTVTALLATMLGLSLTLIQTFYAVRCKGS >Vigun08g144500.1.v1.2 pep primary_assembly:ASM411807v1:8:31748621:31758238:-1 gene:Vigun08g144500.v1.2 transcript:Vigun08g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDCVSDANSIHSALHSLDHLPLSQRRKLLHSPTLQLDTRTSLACEPSLQFDAVVKKEAHNFDSLVTCPIFGFDDSRVEKTSGNVTILDQCPKLSAFAGCATVKVEDYHTPMSSVDNGRKACIQLDSPTPKVKMEIPEGIVVDLDHVALKERLRMLLTGKLPGLSNTALECSNGGLLETIMEQSVKNGNEQINSANGKAAMARDQCNDFLEGSNISLPLGATCVSSLLTNNASSESKGSVISAGLKEDGPILKSRGIVMQMDSHVEHNIINNDGPFSSTSPTFVKVKDEPWDYRENLNVNKDCLGRISIVLPNVKHEREVHNEYLEDQVDHMKLTDRLNFLKSGTDSSLNISTGYSSLKKTQPFSSLSSPIFSKSTKPSSINCRRKRKKTATDSVQEALEEDAPGLLQVLLDKGVLVDEIKLYGEEENDEALDESFGEDRFSELDAVMAKISSQRHTFIKFPFTRGSKTSRASYCLACLFSLVEQTRYLKFRKWPVEWGWCRDLQSFIFVFERHNRIVLERPEYGYATYFFELVESLPVQWQVKRLVIAMKLTTCSRISIIENKELVVGEDLSEGEAKVLREFGWTPSTGLGTMLNYCNRVVHDRKNEEFSSEWRYKIGKLLVDGYSGGTKVKYDDVPIKDFQSPVTDTSCSSPMSD >Vigun08g144500.2.v1.2 pep primary_assembly:ASM411807v1:8:31748973:31758290:-1 gene:Vigun08g144500.v1.2 transcript:Vigun08g144500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDCVSDANSIHSALHSLDHLPLSQRRKLLHSPTLQLDTRTSLACEPSLQFDAVVKKEAHNFDSLVTCPIFGFDDSRVEKTSGNVTILDQCPKLSAFAGCATVKVEDYHTPMSSVDNGRKACIQLDSPTPKVKMEIPEGIVVDLDHVALKERLRMLLTGKLPGLSNTALECSNGGLLETIMEQSVKNGNEQINSANGKAAMARDQCNDFLEGSNISLPLGATCVSSLLTNNASSESKGSVISAGLKEDGPILKSRGIVMQMDSHVEHNIINNDGPFSSTSPTFVKVKDEPWDYRENLNVNKDCLGRISIVLPNVKHEREVHNEYLEDQVDHMKLTDRLNFLKSGTDSSLNISTGYSSLKKTQPFSSLSSPIFSKSTKPSSINCRRKRKKTATDSVQEALEEDAPGLLQVLLDKGVLVDEIKLYGEEENDEALDESFGEDRFSELDAVMAKISSQRHTFIKFPFTRGSKTSRASYCLACLFSLVEQTRYLKFRKWPVEWGWCRDLQSFIFVFERHNRIVLERPEYGYATYFFELVESLPVQWQVKRLVIAMKLTTCSRISIIENKELVVGEDLSEGEAKVLREFGWTPSTGLGTMLNYCNRVVHDRKNEEFSSEWRYKIGKLLVDGYSGGTKVKYDDVPIKDFQSPVTDTSCSSPMSD >Vigun06g079500.1.v1.2 pep primary_assembly:ASM411807v1:6:21134664:21137990:1 gene:Vigun06g079500.v1.2 transcript:Vigun06g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAQGFASNFKLGFVFSSGSQSQQRQPLMFLAAHSGFSLKFFNGVCARSFKFQNPSIVAAKHGSVRGLRALKSVELDQFVTSDDEEDEMGEGFFEAIEELERMTREPSDILEEMNDRLSARELQLVLVYFSQDGRDSWCALEVFDWLRKENRVDKETMELMVSIMCGWVKRLIQEQHGVGDVVDLLVDMDCVGLRPGFSMIEKVISLYWEMGEKEGAISFVEEVLRRGIPYAAEDKEVHKGGPTGYLAWKMMAEGDYRSAVRLVIRFRESGLRPEVYSYLVAMTAVVKELNEFGKALRKLKSFRRAGLVTEFDLEDVKLAEKYQSDLLADGVRLSNWVIQDGSPSLYGVVHERLLAMYICAGHGIEAERQLWEMKLVGREADGDLYDIVLAICASQKEGNATARLLTRLDLVSSPQKKKGLSWLLRGYIKGGHFTEAAETVMKMLELGFYPEYLDRAAVLQGLKKRIQQYGNLDTYVRLCKSLSDANLIGPCLVHLYIRKYKLWVVKML >Vigun06g091600.1.v1.2 pep primary_assembly:ASM411807v1:6:22369623:22371244:1 gene:Vigun06g091600.v1.2 transcript:Vigun06g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLTRSTTVQCSIQLIFLLPSSTFVLLCQDGPHAGQSVPHVHIHILPRKAGDYENNDDIYEDINEKEKQFHQSLQEDKEKRDRSIEGKRERSIEEMAIEADEYKKFTF >Vigun05g286900.1.v1.2 pep primary_assembly:ASM411807v1:5:47402242:47407301:-1 gene:Vigun05g286900.v1.2 transcript:Vigun05g286900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSNRVEHFDNREATTLRIQTQTPLEIHAVQLPPQRTTLHKLRHRVSEIFFPDDPLHRFKNQTSFRKFILALQYLFPIFQWAPNYNLTLLRSDLISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSKHLGVGPVSIASLVMGSMLSEKVSYSQDPSLYLGLAFTATFFAGVFQASLGILRLGFVIDFLSKATLVGFTGGAAIIVSLQQLKGLLGIVHFTSKMQIVPVMVSVFKQRHEWSWQTILLGFGFLAFLMTTRHISLRKPKLFWVSAAAPLTSVIMSTILVFLLRDKTHQISIIGHLPKGVNPPSSNMLYFSGPYLALAIKTGIITGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNVAGSCSSCYVTTGSFSRSAVNYNAGAQTTVSNIIMAAAVLVTLLFLMPLFYYTPNVVLAAIIITAVIGLLDYQSAYKLWKVDKLDFLACLCSFFGVLFISVPLGLAIAVVISVIKILLHVTRPNTLVLGNIQGTQIFHNINQYKEASRVPSFLILAVESPIYFANSTYLQERILRWVREEEEHIKANDGAALKCMILDMTAVTAIDTSGLETLSELKKTLEKRSLQLVLANPVGNVAEKLHKSKVLESFGLKGVYLTVGEAVTDISSIWKAQP >Vigun09g132300.1.v1.2 pep primary_assembly:ASM411807v1:9:29079734:29081414:-1 gene:Vigun09g132300.v1.2 transcript:Vigun09g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATSTISLLFFLLNLCLQGTFADYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDSDPRWCLPGSIIVTATNFCPPNFALANNNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPVSFRRVSCVKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSIKGSKTGWQTMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTLTSNNIVPANWAFGQTFEGQQF >Vigun10g059900.2.v1.2 pep primary_assembly:ASM411807v1:10:12103229:12107930:1 gene:Vigun10g059900.v1.2 transcript:Vigun10g059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASNVSAEAVVGSGDGEDELYEQLWKACAGPLVDVPRVGQRVFYFPQGHMEQLEASTNQELNQRIPLLHLPTKILCRVVNVHLLAEQETDEVYAQITLVPESNQDEPTSPDPCTAEPTRAPAHSFCKVLTASDTSTHGGFSVLRKHATECLPALDMSQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGDNGELRVGVRRLARQASSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIIGVNKYLEAVSTKFGVGMRFKMRFEGDDSAETDKRFSGTIVGIEDISPHWENSKWRSLKVQWDELAAVPRPERVSPWEIEPFVASASTPSVQPTIVKTKRPRPSSEVPDVDATSAASVFWDTDMPQPDTTQINVLAESKHNDNTGTWHHMQTDMNSKSNSNNTMLRNQTEGSWLSSPHSSCPSHLFQDTTDDSKSVSAWPVSKPHPSRLNNEHVLDQVDKESKVETATSYRLFGIDLIDHSRNTPAVEKASPHAVNVPKVTTEGCTSTLSQTDGGHMSEVPKASSKERKQEQQQVSPKESQSKQISRSRTKVQMQGVAVGRAVDLAMLDGYDQLINELEEMFDIKGQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCSMVRRIFICSSQDVKKMNCGSKLPISSVEDGTVISSDTTET >Vigun10g059900.1.v1.2 pep primary_assembly:ASM411807v1:10:12103021:12107930:1 gene:Vigun10g059900.v1.2 transcript:Vigun10g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRASNVSAEVVGSGDGEDELYEQLWKACAGPLVDVPRVGQRVFYFPQGHMEQLEASTNQELNQRIPLLHLPTKILCRVVNVHLLAEQETDEVYAQITLVPESNQDEPTSPDPCTAEPTRAPAHSFCKVLTASDTSTHGGFSVLRKHATECLPALDMSQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGDNGELRVGVRRLARQASSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIIGVNKYLEAVSTKFGVGMRFKMRFEGDDSAETDKRFSGTIVGIEDISPHWENSKWRSLKVQWDELAAVPRPERVSPWEIEPFVASASTPSVQPTIVKTKRPRPSSEVPDVDATSAASVFWDTDMPQPDTTQINVLAESKHNDNTGTWHHMQTDMNSKSNSNNTMLRNQTEGSWLSSPHSSCPSHLFQDTTDDSKSVSAWPVSKPHPSRLNNEHVLDQVDKESKVETATSYRLFGIDLIDHSRNTPAVEKASPHAVNVPKVTTEGCTSTLSQTDGGHMSEVPKASSKERKQEQQQVSPKESQSKQISRSRTKVQMQGVAVGRAVDLAMLDGYDQLINELEEMFDIKGQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCSMVRRIFICSSQDVKKMNCGSKLPISSVEDGTVISSDTTET >Vigun10g059900.3.v1.2 pep primary_assembly:ASM411807v1:10:12103665:12107930:1 gene:Vigun10g059900.v1.2 transcript:Vigun10g059900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEASTNQELNQRIPLLHLPTKILCRVVNVHLLAEQETDEVYAQITLVPESNQDEPTSPDPCTAEPTRAPAHSFCKVLTASDTSTHGGFSVLRKHATECLPALDMSQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGDNGELRVGVRRLARQASSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIIGVNKYLEAVSTKFGVGMRFKMRFEGDDSAETDKRFSGTIVGIEDISPHWENSKWRSLKVQWDELAAVPRPERVSPWEIEPFVASASTPSVQPTIVKTKRPRPSSEVPDVDATSAASVFWDTDMPQPDTTQINVLAESKHNDNTGTWHHMQTDMNSKSNSNNTMLRNQTEGSWLSSPHSSCPSHLFQDTTDDSKSVSAWPVSKPHPSRLNNEHVLDQVDKESKVETATSYRLFGIDLIDHSRNTPAVEKASPHAVNVPKVTTEGCTSTLSQTDGGHMSEVPKASSKERKQEQQQVSPKESQSKQISRSRTKVQMQGVAVGRAVDLAMLDGYDQLINELEEMFDIKGQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCSMVRRIFICSSQDVKKMNCGSKLPISSVEDGTVISSDTTET >Vigun11g166132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37349023:37349172:-1 gene:Vigun11g166132.v1.2 transcript:Vigun11g166132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKVYPFRTCTM >Vigun05g038600.2.v1.2 pep primary_assembly:ASM411807v1:5:3098163:3104279:-1 gene:Vigun05g038600.v1.2 transcript:Vigun05g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAALLTSVGINLGLCFIFFTLYSILRKQPCNLIVYAPRLVSERKREEGGQSNLERLLATTDWVSKAWETSEEQFLSTAGLDAFVFMRIFVFSLKIFTFGGIVGLLILLPINCMGSQLRDNSNFQNKSLDSFSISNVNNGSDRLWIHFCAAYVFTVVVCILLYYEYEYISSKRIACFYSSKPEPHHFSILVRGIPVPTGSNCTDIVEHFFQEYHPSTYHSHEVVRRSSKLQILITDAERLYRRLTQLKNNDDTPRRQRRDGCLGLFGHKVDMLDHYEKTLGDIADNVRIEQRSLAGKEVPAAFVSFKSRFGAAIALNIQESVNPTHWITEQAPEPQDVYWPFFSVTFIRRWISKLVAFVACNVLTMLFLIPVALVQGLTHLDQLETMFPSLKSILRMAVVSQVITGYLPILILQMFLSVVPPIMIMLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSAFYRLTIFLEPKEFPRVLAEAVPAQASFFIAYVVTFGWTNIASELFQLIPLLYNYFNVIFCGDSDTDDFEAPSIPYHSEIPRILFFDLLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLNVYVPKYHTGGEFWPTVHNYTIFSLILMHIIVIGIFGLKKLPLASALILPLPILTLLFNEYCRKRFFPIFKSYPTECLIKKDREDQNDPNMSEFYDKLASAYNDPALMPIKYSGRCGNNPRLPLLNNLKV >Vigun05g038600.1.v1.2 pep primary_assembly:ASM411807v1:5:3098162:3104649:-1 gene:Vigun05g038600.v1.2 transcript:Vigun05g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAALLTSVGINLGLCFIFFTLYSILRKQPCNLIVYAPRLVSERKREEGGQSNLERLLATTDWVSKAWETSEEQFLSTAGLDAFVFMRIFVFSLKIFTFGGIVGLLILLPINCMGSQLRDNSNFQNKSLDSFSISNVNNGSDRLWIHFCAAYVFTVVVCILLYYEYEYISSKRIACFYSSKPEPHHFSILVRGIPVPTGSNCTDIVEHFFQEYHPSTYHSHEVVRRSSKLQILITDAERLYRRLTQLKNNDDTPRRQRRDGCLGLFGHKVDMLDHYEKTLGDIADNVRIEQRSLAGKEVPAAFVSFKSRFGAAIALNIQESVNPTHWITEQAPEPQDVYWPFFSVTFIRRWISKLVAFVACNVLTMLFLIPVALVQGLTHLDQLETMFPSLKSILRMAVVSQVITGYLPILILQMFLSVVPPIMIMLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSAFYRLTIFLEPKEFPRVLAEAVPAQASFFIAYVVTFGWTNIASELFQLIPLLYNYFNVIFCGDSDTDDFEAPSIPYHSEIPRILFFDLLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLNVYVPKYHTGGEFWPTVHNYTIFSLILMHIIVIGIFGLKKLPLASALILPLPILTLLFNEYCRKRFFPIFKSYPTECLIKKDREDQNDPNMSEFYDKLASAYNDPALMPIKYSGRCGNNPRLPLLNNLKV >Vigun05g038600.4.v1.2 pep primary_assembly:ASM411807v1:5:3098162:3104602:-1 gene:Vigun05g038600.v1.2 transcript:Vigun05g038600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLRDNSNFQNKSLDSFSISNVNNGSDRLWIHFCAAYVFTVVVCILLYYEYEYISSKRIACFYSSKPEPHHFSILVRGIPVPTGSNCTDIVEHFFQEYHPSTYHSHEVVRRSSKLQILITDAERLYRRLTQLKNNDDTPRRQRRDGCLGLFGHKVDMLDHYEKTLGDIADNVRIEQRSLAGKEVPAAFVSFKSRFGAAIALNIQESVNPTHWITEQAPEPQDVYWPFFSVTFIRRWISKLVAFVACNVLTMLFLIPVALVQGLTHLDQLETMFPSLKSILRMAVVSQVITGYLPILILQMFLSVVPPIMIMLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSAFYRLTIFLEPKEFPRVLAEAVPAQASFFIAYVVTFGWTNIASELFQLIPLLYNYFNVIFCGDSDTDDFEAPSIPYHSEIPRILFFDLLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLNVYVPKYHTGGEFWPTVHNYTIFSLILMHIIVIGIFGLKKLPLASALILPLPILTLLFNEYCRKRFFPIFKSYPTECLIKKDREDQNDPNMSEFYDKLASAYNDPALMPIKYSGRCGNNPRLPLLNNLKV >Vigun05g038600.3.v1.2 pep primary_assembly:ASM411807v1:5:3098163:3104786:-1 gene:Vigun05g038600.v1.2 transcript:Vigun05g038600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAALLTSVGINLGLCFIFFTLYSILRKQPCNLIVYAPRLVSERKREEGGQSNLERLLATTDWVSKAWETSEEQFLSTAGLDAFVFMRIFVFSLKIFTFGGIVGLLILLPINCMGSQLRDNSNFQNKSLDSFSISNVNNGSDRLWIHFCAAYVFTVVVCILLYYEYEYISSKRIACFYSSKPEPHHFSILVRGIPVPTGSNCTDIVEHFFQEYHPSTYHSHEVVRRSSKLQILITDAERLYRRLTQLKNNDDTPRRQRRDGCLGLFGHKVDMLDHYEKTLGDIADNVRIEQRSLAGKEVPAAFVSFKSRFGAAIALNIQESVNPTHWITEQAPEPQDVYWPFFSVTFIRRWISKLVAFVACNVLTMLFLIPVALVQGLTHLDQLETMFPSLKSILRMAVVSQVITGYLPILILQMFLSVVPPIMIMLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSAFYRLTIFLEPKEFPRVLAEAVPAQASFFIAYVVTFGWTNIASELFQLIPLLYNYFNVIFCGDSDTDDFEAPSIPYHSEIPRILFFDLLGVTYFILAPLILPFLLVYFCLGYIIYRNQLLNVYVPKYHTGGEFWPTVHNYTIFSLILMHIIVIGIFGLKKLPLASALILPLPILTLLFNEYCRKRFFPIFKSYPTECLIKKDREDQNDPNMSEFYDKLASAYNDPALMPIKYSGRCGNNPRLPLLNNLKV >Vigun03g187300.1.v1.2 pep primary_assembly:ASM411807v1:3:25016114:25020197:1 gene:Vigun03g187300.v1.2 transcript:Vigun03g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRNYDDNNPTWDAMHGKTPSANVGSLLRQPSSVYSLTFDEFQSTMGGVGKEFGSMNMDELLKNIWTAEETQALAFSAGIGEGHNLNSVSGGLQRQGSLTLPRTLSQKTVDEVWRDLIKDSGGTNDGNGNGNGNGGSSIPQRQPTLGEMTLEEFLVRAGVVREDVPQQQQIGKPNNSGWFGNFPRPNNNNGLLLGFQQPNRSNGNMGERVVETNNNLAPKQPPPLPLNSNHSHRPTQHPPPLFPKPANVAFAAPMHLLNSAPLASPGRRGGLIEHSLNVGMVGLASGNPEASPSRKISSDVITRTNVDNSSLSPVPYAINRGRKCSAIEKVVERRQRRMIKNRESAARSRARKQAYTFELEAEVAKLKEINRELQKKQAEIKEMQKNKDSDPACQPRISKIQCLRRTLTGPW >Vigun01g026550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3124414:3125794:-1 gene:Vigun01g026550.v1.2 transcript:Vigun01g026550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNEAPKRLRRDREKPVLDNNMPKKLKYWVEEKSSENNTPQIKYDVFVSFRGEDIRHTLLSHLIGAFQRKKICAFIDAEL >Vigun02g037300.1.v1.2 pep primary_assembly:ASM411807v1:2:15457908:15459349:-1 gene:Vigun02g037300.v1.2 transcript:Vigun02g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSLKMISSLKLPHSTSLSPLQTQNKLDSTSLFHSFPTKTLKFSPTLVSTSRRSTNHTSTTAFFFNNQKQHQDSQKPEKVQELFVYEINERDRGSPAFLKLSQKEVNSLGDLVPFSNKLYSGNLEKRLGITAGLCVLIQHVPEKKGDRYEAIYSFYFGDYGHISVQGAYLTYQDTYLAVTGGSGIFEGVSGQVKLQQLVFPFKLFYTFYLKGIPDLPDELLGNPVQPSPTVEPSPAAKAAQPHACITNFTN >Vigun02g145900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29394342:29396152:-1 gene:Vigun02g145900.v1.2 transcript:Vigun02g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQGRTGGEREPTRKRSGVAVAVDGERRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLVGEGAAALVAGCDMSAASIRKKATEVGARVDALQASLHHHHVAPPPPELLSRGDGGGSGDFAVDLNKMPEPESEDCEWDVN >VigunL007284.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000192.1:9792:15896:-1 gene:VigunL007284.v1.2 transcript:VigunL007284.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVEEK >Vigun01g054750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:10489673:10489927:-1 gene:Vigun01g054750.v1.2 transcript:Vigun01g054750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFPSSNNFHLLHSCLLSLILCKTKNNTFIHHHSHIIDPPSSSFLHSSSCSSSICTKTKKHKDSRPAHTTQSHTSMQNSKIGK >Vigun01g141000.1.v1.2 pep primary_assembly:ASM411807v1:1:32185916:32195772:1 gene:Vigun01g141000.v1.2 transcript:Vigun01g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNDVALVSSEMEKFLCELLLDSSQPISERFRALFSLRNLKGPAPRDALILATRDESNLLAHEAAFALGQMQEFEAIPALTSVLNDLSLHPIVRHEAAEALGAIGSEGNVPLLKNSLDSDPAEEVRETCELALQRIQHLKDAGNTDELAATELSPFKSVDPAVPATSCASVQQLREVLLDEEKGMHERYAALFALRNDGGHEAVASIIDSLSSKSALLRHEVAYVLGQLQDKAASAALSNILKDVKEHPMVRHEAAEALGSIADDQSVALLEEFTADPEPLVSQSCEVALSMLKFERSGKSFEFLFMPTPTVH >Vigun05g272900.1.v1.2 pep primary_assembly:ASM411807v1:5:46366519:46369625:-1 gene:Vigun05g272900.v1.2 transcript:Vigun05g272900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVGNKFRLGHKLGSGASGEIYLGVNIQTDEEVAVKLESAKAKHPQTLHELKFYKIVQGRTGIPKVRWFGIEGDYNVLVMELLGPSLEDLFNFCNRKLSLKTVLMLADQMINRVELVHSRSFLHRDLKPGNFLMGLGRRANQVYIIDFGLAKKYRDNYRHIPYRENKNLIGTARYASMKAHLGI >Vigun08g143300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31575996:31578805:-1 gene:Vigun08g143300.v1.2 transcript:Vigun08g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMALNFKTKLYHDFESLCSCVGVHLHRLPFSSLSPFPYEDHDLLCAISEAIQDSQNKHNHDYSQNPRLRRILPSLTTRHVANLITLNPLSLSPSSLLSFFNYLASRPPFRHTLHSYCTMLHFLYLHRMLPEAHSLLSFLVSRKGSNSASSLFSSILRTMPLRHHSAGVVFDALMCAYVDSGFIPDAVECFRLVLKNKFPAPVRGCENLLRHVVRLKPLEVERAWGLYLEVLDSGYPARIYFFNVLMHGFCKVGDVGNARLVFDEIPKRGLRPTVVSFNTLISGCCKVGNVEEGFRLKGVMESEGIYPDVFTFSALINGLCKEGRLDEGSLLFDEMCGRGLVPNGVTFTTLIDGQCKGGKVDLALKNFQMMLAQGVRPDLVTYNALLNGLCKVGDLKEARRLLDGMSASGLKPDKITFTTLIDGFCKDGEMESALEIKRRMVEEGIELDDVAFTALISGLCRDGRVHDAERMLRDMLSAGFKPDDPTYTMLIDCFCKKGDVKEAFKLLKEMQSNGHRPGVVTYNALMNGMCKQGQMKNAKMLLDAMLNLGVAPNDITYNILLDGHCKHGSSLDVDTFNSEKGLVADYATYKALVNESSKTSKDRLKG >Vigun05g174566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31953630:31955212:1 gene:Vigun05g174566.v1.2 transcript:Vigun05g174566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFKSFRAFTLSLDLGFSFNKVYTKLSNTFFSICMKSKLWRNKLDSQYGSSKKMLLFFHCLTSSLDLFPLPSKITPLPNVTLIFSTCLKMSDPDNFSGGFLVSVLPSKRTRFNLNLWFLLKKRRCPIKHHFLL >Vigun04g148100.1.v1.2 pep primary_assembly:ASM411807v1:4:36367442:36370167:1 gene:Vigun04g148100.v1.2 transcript:Vigun04g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEPIDTQDSEDLNEHQPNNSDAEEPNNWESNEQEPNNWELNQQEPNEPYNWDIEQEPVWHEQEANYNEWDGTFNEVYHCYPLSAIDKSNFENGGKVIMPPSALILLASMDIAYPMLFELRNPSDGKVSHCGVQEFTADLEGDSVEVRSIELSRGTYVKLQPHTKDFFEISNPRVILEISLRDHFCLTSGDTIMIAYNDKQYYIDIVETKPSHAVTIVETDCEVDFAQPLDYEEPEKPMLSSTSSVEDSATKSAKMTPFTGTARRLDDKPCTQSVQETSSSTPKEQQTENETKKSNFKTRKPGKLVFGSNLNVPKASTKNKTTEESYKEKEELPKFQAFSGKKYSLMD >Vigun11g156100.1.v1.2 pep primary_assembly:ASM411807v1:11:36520820:36526063:1 gene:Vigun11g156100.v1.2 transcript:Vigun11g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKKNESKGFFSAMSSIFTNAMHRSVNGLLGYEGVEVINPEGGKEDAEEEAERGRWKQEERDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADESEDPYMRLVYATSWAISVYFAYQRTWKPFNPILGETYEMTNHGGITFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYIYNSSEEPKILMTGKWNESMSYQPCDSEGEPLPNTELKEVWHVADVPKNDKFQYTYFAHKINSFDTAPRKLLASDSRLRPDRYALEMGDLSKSGAEKSSLEERQRAEKRTREEKGHKFTPRWFDLTEEVTATPWGDLEIYQYNGKYIEHRAAADNSGGIDDCDVKSIEFNPWQFGNLAAE >Vigun11g154000.2.v1.2 pep primary_assembly:ASM411807v1:11:36328193:36329332:1 gene:Vigun11g154000.v1.2 transcript:Vigun11g154000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIESRDWKTKLRERGWEAEQRSITHQIVTMATNQKAVFGYVVVYAKDVAASVAFYAKAFGYDVRRLDESHRWGELETGSTTIAFTPIHQHETDNLTGTVHTSGSGGERPPMEACFVYSDVDAAFKCAVENGAVAVREPEEKEWGQKVGYVRDIDGNVVRMGSYVKPPK >Vigun11g154000.1.v1.2 pep primary_assembly:ASM411807v1:11:36325350:36329326:1 gene:Vigun11g154000.v1.2 transcript:Vigun11g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIESRDWKTKLRERGWEAEQRSITHQIVTMATNQKAVFGYVVVYAKDVAASVAFYAKAFGYDVRRLDESHRWGELETGSTTIAFTPIHQHETDNLTGTVHTSGSGGERPPMEACFVYSDVDAAFKCAVENGAVAVREPEEKEWGQKVGYVRDIDGNVVRMGSYVKPPK >Vigun08g132950.1.v1.2 pep primary_assembly:ASM411807v1:8:30401030:30401704:1 gene:Vigun08g132950.v1.2 transcript:Vigun08g132950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHSSSSCSCNSWGTQNPRLSNHGGGVLSHGGAPICNCGEVVVLRTAQTTKNGGKQFWGCPNYKRGSEAFIGCNYFKWCSEDAADERDNTIIR >Vigun09g264250.1.v1.2 pep primary_assembly:ASM411807v1:9:42839246:42842996:1 gene:Vigun09g264250.v1.2 transcript:Vigun09g264250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAESNEEENAVLMKRYGEHIVSEPNLTVSEPNQIVSEPDQIVSEPNWTTVSKPDRTVSEPDQIVSKPDQTVSEPNWTFIESDRTVSEPNQTISEPNLTISEPNQTSDRTVSEPDQTISEPDQTISEPDRTISEPDQTVSESDRITVSESDQTVNEPDYIVSERNQTVNEPNQTISELNPTVSEPDHDSEPDRTIGESDLTVNEADLTISEADQIVNESK >Vigun05g179825.1.v1.2 pep primary_assembly:ASM411807v1:5:34053954:34055938:1 gene:Vigun05g179825.v1.2 transcript:Vigun05g179825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYCEESVSHLFFSCRVSQRVLNMYDTWVNCQHFYLLNPNSKKNLIWRGMWLTIIREVGKHINGVSFRQRKVHLKGIFYLAQVKAWTWMKSISVSRVQNTFI >Vigun03g051800.1.v1.2 pep primary_assembly:ASM411807v1:3:4200674:4203750:-1 gene:Vigun03g051800.v1.2 transcript:Vigun03g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSPCKPPPSSASSLTKEQSQVELELRLLEALEIYPPMRLQGVHRHFVLYGLMEFLKRKFDRNFSAEEVLQLLDRFYNVEMLKTDDDEIDLLTHEEEFCLPQSYFVKEEP >Vigun03g132100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12876912:12878875:-1 gene:Vigun03g132100.v1.2 transcript:Vigun03g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTYAKLILSPTKTLLLNFYSFPKTLTTAASARDEYFAVIHHVSNIVRRDFYLERTLNKLRIHVTPELVFRVLRACSTAPTPSLRFFNWARSHPTYTPTSLEFEQIVTTLAHANNYQTMWSLIRQVTLHHRLSLSPAAVATLIDAYGHHRHIDQAVEVFNKAAILNCPQTLPLYNALLKSLCHNRLFHGAYALLRRMLRKGVHPDKTTYAVLVNAWCSSGKLREAKLFLREMSEKGFNPPFRGRDLLVEGLLNAGYVESAKGMVRKMIKEGIVPDVGTFNAVVETVCKEDVQFCVDLYHEVCALGMVPDVNTYKILIPAVSKSGFIDEAFRLLNNFVEDGNRPFPSLYAPVIKALCRRGQFDDAFCFFGDMKAKAHPPNRPLYTMLITMCGRAGKFVEAANYLFEMTEMGLVPISRCFDMVTDGLKNSGKHDLASRVQQLEVSSRGV >Vigun06g160500.3.v1.2 pep primary_assembly:ASM411807v1:6:28295438:28298560:-1 gene:Vigun06g160500.v1.2 transcript:Vigun06g160500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWRLGQSMTRRLGHGDKKSVAFRYFSNEAELKRTVLYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVSHMCGLSLKGKDSVQFLEKLVIADVAGLAPGAGSLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEDHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKMYFGEFRVLDINGVQCFLTRTGYTGEDGFEISIPSENAVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDLEQHITPIEAGLTWAIGKRRRAEGGFLGADVILKQLEEGPKIRRVGFFSSGPPPRSHSEIQDEGGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVQIIIRGKSNEGVVTKMPFVPTKYYKPS >Vigun06g160500.1.v1.2 pep primary_assembly:ASM411807v1:6:28295406:28298560:-1 gene:Vigun06g160500.v1.2 transcript:Vigun06g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWRLGQSMTRRLGHGDKKSVAFRYFSNEAELKRTVLYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVSHMCGLSLKGKDSVQFLEKLVIADVAGLAPGAGSLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEDHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKMYFGEFRVLDINGVQCFLTRTGYTGEDGFEISIPSENAVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDLEQHITPIEAGLTWAIGKRRRAEGGFLGADVILKQLEEGPKIRRVGFFSSGPPPRSHSEIQDEGGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVQIIIRGKSNEGVVTKMPFVPTKYYKPS >Vigun06g160500.2.v1.2 pep primary_assembly:ASM411807v1:6:28295406:28298560:-1 gene:Vigun06g160500.v1.2 transcript:Vigun06g160500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWRLGQSMTRRLGHGDKKSVAFRYFSNEAELKRTVLYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVSHMCGLSLKGKDSVQFLEKLVIADVAGLAPGAGSLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEDHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKMYFGEFRVLDINGVQCFLTRTGYTGEDGFEISIPSENAVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDLEQHITPIEAGLTWAIGKRRRAEGGFLGADVILKQLEEGPKIRRVGFFSSGPPPRSHSEIQDEGGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVQIIIRGKSNEGVVTKMPFVPTKYYKPS >Vigun04g018900.1.v1.2 pep primary_assembly:ASM411807v1:4:1408496:1411009:1 gene:Vigun04g018900.v1.2 transcript:Vigun04g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALSTALALSPNQVFNAVNPGDKNFALKYCKDRTVVMTMAATGILGKGGGLVEKPTIETTTPGRESEFDVRKSRKTSPPFRVLLHNDNFNKREYVVQVLMKVIPGMTIDNAVNIMQEAHHNGLAVVITCAQVDAEDHCMQLRGNGLLSSIEPADGGGC >Vigun03g129100.1.v1.2 pep primary_assembly:ASM411807v1:3:12486628:12489771:1 gene:Vigun03g129100.v1.2 transcript:Vigun03g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENDITLTWENLQARVTSGKNRKIILNGLTGYAQPGKLLAIIGPSGCGKSTLLDALAGRLGSNIKQTGKILINGLKQELAYGTSGYVTQDDAMLSCLTAGETLYYSAELQFPNSMSKAEKKERADATLREMGLQDAINTRVGGWSCKGLSGGQKRRLSICVEILTHPTLLFLDEPTSGLDSAASYYVMTGIASLNLKDGIQRTIVTSIHQPSSEVFQLFHHLCLLSSGETVYFGPASDANQFFSSNGFPCPPLYNPSDHYLRIINRDFNQDADEGITTEEATNILVSSYKSSEYRNHVQNEIAKISENDSGAVGRKKIHAAFLTQCLVLLRRSSKQIYRDISNYWLRLTVFIVVSVSLGSIFYHVGASMRSIQERGSLLCFYVSVLCFMTLVGGFSPLIEEMKVFKRERLNGHYGITAFLVGSTFSAVPYTLLVSFIPGAIVNYLSGLHKGLENFVYFASVLFAIVMWVESLMMVVGSIFPNFVMGVITAGGVEGLMILTSGFYRLPNDLPKPLWKYPFYHVSFLNYAFQGLLKNEFEGLMFCSDEDGGARSLNGRDILAETWHVQMGHSKWVDLAIMFGMIVLYRVLFLVITKCKEKLKHVHIGTSGPQAKVFSRTNINDL >Vigun02g179600.3.v1.2 pep primary_assembly:ASM411807v1:2:32064215:32070454:-1 gene:Vigun02g179600.v1.2 transcript:Vigun02g179600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRNILLSALSVGVGVGVGIGLSSGQHLSKWGVNGNSSSDRVTPENLEQEMLRMVVDGRESSVTFDQFPFYLREQTRVMLTSAAYVHLKNAEVSRYTRNLAPASRTIMLSGPAELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQNKYGYANKRSTFKRSTSETTLERISDLFESFSMFSQKEELKGKIHRQPSGGDLRSMESEGFCNPSKMRRNASASANISNLALQSNPTNSASAPQKNITNWPFDEKLLVQTLYKVLMYVSKTYPIVLYLRDVDKLLYRSQRTYNLFQKMLNKLHGRILILGSRVLDSGSDSKEVDERLSSVFPYNIEISPPEDESYHVSWKSQFEENMKTIQTQDNKNHIMEVLAANDLYCDDLGSICVADTIAFSNHIEEIVVSAISYHLMSNIDPEYRNGKLVIPCSSLSHALGIFQEGKFSTRDTLKLEAQAVTTEPREEAAVKPETASEKPAPEIKAEADTSISVGKTDGENAFPASKVEVPPDNEFEKRIRPEVIPASEIGVKFSDVGALDETKESLQELVMLPLRRPDLFRGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTVTSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTLWDGLMTNPSERILVLAATNRPFDLDEAIIRRFERRIMVGMPSAENREKILTTLLRKEKVDKKFDFKELATMTEGYSGSDLKEKRQKGVEEQNNNAGNTQDGVEAKEEVKQERVISLRPLNMQDFKEARSQVSASYAAEGAGMSELKQWNEMYGEGGSRKKEQLSYFL >Vigun02g179600.2.v1.2 pep primary_assembly:ASM411807v1:2:32064215:32070454:-1 gene:Vigun02g179600.v1.2 transcript:Vigun02g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRNILLSALSVGVGVGVGIGLSSGQHLSKWGVNGNSSSDRVTPENLEQEMLRMVVDGRESSVTFDQFPFYLREQTRVMLTSAAYVHLKNAEVSRYTRNLAPASRTIMLSGPAELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQNKYGYANKRSTFKRSTSETTLERISDLFESFSMFSQKEELKGKIHRQPSGGDLRSMESEGFCNPSKMRRNASASANISNLALQSNPTNSAPQKNITNWPFDEKLLVQTLYKVLMYVSKTYPIVLYLRDVDKLLYRSQRTYNLFQKMLNKLHGRILILGSRVLDSGSDSKEVDERLSSVFPYNIEISPPEDESYHVSWKSQFEENMKTIQTQDNKNHIMEVLAANDLYCDDLGSICVADTIAFSNHIEEIVVSAISYHLMSNIDPEYRNGKLVIPCSSLSHALGIFQEGKFSTRDTLKLEAQAVTTEPREEAAVKPETASEKPAPEIKAEADTSISVGKTDGENAFPASKVEVPPDNEFEKRIRPEVIPASEIGVKFSDVGALDETKESLQELVMLPLRRPDLFRGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTVTSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTLWDGLMTNPSERILVLAATNRPFDLDEAIIRRFERRIMVGMPSAENREKILTTLLRKEKVDKKFDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERIKMLEKRQKGVEEQNNNAGNTQDGVEAKEEVKQERVISLRPLNMQDFKEARSQVSASYAAEGAGMSELKQWNEMYGEGGSRKKEQLSYFL >Vigun02g179600.4.v1.2 pep primary_assembly:ASM411807v1:2:32064215:32067603:-1 gene:Vigun02g179600.v1.2 transcript:Vigun02g179600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSKTYPIVLYLRDVDKLLYRSQRTYNLFQKMLNKLHGRILILGSRVLDSGSDSKEVDERLSSVFPYNIEISPPEDESYHVSWKSQFEENMKTIQTQDNKNHIMEVLAANDLYCDDLGSICVADTIAFSNHIEEIVVSAISYHLMSNIDPEYRNGKLVIPCSSLSHALGIFQEGKFSTRDTLKLEAQAVTTEPREEAAVKPETASEKPAPEIKAEADTSISVGKTDGENAFPASKVEVPPDNEFEKRIRPEVIPASEIGVKFSDVGALDETKESLQELVMLPLRRPDLFRGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTVTSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTLWDGLMTNPSERILVLAATNRPFDLDEAIIRRFERRIMVGMPSAENREKILTTLLRKEKVDKKFDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERIKMLEKRQKGVEEQNNNAGNTQDGVEAKEEVKQERVISLRPLNMQDFKEARSQVSASYAAEGAGMSELKQWNEMYGEGGSRKKEQLSYFL >Vigun02g179600.1.v1.2 pep primary_assembly:ASM411807v1:2:32064215:32070454:-1 gene:Vigun02g179600.v1.2 transcript:Vigun02g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRNILLSALSVGVGVGVGIGLSSGQHLSKWGVNGNSSSDRVTPENLEQEMLRMVVDGRESSVTFDQFPFYLREQTRVMLTSAAYVHLKNAEVSRYTRNLAPASRTIMLSGPAELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQNKYGYANKRSTFKRSTSETTLERISDLFESFSMFSQKEELKGKIHRQPSGGDLRSMESEGFCNPSKMRRNASASANISNLALQSNPTNSASAPQKNITNWPFDEKLLVQTLYKVLMYVSKTYPIVLYLRDVDKLLYRSQRTYNLFQKMLNKLHGRILILGSRVLDSGSDSKEVDERLSSVFPYNIEISPPEDESYHVSWKSQFEENMKTIQTQDNKNHIMEVLAANDLYCDDLGSICVADTIAFSNHIEEIVVSAISYHLMSNIDPEYRNGKLVIPCSSLSHALGIFQEGKFSTRDTLKLEAQAVTTEPREEAAVKPETASEKPAPEIKAEADTSISVGKTDGENAFPASKVEVPPDNEFEKRIRPEVIPASEIGVKFSDVGALDETKESLQELVMLPLRRPDLFRGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTVTSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTLWDGLMTNPSERILVLAATNRPFDLDEAIIRRFERRIMVGMPSAENREKILTTLLRKEKVDKKFDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERIKMLEKRQKGVEEQNNNAGNTQDGVEAKEEVKQERVISLRPLNMQDFKEARSQVSASYAAEGAGMSELKQWNEMYGEGGSRKKEQLSYFL >Vigun03g057900.4.v1.2 pep primary_assembly:ASM411807v1:3:4690693:4694837:1 gene:Vigun03g057900.v1.2 transcript:Vigun03g057900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMVRRNLITHYTKFASSWSVLSRHSSIHNPSLESVSPFHSQSGGGGGGGGGLPSYMRGAVYWEPNKPLSIEEFHMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFSSPCVLGHEITGEVVEHGPQSDSKTIERLPVGSRVVGSFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPANGVAVLPESLPYPKSAILGCAVFTAYGAMAHAAQVRPGDSVAVIGTGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATHTVNSAKEDPVEKINEITGGKGVDVAVEALGRPQTFAQCTLSVKDGGKAVMIGLAQAGSLGEVDINRLVRRKIQVIGSYGGRARQDLPKLIRLAETGIFNLDDAVSRTYTFEEAAKAFQDLNEGKIIGRAVIEII >Vigun03g057900.2.v1.2 pep primary_assembly:ASM411807v1:3:4690668:4694837:1 gene:Vigun03g057900.v1.2 transcript:Vigun03g057900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMVRRNLITHYTKFASSWSVLSRHSSIHNPSLESVSPFHSQSGGGGGGGGGLPSYMRGAVYWEPNKPLSIEEFHMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFSSPCVLGHEITGEVVEHGPQSDSKTIERLPVGSRVVGSFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPANGVAVLPESLPYPKSAILGCAVFTAYGAMAHAAQVRPGDSVAVIGTGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATHTVNSAKEDPVEKINEITGGKGVDVAVEALGRPQTFAQCTLSVKDGGKAVMIGLAQAGSLGEVDINRLVRRKIQVIGSYGGRARQDLPKLIRLAETGIFNLDDAVSRTYTFEEAAKAFQDLNEGKIIGRAVIEII >Vigun03g057900.1.v1.2 pep primary_assembly:ASM411807v1:3:4690783:4694837:1 gene:Vigun03g057900.v1.2 transcript:Vigun03g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDVFHCCLDLVYNSYRAVIIIHLTQCSQVVVIPRSKLLQKFHKMALAMVRRNLITHYTKFASSWSVLSRHSSIHNPSLESVSPFHSQSGGGGGGGGGLPSYMRGAVYWEPNKPLSIEEFHMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFSSPCVLGHEITGEVVEHGPQSDSKTIERLPVGSRVVGSFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPANGVAVLPESLPYPKSAILGCAVFTAYGAMAHAAQVRPGDSVAVIGTGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATHTVNSAKEDPVEKINEITGGKGVDVAVEALGRPQTFAQCTLSVKDGGKAVMIGLAQAGSLGEVDINRLVRRKIQVIGSYGGRARQDLPKLIRLAETGIFNLDDAVSRTYTFEEAAKAFQDLNEGKIIGRAVIEII >Vigun03g057900.3.v1.2 pep primary_assembly:ASM411807v1:3:4690531:4694837:1 gene:Vigun03g057900.v1.2 transcript:Vigun03g057900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMVRRNLITHYTKFASSWSVLSRHSSIHNPSLESVSPFHSQSGGGGGGGGGLPSYMRGAVYWEPNKPLSIEEFHMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFSSPCVLGHEITGEVVEHGPQSDSKTIERLPVGSRVVGSFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPANGVAVLPESLPYPKSAILGCAVFTAYGAMAHAAQVRPGDSVAVIGTGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATHTVNSAKEDPVEKINEITGGKGVDVAVEALGRPQTFAQCTLSVKDGGKAVMIGLAQAGSLGEVDINRLVRRKIQVIGSYGGRARQDLPKLIRLAETGIFNLDDAVSRTYTFEEAAKAFQDLNEGKIIGRAVIEII >Vigun03g015100.1.v1.2 pep primary_assembly:ASM411807v1:3:1044464:1048477:1 gene:Vigun03g015100.v1.2 transcript:Vigun03g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFFNCFIGYCLLYSLLLVHGKPLSCNETPYPSVCKHYVETTNTLSTLDARSSSFHDLALKVTVDQAMQVYKLVSNMDLNNFKDKRSKSAWEDCLELYEDTLYQLKRSVNSNNLNDRLTWQSASIANHQTCQNGFTEFNLPTHLNYFPNMLSNFSKLLSNSLSLSKAMTLTSLSSTKQNGGRKLLSDGFPSWISHSDRRLLQETTSKADVVVAQDGTGNYKTISEGVAAAAKLSGKGRVVVHVKAGVYKESIDIKRTVKNLMIIGDGMGATIVTGSDNAQDGSTTFRSATFAVSGDGFIARDMTFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVYANRQFYRDCDIYGTVDFIFGDAVSVLQNCNIYVRKPMGNQQNTVTAQGRTDPNENTGIIIHNCLITAAGDLKAVQGSFRTYLGRPWQKYSRTVIMKTALDGLINPAGWSEWSGNFALGTLYYGEHANTGAGAGTGGRVKWGGFRVISSAEAVKFTVGEFLAGGSWIPGSGVPFDAGL >Vigun10g192400.1.v1.2 pep primary_assembly:ASM411807v1:10:40647879:40659373:1 gene:Vigun10g192400.v1.2 transcript:Vigun10g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKREQDNEDSIIRGLSRRYSKCGSLKWWTSSFSYPSIDFQLRKGECPPLLDLCIQKINEDIDKYNSFSMLPRDISQLIFNNLVYSRRLTSVSLEAFRDCALQDLYLGEYDGVDDNWMDVISSQGSSLLSVDLSGSDVTDFGLTYLKDCESIISLNLNYCDQISDRGLECISGLSNLTSLSFRRNDSVSAKGMSAFSGLVNLVKLDLERCPGIHGGLVHLRGLTKLESLNLKWCNCITDYDMKPLSELASLKSLEISSSKVTDFGVSFLRGLQKLALLNLEGCLVTAACLDSLAELPALSNLNLNRCNISDNGCKKFSRLENLKVLNLGFNVITDTCLIHLKGLTKLESLNLDSCKIGDEGLVNLAGHKRLNCLELSDTEVGSNGLQHLSGLSNLQKINLSFTMVSDGGLSKLCGLSSLKSLNLDAYQISDIGLSNLTSLTGLTDLDLFGARITDFGTNYLKKFKNLRSLEICGGALTDAGVKNIKELSSLVCLNLSQNSNLTDKTLELISGLTGLVSLNVSNSRITNAGLQHLKALKNLRSLTLESCKVTANDMKKLKSIYLPNLVSFRPG >Vigun10g192400.2.v1.2 pep primary_assembly:ASM411807v1:10:40647879:40659238:1 gene:Vigun10g192400.v1.2 transcript:Vigun10g192400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKREQDNEDSIIRGLSRRYSKCGSLKWWTSSFSYPSIDFQLRKGECPPLLDLCIQKINEDIDKYNSFSMLPRDISQLIFNNLVYSRRLTSVSLEAFRDCALQDLYLGEYDGVDDNWMDVISSQGSSLLSVDLSGSDVTDFGLTYLKDCESIISLNLNYCDQISDRGLECISGLSNLTSLSFRRNDSVSAKGMSAFSGLVNLVKLDLERCPGIHGGLVHLRGLTKLESLNLKWCNCITDYDMKPLSELASLKSLEISSSKVTDFGVSFLRGLQKLALLNLEGCLVTAACLDSLAELPALSNLNLNRCNISDNGCKKFSRLENLKVLNLGFNVITDTCLIHLKGLTKLESLNLDSCKIGDEGLVNLAGHKRLNCLELSDTEVGSNGLQHLSGLSNLQKINLSFTMVSDGGLSKLCGLSSLKSLNLDAYQISDIGLSNLTSLTGLTDLDLFGARITDFGTNYLKKFKNLRSLEICGGALTDAGVKNIKELSSLVCLNLSQNSNLTDKTLELISGLTGLVSLNVSNSRITNAGLQHLKALKNLRSLTLESCKVTANDMKKLKSIYLPNLVSFRPG >Vigun03g255900.4.v1.2 pep primary_assembly:ASM411807v1:3:42220136:42222550:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFRPYGRDFLGGKPTGRFSNGRIPSDFLAEKFGIKETLPPYLDPTLVIEDLLTGVSFASAGSGYDPLTTELAAVLSVEDQLNMFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.8.v1.2 pep primary_assembly:ASM411807v1:3:42220136:42222317:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.7.v1.2 pep primary_assembly:ASM411807v1:3:42220136:42222317:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.2.v1.2 pep primary_assembly:ASM411807v1:3:42220137:42222550:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFRPYGRDFLGGKPTGRFSNGRIPSDFLAEKFGIKETLPPYLDPTLVIEDLLTGVSFASAGSGYDPLTTELAAVLSVEDQLNMFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.3.v1.2 pep primary_assembly:ASM411807v1:3:42220137:42222550:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFRPYGRDFLGGKPTGRFSNGRIPSDFLAEKFGIKETLPPYLDPTLVIEDLLTGVSFASAGSGYDPLTTELAAVLSVEDQLNMFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.5.v1.2 pep primary_assembly:ASM411807v1:3:42220136:42222352:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFRPYGRDFLGGKPTGRFSNGRIPSDFLAEKFGIKETLPPYLDPTLVIEDLLTGVSFASAGSGYDPLTTELAAVLSVEDQLNMFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.1.v1.2 pep primary_assembly:ASM411807v1:3:42220137:42222550:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLFTLKSLNVCLFVVLFYISGVTAKSQTNYSKFAFPAVIAFGDSILDTGNNDYIETIMKADFRPYGRDFLGGKPTGRFSNGRIPSDFLAEKFGIKETLPPYLDPTLVIEDLLTGVSFASAGSGYDPLTTELAAVLSVEDQLNMFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun03g255900.6.v1.2 pep primary_assembly:ASM411807v1:3:42220136:42222550:-1 gene:Vigun03g255900.v1.2 transcript:Vigun03g255900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEYIEKLKADAGKERTAFILAESIFFISMGSNDVSVTYFMSPYRRNECSVEEYTSMLVKISSDFVQELYKYGARKIGVLSLAPLGCVPLQRTLGGGGERNCVESINQAAMVFNSKLSSSIEALNKSLPKARLVYLDNYSELNEIIQHYNKFGFEAGDTACCGVANIEAGILCNSFSLKICQNATKYVFWDSIHPTERTYNMLVTSIIGKNVDKFA >Vigun10g188800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40417630:40419593:1 gene:Vigun10g188800.v1.2 transcript:Vigun10g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLSPLRLKSPILGMSIWNNLPLDLLAKVFSFLSPDSLAIARSVCKNWHTCSKAYPLSPTTTSSTTTTTSSWFLALPIRNHRACCYAHNPTIDKWHQLSLPLPSIRPIASIGSLLLLRVTNSTTLQLGLFNPFTGEFKHLPRLRAARTNPAVGVKVSESSNSSHDDVVFPSFRVYVAGGMSEAAAEGGATYETKVEMYDSRIETWRVVGSTPVEFAVRLTVWTPNENVCIGETLYWVTSARAYSVVGYDVGRNGWRELGVPMAEKLEFASLVKRNGALGLVGGTCGGSGCIWGLGEGDDKWCLVDEVPLQLGLRLLGGKRVWESVKCVGNEDCICLYRDLGSGMVACRRVGCEWVWVWIYGCDNLKGKPLPNSAIRGALLHPTLASSSLIF >Vigun09g116350.1.v1.2 pep primary_assembly:ASM411807v1:9:25458442:25458849:1 gene:Vigun09g116350.v1.2 transcript:Vigun09g116350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAWYYNEELDLEETTSRTKRKGQCSSSTGTKSKRRNIREKDEIVASIKKVAESLKQLSHVINDTLDEKDIEEVVDEVKLIPDLDKQEWAKAVKLLANDLNQLVIMRALPIQKKKDYILAFIS >Vigun01g071700.1.v1.2 pep primary_assembly:ASM411807v1:1:19563186:19567421:-1 gene:Vigun01g071700.v1.2 transcript:Vigun01g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDGKEFAWDPRGLELANGEGQRNEAASVDLRLGEEKSVPDVAKEPRDSKTVSSPSGSSKRSRLQNGSQNMCCSVDGCTSDLSDCREYHRRHRVCEKHSKTPVVLVGGKQQRFCQQCSRFHSLGEFDEVKRSCRKRLDGHNRRRRKPQPPSLFMAAEKFMYNYKGPRILHFGSPETYVNPIMRSMWSATAKTGAESGYDPPRLLYRIDKHKQDKGHALWQENDPIVGNANEAMLGAPVCQPIHGTITPSTGGKSSRKHSSDAKPGSFDSGCALYLLSTLQSQSPESSMVQSSITCPMQSPSGSVHFDTVNEYAYSETEKDNPSGQVLVLDNTTNLHCNGMLQMGLDGLVENDDPLTLPFLWE >Vigun01g071700.2.v1.2 pep primary_assembly:ASM411807v1:1:19563186:19567421:-1 gene:Vigun01g071700.v1.2 transcript:Vigun01g071700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDGKEFAWDPRGLELANGEGQRNEAASVDLRLGEEKSVPDVAKEPRDSKTVSSPSGSSKRSRLQNGSQNMCCSVDGCTSDLSDCREYHRRHRVCEKHSKTPVVLVGGKQQRFCQQCSRFHSLGEFDEVKRSCRKRLDGHNRRRRKPQPPSLFMAAEKFMYNYKGPRILHFGSPETYVNPIMRSMWSATAKTGAESGYDPPRLLYRIDKHKQDKGHALWQENDPIVGNANEAMLGAPVCQPIHGTITPSTGGKSSRKHSSDAKPGSFDSGCALYLLSTLQSQSPESSMVQSSITCPMQSPSGSVHFDTVNEYAYSETEKDNPSGQVLVLDNTTNLHCNGMLQMGLDGLVENDDPLTLPFLWE >Vigun11g214200.1.v1.2 pep primary_assembly:ASM411807v1:11:40877236:40879332:1 gene:Vigun11g214200.v1.2 transcript:Vigun11g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFIFTLSLAILCAASTSAAVQHRSAEVYLQNGNFEEKPDPRYLKKTRLIGKYALPKWEINGHVEYVSGGPQPGGMYFPIGHGVHAVRLGNEASISQSIKVKPGKWYALIVGASRTCAQDEMLRISVLPHSGDVPLQTLYSLNGDVLAWGFRAISSVAKVILHNPGIQEDPACGPLLDAVAIAQFNPPKPTRDNLVKNGGFEEGPFPIFNSSNGVLLPPKQEDLVSPLPGWMIESLKAVKFIDSEHFNVPFGHGAIELIAGRESVIAQILRTVPNKVYNMKFTVGDAKNACHGSMMVEAFAAKDTLKVPFKSEGKGKFKSVSFKFRAIENRTRITFYSSFYHTRIHDYGSLCGPVIDQVIVSPLA >Vigun10g021000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2495363:2496736:-1 gene:Vigun10g021000.v1.2 transcript:Vigun10g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLPQHHNLSFSQCPLFHNPIKTLQFVPNPPARVSTVIRMGGGPRTYPGGVSKWVWKRMQAKKAKQLLKARLSRERQIYEMRKRAELKAAVSELERPWEVVERAAAAPNLFSVGADEQVKVLADRFQRPGGFDMWSEKDGPVLFETPDELPSARFFPKGVVHSVKPYRRVDGYGLVKEGYDEEEGLVKGNAFDDNLSEKENGGKKIEFSGKLSEKGKKFEFGAGWSEKGNGNGKGVLLEDVDGEFSSFDVNYGRNGVEGEGEGDVGLRRNGNGNRRRFLSDNVGRNGVQSHGRNGVEGDGRLRRNGNGRRVLSDDVGRSNNGGRSSRLNYERNANGRFDGGEHSPPSSNFGRNETSFDGRLRRRGNGRRVFSKDVVDGSNELHSGGVGSVRKQRGGNSIRGRSGGKFANRTLEYASPRGRGRGRGASSEVYDMGLQQDGSYGFQQKHEELDSTSC >Vigun05g033700.1.v1.2 pep primary_assembly:ASM411807v1:5:2733868:2735432:1 gene:Vigun05g033700.v1.2 transcript:Vigun05g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGLKASEMFEGQVHLYKHLHAHAVDGMSIRWMIELGIPDIIHNHDQPITLSELVSILQIPPTKVRGVHSLLRYLAHNGFLQIVRVHHNTQEKEAYALTAASQLLVKGTNLSLTPMVEYLINPSGSPVWSHLKKWTYEDDLTLCDVSLGSNIWDFLGKNTEKNKLFNKAMASDSQMMNVALRGCNWVFEGVESIVDVGGGNGTTAKAISDAFPNVKCTVLDRPQVVENLLGTNHLKYVGGDMFKSIPKADAILLKWILHNWSDMDCKKILENCKEAISGKGKRGKIIVIETVINEGQDEHGITSLKLLMDVKMSCLVNGKERSEEEWKKLFVEAGFQSYKISPLTGYLSLIQIYP >Vigun04g038600.1.v1.2 pep primary_assembly:ASM411807v1:4:3242665:3245878:-1 gene:Vigun04g038600.v1.2 transcript:Vigun04g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPAEDEKLRELVERYGPHNWNAIAEKLRGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARHFPGRTDNAVKNHWHVMMARIRRERSKVYNTKHPLFPPNLDTDFQTDSIPSFVEKYYEKFSHHHHHHHHPCVTHTPFHFPKKFHFQDPTSASTLPPEKSESVEFYDFLQVNTDSNKSEVTDIARRDEEEVSQEDGVELKNIGVPFIDFLSSGTC >Vigun10g148000.1.v1.2 pep primary_assembly:ASM411807v1:10:36660723:36664872:1 gene:Vigun10g148000.v1.2 transcript:Vigun10g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAVLILPPVCNVFGTTEPQDFEDEFAEKDPTGRYTRYTEILGRGAFKTVYRAFDEVDGIEVAWNQVKIDGLLHSVDDLAKLYSEVNLLKSLKHDNIIKFCDSWIDDKEKTVNMITELFTSGNLRQYRKKHKYVEMKAIKGWARQILHGLLYLHSHKPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEESYTELVDIYSFGMCILEMVTFEYPYNECKNPAQIFKKVTSGIKPASLNKVSDPQIRQFIEKCLVPASERLSAEELLKDPFLQVENLKDPVLYPVKPPRRTLRAYSFKSNSHSMDLDSDYKQFAMSICSESNQESPYCPVFQVQRANINNEFRLKGTKNDDNSVSLTLRIADTCAGRVRNIHFLFYLDSDTAVSVATEMVEHLELADHDVPFIAELIDYLIMKLLPWWNPSHDRWVCGEINPNIDAQNLMGGWPWASAIPSSVPCDLVIEEDGYSRTDTTPKEDFVAADKNSLIEESGDKATFEGGYHSQRSEASEVVVENASMKDDSCHYSNVDASSKCLNSRSISELELGDEYYEDCKLQQENYCSGEEVVINEYPTNSGSVLGTSTNVGSQRSSCSYVSSAVEEIDLELQFELAVIETHYQHWIDELNEMKLEALESTRRRWMAKKKLGVR >Vigun10g148000.2.v1.2 pep primary_assembly:ASM411807v1:10:36660723:36664872:1 gene:Vigun10g148000.v1.2 transcript:Vigun10g148000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAVLILPPVCNVFGTTEPQDFEDEFAEKDPTGRYTRYTEILGRGAFKTVYRAFDEVDGIEVAWNQVKIDGLLHSVDDLAKLYSEVNLLKSLKHDNIIKFCDSWIDDKEKTVNMITELFTSGNLRQYRKKHKYVEMKAIKGWARQILHGLLYLHSHKPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEESYTELVDIYSFGMCILEMVTFEYPYNECKNPAQIFKKVTSGIKPASLNKVSDPQIRQFIEKCLVPASERLSAEELLKDPFLQVENLKDPVLYPVKPPRRTLRAYSFKSNSHSMDLDSDYKQFAMSICSESNQESPYCPVFQVQRANINNEFRLKGTKNDDNSVSLTLRIADTCGRVRNIHFLFYLDSDTAVSVATEMVEHLELADHDVPFIAELIDYLIMKLLPWWNPSHDRWVCGEINPNIDAQNLMGGWPWASAIPSSVPCDLVIEEDGYSRTDTTPKEDFVAADKNSLIEESGDKATFEGGYHSQRSEASEVVVENASMKDDSCHYSNVDASSKCLNSRSISELELGDEYYEDCKLQQENYCSGEEVVINEYPTNSGSVLGTSTNVGSQRSSCSYVSSAVEEIDLELQFELAVIETHYQHWIDELNEMKLEALESTRRRWMAKKKLGVR >Vigun05g201500.2.v1.2 pep primary_assembly:ASM411807v1:5:38860961:38865471:1 gene:Vigun05g201500.v1.2 transcript:Vigun05g201500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVEKLAEEIKKGASSVEGVEAKLWQVPETLPKEVLGKMSAPPKSDVPIITPNDLSEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPTELELEQAFHQGKYIAAITKKLKQAA >Vigun05g201500.1.v1.2 pep primary_assembly:ASM411807v1:5:38860961:38865471:1 gene:Vigun05g201500.v1.2 transcript:Vigun05g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYIVYYSMYGHVEKLAEEIKKGASSVEGVEAKLWQVPETLPKEVLGKMSAPPKSDVPIITPNDLSEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPTELELEQAFHQGKYIAAITKKLKQAA >Vigun05g000200.1.v1.2 pep primary_assembly:ASM411807v1:5:53020:55217:-1 gene:Vigun05g000200.v1.2 transcript:Vigun05g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITASLERSLQNCSLNNDNHQSRRENGSAADAALPPGLGISSTSDHLPDTDNNHNSNSDTTLELNSHISLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRAVAVAEYNSVSRDCESEEESWYDSEESSSESCPSSSKENYQGSVEKQNVLVVAGCKGCLMYFMVPKQVEDCPKCSGQLLHFDRSENGSP >Vigun08g200400.1.v1.2 pep primary_assembly:ASM411807v1:8:36508624:36515835:1 gene:Vigun08g200400.v1.2 transcript:Vigun08g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHTFVSKARNAFHSAAAKAERVLMDFKSDREDHDRNSSQEADSPNSNIENESKEVRSDLKHIKWRPPHIGIKQDWQDRIKNIGRGRKEVEDTDKVGDANMAVPFYDDNLYTLNVKKDQDAKASELFPSVESLTAATKDPIPPSSVLKQLAVAVEAGSKVKSVKEFIGSSGGSSLANDRAALSLSAVKALVLREKEDGHTQEFISNEKVVQLISSLFDPEGDFLTRKINSNTEETAMTSLPRDIHGAPPESLVVKLAEILGNYRTVRKMALFWCCVVAEVRKLWSEGQHLPGVPKNEIPDLNSCLVYQHFQLINCCISRKRFRVVATESLDSMMKQASSDTKESSDYNAGAPASPVLYARLNSGELVLRLGADRLAGDMTLLETGEPVYSPVTQEGPLLTEDLIRETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDNEASAEDSDVFDSAESLSAKGQLSRRMQKEGNLWRELWETSKPVPAVKQAPLFDEDLAVEGILNAFEDIDLSDLFLQLFVSLLGLGFAIAEPMLSSNGDFSKLFYDCKEYIIAACQSTRLNEKVDDLFQAYETVERMLLNPEEALKMIKQTDESTMVSDEPKSPLKKLKLIFAGKDKLRKSLSKDQLNEEEKPGRQSFSSFFDSKASLFSKKHPKSGSPSPSEKSPLDIGWSVV >Vigun08g200400.2.v1.2 pep primary_assembly:ASM411807v1:8:36508624:36515835:1 gene:Vigun08g200400.v1.2 transcript:Vigun08g200400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHTFVSKARNAFHSAAAKAERVLMDFKSDRDHDRNSSQEADSPNSNIENESKEVRSDLKHIKWRPPHIGIKQDWQDRIKNIGRGRKEVEDTDKVGDANMAVPFYDDNLYTLNVKKDQDAKASELFPSVESLTAATKDPIPPSSVLKQLAVAVEAGSKVKSVKEFIGSSGGSSLANDRAALSLSAVKALVLREKEDGHTQEFISNEKVVQLISSLFDPEGDFLTRKINSNTEETAMTSLPRDIHGAPPESLVVKLAEILGNYRTVRKMALFWCCVVAEVRKLWSEGQHLPGVPKNEIPDLNSCLVYQHFQLINCCISRKRFRVVATESLDSMMKQASSDTKESSDYNAGAPASPVLYARLNSGELVLRLGADRLAGDMTLLETGEPVYSPVTQEGPLLTEDLIRETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDNEASAEDSDVFDSAESLSAKGQLSRRMQKEGNLWRELWETSKPVPAVKQAPLFDEDLAVEGILNAFEDIDLSDLFLQLFVSLLGLGFAIAEPMLSSNGDFSKLFYDCKEYIIAACQSTRLNEKVDDLFQAYETVERMLLNPEEALKMIKQTDESTMVSDEPKSPLKKLKLIFAGKDKLRKSLSKDQLNEEEKPGRQSFSSFFDSKASLFSKKHPKSGSPSPSEKSPLDIGWSVV >Vigun01g190300.1.v1.2 pep primary_assembly:ASM411807v1:1:36908564:36909970:-1 gene:Vigun01g190300.v1.2 transcript:Vigun01g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSEDPKQSTADMTAFVQNLLQQMQIRFQTMSDSIITKIDEMGNRINELEQSINDLRAEMGVESTPSPVAPVARAKPKEEESNKEEGPA >Vigun03g316400.1.v1.2 pep primary_assembly:ASM411807v1:3:51034336:51035983:1 gene:Vigun03g316400.v1.2 transcript:Vigun03g316400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYQILHSAPSFMDFLKELETDIQHANVLAASIPRVSNGGDYLQMKLVYSELVQIFLFLFQWMDYPCSCLPSTHYNLFRVVVYKVHTNGKSDMYSSGRKATIREFYSVILPSLQRLHGDLVEAKIAEENDHNYTENDQNSDLELEREHECGICLECTTKMVLPNCCHAMCINCYNDWNTRSESCPFCRRSLKRVNSGDLWVLTCRRDVIDMQTINREDVLRLYLFVNNLPHCTPDALFLMYYEYLI >Vigun03g316400.2.v1.2 pep primary_assembly:ASM411807v1:3:51034336:51035983:1 gene:Vigun03g316400.v1.2 transcript:Vigun03g316400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCFTNCRAASIPRVSNGGDYLQMKLVYSELVQIFLFLFQWMDYPCSCLPSTHYNLFRVVVYKVHTNGKSDMYSSGRKATIREFYSVILPSLQRLHGDLVEAKIAEENDHNYTENDQNSDLELEREHECGICLECTTKMVLPNCCHAMCINCYNDWNTRSESCPFCRRSLKRVNSGDLWVLTCRRDVIDMQTINREDVLRLYLFVNNLPHCTPDALFLMYYEYLI >Vigun03g298100.1.v1.2 pep primary_assembly:ASM411807v1:3:48575571:48576969:1 gene:Vigun03g298100.v1.2 transcript:Vigun03g298100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFKHQLGLLSVMLLLPALCISQDTFTCSRATYYGSPDCYGNPKGACGFGEYGRTVNDGSVAGVSRLWRNGSGCGACYQARCKIPQYCDENGAFVVATDYGEGDRTDFIMSPRAFSRLGRNADASAELFKYGVVDIEYRRVPCSYSGYNVVFKVHEHSRNPDYFAVVVLYVDGTYDVTAVELFQQDCQEWKPLRRAFGAMFDYSNPPSGEMYLRFQVSGSAGIYWVQSRNAISSDWTAGATYDTMVQLN >Vigun03g126901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12207350:12207906:-1 gene:Vigun03g126901.v1.2 transcript:Vigun03g126901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRCTIFALMIAISFSTMDITSANLLGGLPIVGSLINGLPIVGSLLPFELAVPPVSETPVPPVSVTSVPPVSEPPVPPVSVP >Vigun08g081700.1.v1.2 pep primary_assembly:ASM411807v1:8:17120365:17124673:1 gene:Vigun08g081700.v1.2 transcript:Vigun08g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVYISPSHSISQPYYKPRQFFSLARESTLIGRSPGIQIRGRSVVSACLNVDVAAPNTGKTKLEKIKDVIEKEGKFLVRTYVRTPVVVERGEGCKLYDVEGKEYLDLSAGIAVNALGHGDVDWLKAVVEQAATLTHTSNIFYTIPQVELAKRLVDSSFADRVFFSNSGTESNEAAIKFARKHQRETTTNGKEPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPIMPGVTFLEYGNAQAATELIKQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGTLLVFDEVQCGLGRTGFLWAHEAYGVFPDMMTLAKPLAGGLPIGAVLVTERIASSINYGDHGSTFAGSPLVCSAALAVFDKISNPDFLSSVSKKGLYFKELLKQKLGGNQHVKEIRGVGLIIGIDLDVPATPFVDACQNSGLLVLTAGKGNVVRIVPPLIITEKEIEQAVDILFQNLQVLDK >Vigun08g081700.2.v1.2 pep primary_assembly:ASM411807v1:8:17120147:17124673:1 gene:Vigun08g081700.v1.2 transcript:Vigun08g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVYISPSHSISQPYYKPRQFFSLARESTLIGRSPGIQIRGRSVVSACLNVDVAAPNTGKTKLEKIKDVIEKEGKFLVRTYVRTPVVVERGEGCKLYDVEGKEYLDLSAGIAVNALGHGDVDWLKAVVEQAATLTHTSNIFYTIPQVELAKRLVDSSFADRVFFSNSGTESNEAAIKFARKHQRETTTNGKEPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPIMPGVTFLEYGNAQAATELIKQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGTLLVFDEVQCGLGRTGFLWAHEAYGVFPDMMTLAKPLAGGLPIGAVLVTERIASSINYGDHGSTFAGSPLVCSAALAVFDKISNPDFLSSVSKKGLYFKELLKQKLGGNQHVKEIRGVGLIIGIDLDVPATPFVDACQNSGLLVLTAGKGNVVRIVPPLIITEKEIEQAVDILFQNLQVLDK >Vigun08g081700.4.v1.2 pep primary_assembly:ASM411807v1:8:17120147:17124673:1 gene:Vigun08g081700.v1.2 transcript:Vigun08g081700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVYISPSHSISQPYYKPRQFFSLARESTLIGRSPGIQIRGRSVVSACLNVDVAAPNTGKTKLEKIKDVIEKEGKFLVRTYVRTPVVVERGEGCKLYDVEGKEYLDLSAGIAVNALGHGDVDWLKAVVEQAATLTHTSNIFYTIPQVELAKRLVDSSFADRVFFSNSGTESNEAAIKFARKHQRETTTNGKEPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPIMPGVTFLEYGNAQAATELIKQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGTLLVFDEVQCGLGRTGFLWAHEAYGVFPDMMTLAKPLAGGLPIGAVLVTERIASSINYGDHGSTFAGSPLVCSAALAVFDKISNPDFLSSVSKKGLYFKELLKQKLGGNQHVKEIRGVGLIIGIDLDVPATPFVDACQNSGLLVLTAGKGNVVRIVPPLIITEKEIEQAVDILFQNLQVLDK >Vigun08g189300.1.v1.2 pep primary_assembly:ASM411807v1:8:35732512:35736067:-1 gene:Vigun08g189300.v1.2 transcript:Vigun08g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKMTAENLLNTLVETIAAEKQKSGSFFEEDKSSSVSSQFNRLFGRQKPVHHILGGGKSADVLLWRNKKISASVLSAATAIWVLFEWLNYNFLSLLFFVLALGMLGQFLWTNASGLFSRKPSKVPRFVLPDDFFVNIATAVGAEVNRGLRFLQDVSCGGNLKQFLIAVLSLWAGAVIGSWCNFLTVMYIGFVAAHTLPVLYERYEDQVDNFMYKVFDQMQNHYQKLDSGLLSKIPKGKLKGKKYE >Vigun08g189300.2.v1.2 pep primary_assembly:ASM411807v1:8:35732512:35736067:-1 gene:Vigun08g189300.v1.2 transcript:Vigun08g189300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKMTAENLLNTLVETIAAEKQKSGSFFEEDKSSSVSSQFNRLFGRQKPVHHILGGGKSADVLLWRNKKISASVLSAATAIWVLFEWLNYNFLSLLFFVLALGMLGQFLWTNASGLFSRKPSKVPRFVLPDDFFVNIATAVGAEVNRGLRFLQDVSCGGNLKQFLIAVLSLWAGAVIGSWCNFLTVMYIGFVAAHTLPVLYERYEDQVDNFMYKVFDQMQNHYQKLDSGLLSKIPKGKLKGKKYE >Vigun04g081300.1.v1.2 pep primary_assembly:ASM411807v1:4:12235288:12236302:-1 gene:Vigun04g081300.v1.2 transcript:Vigun04g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKNTILLALLATMVAKEVFAAQHVVGGNQGWDQSTDFKSWTSGQTFKIGDKLVFKYSSLHSVVELGNESAYKSCDISNPIQSLSTGNDVVKLEKPGTRYFTCGTLGHCSQGMKLKITILKGNAPSPSSSPSSSPSSSPSSSPSLTSPSSSPAITTDASSASQCFTSFMFILALSLTLILSLF >VigunL059526.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:14700:14822:1 gene:VigunL059526.v1.2 transcript:VigunL059526.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun03g021800.1.v1.2 pep primary_assembly:ASM411807v1:3:1600879:1605372:-1 gene:Vigun03g021800.v1.2 transcript:Vigun03g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFALYFNTLQFRQSLTFSYSKPNLCCLCQRRPSNNIVTFISIKTKQTAIRHSYLNSLPETAPWFAKTAPYAFRDCRGSLEARATISGSSCTVTEKVEKKMRKRKLDRDSPQVKLKNALDMCSKRGDVMGALSLYDAAISEGVKLGQHHYTVLLYLCSSAAVGVVRPAKSGSGARTLNALVSSDGLRNEGLSEPMDTNDSSFELDCNAGLNSDLNSTQKDGIFVVSDEVKRYALERGFEVYENMCVGKVQMNEAALTAVGRMAMSVGDGDMAFEMVRQMKDMGISPKLRSYGPALSTFCNNGEIDKAFAVEKHMLEHGVYPEEPELEALLRVSIGAGNSDKVYYVLHKVRSSVRKVSQATASLIVDWFKSKQASRVGKRKWDKRLIMEAIENNGGGWHGQGWLGKGKWEVAHTTIGKDGVCKCCGLQLTTIDLDPIETEHFAKSVASIAMMREKGSNFQKFQKWLDYYGPFEAVVDAANVGLFGQSRFMPHKINAVVNEMRQRLPSKKLPLIILHNKRIKGDKMDEPVNRALIDKWNAADALYATPSGSNDDWYWLYATIKFKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFSFSGGGSPVFHMPPPCSVVIQESEEGHWHIPIETELNYESERRWLCITRAKLDMVFKDSSTSFKDSKHLQKGECARSTTRNASEKDPQRQNPFNHEEKREKSQELYKSVRDIFLGPVSSDNNNSILSDIEAAESIGGCTIDFQI >Vigun03g021800.2.v1.2 pep primary_assembly:ASM411807v1:3:1600879:1605372:-1 gene:Vigun03g021800.v1.2 transcript:Vigun03g021800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFALYFNTLQFRQSLTFSYSKPNLCCLCQRRPSNNIVTFISIKTKQTAIRHSYLNSLPETAPWFAKTAPYAFRDCRGSLEARATISGSSCTVTEKVEKKMRKRKLDRDSPQVKLKNALDMCSKRGDVMGALSLYDAAISEGVKLGQHHYTVLLYLCSSAAVGVVRPAKSGSGARTLNALVSSDGLRNEGLSEPMDTNDSSFELDCNAGLNSDLNSTQKDGIFVVSDEVKRYALERGFEVYENMCVGKVQMNEAALTAVGRMAMSVGDGDMAFEMVRQMKDMGISPKLRSYGPALSTFCNNGEIDKAFAVEKHMLEHGVYPEEPELEALLRVSIGAGNSDKVYYVLHKVRSSVRKVSQATASLIVDWFKSKQASRVGKRKWDKRLIMEAIENNGGGWHGQGWLGKGKWEVAHTTIGKDGVCKCCGLQLTTIDLDPIETEHFAKSVASIAMMREKGSNFQKFQKWLDYYGPFEAVVDAANVGLFGQSRFMPHKINAVVNEMRQRLPSKKLPLIILHNKRIKGDKMDEPVNRALIDKWNAADALYATPSGSNDDWYWLYATIKFKCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFSFSGGGSPVFHMPPPCSVVIQESEEGHWHIPIETELNYESERRWLCITRAKLDMVFKDSSTSFKDGQRLGLEMQELVTR >Vigun09g026400.1.v1.2 pep primary_assembly:ASM411807v1:9:2070573:2075367:-1 gene:Vigun09g026400.v1.2 transcript:Vigun09g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSSTQIKYDVFVSFRGEDIRDGFLSHLTEAFDVKKINAFVDDKLEKGEELWTSLVAAIEGSSISLIIFSPDYASSRWCLKELVTIFECKEKYGHTVIPVFYHVTPTDVRHQSSDSYRKAFAEHARKHKNEVQLWRRVFKKSADLSGIESSKFRNDADLLKAIVDLVITKLRKSLVNSKVLVGIDKRIADVESLIHRESEKARLIGLWGMGGIGKTTLAEEVFNKLTSKYEGCYFLANEREQLSRHGKVSLKKEIFSALLGGVKIDTPNSLPEDIVRRIRQMKVLIVLDDVYDSDHITDLLGAVDNFGSGSSIIVTTRDEQVLKANKADEIYHVRELTSDEALELFNLNAFNQSVHQREYNELSKRIVHYAKGLPLILKFLAHRLHGKNVEVWESELDKLKKVPPAKVYDVIKLSYDNLDRKEKEVFLDLACFLCRSREKITIGYLKYLLKDGERDNSVVVALERLKDKALVTFSKDNVVCMHDSIQEMAWEIVRQESTEDPGKRSRLLDPDDIYEALENDKVSEAIRSIQIDLKELKEQKLMPHIFAKMSRLRFMEIYGECNYNCYSQLVAEGLQLLGTELRFLCWDFYPLKSLPDKFSGEKLVILKLESGGMEKLWDGVKNLANLKELDLRNSQNLKELPDLSKATNIEVLCLMRCLSLNSVHPSVFSLPKLEILNLCGCESLTILATDLHLCRLSYLNLSRCYNLKEFTLKSDNLKELRLELTRMKALPSSLGHQRRLELLSLGRSLIERLPAFFSNTQLLHLDISFCWNLVTIPELPLSLQTLYAAGCESLKTVFFHSTAVEQLKENRKQVLFFKCRNLDEHSLEAIGLNARINVMKFANQHLSAPKQDDFENYNDYDKKYESYQAFYGYPGSSVPEWLEFKSKKDYVIIDLSSAPPSPVYGFILGFVLFEELDKLEFSIAITDCEGKGIFDEVRLKLSYMFWSVKSCEVVVMYDQRCSNFLNSIAKNLTRFKINVRSNGKYYRAFPSRGFGVSIVRTSSYSSFMQQMELGDSMYQFH >Vigun09g026400.2.v1.2 pep primary_assembly:ASM411807v1:9:2067840:2075358:-1 gene:Vigun09g026400.v1.2 transcript:Vigun09g026400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSSTQIKYDVFVSFRGEDIRDGFLSHLTEAFDVKKINAFVDDKLEKGEELWTSLVAAIEGSSISLIIFSPDYASSRWCLKELVTIFECKEKYGHTVIPVFYHVTPTDVRHQSSDSYRKAFAEHARKHKNEVQLWRRVFKKSADLSGIESSKFRNDADLLKAIVDLVITKLRKSLVNSKVLVGIDKRIADVESLIHRESEKARLIGLWGMGGIGKTTLAEEVFNKLTSKYEGCYFLANEREQLSRHGKVSLKKEIFSALLGGVKIDTPNSLPEDIVRRIRQMKVLIVLDDVYDSDHITDLLGAVDNFGSGSSIIVTTRDEQVLKANKADEIYHVRELTSDEALELFNLNAFNQSVHQREYNELSKRIVHYAKGLPLILKFLAHRLHGKNVEVWESELDKLKKVPPAKVYDVIKLSYDNLDRKEKEVFLDLACFLCRSREKITIGYLKYLLKDGERDNSVVVALERLKDKALVTFSKDNVVCMHDSIQEMAWEIVRQESTEDPGKRSRLLDPDDIYEALENDKVSEAIRSIQIDLKELKEQKLMPHIFAKMSRLRFMEIYGECNYNCYSQLVAEGLQLLGTELRFLCWDFYPLKSLPDKFSGEKLVILKLESGGMEKLWDGVKNLANLKELDLRNSQNLKELPDLSKATNIEVLCLMRCLSLNSVHPSVFSLPKLEILNLCGCESLTILATDLHLCRLSYLNLSRCYNLKEFTLKSDNLKELRLELTRMKALPSSLGHQRRLELLSLGRSLIERLPAFFSNTQLLHLDISFCWNLVTIPELPLSLQTLYAAGCESLKTVFFHSTAVEQLKENRKQVLFFKCRNLDEHSLEAIGLNARINVMKFANQHLSAPKQDDFENYNDYDKKYESYQAFYGYPGSSVPEWLEFKSKKDYVIIDLSSAPPSPVYGFILGFVLFEELDKLEFSIAITDCEGKGIFDEVRLKLSYMFWSVKSCEVVVMYDQRCSNFLNSIAKNLTRFKINVRSNGKYYRAFPSRGFGVSIVRTSSYSSFMQQMELGDSMYQFH >Vigun04g092050.1.v1.2 pep primary_assembly:ASM411807v1:4:17424362:17426477:-1 gene:Vigun04g092050.v1.2 transcript:Vigun04g092050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDGKAPDVFIMMQIIRCYCHAGDIDLALQTFETHINEGRPIAAELFVTLAEGAMTGYTEKGMQIAQDILVRMNERNYFVNSKLGSELLLVAAGEKVLKLHLITSLIHNRGYTTANYIWDMMRVRKINPSFPAVEAYYQGLKDREIPEDDPRLLMVSKTYDRMNRFGNRPT >Vigun02g077900.1.v1.2 pep primary_assembly:ASM411807v1:2:23010854:23013892:-1 gene:Vigun02g077900.v1.2 transcript:Vigun02g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Vigun09g172500.1.v1.2 pep primary_assembly:ASM411807v1:9:34260057:34262024:-1 gene:Vigun09g172500.v1.2 transcript:Vigun09g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVKLRYVLKVVSATAWVIVLSVTYAYTWDNPPGFAQTIKSWFGSGGSSAPSLYILAVVVYLSPNMLAAIFFLIPFIRRHLERSNYRVVMLMLWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAIMNVKITTFQWHEFFPHARNNIGVVIALWSRQLSWYTSWIPKFGMPYSQHYLVVYMVPSVGLGRYGH >Vigun01g089100.2.v1.2 pep primary_assembly:ASM411807v1:1:24804046:24811024:-1 gene:Vigun01g089100.v1.2 transcript:Vigun01g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLFELRLSFYELYITSSLKRVNFTSLVTLDLSTNYFTSKSLSWLFNLSTGISHLELSQISLHGNFDLQWLSQLHSVKYLDLYGIDLHEEANWLLAMPPSLSDLHLSHCQLTTMSPSLKHVNLTSLVTLDLSGNHFNSELPHWLFNLSRDVSYLKLKDNSFYGEIPSSLFNYQNLEYLDLSGNMFSGSVPFTLGNLTYLVVLKIGYNSFSGTIFDLHFSRLHNLQVLHLSNTRFHFNPEWIPLFQLKRLYLDDTNQGPQFPPWIYTQKSLSTLYMSSSKISFVEEEKFWGLIAGIDEGIDLSNNSISGDISNVLLNSKYIWLSHNNFTGTLPHLSPEVEYVDLSHNSFTGSIPHSWKDLKHLLFISLWNNNLFGEVLENLSWSTYLLVINLEKNEFSGNIPNNMSKNLEVVILRSNKFQGSIPSQIFLLSSLIHLDLAHNKLSGSMPHILYNTTEMITHYYPVPLFNLDGNIYLYAKGRVYESRLDLSRRTVDLSANKISGEIPSELFRLIEVQTLNLSYNHLTGTIPKTIGGMKNLESLDLSNNKLFGEIPQNMVALSFLSYLNLSCNNFSGQIPIGTQLQSFGVSSYNGNPTLCGAPLSKCNVKKNPFNTIQQHTINEGGEFDKESLYLGMGVGFAVGFCGLFGSLLIFRKWRHKYYRLINRVYDQLYVTYMLNFNNILSTEAPQLVQVEFPNTNIVHNVSQLPRRAIYLDPFVLATTSTLTPTPLANPSSTQGFLVFIRHPKLNSRDVIPSSTRGITNNPKLQQQNRQAGDTYRQALLLPDRLVGDAYRQAPSASFLAALHLSPDGTLTTARRHTYRLFSESKLSPGAISVVCSILNWFRLCLPSSPSYFTSSIAIPYSHL >VigunL072900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:56830:57444:-1 gene:VigunL072900.v1.2 transcript:VigunL072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIYLSRSFPRSNSSLFLCSGKALQSEVLRLGEEMFLVDAGPGTPRICMQDEPTGVPINRATRFENKVGSLDLVAGESLIKKKILERLFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLPRRFRQNLAWMELNKIWRTNTKVKGFILKKVKGGYSVAIAGFITFLRFRSHNKRRRKKISNNRFTIKSINPKRTNIVVF >Vigun03g251500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41769964:41775912:1 gene:Vigun03g251500.v1.2 transcript:Vigun03g251500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTSPHSHLLHPNHNHRIHTATTTTAFSLPSSRTFRPIKCASTPAQLQDPIPVQAQAQERVFNFAAGPATLPETVLRRAQSELYLWGESGMSVMEMSHRGKDFMFIIEKAEEHLRTLLEIPPGFSVLFLQGGATTQFAAVPLNLCTPDDPVDYVVTGSWSDKAFKEAQKYCKPSVIWSGKSGKYTGIPHVGTFEQNPDAKYLHICANETIHGVEYKDYPFPENPSGVLVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIVIVRDDLIGRAQGVTPVMLDYKIHVENGSLYNTPPCYGIYMCGLVFEELLEQGGLKEVEKKNEKKAEILYNAIDGSKGFYKCPVEKPVRSLMNVPFTLEKSELEGEFIKEAAKENMVQLKGHRSVGGMRASIYNAMPLAGIQKLVAFMEDFQARHD >Vigun08g057400.1.v1.2 pep primary_assembly:ASM411807v1:8:7236572:7245491:1 gene:Vigun08g057400.v1.2 transcript:Vigun08g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLCGRDDAKHEHDVNEREIEGREDGSQYSLTGVLLPSLGATAQNNGSRRPKLGRHVISPYDHNYRLWNKFLLILVFYTAWICPFEFGFLEKSKGALAITDNLVNGFFAADIVITFFVAYLDKSTYLLIDDHKLIALRYAKSWLILDVIACIPYEVVRSVLPPSLQSYSYLNVLRLWRLHRVSAMFARLEKDRNYSYFWVRCCKLTCVTLFSLHAAACFFYFLAARENPKNTWFSIIPSTIDQTIWGKYVISVYWSIVTLASVGYGDLHPVNTKEMIFAIFYMLFNLGLTSYLIGNMTNMVVHWTERTKRYRDTVQYASNFARRNRLPPRLQEQIFAHLLMRYRTDLEGLQQQEIIDSLPKAIQSSIAHYLFFSLIDKVYLFHGVSNDLVFQLVTEMKAEYFPPKEDVILQNEAPTEFYIFVTGAADLITHNNGLEQIVGEVRQGDVVGEIGVLCYRPQLFTVRTKRLSQILRLNRTTFLNLTHSNVGDGTMIINNFLQHLHEIGGPIMQEIVAEAEAMLARGKMDLPISLLFAASRGDDIMLNQLLKKGSDPNEPDKNGKTALHIAACKGNDHCVLVLVEHGANPNIKDLEGNSALWEAVKGGHETVMRILLENGAEITSDDVVDFARLAIERNNLEILKEIIERKVEVTQSGSKMTTLLHAAVCEGNVEIVKYLVELGADIDGKDSIGLTARSLAEHQCHEEILNVFKKMGHNNKPNAPLPPISSIVGRCQSEPTIPAIPQPNKPPNKELKWFDKHQRRRVSPFHNSFFGIMSSANYASRDSTDSPNSSQVGQIIKEEVTARITVSCPEKGEGAKKLIFLPDSIEELLRIGAKKFGCSPTKILTTEGAQIDDIDIVRDGDHLVLA >Vigun10g005400.1.v1.2 pep primary_assembly:ASM411807v1:10:512335:523796:1 gene:Vigun10g005400.v1.2 transcript:Vigun10g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPNPQHLEATTPLIAQGEGLTNDGLIPQIFSSVPALNDAASYLAQTTSYLTGCFSDFSVEHSPRCPGAPVPRAQELVDFSYAEIDASSSTEMDHTPSNRMHLTSIESSNASTSARPHTQDEITNPSGGVLLQNSSALAEPNRTGQSGISMFQSLIDRARRTVRGSADDIGWLQRDPAMPPVEDGTERFLEILDSIKHGVHRLPNSVVYLLVPGLFSNHGPLYFVDTKVSFSKMGLACHIAKIHSEASVEKNARELKEYIEEIYWGSQKRVMLLGHSKGGVDAAAALSLYWSDLKDKVAGLALAQSPYGGTPIASDLLREGQLGDYVNLRKLTEIIVCKVIKGDMRALEDLTYERRREFLKEHHLPKEVPVVSFRTEAGISPAVLATLSHVAHAEFPPLVAPTGESTKLPVVMPLGAAMAACAQLTQVRYGEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSINDDLSEGDASQVCEALLTLLVEIGQKKMHEFAKKDE >Vigun08g025900.2.v1.2 pep primary_assembly:ASM411807v1:8:2258757:2263727:-1 gene:Vigun08g025900.v1.2 transcript:Vigun08g025900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQAPQHQSPVSAPPNGVAPAPNSNQFVTTSLYVGDLDQNVNDSQLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNYSSPQDAARALDVLNFTPLNNKSIRIMYSHRDPSIRKSGTANIFIKNLDKAIDHKALHDTFSSFGHILSCKIATDGSGLSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGPFLRKQDRENALSKTKFNNVYVKNLSDSTTDEELKKIFGEYGTITSAVVMRDADGKSKCFGFVNFENPDDAAKAVEGLNGKKFDEKDWYVGKAQKKSERELELKGRFEQSIKEAADKFQGVNLYLKNLDDTISDENLKEMFSEFGTISSYKVMRDPNGISRGSGFVAFSTPEEASRALAEMNGKMIAGKPLYVALAQRKEERRARLQMRPVAITPSVAPRMPLYPPGAPGLGQQFLYGQGPPAMIPPQAGFGYQQQLVPGMRPGGGPMPSFFVPLVQQGQQGQRPGGRRGAGPVQQPQQPVPMMQQQMLPRGRVYRYPPGRNMQDVPLPGVAGGMMSVPYDMGGLPIRDAVGQPMPIQALATALANAPPEQQRTMLGEALYPLVDQLEHDAAAKVTGMLLEMDQPEVLHLIESPDALKAKVAEAMDVLRNVTQQQTNPADQLASLSLNDNLVS >Vigun08g025900.1.v1.2 pep primary_assembly:ASM411807v1:8:2258757:2263727:-1 gene:Vigun08g025900.v1.2 transcript:Vigun08g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQAPQHQSPVSAPPNGVAPAPNSNQFVTTSLYVGDLDQNVNDSQLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNYSSPQDAARALDVLNFTPLNNKSIRIMYSHRDPSIRKSGTANIFIKNLDKAIDHKALHDTFSSFGHILSCKIATDGSGLSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGPFLRKQDRENALSKTKFNNVYVKNLSDSTTDEELKKIFGEYGTITSAVVMRDADGKSKCFGFVNFENPDDAAKAVEGLNGKKFDEKDWYVGKAQKKSERELELKGRFEQSIKEAADKFQGVNLYLKNLDDTISDENLKEMFSEFGTISSYKVMRDPNGISRGSGFVAFSTPEEASRALAEMNGKMIAGKPLYVALAQRKEERRARLQAQFSQMRPVAITPSVAPRMPLYPPGAPGLGQQFLYGQGPPAMIPPQAGFGYQQQLVPGMRPGGGPMPSFFVPLVQQGQQGQRPGGRRGAGPVQQPQQPVPMMQQQMLPRGRVYRYPPGRNMQDVPLPGVAGGMMSVPYDMGGLPIRDAVGQPMPIQALATALANAPPEQQRTMLGEALYPLVDQLEHDAAAKVTGMLLEMDQPEVLHLIESPDALKAKVAEAMDVLRNVTQQQTNPADQLASLSLNDNLVS >Vigun03g311700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50476337:50478837:-1 gene:Vigun03g311700.v1.2 transcript:Vigun03g311700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAI-RGA-SCR (GRAS) family protein, Brassinosteroid signalin [Source: Projected from Oryza sativa (Os06g0127800)] MLAGCSSSTLLSPSHRLRSEAPAQFQACHFPRPSMSTQRLDLPCSTFTRKDSSSRSQPLRPVGLSVEKPIEAKTSTCSLKHNIRLPPLAITAATPLVEESIKDKSLKRLAEHDESFTNVAKRKKGITECDNSGDNFWFQPSVEGNTLGGLNNTSLAFSPEEETVCFVPSEVVSHSAPFPLNPWLESCVTKITNFGEGSHRPRQHHNDHATEGSVSNASSESQSLRLNENASEHEVGNGSGNPYHHHEVETGEEDDHHGLELVSLLTGCVDAIGSRNVAAINQFIAKLGDLASPRGTPISRICAYFTEALAIRVTRLWPHVFHITTNSRDMVEDDETGTALRLLNQVTPIPKFLHFTSNEMLLRAFEGKDRVHIIDFDIKQGLQWPGLFQSLASRTHPPSHVRITGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEQETVAVNCVLQLHKTLYDGSGGALRDFLGLIRSTNPSVVVVGEQEAEHNEARLEGRVCKSLKYYSALFDCIEESGVAQESAVRVKIEEMYGREIKNMVACEGRERLERHEGFGKWRRMLVEQGGLRCLGVSERELSQSELLLKMYCCESYSVKKVEIEGATGVTLSWVDQPLYTVSAWVPVDVAGTSSSFSHPT >Vigun06g213300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32511950:32512408:-1 gene:Vigun06g213300.v1.2 transcript:Vigun06g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPCHVILSIVLLVCTTTPSLAQSTPQDFLEVHNQARAEVGVGPMSWNHTLEAYAQSYANSRIPECKLEHSMGPYGENLAEGYGTKGSDYVKYWLTEKPNYDPGSNSCVHGECRHYAQIVCRNCIHLGCAIVNCNNNGLMFVICSYSPPGN >Vigun03g287400.1.v1.2 pep primary_assembly:ASM411807v1:3:46914741:46920323:1 gene:Vigun03g287400.v1.2 transcript:Vigun03g287400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHLHLQGRPVAQFRPDTDKIRRKLIEKGVDPTPKIVHILRKREIQKHNRKLKSQPPPPLTPAEALALEEDKHFHAIKREFRKVMEATSFHEKRVVAGKPWEELQTVEFLEKARAIKEYRGGKLRRESLTELKEMFEERKMDELKWVFDADLEINEDWFNEGHGTRGDTRKRSEGAVIKFLVDRLSDREITMRDWKFSRMMKLSGLPFTEEQLLRIVEMLGFKRCWKQALSVVQWVYRYKDRRKFQSRFVYTKLLAVLGKAGRPKEALQIFKLMRESIHIYPDIAAYHSIAVTLGQAGLLKELLNIVECMRQKPKAFMHRENWDPVLEPDLVIYNAVLNACVPSKQWKGVSWVLKQMRKSGLKPNGATYGLAMEVMLESGNYHLVHEFFGKMKRSGEVPKALTYKVLVRTFWKEGKVEEAVKAIRDMERRGVVGTAGVYYELACCLCNCGRWRDAILEVDNIRSLPRAKPLEVTFTGMIKSSMDGGHMDDSIQIFEYMKDHCVPNIGTINTMLKIYGQNDMFSKAKVLFEEVKAAKSKSYATPGDGNSSVVPDAYTYNSMLEASASAQQWEYFEHVYREMIVSGYQLDQNKHLLLLVKASRAGKLHLLEHAFDMILEAGEIPRHLFFFELVIQAIVQHNYDRAVILINTLAHAPFQVSEKQWTNLFKESEDRMSHENLERLLDALGSCDVVSESTVSNLTKSLHVLCGLDTSRKFSSIIPFGSKDSVNGSGPNERIDDRNAPKFSTRMMIEGAESENDIFIGSYDSATSACTRDGGNEGDNDDVMVFRHQNSDIEDGTSSQADRLECTDNLALEKSSDALDKKLWDDGSSEDDNGEGVTKKATAYEILELWKELRVEDGSLLHSELGRG >Vigun01g030200.1.v1.2 pep primary_assembly:ASM411807v1:1:3736577:3737823:-1 gene:Vigun01g030200.v1.2 transcript:Vigun01g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPAVFFGTNCNNSSAERYISSPPQGFFVFTDSIVASRLHHQRLCNAIGSTVRWLRRHHCCFYCCSRWLLTFK >Vigun01g030200.3.v1.2 pep primary_assembly:ASM411807v1:1:3736577:3737823:-1 gene:Vigun01g030200.v1.2 transcript:Vigun01g030200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPAVFFGTNCNNSSAERYISSPPQGFFVFTDSIVASRLHHQRLCNAIGSTVRWLRRHHCCFYCCSRWLLTFK >Vigun01g030200.2.v1.2 pep primary_assembly:ASM411807v1:1:3736577:3737823:-1 gene:Vigun01g030200.v1.2 transcript:Vigun01g030200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPAVFFGTNCNNSSAERYISSPPQGFFVFTDSIVASRLHHQRLCNAIGSTVRWLRRHHCCFYCCSRWLLTFK >Vigun09g231600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40298801:40300750:-1 gene:Vigun09g231600.v1.2 transcript:Vigun09g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPEPPPINIEPEYCPQAVTQWYYLASLPSNRRECSLRRDLSLQAKKHLRYLGWTFWYIDKKDKWELRYTSPITGKNHISLARACKACIEEGGCIENPQDFQENNVVHVEYPTSEPKKEKRESEKKTRLCKRRQKDDHGDELGEGSSSMNGRRGKPARSIKGETPSSRSSQPHRRTLIPWLIENSAVGLDSVVSCRGSNGVVKKGKLSYNGIACDCCGKFFSPTRFEAHARCQKHRPNASIFLEDGRSLLDCKNEALSSQRNGFVKEEEIDDCGYPNDSTCAVCRYGGELVLCDGCPSSFHLKCLGLTVVPDGDWFCPLCCCKICRRPRCRDDHAHNVGVNSILVCPQCERKYHFGCLKAPASSHTMLEKEEDDVGNRNWFCSRDCEKIFFGLQELLGKNINVDADNVTWTLLKAVKRDSSGEDYLNLEGVEGLSENERKLSVALDVLRGSFDPIIDAFSGRDLIADVVFSRGSELGRLNFSGFYTVVLEKDKEVVSAATVRILGKNVAEIPFVATRMQCRRQGMCSMLMNEIEKQLSYLGVERLVLPSSCSAINTWTKSFDFVKMKPSDKSKLLDYVFLNFEGTIMCHKPLLQETYV >Vigun10g176400.3.v1.2 pep primary_assembly:ASM411807v1:10:39494408:39498998:1 gene:Vigun10g176400.v1.2 transcript:Vigun10g176400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEDVTGDLSDSDDNSNSAPEMSQSVISFEVPNHNGSLKMHSVQGRRRRTPNDSEDDGSEGVKRMRGLEDLGIGVVSKKKVQVTGATEIGQHISASLNNSTTGNCLSNGTSVNGGKGYSSTLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAMVSVPVICDQLPSSNSSPLCGVTDGRISGLDSNDSKKTFPMATHNSDSTEAACENGTSSIVPDHGSGDDGSQINHGVKEKETSDQPALVGKDSPDKLFDVPFVGDLGEEKHTPALSPILVSCSPDKPEVSALGQQSCNVSQCEAVSLRDEVKNEPGCTSSAAAHNIVGHRQDKGSSKWQSKGKRNSRHTSKNIKHISRKYADTDHQSSASLPGIGNSDGTSYKGAGSKVDWNGMDPLHTSYNCNSQVKNKPVAEGQLEGFRDLNKHIKATTTEAKLSPDGSLTPQRLLPYRHSRFTVNSRYQMADFPGRNYCSDASLYDVKLEVKSSYRPQHVPLVSLVSKLNGKAFIGHPLTVEVLEEGHCDKMLSGSGCDMEASDVFCMAKPNLVTGRIHSKNSTRFSPKSSRMKKTGLMNKKIRKLSSLTGHKQSEEERKPVVDKLKGPVIACIPLKVVFSRINEAVSGQARSTHRALPTSSTNP >Vigun10g176400.1.v1.2 pep primary_assembly:ASM411807v1:10:39493330:39498998:1 gene:Vigun10g176400.v1.2 transcript:Vigun10g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGKSNVNGIDASVGGLVWVRRRNGSWWPGRILGLHELSESCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANSNKKAVKYARREDAILHALELESAHLDKESLSLCSGLDKPGSEHGESAEELPLMSNSEDVTGDLSDSDDNSNSAPEMSQSVISFEVPNHNGSLKMHSVQGRRRRTPNDSEDDGSEGVKRMRGLEDLGIGVVSKKKVQVTGATEIGQHISASLNNSTTGNCLSNGTSVNGGKGYSSTLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAMVSVPVICDQLPSSNSSPLCGVTDGRISGLDSNDSKKTFPMATHNSDSTEAACENGTSSIVPDHGSGDDGSQINHGVKEKETSDQPALVGKDSPDKLFDVPFVGDLGEEKHTPALSPILVSCSPDKPEVSALGQQSCNVSQCEAVSLRDEVKNEPGCTSSAAAHNIVGHRQDKGSSKWQSKGKRNSRHTSKNIKHISRKYADTDHQSSASLPGIGNSDGTSYKGAGSKVDWNGMDPLHTSYNCNSQVKNKPVAEGQLEGFRDLNKHIKATTTEAKLSPDGSLTPQRLLPYRHSRFTVNSRYQMADFPGRNYCSDASLYDVKLEVKSSYRPQHVPLVSLVSKLNGKAFIGHPLTVEVLEEGHCDKMLSGSGCDMEASDVFCMAKPNLVTGRIHSKNSTRFSPKSSRMKKTGLMNKKIRKLSSLTGHKQSEEERKPVVDKLKGPVIACIPLKVVFSRINEAVSGQARSTHRALPTSSTNP >Vigun10g176400.2.v1.2 pep primary_assembly:ASM411807v1:10:39493330:39498998:1 gene:Vigun10g176400.v1.2 transcript:Vigun10g176400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEDVTGDLSDSDDNSNSAPEMSQSVISFEVPNHNGSLKMHSVQGRRRRTPNDSEDDGSEGVKRMRGLEDLGIGVVSKKKVQVTGATEIGQHISASLNNSTTGNCLSNGTSVNGGKGYSSTLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAMVSVPVICDQLPSSNSSPLCGVTDGRISGLDSNDSKKTFPMATHNSDSTEAACENGTSSIVPDHGSGDDGSQINHGVKEKETSDQPALVGKDSPDKLFDVPFVGDLGEEKHTPALSPILVSCSPDKPEVSALGQQSCNVSQCEAVSLRDEVKNEPGCTSSAAAHNIVGHRQDKGSSKWQSKGKRNSRHTSKNIKHISRKYADTDHQSSASLPGIGNSDGTSYKGAGSKVDWNGMDPLHTSYNCNSQVKNKPVAEGQLEGFRDLNKHIKATTTEAKLSPDGSLTPQRLLPYRHSRFTVNSRYQMADFPGRNYCSDASLYDVKLEVKSSYRPQHVPLVSLVSKLNGKAFIGHPLTVEVLEEGHCDKMLSGSGCDMEASDVFCMAKPNLVTGRIHSKNSTRFSPKSSRMKKTGLMNKKIRKLSSLTGHKQSEEERKPVVDKLKGPVIACIPLKVVFSRINEAVSGQARSTHRALPTSSTNP >Vigun07g017400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1457836:1458111:-1 gene:Vigun07g017400.v1.2 transcript:Vigun07g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTLSARNQASSKVLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNG >Vigun03g420800.1.v1.2 pep primary_assembly:ASM411807v1:3:62634971:62640255:-1 gene:Vigun03g420800.v1.2 transcript:Vigun03g420800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSRIWQKRAFISSRTFFSASASSHSSSSSSPTLPSRFLKPNAPSSHVSFYFPRVNRCLFCTQPALDLLASKLVDVPLAQTGEGIAECELLKWYVQEGDYVEDFQPLCEVQSDKATIEITSRYKGKVSNILCGPGDIVKVGETLLKILVDDSASPSVTLGDSVNAKSPDSDKTSVNVPVFTTVVDDSDNAKLIDSDPGKGRQTGILSTPAVRSLAKQHGIDITEVCGTGKDGRVLKEDVLNFAVSKGIIKDPSALLHTDSVEQLQGAEGYNYNIATKSCSPSEDRTVPFRGFQRAMVKSMSLAAKVPHFHYVDEINCDALVELKTSFQKNNPYPDIKYTFLPILIKSLSMALSKYPFMNSCFKEDAVEVVLKGSHNVGIAMATQHGLVVPNIKNVQSLSILEITKELARLQQLASNNKLTSEDICGGTITLSNIGAIGGKFGSPLVNLPEVSIIAIGKIQKVPRFADNGNVYPASLVTVNIGADHRVLDGATVARFCNEWKQLIENPELLTLYLR >Vigun05g002500.3.v1.2 pep primary_assembly:ASM411807v1:5:197321:200979:1 gene:Vigun05g002500.v1.2 transcript:Vigun05g002500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPQSSDGRGDDDTALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNLRHQEYFADSPSTGMDPATREE >Vigun05g002500.2.v1.2 pep primary_assembly:ASM411807v1:5:197321:200979:1 gene:Vigun05g002500.v1.2 transcript:Vigun05g002500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPQSSDGRGDDDTALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDKQQKDKRLVLTMEDLSKALREYGVNLRHQEYFADSPSTGMDPATREE >Vigun07g251200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37038662:37039258:-1 gene:Vigun07g251200.v1.2 transcript:Vigun07g251200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGTTQKCMACDKTVYLVDKLTADGRVYHKACFRCHHCRNTLKLSNYCSFEGVLYCRPHYDQLYKRTGSLDKSFEGTPKVQKPEKPIIESENSKGIANVFLGTRDKCVCCKKTVYPTERVTVNGTPYHKSCFKCTYGGCTISSSNFITHEGKLYCKHHHIQLFKEKGNYSQLENDQVPTTTTESSTSTTSTEPVA >Vigun07g157000.2.v1.2 pep primary_assembly:ASM411807v1:7:26816988:26818871:-1 gene:Vigun07g157000.v1.2 transcript:Vigun07g157000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSRKHIFWGSYHSHTLHTFYAGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYHYLTKRQLHTTARLFKAEANVSTGSVVIEAPSGFLFEWWSGTYILPGQIRSSILQ >Vigun07g157000.1.v1.2 pep primary_assembly:ASM411807v1:7:26815498:26818871:-1 gene:Vigun07g157000.v1.2 transcript:Vigun07g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSRKHIFWGSYHSHTLHTFYAGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYHYLTKRQLHTTARLFKAEANVSTGSVVIEAPSGFLFEWWSGTYILPGQIRSSILQ >Vigun09g062600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6534406:6535791:1 gene:Vigun09g062600.v1.2 transcript:Vigun09g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWVQELHIHHFTIPVTIDRMSSVMPSRPIPVKPGDTLYLSNLDDMIGARVFTPTVYFYQLHSTGCSPQKPVTKILQCALADVLVPYYPLSGRLRETKNGKLEVFFGPEQGALIVEARSDVALTELGDLTAPNPAWEPLIFKFPNEEQYKVLEMPLVIAQVTLFRCGGFSLGLRLCHCICDGMGAMQFLGAWAATARTGMLVIDPVPCWDREVFRPRDPPVVKFPHLEFMRIEEGSNLTMTLWKTKPVQKCYRIKREFQNRVKALAQPYDAAGCTTFDAMAAHIWRSWVKALDVRPLDYQLRLTFSVNARQKLRNPPLKEGFYGNVVCVACTTSTVCELVHGELPETTLLVREARQSVSEEYLRSTVDYVEVDRPRQLEFGGKLTITQWTRFSIYKCADFGWGRPLYAGPIDLTPTPQVCVFLPEGEADCSDASMIVCICLPESAAQKFTQALLLHSDF >Vigun06g183900.2.v1.2 pep primary_assembly:ASM411807v1:6:30347173:30349113:1 gene:Vigun06g183900.v1.2 transcript:Vigun06g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACETNTWLVMFFLFLAANCMQHCVLGVSQVPCLFIYGDSMSDSGNNNELPTTFKSNYRPYGVDFPLGPTGRFINGRTEIDIIAQFLGFESFIPPFANTSGSDILKGVNYASGGAGIRVETGSHAGGSISLRSQLANHRVIVSEIATRLGSPDLAQQYLEKCLYYVNIGSNDYMANYFLPQFYPTSSIYTIEQYTEALIEELSLHLQALHEVGARKYAIAGLGLLGCIPGIMASYGTNESCVEEQNAAAFHFNNKLKALVDGLNDAFSADSKFIFMDTQALAIYLREQQRTLFGFFYSKKIFVFN >Vigun06g183900.1.v1.2 pep primary_assembly:ASM411807v1:6:30347173:30349113:1 gene:Vigun06g183900.v1.2 transcript:Vigun06g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACETNTWLVMFFLFLAANCMQHCVLGVSQVPCLFIYGDSMSDSGNNNELPTTFKSNYRPYGVDFPLGPTGRFINGRTEIDIIAQFLGFESFIPPFANTSGSDILKGVNYASGGAGIRVETGSHAGGSISLRSQLANHRVIVSEIATRLGSPDLAQQYLEKCLYYVNIGSNDYMANYFLPQFYPTSSIYTIEQYTEALIEELSLHLQALHEVGARKYAIAGLGLLGCIPGIMASYGTNESCVEEQNAAAFHFNNKLKALVDGLNDAFSADSKFIFMDTQALAIYLREQQRFEVPEAPCCQPGLSGLCIPDETPCNDRNAYVFWDAFHPSEAWNLLNAITNYNSTSTSAFTYPMDIEHLVDYEIKMELELTNHSTSRLSATE >VigunL013601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:120575:120691:1 gene:VigunL013601.v1.2 transcript:VigunL013601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSNLNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun10g079200.4.v1.2 pep primary_assembly:ASM411807v1:10:21675165:21679881:-1 gene:Vigun10g079200.v1.2 transcript:Vigun10g079200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIWKTMGFRIELIGAYPTCRKTQFLDRTNCLLHWSHRYVGSIHNLGGLMNATCGNSTELIIAIFALSHNNIALVKYSLLGSVISNLLLVLGTSLFCGGLVNLRQEQKYDRRQADMNLLMLFVALHCHLLPMLFHYAGASEGVTAESSLQLSRAASIIMVIAYFAYLVFQLWTHRTLFEDHDQNEEEGDTDSDEAVIGFWSGCVWLVGITVIIAVLSEYVVETIQDASNSWGISVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVCLGSATQISMFVVPLCVIVAWILGIQMDLTFNLLETGSLALAIITTAFTLQDGTSHYMKGLVLFLCYIIIGACFFVQRTSSDQINASSIMLKSAREEVFRA >Vigun10g079200.3.v1.2 pep primary_assembly:ASM411807v1:10:21675100:21679881:-1 gene:Vigun10g079200.v1.2 transcript:Vigun10g079200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIWKTMGFRIELIGAYPTCRKTQFLDRTNCLLHWSHRYVGSIHNLGGLMNATCGNSTELIIAIFALSHNNIALVKYSLLGSVISNLLLVLGTSLFCGGLVNLRQEQKYDRRQADMNLLMLFVALHCHLLPMLFHYAGASEGVTAESSLQLSRAASIIMVIAYFAYLVFQLWTHRTLFEDHDQNEEEGDTDSDEAVIGFWSGCVWLVGITVIIAVLSEYVVETIQDASNSWGISVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVCLGSATQISMFVVPLCVIVAWILGIQMDLTFNLLETGSLALAIITTAFTLQDGTSHYMKGLVLFLCYIIIGACFFVQRTSSDQINASSIMLKSAREEVFRA >Vigun10g079200.2.v1.2 pep primary_assembly:ASM411807v1:10:21675165:21679881:-1 gene:Vigun10g079200.v1.2 transcript:Vigun10g079200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMENGGGANMKGLLNKEMRHGRTAHNMSSSSLRKKSDLTLVSKISCGPLKDMLVNIQEVVLGTKLSILFPAVPIAIVAQCYGFGRPWVFALSLLGLTPLAERLSFLTEQIACYTGPTVGGLMNATCGNSTELIIAIFALSHNNIALVKYSLLGSVISNLLLVLGTSLFCGGLVNLRQEQKYDRRQADMNLLMLFVALHCHLLPMLFHYAGASEGVTAESSLQLSRAASIIMVIAYFAYLVFQLWTHRTLFEDHDQNEEEGDTDSDEAVIGFWSGCVWLVGITVIIAVLSEYVVETIQDASNSWGISVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVCLGSATQISMFVVPLCVIVAWILGIQMDLTFNLLETGSLALAIITTAFTLQDGTSHYMKGLVLFLCYIIIGACFFVQRTSSDQINASSIMLKSAREEVFRA >Vigun10g079200.1.v1.2 pep primary_assembly:ASM411807v1:10:21675021:21679881:-1 gene:Vigun10g079200.v1.2 transcript:Vigun10g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMENGGGANMKGLLNKEMRHGRTAHNMSSSSLRKKSDLTLVSKISCGPLKDMLVNIQEVVLGTKLSILFPAVPIAIVAQCYGFGRPWVFALSLLGLTPLAERLSFLTEQIACYTGPTVGGLMNATCGNSTELIIAIFALSHNNIALVKYSLLGSVISNLLLVLGTSLFCGGLVNLRQEQKYDRRQADMNLLMLFVALHCHLLPMLFHYAGASEGVTAESSLQLSRAASIIMVIAYFAYLVFQLWTHRTLFEDHDQNEEEGDTDSDEAVIGFWSGCVWLVGITVIIAVLSEYVVETIQDASNSWGISVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVCLGSATQISMFVVPLCVIVAWILGIQMDLTFNLLETGSLALAIITTAFTLQDGTSHYMKGLVLFLCYIIIGACFFVQRTSSDQINASSIMLKSAREEVFRA >Vigun03g197800.1.v1.2 pep primary_assembly:ASM411807v1:3:28238653:28243501:1 gene:Vigun03g197800.v1.2 transcript:Vigun03g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNQNQRSLIYAFVSRGTVILAEYTEFSGNFNTIAFQCLQKLPASNNKFTYNCDGHTFNYLVDNGFTYCVVADESIGRQVPVAFLERVKDDFIAKYGGGKAATAAANSLNKEFGSKLKEHMQYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHHQAQDFRNSGTKIRRKMWLQNMKIKLIVLAILIALILIIVLSVCRGFNCGK >Vigun09g276000.2.v1.2 pep primary_assembly:ASM411807v1:9:43638842:43643348:1 gene:Vigun09g276000.v1.2 transcript:Vigun09g276000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGMEFTWLISSCHFFSSLLEFLLHSFIRPQRTQATCKAFARAVKLFVLGVVLQGGYFHGITSLTFGVDIERIRWLGILQRISIGYIVAALIEIWLPPPRWKEINFVKNYYWQWFVVVILLALYSGLLYGLYVPDWQFDVSASSSSLPPIGGGDIYTVNCSVRGDLGPACNSAGMIDRYILGLGHLYRKPVYRNLRECNMSKGQVSDSSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHVLAHLQDHKERLYNWMCFSLSLLALGLFLALTGIPINKALYTVSYMLLTSAASGLTFIALYYLVDVHGHRRLTAVLEWMGKHSLSIFVIVSSNLAVIAIQGFYWSKPENNIINWIVTRFDRT >Vigun09g276000.1.v1.2 pep primary_assembly:ASM411807v1:9:43638842:43643348:1 gene:Vigun09g276000.v1.2 transcript:Vigun09g276000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPLLLNDSEPTQFQNARPTRIASLDVFRGLSVFLMIVVDYGGSIFPIIAHAPWNGIHLADLVMPFFLFIAGISLALVYKRRPQRTQATCKAFARAVKLFVLGVVLQGGYFHGITSLTFGVDIERIRWLGILQRISIGYIVAALIEIWLPPPRWKEINFVKNYYWQWFVVVILLALYSGLLYGLYVPDWQFDVSASSSSLPPIGGGDIYTVNCSVRGDLGPACNSAGMIDRYILGLGHLYRKPVYRNLRECNMSKGQVSDSSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHVLAHLQDHKERLYNWMCFSLSLLALGLFLALTGIPINKALYTVSYMLLTSAASGLTFIALYYLVDVHGHRRLTAVLEWMGKHSLSIFVIVSSNLAVIAIQGFYWSKPENNIINWIVTRFDRT >Vigun07g297500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40771964:40773748:-1 gene:Vigun07g297500.v1.2 transcript:Vigun07g297500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSRTNEVEHVSPADGVPELLKELERMVDRYMARSNFIWEDDEAEEEDKPLLQFLDLVDRISTASSSFSSSSSSSTVIASQEESDQIALVLEKAMSLLEKHLSYLLYEDPKSKPPNKSLSFGSPSHLSPVPESPSPRPSHQDHHSQDPFDFSPQKVSTLNKIATSMIAAGYHIECYLVFASFRRTAFRTTLQTFGFGNTKMEDLCKMPWESLEAEIATWNQVVWHCTTVLFKSERKLYESIFPDHPSISQNLFCDLARQVIVHLLNFAQCAVLTKWTTEKLFKFLDMYETLREDVVGGSYLESGAKELAYEADTAKDMIVEAIMAMFCDLKNSIKNDNERIPVPNGAVHPLTRYVMNYLKYACEYKDTLEEVFEQGERRNEKEMDEVEDVEKRKNSGFAAQLMNIMDLLDANVERKSQLYRDDALRYFFLMNNGRYVVQKVKGCEELHELMGDNWCRRRQSGLRLYHKCYQRETWTKVLQCLKPEGLQQGTGNKVSKQLVKERFKCFNRMFEEIHKCQSCWMVSDQQLQSELRVSISSLVIPAYRSFVGRFKQHLESTRHIDKYIKYHPDDIELLIDDFFAGNAASMPRRRT >Vigun07g057000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6262463:6264695:1 gene:Vigun07g057000.v1.2 transcript:Vigun07g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLACFQLLELNVISAQDLAPAGRSMRTYAVAWIDPDRKLSTRVDSHGGTNPTWNDKFVFRIDEDFLYDDKSVITIDIYALHWFRDIHVGTAQVLSGDLFPPTSQPQPQRNTYTPTGMRFMGLQVQRPSGRPKGILNIGAARIDSSMRSMPLYMQNSPIVGYSQDDHDQPKRQAKPELRRSKSDCSSMLASEVIVPEPQAKTKRGRASSQILASEISSKKSKKKASSILSATFMKSAPKDGKLGRKKTKVRGHESPNVDYPVKSTPKREFQNSPFAAKSYNYVGSVRATPLHAFAVADTTMEYGTPYRSNLGCRPFMTDSELGPSASEVAEVVARLPMPMEEGENSTVEGWSFDDDGGEGLPPKVERWQTESNVSGVSRKGKHSRRQGDGLFSCFSVICGVECSIVCGAGGGSKKHRRRRVQAVDNESFLSEEEAY >Vigun11g189500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38914396:38916051:-1 gene:Vigun11g189500.v1.2 transcript:Vigun11g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPSPSFPTRKSPHNLCIVKPLNSNSSLSDAVSSLDLLRLKGIRLPSHILATLLRRCSKTRSFREGKLIHLHLKLTGFKRPPTLLANHLITMYFSCGDFVQARQVFDKMDDRNLFTWNNMLSGYAKLGMMKQARSFFYRMPHKDYVSWNSMVSGYANRGRFDEALRFYRRFRRLAVGYNEFSFASVLIVSVKLKEFELCRQIHGQVSVVGFLSNVVISSLIIDAYAKCGKMEDARRLFDDMPVRDVRAWTTLVSAYAAWGDMESSAELFSQMPKSNSCSWTSLIRGYSRKCMGHEALGVFREMIKHRVRPDQFTFSTCLLACATIASLKHGKQIHAFLVRNSIKPNTVVISAIVDMYLKCGRLETARRVFNFAGNKQDVELWNTILSTLAHHGYFTEAITMLYNMLRSGVKPNRTTFAVILNASSHSGLVQEGLQLFKSMPSEHGIVPDQQHYAGLTNLFDEAGCLNDSGKDLQMMDSKPDDHVVCRMRGNIDHGREVAVLLIKLQPQSSAAYELLSSIYAALGKWELVEKTRHILDERQGRKEQAIS >Vigun09g073000.1.v1.2 pep primary_assembly:ASM411807v1:9:8096957:8098934:-1 gene:Vigun09g073000.v1.2 transcript:Vigun09g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIKQRHIRVGRQVVNIPSFLVRVDSQKHIDFSLTSPLGGGRPGRVKRRNQRAAAKKAAGGDGDEEDED >VigunL080600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:20498:21103:-1 gene:VigunL080600.v1.2 transcript:VigunL080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >Vigun03g129700.1.v1.2 pep primary_assembly:ASM411807v1:3:12590139:12592964:-1 gene:Vigun03g129700.v1.2 transcript:Vigun03g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSDLIGVVLPSETPSVKPDITAGETLYYSAELQFPNSMSKAEKKERVDATLREMGLQDAINTRVGGWSCKGLSGGQKRRLSICVEILTHPTLLFLDEPTSGLDSAASYYVMTGIASLNLKDGIQRTIVTSIHQPSSEVFQLFHHLCLLSSGETVYFGPASDANQFFSSNGFPCPPLYNPSDHYLRIINKDFNQDADEGITTEEATNILVSSYKSSEYRNQVQNQIAKISENDSGVVGRKKIHAAFLTQCLVLLKRSSKQIYRDITHYWLLLTVFIAVSVSLGSIFYHVGASMRSIQERGSLFCFFISVLCFMILIGGFSPLIEEMKVFKRERLNGHYGITAFLVGSTFSAVPYTLLVSFIPGAIVNYLSGLHKGLDNFVYFASVLFATVMWVESLMMVVGSIFSNFVMGVITAGGVEGLMILTSGFYRLPNDLPKPLWKYPFYHVSFLNYALQGLLKNEFEGLMFFSDEDGGARSVGGRDILSETWQVQMGHSKWIDLAIMFGMIVLNKVLFFVITKCKEKLEHVHVGTNGPQANINEL >Vigun05g254200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44879656:44881917:-1 gene:Vigun05g254200.v1.2 transcript:Vigun05g254200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIILLYGATMLYLCFLIWKLFDQKRDQECYILDYQLYKPSDERKLGTECCGRIIERNKHLGLNEYKFLLKAVVNSGIGEETYAPSNVIEGREAAPTLNDGVKEMEEFFRDSIAKLLASSGISPSEIDVLVVNVSMFSAVPSLTSRIINRYKMREDIKAYNLTGMGCSASLISLDIIKNVFKSQKNKFAVLVTSESLSPNWYNGNDRSMILANCLFRSGGCVILLTNKRSFKHRAMMRLKCLVRTHHGAREDAYSCCNQKEDEEGRLGFYLGKNLPKAATRAFVDNLRVLSPKVLPTRELLRFMVVSLFRKVREICSLKSSSGGSSKSNNKSPLNFKTGIEHFCLHTGGKAVIDGVGMSLDLCEYELEPARMTLHRFGNTSASSLWYVLGYMEAKKRLMKGDRVLMISFGAGFKCNSCMWEVMKDLRDRPNVWDECIDDYPPESLANPFMEKFGWINDVQEATNFKLHGFLK >Vigun10g028650.1.v1.2 pep primary_assembly:ASM411807v1:10:3537234:3538007:-1 gene:Vigun10g028650.v1.2 transcript:Vigun10g028650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGRGQCLCNFLFFFFFFELWYIYYVELQILELPPKAHLIASSEMTAIEMFNYGDHIFCIQGHPEFTHDILFHFIDRIITRNLVQEAFALDAKDKAALLKPDKDNLKTLCVNFLKGRL >VigunL078400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000577.1:17140:22016:1 gene:VigunL078400.v1.2 transcript:VigunL078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGQNGLISELENGVTLCCDEECCEKETVTTSIQYAVKVLLMGLGEDINREGIRKTPLRVAKALSEGTRGYVQSVKEIVEGALFPEAGIENNKVGDAGGVGGVVIVRDLEFYSYCESCMLPFYFTCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFPDMESYSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIDKDKIHDKGSMDDQCWCPSLSSKVSSKNEELNPAMLTAVASILKSLGEDPTRKQLQGTPARYTKWLMNFKCSSIERALNCSLGIRTNGILNTNGGLGFHEKLHSELNMPFLSQCEHHLLPFHGVVHIGYFISKGFHPIEKTFLQSIVHFYGFKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDTYISCR >VigunL078400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000577.1:15322:22016:1 gene:VigunL078400.v1.2 transcript:VigunL078400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGVKRENEVRMCDGKECFENESSTTSIEDAVKVLLMGLGEDINREGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEAGIENNKVGDAGGVGGVVIVRDLEFYSYCESCMLPFYFTCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFPDMESYSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIDKDKIHDKGSMDDQCWCPSLSSKVSSKNEELNPAMLTAVASILKSLGEDPTRKQLQGTPARYTKWLMNFKCSSIERALNCSLGIRTNGILNTNGGLGFHEKLHSELNMPFLSQCEHHLLPFHGVVHIGYFISKGFHPIEKTFLQSIVHFYGFKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDTYISCR >Vigun02g072400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22372790:22376244:1 gene:Vigun02g072400.v1.2 transcript:Vigun02g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEGVLGSLTSLAEAELGPFLGFSEEKEKLESMFTAIKAALEDAEEKQFSDKSTKDWMGKMKDAAYELDDILDECVYEQLRLEQEEVRCCVSKMVLSSSFSSFHPMNIYFRYKIAKRMKILSERLDRIASEKDQLRLNFTVQEETRGVPKWRQTFSLVTEPKVYGREDDVKKIVVFLAGAASRAENLPVYPIVGQGGLGKTTLAKLIFNHNDLKDFQLRIWVCVSEDFGLERMLKAIIQAASNKEVCKDLGPEPMQRRLRNLLTGKRYLLVLDDVWDVVGQNWENNWQMLRSVLDCGEKGASVLVTTRFSNVAEIMGTVKHPHRLSELSVDYCWELFKDQAFGADEVEPEELEMIGREIVKKCGGVPLAANAIGGLLRFHRNKDKWLNIMRSNLLTLSPNEKSIMPVLRLSYLNLPIELRQCFAYCAIFPKDERIEKQYLIELWMANGFISSYGRLGAEDVGHDVWNELYRRSFFQDIETDEFGKVTSFKMHDLVHDLAQFVAEEVCCITDDNVAPVFFERKRIHHLSDYRWWIHSTQLHQVKSLRTYVDSRTTDELSYDVLRCYSLRVLHLSRWKELSSSIGDLKHLRYLNLSYGDFKSLPESLCKLLNLQILKLDYCYSLQRLPNSLVRLKALQELSLKNCRSLSRLPPYIGKLTSLRSLSIFFVGKERGFLLAELGQLKLKRNLDIKHLEKVKSGNDAKEINMSIKQLNKLTLTWGRFREGKLEGNDEEVLEALEPCTETLLSLRVEGYQGSRFPEWMSSPSFRYLTYLVLWSCRNCVQLPLLAKLPSLKRLNIVWAEYVKYLHEPSCDDDAAFMALEFLSLCRLPSLLRLSSEDGKNLFPCLTTLEIDDCCNLLAEEVWLQGLQSLKKLKAKMCPKLNVWAGLQCLTCLEDLTIERCEEVEGLQHMTALKKLTLRDVPNIQSLLHELRFGELPLLRELRIGECYKLMCLPTSLRLSSVEMLSIMGCNPELKKRCEKETGEDWPIIAHIPRVYTH >Vigun06g204800.1.v1.2 pep primary_assembly:ASM411807v1:6:31898103:31903863:-1 gene:Vigun06g204800.v1.2 transcript:Vigun06g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKAILDYALFQLTPTRTRCELLVFCGGAHQKIASGLFEPFVSHLKFVRDEISKGGYSIKLLPPSNSAFWFTRATFERFVRFVSTPAILERFVSLENEILQIESSFQANALSISNATADEGIVPQNNGNTRRLSDSAKLNDVLEGVDIKEEESSKVSLHRLLESRIALLRKEQAMAYSRGLVAGFEIDSIDDLIYFANAFGATRLREACINFKELWKKKHADDLWIKEVAAMQSSLPPALSLSGSSGIILANDITVNDQNSIASGDGTETSNSTSNKKEDVNLPTPDQKPSHTANVHMQMPWPYNVPPYMYNLPQMPSYQGYPMTNMQTVPPYLLPNVQWSSDMGVNQKPSSTKKDKSHKKRAEEYEEDQQSESSDPNSGSESDSDKQNHSSHSSKDDQKRRKHRRKSSGTVVIRNINYITPKRRNGNEDGVSDESSEDDDIIDEQIIKQKVGVALESLQKVHKGEKHANGKKAAARHKVTKSSDATEEDIAENLSDASQGGNNNDNWSAFQNLLKIDEGTGIDGPERMKSIDVRDEHFMVRSSEETMAYAAGSTPNLDFKEVLKNPKVPNDSFIVANRDGGNEGGSKLDEYVDNIGPVTKSRDNVGEEIVLSHRSNEPGNKHSDPLSTFAADSLQTKGRTSDDWFIVENLEKMRSPDPQIVSAAVFDGDYTSPVNGHSQSEKRSERTLVDDSFMIQGQLVNNDLSGYQWKTDLSMVADLTHANKPESDAASSNEKRALSKNQEPSDLFVVLQRDSGLDSAEGSRALDYEIDFSLRETDRRSSFDHSHDKLNDNLPASPVKTSVSKSKTSGTRSSEKDEKSKALRSSSGKGKPEIISRARKPSLPSRPIVQKSKREQEDENRKRMEELRNERQRRIAERTASSGLARGGPKKDQIEGKTARVSPKNDKNKTQPVKETNRNSSVKVRGF >Vigun06g210200.2.v1.2 pep primary_assembly:ASM411807v1:6:32333767:32337262:1 gene:Vigun06g210200.v1.2 transcript:Vigun06g210200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSHTCLLNALFIAFCIIFLGLASARNTLTHSQSIRDSEIVTSNDSVFVLGFFSPQNSTHRYVGIWYLSDANVIWIANRDQPLLDSSGVLKISKDGNLVLVDGKNHVIWSSNVSNTATRYCTAQISRYGKLVLKDDSTGQTVWESFEHPCDAAVPTMKISANKVTGEKIQFVSRKSASDPSTGYFSASLERLHAPEVFLWINGTRPYWRTGPWNGRIFIGTPLMSTGYLYGWSVGYEGNDIVYLTYSFADPSSFGILTLSPEGKIKLVRYYNNKKGLTLDLGISDCDVYGTCGAFGSCNGQSSPICSCLSGFEARNQEEWIRKNWTSGCVRKVPLQCERLDNGSEAVQEDRFLKLETMKVPDFAERLDVEEGQCGTQCLKNCSCLAYAYDAGIGCLYWTRDLIDLQKFQTAGNAQEHANKRRGKELIIGVTAATAGTIIFAICAYLAIRRFQSLKGTAEDSGSESHKLTAVQKPAKLDELPLFEFEVVANATNSFHLANTLGKGGFGPVYKGLLPDGQEIAVKRLSRASGQGVEEFMNEVMVISKLQHRNLVKLLGCCIEGDEKMLIYEFMPNKSLDAFIFDPLRRKLLDWTKRFTIVEGVARGLLYLHRDSRLKIIHRDLKASNILLDADLNPKISDFGLARIYKGEDEVNTKRVVGTYGYMSPEYAMEGLFSEKSDVYSFGVLLLEIISGKRNTSFRNDDQSLSLIGYAWNLWNEDNISFLVDPEISASGSENHILRCIHIAFLCVQEVAKTRPTMTTVLSMLNSEISHLPPPRQVAFVQKQSSSSLESSSQENNCNSNNHVTLTDIQGR >Vigun06g210200.1.v1.2 pep primary_assembly:ASM411807v1:6:32333767:32337262:1 gene:Vigun06g210200.v1.2 transcript:Vigun06g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSHTCLLNALFIAFCIIFLGLASARNTLTHSQSIRDSEIVTSNDSVFVLGFFSPQNSTHRYVGIWYLSDANVIWIANRDQPLLDSSGVLKISKDGNLVLVDGKNHVIWSSNVSNTATRYCTAQISRYGKLVLKDDSTGQTVWESFEHPCDAAVPTMKISANKVTGEKIQFVSRKSASDPSTGYFSASLERLHAPEVFLWINGTRPYWRTGPWNGRIFIGTPLMSTGYLYGWSVGYEGNDIVYLTYSFADPSSFGILTLSPEGKIKLVRYYNNKKGLTLDLGISDCDVYGTCGAFGSCNGQSSPICSCLSGFEARNQEEWIRKNWTSGCVRKVPLQCERLDNGSEAVQEDRFLKLETMKVPDFAERLDVEEGQCGTQCLKNCSCLAYAYDAGIGCLYWTRDLIDLQKFQTAGIDLYIRLAHSEFQSSNAQEHANKRRGKELIIGVTAATAGTIIFAICAYLAIRRFQSLKGTAEDSGSESHKLTAVQKPAKLDELPLFEFEVVANATNSFHLANTLGKGGFGPVYKGLLPDGQEIAVKRLSRASGQGVEEFMNEVMVISKLQHRNLVKLLGCCIEGDEKMLIYEFMPNKSLDAFIFDPLRRKLLDWTKRFTIVEGVARGLLYLHRDSRLKIIHRDLKASNILLDADLNPKISDFGLARIYKGEDEVNTKRVVGTYGYMSPEYAMEGLFSEKSDVYSFGVLLLEIISGKRNTSFRNDDQSLSLIGYAWNLWNEDNISFLVDPEISASGSENHILRCIHIAFLCVQEVAKTRPTMTTVLSMLNSEISHLPPPRQVAFVQKQSSSSLESSSQENNCNSNNHVTLTDIQGR >Vigun03g149300.1.v1.2 pep primary_assembly:ASM411807v1:3:15332893:15336733:1 gene:Vigun03g149300.v1.2 transcript:Vigun03g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAISLRYCPFPYSSKGFQSAGSIRFHLRLNHATSLCAPQFCGTRYQVTRSVGHANCSVGRFSEPSQKEGRGSKILRGVKGASLVLACVLGLFNLKMNPKLITAHASSSFPNFNSPMSESEVDPSNVEGKGRDALKSLLKTVKDAEVETYQNEIIPSRFSKLGPSAEEVNTLKCIAIGESKSERGGQALKTLHEEYIKCKNNSPEAEEYLAVALIEVFLLLQENLEEARKILDEQIDQLLQSSDSQIFSRKKANKVTPKEKCDELLNLYKDSKFIRVVIAKWILYKAIVHTMLEDKEAGKWGRPNKEALQWRKAFIKTF >Vigun10g058556.1.v1.2 pep primary_assembly:ASM411807v1:10:11141948:11143531:-1 gene:Vigun10g058556.v1.2 transcript:Vigun10g058556.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLILLLFVFGVSAWTSEIHGRFICDVCGDSYLGPEDHVVEVTVDPKTLYLQSKFQWFCRIEDQTRRILVIFLWFCSIYVSLKLVFSTSLILVEVKISQLSDCWDACLAQPISSFHEQCTQLGEDSLGVKFSYNHPSGYSHNVRTFVYRPTNVPTYCI >Vigun03g292200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47695746:47696547:-1 gene:Vigun03g292200.v1.2 transcript:Vigun03g292200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLVLPLSLIRRRSMRYQHLSKEKESENPITVVVGKEKRVFLVEPFILEENPFRVLMDTSKRKMGKDPKDKDNHHLHHKRNRVVLVDVDAILFEHMLWLMYNDFSSFFNLNLNDIIDFYAQDV >Vigun07g240100.6.v1.2 pep primary_assembly:ASM411807v1:7:36127998:36133492:-1 gene:Vigun07g240100.v1.2 transcript:Vigun07g240100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYLLSNGILLQSSDAPTVKNFLETHPGAYTTSRTHSNASWVLFWERHMKRLSESIQILSNSAPWLLFKSNHPAVLSPSSAILPIWQPTVQVLVNDSLCKVLPIALKERKVCQELAITTLVSGNLEELNACETVSNENMSKILDVHVHVETYVPPTFGIWGNGVHLALVGHGRNVAAAKYSDWVRIRKPLEKLRPPSVTELVLSNDGDQILEGCVTNFFVVCSKVLDSNDGKDRCDSFEVQTAPISDGVLPGTIRQLVLEVCRNEGIPFREVAPSWSEHEIWEEAFITNSLRLLQHVDNIQVPTEWKSAHSKTWKEISWTKKQFQGGPGRITTVIQEKIMEKAVTEGYPISNIYACC >Vigun07g240100.3.v1.2 pep primary_assembly:ASM411807v1:7:36127983:36133492:-1 gene:Vigun07g240100.v1.2 transcript:Vigun07g240100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYLLSNGILLQSSDAPTVKNFLETHPGAYTTSRTHSNASWVLFWERHMKRLSESIQILSNSAPWLLFKSNHPAVLSPSSAILPIWQPTVQVLVNDSLCKVLPIALKERKVCQELAITTLVSGNLEELNACETVSNENMSKILDVHVHVETYVPPTFGIWGNGVHLALVGHGRNVAAAKYSDWVRIRKPLEKLRPPSVTELVLSNDGDQILEGCVTNFFVVCSKVLDSNDGKDRCDSFEVQTAPISDGVLPGTIRQLVLEVCRNEGIPFREVAPSWSEHEIWEEAFITNSLRLLQHVDNIQVPTEWKSAHSKTWKEISWTKKQFQGGPGRITTVIQEKIMEKAVTEGYPISNIYACC >Vigun07g240100.5.v1.2 pep primary_assembly:ASM411807v1:7:36127998:36133493:-1 gene:Vigun07g240100.v1.2 transcript:Vigun07g240100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYLLSNGILLQSSDAPTVKNFLETHPGAYTTSRTHSNASWVLFWERHMKRLSESIQILSNSAPWLLFKSNHPAVLSPSSAILPIWQPTVQVLVNDSLCKVLPIALKERKVCQELAITTLVSGNLEELNACETVSNENMSKILDVHVHVETYVPPTFGIWGNGVHLALVGHGRNVAAAKYSDWVRIRKPLEKLRPPSVTELVLSNDGDQILEGCVTNFFVVCSKVLDSNDGKDRCDSFEVQTAPISDGVLPGTIRQLVLEVCRNEGIPFREVAPSWSEHEIWEEAFITNSLRLLQHVDNIQVPTEWKSAHSKTWKEISWTKKQFQGGPGRITTVIQEKIMEKAVTEGYPISNIYACC >Vigun07g240100.4.v1.2 pep primary_assembly:ASM411807v1:7:36127998:36132443:-1 gene:Vigun07g240100.v1.2 transcript:Vigun07g240100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYLLSNGILLQSSDAPTVKNFLETHPGAYTTSRTHSNASWVLFWERHMKRLSESIQILSNSAPWLLFKSNHPAVLSPSSAILPIWQPTVQVLVNDSLCKVLPIALKERKVCQELAITTLVSGNLEELNACETVSNENMSKILDVHVHVETYVPPTFGIWGNGVHLALVGHGRNVAAAKYSDWVRIRKPLEKLRPPSVTELVLSNDGDQILEGCVTNFFVVCSKVLDSNDGKDRCDSFEVQTAPISDGVLPGTIRQLVLEVCRNEGIPFREVAPSWSEHEIWEEAFITNSLRLLQHVDNIQVPTEWKSAHSKTWKEISWTKKQFQGGSQLLSRKRLWRKQLRKGTQ >Vigun07g240100.2.v1.2 pep primary_assembly:ASM411807v1:7:36127998:36133492:-1 gene:Vigun07g240100.v1.2 transcript:Vigun07g240100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYLLSNGILLQSSDAPTVKNFLETHPGAYTTSRTHSNASWVLFWERHMKRLSESIQILSNSAPWLLFKSNHPAVLSPSSAILPIWQPTVQVLVNDSLCKVLPIALKERKVCQELAITTLVSGNLEELNACETVSNENMSKILDVHVHVETYVPPTFGIWGNGVHLALVGHGRNVAAAKYSDWVRIRKPLEKLRPPSVTELVLSNDGDQILEGCVTNFFVVCSKVLDSNDGKDRCDSFEVQTAPISDGVLPGTIRQLVLEVCRNEGIPFREVAPSWSEHEIWEEAFITNSLRLLQHVDNIQVPTEWKSAHSKTWKEISWTKKQFQGGSQLLSRKRLWRKQLRKGTQ >Vigun11g105300.1.v1.2 pep primary_assembly:ASM411807v1:11:30346551:30348968:1 gene:Vigun11g105300.v1.2 transcript:Vigun11g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDHLQISIHSDYASRKQRCSEKMEELKNAQFSSIQDAASSLLHKELVGEKNAVIFELYDAVEKGDVDNFVDVLGKECAKRKVALSDIFDQITGAGDSLLHVAAHFGSEEIAELICCHFPELLIRRNVRGDTALHVAVRSKNLTTIKLILSQYAIEKSKHDCLKDKEITREANEYGNTPLHEAVYSGHFGVVEEILHADEPVVHYPNKSNSSPLFLSVMSSDVKILNLLLQIPFPADQPLPQCFGNSPLHAAIRERNPGLIREIIGKREELIYLRGTPFHYAAYTGYVEGFRMLLQNLSEKSNQSLLERNKKGNFPIHLACKRGHVKVVEEFLRHELPINPYVLLNKKGQNILHTAAKNGRTKVVQHLLRNQKIDQCAINHRDNDGNTPLHLASINLFPKVLYFIAQDKRINVNITNNHDLTARDIVELEFNTQKTVRKLLANSVLIKAGVPLKLNGMLRVQRQQPPKRDLHLKDPNTFLVVATLIVTLTFTAFLAVPGGVYSSDDSNPKNRGMAVLAHKPLFWLFSIFNTIAMFSSATSSALMLLAQTFDSELAHKATLCATGFLLLSFLVLPTVFWLAISLVLDTNDSLKSVLLFLGQICNLFLTISLFGFNLLPFRPIRGPGLRILIVLLDYVNKPEDSFYQKVIKDKQKFV >Vigun10g065250.1.v1.2 pep primary_assembly:ASM411807v1:10:14211926:14214351:1 gene:Vigun10g065250.v1.2 transcript:Vigun10g065250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSYNRIESLVKHWRMALYEAVGISTLVVQHYRNESEAVKNIVKNVTSLLDKTELFVASKLVGVESRVQEMVQLLEQKQSNDVLLLGVWGMGGIGKTTIGKAIYNKIGRNFEGRSFLADIREVWRQEAGHVCLQEQLLFDIHKENNTRIHNIETGKIILRERLCHERILLILDDVNKLQQLHALCGDREWFGSGSRIIITTKDIHLLRGKGVDQVFAMTGMNVDESIELFSWHAFKQASPKEGFIELSRNIVAYSVGLPLALEVLGSYLFDMEVTEWKSVLEKLRKIPNDEVHEKLKISYDGLSDDTEKGIFLDIACFFIGKDRNDVINILNGCGLFAENGIRILVERSLVTVDDKNQLGMHDLVRDMGREIIRSKSPMELEERSRLWFHEDVLDCVIKRKWNKIYRGIDFEVTKK >Vigun01g179532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36016980:36017723:-1 gene:Vigun01g179532.v1.2 transcript:Vigun01g179532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTPPVESRIQFSPLPQEIQEHHLEINDHHIMMESCEEEQFDWDGLVILLRLTLLAILFVGLTLHHRQKQLYQPTFDPIPPKFFLDSFHVPHLEVSEAEVSSTWDATVTICNVMNYSNINILTLQAKISYEENETLAVITPIMLPNAVLLLENETTKTLHLKWSTTGWEADQPIVDDTVVQAIGKDIERGNTRFSLHMIIVGEVWLDDGWVKTFMMYPKCTNLVVNVVAGVTQQHQSLTTTLKNV >Vigun06g206700.1.v1.2 pep primary_assembly:ASM411807v1:6:32059942:32064935:-1 gene:Vigun06g206700.v1.2 transcript:Vigun06g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRVSTPEILTPSGQRSPRAASKEGKSWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAYLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPTCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWILSVSQQRPPSISYEPLSLSQPSASVFLAMNALGIIAFSFRGHNLALEIQSTMPSTFKHPARVPMWKGAKVAYFFIAMCLFPIAIGGFWAYGNQMPPGGILTALYAFHSHDISRGILALTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPPKYSFNWYFNWILGWLGVGFSLAFSIGGIWSMVNDGLKLKFFKPN >Vigun06g206700.2.v1.2 pep primary_assembly:ASM411807v1:6:32059942:32064935:-1 gene:Vigun06g206700.v1.2 transcript:Vigun06g206700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRVSTPEILTPSGQRSPRAASKEGKSWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAYLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPTCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWILSVSQQRPPSISYEPLSLSQPSASVFLAMNALGIIAFSFRGHNLALEIQSTMPSTFKHPARVPMWKGAKVAYFFIAMCLFPIAIGGFWAYGNQMPPGGILTALYAFHSHDISRGILALTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPPKYSFNWYFNWILGWLGVGFSLAFSIGGIWSMVNDGLKLKFFKPN >Vigun05g261000.1.v1.2 pep primary_assembly:ASM411807v1:5:45396727:45397415:-1 gene:Vigun05g261000.v1.2 transcript:Vigun05g261000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALWGTRVMEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLKACSESAPAPIPTTANNS >Vigun02g095600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25145716:25147748:1 gene:Vigun02g095600.v1.2 transcript:Vigun02g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMAAQAEWMFRALRSGRRHLSAGRQWEEKWLTLPHVAASATVEVKGKRKGTGNELSSTPTTALKWIVRCCPNLPVSLLHNLFRLRQVRHFRCSIVGAVEACEVELNRRLSEAAAS >Vigun03g072400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5964346:5965229:1 gene:Vigun03g072400.v1.2 transcript:Vigun03g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAILVAEEYERRVKILKKSGGAGGAAGEIDLLSSVSVMVSAIKEKKQLLQRVLEPKTQLAMAASDSFFSA >VigunL003900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:28342:28818:1 gene:VigunL003900.v1.2 transcript:VigunL003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQLEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPRLRRILMPENWIGWPLRKDYIAPNFYEIQDAH >Vigun04g174200.3.v1.2 pep primary_assembly:ASM411807v1:4:39856902:39861459:1 gene:Vigun04g174200.v1.2 transcript:Vigun04g174200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFNPSCLTLFHNPHHTAYFSKQARVRCSYGKHMKRGGLGRPPIVPSVLGNSNSEGVSVFVVSDLHTDYAENLKWVECLSNVKRRKDVLLVAGDVAETYSTFVVTMSLLKERFEHVFYVPGNHDLWCRRDGQNYVDSLEKLNKLLDACKRIGVETNPMVIDEIGIIPLFSWYHESFDKEKDITGFRIPSLEMACKDFYACKWPEGLSSGDMSLALHFDAMNDKQTELIKDVQMTCDHIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDSLEDRIRSIHGAEGRKHASSCHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGENWLPFCLYAENKFADRLNPCFWSDYYSANPRTPHNTELAPWVARFYKQTESIDV >Vigun04g174200.4.v1.2 pep primary_assembly:ASM411807v1:4:39856745:39861459:1 gene:Vigun04g174200.v1.2 transcript:Vigun04g174200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKERFEHVFYVPGNHDLWCRRDGQNYVDSLEKLNKLLDACKRIGVETNPMVIDEIGIIPLFSWYHESFDKEKDITGFRIPSLEMACKDFYACKWPEGLSSGDMSLALHFDAMNDKQTELIKDVQMTCDHIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDSLEDRIRSIHGAEGRKHASSCHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGENWLPFCLYAENKFADRLNPCFWSDYYSANPRTPHNTELAPWVARFYKQTESIDV >Vigun04g174200.2.v1.2 pep primary_assembly:ASM411807v1:4:39856745:39861459:1 gene:Vigun04g174200.v1.2 transcript:Vigun04g174200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFNPSCLTLFHNPHHTAYFSKQARVRCSYGKHMKRGGLGRPPIVPSVLGNSNSEGVSVFVVSDLHTDYAENLKWVECLSNVKRRKDVLLVAGDVAETYSTFVVTMSLLKERFEHVFYVPGNHDLWCRRDGQNYVDSLEKLNKLLDACKRIGVETNPMVIDEIGIIPLFSWYHESFDKEKDITGFRIPSLEMACKDFYACKWPEGLSSGDMSLALHFDAMNDKQTELIKDVQMTCDHIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDSLEDRIRSIHGAEGRKHASSCHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGENWLPFCLYAENKFADRLNPCFWSDYYSANPRTPHNTELAPWVARFYKQTESIDV >Vigun07g063800.1.v1.2 pep primary_assembly:ASM411807v1:7:7381100:7383828:-1 gene:Vigun07g063800.v1.2 transcript:Vigun07g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLRLRGFTSINSRDLFVSTARFSSSSSSSSSSSSSSGKPKTGKKLMDRLTAVIDAVHDRKLPPELRGQRNNVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLKLDTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRSKISEWRMSLKKAWATKCGGDHSEWVESSENLKLQLREINDKVFRYNLIVPFGRQMFGLKWEKELGYLEEK >Vigun09g152600.2.v1.2 pep primary_assembly:ASM411807v1:9:31733218:31744199:-1 gene:Vigun09g152600.v1.2 transcript:Vigun09g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQASLLILCRTSLPFASHSQHHHSLLCKFPHSLTSLSPCKLSPPQFLPRLPFSLSLKVASQPNPSAADSVFPPNETAQNSTTVVEGEGSDPSIGAEQSGSAKEEERSPIAALFEGARESSKKAFAQFMNWLPLWQQERRLARLIIDAEANPNDVAKQTTLLVELNKHSPESVIKHFEGREGAVDSRGVVEYLRALVATNAIAEYLPNEEYGKPSRLPTLLQELKQRASGKSDEPILSPGTSERQPLHVVVVDPKVSQKSRFVQDVLSTILFIVVMGLVWVMGMVALQKFIVSLGGIGTSSVGSSTTYAPKELNKEVMPEKNFKTFKDVKGCDDAKQELEEVVEYLKNPAKFTRLGGKLPKGILLTGPPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMYVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVIAATNLPDILDPALTRPGRFDRHIIVPNPDLRGRQEILELYLQDKPLADDVDTKSIARGTPGFNGAGAEKLAASQLEFAKDRIIMGTERKTMSISEESKKLTAYHESGHAIVAINTEGAQPIHKATIMPRGSALGMVTQLPSGDETSISKKQLLARLDVCMGGRVAEEIIFGQDQITTGASSDLHTATELAQYMVSSCGMSDAIGPIHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLRKHEKALHALANALLEYETLSAEEIRRVLRPYQDGKVPKKQEQGAAEEELVLV >Vigun09g152600.1.v1.2 pep primary_assembly:ASM411807v1:9:31733218:31744199:-1 gene:Vigun09g152600.v1.2 transcript:Vigun09g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQASLLILCRTSLPFASHSQHHHSLLCKFPHSLTSLSPCKLSPPQFLPRLPFSLSLKVASQPNPSAADSVFPPNETAQNSTTVVEGEGSDPSIGAEQSGSAKEEERSPIAALFEGARESSKKAFAQFMNWLPLWQQERRLARLIIDAEANPNDVAKQTTLLVELNKHSPESVIKHFEGREGAVDSRGVVEYLRALVATNAIAEYLPNEEYGKPSRLPTLLQELKQRASGKSDEPILSPGTSERQPLHVVVVDPKVSQKSRFVQDVLSTILFIVVMGLVWVMGMVALQKFIVSLGGIGTSSVGSSTTYAPKELNKEVMPEKNFKTFKDVKGCDDAKQELEEVVEYLKNPAKFTRLGGKLPKGILLTGPPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMYVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVIAATNLPDILDPALTRPGRFDRHIIVPNPDLRGRQEILELYLQDKPLADDVDTKSIARGTPGFNGADLANLVNIAAIKAAVEGAEKLAASQLEFAKDRIIMGTERKTMSISEESKKLTAYHESGHAIVAINTEGAQPIHKATIMPRGSALGMVTQLPSGDETSISKKQLLARLDVCMGGRVAEEIIFGQDQITTGASSDLHTATELAQYMVSSCGMSDAIGPIHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLRKHEKALHALANALLEYETLSAEEIRRVLRPYQDGKVPKKQEQGAAEEELVLV >Vigun06g144700.8.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27040708:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKSSELRRNLCREGWSLKPRLNFYQGSTIKILLALLVSASSKENKC >Vigun06g144700.9.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27040708:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKSSELRRNLCREGWSLKPRLNFYQGSTIKILLALLVSASSKENKC >Vigun06g144700.1.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27040512:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERALVFLLLFFTHSLIVLANTANSDYTALLTLMSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.2.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27041580:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSNHAVPSGWQPWFYTALLTLMSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.6.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27041579:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSNHAVPSGWQPWFYTALLTLMSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.5.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27040708:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.4.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27040708:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.3.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27041200:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVLFETNRFTGSIPSTLGLVKSLEVVRFDNNFLSGSLPENINNLTSVRELFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun06g144700.7.v1.2 pep primary_assembly:ASM411807v1:6:27033131:27041579:-1 gene:Vigun06g144700.v1.2 transcript:Vigun06g144700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSNHAVPSGWQPWFYTALLTLMSEWENTPPNWVGSNPCGGWDGIECTNSRITSISLASMALSGQLTSDIGLLSELVILDLSYNKNLTGPLPDNIGNLRKLRNLLLINCGFTGPIPATIGNLERLVFLSLNSNGFTGPIPAAIGNLSNVYWLDLAENQLEGPIPISNGTTPGLDMMYNTKHFHFGVNKLSGNIPAQLFSSKMSLIHVFLSNNRLSGSLPNLTGMNSLSYLDVSNNSFDPSNIPSWLSTLPALTTIMMEGTKLQGTIPVSLFNLQQLQTVVMKNNQLNGTLDIGSSISDQLDLLDLQFNYIEEFKPQIDVSKVNIILLNNPICQETGVPQSYCSITTSNNNSYSTPPQNCVPVPCSLDQTLSPKCKCAYPYTGTLNLRAPSFSDLGNETVYVTLQLSLMQSFQLNDIPVDSVSLSNPRKNTYQYLELTLKVFPSGQDRFNRTGISSVGFILSNQTYKPPSMFGPYYFTSDDYENFMNETVLQGPGTTSSKSSNTGIIAGAAAGGAALLVLVLVLFVYALHRKNKPVKATGKNNPFEQWDPDESSSSFPQLKGARRFSFEEIQNCTKNFSQVNNIGSGGYGKVYRGTLPNGQLIAVKRAQKESMQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQMLIYEYVANGTLKDTLSGKSGIRLDWIRRLKIALGAARGLDYLHELANPPIIHRDIKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVVKGAIDKTKGFCGLEEVLDPTIELGTALNGFEKFVDLALQCVEESSSDRPSMNYVVKEIENMVQLAGSSPILSVSGSTSSSYNNPTKGSSLHPYDNEYFDSSVILPRP >Vigun04g200000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42383693:42384433:1 gene:Vigun04g200000.v1.2 transcript:Vigun04g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKLGLLLSLFLTTLYHFQLKGCEGGVVASKVEDSLFPPSKVNVVIYNSMAKKDLTIHCRDKHHDLGNQILSYGERFDFSFKPNKFMFVTLYFCRFTWVGVSHHFDIYDEYRDYCDECVWYILDTGPCNIYPRFTKCYMWNNQKVPL >Vigun03g450000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:65126927:65129078:-1 gene:Vigun03g450000.v1.2 transcript:Vigun03g450000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFAADAFSVVTICLVAILLILGLMCIAYSFYFRSRINNRGFVQLSYFSGPWIIRIAFILFAIWWGLGEIIRLSLLRRALHLKWQETICKCYIVSNLGFAEPCLFLTLVFLLRAPLQTLDTGIMNRKWNGKTAGYILLYCFPVFVLQLFVILVGPHLNKNNNGSGEKLPHYFTSTATASSIAKEHDIALCTYPLLSTLLLGLFAIILTSYLFWLGNRILKLVINKGLQKRIYTLILSVSGFLPLRVLFLGLSVLSGPEHFMFEAFAFLAFLALVCCAGVCMCMLVYRPVADSLALGNLPDLDAWRLNVDYNDTMSLIANHGHQEDNDVEGNSPTPRPGHGRYSDASTKGGSISFRTLERDRKFVELSLFSPTQTDFFG >Vigun05g156314.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25393797:25393964:-1 gene:Vigun05g156314.v1.2 transcript:Vigun05g156314.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVTYFLCMGCKLLLLKNFHQFLLSSIKCKFIERNEILFVFLGGNRVGPTIHVG >Vigun10g135825.1.v1.2 pep primary_assembly:ASM411807v1:10:34767321:34770581:-1 gene:Vigun10g135825.v1.2 transcript:Vigun10g135825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRDCDSIEEIVCKEESDEDEIIFPQLSCLNLDWLLKLIRFYKGSLSFPSLEELSVTDCREMITLCVGTLVAGKLSQVKLEKSSKPIQSEIDLNYEEGISEKASSQWIGSLEFRDRADLQEIWRLSLQITRFCFSYLNGCQFLSYVIPFTVLPLLPKLETLEVGNCDSVKTIFDVKCITQEIPTFPLKTLVLWKLPNLETVWNEDNGEIVTEDNPAHPKQTNPNITFPDVTSLTLWDLPNFKHNCISCIHDATPILHTFFYMHSNYHLIIPNLEHLRVGENELKRIVDGEFQRKLLHKLKVLTLCFDIEGDEFPEYGFLQQLPNVKKIVVCSSSFKVIFCDQRPNNSEFLSQLKELRLESLEELVSIGLENSWTELFVRNQETFEVISCSSLKNLVKCRVSFSNLICLKVENCNSLSYLFTSSTAKSLGQLQRMEIKESESVEEIVSRVEKESDEDEITFWQLNCLNLDRLLNLRWFYEGSLSFPSLEELSISELEELDLKSRPKLQEFWHDSQHIPDFCFSELVALVVVECQFLSDAVLPFSLLPLLPKLEILVVGNCDSVKTIFDVKRATQDTLITLPLKILILSNLSNLENIWSEDPHGILSMDHLKEVHVKECKGLTSVFPVSVAKDLVKLEHLAVEDCEGLMAIVAEDSKEGEELDEDEIKECKSVDNNSLPTAKSLGELKSMKIN >Vigun02g078500.2.v1.2 pep primary_assembly:ASM411807v1:2:23072506:23074728:-1 gene:Vigun02g078500.v1.2 transcript:Vigun02g078500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNHLFDLPEQICYVQCGFCTTILMVSVPCSSLSMVVTVRCGHCTSLLSVNMMKASFVPFHLLASLSHLEPKESSPEQHDGNKTLNSHSASLMTYSDCEEEDLIPMSNIVNKPPEKRQRTPSAYNCFIKEEIKRLKAENPDMAHKEAFSTAAKNVSGPIFPQLSAKEMIKKAVARQIKLWILTPKWTLLMLR >Vigun02g078500.1.v1.2 pep primary_assembly:ASM411807v1:2:23072506:23074728:-1 gene:Vigun02g078500.v1.2 transcript:Vigun02g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNHLFDLPEQICYVQCGFCTTILMVSVPCSSLSMVVTVRCGHCTSLLSVNMMKASFVPFHLLASLSHLEPKESSPEQHDGNKTLNSHSASLMTYSDCEEEDLIPMSNIVNKPPEKRQRTPSAYNCFIKEEIKRLKAENPDMAHKEAFSTAAKNWANFPPTQCKGDDQESCSQTDQVVDLDSQVDPPDAEVNEEDGEGFRGRKVQRNSMFERTAFE >Vigun01g248800.1.v1.2 pep primary_assembly:ASM411807v1:1:41590172:41591720:-1 gene:Vigun01g248800.v1.2 transcript:Vigun01g248800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRGGHQDQQSRALYDLSALVLNLIRSPPAPLPFSHGVPPVPSRRSPLPQISPAGFASLLLGISLTLMLCGSVTFFIGFVLMPWVLGLAMLFYVAGIVSSLSVFGRSILCYATAPPSPRKDFPAW >Vigun01g112900.1.v1.2 pep primary_assembly:ASM411807v1:1:28747907:28750753:1 gene:Vigun01g112900.v1.2 transcript:Vigun01g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLIAKLASRAFEEASRVVGLYDDLRDLTNTLSLVKDVLLDAQQKQEHNHELRQWLTQLKTAFSDAEDVLDEFECQTLQKKVVKAHGSRKDKVSHFFSTSNPLVFRYKMAQQIQRISTRLDNVATNRNKFTLERIEVDTRVVHRRDMTHSRVSDSNVIGRKDDKEKVIELLMQQNPNDDDTSLSVIPIVGIGGLGKTTLAKFVFNDNRVQEYFPLKMVDLEQLQNQLKNKLSGQKFLLVLDDVWNEDRVKWVELRDLIQVSAAGSKILVTTRNPSIAAMMGTVPFHLLKGLSDEDLLSLLVKWAFKEGEEEKHPHLVNIGREIVKKCGGVPLAVRTLGSLLFSKFEASEWEYVRDNEVWNLSQKKDDILPALKLSYDLMPSYLRQCFALLSLYPKDYLYNSYEISWLWGALGLIALPKTNRTREDMANQYLHELMSRSFLQDFENYGTMYGFRIHDLVHDLALFVAMDDCLHVNSNIQNIPDKARHLSFVESSLFSNLVTKKSAAVRTVLFPNGVSTANCESILKTCMTKFKCLRVLDLTGATFETLPRNIAKLRHLRYLNISENPNIKRLPESICKLQSLQALMLDGCMELEALPKGLRKLISLRDFSFSTKQTVFPVNEIAKLRSLEFLIVESCHNVESIFGGVKFPTLKTLFVSNCQSLKSLRLDDQNFPELETLVVDNCNNLDLEMWNGHNEEESSKMKLKLVAFSGLSQLVTWPKWLQEAANSLQSLLVLNCPNIKTLPDWLPTLTNLKALTIRNCPKLVSLPDNMHHLSALENLRIEGCADLCKKYESYIGEFWPKISHIKNIYINGPEA >Vigun03g191700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26615260:26616195:1 gene:Vigun03g191700.v1.2 transcript:Vigun03g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHANPATSSTLIFLSILLLSFATKTKSDNPPCPYPCYPPPTGVVTPTTPSAGGGSTVPPAPPQPVLTYPPPSGSYPYYPTPPYGGEGDYNGNGGGVSGTPPPPDPILPYFPFYYKKPPHKPEDNSAPTSLKKRKEMVFITSFLSLLLLFV >Vigun09g073100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8107553:8108721:-1 gene:Vigun09g073100.v1.2 transcript:Vigun09g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTETATGADEKLAISSLHSFFLRETDHFFPRATTRRCQSSSRLRGSARRRLGSTIPAAVGVYTGAHPSLNPDSLLPQERKAPYALRFAATVPATKTGSASTKDTSPPAAATTTASAQDSDSPAAMPPAIVFPIVFLFSVFYFGFMQVSDEDERKR >Vigun07g165400.1.v1.2 pep primary_assembly:ASM411807v1:7:27761327:27763319:1 gene:Vigun07g165400.v1.2 transcript:Vigun07g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRYEFGTNEASHPDSIRATIAEFISTCIFVFVGEGSVLALKQIYKEPGSSAAELVVIAVAHAFALFAAIAASAHVSGGHLNPAVTFGALLGGRISVLKAIYYWVAQILGSVVAALLLRLVTNNMRPEGFSLSVGVGALHGLILEIGLTFGLMYTVYATAMDPKRGAISTVAPLAIGLVVGANILAGGPFDGACMNPARAFGPALVGWRWENHWIFWVGPLLGAALAAVLYEFVVIPIEPPPHQPLPAEEY >Vigun01g172200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35453950:35455509:-1 gene:Vigun01g172200.v1.2 transcript:Vigun01g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPFSLFSLMHLFSTVSYGTLCLSLATITLLSLTLLSSLSFSWPRINIFFCNCQTCQAYLTSSWSKTFHNLCDWYAHLLRNSPTKTIHIHLLQNTITANPDNVKYILKTRFHNFPKGKTFSAILADLLGGGIFNVDGDSWCFQRKIASLELSKASIRSFAFEVVRFEIQHRLLPLLALSKQNDCVLDLQDVFKRFSFDSICKFSFGLDPMCLEQSLPISDFALSFDLASKLSAERAMSVSPLIWKIKRFFNVGSEKKLQEAVKKINILAKEVIRQRRKTGFSSHRDLLSRFMSCVNDETYLKDIIVSFLLAGRDTVASALTSFFWLVAKHPEVESKIVVEAEGVIRGEKWSFEELQELHYLEAAVYESMRLYPPIQLDSKFCLEDDVLPDGTLVKGGTRVTYHPYAMGRLEEIWGWDCLEFRPERWLKNGVFCPENPFKYPVFQAGMRVCLGKEMAVLELKSVAISLLRRFHIEQVAPHHHTPRFSPGLTATLSCGLRVKVRDQGTQQRQHPLHHSQ >Vigun01g116900.1.v1.2 pep primary_assembly:ASM411807v1:1:29332483:29341412:-1 gene:Vigun01g116900.v1.2 transcript:Vigun01g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVGNKVSGVISRQVLPVCGNLCVFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRQIGKLCDYAARNPLRIPKIMQALEQRCYKELRVENFHAAKIVMCVYRKFLFSCKEQIPLFANSLLSIIHTLLDQSRQDEMRIIGCQVLFDFVNNQNDGSYLFNLEAVIPKLCLLAQETGDDERARISRSTGLKALSAMVRFMGVNSHMSVEFDNIVSVVLENYEIPKDNLANIDDEEKGVENTWVEDAMANEDQNSPLHDVRTRNPSWTKVVNDKGEMIVAMEDDNNPAFWSGVCLHNMANLAKEGTTIRRVMESIFRYFDNGNLWSIDHGLAISVLKDILFLMDDSEKNTHVLLSMLIKHLDHKTVLKEPHMQLDIVEVTTSLSQYAKVQPSVSIIGAVSDMMRHLRKCIHCSLEESKMSPDEINWNKNFRKIVDKCLVKLSNKVGEAEPILDVMAVMLENISATTSNSRTTIHAVNRTAQIVASLPNKLYQNKAFPETLLHQLVLAMVHPDHETREVAHRIFSVILVPASIFSGRSMTICDRKPSTVPRTLSRAVSVFSSSAVLFEKLRLEKGLSEKSIKYRQNTSGEIDSTNSNGGIVNKLKSMHNRVNSINNPPLQFEVDEIAAKNDDKNSVVAALKLSRVQINRLLSSIWVQSVSPKNVPANYEAIAHSYTLVLLVSRDKNSYHELLVRSFQLAFSLWNISLKEGSLPSSRRRSLFTLATSMIVFSSKEYNIDRLVQSAKGVLLDRQIDPYLQLVDDYKLQVVNFPPQTIIYGSKEDDDRATQTLSELLGSINETRDLFATEIIKCLETFAKAELSSIKEQLLEDFAPVAMCELGSQLTVNMATKGALTASNVDDDFTFEPLEGQTKPNPALSVEVPSLLSANQLIDLASETPSPAGRISVSNASYNVMADKCEVLLMEKNKMSRLMSTKQKEDSLDDSLLLDEDNALKNKESSNQMDFQKVDILPFDDNVGVDLFKATSGPLPMLCATEYQTHSHSFKLPAASPYDNFLKAAGC >Vigun01g116900.4.v1.2 pep primary_assembly:ASM411807v1:1:29332483:29341412:-1 gene:Vigun01g116900.v1.2 transcript:Vigun01g116900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVGNKVSGVISRQVLPVCGNLCVFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRQIGKLCDYAARNPLRIPKIMQALEQRCYKELRVENFHAAKIVMCVYRKFLFSCKEQIPLFANSLLSIIHTLLDQSRQDEMRIIGCQVLFDFVNNQNDGSYLFNLEAVIPKLCLLAQETGDDERARISRSTGLKALSAMIVSVVLENYEIPKDNLANIDDEEKGVENTWVEDAMANEDQNSPLHDVRTRNPSWTKVVNDKGEMIVAMEDDNNPAFWSGVCLHNMANLAKEGTTIRRVMESIFRYFDNGNLWSIDHGLAISVLKDILFLMDDSEKNTHVLLSMLIKHLDHKTVLKEPHMQLDIVEVTTSLSQYAKVQPSVSIIGAVSDMMRHLRKCIHCSLEESKMSPDEINWNKNFRKIVDKCLVKLSNKVGEAEPILDVMAVMLENISATTSNSRTTIHAVNRTAQIVASLPNKLYQNKAFPETLLHQLVLAMVHPDHETREVAHRIFSVILVPASIFSGRSMTICDRKPSTVPRTLSRAVSVFSSSAVLFEKLRLEKGLSEKSIKYRQNTSGEIDSTNSNGGIVNKLKSMHNRVNSINNPPLQFEVDEIAAKNDDKNSVVAALKLSRVQINRLLSSIWVQSVSPKNVPANYEAIAHSYTLVLLVSRDKNSYHELLVRSFQLAFSLWNISLKEGSLPSSRRRSLFTLATSMIVFSSKEYNIDRLVQSAKGVLLDRQIDPYLQLVDDYKLQVVNFPPQTIIYGSKEDDDRATQTLSELLGSINETRDLFATEIIKCLETFAKAELSSIKEQLLEDFAPVAMCELGSQLTVNMATKGALTASNVDDDFTFEPLEGQTKPNPALSVEVPSLLSANQLIDLASETPSPAGRISVSNASYNVMADKCEVLLMEKNKMSRLMSTKQKEDSLDDSLLLDEDNALKNKESSNQMDFQKVDILPFDDNVGVDLFKATSGPLPMLCATEYQTHSHSFKLPAASPYDNFLKAAGC >Vigun01g116900.3.v1.2 pep primary_assembly:ASM411807v1:1:29332483:29341412:-1 gene:Vigun01g116900.v1.2 transcript:Vigun01g116900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVGNKVSGVISRQVLPVCGNLCVFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRQIGKLCDYAARNPLRIPKIMQALEQRCYKELRVENFHAAKIVMCVYRKFLFSCKEQIPLFANSLLSIIHTLLDQSRQDEMRIIGCQVLFDFVNNQNDGSYLFNLEAVIPKLCLLAQETGDDERARISRSTGLKALSAMVRFMGVNSHMSVEFDNIVSVVLENYEIPKDNLANIDDEEKGVENTWVEDAMANEDQNSPLHDVRTRNPSWTKVVNDKGEMIVAMEDDNNPAFWSGVCLHNMANLAKEGTTIRRVMESIFRYFDNGNLWSIDHGLAISVLKDILFLMDDSEKNTHVLLSMLIKHLDHKTVLKEPHMQLDIVEVTTSLSQYAKVQPSVSIIGAVSDMMRHLRKCIHCSLEESKMSPDEINWNKNFRKIVDKCLVKLSNKVGEAEPILDVMAVMLENISATTSNSRTTIHAVNRTAQIVASLPNKLYQNKAFPETLLHQLVLAMVHPDHETREVAHRIFSVILVPASIFSGRSMTICDRKPSTVPRTLSRAVSVFSSSAVLFEKLRLEKGLSEKSIKYRQNTSGEIDSTNSNGGIVNKLKSMHNRVNSINNPPLQFEVDEIAAKNDDKNSVVAALKLSRVQINRLLSSIWVQSVSPKNVPANYEAIAHSYTLVLLVSRDKNSYHELLVRSFQLAFSLWNISLKEGSLPSSRRRSLFTLATSMIVFSSKEYNIDRLVQSAKGVLLDRQIDPYLQLVDDYKLQVVNFPPQTIIYGSKEDDDRATQTLSELLGSINETRDLFATEIIKCLETFAKAELSSIKEQLLEDFAPVAMCELGSQLTVNMATKGALTASNVDDDFTFEPLEGQTKPNPALSVEVPSLLSANQLIDLASETPSPAGRISVSNASYNVMADKCEVLLMEKNKMSRLMSTKQKEDSLDDSLLLDEDNALKNKESSNQMDFQKVDILPFDDNVGVDLFKATSGPLPMLCATEYQTHSHSFKLPAASPYDNFLKAAGC >Vigun01g116900.2.v1.2 pep primary_assembly:ASM411807v1:1:29332482:29341412:-1 gene:Vigun01g116900.v1.2 transcript:Vigun01g116900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVGNKVSGVISRQVLPVCGNLCVFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRQIGKLCDYAARNPLRIPKIMQALEQRCYKELRVENFHAAKIVMCVYRKFLFSCKEQIPLFANSLLSIIHTLLDQSRQDEMRIIGCQVLFDFVNNQNDGSYLFNLEAVIPKLCLLAQETGDDERARISRSTGLKALSAMIVSVVLENYEIPKDNLANIDDEEKGVENTWVEDAMANEDQNSPLHDVRTRNPSWTKVVNDKGEMIVAMEDDNNPAFWSGVCLHNMANLAKEGTTIRRVMESIFRYFDNGNLWSIDHGLAISVLKDILFLMDDSEKNTHVLLSMLIKHLDHKTVLKEPHMQLDIVEVTTSLSQYAKVQPSVSIIGAVSDMMRHLRKCIHCSLEESKMSPDEINWNKNFRKIVDKCLVKLSNKVGEAEPILDVMAVMLENISATTSNSRTTIHAVNRTAQIVASLPNKLYQNKAFPETLLHQLVLAMVHPDHETREVAHRIFSVILVPASIFSGRSMTICDRKPSTVPRTLSRAVSVFSSSAVLFEKLRLEKGLSEKSIKYRQNTSGEIDSTNSNGGIVNKLKSMHNRVNSINNPPLQFEVDEIAAKNDDKNSVVAALKLSRVQINRLLSSIWVQSVSPKNVPANYEAIAHSYTLVLLVSRDKNSYHELLVRSFQLAFSLWNISLKEGSLPSSRRRSLFTLATSMIVFSSKEYNIDRLVQSAKGVLLDRQIDPYLQLVDDYKLQVVNFPPQTIIYGSKEDDDRATQTLSELLGSINETRDLFATEIIKCLETFAKAELSSIKEQLLEDFAPVAMCELGSQLTVNMATKGALTASNVDDDFTFEPLEGQTKPNPALSVEVPSLLSANQLIDLASETPSPAGRISVSNASYNVMADKCEVLLMEKNKMSRLMSTKQKEDSLDDSLLLDEDNALKNKESSNQMDFQKVDILPFDDNVGVDLFKATSGPLPMLCATEYQTHSHSFKLPAASPYDNFLKAAGC >Vigun09g113700.1.v1.2 pep primary_assembly:ASM411807v1:9:24946565:24961475:1 gene:Vigun09g113700.v1.2 transcript:Vigun09g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVVELVLGNLSSLVGKELALFLGFHDDLERLASLLNMIKATLEDAEHKQFSDGAIQIWLQNLKYAALTLDDIIDEYGYEVLGMEFQTLRNVSNKVQNFGSSSSFHPKHVFSRYKIAKKMKRISERLEEIAEERAKFHLTEMVSERRSGISEWRQTTSFVSEPQLYGREEEKDQIINLLVGVASRSENLSVYPILGLGGLGKTTLAQLIFNHEKVVNHFELRIWVCVSDDFSLKRITKAVIEAVTQRSCDDLDPEPLQRMLRDLLRSKRYLLILDDVWDDKQENWQKLKSVLACGTMGTSILITTRREPNTQQYQLSELSDSYCWELFRSRAFGANEVEPEELVFIGKEIVKKCRGVPLAAKALGGLLRFKREEKEWLNVKENNLWSLPQDEDSIMPTLRLSYLNLPIKLRPCFAYCAIFPKDKIIEKQYLIELWMANGFISSSEILEAEDVGEGVWNEFYWRSFFQDIETDEYGKVKSFKIHDLVHDLAQFVATNLFFCSVCCVSKDNHIATLSERIHHLSIYGNDSIQLHQLNCLRTYISPQLSHQRFHDVLKCHSLRALYCELWKSLFPSSIGHLKHLRYLNLSEGTFKTLPKSVCKLWNLQILKLDNCRHLKKLPHNLVLLKGLQQLSLKGCLFLSSLPPHVGNLTSLRILSMYIVGKERGSLLAELGPLKLKGDLEIKYMGKVKSVKDAEEANMSSKQLNTLRLSWHRYDKDLEVQENVEEILEVLQPDPKQLESMTVLGYKGAYFPQWMSSSSLHLLNFIELTDCRNCVKLPQLGKLHSLKTIRLCNISNVKYLYEESCDGGVVFMSLEILSLRYLPNLTRLSREGGETMFPHLSTLEIIECPKLLLTSDETQIRTRIRRYEL >Vigun08g139500.1.v1.2 pep primary_assembly:ASM411807v1:8:31200187:31201438:1 gene:Vigun08g139500.v1.2 transcript:Vigun08g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPNYKVVEAADDNKEPLNDCALARSFSFSESESESGISSASWSDSDSFEEVTSSVSSSSSSTDQFATEPLNDMSSLIQQLPVKRGLSKYYQGKAESFTSLEKVRSLEDLVKPENPYNKKFKSCRSNNGGVMGESEKAKCSTSSSTNGVISKQSSKRGSEKLMVRIPPMVPRRSSTATTLPNQTVLFV >Vigun09g244900.1.v1.2 pep primary_assembly:ASM411807v1:9:41370064:41375262:-1 gene:Vigun09g244900.v1.2 transcript:Vigun09g244900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDLALLPLSPVHERKLKRLKKASKVPEPSHPPSSPAVSYESRKGEEHFTASNGGFGSDHEDQVPSLSPSPRSDFPRGVTVKDDDLGAKRVLDFDSVIQEHEKVDGESQEVSDFDSVIQEQEKVDDESQKVRDLKTGDDFTALNTDEVERKRPSLTDRLENKKKRIVNSDGSEKKSKESAINKRKAEKERRETMQNLRAESQRLLRETRDAAFKPAPLVQKPISSILDKIRQRKLEILKKSSASSEDDDDTLMDEGVADYVEKDEPEQMAATCPTPAKSDSGTSNVESGAAKDNLCSGSILSPTTFGSESEHAFRAPIGDTQELFSDSERSDTKEDAVNEKSNNPPEEVFAPSMLSMNLKLDSAYPDDDVSSDEEDNDKENVDPRVHGSVDLTLLPNGDPVRAFVDEEAEEEDDSDHDLQRFQDNEGEEDDDDDIEELNDMIATQYDEKPNDKEKRDQLHQQWLQQQDTAGVDNLLQKLNCGSKLKETPLIEEEDEESRENESDDDDENDEAEEYAAPAESLKATLKKMKQMIPLMFSDKDDKYVSSDDEETEKLARQCLYYKTEEKATFFSPAEDESSRGVFSLIKKLNVPDSKRKGRTTSMFAMPTSGQSIQIPSKSSFVGRASDRFMPTSRKHGSCKVRSFVFGRDDSDSRSSYLISEDSSDAIPRESQPPKAASTKFQRNTQNRNTTSNSVSQESNVSLLEILRKSSHHTEHSMQNSKVQPKASIFDAFKLVKKPTKA >Vigun06g231700.4.v1.2 pep primary_assembly:ASM411807v1:6:33813073:33823079:-1 gene:Vigun06g231700.v1.2 transcript:Vigun06g231700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGKKMQKIFGALVPESVYNDARNLVEYCCFRFLSRDGSAIHPSLQDPAFQRLIFITMVAWDNPYTDNLSSNAEKTSLQNKLVTEEAFVRIAPAISGVVDHPTVHNLFKALAGNQEGISVSSWLNYINEFVKVRQKQISYQIPEFPQLSQERILCTSSNSKRPVLKWENNLAWPGKLTLTDKAIYFETVSILGEKRAMRLDLTSNGSQVEKAKVGPLGSSLFDSAVSVSSGSETKLNRWVLEFIDLGGEMKRDVWHAFINEVITLHRFIREYGPDAYDESLFNVYGARKGSDRATTSAINGIARLQALQYLRKLLDNPTKLVQFSYLQNAPLGDIVLQTLAVNYWGGPLVTGFVNTRNQSETRPSDEIADSRNHVFDIDGSIYLQKWMKSPSWGSSTSTNFWKNTSVKGLILSKNLVVADLSLTERAAKISRLKYHVVEKTQATIDAATLQGIPSNIDLFKELMFPFALIAKNFEKLRHWEEPHLTIAFLGLTYTILYRNLVSYMFPMMLMISAVGMLTIRALKEQGRLGRSFGEVTIRDQPPSNTIQKIIAVKDAMRDVENFMQQVNVSLLKIRSILLSGHPQITTEVAMILIFSATILLIVPFKYIFSFLLFDMFTRELEFRREMAQKFIKFLRERWHAVPAAPVSILPFQNEARSEIYLKEIEDQSKSQGNQSSGKSR >Vigun06g231700.3.v1.2 pep primary_assembly:ASM411807v1:6:33813073:33823079:-1 gene:Vigun06g231700.v1.2 transcript:Vigun06g231700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGKKMQKIFGALVPESVYNDARNLVEYCCFRFLSRDGSAIHPSLQDPAFQRLIFITMVAWDNPYTDNLSSNAEKTSLQNKLVTEEAFVRIAPAISGVVDHPTVHNLFKALAGNQEGISVSSWLNYINEFVKVRQKQISYQIPEFPQLSQERILCTSSNSKRPVLKWENNLAWPGKLTLTDKAIYFETVSILGEKRAMRLDLTSNGSQVEKAKVGPLGSSLFDSAVSVSSGSETKLNRWVLEFIDLGGEMKRDVWHAFINEVITLHRFIREYGPDAYDESLFNVYGARKGSDRATTSAINGIARLQALQYLRKLLDNPTKLVQFSYLQNAPLGDIVLQTLAVNYWGGPLVTGFVNTRNQSETRPSDEIADSRNHVFDIDGSIYLQKWMKSPSWGSSTSTNFWKNTSVKGLILSKNLVVADLSLTERAAKISRLKYHVVEKTQATIDAATLQGIPSNIDLFKELMFPFALIAKNFEKLRHWEEPHLTIAFLGLTYTILYRNLVSYMFPMMLMISAVGMLTIRALKEQGRLGRSFGEVTIRDQPPSNTIQKIIAVKDAMRDVENFMQQVNVSLLKIRSILLSGHPQITTEVAMILIFSATILLIVPFKYIFSFLLFDMFTRELEFRREMAQKFIKFLRERWHAVPAAPVSILPFQNEARSEIYLKEIEDQSKSQGNQSSGKSR >Vigun06g231700.5.v1.2 pep primary_assembly:ASM411807v1:6:33813073:33823079:-1 gene:Vigun06g231700.v1.2 transcript:Vigun06g231700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGKKMQKIFGALVPESVYNDARNLVEYCCFRFLSRDGSAIHPSLQDPAFQRLIFITMVAWDNPYTDNLSSNAEKTSLQNKLVTEEAFVRIAPAISGVVDHPTVHNLFKALAGNQEGISVSSWLNYINEFVKVRQKQISYQIPEFPQLSQERILCTSSNSKRPVLKWENNLAWPGKLTLTDKAIYFETVSILGEKRAMRLDLTSNGSQVEKAKVGPLGSSLFDSAVSVSSGSETKLNRWVLEFIDLGGEMKRDVWHAFINEVITLHRFIREYGPDAYDESLFNVYGARKGSDRATTSAINGIARLQALQYLRKLLDNPTKLVQFSYLQNAPLGDIVLQTLAVNYWGGPLVTGFVNTRNQSETRPSDEIADSRNHVFDIDGSIYLQKWMKSPSWGSSTSTNFWKNTSVKGLILSKNLVVADLSLTERAAKISRLKYHVVEKTQATIDAATLQGIPSNIDLFKELMFPFALIAKNFEKLRHWEEPHLTIAFLGLTYTILYRNLVSYMFPMMLMISAVGMLTIRALKEQGRLGRSFGEVTIRDQPPSNTIQKIIAVKDAMRDVENFMQQVNVSLLKIRSILLSGHPQITTEVAMILIFSATILLIVPFKYIFSFLLFDMFTRELEFRREMAQKFIKFLRERWHAVPAAPVSILPFQNEARSEIYLKEIEDQSKSQGNQSSGKSR >Vigun06g231700.1.v1.2 pep primary_assembly:ASM411807v1:6:33813073:33823079:-1 gene:Vigun06g231700.v1.2 transcript:Vigun06g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKFPMTQLGTSPRYTISAQRGFLAYKYSRRILSEQKFPFKFVPQSLGDKWKLNDISTGSIQERLNVLMSRTQNFLNEVTFPLAKPGQSKKPDPVNDFGFQIMEDIFMIEQTIDRRTPSGVLSLAAVICIEQFSRMNGLTGKKMQKIFGALVPESVYNDARNLVEYCCFRFLSRDGSAIHPSLQDPAFQRLIFITMVAWDNPYTDNLSSNAEKTSLQNKLVTEEAFVRIAPAISGVVDHPTVHNLFKALAGNQEGISVSSWLNYINEFVKVRQKQISYQIPEFPQLSQERILCTSSNSKRPVLKWENNLAWPGKLTLTDKAIYFETVSILGEKRAMRLDLTSNGSQVEKAKVGPLGSSLFDSAVSVSSGSETKLNRWVLEFIDLGGEMKRDVWHAFINEVITLHRFIREYGPDAYDESLFNVYGARKGSDRATTSAINGIARLQALQYLRKLLDNPTKLVQFSYLQNAPLGDIVLQTLAVNYWGGPLVTGFVNTRNQSETRPSDEIADSRNHVFDIDGSIYLQKWMKSPSWGSSTSTNFWKNTSVKGLILSKNLVVADLSLTERAAKISRLKYHVVEKTQATIDAATLQGIPSNIDLFKELMFPFALIAKNFEKLRHWEEPHLTIAFLGLTYTILYRNLVSYMFPMMLMISAVGMLTIRALKEQGRLGRSFGEVTIRDQPPSNTIQKIIAVKDAMRDVENFMQQVNVSLLKIRSILLSGHPQITTEVAMILIFSATILLIVPFKYIFSFLLFDMFTRELEFRREMAQKFIKFLRERWHAVPAAPVSILPFQNEARSEIYLKEIEDQSKSQGNQSSGKSR >Vigun06g231700.2.v1.2 pep primary_assembly:ASM411807v1:6:33813073:33823079:-1 gene:Vigun06g231700.v1.2 transcript:Vigun06g231700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGKKMQKIFGALVPESVYNDARNLVEYCCFRFLSRDGSAIHPSLQDPAFQRLIFITMVAWDNPYTDNLSSNAEKTSLQNKLVTEEAFVRIAPAISGVVDHPTVHNLFKALAGNQEGISVSSWLNYINEFVKVRQKQISYQIPEFPQLSQERILCTSSNSKRPVLKWENNLAWPGKLTLTDKAIYFETVSILGEKRAMRLDLTSNGSQVEKAKVGPLGSSLFDSAVSVSSGSETKLNRWVLEFIDLGGEMKRDVWHAFINEVITLHRFIREYGPDAYDESLFNVYGARKGSDRATTSAINGIARLQALQYLRKLLDNPTKLVQFSYLQNAPLGDIVLQTLAVNYWGGPLVTGFVNTRNQSETRPSDEIADSRNHVFDIDGSIYLQKWMKSPSWGSSTSTNFWKNTSVKGLILSKNLVVADLSLTERAAKISRLKYHVVEKTQATIDAATLQGIPSNIDLFKELMFPFALIAKNFEKLRHWEEPHLTIAFLGLTYTILYRNLVSYMFPMMLMISAVGMLTIRALKEQGRLGRSFGEVTIRDQPPSNTIQKIIAVKDAMRDVENFMQQVNVSLLKIRSILLSGHPQITTEVAMILIFSATILLIVPFKYIFSFLLFDMFTRELEFRREMAQKFIKFLRERWHAVPAAPVSILPFQNEARSEIYLKEIEDQSKSQGNQSSGKSR >Vigun03g041750.1.v1.2 pep primary_assembly:ASM411807v1:3:3279466:3280243:1 gene:Vigun03g041750.v1.2 transcript:Vigun03g041750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSYISLHLTQYIRLRIFLSDTVSFSFLCNHTNGMICEKRNSIEGNNFMSSSLDYYRI >Vigun05g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2652180:2654309:-1 gene:Vigun05g033000.v1.2 transcript:Vigun05g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNLKIHEQCSVAPPSAPQTSLPLVFFDMFWLRFHPVERIFFYSLPVTHSNPSIFFTQVVPNLKTSLSHTLQHFSPLAGNILWPNGSSNPVVQYTPGDAVSVVLAESEADFDHALDNAPKEASELRCLVPNLESSDSHASVMAIQITLFPNRGFAIGISTHHAVLDGKTSTLFIKAWASLCKTNDDDSSSTRSLAPELEPFFDRTVIKSPNELGFNLSLDLAELVTKMFPGENSDERCLKLLPFPPRLEDQVRGTFMLTVADLERLKNRVLSKWDSVDDVVEAKSNSNSKSTSSKPTKLSTFVVTCAYTVVCIAKALHGVEKEKNKFSFTFTGDCRARLEPPIPENYFGNCVWGNLVDAKPSAFIEKEGFVIIAKSIHSKIKDILDKGVFHEVDDVLPRYAALAKERVEMIATAGSNRFGVYGNDFGWGKPSKVEIASVDRALTVGLAEKRDEKGGVEVGIVLKRPVMELFGTLFHGGLTYE >Vigun04g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30417230:30418256:-1 gene:Vigun04g119000.v1.2 transcript:Vigun04g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPASQDLIIHREDDEKQQQQQQNSEDDFDDVDEYDIDESYYFYVINAILSGTARLNVLLPTVTILAFSIFAPLLTDDGECKTLNRWLMGSFLALLAVSCVFFTLTDSFRSGSGRLYYGVATLRGIWTFNGGKKKPRVASDYRLRWSDFLYASLSLVSFLAFAGLHQDVVKCYYPALPRKVTNTLPLVVGFFVSVLFVAFPSKRRGIGYPFLLRRDPFYSSRP >VigunL035800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:297011:298947:1 gene:VigunL035800.v1.2 transcript:VigunL035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVTIRADEISKIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun02g055200.2.v1.2 pep primary_assembly:ASM411807v1:2:19828821:19831065:1 gene:Vigun02g055200.v1.2 transcript:Vigun02g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGMTHQQALAQVSVQASQANSNMHIQAEHSLSSPTSFTQVPAATSNTTQQLVPPLNADSWATMTESTDHSHSEQKLQSSSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPHCPVKKKVERSLEGHVTAIIYKGEHNHQRPHPNKRSKDSVASNEHSNMQGNASSYQGMAKMDPESSQATADHLSGSDSEEVGDHEAADDEKNVEPDPKRSKTEATNLDPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCKVRKHVERASTDPKAVITTYEGKHNHDVPAAKTSSHVMAGNNTSQIKSQNSNHRRQSFGSRDIGGNEQQPVARLRLKEEQIT >Vigun02g055200.1.v1.2 pep primary_assembly:ASM411807v1:2:19826832:19831065:1 gene:Vigun02g055200.v1.2 transcript:Vigun02g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSGDRPPWKEAAPPRPTISLPPRAASMETLFNGGFSPGPMTLLSGFLTDGDDSNKSFSQLLAGAMASPVTSTVAVHDSYGLFSPSQMSFGMTHQQALAQVSVQASQANSNMHIQAEHSLSSPTSFTQVPAATSNTTQQLVPPLNADSWATMTESTDHSHSEQKLQSSSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPHCPVKKKVERSLEGHVTAIIYKGEHNHQRPHPNKRSKDSVASNEHSNMQGNASSYQGMAKMDPESSQATADHLSGSDSEEVGDHEAADDEKNVEPDPKRSKTEATNLDPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCKVRKHVERASTDPKAVITTYEGKHNHDVPAAKTSSHVMAGNNTSQIKSQNSNHRRQSFGSRDIGGNEQQPVARLRLKEEQIT >Vigun07g077300.2.v1.2 pep primary_assembly:ASM411807v1:7:10376643:10393693:-1 gene:Vigun07g077300.v1.2 transcript:Vigun07g077300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGFGKIQWPSGAMYEGEFSGGYIHGTGTYIGPDNLTYNGRWRLNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGRMSGKGTLTWVSGDSFEGSWLNGMMHGLGAYTWSDGGCYVGTWTRGLKDGKGTFYPKDSCLPSAQEVYLNALRKRGLLPDLRKQKQVHIHHAASVDMGDVKVGESQRLSSVSSDKLAKRNLLNLEQSRSKNISLERRWSLEVSIEKVIGNDSKLGSTDSIAENGDKVPILEREYMQGVLISELVLNNKFSSMSRKAKQLQKKLAKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEGFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKTYDNTLITKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSCGRSSDKIEIDENTTLKDLDLNYCFFLEPSWRESLLKQIEIDSKFLELQQIMDYSLLLGVHYRAPQQLRPYNQSRTVDGLAILAEEDPLEDETSNYPQGLVLVPRGADDDSVVVGSHIRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEEVQMFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSIQFDSLSISAVDPTFYSRRFLDFIQKVFPPHEMTR >Vigun07g077300.1.v1.2 pep primary_assembly:ASM411807v1:7:10376613:10393811:-1 gene:Vigun07g077300.v1.2 transcript:Vigun07g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVATVDNLDGALSCAERTKSLDAISEIDRVPILTNGEAGHSSEVAGFRVGELALPNGESYCGSLLGNIPEGQGKYVWSDGCVYDGEWRRGMRNGFGKIQWPSGAMYEGEFSGGYIHGTGTYIGPDNLTYNGRWRLNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGRMSGKGTLTWVSGDSFEGSWLNGMMHGLGAYTWSDGGCYVGTWTRGLKDGKGTFYPKDSCLPSAQEVYLNALRKRGLLPDLRKQKQVHIHHAASVDMGDVKVGESQRLSSVSSDKLAKRNLLNLEQSRSKNISLERRWSLEVSIEKVIGNDSKLGSTDSIAENGDKVPILEREYMQGVLISELVLNNKFSSMSRKAKQLQKKLAKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEGFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKTYDNTLITKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSCGRSSDKIEIDENTTLKDLDLNYCFFLEPSWRESLLKQIEIDSKFLELQQIMDYSLLLGVHYRAPQQLRPYNQSRTVDGLAILAEEDPLEDETSNYPQGLVLVPRGADDDSVVVGSHIRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEEVQMFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSIQFDSLSISAVDPTFYSRRFLDFIQKVFPPHEMTR >Vigun07g077300.3.v1.2 pep primary_assembly:ASM411807v1:7:10376643:10393363:-1 gene:Vigun07g077300.v1.2 transcript:Vigun07g077300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVATVDNLDGALSCAERTKSLDAISEIDRVPILTNGEAGHSSEVAGFRVGELALPNGESYCGSLLGNIPEGQGKYVWSDGCVYDGEWRRGMRNGFGKIQWPSGAMYEGEFSGGYIHGTGTYIGPDNLTYNGRWRLNLKHGLGYQVYPNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGRMSGKGTLTWVSGDSFEGSWLNGMMHGLGAYTWSDGGCYVGTWTRGLKDGKGTFYPKDSCLPSAQEVYLNALRKRGLLPDLRKQKQVHIHHAASVDMGDVKVGESQRLSSVSSDKLAKRNLLNLEQSRSKNISLERRWSLEVSIEKVIGNDSKLGSTDSIAENGDKVPILEREYMQGVLISELVLNNKFSSMSRKAKQLQKKLAKEIKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEGFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKTYDNTLITKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSCGRSSDKIEIDENTTLKDLDLNYCFFLEPSWRESLLKQIEIDSKFLELQQIMDYSLLLGVHYRAPQQLRPYNQSRTVDGLAILAEEDPLEDETSNYPQGLVLVPRGADDDSVVVGSHIRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEEVQMFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSIQFDSLSISAVDPTFYSRRFLDFIQKVFPPHEMTR >Vigun09g213900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38803275:38804598:-1 gene:Vigun09g213900.v1.2 transcript:Vigun09g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSHQAPFCDRLSAAVIQEGKKDTLLDLNLPGDDSASGCTPALNLITCLDMDLSSENPHEPRVFSCNYCQRKFYSSQALGGHQNAHKRERSIAKKSHRAVSSMVAAPGTAYGIPFLHNHLPHLPHYHTVPSLPLHAVCGNKPFGIRTHCMIHRPPPLLVPPPPHFSFNAFGHPRGWPRPLITQQPAIGRLTVESCRKTSRDNNGGRLELNNSAGNEEISEHLAGENTGLKTGQEKKKHLDLSLKL >Vigun02g122800.1.v1.2 pep primary_assembly:ASM411807v1:2:27488603:27493709:-1 gene:Vigun02g122800.v1.2 transcript:Vigun02g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSSTKVSGSSSNNAGASNRNRKCSEPMAAQGEPVAEHKQQSSHGQRRREESRKPPRAKEKAGGRRPGGTLPCGKRTDFGYDKDFDKRFSLGKLLGHGQFGYTYVGIDKANGDRVAVKRLEKSKMVLPIAVEDVKREVKILKELTGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTREDSPLKATDFGLSDFIKPGKRFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTVSNGAKDFVKKLLVKDPRARYTAAQALSHPWVREGGEALEIPIDISVLNNMRQFVKYSRLKQFALRALASTLNEEELSDLKDQFDAIDVDKNGSISLEEMRQALAQDLPWKLKESRVLEILQAIDSNTDGLVDFSEFVAATLHVHQLEEHDSFKWQQRSQAAFEKFDLDKDGYITPDELRMHTGLRGSIDPLLEEADIDKDGKISLPEFRRLLRTASIGSRTVLSPNHFHRKM >Vigun03g325100.1.v1.2 pep primary_assembly:ASM411807v1:3:52112082:52120292:-1 gene:Vigun03g325100.v1.2 transcript:Vigun03g325100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVVIVAINWKRYHSCTYPLHIWIVVDYTTVFVFRLLMFVDNGLAAGMGLDFGWQQRYARFCGRVVVLSILVLLLYPFLWGWTVMGTLWFSSAKNCLPEDGQKWGFLIWLLFSYCGLLCIACMSLGKWLTRRQAHLLRAQQGIPVSEYGVLVDMIRVPDWAFEAAGQETRGMVQDAAAYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFYVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRSEPDRSSASVVTTTSYVRGQPSSQSYRLRLQGLLRPVRAEIAGPVGDIDNSLDNAENGFVSIVTHNASSGDHVSSVECVPVTVRLSSAQS >Vigun01g239950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41036735:41037235:-1 gene:Vigun01g239950.v1.2 transcript:Vigun01g239950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKKDSQTERRKNEIMGFAVSVKPSGFELMQNCDLPPPSKFLMGPDKSRVYDIAGKGEEGERNKMEVLKALQASQTRAREAEKKAALLKKERECLKIVVLGEAMHLFAYRQQLRLVELQLLHLQQQQQPDGDEEESSSVAWLLALLLSFGIGVTTALSWRYFL >Vigun10g018100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2035325:2036037:1 gene:Vigun10g018100.v1.2 transcript:Vigun10g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSWAPQIEILGHGSVGGFLSHCGWNSTLESVVHGLPLITWPLFAEQRMNAVVMSEGLKVGVRARVSENGLVERVEIVEKIKCLMEEEEGREMRKRVKELKEAATNALKPDGASTKTLSQLAIKWKNLA >Vigun05g278000.1.v1.2 pep primary_assembly:ASM411807v1:5:46744983:46749510:-1 gene:Vigun05g278000.v1.2 transcript:Vigun05g278000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRCFLVGFVGVLLLAVLIQAQDQSGFISIDCGAPAYENYIESQTGINYTSDASLINTGVSMSVESDLQGEYQKQMLTLRSFPEGKRNCYKIDITRGSKYLIKAGFLYGNYDGLNKIPKFDIHLGANRWGTVNINNGSYEVDMEIIHIPSQDYVQICLVDTGHGTPFISTLEFRTLTNDIYVTKSGSLETYIRWDLGSNQGYRYKDDVYDRYWGYGNINNWTQLSVSVSADALVQSVYRPPAIVMSTAVTPPNVSAPLLLQWEPDDPTDQFYVYLYFMEIQELATNQTREFNIVANGKLWYSNCSPKNLLVTTLLSKSASSGKEIIFSLEKTNDSTLPPIINALEIYKVIDFPQSDTFKGDDDAITTIKSIYGVKRDWQGDPCAPVDYLWDGLNCSYSKNESPRITTLNLSSSGLSGKIDPSISNLTMLETLDLSNNNLNGEIPDFLSQLQHLKILNLEKNNLSGSIPSALVDKSKGGSLSLSVDPNLCESGQCNEQDKESGKKKNIVTPLVASVSGVVLLLVVVAAILWTLKRRKLKALIVDKDQSQIAPQHTEQDDLLLPFRKQIYSYSDILKITNNFNTTLGRGGFGTVYLGHIDDTPVAVKMLSSSSVKGFQQFQAEVKLLMRVHHKNLTSLVGYCNEETNKGLIYEYMANGNLQEHLSGNRSKAKFFTWEERLRIAVDAALGLEYLHNGCKPSIIHRDIKSTNILLNEHFQAKLADFGLSKIIPTEGGTHVSTVVAGTPGYLDPEYFTTNRLTEKSDVYSFGVVLLEIITNQAVITRGEKSIHISDWVRSLVVKGDMKAIVDSRLEGDYDTNSVWKAVEIATACVSSNMNRRPVTSEIVIELKESLSMEVARTKYRGNHSSDSGVTLNLNTEFIPQAR >Vigun05g183700.1.v1.2 pep primary_assembly:ASM411807v1:5:35420213:35440910:1 gene:Vigun05g183700.v1.2 transcript:Vigun05g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENSQLNNLQEENGASDLQSIVEGVGDLSTLSVKEGDDSKEVQKKKKKKARSKKKKEILEQTDPPSISVIDLFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMKGIIKPGMLMTDLCETLENTVRKLISEDGLQAGIAFPTGCSLNWVAAHWTPNSGDKTILQYDDVMKLDFGTHVDGYIVDCAFTVAFNPMFDPLLEASREATNTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVYPVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Vigun07g083600.1.v1.2 pep primary_assembly:ASM411807v1:7:12224428:12225992:1 gene:Vigun07g083600.v1.2 transcript:Vigun07g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDIFCASQASTAICLSMDEASCSSSSNTILLGGRVIDRHNPIINDSRRSTSKSLTAPCSSAHSPINPKPYHELHKSKKNTSSSFKSAAKGHQNHKNKNTPQHLTNTSASTRSLVGGSSLVDASSSSHYDPVLGLTTPTVNTRTSQVLHRDETTPVSKLSTSSHPKSCSSDQVVVLRVSLHCKGCEGKVRKHLSRMHGVTSFNIDFAAKKVTVVGDVTPSSVLASISKVKNAQLWPATVETK >Vigun07g083600.2.v1.2 pep primary_assembly:ASM411807v1:7:12224428:12225993:1 gene:Vigun07g083600.v1.2 transcript:Vigun07g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDIFCASQASTAICLSMDEASCSSSSNTILLGGRVIDRHNPIINDSRRSTSKSLTAPCSSAHSPINPKPYHELHKSKKNTSSSFKSAAKGHQNHKNKNTPQHLTNTSASTRSLVGGSSLVDASSSSHYDPVLGLTTPTVNTRTSQVLHRDETTPVSKLSTSSHPKSCSSDQVVVLRVSLHCKGCEGKVRKHLSRMHVVDSMSRSYFLQHRFCCKEGDSCWRCDSIECIG >Vigun07g131500.2.v1.2 pep primary_assembly:ASM411807v1:7:24103310:24106187:-1 gene:Vigun07g131500.v1.2 transcript:Vigun07g131500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHQMQQHRRQIGSTSLDLDPTTVNAVKELDFFSSTSPSNNTHTHNSDTRLNLHHLRSNIPKENESQPLLTHQFVNTTLNLMCPSQSDELSRSAAAIDQNLKSPLNTLQNEFLRLQEENYRLRTMLDQITKNYHHLQIFIAMQKQKPYQVERNEMISGHHLLDPQSCTRLDAKVVASVSDDKSSQEAHADRVEDVIEQASSKSPKFEESKPGEPPLRRTRVSVRARSEAPVICDGCQWRKYGQKISKGNPCPRAYYRCTMALGCPVRKQVQRCTEDKSVLITTYEGNHNHPLPPSATVMANSTSAAAAMLLSSSGSTTSNQALNNSVGVFSSMYLSASAPFPTITLDMTNNPVLGHPIIFPPKMQHSLGQKQPLTDIVTAAVASNPNFTVALAAAISSIIGAPRSNDGGNNIYSNNGGNVVAVGTSMLPGPT >Vigun07g131500.1.v1.2 pep primary_assembly:ASM411807v1:7:24103310:24106187:-1 gene:Vigun07g131500.v1.2 transcript:Vigun07g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHQMQQHRRQIGSTSLDLDPTTVNAVKELDFFSSTSPSNNTHTHNSDTRLNLHHLRSNIPKENESQPLLTHQFVNTTLNLMCPSQSDELSRSAAAIDQNLKSPLNTLQNEFLRLQEENYRLRTMLDQITKNYHHLQIFIAMQKQKPYQKVERNEMISGHHLLDPQSCTRLDAKVVASVSDDKSSQEAHADRVEDVIEQASSKSPKFEESKPGEPPLRRTRVSVRARSEAPVICDGCQWRKYGQKISKGNPCPRAYYRCTMALGCPVRKQVQRCTEDKSVLITTYEGNHNHPLPPSATVMANSTSAAAAMLLSSSGSTTSNQALNNSVGVFSSMYLSASAPFPTITLDMTNNPVLGHPIIFPPKMQHSLGQKQPLTDIVTAAVASNPNFTVALAAAISSIIGAPRSNDGGNNIYSNNGGNVVAVGTSMLPGPT >Vigun03g344200.2.v1.2 pep primary_assembly:ASM411807v1:3:54334716:54340734:1 gene:Vigun03g344200.v1.2 transcript:Vigun03g344200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNRARSFAEEAAKRSNDLSLGASKLSDIITETTKEIAAQASKHLPQPSLTTDVDLQRFGITEELREFVRGITIATFEDFPLQDDTEFSDVPAVSNVRQDLTDWQEKHASLVLSTVKEISRLRYDLCPRVMKERKFWRIYFLLVNNHTAPYENKYMEDEKLKSSEQGNDRIVTMKPLNAELICNQEAQEMQKKDKLSNSSTEQDLDVFLLGEGNSDDDEPDDDNGRYNDDLDKLMDNSLATLMNVQDDEKGK >Vigun03g344200.1.v1.2 pep primary_assembly:ASM411807v1:3:54334648:54340989:1 gene:Vigun03g344200.v1.2 transcript:Vigun03g344200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNRARSFAEEAAKRSNDLSLGASKLSDIITETTKEIAAQASKHLPQPSLTTDVDLQRFGITEELREFVRGITIATFEDFPLQDDTEFSDVPAVSNVRQDLTDWQEKHASLVLSTVKEISRLRYDLCPRVMKERKFWRIYFLLVNNHTAPYENKYMEDEKLKSSEQGNDRIVTMKPLNAELICNQEAQEMQKKDKLSNSSTEQDLDVFLLGEGNSDDDEPDDDNGRYNDDLDKLMDNSDDEKGK >Vigun11g050371.1.v1.2 pep primary_assembly:ASM411807v1:11:8317556:8318526:1 gene:Vigun11g050371.v1.2 transcript:Vigun11g050371.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGNQFQTMKSNPIDPTEHDNATMEAREEGVKWCFWRTKRPKS >Vigun07g097300.1.v1.2 pep primary_assembly:ASM411807v1:7:16707284:16722036:-1 gene:Vigun07g097300.v1.2 transcript:Vigun07g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKSVHVGRSKLDVGVDFTRRLYNSHMQRLSRGLVSMATGSLGLKQPGLIYYSDLLDMSWHKGLHDSDLLLTYRYHRPRDIGPPSLTIKHSVSPEVRIHGIPTNNFRHSQSQGVRLSKLSIGFDYVDPSESGSTKGKATCVYFKRFHFSHDGGRSISTDRDGFQLTRSGTPSDNVIVVSQESRFEDEKDNGFTNFSVQMELGTAISQNLLTYYRFEVTAARGIKLGPALFFSRMSSGTVKGSFAPYQAFAVGGPSSVRGYGEGAIGVGQSCLLSTTELSIPLSKKLTGVIFLDCGSDLWSGDKVPNNPGERHGKPGFGFGIGGGMRFKTPLAQIHVDYAINAFQKGTAYFGIRDLLL >Vigun09g088300.1.v1.2 pep primary_assembly:ASM411807v1:9:12022424:12031131:1 gene:Vigun09g088300.v1.2 transcript:Vigun09g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLHNFYYSATYSDQSTTMAPPPPTKVVFGKEEDVSKALRNKVASHPLFPHLLHAFIDCHKVGAPQDIADLFEGIKEEHSGLCQISESKSYHGFLGADPELDDFMGTFYDLLVKYKSDLSRPFNEATMFLTHMETQLHSICNDLAVSDNTVPQPAGDFGGSRGKDSMEGIKRIESKKTNEVEELKDNLLSRYSGYITNLKHEFSRKKKKEKLPKEAKKILLAWWNIHFKWPYPTDADKVALAEWSGLEQKQVNNWFINQRKRHWKASEEMQVAILDELYGPLHE >Vigun05g286000.3.v1.2 pep primary_assembly:ASM411807v1:5:47345723:47348501:-1 gene:Vigun05g286000.v1.2 transcript:Vigun05g286000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGNKIIQAKLVLLGDMGTGKTSLALRFVKGQFYPNQEPTIGAAFFTQILSLSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSVDTFVRAKKWVQELQTHGNQKAVMALVANKSDLEPKREVEAEVGEQFAQENGMFYMETSAKTAENVNELFYEIAKRLARALPPKPTGMKLNSNVQDRGRSYYCCSA >Vigun05g286000.2.v1.2 pep primary_assembly:ASM411807v1:5:47344492:47348501:-1 gene:Vigun05g286000.v1.2 transcript:Vigun05g286000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGNKIIQAKLVLLGDMGTGKTSLALRFVKGQFYPNQEPTIGAAFFTQILSLSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSVDTFVRAKKWVQELQTHGNQKAVMALVANKSDLEPKREVEAEVGEQFAQENGMFYMETSAKTAENVNELFYEIAKRLARALPPKPTGMKLNSNVQDRGRSYYCCSA >Vigun02g058500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20322589:20323615:1 gene:Vigun02g058500.v1.2 transcript:Vigun02g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQLSPTAHSQFSSHPNPPTTSDRGILFHEKVRYLKALKVNPEKAFHLNPTLRSSPLVALESVTRCLYSLGVPRAALGRILDMHPVLLTCDPYSQLYPLLDFLLHEVPIPFPDVHLCILRCPRLLVSSIDDQLRPTLFFLRELGFCGPHSLTCQTTLLLVSSLEDTLLPKIEFLKSLGFTHIEVSNMVVRSPGLLTLSVKKNLMPKLEFFLKEMNGDVAELKRFPQYFSFSLERRIRSRFGMLRQLGVSMPLEDMLKVSDGEFNARLLELRFKTLEFGVGQ >Vigun05g009300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:761282:762175:-1 gene:Vigun05g009300.v1.2 transcript:Vigun05g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFPRATFGGIKRNLRRQRYRRLHGGAATGRRKMAIIQLRGPRRHWRLRTSGRFRWLMRSPLKMLAKLKNVYMNLMLKMAGKTRAINSDKIFGAKRIPNPRHVSKKYACDEFEARILFEISKTLVPSYELHSM >Vigun06g202800.1.v1.2 pep primary_assembly:ASM411807v1:6:31738130:31738816:-1 gene:Vigun06g202800.v1.2 transcript:Vigun06g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTLIGFVLLISMMFVGSEVHANDITCIEAITLLLPCEPFLLGLGPTTPSLNCCTSIAVIFKETTTVELRRSICSCLKNAAFQAGIKHDSAAKLSQLCKTRFSFPIDPFVDCDSVA >Vigun08g061001.1.v1.2 pep primary_assembly:ASM411807v1:8:8098820:8100176:-1 gene:Vigun08g061001.v1.2 transcript:Vigun08g061001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKCIGALNEKVTVAQKEYIASTPFWWFPMLKQSLKISRNVLSQLCIKWVERRGGFDVGGEVVDFSLLGVCLGLGLRVVGEKIDLNEEVVESETWNTFGRQRVDVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPVIFKIVDDMENIGKYNWGTLVYEYLVFSLCSASLALQNEPSRFEFYVVGCAYLLELWSFDHLVVCQSTFRCKMNLFPQLLYWMNAIIDVAVSKEELDHAIVREAFEHFGTEYKTQDLKDKEEVERLLEDHEAEIVDLEQSMSALDELVANWKGQQPKDEVRDEVGDDVFNDPRAGVMSDEKDDGAQQSNMYDRMKARPGMRFKSVAIKTPYFVYGKKKLKSLQIG >Vigun03g142500.1.v1.2 pep primary_assembly:ASM411807v1:3:14385301:14385911:-1 gene:Vigun03g142500.v1.2 transcript:Vigun03g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHRHLRHLRHQIFSSRQTTILSFYHLPISACLSSKFLHSDFISQFLTVISAIKISHQDEATILSDFFLSVFAQEKLALPAA >Vigun03g439000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64259870:64263500:1 gene:Vigun03g439000.v1.2 transcript:Vigun03g439000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNICSSPSSLFHDFPSISSSSTSSSSSCSSSSSRKFKLRNLSSSSFQPSHSNSLALNSKTLLHAPQVSLHEPISEQIPSEGNSEGNSLSSYKSSRIWVNPRSPRAKQLERKSYDARYTSLVNVANSLDSCNPSQEDVSLVLKNLGSRVLEQDAVTVINNMSNSLVVPFVLRYFQRRIKTTREAILYNVTLKVFRKNRDMDAIEKMFDEMLQRGVRPDNVTFSTIISCARLCSVPHKAVEWFEKMPSFGCEPDEVTYSVMIDAYGRAGNIDMALRLYDRARTESWRLDTVTFSTLIKMYGLAGNYDGCLNVYQEMKVLGVKPNMVIYNTLLDAMGRAKRPWQAKSIFTEMMNNGLSPNWVTYAALLRAYGRGRYSDDALFVYKEMREKGMEMNTHLYNTLLAMCADLGLADEAFKIFEDMKSSATCLCDSWTFSSLITIYSCSGNVSDAERILNEMMESGFEPTIFVLTSLVQCYGKAGRTDDVVKTFDQLLDLGISPDDRFCGCLLNVMTQTPKEELFKLKDCVDKANPKLGSVVRYLVEGLEESDGEFRKEASELFDSIADEVKKPFCNSLIDLCVNLNLMDLACQLLDLGLTREIYTDIQTKSQTQWSLHLKSLSLGASLTALHAWINDLSKVLESGEDLPPVLGINTGHGKHRYSEKGLASVVESHLNELNAPFHEAPDKAGWFLTTQVAAKSWLESRELVTA >Vigun03g439000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64259870:64263504:1 gene:Vigun03g439000.v1.2 transcript:Vigun03g439000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNICSSPSSLFHDFPSISSSSTSSSSSCSSSSSRKFKLRNLSSSSFQPSHSNSLALNSKTLLHAPQVSLHEPISEQIPSEGNSEGNSLSSYKSSRIWVNPRSPRAKQLERKSYDARYTSLVNVANSLDSCNPSQEDVSLVLKNLGSRVLEQDAVTVINNMSNSLVVPFVLRYFQRRIKTTREAILYNVTLKVFRKNRDMDAIEKMFDEMLQRGVRPDNVTFSTIISCARLCSVPHKAVEWFEKMPSFGCEPDEVTYSVMIDAYGRAGNIDMALRLYDRARTESWRLDTVTFSTLIKMYGLAGNYDGCLNVYQEMKVLGVKPNMVIYNTLLDAMGRAKRPWQAKSIFTEMMNNGLSPNWVTYAALLRAYGRGRYSDDALFVYKEMREKGMEMNTHLYNTLLAMCADLGLADEAFKIFEDMKSSATCLCDSWTFSSLITIYSCSGNVSDAERILNEMMESGFEPTIFVLTSLVQCYGKAGRTDDVVKTFDQLLDLGISPDDRFCGCLLNVMTQTPKEELFKLKDCVDKANPKLGSVVRYLVEGLEESDGEFRKEASELFDSIADEVKKPFCNSLIDLCVNLNLMDLACQLLDLGLTREIYTDIQTKSQTQWSLHLKSLSLGASLTALHAWINDLSKVLESGEDLPPVLGINTGHGKHRYSEKGLASVVESHLNELNAPFHEAPDKAGWFLTTQVAAKSWLESRELVTA >Vigun05g187050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36172827:36174670:-1 gene:Vigun05g187050.v1.2 transcript:Vigun05g187050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLILIQASLLFTSPTTALLLSSRATKTPAACATAASPTASETHRRRRQPPPEASPAGTQLPKTLPSRFSPACEIGRIPELRRCTPCCSSLVFVSSDRSDLDCRHDVIAARREHCPRCQLLPRFSAQSSDSPAASSRGFSVSDWRYVIGEDRRVWCCSGCNRTRRVVVKYLLDFLITNQR >Vigun05g187050.2.v1.2 pep primary_assembly:ASM411807v1:5:36172827:36174670:-1 gene:Vigun05g187050.v1.2 transcript:Vigun05g187050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLILIQASLLFTSPTTALLLSSRATKTPAACATAASPTASETHRRRRQPPPEASPAGTQLPKTLPSRFSPACEIGRIPELRRCTPCCSSLVFVSSDRSDLDCRHDVIAARREHCPRCQLLPRFSAQSSDSPAASSRGFSVSDWRWHKHIVNGDHNITIEAYESTSKRTQHNRTSYSVI >Vigun04g161200.6.v1.2 pep primary_assembly:ASM411807v1:4:38341329:38344601:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQENRLQKFKLPKPVLCIGGILLVELLGRVQKQEMDELFYICISHVQVMGRPLSPSFDVKVHHPSGKCTLKYHPPPTDPHMSSSTSSPESSSSSRLRTITSSLVQRGVRRWEQILLGALLGSGPVVVDD >Vigun04g161200.3.v1.2 pep primary_assembly:ASM411807v1:4:38341319:38344686:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFVIEHGLCKQLCLAMFPEISGVTHMIELDNVIEPLGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQENRLQKFKLPKPVLCIGGILLVELLGRVQKQEMDELFYICISHVQVMGRPLSPSFDVKVHHPSGKCTLKYHPPPTDPHMSSSTSSPESSSSSRLRTITSSLVQRGVRRWEQILLGALLGSGPVVVDD >Vigun04g161200.1.v1.2 pep primary_assembly:ASM411807v1:4:38341258:38344743:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFVIEHGLCKQLCLAMFPEISGVTHMIELDNVIEPLGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQENRLQKFKLPKPVLCIGGILLVELLGRVQKQEMDELFYICISHVQVMGRPLSPSFDVKVHHPSGKCTLKYHPPPTDPHMSSSTSSPESSSSSRLRTITSSLVQRGVRRWEQILLGALLGSGPVVVDD >Vigun04g161200.4.v1.2 pep primary_assembly:ASM411807v1:4:38341329:38345740:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFVIEHGLCKQLCLAMFPEISGVTHMIELDNVIEPLGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQENRLQKFKLPKPVLCIGGILLVELLGRVQKQEMDELFYICISHVQVMGRPLSPSFDVKVHHPSGKCTLKYHPPPTDPHMSSSTSSPESSSSSRLRTITSSLVQRGVRRWEQILLGALLGSGPVVVDD >Vigun04g161200.8.v1.2 pep primary_assembly:ASM411807v1:4:38341319:38344686:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFVIEHGLCKQLCLAMFPEISGVTHMIELDNVIEPLGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQYLPCSSYGTATFTII >Vigun04g161200.5.v1.2 pep primary_assembly:ASM411807v1:4:38341329:38345740:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQENRLQKFKLPKPVLCIGGILLVELLGRVQKQEMDELFYICISHVQVMGRPLSPSFDVKVHHPSGKCTLKYHPPPTDPHMSSSTSSPESSSSSRLRTITSSLVQRGVRRWEQILLGALLGSGPVVVDD >Vigun04g161200.7.v1.2 pep primary_assembly:ASM411807v1:4:38341319:38344686:1 gene:Vigun04g161200.v1.2 transcript:Vigun04g161200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKNKVDFIQLLGPDMSIKILTHLDAPCDLVRVSAVSSSWHRFVIEHGLCKQLCLAMFPEISGVTHMIELDNVIEPLGNTSGSCVNWEYLKRNHKVYAFLSSGLHPVRKNCISKAISASSTDNYPEESILHTLEPGDRTEYRASYWSSKGENDPSVPETLVYKLVSEMCLVTEIHVQPFQAFFQHGFPIYSAKAVRFRMGHPRYPIESESDVDNITADEVLGDNQFIWTYTSPEFPMCQYLPCSSYGTATFTII >Vigun03g274800.1.v1.2 pep primary_assembly:ASM411807v1:3:45044704:45048375:1 gene:Vigun03g274800.v1.2 transcript:Vigun03g274800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSASVSLPSYPDSDYAEIFVVRHGKTAWNSEGRVQGQADIELSESGRKQAVAVANRLSSEPKIGAIYSSDLQRAFETAQIIAVKCGGLEVVKDLDLRERHMGDLQGLRYCELSKTNPIGYEALVSKDEDRELPGGGESFVQLFERCKSALLRIGRKHKGERAVVVTHGACIETLYKWANAKGSYAGKIDNASVAVFVLCGEDNWTLKMWGDVSHLTQN >Vigun05g087600.1.v1.2 pep primary_assembly:ASM411807v1:5:8320294:8325369:-1 gene:Vigun05g087600.v1.2 transcript:Vigun05g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVKLLLPLSFLLLMPSFLPIAVAYRPGDIVPMSRMGQYHSSRTVWHDLIGRHCPIFAVNREVLMPIPKPTGFTGADAYKLSFQVGREKFLIPWLLVVNRKSTEVPMIEVNLRYSGSDFHGVTAKVVDMPHHYIEIHPEIRRQFWDPEHWPKHILVRYTWKEHSEIDVTSGFFVLFGSGLMLSFILSIYVLQSSRDKFERFVRETVVESNLAGEVVAKVE >Vigun07g238800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36028253:36029306:1 gene:Vigun07g238800.v1.2 transcript:Vigun07g238800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLKEDCTQDYITEVKSKFDGKWLCGLCSEAVRDEVSYGGKKTSPAMDEAVKAHMSFCRKFKSNPAVRVADGMRQMLRRRSGDLSQSPSSSKKYTRSTTTSQVGDSSTFRLY >Vigun03g082300.1.v1.2 pep primary_assembly:ASM411807v1:3:6848979:6852742:1 gene:Vigun03g082300.v1.2 transcript:Vigun03g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTFQRQLRHCFGDSSPGEFPLSANPSIVLHVLTSCSLYPQDLAKLEATCSFFKKPANFAPDLDLSLSELAALDMCQKRAIFKPMTTEQQQHLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWHPRPIRALQGIRIIQATAATGRTMLISDSGQVYAFGKDSFGEAEIGIQGSKMVTSPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLCHHTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKYPRLIEQFQVLNIQPKVVAAGAWHAAVVGQDGRICTWGWGRCGCLGHGNEECESVPKVVEELRDVKAVHVATGDYTTFVVADNGDVYSFGCGESASLGHNNPENQEQGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTFALTESGKLYGFGAGDKGQLGVELGANQTERGKPERVDIDLG >Vigun03g082300.3.v1.2 pep primary_assembly:ASM411807v1:3:6848979:6852742:1 gene:Vigun03g082300.v1.2 transcript:Vigun03g082300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTFQRQLRHCFGDSSPGEFPLSANPSIVLHVLTSCSLYPQDLAKLEATCSFFKKPANFAPDLDLSLSELAALDMCQKRAIFKPMTTEQQQHLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWHPRPIRALQGIRIIQATAATGRTMLISDSGQVYAFGKDSFGEAEIGIQGSKMVTSPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLCHHTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKYPRLIEQFQVLNIQPKVVAAGAWHAAVVGQDGRICTWGWGRCGCLGHGNEECESVPKVVEELRDVKAVHVATGDYTTFVVADNGDVYSFGCGESASLGHNNPENQEQGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTFALTESGKLYGFGAGDKGQLGVELGANQTERGKPERVDIDLG >Vigun03g082300.2.v1.2 pep primary_assembly:ASM411807v1:3:6848979:6852742:1 gene:Vigun03g082300.v1.2 transcript:Vigun03g082300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPTIQYHNIPDQPITTIVATQLPTFQRQLRHCFGDSSPGEFPLSANPSIVLHVLTSCSLYPQDLAKLEATCSFFKKPANFAPDLDLSLSELAALDMCQKRAIFKPMTTEQQQHLKQRCGGSWKLVLRFLMAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWHPRPIRALQGIRIIQATAATGRTMLISDSGQVYAFGKDSFGEAEIGIQGSKMVTSPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLCHHTDPNDVEPRPLLGALEHIPVVQIAAGFCYLLCLACQPSGMSVYSVGCGLGGKLGHGSKTDEKYPRLIEQFQVLNIQPKVVAAGAWHAAVVGQDGRICTWGWGRCGCLGHGNEECESVPKVVEELRDVKAVHVATGDYTTFVVADNGDVYSFGCGESASLGHNNPENQEQGDMHANVLSPKLVTSMKEMNEKVVQISLTNSVYWNAHTFALTESGKLYGFGAGDKGQLGVELGANQTERGKPERVDIDLG >Vigun10g176600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39503757:39504089:1 gene:Vigun10g176600.v1.2 transcript:Vigun10g176600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAIQSFIIRLVQNLSHTVLLAADPTAAMSVKKSVSLNGGNAIANLKGQKYSKRRRFDRPFSSVELSIEPGKQLKDMDSNKLKADIKKWAKAVVAYARQISGSFGKSRG >Vigun01g147900.2.v1.2 pep primary_assembly:ASM411807v1:1:32949366:32956999:-1 gene:Vigun01g147900.v1.2 transcript:Vigun01g147900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSFRNGSASIWRESGEDMFSNSFHREDDEEALKWAAIQKLPTFSRMRKALLTSSEGGVNEIDVHKLGLQERRVLLQRLLRTAEEDNEKFLLKLRERIDRVGIDLPAIEVRFQNLNVEAEARVGNRALPTFTNFMVNIVEGLLNSLCILRSSKQHIRILQDVSGIIKPGRMTLLLGPPSSGKTTLLLALAARLDPKLKVSGKVTYNGHGVDEFVPQRTAAYVNQNDLHIAELTVRETLAFSARVQGVGTRYDLLAELSRREKQENIMPDSDIDVYMKAVATEGQKANLITDYILRILGLEICADTVVGNAMLRGISGGQKKRLTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQSVHILKGTTVISLLQPAPETYNLFDDIILLSDSHIVYQGPREYVLEFFESLGFQCPERKGVADFLQEVTSRKDQEQYWAHKDQPYRFVTAKEFSEAHKSFHVGRSLAQELSTEFDKSKSHPAALTTKKYGVGKWELLKACLSREYLLIKRNSFVYIFRLSQLAVMAFITMTIFLRTEMHRDSVTDGGIYVGALFYCLVVVMFNGFAELSMVAMKLPIFYKQRDNLFFPSWAYALPAWILKIPMTFVEVAVWIFLTYYVIGFDPNIGRFFRHYLVLLLVNQMASALFRFIAGVGRELKAALTLGSFILTILFAMSGFILSKDNIKKWWLWGFWISPMMYGQNALVNNEFLTERWGHVLPNSTEPLGIEVLKSRGFFVQSYWFWIGVAVLIGYTLLFNFGYILALTYFSTPGKQHAFISEEPENNEQNGGTGNKGTNVMRYIKYNFTEHSIKVREGESICGNSPSHTLPTSNRGMVLPFEPHSITFDEVTYAVDMPQEMRNQGIVENKLVLLKGVTGSFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYVGGNITISGYQKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDINAQTRKMFIEEVMELVELKPLRHAIVGLPGVDGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKQGGQEIYAGPLGHRSSNLISYFEEIQGISKIKDGYNPATWMMEVTSSAKELELGIDFADVYKNSELYRRTKALVKELSTPAPGSKDLYFPSQYSTSFFTQCMACLWKQHWSYWRNSQYTALRFLYTAIVAVLLGSMFWNLGSKIEKQQDLFNSMGSMYAAVLLLGVKNSNSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIEVPYVLGQAAVYSLIIYAMIGYEWSVPKFLWCLFFMYFSFLYFTYYGMMAVAVTPNQHVSTIISAGFYSVWNIFSGFIIPRPRIPVWWRWYSWANPISWSLYGLVASQYGDIKQTIESSDGTTTVEGFVRTYFGFKHDFLGVVAVVIVAFTLVFALVFAVSVKIFNFQRR >Vigun01g147900.1.v1.2 pep primary_assembly:ASM411807v1:1:32949366:32956839:-1 gene:Vigun01g147900.v1.2 transcript:Vigun01g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSFRNGSASIWRESGEDMFSNSFHREDDEEALKWAAIQKLPTFSRMRKALLTSSEGGVNEIDVHKLGLQERRVLLQRLLRTAEEDNEKFLLKLRERIDRVGIDLPAIEVRFQNLNVEAEARVGNRALPTFTNFMVNIVEGLLNSLCILRSSKQHIRILQDVSGIIKPGRMTLLLGPPSSGKTTLLLALAARLDPKLKVSGKVTYNGHGVDEFVPQRTAAYVNQNDLHIAELTVRETLAFSARVQGVGTRYDLLAELSRREKQENIMPDSDIDVYMKAVATEGQKANLITDYILRILGLEICADTVVGNAMLRGISGGQKKRLTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQSVHILKGTTVISLLQPAPETYNLFDDIILLSDSHIVYQGPREYVLEFFESLGFQCPERKGVADFLQEVTSRKDQEQYWAHKDQPYRFVTAKEFSEAHKSFHVGRSLAQELSTEFDKSKSHPAALTTKKYGVGKWELLKACLSREYLLIKRNSFVYIFRLSQLAVMAFITMTIFLRTEMHRDSVTDGGIYVGALFYCLVVVMFNGFAELSMVAMKLPIFYKQRDNLFFPSWAYALPAWILKIPMTFVEVAVWIFLTYYVIGFDPNIGRFFRHYLVLLLVNQMASALFRFIAGVGRELKAALTLGSFILTILFAMSGFILSKDNIKKWWLWGFWISPMMYGQNALVNNEFLTERWGHVLPNSTEPLGIEVLKSRGFFVQSYWFWIGVAVLIGYTLLFNFGYILALTYFSTPGKQHAFISEEPENNEQNGGTGNKGTNVMRYIKYNFTEHSIKVREGESICGNSPSHTLPTSNRGMVLPFEPHSITFDEVTYAVDMPQEMRNQGIVENKLVLLKGVTGSFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYVGGNITISGYQKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDINAQTRKMFIEEVMELVELKPLRHAIVGLPGVDGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKQGGQEIYAGPLGHRSSNLISYFEEIQGISKIKDGYNPATWMMEVTSSAKELELGIDFADVYKNSELYRRTKALVKELSTPAPGSKDLYFPSQYSTSFFTQCMACLWKQHWSYWRNSQYTALRFLYTAIVAVLLGSMFWNLGSKIEKQQDLFNSMGSMYAAVLLLGVKNSNSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIEVPYVLGQAAVYSLIIYAMIGYEWSVPKFLWCLFFMYFSFLYFTYYGMMAVAVTPNQHVSTIISAGFYSVWNIFSGFIIPRPRIPVWWRWYSWANPISWSLYGLVASQYGDIKQTIESSDGTTTVEGFVRTYFGFKHDFLGVVAVVIVAFTLVFALVFAVSVKIFNFQRR >Vigun06g106000.2.v1.2 pep primary_assembly:ASM411807v1:6:23547180:23552128:-1 gene:Vigun06g106000.v1.2 transcript:Vigun06g106000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHISSASHRTQNMENKTTEPNDFTSPLLPTSYGAHDVGVDFLEKRHNEASSVPTTLILTTLVAVFGSYVFGSAIGYSSPTQSGIMRDLNLGVAQYSIFGSILTIGAMIGAVVSGRIADYAGRRVAMGFSQIFCILGWFAITFSKIAWWLYVGRLLIGCGIGLLSYVVPVYVAEITPKNLRGAFTAVHQLMICCGMSLTYLIGAYANWRILALIGIIPCLLQLLCLPFIPDSPRWLAKVGRLKESDSALQHLRGKNADVYQEATEIRDYTEAFQKQTEASIIGLFRMQYLKSLIVGVGLMILQQFGGINGIVFYANSIFISSGFSESFGTVAIVAVKIPMTSLGVLLMDKSGRRPLLLVSAVGTCLGCFLAALSFFLQDLHKWKQVSPIMALVGVLVYVGFYSIGMGAIPWVIMSEIFPINVKGSAGSLVTLVSWLCSWIISYAFNFLMNWSSAGTFFMFSAICGFTVLFVAKLVPETKGRTLEEIQACMSSYSSAKK >Vigun05g276301.1.v1.2 pep primary_assembly:ASM411807v1:5:46633431:46635224:1 gene:Vigun05g276301.v1.2 transcript:Vigun05g276301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLVICNLPRLSHVEVEQALEHKIEIRAPNLSTLILKLYGSIEGFFSESFTGGVNFGSKKIAFEHAMLKTLKLCFTSCARVKVACSNLRTMSLSNEGSEIPLDVEVDAPKLEQLDYDGYVIPSFHGVTSLLKPIVTLKLILGGCPGLDVAARSCIEMFNQPTLHLIYIYRGFFKAQEILWPGQV >Vigun09g244700.1.v1.2 pep primary_assembly:ASM411807v1:9:41351799:41355227:-1 gene:Vigun09g244700.v1.2 transcript:Vigun09g244700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQNPSPFTHSSASEIAPQPQPLPPPPKRKRNLPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRGSKEPRKKAYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDVLAQESARTNVQAQAQAQVQNVSSSNADVASSPPAPPLTPSASVVSPTLSIQSSEIPENPRKLSPMSPKATCFVTSSTPSSSSSCTTVFASVLAPSTTTTQSQSQSPSPSTSSFFNLMSSLARSDNPNAITNLREPTSLSLSSPLYLSNNDPSHFTASQPALSATALLQKAAQMGASSASLLRGLGLATTSPSSMGKDEGFNMNATATAQWNGQVKQENQPVVDNLGLGLPCGSDVMLGPSTPFVGQPVTRDLLGLSIGGGASRGGLSALVTSFGGNFDSPGDGGVHR >Vigun04g073000.1.v1.2 pep primary_assembly:ASM411807v1:4:8633124:8635461:-1 gene:Vigun04g073000.v1.2 transcript:Vigun04g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNIGSQLGPQPGVPQPQASLPPNPFGSAFNVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLLPFLHRPPIYDINAPDLYIPLMAFGTYVILAGLSLGLHGKFSPEALNLLFIKGLLGWFMQTALLKVTLLSLGSGEAPLLDIIAYAGYTFTGICLAVLGRIILGYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALVQFPLFTWLGNITINWLL >Vigun01g130900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30879003:30881870:-1 gene:Vigun01g130900.v1.2 transcript:Vigun01g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIKNNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKYEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKLVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun01g130900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30879028:30882247:-1 gene:Vigun01g130900.v1.2 transcript:Vigun01g130900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIKNNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKYEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKLVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun08g098400.1.v1.2 pep primary_assembly:ASM411807v1:8:23806204:23813999:-1 gene:Vigun08g098400.v1.2 transcript:Vigun08g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQAHPLYPFLLLNNRDEYHNRPTKAMSWWEDSDIVGGRDEIGGGTWLACSRDGRVAFLTNVLELRSLPEAKTRGDLPASFLKSGKQPKEFAESLKGEGDYYNGFNLIVADISSKSMIYITNRPKGQPIRIEEVSSGLHVLSNAKLDSPWHKAQRLEVSFKEELAKYGDGEIPMKEVLQKLMKDKTKADETHLPHIRNLDWEFNLSSIFVEVETPLGLYGTRSSAAIVVKSSEEVSFFEAYLEESIWKEHVIDFHIEKMKLAKGHTK >Vigun05g237700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43068868:43069897:-1 gene:Vigun05g237700.v1.2 transcript:Vigun05g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKRSGGRSLGGGVGANSGAGRAGNHPRSSSVYLSVSSTSSTSGSTSSTARSRIDVIQIKRNNVEVLKTMLHEWNLMDEADERQVKELQEKILIRPEMTQIEERMILAEVAQIEERRNVNLANLWEDLRKLIYETNRLDSI >Vigun06g173900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29484123:29485902:1 gene:Vigun06g173900.v1.2 transcript:Vigun06g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHNVYITLMIILTFMPSTLHSSYSQPIPKLPTLSSSPAALSDPPPSSLTPFRELSPDIAPLFPSPGGVLPTPTGSDIPTIPSNPSPPNPDDLIAPGPLSAFSPFGSMQASSNAPRRILVSNLATTVFAGFAVYWSFHCIIV >VigunL059615.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:46200:46508:-1 gene:VigunL059615.v1.2 transcript:VigunL059615.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun04g026900.1.v1.2 pep primary_assembly:ASM411807v1:4:2068117:2070985:-1 gene:Vigun04g026900.v1.2 transcript:Vigun04g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLSAATIITTTTHKHHPDSVESSSPRSRNAETWNDENLPVVPGAKLRLMCSYGGHIMPRPHDKTLCYVGGDTRIVVVDRHSSLKDLCARLSRTILHGRPFTLKYQLPNEDLDSLITVTTDEDLDNMVEEYDRIMAKGTASSRLRVFLFFTKPEATVSMGSLLDDVKSETWFVDALNNSGMLTRVVSDTAAGNSFVNLDSDGGGGGVSGSGSSNNLEALPDTNNNKAKNFPDVVQSSPGSPMMENSSSSSPSFSPSLANLPPIRVRVDDNSSRLQQENKVGGLVEEQLAQMTIASGVKQDDGLVSVVSSAVAAPAIPAAVTMVTTSDNVMNRVVSEDERSDLGFRKPPLPLQLVQPRTSGGLNLPSPDSVASDSSIASANSFSKTVYYQDQVQAAQLDNKLVAFSNAKSEIPDQVIQVHDSGYTLPPQLDQNRQLQQQKPLVHASSHYIGQVPVSSYYPVYAPPQQQQLHPPIAQQQYPVYVMPVGPTQVTQPYNIMGDPNVLVSGRTLIPQSAVTSAAYKDGTPPIYPTKSVSPTIPDVTPSVYKAPPVASNPAYAPIPPNQFQPQYVGFQPQSQFHHPPQSIAVAPSSTTTNYGYEYGGHVQDQGYYTHQQTTTAPLLPQYQSMTPAAAAAALSDASKQYPADSIQQQNRASQPV >Vigun08g095400.2.v1.2 pep primary_assembly:ASM411807v1:8:22744463:22748639:-1 gene:Vigun08g095400.v1.2 transcript:Vigun08g095400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRHSAHLPFLVVTSREMSGDTPFGDLPPSQGGDKIHTCTKKNGRRGTRLKELTVSYSADQRLPIQFDMQTGKALGDNSTKFTSYVALLGRSKSSILIDDWDHVLDTVKNQIWLSVQVTYDVPNSNMLRTKWISFAGERWKGFKTNLTSSYIYGPLSDRNPCEKYPFLDEDIWQAFKERRLNPVFQAKRKAAQEIAKKNVHPHRLSRGGYDKLEQKMMKEIRVQEKEDVNESLPQQTILERLGAMAATIALQPIQL >Vigun09g219300.2.v1.2 pep primary_assembly:ASM411807v1:9:39322660:39328531:-1 gene:Vigun09g219300.v1.2 transcript:Vigun09g219300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKKGSQTDAKLLSPNKATTLNPNAAEFIPFALRSSSLPGTTSSVDATAAGLSAAGALGKAVLDRSESSISNNSDDEVHQYWRCQLPDDITPDFKVMGEDESQGLDDLPLAGLSIHEDNEASRFPSSKGSKYIINEQQEISQPHNNGNSFVDKIGFSHSSYREDPSSTSFLNALAKPWEGPIGSADQLVSSGQEGIAYDDNSRHGYLNDVLAENAIMDDTDLNPLEYLASLFPGFAAESLAEAYFANGCDLHLTTEMLSQLELQVDGGFNQTLNSKTLSAPNLSAMDFPSLTSPDGQAASVKYVVDNVQQSRNPYQSFDSDVMLFKSSSSVPSRGAVDFASAVRKLASQDSGGIWKYDKNGSGDAAIGSSRNSNVLGSGYNGGQARAHFGDRLQNRGSARAAPIWLDTGDAVANMYSELREEARDHACLRNAYFEQARQAYLIGNKALAKELSAKGQLHNMHMKAAHGKAQESIYRQRNPVASEMQGNGRGHERIIDLHGLHASEAIHVLKHELSVLKSTAIAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRFLLEEGIDFTEPQPGLLRVVIY >Vigun09g219300.1.v1.2 pep primary_assembly:ASM411807v1:9:39322735:39328420:-1 gene:Vigun09g219300.v1.2 transcript:Vigun09g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKKGSQTDAKLLSPNKATTLNPNAAEFIPFALRSSSLPGTTSSVDATAAGLSAAGALGKAVLDRSESSISNNSDDEVHQYWRCQLPDDITPDFKVMGEDESQGLDDLPLAGLSIHEDNEASRFPSSKGSKYIINEQQEISQPHNNGNSFVDKIGFSHSSYREDPSSTSFLNALAKPWEGPIGSADQLVSSGQEGIAYDDNSRHGYLNDVLAENAIMDDTDLNPLEYLASLFPGFAAESLAEAYFANGCDLHLTTEMLSQLEQLQVDGGFNQTLNSKTLSAPNLSAMDFPSLTSPDGQAASVKYVVDNVQQSRNPYQSFDSDVMLFKSSSSVPSRGAVDFASAVRKLASQDSGGIWKYDKNGSGDAAIGSSRNSNVLGSGYNGGQARAHFGDRLQNRGSARAAPIWLDTGDAVANMYSELREEARDHACLRNAYFEQARQAYLIGNKALAKELSAKGQLHNMHMKAAHGKAQESIYRQRNPVASEMQGNGRGHERIIDLHGLHASEAIHVLKHELSVLKSTAIAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRFLLEEGIDFTEPQPGLLRVVIY >Vigun11g082100.1.v1.2 pep primary_assembly:ASM411807v1:11:24372647:24373406:1 gene:Vigun11g082100.v1.2 transcript:Vigun11g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSERRGTFHRQSFEMHQRRYNMSLLGSSELTTLMPTAIFRFTIRIFFEYFPVPLSGGSFTLIPCQTFFHEGPDLLRTLLLPLSSHRPFGTDVIETIVNMAVAKVGEIFQVDAAAASSSSSESQPPEIPLWLVINATANRMHATTQNPFRMVPLSEEAIDTLLKKSTVVQSECCCCVCLDEFDVNAECYTLPCQHFFHQKCIFRWLQTNPTCPLCRCPFYP >Vigun03g211650.1.v1.2 pep primary_assembly:ASM411807v1:3:35041974:35046096:1 gene:Vigun03g211650.v1.2 transcript:Vigun03g211650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNTPTAKQISGLLLHKYLSDPITCLYKVSSTLSSPSPSTVSVNFPSAGVTTMLHSSMSNLAKISEAYFFWFRIKPCSVSTNSIPRKYLSLPREC >Vigun06g190900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30844460:30846071:1 gene:Vigun06g190900.v1.2 transcript:Vigun06g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIRCCISCILPCGALDVIRIVHSNGRVEEITGSVKASEIMKAHPKHVLKKPSSPSTQDGVVPKIVVVPPDADLQRGKIYFLMPLPSPPSDKNHRQRPSSGKKKRKDHHAERIHHNRNHSNNNNNSDAISVANLLVSNDRYLTEILSEKLSTQRDRRRGRVAVWRPHLESISESPPDI >Vigun03g305200.1.v1.2 pep primary_assembly:ASM411807v1:3:49506336:49510725:1 gene:Vigun03g305200.v1.2 transcript:Vigun03g305200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPSETQQKSVLDAWDYKGQPAQRSKTGGWVAAAMILGVEASERLTTMGVAVNLVTYLTGTMHLGSANSANTVTNFMGTSFMLCLFGGFVADTFIGRYLTIAIFAAVQATGVTILTISTVIPSLHPPKCVRSATRRCEAASNMQLLVLYLALYTTSLGIGGLKSSVSGFGTDQFDESDKGEKNQMIKFFNWFVFFISLGTLTAVTVLVYIQDHIGRYWGYGISVCAILVALTVFLSGTRRYRFKKLVGSPLTQIAMVLVAAWRKRHMELPSDASLLFNLDDVADETLRKQKQMLPHSKQFRFLDKAAIKDPKTDSQEITMERKWYLSTLTDVEEVKMVQRMLPVWATTIMFWTIYAQMTTFSVSQATTMDRHIGNSFQIPAASLTVFFVASILLTVPIYDRVIAPIAKRVTKNPQGLTPLQRMGVGLVLSIFAMVAAALTEIKRLRVASAHGLTHKHNAVVPISVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSLLVTLVHKATRHREPWLADNLNEGKLHHFYWLLAVLSGVNLVVYLFCAKGYVYKDKRLAEAGIELEEAGTVSHA >Vigun04g111333.1.v1.2 pep primary_assembly:ASM411807v1:4:27936335:27937366:1 gene:Vigun04g111333.v1.2 transcript:Vigun04g111333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILREENVALRRKEEGILSTPTVPDPLRLSRVQQHRDAERVESRPPPTGQEQSQPARVEKSQASRGNLSHTMAESFGANEGGHPSRQPIHASVNFPFTQFILETPLPERWKMPKFDKYDGTTNPDNHMRVFTHQMMFHAVSDPIWCRVFSTSLTGEALEWFSKLPANNIDSFATLKARFSTQFAPLRPAILTVDSLVNIRQEDGDRVFAGKISRKKPKTMEEMRERAAKFIQMEDMQEFRVKKREKEDAAADSLPASRKKPPPPDADGSKHY >Vigun02g153500.1.v1.2 pep primary_assembly:ASM411807v1:2:29985258:29989542:1 gene:Vigun02g153500.v1.2 transcript:Vigun02g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIENGETVDINYQNSWLGFSLFPQMNITVPSHSHQTHPSSAAAETVPPTYYHHTPLHNYGLLQGEHVGMCSPLPIMPLKSSVSFYGIEAVSRSQAQEMATTSAIPLSLDSMLYNQLSCHGSNDPNNQSHVQDNISQQQLPYYSSLRNSHDVILEGSKQQLPCIAEDEVACLKSWFSRDFSACQAQESRMFVPLEDNGSKSGPNTGSVAYGDLRSLNLSVSPTRRSSCVTGSPAITDTKKRGLEMVDQNQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQGRKGRQVYLGGYDMEEKAARAYDMAALKYWGPSSHINFPLENYKNELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGGNAVTNFDITRYDVDKIMASENLLSSEQAKRSREKEEVNQNPCTYEHTKETTIVMQKSCKMVPYPPQQLQQNPPRVENCRTHSFSTALDNVFHQEVEESSNMGTHLSNPSSLVTSLSSSREESPDKTSLPMLFGMPSTVSKLFTNVPTSDGDSWELSSNLRPPLSLPQMPIFASWTDA >Vigun02g153500.2.v1.2 pep primary_assembly:ASM411807v1:2:29985230:29989542:1 gene:Vigun02g153500.v1.2 transcript:Vigun02g153500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIENGETVDINYQNSWLGFSLFPQMNITVPSHSHQTHPSSAAAETVPPTYYHHTPLHNYGLLQGEHVGMCSPLPIMPLKSSVSFYGIEAVSRSQAQEMATTSAIPLSLDSMLYNQLSCHGSNDPNNQSHVQDNISQQQLPYYSSLRNSHDVILEGSKQQLPCIAEDEVACLKSWFSRDFSACQAQESRMFVPLEDNGSKSGPNTGSVAYGDLRSLNLSVSPTRRSSCVTGSPAITDTKKRGLEMVDQNQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQGRKGRQVYLGGYDMEEKAARAYDMAALKYWGPSSHINFPLENYKNELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGGNAVTNFDITRYDVDKIMASENLLSSEQAKRSREKEEVNQNPCTYEHTKETTIVMQKSCKMVPYPPQQLQQNPPRVENCRTHSFSTALDNVFHQEVEESSNMGTHLSNPSSLVTSLSSSREESPDKTSLPMLFGMPSTVSKLFTNVPTSDGDSWELSSNLRPPLSLPQMPIFASWTDA >Vigun02g025000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8325561:8331627:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun02g025000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8323361:8331614:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun02g025000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8330942:8331412:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun02g025000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8323300:8331626:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun02g025000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8329977:8331627:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun02g025000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8325671:8331614:-1 gene:Vigun02g025000.v1.2 transcript:Vigun02g025000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTHPTKPSKIFTLILLLICVINPIKGEESRKLDETTNGNVKCSPCEGGSTPSPPIIEYLSPPPPPPIIYPSPPPPSPPPPYLYSSPPPPSPKKPPSSYCPPPPSSAYLYMTGPPGNLYPVDENFSGASPSRHQNLAVFLPLSVVVVLSMMFFW >Vigun11g138200.1.v1.2 pep primary_assembly:ASM411807v1:11:34729162:34729793:1 gene:Vigun11g138200.v1.2 transcript:Vigun11g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIMKSARIAILMIIMMSFVQILEADQLSCIKLCAVDCLPDQVHYYQCFIACTKRCPPHTGASNCIKSCGVNKTITVDMDAGGKITNVVDSCLENCLK >Vigun01g238600.1.v1.2 pep primary_assembly:ASM411807v1:1:40959097:40959375:-1 gene:Vigun01g238600.v1.2 transcript:Vigun01g238600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSKSFLYETPLGYIIEDVRPHGGIKKFRSAAYSNCIRKPS >Vigun10g112500.2.v1.2 pep primary_assembly:ASM411807v1:10:31329612:31336884:-1 gene:Vigun10g112500.v1.2 transcript:Vigun10g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGFHRCEEDHCCYVKKYIDSYIILALYVDDMLIAGANMAEIDRLKKQLSENFEMKDLGPAKQILGMRISRDRSKGSILLSLSAISNSNPCLLRFGASAPMDNGSNNRFSSYAHASSSGRGKAFDMRNDREKTRGRGGESGSGKDKIDALGRLLTRILRHMASELNLKMRNDGFVKVNDLLKLNMKTFANIPLRSHTVDDIKEAVRRDNKQRFSLMEENGELLIRANQGHTVTTVETESLLKPILSAEEVPVCVHGTYRKNLESILGSGLKRMKRLHVHFSCGLPTDGEVISGMRRDVNVLIFIDVRKALEEGMKLYISDNKVILTEGFDGVVPPKFFEKIESWPSRQPIPF >Vigun06g066300.2.v1.2 pep primary_assembly:ASM411807v1:6:19457874:19462105:1 gene:Vigun06g066300.v1.2 transcript:Vigun06g066300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGLKPLFASILRCCDLDIYNQPRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Vigun06g066300.1.v1.2 pep primary_assembly:ASM411807v1:6:19457636:19462125:1 gene:Vigun06g066300.v1.2 transcript:Vigun06g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGLKPLFASILRCCDLDIYNQPRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Vigun07g104300.1.v1.2 pep primary_assembly:ASM411807v1:7:19036245:19038793:1 gene:Vigun07g104300.v1.2 transcript:Vigun07g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEETELRLGLGLPGNGATATEPPPAAAAAEGVRKRGFSETETETTTVDLKLNLSPKEVSSADGTDPRNKPNTSPKEKTLLLPDPAKPPAKEQVVGWPPVRAFRKNMFAAQKKMVEESEKNNSPNASFVKVSMDGAPYLRKVDLKMYNSYPQLSDALGKMFSSFTIGNCESQGFKDFMNESKLMDLLNSSDCVPTYEDRDGDWMLVGDVPWEMFVESCKRLRIMKGKEAIGLAPRAMEKCKNRS >Vigun09g224600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39749054:39750634:1 gene:Vigun09g224600.v1.2 transcript:Vigun09g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIGSGFVNSNELELSPTMSSSSSSLSMDLDESTETRIQRLISEHPVIIFTRSSCCMCHVMKKLLATIGVHPTVIELDDNEIAALPLPDTVAPAAFIGGNCIGGLESLVALHVSGHLIPKLVQVGALWV >Vigun05g034900.1.v1.2 pep primary_assembly:ASM411807v1:5:2851852:2862255:1 gene:Vigun05g034900.v1.2 transcript:Vigun05g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLPDAAFGIFPRCSIVPRHPLICRITSARSIRRRNYFFADQRISKSAHIVFSHSNDSDDTLTDVVVDQDDLSVRSDVIGIEDELDIARKALSEAQHRQEVIEKERDQLLEELARSEAKNQEYINTILHDKEVAIAELEAAKSLFQKKLEDSVEEKFTLKSKLVLAKQDAVDLAVQVEKLAEVAFQQATSHILEDAQLRISSAETTAAEAAHLIEKQIKDATEGTISSIVEKSRHAIERALVVAEEAGELAKRSVETLIDGTSPFTEVADVQAENIKLQGIISDIESQLMVARKEADKLNLELENTRQQLQAFEKKANDAEKALLEFQDSSRKNSLKQEEEMKSMLDKVKKDVAERTKAISKAFKADLKNIKATVEAAKEVVHCKDYAYLRRCEALQRSLKASEDALKTWRQRAEMAESLLLKGRLQDEGDDDSIYVVNGGRIDLLTDVDSQKWKLLSDGPRREIPQWMARRINAVSPKFPPKKLDVAEAFTSKFRSLELPTADEVWSIAREKPKEGDVLVEHVYERETIEKKRKALERALQRKTIQWQRAPDQTKLEPGTGTGREIVFQGFNWESWRRRWYLELAAKSADLSHCGVTAVWLPPPTESVSPQGYMPSDLYNLNSSYGSVEELKYCIEEMHSQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLSWGPEAIVCDDPNFEGRGNPSSGDIFHAAPNIDHSQDFVRKDIKGWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIEASNPVFAIGEYWDSLGYEHGSLCYNQDPHRQRIVNWINATGGTSSAFDITTKGILHSALHNEYWRLIDPQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTPVIFYDHFYDFGIHDVITELIEARRRAGIHCRSSIKIYHANNEGYVAQVGDALVMKLGQFDWNPSKENQLEGSWQKFVDKGPDYQVWLRQ >Vigun04g203100.1.v1.2 pep primary_assembly:ASM411807v1:4:42574865:42577714:-1 gene:Vigun04g203100.v1.2 transcript:Vigun04g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKRRRALIAEKKRQLHGDPSTAKLKIREQPHSISGKRKRKLFKKWRREQKDAIRNGLISMEDVQMAVAEGDTKDTPKPQKMVRLKKAVKVRVPKRKGKNKGKANVPAADISADAMVE >VigunL031800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:203126:203287:1 gene:VigunL031800.v1.2 transcript:VigunL031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun06g152750.1.v1.2 pep primary_assembly:ASM411807v1:6:27696275:27697558:-1 gene:Vigun06g152750.v1.2 transcript:Vigun06g152750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPKTSHVAKKKRITRGSSSQNPQPDFMESDMVQNFDQHKFSSETAANRFAEIMPRSLIPERKVKLNPGEYDEFQFYANAYQQVRDGPRQCRVRGKLIKYDRKTINTFLRTASPPAGHVTPFSEFSSAHKDHDAIASALCTLGHTYMLGVNGNPIRILRKHLTSLAQMWSAFSYTNLSPNTHTSDLNLMRSYLIYGLVTGMDMDVGAHISQDIAYTADMENVKLGFPALITTLCREKGIIADTPVLLSLQPPIDKKFIRKNCISRVELNEPAPPPRVPRPPRASSSSQSTHDPSSTFEASFQAAMTKMFARQEDMWLGHQALRQGQVNIIDSMHHLSLGVPDFPDDLIMTGAQFEARIPWPVGRPESQWGGGTIAADDDPDAEEGGQEDPDAEEEEQGGP >Vigun03g428300.1.v1.2 pep primary_assembly:ASM411807v1:3:63314769:63317780:-1 gene:Vigun03g428300.v1.2 transcript:Vigun03g428300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGGSANFDLPEEMMQVLPSDPFHQLDVARKITSIALSTRVNALESELSTLRTQIADKDNLIADLQSQIDSLDASLSETADKLLLAEQDKESLLQENASLSNTVKKLNRDVSKLEVFRKTLMQSLQEEDDNSGGAPDIVAKIQNQSNFTSTSQFGDNDAPLAPSISSSTGNSLVEEPESDAAPRPRVPQNLLLASQGSTPRITPPGSPPSLSASVSPTRTSKPVSPRRHSVSFSTTRGMFDDRSSVFSSTSLTQASISTSDAGTGSQTGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNAHKQTKEETLRKADEIFGPENNDLYNVFEGLINRNVH >Vigun03g428300.2.v1.2 pep primary_assembly:ASM411807v1:3:63314769:63317780:-1 gene:Vigun03g428300.v1.2 transcript:Vigun03g428300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGGSANFDLPEEMMQVLPSDPFHQLDVARKITSIALSTRVNALESELSTLRTQIADKDNLIADLQSQIDSLDASLSETADKLLLAEQDKESLLQENASLSNTVKKLNRDVSKLEVFRKTLMQSLQEEDDNSGGAPDIVAKIQNQSNFTSTSQFGDNDAPLAPSISSSTGNSLVEEPESDAPRPRVPQNLLLASQGSTPRITPPGSPPSLSASVSPTRTSKPVSPRRHSVSFSTTRGMFDDRSSVFSSTSLTQASISTSDAGTGSQTGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNAHKQTKEETLRKADEIFGPENNDLYNVFEGLINRNVH >Vigun04g063200.1.v1.2 pep primary_assembly:ASM411807v1:4:6754900:6757880:-1 gene:Vigun04g063200.v1.2 transcript:Vigun04g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVISAFCGLVLFSCAVAYDPLDPNGNITIKWDIMSWTPDGYVATVTVHNFQMFRHIMNPGWTLGWTWAKREVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSQAISSFQVSVGQAGTTNRTVKLPKNFTLLAPGPGYSCGPAKVVPSTTFLTPDKRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNETITPCPSCACGCHNKKNCVKSDSKILSMVGLHTPKKDNEPLLRCTHHMCPIRVHWHVKVNYKEYWRVKIAITNFNYRMNHSLWSLAVQHPNLNNLTQVFSFNYKPLLPYGSINDTGMFYGMKYFNDLLMEAGPTGNVQSELLLQKDKDAFTFKQGWAFPRKIYFNGDECMMPPPDTYPFLPNSAPPRLITFPLSIFLLFFFLAVW >Vigun06g188200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30675832:30677322:1 gene:Vigun06g188200.v1.2 transcript:Vigun06g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNDANRSRKKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDNAARALRGANARTNFELAEATSGDASKRGDGGGSSFVPNCSEPFSFEDANEPGEEAEGLLGALKAKLLDRKKGKFHFPFLPNSAASPLVQSGLVSTQNICSAEKDLSLPSSVNNTVLSSMNGSGANPLVGVTSTLATSNTCCPKSVVIPNHDHEGSSRVESHQLCETPPEATWFNEVAYELPWPTQFMSQVPDNNSLLASAAWPLSGVIESNIDMACPDQGSSSCNKSGQMMNMVSMQLPLVGGGGATEGLWTLEQQQFVQCENNGWFSYNGSWDPLLYVPSELA >Vigun05g281600.1.v1.2 pep primary_assembly:ASM411807v1:5:47072157:47079352:-1 gene:Vigun05g281600.v1.2 transcript:Vigun05g281600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGKPGLDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTALPTKDTSCESAVTSGQRSLTTQHPPRDASPAGLMSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPTMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMVALRQLRQISHEVSQSNVTGWGRRPAALRALGQRLSRGFNEAINGFTDEGWSMIGNDGVDDVTVLVNSSPDKLMGLNLSFANGFPSISNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYSAAAIKVGPCGLPGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGIAHSPEDAIMPREVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSAKEASNPNRTLDLASALDIGPTGNRASNDYSGNSGSMRSVMTIAFEFAFESHMQDHVASMARQYVRSIISSVQRVALALSPSHLSSQAGLRTPLGTPEAQTLARWICNSYRCYLGVELLKSNSEGNESLLKSLWHHSDAILCCTLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKILCSEFPQIIQQGFACLQGGICLSSMGRPISYERVVAWKVLNEEENAHCICFMFMNWSFV >Vigun09g129300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:28633857:28634594:-1 gene:Vigun09g129300.v1.2 transcript:Vigun09g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSSAPPAEAAQPPPPPPPPMSLSLVRPSQKKRLKPKVIRVFRSVFRSLPIITPSCKFPSLPQENNNHLHKTVNNGIKVTGTLFGRRKGRVSLAVQENPRCLPSLVVELSIHTHTLQKDLANGMVRVALECEKRSEKDKTRISEEPLWTMYCNGKKNGYGVRREATEEDLYVMELLKAASMGAGVLPLRSEAEEGGDGGDLAYMRAPFEHVVGSKDSETLYMMSPDSQGNSGPDLTIFFVRV >Vigun08g027100.2.v1.2 pep primary_assembly:ASM411807v1:8:2428541:2432595:1 gene:Vigun08g027100.v1.2 transcript:Vigun08g027100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSVNMAIMLLFALFALSSALDMSIISYDNAHRDKATWRTEEEVKSLYEEWLVKHGKLYNALGEKDKRFQIFKDNLRFIDETNADNRTYRLGLNRFADLTNEEYRARYLGTRIDPKRRLGRTPSNRYTPRVGDALPDSVDWRKEGAVVPVKDQGSCGSCWSFSAIGAVEGINKIVTGELISLSEQELVDCDTGYNMGCNGGLMDYAFEFIINNGGIDSEEDYPYRAVDGTCDQYRKNAKVVSIDSYEDVKAYDESALKKAVANQPVSVAIEGGGREFQLYSSGVFSGRCGTALDHGVVAVGYGTDNGHDYWIVRNSWGATCCDDHYSCCPHDYPICNTRAGTCLRSENNPFGVKALRRTPAKPHGSFNNA >Vigun08g027100.1.v1.2 pep primary_assembly:ASM411807v1:8:2428541:2432595:1 gene:Vigun08g027100.v1.2 transcript:Vigun08g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSVNMAIMLLFALFALSSALDMSIISYDNAHRDKATWRTEEEVKSLYEEWLVKHGKLYNALGEKDKRFQIFKDNLRFIDETNADNRTYRLGLNRFADLTNEEYRARYLGTRIDPKRRLGRTPSNRYTPRVGDALPDSVDWRKEGAVVPVKDQGSCGSCWSFSAIGAVEGINKIVTGELISLSEQELVDCDTGYNMGCNGGLMDYAFEFIINNGGIDSEEDYPYRAVDGTCDQYRKNAKVVSIDSYEDVKAYDESALKKAVANQPVSVAIEGGGREFQLYSSGVFSGRCGTALDHGVVAVGYGTDNGHDYWIVRNSWGADWGEEGYIRMERNLGNSRSGKCGIAIEPSYPVKNGANPPNPGPSPPSPVKPPNVCDNYYSCSDSATCCCIFEFGNACFEWGCCPLEGATCCDDHYSCCPHDYPICNTRAGTCLRSENNPFGVKALRRTPAKPHGSFNNA >Vigun06g177350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29742701:29743938:1 gene:Vigun06g177350.v1.2 transcript:Vigun06g177350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDVENEETQPQPPSDHYVRREFFRNLISGFTLIVSLYLTDTEINTIKKGAILNLICGTLLVPLNEYIIYKLEGGNEGHAQLKKLLKTLLGAVFGFLLGGIVVIVLCNYYPEIGARATILFLASGVIFLLMGNYEDSFIRLRGGRHTLIVVICCQIAMALTFFCTDEVQNMFNNPPSNHTSSSNHTSSSNHTHSS >Vigun03g043100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3432967:3437209:-1 gene:Vigun03g043100.v1.2 transcript:Vigun03g043100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEESSTTVGPLTTPSLRNMSSSSSAFFSANQSPFFSPRSSSCQLSESLQPDAPSDRIHLDVAAPSSSSGIPEPKSLVNVGCTFPEVAASPASCNAGDLQNLDRISSSVGISSCTVSGHFHPYDDSYSGQKDKRSKKSRNKRVSSTPGSRSLSSYRLKSCDVYIGLHGRKPPLIRFANWLRVELEIQGISCFVSDRAGYRNSCKLSIAEKAMDMASYGIVIITRKSFKNPYTIEELQFFSGKKNLIPIYFDLSPADCLVRDIIEKRGELWEKHGGELWLLYGGLEQEWKDAVHGLSRVEERKLEAQDGNWRDCILRAVTLLAMKLGRRSAAEHLTKWREKVKEEELPFTRNENFIGRKKELSQLEFMLFGDVTGDSRQDYIDLKARPKRRHLTICRSKSSVQEERHVGNGSREEKTPVLWKESEKEIEMQSIEFSQRRSRLKRGGKYTRRKKGMRILYGKGIACISGDSGIGKTELMLEFAYRFHQRYKMVLWIGGESRYIRQNYLNLRSFLEVDASVENSLEKTRIKGFEEQEEAAVSGIRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGGETHVIISTCLPRVMNLEPLKLSYLSGVEAMSLMLGSGREYSVAEVDALRTIEEKLGRLTLGLAIVSGILSELPITPSRLLDTINRMPLKDMSWCGKKAPSFRQNSFLLQLLDVCFSIFDHADGARSLATRMVLVSGWFAPCAVSVSLLALAAQKIPEKQKGTCFWRKLLQSLTCGFTSSHTKKSELEACSLLLRFNIARSSTKQGHIHFNEMIKLYARKREVTGSAQAMVQAVMNQGSISESIEHLWAACFLLFAFGHNPAAVELEVSELLYLVKKVVLPLAIHTFITYSRCSAALELLHLCTNALEAADQALVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALCRATVLETRGKLMLRGAQFDIGDDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLIANVQIRASA >Vigun03g043100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3432874:3437735:-1 gene:Vigun03g043100.v1.2 transcript:Vigun03g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEESSTTVGPLTTPSLRNMSSSSSAFFSANQSPFFSPRSSSCQLSESLQPDAPSDRIHLDVAAPSSSSGIPEPKSLVNVGCTFPEVAASPASCNAGDLQNLDRISSSVGISSCTVSGHFHPYDDSYSGQKDKRSKKSRNKRVSSTPGSRSLSSYRLKSCDVYIGLHGRKPPLIRFANWLRVELEIQGISCFVSDRAGYRNSCKLSIAEKAMDMASYGIVIITRKSFKNPYTIEELQFFSGKKNLIPIYFDLSPADCLVRDIIEKRGELWEKHGGELWLLYGGLEQEWKDAVHGLSRVEERKLEAQDGNWRDCILRAVTLLAMKLGRRSAAEHLTKWREKVKEEELPFTRNENFIGRKKELSQLEFMLFGDVTGDSRQDYIDLKARPKRRHLTICRSKSSVQEERHVGNGSREEKTPVLWKESEKEIEMQSIEFSQRRSRLKRGGKYTRRKKGMRILYGKGIACISGDSGIGKTELMLEFAYRFHQRYKMVLWIGGESRYIRQNYLNLRSFLEVDASVENSLEKTRIKGFEEQEEAAVSGIRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGGETHVIISTCLPRVMNLEPLKLSYLSGVEAMSLMLGSGREYSVAEVDALRTIEEKLGRLTLGLAIVSGILSELPITPSRLLDTINRMPLKDMSWCGKKAPSFRQNSFLLQLLDVCFSIFDHADGARSLATRMVLVSGWFAPCAVSVSLLALAAQKIPEKQKGTCFWRKLLQSLTCGFTSSHTKKSELEACSLLLRFNIARSSTKQGHIHFNEMIKLYARKREVTGSAQAMVQAVMNQGSISESIEHLWAACFLLFAFGHNPAAVELEVSELLYLVKKVVLPLAIHTFITYSRCSAALELLHLCTNALEAADQALVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALCRATVLETRGKLMLRGAQFDIGDDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLIANVQIRASA >Vigun08g178000.1.v1.2 pep primary_assembly:ASM411807v1:8:34785098:34789285:1 gene:Vigun08g178000.v1.2 transcript:Vigun08g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSTTLIVTALGAILHVISTSLLGITAITMANTIAGEETVHKVASLLLVFLGGSYILLFLTGKGGHSHSHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSSSMMVLAIVVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGVLTLLFHDHDHGDVISIGEQHSHRKIITF >Vigun05g270800.7.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46232357:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.1.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46232462:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVVVTVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.3.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46232345:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVVVTVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.4.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46231980:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.5.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46232450:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVVVTVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.6.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46231980:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVVVTVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun05g270800.2.v1.2 pep primary_assembly:ASM411807v1:5:46223988:46232462:-1 gene:Vigun05g270800.v1.2 transcript:Vigun05g270800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCGEENQWNCGNAGAINVRKLSSIVRDIGDPCLSHSPAKVKRMLKPDKWQAMSDSEGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYTLSSTAEYRRRLRAARREHYRDLIKQCQTMHSSIGTGSLAYVVGSKVMDVRTYSKDGRKLQDNIEESTCYDNNVEVGKCYDRSNICTEEAKVSHWNSSNDGVELVSLRVSTDNVAYDSSGQQNSSSPKSGREDEELDYVSASSFDFPHLSVTDMFENSGKYQSGIELRDKLPVPEQSIFEDESMHSFRINNNVDLVIESNSKQPLATLHPMDSEIGIASPDEEEPGLLSKNQVYQAQMVNQLKISDVPQPAMIRSSISQAWPVSEERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFQMEGPTRVLKQLRALWNILELLDKEMFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESLAYDLEENCLEALELHLPGDSSNDLREVIADSGDASVKGRSQSNHNENDNTKASSHSSHERTCTSGYSIKLKLFSSHSFCGLARNIWQKNDRAKMNSISSSMKENNELATFCVAAILVLNRQKILRETHSFDDIIKIFNDKMLKINVKRCITTAIKLQKKYFNKVIKKMNYGEEKVD >Vigun09g210000.2.v1.2 pep primary_assembly:ASM411807v1:9:38434964:38438942:1 gene:Vigun09g210000.v1.2 transcript:Vigun09g210000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLFFIVKCLFEEFFSVVTHGEGGGGEAKAKMTVVGKAEMSVAVAELVGREEKKTKSNSHNQFQRRLPIKLRVNGLCIEATLLVSVRLLKLRDSNSKGDSVTAKPFENSVQPKKKHGIIEKVKHFTSLGKKNNGKIDESKQTSPCDSDRSPIFDSDDSSNDSNTSSASNNSGTHNTRPTLTKGSERFTTSERKTRLQRNRSLNGWNFQTPTDKQQAQTSYPGPFTKPNEVYQKGTARSWEYKEIKSRDGKAKLKTNVFFASFDQMSERACGESACTVLVALIAHWLHSNYGMPTRAQFEKLITQGSSEWRRLCNSDYYSKLFPDKHFDLETIIEANLRPLAVLPQKSYTGFFSPEKFQCLKGAMSFDEIWDEIKMKVGDHEPRIYIVSWNDHFFVLKVEKDAYYIIDSLGERLFEGCQQAFILKFDDSSLMYKKIHTTKEEPSKGTSGAESAKSGESREIICRGKECCKEFIKRFLAAIPVWQLEKEEKKWSVSSPYLHRQLQIDFHYSSSSSSSSSSSSSSSSVTSTDSLWSLLSSGTLN >Vigun09g210000.1.v1.2 pep primary_assembly:ASM411807v1:9:38434964:38438942:1 gene:Vigun09g210000.v1.2 transcript:Vigun09g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKCWATRMSGHANNRALKVTQLKLQLGLFGTTLGAPNDKLAFQITGSATNKEKKPSASSKQKTTPLSLAPFSKRRCSHATSSCRSITSKSPSLTWDDRDLCAFHLLLKDNSLDVCDLAFHVLYGEGGGGEAKAKMTVVGKAEMSVAVAELVGREEKKTKSNSHNQFQRRLPIKLRVNGLCIEATLLVSVRLLKLRDSNSKGDSVTAKPFENSVQPKKKHGIIEKVKHFTSLGKKNNGKIDESKQTSPCDSDRSPIFDSDDSSNDSNTSSASNNSGTHNTRPTLTKGSERFTTSERKTRLQRNRSLNGWNFQTPTDKQQAQTSYPGPFTKPNEVYQKGTARSWEYKEIKSRDGKAKLKTNVFFASFDQMSERACGESACTVLVALIAHWLHSNYGMPTRAQFEKLITQGSSEWRRLCNSDYYSKLFPDKHFDLETIIEANLRPLAVLPQKSYTGFFSPEKFQCLKGAMSFDEIWDEIKMKVGDHEPRIYIVSWNDHFFVLKVEKDAYYIIDSLGERLFEGCQQAFILKFDDSSLMYKKIHTTKEEPSKGTSGAESAKSGESREIICRGKECCKEFIKRFLAAIPVWQLEKEEKKWSVSSPYLHRQLQIDFHYSSSSSSSSSSSSSSSSVTSTDSLWSLLSSGTLN >Vigun11g042300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6106114:6106245:1 gene:Vigun11g042300.v1.2 transcript:Vigun11g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METATLIAISISGLLVSFTGYVLYTAFGQPSQQLRDPFEEHGD >Vigun03g107300.1.v1.2 pep primary_assembly:ASM411807v1:3:9575489:9578566:1 gene:Vigun03g107300.v1.2 transcript:Vigun03g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHVPFSATTTNPLIPNVSTSNVRIPAQQNMAELTNFNVPVQKTGEGGFPDPYFAPYNQNMQFQQMNQYPLHTLPTGQPSAIRGPPNSEVQVQASVGPLTSKVARDKRKQVRQKSKSVAPTAPSIPTKGTAKEKPVSGRGSDGKLTAKKDKTITIFCTPDGKMLEEVFTKQLKNSDVSALGRIVLPKREVEAKLPPLTDKEGKDIMVKDVYSGKMWTLKYKYWSNNRSRMYVLESAGELVNHYELQLGDFITIFTDERKNLYVWARKNKNVEAPVCLSNMESSKTSETDNLNDMKSTYEDAYMEFLTKLNRKKEADAKRLLNSLNAGGSSSSGAKSDVNIAHHDQLSNTAGKGAPSQTTAEATAPAPTTPLDGNNMPISDEDVYGGLDNIFEIENSSWF >Vigun07g132900.1.v1.2 pep primary_assembly:ASM411807v1:7:24284265:24285536:-1 gene:Vigun07g132900.v1.2 transcript:Vigun07g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVFGGLILLVVLFTTELRVASAGWLKAHATFYGGSDASGTMGGACGYGNLNTDGYGIKTAALSTALFNDGKSCGGCYQIVCDASQVPQWCLRGTSITITATNFCPPNFALPSDNGGWCNPPRPHFDMSQPAFEMIAKYKAGIVPILYRKVGCKRSGGIRFTINGKDYFELVLISNVGGAGDISLVWIKGSKMSNWESMSRNWGANWQSLSYLNGQSLSFRIQLSNGKTLTAFDVAPSNWRFGQSFISKVQS >Vigun08g194200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36074536:36076706:1 gene:Vigun08g194200.v1.2 transcript:Vigun08g194200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVANGGVDDQYGEESATKIAVLQRERDELVNENAARKEEIKKLTAELDSLRRDGEVNVEKIEEMQREVAQTRDAAKAAEVIAARAADLETDVARLQHDMISEMAGAEEARADAAELRKVLGEKESRVESLEKELTALKKVKAEGEVRVRDLERRIGVLETKEIEERNKRIRFEEEMRDKVDEKEKEINGFKQKLVELEKVTAEKKSELEESVKQKLRLEEALRESEEKVAALESSILQLREEAKEAERVILSLNEKAVETVENIDRGVNGIHGEGKGLKLQWPVVAAGSTGAVVAAAAVIYVCYGKRR >Vigun08g194200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36074494:36076706:1 gene:Vigun08g194200.v1.2 transcript:Vigun08g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVANGGVDDQYGEESATKIAVLQRERDELVNENAARKEEIKKLTAELDSLRRDGEVNVEKIEEMQREVAQTRDAAKAAEVIAARAADLETDVARLQHDMISEMAGAEEARADAAELRKVLGEKESRVESLEKELTALKKVKAEGEVRVRDLERRIGVLETKEIEERNKRIRFEEEMRDKVDEKEKEINGFKQKLVELEKVTAEKKSELEESVKQKLRLEEALRESEEKVAALESSILQLREEAKEAERVILSLNEKAVETVENIDRGVNGIHGEGKGLKLQWPVVAAGSTGAVVAAAAVIYVCYGKRR >Vigun05g125150.1.v1.2 pep primary_assembly:ASM411807v1:5:13984965:13986048:-1 gene:Vigun05g125150.v1.2 transcript:Vigun05g125150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCYVPHGRERQSSHAWVKGSVNDIFVPIESYHLFVPFGKRIKHDTQFEYNRIPAIVKLCMQAGVDIPKYPSHQRTNPIRMLGKSK >Vigun02g199600.2.v1.2 pep primary_assembly:ASM411807v1:2:33416213:33450533:1 gene:Vigun02g199600.v1.2 transcript:Vigun02g199600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQSHRYIGPPSVGPGPGDALNRILADLCTRGTPKEGASLALKKHLEEEARDISGEAFSRFMDQLYDRISSLLESGDVAENLGALRAIDELIDVALGENASKVSRFSSYMRIVFDTKRDPEILVLASRVLGHLARAGGAMTADEVERQVKIALDWLRGNRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPALPVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMDHILSVLKAPQDRDSGFIALGEMAGALDGELIHYLPTITTHLREAIAPRRIKPSLEALACVGSIAKAMGSAMEPHVRGLLDIMFSTGLSTVLVEALEQISTSIPSLLPTIQDRLLDSISMVLSKSHYHLGRSSQSVGRGTIVNTPQQVSELNGSALIQLALQTLARFNFKGHELLEFARESVVVYLDDDDGATRKDAALCCCRLIASSFSAIACTHFGSSRLTRSGGKRRRLVEELVEKLLISAVADADVTVRHSIFTSLHGDRGFDEYLAQADNLNAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLEQSSADSKCKEESAKLIGCLIRNCERLILPYIAPIHKALVARLIDVNANTGTISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAVAKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHLHKRNQKTLPGPHGDVTRPASDSSQQIQSMDEFPMDLWPSFASSDDYYSTVAINSLMRILRDPSLASYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDSLKDFITWKLGTLVSIVRQHIRKYLQDLLSLISEFWSAFTLPAPSRPALGYPVLHLVEQLCLALNDEFRTYLPVILPGCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTSLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAYALGEDFTIFIPSIHKLLQKHRLRHKEFEEIEGRLQRREPLILGITASQRLNRRPPVEVISDPLDDVEIDPYEDGSDAHKLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRNLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDFQLKESWYEKLQRWDDALKAYTAKASQATSPHLVLDATLGKMRCLAALAQWDELNILCKEFWTPAEPAARLEMASMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTASSSDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYRTLPVGDRVSDERRALIRNMWTQRIQGAKSNVEVWQALLAIRALVLPPVEDVETWLKFASLCRKSGRISQAKSTLVKLLQYDPEKSPENVRYHGHPQVMLAYLKYQWSLGEDSKRREAFIRLQNLSMELSSAPNIQPVTPSSLTSGLNPSVPLLARVYLNLGSWQWSLSPGLVDESIKDILNAFTKATQYANKWGKAWHKWALFNTAVMSHYTLRGFPDVAAQFVVAAVTGYFHSIACAANSKGVDGSLQDILRLLTLWFNHGATAEVQMALKKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMKNNVTIKERIFIEAYRQELLEAYECCMNYKRTGKDAELTQAWDIYYHVFRKIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRADAPVVTIASFARQLVVITSKQRPRKLTILGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSPKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKCMLSFAPDYDHLPLIAKVEVFEHALNNTEGNDLSRVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLTSNHAPPVVNTEESAQNRELPHPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSSVSNNSLQHAADHSSLISGDTREVDHALSVKLQVQKLIIQASSHENLCQNYVGWCPFW >Vigun02g199600.1.v1.2 pep primary_assembly:ASM411807v1:2:33416213:33450533:1 gene:Vigun02g199600.v1.2 transcript:Vigun02g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQSHRYIGPPSVGPGPGDALNRILADLCTRGTPKEGASLALKKHLEEEARDISGEAFSRFMDQLYDRISSLLESGDVAENLGALRAIDELIDVALGENASKVSRFSSYMRIVFDTKRDPEILVLASRVLGHLARAGGAMTADEVERQVKIALDWLRGNRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPALPVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMDHILSVLKAPQDRDSGFIALGEMAGALDGELIHYLPTITTHLREAIAPRRIKPSLEALACVGSIAKAMGSAMEPHVRGLLDIMFSTGLSTVLVEALEQISTSIPSLLPTIQDRLLDSISMVLSKSHYHLGRSSQSVGRGTIVNTPQQVSELNGSALIQLALQTLARFNFKGHELLEFARESVVVYLDDDDGATRKDAALCCCRLIASSFSAIACTHFGSSRLTRSGGKRRRLVEELVEKLLISAVADADVTVRHSIFTSLHGDRGFDEYLAQADNLNAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLEQSADSKCKEESAKLIGCLIRNCERLILPYIAPIHKALVARLIDVNANTGTISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAVAKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHLHKRNQKTLPGPHGDVTRPASDSSQQIQSMDEFPMDLWPSFASSDDYYSTVAINSLMRILRDPSLASYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDSLKDFITWKLGTLVSIVRQHIRKYLQDLLSLISEFWSAFTLPAPSRPALGYPVLHLVEQLCLALNDEFRTYLPVILPGCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTSLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAYALGEDFTIFIPSIHKLLQKHRLRHKEFEEIEGRLQRREPLILGITASQRLNRRPPVEVISDPLDDVEIDPYEDGSDAHKLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRNLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDFQLKESWYEKLQRWDDALKAYTAKASQATSPHLVLDATLGKMRCLAALAQWDELNILCKEFWTPAEPAARLEMASMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTASSSDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYRTLPVGDRVSDERRALIRNMWTQRIQGAKSNVEVWQALLAIRALVLPPVEDVETWLKFASLCRKSGRISQAKSTLVKLLQYDPEKSPENVRYHGHPQVMLAYLKYQWSLGEDSKRREAFIRLQNLSMELSSAPNIQPVTPSSLTSGLNPSVPLLARVYLNLGSWQWSLSPGLVDESIKDILNAFTKATQYANKWGKAWHKWALFNTAVMSHYTLRGFPDVAAQFVVAAVTGYFHSIACAANSKGVDGSLQDILRLLTLWFNHGATAEVQMALKKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMKNNVTIKERIFIEAYRQELLEAYECCMNYKRTGKDAELTQAWDIYYHVFRKIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRADAPVVTIASFARQLVVITSKQRPRKLTILGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSPKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKCMLSFAPDYDHLPLIAKVEVFEHALNNTEGNDLSRVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLTSNHAPPVVNTEESAQNRELPHPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSSVSNNSLQHAADHSSLISGDTREVDHALSVKLQVQKLIIQASSHENLCQNYVGWCPFW >Vigun05g144000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19407428:19408273:1 gene:Vigun05g144000.v1.2 transcript:Vigun05g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLFSLFLLCAFTWNLPSASADVVDTDGKLVENGGIYFLRPVIITGNGGGVEFAATGNETCPLSVIQNPDPFSNGLPVQISSPFRIRYIYEGLILNFGFTVVPPCAPTPSFWIPVKGEEEELSVKLNGYGNAVRGWFKIKSVPYDIGGYNLLFCPLDSSSCGYVGIQFDAARNRHLVVTQNADTALWVRFQRVSAASSATAYA >Vigun01g205800.1.v1.2 pep primary_assembly:ASM411807v1:1:38156910:38161310:1 gene:Vigun01g205800.v1.2 transcript:Vigun01g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFWTAIAIALVFSNWVDGFEGYYEHQFNETELSLLEAHEASLSYAGSNLLLVGLTLVQNAAAKGAVCLDGTLPGYHLHRGYGSGANSWLINLEGGGWCNNIRTCVYRKKTRRGSSDFMEKEIPFTGILSNKAEENPDFINWNRVKLRYCDGASFSGDSEDETAELQFRGQRIWAAAMEDLMSKGMRFADQALLSGCSAGGLATIIHCDEFRGLFPVTSRVKCLSDAGLFLDAIDVSGGRTLRNLYSGVVGLQGAQKNLPQICTNHLDPISCFFPQNLIASVKTPLFILNAAYDSWQIQSSLAPPSADPHGYWHDCRLNHAKCTAPQIQYLQGFRNHMLNVIKDFARANQNGLFINSCFSHCQTERQDTWFADNSPVIRNKAIALAVGDWYFDRAGVKVIDCPYPCDNTCHHLIFR >Vigun10g132450.1.v1.2 pep primary_assembly:ASM411807v1:10:34220969:34221477:-1 gene:Vigun10g132450.v1.2 transcript:Vigun10g132450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYFLGILFFFIYTYLSSKDRCQIDFIIVGRKITYNCHACKKRVVYFLSEAVYDSAANETSSHFIRVQQNPDCKWKMEDPTGINR >Vigun02g165600.1.v1.2 pep primary_assembly:ASM411807v1:2:31004483:31008301:-1 gene:Vigun02g165600.v1.2 transcript:Vigun02g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAAAPPPPSKPLTSQEWETLIEDFQNGVHHKWNSLDPLFDLLLSSLLRKDFPLFLKLQLLVFLDEFSLSFLTSHHHLHRLVEALKAVVHAPLDVAASTFKDQFMVSATSILICTSENVAVEAQTETNLVELLLTVVNRPNFGSDRQTRGVACECLRELERWKPGLLSDVVGHLWSLCQSERTHASQCYLLLFASVIHSIVARKLSVSILNTSVPMIPFYAPNCVTDSGSGSGSESSSGLNVKELRRALSFLLEWTLVMTPFGMMEFLSMIIPVAVALELQPSMLKVQVFGMIHSFDPVLCHVVLSMYLRFLEAFEGQEGEVSRRLLLISRESQHFLVFRLLAIHWLLGFNQLIFEKTKPTIELCSTFYPALFDPLALKALKLDLLAFSSVCAHVLRLKSGSDELIDPVKLFENGLVCVSSFKWLPPTSTETAVAFRTFHKFLIASSSHSDNDPSTARNLLDSAIFRTLQGLLVNMMLESRRLVPVVVAFVDRLLSCQKHSWLGECLLQKFDEHLLPKVRMDYKLVYCFPIFDRIAENQTIPPRGLLELLTNFMIFLVEKHGPDTGMKSWSQGSRALGICRTMLMRHHSSRLFLRLSRLLAFTCLYFPDLEVRDNSRIYLRMLVCIPGKKLRDILNFGDMIVGISPSSHPTSFFNVQSPRPSQKFKSFKNLSSCIHLERLRPLLVKQFWSLSLSNLVVSNANPTYLESIRDFKAPVEEKEFSDSSNTQIIPETLRINQPQEPLRVMDSKVAEILNTLRKYFSCIPDFRYMPGLKVRISCSLRFESDTFNRMLGIDKTVPSLEEIDTLPAIYATVLNFSSSAPYGSIPSCRIPFLLGEPYNKDPASQNVSLSIVPVGVNDSREEEKLKATVVVDLEPREPTPGIVDVHIETNAENGQIIHGQLQGITVGIEDMFLKAIVPSDIPEDETPRYNFNLFNTLWEACGSSSSTGRETFQLKGGKGIAAIGGTQSVKLLDVPAASLIQATERHLACFVVGVSGEPLIDAVWEGGIIQNVIWEDASPDATSVSHHDTGPLRLTYNDEEYEKGAISNTRKRNLGCFHVLIFLPPRFHLLFQMEVGDVSTLVRIRTDHWPSLAYIDDYLEALYLS >Vigun09g230401.1.v1.2 pep primary_assembly:ASM411807v1:9:40206579:40213314:1 gene:Vigun09g230401.v1.2 transcript:Vigun09g230401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDYRGLLLLLLLFLLSSNSATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPSLTLRPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQEIPYQHPLKKTTKFV >Vigun07g269400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38486586:38487520:1 gene:Vigun07g269400.v1.2 transcript:Vigun07g269400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLSLYHQNLNFFSHIQPPSLAYTFCKWGPLILTLLATLLILRFRQTTTSLPLIIADYDYTDTEDDDHDDEASSISELEDDEEEEKQEERTNACFRMRGSTNDDAQFLRRPSFGDLFSLSEIANTKSVVKLWDSIGFGLGFDRSCPSSNESVVSVYRGEHGLCPNQAVLVSAGENAEGNLAVRVWDARLRRRIPAVMAEWGPSLGEAVGVESCEVHKVFVRDDGGYGVTVGDIRKAKSPLEKVRDLHWICDGQIHSL >Vigun02g000760.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:357366:357719:1 gene:Vigun02g000760.v1.2 transcript:Vigun02g000760.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFETLDAVKKFYRDFAIRTGFGIRIRSSKKGKDNELKYVKLVCCREGNYVSTIRPEVKTLPSQTKQCQAGISVGKKDGKWHIRSVVMEHNHNISPIESRLISGNQKLIFMLGKQLT >Vigun05g065100.10.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSEVGYHPSPSNTTVFSPMSRDSFAYCQTWTSSESEIVDDSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.1.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSEVGYHPSPSNTTVFSPMSRDSFAYCQTWTSSESEIVDDSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.8.v1.2 pep primary_assembly:ASM411807v1:5:5650995:5654742:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.5.v1.2 pep primary_assembly:ASM411807v1:5:5651034:5654743:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.14.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSELRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.12.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSEVGYHPSPSNTTVFSPMSRDSFAYCQTWTSSESEIVDDSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.6.v1.2 pep primary_assembly:ASM411807v1:5:5650995:5654742:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.11.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSEVGYHPSPSNTTVFSPMSRDSFAYCQTWTSSESEIVDDSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.7.v1.2 pep primary_assembly:ASM411807v1:5:5650995:5654742:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.13.v1.2 pep primary_assembly:ASM411807v1:5:5651088:5654407:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASNFDENSELRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.4.v1.2 pep primary_assembly:ASM411807v1:5:5651034:5654743:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.9.v1.2 pep primary_assembly:ASM411807v1:5:5650995:5654742:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.3.v1.2 pep primary_assembly:ASM411807v1:5:5651034:5654743:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun05g065100.2.v1.2 pep primary_assembly:ASM411807v1:5:5651034:5654743:-1 gene:Vigun05g065100.v1.2 transcript:Vigun05g065100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSYASEPSPSRWKTKKDVLSMKLRKHSIDDKLGDTDLLDSAELELMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQSLKLEPLKPEKKAMWKREMKVLLSVCEYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDAMLIEILDSFQDSEFWYAENIPGNSNRSRGASNRRTVPRKDEKWWLPVPCVLPGGLSDTSKKHLIEKRDCANQIHKAAMAINSNVLAEIDIPENYIDNLPKLKFYCQVIEDSIKCDPIKQMSWNLSSNFISLLWKPIIAAETCRKRDDIVSIKSGRSSLGESIYHYMNSAEKFSPEQLLDCLKISSEREALELADRVESSMYTWRRKACLSHSKSSWSKVKDLIEETDSKDKNYTLAERAESLLLCLKQRYPELSQTSLDTCKIQYNRDVGKAVLESYSRVLESLAFNVVAWIEDVLYVDKSMRNREV >Vigun07g056900.1.v1.2 pep primary_assembly:ASM411807v1:7:6249611:6255149:1 gene:Vigun07g056900.v1.2 transcript:Vigun07g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPVTAVQVGTYFVGQYYQVLQSQPEFVHQFYSDASTMLRVDGNTRETAAAMLQIHSLIMSLSYTGIEIKTVHSLESWSGGVLVMVSGSVQTKDYNQRRKFMQTFFLAPQEKGFFVLNDIFHFVEEDPVHQQQAVLLPQSNHDSQLNTSSAINKPVSNYLLGGDIQARDYVATNEVKENGVVDNYGFSEQRLQRGPDSEHIREDTAVEESNGSLQSSVNAVQDHVPVTPDQPAGEPQKHTYASILRVAKGQSTPSAASQPSHKNVSPSEWDQAPQSSSQQLPTTASTNAFERSETEAVEELPVTEDEGYYEIKSVYVRNLSPTVSPSEIEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMTGVHNAVKTGSVQIAGRQVYIEERRPNSNIPSRGGRRGRGRGSYQSDTPRGRFNSRSFGRGNGQDGGDREYSKSKGNGFYRPNPRQERGNSGHHQAPRNGQNLAES >Vigun07g056900.2.v1.2 pep primary_assembly:ASM411807v1:7:6249607:6255189:1 gene:Vigun07g056900.v1.2 transcript:Vigun07g056900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPVTAVQVGTYFVGQYYQVLQSQPEFVHQFYSDASTMLRVDGNTRETAAAMLQIHSLIMSLSYTGIEIKTVHSLESWSGGVLVMVSGSVQTKDYNQRRKFMQTFFLAPQEKGFFVLNDIFHFVEEDPVHQQQAVLLPQSNHDSQLNTSSAINKPVSNYLLGGDIQARDYVATNEVKENGVVDNYGFSEQRLQRGPDSEHIREDTAVEESNGSLQSSVNAVQDHVPVTPDQPAGEPQKHTYASILRVAKGQSTPSAASQPSHKNVSPSEWDQAPQSSSQQLPTTASTNAFERSETEAVEELPVTEDEDEIKSVYVRNLSPTVSPSEIEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMTGVHNAVKTGSVQIAGRQVYIEERRPNSNIPSRGGRRGRGRGSYQSDTPRGRFNSRSFGRGNGQDGGDREYSKSKGNGFYRPNPRQERGNSGHHQAPRNGQNLAES >Vigun07g056900.3.v1.2 pep primary_assembly:ASM411807v1:7:6249611:6254457:1 gene:Vigun07g056900.v1.2 transcript:Vigun07g056900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPIPVTAVQVGTYFVGQYYQVLQSQPEFVHQFYSDASTMLRVDGNTRETAAAMLQIHSLIMSLSYTGIEIKTVHSLESWSGGVLVMVSGSVQTKDYNQRRKFMQTFFLAPQEKGFFVLNDIFHFVEEDPVHQQQAVLLPQSNHDSQLNTSSAINKPVSNYLLGGDIQARDYVATNEVKENGVVDNYGFSEQRLQRGPDSEHIREDTAVEESNGSLQSSVNAVQDHVPVTPDQPAGEPQKHTYASILRVAKGQSTPSAASQPSHKNVSPSEWDQAPQSSSQQLPTTASTNAFERSETEAVEELPVTEDEDEIKSVYVRNLSPTVSPSEIEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMTGVHNAVKTGSVQIAGRQVYIEERRPNSNIPSRGGSMIFLIF >Vigun05g134900.1.v1.2 pep primary_assembly:ASM411807v1:5:15979627:15985699:-1 gene:Vigun05g134900.v1.2 transcript:Vigun05g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGNSSFVTPLPLYCENLSSLENSTQQAKPKSEQPVLSDDASNLDSNTAVLEEPGSSNVSGSSSSKEQLIQPITAGPPIKRRKRHRRKNLHNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELLEEEKRELSKFKWDEFLAMTRQAITRKKHKRRLSPGPGNSHDMLPLPKDDWDSKQGVSEDAE >Vigun05g134900.2.v1.2 pep primary_assembly:ASM411807v1:5:15979627:15985699:-1 gene:Vigun05g134900.v1.2 transcript:Vigun05g134900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGNSSFVTPLPLYCENLSSLENSTQQAKPKSEQPVLSDDASNLDSNTAVLEEPGSSNVSGSSSSKEQLIQPITGPPIKRRKRHRRKNLHNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELLEEEKRELSKFKWDEFLAMTRQAITRKKHKRRLSPGPGNSHDMLPLPKDDWDSKQGVSEDAE >Vigun04g109100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26762444:26767151:1 gene:Vigun04g109100.v1.2 transcript:Vigun04g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGRYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNGVTGEIVIKHLSKEQESNQSNFRDAATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDEGEVSDSDY >Vigun04g109100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26763315:26767163:1 gene:Vigun04g109100.v1.2 transcript:Vigun04g109100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGRYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNGVTGEIVIKHLSKEQESNQSNFRDAATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDEGEVSDSDY >Vigun04g109100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26762444:26767151:1 gene:Vigun04g109100.v1.2 transcript:Vigun04g109100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGRYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNGVTGEIVIKHLSKEQESNQSNFRDAATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDEGEVSDSDY >Vigun04g109100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26762442:26767162:1 gene:Vigun04g109100.v1.2 transcript:Vigun04g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGRYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNGVTGEIVIKHLSKEQESNQSNFRDAATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDEGEVSDSDY >Vigun01g245300.1.v1.2 pep primary_assembly:ASM411807v1:1:41382867:41389016:1 gene:Vigun01g245300.v1.2 transcript:Vigun01g245300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSITTSSIMHNYPLVSAIVAFALAQAIKFFTTWYKEKRWDPKQLVGSGGMPSSHSATVAALAAAIGFHEGFGGPLFATALVLACIVMYDATGVRLQAGRQAEILNQIVFELPAEHPLAESRPLREPLGHTPPQVVAGGILGIITSGIGYVITMAST >Vigun03g045100.1.v1.2 pep primary_assembly:ASM411807v1:3:3625202:3627649:1 gene:Vigun03g045100.v1.2 transcript:Vigun03g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQAGQCGNQIGGKFWEVMCDEHGIDATGNYIGDSHLQLERVSVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPYGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTIPELTQQMWDARNMMCAADPRHGRYLTASAMFRGKMSTKEVDQQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSDQFTVMFKRKAFLHWYTAEGMDEMEFTEAESNMNDLVAEYQQYQDAAAVEEGEVDEDDEDDLAA >Vigun09g116500.1.v1.2 pep primary_assembly:ASM411807v1:9:25539441:25539872:1 gene:Vigun09g116500.v1.2 transcript:Vigun09g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNSKMESIGIVTMMMIIMLGCTGAKDITLDKSCGAKCFFDCLLSQDPFPPCYSRCKAKCEHRPPPNDYDQCINSCSVTKSNIGDRAALIINMNSCIQKCHVKI >Vigun05g269500.1.v1.2 pep primary_assembly:ASM411807v1:5:46083374:46086246:-1 gene:Vigun05g269500.v1.2 transcript:Vigun05g269500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILSKTSSAMATFPCSRVRSGLCVWPNVRQICFRKGILYGFMRLFSTPLKTLRGASRTLRVARFCSVVSMFSSLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPVIDVLSRKNRNLTYILNTHHHHDHTGGNVELKARYGAKVIGSGTDKERIPGIDIYLNDGDKWMFAGHEVRVIDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPQEMMSSLKKIMSLPDDTNIYCGHEYTLSNTKFALSIEPENKELQSYAAHVAYLRNKGLPTIPTTLKMEKACNPFLRTSSAAIRQSLNIATTANDAEALAVIRQKKDNF >Vigun05g269500.3.v1.2 pep primary_assembly:ASM411807v1:5:46083374:46086246:-1 gene:Vigun05g269500.v1.2 transcript:Vigun05g269500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNKVRSGLCVWPNVRQICFRKGILYGFMRLFSTPLKTLRGASRTLRVARFCSVVSMFSSLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPVIDVLSRKNRNLTYILNTHHHHDHTGGNVELKARYGAKVIGSGTDKERIPGIDIYLNDGDKWMFAGHEVRVIDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPQEMMSSLKKIMSLPDDTNIYCGHEYTLSNTKFALSIEPENKELQSYAAHVAYLRNKGLPTIPTTLKMEKACNPFLRTSSAAIRQSLNIATTANDAEALAVIRQKKDNF >Vigun05g269500.2.v1.2 pep primary_assembly:ASM411807v1:5:46083374:46086246:-1 gene:Vigun05g269500.v1.2 transcript:Vigun05g269500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILSKTSSAMATFPCSRVRSGLCVWPNVRQICFRKGILYGFMRLFSTPLKTLRGASRTLRVARFCSVVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPVIDVLSRKNRNLTYILNTHHHHDHTGGNVELKARYGAKVIGSGTDKERIPGIDIYLNDGDKWMFAGHEVRVIDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPQEMMSSLKKIMSLPDDTNIYCGHEYTLSNTKFALSIEPENKELQSYAAHVAYLRNKGLPTIPTTLKMEKACNPFLRTSSAAIRQSLNIATTANDAEALAVIRQKKDNF >Vigun05g269500.5.v1.2 pep primary_assembly:ASM411807v1:5:46083374:46086246:-1 gene:Vigun05g269500.v1.2 transcript:Vigun05g269500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILSKTSSAMATFPCSRVRSGLCVWPNVRQICFRKGILYGFMRLFSTPLKTLRGASRTLRVARFCSVVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPVIDVLSRKNRNLTYILNTHHHHDHTGGNVELKARYGAKVIGSGTDKERIPGIDIYLNDGDKWMFAGHEVRVIDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPQEMMSSLKKIMSLPDDTNIYCGHEYTLSNTKFALSIEPENKELQSYAAHVAYLRNKGLPTK >Vigun05g269500.4.v1.2 pep primary_assembly:ASM411807v1:5:46083374:46086246:-1 gene:Vigun05g269500.v1.2 transcript:Vigun05g269500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILSKTSSAMATFPCSRVRSGLCVWPNVRQICFRKGILYGFMRLFSTPLKTLRGASRTLRVARFCSVVSMFSSLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPVIDVLSRKNRNLTYILNTHHHHDHTGGNVELKARYGAKVIGSGTDKERIPGIDIYLNDGDKWMFAGHEVRVIDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGSPQEMMSSLKKIMSLPDDTNIYCGHEYTLSNTKFALSIEPENKELQSYAAHVAYLRNKGLPTK >Vigun09g242400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41171351:41172162:1 gene:Vigun09g242400.v1.2 transcript:Vigun09g242400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVSDLKKLKYLESIIKETLRLYPAAPLSVPHESMEDCIVGGYDVASGTRLLTNLSKIHRDPSLYPNPLEFCPERFLTSEKEVDVRGQHFELIPFGAGRRMCPGTSFGLQVMQLTLATLLHGFDIATNDGGAVDMVEQIGFTNIRASPLQVILTPRLSSHFYDQL >VigunL013032.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:76148:83445:-1 gene:VigunL013032.v1.2 transcript:VigunL013032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IFELREILREIKNSRYCLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSISNRHFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLVPIPMNSIGPKNDTLEKSSQSSNIDRLIVPLLYLPKGKKISESSFLDLKESTWVLPITKKYIMPEFYWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKDKDIKYLEFLFVYYMDDPILKDWIYYLMFAFCEKIPKEVEGFFKQQGNGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHIWGKSPHELDFLRNMSRENWIWLDNMWLGNKDQFFKRSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFIEQIEEFLGNPTRVTRSFFSNRWSELHLGSHPTDRSTRDKKLLKKEQKKHLAFSRRSKKKEIVNFFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMTDLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPSLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYTTHGYIRNKKWFDPLILISRTERSMNWDPNNSHFQVLFDRLHINQYSIDWSKVIDKKDFSKSLCLFLSNFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKLKFLINGGTISPFLFNKIPKWMIDSFHTRKNRKKYFDNTDSYFSMISRDPDNWLNPVKPFQRSSLIYYFYKANRLQFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDDTISPIELQVSNILIPNDFSQSGDEGYNFYKSIMRMEKGLGKRRTMHVRKCRWTQMMAALRVVDGGRGSRGGVGWWARGSRGEKRRQQLAKLQRKMQSSWRARGRKGFLQPLSDMNIPDLEGKNLYQYLNFNSNMGWIHTPCSEKYLPSKKRKNQSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFFTSTGYKYLNFIFLDTFSDLLPILSSSQTFVSIFHDIMHGSDILWRIHQIPLCLPQWNLISEIPGNCFHNLLLSKEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLFVGYLVRTHLLFFLESIVCYRQSSKRYPTFELNSFWLKNIFLVALEQLGDSIEGIQSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLKSIIPNPINRIAFSKNTRHLSHLSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVEFSTLTTKKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRSIGTRRSYLVKYLATNSYVPFIIVFLNNDDIDDSDGIDDSDDSDDIDCGFDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTKSNYLSLGLLVNYISRDCERCSTRNILVIASTHIPQKIDPTLIAPNKFNTCIKIRMLLIPQQQKHFFTLSYTRGFHLEKKMSHTNGFGSTTMSSNVRDLVALNNEALSISIIQKKSIIDTNIISFVLHRQTWDFRSQVRSRNHVTGGFLFVQMVLRTWNEYEEINDTSLPFELFFRIGRSGPLKNSDLVHGLLEVEGALVGSLRREKDCSQLIRIEFLYEKYESDFEEGGGVLDPQQIEEDFFNHIVWAPKIWCPWGFLFDCIERPNNLGFPSWARSFRDKRIIYDEEDELQENDSEFLQGGTCKKHFEFFIRCQRWLRINSSSSKGFFPSNALSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVSSCSNNESLV >Vigun03g017800.2.v1.2 pep primary_assembly:ASM411807v1:3:1257042:1260041:-1 gene:Vigun03g017800.v1.2 transcript:Vigun03g017800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVQFLLPQMLCLMLLSFQHEVETKSTIEPCNSSDSCPSLLSYLVPWDSKVSEIATRFHVNASDILASNSLFPITSSSAHQILRAKTFLKIPIFCSCVDGIRRSISTTYTVHAADTPASISEAYGGLVSAEQIKIVNSINGSNPLRSGGTLVIPLPCTCFDNVNNGGTAVYMSYVVQTRESLSSIAAKFGTTISDLKAVNGFWEPAVDPGDILSVPVAACSSATLKWYDESMIVPNGSYTLTASNCIKCSCEPMDITMQCVPSGLAVPCYNLRCKQSNLIIGNECVEHSETACNVIQCVYRGHRGGKILSSTKNSSYLQCPDNVCHRGASCRPFSSYSEDPFGSRE >Vigun03g017800.3.v1.2 pep primary_assembly:ASM411807v1:3:1257041:1260042:-1 gene:Vigun03g017800.v1.2 transcript:Vigun03g017800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVQFLLPQMLCLMLLSFQHEVETKSTIEPCNSSDSCPSLLSYLVPWDSKVSEIATRFHVNASDILASNSLFPITSSSAHQILRAKTFLKIPIFCSCVDGIRRSISTTYTVHAADTPASISEAYGGLVSAEQIKIVNSINGSNPLRSGGTLVIPLPCTCFDNVNNGGTAVYMSYVVQTRESLSSIAAKFGTTISDLKAVNGFWEPAVDPGDILSVPVAACSSATLKWYDESMIVPNGSYTLTASNCIKCSCEPMDIT >Vigun03g017800.1.v1.2 pep primary_assembly:ASM411807v1:3:1257098:1260030:-1 gene:Vigun03g017800.v1.2 transcript:Vigun03g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVQFLLPQMLCLMLLSFQHEVETKSTIEPCNSSDSCPSLLSYLVPWDSKVSEIATRFHVNASDILASNSLFPITSSSAHQILRAKTFLKIPIFCSCVDGIRRSISTTYTVHAADTPASISEAYGGLVSAEQIKIVNSINGSNPLRSGGTLVIPLPCTCFDNVNNGGTAVYMSYVVQTRESLSSIAAKFGTTISDLKAVNGFWEPAVDPGDILSVPVAACSSATLKWYDESMIVPNGSYTLTASNCIKCSCEPMDITMQCVPSGLAVPCYNLRCKQSNLIIGNECVEHSETACNVIQCVYRGHRGGKILSSTKNSSYLQCPDNVCHRGASCRPFSSYSEDPFGMSPKISSSLPLPVSKASQRTRASNGSLGRFLINLLQIFLFLKFIIF >Vigun03g017800.4.v1.2 pep primary_assembly:ASM411807v1:3:1257084:1260030:-1 gene:Vigun03g017800.v1.2 transcript:Vigun03g017800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVQFLLPQMLCLMLLSFQHEVETKSTIEPCNSSDSCPSLLSYLVPWDSKVSEIATRFHVNASDILASNSLFPITSSSAHQILRAKTFLKIPIFCSCVDGIRRSISTTYTVHAADTPASISEAYGGLVSAEQIKIVNSINGSNPLRSGGTLVIPLPCTCFDNVNNGGTAVYMSYVVQTRESLSSIAAKFGTTISDLKAVNGFWEPAVDPGDILSVPVAACSSATLKWYDESMIVPNGSYTLTASNCIKCSCEPMDITMQCVPSGLAVPCYNLRCKQSNLIIGNECVEHSETACNVIQCVYRGHRGGKILSSTKNSSYLQCPGNYSYNVCHRGASCRPFSSYSEDPFGSRE >Vigun07g155300.1.v1.2 pep primary_assembly:ASM411807v1:7:26643179:26647900:1 gene:Vigun07g155300.v1.2 transcript:Vigun07g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSSEGLGDDFFEQILAVPEAPVGYGRAVATDVGMLQLGSTTPTAAALRGGGMMPLGLNLEQSAFLSHHDSRNLVDDVVNVDPSVHNNHHHHHHHHQHLTLHNNNSSPSPTPPITDRDSMHVRGLFSAFGQLHSPTLVPSVRPTLSSPPPPPQPQLHLHHHNQQVLIQNGQYNLTKRRGNRHATSDFVSISTPLYWAFQGQGGGGPASMSGTQQAPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEQGEDIEGGGNEQAWDKWSNDGTEQQVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRMPQSESSTVIKPESNSH >Vigun07g155300.3.v1.2 pep primary_assembly:ASM411807v1:7:26643179:26647926:1 gene:Vigun07g155300.v1.2 transcript:Vigun07g155300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSSEGLGDDFFEQILAVPEAPVGYGRAVATDVGMLQLGSTTPTAAALRGGGMMPLGLNLEQSAFLSHHDSRNLVDDVVNVDPSVHNNHHHHHHHHQHLTLHNNNSSPSPTPPITDRDSMHVRGLFSAFGQLHSPTLVPSVRPTLSSPPPPPQPQLHLHHHNQQVLIQNGQYNLTKRRGNRHATSDFVSISTPLYWAFQGQGGGGPASMSGTQQAPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEVITTRKMF >Vigun07g155300.4.v1.2 pep primary_assembly:ASM411807v1:7:26643179:26647900:1 gene:Vigun07g155300.v1.2 transcript:Vigun07g155300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSSEGLGDDFFEQILAVPEAPVGYGRAVATDVGMLQLGSTTPTAAALRGGGMMPLGLNLEQSAFLSHHDSRNLVDDVVNVDPSVHNNHHHHHHHHQHLTLHNNNSSPSPTPPITDRDSMHVRGLFSAFGQLHSPTLVPSVRPTLSSPPPPPQPQLHLHHHNQQAFQGQGGGGPASMSGTQQAPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEQGEDIEGGGNEQAWDKWSNDGTEQQVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRMPQSESSTVIKPESNSH >Vigun07g155300.2.v1.2 pep primary_assembly:ASM411807v1:7:26643176:26647991:1 gene:Vigun07g155300.v1.2 transcript:Vigun07g155300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSSEGLGDDFFEQILAVPEAPVGYGRAVATDVGMLQLGSTTPTAAALRGGGMMPLGLNLEQSAFLSHHDSRNLVDDVVNVDPSVHNNHHHHHHHHQHLTLHNNNSSPSPTPPITDRDSMHVRGLFSAFGQLHSPTLVPSVRPTLSSPPPPPQPQLHLHHHNQQAFQGQGGGGPASMSGTQQAPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEQGEDIEGGGNEQAWDKWSNDGTEQQVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRMPQSESSTVIKPESNSH >Vigun09g232800.2.v1.2 pep primary_assembly:ASM411807v1:9:40399496:40402651:1 gene:Vigun09g232800.v1.2 transcript:Vigun09g232800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIYRTWIHTMKDASSLWNCDEARRDLQTAIGTATWQLEEFERATRLSYSKVSTEDARNRHRDFVDAIRDKISNVEHLLLEPVHSGTKASLPWPRLDEGERDELASFLSGTSAAVSKNPAKCNSRDGENVQLSDKDSIPNCSSNLHISSGWGSSDVAQEKSLGHRRAATADPDIGSWQITVTDGVQESNSSNGSSSLNPVHKVASLSGFFGSVDTISKLKWPKNGYRKLKPVNHCEETDNALLPSAGLNGGIKAYYEESKNCLDNYDESYDKQLHGWYGALQRQLQRSQYQMQYNRHVQITIWAVILLCLIVFIAFCTM >Vigun09g232800.1.v1.2 pep primary_assembly:ASM411807v1:9:40399496:40402651:1 gene:Vigun09g232800.v1.2 transcript:Vigun09g232800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDRWEKDPFFSAAEEVQESSDRMESIYRTWIHTMKDASSLWNCDEARRDLQTAIGTATWQLEEFERATRLSYSKVSTEDARNRHRDFVDAIRDKISNVEHLLLEPVHSGTKASLPWPRLDEGERDELASFLSGTSAAVSKNPAKCNSRDGENVQLSDKDSIPNCSSNLHISSGWGSSDVAQEKSLGHRRAATADPDIGSWQITVTDGVQESNSSNGSSSLNPVHKVASLSGFFGSVDTISKLKWPKNGYRKLKPVNHCEETDNALLPSAGLNGGIKAYYEESKNCLDNYDESYDKQLHGWYGALQRQLQRSQYQMQYNRHVQITIWAVILLCLIVFIAFCTM >Vigun05g052400.1.v1.2 pep primary_assembly:ASM411807v1:5:4481685:4485370:-1 gene:Vigun05g052400.v1.2 transcript:Vigun05g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAAVLRHIRVPLQAVPKLQPWRAMSSHGDDHITKEEVIQRVLAVVKDFPKVDPSKVNPDVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYIANHPMAS >Vigun07g189300.1.v1.2 pep primary_assembly:ASM411807v1:7:30733684:30737365:1 gene:Vigun07g189300.v1.2 transcript:Vigun07g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGPGFRFHPTDEELVVFYLKRKITGNLSRYDHIAVVDVYKLEPWDLPPLSKLKTKDLEWYFFSVLDRKYGNGSRTNRATEKGYWKTTGKDRPVAQGDRTVGMKKTLVYHIGRAPHGRRTNWVMHEYKMLDEELTRAGTVLDVYVVCRIFEKSGAGPKNGAKYGAPLDEKEWDVDEENEQKEAVPLLPTGHHVVAPFTEIPPTVVAPPLPVTDAVAPPVVAIDGHFWDGIGVAPPTVATDADFWDDIGAFLETNDLEGELDLSDMNGGVTFPSLNFHHGECSTYAENSQELIKDQEPLTGIFGISGPENGQPLDMAEQHDMGTGSVKDEDNGEQSEIENLLNFNDALDNMDLDLYFDATQILPTADDESFLETNDLAIGNEGDLVEADPSANDIMLDKYLALPDDDDDICKYISFDYSQVPEIENSNSNQGSPLTQQTVEGETANKAVVGKHDSEAQTSNEAFSVQNTEDAKLAAPGNTNPFVKQAYGWLASIPAAPAHAFEFPAKDITPGIHGAAQSSHPAHITTGMISITDITFRGNAMDWPMGKIGGFNTAMSTEFSQSDVNCAAFIPVSGKTAFVLSHGWIFLTGFSVLILSLSFKIGSVMYTGK >Vigun04g020200.1.v1.2 pep primary_assembly:ASM411807v1:4:1538964:1541088:1 gene:Vigun04g020200.v1.2 transcript:Vigun04g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTDINTGHNQFLSHHQSFIHINNKPNSNNMFHLTLLILLAPLVSLIYILHTTASRRRQPPSPPGPPRLPIIGNLHMMVGGREALPHRSLQSLSQRYGPIMSLQLGNVPTVVVSSPEAAELFLKTHDAVFANRPKFEAAQYTYGPESVAFAEYGAYWRGVRKVCTTHLLSASKVERFGPLRKKEVEAMVERLREAAAAREVVDLSERVGEVLRDMACKMVLGRNQDERFDLKGILLETMSVSGAFNVADYVPWFRPFDLQGLTKRSKRISKALDEMLEEMIEEHEVVPKAEGHLNDFIDTLLSLKDQPMHPHVEDAPVIDRRSIKGIVFDMVIGASETSSNVIEWAISELVRHPRVMESVQEELKHAVGMKKMVEEIDLGKLSYLDMVVKETLRLHPVVPLLAPHESMEDAVIEGYYIKKKSRIIINAWAIGRDPKVWSENAQVFYPERFVDSNVDFKGQDFELIPFGSGRRSCPGIVMGLSIVKLVIAQLVHCFNWELPYGVAHHELDMNEKSGLSMPRETPLLLIPTCRLLHETLVN >Vigun11g077000.1.v1.2 pep primary_assembly:ASM411807v1:11:22768994:22769634:-1 gene:Vigun11g077000.v1.2 transcript:Vigun11g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKVTLVAIVFALIACNGFVLGKEECNESKDCKGKIDVCKDSAICVNKVCRCPVSIVGNQDQKCKTAADCPYCPPGLCDKQHCDVTTGKCSCLC >Vigun09g231400.5.v1.2 pep primary_assembly:ASM411807v1:9:40280545:40284852:-1 gene:Vigun09g231400.v1.2 transcript:Vigun09g231400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSSGEQFKFEEPPQSPDSLATRDFSASGLSSRTTGEWEPKFDETQVEQAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTSRMIKAITERIKQRKPRSKADIVVSNVMSLHSVSLLLEAILLKARSLEELGECIEAAKECRIILDTVEAALPNGMPEGIGEDCKLQEMFHKALELLPRLWIKAGFLDEAVAAYRRALVKPWSLEPQRLASVQKDLATILLYGGVEVSLSSQQQVWGKTTPTNSVEEAIHLLVILMSKVAIGEIDWDAEIMDHLTFALSVTGMFELLADHVEQILPGIYSRAERWYFLALCFNATGHDEVALNLLRKACGSSEANHVPHFPSFLLGAKLCSLDPRHAHEGINFSRKVIELAKHQNEHFLSQGHKFLGICYGAAARISVLDSERSIFQRESLYSLNCAAVNGNDDLEAIFNLGLENAIQRNLDAAYNNIMTFSDMSAGSSRGWQLLALIVSALQRFKDAETIVDFALDEAGGMDQLDLLRLKAVLQIAQQQPRQAIETYRILLALIQAKKELWLQENNSNREQAFRHEQALTEKKLEMEAWEDLATIYTDIGSLLDAKTCVDKAQLIEFFSPRSWHITGVVLEAQSLYREAFVSFSISLSVEPDYIPSIISTAELLMKLGMQSLPIARSFLMNALRLEPTNQDAWFNLGLVSKMEGSLQQAAEFFQAAYELKLSAPVQKFK >Vigun09g231400.3.v1.2 pep primary_assembly:ASM411807v1:9:40280509:40284852:-1 gene:Vigun09g231400.v1.2 transcript:Vigun09g231400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSSGEQFKFEEPPQSPDSLATRDFSASGLSSRTTGEWEPKFDETQVEQAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTSRMIKAITERIKQRKPRSKADIVVSNVMSLHSVSLLLEAILLKARSLEELGECIEAAKECRIILDTVEAALPNGMPEGIGEDCKLQEMFHKALELLPRLWIKAGFLDEAVAAYRRALVKPWSLEPQRLASVQKDLATILLYGGVEVSLSSQQQVWGKTTPTNSVEEAIHLLVILMSKVAIGEIDWDAEIMDHLTFALSVTGMFELLADHVEQILPGIYSRAERWYFLALCFNATGHDEVALNLLRKACGSSEANHVPHFPSFLLGAKLCSLDPRHAHEGINFSRKVIELAKHQNEHFLSQGHKFLGICYGAAARISVLDSERSIFQRESLYSLNCAAVNGNDDLEAIFNLGLENAIQRNLDAAYNNIMTFSDMSAGSSRGWQLLALIVSALQRFKDAETIVDFALDEAGGMDQLDLLRLKAVLQIAQQQPRQAIETYRILLALIQAKKELWLQENNSNREQAFRHEALTEKKLEMEAWEDLATIYTDIGSLLDAKTCVDKAQLIEFFSPRSWHITGVVLEAQSLYREAFVSFSISLSVEPDYIPSIISTAELLMKLGMQSLPIARSFLMNALRLEPTNQDAWFNLGLVSKMEGSLQQAAEFFQAAYELKLSAPVQKFK >Vigun09g231400.7.v1.2 pep primary_assembly:ASM411807v1:9:40280522:40284972:-1 gene:Vigun09g231400.v1.2 transcript:Vigun09g231400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSSGEQFKFEEPPQSPDSLATRDFSASGLSSRTTGEWEPKFDETQVEQAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTSRMIKAITERIKQRKPRSKADIVVSNVMSLHSVSLLLEAILLKARSLEELGECIEAAKECRIILDTVEAALPNGMPEGIGEDCKLQEMFHKALELLPRLWIKAGFLDEAVAAYRRALVKPWSLEPQRLASVQKDLATILLYGGVEVSLSSQQQVWGKTTPTNSVEEAIHLLVILMSKVAIGEIDWDAEIMDHLTFALSVTGMFELLADHVEQILPGIYSRAERWYFLALCFNATGHDEVALNLLRKACGSSEANHVPHFPSFLLGAKLCSLDPRHAHEGINFSRKVIELAKHQNEHFLSQGHKFLGICYGAAARISVLDSERSIFQRESLYSLNCAAVNGNDDLEAIFNLGLENAIQRNLDAAYNNIMTFSDMSAGSSRGWQLLALIVSALQRFKDAETIVDFALDEAGGMDQLDLLRLKAVLQIAQQQPRQAIETYRILLALIQAKKELWLQENNSNREQAFRHEALTEKKLEMEAWEDLATIYTDIGSLLDAKTCVDKAQLIEFFSPRSWHITGVVLEAQSLYREAFVSFSISLSVEPDYIPSIISTAELLMKLGMQSLPIARSFLMNALRLEPTNQDAWFNLGLVSKMEGSLQQAAEFFQAAYELKLSAPVQKFK >Vigun09g231400.6.v1.2 pep primary_assembly:ASM411807v1:9:40280508:40284972:-1 gene:Vigun09g231400.v1.2 transcript:Vigun09g231400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSSGEQFKFEEPPQSPDSLATRDFSASGLSSRTTGEWEPKFDETQVEQAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTSRMIKAITERIKQRKPRSKADIVVSNVMSLHSVSLLLEAILLKARSLEELGECIEAAKECRIILDTVEAALPNGMPEGIGEDCKLQEMFHKALELLPRLWIKAGFLDEAVAAYRRALVKPWSLEPQRLASVQKDLATILLYGGVEVSLSSQQQVWGKTTPTNSVEEAIHLLVILMSKVAIGEIDWDAEIMDHLTFALSVTGMFELLADHVEQILPGIYSRAERWYFLALCFNATGHDEVALNLLRKACGSSEANHVPHFPSFLLGAKLCSLDPRHAHEGINFSRKVIELAKHQNEHFLSQGHKFLGICYGAAARISVLDSERSIFQRESLYSLNCAAVNGNDDLEAIFNLGLENAIQRNLDAAYNNIMTFSDMSAGSSRGWQLLALIVSALQRFKDAETIVDFALDEAGGMDQLDLLRLKAVLQIAQQQPRQAIETYRILLALIQAKKELWLQENNSNREQAFRHEALTEKKLEMEAWEDLATIYTDIGSLLDAKTCVDKAQLIEFFSPRSWHITGVVLEAQSLYREAFVSFSISLSVEPDYIPSIISTAELLMKLGMQSLPIARSFLMNALRLEPTNQDAWFNLGLVSKMEGSLQQAAEFFQAAYELKLSAPVQKFK >Vigun09g231400.1.v1.2 pep primary_assembly:ASM411807v1:9:40280545:40284852:-1 gene:Vigun09g231400.v1.2 transcript:Vigun09g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSSGEQFKFEEPPQSPDSLATRDFSASGLSSRTTGEWEPKFDETQVEQAESTLKETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTSRMIKAITERIKQRKPRSKADIVVSNVMSLHSVSLLLEAILLKARSLEELGECIEAAKECRIILDTVEAALPNGMPEGIGEDCKLQEMFHKALELLPRLWIKAGFLDEAVAAYRRALVKPWSLEPQRLASVQKDLATILLYGGVEVSLSSQQQVWGKTTPTNSVEEAIHLLVILMSKVAIGEIDWDAEIMDHLTFALSVTGMFELLADHVEQILPGIYSRAERWYFLALCFNATGHDEVALNLLRKACGSSEANHVPHFPSFLLGAKLCSLDPRHAHEGINFSRKVIELAKHQNEHFLSQGHKFLGICYGAAARISVLDSERSIFQRESLYSLNCAAVNGNDDLEAIFNLGLENAIQRNLDAAYNNIMTFSDMSAGSSRGWQLLALIVSALQRFKDAETIVDFALDEAGGMDQLDLLRLKAVLQIAQQQPRQAIETYRILLALIQAKKELWLQENNSNREQAFRHEQALTEKKLEMEAWEDLATIYTDIGSLLDAKTCVDKAQLIEFFSPRSWHITGVVLEAQSLYREAFVSFSISLSVEPDYIPSIISTAELLMKLGMQSLPIARSFLMNALRLEPTNQDAWFNLGLVSKMEGSLQQAAEFFQAAYELKLSAPVQKFK >Vigun01g119800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29623358:29623945:1 gene:Vigun01g119800.v1.2 transcript:Vigun01g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSPISYEVTLVLDRVQGGAENGGSSICEHGGVFRNESAPLQESLQTQSQVNTLLIDNLRIENNNENQFVPKRSNFPRLGDGANNVELERCLGLPDGPSNYRTKLRGGNLESGPFRKNRNNRGCLFMVNNESSGPTNGIFSSDGFQNPCSEPDNNGSQSHTNDTQFDLNVKDYLNRSYIEDNDFICDFDNLLP >Vigun10g146400.2.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36447177:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPRKKFTEFAAVRQEIADETDRETGRNKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVDGQPDSIVQDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun10g146400.1.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36447177:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIQLVNKIQRACTALGDHGEESAMPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPRKKFTEFAAVRQEIADETDRETGRNKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVDGQPDSIVQDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun10g146400.3.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36447039:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIQLVNKIQRACTALGDHGEESAMPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPRKKFTEFAAVRQEIADETDRETGRNKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVDGQPDSIVQDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREVSFLKRVNPMNGVI >Vigun10g146400.5.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36443669:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun10g146400.8.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36446958:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSAAVRQEIADETDRETGRNKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVDGQPDSIVQDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMFSFGCRN >Vigun10g146400.4.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36447177:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSAAVRQEIADETDRETGRNKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVDGQPDSIVQDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun10g146400.7.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36441914:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun10g146400.6.v1.2 pep primary_assembly:ASM411807v1:10:36438230:36443668:-1 gene:Vigun10g146400.v1.2 transcript:Vigun10g146400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDATEILEGKAYKLNFPWIGVVNRSQADINKQVDMIAARKRENEYFANTPEYRHLASRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGKPIAADTGGKLYMIMEICRTFDQIFKDHLDGIRPGGEKIYQVFDNQFPASLKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVLVRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPSLKVELGSAAVDSLERMREESKRATLLLVEMEYGYLTVEFFRKLPQDAEKGGNPSHSLFDRYNDAYLRRIATTVLSYVNMVCGTLRNTIPKSVVYCQVREAKRSLLDHFFTELGKREGKQLASLLNEDPAIMQRRTSLARRLELYRSAQSEIEAVAWER >Vigun11g032650.1.v1.2 pep primary_assembly:ASM411807v1:11:4321270:4322137:-1 gene:Vigun11g032650.v1.2 transcript:Vigun11g032650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRLPPLAIFLLTTYSCSCSVSEASPSGSSDCSCVALARFLTIRIDSSALASAKMIEEHPNLCQFHDVCMKKGSGNFCACYPNHNDHGWCFHSVSEALKGFFPMLVTTPK >Vigun08g111800.1.v1.2 pep primary_assembly:ASM411807v1:8:27731302:27734556:-1 gene:Vigun08g111800.v1.2 transcript:Vigun08g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSFSGAKLETLLLKCTSSNATTTISTTHFSLAKNRKTLVQTHRGAIRCEVSASDVSVDPTSKPSTLSALEQLKTSAADRYTKEKSSIMVIGLSVHTTPVEMREKLAIPEAEWPRAIAELCSLNHIEEAAVLSTCNRMEIYVVALSKHRGVKEVTEWMSKTSGIPVADLSQHQFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPEASHANARMLIIGAGKMGKLVIKHLVAKGCTKMVVVNRSEERVAAIREELKDIEIIYKPLSEMMACIGEADVAFTSTASETPLFLKDDVKDLPPATDEVGGRRFFIDISVPRNVGSCVSDLEFVRVFNVDDLKEVVAANKEDRLRKAMEAQAIISEESKQFEAWRDSLETVPTIKKLRAYAERIRMAELEKCLGKMGDDIPKKTQRAVDDLSRGIVNKMLHGPMQHLRCDGSDSRTLNETLENMHALNRMFNLETEVSVLEQKIRAKVEQKP >Vigun04g067100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7566257:7568132:1 gene:Vigun04g067100.v1.2 transcript:Vigun04g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDHHHQHLSSNPFALLSTHSDSDSDSDSVSCFVTDLFETSSRLCSCDDTDINPFSGAVCDVHRSEHVLGLGLGFGVDIDSQTRDNDDNNSDRLFNFALGDGSGGLRVVAFGSDSDDSSGGEGGVRDEGGGFDDFDVRFCWDSLCLDDQRTLNDGFEWEEVEQRVNDREDSGLVVDEVEVEVEDDGDGDSVGFTNADEEEEEEEEEDGGEEALRYLEWEILLAVNNSERNVNNGDGLEHEGVAGAADLLTIRDGYVYAAEYDVLFGQFLENESVLKGSPPAAKSVVESLPLVELSKEELLQGKNLACAICKDEILLEEKVRRLPCSHCYHGDCILPWLEIRNTCPVCRFELPTDDPDYEQRKVHRAAHDLLELATAGMQF >Vigun03g303900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49375060:49377140:-1 gene:Vigun03g303900.v1.2 transcript:Vigun03g303900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRRDEEQAAKEEQLKREEARKRDAEFRLERLRTAKGLAPKLPLPGPEPEPEPKPELEPEPSTDAGHINLFEGIKIFDPIQEPQKEGPPAKKKKKEERGPKPDVVVGPEDEKYRLGYGLAGKGVQLPWYIRKPSDNVVKDESGDGDRRENKGEKKRKTMEELREERLKRERREKDRERALRHEKMQSQRDVSGSRRRVSR >Vigun05g189900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36907182:36907769:-1 gene:Vigun05g189900.v1.2 transcript:Vigun05g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDRTTALAGPGPSPTQAHKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTPSELLVNNHSSSNNNSARSPSQSSTLDSSSPPPPPPLLDLTLTPLSASVLPAARPVLFFDAFARADTVIAVGRRDTCGFGRPAADFRRSDSNSERRALLDLDLNVPPLPEVA >Vigun05g189900.1.v1.2 pep primary_assembly:ASM411807v1:5:36905761:36908139:-1 gene:Vigun05g189900.v1.2 transcript:Vigun05g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDRTTALAGPGPSPTQAHKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTPSELLVNNHSSSNNNSARSPSQSSTLDSSSPPPPPPLLDLTLTPLSASVLPAARPVLFFDAFARADTVIAVGRRDTCGFGRPAADFRRSDSNSERRALLDLDLNVPPLPENEQSE >Vigun07g274100.1.v1.2 pep primary_assembly:ASM411807v1:7:38990960:38994855:1 gene:Vigun07g274100.v1.2 transcript:Vigun07g274100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLDRVKDDFMKRYGASIKNDSTHPLADDDDDDDLFEDRFSIAYNLDREFGPALKEHMQYCLNHPEEISKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGILTLVIILWVIACGGFKC >Vigun07g274100.2.v1.2 pep primary_assembly:ASM411807v1:7:38990979:38994855:1 gene:Vigun07g274100.v1.2 transcript:Vigun07g274100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLDRVKDDFMKRYGASIKNDSTHPLADDDDDDDLFEDRFSIAYNLDREFGPALKEHMQYCLNHPEEISKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGILTLVIILWVIACGGFKC >Vigun03g118400.1.v1.2 pep primary_assembly:ASM411807v1:3:11031474:11037063:-1 gene:Vigun03g118400.v1.2 transcript:Vigun03g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGNTWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCGSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRHGRRPGRGSLKSAPNDEDEVLNQILGQTSDKVASRQKSVGIASSSSTSNDDGEIQNIVSNGKPNLLGIDLGSTTPEELRKQALEEKKQHRILKGEGKSGEAMKAFKRGKELERQADALEIHLRKVRKKSLPSGNLSDLHNKGNPVESDRKTKSLSHVGREKDDLTSELRELGWSDMDIRNEEKKPANLSLEGELSSLIGEIFTKSGEQKVSRIDKSQVVALKKNALLLKREGKLAEAKEELKRAKILEKELEEQELLAGAEDSDDELSALIRGMDDDKELPNLHDHGHGFDFERLLATSDDLDGNFEVTDEDMMDPEIAGALESLGWTEPENTSSKSQTFNKEALLDEIQSLKREALNQKRAGNAEEAMTFLKKAKLLERDLNSSIPEDYNNMSQKSTPLRKSVHSEISGNGSDSIKLDERNTSATNNVSSTVAPKSRLMIQRELLSVKKKALTLRREGKMNEAEEEMQKGAALERQLMEMDKASSLKTLHTNTADNVPITVHKHDDFSINLPHEEGGEDDVTDQDMSDPTYLSLLRDMGWNDDNKELSNSSKPSKKDDNHFVPVNDTSPSKHSTNISVQAPRSKVEIQRELLGLKRKALALRREGKVEDAEEVLKTAKSLEAQMVEMEAAKNKAQVVATVMKDKLLNAPVDEESDVVVSEEDMHDPTLNSILTNLGWKDDESEPVTLKAEPVKEATDRSAHTMDLSAPDLSSSIPAAASRNKGEIQRELLVLKRKALALRRKGEIEEADEILRQAKTLEAQPEDFGNQNKDLSLNVSKDKQSLPSESVDFRERNGNLGVATDVDNASAASSVVWSSKNSSESTFGLERINNETNIPILRKSDNLLPATSHLADGKHSLTAEESTSSENLSKKMKAENNDGHSYSAGHSMDALELHSGDGSNSSEILTQKHKELASANSSRAGSAIHLDSSKNFSQHTDVVEKPNINKSNSVQDYASQHHLTLRQEILAHKRKAVSLKREGKLSEAKEELSQAKLLEKRLEDGSMQADTGFVSNVSQASNVVEKKQESSNVSSKPLSSRERFKLQQESLGHKRQALKLRREGRTEEAEALFERAKAIETQLEELTAQDSNKLDGVDDVTVEDFLDPQLLSALKAVGLNDVGVVVSKAPERQETVKPNAKVENSNQERIQLEERIKEEKVKALNLKRSGKQAEALDALRRAKLYEKKLNSLTSG >Vigun03g118400.3.v1.2 pep primary_assembly:ASM411807v1:3:11031474:11037064:-1 gene:Vigun03g118400.v1.2 transcript:Vigun03g118400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGNTWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCGSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRHGRRPGRGSLKSAPNDEDEVLNQILGQTSDKVASRQKSVGIASSSSTSNDDGEIQNIVSNGKPNLLGIDLGSTTPEELRKQALEEKKQHRILKGEGKSGEAMKAFKRGKELERQADALEIHLRKVRKKSLPSGNLSDLHNKGNPVESDRKTKSLSHVGREKDDLTSELRELGWSDMDIRNEEKKPANLSLEGELSSLIGEIFTKSGEQKVSRIDKSQVVALKKNALLLKREGKLAEAKEELKRAKILEKELEEQELLAGAEDSDDELSALIRGMDDDKELPNLHDHGHGFDFERLLATSDDLDGNFEVTDEDMMDPEIAGALESLGWTEPENTSSKSQTFNKEALLDEIQSLKREALNQKRAGNAEEAMTFLKKAKLLERDLNSSIPEDYNNMSQKSTPLRKSVHSEISGNGSDSIKLDERNTSATNNVSSTVAPKSRLMIQRELLSVKKKALTLRREGKMNEAEEEMQKGAALERQLMEMDKASSLKTLHTNTADNVPITVHKHDDFSINLPHEEGGEDDVTDQDMSDPTYLSLLRDMGWNDDNKELSNSSKPSKKDDNHFVPVNDTSPSKHSTNISVQAPRSKVEIQRELLGLKRKALALRREGKVEDAEEVLKTAKSLEAQMVEMEAAKNKAQVVATVMKDKLLNAPVDEESDVVVSEEDMHDPTLNSILTNLGWKDDESEPVTLKAEPVKEATDRSAHTMDLSAPDLSSSIPAAASRNKGEIQRELLVLKRKALALRRKGEIEEADEILRQAKTLEAQPEDFGNQNKDLSLNVSKDKQSLPSESVDFRERNGNLGVATDVDNASAASSVVWSNGKHSLTAEESTSSENLSKKMKAENNDGHSYSAGHSMDALELHSGDGSNSSEILTQKHKELASANSSRAGSAIHLDSSKNFSQHTDVVEKPNINKSNSVQDYASQHHLTLRQEILAHKRKAVSLKREGKLSEAKEELSQAKLLEKRLEDGSMQADTGFVSNVSQASNVVEKKQESSNVSSKPLSSRERFKLQQESLGHKRQALKLRREGRTEEAEALFERAKAIETQLEELTAQDSNKLDGVDDVTVEDFLDPQLLSALKAVGLNDVGVVVSKAPERQETVKPNAKVENSNQERIQLEERIKEEKVKALNLKRSGKQAEALDALRRAKLYEKKLNSLTSG >Vigun03g118400.2.v1.2 pep primary_assembly:ASM411807v1:3:11031474:11037064:-1 gene:Vigun03g118400.v1.2 transcript:Vigun03g118400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGQGDSPVRICEPCKKLEEAARFELRHGRRPGRGSLKSAPNDEDEVLNQILGQTSDKVASRQKSVGIASSSSTSNDDGEIQNIVSNGKPNLLGIDLGSTTPEELRKQALEEKKQHRILKGEGKSGEAMKAFKRGKELERQADALEIHLRKVRKKSLPSGNLSDLHNKGNPVESDRKTKSLSHVGREKDDLTSELRELGWSDMDIRNEEKKPANLSLEGELSSLIGEIFTKSGEQKVSRIDKSQVVALKKNALLLKREGKLAEAKEELKRAKILEKELEEQELLAGAEDSDDELSALIRGMDDDKELPNLHDHGHGFDFERLLATSDDLDGNFEVTDEDMMDPEIAGALESLGWTEPENTSSKSQTFNKEALLDEIQSLKREALNQKRAGNAEEAMTFLKKAKLLERDLNSSIPEDYNNMSQKSTPLRKSVHSEISGNGSDSIKLDERNTSATNNVSSTVAPKSRLMIQRELLSVKKKALTLRREGKMNEAEEEMQKGAALERQLMEMDKASSLKTLHTNTADNVPITVHKHDDFSINLPHEEGGEDDVTDQDMSDPTYLSLLRDMGWNDDNKELSNSSKPSKKDDNHFVPVNDTSPSKHSTNISVQAPRSKVEIQRELLGLKRKALALRREGKVEDAEEVLKTAKSLEAQMVEMEAAKNKAQVVATVMKDKLLNAPVDEESDVVVSEEDMHDPTLNSILTNLGWKDDESEPVTLKAEPVKEATDRSAHTMDLSAPDLSSSIPAAASRNKGEIQRELLVLKRKALALRRKGEIEEADEILRQAKTLEAQPEDFGNQNKDLSLNVSKDKQSLPSESVDFRERNGNLGVATDVDNASAASSVVWSSKNSSESTFGLERINNETNIPILRKSDNLLPATSHLADGKHSLTAEESTSSENLSKKMKAENNDGHSYSAGHSMDALELHSGDGSNSSEILTQKHKELASANSSRAGSAIHLDSSKNFSQHTDVVEKPNINKSNSVQDYASQHHLTLRQEILAHKRKAVSLKREGKLSEAKEELSQAKLLEKRLEDGSMQADTGFVSNVSQASNVVEKKQESSNVSSKPLSSRERFKLQQESLGHKRQALKLRREGRTEEAEALFERAKAIETQLEELTAQDSNKLDGVDDVTVEDFLDPQLLSALKAVGLNDVGVVVSKAPERQETVKPNAKVENSNQERIQLEERIKEEKVKALNLKRSGKQAEALDALRRAKLYEKKLNSLTSG >Vigun03g118400.4.v1.2 pep primary_assembly:ASM411807v1:3:11031474:11037064:-1 gene:Vigun03g118400.v1.2 transcript:Vigun03g118400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGQGDSPVRICEPCKKLEEAARFELRHGRRPGRGSLKSAPNDEDEVLNQILGQTSDKVASRQKSVGIASSSSTSNDDGEIQNIVSNGKPNLLGIDLGSTTPEELRKQALEEKKQHRILKGEGKSGEAMKAFKRGKELERQADALEIHLRKVRKKSLPSGNLSDLHNKGNPVESDRKTKSLSHVGREKDDLTSELRELGWSDMDIRNEEKKPANLSLEGELSSLIGEIFTKSGEQKVSRIDKSQVVALKKNALLLKREGKLAEAKEELKRAKILEKELEEQELLAGAEDSDDELSALIRGMDDDKELPNLHDHGHGFDFERLLATSDDLDGNFEVTDEDMMDPEIAGALESLGWTEPENTSSKSQTFNKEALLDEIQSLKREALNQKRAGNAEEAMTFLKKAKLLERDLNSSIPEDYNNMSQKSTPLRKSVHSEISGNGSDSIKLDERNTSATNNVSSTVAPKSRLMIQRELLSVKKKALTLRREGKMNEAEEEMQKGAALERQLMEMDKASSLKTLHTNTADNVPITVHKHDDFSINLPHEEGGEDDVTDQDMSDPTYLSLLRDMGWNDDNKELSNSSKPSKKDDNHFVPVNDTSPSKHSTNISVQAPRSKVEIQRELLGLKRKALALRREGKVEDAEEVLKTAKSLEAQMVEMEAAKNKAQVVATVMKDKLLNAPVDEESDVVVSEEDMHDPTLNSILTNLGWKDDESEPVTLKAEPVKEATDRSAHTMDLSAPDLSSSIPAAASRNKGEIQRELLVLKRKALALRRKGEIEEADEILRQAKTLEAQPEDFGNQNKDLSLNVSKDKQSLPSESVDFRERNGNLGVATDVDNASAASSVVWSNGKHSLTAEESTSSENLSKKMKAENNDGHSYSAGHSMDALELHSGDGSNSSEILTQKHKELASANSSRAGSAIHLDSSKNFSQHTDVVEKPNINKSNSVQDYASQHHLTLRQEILAHKRKAVSLKREGKLSEAKEELSQAKLLEKRLEDGSMQADTGFVSNVSQASNVVEKKQESSNVSSKPLSSRERFKLQQESLGHKRQALKLRREGRTEEAEALFERAKAIETQLEELTAQDSNKLDGVDDVTVEDFLDPQLLSALKAVGLNDVGVVVSKAPERQETVKPNAKVENSNQERIQLEERIKEEKVKALNLKRSGKQAEALDALRRAKLYEKKLNSLTSG >Vigun05g190700.1.v1.2 pep primary_assembly:ASM411807v1:5:37091659:37093206:-1 gene:Vigun05g190700.v1.2 transcript:Vigun05g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSRDRRQVSIEKVRRESPVEVEDEEEEEDESVAGGEGCLAEDERKKGGAGGGGRRGSTGGGVSPPSCQAEMCGADLTVAKRYHRRHKVCELHSKAPFVMVAGMRQRFCQQCSRFHELAEFDEAKRSCRRRLARHNERRRKSNAETCSEGCSSSKGQNPTESLCRNADDWGRIQMNMARNSGYRSFHFR >Vigun02g158200.1.v1.2 pep primary_assembly:ASM411807v1:2:30400755:30403492:-1 gene:Vigun02g158200.v1.2 transcript:Vigun02g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSENCNSSSQSSLTKLAPLEAVLFDIDGTLCDSDPLHYLAFSEMLQQIGFNGGAPITEEFFIEIVAGKHNDDIARSLFPDDLPRGLKFVDDKEAMFRRLAADQLKPLNGLDKVRKWIEARGLKRAAVTNAPRANAELMISLLGLSDFFDAVIIGSECEHAKPHPDPYLKGLEALKASRDHSFVFEDSFSGIKAGVAAGMPVVGLATRNPENLLMEAKPAFLIKDYDDPKLWAALEELDKASSAPSV >Vigun02g158200.2.v1.2 pep primary_assembly:ASM411807v1:2:30400755:30403492:-1 gene:Vigun02g158200.v1.2 transcript:Vigun02g158200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSENCNSSQSSLTKLAPLEAVLFDIDGTLCDSDPLHYLAFSEMLQQIGFNGGAPITEEFFIEIVAGKHNDDIARSLFPDDLPRGLKFVDDKEAMFRRLAADQLKPLNGLDKVRKWIEARGLKRAAVTNAPRANAELMISLLGLSDFFDAVIIGSECEHAKPHPDPYLKGLEALKASRDHSFVFEDSFSGIKAGVAAGMPVVGLATRNPENLLMEAKPAFLIKDYDDPKLWAALEELDKASSAPSV >Vigun03g109900.2.v1.2 pep primary_assembly:ASM411807v1:3:9838718:9842344:-1 gene:Vigun03g109900.v1.2 transcript:Vigun03g109900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVASMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQKGITDTEDKKQKAVCMERYRRRDDEDYRQSSDIDIEREDECGICMDMNTKIVLPNCNHAMCLKCYREWCNYTALCI >Vigun03g109900.1.v1.2 pep primary_assembly:ASM411807v1:3:9838718:9842344:-1 gene:Vigun03g109900.v1.2 transcript:Vigun03g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVASMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQKGITDTEDKKQKAVCMERYRRRDDEDYRQSSDIDIEREDECGICMDMNTKIVLPNCNHAMCLKCYREWRTISQSCPFCRDSLKRVNSGDLWVFTDRRDVVDMATVTRENLRRLFMYIDKLPLIVPDSLFDAYDSHIR >Vigun05g241100.1.v1.2 pep primary_assembly:ASM411807v1:5:43433538:43446851:-1 gene:Vigun05g241100.v1.2 transcript:Vigun05g241100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKALTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETYQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCIKILERLARNLDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDIQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFGHLLARRPGCSPKEIFGIIHEKLPTVSTSTISILLSTYAKILMHSQPPDPELQNQIWTIFKKYESSIEVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALIKKAEDTEVDTAEQSAIKLRAQQLSQTSNALVVTEQSHANGIPPGGQLSLVKMPSISSNVDDTSAGERLSQENGTLSKVDSQPPSADLLGDLLGPLAIEGPPVIHTRSSSNSGLEGTVVEATAIVPAGEQTNSVQPIGNIAERFHALCVKDSGVLYEDPYIQIGIKAEWRAHLGHLVLFLGNKNTSPLVSVQALILPPTHLKMELSLVPETIPPRAQVQCPLEVINLHPSRDVAVLDFSYMFGNDRVNVKLRLPAVLNKFLQPISVTAEEFFPQWRSLPGPPLKLQEVVRGVRPLPLPEMANLFNSYHLTVSPGLDPNPNNLVASTTFYSESTRAMLCLIRVETDPADRTQLRMTVASGDPTLTFELKEFIKDQLVSIPTPIAIRPTQLAPASPVAQPSSAPASLTDPGAMLAALL >Vigun09g080900.1.v1.2 pep primary_assembly:ASM411807v1:9:9605840:9608317:-1 gene:Vigun09g080900.v1.2 transcript:Vigun09g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDVFLPEVGAGKLHVLAVDDSHVDRKVIERLLKISSCQVTVVESGSRALQYLGLDGEKSSIGFDSVKVNLIMTDYSMPGMTGYELLKKIKESSVFREVPVVVMSSENILTRIDSCLEEGAEEFLLKPVKLSDVKRVTDFIMKGEGKKGVKKSHKRSRSDDYSPPSSSSSSSISTAFSSVSHPCDLSLPASPSSALLSKKTRLSI >Vigun05g274100.1.v1.2 pep primary_assembly:ASM411807v1:5:46436792:46440582:-1 gene:Vigun05g274100.v1.2 transcript:Vigun05g274100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYPSQHGLQFESEPGAYHGDANDELAKPSPNPRDSSSGKLFVGGISWETSQESFFNYFSKYGEVTDSVIMTNKLSGRPRGFGFVTFADSAVADEVLAHEHTIDGRVVEVKRTVPREDMEGIGVLKTKKIFVGGIPQLFTDDELRVYFSPYGDVVDCQIMLDHNTGRSRGFGFVTFDNEDSVEKVFSAGKIHEIGGKQVEIKRAEPKRSGVDHISTSRKSYGGFSNGMDGYGGNSSRNRNHGKRGGQYTDPGMNGAYGYFEGSFGGSAATVYGGYCGYGYGFGYGGPMYCYGGYGLNSYGNPGVYGGGTISHGDGNAYGRNGNFNRNSGYDGGKGAEKDDGPTTGRYHPYWK >Vigun05g022200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1863345:1863953:-1 gene:Vigun05g022200.v1.2 transcript:Vigun05g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALTTLDLSRNDRLTRLPHSLSNLRTLTSLMLNGCSQLKYIPPLGDLQALLRLDISGCFIHVPPEGLENLVNLKWLDMSSNVDLELVPGSFLPSLTNIQYLDLYGCSGIKVEDIGKMNFLECFAGAFVDRENLNRYVQQTRDSAYGPQNYSIHYQDRSYKGHWEKFWNREFLSEKYKCRTMCIKDCEELSYVLPRDLVKLL >Vigun09g127100.3.v1.2 pep primary_assembly:ASM411807v1:9:28210682:28219126:-1 gene:Vigun09g127100.v1.2 transcript:Vigun09g127100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQGDNASRDDPEDPAKSPPSSPNSSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYSRLGIDIFGLGFITSLAFVFLIGVFVSSWMGATVFWIGEWFIKRMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQINTQHHMHL >Vigun09g127100.4.v1.2 pep primary_assembly:ASM411807v1:9:28210774:28219013:-1 gene:Vigun09g127100.v1.2 transcript:Vigun09g127100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQGDNASRDDPEDPAKSPPSSPNSSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYSRLGIDIFGLGFITSLAFVFLIGVFVSSWMGATVFWIGEWFIKRMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQKDNEDEELCSVFVPTNHLYIGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQLITPVERATRPNERISLNRIG >Vigun09g127100.2.v1.2 pep primary_assembly:ASM411807v1:9:28210683:28219126:-1 gene:Vigun09g127100.v1.2 transcript:Vigun09g127100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQGDNASRDDPEDPAKSPPSSPNSSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYSRLGIDIFGLGFITSLAFVFLIGVFVSSWMGATVFWIGEWFIKRMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQKDNEDEELCSVFVPTNHLYIGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQLITPVERATRPNERISLNRIG >Vigun09g127100.5.v1.2 pep primary_assembly:ASM411807v1:9:28210774:28219013:-1 gene:Vigun09g127100.v1.2 transcript:Vigun09g127100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQGDNASRDDPEDPAKSPPSSPNSSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYSRLGIDIFGLGFITSLAFVFLIGVFVSSWMGATVFWIGEWFIKRMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQIMKMKSFVAFLYLRTICILAIYFWLTPKIL >Vigun05g126100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14187877:14188957:-1 gene:Vigun05g126100.v1.2 transcript:Vigun05g126100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRKVTNGGESQSEDASRVFPCLFCSRKFHSSQALGGHQNAHKKERTAARKAKRVSVYSSVCFPSPLPAPMIFAPTHLDILNTSMIITAHAAELSYLQNHQISKQFGSNGAPRLGNTLFYGGTKRLHGDGKNYNVSWGDTSQSKLFISNDQDLGIWNNGTEKKQKLDLSLHL >Vigun05g126100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14187877:14188957:-1 gene:Vigun05g126100.v1.2 transcript:Vigun05g126100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRKVTNGGESQSEDASRVFPCLFCSRKFHSSQALGGHQNAHKKERTAARKAKRVSVYSSVCFPSPLPAPMIFAPTHLDILNTSMIITAHAAELSYLQNHQISKQFGSNGAPRLGNTLFYGGTKRLHGDGKNYNVSWGDTSQSKLFISNDQDLGIWNNGTEKKQKLDLSLHL >Vigun07g279600.1.v1.2 pep primary_assembly:ASM411807v1:7:39459225:39461526:-1 gene:Vigun07g279600.v1.2 transcript:Vigun07g279600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKKVSPSTRSPKKSSRTLHDLFVQESPHFTIPSSSPTSGNEELFSTISYCTFVFTFTDPSESPAQRDSKRLQLTRLVSILKSSKKPVHGKVLVALAAMISANLFRPLPPPSSPSAITDLPEEEYPVSIFSPLWSHLQIVYEILLKLVNSTDQKILRECIDHSFLRNLLALFQSEDPRERETLKNVYHKIYSKFISDRSFMRKSMTEVLLNYVFETEKHPGIADLLEIWGTIINGFTVPLKEEHKLFLMRVLIPLHKTKGMQVYHRQLAYCVSQFVQKEPMLGGVVVRGVLRYWPVTNCQKEILLIGELEDLVENLDPDQYRKLALPLCTQITKCINSWNSQVAERALYMWNNEQFVKMALIGTVEVFNVIVEGMEKNLKWHWSKSVRQLTESVKVMLEEMDPDLYSKGLMDMEAKESMAHLEDIKRKKKWERIEWEAAKNQFLNPQRYIRVSY >Vigun05g244000.1.v1.2 pep primary_assembly:ASM411807v1:5:43700003:43704443:-1 gene:Vigun05g244000.v1.2 transcript:Vigun05g244000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMRKYRQLSPERAKVWTEKSPKYYQNRKVPVVYYLCRNRQLEHPHFMEVPLSSPEGLLLRDVIERLNALRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFYESNSERFDPNVKTQNLKQLPEPVSCRSHDEASTSSSMNEKDTRNSQEDDELSPRQQTGSSDVSPQSRAGTSDSLSLPLTEYKIYKNDGLADASTQTEENIGKPETQKTCTRGVSTEEDGSLDQECHEISDTQVKDNSEIGRDTISPLPSTSSPSSSGGKTETLESLIRADVSKMNSFRILEEERIRMQTNARLKASSLLMQLISCGSISVKNHSVGLIPSYKTRFSNSKFPSPLFSTSVMFGEFDCLAEKTKVMGLKLEDKEYYSGSVVESKVLKEEGGHNVVQKHSSSYSAERTSTELKSQDTEELSSPANSKRMISPVSDGSRNSMDRINGSDISSTPSNGSSKRITETSSGSGRKQSKRIDSFREEERVIKIEESLLLELGL >Vigun05g244000.2.v1.2 pep primary_assembly:ASM411807v1:5:43700003:43704443:-1 gene:Vigun05g244000.v1.2 transcript:Vigun05g244000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMRKYRQLSPERAKVWTEKSPKYYQNRKVPVVYYLCRNRQLEHPHFMEVPLSSPEGLLLRDVIERLNALRGRGMASLYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKERFDPNVKTQNLKQLPEPVSCRSHDEASTSSSMNEKDTRNSQEDDELSPRQQTGSSDVSPQSRAGTSDSLSLPLTEYKIYKNDGLADASTQTEENIGKPETQKTCTRGVSTEEDGSLDQECHEISDTQVKDNSEIGRDTISPLPSTSSPSSSGGKTETLESLIRADVSKMNSFRILEEERIRMQTNARLKASSLLMQLISCGSISVKNHSVGLIPSYKTRFSNSKFPSPLFSTSVMFGEFDCLAEKTKVMGLKLEDKEYYSGSVVESKVLKEEGGHNVVQKHSSSYSAERTSTELKSQDTEELSSPANSKRMISPVSDGSRNSMDRINGSDISSTPSNGSSKRITETSSGSGRKQSKRIDSFREEERVIKIEESLLLELGL >Vigun10g094000.1.v1.2 pep primary_assembly:ASM411807v1:10:27212097:27217816:-1 gene:Vigun10g094000.v1.2 transcript:Vigun10g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTSNVGDGIRVSTSTSNYCGGTELEDLDSFRDVYIWGKVLSNGVSPEGIGTQNPSQIDVLIPKPLESNVILDVCRIATGAHHIAVVTKQGNVFTWGKDSGGRLGHGIDKDFVSPRQVKFLEGTDFVFVACGEYHTGVISKCYELYTWGDGEHNVGLLGHGSEASHWMPKMVSGPLEGVEVVSVACGTWHSALATSDGKLFTFGDGAFGVLGHGDQESLWYPKEVKLLSGLKTIKVACGVWHTAAIMEVEFQSGSDSSSGKLFTWGNGDKHCLGHGNTETYLQPTRVAPLMGYNFHQVSCGHTMTVALTTSGHVFAMGGTEHGQLGNPVAAGKVPTLIQDKLLGEIVEEISCGAHHVVALTKKGELYTWGKGANGRLGHGDVEDRKSPTLVVAMKDRTIENISCGYNFTSCVCIHKWVSGTDQTLCSGCRQAFGLTRKRHNCHHCGLVFCHACSTKRAFKAALTATPEKLHRVCDNCYVKLKKFDEIGTSKLDKKPTTATITTTTANNSIYEKEKPNHAAIRFSKTLLAPITEPVKYLEIKNNIHQGNDDSSFVRASLVPSLVQLKDVAFPSSLSSIQTILKPIIPLSPPQTPPKTTTPNTNPGPKFTNTVRQSAPRPASPRYYGGINDSLRRTNDILNQEVAKLQKQIQSLREKSDMQDLEIKRLNKKATEASALAAVEYSNHRVTKEFVESTLSQMKEVTDKLPGEISESRHLKDVLIRVKDFLKETSDSETSSSPKLKTMTKIAPVRGTSNNDSSKFHAEKKVAATEAKPCQDEVQQRKKSNLKLHEETDKSKLESTQQKTPTSDSSTSDKLDEIVHVIEVNNCEERGNSIKESDGSKSEIENRKPRTLSSASSDLSEMSDDHVFHDCIGTPMTSENSEESSRSSRPGNQGEVQVIEKFDHGVYVILMLQPDGTKIFKRVKFSKRRFTEHQAEKWWNENKGGVLRKYCPTHQKKHSNVTSHVNEIIDTPPSSID >Vigun03g067300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5555657:5556449:1 gene:Vigun03g067300.v1.2 transcript:Vigun03g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSSSLPKSVKDHNMIITESEVDAEQKLMQLSDEEERNSIRGSKRSRSKSDESLSDIIMAKIQEIFGNDIEVFPTKKQRRYRSLVSIYMVTRPVNAANHTGVKA >Vigun08g047700.1.v1.2 pep primary_assembly:ASM411807v1:8:5159207:5163923:1 gene:Vigun08g047700.v1.2 transcript:Vigun08g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSSFVLGSDLKCVLSSRNTKTMSGFGALRHIHPNGLFNKFFHFHTLSTRMVARTTKMVSDVYVGGDTSSRVNSKMYTKLDSCLVIPPTPNRAKPRAIIKFLGGAFIGAVPEVTYGYLIEFLAKEGFLVVVVPYNVTFDHSQAAKQVYERFQTCLSTILTSGLPQANLSPAQLEDLPLFSVGHSNGALLQLLIGSLFSENIPKANAVISYNNRPATEAVPYFEQLGPAVSQMMPVMEAAPFYSIARNASGDALKMVLDAVRSTLPESEQERLNSLTKFVDQLPSVMNEVSQGVSEFKPTPSENRDCFKCSYNVEHTLLVKFNFDAIDETDILEETLKPRVESLGGTLEKVTLSGNHITPCIQGVRWEVGKLYTPADAVAQGLTSLSLNDTKILARTISDWFRRYEA >Vigun09g115200.2.v1.2 pep primary_assembly:ASM411807v1:9:25267410:25268801:-1 gene:Vigun09g115200.v1.2 transcript:Vigun09g115200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAQIEITIPHLFRCPISLDLFEDPVTLSTGQTYDRSSIEKWFSAGNLTCPVTMQKLRDPSIVPNHTLRHLIDQWLQLGPQFGNSATIDYLAALKHTLESPQLENKLQALEKITVLSDEYCSFRKSCFHQLSFLPLLLELVFGTEFANCHMKFTELALSCIIKLLPFVSLEPLNMIKDESKSRTFLLLFEKGTSSVKTSLCHLIDSTASPQTEEVCQMLGHSQKLVREIVVLVRQGCEVSKVAIKAMLALCYLQSNRDNLVRAGAIDGVIIYISGCDSRHW >Vigun05g073400.1.v1.2 pep primary_assembly:ASM411807v1:5:6458198:6465366:-1 gene:Vigun05g073400.v1.2 transcript:Vigun05g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIISPVQNAMPSPTFLWRFKVTLFLIWGLTCCKISWDSVMRMDANLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFLQSTVSYTKVFDLDQNHLTHKETWKCSTWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYILVAVILIFPFDIFYLSSRYFFLRTLFRIALPLQPITFPDFFVADILTSMAKVFSDLERSVCRMVNRQVATIAWLEADSVCGSHSVAIPMCLVLPYLWRLLQCLRQYRDTKEKNCLFNALKYSTSVPVIFLSALKYHVLHEKWETLYRPLWLLSSVINSLYSFYWDITRDWDLSGFSRIFKFNKSSVVSNMFYGRQWIYFWVIGSNFVLRCSWTYKLSAHLRHNYLTVFAITLLEMLRRFQWIFFRVENEWNKITRSGVQLTEIPREEEKLLGSNIHDV >Vigun10g026801.1.v1.2 pep primary_assembly:ASM411807v1:10:3213084:3223253:1 gene:Vigun10g026801.v1.2 transcript:Vigun10g026801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTNSSHVLHKNDGVPGQGSKILGRHNFETQLTQRNFKSNDAQNHIQDQDATPATELYSRARGQEEEILSLREQIAFACMKELQLLNEKCKLERQFSELRMAVDEKQSEAISSASNDLARRKGYLEENLKLAHDLKAVDDERYIFMSSMLGLLAEYSLWPRVMNASSISSCVKHLHDQLQWRIRSSHDRIGELSSVLETRADNGNHVVESPGSGNLTSHNHNDFMFQHNSPNKILSVMNKLISQQAIWQDICTQHLMQM >Vigun04g154466.1.v1.2 pep primary_assembly:ASM411807v1:4:37389639:37392092:1 gene:Vigun04g154466.v1.2 transcript:Vigun04g154466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYHSADNIPFEEHLEINIPNEQPEQVHVNSGMLHNDSADDITPKVHMCFDSLDDVKQFYKNYGIRSGFGIRTRTSARGEDNEINYIKLVCSREGNYVSAIPPELKTVPTKAKQCKASITAAKKDGQWFIRSVVTDHSHDISPKKSRLIRGNPGGYENVNFIERDVRNYIGQQRRSLCKDGDGQALLRHFSKMRELNNDFFFEIDMDEDNRICNVFWADARSRAASEDFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGHSILLGCGLVSAEDTRSFVWIFECWLRCMYNKPPKGIVTDQCKAMLNAIGEVFPTTKHRWCLWHIMKKVPEKLQGYTKYKSIKGELKRLVYDSVTVGEFELGWHAFIGQYDLSTNDWLTTLFDERHRWVPCYLKSQFWAGMSTTQRSEGLNAFFDGFINSGTTLQQFVVQYDNALRQKAEKEFEADFASSNTTVACGSQSLIERQFQLEYTHAKFAEVQNEFRGKINCFVKRVFEDGCLLKYTVKEEWLWEGKKYHRMHDVVLDTLTTNIHCSCMLFEFRGIMCRHSLLTLGQEDIECVPEKNVLRRWSKNVRRRHSLIIAGYNRSNDDPRMQKYKILCKRFYDLAEVACDSDASSTMLFNEMNSIAKGLGVPTKSNPFILTQQGDIEDNGGEHPCVTNCSNVRSPVHVKRKGRLRSNRLQSTVEKLSKKKKTSAAKNKSQRTSQEPIDVDVPLDSQCTQMTDEARIGPYIPNTGFMSLLTCMEAEIVNTQVSTTRLI >Vigun03g378700.1.v1.2 pep primary_assembly:ASM411807v1:3:58264647:58266993:-1 gene:Vigun03g378700.v1.2 transcript:Vigun03g378700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKCFLSLLTWSLIVLLVLLEAPTGNGSNGRLENGEIKLTVRVPVRDGFPQFVKVVWDPSQQKYTASGYCMDVFNAAVTYLPFNVSLHLLPAAVESSYGFRFDQALQKQIPPKNEVVVGDVTILANRSNYADFTVPYTASGVKMVVPAKHGRDQNMWTFVKPFSWDLWLSIIIISTFIGLAILIMERNVNALPNQEGSPNQTKLGPATILLFPISQAILPEREVVVKGCSRFVLMVWLVLAFVLMQSYTANLTSILTLDQLQPSFLNVNDLRREGYYVGYQGGSFVYDVLIDRFKFDPSRLRPYNNTGEYHDALKLGSKNGGVAAIFDEVPYLKLYLQEYGSNYIMSGPEYRNAGFGFVFPLNSNLTAYFSRAILNVIESGLMNEIEEKYFGEDSSEETSSSEPLSLSFRSFAGLFIISGISTLLALLVSERFIWRRLILMAKALTEIMSAIHLFKKEARTHPIQDSTHGTEA >Vigun05g047700.1.v1.2 pep primary_assembly:ASM411807v1:5:4020811:4026381:1 gene:Vigun05g047700.v1.2 transcript:Vigun05g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLENEDTVRRCKDRRRLMKETVYARHQLAAAHSDYCRSLRLTGSALSTFAAGEPLSVSDHTPAVFLNNSQQPPPPIHHTRPPSPPRHHPHHSPPPFNPSPPPKLAPIQPRRRKPPPPKLPHILSDSSPSSTPRSQASNFPANFYPTAHSTYSSTPSQTSSVWNWENFYPPPPPPASDYFPEEQHQHHQHQHQQKLSQIQAQSQTQTPSLHSHKTQTPSHYSHKTQPSNPQIHDSDSERSEYDYFDGKHEAQKAYSHSRSPSNIHSDSHSHHHLPEEYTETEREEVQCSEWGDHYSTTSSSDDDDDGVEEDVESRSEIGTRSNFGSSMRAESVAAGGGAKGFDAASSVAEMKMVVRHRDLREIVEAIKENFDNAASAGDQVSEMLEISKAQLDRSFKQLRKTVYHSSSILSNLSSSWTSKPPLAVKYRLDAGSLDEPGGSKSLCSTIERLLAWEKKLYEEVKAREGVKIEHEKKLSALQTQEYKGEDEAKIFKTKTSINRLQSLIVVTSQAVSTTSTAIVGLRDSALVPQLVALIHGFMYMWKAMHNYHEIQSNIVQQVRGLVNRSSRGDSTSELHRQATRDLEAAVSAWHSSFCRLIKFQREFIHSLHGWFKLSLLPVDNDNINNSRDNSDTYHFFDEWKLALDRVPDTVASEAIKSFINVVHVISSKQTEELKMKKRTETASKELEKKASSVRNLERKFYNSYSMVGISLPDTGPDNGQGLDARDPLAEKKLELATCQRRVEDEMVRHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFTEALESVCTRSYAIK >Vigun09g228400.1.v1.2 pep primary_assembly:ASM411807v1:9:40046791:40051932:1 gene:Vigun09g228400.v1.2 transcript:Vigun09g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDAENDKDKDARIWGALLFGLIGATVTTFAVGPLRRSVDWFCVQLSRTQSHKGQRGGSFRTSFQEEAWRRHNKRLQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGQGAYHQHFQREDWYWKTDTSFRDRRTNYREPPRETGNYALSHHYSNLGLDRFRKTPYSDAEIKTAFRTKAKEYHPDQNQDNIEAAEAKFKEVLTSYEAIKKERRN >Vigun01g055400.1.v1.2 pep primary_assembly:ASM411807v1:1:10771856:10773920:1 gene:Vigun01g055400.v1.2 transcript:Vigun01g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLQPSLLAQSKSKDKSNLHQNQTLSPQKPTSHFPLSSSSLHASTAQVAQLSTTQDNKHPKDEFYLNLGLAVRTIREDLPLIFIKDLNYDIYRDDITFMDPMNTFTGIDNYKLIFWALRFHGKILFHEIALDVYRVWQPSENVILVRWNLRGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQNIKPVSVLDLVRASPASPNPTYLWGPVDSYSSWIAFYKAVRETLDQEGSLLLQDGLATCS >Vigun11g221100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41321190:41322205:-1 gene:Vigun11g221100.v1.2 transcript:Vigun11g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRTLVSLMFLSTFLQIAAASASTSDSLKAYKKFIKDECNSTTYPVVCYKSLSPYASKIKRNRVTLTKLSIHVALKAAKSAKSTLTRLSKGKLSHGESSVVGDCRENIEDTLDLLQQSAEGLAHLNGASTADERFQWDSIKTWVSASITDESTCIDEFDEIKVRASLQNKIKTTVYNVSWLISNSLALVNRLY >Vigun02g060800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20619033:20619758:1 gene:Vigun02g060800.v1.2 transcript:Vigun02g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHPSRKIILLLFVVFLISIHPENVLGLRSSEIALRHSQKDQRILLQNQHATKTTEELLNRKKNSANGNKRLDPTEKNSANSKHEFDPSQSSKRRVPRGSDPIHNRT >Vigun05g282500.2.v1.2 pep primary_assembly:ASM411807v1:5:47125397:47131491:1 gene:Vigun05g282500.v1.2 transcript:Vigun05g282500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKVNSIWRPVATKASACEDRGKVQETGCSTSTTVSNDHVMKVAAEAIDDFAVSATSSSQLQDTVENRVLQVDSSVSSEKHSISVQVGASLFRFIKGKGGSTQKRIEEEMGVKILIPTSKEEDFISIEGISIDSVNSASERIQAIIDESVNSRNLDYSHFISLPLAIHPELVNKLINFQHSILGNVSDKDESTDTDSNEEEGTTETKGVDQLPKENAGVGVELKVDDNSESVKVNLTEIPLVSYKPKASKSSASSDLGIDKSIFIKPKTFHLTVLMLKLWNKERIKTASEVLQSISSKVLETLDNRPLSIRLKGLECMKGSLAKARVLYAPVEEIGSEGRLLRACQVIIDAYVEAGLVLESDAKDKLKLHATVMNARHRKRNKRKRKVDSFDARGILEQYGSEDWGQYLIHEAHLSQRFSFDENGYYHCCASIPFPGNTLVE >Vigun05g282500.1.v1.2 pep primary_assembly:ASM411807v1:5:47125397:47131470:1 gene:Vigun05g282500.v1.2 transcript:Vigun05g282500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRKKKICLEDHSMKQKKVNSIWRPVATKASACEDRGKVQETGCSTSTTVSNDHVMKVAAEAIDDFAVSATSSSQLQDTVENRVLQVDSSVSSEKHSISVQVGASLFRFIKGKGGSTQKRIEEEMGVKILIPTSKEEDFISIEGISIDSVNSASERIQAIIDESVNSRNLDYSHFISLPLAIHPELVNKLINFQHSILGNVSDKDESTDTDSNEEEGTTETKGVDQLPKENAGVGVELKVDDNSESVKVNLTEIPLVSYKPKASKSSASSDLGIDKSIFIKPKTFHLTVLMLKLWNKERIKTASEVLQSISSKVLETLDNRPLSIRLKGLECMKGSLAKARVLYAPVEEIGSEGRLLRACQVIIDAYVEAGLVLESDAKDKLKLHATVMNARHRKRNKRKRKVDSFDARGILEQYGSEDWGQYLIHEAHLSQRFSFDENGYYHCCASIPFPGNTLVE >Vigun05g015600.2.v1.2 pep primary_assembly:ASM411807v1:5:1247492:1249608:-1 gene:Vigun05g015600.v1.2 transcript:Vigun05g015600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVFVGEGSGMAYSKLTNNGPATPTGLIVASLSHAFGLFVAVSVGANHSGGHVNPAVTFGAFIGGNITLLRSILYWIAQLLGSVIACILLKSATGGMETSGFSLSPGVSVWNALIFEVVMTFGLVYTVYATAVDPKKGNVGIVAPIAIGLIVGANILVGGAFDGASMNPAVSFGPAVVTWQWTHHWVYWAGPFIGAAIAAIIYDNIFIGDDGHEPLSSSDF >Vigun05g015600.3.v1.2 pep primary_assembly:ASM411807v1:5:1247492:1249608:-1 gene:Vigun05g015600.v1.2 transcript:Vigun05g015600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVFVGEGSGMAYSKLTNNGPATPTGLIVASLSHAFGLFVAVSVGANHSGGHVNPAVTFGAFIGGNITLLRSILYWIAQLLGSVIACILLKSATGGMETSGFSLSPGVSVWNALIFEVVMTFGLVYTVYATAVDPKKGNVGIVAPIAIGLIVGANILVGGAFDGASMNPAVSFGPAVVTWQWTHHWVYWAGPFIGAAIAAIIYDNIFIGDDGHEPLSSSDF >Vigun05g015600.1.v1.2 pep primary_assembly:ASM411807v1:5:1247492:1249608:-1 gene:Vigun05g015600.v1.2 transcript:Vigun05g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRIAIGSPAEAGQPDAIRAAFAEFFSMIIFVFVGEGSGMAYSKLTNNGPATPTGLIVASLSHAFGLFVAVSVGANHSGGHVNPAVTFGAFIGGNITLLRSILYWIAQLLGSVIACILLKSATGGMETSGFSLSPGVSVWNALIFEVVMTFGLVYTVYATAVDPKKGNVGIVAPIAIGLIVGANILVGGAFDGASMNPAVSFGPAVVTWQWTHHWVYWAGPFIGAAIAAIIYDNIFIGDDGHEPLSSSDF >Vigun01g209800.1.v1.2 pep primary_assembly:ASM411807v1:1:38486144:38488359:-1 gene:Vigun01g209800.v1.2 transcript:Vigun01g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLRKSFRTKALSLFLHKHVGNSFESHHTLASSSWFWCRQSSHSLPQKPTPCFASNSTPSSSFSNALLQIRFWVSDAAASEPSTSDGLTVQQILANNWNILDENEGDWKSHATAIAQSINLIKRRLQWEKLKVRLDMLSFQLGKEDLWDDPVRAGKISREHGSLLGKMKEVNALEQELLEHIDMIKLAREENDADLESESLKVLVNMRRDAKGKELEALLAGEQDSCSCYIEVQAGAGVRRAWTGHQWSCKCISHGHSGVDIK >Vigun01g106900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27872804:27873716:1 gene:Vigun01g106900.v1.2 transcript:Vigun01g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMRTTSVQQRAPTKKTKPKKRNNPHPVKVVYISNPMKIKTSASEFRALVQELTGQDAQSPPNPTRFHALDSSDDSSSDKVTNVDDHVIPLPTDPNNSVQHHQQHQPQPSSSFEAPDDDVFTPQMIESISSLLPATLFYESPHLNYW >Vigun05g187700.3.v1.2 pep primary_assembly:ASM411807v1:5:36306457:36309654:1 gene:Vigun05g187700.v1.2 transcript:Vigun05g187700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDATMPQEDQRNSEGVGMGSLGRSSKKSKQKKVPQRGLGVAQLEKIRLEEQQKRDAPPISPSQTAISSTKPSYLPLPLKNFNDSNQTPSTTLLTCEPTSEFRSRLSLQQQQQQQQQQQQQQPAIDDKVPSNVPLANSGGFGTGWSVVPGHENVPKWCCSYQFDSEKNRFEVDDSGLPIILSLPFESNTIWSMPNLPQRTPQYQHQSSSSVVNVSSGTSSTSMPHFSIEPPSNQSNNNDSSVLVRPTDKTVGTKRPYPFSLNYPHAPAFNYNLQPFAETRTNVKTSCGNSGEFNFEAGNSTSREVTYTSASNPRKQNSKRRSQENENFNGDFLTLAPPSPTSYQPPKSKPSSTLLAFQNENQVCPEFEFLPPILVYS >Vigun05g187700.2.v1.2 pep primary_assembly:ASM411807v1:5:36306457:36309686:1 gene:Vigun05g187700.v1.2 transcript:Vigun05g187700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDATMPQEDQRNSEGVGMGSLGRSSKKSKQKKVPQRGLGVAQLEKIRLEEQQKRDAPPISPSQTAISSTKPSYLPLPLKNFNDSNQTPSTTLLTCEPTSEFRSRLSLQQQQQQQQQQQQQQPAIDDKVPSNVPLANSGGFGTGWSVVPGHENVPKWCCSYQFDSEKNRFEVDDSGLPIILSLPFESNTIWSMPNLPQRTPQYQHQSSSSVVNVSSGTSSTSMPHFSIEPPSNQSNNNDSSVLVRPTDKTVGTKRPYPFSLNYPHAPAFNYNLQPFAETRTNVKTSCGNSGEFNFEAGNSTSREVTYTSASNPRKQNSKRRSQENENFNGDFLTLAPPSPTSYQPPKSKPSSTLLAFQNENQKNKSLPHQCTGCSINKSNQCMASSIKNQRRNRLDIQQPGFRMVMKWEKMLILI >Vigun05g187700.1.v1.2 pep primary_assembly:ASM411807v1:5:36306457:36309654:1 gene:Vigun05g187700.v1.2 transcript:Vigun05g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDATMPQEDQRNSEGVGMGSLGRSSKKSKQKKVPQRGLGVAQLEKIRLEEQQKRDAPPISPSQTAISSTKPSYLPLPLKNFNDSNQTPSTTLLTCEPTSEFRSRLSLQQQQQQQQQQQQQQPAIDDKVPSNVPLANSGGFGTGWSVVPGHENVPKWCCSYQFDSEKNRFEVDDSGLPIILSLPFESNTIWSMPNLPQRTPQYQHQSSSSVVNVSSGTSSTSMPHFSIEPPSNQSNNNDSSVLVRPTDKTVGTKRPYPFSLNYPHAPAFNYNLQPFAETRTNVKTSCGNSGEFNFEAGNSTSREVTYTSASNPRKQNSKRRSQENENFNGDFLTLAPPSPTSYQPPKSKPSSTLLAFQNENQGNVEEQIPASPVYRLFNQQKQPVYGFFHQEPKEEQIGHTAARIQNGHEVGENVDLNLKL >Vigun09g103533.1.v1.2 pep primary_assembly:ASM411807v1:9:18161914:18162373:1 gene:Vigun09g103533.v1.2 transcript:Vigun09g103533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLYSSCCFWTLVGSWRFKRGCSCSLSIFEELYRKRLGLEGASVVISSRKQQNVDAATEKLRAKGIELLEVVCHVSNPQQRKDLIHKTVQVRSSDQL >VigunL056600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000429.1:9681:10913:-1 gene:VigunL056600.v1.2 transcript:VigunL056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQFGVPPEEVGAAVATESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALHALRLEDLRIPTAYIKTFQGLPHDIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKYDENVNSQPFMRWRDCFLFCAEAIFKSQAETGEIKGHYLNATADTCEEMMKRAVFSRELGVPIVMHDYLIGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALLLSGGDHVHSGTVVGKLEGERDITLGFFDLLRDDFVEKDRSRGIYFTQDWVSLPGVLAVASGGIHVWHMPALTEIFGDDSVLQLGRGTRCCS >Vigun08g038400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3715681:3717048:1 gene:Vigun08g038400.v1.2 transcript:Vigun08g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAVSSSPTFLATIYSWFTPTVFFLLLQLVIGTIFIISNLAKHHHHHDPHAQHHQANDFPHPHLPRSPSILQRLKSINFYPSQDPPYPHFHESHTQTHTHENESFQLARSPSLLQRLKSINLYTYLPTEPFTSKLAPHAATLSHAPDKPHVPPPQVVETDEEKEEDDDFPVVNGGYDYSLGEREEGSSLDEIYSKLQQQGQDGHFTRTHSDTKPSSGEVPVKLPRKMKKSASSKSAFAHFKEEDIVESRRPATVKEAKVSAAAVDDEVDAKADDFINKFKQQLKLQRLDSIMRYKEMIGRGSAK >Vigun08g220700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37932014:37935584:1 gene:Vigun08g220700.v1.2 transcript:Vigun08g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCYRRLLHNASHAISTQLAFSEQLLHHCNSSHHHHLQFPTLNLEYHRYKDSCSVEDAHQLHLHIYKSGITNDVFWCNTLINIYVRVGGLVSAQKLFDEMPQKNLVSWSCLISGYAQNGMPEEACVLFKGVISAGLLPNHYAIGSALRACQEIGPNKLKLGMEIHGLISKSPYASDMVLSNVLMSMYSHCSASIDDARRVFDEIKIKTSASWNSIISVYCRRGDEISAFKLFSSMQREATEFTCRPNEYTFCSLVTAACSLVDSGLTLLEQMLARIEKSSFVQDLYVGSALVSGFAKHGLIDSAKMIFERMGDRNAVTMNGLMVGLAKQHHGEEAAKIFKEMNNLVEINAPSYAVLLSSFSEFSDLKEGKKKGQEVHAYLIRNALVDVWILIGNALVNMYAKCNAIDNARSVFQFMPSKDSVSWNSMISGLDHNEQFDEAVACFHTMRSNGMVPSKFSVISALSSCASLGWIMLGRQIHSEGIKHGLDLDVSVSNALLTLYAETDYMDECKKVFFLMPKYDQVSWNSFIGALATSDVSVLQAIKYFVEMMQAGWKLNRVTFINILAAVSSLSLLELGRQIHALVLKYSIADDNAIENTLLAFYGKCEQIENCEIIFSRMSERRDEVSWNSMISGYIHNGILHKAMDMVWFMMQRGQRLDGFTLATVLSACASVATLERGMEVHACAIRACLESEVVVGSALVDMYAKCGRIDYAARFFQSMPVRNIYSWNSMICGYARHGHGEKALKLFAQMKQQGQLPDHVSFVGVLSACSHVGLVDEGFKHLKSMSEVYGLAPRMEHFSCMVDLLGRAGNVNKIEEFIKTMPMDPNALIWRTILVACCRANSRNTELGRRAAKMVIQLEPQNAVNYVLLSNMHAASGKWEDVAEARLAMRNASAKKEAGCSWVTMKDGVHVFVAGDQTHPEKEKIYEKLKEIMNKMRGMGYVPETKYALYDLELENKEELISYHSEKLAIAFVLTRQSELPIRIMKNLRVCGDCHTAFKYISKIVNRQIILRDSNRFHHFDDGVCSCGDYW >Vigun01g167600.1.v1.2 pep primary_assembly:ASM411807v1:1:34938180:34939669:1 gene:Vigun01g167600.v1.2 transcript:Vigun01g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQFKGDRAEAAAKLAAKDIGDINRAQERDENFDLHSEANFKQARAEAAAKLAAKDLEDVNRMRDNSSTAFKEQQRYSENRPGVIGSMFRAAKEAVVGKPHPETSPGAYDSCRERVTKEQGGSKMGEYADYATQKAKETKDATVQKAGEYTDFATQKAKESKDYAADKAREAKDATLQKASEYKDYTAEKAKEGKDTATGKLGELKDSAADAAKRAMGYFSGTRDETKVSGTAEDTRRRMEEVRVQDKDYGTGHGGEKLVIKMEESRPGAVADAMKAAERALGGEMEEEGVLRVERRREKM >Vigun05g136366.2.v1.2 pep primary_assembly:ASM411807v1:5:16395080:16400057:-1 gene:Vigun05g136366.v1.2 transcript:Vigun05g136366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLLNPVFLNVLSASLHCLLLVSALVSWLWNKMTFTAQTREEGSMEEEKPNDRDTIFKMTLFCSLGVSAFSFILCFFNYFYWYASGWSEQKFMTLLDLALKTLAWGVVYVSLHKGFFFSGSGETRFRFSFIFRVWCTLYLVFSCYSFMVDIVVVTEIPTQSLVYDVVSTCAGFLFCYVGYFVKRTVHVNGIEEPLLNGDARETKGGDNVTPYSHAGVFSILTFSWVGSLVAGGYKKTLDLEDVPQLDNRDSVAGVFPRFRDKLEADYGANAINSLTTLKLVKSLVMLAWKEILFTAFLSLLSTVASYVGPYLIDDFVQYLDGQRQNQGYVLVSAFFFAKIVECLSQRQLFFRFQQIGIRIRALLIAMIYNKVLTLSCQSKQGHTSGEIINFMTVDAARVGVFSWYMHDLWLVVLQVSLALMILYKSLGIASVATLIATVVVMLANVPLGSLQEKYQKKLMESKDIRMKATSEILRSMRILKLQGWLKSFVYTSAMTIFVFWGAPTFVSVVTFGTSMFLGIPLKAGKILSALATFWILQQVIFGLPDTISMIAQTKVSLDRISSFLRLDDLPSDVVEKLPQGSSDTTIEVIYGNFSWDLSSPIPTLQNINFKVFHGMKVAVCGAVGSSKSSLLSCVLGEVPKISGNLRVCGTNAYVAQSPWIQSGTIEDNILFGKDMDRERYEKVLEDCSLKKDLEIFSFGDQTIVGERGINLSGGQKQRIQIARALYQDADIYLFDDPFNVVDAHTGSHLFKVFIILVYVTHQVEFLPAADLILVMKDGRITQSGKYIDLLNSGTGFMELVGAHKKALSTLDSLDVVTTSNETSTLKQEANVSGTHDSKEKEANKDVQNGETHTQSEPQGQLVREEEREKGRVGFSVYWNYITTAYGGALVPFILLAQILFQALQIGSNYWMAWATPISTHVQPRVEEMTIIGVYVGLATASSFCVLIRAMLLATTGYKTATILFNKMHFCIFRAPMSFFDSTPCGRVLSKASTDQSAVYTCIPHEMASLAFSTIQLLGIIAVMSQVAWQVFIVFILVIAVGILYQVLIGSCSLVTSNTMYHHLENYHV >Vigun05g136366.1.v1.2 pep primary_assembly:ASM411807v1:5:16392937:16400057:-1 gene:Vigun05g136366.v1.2 transcript:Vigun05g136366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLLNPVFLNVLSASLHCLLLVSALVSWLWNKMTFTAQTREEGSMEEEKPNDRDTIFKMTLFCSLGVSAFSFILCFFNYFYWYASGWSEQKFMTLLDLALKTLAWGVVYVSLHKGFFFSGSGETRFRFSFIFRVWCTLYLVFSCYSFMVDIVVVTEIPTQSLVYDVVSTCAGFLFCYVGYFVKRTVHVNGIEEPLLNGDARETKGGDNVTPYSHAGVFSILTFSWVGSLVAGGYKKTLDLEDVPQLDNRDSVAGVFPRFRDKLEADYGANAINSLTTLKLVKSLVMLAWKEILFTAFLSLLSTVASYVGPYLIDDFVQYLDGQRQNQGYVLVSAFFFAKIVECLSQRQLFFRFQQIGIRIRALLIAMIYNKVLTLSCQSKQGHTSGEIINFMTVDAARVGVFSWYMHDLWLVVLQVSLALMILYKSLGIASVATLIATVVVMLANVPLGSLQEKYQKKLMESKDIRMKATSEILRSMRILKLQGWLKSFVYTSAMTIFVFWGAPTFVSVVTFGTSMFLGIPLKAGKILSALATFWILQQVIFGLPDTISMIAQTKVSLDRISSFLRLDDLPSDVVEKLPQGSSDTTIEVIYGNFSWDLSSPIPTLQNINFKVFHGMKVAVCGAVGSSKSSLLSCVLGEVPKISGNLRVCGTNAYVAQSPWIQSGTIEDNILFGKDMDRERYEKVLEDCSLKKDLEIFSFGDQTIVGERGINLSGGQKQRIQIARALYQDADIYLFDDPFNVVDAHTGSHLFKVFIILVYVTHQVEFLPAADLILVMKDGRITQSGKYIDLLNSGTGFMELVGAHKKALSTLDSLDVVTTSNETSTLKQEANVSGTHDSKEKEANKDVQNGETHTQSEPQGQLVREEEREKGRVGFSVYWNYITTAYGGALVPFILLAQILFQALQIGSNYWMAWATPISTHVQPRVEEMTIIGVYVGLATASSFCVLIRAMLLATTGYKTATILFNKMHFCIFRAPMSFFDSTPCGRVLSKASTDQSAVYTCIPHEMASLAFSTIQLLGIIAVMSQVAWQVFIVFILVIAVGILYQQYYVPSPRELSRLIGLCKAPIIQHLAETISGTSTIRSYDHQSRFRETNMKLTDAYCRPNFSISATIEWLRFRLDMLSSITFAFSLLVLISIPPKIIDPGIAGLVVTYELNLNAIQAWVIWNMCNIENKIISVERMLQYTCIPSKPPLAVEEDRPNPSWPSYGEVDIQDLQVRYAPHLPLVLRGITCKFGGGLKIGIVGRTGSATLPRVGGAPDTDTCRKRTRHGVRSFFFAGHGLLSRCRKETFVVLKKWNVDALQSCLIVEPTCGQIMIDNINISSIGLHDLRSKLSIIPQDPTMFEGTMRNNLDPLEEYTDEQIWEALDKCQLGDEVRNKEGKLDSTGFMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRQHFSNSTVITIAHRITSVVDSDMVLLLSQGLIEEYDTLTTLLENKSSSFSQLVAEYTMRSHTNFEKYVDH >Vigun05g153400.1.v1.2 pep primary_assembly:ASM411807v1:5:24402683:24407604:1 gene:Vigun05g153400.v1.2 transcript:Vigun05g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRYCYKEVLPFIAMVGIECSNVGVNILFKAATQKGLSYYAFIAYSYLISVLFLLLPLPFVFRWSRGLPQLNRSLIFRIFLLGVIGVTAQLCGYKGLNYTSPTLASALSNLIPAFTFILAIIFRMEKVALRSSSSQAKILGSAVSILGALIVLLYKGSIILSTSSPTLHSPMESTAQTNWVLGGSLLAVEYLLVPIWYIIQTDIMKQYPAELIVVFLYNLSGTLISAPICLLLEENLSAWKINPDITLIAIVYSGFFCTGLSSLVHTWGIHLKGPVYVSIFKPLSIVVAAVSSVVFLGDALYFGTVVGAVILSFGFYAVIWGNAKEDELSEDFDMRPSSSSKSPLLVSYTGKNNEETTHC >Vigun04g107000.1.v1.2 pep primary_assembly:ASM411807v1:4:25727564:25729826:1 gene:Vigun04g107000.v1.2 transcript:Vigun04g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSISNLTMEERFQELQKAKETPSPALGNAKIQRVPPHLLRNRKNFNKHYSPKLVSFGPIHHGDLNLHLGEQYKKMWAAEYIGSTRTTLPKLHKKFVQNRESLKPLFDEDILTKNGRFSKYEEQGFETREDMISWMLFVDGCALLHILEHAKLHEPGKMNVKVDQLVLVMQDALLLENQLPFPLLKHLWRDSKEELIEIMKGFLRCHHWATNEDQVNFRADFPQPTHLLHLQRSIILYESPTKTDKKGFCVPKRNTGKRSETQNVSPQKQDDMVTYRNIKELKAAGIEFMSSKTRRPKDISFSLGWFHSTLKLPEIVVDDTTAATVLNLIAYEMCPDFQNDYGICSYVSFLDSLIDHPDDVKALRSERILLNSLGSDEEVAELFNTLSTDLVPDMGKYADLRNQIEKHYKNKLRTWLALGCSTYFSNPWAIIAFHAAVVGLVLTFVQTWYAIRPPK >Vigun05g046600.2.v1.2 pep primary_assembly:ASM411807v1:5:3896722:3903152:-1 gene:Vigun05g046600.v1.2 transcript:Vigun05g046600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASHPSSSSSSSGWSHFWSSARRSKRLATPAEKAYRDSTELGLSRRLGVIDLILLGIGASIGAGIFVVTGTVARDAGPGVTISFMLAGASCVINALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYLINILELFPVFKDNIPNWIGHGESIGDVLSINILAPILLILLTLVLCLGVHESSIMNCIMTVTKVIIVIIVIFAGAFEVDVSNWSPFAPNGLQSILTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGIIGSLLVCIALYIGVCLVITGMVPYNLLGEDAPLAEAFTSKGLKFVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLARDGLLPSIFAKVHSTRHTPIHSQIWVGLVASVLGGLMDVRVLSHILSVGTLTGYSVVSACVVVLRWKDKTSSQVSSSAEREGVICLIAVALCGFATGLLYRYDASFIFLILTIVIAVGASVALIFRQVYADAPGFSCPGVPILPNICIFFNMFLFAQLHEEAWVRFVVLCIVMIGVYAIYGQHHANPSAEDNLYIEAPVEEDP >Vigun05g046600.1.v1.2 pep primary_assembly:ASM411807v1:5:3896722:3903142:-1 gene:Vigun05g046600.v1.2 transcript:Vigun05g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASHPSSSSSSSGWSHFWSSARRSKRLATPAEKAYRDSTELGLSRRLGVIDLILLGIGASIGAGIFVVTGTVARDAGPGVTISFMLAGASCVINALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYLINILELFPVFKDNIPNWIGHGESIGDVLSINILAPILLILLTLVLCLGVHESSIMNCIMTVTKVIIVIIVIFAGAFEVDVSNWSPFAPNGLQSILTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGIIGSLLVCIALYIGVCLVITGMVPYNLLGEDAPLAEAFTSKGLKFVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLARDGLLPSIFAKVHSTRHTPIHSQIWVGLVASVLGGLMDVRVLSHILSVGTLTGYSVVSACVVVLRWKDKTSSQVSSSAEREGVICLIAVALCGFATGLLYRYDASFIFLILTIVIAVGASVALIFRQNTLMVVCVKYTKRKGNPKVYADAPGFSCPGVPILPNICIFFNMFLFAQLHEEAWVRFVVLCIVMIGVYAIYGQHHANPSAEDNLYIEAPVEEDP >Vigun09g139600.1.v1.2 pep primary_assembly:ASM411807v1:9:30132980:30134105:-1 gene:Vigun09g139600.v1.2 transcript:Vigun09g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPKGTERKQEMEEEITVNGKTSVVIWLKDTPPRVCSKKEDIKSFDETEDCFVLDFDPHDKFDFSEFSLDHKNSHQGDASKDFYIVGENGKVACRDYPHPRDLCCKFPFTTTPHESYCELCYCRVCGIRAPCQDWTQFTSPHCDKVYERDYKAEIIEWISEHDGHEVIRRI >VigunL031420.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:195027:195341:1 gene:VigunL031420.v1.2 transcript:VigunL031420.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSCVRGELLSIVGLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKVYDSTSIFPIRQFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLW >Vigun01g040300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5580467:5582392:-1 gene:Vigun01g040300.v1.2 transcript:Vigun01g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSLLLFAAAILAAVVAAASGCSKSDREALLGFRQALNEPYLGLFNSWTGSNCCVNWYGVSCDAATGRVTDVNLRGESEDPIFEKAGRSGYMTGKISPAICGIDTLTTLVVADWKDISGEIPACVTSLSSLRILDLIGNKLSGEIPSDIGRLNRLTVLNLADNTLAGKIPSSITQLTSLKHLDLSNNLLTGEIPKDFGNLAMLSRALLNRNQLTGSIPVSISKIYRLADLDLSVNRLTGSVPFELGKMPVLSVLNLDSNSFQGLIPESLLSNGGMGILNLSRNGFEGSIPDVFGSHSYFMALDLSYNNLKGRVPTSLASAKYIGHLDLSHNHLCGTIPVGAPFDHLEASSFGFNDCLCGNPLKTC >Vigun10g095500.1.v1.2 pep primary_assembly:ASM411807v1:10:28137308:28138157:-1 gene:Vigun10g095500.v1.2 transcript:Vigun10g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKVGCIVAMVFMVVVSAPIAHGITCSQVAISVSPCVAYNQIGGAPSPACCRGLKNLNAAAKNTADRRTACNCLKSFFGSFPSINLDLAASLPSKCSVSSIPYNISTSTNCATIKF >Vigun08g074700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12915141:12921659:1 gene:Vigun08g074700.v1.2 transcript:Vigun08g074700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTLVAALLKTQQIRHVRLCSLPFALANTGIEVVVSPPNEEILTIGQIKVSTPLSSSMILHSFPPSQAIFNRSLNPVLVPMLGFLQTTGSYLMLNSYPYYDYMKSNGVLLWTMHSSNPSLQTEKSSIVTPFSTTPIIDAAYFSMAFLNYTNIPVVVSEKGWP >Vigun08g074700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12915780:12916274:1 gene:Vigun08g074700.v1.2 transcript:Vigun08g074700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTLVAALLKTQQIRHVRLCSLPFALANTGIEVVVSPPNEEILTIGQIKVSTPLSSSMILHSFPPSQAIFNRSLNPVLVPMLGFLQTTGSYLMLNSYPYYDYMKSNGVLLWTMHSSNPSLQTEKSSIVTPFSTTPIIDAAYFSMAFLNYTNIPVVVSEKGWP >Vigun08g074700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12915141:12918355:1 gene:Vigun08g074700.v1.2 transcript:Vigun08g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTLVAALLKTQQIRHVRLCSLPFALANTGIEVVVSPPNEEILTIGQIKVSTPLSSSMILHSFPPSQAIFNRSLNPVLVPMLGFLQTTGSYLMLNSYPYYDYMKSNGVLLWTMHSSNPSLQTEKSSIVTPFSTTPIIDAAYFSMAFLNYTNIPVVVSEKGWP >Vigun09g269300.1.v1.2 pep primary_assembly:ASM411807v1:9:43207237:43212130:1 gene:Vigun09g269300.v1.2 transcript:Vigun09g269300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSRSRSRSPMDRKIRSDRFSYRDAPYRRDSRRGFSRDNLCKNCKRPGHYARECPNVAICHNCGLPGHIASECTTKSLCWNCKEPGHMASNCPNEGICHTCGKAGHRARECTAPPMPPGDLRLCNNCYKQGHIAAECTNEKACNNCRKTGHLARDCPNDPICNLCNVSGHVARQCPKANVIGDRSGGAGGGAARGGGGGGGYRDVICRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRYPRRY >Vigun05g158500.1.v1.2 pep primary_assembly:ASM411807v1:5:25579845:25580806:1 gene:Vigun05g158500.v1.2 transcript:Vigun05g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYKIFAQQGYENPREATGRIVCANYHLANKPVDIDVPQAVLLDTVFEAVVRIPYDMQVKQVLGNGKKGTLNVRVVLILPECNMSFQNYRPTKKNILVVGPVPGQKYNEITFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAAGIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun06g132600.1.v1.2 pep primary_assembly:ASM411807v1:6:25857709:25858237:1 gene:Vigun06g132600.v1.2 transcript:Vigun06g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDGFFAEGPYKGFLIMHVTSSKVAMVNPLRIGRCDRKEAISGSEIGADNSPGVLPVAAAGASF >Vigun11g017600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2200887:2202131:1 gene:Vigun11g017600.v1.2 transcript:Vigun11g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGAHDEDNLSLSLRIENAWADTYQFYLFENFWCPSIHFQAVNSFKKEFQAKDSDIVVASFPKSGTIWLKALTFAIVNRQRFSSLEDHPLLSSNPHELVPFFEFIFGADDIHGQILHLSNMAEPRIFGTHTPFPSLPESIKESNCKIVYICRNPFDNFVSAWNYFNKIKPTIVSELTLEEAFELYCNGVMEYGPWWSHMLGYWEESIAKPDKVLFLKYDDLKEDINFHVKSVAKFLGCPFTEEEENNGVVESIIKLCSFEKMKDLEVNKSGMYGRGGIEKKNFFRKGENGDWVNYFSPSMTEKLSKIVEEKLSGSGLSFKMCS >Vigun09g013400.1.v1.2 pep primary_assembly:ASM411807v1:9:986200:992262:-1 gene:Vigun09g013400.v1.2 transcript:Vigun09g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVEPQSLKKLSFKSLKRALDLFSPIHGHLAPPDADSKKIRISHKVSLEYAGIKSTANQPARQVNSAAQDRAQQAGTSNVLALPGPKDSKDFQKGGSQNALVVGPSMPSTAANDTGFQSKSTAIISASGSSERNLSTSALMERMPSKWPRPVWHAPWKNYRVISGHLGWVRSVAIDPSNTWFCTGSADRTIKIWDLASGVLKLTLTGHIEQIRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKMWDLRYGKTMLTLTNHKKSVRAMAQHPKEQSFASASADNIKKFSLPKGEFFHNMLSQQKTIINAMAVNEEGVMVTGGDNGSMWFWDWKSGHNFQQAQTIVQPGSLDSEAGIYACTYDMTGSRLITCEADKTIKMWKEDETATPETHPLNFRPPKDIRRF >Vigun04g017600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1296272:1301053:1 gene:Vigun04g017600.v1.2 transcript:Vigun04g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTYSFHFSLSLSLSLLLFIVVVAQSLCTSEAISHNGPLTDAEASYIKQRQLLYYKDEFGDRGEKVTVDPSFRFENDRLRNAYIALQAWKQAILSDPKNLTLDWVGPDVCNYSYVFCAQALDNPKIRTVAGIDLNHGDIAGYLPEELGLLTDLALLHINTNRFCGTVPHKFDKLKLLFELDLSNNRFAGKFPEVVLHLPQLKFLDLRFNEFEGTVPKELFDKDLDAIFINDNRFVFDLPENFGNSPVSVIVLANNRFHGCVPAGIGNMKRLNEIILMNNAFRSCFPEEIGLLKNLTVFDVSFNQLLGPLPDALGGAVSLEQLNVAHNLLSGKIPESICKLPNLQNFTFSYNYFTGEPPRCLALPAADDRRNCLSARPYQRPAGQCKSFLSHPVDCKSFRCKPFVPTLPPPPPPSPPLPSPPPSPVYVPRSPPPPPPVYSPPPPPPVYSPPPPPPPPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPSPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPSPPPPSPVYCVRSPPPPSPPPPSPPPPPSPVFSPPPPVQYYYSPPPPPQNSPPPPPPHSPPPPHSPPPPHSPPPPVYPYLSPPPPPPVHSPPPPVHSPPPPSPPPCIEPPPPPPPCIEPPPPPPSPPPPCEEHSPPPPSPHPAPYHPPPSPSPPPPPVQYSSPPPPSSPPPAPVYYYNSPPPPPPSSPPPAPVYEGPLPPVIGVSYASPPPPPFY >Vigun09g085951.1.v1.2 pep primary_assembly:ASM411807v1:9:11247332:11247862:1 gene:Vigun09g085951.v1.2 transcript:Vigun09g085951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLCYLHLNYVGNNVFLHRIFSAEGAEMDYNRDSANAPNNQVAVVAPDFEKVLSNYDVKASSLYLDSKFAKECLIKGRKSYTLTNGQSQFWDCKIRWTSRSSYECYLTCGWKKFCKENGLAAGDRIRFVVEDEENNVIHILKN >Vigun04g002500.1.v1.2 pep primary_assembly:ASM411807v1:4:206286:208043:-1 gene:Vigun04g002500.v1.2 transcript:Vigun04g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSTLNGDKRGETMALLHEACQKWGCFMIENHELDKKLMEKVKQLINIHYEENLKENFYQSEIAKSLDKKQKTSDIDWESAFFIWHRPVSNIRNIPNLSEELRQTMDEYIDELLKLAEKLSELMSENLGLEKNYIKEAFSGRNGPAMGTKVAKYPQCPHPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGKWVEIPPSKKNAIFVNTGDQVEVLSNGLYKSVVHRVMPDKNGSRLSIASFYNPVAEAIISPSNKLLYPCNYRYGDYLELYGKTKFGEKGSRFESIKNMTNGHYK >Vigun04g088600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15486782:15487255:-1 gene:Vigun04g088600.v1.2 transcript:Vigun04g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEFEFPATDYSGCVADEVVFCGKVIMRRTEPGPTPQSDSKARVLPRSESSGRTNGIVTWLRSPSGKEKRWRRSESSRKRKSEMFGTALKFPLQMELSDIKMRQDRRECPPPPVPPRIPAKDHDDEGGESCWELVRPLRRGGTFKNLLFRCIPIL >Vigun03g093700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7929242:7932598:-1 gene:Vigun03g093700.v1.2 transcript:Vigun03g093700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNMRIAVCVILVSIIYILLTQLVNLNLPTLNLNPNQFPQISFNSISIMASEAVYSVWAIPPEDVDVRCANLMTALRSDFGGPHFQPHITLVGAIKLTADDALAKLRSACQALRPFNVTVDRVATGTFFYQCVYLLLRPDSHLLETGAHCWTHFGYASSTRNFPFTLL >Vigun03g093700.3.v1.2 pep primary_assembly:ASM411807v1:3:7930721:7932579:-1 gene:Vigun03g093700.v1.2 transcript:Vigun03g093700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNMRIAVCVILVSIIYILLTQLVNLNLPTLNLNPNQFPQISFNSISIMASEAVYSVWAIPPEDVDVRCANLMTALRSDFGGPHFQPHITLVGAIKLTADDALAKLRSACQALRPFNVTVDRVATGTFFYQCVYLLLRPDSHLLETGAHCWTHFGYASSTPYMPHLSLLYADLSDEEKQKAQERVNAIDNALAGLTFQITRIALYKTDTEDKTLKSWEKIAECTLTPN >Vigun03g093700.2.v1.2 pep primary_assembly:ASM411807v1:3:7929562:7932568:-1 gene:Vigun03g093700.v1.2 transcript:Vigun03g093700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNMRIAVCVILVSIIYILLTQLVNLNLPTLNLNPNQFPQISFNSISIMASEAVYSVWAIPPEDVDVRCANLMTALRSDFGGPHFQPHITLVGAIKLTADDALAKLRSACQALRPFNVTVDRVATGTFFYQCVYLLLRPDSHLLETGAHCWTHFGYASSTPYMPHLSLLYADLSDEEKQKAQERVNAIDNALAGLTFQITRIALYKTDTEDKTLKSWEKIAECTLTPN >Vigun03g093700.1.v1.2 pep primary_assembly:ASM411807v1:3:7929275:7932656:-1 gene:Vigun03g093700.v1.2 transcript:Vigun03g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNMRIAVCVILVSIIYILLTQLVNLNLPTLNLNPNQFPQISFNSISIMASEAVYSVWAIPPEDVDVRCANLMTALRSDFGGPHFQPHITLVGAIKLTADDALAKLRSACQALRPFNVTVDRVATGTFFYQCVYLLLRPDSHLLETGAHCWTHFGYASSTPYMPHLSLLYADLSDEEKQKAQERVNAIDNALAGLTFQITRIALYKTDTEDKTLKSWEKIAECTLTPN >Vigun05g238101.1.v1.2 pep primary_assembly:ASM411807v1:5:43129848:43136403:1 gene:Vigun05g238101.v1.2 transcript:Vigun05g238101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILRGLLQGYTKSLLDEGVVNDEFNVMVALKRIGEPNHVVQLIETYIADVERILSELLRHEEDKKIELQKLTSLAREIEDKSTRIGAELVKAACYDVIKACDDNNQENFGRSLTLLRKEFSSTKKKLTSFAQMEQRIIRLEKSQSPASTSQSPK >Vigun03g252200.1.v1.2 pep primary_assembly:ASM411807v1:3:41858881:41860152:1 gene:Vigun03g252200.v1.2 transcript:Vigun03g252200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKLCSLMLCLLVPTLMVGAKAQRCGREFGGAVCGNGLCCSQYGWCGNSNEHCGTGCQSQCQASSSGDISSIISPSLFEEMLKHRNNQACPGRGFYTYDAFITAARSFRGFGTTGDTNTRKREMAAFFGQTSHETTGGWPSAPDGPYAWGYCFINERNQQVYCSGGGWPCAPGKSYYGRGPIQLTHNYNYGLAGSELGLDLTNDPDLVARDRNVAFRTAIWFWMRPQGNKPSSHNVITGGWTPSAADTNAGRLPGYGVITNIINGGLECGRGPDSRVQSRIGFYQRYCQLLGVSPGNNLDCNNQAPF >Vigun11g189300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38906959:38908009:-1 gene:Vigun11g189300.v1.2 transcript:Vigun11g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYDVLGISIGASCVEIKAAYRKLARTYHPDVVAMNQKESSAHQFMVIQSAYSTLSDPEKRAQYDRDNRYRRSLTMASMAGRNHTFSCAAGSGRKWETDQCW >Vigun03g091300.1.v1.2 pep primary_assembly:ASM411807v1:3:7705698:7708617:1 gene:Vigun03g091300.v1.2 transcript:Vigun03g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSCHLLLFSLCLFYGSGLGTAKILTINENQEIFSSSELGTQLDDVPIVNPTDPDTGNPYMGNPTNPQPPDMSGQNPTTPNTNPTTPNTNPNPNPNPTTPTTTPTTPTPTTPTSSGGQWCVANQGASDTALQVALDYACGYGGADCSQIQPGASCYNPNTVRDHASYAFNDYYQKNPAPTSCVFGGTASLTSNDPSSGSCKFASPKATSVSPPPPIFVSPPSPPTPMTPTQPGLTVPGVPSGDGSTVFGSEPTGSPNAATSAFYSLPLLLTCGLLASLQIANYI >Vigun03g180600.1.v1.2 pep primary_assembly:ASM411807v1:3:22900599:22909851:-1 gene:Vigun03g180600.v1.2 transcript:Vigun03g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASFVNSFTCSSRAPTLHPFTTVSTATSLRPGNGRSSRPLVTRISSPSLVVCKAVSVKPQTEIEGLNIAEDVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGFSMINDAEQRGAITPGKSILVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVLLKAFGAELVLTDAAKGMNGAVQKAEEILKNTPNAYMLQQFDNPSNPKVHFETTGPEIWEDTRGKIDILVAGIGTGGTVSGVGRFLKQKNPKIQVIGVEPLESNILSGGKPGPHKIQGIGAGFVPGNLDQDVLDEVIAISSDESVETAKQLALQEGLLVGISSGAAAAAALKVGKRPENAGKLIGVVFPSFGERYLSTVLFQSIREECEKMQPEP >Vigun03g171100.1.v1.2 pep primary_assembly:ASM411807v1:3:20541333:20546766:-1 gene:Vigun03g171100.v1.2 transcript:Vigun03g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKPAPSQPAPPPPLEDLFTTLNRHIQASAFDNVVKFTDQILAIAPDDEDALRCKVVALIKNDRVEDALSAIKSSRKQLDDFHFFKAYCLYRQNKLDEALESLKRQERNDETMLLECQILYRLGKMDACIEIYQKLQNSKIDNMEINSVAALVMAGRSSEVQGMLDSLRVKATSSFELAFNTACSLIARKKYTDAEQLLLSGRRIGQEVLMEDNLADDEIELELSPIAVQLAYVQHLLGRKQDAIEAYTDTIKRDMADESSIAVAVNNLISLKGPKDVSDSLRKLDRLKDKESQSFRLAPSLDLKLSAKEKEAIYANRILLLLHANKIEQARELVSALPDMFPESVIPVLLQAALLVRENKAGRAEEILAQFATKFPENSKVVHLARAQVAAAAGHPHIAADSLAKISDIQHMPATVATLVSLKERVGDIDGAAAVLDAATKWWSNAMTEDNKLNIIMQEAASFKLRHGKEEEAAKLYEELVKIQGSVEALVGLVTTVARMDVNKAELYEKQLKALPGLKGIDVDSLERTSGVKQVEAPRVGVSETYEEGKNKTKTKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSTYRPKRKDKRAAQVRGSQGAVVRDKHDTGASSNTSHSKSNQGTSKGAGQNAVSEQTKPSSKSSRKKSRN >Vigun07g005100.1.v1.2 pep primary_assembly:ASM411807v1:7:407595:409035:-1 gene:Vigun07g005100.v1.2 transcript:Vigun07g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGFVLSLNVAAAAATTNSSSSPSRVIFPSKNNVSRLVVRASDEAAPAPATATPPPEAEAKPKPPPIGPKRGAKVKILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIVEVE >Vigun03g408500.1.v1.2 pep primary_assembly:ASM411807v1:3:61555474:61558608:1 gene:Vigun03g408500.v1.2 transcript:Vigun03g408500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQLWASRAASYLRISVSHRAFSNVVKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGATVTQGDSFGAVESVKATSDVNSPVSGTVIEVNEELSSSPALVNSSPYKDGWIIKVELSDSGELNNLMDSERYSKFCEEEDAH >Vigun03g408500.3.v1.2 pep primary_assembly:ASM411807v1:3:61557380:61558084:1 gene:Vigun03g408500.v1.2 transcript:Vigun03g408500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRIKLNIFHVCIRFQRYSLLTSGDLSNLTALVGFFHVILVAVVKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGATVTQGDSFGAVESVKATSDVNSPVSGTVIEVNEELSSSPALVNSSPYKDGWIIKVELSDSGELNNLMDSERYSKFCEEEDAH >Vigun07g258500.1.v1.2 pep primary_assembly:ASM411807v1:7:37563184:37565959:-1 gene:Vigun07g258500.v1.2 transcript:Vigun07g258500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSDSKSGLRFQKKIQFYSKVKAVVSVRAPLKSILRKKSKVQRRQKKLEAYNLSSLLEALPEVKPSKKTGHENDSKLNCKSRQTLVLRERDRFSAVFKDPNFQADPLSSIQHYLQKTQPVVEEQPKKKANKNGSRKKNKSKALTGLQSMEI >Vigun05g141300.1.v1.2 pep primary_assembly:ASM411807v1:5:17552252:17555132:-1 gene:Vigun05g141300.v1.2 transcript:Vigun05g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIADEAPVTLDTLKQLMAQFAKERDWDRFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGVDLGKAALRKVQLNAIKYPAKICKEVVSSVSSTPEENPSTNNGTAPDAD >Vigun01g218000.2.v1.2 pep primary_assembly:ASM411807v1:1:39174688:39179102:1 gene:Vigun01g218000.v1.2 transcript:Vigun01g218000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNRVLCFIIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSRTNTMMLYSVEAESNKSVRGSLLDTGNFVLHEFNLDGSVKRVLWQSFDYPTDTILPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSVDPKTNQLVSRWRGDIIWTSGEWRNGSFSNLKSSSRDRENFNFSFFSNESVTYYEFSKVSGFLIMEALGRMNASGVSYSCVDSEIVAGCTMPQPPKCREDSDLYLPSWNSFGAMSRKGYVFDEGENLTISDCWMRCLKNCSCEAYSYAFKDATGCEIWSRNTSHFVETNSGVGRPIFFFLSETTKPKGKKRRIWIAGTAAGVLSLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDAYDEGREQWNEKRTRSDTHKFDFITILQATDNFSLANKIGEGGFGPVYKGKLANGQEIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFDANKRTILDWKIRYKIIEGIAQGLVYLHQYSRLKVIHRDLKASNILLDNELNPKISDFGMARILKWTLLEEKTNRVVGT >Vigun01g218000.3.v1.2 pep primary_assembly:ASM411807v1:1:39174687:39179103:1 gene:Vigun01g218000.v1.2 transcript:Vigun01g218000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNRVLCFIIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSRTNTMMLYSVEAESNKSVRGSLLDTGNFVLHEFNLDGSVKRVLWQSFDYPTDTILPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSVDPKTNQLVSRWRGDIIWTSGEWRNGSFSNLKSSSRDRENFNFSFFSNESVTYYEFSKVSGFLIMEALGRMNASGVSYSCVDSEIVAGCTMPQPPKCREDSDLYLPSWNSFGAMSRKGYVFDEGENLTISDCWMRCLKNCSCEAYSYAFKDATGCEIWSRNTSHFVETNSGVGRPIFFFLSETTKPKGKKRRIWIAGTAAGVLSLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDAYDEGREQWNEKRTRSDTHKFDFITILQATDNFSLANKIGEGGFGPVYKAWQLWNEGEALKLIDTMVNGSCHHIQVMRCIHIGLLCTQDKAKDRPSMLEVISFLSNENSELPSPIQPPLYTFKSAKGAEQHKSCSNNEITMSMTYGR >Vigun01g218000.1.v1.2 pep primary_assembly:ASM411807v1:1:39174688:39179102:1 gene:Vigun01g218000.v1.2 transcript:Vigun01g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNRVLCFIIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSRTNTMMLYSVEAESNKSVRGSLLDTGNFVLHEFNLDGSVKRVLWQSFDYPTDTILPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSVDPKTNQLVSRWRGDIIWTSGEWRNGSFSNLKSSSRDRENFNFSFFSNESVTYYEFSKVSGFLIMEALGRMNASGVSYSCVDSEIVAGCTMPQPPKCREDSDLYLPSWNSFGAMSRKGYVFDEGENLTISDCWMRCLKNCSCEAYSYAFKDATGCEIWSRNTSHFVETNSGVGRPIFFFLSETTKPKGKKRRIWIAGTAAGVLSLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDAYDEGREQWNEKRTRSDTHKFDFITILQATDNFSLANKIGEGGFGPVYKGKLANGQEIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFDANKRTILDWKIRYKIIEGIAQGLVYLHQYSRLKVIHRDLKASNILLDNELNPKISDFGMARILKWTLLEEKTNRVVGTYGYMSPEYAMSGVISTKIDVYSFGVLLLEIVTAKKNISDDFSLNLIGYAWQLWNEGEALKLIDTMVNGSCHHIQVMRCIHIGLLCTQDKAKDRPSMLEVISFLSNENSELPSPIQPPLYTFKSAKGAEQHKSCSNNEITMSMTYGR >Vigun04g008200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:589441:591538:-1 gene:Vigun04g008200.v1.2 transcript:Vigun04g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYSNMKRVYELHATLIKRGQHQNPLSLRAFILRCANSSSPPDTARYAASVLLRFPIPGDTFPYNAIIRHVAVHAPSLALALFSHMHRTNIPFDHFTFPFILKTSKLNPHNIHSIVLKLGFNSSIYVQNALISSYGTSSGGSLQLSLKLFDEMSHRDLVSWSSLISSFAKQGFPDEALTLFQQMQLCQSHILQPDGVIMLSVISAVSSLGALELGIWVHAFISRTGLSLSVPLGTALIDMYSRCGDIDRSVKVFDEMPHRNVVTWTALINGLAVHGRGREALEAFYDMVESGLKPDRVAFMGALVACSHCGLVEEGRRVFEGMWSKYGVAPGLEHYGCMVDLLGRSGMVVEAFEFVEGMLVKPNSVIWRTLLGACVNHNHLGLAEKAKERIKELDPHHDGDYVLLSNAYGGVGKWVEKEGVRNSMKEGRIVKEPGLSLVHVDQVVHEFLSGDNSHPKWEEITRFLGSIIDTVKLGGYTPITSNVLHDIQEEEKEHSLGYHSEKLAVAFVLLYHRDRKTIRVIKNLRICYDCHSFMKHVSSFFDRYIVIRDRSRFHHFSKGSCSCRDFW >VigunL026300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:98588:99181:-1 gene:VigunL026300.v1.2 transcript:VigunL026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGG >Vigun09g109100.2.v1.2 pep primary_assembly:ASM411807v1:9:23051936:23054075:1 gene:Vigun09g109100.v1.2 transcript:Vigun09g109100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRINTVGWMEEREDDNNSASWPRNKPTNTIIATDTTPTTKPTTTTTTASAAAFVENNNTRDDLASLCAFKPMLELDDEWYISNHHHHNSHHSQDITFSPTFPDSDNLLLPAVDSSSSCSPPPPPPLYRHSSTPSTSPFLTLKLPHPSRFFPLPIFPSDTPPQTATATAFAGFHSLEDGSSKPLFHQRSKILRPLESLPPSGRHPRSFRRGRRWRKNMSAGEGRCEFDGSGLNYDSDDLTEINNNSGRVDEGSAKNGGNSSNGNSSVTGKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPPGSSLTPSSNFHPLTPTPPTLPSRIKEELCPSSLPSPNAQPARVEVRLREGRAVNIHMFCARKPGLLLSTMRAMDNLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDVHPEQIKAVLLDSAGYSGMM >Vigun09g214500.2.v1.2 pep primary_assembly:ASM411807v1:9:38885345:38887986:1 gene:Vigun09g214500.v1.2 transcript:Vigun09g214500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCHRNSVGSIALDHRHTEKNVTAGGHFRVLRRLIFDAVSCGGTSRYRYRQRGGGEGNVGDFSSVASHAEKEERSEKRSEKLSDLLNAAEMKTDAEAERKEEALAELKRVVKELREEDLTMRRIAAERVRSLAKEDSEARANLAMLGAIPPLVGMLDSEDAHSQIASLYALLNLGIGNDANKAAIVKIGTVHKMLKLIELSDSNSSVAEAIVANFLGLSALDSNKPIVGSSGAIPFLVNTLKKLEASKTVSQSQSQVKQDALRALYNLSICQSNVSVILETDLVWILVSTIGDMEVSERSLAILNNLVSTPEGRKAISGVSDAIPILVDVLSWTDSPECQEKASYVLMIMAHKAYGDRRAMIEAGIVSSLLELTLVGTTLAQKRASRILECLRVDKGKQVSGSYAANLNLGVSAPICGGSSSSEGGGGGGRGSLAEEEDGMMMSEEKKAVKQLVQQSLQNNMIKIVKRANLRQDFVPSAQIASLTSSSTSKSLPF >Vigun09g214500.1.v1.2 pep primary_assembly:ASM411807v1:9:38885345:38887987:1 gene:Vigun09g214500.v1.2 transcript:Vigun09g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCHRNSVGSIALDHRHTEKNVTAGGHFRVLRRLIFDAVSCGGTSRYRYRQRGGGEGNVGDFSSVASHAEKEERSEKRSEKLSDLLNAAEMKTDAEAERKEEALAELKRVVKELREEDLTMRRIAAERVRSLAKEDSEARANLAMLGAIPPLVGMLDSEDAHSQIASLYALLNLGIGNDANKAAIVKIGTVHKMLKLIELSDSNSSVAEAIVANFLGLSALDSNKPIVGSSGAIPFLVNTLKKLEASKTVSQSQSQVKQDALRALYNLSICQSNVSVILETDLVWILVSTIGDMEVSERSLAILNNLVSTPEGRKAISGVSDAIPILVDVLSWTDSPECQEKASYVLMIMAHKAYGDRRAMIEAGIVSSLLELTLVGTTLAQKRASRILECLRVDKGKQVSGSYAANLNLGVSAPICGGSSSSEGGGGGGRGSLAEEEDGMMMSEEKKAVKQLVQQSLQNNMIKIVKRANLRQDFVPSAQIASLTSSSTSKSLPF >Vigun10g162200.1.v1.2 pep primary_assembly:ASM411807v1:10:38117600:38121302:-1 gene:Vigun10g162200.v1.2 transcript:Vigun10g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSVLALLLLLSLSAEASVHDYRGEKFAAKGNAFVVHGGSEGIYASAPNLTDAYPPANPDSFIRLERITFRRNKEFSNFSSWPIQAVLFEVEDRETIGGSAYGGQRAVCCTGDLAKLGVCAEGQVIYRPSVENPDWPQVFGVSFEIDDEVAVLPLKSIQITKTGMYNLYFIHCDTRLKELVVEGKSVWKNPSGYLPGRMAPMKIFYQFMSFAYVLLGIFWFSQYARFWREVFPLQNCITLVITLGMFEMALWYFDYAEFSESGIRPTGTTIWAVTFGTVKRTVARLVILMVSMGYGVVRPSLGGLTSKVVMLGGTFFLASEVLELVENVGAVSDLSGKAKLFLVLPAAVLDVFFILWIFTSLSATLNKLQARRMMVKLDIYRKFTNALAAAVIVSVGWICYELYFKSNDVYNEQWRNAWIIPAFWQVLSYSLLCIICILWAPSQSATRYAYRDDGSEEFDRDETTLTLIKPSPISSKDVRSVVPDARPVQSSNGNSNDDLEEDKRE >Vigun03g338100.5.v1.2 pep primary_assembly:ASM411807v1:3:53596579:53598541:-1 gene:Vigun03g338100.v1.2 transcript:Vigun03g338100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDPQLPPLPNPNDWSIHDNKDDLVFPPIHHENLQILSPHSDHHTPQSPPSPSPFHSRIRGWTTIALQIFRSKLSSFRNKGAIWSIGVPAAALLMFCWIITAAKKKRTLTPNEARLISIVKQKEQKIAQLLNQIAQMNEILIDRHKALAAKVD >Vigun03g338100.2.v1.2 pep primary_assembly:ASM411807v1:3:53596700:53598586:-1 gene:Vigun03g338100.v1.2 transcript:Vigun03g338100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDPQLPPLPNPNDWSIHDNKDDLVFPPIHHENLQILSPHSDHHTPQSPPSPSPFHSRIRGWTTIALQIFRSKLSSFRNKGAIWSIGVPAAALLMFCWIITAAKKKRTLTPNEARLISIVKQKEQKIAQLLNQIAQMNEILIDRHKALAAKVD >Vigun03g338100.3.v1.2 pep primary_assembly:ASM411807v1:3:53596700:53598586:-1 gene:Vigun03g338100.v1.2 transcript:Vigun03g338100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDPQLPPLPNPNDWSIHDNKDDLVFPPIHHENLQILSPHSDHHTPQSPPSPSPFHSRIRGWTTIALQIFRSKLSSFRNKGAIWSIGVPAAALLMFCWIITAAKKKRTLTPNEARLISIVKQKEQKIAQLLNQIAQMNEILIDRHKALAAKVD >Vigun03g338100.1.v1.2 pep primary_assembly:ASM411807v1:3:53596716:53598586:-1 gene:Vigun03g338100.v1.2 transcript:Vigun03g338100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDPQLPPLPNPNDWSIHDNKDDLVFPPIHHENLQILSPHSDHHTPQSPPSPSPFHSRIRGWTTIALQIFRSKLSSFRNKGAIWSIGVPAAALLMFCWIITAAKKKRTLTPNEARLISIVKQKEQKIAQLLNQIAQMNEILIDRHKALAAKVD >Vigun03g338100.4.v1.2 pep primary_assembly:ASM411807v1:3:53596579:53598541:-1 gene:Vigun03g338100.v1.2 transcript:Vigun03g338100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDPQLPPLPNPNDWSIHDNKDDLVFPPIHHENLQILSPHSDHHTPQSPPSPSPFHSRIRGWTTIALQIFRSKLSSFRNKGAIWSIGVPAAALLMFCWIITAAKKKRTLTPNEARLISIVKQKEQKIAQLLNQIAQMNEILIDRHKALAAKVD >Vigun07g245200.2.v1.2 pep primary_assembly:ASM411807v1:7:36605535:36609132:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEIPGLNSGDSSSREALESEQKNMGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun07g245200.4.v1.2 pep primary_assembly:ASM411807v1:7:36605535:36609131:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITLQRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun07g245200.1.v1.2 pep primary_assembly:ASM411807v1:7:36605534:36609220:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEIPGLNSGDSSSREALESEQKNMGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITLQRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun07g245200.5.v1.2 pep primary_assembly:ASM411807v1:7:36605535:36609130:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEIPGLNSGDSSSREALESEQKNMGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITLQRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun07g245200.3.v1.2 pep primary_assembly:ASM411807v1:7:36605535:36609131:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun07g245200.6.v1.2 pep primary_assembly:ASM411807v1:7:36605535:36609130:-1 gene:Vigun07g245200.v1.2 transcript:Vigun07g245200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEIPGLNSGDSSSREALESEQKNMGTQRISVSDHINAFQYSADEADSFVIDMDSFSPPTINKDSINTNSRITRNLSRKGSQRGGDRKVNGNVTLHDRDTVPTTCSPKAALAGSCTAEKSATVAVGSTQHSTNTQVHHHITITASNMCTTNTESKSVTRRNSFKRPSSWLLDPRRVLIFFATLSSMGTMLLIYFTLTISKQSADE >Vigun01g109500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28264278:28264922:-1 gene:Vigun01g109500.v1.2 transcript:Vigun01g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISINPKNLKLSPKHLFRSKKDRSLSRSDPPSFGSSSSDDSTHKPAAPSAAGSLTPTSVLPGVSGDWSDVTVDVRWDLAQAFRLIDRDNDGVVSRQDLEAILTRLGASDVALMLSEVDGGAGGCITVEALMNHIGSGPESGSDPEELKEAFAVFDTDRDGRISAEELLRVFRAIGDERCTLEECRRMIEGVDRNGDGFVCFEDFSRMMELHR >Vigun07g159100.1.v1.2 pep primary_assembly:ASM411807v1:7:27095546:27098603:1 gene:Vigun07g159100.v1.2 transcript:Vigun07g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHSNSGNYRNPCLTMHQPWASLLVYGIKRVEGRSWPAPITGRLWIHAASKVPDESTIKAMEYFYREIYALNGVTDIQFPQHYPVSRLLGCVEVVGCLTRDELASWEMVPEGVRLEAQTDYCWLCERPQKLLIPFEMRGYQGVYNLERKIYDAAVRGLSPVNSPLPVKFPLPDPRDPFCLKPGSISALTPNSKATEVDKSSSISLAIAGAQAAATQFSKKDQSSLSTSARNNAPDTMNADNEDTHTARSYNLRSGGRSIENDNISSTELDMNFDDSTLPSYREKKRSGNYSEGSSGQTRSTGAEADLRQYPQAPSKIFAAALKSLKQ >Vigun11g155700.1.v1.2 pep primary_assembly:ASM411807v1:11:36492780:36495646:-1 gene:Vigun11g155700.v1.2 transcript:Vigun11g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYFSNAEDEDCVAAAPSSRGSTSRVSWARSLSLMDTRSRSNFDSDSTEFSDTVDFHHFLVQRRANDLRLFPFSALKCATRGFSRALLVGEGGFGSVYRGVVEEKEVAIKQLNRNGQQGHKEWINEVNLLGVVKHPNLVRLVGYCAEDDERGIQRLLVYEFMPNKSLEEHLLARVPSTVLPWGTRLRIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDENFNAKLSDFGLARQGPSEGSGYVSTAVVGTIGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRAVERNLPKNEQKLLEWVRSYVSDPRKFHHIVDPRLKGQYCIKSAHKLAILANKCLTKQPKSRPKMSEVVESLGIIIIETDTRYEGFPQAAVAAGEEKEEKVSVEETEPEPAKQGNNYLKKVFEFKDMVSLRNKSIGRLDWKSWAPGLVRSW >Vigun04g130100.1.v1.2 pep primary_assembly:ASM411807v1:4:32598086:32599433:-1 gene:Vigun04g130100.v1.2 transcript:Vigun04g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLSFMFPPPPSVVVSGLTVISFVILVSSFLSEIKGKHLNYSKFWNANPSAQKQIKLPSKVGMLLLYTPAFLAGLASFWIFPPQSLRSIILQSAVTFHFFKRVFEVLFIHKYSGGMTLESAIPITLSYFLSAATMTYSQHLTNGLPEPPMNLLYPGTVIFVVAIICNFYHHYLLSNLRKEGEKEYKIPKGGLFGLVICPHYLFEIIVFYGIFFISQTLYAFSFAIGTNLYLLGRSYSTRKWYVSKFEDFPQNVKAVIPFVF >Vigun11g225500.2.v1.2 pep primary_assembly:ASM411807v1:11:41577363:41587644:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >Vigun11g225500.6.v1.2 pep primary_assembly:ASM411807v1:11:41574895:41587643:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSTAVSASAKVYPSNDPDPDSYALEKFRLYETRARFYLIGSDRNKRFFRVLKIDRSERSDLNISQDPVLYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGAICGHAIYSIKESQLITIPHVSIQSDLAHSKTELRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVSPSEDGGMSYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFRQSRLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >Vigun11g225500.7.v1.2 pep primary_assembly:ASM411807v1:11:41580700:41587644:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >Vigun11g225500.5.v1.2 pep primary_assembly:ASM411807v1:11:41578586:41587644:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDDNKVDAGLTILIPVVFSMRILVKIGQSRLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >Vigun11g225500.3.v1.2 pep primary_assembly:ASM411807v1:11:41578286:41587644:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >Vigun11g225500.1.v1.2 pep primary_assembly:ASM411807v1:11:41574895:41587643:1 gene:Vigun11g225500.v1.2 transcript:Vigun11g225500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSTAVSASAKVYPSNDPDPDSYALEKFRLYETRARFYLIGSDRNKRFFRVLKIDRSERSDLNISQDPVLYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGAICGHAIYSIKESQLITIPHVSIQSDLAHSKTELRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVSPSEDGGMSYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFRQSRLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFASAVGYLNQILPVENHLRFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPNIIKRVNKSNRTSTGRDSSLRDLRASSGDIVRIGNSNEMINSVVNRDKETDTNHQNKKDNLSDDAPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLTDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPHEGKPALWELDSDYYLHVSGVGDDLIPEKCSEPNPKSSESGGIVFTPIPACREDFLRIKLTSFDKLIEKTCSTIKNVRLCSEPDQRPGGSSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASHETDVEGSHANGFCDLNWLSSGSDINEEDVFQRYLAMTSANEANGWYGGSLLGDQDESSEIYKHYAELCQGPALELFQNDSEREQHYADALSTSSYEIVNDTSVAAEMEAALQEYDQVGADLGIIPRSCKFFAGDPSWLTRWLTGEEKLQSI >VigunL059107.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000646.1:3533:3673:1 gene:VigunL059107.v1.2 transcript:VigunL059107.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun03g187700.1.v1.2 pep primary_assembly:ASM411807v1:3:25151225:25152941:1 gene:Vigun03g187700.v1.2 transcript:Vigun03g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDRDTAAALPSPLALTGDVVALRFTPRKTLLHLRLLFRFSSAFKIGAAPIHFAAVRSRSPDTAVSGFSRLKVVVGPAVTVEGIASTAGARGLRGSSSRDHHSSVHVTTRDHTPVQSFESPAARSKW >Vigun04g020000.1.v1.2 pep primary_assembly:ASM411807v1:4:1529073:1531364:-1 gene:Vigun04g020000.v1.2 transcript:Vigun04g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLETLTIPATLLVLFILILSFALFHPNQHLKDDRKHPPGPKPLPIIGNLHMLGKLPHRTLQALAKKHGPIMSIKLGQIPAIVVSSPETAELFLKTHDVVFASRPKIQAAEYLSYGGKGMVFTEYGPYWRHVRKLCTTQLLSSSKVEMLGPLRREELGVFVKSVEKVAASGDVVNLSEQIGELMSNIVCKMILGCSKDDRFDLKGLTRQVLHLVGVFNVADYVPWAAIFDLQGLKTKLKKARNAFDQVFEQIIKDHEYPTDRDKKSVQYSEDFVDMLLSHMHQAMDQQEQDHFIDRTNVKAIIIDMIAGAFETSGVALEWAMSELLRHPKDMKKLQQELTDVVGMNRFVEECDLPKLHFLDMVVKETLRLYPPGPLLVPRESSQDICIDGYHIKKKTRILINAWAIGRDPKVWSENAEMFYPERFSDSDIDIRGHDFRLLPFGSGRRGCPGIQLGLTTFGFALAQLVHCFDWKLPIGMSCDDLDMTEAFGLSIPRSKHLLAIPTYRLSNEA >Vigun01g187000.1.v1.2 pep primary_assembly:ASM411807v1:1:36700402:36701577:1 gene:Vigun01g187000.v1.2 transcript:Vigun01g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHTKTNVVDGVHTDVKVVETPTKEIPYHLLMRFLALSLTLVATIVVGVDNQTKVISYAEMNFRASAKWEYMSAMVFFVVSNAIACSYAAASLVITVMARSGGRRKSDLTDLVITGLDLVLMAVLFSANGAACAVGVIAQKGNTHVQWMKVCDVFDAYCRHMTAALVLSIIGSSVFLLLVLHSVVKLHCRSRS >Vigun07g209500.2.v1.2 pep primary_assembly:ASM411807v1:7:33092413:33096617:1 gene:Vigun07g209500.v1.2 transcript:Vigun07g209500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKSNKSSTSLTVPNLFLLFTLLSLFSLFIFLFFPTATTIHSFPSPHASSTINVYVADLPRSLNYDLLHRYWTSFSDSRLSSDEDHQHPLSLHPIAKYPPYPDNPLIKQYSAEYWIMGDLITPPQQRVTSFAKRVLDPRLADVVFVPFFATLSAEMQLGANKGAFRKKTGNEDYNRQREVMDAVKSTEAWNRSGGRDHVFVLTDPVAMWHVKDEIAPAILLVVDFGGWYKLDSRGSNCSESGVLPHTQVSVIKDVIVPYTHLLPRLDLSQNKERHQLLYFKGAKHRHRGGMIREKLWDLMINEPGVIMEEGFPNATGRDQSIKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEVNNHVMAG >Vigun07g209500.1.v1.2 pep primary_assembly:ASM411807v1:7:33092413:33096617:1 gene:Vigun07g209500.v1.2 transcript:Vigun07g209500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKSNKSSTSLTVPNLFLLFTLLSLFSLFIFLFFPTATTIHSFPSPHASSTINVYVADLPRSLNYDLLHRYWTSFSDSRLSSDEDHQHPLSLHPIAKYPPYPDNPLIKQYSAEYWIMGDLITPPQQRVTSFAKRVLDPRLADVVFVPFFATLSAEMQLGANKGAFRKKTGNEDYNRQREVMDAVKSTEAWNRSGGRDHVFVLTDPVAMWHVKDEIAPAILLVVDFGGWYKLDSRGSNCSESGVLPHTQVSVIKDVIVPYTHLLPRLDLSQNKERHQLLYFKGAKHRHRGGMIREKLWDLMINEPGVIMEEGFPNATGRDQSIKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGIVDYSEFSVFVAISDALKPNWLVNHLKSFSKEQKATFRQNMARVQPVFVYDNGHPGGIGPVSLDGAVNHIWKKVHQKLPMIKETIIRERRKPPGVSVPRRCHCT >Vigun09g193300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36822386:36822861:-1 gene:Vigun09g193300.v1.2 transcript:Vigun09g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPKKGPLAGLGPTLVGFVVGANILAGGAYSAASMNPARSFGPALVTGDWTDHWVYWVGPLVGGGLAGFIYETFFIDRSHAPLPRDEEF >Vigun06g162700.1.v1.2 pep primary_assembly:ASM411807v1:6:28523411:28527508:-1 gene:Vigun06g162700.v1.2 transcript:Vigun06g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGLGMAPPTMGQQPQQQYQQPPPQQQPYVMMQPQPQAPPPMWAPSAQPSSQQQPASVDEVRTLWIGDLQYWMDENYLYTCFAPTGEVTSVKVIRNKQTSQSEGYGFIEFNSRAAAERILQTYNGAIMPNGGQSFRLNWASFSAGERRHDDSPDYTIFVGDLAADVTDYLLQETFRARYNSVKGAKVVIDRLTGRTKGYGFVRFADESEQVRAMTEMQGVLCSTRPMRIGPASNKTPATQPKASYQNSQAQGTQNENDPNNTTIFVGNLDANVSDDHLRQVFSQYGELVHVKIPAGKRCGFVQFADRKCAEEALRMLNGTLLGGQNVRLSWGRSPSNKQAQADPNQWNGGGGGYYGYAQGYENYGYAPAGQDPNVYGSYPGYPGYQPPQQQQQIGYS >Vigun04g064800.2.v1.2 pep primary_assembly:ASM411807v1:4:6993025:6998472:1 gene:Vigun04g064800.v1.2 transcript:Vigun04g064800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSSSSMLKTLERYQKCSYGAVEVSKPAKELESSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEQMLVEANRALTMKLDEINSRNQYRQTWEAGDQSMSYATQNAHSQGFFQPLECNPTLQIGSDYRYNPEASDQLTATTQAQQVQQVNGFIPGWML >Vigun04g064800.1.v1.2 pep primary_assembly:ASM411807v1:4:6993025:6998472:1 gene:Vigun04g064800.v1.2 transcript:Vigun04g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSSSSMLKTLERYQKCSYGAVEVSKPAKELEQSSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEQMLVEANRALTMKLDEINSRNQYRQTWEAGDQSMSYATQNAHSQGFFQPLECNPTLQIGSDYRYNPEASDQLTATTQAQQVQQVNGFIPGWML >Vigun06g190200.1.v1.2 pep primary_assembly:ASM411807v1:6:30802480:30807034:1 gene:Vigun06g190200.v1.2 transcript:Vigun06g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGHEIHNNEETSSGCSQKCSTFDLNAEACSDDNTNGNAEEGYEFTTNDNNIEKVKEEGTSGNGSSISREGNDRRGTVRQYVRSKMPRLRWTPELHHSFVHVVERLGGQDRATPKLVLQLMNVQGLSIAHVKSHLQMYRSKKLDEVGQVLSQTYRWNQNQKLRRSVILHESMSPQQHLKMGNGGIILATQFNKHYHFPSHTDSRQQQWYINHQPFIKSIGCGQIQPKDTTTRPSKLLEEKSWLPLEIINNHQSKFQKLPAIVAPKNGSQAVQPDEWSFVNNTSVTEYLSNKSNEPRNYSNSLKLEFDPPFRIKKDEQELPNLQLGLSYSVASDGGKVDHYRETQEISTKLSLS >Vigun06g190200.2.v1.2 pep primary_assembly:ASM411807v1:6:30802480:30807033:1 gene:Vigun06g190200.v1.2 transcript:Vigun06g190200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGHEIHNNEETSSGCSQKCSTFDLNAEACSDDNTNGNAEEGYEFTTNDNNIEKVKEEGTSGNGSSISREGNDRRGTVRQYVRSKMPRLRWTPELHHSFVHVVERLGGQDRATPKLVLQLMNVQGLSIAHVKSHLQMYRSKKLDEVGQVLSQTYRWNQNQKLRRSVILHESMSPQQHLKMGNGGIILATQFNKHYHFPSHTDSRQQQWYINHQPFIKSIGCGQIQPKDTTTRPSKLLEEKSWLPLEIINNHQSKFQKLPAIVAPKNGSQAVQPDEWSFVNNTSVTEYLSNKSNEPRNYSNSLKLEFDPPFRIKKDEQELPNLQLGLSYSVASDGGKVDHYRETQEISTKLSLS >Vigun11g210100.1.v1.2 pep primary_assembly:ASM411807v1:11:40545940:40549369:-1 gene:Vigun11g210100.v1.2 transcript:Vigun11g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQTPTISFFIVLSSLILCNGYIEEPLYKTNYKHYPMHHGRFIRRKHEHFGFITRANRVAPLSSSPRGTVNVDDFGAKADGRDNTEAFGKAWREACSRGAILVVPENRIYRLKPITFSGPCRPNTAFMLYGTIEAWTQMSAYQDRQHWIMFDSVSNFRVGGGGTFNGKGKTWWQNSCKATTNLPCNDEPRPKAVTFFQCKNLEVRNLMFKDSQQMHLVFDGCFNVIASNLIIRAPGDSPNTDGIHVADTQNIVISNSHIGTGDDCISIISGSQNVRATDIICGPGHGISIGSLGADKSEAEVSNVVVNRATLTGTTNGVRIKTWQGGSGYARNIKFLNIMMQNVTNPIIIDQYYCDQTKPCQEQNSAVQLSNVLYQNIRGTSASEVAIKFDCSRAVPCRQIYLQDVILEPQGRGGTIATCENVRYVNRGKFFPQCTP >Vigun03g128200.3.v1.2 pep primary_assembly:ASM411807v1:3:12395821:12399161:1 gene:Vigun03g128200.v1.2 transcript:Vigun03g128200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESAAGREYGTGLLTSTKHSVKTEGFHQRGNSWYVQCTLLIFLFVSWLKINIKISDKFAFYIRFMFTCRYVSADIPSDLVVQIGEANFHLHKYPLLSRSGKLNRIIYDSRDPDLNKIVMDDLPGGPEAFELAAKFCYGIAIDLTAANISGLRCSAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRRASQVSSPKWNDMKDSSPSRNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRYELIGAGIMHYATKWLPGLMNDTTIPPDEGSNSSNSNSSSSSGGWKSGLQMVVSRPRDDTSTLQAKDQRMIIESLISIIPPQKDSVSCNFLLRLLRMANMLKVALALITELEKRVGMQFEQATLGDLLIPCYNKNDTVYDVDLVQRLLEHFLVQEQTESSSPSRPPFPDKHATSNINAKTRVARLVDSYLTEVSRDRNLTLTKFQVLAEALPESARTSDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALSNSSLKEGVESHYQPMLPNRKTLLEGTPQSFQEGWAAAKKDINTLKFELETVKTKYLELQNDMENLQKQFDKLLKQKHTSAWTSGWKKLSKLTKMTNVENHDISPQLPTSEEQNRKTTTRKWRNSIS >Vigun03g128200.2.v1.2 pep primary_assembly:ASM411807v1:3:12395821:12399161:1 gene:Vigun03g128200.v1.2 transcript:Vigun03g128200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESAAGREYGTGLLTSTKHSVKTEGFHQRGNSWYVSADIPSDLVVQIGEANFHLHKYPLLSRSGKLNRIIYDSRDPDLNKIVMDDLPGGPEAFELAAKFCYGIAIDLTAANISGLRCSAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRRASQVSSPKWNDMKDSSPSRNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRYELIGAGIMHYATKWLPGLMNDTTIPPDEGSNSSNSNSSSSSGGWKSGLQMVVSRPRDDTSTLQAKDQRMIIESLISIIPPQKDSVSCNFLLRLLRMANMLKVALALITELEKRVGMQFEQATLGDLLIPCYNKNDTVYDVDLVQRLLEHFLVQEQTESSSPSRPPFPDKHATSNINAKTRVARLVDSYLTEVSRDRNLTLTKFQVLAEALPESARTSDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALSNSSLKEGVESHYQPMLPNRKTLLEGTPQSFQEGWAAAKKDINTLKFELETVKTKYLELQNDMENLQKQFDKLLKQKHTSAWTSGWKKLSKLTKMTNVENHDISPQLPTSEEQNRKTTTRKWRNSIS >Vigun03g128200.1.v1.2 pep primary_assembly:ASM411807v1:3:12395817:12399161:1 gene:Vigun03g128200.v1.2 transcript:Vigun03g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESAAGREYGTGLLTSTKHSVKTEGFHQRGNSWYVSADIPSDLVVQIGEANFHLHKYPLLSRSGKLNRIIYDSRDPDLNKIVMDDLPGGPEAFELAAKFCYGIAIDLTAANISGLRCSAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRRASQVSSPKWNDMKDSSPSRNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRYELIGAGIMHYATKWLPGLMNDTTIPPDEGSNSSNSNSSSSSGGWKSGLQMVVSRPRDDTSTLQAKDQRMIIESLISIIPPQKDSVSCNFLLRLLRMANMLKVALALITELEKRVGMQFEQATLGDLLIPCYNKNDTVYDVDLVQRLLEHFLVQEQTESSSPSRPPFPDKHATSNINAKTRVARLVDSYLTEVSRDRNLTLTKFQVLAEALPESARTSDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALSNSSLKEGVESHYQPMLPNRKTLLEGTPQSFQEGWAAAKKDINTLKFELETVKTKYLELQNDMENLQKQFDKLLKQKHTSAWTSGWKKLSKLTKMTNVENHDISPQLPTSEEQNRKTTTRKWRNSIS >Vigun07g188900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30637088:30640511:1 gene:Vigun07g188900.v1.2 transcript:Vigun07g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEELSLGGSKDHANIVKGKRTKRVRPQSPIPFSVTANSSTGEGERDDFYNVDDNTNTNTNTSNITSNNNNKNHDINNNNHNIINNNSNNSPTTSSAGLQDSTDEEEDMANCLILLAQGQSRESPKHVEEDVGMNYAKYSSRKFLEAATLGSSRAGYYVYECKTCNRTFPSFQALGGHRASHKKPKAIMPIAQDKKHQHLLSSDEEEFQLKTNNNNKSPFSLQLSSKANLYNKSKVHECSICGAEFTSGQALGGHMRRHRAPVGIPTTLSFTPLALEPEEDHPRKKRNVLNLELDLNLPAPEDDPRESKFSFASKQHQQQQHGQKQQQQQQQQQQPQTSLVLTAPALVDCHY >Vigun07g291800.1.v1.2 pep primary_assembly:ASM411807v1:7:40327794:40330826:1 gene:Vigun07g291800.v1.2 transcript:Vigun07g291800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLARIRAELRASVFEAIEEEDRVIEKDQGLPPALLGSCNDRAKQLHASPPGRLLTAMICEYLDWAQLNHTLKVYLPECNLEKDFWKTELKEFSAKNGYDLNRNRDSPLLLDVLEGFLKLENLSQARASGRRYTASETESLPNSDSRNSRRHSSSSVAGGLPPLGRAVPSSQASSDRRGGSSMSAYRKDEYNWRYDSDELAEDIIQTSGALENLQLDRKARNLTSSWRHAGDGINEDDGRVDHV >Vigun02g147800.1.v1.2 pep primary_assembly:ASM411807v1:2:29527387:29529296:-1 gene:Vigun02g147800.v1.2 transcript:Vigun02g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNLMNMFYLFIFVITFKLLFFRKGLKNLPPSPPSLPLIGHLHMLKHPIHRTFHTLSHKYGPIFALRFGYQPVLVVSSASAAEECFTKNDIIFANRFNSSKTKYLAFNNTLITVSSYGDHWRNLRRISSLEILSNHRLNSFLGIRRDETIRLLQKLVRVCNKSDFTKVELRPMFADLTFNTVMRMVCGKRYYGVEEHDGTNAEEARKFREVMDELTQFGLGSNLGDFVPFFRLFNFSGDKKLRKFGDKLNALFQGLIDEHRKKKESSNTMLDHLLSSQQSQPEYYTDQIIKGLIMALIVAGTETSAAALEWAMSHLANHPEVLEKARIELEKHVGEARLVEEMDVPKLQYLQNIVSETLRLNPPVPMLLPHLSSEDCTVGGYDVPRNTVLMVNAWAIHRDPELWADPTSFKPERFENGPVNGHKLIPFGLGRRACPGAAMAQKTLGLTLSSLIQCFEWKRIGEEEVDLSEGEGTVVRKAVPLKLQCKPRSIMTNNFLFSLKSP >Vigun03g232400.1.v1.2 pep primary_assembly:ASM411807v1:3:38732355:38732976:-1 gene:Vigun03g232400.v1.2 transcript:Vigun03g232400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSWIRSFALTEAFGPSEKDFISLRASKRERSKQSRSFARSKTGKQSCRISGSFPRPTKQKYNSQFAAFPRPEKGLYCSPLFDMFIKYQYKQKLHQSGKAPIEARSNL >Vigun03g292400.1.v1.2 pep primary_assembly:ASM411807v1:3:47722954:47729331:1 gene:Vigun03g292400.v1.2 transcript:Vigun03g292400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSNNANVACAFKFVVEAVALFRSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDRPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKSIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRSRIEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFTVTSGRAKYNASIDSLVWKIRKFPGQTESTLSAEVELISTTTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Vigun09g149100.1.v1.2 pep primary_assembly:ASM411807v1:9:31247158:31253892:1 gene:Vigun09g149100.v1.2 transcript:Vigun09g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRSKPCRETATLALVHFNHLLFNFTRAGSSRCPPRATFGVSRGYNVVASLGDPPEVWSGGGIVVRPGNSNFEVASGAPNSSDSKEGCWGGSNLGNNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIFNESSLPKWPAGDSDDNVKADAVEDDRVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATSLTQAGYVGEDVESILYKLLMVADYNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFGAPIRANMRTGKVTEAAVASSLLETVESSDLIAYGLIPEFVGRFPILVSLSALTENQLIQVLTEPKNALGKQYKKMFQMNGVKLHYTESALRSIAKKAISKNTGARGLRSILENVLVDAMYEIPDVRTGDDVIDAAVVDEEAVGNVGCGGRGAKILYGKGALDRYLTEQKKDSETLETSATDHEADAELPSIVASM >Vigun10g134600.1.v1.2 pep primary_assembly:ASM411807v1:10:34461927:34465746:-1 gene:Vigun10g134600.v1.2 transcript:Vigun10g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRMSQQHLLSKIATNDKHGENSPYFDGWKAYERNPFHPTENPQGVIQMGLAENQLCFDLVEEWILNNPEASICTPEGVHQFRNIANFQDYHGLQEFRNAIANWMSKVRGGRVRFDPDRILMSGGATGANEQIMFCLADPGDAFMIPTPFYPGFVRDLCWRTQVQLIPVHCHSSNNFKITREALEEAYKKAKEDNINVKGLIITNPSNPLGTTLDKDTLKSLVSFINEKNIHLVCDEIYAATVFSSSPSYVSVAEVIQEMEHCKRELVHVIYSLSKDMGFPGFRVGIVYSFNDEVVSCARKMSSFGLVSSQTQHMLASMLSDEKFVTRFLSENSRRLEERHEKFMKGLEEVNIARFPSSAGLFFWMNLKSLLEEPSFEAELKLWRVIIHEVKLNVSPGSSFNCSEPGWFRVCFANMDDETVDIALNRIRELVGKETKKPVGIKRWQLNLRLSFSSRMFDESAMSPHSPLASPLVQAT >Vigun09g075300.1.v1.2 pep primary_assembly:ASM411807v1:9:8521364:8524221:-1 gene:Vigun09g075300.v1.2 transcript:Vigun09g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGLFLLGFLSLASPLSAASDYGWMNAHATFYGGGDASGTMGGACGYGNLYSEGYGTNSAALSTALFNNGLICGACFEIRCVDDNKWCLPRSVVVTATNFCPPNNALPNTAGGWCNPPLHHFDLSQPVFQQIAQYRAGIVPVAYRRVPCQKRGGIRFTINGHSYFNLVLITNVGGAGDVHGVSIKGSRSNWQPMTRNWGQNWQSNSYLNGQSLSFKVTTSEGRTVVSYNVAPPSWSFGQTFNGNQFV >Vigun10g200000.3.v1.2 pep primary_assembly:ASM411807v1:10:41216874:41221521:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGAYSWGVVSDRYGRRKGFLITATVTAIAGFLSAFAPNYILLIALRSVVGVGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIFEASLAWIVMPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun10g200000.2.v1.2 pep primary_assembly:ASM411807v1:10:41216874:41221456:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun10g200000.1.v1.2 pep primary_assembly:ASM411807v1:10:41216874:41221456:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEGPSYTVDDALVAVGFGNFQILVLVYAGVGWVSEAMEMMLLSFVGPAVQAAWSLSAHEESLITSVVFVGMLIGAYSWGVVSDRYGRRKGFLITATVTAIAGFLSAFAPNYILLIALRSVVGVGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIFEASLAWIVMPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun10g200000.4.v1.2 pep primary_assembly:ASM411807v1:10:41216874:41221456:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGAYSWGVVSDRYGRRKGFLITATVTAIAGFLSAFAPNYILLIALRSVVGVGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIFEASLAWIVMPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun10g200000.6.v1.2 pep primary_assembly:ASM411807v1:10:41216873:41221456:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun10g200000.5.v1.2 pep primary_assembly:ASM411807v1:10:41216873:41221456:-1 gene:Vigun10g200000.v1.2 transcript:Vigun10g200000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLGWRWLLALSSLPTSFLLLFYKVTPESPRYLCLKGRKAEAIEVLEKIARVNGRELPSGNLVSDHEIELQQIDNPSEDARLLSPRTNEIKHPSGMDSNLGGISSLSVLLSPKLARSTVLLWAVFFGNAFSYYGLVLLTTELNGHSKCMSHKLQTEKSQDVGYKSVFIASFAEIPGLLLSAVAVDKLGRKLSMSTMFFMCCIFLLPLVFHLPEGLTTGLLFLARICITATFTIVYIYAPEMYPTSVRTTGVGIASSVGRIGGMICPLVAVGLVHECHQTAAILLFEIIILFSGICVMFLPIETMGQELRDSVQV >Vigun02g183900.1.v1.2 pep primary_assembly:ASM411807v1:2:32397987:32401153:1 gene:Vigun02g183900.v1.2 transcript:Vigun02g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKHEESSSPIKFHTSRNQCSTSIFGISFSFIFSLWCLLFYIKLRLVHGTGAMLELNESGSSNNVSQKLTKPLYSLPETTSIEQVFLHVLGNGSNLVCKVHPPQEENKLQEISDGKLQPHYDEFQNMTEGQEKTKGMDSALVNITHRLESDGSVYNYASDSKGAKVVAHNKEAKGATNILGKDHDKYLRNPCSVEGKFVVIELSEETLVDSVKIANFEHYSSNFKEFELAGSLSYPTEEWSILGKFVAANVKHAQVFKLSEPKWVRYLKLTLLSHYGSEFYCTLSVVEVYGINAIERMLKDLIVASVGSIPDKPPVDTNSDTPSLKSEDGQNDKNGKKVDTKNDTVAAEVSSNDTTREYDAEAAKMTTTAKLNTDPVLELRQQLNGRVAGDTVLKILMKKVRSVEVNLSALEDYLKELNRRQGAKIPDLEKQLSRLSESLGQSKSQIRDLWHWNTNLEKGISEVESWKDAVSSQLNELARENSMLRSDVRKIASNQANMETKELAVFATSLVFVCLAVLKIVSIHMLTFSASYNANKVLQTIRGWVTLFVCCSITIFIILFHS >Vigun02g183900.2.v1.2 pep primary_assembly:ASM411807v1:2:32397987:32401153:1 gene:Vigun02g183900.v1.2 transcript:Vigun02g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKHEESSSPIKFHTSRNQCSTSIFGISFSFIFSLWCLLFYIKLRLVHGTGAMLELNESGSSNNVSQKLTKPLYSLPETTSIEQVFLHVLGNGSNLVCKVHPPQEENKLQEISDGKLQPHYDEFQNMTEGQEKTKGMDSALVNITHRLESDGSVYNYASDSKGAKVVAHNKEAKGATNILGKDHDKYLRNPCSVEGKFVVIELSEETLVDSVKIANFEHYSSNFKEFELAGSLSYPTEEWSILGKFVAANVKHAQVFKLSEPKWVRYLKLTLLSHYGSEFYCTLSVVEVYGINAIERMLKDLIVASVGSIPDKPPVDTNSDTPSLKSEDGQNDKNGKKVDTKNDTVAAEVSSNDTTREYDAEAAKMTTTAKLNTDPVLELRQQLNGRVAGDTVLKILMKKVRSVEVNLSALEDYLKELNRRQGAKIPDLEKQLSRLSESLGQSKSQIRDLWHWNTNLEKGISEVESWKDAVSSQLNELARENSMLRC >Vigun02g183900.3.v1.2 pep primary_assembly:ASM411807v1:2:32397987:32401153:1 gene:Vigun02g183900.v1.2 transcript:Vigun02g183900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKHEESSSPIKFHTSRNQCSTSIFGISFSFIFSLWCLLFYIKLRLVHGTGGKAMLELNESGSSNNVSQKLTKPLYSLPETTSIEQVFLHVLGNGSNLVCKVHPPQEENKLQEISDGKLQPHYDEFQNMTEGQEKTKGMDSALVNITHRLESDGSVYNYASDSKGAKVVAHNKEAKGATNILGKDHDKYLRNPCSVEGKFVVIELSEETLVDSVKIANFEHYSSNFKEFELAGSLSYPTEEWSILGKFVAANVKHAQVFKLSEPKWVRYLKLTLLSHYGSEFYCTLSVVEVYGINAIERMLKDLIVASVGSIPDKPPVDTNSDTPSLKSEDGQNDKNGKKVDTKNDTVAAEVSSNDTTREYDAEAAKMTTTAKLNTDPVLELRQQLNGRVAGDTVLKILMKKVRSVEVNLSALEDYLKELNRRQGAKIPDLEKQLSRLSESLGQSKSQIRDLWHWNTNLEKGISEVESWKDAVSSQLNELARENSMLRSDVRKIASNQANMETKELAVFATSLVFVCLAVLKIVSIHMLTFSASYNANKVLQTIRGWVTLFVCCSITIFIILFHS >Vigun09g147400.1.v1.2 pep primary_assembly:ASM411807v1:9:31106934:31111280:-1 gene:Vigun09g147400.v1.2 transcript:Vigun09g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPHLQRFLFCKLHSRAASKTTQRNTFSTTSRGRCCSAIAIDAPSSLTEVPGVRWGSIALQGLREEMEDDIIVRPDGLQGFSFAAVFDGHGGFSSVEFLSANYRDELYKECVEALQGGLLLVEKDFKAIKGALQEAFLKADTRLLKRLEMNGEEDESGATATAIFIGDDELLISHIGDSSAVLCRSGKAEVLTSPHRPYGSNKTSLDEIRRIREAGGWISNGRICGDIAVSRAFGDVRFKTKKNEMLQKGVQEGKWSAKFISRVQLNNDLVVAYPDIYQVALGSDAEFVVLATDGLWDYMSSSEAVSFVRDQLRKHGNIQLACESLAEAALDRRTQDNVSIIIADLGRTDWQNVPLERQNTIVELVQALATIGIVSFGIWFSSQLSL >Vigun09g147400.2.v1.2 pep primary_assembly:ASM411807v1:9:31106934:31111280:-1 gene:Vigun09g147400.v1.2 transcript:Vigun09g147400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPHLQRFLFCKLHSRAASKTTQRNTFSTTSRGRCCSAIAIDAPSSLTEVPGVRWGSIALQGLREEMEDDIIVRPDGLQGFSFAAVFDGHGGFSSVEFLRDELYKECVEALQGGLLLVEKDFKAIKGALQEAFLKADTRLLKRLEMNGEEDESGATATAIFIGDDELLISHIGDSSAVLCRSGKAEVLTSPHRPYGSNKTSLDEIRRIREAGGWISNGRICGDIAVSRAFGDVRFKTKKNEMLQKGVQEGKWSAKFISRVQLNNDLVVAYPDIYQVALGSDAEFVVLATDGLWDYMSSSEAVSFVRDQLRKHGNIQLACESLAEAALDRRTQDNVSIIIADLGRTDWQNVPLERQNTIVELVQALATIGIVSFGIWFSSQLSL >Vigun11g152600.1.v1.2 pep primary_assembly:ASM411807v1:11:36234058:36235443:-1 gene:Vigun11g152600.v1.2 transcript:Vigun11g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEMRRGPWTEQEDLKLVYFVNMFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPDLKRGKLTSQEEHLVMELHSKWGNRWSRIARKLPGRTDNEIKNFWRTFMRKKKALEKKRASPSSSSGSLSSLSSNNHAVDADDSHASKEVGEESFYDTGGYDDIMGLIGAGEECSLDDIWKDIVLSEDNNNNNNNNINILQQPVYELHSEEGSKIYSPSSWEYNCSHPLWVMDDEEESNKMLFPASSDQYYSWYEQGNAFLTG >Vigun11g152600.3.v1.2 pep primary_assembly:ASM411807v1:11:36233984:36235584:-1 gene:Vigun11g152600.v1.2 transcript:Vigun11g152600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSKWGNRWSRIARKLPGRTDNEIKNFWRTFMRKKKALEKKRASPSSSSGSLSSLSSNNHAVDADDSHASKEVGEESFYDTGGYDDIMGLIGAGEECSLDDIWKDIVLSEDNNNNNNNNINILQQPVYELHSEEGSKIYSPSSWEYNCSHPLWVMDDEEESNKMLFPASSDQYYSWYEQGNAFLTG >Vigun11g152600.4.v1.2 pep primary_assembly:ASM411807v1:11:36234007:36235585:-1 gene:Vigun11g152600.v1.2 transcript:Vigun11g152600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSKWGNRWSRIARKLPGRTDNEIKNFWRTFMRKKKALEKKRASPSSSSGSLSSLSSNNHAVDADDSHASKEVGEESFYDTGGYDDIMGLIGAGEECSLDDIWKDIVLSEDNNNNNNNNINILQQPVYELHSEEGSKIYSPSSWEYNCSHPLWVMDDEEESNKMLFPASSDQYYSWYEQGNAFLTG >Vigun11g152600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36233984:36235584:-1 gene:Vigun11g152600.v1.2 transcript:Vigun11g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKALEKKRASPSSSSGSLSSLSSNNHAVDADDSHASKEVGEESFYDTGGYDDIMGLIGAGEECSLDDIWKDIVLSEDNNNNNNNNINILQQPVYELHSEEGSKIYSPSSWEYNCSHPLWVMDDEEESNKMLFPASSDQYYSWYEQGNAFLTG >Vigun04g033400.2.v1.2 pep primary_assembly:ASM411807v1:4:2756723:2765781:-1 gene:Vigun04g033400.v1.2 transcript:Vigun04g033400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRYHPDMNKSPGAEEKFKEISAAYEVLSDDEKRSLYDRFGELGLQEENGGSSGAPGVDPFDLFDTFFGRSDGIFRDGDDGGINFNFGNKRNRSHDIRYDLNLSFEESIFGAQREIEVSCFQTCDTCDGTGAKSKNCIKQCTNCGGRGGEMKSQRTPFGMMSQVSTCSKCSGLGKIITDHCRRCDGSGQVQSKQTIEVDIPAGVNDGDTMQIQGQGNFDKKRQITGDLFVVLHVDEKQGIWREGLHLYSKINIDFTDAILGSVKKVETVEGLRDLQIPSGIQTGDSVKLSRLGVPDMNKPFVRGNHYFIVNVLIPKDISGTERALVEQLASLRASNKRDSLSSGDNGIPKGKFNEFTERRDPRGDGSSKIKNVDSLWGSIKNFLSGRQSDERFASISMDTSALIRRYGDQNPSVLNFFIVLFVVTWIFALRAKSKYSMLQKISESLNRTQ >Vigun04g033400.1.v1.2 pep primary_assembly:ASM411807v1:4:2756723:2765781:-1 gene:Vigun04g033400.v1.2 transcript:Vigun04g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRTTPPLPCAISTLTTLTNAPPSSSSTLSAATFGSHLLRKIQNPIIGFSATRNRSRAAVIRAKAGADYYSTLNVSPSASLQEIKASYRKLARKYHPDMNKSPGAEEKFKEISAAYEVLSDDEKRSLYDRFGELGLQEENGGSSGAPGVDPFDLFDTFFGRSDGIFRDGDDGGINFNFGNKRNRSHDIRYDLNLSFEESIFGAQREIEVSCFQTCDTCDGTGAKSKNCIKQCTNCGGRGGEMKSQRTPFGMMSQVSTCSKCSGLGKIITDHCRRCDGSGQVQSKQTIEVDIPAGVNDGDTMQIQGQGNFDKKRQITGDLFVVLHVDEKQGIWREGLHLYSKINIDFTDAILGSVKKVETVEGLRDLQIPSGIQTGDSVKLSRLGVPDMNKPFVRGNHYFIVNVLIPKDISGTERALVEQLASLRASNKRDSLSSGDNGIPKGKFNEFTERRDPRGDGSSKIKNVDSLWGSIKNFLSGRQSDERFASISMDTSALIRRYGDQNPSVLNFFIVLFVVTWIFALRAKSKYSMLQKISESLNRTQ >Vigun01g147300.1.v1.2 pep primary_assembly:ASM411807v1:1:32883138:32891044:1 gene:Vigun01g147300.v1.2 transcript:Vigun01g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSFRNGSTSIWRESGEDMFSNSFHREDDEEALKWATIQKLPTISRMRKALLTSSEGGVNEIDVHKLGLQERRVLLQRLVRTAEEDNEKFLLKLRERIDRVGIDLPAIEVRFENLNVEAEARVGNRALPTFTNFMVNIVEGLLNSLCILRSSKQHIRILQDVSGIIKPGRMTLLLGPPSSGKTTLLLALAARLDPKLKFSGKVTYNGHGVDEFVPQRTAAYVNQNDLHIAELTVRETLAFSARVQGVGTRYDLLAELSRREKQENIMPDSDIDVYMKAVATEGQKANLITDYILRILGLEICADTVVGNAMLRGISGGQKKRLTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQSVHILKGTTVISLLQPAPETYNLFDDIILLSDSHIVYQGPREYVLEFFESLGFQCPERKGVADFLQEVTSRKDQEQYWAHKDQPYGFVTAKEFSEAHKSFHVGRSLAQELATEFDKSKSHPAALTTKKYGVGKWELLKACLSREYLLIKRNSFVYIFRLSQLAVMALITMTVFLRTEMHRDSVTDGGIYVGALFYCLVVVMFNGFAELSMVVVKLPIFYKQRDNLFFPSWAYALPAWILKIPMTFVEVAVWIFLTYYVIGFDPNIGRFFRQCLVLLLVNQMASALFRFIAGVGRELKVALTLGSFTLTILFAMSGFILSKDNIKKWWLWGFWISPVMYGQNALVNNEFLAERWGHVLPNSTEPLGVEVLKSRGFFVQSYWFWIGVAVLIGYTLLFNFGYILALTYFSTPGKQHAFISEEPENNEQNGVREGESICGTTPSHTLLTSNRGMVLPFEPHSITFDKVTYVVDMPQEIRNQGIVENKLVLLKGVTGSFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGYVGGNITISGYQKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDINAQTRKMFIEEVMELVELKPLRHAIVGLPGVDGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKQGGQEIYAGPLGHRSSNLISYFEEIQGISKIKDGYNPATWMMEVTSSAKELELGIDFADVYKSSELYRRTKALVKELSTQSPGSKDLYFPSQYSTSFFTQCMACLWKQHWSYWRNSQYTALRFLYTAIVAVLLGSMFWNLGSKIEKQQDLFNSMGSMYAAVLLLGVKNSNSVQPVVGVERTVFYRERAAGMYSAFPYAFAQVVIEVPYVLGQAAVYSIIIYAMIGYEWSVPKFLWCLFFMYFSFLYFTYYGMMAVAVTPNQHVSTIISAGFYSVWNIFSGFIVPRPRIPVWWRWYSWANPVAWSLYGLVASQYGDIKQTIESSDGTTTVEGFVRTYFGFKHDFLGVVAVVIVAFTLVFALVFAVSVKIFNFQRR >Vigun09g182200.3.v1.2 pep primary_assembly:ASM411807v1:9:35582066:35587729:1 gene:Vigun09g182200.v1.2 transcript:Vigun09g182200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPGSTQLSHDVRLNSNIGFCGFHRHNRMGVGRLHLVTINLSPGSLKQDSSTLHLLSRLHAPIRHVPSKCNVFICRSVLTPGGGSGTPLMKSAGVILTRSYDALQRNPVLLQLIPALGIIAFAACGLEPLIRLSRVLFLQRTDSSWKKSSSRYIMTSYFQPLLLWIGAMLICRALDPIVLPSKSSQVVKQRLLSFVRSLSTVISFAYCLSSLIQQAQKFFVEANDSSGSRNVGFDLAGKAVYAAVWVAAVSLFMELLGFSTQRWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGDDREAVHIPNHKFTVNIVRNLTQKSHWRIKSYIAISHLDVNKINNIVADMRKVLSKNPQVEQQKLHRRVFLENVNLENQALMILISCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKTYGEADSENIPFEDSIFTRSRAANRPFLLIEPPYKVHGEDKVKPSTRAARANEEKDSRIDEITASDTKGDENSGATSTSSADVNNSRDKSKSFSESQTKRENAEDERKGSTVPVSRNLSQSNVLESSPVATHETISATSSQSKQDEEKSSVLSVRTSLEENILLGVALEGSKRTLPIEEEITPSPMPTDSQEFAVQRNGGGPPASKDKKDGQSSFPTDKQND >Vigun06g131900.1.v1.2 pep primary_assembly:ASM411807v1:6:25802328:25805830:-1 gene:Vigun06g131900.v1.2 transcript:Vigun06g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSASSEKKSSNAVNELLTFNAENMQSNMKTIYYSRTFLSIIGGVVAGILGFTGLKGFVFYLLLMAFTSLGLIAKASFSTHTYFDSWNRVLLDGFLGGLMSFVLFWTFAYDIVHIF >Vigun01g115850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29241373:29242114:-1 gene:Vigun01g115850.v1.2 transcript:Vigun01g115850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSLNCENPIHKLRMKFLHIEQCPRQLTLPQWIQGAADTLQTLLISNLHNLEMLPEWITALTHLKMLHIVNCPQLLHLPSDMHRLGALEDLTIDGCSELCRKCEPQSGEYWSFIAHIKHLSIGETREGKLLFQMQKQIRLKLQDL >Vigun03g235400.1.v1.2 pep primary_assembly:ASM411807v1:3:39182002:39187382:1 gene:Vigun03g235400.v1.2 transcript:Vigun03g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSFRGFTYLFLIVFLIWSSNFEACIARRGKHWRHSTRDDASPALYKKKAKSYGNGHNKNHGGGSKPKPPSSHKATPTLPKPPPHKTIPSPPYPPPSNEDTPTTPPPKPYNGGHSSSTTFNVLDFGAKGDGKTDDTKAFQAAWAEACKVEASTMVIPPDYVFFVGPISFSGPYCKPNIVFQLDGTIVAPTNPNAWGRGLLQWLEFTKLVGITIQGNGVIDGKGSVWWQDHQYDDPIDDEEKLIVPLNQTVPSPPLPIQSELGGKMPSVKPTALRFYGSFNPTVTGITILNSPQCHLKFDNCNGVLVHNVSISSPGNSPNTDGIHLQNSKDVLIYGSTMACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDNTRACVSNITVRDVNMHNTMTGVRIKTWQGGSGSVQGILFSNIQVSEVQFPIVIDQFYCDKRNCKNQTSAVSLAGINYERIKGTYTVMPVHFACSDSLPCVDVSLTSVELTPIQEQNHLYDPFCWQTYGELKTPTLPPIGCLQIGKPTNNRIQTDHDLC >Vigun03g012300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:829438:830321:1 gene:Vigun03g012300.v1.2 transcript:Vigun03g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLFNRESDAISSGGASRSISLSMRSRGRMAGELEQVFKKFDVNGDGKISASELGTMMRSLGQAATEQEVNNMIREVDGDGDGCISLQEFIELNTKGVDSEEVMENLKDAFSVFDMDGNGFITAEELNSVMQSLGEECSLAECRRMIGGVDRDGDGTIDFEEFKVMMMMGSRHDTTDRVKPLPETY >Vigun01g117900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29439798:29441088:-1 gene:Vigun01g117900.v1.2 transcript:Vigun01g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSITRTQIKWQKLEETKQQRSMTRPCEHATASSSSQEQGFTNVEEDGSEGVCSSVCATPKAKRFRIPEVLTCPPAPKKRRALVIPNCSSNTSPVTFFASPDIELFFFSALRNVSA >Vigun01g117900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29439798:29441088:-1 gene:Vigun01g117900.v1.2 transcript:Vigun01g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSITRTQIKWQKLEETKQQRSMTRPCEHATASSSSQEQGFTNVEEDGSEGVCSSVCATPKAKRFRIPEVLTCPPAPKKRRALVIPNCSSNTSPVTFFASPDIELFFFSALRNVSA >Vigun10g037300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5046179:5047723:-1 gene:Vigun10g037300.v1.2 transcript:Vigun10g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSARKKRVTDPFDEEAKARLVGGDHRQLSYTSSGSEHSGDEDSPCLSELVHDFLENNDDLDNNSEKNDFDSERVDSVSDCVDSVDELLMLMESNVSDSYKNLLLAHTSEAAEKFAFLKERNISTYLRNAMSFLRENGHNAAICKTRWDSSGGATAGNYEFIDVVPSGPSTWHKRYFVDLDFVGQFEIARPTKEYLEFLSYVPRIFVGTAEELKSTVRILCGVAKRCFRKRGLTVPPWRKYRYMQNKWFGSYRRTTNPVQGNPVLTSVSALGGAKCRFVGFDDSVSGRRGGVVVRTR >Vigun06g226800.1.v1.2 pep primary_assembly:ASM411807v1:6:33467048:33469565:-1 gene:Vigun06g226800.v1.2 transcript:Vigun06g226800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSKLRRALGAVKDQTSIGLAKVGSSASLADLDVAIVKATRHDEYPAEEKYIREILSLTCYSRAFITACVNTLARRLNKTKSWTVALKTIILIERLLLDGDPAYEQEIFFSTRRGTRLLNLSDFRDNSKSGSWDFSAFVRTCALFLDERLEYKMQSRRGRRGMYSVDEDEERETETETEEAEIEKEREMAVRSTPVNDMKLEQIFSKMQHLHLLLERFLACRPTGAARNHRIVTVALYPLVKESFLIYYDTTEILSILIDRFPDMEVRECVKVYDIFCRVGKQFEELDLFYGWSKTVGIARSSEYPEIERITLKKLEVMEEFIKDKSALAQCNRPELLENTNEKEEEEPEPEPEPEPEEDPNATKALPAPEEIKVETVEEVMEEPKEEKVVQTEGDLLNLGDDTMTSESQGDKLTLALFDGEAAAAAAPGSTQALLPWHAFDEEADWETALVQSTSNLSNQKPTYSGGFDALLLDGMYKHAEMNRAMQGVGYGMSGSSSSVALGSAGRPAMLALPAPPTTGPGDSNSYSDPFAASLAVAPPSYVQMSDMEKKQRLLIEEQMMWQQYAKDGVQGQTAFAKLHSNNTNHFYNGGYQQNYTNF >Vigun11g051200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8690859:8691578:1 gene:Vigun11g051200.v1.2 transcript:Vigun11g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEAESEVSVSSAIANSIAPRQNQFLSPEDLAWADSCLVKDSSDISETDWIPLKSALLEIISSQSEFSREDIEIPPHSLSSESITMELNQQSSTSDGRRLSESSSTYNVNPLSVVAETSSDEIPDDETIANLPSLNPFLPTYNENLEEKKTIDFGFDLDSSSYETEQLADNIFKIWDLDIPSEEGELVKQLDKALSENSFQTVSSSSDDPVKWTDMKDSSIDDLVAEIADLSLNRSA >Vigun10g156400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37606680:37607428:1 gene:Vigun10g156400.v1.2 transcript:Vigun10g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTILITFLTAIAFVAQPFQNYIIQRLHRIFKHCGYAAAAVKENEDHESDFCSVCLSQICKGEKIRSLPVCNHRYHADCIGAWLKNHTTCPLCRNKITDHSPQKHKQVKSLGESLFHLIQSFTDLLVAILYMVLPSSITQSFPLVH >Vigun03g409800.1.v1.2 pep primary_assembly:ASM411807v1:3:61759109:61762030:-1 gene:Vigun03g409800.v1.2 transcript:Vigun03g409800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLBR description:leghemoglobin reductase [Source:NCBI gene (formerly Entrezgene);Acc:114177839] MAMASLARRKAYAVVSSSRSSVFLTSLRGFASGSDENDVVVIGGGPGGYVAAIKASQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHSFANHGIKLSSVEVDLAGMMAQKDKAVSNLTKGIEGLFKKNKVNYVKGYGKFVSPSEVSVDTIDGGNTVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFASDIVPTMDAEVRKQFQRSLEKQGMKFQLKTKVVGVDTSGDGVKLTLEPAAGGDQTILETDVVLVSAGRTPFTAGLGLDKIGVETDKIGRILVNERFTTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKVGHVDYDKVPGVVYTTPEVAYVGKTEEQVKALGVEYRVGKFPFMANSRAKAIDNAEGLVKILAEKETDKILGVHIMAPNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEAVKEAAMATYDKPIHI >Vigun11g009800.1.v1.2 pep primary_assembly:ASM411807v1:11:1181421:1183748:-1 gene:Vigun11g009800.v1.2 transcript:Vigun11g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAIFFAATGEPPLLRFFNSTFLYVKRNLIAHILRSLNDAFSHHRICCDVDAAVEKKCEVYAGDSSSNDTSFGADENGRHAVFNVLDTMLKDSLERLKMMRENLCLVKIGLTMGYACEFKYAEHTATIRSLCLESKLGAALWLRRKMVMKGVAPDVFTHNHIVNGLCKSGFLEKADWIVREMLAFGPGPNCATYNTLIKGYCTVNDMDRALFLFSTMSNAGVLPNRVTCNILVRALCEKGLLKGAKRMLEEILKDDDEKDITDLVTSTIFMDNYFKRGAIIQAISLWNEMVQNCTKVDVVAYNVLIHGFCKSLQMNLAYGYACEMYKKGLLPDVFTYNILIGALCKEGKISEACYTLGVMSSMGIMPDEITYQLVIRGLCFDGEIARAKSLLRSMLNNLIVPKPLIWNLLIDFYGRYNDLSNALFTRDQMLAFGVHPNVFTYNALILAQVKDDNFYGACSLKEEMLSKDIFPDVVTYNLLIGAACNLGRPDFALQLHDEMVRRGYEPDLITYTEIVRVFCIRGKMKEAEELYGKILKSGLLNDHVPVQILFNKYCNLEEPVRAFNFYQDWLESKRGSHPYYI >Vigun08g135700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30727644:30728381:1 gene:Vigun08g135700.v1.2 transcript:Vigun08g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLFLPSCAQFHCLVYLMKSYYDLARTVLGWVSPTHDEAVTESFQYKPLKHLSGQRFQDTAPLEALVICNKKVEISSCTDCISPTKPNWCGAPKTLVFPIFYLKPQSLTAIVFRTRNLLMEKLLLFKSFQ >Vigun09g234000.2.v1.2 pep primary_assembly:ASM411807v1:9:40476651:40479292:1 gene:Vigun09g234000.v1.2 transcript:Vigun09g234000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAGRKRKEAVVVVEKQHPKMHRVVLGELPNLPNLIVPENQNLSKQKVPCLKNPNIKKLSSPQIDPHYVSDINEYLRAMETKRRPIVNYMDKVQLVVTTNMRQILVDWLVDVAVEYKLLSETLHLCVSYIDRFLSVSPVTKSKLQLVGVSSMLLASKYEETKPPRVDKFCSITDNTFEKEEVVRMEAEILKSLNFEMGNPTAITFLKFLSVASVNQKTPNLKIEFLSFYLAELSLLDYGCIRFLPSIIAASALFLARFIISPKVHPWTSSLHEWSGYKPFELKDCVLTLHDLYFSRKAASFRSVRDKYKQCKFKCVANLSSPPHVPSYYFED >Vigun09g234000.1.v1.2 pep primary_assembly:ASM411807v1:9:40476651:40479292:1 gene:Vigun09g234000.v1.2 transcript:Vigun09g234000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAGRKRKEAVVVVEKQHPKMHRVVLGELPNLPNLIVPENQNLSKQKVPCLKNPNIKKLSSPQIDPHYVSDINEYLRAMETKRRPIVNYMDKVQLVVTTNMRQILVDWLVDVAVEYKLLSETLHLCVSYIDRFLSVSPVTKSKLQLVGVSSMLLASKYEETKPPRVDKFCSITDNTFEKEEVVRMEAEILKSLNFEMGNPTAITFLKRFLSVASVNQKTPNLKIEFLSFYLAELSLLDYGCIRFLPSIIAASALFLARFIISPKVHPWTSSLHEWSGYKPFELKDCVLTLHDLYFSRKAASFRSVRDKYKQCKFKCVANLSSPPHVPSYYFED >Vigun01g103800.1.v1.2 pep primary_assembly:ASM411807v1:1:27323023:27325901:-1 gene:Vigun01g103800.v1.2 transcript:Vigun01g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVQQQAARGEAVIGGGKQQKKNGVADGKNRKALGDIGNLANVRGVVEVKPHRPITRSFGAQLLANAQAAAVVENNKRQACANVAGPAPIAVTKKVPKPVQKKVTVKPKPEEVIVIDEDSIDKEVQKDKKKEGDCKSKKNSQAFTSVLTARSKAACGITNKPKENIIDIDASDVDNELAAVEYIDDIYKFYKLVEHESRPHDYIHLQPEINEKMRAILVDWLIDVHSKFELSPETLYLTINIIDRFLAVKTVPRRELQLVGISAMLMASKYEEIWPPEVNDFVHLSDRAYTHEQILVMEKLILGKLEWTLTVPTPFVFLVRFIKASVPDQELENMTHFLSELGMMNYATLMYCPSMLAASAVFAARCTLNKTPFWNETLKLHTGYSQEQLMDCAKLLTSLHSCAGNEKLKVVYRKYSDPLKGAVAVLPPARYLLPEGSASQ >Vigun03g410600.1.v1.2 pep primary_assembly:ASM411807v1:3:61854321:61857046:-1 gene:Vigun03g410600.v1.2 transcript:Vigun03g410600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDEESGMPRDAKIVKSLLKSMGVEEYEPRVIHKFLELWYRYVVDVLTDAQVYSEHAGKSAIDCDDVKLAIQSKINFSFSQPPPREVLLELAQNRNKIPLPKTIAGPGIPLPPDQDTLISPNYQFGIRNKRPAEPLEETEDEETTIPNPTQEDKVEMQQNPHQRVSFPLPKRQKD >Vigun10g106700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30541292:30542400:1 gene:Vigun10g106700.v1.2 transcript:Vigun10g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLGKRVFRVATWLFRRVRFRTRPNRYYRLGSTSPTRSHNHNPMAKLLTWGTKLKRGAQSLCKKSGSGLDCERAPAVPKGHLAVYVGEENGECRRVLVPVIYFNHPLFSGLLREAEKEFGFEQPGGITIPCRLTEFERVKTRIASGSGLRGRTRRLGWRLHSS >Vigun03g342500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54123203:54125351:1 gene:Vigun03g342500.v1.2 transcript:Vigun03g342500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNESSSKVQEGNEEEGENIKIEKLSKAGSSSRSWSAFRNPRIVRVSRSLGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVVDWLLEVTKSDIDKLPPLQFPHCFAQFHQQTPLSATSHFSLGSLYDGVGGNQNLITKSTRYWDLDSQNRTELAEGVSASQKGKFWTKPNELENHQNGIVGGSGSTRNREESSSSHCKVFPHGTNSSYLPGGLSNNGITHNSYHHSEPSTLSLSHLGSHELFPSHPSSGSSVQFSSGPQLLFCPPSATPSAFYSVESDPRQSNNVQIFSSSSQVMMPHPLIQSIHSTTSPLRRLPTTLSSKLLDSDNNHRSQQGKGTTRS >Vigun03g342500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54123194:54125354:1 gene:Vigun03g342500.v1.2 transcript:Vigun03g342500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNESSSKVQEGNEEEGENIKIEKLSKAGSSSRSWSAFRNPRIVRVSRSLGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVVDWLLEVTKSDIDKLPPLQFPHCFAQFHQQTPLSATSHFSLGSLYDGVGGNQNLITKSTRYWDLDSQNRTELAEGVSASQKGKFWTKPNELENHQNGIVGGSGSTRNREESSSSHCKVFPHGTNSSYLPGGLSNNGITHNSYHHSEPSTLSLSHLGSHELFPSHPSSGSSVQFSSGPQLLFCPPSATPSAFYSVESDPRQSNNVQIFSSSSQVMMPHPLIQSIHSTTSPLRRLPTTLSSKLLDSDNNHRSQQGKGTTRS >Vigun03g001300.1.v1.2 pep primary_assembly:ASM411807v1:3:110346:113677:1 gene:Vigun03g001300.v1.2 transcript:Vigun03g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNVPPVPPSPRDDAIALYTAFKGFGCDTSVVINILAHRDSTQRAYIQHEFRAMYSSDLLKRLASELSGKLETAVLLWMHDPAGRDALILKQCLTAENLEATTEVLCSRSSSQLQYLRQVYYTKFGVYLEHEIERYASGDHKKMLLAYLTIPRHEGPEVNREIAEMDAKVLYKAGEKRLGTDEKTFVQIFSERSAAHLTAVDHYYDSMYGHSLKKAIKKETSGNFALSLLTILQFSANPAKYFAKMLRKAMKGLGTDDTRLIRVIVTRAEIDLQYIKAEYLKKYKKTLNDAVHSETSSHYRAFLLLLLGPNQ >Vigun03g256000.1.v1.2 pep primary_assembly:ASM411807v1:3:42227179:42228950:-1 gene:Vigun03g256000.v1.2 transcript:Vigun03g256000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASYGRHTIKFFYKLLKPKLRMFKNNIGNNRYRSMSLLCGKLLSQWLLVILMFQHVSALTIPNNETVPAVIVFGDSIVDTGNNNYISTLVKCNFPPYGRDFAEGNHPSGRFSNGLVPSDIIAAKFGVKKFLPPYLDPSLQLQDLLTGVSFASGGAGYDPLTAELVSVMSLSDQLVMFKEYIKKINDAVGGNRTTHIVSKSVYIICIGSDDIANTYTQSPFRRAEYDIPSYTDLMASEASKFLETLYGMGARRIGVFGVPAIGCVPSQRTMGGGLDRACVASSNEAAMLFNSKLSSQMDALGKKLPDTRLVYLDSYHGLLKMITNPAKYGFEVIVKGCCGTGNIEVSILCNRYVINTCSNSSDYIFWDSYHPTERAYYVLSTLTLDEKINQFF >Vigun03g167600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19459369:19460254:1 gene:Vigun03g167600.v1.2 transcript:Vigun03g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKYAKLAHVMVWYGYLHPLMLIFLTVMIRSQHSIMWIKHGKGRKSERWKVIMKGEKHSNLDFAYYDDVSVLIRRRELINLHKHKQKVWQLKIEKPMLNCLVPAILGTPFT >Vigun06g164500.1.v1.2 pep primary_assembly:ASM411807v1:6:28653113:28655727:-1 gene:Vigun06g164500.v1.2 transcript:Vigun06g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRTPEQYVEAMPVLETLRRVKRLRLFEPSVSVAGFSLVSAFIICSFFYLDYKEVAGRLGFSGQSERVSWWHQKRGSVEERVHRVEFLGEKGGECDLFEGNWVWDESYPFYESKDCSFLDQGFRCSENGRPDSFYTKWRWQPKACNLPRFNATKMLEKLRNKRLVFAGDSIGRNQWESLLCMLSAGVSNKDSIYEVNGSPITKHKGFLVFRFKDYNCTVEYYRSPFLVLHSRPPPKTNEKIRTTLKLDQMDWYSLKWRDADVLVLNTGHWWNYEKTIKGGCYFQEGVEVKLEMKVEEAYKKSIETVLNWIQNSVNARKTQVFVRTYAPVHFRGGDWRKGGSCDLETLPELGSSLVPKDNWSQFKIANSVLLAHTKSNEVLKFKILNVTEMTAQRKDGHSSIYYMGPNAGPTPLHRQDCSHWCLPGVPDAWNELLYALFMKHQTFHRQN >Vigun05g114300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12080091:12080959:-1 gene:Vigun05g114300.v1.2 transcript:Vigun05g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIMQYKGTGKEGPLGSWICESPSYSYMRLPGDSGRFSIQAPASFSAPSPSSNNPTSSATQIIVSSGVQSPHQCLTHRRIAA >Vigun01g035400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4606604:4607450:-1 gene:Vigun01g035400.v1.2 transcript:Vigun01g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVFGLLVMVCSHTLRIEGKFIEESHIVLPTERVEKLTHLHYYFHDILDGEHPTSIKIIDSPNHSLGGFGVTFMVDNPLTEGPDLSSKEVGRAQGTYALASQHDLGFKMVMNFFFSEGAYEGSSLCMLGRNAVLDEIREMPIVGGSGVFRFARGYALANTVWSNSTSGNAIVEYHVWVYHLQQHGIQ >Vigun11g137966.1.v1.2 pep primary_assembly:ASM411807v1:11:34718886:34719242:1 gene:Vigun11g137966.v1.2 transcript:Vigun11g137966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLGFVQIIEIGALSCEDKCAIECIPSLEAYPLCYISCIVLCETATTCARSCGKKKSITVNIDDAGKVANVIDSCLHGCRKSQ >Vigun08g216300.1.v1.2 pep primary_assembly:ASM411807v1:8:37686507:37688193:1 gene:Vigun08g216300.v1.2 transcript:Vigun08g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFQQSAFAGQTALKQVNEFVRKTGGSGKGRTSMRRTVKSAPQSIWYGPDRPKYLGPFSEQIPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCTFPEILEKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIQNLYDHVADPVANNAWAYATNFTPGQ >Vigun11g008900.1.v1.2 pep primary_assembly:ASM411807v1:11:1039295:1044722:1 gene:Vigun11g008900.v1.2 transcript:Vigun11g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNLFFDDIRSKVDVDPPQNEESTDVGELVNDPAQTALKPNGTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAYRPYNCPYAGSECSIMGDIPFLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >VigunL024960.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:75805:76284:1 gene:VigunL024960.v1.2 transcript:VigunL024960.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun07g023700.2.v1.2 pep primary_assembly:ASM411807v1:7:2079724:2087703:-1 gene:Vigun07g023700.v1.2 transcript:Vigun07g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPNGNHQFCANGFWSKNRDDVGYNQLLKFWCELSPQARLELLRIDKQTLFEHARKNMYCSRCNGLLLEGFLQIITYGKSLQQEGAVVHFPCSRAGGLKNQNNGGSSICNVVQDEIQDPSVHPWGGLTTTREGALTLLDCYLYSKSLKGLQIVFDGARARERERELLYPDACGGGGRGWISQGIVSYGRGHGTRETCALHTARLSCDTLVDFWAALGDETRQSLLRMKEEDFIERLMFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTNWFCVADTAFQYEVSDDSIQADWRQTFADTVGLYHHFEWAVGTTEGKSDILEFENVGMNGCVQVSGLDLGGLSACFITLRAWKLDGRCTEHSVKAHALKGQRCVHCRLIVGDGYVTITKGESIRRFFEHAEEAEEEEDDDLIDEDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERKRTKDREKKLRRKERLKGKEKDPERKYSESIDVPGSPELSKEELSPAADVEQNNSIIGSNSLIVTGDDYPKIQDEDFTREGSNLRVQDCSYDDCEGDIENVQHHSYDDCDGDIATAQDRNDTSTVEQSKFYCQRLRYRKEFRLDPTTKWSDRRSNTVVSENGVVVGRSEPVHCEDNFGMHFRGVNGLNRQSRISAAKSNGRNIGHKCSERFYSSNSWVNDRYDFHSCSCNNRMNRVSWETKLVSKSESTVDSSKQFYRGSKYNHVDFMSESNGRTKSRVISGNYSSRDLPHSKKVWEPMESHKKYARSNSDSNVTLGSTGQVFQFDMVRSSIDEIGGTGEVDYVDCSLKRSGVDEGYQNDLDAEAGGSCSSTEITSEEPGTSLMGGSSLNNSSDPNQGSTSSSDNCSSCLSEGDNNTTSSNRENTESSTSDSEDASQQYEVRESSTCIDNGLSGSHEAGMEKIHNANDEGLTSMSAFGPSLDAARGDVLGNPVVRMAHNFDNCFSPLNVCSQSQSMLPPVPNQNIQFPVFQTPSAVGYYHHNPVSWPAAPTNGLVPIPHPNPYLYSGPFGYGLNEDPRFCLQYGGLQQPTPLFNPVSVPVYQAVARAKGLNNEEAAIRMSKPTSMMQEHLNLSAVGRVSQKAAMNGNSGNSQDTGFSLFHFGGPADLSSSHKLANASSNDGDNVGDFNTKSCVDQVHNENETTVMEEYNLFAASKSLRFSIF >Vigun07g023700.1.v1.2 pep primary_assembly:ASM411807v1:7:2079724:2087703:-1 gene:Vigun07g023700.v1.2 transcript:Vigun07g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPNGNHQFCANGFWSKNRDDVGYNQLLKFWCELSPQARLELLRIDKQTLFEHARKNMYCSRCNGLLLEGFLQIITYGKSLQQEGAVVHFPCSRAGGLKNQNNGGSSICNVVQDEIQDPSVHPWGGLTTTREGALTLLDCYLYSKSLKGLQIVFDGARARERERELLYPDACGGGGRGWISQGIVSYGRGHGTRETCALHTARLSCDTLVDFWAALGDETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTNWFCVADTAFQYEVSDDSIQADWRQTFADTVGLYHHFEWAVGTTEGKSDILEFENVGMNGCVQVSGLDLGGLSACFITLRAWKLDGRCTEHSVKAHALKGQRCVHCRLIVGDGYVTITKGESIRRFFEHAEEAEEEEDDDLIDEDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERKRTKDREKKLRRKERLKGKEKDPERKYSESIDVPGSPELSKEELSPAADVEQNNSIIGSNSLIVTGDDYPKIQDEDFTREGSNLRVQDCSYDDCEGDIENVQHHSYDDCDGDIATAQDRNDTSTVEQSKFYCQRLRYRKEFRLDPTTKWSDRRSNTVVSENGVVVGRSEPVHCEDNFGMHFRGVNGLNRQSRISAAKSNGRNIGHKCSERFYSSNSWVNDRYDFHSCSCNNRMNRVSWETKLVSKSESTVDSSKQFYRGSKYNHVDFMSESNGRTKSRVISGNYSSRDLPHSKKVWEPMESHKKYARSNSDSNVTLGSTGQVFQFDMVRSSIDEIGGTGEVDYVDCSLKRSGVDEGYQNDLDAEAGGSCSSTEITSEEPGTSLMGGSSLNNSSDPNQGSTSSSDNCSSCLSEGDNNTTSSNRENTESSTSDSEDASQQYEVRESSTCIDNGLSGSHEAGMEKIHNANDEGLTSMSAFGPSLDAARGDVLGNPVVRMAHNFDNCFSPLNVCSQSQSMLPPVPNQNIQFPVFQTPSAVGYYHHNPVSWPAAPTNGLVPIPHPNPYLYSGPFGYGLNEDPRFCLQYGGLQQPTPLFNPVSVPVYQAVARAKGLNNEEAAIRMSKPTSMMQEHLNLSAVGRVSQKAAMNGNSGNSQDTGFSLFHFGGPADLSSSHKLANASSNDGDNVGDFNTKSCVDQVHNENETTVMEEYNLFAASKSLRFSIF >Vigun03g184650.1.v1.2 pep primary_assembly:ASM411807v1:3:24209440:24210518:-1 gene:Vigun03g184650.v1.2 transcript:Vigun03g184650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLIILISLLTSFIFLMSHRSIVFRNSSLKE >Vigun05g126600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14316430:14317011:1 gene:Vigun05g126600.v1.2 transcript:Vigun05g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQYRKKLIIKISSSCPKNREGVCELKNKREYYATAIWVDVKNTSNSCESNQKIRYEKCDKREDKEMSQKEWKKREKKRKVEDATRMDNCKRMQCWMMMKRLMVGRYAWVFKKNDLNKKIMSLRDIELKLKRLEYSKVDDFADDMRKVFSYPLGYPSKSEVHRIAREISQSFEFKWKTTKNKWILEKQNL >Vigun02g131700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28260768:28261079:1 gene:Vigun02g131700.v1.2 transcript:Vigun02g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRSFRLSKSHRIPFSSEYVTSECGYERISQSVRLSGEHDFSEKYKKNKRGAGLLGKVFSFARISSPQEEPNKVAERVVKKEKKRSSWLPDPDKRWPIQGW >Vigun10g031800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4169980:4170681:-1 gene:Vigun10g031800.v1.2 transcript:Vigun10g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIIETELSRRKLLIVLEDVNECGQLANLCGNLKWFGKGTVIIITTTDVRVLNRLKVNYIYKMNVMNENESLELFSWHAFETEKPRKEFNEHARNIVAYCGGLPLALKLFGSFLCCTTMEEWEILLPKLTLIPIPQVEEKLKISFDGLRDSEKDIFLVICCFFIGKERGYVTEILNGCGLLADIGITVLIECCLIKVGRNNKIDMHPLLQRMGGEIIRERWPLEPENRSQL >Vigun06g224400.2.v1.2 pep primary_assembly:ASM411807v1:6:33285406:33294984:1 gene:Vigun06g224400.v1.2 transcript:Vigun06g224400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFSNALLSPVTLPSKPKSISFQHRFPLLKFPSTRSHPSLSKTTTFCSSDAANAPQNDTPIELRYPAFPTVMDINQIRDILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLTKLQKRFGIAKMDGKAYVGGEVVCEGEFLMAMGSE >Vigun06g224400.1.v1.2 pep primary_assembly:ASM411807v1:6:33291561:33295005:1 gene:Vigun06g224400.v1.2 transcript:Vigun06g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFSNALLSPVTLPSKPKSISFQHRFPLLKFPSTRSHPSLSKTTTFCSSDAANAPQNDTPIELRYPAFPTVMDINQIRDILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLTKLQKRFGIAKMDGKAYVGGEVVCEGEFLMAMGSE >Vigun10g134400.1.v1.2 pep primary_assembly:ASM411807v1:10:34450183:34454396:1 gene:Vigun10g134400.v1.2 transcript:Vigun10g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLALHWAARFRHNQSLLRLSSSYASPATLHHATSSSPSAEPVHITPNCIRKMKELEASESSTSGKMLRLSVETGGCSGFQYVFNLDDRINSDDRVFEREGTKLVVDNISYEFVKGATVDYVEELIRSAFVVTENPSAVGGCSCKSSFMVKQL >Vigun09g036400.1.v1.2 pep primary_assembly:ASM411807v1:9:3217854:3221923:1 gene:Vigun09g036400.v1.2 transcript:Vigun09g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLILEIKKSAKQGQMGAVRVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELTSEMMGDAIDDALEGEEDEEETEDLVNQVLDEIGIDINQELVNAPSSAVAAPAAKTKVPQVETTGNDDGGIDSDLQARLDNLRKM >Vigun09g088001.1.v1.2 pep primary_assembly:ASM411807v1:9:11981597:11984874:1 gene:Vigun09g088001.v1.2 transcript:Vigun09g088001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGETIYDVQKWFTHIVNNVTGLGKTFDADELNIKILKSLNKTWQPKVTIITKSQNLATMTMMALFGKLGEKELELGRLNEEEDLGRKKNIAFKSEVVKGKKHKEEEDSNNDENLSLMFKKFTKFMKAKGKIQFKGNMKENQGSSSNFKCYGCGETRHVKADCPDPKKIFCVETNSFARFHYTGFAKSISFLEPSSLLLRGIFQAILSSMEPLFSLNMKLMKVRTTDNARAKNDIDIMIVMRLEQQNSFFIWLSGRK >Vigun03g354500.4.v1.2 pep primary_assembly:ASM411807v1:3:55599906:55604617:1 gene:Vigun03g354500.v1.2 transcript:Vigun03g354500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIQPPLVDTTACLCRVDTGLKTVAGAKRYVPGTKLCLRPDIKPSIHPTRNKPARGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPREYSGALGFGCAVLNGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGPHQQVLSEVYQPENDSWYPIYDGMVSGWRNPSTTLNGKLYALDCKDGCKVRVYDEVTDSWSKHIDSKMHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSKLEDLKGSSAEQLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQILQA >Vigun03g354500.3.v1.2 pep primary_assembly:ASM411807v1:3:55600541:55604387:1 gene:Vigun03g354500.v1.2 transcript:Vigun03g354500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIQPPLVDTTACLCRVDTGLKTVAGAKRYVPGTKLCLRPDIKPSIHPTRNKPARGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPREYSGALGFGCAVLNGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGPHQQVLSEVYQPENDSWYPIYDGMVSGWRNPSTTLNGKLYALDCKDGCKVRVYDEVTDSWSKHIDSKMHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSKLEDLKGSSAEQLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQILQA >Vigun03g354500.1.v1.2 pep primary_assembly:ASM411807v1:3:55599906:55604387:1 gene:Vigun03g354500.v1.2 transcript:Vigun03g354500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIQPPLVDTTACLCRVDTGLKTVAGAKRYVPGTKLCLRPDIKPSIHPTRNKPARGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPREYSGALGFGCAVLNGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGPHQQVLSEVYQPENDSWYPIYDGMVSGWRNPSTTLNGKLYALDCKDGCKVRVYDEVTDSWSKHIDSKMHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSKLEDLKGSSAEQLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQILQA >Vigun02g049000.1.v1.2 pep primary_assembly:ASM411807v1:2:18962561:18965100:-1 gene:Vigun02g049000.v1.2 transcript:Vigun02g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESFDHSIQEKQAKEVSKTIGDSPRSKDSSRESGSSSDSEKQIYVISKVESDKAKDSDTHSDGTKIISPSAGTGTSCSSSESSSDDFFAMDQSFKYTKSGKDHVSSSESSDKSEVDNNFVLPTSTSEMNNLSNSQKDMSPTASPPIQVMDRSGSNDASIVPSSIFEANANTSEWSIASNDSLFSIQIGQPSLNREKAHMYGELCSSTELTKSGELNNQAPSVIRQEIGTTENNATEKELQATTYGSFKLEGESHLEDNSETETSPETKTSPETKTSPETKSLKSSKSKVSIPSHSDNEILPDPPLKRRKGYSYSCGWMNNLWPSCKCLSCCPSFSSCWSCNRTKCHHHTSQTLKEEGNGSTKMDVSPHESDKPHESDKEESGMTSDSTAIQTKQESKVLSKSKDNKSNWLHSFSCPWNLPSKPCCR >Vigun01g010700.2.v1.2 pep primary_assembly:ASM411807v1:1:1215309:1223345:1 gene:Vigun01g010700.v1.2 transcript:Vigun01g010700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVIAVSAVSTFLSFVGLQFCTDLSLDKLRSDGLIGWNLIHLDDASQEIELPSGLYTTIGLLLNCMINLFVLLNLCIKAVFFSELYASETRKLIERLVNYVIYKGTFLPLIVPPTIYQAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFMADVLWIRLCLTIYRTHGSSMYLLLFFEPFSIAFETLQAILVHGFQLLDIWLHHSACNRDFRSPKLLDTLTAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAIINRIKGFIKLRIALGTLHAALPDATTEELRAYDDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLTEMYTCPTCRKPLFEGVPENEASSDTGTMSSDEQLARQISAGLDRPNNARHTMPTGLFPNQTLNTPEGVTWRAAGLDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTAFEDSSWNLWPINPSQASASASTIPPPNGRSLGRTGSLHLRTASQLPNDNVANILAMAETVREVLPHIPDDMIFQDLQRTNSVMVTVNNLLQM >Vigun01g010700.3.v1.2 pep primary_assembly:ASM411807v1:1:1215309:1223345:1 gene:Vigun01g010700.v1.2 transcript:Vigun01g010700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVIAVSAVSTFLSFVGLQFCTDLSLDKLRSDGLIGWNLIHLDDASQEIELPSGLYTTIGLLLNCMINLFVLLNLCIKAVFFSELYASETRKLIERLVNYVIYKGTFLPLIVPPTIYQAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFMADVLWIRLCLTIYRTHGSSMYLLLFFEPFSIAFETLQAILVHGFQLLDIWLHHSACNRDFRSPKLLDTLTAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAIINRIKGFIKLRIALGTLHAALPDATTEELRAYDDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLTEMYTCPTCRKPLFEGVPENEASSDTGTMSSDEQLARQISAGLDRPNNARHTMPTGLFPNQTLNTPEGVTWRAAGLDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTAFEDSSWNLWPINPSQASASASTIPPPNGRSLGRTGSLHLRTASQLPNDNVANILAMAETVREVLPHIPDDMIFQDLQRTNSVMVTVNNLLQM >Vigun01g010700.4.v1.2 pep primary_assembly:ASM411807v1:1:1215524:1223327:1 gene:Vigun01g010700.v1.2 transcript:Vigun01g010700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVIAVSAVSTFLSFVGLQFCTDLSLDKLRSDGLIGWNLIHLDDASQEIELPSGLYTTIGLLLNCMINLFVLLNLCIKAVFFSELYASETRKLIERLVNYVIYKGTFLPLIVPPTIYQAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFMADVLWIRLCLTIYRTHGSSMYLLLFFEPFSIAFETLQAILVHGFQLLDIWLHHSACNRDFRSPKLLDTLTAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAIINRIKGFIKLRIALGTLHAALPDATTEELRAYDDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLTEMYTCPTCRKPLFEGVPENEASSDTGTMSSDEQLARQISAGLDRPNNARHTMPTGLFPNQTLNTPEGVTWRAAGLDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTAFEDSSWNLWPINPSQASASASTIPPPNGRSLGRTGSLHLRTASQLPNDNVANILAMAETVREVLPHIPDDMIFQDLQRTNSVMVTVNNLLQM >Vigun01g010700.1.v1.2 pep primary_assembly:ASM411807v1:1:1215524:1223327:1 gene:Vigun01g010700.v1.2 transcript:Vigun01g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVIAVSAVSTFLSFVGLQFCTDLSLDKLRSDGLIGWNLIHLDDASQEIELPSGLYTTIGLLLNCMINLFVLLNLCIKAVFFSELYASETRKLIERLVNYVIYKGTFLPLIVPPTIYQAGLWSTWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFVFMADVLWIRLCLTIYRTHGSSMYLLLFFEPFSIAFETLQAILVHGFQLLDIWLHHSACNRDFRSPKLLDTLTAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAIINRIKGFIKLRIALGTLHAALPDATTEELRAYDDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLTEMYTCPTCRKPLFEGVPENEASSDTGTMSSDEQLARQISAGLDRPNNARHTMPTGLFPNQTLNTPEGVTWRAAGLDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTAFEDSSWNLWPINPSQASASASTIPPPNGRSLGRTGSLHLRTASQLPNDNVANILAMAETVREVLPHIPDDMIFQDLQRTNSVMVTVNNLLQM >Vigun04g154800.1.v1.2 pep primary_assembly:ASM411807v1:4:37440439:37443849:-1 gene:Vigun04g154800.v1.2 transcript:Vigun04g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETVCMGSERATLLKLKHNLTDPSNRLSSWNASINPNCCHWDGVVCNNITSHVSELHLRTSFPDFDDSLPYPLDEEAYQEALKEYNRVALGGEINPCLVDLKHLNYLDFSGNIFQYIPIPSFIATITSLTYLNLSYAGFKKNIPSQMGNLSNLLYLDLSYLYFESYENVDWLSSMSKLRYLDLSHTWSFEGGVSIPSCLGSMTSLIHLDLSYSGFMGNIPPEIGNLSNLAYLDLSGAANGTLPSQIGNLSNLLYLDLRSGDSIDKSYFIGNVDWLSSLTKLEYLDLGGCTLPYSNQPSFLNSSSLVTLDVSDISYNSKISFVPKWVFGLKKLVALFLYVNNFEGQIPNGLRNLTLIENLDLSENSFSSSIPHWFYSSFPHLKFLHLSSNNLQGNISDAFGNMTSLVTLDLSYNQLEGPIPTSFVQSSQLSGNLPLSLGKLSSLRTLSLSNNQLSGNPFESLRSLSKLSNLDIGYNHFEGVVTEDHLTNLTSLSDLYAPGNNLTLKVGTNWHPTFQLTYLDMSSWQLSSNFPSWIQSQDKLDYLAMPNTGILDSIPYWFWKTFSQASFLNLSHNHIHGELQTTLKNPISIITVDLSANKLSGKFPSLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLLDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGERFLDMKVLILRSNRFSDHIPNKICDMSLLQVLDLAQNNLTGNIPTCFNSLKTMTQMNKNYIVISVRLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQLSGHIPQNIGNMGSLLSIDFSRNELSGEIPPTISNLSFLSMLDLSYNHLNGKIPTGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYIQNGKGSDRHGLNLFYVGMTFGYMVGFWIVVGPLVICRSWRYAYFHFLDHVWFKLQYFF >Vigun04g070100.1.v1.2 pep primary_assembly:ASM411807v1:4:8131399:8135351:-1 gene:Vigun04g070100.v1.2 transcript:Vigun04g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALIALRKRARTTMSSVPSPFESMMRGVVGSSRPLVQEVCNTCGNHNTSEPTWIMFPGTHLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGVLKTLITLNDKRK >Vigun07g264700.1.v1.2 pep primary_assembly:ASM411807v1:7:38036385:38039510:-1 gene:Vigun07g264700.v1.2 transcript:Vigun07g264700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRDTERHRSTTMDEIEELEPLFDYSRVQPVNPITIDDDFYDDDDVIFLDGKKRKTTQTVEDDKKTNGKGVSVVNIEDQDDDWLPPPPMSASNSQRSIDDDSTLKKLRLKKQELASFAESAKQLLKDVEESSKFEVNDSLQSSVDGVDEKSLQHSERPKIVISVQDKDGTKQIRMFMDDKFERIVKTYADKIKCDQKQIVLSFDGDKISSSETPASLGMEDDDIIEVHVKSR >Vigun08g089000.1.v1.2 pep primary_assembly:ASM411807v1:8:20372250:20385716:-1 gene:Vigun08g089000.v1.2 transcript:Vigun08g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNHISTDSPLSRRIVRAFLHFLNSVEPGTGVDAEGIQVARECLTEAFKLNSSPVDGDDGKSDSLIDIFKSLEANKQNETRKSDVDTPWNCANASSSFSGENPARGMNHSEASKSTVEDSTQEPCAFASKDELCGQFFAALEKNHYFRRNTDGSDDTVQLEKACSLFDEGCKEMVRSGCQQFCLKSLAESLKTLGNKAMQSKKYNDAIDLYNCAIALNEKNAVYFCNRAAAYTQINKYSEAIQDCLRSIRIDPNYSKAYSRLGLVYYAQGNYRDAIDKGFRKALQLDPNNGSVKENIRVAEQKLLEEQNRAHHNQNPTRSSQEVPNQSAQGSGSQGVPPTFSSVPFNPSQMANMFRHMASNSTNSQQRPPTEEWEQEISSEFRFGADILNMEQIPENLTGAVQTMMEMLSRTVSPGQPTEDQTNPRTGPN >Vigun08g019500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1666906:1668991:1 gene:Vigun08g019500.v1.2 transcript:Vigun08g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTLSSPFLRLEFPSARNQRSPNHVSFIRASVSEKPPPAVSVTSPEPSKLPIRKIPGDCGLPVIGPFKDRQDYFYNQGRDEFFKSRIQKYQSTVFRTNMPPGPFITFKPNVVVLLDGKSFPVLFDTSKVEKKDVFTGTYMPSTDLTGGYRVLSYLDPSEPKHALLKQLVFFLLKSRRNHVIPQFHASYKDLFDQLESNLAETGKASFGDANDQAAFNFLARSLFAANPADSKLGRDGPKIVQKWVLFQLGPVLRLGLPQFLEESTIRTFRLPAALIKKDYQRLYDFFYSSSSSVLDEAERLGITRDEACHNLLFATCFNSFGGMKLFFPNVIKWIGRAGVKLHARLAQEIRSAVRDAGGEITMAAMENMPLMKSVVYEAFRIDPPVPLQFGRAKRDLLIESHDHAFQVKEGDLLFGYQPFATKDPRIFDRAEEFVGDRFVGEEGEKLLKHVLWSNGPETESPTVGNKQCAGKDFVTLVSRLLVVEFFLRYDSFDIQVGTSPLGSKITITSLKRSSF >Vigun04g060400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6151080:6152450:-1 gene:Vigun04g060400.v1.2 transcript:Vigun04g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTGVKVVEVCSVAPSETTNCSTPTSLSLTFFDVLWLRSPPVERVFFYQLPNTTTISFSHTILPNLKHSLSLTLQHFLPLAGTITWPQESPHPIINYVPGNAVSFTVAESTVDFNMLCSDTCQASLRHPLIPHLPTSDEQASVMALQVTLFPGSGFSIGITTHHAAMDGKASTLFLKAWAYVCSNILTESSFSSLPKHLAPFYDRSMIKDTTGMGAMYLKSWLNMGGPNNRSMKVWDLGGVNAMSAESVRGSFELTSSNIRQLKQHAKSKLNEDAHVTTFSVACAYALQCLVKAEEPKTEGVAFLFSVDCRSRLETPLPSTYFGNCIIGHKVMGGTKKLLGDEGFVNGVEGMNEALKKLEDGVFGEAVNLSTMMKIARDNRIFTTAGSPRFEVYSIDFGWGRPLKVDMTSIGKTGAFCVSESRDENGGVEISLVLNKQEMEAFGSHFNQGLQSL >Vigun06g157100.1.v1.2 pep primary_assembly:ASM411807v1:6:28060183:28063923:1 gene:Vigun06g157100.v1.2 transcript:Vigun06g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKTPPDPIISSAHTLLPQIPRHRKHRNPGIAIAFRLRGSHNKKHSARDNTSPVNFWKGSPKNEISARNLAAEWWQWQFMTGDVFPCASPLPSSRFQLANGIKPTFRHSHNSGEKLKERKDEKMKSITILRSRNGLRRELESSMQCLKCSKEVATKSNPALKDEKSNKSKHLEDKEIGGHHYFGITNLLELLRSQRAINELKATQKSSKKIVEQLSQNVEDNRVFHKCRECKKSETVLDDLKDKLSREKRSRERTELLNAKLVHEVAKTKISSKQCMTNYSKEKKKRKMLEEVCNELAMQVREDTVKLEGLLSDSVKICKEVKEEREMMEMAELWREERVQMKLADAQILLEDKYNEMVQLIAFLQVFLRSRGAEIDTTELEDAQFIKQIVESVSIKRIVELSYDFSKSDVAVETFEELIGKDNTGSYTAFTSPLSNIHIESLDEVQNRSPKHHTSPCSDYNIGLEVANSMEPIGVDFKQEKDIFGCEEECSEKACLESLKTGINGVCSASVGNLKRKAFLTSKQLKSCLNGGITISSSKSCRYKRVGDGWHKQKEWKHRIGRSPIQNAGSSSQCEDCGEGSFKPSEVLEQGNCAYNNMNPHIIRGMRGCTEWPPRLQKR >Vigun02g182500.1.v1.2 pep primary_assembly:ASM411807v1:2:32279267:32281401:-1 gene:Vigun02g182500.v1.2 transcript:Vigun02g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHAMKRIPRIKFPQRHGKPSGSAPETQALSSTSNGNSPLITLGGKASLQPKRNPLSNEEIEAVLLGGSV >Vigun06g000100.1.v1.2 pep primary_assembly:ASM411807v1:6:29424:29747:-1 gene:Vigun06g000100.v1.2 transcript:Vigun06g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFPRLLRWIDYKVGDVSIRCSFENHVILHDVCLSKEELGLLVVKEALSKRDGECDKRKRKDDVSGGTQKRSSLWV >Vigun01g219300.2.v1.2 pep primary_assembly:ASM411807v1:1:39315283:39320127:-1 gene:Vigun01g219300.v1.2 transcript:Vigun01g219300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTVGAVNRTLLNLNGSGSGASAPSSAFFGTSLKKVIASRVPNSKATNGSFKIVAADNETEETKQTKKDRWAGLAYDISDDQQDITRGKGMVDPLFQAPMNAGTHYAVMSSYEYLSTGLKTYNFDNMKDGYYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGVPEEEVTKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMSLDKLLQYGNMLVQEQENVKRVQLADKYLNEAALGNANEDAIKSGSFFKQT >Vigun01g219300.3.v1.2 pep primary_assembly:ASM411807v1:1:39315283:39319118:-1 gene:Vigun01g219300.v1.2 transcript:Vigun01g219300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLFQAPMNAGTHYAVMSSYEYLSTGLKTYNFDNMKDGYYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGVPEEEVTKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMSLDKLLQYGNMLVQEQENVKRVQLADKYLNEAALGNANEDAIKSGSFFKQT >Vigun01g219300.1.v1.2 pep primary_assembly:ASM411807v1:1:39315283:39320127:-1 gene:Vigun01g219300.v1.2 transcript:Vigun01g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTVGAVNRTLLNLNGSGSGASAPSSAFFGTSLKKVIASRVPNSKATNGSFKIVAADNETEETKQTKKDRWAGLAYDISDDQQDITRGKGMVDPLFQAPMNAGTHYAVMSSYEYLSTGLKTYNFDNMKDGYYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGVPEEEVTKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMSLDKLLQYGNMLVQEQENVKRVQLADKYLNEAALGNANEDAIKSGSFFKQT >Vigun04g099760.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23348306:23348614:-1 gene:Vigun04g099760.v1.2 transcript:Vigun04g099760.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQNKIFHRNIDKIESLIKWIQYVLSLNQIFIFLLKNSIFQGFFLSIRYKSTYRILVLVINDRNEVLTSRPLQQTNHSCEEFSLYWNITSFFSYYDWNKKI >Vigun10g174700.1.v1.2 pep primary_assembly:ASM411807v1:10:39327563:39332853:1 gene:Vigun10g174700.v1.2 transcript:Vigun10g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIFNGYADDFSWNCLKHFELTSFCTQRTTIDTINLIFVCVFYASTILSLITRNFINGSHRKSRFFLTVCICCAITSIVFYGIGLWNLIAKTGNSMATCVVRGFVWTSFAVSLLLQTHKWIKVLNSLWWACSCALVSALHIQILFRNHTIEIFDLLQWLLQMLLLFCALQNLGYFVTQSEQGNLSEPLLAQEVDTEETGLGRASFLSKLTFSWVNSLLSLGYSKPLSLEDIPSLLSEDKADLSHQNFMHACQSLVTERSENNTKNLVFWSIVRTHLKENILIAVYALFRTIAVTVSPLILYAFVNFSNSRDSGDTALREGLTIVGFLILSKVVESVSQRHWYFCSRRSGLKMRSALMVAVYEKQLKLSSSARTRHSTGEIVNYIAVDAYRMGECPWWFHLTWACTLQLLLSITILYGVVGVGALPGLVPLLICGFINVPIAKILQKCMAQFMISQDERLRATSEILNSMKIIKLQSWEDKFKNLVENLRAKEFVWLSKAQMLKAYGSFLYWMSPTIVSAVVFLGCVVFNSAPLNAGTIFTVLATLRNLGEPVRMIPEALSVMIQIKVSFDRLNTFLFDEELDTSDGNRSYINRSSTNAVEIQAGNFIWDHESVSPTLRDLNLEIKWGQKVAVCGPVGAGKSSLLYAILGEIPKISGTVNVFGNIAYVSQTSWIQSGTLRDNILFGKPMEKTRYESAIKVCALDKDINDFSHGDHTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFKDCVMTALRGKTVILVTHQVEFLSEVDTILVMEGGKVTQSGNYENLLTAGTAFEELVSAHKEAIIEVDPKNENKTYREEESENVYRTKNQNEGEISTEGRLGIQLTQEEEKEIGDVGLKTFWDYISISRGSLMLFGIMLVQFAFVALQAASTVWLALAIEIPKINSVTLIGLYSLISFASAGFIYIRALLTSYLGLKASKAFFTNFNTAIFNAPMLFFDSTPVGRILTRASSDLSNLDFDIPYSITFVACVAVEILVTICIIVLVTWPVVIVAIAALVASKYVQVYYQASSRELMRINGITKAPVMNFATETSLGVVTVRAFNMVESFFKNYLKLVDTDATLFFHSNVTMEWLLLRIEALQNLTAITVALLLVLFPQGYVSSGLVGLSLSYALTLTSSIIFWTRWYCSLLNYLISVERIKQFIHLPSEPPAIVKDHQPPSSWPSKGRIDLQALEIRYRPNAPLVLKGITCTFREGSRVGVVGRTGSGKSTLISALFRLVEPASGDILIDGINICSMGLRDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDDIWKALEKCQLKETISHLPNLLDSKVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKMVEYDEPLKLMDTDSSFSKLVAEYWASCSKNSS >Vigun06g196300.1.v1.2 pep primary_assembly:ASM411807v1:6:31190340:31191964:1 gene:Vigun06g196300.v1.2 transcript:Vigun06g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKAKPAPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEATCGICQESFSTTITALSEPIDIYSEWIDECERVNTVDDDA >Vigun06g196300.3.v1.2 pep primary_assembly:ASM411807v1:6:31190151:31191964:1 gene:Vigun06g196300.v1.2 transcript:Vigun06g196300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKAKPAPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEATCGICQESFSTTITALSEPIDIYSEWIDECERVNTVDDDA >Vigun06g196300.2.v1.2 pep primary_assembly:ASM411807v1:6:31190035:31191967:1 gene:Vigun06g196300.v1.2 transcript:Vigun06g196300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKAKPAPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEATCGICQESFSTTITALSEPIDIYSEWIDECERVNTVDDDA >Vigun10g139350.1.v1.2 pep primary_assembly:ASM411807v1:10:35544757:35545398:1 gene:Vigun10g139350.v1.2 transcript:Vigun10g139350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELVNKSLLFKVESRNDQTFKLEQSFRVKKICLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIAEDLLVRFTKETIDCASQSADLIKDTATNEHGNTSLKRESAKKTLSLESIEEDIVPLKLLKRNIKKEKIVKQ >Vigun11g211200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40616914:40618207:1 gene:Vigun11g211200.v1.2 transcript:Vigun11g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYISSSNNDHSCVRSLFFFGSFYYVRSRHWKWLFERLKLVRVLDFGLNLSSKIPSDIWNFIHLRYLRIDIEDFMFVPDSILNLWNLETVELGAPRHKIFPISFPAQIWKLKHLRHLNTPWAIELRGSCSSDEKKWNVQTASTLVLNSQATSLIKKGTFPNLKKLGLRLSSECEGELPKLLQSLQELSYLNKLVIVLRKRDDAGVEQWSDESMKRNNGLKPQELLRSLGQLNCLTILTIGNAFDRLICALEFPPNVIEVTLSEIDCISDEGMNGLGNHTKLKILRLLGIRASRGDSFVVNCGRGSFPELEVVEMKNLKLRKWMLENGAMWRLQNVVIHRCLRLDTLPNELWSLSGLRKVQVKHPSLQMAAC >Vigun07g089500.1.v1.2 pep primary_assembly:ASM411807v1:7:13927278:13931368:-1 gene:Vigun07g089500.v1.2 transcript:Vigun07g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGGGEDLSVNLAGMSKNQLYDIVSQMKSLIEQNQQQARQILIQNPTLTKALFQAQIMLGMVQAPQVVPKVQPMVLQNNQQPAQPTQQPNTQPAPLLPGHGGAQDQTGVSQTQIPLRKHHNQSSVPVSSAVPAMSHQSQPISAHSLPMPQQPKGHLAPQVALASLPQSSQLPNIPPPSLHSLSQPLHPPQMPTASSQLQQPLQSPGFPHIPMQQPLAPQIRPPSAVPTFHPQYPPQMGANLGFQHAGASHNLSQSLFHPGSKPPASVGSAFPQGQTQLPSQKSSQPPYQVGNMPLGPDFGNQAGNAMQVDRGSSMIPGPSDNLAHLSGPPGPPYGVSGPMGAANQALRPPALTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRH >Vigun07g150900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26122022:26123666:-1 gene:Vigun07g150900.v1.2 transcript:Vigun07g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAREIAEARMQRGEFQAALKFATKAKNLYADVENISHVLTICEVHNAAQTQLSPTEMDWYAILQIERLADETAIKKQYKRLALLLHPDKNKFAGAETAFKLVGQANGVLSDQAKRFLFDKKFAVSVRGRPVPKSAESKKKAVPKTFWTCCQHCNTKYQFCMPFVNATIRCKQCLKSFKARAIPLENIQKEYPTHGSPKPASESTGGRNPGGGEHGSTFVRPNPMSMKKCSSGVGANSEGEKSKDDCVPPSTGAESQTSKNVGSKRVRQSAPDSGLDAGRSCRQKQQHV >Vigun07g127500.1.v1.2 pep primary_assembly:ASM411807v1:7:23476224:23478983:1 gene:Vigun07g127500.v1.2 transcript:Vigun07g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLRAFFFKSFFFFWYRFLFRQFKNLIRFYRTISNSFSGATQFKYPRFSSLLHRSDLNDQTLVFDVENALLKSSSLFPYFMLVAFEAGGLLRAIVLVLLYPFVCVVGSEMGLKIMVMTCFFGMKASSFRVGRSVLPKFFLEDVGAEMFETLKKGGKQVGVTKLPRVMVESFLREYLEIDFVVGRELKMFCGYYLGFMDNVDKTAHVLDLLKEGKGCSDMIGITSLNNPRDHQFFSHCKEMVVVSEADKRSWQKLGRERYPRTLIFHDGRLALRPTPMESIAILMWLPYGIILSVIRIFLALSLPFNISTPLLVATGLRLTTSRTHHNNSNNKNNNLYVCNHRTLLDPLYISFSLQKNLSAVTYSLSRMSEVLSPIKTVRLTRNRNEDATMMKHLLGQGDLVVCPEGTTCREPYLLRFSPLFSEMCDEIVPVAVDTHVTMFHGTTAGGFKCFDPFFFLMNPSPLYTVQLLQHVFPTTTHVDQDGSKFQVANQVQSQIGTALGFHCTRLTRKDKYLMLAGNEGTVSNPGSAANNNS >Vigun11g170500.1.v1.2 pep primary_assembly:ASM411807v1:11:37657801:37660194:1 gene:Vigun11g170500.v1.2 transcript:Vigun11g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSSATKLPLKPIPGSYGLPFFGPMSDRHDYFYHQGRDKFFAERIKKYQSTVIRTNMPPGPFISSNPRVIALLDGVSFQILLDNSKVDKRDVLDGTFMPSTSFTGGYRACAFQDTTEPSHALLKTFYLNLLAAKHASFIPLFRNNLAEHFTDLEDQLAGKSSKASFNSSVGSASFNFLFRLLTGQDPSATKIGSDGPSLVTTWLAAQLAPLATLGLPRIFNYVEDFLIRTIPVPAWAVKSSYKKLFEGFASAGSSVLDEAERLGIKRDEACHNLVFMLGFNAQGGLVNQFPILIKWVGLGGESLHRELAEEIRTVVKEENGVSLRALDRMTLTKSVVYEVMRIEPAVPFQYAKAREDLVVESHDAAYEIKKGEMIFGYQPFATKDPKIFERAEEFVAQRFVGPDGEKLLSHVLWSNGRETEEPTPDNKQCPAKNLVVLMCRLYLVEFFLRYDTFTFDFKPVVLGPQVTIKSLVKASY >Vigun10g195800.1.v1.2 pep primary_assembly:ASM411807v1:10:40928315:40940098:-1 gene:Vigun10g195800.v1.2 transcript:Vigun10g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRVRSMNVAVADPDARPVLVPAGNKVRPAVDGRKPVKKLTPETEKKTVALSNAPPQCISAPPSFILRRQERHQAVLKNLSSMNASYSSDASSTDSSTHSSGASSSGKVARRVSVQLRKKQCGPKMEKVSSDNVGGSDDLDLSDSLEGKKRCAWVTPNTEPWYVAFHDNEWGVPVHDDRKLFELLSFSGALAELTWPTILNKRQLFREVFLDFDPSAVSRMNEKKIAAPGSPANSLLSELRLRSIIENARQMCKVIEEFGSFDTFIWNFVNHKPIVSQFRYSRQVPVKSPKAEFISKDLVKRGFRSVGPTVIYTFMQVAGLTNDHLISCFRFKECTSNAEAMVNESSLNSKLKEKPNDDTTDVGLLLAVNKMNFSSK >Vigun02g143900.1.v1.2 pep primary_assembly:ASM411807v1:2:29209798:29222281:-1 gene:Vigun02g143900.v1.2 transcript:Vigun02g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLTCSSLHPSMPVFSRTLFSIPVCQEFSLPCASYGSRTVKQWKKLIPIKATVAEAPPDVWKSVAGNGGDGVPQKKKKLRVLVAGGGIGGLVFALAAKRKGFEVVVFEKDMSAIRGEGQYRGPIQIQSNALAALEAIDFEAAEEVLRVGCITGDRINGLVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMALQEILARAVGEDSIMNESNVVDFVDHGDKVTVELENGQKYDGDLLVGADGIWSKVRTKLFGETEATYSGYTCYTGVADFVPADIDSVGYRVFLGHKQYFVSSDVGAGKTQWYAFHQEPAGGSDIPNGKKERLFKMFEGWCDNVIDVLVATEEEAILRRDIYDRTPTFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELDNAWEKSTKSGSPLDIDSSLKSYERERRLRVAIVHGMARMAALMASTYRAYLGVGLGPLEFLTKFRIPHPGRVGGRFLIGKVMPLMLNWVLGGNSSKLEGRPICCRLSDKANDQLQTWFEDNDALERAINGEWILLPCDEAGCIKPISLSQDEMKPCIIGSSQHKDHPGSSIIIPLPKVSPLHAIINYKDGAFFLTDLRSLYGTWITDNEGRRYRIHSNYPSRVRPSDVIEFGSDKASFRVKVTRSAPRESEKEGTKLYQKE >Vigun02g143900.4.v1.2 pep primary_assembly:ASM411807v1:2:29213456:29222281:-1 gene:Vigun02g143900.v1.2 transcript:Vigun02g143900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALAERGLPVTRVISRMALQEILARAVGEDSIMNESNVVDFVDHGDKVTVELENGQKYDGDLLVGADGIWSKVRTKLFGETEATYSGYTCYTGVADFVPADIDSVGYRVFLGHKQYFVSSDVGAGKTQWYAFHQEPAGGSDIPNGKKERLFKMFEGWCDNVIDVLVATEEEAILRRDIYDRTPTFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELDNAWEKSTKSGSPLDIDSSLKSYERERRLRVAIVHGMARMAALMASTYRAYLGVGLGPLEFLTKFRIPHPGRVGGRFLIGKVMPLMLNWVLGGNSKKSAFLNGYLQEEIYVEQPEGFAITRQEDKV >Vigun02g143900.3.v1.2 pep primary_assembly:ASM411807v1:2:29209798:29222281:-1 gene:Vigun02g143900.v1.2 transcript:Vigun02g143900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALAERGLPVTRVISRMALQEILARAVGEDSIMNESNVVDFVDHGDKVTVELENGQKYDGDLLVGADGIWSKVRTKLFGETEATYSGYTCYTGVADFVPADIDSVGYRVFLGHKQYFVSSDVGAGKTQWYAFHQEPAGGSDIPNGKKERLFKMFEGWCDNVIDVLVATEEEAILRRDIYDRTPTFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELDNAWEKSTKSGSPLDIDSSLKSYERERRLRVAIVHGMARMAALMASTYRAYLGVGLGPLEFLTKFRIPHPGRVGGRFLIGKVMPLMLNWVLGGNSSKLEGRPICCRLSDKANDQLQTWFEDNDALERAINGEWILLPCDEAGCIKPISLSQDEMKPCIIGSSQHKDHPGSSIIIPLPKVSPLHAIINYKDGAFFLTDLRSLYGTWITDNEGRRYRIHSNYPSRVRPSDVIEFGSDKASFRVKVTRSAPRESEKEGTKLYQKE >Vigun02g143900.2.v1.2 pep primary_assembly:ASM411807v1:2:29214701:29222281:-1 gene:Vigun02g143900.v1.2 transcript:Vigun02g143900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLTCSSLHPSMPVFSRTLFSIPVCQEFSLPCASYGSRTVKQWKKLIPIKATVAEAPPDVWKSVAGNGGDGVPQKKKKLRVLVAGGGIGGLVFALAAKRKGFEVVVFEKDMSAIRGEGQYRGPIQIQSNALAALEAIDFEAAEEVLRVGCITGDRINGLVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMALQEILARAVGEDSIMNESNVVDFVDHGDKVTVELENGQKYDGDLLVGADGIWSKVRTKLFGETEATYSGYTCYTGVADFVPADIDSVGYRVFLGHKQYFVSSDVGAGKTQWYAFHQEPAGGSDIPNGKKERLFKMFEGWCDNVIDVLVATEEEAILRRDIYDRTPTFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELDNAWEKSTKSGSPLDIDSSLKSYERERRLRVAIVHGMARMAALMASTYRAYLGVGLGPLEFLTKFRIPHPGRVGGRFLIGKVMPLMLNWVLGGNR >Vigun07g090600.1.v1.2 pep primary_assembly:ASM411807v1:7:14250621:14251685:1 gene:Vigun07g090600.v1.2 transcript:Vigun07g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHENGANAGEEEPMIGPGPAPRARPKRPLQFEQAYLNALPSANMYEKSYMHRDVVTHVAVSAADFFITGSSDGHLKFWKKKPIGIEFAKHFRSHLGSIN >Vigun01g244000.1.v1.2 pep primary_assembly:ASM411807v1:1:41275564:41278305:-1 gene:Vigun01g244000.v1.2 transcript:Vigun01g244000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMSLNALLRLPPTNSRFLHNDDVSVRHSLVSNRRQHRSLKAPQRHGHVFVVEAKGKKGMMARQFQRSAPPPLPKIEDDGNPKFVIFIRMANVYLWYPLSIVTGGTTAKIMVAAKDNFLGKYIYKDTLDRNLAAVIYKDEKEVQKSAFKQYRVLRSATDFRYGYKLVENTNIRAALSTTDVIELPTPDKLKTVLDKVKDFFGDAKESFGKITSLGTTTAEESEEDTKEKTKVKG >Vigun06g067701.1.v1.2 pep primary_assembly:ASM411807v1:6:19646990:19647676:-1 gene:Vigun06g067701.v1.2 transcript:Vigun06g067701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVQSVQMVGGGLVSETDPEGTCVNHGVCLSKSNTRSFNIVTLNIVIIKPVKEISLLIFLILEFIYSYYRLCPSSFTLIVLRFLNLWALRFLNSWALGFTGKLRNEVGAECANGGWWEGFEPGSRLHSLFSLPFFIMLTFRSGRSYNYKLC >Vigun05g016200.1.v1.2 pep primary_assembly:ASM411807v1:5:1317825:1324135:-1 gene:Vigun05g016200.v1.2 transcript:Vigun05g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINTLSPDVALAVAPDVEYRMRQIMQRTILTADDVDVALNLKNVEPIYGFASGGPLRFKRAVGHRDLFYIDDKDVDLKDVIEASLPRAPLDTAVTCHWLAIEGVQPSIPENAPVEVISAPSYTKKHEQKDDDLPVDIKLPVKHVLSRELQMYFDKVAELTLSESDSALFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNNFPLLFALMRVVSSLLLNPHIHIEPYLHQLMPSVVTCLVAKRLGSRLADNHWELRDFTANLVASICKRFGHVYSNLQYRLTKTLLNAFLDPKKAMTQHYGAIQGLGALGPNVVRLLLLPNLETYMRLLEPEMLLEKQKNEMKRHEAWRIYGALLRAAGQCIYDRLKMFPTFSTPPSAVLKTNAKVLTSSSRKRKADPDQSEQQPPLKKAATDGELGVVPMNSSPVHKQEEAETLASSIDSIIGSSSSAQMKNETSLDGELRSNMGDTQASKISAALTQVWKDELNSGRVLVSLILSSWHETQPISHGKQHLPGFILEFIKINRAIQVVIEFYEQGFIHLSKLVWVWLHVNTELLQHLCIREKAIIVCVKVSKTVTY >Vigun03g299600.4.v1.2 pep primary_assembly:ASM411807v1:3:48759790:48762323:1 gene:Vigun03g299600.v1.2 transcript:Vigun03g299600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAECCDVFGLDEELLEMVPKPVLAVLFLYPITSKTEEERLQQENEKKDYSSKVYFMKQTVGNACGAIGLLHALGNVTSEVKLVEGSFFDEFFKSTASMDPLQRAAFLVNDWDMEVAHSVAATAGDTVVSDNEDPHFICFACVDGQLYELDGRKSGPISHGVSSPSTLLRVNFFGCQGYTFIDS >Vigun03g299600.1.v1.2 pep primary_assembly:ASM411807v1:3:48759465:48769667:1 gene:Vigun03g299600.v1.2 transcript:Vigun03g299600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDERPAAKSFLPLEANPDVMNKVLWGLGLPMEEAECCDVFGLDEELLEMVPKPVLAVLFLYPITSKTEEERLQQENEKKDYSSKVYFMKQTVGNACGAIGLLHALGNVTSEVKLVEGSFFDEFFKSTASMDPLQRAAFLVNDWDMEVAHSVAATAGDTVVSDNEDPHFICFACVDGQLYELDGRKSGPISHGVSSPSTLLRDAAKVIQSMIQKNPDSLNFNVIAISKKSRPIKLRIFRFK >VigunL081800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:15732:16915:1 gene:VigunL081800.v1.2 transcript:VigunL081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRQAMKKAIELTEQAGIKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun02g158300.1.v1.2 pep primary_assembly:ASM411807v1:2:30405516:30407270:1 gene:Vigun02g158300.v1.2 transcript:Vigun02g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLFCTFLVTNLIFMQTLADTSCTDCFTHSRAAYYPNSEEQGTDAGACGFGSFGATINGGDVSAASSLYRNGVGCGACYQVRCTNSGYCSNNGVTAVITDQGSSDNTDFILSKHAFSRMAQTTDAAASLLAIGVVDIEYRRVSCSYPDKNITIKIDESSNNPYYLAFVIWYQQGRRDITAVQLCETQNFECKLLDRSHGAVWTSTSPPSGPLSLRMLFSDEEEGEETWVVPANNIPGDWKAGETYDSGVQVNQ >Vigun03g405500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61266462:61269652:-1 gene:Vigun03g405500.v1.2 transcript:Vigun03g405500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQLEEGRTLADYNIQKESTLHLVLRLRGGL >Vigun01g190000.1.v1.2 pep primary_assembly:ASM411807v1:1:36895311:36898510:1 gene:Vigun01g190000.v1.2 transcript:Vigun01g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIDGKAVAQTIRSEIADEVRLLSQKYGKVPGLAVVIVGHRKDSQSYVGMKRKACAELGIKSFDVDLPEQISQAELIKQVHELNANTDVHGILVQLPLPKHINEEKVLSEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKACLELLHRSGVTIKGKQAVVVGRSNIVGLPASLLLLKADATVTTVHSHTSQPENIIREADIVIAAAGQPKMIKGSWIKPGAAVIDVGTNAVDDPTRKSGYRLVGDVDFEEASKTAGYITPVPGGVGPMTVTMLLKNTLEGAKRYIEQNS >Vigun10g110200.1.v1.2 pep primary_assembly:ASM411807v1:10:30991044:30998385:1 gene:Vigun10g110200.v1.2 transcript:Vigun10g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLKKYDDVFPKDIPHGLPPKRGTEYNIDLIHGASLPNRPTYRIKLEETKETQKQVEQLMKKVSFNELPYHLPYNHLQGSIPPQLGNLTQLQTLSLYNNFLTGSIPSTFGHLKNLNTLYLDSNKLEGSIPPQLGNLTQLQELYLSNNSLVGSIPSTLGNLKSLYGLYLDSNDLEGSIPPNLGQLESLAYFFFQSNQITGPIPVEFGNLKSLERLYLSNNSLNGSIPPTLGRLGNLRHLFLDSNQIEGHIPEELGNLSKLEVLQLSHNKISGILPPKLLQMDKMFSLHLSSNKLCGRIPLETMRCPCATIVDLSHNLFNGSITSQFGCVNDLNLSHNFLVGEIPFWSFEPSRLDLSYNNLSGKVHKELASLSYINLSYNSFDFSQNLDSVSEVPNYYFFHEDSLINDNRMPNFTYCHLLNQTNPQTRKSKPVIMLIVLPIIFFILLLLLSILYFSRCKPKKKCEGIETKNGDLFSIWNYDGKIAFEDIIQATQDFDLKYCIGTGGYGSVYRAQLPSGNVVALKKLHRMESQNPSFDRSFRNEVKMLTEIRHKNIVKLHGFCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWSKRVNVIKGMAQALSYMHHDCTTPIVHRDVTSSNVLSNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVFSFGVVTMETLMGKHPRELISTSSNPTTQNMLVKDLLDSRLPLPLQKDAQDINLVINVALSCLCLKPNLRPSMQQVTEKLSSFKFPINLPFHEIFIHQVMSQDIFHLSSNFQE >Vigun08g057700.1.v1.2 pep primary_assembly:ASM411807v1:8:7333207:7338544:1 gene:Vigun08g057700.v1.2 transcript:Vigun08g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKVVRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFAVEAPLHASNVQVLDPVTGKPCKVGVKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVLGPKDTPMDLVLEKTYDAKTGRGMPEL >Vigun03g013800.1.v1.2 pep primary_assembly:ASM411807v1:3:927003:928780:-1 gene:Vigun03g013800.v1.2 transcript:Vigun03g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELERRSNFLKGLIQKKKAIEQQEQHDHLQHNNVRVRASDMPLPLQNAAFRCARHLLDSMPPKKLDSKRLALALKKEFDSSYGPAWHCIVGTSFGSYVTHSVGGFLYFSIDKVYILLFKTAVEPLDHS >Vigun09g003050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:213752:217108:-1 gene:Vigun09g003050.v1.2 transcript:Vigun09g003050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRCCYAMHITLMPIHSSPRNHLKDSIFSFWGFVPMRAPAPAPPPTSTSTSIYMIPNLILSLTLLFLLLPVQAASCNQLDRDSLFAFSRNISTPSPLNWSASVDCCLWEGIHCDDNFRVVHLLLPSRALAGFISPSLTNLTALSRLDLSHNRLSGNLPDQFFSLLNHLQILDLSYNRLSGELPPFLAIANTSANTIRELDLSSNLFHGKLPLSLLHHLADAVAAGTLTSFNVSNNSFTGQIPTSLLCSNNTGSSSSLRFLDYSSNDFSGMIQPGLGACSKLERFRAGSNSLSGPLPVDIFDAVSLKEISLPLNKLSGTLGEAIVNLVNLTVLELYSNNFTGPIPSDIGKLSKLERLLLHANNINGTLPPSLMDCVNLVMLDVRLNLLEGSLSALNFSGLLRLSALDLGNNSFTGIIPPTMYSCKSLKAVRLASNHFEGQISPDVLGLQSLAFLSISTNNLSNVTGALRLLMGLKNLSTLMLSQNFFNEIMPDDVNITNPDGFQKIQVLGLGGCNFTGQIPHWLYNLKKLEVLDLSYNQISGSIPPWLHTLPELFYVDLSFNLLTGMFPTELTTLPALTSQRTYDAVERTYLELPVFANANNVSQMQYNQISNLPPAIYLGNNSLNGSIPVEIGKLRVLHQLDLSNNKFSGNIPAEISNLINLEKLSLSGNQLSGEIPVSLTSLHFLSAFSVAFNNLQGPVPTGGQFDTFSFSSFEGNPQLCGSVVRRSCLPQQGTAARGHSSSKKLIIGFAIAASFGLVSLVSVLIVWVISKRRINPGGDPDKIEVESISINSYSGVHPEVDKEASQVVLFPNKTSEIKDLTMVEILKATENFSQANIIGCGGFGLVYKATLPNGTALAIKKLSGDLGLMEREFKAEVEALSTAQHENLVALQGYCVHEGVRLLIYTYMENGSLDYWLHEKTDGPSQIDWPTRLKIAQGASCGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVADFGLARLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGRRPVDVSKPKMSRELVAWVQQMRNEGKQDQVFDPFLRAKGFEEQMLKVLDVACMCVSHNPFKRPCIREVVEWLRNVGLSNHQMNKD >Vigun10g135000.2.v1.2 pep primary_assembly:ASM411807v1:10:34609734:34613990:1 gene:Vigun10g135000.v1.2 transcript:Vigun10g135000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGLENSSQQQQGHENTSEQQQRRENTSQQQQVEHENNSEQQQRRENTSEQQIGHENNSEQQEGPGCINKLCHNFKDILGLRSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCHNCLKEGSKLLVSSLSDVKCDCGSLMRKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPIKLGHKKLENQIEEELDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLPLGCIRNLYKSVKNLDPSWFIKSSNRSLLNPKVAPHFGCERNPLLNANQDDTAKYWCGLREMKNEKGRIISKKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSISCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTGSLSSLLWTWRFQRLIPGWSLFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun10g135000.1.v1.2 pep primary_assembly:ASM411807v1:10:34609586:34613990:1 gene:Vigun10g135000.v1.2 transcript:Vigun10g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQQRRENTSEQQEGPGCINNLCPSFKDILGLRNNSQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGLENSSQQQQGHENTSEQQQRRENTSQQQQVEHENNSEQQQRRENTSEQQIGHENNSEQQEGPGCINKLCHNFKDILGLRSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCHNCLKEGSKLLVSSLSDVKCDCGSLMRKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPIKLGHKKLENQIEEELDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLPLGCIRNLYKSVKNLDPSWFIKSSNRSLLNPKVAPHFGCERNPLLNANQDDTAKYWCGLREMKNEKGRIISKKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSISCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTGSLSSLLWTWRFQRLIPGWSLFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGDK >Vigun09g017200.1.v1.2 pep primary_assembly:ASM411807v1:9:1276691:1282404:-1 gene:Vigun09g017200.v1.2 transcript:Vigun09g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGFAVDEKAVTVENAFLDFLKSFKSSQRNELQYEAEIEVMRVNESNTMFIDFSHVVRFSDLLQQTISDEYLRYEPYLRNACKRFVMELKPSVVSDDGPNKDINVAFYNIAVIKRLRELGTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICTNATCNNRTRWVLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDIMALASPGERSECRRERKGPTAGNEGVSGLKALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDVDEDDQQFTTQELDEIQRMRNTPDFFNKLVESVAPTVFGHPDIKRAILLMLMSGVHKFTHEGINLRGDINVCVVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREAALAPAFTTAELKRYIAYAKTLKPKLTSDARKLLVESYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLKTSIISVESSEIDLSEFQEENQNAGAAGGGGNDNNGDANDARGDGNDNRDANDAGNQADGSNSQGTRQADRKDGNPADGSKPQAKKLVISDEYFQRVTSALIMRLRQHEEAAMQGNGLAGMRQKDLIQWYVDQQNERNNYSSMEEVTAEISKIKAIIESLIRREGHLIVVDDGQEAAAEGGGAPRNNRILAVAPNYVVD >Vigun09g017200.4.v1.2 pep primary_assembly:ASM411807v1:9:1276691:1282404:-1 gene:Vigun09g017200.v1.2 transcript:Vigun09g017200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGFAVDEKAVTVENAFLDFLKSFKSSQRNELQYEAEIEVMRVNESNTMFIDFSHVVRFSDLLQQTISDEYLRLRELGTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICTNATCNNRTRWVLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDIMALASPGERSECRRERKGPTAGNEGVSGLKALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDVDEDDQQFTTQELDEIQRMRNTPDFFNKLVESVAPTVFGHPDIKRAILLMLMSGVHKFTHEGINLRGDINVCVVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREAALAPAFTTAELKRYIAYAKTLKPKLTSDARKLLVESYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLKTSIISVESSEIDLSEFQEENQNAGAAGGGGNDNNGDANDARGDGNDNRDANDGNQADGSNSQGTRQADRKDGNPADGSKPQAKKLVISDEYFQRVTSALIMRLRQHEEAAMQGNGLAGMRQKDLIQWYVDQQNERNNYSSMEEVTAEISKIKAIIESLIRREGHLIVVDDGQEAAAEGGGAPRNNRILAVAPNYVVD >Vigun09g017200.2.v1.2 pep primary_assembly:ASM411807v1:9:1276691:1282404:-1 gene:Vigun09g017200.v1.2 transcript:Vigun09g017200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGFAVDEKAVTVENAFLDFLKSFKSSQRNELQYEAEIEVMRVNESNTMFIDFSHVVRFSDLLQQTISDEYLRYEPYLRNACKRFVMELKPSVVSDDGPNKDINVAFYNIAVIKRLRELGTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICTNATCNNRTRWVLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDIMALASPGERSECRRERKGPTAGNEGVSGLKALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDVDEDDQQFTTQELDEIQRMRNTPDFFNKLVESVAPTVFGHPDIKRAILLMLMSGVHKFTHEGINLRGDINVCVVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREAALAPAFTTAELKRYIAYAKTLKPKLTSDARKLLVESYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLKTSIISVESSEIDLSEFQEENQNAGAAGGGGNDNNGDANDARGDGNDNRDANDGNQADGSNSQGTRQADRKDGNPADGSKPQAKKLVISDEYFQRVTSALIMRLRQHEEAAMQGNGLAGMRQKDLIQWYVDQQNERNNYSSMEEVTAEISKIKAIIESLIRREGHLIVVDDGQEAAAEGGGAPRNNRILAVAPNYVVD >Vigun09g017200.3.v1.2 pep primary_assembly:ASM411807v1:9:1276691:1282404:-1 gene:Vigun09g017200.v1.2 transcript:Vigun09g017200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGFAVDEKAVTVENAFLDFLKSFKSSQRNELQYEAEIEVMRVNESNTMFIDFSHVVRFSDLLQQTISDEYLRLRELGTSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICTNATCNNRTRWVLLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDIMALASPGERSECRRERKGPTAGNEGVSGLKALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDVDEDDQQFTTQELDEIQRMRNTPDFFNKLVESVAPTVFGHPDIKRAILLMLMSGVHKFTHEGINLRGDINVCVVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREAALAPAFTTAELKRYIAYAKTLKPKLTSDARKLLVESYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLKTSIISVESSEIDLSEFQEENQNAGAAGGGGNDNNGDANDARGDGNDNRDANDAGNQADGSNSQGTRQADRKDGNPADGSKPQAKKLVISDEYFQRVTSALIMRLRQHEEAAMQGNGLAGMRQKDLIQWYVDQQNERNNYSSMEEVTAEISKIKAIIESLIRREGHLIVVDDGQEAAAEGGGAPRNNRILAVAPNYVVD >Vigun03g038700.1.v1.2 pep primary_assembly:ASM411807v1:3:2956021:2959987:1 gene:Vigun03g038700.v1.2 transcript:Vigun03g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKERQVEIRKKIEYSMQLNASRIKVLQAQDDVISSMKEAASKELLSVSHHHDWTITHHDHVYRNLLKDLIVQCLLRLKEPSVLLRCRKEDLHLVEHVLDSAAQEYADKANVDPPEIIVDNQVYLPPGPSHHNAHDIYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKQLFGQVVA >Vigun03g432500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63668570:63671145:1 gene:Vigun03g432500.v1.2 transcript:Vigun03g432500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVVVSPQWALWSIPMLHWRVGLLTALVLLGMVVVWSIDGCTVRSIFQAWRYQQDYLAVRFHSPNLTLVSPYSAVNFTPYEKLGEKSPLLEGHTSWISSELEPNFTSNLIARWSARGGIPCEDSKAVEISIPGLDGGEVIELSAGDVHEFSFQALDDSGKPRCLGGDYFEADLSGESWKSRPLVKDFSNGSYSISLQVHPDFDGVYNLTIILLYRHFEGLKFTPWRFSYDRMLRNFAIRFYKSGVQLPELQSCKASDFGRDVWSGRWTRHGKNDDCTIGNDGRYRCLGSDFPCKAPWCDGSLGILESNGWVYSTHCSFKLYSAESAWKCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPEIRSVPRRFDWNFSNPKDPSQKVRITSIFNGHWNETQNYLGLDSLRDEGFQDLIKKYFSEDTVPDTVIMNSGLHDGVHWRNIRAFSVGADYAASFWRDIMKRVKQRGLAWGRVFYRTTVATGGYARSLAFNPNKMEAFNGVLLEKLKESGVVSGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKMKWRDGQIGHQYFVDLMLSHVLLNALCAR >Vigun11g188700.1.v1.2 pep primary_assembly:ASM411807v1:11:38848838:38853734:-1 gene:Vigun11g188700.v1.2 transcript:Vigun11g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAIAFLVHPRFLLLFLVLSIFLIFAYTGSTTTYGSTTSKLVVEEKIEPVPEITHRVFLDIDIDKQHLGRIVIGLYGQVVPKTVENFRALCTGQKGKSENGLKLHYKGTPFHRIVSGFVIQGGDIVHRDGKGSESIYGGTFPDENFKIKHSHAGVVSMANSGPDSNGSQFFITTVKASWLDGEHVVFGKVVQGMDIVYAIEGGAGTYSGKPRKKVVIADSGEIDKSHWDDET >Vigun06g096000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22731935:22734331:-1 gene:Vigun06g096000.v1.2 transcript:Vigun06g096000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRNGKYQTVGSTSLDTSVGLVCHYQFENGSSQFVFMDVESGKRASLNHWLCFSTENFVQTLALSNGLILLSGFSEDQFCYHVFNPLTKLNLTIPQTRIQENVTRVGLAFDGCQFEVVLVEAASSQSNQLKVHVFSSATGKWRSHHPINITVPSLPESEFQELGTAPLYSNGSIHWEIGGHLLVYEVQGSHCELYELPNYSKDGSWQPTLTFRRRLCESGGRIYYCYTDFDGFHIWKLLNEHEHEGFLYYWDYKTFPWSLVHSVEPEVFMSKLENFCGIFFYDWDPFKIAPVGFSEQSKIIYLQLPGSVVSYNLGTGTLKSICTYSCSDMNFNCCSFFSSTCHNVLSDSNGETELNLPIEEVEKLAL >Vigun06g096000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22731633:22734379:-1 gene:Vigun06g096000.v1.2 transcript:Vigun06g096000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRNGKYQTVGSTSLDTSVGLVCHYQFENGSSQFVFMDVESGKRASLNHWLCFSTENFVQTLALSNGLILLSGFSEDQFCYHVFNPLTKLNLTIPQTRIQENVTRVGLAFDGCQFEVVLVEAASSQSNQLKVHVFSSATGKWRSHHPINITVPSLPESEFQELGTAPLYSNGSIHWEIGGHLLVYEVQGSHCELYELPNYSKDGSWQPTLTFRRRLCESGGRIYYCYTDFDGFHIWKLLNEHEHEGFLYYWDYKTFPWSLVHSVEPEVFMSKLENFCGIFFYDWDPFKIAPVGFSEQSKIIYLQLPGSVVSYNLGTGTLKSICTYSCSDMNFNCCSFFSSTCHNVLSDSNGETELNLPIEEVEKLAL >Vigun06g096000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22731633:22734372:-1 gene:Vigun06g096000.v1.2 transcript:Vigun06g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMRNGKYQTVGSTSLDTSVGLVCHYQFENGSSQFVFMDVESGKRASLNHWLCFSTENFVQTLALSNGLILLSGFSEDQFCYHVFNPLTKLNLTIPQTRIQENVTRVGLAFDGCQFEVVLVEAASSQSNQLKVHVFSSATGKWRSHHPINITVPSLPESEFQELGTAPLYSNGSIHWEIGGHLLVYEVQGSHCELYELPNYSKDGSWQPTLTFRRRLCESGGRIYYCYTDFDGFHIWKLLNEHEHEGFLYYWDYKTFPWSLVHSVEPEVFMSKLENFCGIFFYDWDPFKIAPVGFSEQSKIIYLQLPGSVVSYNLGTGTLKSICTYSCSDMNFNCCSFFSSTCHNVLSDSNGETELNLPIEEVEKLAL >Vigun10g039400.1.v1.2 pep primary_assembly:ASM411807v1:10:5375524:5381156:1 gene:Vigun10g039400.v1.2 transcript:Vigun10g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPSTEVASSTTKLPRKYDVLINFNGEEICRKFVSHLDSVLSDVGLTTFLHHDNGVKPMHEPIPNLCRVAIVVFTKTYSQSAWCLHQLQQIIEWHETYCKHVLPVYYEIQPSDIRLQKGDFGKAFETTAHQTFSAQQLEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLPILSATKFPVGLQSNVEDLIRTIKDKSSEVCIIGITGAGGSGKTTLAKSIYNKIHGTFKKKSFIEDIGQVSRTIGHRRLEEQFISDVLKTEVEIPSDYMESRTIREILTGKKVLIVLDDVTEDFTLLDLWECREWLSGGTVIIITTRDIDLPRILKVDTVFGIKLMNANESLELLSWHAFREPKPKEEYNDLAKSVVTHCGGLPLALEVIGNCLFKREKEEWNSVLLKLEQIPLHNVQQKLKISFDGLRNQIEKYLFLDICCFFVGEGRACVTKILNGCEVDADSGIRVLIECSLIKIKKNNKLGMHPLLQEMGREIIREISRKESWMNNRLWFDDAEYVLTDNLGREGVLKLRSVRREPSRLLKHTGNSDYLSKKLKWISLDRFSSKYLSGDFYLHDAIVIDLKHTDLKHDDPRLVWKEPQALRWLKVLNLSHSMYFTETPDFSGLPSLEQLILKDCTRLRNVHRSIRCLCNLTLLNLKDCTSLSNLPIEIYKLQSLKTLILSGCSMIDLLEKDVVQMGSLITLIAENNAVKHVPFSILSSKSIGHISLRGFEKLPLNLFPSIIRSWMSPIMNPVSYIHSLCMDINNNWDDIAPLLSSLTNLRSVLVQCDIEFQLSKLVKSIMVEYFTNFTESGISKQQFRSSLIGLGTYHEFFNAVSDNISEVLANSESCDVSLPSDNLPYWLAYMGEGNSVSFTVPWDRDMKGMALSVVYLSTPEIVATECLRSVLIVNYTKCTLQIHNYDTIISFNDIDWQCIMSNLGPKDKVEIFVTFGHELVVKNTILYLICGESNYLKKEIESKKNCLLRFITKIVMCDFW >Vigun06g236600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34177261:34178288:-1 gene:Vigun06g236600.v1.2 transcript:Vigun06g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINTSTFTNQLQESHDEDEELGYKECRRNHAVALGGACYDGCNEYLKPSSDQTSEDAFLCACCGCHRNFHRKQDPVQQAEAESNYVMDVVPVSARPTNNNPEAVQRVRPLRRKRTTFSVDQKKQMTRFAHILGWKPHKGNREEIQRFCTDMGISRKIFVVWLNNNRHRAINHTTDPSTSN >Vigun11g219200.1.v1.2 pep primary_assembly:ASM411807v1:11:41195485:41200781:-1 gene:Vigun11g219200.v1.2 transcript:Vigun11g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLLFLITFSFLCQTLLSATTLPLQLISLLSLKSSLQDPLNNLHDWDYYNSSNFTSQHPIWCSWRGITCHSKTSQITTLDLSHLNLSGTISPQIRHLSTLNHLNLSGNDFGGSFQYAIFELPELRTLDISHNYFNSTFPPGTSKLKFLRHFNAYSNSFTGPLPHELTTLRFLEHLNLGGSYFSDRIPPSYGTFPRLKFLDLAGNALGGSLPPQLGHLAELEHLEIGYNNFSGTLPSELSLLSNLKYLDISTANISGNVIPELGELTNLETLLLFKNRLTGEIPATIGRLKFLKSLDLSDNQLTGPIPTQVTMLTELTTLNLMENNLTGEIPQGIGDLPKLEILFLFNNSLTGTLPQQLGSNGLLLKLDVSTNSLEGPIPENVCKGNRLVRLILFLNKFSHTLPPSLGSCTSLARVRIQNNFLNGSIPEGLTLLPNLTFLDISSNNFQGPIPEHLGNLQYFNISGNSFGTSLPASIWNATNLAIFSAASSNITGQIPDFIGCQQLYKLELQGNFINGTIPWDIGHCQKLILLNLSRNSLTGIIPWEISTLPSITDVDLSHNSLTGTIPSNFNNCSTLENFNVSFNSLTGPIPSSGIFPNLHPSSYSGNQGLCGGVLAKPCAADALAASADDQVDVRRQQPKRTAGAIVWIVAAAFGIGLFVLVAGTRCFHANYNRRFGEEVGPWKLTAFQRLNFTADDVLECLSMSDKILGMGSTGTVYRAEMTGGEIIAVKKLWGKQKENIRRRRGVLAEVEVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHAKNKGDNLVADWFTRYKIALGVAQGISYLHHDCDPVIVHRDLKPSNILLDAEMEARVADFGVAKLIQTDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVEAAFGDGNSIVDWVRSKIKSKDGIDDILDKNAGAGCSSVREEMIQMLRISLLCTSRNPADRPCMRDVVLMLQEAKPKRKLQDSVVGGFGGDNVVAAPDIPLPQKPIAEQC >Vigun03g309900.1.v1.2 pep primary_assembly:ASM411807v1:3:50197769:50198813:-1 gene:Vigun03g309900.v1.2 transcript:Vigun03g309900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGEVVGKEEVITKLKDDGDFDRLRLKIVRKLKDNEELRQHITSIVKQSVALNRAGAENMKPRQLSDVIYEEVGDKVMGQISDSLWQIIRSGDGMKNEIMETVQSVYDKLANPKGKDEVMLSTSDVMPIQRQGETASATEIDDTLHENEPEEPPGFTLVHNHVNNNNQEDQDKGKVQVQVQGLTVERKEDSHPSQDTLGEDDVDSNAPPGFSMDVEQKPPAECSDEDPDVPPGFG >Vigun03g104800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9074795:9075698:-1 gene:Vigun03g104800.v1.2 transcript:Vigun03g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSLFGGRRSNVFDPFSINVWDPFKEFPFPESARENSAFVSTRVDWKETPEAHVFRADIPGLKKEEVKVEIEDDNVLQISGGRNVEKEDKNDRWHRVERSSGKFLRRFRLPENAKVEKVKASMENGVLTVTVPKEEIKKPEVKAIEISG >Vigun07g002200.1.v1.2 pep primary_assembly:ASM411807v1:7:195857:198255:1 gene:Vigun07g002200.v1.2 transcript:Vigun07g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKNQNPNSGTVNVVESAAKGRNKLPNFLLSVRLKYVKLGYHYVISNAMYLMLIPLLGVASAHLSTLSIKDFLQLWENLKFNLLSVTVCSSLMVFLATLYFMTRPRGVYLVDFACYKPHVDCTCTRETFVEKSGLTGTFSEENLSFQKKILERSGLGQNTYLPPAILSLPPKPCMAEARKEAEEVMFGAIDQLLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYNLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRSGDRRRAKYQLVHTVRTHKGADDKSYGCVFQEEDETKRIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSEWHMEPSRMTLYRFGNTSSSSLWYELAYTESKGRIKKGDRTWQIAFGSGFKCNSAVWRALRTINPAKEKNPWMDEIHEFPVHVPKVAPIAS >Vigun03g408700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61568790:61571099:-1 gene:Vigun03g408700.v1.2 transcript:Vigun03g408700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIHDKEILNIVKENFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGREDPDFTWEVVKPLKWEKA >Vigun05g117700.1.v1.2 pep primary_assembly:ASM411807v1:5:12586444:12587050:-1 gene:Vigun05g117700.v1.2 transcript:Vigun05g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRINYRIKMATPQKSAVRFVFIMLFIMIASDVCMKSEARNVVSLRCSNEENCELLCPDCNCKCIDTWCFCPGVPLPFTNNNFTPTP >Vigun01g111800.1.v1.2 pep primary_assembly:ASM411807v1:1:28570956:28578808:1 gene:Vigun01g111800.v1.2 transcript:Vigun01g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALHFQSPIDSAEAPPHSSFLHFNADCLNPSVSAARTRSRPRLVKLRKQCASQQARSRNRAGADDGDAGFNPFRADQVNSNGSILESGFSNSRGDNGFVFGAGKVESDSSRDLNGGIRSGGVEFVFGAGKSDEGSIKNGESVAETVFGEGGKVGSNSEGELKSRVFVFGTSRDNLDSGLSTEKGKCSVGLGDSGAVKECKYEFECGQRDHFGGSYSGRESSVNLSDEMEKLNIKHSEGVDITGNSKNSHANGCAAGFVFGGNDIGFGYSSVSSKTEAGGQQFCAHAASGNVGVQNGTACGISSDSSGIHSKPSTSQEGVTDFQNGKNPGCYVSEDSKVNGAAASFSFSSFGIDSHPNYASMGHSSSADGDKGDNWFASTPEASKESFADFKPPIWDPSCFKDNLFPKLNRKVESTQTGRSCKEKGSKCTRRKSKLHSLNKKQTGPDHLSKENSSLKTPDSSGVHSPMDFSPYQETTASGQDVNASKGLDDLHSTIPTDYKGENLPTTGREDMSTTDRRHGDPDNNERVENSSVDNSHSSGPEIVWPNLKTEQFCGGSAEGASAGAGVDFTSNIERQKDDIFRFVPGLNESKGKNFSFSASSTVVGTPSVKRQQKKKYRRKWGCNTSVISPHVNGKFVSSVQFSPHSTANMSSNSDVMDTSQINGQFKDGDVASSEAIPSSACDKWRLRGNQAYKDGDLSKAEGFYTIGINSVPSRERSGSSVQPLLLCYSNRAATRMSLGRIREALEDCMMATVLDPSFPKVQMRTASCHLLLGEVENAQQCFNKCMESGSVVCLDRRAILEAAEGLQKAQEVLKCINNAAELLKERTSDAAATALELVSKALSISLYSEKLLQMKAEALCLLRKYEAAIQLCEQSQHLAEKNFVLANNAPVSDSSLCDSYSSVKLWRWSVISKCYFRLGRLEASLNVLEQLQHLVSVTDKCVIDNIEDSLSLASTIRELLEHKRAGNENFKVGKYTEAIENYTAALSCNIKSCPFMAICFCNRAAAHQALGQIADAIADCSVAIALDGNYAKAISRRATLLEMVRDYEQAACDLKRLIVVLETQSNERDKQSDSPSGSKGVKESRQARQRLLSVEDQAKKGTPLDVYLILGIKSADTATDIKKAYHKAALRHHPDKAGQLLARSEVGDDGQVWKEISQEVYKDADKLFKMIGEAYAVLSDPAKRSEYDLEEDIRKACKVSNRGGTSRRSSDAYGGFGRPCDGYKSPSDRTSNRRNGRDHWKTYGHSYSRW >Vigun04g184800.1.v1.2 pep primary_assembly:ASM411807v1:4:40983625:40987855:1 gene:Vigun04g184800.v1.2 transcript:Vigun04g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIPCHYCVSLTPKWFNSNTTHSGRLSYPLRGLRGFNARCSNQPSHHSEVQNNHNEKSFSFKKCAISIALAVGLITGVPTLGWPTNAQAANSVFSDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKIAGVKALDSVERNVRQASRSLKQGKTLIVSGLAESKKEHGVELLSKLEAGIDELELIVQDRNRDAVAPKQKELLQYVGGVEEDMVDGFPFEVPEEYQNMPLLKGRAAVDMKVKIKDNPNLEECVFHIVLDGYNAPVTSGNFIDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEITVNGEKAPVYGATLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >Vigun04g189550.1.v1.2 pep primary_assembly:ASM411807v1:4:41366682:41370201:-1 gene:Vigun04g189550.v1.2 transcript:Vigun04g189550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDSKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLAQHVYHDPKMEEAKFDIRAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTTRKLREDKSWNVFEKHALKDDEIELNDDLKEIGRRIVVKCKGLPLALKTIGRLLHTKSSISDWENILESDIYHYLPTPLKRCFAYCALFPKDYEFAKKELILLWMAEIFCIVLKKLDILKKLKFDKGKYIPKTTRHFLFTPGDVKCFNGLESLSDAKRLRSFIAIARLRGRYLGNYPWQFMISLHDLFSKIKFLRVLSFYGISDLKKVPDSIGNLKHLQSIDLEYTNIQKLPDSIGIALNLHKLTKLRCLEFERTKVTKMPKHFGELKNLQVLSTFLVDRNNECNIKQLGGLNLHGSLSIKEVQNIVNPLDALEANLKNKHLVNLELEWKRKHIPDDPLKEKKVLENLQPSKHLERLSIENYGGTEFPSWVFNNSLSTLVFLCLENYPQIRGFHGIVSIGAEFCGSNSTSFTSLESLAIDNLKEWEEWECKTTFPCLRYLFINRCPKLKGTSEQLLNLKELFVSLRGKLIIYSCPLVNIPITHYDFLEEVIIDGGFFPKLHLLHITGSRNLRGISNEHTHNHLKEMKIDECPQFESFPSEGLSAPQLWKIEIKGARNLKLLPKRMQILLPSLTELRITDCPQVEMFEEGSLPSNLKEVSLSCFRLIASLREALGADTCLETLTIIEVDVQCFPDEGLLPPSLTSLEIYNCPTLKKLNYKGLSHLSSLTLFGCPNLECLPEEGLPKSISYLKIWLCPLISKRCRNPEGEDWKKIAHIKKRIIEPVALQVLILSRPFRLQFS >Vigun03g222300.1.v1.2 pep primary_assembly:ASM411807v1:3:36905100:36915360:-1 gene:Vigun03g222300.v1.2 transcript:Vigun03g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLSANEQQSMVSSFLEVAQGQTAETARQFLQATSWKLEEALQLFLIGSESGAVPPPTLHTPPLENVDSWTDQPPSEPRKDPTGSESVGLNEAEEVRPPLPVIRETLYDDAMLYGAPRGGHRSQEPSTLVAFRNFEEEMRNPGVWEPEQGAASTAETSRDNLASLYRPPFHLMFNGPFDKAKDAASLQNKWLLVNIQSTKEFSSHMLNRDTWANEAVSQTISTNCIFWQVYDDTTEGRKVCTYYRLDSIPVVLVIDPITGQKMRSWIGMVEPESLLEGLLAFLDAGPKDHHITLSHKRPRGSSSPPKSKALLESNENKEEDEEVQRALAASMESMKESTAMDGRENKDADVAVNGQETTLAKRPTYPPLPEEPKAERNLLCRVGVRLPGGQRVQRNFLRTDPIQLLWSFISTQLGEDERRPFRLTHAIPGASKNLDYESNSTFQESGLANSMISVTWD >Vigun02g004700.1.v1.2 pep primary_assembly:ASM411807v1:2:2300969:2325331:-1 gene:Vigun02g004700.v1.2 transcript:Vigun02g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRGANKAKANGHLRLGDLVLAKVKGFPYWPAMISRPEDWDKPPDPKKYFVQFFGTKEIAFVAPADIQVFTNETKTKLSARCQGKTKYFTKAVKEICAAFEELEKQKASGLKEDTDDSHIGSGTPVVGVLAHPKDATDAVVLNVEKTDTYVGDVGSNLEQCTQKCEESGSQDAKPSLSGHPIDGSSPVLSPVIESKPLIGEELNNHSSKSGLDKQSCRKVEDSDIENVCNVNDLKQADYVQSVSTNGNNSRKIVSGSRRSKIADDRKRSGEISRAVLKDESCAGYAGFSRSGEKSKDKKKGKDSFSVRSDSLDAPKSDTDINGGSKNKNLLKVKTSLKVKKELQESFECSEAERKKPLKHNKTQVHGKRNLGTNETSHATKKLKFMDNKDNKTSKSLSEDGNSVLPSSPDKEFKQIELKRSTSRLKSEKGLPSRGQISIVGSDDSVGELLPETKHRTQVPQAMPDSASVSSGGHTEISSLGSKGDTNNVAIKQVKRRRRAVCVSDDDDDDEPKTPVHGGAAKDIKSTSFVSEVMKSSDTLLENTDVTQLATKNLSALDDIHFKESTSELHNDNLSIGHPQKETDEATVQLPHSPWRLGSKQHPPKVVDKLRSISPVNSPRSLHTTKSNAEQNKSSKRMLQVSSNSTHKKVDNGFSKNLNSISSSPSQITTHKKKPASSAETSKTTPKALSQAVEVPVTTENLKEFDAFPVDRTPETAKSMKNLIAAAQAKRRQVAQAQCHPLSIYYTQGGTPSPSTIQPFLSASSNIEQADWQGVLENPTLASPSTNGYQSISLNELGAVENEEKRVSPVQKDVRGSLSGGTDAAIARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESETSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQGGLPRLLSAAAPPGASASENRRQCLKVLKLWLERKIFPESVLRRYMDDMTISCSFRRPSRAERSLDDPIRELEGMFVDEYGSNTFKLPGFLSSCVFEEDEDNDFPSNASPADAIRIFGDSETSTVTPSDKRHCVLEDVDGELEMEDVSGHLKEERPLLLNSPSEKDSQHQGSERILVPASKISAEIPDDILEGSPPLPLDSPPSPPPLPSSPPPPPLPPPSPPPSLVPQSSEPVQPPLFSQTIVPPQLSHQSSPLSGFQQSVPHDFSGSTNGNQIGQVAGNSLPGSHNSSVVKNEILQQPSACFPPMAGCNSQEPSGFNPPRQLEYGQNDMYLNSQGPQPNMQFQPANPPFAPRHVHPALPENSSNQYSYPKPTIPQHLPHSFQPPFSLPSVPDAQRQFGANEQWRMPSSEFITNNQQGLWIGRNPSCPAPPFGQEGFFRPPLERPPINNVGFQHANPGNIPTHPITGHGVPQMLSCRPDIPALNFWRPT >Vigun07g190400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30855309:30857362:1 gene:Vigun07g190400.v1.2 transcript:Vigun07g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFHLHHDLPKLRIAAVKIDDVPSDHHLDALVSSSVVTRADNQTHEEETVAVAVTVAVPDDEPAAAEEDLSYRTPTSKESKIPAIMSCPPAPRKPKAFASCKRKLFDDFQFFDVTNKEDMDAFFRSTFPKRSCTCT >Vigun02g185500.2.v1.2 pep primary_assembly:ASM411807v1:2:32481515:32488787:-1 gene:Vigun02g185500.v1.2 transcript:Vigun02g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSNIVARVFNRQICTPPPGTSVHHARCFYENLVPSYTIYEVECPDHSFRKFTDDGQYLISFSRNYQELIIYRPRWLSFSCKDEDCDKHDLPSRAKRFDSFFTQLYCVPLASCNELICKDFFLYLESQQFGLFATSTAQIHDAPAVGGAVHGVPSIEKITFHLLRLEDGEILDKKVFCNDFVNLTHNTGVFLYDDLLAIVSLRYQTIHVLQIRDAGNLVDVRAIGEFCREDDELFLNSNAQGMAFSDKIKQHQFPGNHIENHMHQGQTNPENSFLSGIKQRLLSFIFQGLWNEERDETSRIQGLRKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVSRNADHHPAFVAVYNMDTTEIVSFYQNSADELYLLFEQFCDHFHATSRNLMYMNFISSHSNNIHAREQLRSIKDKASNPSQFVKKMLASLPFSCQSQSPSPYFDQSLFRFDDKLISATDRHRQSTDHPIKFISRRRPGSLKFKIKPGPEAGSMDGRAKKISSFLFHPILPLALSVQQTLFLQPSVVNIHFRR >Vigun08g219000.1.v1.2 pep primary_assembly:ASM411807v1:8:37836506:37837738:-1 gene:Vigun08g219000.v1.2 transcript:Vigun08g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFHQNLSSLLHHSQLCSSSFTCIFFAIPMATVEVVSANVALPEDKIEAPLKGDEVKKEEIVATPTQAPEPVTEETNEETTEVPEEKPSVEVETKEVAEEEVVSETVTEEPRVEKTEEETPKEEAETEEAKENADPDEVKTAEATESKEEDDGVAKEEEKSEEERVETEGSDEKKEEEAIAEGEKSEEVAVTEAPTEKTE >Vigun11g116200.1.v1.2 pep primary_assembly:ASM411807v1:11:32105040:32107854:-1 gene:Vigun11g116200.v1.2 transcript:Vigun11g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLATKLHNSTTIRRRFHHRVSLLRRCILRVLHRILLCSGRKTNNTYSMLTPALPSPQPPPPAESSGEIAREEMDVTPPAMFQTHDLDSDLVSLKISLLGDCQIGKTSFLVKYVGDEKEKQGSKMEGLNQMDKTLVVKGVRISYCIWEVQGDGKTEDRIPKACTDSVAILIMFDLTSRCTLNSVLGWYKEARKWNQTAIPVLIGTKFDDFIQLPIDIQWTIASEARTYAKALNAALFFSSATYNINVNKIFKFITAKLFDLPWKVERNLNVGEPIIDF >Vigun02g055400.2.v1.2 pep primary_assembly:ASM411807v1:2:19850057:19854260:-1 gene:Vigun02g055400.v1.2 transcript:Vigun02g055400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLVKKIASTTMGSCASNPNRKANSGHKRRKHKSKRRGNIPTALPDMPLKRVSNAGSIVGDFNITDFVNLDFENGASAPCKRSEVSNMKFHLTQLQYHTQIDANGKYQEEAWFDSVSIIESDSDDDFSSVHGDCFPFASNALASGPNQVVQYETASCFYEKTQETNSKQSTVIMLSVTRSSIDGCDKMTESRSSEQFLFRPKAGTQIPGSTQENPSPGTWSVITPSVFKLRGENFFRDKQKSPAPEICPYVPIGVDLFSCPKKINHIARYLELPSITENENLPSLLIVNIQLPAYAASVFLGDADGEGLSLVLYFKLSEDFEKEISQNFQSMIKRLMDDEMEKVKGYTKESTIPYRERLKILAGVVNPEDLNLYSTEKKLINAYNGKPVLSRPQHEFYKGPNYFEIDLDIHRFSYISRKGLDSLRDRVKLGILDVGLTIQAQKQEELPEEVLCCLRLNKIDFVNHGQIPTLVTLEDNSS >Vigun02g055400.3.v1.2 pep primary_assembly:ASM411807v1:2:19850057:19854260:-1 gene:Vigun02g055400.v1.2 transcript:Vigun02g055400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLVKKIASTTMGSCASNPNRKANSGHKRRKHKSKRRGNIPTALPDMPLKRVSNAGSIVGDFNITDFVNLDFENGASAPCKRSEVSNMKFHLTQLQYHTQIDANGKYQEEAWFDSVSIIESDSDDDFSSVHGDCFPFASNALASGPNQVVQYETASCFYEKTQETNSKQSTVIMLSVTRSSIDGCDKMTESRSSEQFLFRPKAGTQIPGSTQENPSPGTWSVITPSVFKLRGENFFRDKQKSPAPEICPYVPIGVDLFSCPKKINHIARYLELPSITENENLPSLLIVNIQLPAYAASVFLGDADGEGLSLVLYFKLSEDFEKEISQNFQSMIKRLMDDEMEKVKGYTKESTIPYRERLKILAGVVNPEDLNLYSTEKKLINAYNGKPVLSRPQHEFYKGPNYFEIDLDIHRFSYISRKGLDSLRDRVKLGILDVGLTIQAQKQEELPEEVLCCLRLNKIDFVNHGQIPTLVTLEDNSS >Vigun02g055400.1.v1.2 pep primary_assembly:ASM411807v1:2:19850057:19854260:-1 gene:Vigun02g055400.v1.2 transcript:Vigun02g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLVKKIASTTMGSCASNPNRKANSGHKRRKHKSKRRGNIPTALPDMPLKRVSNAGSIVGDFNITDFVNLDFENGASAPCKRSEVSNMKFHLTQLQYHTQIDANGKYQEEAWFDSVSIIESDSDDDFSSVHGDCFPFASNALASGPNQVVQYETASCFYEKTQETNSKQSTVIMLSVTRSSIDGCDKMTESRSSEQFLFRPKAGTQIPGSTQENPSPGTWSVITPSVFKLRGENFFRDKQKSPAPEICPYVPIGVDLFSCPKKINHIARYLELPSITENENLPSLLIVNIQLPAYAASVFLGDADGEGLSLVLYFKLSEDFEKEISQNFQSMIKRLMDDEMEKVKGYTKESTIPYRERLKILAGVVNPEDLNLYSTEKKLINAYNGKPVLSRPQHEFYKGPNYFEIDLDIHRFSYISRKGLDSLRDRVKLGILDVGLTIQAQKQEELPEEVLCCLRLNKIDFVNHGQIPTLVTLEDNSS >Vigun10g014851.1.v1.2 pep primary_assembly:ASM411807v1:10:1653408:1656708:-1 gene:Vigun10g014851.v1.2 transcript:Vigun10g014851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFWCVLFRVRGDCICCLQMARKFDLIKDIDDKKETLKLAVRVKDLWFVENRDTNRHMELILLDQKGDMIPAMVKKEDIGLWEQKLVEGHTYIMHNFKIMKNQGQFRVCDHPYKLLFIGATTIKDQPISNVIGVVDNVRCNPQSKNVVFHIRDLSSAVIGCTLWDSYYFKFMSNWRGEADSFVVVVMLTQAKIKPSSGRWPVSISNSWNGSKLFMGDECSELVRFKEQWIQRFGNEVSQSQEASELSSPSQYTEHEKFMYKAVVSTISEITTMKEEVYCVTVATTVKFNLGNDGWCYPVCNGCRKKTEEIGAFKCVMCGFNNGKPGIRYKLELQVCDGDSYANFVMWDQDCTNLIGVSAVELMNKMIEDGEDDPKCFPEDLDVMLGCTLAFKVRVQPKNRSSSVMKASNSLETIAFIRSKLESKMNNKESSGEAMSDSSTEENSKARDQIQMLTVSGSEDHDPYIDFCVTPTKDLLLDFEVDCDHLEDIPSVEFSRSKTKKRMKQEKH >Vigun09g214200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38844390:38846762:-1 gene:Vigun09g214200.v1.2 transcript:Vigun09g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSVQIREVWNDNLEEEFALIREIVDEYNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGSESPCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNSEKGIDVNRFGELLMSSGIVLNDAVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLIKMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDTFFSGSTEKYAGVLYGLGVENGQTN >Vigun11g073100.1.v1.2 pep primary_assembly:ASM411807v1:11:20880250:20884395:1 gene:Vigun11g073100.v1.2 transcript:Vigun11g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLSLPTSVSGEKPPFIRPTANFHPSVWGDRFLSFVPSSAESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFTLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTEDNNIIAHNDNLYHTALLFRLLRQHGYRISSSIFCRFIDQTGNFEESLTNDIEGMLSLYEAAQLRCHGEDVLEKAHNFSREKLTKSTTTRLSCSLAARVHHSLRQSLRRGLPRLEATYFMSFYEDPSHDEKLLTFAKLDFNKLQELHLKEVSRLTKWWAKDLDVSTNLPFTRDRITECCFWILGVYFEPQYCRWITTKLITLASIIDDMYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQAHMAEARWCHFNHIPTLEEYMQVRRTSSGYTLLITTCFLGMKNLTEQVLIWATNEPVIIIASAVICRITDDIVGDEFEQDRQHVVSSIQCYMKEHKISRKHAIEELLKLVENAWKHINDACLAPTQVPMTFLIRAVNFARVIDVLYKDEDNYTNAGGITKDHIETLLVKKMSV >Vigun11g073100.3.v1.2 pep primary_assembly:ASM411807v1:11:20880249:20884395:1 gene:Vigun11g073100.v1.2 transcript:Vigun11g073100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYEAAQLRCHGEDVLEKAHNFSREKLTKSTTTRLSCSLAARVHHSLRQSLRRGLPRLEATYFMSFYEDPSHDEKLLTFAKLDFNKLQELHLKEVSRLTKWWAKDLDVSTNLPFTRDRITECCFWILGVYFEPQYCRWITTKLITLASIIDDMYDAYGTIEELELFTNAVERWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQAHMAEARWCHFNHIPTLEEYMQVRRTSSGYTLLITTCFLGMKNLTEQVLIWATNEPVIIIASAVICRITDDIVGDEFEQDRQHVVSSIQCYMKEHKISRKHAIEELLKLVENAWKHINDACLAPTQVPMTFLIRAVNFARVIDVLYKDEDNYTNAGGITKDHIETLLVKKMSV >Vigun11g073100.2.v1.2 pep primary_assembly:ASM411807v1:11:20880250:20884395:1 gene:Vigun11g073100.v1.2 transcript:Vigun11g073100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLSLPTSVSGEKPPFIRPTANFHPSVWGDRFLSFVPSSAESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFTLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTEDNNIIAHNDNLYHTALLFRLLRQHGYRISSSIFCRFIDQTGNFEESLTNDIEGMLSLYEAAQLRCHGEDVLEKAHNFSREKLTKSTTTRLSCSLAARVHHSLRQSLRRGLPRLEATYFMSFYEDPSHDEKLLTFAKLDFNKLQELHLKEVSRLTKWWAKDLDVSTNLPFTRDRITECCFWILGVYFEPQYCRWITTKLITLASIIDDMYDAYGTIEELELFTNAVESRWDICCLVDLPKYMQLCYKAILDVFEEIELEMRKEGKVYCIKYVKKEMKRLVQAHMAEARWCHFNHIPTLEEYMQVRRTSSGYTLLITTCFLGMKNLTEQVLIWATNEPVIIIASAVICRITDDIVGDEFEQDRQHVVSSIQCYMKEHKISRKHAIEELLKLVENAWKHINDACLAPTQVPMTFLIRAVNFARVIDVLYKDEDNYTNAGGITKDHIETLLVKKMSV >Vigun01g142100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32308725:32309090:1 gene:Vigun01g142100.v1.2 transcript:Vigun01g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKEKVSEGEEKEKKYRGVRRRPWGKFASEIRDSARNGARVWLGTFDTAEEAARAYDRAAFQMRGAMAILNFPHEHPSCTSSSKTEHAKQVVVFECLDDKLLEELLDCDHKNINHNLGN >Vigun07g284500.1.v1.2 pep primary_assembly:ASM411807v1:7:39795538:39798257:1 gene:Vigun07g284500.v1.2 transcript:Vigun07g284500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSSSATAINSSNIGFQLLKKQGWKEGTGLGVSEQGRLEPVETYVKNNKRGLGADKVKKKAVKVKPDHSDSSKGTSQEDHLPQKKSKALSKRMRKMQEFEKKMQEKEFERAFYREFWPENV >Vigun09g018800.1.v1.2 pep primary_assembly:ASM411807v1:9:1437186:1440673:-1 gene:Vigun09g018800.v1.2 transcript:Vigun09g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPTLTLSKVFLSLVLLFFASLSSSYATSRLTHDPVNSHQSPAQKLIRSFNLSPKDPVNILKGDGEGFVSGKIVEKKFSFFGDSGPSIEDLGHHAGYYSLPSSKAARMFYFFFESRKSKDDPVVIWLTGGPGCGSELALFYENGPFHITNNLSLSWNDYGWDQASNILFVDQPTGTGFSYTFDDSDIRHDETGVSNDLYNFLQEFFKAHPEFIKNDFYITGESYAGHYVPALASRVNQGNKENQGIHINLKGFAIGNGLTNPAIQYQAYPDFALDNGIITKADHDDISKSIPECEQAAKTCETQGGKSCDNALNTCNNIFDSILSIAGGINYYDIRKKCVGELCYDFSDLEKLLNLPKVKSALGVGGDLKFVSCSSTVYNAMLQDWMKNLEVGIPTLLEEGIKVLVYAGEKDLICNWLGNSRWVHAMQWSGQKAFGTSPTVKFVVDGVDAGSLNSYGPLSFLKVYEAGHLVPMDQPKAALEMFKSWIGGKLNTQRDN >Vigun10g091800.1.v1.2 pep primary_assembly:ASM411807v1:10:26367723:26371468:-1 gene:Vigun10g091800.v1.2 transcript:Vigun10g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVIGGALLSAFIDVLFDKLASPEFVNFIRGKKSDKLLQKMKSQLLVVKVVLADAEKRQITDSNVKEWLDLLNDIVYEVDDLLDEASTKAATQKEVSNSFSLFFKRKKIVSIRKLEDILERLDEILKQKKSLDLRDIPVDNNQPWRSQPTSLEDGYALYGRDKDKEAIMKTVLEDSSDGEQVSVIPIVGMGGVGKTTLARSVFNDGKLKQQIFDLKAWVCVSDIFDIVKATKTMIEEITKKPCKLSDLNALQLDLMDKLKGKRFLIVLDDVWIEDFDNWSSLTKPFLSGIRGSKVLVTTRNKSVAVGVPFKTVKVYHLNQLSNEDCWLVFANHAFPHPEASEKRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRLKQSIRDWNNVLESDIWELHEGQCKIIPALRISYHYLPPQLKRCFVYCSLYPKDYEFKKDELIQLWMAEDLVKAPKRGKTLEEVGDEYFDDLVSRSFFQSLRHSTWGDCFVMHDLMHDLATFISGEFYFRSDELGKETKIDRKTLSDIEGFDMVKFPRTFLVISYKDSPFNDEKASHIVVSMLKYLRVLSFCSFQSLFVLPDSIGELIHLRYLNLSYTSIKTLPESLCNLCNLQTLKLSNCIKLTKLPSGMQNLVNLRHLEILHTPIKEMPKRMGKLNQLQRLDTYIVGKHIENSIKELGGLPNLHGSFSIEKLENVTKGEEALEARVMEKKHINHLSLEWSIGNDNSINFQIELDVLSKLQPHQDLESLFIRGYKGTRFPEWVGNFSYQNLTYLYFHNCKNCCMLPSLGQLPSLKFLRISYMKSVKTIDAGFYKNENCSSVTPFPSLEWLHIFDMPCWEVWNSSVLEAFPVLKDLHIQNCPNLRGDLPKHLPSLQTLLVTNCELLVSSVPRAPTLRTLEIRDSNTVVFHEFPLLVESIEVEGGPMVESMMEAITNIQPTCLQSLTLQNCLSAISFPSDRLPASLKTLDICGLKKLKFSMQHKHELLESLSIKNSCDSVMSLPLATFPNLSTLEIKDCENMKSLLVSGSESLKSLNYFHISYCPNFVSFPREGLSAPNLTSFSVSHCDKLKSLPNQMGTLLPKMEYLNISNCHQIESFPGGGMPPNLGTIEISNCEKLLSSKAWVCMDMVTPLKVQGPCDGINTFPEEGLLPPYLTSLCLYNLSSLETFDCKGILHLMSLRALYIESCKKLENIAGERMPVSIIKLSIEGCPLLQKRCHKKDREIWPKICHVRGIKIAGRWI >Vigun04g026100.2.v1.2 pep primary_assembly:ASM411807v1:4:2012722:2015786:-1 gene:Vigun04g026100.v1.2 transcript:Vigun04g026100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGEAKVVTTDVFEMGSIPWKSFNLDTSAPSSPPTTLLIFTPTVPAAYTVIVFYHGFFIPPSYYTQLLSRIVSHGFIVVAPQLFWNGLPMFGSDEIKYAGEVVDWLVNGLQPLLPENVEAKLEKVVLLGHSKGGKTAFSVALGYAKTTLKFSALIGIDPVGRLSKCTGLGPEKANILFPPCAPDGENHVEFFNESKPPKANFVAPNYGHMDMLDDVTPGIFGTVLAKCLCKNGKGPKKLMRETVAGLVVAFLRAQLDGDYKDFDAILENPGIAPAKLDDVEYITA >Vigun04g026100.1.v1.2 pep primary_assembly:ASM411807v1:4:2012722:2015786:-1 gene:Vigun04g026100.v1.2 transcript:Vigun04g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGEAKVVTTDVFEMGSIPWKSFNLDTSAPSSPPTTLLIFTPTVPAAYTVIVFYHGFFIPPSYYTQLLSRIVSHGFIVVAPQLFWNGLPMFGSDEIKYAGEVVDWLVNGLQPLLPENVEAKLEKVVLLGHSKGGKTAFSVALGYAKTTLKFSALIGIDPVGRLSKCKATESPPKILTGVPRSLNLNVPVAVIGTGLGPEKANILFPPCAPDGENHVEFFNESKPPKANFVAPNYGHMDMLDDVTPGIFGTVLAKCLCKNGKGPKKLMRETVAGLVVAFLRAQLDGDYKDFDAILENPGIAPAKLDDVEYITA >Vigun04g126700.1.v1.2 pep primary_assembly:ASM411807v1:4:32034634:32050305:1 gene:Vigun04g126700.v1.2 transcript:Vigun04g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGERWIDRLQFSSLFWPPPLEDQQRKDQIAAYVEYIGQFTSEQFPDDIAELIRNRYPSKEMLLFDDVLAVLVLHHPEHGHAVVLPIISCIIDGTLVYDKTSPPFASFISLVCPKDESEYSEQWALACGEVLRILTLYNRPIYKMERQCCEAEGSSGGSHAMTNDSVYEESGRNSMMQQEKKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELRPPMIVSARGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYACGIRLPRNWMHFHFLRAIGTAMSMRSGVAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSNRKQIEAGSAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLNSSAVDLPEIVVATPLQPPILSWNLYLPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPAESISDQKRKTRYYFVGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEVQFNASKKPRGEDNYLEEVIEDLLAVSESQKETNNRKMKKQGPVAAFDSYVLAAVCALACELQLFPMVSRGENRSVPNKVEKIAKPVKIDGSSQALQKCIDSAIRHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHISELFRRSKACMRALSVLMRCKWDDEIHSRASSLYNLIDIHRKTVASIVNKAEPFGATLIHTPICKDPCGSSKRQIQFENNSHLDPGQTSTSSSTDSSPSELGKRCDITSYSNEASGCTFGKGATSLAFDASDLANFLTMDRHIGFNCSAQIFLRSRLAEKQELCFSVVSLLWQKLIASPETQPCAESTSAQQGWRQVVDALCKVVSASPMKAATAVVLQAEKELQPWIAKDDNLGQKMWRINQRIVKLIIELMRNHDSLESLVVVASASDLLLRATDGMLVDGEACTLPQLKLLEATAKAIQPVIELGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILNTCSIIYGPKRQQKNDIHNLYFNLDVIDWRADIEKCLIWEAHNQLSNGMSIEYLNTAARDLGFAISI >Vigun08g110100.2.v1.2 pep primary_assembly:ASM411807v1:8:27353551:27357914:1 gene:Vigun08g110100.v1.2 transcript:Vigun08g110100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPSEQNKTSFGSRLGRSCISFAASVQEGFRYVKAFFVGQVKTITARNEQEASEAELEATKKQVDAADAAEDIKNRLKNSQS >Vigun08g110100.1.v1.2 pep primary_assembly:ASM411807v1:8:27353551:27358018:1 gene:Vigun08g110100.v1.2 transcript:Vigun08g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPSEQNKTSFGSRLGRSCISFAASVQEGFRYVKAFFVGQVKTITARNEQEASEAELEATKKQVDAADAAEDIKNRLKNSQS >VigunL029300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:145723:147927:-1 gene:VigunL029300.v1.2 transcript:VigunL029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB MALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILPHPEGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun06g163200.1.v1.2 pep primary_assembly:ASM411807v1:6:28549477:28551956:1 gene:Vigun06g163200.v1.2 transcript:Vigun06g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCCKSDTKPTPAGSTSGKVSKGRRTFKSVAAAMSLKTGSSRHRQIDAEIRKFGSVKNDVKVFTYAQLVEATNNFSSESLIGEGGFGNVYKGYIKSVEQTVAVKVLNRDGAQGTREFFAEILMLSMVQHPNLVKLIGYCADDSYRILVYEFMANGSLETHLLDLAEGKEPLEWKTRMKIAEGAAKGLEYLHSSRDTPIIYRDFKSSNILLDENFNAKLSDFGLAKIGPKEGQEQMTSRVMGTFGYCAPEYAATGKLSTKSDIYSFGVVFLEIISGRRVFDTSRATEEQNLIDWAQPLFKDRTKFTLMADPLLKGQFPVKGLFQALAVAAMCLQEEPDTRPYMDDVVTALAHLAVHKVGDQDLAGDSIKCAGHVESFRVSSSSERA >Vigun01g156300.1.v1.2 pep primary_assembly:ASM411807v1:1:33854837:33862521:-1 gene:Vigun01g156300.v1.2 transcript:Vigun01g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFVILGSSVTTPFPSSSSAAPYRFPIKHSLPKLAPFSSSWNISCALQAPLSLSADSKLVEEFEVFDEDAIDSGVDAEVLAKMVLLGIRGNKVRSVVHTLNRVQDRAVSLASHLNASSIDAIAKECCRLVTCGQIEEAVELMEILTRFKISIRGLVQPSDVIKRCVLSRNPILAVRYACLLPHAQILFCNIISEFGKRRDLISALKTYELSKKHVDIPNMYIYRAIIDACGLCRDYMKSRYIYEDLLNQKITPNIYVFNSLMNVNAHDLSYTLNLYQNMQNLGLKPDMTSYNILLKACCVAGRVDLAQDIYRELKHLESVGQLKLDVFTYSTIIKVFADARLWQMALTIKQDMLSAGVSLNIVAWSSLINACAHTGLVEQAIQLFEEMILAGCEPNTQCFNIILNACVEACQYDRAFRFFHSWKGKKMLGSFGEGRNNNIGQELVHNVTTMPSGISNSHILSIAERFPFTPTTTTYNILLKACGTDYYHAKALIKEMETVGLSPNQISWSILIDICGASANVEGAIEILKNMADAGIKPDVIAYTTAIKVCVESKNFMQALALYEEMKSYQIRPNLITYNTLLKARSKYGSLHEVQQCLTIYLDMRKAGYKPNDCYLEELIEEWCEGVIQDNREMQGEFSFSNTSELERSQSLLLEKIAAHLLKRVADILSIDVQGLTKVEARLVVLAVLRMIKENYSLGHSINDDILIVIGATKVDENPNKRILEVQDAIIKLLRNELGLEVFSARTRLALSDTPKLKHPNFANLKIGALPGEDALPTLTGFQTRRPAILVRLKVTRKSLYSWLHRK >Vigun08g214800.1.v1.2 pep primary_assembly:ASM411807v1:8:37520722:37522288:-1 gene:Vigun08g214800.v1.2 transcript:Vigun08g214800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEACVVQGSILKKVIKITKKVVTDGANLVFSPAGFSLKATNRSLGATVAVVLPGDAFDHYHCDHTVAIALDLHCMSRIFHVTSDDEIITMQDCRGREDVTVLIETPCHGKISEFTIMKEISTDNPRQLHPLHMHHPIIETEILASLRMPSLVFSTICSELADSGDDVTIKIIEEKGKTVKFITKGELGTSMVVCRHNVDVDRVEEAIVIEMNETVSVTFSLRLINSFARGAESLSETVDINFLRDKPSVVEYKIAQTGYARFYLHPSKEH >Vigun01g011900.1.v1.2 pep primary_assembly:ASM411807v1:1:1315714:1321510:-1 gene:Vigun01g011900.v1.2 transcript:Vigun01g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFFLVLVLIATFSSFSVSSYHWLSKPLLGDDDGRIYACSHSNFFAFESNGTIAWTLHLDYKCNLGTAPVRGGHGKVYLIADNRILVIKYGSIVAFEAEAEVFFGPRPGEPAEAEIIGLSVSTSGSTVYINVKNRGLFAYHSHGSLLWSVGPVLYQFGYRQGCRKNITDCYFDSPPVLDQCEDSIYISNTEGELYCLSIRSRYFRWIQDFSSLDKNFTTTPGNNGHLYVTVPTKTLVLALDVFSGNVLWQRSIGPLSKVDSAPIVDSNGWISIGSLDGFLYSFSPNGVLKKFSRMNAENSMIQVGPFLDCSGFAVYSSQIEMEGKASHTSGEYTLVSAIRPKGALFTMLVPATGSIYWSERYPGHVSPLFSESDLSHFVMNEETVLAFLAASKIGTPLQCRTIGQKLASSCSQERTKLVSIYTGNERAILLFLFFESALMLVLIGLVRFCCTFWAKKKLKDQGLGSFLDKRCSLQLKKKALDKTITELEQKAVEETAMNSEVFEKLGDTVRERECIERKLSTSYSLGRDRTGSQPKPMLPVHQMGRRKSYSFEDAKQKKVTMLHTLTDTSSGESSTEEETSMLEDMVSSTEAKAQTPVVHLDTSSSE >Vigun09g104801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:19235411:19237514:-1 gene:Vigun09g104801.v1.2 transcript:Vigun09g104801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLNNSQLVFIRMLTLPLHFLSLIKPSRRNGNQEVLASFLTRRQRDVSEVLDPMVRLMYLTNEGDLDKIEELLDEGVTSVSPTLTVAPRSTSPPARNTPTLLTCCYDEALKLIRETIGAAS >Vigun09g205300.1.v1.2 pep primary_assembly:ASM411807v1:9:37973829:37975202:-1 gene:Vigun09g205300.v1.2 transcript:Vigun09g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENVTIPCFDFCKDGMRLEEGSEEWKEMSKKVREACENHGCFLLICDEIIPNTVCEEMFHSMKALFDLPEETKQQYVIPKPYRGYNGKNSLIPFCESFGIDDIPLSDKAETFTNLMWPQGNPSFCETLKTMSSKMVELSFLVLKMIVEGYGLPQHYISDAENMKNSSYSRLIKYKVCESNNECEICLPAHTDSSSLTILCQKDVQGLHVLSKTDKWVELEIPHDGFVVIVGDILKA >Vigun07g115700.1.v1.2 pep primary_assembly:ASM411807v1:7:21391677:21402120:-1 gene:Vigun07g115700.v1.2 transcript:Vigun07g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSSSNNSTPSGLQNDEKQNWAASPSTVFNHFATSGLSVAVATGVTHPLDVLKVRLQMQLVGQKGPLSGMGKIFISAVKNEGPKSLYQGLTPALTRSVVYGGLRLGLYEPSKHACDLAFGSSNVLVKIASGMFAGAIATALTNPMEVLKVRLQMNPDMRKSGPVSEFRRTLSEEGIKALWKGVGPAMARAAVLTASQLATYDETKQILVRWTSLEEGFPLHLISSTAAGILSTLVTAPIDMVKTRLMLQREATGTRIYKGGFHCAYQVLLSEGPMGLYKGGFAIFARLGPQTTITFILCEELRKLAGLKAI >Vigun05g225700.4.v1.2 pep primary_assembly:ASM411807v1:5:41795702:41798873:-1 gene:Vigun05g225700.v1.2 transcript:Vigun05g225700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKAEVFLVKELKFHNISSLKYIFCPEIYIGEQGHLIQTCWGYKHRAKNRVHEWIKGGLNDILVPVESFHLKYMFQSVIRHNERFDFDRIPAVVELCWQAGADLQDENLNSSSSNSEAASESVSVNENLSPEDLASIANNTLTAWEALRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFTYESWKGAHFWVKANVDNLVPPKIVWRRRPHDPPVLVNERKEFYGRAPAVLDLCSKAGAIVPKKYNCMMKLQGLSGPANNRFFGITTEPNF >Vigun05g225700.3.v1.2 pep primary_assembly:ASM411807v1:5:41795702:41798873:-1 gene:Vigun05g225700.v1.2 transcript:Vigun05g225700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRKMARYGFVFSGNPPRFYGTKVDLRKLRPMILKRIDNRAQSYPVRAMVPVANEVLQARNVLIHGVSTLLNFLPLMACKFCPEIYIGEQGHLIQTCWGYKHRAKNRVHEWIKGGLNDILVPVESFHLKYMFQSVIRHNERFDFDRIPAVVELCWQAGADLQDENLNSSSSNSEAASESVSVNENLSPEDLASIANNTLTAWEALRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFTYESWKGAHFWVKANVDNLVPPKIVWRRRPHDPPVLVNERKEFYGRAPAVLDLCSKAGAIVPKKYNCMMKLQGLSGPANNRFFGITTEPNF >Vigun05g225700.2.v1.2 pep primary_assembly:ASM411807v1:5:41796042:41798873:-1 gene:Vigun05g225700.v1.2 transcript:Vigun05g225700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKAEVFLVKELKFHNISSLKYIFCPEIYIGEQGHLIQTCWGYKHRAKNRVHEWIKGGLNDILVPVESFHLKYMFQSVIRHNERFDFDRIPAVVELCWQAGADLQDENLNSSSSNSEAASESVSVNENLSPEDLASIANNTLTAWEALRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFTYESWKGAHFWVKANVDNLVPPKIVWRRRPHDPPVLVNERKEFYGRAPAVLDLCSKAGAIVPKKYNCMMKLQGLSGPANNRFFGITTEPNF >Vigun05g225700.1.v1.2 pep primary_assembly:ASM411807v1:5:41796042:41798892:-1 gene:Vigun05g225700.v1.2 transcript:Vigun05g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRKMARYGFVFSGNPPRFYGTKVDLRKLRPMILKRIDNRAQSYPVRAMVPVANEVLQARNVLIHGVSTLLNFLPLMACKFCPEIYIGEQGHLIQTCWGYKHRAKNRVHEWIKGGLNDILVPVESFHLKYMFQSVIRHNERFDFDRIPAVVELCWQAGADLQDENLNSSSSNSEAASESVSVNENLSPEDLASIANNTLTAWEALRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFTYESWKGAHFWVKANVDNLVPPKIVWRRRPHDPPVLVNERKEFYGRAPAVLDLCSKAGAIVPKKYNCMMKLQGLSGPANNRFFGITTEPNF >Vigun05g225700.5.v1.2 pep primary_assembly:ASM411807v1:5:41796042:41798892:-1 gene:Vigun05g225700.v1.2 transcript:Vigun05g225700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSMAFLHSLTSFLSWLAKIYIGEQGHLIQTCWGYKHRAKNRVHEWIKGGLNDILVPVESFHLKYMFQSVIRHNERFDFDRIPAVVELCWQAGADLQDENLNSSSSNSEAASESVSVNENLSPEDLASIANNTLTAWEALRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFTYESWKGAHFWVKANVDNLVPPKIVWRRRPHDPPVLVNERKEFYGRAPAVLDLCSKAGAIVPKKYNCMMKLQGLSGPANNRFFGITTEPNF >Vigun07g091066.1.v1.2 pep primary_assembly:ASM411807v1:7:14274445:14276259:-1 gene:Vigun07g091066.v1.2 transcript:Vigun07g091066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun09g226800.2.v1.2 pep primary_assembly:ASM411807v1:9:39894109:39899171:1 gene:Vigun09g226800.v1.2 transcript:Vigun09g226800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPIIFLIATLIALVSGGRDEILRLPSETSRFFQAPAADENDEGTRWAVLIAGSNGYWNYRHQSDVCHAYQLLRKGGLKEENIVVFMYDDIAFNEENPRPGVIINSPHGNDVYKGVPKDYVGEDVTVNNFFAAILGNKSALTGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTSPYMYASDLIEVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAEESSWGTYCPGENPNILDAIPFTREISKTLLRPMTAVIYTCYCIRVFASYFVLWQTLFYVKEVFDIHNLRTETLHQQFELVKQRTINGNSAYGSHVMQFGDIGLSKNNLSSYLGTNPANDNFPFLEKNSLVPPSKAVNQRDADLVHFWDKFRKAPLGSSRKSVAQKQILEAMSHRMHIDDSVTLIGKLLFGIEEGPELLSSVRPAGQPLVDDWDCLKTLVRTFETHCGSLSQYGMKHMRSFANLCNAGIRKEQMAEASAQACVSIPATPWSSLRSGFSA >Vigun10g117300.1.v1.2 pep primary_assembly:ASM411807v1:10:32297336:32303431:1 gene:Vigun10g117300.v1.2 transcript:Vigun10g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGNVGITKTIDRYQRCSFTPQDEQHVECETQSWYQEVSKLKAKYESLQRTQRHLLGEDLGPLNIKELQNLEKQLEGALAQARQRKTQIMIEQMEELRRRERHLGDMNKQLRLKLESEGFNLKAMESLWSSTSAAGNSGFPFQPPQTNPLDYQTEPFLQIGYQQYVQAEASNVPKSMACETNFMQGWIL >Vigun10g117300.2.v1.2 pep primary_assembly:ASM411807v1:10:32295107:32303431:1 gene:Vigun10g117300.v1.2 transcript:Vigun10g117300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYIYVDGNLHLQYPLHFKGVCALRMNMKGSDVIHHSITKTIDRYQRCSFTPQDEQHVECETQSWYQEVSKLKAKYESLQRTQRHLLGEDLGPLNIKELQNLEKQLEGALAQARQRKTQIMIEQMEELRRRERHLGDMNKQLRLKLESEGFNLKAMESLWSSTSAAGNSGFPFQPPQTNPLDYQTEPFLQIGYQQYVQAEASNVPKSMACETNFMQGWIL >Vigun10g194300.1.v1.2 pep primary_assembly:ASM411807v1:10:40818962:40821044:-1 gene:Vigun10g194300.v1.2 transcript:Vigun10g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKSSKNGVASGSVPVYLNVYDLTPMNGYAYWFGLGVYHSGVQVHGVEFAFGAHEYPSTGIFEGEPKRCEGFSFRKTILIGKTDMGPGEVKTVMEELAAEYRGNAYNLITKNCNHFCNDACLRLTGNPIPSWVNRLARIGFLCNCVLPVTLNSTKVRHHRMEEKPCEGEKEALASEGKKSNSSPSPARGRRGRSRTRRSLPPPSPLIVGSSS >VigunL007401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:64961:65083:1 gene:VigunL007401.v1.2 transcript:VigunL007401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun01g150900.2.v1.2 pep primary_assembly:ASM411807v1:1:33335218:33340334:-1 gene:Vigun01g150900.v1.2 transcript:Vigun01g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLRDLQSQAANKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNDKLNAFLAQYGIAKETDIVVKYNSNAASVYRDRIQAIADGRPWRDPPVLKETVRSASFSKGKPPLSTSNNGGWDNWDTDDGFGSARGGSDLRRNQSTGDVRGFGGSGSVSRSKSTEDMYTRAQLEASAANKEDFFARKRAENDSRPEGLPPSQGGKYVGFGSGPAPSQKANPQNDYLSVVSQGFGKLSLVAASAAQSAANVVQAGTKEISAKVKEGGYEYKVNETVNVVSQKTSEIGHRTWGIMRGVMAMATQKVEEYTRDNPNSTNETDKNNGFYQENNRDNKGWNSSSREGQHSTGGQTSSYNSSSWDDWDHKDSRKEQPQPQPAKGSPHQSSGGQSDAYNSSSWDDWDHEDTRKKDAAKGSASHSNDGWAGWDNAKDDGFDDFYGNASNNKAAGQNEKAGVAWTGGGFH >Vigun01g150900.1.v1.2 pep primary_assembly:ASM411807v1:1:33335218:33340334:-1 gene:Vigun01g150900.v1.2 transcript:Vigun01g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLRDLQSQAANKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNDKLNAFLAQYGIAKETDIVVKYNSNAASVYRDRIQAIADGRPWRDPPVLKETVRSASFSKGKPPLSTSNNGGWDNWDTDDGFGSARGGSDLRRNQSTGDVRGFGGSGSVSRSKSTEDMYTRAQLEASAANKEDFFARKRAENDSRPEGLPPSQGGKYVGFGSGPAPSQKANPQNDYLSVVSQGFGKLSLVAASAAQSAANVVQAGTKEISAKVKEGGYEYKVNETVNVVSQKTSEIGHRTWGIMRGVMAMATQKVEEYTRDNPNSTNETDKNNGFYQENNRDNKGWNSSSREGQHSTGGQTSSYNSSSWDDWDHKDSRKEQPQPQPAKGSPHQSSGGQSDAYNSSSWDDWDHEDTRKKDAAKGSASHSNDGWAGWDNAKDDGFDDFYGNASNNKAAGQNEKAGVAWTGGGFH >Vigun05g067000.1.v1.2 pep primary_assembly:ASM411807v1:5:5779069:5780445:1 gene:Vigun05g067000.v1.2 transcript:Vigun05g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELEMTVTKTSKKTGAPQIVKLNKALKLAELWVNNMSKDDDDHRTKADLESRPAGLGLGAKVSRQSKFVPSDDPVEKKLYAKLTAEKRKAANIAKESATIARDGLDDDEDSEDLDSRTNAFAKRKATAPLASSILRNKKQK >Vigun04g019900.2.v1.2 pep primary_assembly:ASM411807v1:4:1520434:1523742:1 gene:Vigun04g019900.v1.2 transcript:Vigun04g019900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLPADPKPKVSEILSYGSKGLAFSEYSAYWRNARKVCTVQLLSASKVEMFAPLRREELRMLVKSLKNCAESGEVVDLSNLLGELMENIVFKIVLERAKDDRFDFKLLTHEVMNLAGAFNLADYMPWVGLFDPQGITRRLKKAAKSFDELVEQIIQEHESNPYNEDKNYNMDFVDILLSLMHQYDDFINRTNVKTIILYMITATFDTSSTTIEWAMSQLLRHPCEMKRLQQELQRVVGMNRHVEENDLEKLSYLNMVVKETLRLHLVGPLLAPHECREDVTVDGYFIKKKTRVITNVWAIGRDPKVWEKAENFYPTRFENSNIDVRGMDFRILPFESGRRGCPGIHLGLTMVSLVLAELVHCFDWVLPLDMSCDELDMEEIFGLTTPRKKHLLIKPVYRLVI >Vigun07g293200.1.v1.2 pep primary_assembly:ASM411807v1:7:40464189:40470739:1 gene:Vigun07g293200.v1.2 transcript:Vigun07g293200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIEESVASVIEEAKEVQEAVSAHISKVSSDEEPLRQRVRLVDSRIHSLRSSLDSLLSNKLIAPSLADKLDEDLQRARCIIVDSEASSLLPGHAQGSFLRMFLGPINVRASKKDVQLKVKEEYNSYRDRTALLFLLFPSTLLILRSWVWAGCLPAFPVQMYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMIMALVSLTWEIKGQPDCAKKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFTLQGFEAYVGLLLLRTAVVGVVSEWQVIFCGVLLVLMAVGNFANTVQTLMAKSRFKAKMRRSKSKQRLN >Vigun07g259700.1.v1.2 pep primary_assembly:ASM411807v1:7:37652004:37656845:1 gene:Vigun07g259700.v1.2 transcript:Vigun07g259700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVKFIPRDQVDDEDLDSASKERIKSDKSRRKNKKKGRSSKDSSDDDLVKIKKGSRKKKWYSSDEDSSPYSSESESDKDEKRKSKSKNKRDDFPNEMEIARKEMGLDWMLRAQSKKPAVAETEENVSEVPVEEPKKVNPKEMNPYLKDSGSGYPEEKDGAKVGADQLLSSSLVGDGGASWRLKALKRSQEQAAREGRNFNEVVQERWGSLGELTASVASNAAAPARAHLRAIKNRQKGITEENSPDSDKHGRRAPKRDYLKDVSVRHHEMRKPKVQDSLSWGKRKSHQHMVDEGAGVISAAVSSINKFSNDGSFMQNFGSKMSDNSDGSVLESVELEDVPLEANTPVERSAEVKSEMSANQLAAKAMQLRLKGKHEEAEKLMQEVKVMNTKQENQDHSIRSRTDGSSSRNAMQKIPAAGQKKGEDDADMHLAHKIMQNKKFSISTQADDEYDFEDGPSRKSRKKQVGDDSKIHKKTNRFLTQQERCLFCLENPNRPMHLVVSIANFTYLMLPQWQPVVHGHCCILPIQHESATRTVDDNVWTEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCMVECIPLPQDIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRNSIPKHFPYFHVEFGLNKGFVHVIDDEKQFNSSLGLNVVRGMLQLAEEDMYRRRRYEAVEVQKQAVANFSKEWNHFDWTKQLHETS >Vigun11g188100.1.v1.2 pep primary_assembly:ASM411807v1:11:38815645:38818957:1 gene:Vigun11g188100.v1.2 transcript:Vigun11g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPTRMHQGIIEERQDVKHYLQNTQTETVAVNIQPNYSKCFDDDGRLKRTGTFWTATAHIITAVIGSGVLSLAWAVAQLGWVAGPVVMFLFALVNLYTSTLLTQCYRTGDSVTGQRNYTYMEAVKSILGGKKVKLCGLIQYVNLFGIAVGYTIAASVSMMAIKRSNCYHNSHGKDPCHMSSNGYMITFGIAEVIFSQIPDFDQVWWLSIVAAIMSFTYSSVGLSLGVAKVAENKTFKGSMLGISIGTVTQAGTVTATQKIWRSLQALGAMAFAYSFSIILIEIQDTIKSPPAEHKTMRKATALSIAVTTVFYLLCGCMGYAAFGDNAPGNLLTGFGFYDPYWLLDIANLAIVVHLIGAYQVFSQPLFAFVEKWSARKWPKSSFVTGEYDIAVPFYGVYQLNFFRLVWRSIFVLLTTLMAMLMPFFNDVVGLLGAFGFWPLTVYFPIDMYISQKKIGRWTSRWIGLQLLSVSCLIISLVAAIGSIAGVVLDLKTYKPFRTTY >Vigun05g215300.1.v1.2 pep primary_assembly:ASM411807v1:5:40720954:40723864:-1 gene:Vigun05g215300.v1.2 transcript:Vigun05g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSGLANGASKGFDFTSGDILCSYEDNNTNNGSSNGIYIDTAKDFHASRMAKTSTVPATAYSSSEGSLSQDVIVTVEKTMKTCTDNLLRFLEGISSRLSNLELYCYNLDKSIGAMRSDLNSDHEEADSKLKSLDKHLQEVHRSVQILRDKQELAETQKELAKLQLIRKESSSSSHTQSNEERSSPSSIDPRRIDNASDTHNLELALALPHQVPPPNVSQATQQPRHYLMPPTPSNPQAWNQYLACDPHYRIPPSTSSSPVTQSPPGQQLSQYQQPQHQQLQWPQQLPPQQVDVQSSQPPSIQSQVRPPVTNVYTPYSTSQATNHLPTETPPLPLRPPPRNSMPMQLSYSGIPPQVPSHGEVLPHGYSGGGGTVPQQPTLQHMKGSFSAQPHVYGTSGIYTTHPPASSFTVYDGENARSHYPSQQQPSHTAQGGYPPTSASLHNSAPHNFMVQNSSQSQFLRVNPNNELIEKFVSIGYRGDHVISTIQRMQETEQHIDFNSVLDRLKVHNPQRGWSG >Vigun09g232000.1.v1.2 pep primary_assembly:ASM411807v1:9:40338653:40340507:-1 gene:Vigun09g232000.v1.2 transcript:Vigun09g232000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTVNNDNSFSAFSDCNSDRSAEFSTPSSESRRLLIACAADNSDEFIRHLVLNLSSCSIEDQKQATMEIRLLSKNKEENRVKIAKAGAIEPLVSLLSSSSDLQLQEYVVTAILNLSLCDENKELINSSGAVKPLVRALETGTPTAKENAACALLRLSQREEDRVAIGRAGAIPPLVKLLEGGGLRGKKDAATALYAVCSTKENKVRAVRAGVMRALVELMADLGSSMVDKAVYVVSVVVGVVEGRAALVEEGGIPVLVEIVEVGTQRQKEIAVGVLLQICEESWVYRTMVAREGAIPPLVAFSQSHSNRPRHKAEKLIELLRQPRSGNGAGRS >Vigun06g199300.1.v1.2 pep primary_assembly:ASM411807v1:6:31453252:31454722:1 gene:Vigun06g199300.v1.2 transcript:Vigun06g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEKLWDDVVAGPQPERGLGKLRKLTTLKTDEGESSKLQKSLSMPTSPTTPLTPSTPTTPGSGRKSDNVWRSVFHPGSNSATKTIGAQMFDKPLPNTPTVYDWLYSGETRSRHR >Vigun06g199300.2.v1.2 pep primary_assembly:ASM411807v1:6:31453292:31454719:1 gene:Vigun06g199300.v1.2 transcript:Vigun06g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEKLWDDVVAGPQPERGLGKLRKLTTLKTDEGESSKLQKSLSMPTSPTTPLTPSTPTTPGSGRKSDNVWRSVFHPGSNSATKTIGAQMFDKPLPNTPTVYDWYINIYIFLSSLQ >Vigun05g257300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45122547:45124597:1 gene:Vigun05g257300.v1.2 transcript:Vigun05g257300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLSVALSLVFGCLLLLALCAQLYYFLWWKKRRVQMDIPMDHGDYAKGVFYWGCWKTPCSMHGGNAGGVVRDMENTIHEPEMELDLLQKSFGEEGVESELMRLHNLAGPPRFLFPIKEETKEDLESEDGKSRGDRSRKGSRTRSLSDIMLTIDTPFLTPVASSPLKCPLALDPLHCYKHQGFNPLFESSAELDYNRFRSSPPPKFKFLRDAEEKLQRRLMEEARRKSAENVQECGVKDSSPNETTMDADFSDGSFLKFIQNKDTTTTTECNKYLPQFPSGSSQILPLASSPTTLRPLEKASIVH >Vigun05g273700.1.v1.2 pep primary_assembly:ASM411807v1:5:46419787:46422332:-1 gene:Vigun05g273700.v1.2 transcript:Vigun05g273700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWFKSLIPFRKTSTSTTDQEKGGENKSKKKWKLWRASSEGSTVKKGGETAVPDSSFTYAVAVMVPKDFKLIKQEWAAIRIQAVFRAFLAKRALRALKAVVRLQAIFRGRLVRKQAAVTLRCMQALVRVQAHVRARNVRNSPEGKAVQKLLDEYRNLADPFKQIEHGWCDIPGTMDEVKAKLRMRQEGAIKRDRAMAYSLSTQQSRLVASPNANKLLTPLKQHNLSNKSLGCSLLERWMEAKPWESPNYRKSEDIVPAFQIRKNGVTSRISAVKPLITSQSTPSSSAISSEYMCDDSPVSTSYTAGSPSFPSTNTVLMEAAEEREVHQPSYMNLTESTKAKLKTSRSSSQNSKSLAMEECVSHSTTTGLMNGDFRSSSNSDPSVNVWKDSCATPLRASYQKRQIRI >Vigun05g273700.2.v1.2 pep primary_assembly:ASM411807v1:5:46419787:46422332:-1 gene:Vigun05g273700.v1.2 transcript:Vigun05g273700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWFKSLIPFRKTSTSTTDQEKGGENKSKKKWKLWRASSEGSTVKKGGETAVPDSSFTYAVAVMVPKDFKLIKQEWAAIRIQAVFRAFLAKRALRALKAVVRLQAIFRGRLVRKQAAVTLRCMQALVRVQAHVRARNVRNSPEGKAVQKLLDEYRNLADPFKQIEHGWCDIPGTMDEVKAKLRMRQEGAIKRDRAMAYSLSTQSRLVASPNANKLLTPLKQHNLSNKSLGCSLLERWMEAKPWESPNYRKSEDIVPAFQIRKNGVTSRISAVKPLITSQSTPSSSAISSEYMCDDSPVSTSYTAGSPSFPSTNTVLMEAAEEREVHQPSYMNLTESTKAKLKTSRSSSQNSKSLAMEECVSHSTTTGLMNGDFRSSSNSDPSVNVWKDSCATPLRASYQKRQIRI >Vigun07g196400.1.v1.2 pep primary_assembly:ASM411807v1:7:31625468:31628534:-1 gene:Vigun07g196400.v1.2 transcript:Vigun07g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIVAHSSSWSRALVAISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPAANIYDPESLRAGYAIFASGLIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKV >Vigun06g132700.2.v1.2 pep primary_assembly:ASM411807v1:6:25865688:25870712:1 gene:Vigun06g132700.v1.2 transcript:Vigun06g132700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSPISIRTEKILPIHLPVVDLSTERSMLRKLIVKACEEYGFFNVINHGVPCDTVAKMEEAAFDFFARPLPQKKQLPLYGCKNIGFNGDMGEIEYLLLSASPPSIAHFNNVSNVPSNFSVSAYTDGVRELACEILELMAEGLGVPDTWFLSRLIRDVDSDSVLRFNHYPPILNRDCKDKDKSPSHTHTKVGFGEHSDPQILTILRSNDVGGLQISLQDGVWNPVAPDPSAFCVNVGDLLQVMTNGRFVSVRHRAVTNSHKSRMSVAYFGGPPLDACIVAPSVMVTPQRPSLFKPFTWAEYKKVTYSMRLGERRIDLFRNCTQLE >Vigun06g132700.1.v1.2 pep primary_assembly:ASM411807v1:6:25865688:25870712:1 gene:Vigun06g132700.v1.2 transcript:Vigun06g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSPISIRTEKILPIHLPVVDLSTERSMLRKLIVKACEEYGFFNVINHGVPCDTVAKMEEAAFDFFARPLPQKKQLPLYGCKNIGFNGDMGEIEYLLLSASPPSIAHFNNVSNVPSNFSCSVSAYTDGVRELACEILELMAEGLGVPDTWFLSRLIRDVDSDSVLRFNHYPPILNRDCKDKDKSPSHTHTKVGFGEHSDPQILTILRSNDVGGLQISLQDGVWNPVAPDPSAFCVNVGDLLQVMTNGRFVSVRHRAVTNSHKSRMSVAYFGGPPLDACIVAPSVMVTPQRPSLFKPFTWAEYKKVTYSMRLGERRIDLFRNCTQLE >Vigun02g100500.1.v1.2 pep primary_assembly:ASM411807v1:2:25547336:25552652:1 gene:Vigun02g100500.v1.2 transcript:Vigun02g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGIVLENLGSFVQDQLATYWGVEQQTHKLSSNLTAIRAVLRDAERKQITSHAVKDWLQKLTDAAYVLDDILDECSVQSKMLHSDDGQSSCLAYVHPKHILCRFYIGKRMKDITQRFHDIHEERLTFELRVGVTEKQTVNDDDDWRQTSSVITEPIFCGRDKDREKIVKFLEEDASGSEDLTIYPIVGMGGLGKTTLAKQVFNDHEISKHFDLRIWICVSDDFNVKRILQSIIECSIGQNPNLGDLEARRKRVEEALQSKRYLLVLDDVWNEDREKWKELKGMLECAKGAKGATILVTTRLQEVASIMGTHPAYSLTALSEDDSWSLFKHHAFGLNREEREEFVTIGKEITRKCVGSPLAIKTLGSCLRNENEIKQWQNIKESEIWDIREVSSSLTNDENAIMRALKLSYFNLELSLRRCFSFCAIFPKDFEIDREELIHLWMANGFIKQEGNVEVEDVGNKVWKRLYDRSFFQEAKANRIGMIKTFKMHDLFHDLAQSIMGEECVVYEEGKLTRLSNRVHYLRLLNSNKFVDMAAFKKVESFRTLLDFGLGLHHVNIRLLPSNHCLRALHIRSTLFSPLNDLSHLRYLSLHRCSVLHSSICGLQKLQILKLEDCGGSHNFFPEHMTQLQDLRHLVIKGCPKIAEMLPNIGKLGHLRTLNTFAVGSKLEYGLAELHGLSLVGKLHIRGLENVSNEWEAKEANLMSKKELNRLYLSWSDSPNSQGSNVSVERVLENLEPPSTLKSFGMKGYLGRKLSSWMRSVVVLKDLVEVMLFDCFFCEELPPLGKLPHLKRVDLRRIRNVKWIDGESYEGVEEKAFPSLEKLNVENLPNLERLLRDEGVEMLPRLSQVRIDGVLNFKVPHLPCVEELDARDIKAATSFMEGVVENVTCLKKLLIASMRDLKVLPEKLSRLSALQDLKILECEELRSLPSSFQRLTNLSKLSILNCDRLEERYKRETGNDWQFIAHIPNVELESFPSHGVKPALSFSDRYRQSSCSWNCFKVKRPPAETERMVEYNFFDYMDEYE >Vigun03g084500.1.v1.2 pep primary_assembly:ASM411807v1:3:7022437:7025540:-1 gene:Vigun03g084500.v1.2 transcript:Vigun03g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERKKQVAELTKTLKEGERLVGPTRRPDGTLRKGFRIRANYTPPDEVPKYQPKPALLKKEMGSAGPPGYDPDADARPKTKSVKRNERKKEKRLQAAVEKDKNVEGGVSEDSGKKESLEALTSLVNDLSVQDSTQQAQAQAQDVDKKIRALKKKIRLTEALEQRSSDQDLKPEQLEKLAKLEDWRRELKELEDEKSETTVS >Vigun03g084500.2.v1.2 pep primary_assembly:ASM411807v1:3:7024674:7025540:-1 gene:Vigun03g084500.v1.2 transcript:Vigun03g084500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERKKQVAELTKTLKEGERLVGPTRRPDGTLRKGFRIRANYTPPDEVPKYQPKPALLKKEMGSAGPPGYDPDADARPKTKSVKRNERKKEKRLQAAVEKDKNVEGGVSEDSGKKESLEALTSLVNDLSVQDSTQQAQAQAQDVDKKIRALKKKVRNLFFFVFPVITAILS >Vigun07g101600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:18175591:18176031:-1 gene:Vigun07g101600.v1.2 transcript:Vigun07g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCTLTLVVKANESYILEVEATKAEEAKKEAEDAMEEKREKPSKLEVLRLRNQEQIPVDEIEVRSSTVIAPEKRLDAPPRTTETTTNLRCCGGAYGCVEAPTKVSSMTLTLWSPGRGYQNRVVNNQNGCSDDDILGFKNPNNMNF >Vigun01g120000.1.v1.2 pep primary_assembly:ASM411807v1:1:29638767:29643632:1 gene:Vigun01g120000.v1.2 transcript:Vigun01g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTNFTYVQPNSAASEGSSDLDHRDEMDTESHTYDGVRKVTGKSIYIAEVRDNDETKGEQLIHLGKFDKDVNAARAHDMVALKLGGSGVKTNFPETQYKSALEAMTDMSKTEVVDSVRMLSFTFTRAISIYRGVSRDFSTGKWQADLDEGNDNRIFLGLFESEEEAARAYDIESIKLKGLKAITNFSIRFYNVDAILLGETDPEKVMYQPQFTVPLEAMQAFDEVLYNITGQGNVNREGVQEFQMSTVSGNTEEHANSTNVPLQRETDSTNVVNGSEEGSTPREKFEAYMKFIKRQT >Vigun05g215400.1.v1.2 pep primary_assembly:ASM411807v1:5:40734897:40735840:1 gene:Vigun05g215400.v1.2 transcript:Vigun05g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGILVLALSLVSASHMSAGEEIMTPPFSVCDPIFEYFPNCLEFLVGDPKISMPSAKCCEHMMLLNTLANYGVGPKAICWCIEIMVKGMQPPLVPSRIQDLPRMCYITLSFPISDSMDCSKVS >Vigun07g023000.1.v1.2 pep primary_assembly:ASM411807v1:7:1963377:1969575:1 gene:Vigun07g023000.v1.2 transcript:Vigun07g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSADNSAIGSGNGNFSAHPPRGAYVPPHLRNRSMSSEAPAVSHSPAPLPVNDRGNPGGAAPHQGGGGYFKADQGRQQGYGSGYQTGGGWNGRGAGRDRGRREVNPFENDEADDKSVEQEGTGINFEAYDDIPVETSGDNVPPPVNTFAEIDLGEALNHNIQRCKYVKPTPVQRYAIPISLSGRDLMACAQTGSGKTAAFCFPVISGIMREQYVQRPRVARTAFPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGMRQTLLFSATFPKEIQRLASDFLSNYVFLAVGRVGSSTDLIAQRVEYVLESDKRSHLMDLLHAQRENGICGKQGLTLVFVETKKGADALEHCLCVNGFPAASIHGDRTQQERELALRSFKTGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKMGLATAFFNESNLSLAKPLADLMQEANQEVPAWLTRYAARAAYSGGNRNRRSGGSRFGGRDFRREGSFNKSTDYYSGGNGGGAAGGYGNYGGGGGYGQGVTSAWD >Vigun03g253700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42014733:42015242:1 gene:Vigun03g253700.v1.2 transcript:Vigun03g253700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILSQIKAKLLKFVLKQPVASVAFQNPNMSSFPPRAGRSPTCRVSIVPMEVRRNRGGESFSEQEPSSPKVSCIGEVKGMKKRKVCGQKRVPTQEGDAAACREKKKPLQWIFKGSSAERQEHSTKACVLEEKAAATTETKECPSLGTMKNFASGSGSLPRFEVKFMER >Vigun10g181800.2.v1.2 pep primary_assembly:ASM411807v1:10:39933063:39937387:1 gene:Vigun10g181800.v1.2 transcript:Vigun10g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVEPRDKVRTGLEGPGLTVAQKIWYCVATVGGQYIWARLQSFSAFRRWGDTEQRPLARRLWILIQRIEGVYRAASFGNLLIFLCTGRYRNLIERALRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNLLRPFSKDKSSSSSEDGSACPICLATPTIPYVALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHSGGPTE >Vigun10g181800.1.v1.2 pep primary_assembly:ASM411807v1:10:39933063:39937387:1 gene:Vigun10g181800.v1.2 transcript:Vigun10g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSHDAWIHTSHTLLPTWKSLSLSHQSAIPISISRVNQVDAARLDVEMSAMLKEQLVKVFSLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVEPRDKVRTGLEGPGLTVAQKIWYCVATVGGQYIWARLQSFSAFRRWGDTEQRPLARRLWILIQRIEGVYRAASFGNLLIFLCTGRYRNLIERALRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNLLRPFSKDKSSSSSEDGSACPICLATPTIPYVALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHSGGPTE >Vigun05g184300.1.v1.2 pep primary_assembly:ASM411807v1:5:35619736:35624544:1 gene:Vigun05g184300.v1.2 transcript:Vigun05g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKVFRSRKFSRSFKEAPAVGTVEGGVTGNGLENAHEDGWSNMLPEILCEIVRRVDAADEQWPNRQNVVSCACVCKRWRDITREVVREPLHTGKITFPSCLKQPGPREVPHQCLIKRNKKTSTFYLYLALTPSFTDKGKFLLAARRYRCGTHTEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHSGAKPSSGRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSLKSPMTPVKPTGETSDSTSLDGHKMHDKEHFASGHTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >Vigun05g134100.3.v1.2 pep primary_assembly:ASM411807v1:5:15820077:15828468:1 gene:Vigun05g134100.v1.2 transcript:Vigun05g134100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSHEPKFGNLENEENVSDTAYSDKTQKGQPGSKMIHPNEPEENSNPVSSADLAHSKPRVHSEDPFGKGSVRSTYELQKSRDDGDAKHFTESPARHDNGSHVSGTDSAHRGQGVGSADNSRKPSRQTIGSEHNIDRSPLHRQAKTPGRDSPSWEVKNSHDSSHGTPGRSRLRPSNRGDETPDKGAAVPKFGEWDETNPASADGYTHIFNRVREEKQVGAGHVPGTPNARQYGVRNQPADEKAQSCCFCWGKK >Vigun05g134100.1.v1.2 pep primary_assembly:ASM411807v1:5:15818235:15828468:1 gene:Vigun05g134100.v1.2 transcript:Vigun05g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSHEPKFGNLENEENVSDTAYSDKTQKGQPGSKMIHPNEPEENSNPVSSADLAHSKPRVHSEDPFGKGSVRSTYELQKSRDDGDAKHFTESPARHDNGSHVSGTDSAHRGQGVGSADNSRKPSRQTIGSEHNIDRSPLHRQAKTPGRDSPSWEVKNSHDSSHGTPGRSRLRPSNRGDETPDKGAAVPKFGEWDETNPASADGYTHIFNRVREEKQVGAGHVPGTPNARQYGVRNQPADEKAQSCCFCWGKK >Vigun05g134100.4.v1.2 pep primary_assembly:ASM411807v1:5:15821144:15828468:1 gene:Vigun05g134100.v1.2 transcript:Vigun05g134100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNSHEPKFGNLENEENVSDTAYSDKTQKGQPGSKMIHPNEPEENSNPVSSADLAHSKPRVHSEDPFGKGSVRSTYELQKSRDDGDAKHFTESPARHDNGSHVSGTDSAHRGQGVGSADNSRKPSRQTIGSEHNIDRSPLHRQAKTPGRDSPSWEVKNSHDSSHGTPGRSRLRPSNRGDETPDKGAAVPKFGEWDETNPASADGYTHIFNRVREEKQVGAGHVPGTPNARQYGVRNQPADEKAQSCCFCWGKK >Vigun05g134100.2.v1.2 pep primary_assembly:ASM411807v1:5:15818256:15828494:1 gene:Vigun05g134100.v1.2 transcript:Vigun05g134100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSHEPKFGNLENEENVSDTAYSDKTQKGQPGSKMIHPNEPEENSNPVSSADLAHSKPRVHSEDPFGKGSVRSTYELQKSRDDGDAKHFTESPARHDNGSHVSGTDSAHRGQGVGSADNSRKPSRQTIGSEHNIDRSPLHRQAKTPGRDSPSWEVKNSHDSSHGTPGRSRLRPSNRGDETPDKGAAVPKFGEWDETNPASADGYTHIFNRVREEKQVGAGHVPGTPNARQYGVRNQPADEKAQSCCFCWGKK >Vigun09g011700.1.v1.2 pep primary_assembly:ASM411807v1:9:869755:874523:1 gene:Vigun09g011700.v1.2 transcript:Vigun09g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSVRERVQDTLSNHRNELISLLSSYVTGGKGLLQPHDLLDHVEKILQEDEGMQKLKEGPFVQELESAQEAIILPPFVSIALRPRPGVWEYFRINAFELSVDSLNVAEYLRFKEELVDGECSDKYMLELDFEPFNATFPRPTRSSSIGNGVQFLNRHLSSFMFRNEESLDPLLAFLRSHRYDGHAMMVNNRIHNISNLQSSLARAEEILSNLQPNTPYSGFEYELQGLGFERGWGDTAESVLNMVHLLLDILQAPDPNIVESFLGRIPMVFNVVIVSPAGYFGQVNILGLPDTGGQIVYILDQVRALEKEMLVRIQKQGLDDVSPKILIVTRLIPEAKGTTCNQRLERVSGTEHSYILRVPFRTKNGILRKWISRFDMWPYLETFAEDASHEIAAELQGIPDLVIGNYSHGNLVATLLSYKLGITQCNIAHSLEKTKHPGSDIYWKKYEDKYHFSCQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHSAFTLPGLYRVVHGIDVFDPKFNIVSPGADMGIYFPFSDREKRLTSLHGSIQELIYGDEQNEEHVGSINDRAKPIIFSMAKLLPVKNITGLVECFGKSSKLRELVNLVVVGGNIDAQKSRDTEEMGEIEKMHSLIEKYNLHGQFRWIKAQVNRARNGELYRCIADVKGAFVQPAFYEGFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHIEPHHPDEVAANLINFFEQCQHDPANWNKISDAALSRIHQRYTWKIYSERLLTLAGVYGFWKHVSKLERRETRRYLEMFYILKFRDLVQGIPMAVE >Vigun04g098400.1.v1.2 pep primary_assembly:ASM411807v1:4:22332348:22334243:-1 gene:Vigun04g098400.v1.2 transcript:Vigun04g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVTARKTLGRFTTFHFVHNQEFGHVDPIFFAIFGDELGPVWHLEDIEGNQHQLSFNMDLNHPVLTDGWYSLRVFYKMQHIHQIVFRYVGNSTFHITVFPNMSTISTGAKFLSNLIRLRKKHLFRVKLLKSQCKGSHLDLQSDFADYVRKRRLRRLELQARNNTFIVQCKLLLRNTPKKSSKIGKGWKDFCTFNRLEEGDILVFLADKKMKKKKIKVYVHKECNF >Vigun11g175300.1.v1.2 pep primary_assembly:ASM411807v1:11:38033972:38037130:1 gene:Vigun11g175300.v1.2 transcript:Vigun11g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAGIPKKRQRTAARRSSSTVGTISSVSDVTLTEISQQHHRPSSMADDRPSPMTDDRSSSSTDEALHFNDAVTADVVLRLFMDSPSPLQSSPTATATADSVSKSDFHVYLHSDILRRSKYFSALLSDRWIGNVQASDHATSGDGDRDRFLLNLGVPPATGSIQSHLTVLELLYTNDFAAVVDSASMALDLLPVALELLFEECVRWCVDFLEAVPWTEEEEKRVVRLIPFLSEEESRELVARVSPSREDSCEAMLEGLVSSAMNSYGNTAFVKAFVGKILRDLSSRETAKRVLEKAFARSLKTVKESLEDYSSPVFRGDHNETEAIQKLNLHKASTNGKHLLWLVERMIELRVADVAVREWSEQALFTADLQRAFRDDAWRNIVPGLPAVILRCTCRLANAVSAGTILASRQVRRKLVEDWLPVLVVCKDNVSPISPSNKSLYLELEETFLRIISTLPMSDAQELLQRCLSFSTRNVEDCPHLVTAFNTWFRRAARPPKPDSLFDQ >Vigun11g175300.2.v1.2 pep primary_assembly:ASM411807v1:11:38033632:38037130:1 gene:Vigun11g175300.v1.2 transcript:Vigun11g175300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRPSPMTDDRSSSSTDEALHFNDAVTADVVLRLFMDSPSPLQSSPTATATADSVSKSDFHVYLHSDILRRSKYFSALLSDRWIGNVQASDHATSGDGDRDRFLLNLGVPPATGSIQSHLTVLELLYTNDFAAVVDSASMALDLLPVALELLFEECVRWCVDFLEAVPWTEEEEKRVVRLIPFLSEEESRELVARVSPSREDSCEAMLEGLVSSAMNSYGNTAFVKAFVGKILRDLSSRETAKRVLEKAFARSLKTVKESLEDYSSPVFRGDHNETEAIQKLNLHKASTNGKHLLWLVERMIELRVADVAVREWSEQALFTADLQRAFRDDAWRNIVPGLPAVILRCTCRLANAVSAGTILASRQVRRKLVEDWLPVLVVCKDNVSPISPSNKSLYLELEETFLRIISTLPMSDAQELLQRCLSFSTRNVEDCPHLVTAFNTWFRRAARPPKPDSLFDQ >Vigun09g082350.1.v1.2 pep primary_assembly:ASM411807v1:9:9924380:9924683:1 gene:Vigun09g082350.v1.2 transcript:Vigun09g082350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEKEFDQIHYYLKFIPRILVSGKRLGLFCPTVLHICQVSLGFPLSKNLRS >Vigun01g156800.3.v1.2 pep primary_assembly:ASM411807v1:1:33911193:33917168:1 gene:Vigun01g156800.v1.2 transcript:Vigun01g156800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIFLASSPTVSVCLSNATTGQPFISTLELRQFNGSVYYTQFEEHFYLSVSARINFGAESDAPIRYPDDPFDRIWESDSIKKANYLVDVAAGTQKISTVAPIDVNRDEMPPVKVMQTAVVGTNGSLTYRLNLDGFPGTGWAFTYFAEIEDLGPNESRKFRLVLPGQPDVSKAVVNIEENAQGKYRLYEPGFTNISLPFVLSFRFGKTYDSSRGPLLNAMEINMYLEKNDGSLDGATISSVLSHYSAADWAKEGGDPCLPVPWSWVRCNSDPQPRIVSILLSNRNLTGNIPLDITKLVGLVELWLDGNMLTGPLPDFIGCMDLKIIHLENNQLTGVLPTSLVNLPNLRELYVQNNMLSGTIPSDLLSKDLVLNYSGNLNLHKESKIKGHMYVIIGSSVGASVLLLATVISCLYMRKGKRKYYQKDRVDSLPAQRLASWKNDDPAEAAHCFSFSEIENATNNFEKKIGAGGFGVVYYGKLKDGNEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCRDEENSMLVYEFMHNGTLKEHLYGPLANGRSINWIKRLEIAEDAAKGIEYLHTGCVPVVIHRDLKSSNILLDKHMKAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGINCRNIVQWAKLHIESGDIQGIIDPLLHNEYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAISIEKQAEALREGNSDDMSKNSFQSSMNMGSMDLVGPESFLSIDESVAQPTAR >Vigun01g156800.2.v1.2 pep primary_assembly:ASM411807v1:1:33910888:33917182:1 gene:Vigun01g156800.v1.2 transcript:Vigun01g156800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPFLSFLTVLLSFPLHSPFSSAQIMQGFVSLNCGGTTEFTDEIGLQWTPDDKLTYGQTSTISVTNETRKQYTTLRHFPADSRKYCYTLDVVSRTSIEMRELIFLASSPTVSVCLSNATTGQPFISTLELRQFNGSVYYTQFEEHFYLSVSARINFGAESDAPIRYPDDPFDRIWESDSIKKANYLVDVAAGTQKISTVAPIDVNRDEMPPVKVMQTAVVGTNGSLTYRLNLDGFPGTGWAFTYFAEIEDLGPNESRKFRLVLPGQPDVSKAVVNIEENAQGKYRLYEPGFTNISLPFVLSFRFGKTYDSSRGPLLNAMEINMYLEKNDGSLDGATISSVLSHYSAADWAKEGGDPCLPVPWSWVRCNSDPQPRIVSILLSNRNLTGNIPLDITKLVGLVELWLDGNMLTGPLPDFIGCMDLKIIHLENNQLTGVLPTSLVNLPNLRELYVQNNMLSGTIPSDLLSKDLVLNYSGNLNLHKESKIKGHMYVIIGSSVGASVLLLATVISCLYMRKGKRKYYQKDRVDSLPAQRLASWKNDDPAEAAHCFSFSEIENATNNFEKKIGAGGFGVVYYGKLKDGNEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCRDEENSMLVYEFMHNGTLKEHLYGPLANGRSINWIKRLEIAEDAAKGIEYLHTGCVPVVIHRDLKSSNILLDKHMKAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGINCRNIVQWAKLHIESGDIQGIIDPLLHNEYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAISIEKQAEALREGNSDDMSKNSFQSSMNMGSMDLVGPESFLSIDESVAQPTAR >Vigun01g156800.1.v1.2 pep primary_assembly:ASM411807v1:1:33910888:33917168:1 gene:Vigun01g156800.v1.2 transcript:Vigun01g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPFLSFLTVLLSFPLHSPFSSAQIMQGFVSLNCGGTTEFTDEIGLQWTPDDKLTYGQTSTISVTNETRKQYTTLRHFPADSRKYCYTLDVVSRTRYLLRASFLYGNFDNNNVYPKFDISVGATHWSTIVISDANSIEMRELIFLASSPTVSVCLSNATTGQPFISTLELRQFNGSVYYTQFEEHFYLSVSARINFGAESDAPIRYPDDPFDRIWESDSIKKANYLVDVAAGTQKISTVAPIDVNRDEMPPVKVMQTAVVGTNGSLTYRLNLDGFPGTGWAFTYFAEIEDLGPNESRKFRLVLPGQPDVSKAVVNIEENAQGKYRLYEPGFTNISLPFVLSFRFGKTYDSSRGPLLNAMEINMYLEKNDGSLDGATISSVLSHYSAADWAKEGGDPCLPVPWSWVRCNSDPQPRIVSILLSNRNLTGNIPLDITKLVGLVELWLDGNMLTGPLPDFIGCMDLKIIHLENNQLTGVLPTSLVNLPNLRELYVQNNMLSGTIPSDLLSKDLVLNYSGNLNLHKESKIKGHMYVIIGSSVGASVLLLATVISCLYMRKGKRKYYQKDRVDSLPAQRLASWKNDDPAEAAHCFSFSEIENATNNFEKKIGAGGFGVVYYGKLKDGNEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCRDEENSMLVYEFMHNGTLKEHLYGPLANGRSINWIKRLEIAEDAAKGIEYLHTGCVPVVIHRDLKSSNILLDKHMKAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGINCRNIVQWAKLHIESGDIQGIIDPLLHNEYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAISIEKQAEALREGNSDDMSKNSFQSSMNMGSMDLVGPESFLSIDESVAQPTAR >Vigun06g005800.1.v1.2 pep primary_assembly:ASM411807v1:6:3260239:3265569:-1 gene:Vigun06g005800.v1.2 transcript:Vigun06g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASTISFFSTLLPRFQPHSQVSHRPLSLPRKPQVRCSVSAGSTPQNAVAWGCEIESLESASALQSWLSESGLPPQKMGIDRVEVGERGLVALKNIRKGEKLLFVPPSLVITPNSEWSRPETGEVLKRNSVPDWPLLATYLISEASLMESSRWSNYISALPRQPYSLLYWSQAELDRYLEASQIRERAIERINNVIGTYNDLRVRIFSKYPDLFPDEVFNMESFKWSFGILFSRLVRLPSMDGQVALVPWADMLNHSCEVETFLDYDKQSKGIVFTTDRPYQPGEQVFISYGKKSNGELLLSYGFVPKEGGNPSDSVELSLSLKKSDASYKEKLELLKKYGLSASQCFPIQITGWPLELMAYAYLAVSPSSMGENFEEMAAAASNNTISKKDLRYPEIEEQALQFILDSCEASISKYNKFLQASGSLDLDVTSPKQLNRRLFLKQLAVDLCNSERRILFRAQYILRRKLRDMRAGELRALKIFNGFRKFFQ >Vigun04g141901.1.v1.2 pep primary_assembly:ASM411807v1:4:35244364:35244966:-1 gene:Vigun04g141901.v1.2 transcript:Vigun04g141901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKERPTMTWVLSMVWLVWSSHCSEETGCQFLHLWDEIEIK >Vigun08g121800.4.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSENISSANLELDLEHYIVPSPSTSPSSARTYAANSTENPRSLGGGSASISSSMGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.1.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSENISSANLELDLEHYIVPSPSTSPSSARTYAANSTENPRSLGGGSASISSSMGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.7.v1.2 pep primary_assembly:ASM411807v1:8:29117505:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.5.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSENISSANLELDLEHYIVPSPSTSPSSARTYAANSTENPRSLGGGSASISSSMGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.3.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSENISSANLELDLEHYIVPSPSTSPSSARTYAANSTENPRSLGGGSASISSSMGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.6.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSEVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFTDRPLQEIPEIEVPLHTIIEINLGVTGVEEKRYKLMD >Vigun08g121800.2.v1.2 pep primary_assembly:ASM411807v1:8:29115455:29128964:1 gene:Vigun08g121800.v1.2 transcript:Vigun08g121800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEEERDAFNHTPLRIPGLLYVSVKMENPNLTLSGDLLPHVSGSFPLGPSSDPSKALSMERESATVWELSFVVPPNHEALEFKFLLKPKCIDNPCFVEEGSSRVLVGGAWQDGDRMALFRLGNDQVLEYRVFVEAKRISPFDLAASWRAYQENFRLSSVRGIPDVSINSEHQTGSENISSANLELDLEHYIVPSPSTSPSSARTYAANSTENPRSLGGGSASISSSMGDDGVPMINQPEKVEEVHAPDQSKVYQSPGMVKSQSVGTICPPEKEGNQRGVSIDRGVGFPRLVKSSSSDAFTTNFNLDPGTKNSIPAAAGAVAAAAIADQMLGPKEHRHLAIVMVSLPARGKTYTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGSSQSADFFRADNPEGVVARNEVAKIAFEDMISWMQEGGQVGIFDATNSSKQRRNILMKLAEGRCKIIFLETICNDVDIIERNIRFKIQQSPDYAEVPDFEAGLRDFKERVANYEKVYETVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRFNVRGRIGGDSALSEAGELYKKKLAKFVEKRLKSERAACIWTSTLQRTILTAGPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPGEYESRCKDKLRYRYPRGESYLDVIQRLMHYFLYHFLVPN >Vigun09g109900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:23539509:23539859:1 gene:Vigun09g109900.v1.2 transcript:Vigun09g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAATEALHPRCQLPLLREDSFPVELRAFIRCQPVAIVSRRTTSPPPSWETPAPLARTGGASTPLICEVEQRPTLLSSLFRYFDWMLGYWILRRECLVYCARLVDRVAVDTKG >Vigun08g073100.1.v1.2 pep primary_assembly:ASM411807v1:8:12364900:12367512:-1 gene:Vigun08g073100.v1.2 transcript:Vigun08g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLLLQRDSVLMKLLLMLILIRSGSAHNECHENLSCGPDEPLIEFPFELVKEMEEPCTYPRLCLSCTEKGQTMLLLPTVKLQVVYINYEAQQIILTDPEKCYSNKFRQIINFVQSYQFYELHSNLSFFNCTSAGPPHLRDSFGEDSYSQDSVSCPIFISQSYNSVLQLNLTSCTKMFDIPSPIRFRDGDDLFLSWLKPNCSECKEKGKGCKWKTNNDTEGDIECFECTRKTIHVPKSLIFATTGSIFLGLLVIAVFKSFFYFRRKQEDQARVDKFLEDYRAEKPARFTYADLKRITNGFKENLGEGAHGAVFRGKLSSEIPVAVKILNITEGEGKEFINEVGIMGKIHHINVVRLLGFCAEGFHRALVYSFFPNGSLQSFIFPPEDKDHFLGWEKLQHIALGIAKGIEYLHQGCNHPIIHFDINPHNVLLDDNFTPKISDFGLAKLCSKNPSVVSMTAARGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDMSSEEDFHVMYPDWIHNLVDGDVNIHVEDEDDVKIAKKLGIIGLWCIQWQPGNRPSIKSVIHMLETQDENQIAVPPNPFHSATSTTVKGPTSTRPPLHLEVIEE >Vigun05g196600.1.v1.2 pep primary_assembly:ASM411807v1:5:38014366:38015383:1 gene:Vigun05g196600.v1.2 transcript:Vigun05g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSCSIGDITVRYASPTATKVLWTFTTINIHDQLFSHVDRHFAAAFENELTHQWTLLDSFENRFVVSYNMDKMNPKLTHGWKALENTYTTQIWDSYVQFRYVGNSTFEITVFIGQCTPKNMKAFLDTAAVDPGTSLFAVTLTQCQANASHLDLNVDFAEVIKSLGMDVIYLVGRRRGVECKLLVSQKDETTKIGQGWRKFCAQNRLKEGDRLVFEVDHVQKQPVVEVYINGCYCDVAKSIDLV >Vigun06g192300.1.v1.2 pep primary_assembly:ASM411807v1:6:30943543:30945399:-1 gene:Vigun06g192300.v1.2 transcript:Vigun06g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLCIAAKAPTPLASLILNAPKPSSVSLNVSRSSFSNVRSPLLHCSFLSSPSHLSSPSSFTGLSLGLELTCNVGARRGKSGGLVVRAGKAALCQTKRNRSRKSLARTHGFRKRMSTPGGRAILRRRRAKGRKVLCTKTHPNSGK >Vigun09g171000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34063735:34064361:-1 gene:Vigun09g171000.v1.2 transcript:Vigun09g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENHQQHQFYYQKSTFLPMLCSRPTIKDVTMPRFRDPSAPSSNDPLSPRISCMGQVKRNNKIAGIPPSHRLSFPNKSSPSVKYSKLRRLFSGKNFIITTPKTTTAAASASAATCRSRQQLSDVPRNQKCLKSENNNNNNNVVSMMSIEEMDPPLPVVKRVLLPKSEEGKELDSLWKRRSGTARPALKSLQLQQIHHPRICHQPPTV >Vigun03g192300.2.v1.2 pep primary_assembly:ASM411807v1:3:26677895:26680154:-1 gene:Vigun03g192300.v1.2 transcript:Vigun03g192300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTESDTGFCGSPTIVQVFQKVFAELIGTYFLVFAGCCSVVLNNSEKTKGVITLPGVALVWGFTVTILAYSLGHVSGAHLNPAVTLSLAIYRRFPFKMVPLYFIAQILGSFLASGSLYFLFEIDDNSYFGTRPAGSQVQSLVFELLTTFLLMFVVCAVSTDKRAIGKLGGIAVGMAVIVDVFIAGPVSGASMNPARSLGPALVMNVYTGFWIYIAGPFVGAILGATFYNFIRHTNKPLRDIASNSKILKVASMSSFP >Vigun03g192300.1.v1.2 pep primary_assembly:ASM411807v1:3:26677895:26680154:-1 gene:Vigun03g192300.v1.2 transcript:Vigun03g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTESDTGFCGSPTIVQVFQKVFAELIGTYFLVFAGCCSVVLNNSEKTKGVITLPGVALVWGFTVTILAYSLGHVSGAHLNPAVTLSLAIYRRFPFKMVPLYFIAQILGSFLASGSLYFLFEIDDNSYFGTRPAGSQVQSLVFELLTTFLLMFVVCAVSTDKRAIGKLGGIAVGMAVIVDVFIAGSFDEPS >Vigun05g245500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43851833:43852466:-1 gene:Vigun05g245500.v1.2 transcript:Vigun05g245500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSVRTSKRSVSDIVKFKFVEKLQKRLLPGRSKEGSVSNSTYVPEDVKEGHFAVIAEGGEEQKRFVLPLSCLSNPKFLKLLEQAEEEYGFDHEGAVTIPCRPSELQRILSHQWHQQGTQTSAPRKTYTK >Vigun06g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21430795:21432399:-1 gene:Vigun06g082600.v1.2 transcript:Vigun06g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRFPFYPSNQAQFSNPTPFSHSTLFFSFFFPEVTPNPSMEPPAAKHGSLRNILVRLFSFAVLILATRFAIIVTVRGGSCESADFCFFSENLTLSSPSRSSDAGDPFTGKNWRRSVEYYAAIFQDLIADGFLSPNSRTLCIDTPAGEDVLALKEVGIVDSVGIFKKPSPPLILHGDGRWHPFSGDSFDFEFSGNGGLERSPRPAEFAAEICRTLRPGGFAAVHAAVRDAYSFDSLLELFKCCELIRTREINGVDSSLVLEILLKKKKENLNFEMEGVDSGDFVHKCSVPGYKREMVKNAEALIEEEPLKPWTLLKKNVKNVKYLTSFVDITFKNRYVYVDVGARSYGSSIGSWFRKQYPKQNRTFEIYAIEADKSFHEEYREKRGVTLLPFAAWVRNETLFFEITRDPSKQVTVRGRAMGRINPVQTSSSHMGDMDKIQGFDFAEWLRNAVTKRDFVVVKMDVEGTEFHLIPRLIQNGAICLIDELFLECHYNRWQRCCPGQRSTKYHKTYSQCLNLFTSLRSYGILVHQWW >Vigun01g083400.2.v1.2 pep primary_assembly:ASM411807v1:1:23611414:23614940:1 gene:Vigun01g083400.v1.2 transcript:Vigun01g083400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFISQFPVLLLLLIYAFTLQKIVCNNLNQVRCNEKDQQTLEIFKQGIIDPSNNLVTWSSEQDCCEWKGVHCDNTTSRVTKLDLSGQYLEGEIKLSLLELQFLYHLNLSYNSFDVISIPPVQNDVIFGSNLHYLDLYDNYDISMDNLVWLSQFSSLRYLNLANYITSKSLRWLFDLQSGIDLHEETNWLLAMPPSLSYLYLSNCQLTNRSPSLKHVNLASLVTLDLSHNHFNSELPHWLFNLSHDLSHLDLKDSSLYGEIPSSFFNYQNLVYLDLSGNMFFGSIPLTLGNLTYLEYIDLSGNMFSGSIPLTLGNLTYLVSLSLSSNSFSEQEKFWGLIAGIDDMINLSNNCISGDISNFSLNSYSISLGHNNFTGTVPHLSPKGSKFANPSSSPRRLCNSTFSLSAKPFLHFPFLLLEWTEMVTELWWCGADAIMEARQFASRRKKNSRWPFAPAAFSGVVACAEWFVNGGGTTAAAMEMRTAAWWCHRTSTNAGNTNLQKNHLQQRNHKPAPSFSSIFARTSSYNGARKRNSCNNHHLFFTDHDAAANGFVENGFVDDGWLLVGSDGVYGLGSYHNRGQRLCENLWNNNLVGEVLDNLSRWTHLIVIDLEKNKFFGTIPNNMPKNLEVVILRFNKFEGSIPPQLFLLSSLIHLDLSYNKLSGSIPQIAYNTTKMIADHYFRFLFVEHGNIDYYTKGQVYESKIDLNRRTIDRSTNKIYGEISSELFRLIKVQTLNLSYNQLTGTIPKTIGGMKNLESLDVSNNKLFGTQLQSFNVSSYNGNPELCGAPLSKCSMKKNPVNAMQHRINEDDEFDEESLYLGMGVGFIVGFCGVFGSFLIFRKWRHGYFRFLNQVYDQLYVTYMVKFNNFLSREAMQSFQ >Vigun08g170800.1.v1.2 pep primary_assembly:ASM411807v1:8:34129006:34132140:-1 gene:Vigun08g170800.v1.2 transcript:Vigun08g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSLSPILVILFWGFTLATGRKLSPLSTTPATLSSPSSTDGICSSMVRTKGYTCEEHLVTTQDGYVLNMPRIRVGELRGPPVLLQHGLFMDGITWLLLPSKQSLAFLLADNGFDVWVANTRGTKYSRQHITLPTNSSDYWNWSWDELVAYDLPATFKYVHDLTGQKLHYVGHSQGTLIALAAFSQDQLLNILRSAALLSPIAYVGQMTSPLAKNAAENFIAESLYNFGVFEFNMRGVSVIKFLKDLCNNTGIDCTNLLTSFTGPNCCLNPSIVNVFLDHEPQSTATKNMIHLSQMIREGTTLMFDYQNEDENIKHYGQPTPPAYDMARIPNELPLFLSYGGADALSDVKDVQRLLEILKDHRPDKLVVQYRNDYAHADYVMGENAYRDVYEPLISFFRLQQ >Vigun03g086500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7169602:7172364:1 gene:Vigun03g086500.v1.2 transcript:Vigun03g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVWEAFSPSQHFTQPPSSIGSSTNRASLSLPHSSNQRSKLYNEPPSSATYASILDTCGSPTFGKQLHAHSIKSGFQAHEFVTTKLLQMYARSCSFQNACHMFDTMPFRNLHSWAALLRVHVEMGFFEEAFLLFEQLLYEAVRLEFFVFPVVLKVCCGLCAVELGRQLHGMALKYGFVKNIYVGNALIDMYGKCGSLDEAKKVLEGMPQKDCVSWNSLITACVANGLVYEALDLLQNMTAGECGVAPNLVSWSVVIGGFAQNGYYVESVKLLSRMVLEAGMRPNAQTLASVLPACGRMQWLYLGKELHGYVVRHEFFSNAFVVNGLVDMYRRCGDMESAFKMFSRFSRKCAASYNAVIAGYWENGNVFRARELFDQMEKKGVERDRISWNSMISGYVAYSMFDEAFSLFRDLLKVGIEPDSFTLGSVLAGCADMASIQRGKEIHSHAIVKGLQFNSFVGGALVEMYSKCRDLVAAQRAFDDVTETDLPTWNALISGYARSDQTEKIGELLQKMKREGFEPNVYTWNGIIAGYVENKRCDSAMQLFTEIQIANFRPDIYTVGMILAACSKLATIQRGKQVHAYSIRAGHDSYVHIGAALVDMYAKCGDVKQCYRVYNRISDHNLVSHNAMITAYAMHGYGDEGIALFHRMLSDKVRPDHVTFLAVLSSCVHAGSLEIGHECFDLMATYNVAPSLKHYTCMVDLLSRAGKLYEAYKLIKNLPMEADAVTWNALLGGCFIHNEDTLGEVAAEKLIELEPDNPGNYVMLANLYASVGKWHYLAQTRQLMKDMGMQKSPGCSWMEDRDGIHVFVANDKTHKRTHDIYSVLNSLTKLIRIKHINHL >Vigun07g206150.1.v1.2 pep primary_assembly:ASM411807v1:7:32778498:32780888:-1 gene:Vigun07g206150.v1.2 transcript:Vigun07g206150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSLSQLDEWKGKTRKERRKSGKYCNTFGDLPGITILALHLLASLTLSLSSNHDFVLYDVLRG >Vigun06g003025.1.v1.2 pep primary_assembly:ASM411807v1:6:1377807:1381741:1 gene:Vigun06g003025.v1.2 transcript:Vigun06g003025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLGSESKPVGGLEFLHKYKDFKESLQKVSGGKSTELASNGRSCTVNTIFWNTIQLLFPQEIEARKVVGSLNSEQKAQKLSLETIFYANLRNTSIQPSGGASSINNSRRVVALTTTTQEDEDVALAIRFQRNIDEQSPRTT >Vigun11g065500.1.v1.2 pep primary_assembly:ASM411807v1:11:15698145:15698733:-1 gene:Vigun11g065500.v1.2 transcript:Vigun11g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVFSLAPPLLLLLFQAPLTTVAVPYHRRTVLQQEKALFVVVFLGAWCFPISFANEANL >VigunL028600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:124576:128688:-1 gene:VigunL028600.v1.2 transcript:VigunL028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoC2 MAERTNLMFHNKVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLRQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVIRRTDCGTIRGISVNTQNETMPESSWTQTLIGRVLADDIYRGSRCIAIRNQDIGIGLFNRLKTFQTQPISIRTPFTCRNTSWICRLCYGQSPTQGHLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEQVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLYVSIENGDIIHNVTIPPKSFLLVQNNQYVKSEQVIAEILAGTYTFNLKEKVRKHVYSDLEGEMHWSTDVYHASEFKYSNVHILPKTSHLWILSGKSDRSASVSFSTRKDQDQLNIHYLSTGERDICNHLASNNKVRHNLFRFTPSEKKERRISDYSKNNQILCKDHCHFTHPAIFHDTTDLLAKRRRNRFIIPFQFQSIQERDKALMLASSISIEIPIHGIFRRNSIFAYFDDPQYRTQSSGITKYRTIDINYIFKKEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVRNNSIIEVDTPITVNIRSQVSGLVRLEKKKKKIQLKIFSGNIYFPGEMDKISRHSAMLIPPRTVKKNSKGSKKKMKNWIYAQWITIMKKKYFVLVRPVILYEIEDRINLIQFFSQDMLQERDNLELQIIHYILSGNGKSIRGISNSNTSIQLVRTCLVLNWDQDKKLSSIEKGHASFVELSIKGLVRYFLKMDLGKSHISYIRKRKDPLGSRFILDNESDWTNINPFFFIDPREKVQQSLSQNHGTIHMLLNRNEKCRSLIILSSSNCFQIRSFHDGKYYNGIKEEINPIQRDPLIPIQNSLGPLGIALQVAHFYFYLLITNNQISINKNGQLDKLKETFQVFKYYLIDENEIIYKSDLSSNILLNPFYLNWHFFHHNYCEKKTFPIISLGQFICENVCIVQTKNEPHLKSGQILTVQMDSVGIRSANPYLATPGTTVHGHYGEILSEGDILVTFIYQKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVDTWNGRITRILGIPWGFFISAELTIAQSRISLVNQIQKVYRSQGVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERAGRSLEESICYRVLLLGITKTSLNTQSFISEASFQETARVLSKAALRGRIDWLKGLKENVVLGGMMPVGTGFKRIIYRSKQRQYNKITPETKKRIYVIHQNNLGFKNS >Vigun03g341700.1.v1.2 pep primary_assembly:ASM411807v1:3:54044087:54046157:1 gene:Vigun03g341700.v1.2 transcript:Vigun03g341700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGGDNKNIGSSSHEQTNVVPTKVESHGPTKSSEDVAGGVIQIPPVQVMERPGETNTSPDYTFPSHVFSKSTANSQVEWSTASNESLFSIYMGNTSFSNDLGCFKSWEMDKPGDVCAHDQPYASPNNNNVDASTNKFNAISKRTHELQNELSSKNAEARAAETMREVIMESSKTTENVGKGDDKAFEPQRQSNDSTNSYAFQQPSKGPEKSVSSLSAAEKQEQESSNTADQAPKPTTNTSNGWSCFSCCGF >Vigun02g086200.2.v1.2 pep primary_assembly:ASM411807v1:2:24087668:24089582:1 gene:Vigun02g086200.v1.2 transcript:Vigun02g086200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGEDGRGYELARKLDTCGVWRTWLGDSTYAGFAPFLTSPSAWDSFITSSTHVPLQLRVRALLFDKATASLSPNPNPNPNSNPNSYLQRLNPTYLQLHADDIYFTLDTPSHDSKNQSNKTASASGSRYVESELPETWYSQVIDKYKTSKNIVSGESRSPAEMASYLNYVTNHKKRRLAFKEDVNSVADHALQPNGGGLAVTDDGDSAVFPEIAFALNCVPDSALPLSDRVGNSGKQKVKVFSVLDTLPPIAPTRHSVMMERLGVRPEPGSMEHGGGVSRGKFGADGNGKVVGPQQATKLAQKAVARILLGVGFEGAMEGSVEDFSEVLSERIVKIGTNLKVLADSYKKQCSAIELLKMLLKTVGFSNFAPLVDVVKDGSKNNVQQNQQQVHGMQPQLQQQQQSSLRLPQQVFTYISLSII >Vigun02g086200.1.v1.2 pep primary_assembly:ASM411807v1:2:24087668:24091277:1 gene:Vigun02g086200.v1.2 transcript:Vigun02g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGEDGRGYELARKLDTCGVWRTWLGDSTYAGFAPFLTSPSAWDSFITSSTHVPLQLRVRALLFDKATASLSPNPNPNPNSNPNSYLQRLNPTYLQLHADDIYFTLDTPSHDSKNQSNKTASASGSRYVESELPETWYSQVIDKYKTSKNIVSGESRSPAEMASYLNYVTNHKKRRLAFKEDVNSVADHALQPNGGGLAVTDDGDSAVFPEIAFALNCVPDSALPLSDRVGNSGKQKVKVFSVLDTLPPIAPTRHSVMMERLGVRPEPGSMEHGGGVSRGKFGADGNGKVVGPQQATKLAQKAVARILLGVGFEGAMEGSVEDFSEVLSERIVKIGTNLKVLADSYKKQCSAIELLKMLLKTVGFSNFAPLVDVVKDGSKNNVQQNQQQVHGMQPQLQQQQQSSLRLPQQVQMQRQMHPQMQQMLHSQSMAFHQQQQIERMRRRAVATRPSMDIDKERPLVQVKIENQDLPIDGNAFTSRHPQMQFRPQQPQQQQQQQMAAMSNFHSQSGAQFRQMGSLQIPSMQSPNISMVRAPPVKVEGFSELMGGDSTSKHDLDENRLTSPNGK >Vigun06g064200.5.v1.2 pep primary_assembly:ASM411807v1:6:19276972:19280832:-1 gene:Vigun06g064200.v1.2 transcript:Vigun06g064200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSAADILFTARMKNKFRGWRDGLLHEQNLEDQIQGITGDSKFQEIFFEMHPALSLNGFTSHQLKLIQSVIRKQFKDLSYISVNSEASGLQHLVVYTDSKDELNLLLKKVKEENLGVEKMKIQGAVGLRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEEFVTSVNKCFPHIVDTKILLNTNFMLQEKMKRSRKSLAAAFTSFCPQIAAGSRTSYLGSLSHVKVDVEVDDVRSSSWNPGGKHAAGYDAFMTGCIFAQLCSDLGIDYKLHDSSKQLALDEKLQKYVNRLYLSWMHGDIIDLSTGNKVADSSPSHSLRKRYPTILFENIVIIWGFPSTLKAIEVRECISKVFGPTSIVSVFHLDATAVFVQFSKTELVSDFLLLKNTLERSDGALLVLHPLSKLLEGGNTCAVNYGTYKEICGSPLSECLFADQAKAVGIKWKTKLPEKVVLGAEEHENSSVRGNVNIAMEDVERTKQNTIDELRNAPSRRQVSPYGDEDSCVAEANL >Vigun06g064200.2.v1.2 pep primary_assembly:ASM411807v1:6:19276973:19281563:-1 gene:Vigun06g064200.v1.2 transcript:Vigun06g064200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSAADILFTARMKNKFRGWRDGLLHEQNLEDQIQGITGDSKFQEIFFEMHPALSLNGFTSHQLKLIQSVIRKQFKDLSYISVNSEASGLQHLVVYTDSKDELNLLLKKVKEENLGVEKMKIQGAVGLRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEEFVTSVNKCFPHIVDTKILLNTNFMLQEKMKRSRKSLAAAFTSFCPQIAAGSRTSYLGSLSHVKVDVEVDDVRSSSWNPGGKHAAGYDAFMTGCIFAQLCSDLGIDYKLHDSSKQLALDEKLQKYVNRLYLSWMHGDIIDLSTGNKVADSSPSHSLRKRYPTILFENIVIIWGFPSTLKAIEVRECISKVFGPTSIVSVFHLDATAVFVQFSKTELVSDFLLLKNTLERSDGALLVLHPLSKLLEGGNTCAVNYGTYKEICGSPLSECLFADQAKAVGIKWKTKLPEKVVLGAEEHENSSVRGNVNIAMEDVERTKQNTIDELRNAPSRRQVSPYGDEDSCVAEANL >Vigun06g064200.3.v1.2 pep primary_assembly:ASM411807v1:6:19276973:19281563:-1 gene:Vigun06g064200.v1.2 transcript:Vigun06g064200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLAKYQFDFNACIHEGISYLSREQEREALRSLNSAHDSEWSDIYKLKDVRDMPLLSAADILFTARMKNKFRGWRDGLLHEQNLEDQIQGITGDSKFQEIFFEMHPALSLNGFTSHQLKLIQSVIRKQFKDLSYISVNSEASGLQHLVVYTDSKDELNLLLKKVKEENLGVEKMKIQGAVGLRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEEFVTSVNKCFPHIVDTKILLNTNFMLQEKMKRSRKSLAAAFTSFCPQIAAGSRTSYLGSLSHVKVDVEVDDVRSSSWNPGGKHAAGYDAFMTGCIFAQLCSDLGIDYKLHDSSKQLALDEKLQKYVNRLYLSWMHGDIIDLSTGNKVADSSPSHSLRKRYPTILFENIVIIWGFPSTLKAIEVRECISKVFGPTSIVSVFHLDATAVFVQFSKTELVSDFLLLKNTLERSDGALLVLHPLSKLLEGGNTCAVNYGTYKEICGSPLSECLFADQAKAVGIKWKTKLPEKVVLGAEEHENSSVRGNVNIAMEDVERTKQNTIDELRNAPSRRQVSPYGDEDSCVAEANL >Vigun06g064200.4.v1.2 pep primary_assembly:ASM411807v1:6:19276973:19281562:-1 gene:Vigun06g064200.v1.2 transcript:Vigun06g064200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSAADILFTARMKNKFRGWRDGLLHEQNLEDQIQGITGDSKFQEIFFEMHPALSLNGFTSHQLKLIQSVIRKQFKDLSYISVNSEASGLQHLVVYTDSKDELNLLLKKVKEENLGVEKMKIQGAVGLRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEEFVTSVNKCFPHIVDTKILLNTNFMLQEKMKRSRKSLAAAFTSFCPQIAAGSRTSYLGSLSHVKVDVEVDDVRSSSWNPGGKHAAGYDAFMTGCIFAQLCSDLGIDYKLHDSSKQLALDEKLQKYVNRLYLSWMHGDIIDLSTGNKVADSSPSHSLRKRYPTILFENIVIIWGFPSTLKAIEVRECISKVFGPTSIVSVFHLDATAVFVQFSKTELVSDFLLLKNTLERSDGALLVLHPLSKLLEGGNTCAVNYGTYKEICGSPLSECLFADQAKAVGIKWKTKLPEKVVLGAEEHENSSVRGNVNIAMEDVERTKQNTIDELRNAPSRRQVSPYGDEDSCVAEANL >Vigun06g064200.1.v1.2 pep primary_assembly:ASM411807v1:6:19276973:19281562:-1 gene:Vigun06g064200.v1.2 transcript:Vigun06g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKLPSPSLSRVLARALSPATPTTPTQCDSAFPLKTVTTANFEPSLAELRRHVRSSDFVAIDLEMSGITSAPWRESFEFDRSDVRYLKVRDSATKFAIVQFGVCPFRWDSSNHSFVAYPHSFYVFPRQEHAGLGPCDEFLWQTTSMDFLAKYQFDFNACIHEGISYLSREQEREALRSLNSAHDSEWSDIYKLKDVRDMPLLSAADILFTARMKNKFRGWRDGLLHEQNLEDQIQGITGDSKFQEIFFEMHPALSLNGFTSHQLKLIQSVIRKQFKDLSYISVNSEASGLQHLVVYTDSKDELNLLLKKVKEENLGVEKMKIQGAVGLRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEEFVTSVNKCFPHIVDTKILLNTNFMLQEKMKRSRKSLAAAFTSFCPQIAAGSRTSYLGSLSHVKVDVEVDDVRSSSWNPGGKHAAGYDAFMTGCIFAQLCSDLGIDYKLHDSSKQLALDEKLQKYVNRLYLSWMHGDIIDLSTGNKVADSSPSHSLRKRYPTILFENIVIIWGFPSTLKAIEVRECISKVFGPTSIVSVFHLDATAVFVQFSKTELVSDFLLLKNTLERSDGALLVLHPLSKLLEGGNTCAVNYGTYKEICGSPLSECLFADQAKAVGIKWKTKLPEKVVLGAEEHENSSVRGNVNIAMEDVERTKQNTIDELRNAPSRRQVSPYGDEDSCVAEANL >Vigun01g179000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35976958:35978063:-1 gene:Vigun01g179000.v1.2 transcript:Vigun01g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNGAYYGPAIPPQKSYNRPGRGGGLGCCCGCLFSCIFSLIFKVIFTLIIIVAIAVFIFWLIVRPNMVKFHVTDATLTEFNYSNNTLHYDLALNITVRNPNKRLGIYYDFIEARALFHDARFNSQYPNPFYQGHKTTNVLNPVFKGNQVMALDADQSAELSKENSTGLYEIDVKMYLRVRFKLGAFKTKTLKPKVSCDLRVPFKGTATAFQTTKCDWDR >Vigun06g223400.1.v1.2 pep primary_assembly:ASM411807v1:6:33200760:33211985:-1 gene:Vigun06g223400.v1.2 transcript:Vigun06g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAYGLRRPLDIQQLQFEAQHRWLRPAEICEILRNYQMFQITSEPHNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEDNENFQRRSYWMLEPDMMHIVFVHYLEVKGNKNIVVNTEGDEVPPDSQKVTSLSTDSISPSSSLMSLREDADSEDVYQASSGLRPLHGSQHIGNGPLPEKIDAGLNNSYDTSSFSGDHGQSSISGTDYIPVFDGDKFRGNDTPYFDGEKAHGVAPWDTVLQSAAKLHNDPSLASFPSIPPSSMGLEQEHTIFGDLLTGKSDLTEEEESSQSFQSSWQIPFEDNSGDMPMSRQNQSFGLHFGSDYGTNLLGYEARNASSEIAPILYSFNGDPKEQPMQKNYPHEHADGQSQHTLKSNSANKVLDEESINYGLTVKRTLLDKDESLKKVDSFSRWVTKELGEVADLNMQSTPGISWSTDECQHVIDDSSLSPSLSQDQLFSINDFSPKWAYAELDIEVLIIGSFLRSQPEVTTCNWSCMFGEVEVPAEVLADGILCCQAPRHKVGRVPFYVTCSNRLACSEVREFDFREGFARSVDFAEFFGSSTEMQIHLRLESFLTLKPVNPSNHSFEGDMEKRNLIFKLISLREEEEYSIKDEPTTELDISQHMVREHLFHRQVKEKLYSWLLHKVTENGKGPNVLDEGGQGVLHLAAFLGYDWAINPIIAAGVNINFRDVNGWTALHWAAFCGRERTVAFLVSMRADCGAVTDPSPAFPLGRPAADLASANGHKGISGFLAECSLTQNLESLKVDDQKGGRQEISGMKVVQTVSERTATPMLYGDMPDALCLKDSLTAVRNATQAADRIHQVFRMQSFQRKLLTQYEGDDELGLSDQQALSLLASRAYKSGQGHGLANAAAIHIQKKFRGWKKRKEFLMIRQRVVKIQAHIRGHQVRKQYKPIIWSVGILEKVILRWRRKGSGLRGFRPDALNKVPSQQNDSQKEDDYDFLKEGRKQKEEKIQKALSRVKSMVQYPEARAQYRRLLNVVEDFRQTKGPNEGLTSSEGTVDGVEDLIDIDMLLEDDNFIPIAFN >Vigun09g175900.1.v1.2 pep primary_assembly:ASM411807v1:9:34762401:34765642:1 gene:Vigun09g175900.v1.2 transcript:Vigun09g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHISLKMSEDNKRTAAAEEGGETRFVGIPNAPNPTPLPCLRVLHPDHVAVGSPITRVPPYSAPPPSPKFWVDHDSSLISDFSKMNLLDQTQTDVDPCPYFNQTGTLPNPYESCFNETGNLPYHHHVENARLFSEQGTLPYHVNNAGLFNGTENLPYHHHVNNAGLFTETGTLLPYHHHVNNAGLFDKGHTFDTPDDHVNHVYHHPVEQRRNQLQATSSGNYPTFRDRYMAPHSSGGFNSHVRLSPIAAMNCNVNNPSHYYVAAAKEIKTEPPHFLPPRRVGGDPAAFRCDNSIILQGRDSRHCFENGYGSSYRRGPPRDEFCVSGGVSKNFLPGGPSGQNAGEFSLPMPLDYYSVPDSQRYIYNLAKDQNGCRFLQRKVDEGSFEDMCIVFEGIIGSVVELMVDSFGNYLVQKLLDVCTDHQRLQIVLMLTNRPGQLVRISLNTHGTRVVQKLIETLDSDDQISLVKSAIQPGFLDLIKDLNGNHVIQRCLQCFSSQDNQFIFDASVKFCVEIATHQHGCCVLQRCIYYSMGKNRDRLISEICKHGLLLAQDPFGNYVVQYIIETDTPTAVAKMLGQFKGNYVHLSTQKFSSHVVEKCLRNVGDTRSRIVRELLAAPNFERLLQDPYANYVIQSALTFTKGLLHASLVDAIRNYKMLRSSPFCKRIFSGNLLRK >Vigun09g175900.2.v1.2 pep primary_assembly:ASM411807v1:9:34762400:34765643:1 gene:Vigun09g175900.v1.2 transcript:Vigun09g175900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHISLKMSEDNKRTAAAEEGGETRFVGIPNAPNPTPLPCLRVLHPDHVAVGSPITRVPPYSAPPPSPKFWVDHDSSLISDFSKMNLLDQTQTDVDPCPYFNQTGTLPNPYESCFNETGNLPYHHHVENARLFSEQGTLPYHVNNAGLFNGTENLPYHHHVNNAGLFTETGTLLPYHHHVNNAGLFDKGHTFDTPDDHVNHVYHHPVEQRRNQLQATSSGNYPTFRDRYMAPHSSGGFNSHVRLSPIAAMNCNVNNPSHYYVAAAKEIKTEPPHFLPPRRVGGDPAAFRCDNSIILQGRDSRHCFENGYGSSYRRGPPRDEFCVSGGVSKNFLPGGPSGQNAGEFSLPMPLDYYSVPDSQRYIYNLAKDQNGCRFLQRKVDEGSFEDMCIVFEGIIGSVVELMVDSFGNYLVQKLLDVCTDHQRLQIVLMLTNRPGQLVRISLNTHGTRVVQKLIETLDSDDQISLVKSAIQPGFLDLIKDLNGNHVIQRCLQCFSSQDNQFCVEIATHQHGCCVLQRCIYYSMGKNRDRLISEICKHGLLLAQDPFGNYVVQYIIETDTPTAVAKMLGQFKGNYVHLSTQKFSSHVVEKCLRNVGDTRSRIVRELLAAPNFERLLQDPYANYVIQSALTFTKGLLHASLVDAIRNYKMLRSSPFCKRIFSGNLLRK >Vigun06g186600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30582500:30583618:-1 gene:Vigun06g186600.v1.2 transcript:Vigun06g186600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTFPLTLHTYLYTNPSPSSTIKPNLLPLFLLTTLHSQVAPPSIPTPSRKLPLHNPKMKTHQSLFSVSILLVLALVFFHTISAQLSPVQAPVSSTPSPPQPSPPAFTPPSPPATAPAPGFNTVPLVPVTPSGAPTPTVPKAPSIDIVQILRKAKRFSVLIRLLKTTQLINQLNSQLLTSGSGGLTLFAPEDSAFSKLKAGFLNSLSDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDPKRLQLNVTTFGGNQVSMATGAVNASVTGTVYTDNKLAIYQVDKVLLPLDLVLPSEAPAPAPSKAKGASPKTDKTKAGDGAGGDDSDNGDNKDLPAEASSAVCMSLKFGAKGIFVAIVAGIALMGEAMM >Vigun10g163100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38210712:38213441:1 gene:Vigun10g163100.v1.2 transcript:Vigun10g163100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNTFFGAQNRSFRGVFNVLCVGVLFFFFYNRDDIIRNPILRQSSYFVGHKLPQNAIFRDGSSVIHRRMVEIGANTSSVAGEDDLGASNSGFCSGFVHHDGYASPCEFLKVNPQCSSDGYLDYLKFFYCTCQGFRVFGYLGLVVWLAALFYLLGNTAADYFCPSLESLSRLLKLPPTVAGVVLLPLGNGAPDVFASIASFVGAESGEVGLNSVLGGALFVTTVVVGTVSLCVAEKEVGIDRRCFIRDVSFFLLTLFSLLLILVAGKVGVMAAIAFVSIYFVYAFIVAANEILRKHAQRLKLDVVTPLLPVQGSVFSLGVEDDIPMYSSLLEDTESDPPRLPPSLPQWMWSSNVAIYSNQSSKINFLDDERPPWGWSDGSGENTRASFSVSKLFLLMEIPLAIPRRFTIPMVNEEVWSKPYAVISASLSPILLGLLFNTRDNVSNHGVILSYCVGVALGCTFGVLAYKYTVSDHPPRQFLLAWVLGGFIMSIVWFYIIANELVALLVAFGVIFGINPSILGLTVLAWGNSMGDLMSNIALALDGEDGVQIALSGCYAGPMFNTLIGLGISLLLGAWSKKPSLYVLPEDSSLFYTMGFLIAGLLYALVLLPTKNMHPNRTLGMGLITLYVVFLSFRICTAMGFMTAAGLS >Vigun10g163100.1.v1.2 pep primary_assembly:ASM411807v1:10:38210735:38213330:1 gene:Vigun10g163100.v1.2 transcript:Vigun10g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNTFFGAQNRSFRGVFNVLCVGVLFFFFYNRDDIIRNPILRQSSYFVGHKLPQNAIFRDGSSVIHRRMVEIGANTSSVAGEDDLGASNSGFCSGFVHHDGYASPCEFLKVNPQCSSDGYLDYLKFFYCTCQGFRVFGYLGLVVWLAALFYLLGNTAADYFCPSLESLSRLLKLPPTVAGVVLLPLGNGAPDVFASIASFVGAESGEVGLNSVLGGALFVTTVVVGTVSLCVAEKEVGIDRRCFIRDVSFFLLTLFSLLLILVAGKGSVFSLGVEDDIPMYSSLLEDTESDPPRLPPSLPQWMWSSNVAIYSNQSSKINFLDDERPPWGWSDGSGENTRASFSVSKLFLLMEIPLAIPRRFTIPMVNEEVWSKPYAVISASLSPILLGLLFNTRDNVSNHGVILSYCVGVALGCTFGVLAYKYTVSDHPPRQFLLAWVLGGFIMSIVWFYIIANELVALLVAFGVIFGINPSILGLTVLAWGNSMGDLMSNIALALDGEDGVQIALSGCYAGPMFNTLIGLGISLLLGAWSKKPSLYVLPEDSSLFYTMGFLIAGLLYALVLLPTKNMHPNRTLGMGLITLYVVFLSFRICTAMGFMTAAGLS >Vigun04g099430.1.v1.2 pep primary_assembly:ASM411807v1:4:23298263:23299237:-1 gene:Vigun04g099430.v1.2 transcript:Vigun04g099430.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRGYILAKILISYGSSFSDGVLVLVEIFLW >Vigun10g001000.1.v1.2 pep primary_assembly:ASM411807v1:10:143658:146007:1 gene:Vigun10g001000.v1.2 transcript:Vigun10g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFKVGKEWYYELKGGRESVGCVCDCDCDCDRDRVIIVVLRQCWLWWRAAAARQGKAMAYRRRHGISRASTFKEEIRHHADDEMGSPTSSSPSLAAQAIKASAARRDPSLHLAFPKSELDHHRSKSCDNNYVVADVSKSGVWGVLAQKAKEILEEDKPSPNPHHNATASEKLKTHSFNTLSSPLEGVESKASSGRGSPTKPKGLSPSNSKSQLAPPMWNPWQQNPQTGDPQAIHATQLKASRDVAMATAAKAKLLLRELKTVKADLAFSKARCAQLEEENKILRDREGSEKGQTVTRADDDLIRLQLETLLAEKGRLASENEVYARENRFLREIVEYHQLSMQDVVYFDEGMEEVAELYPIDTTCFSAPPRVELLKSPRSPLNPGPQTKSIFSVSPQQQRQRQEEEDKNINTSELDEHAIAN >Vigun06g208500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32191354:32193508:1 gene:Vigun06g208500.v1.2 transcript:Vigun06g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAGSGHRRVMTLISSLISLSLSIRVFAGKWQLIRSRLEELCSAFVAGDSASLSSEIPAITGTVEECHELARRCLDLSYSGKLLMQSDLDVTLGKLEAHVKKLSEIFKKRVSMHGYAVVVSRPGFGACKDDMRFYLRDLMTRMKVGDLGIRKQALMNLHEVVVEDDKYVKLVVEASEFVHLLVDFLGCDEVEIVEEAANVVSVVAGFDYYKGVLVGAGVIGPLIRILECGSEVGKIAAVRCLCRLTENSDNAWSVSAHGGVTVLLRICESGECKGELIGPACGVLRNLCGVEEIKRFMVEEGVVSTFLKLVRSKDEVVQVSSIELTQNIASGDELVRQMVVREGGVRVLLRVLDPLWTCSSKTREVVMRGIENLCFSSTSCVSLLLSYGFVDQLMYYVRNGEVSIQELGLKVAFRLCEASEEAKKALGDAGFMAELVKFLNAESFEVREMAAEALSGMVTVAKNRKRFVQDDQNIALLLQLLDPGEGNSGNKKLLISILMSLTSCNSGRKKIVSSGYAKNIERLAEAEVSSDAKRLVRKLSTNRFRSMLSGIWHS >Vigun02g043500.6.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNGGLNQMRAGICDMVTIARYLNVTLIVPELDNTSFWKDHSQFKDIFDVDYFINSLRDEVLILKQLPPQQKHKMKTGSLSSMPPISWSNMSYYYDVILPRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVG >Vigun02g043500.5.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNGGLNQMRAGICDMVTIARYLNVTLIVPELDNTSFWKDHRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVKKETILEPSELDPFRNHSNQMAALDYYVSIESDIFVPSYQGNMAKLVEGNRRYLGFKKTIVLDRKVLVNLIDEYKNGSISWKQFSTSVKVAHAERIGKPSTRSVVSGKPKEEDYFYTNPQECLPPVKGP >Vigun02g043500.4.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNGGLNQMRAGICDMVTIARYLNVTLIVPELDNTSFWKDHSQFKDIFDVDYFINSLRDEVLILKQLPPQQKHKMKTGSLSSMPPISWSNMSYYYDVILPRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVKKETILEPSELDPFRNHSNQMAALDYYVSIESDIFVPSYQGNMAKLVEGNRRYLGFKKTIVLDRKVLVNLIDEYKNGSISWKQFSTSVKVAHAERIGKPSTRSVVSGKPKEEDYFYTNPQECLPPVKGP >Vigun02g043500.1.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEMNLKTHSKMRYEALISVIVGEVNDEKLQGFVSDAIMVDKRRFIMLAPKRSSMFRLWTLRLAFLIMLWTIAVQFRSFGDMVTPSMFNTHSLSSLPHHRIYENNGYVMVSSNGGLNQMRAGICDMVTIARYLNVTLIVPELDNTSFWKDHSQFKDIFDVDYFINSLRDEVLILKQLPPQQKHKMKTGSLSSMPPISWSNMSYYYDVILPRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVKKETILEPSELDPFRNHSNQMAALDYYVSIESDIFVPSYQGNMAKLVEGNRRYLGFKKTIVLDRKVLVNLIDEYKNGSISWKQFSTSVKVAHAERIGKPSTRSVVSGKPKEEDYFYTNPQECLPPVKGP >Vigun02g043500.3.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEMNLKTHSKMRYEALISVIVGEVNDEKLQGFVSDAIMVDKRRFIMLAPKRSSMFRLWTLRLAFLIMLWTIAVQFRSFGDMVTPSMFNTHSLSSLPHHRIYENNGYVMVSSNGGLNQMRAGICDMVTIARYLNVTLIVPELDNTSFWKDHSQFKDIFDVDYFINSLRDEVLILKQLPPQQKHKMKTGSLSSMPPISWSNMSYYYDVILPRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVG >Vigun02g043500.2.v1.2 pep primary_assembly:ASM411807v1:2:17505265:17510487:-1 gene:Vigun02g043500.v1.2 transcript:Vigun02g043500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIARYLNVTLIVPELDNTSFWKDHSQFKDIFDVDYFINSLRDEVLILKQLPPQQKHKMKTGSLSSMPPISWSNMSYYYDVILPRIKAHGVVHFTKSDARLANNGIPEEVQKFRCRVNYHALRFVPPIEQLARKIVKILKEKGPFLSLHLRYEMDMIAFSGCNEGCNKEEIDELTKMRYSYPWWKEKEIDSEKKRRDGLCPLTPEETALTLSALNIDSKIQVYIAAGDTYKSAKRMASLKEAFPNLVKKETILEPSELDPFRNHSNQMAALDYYVSIESDIFVPSYQGNMAKLVEGNRRYLGFKKTIVLDRKVLVNLIDEYKNGSISWKQFSTSVKVAHAERIGKPSTRSVVSGKPKEEDYFYTNPQECLPPVKGP >Vigun11g064600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15290010:15290397:1 gene:Vigun11g064600.v1.2 transcript:Vigun11g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACVALIVAASISAAVATVEVSAPAPGPSSEASAIVPLISSLVGASVLSFFALLH >Vigun06g179100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29898813:29900150:1 gene:Vigun06g179100.v1.2 transcript:Vigun06g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLYLEQDFKPKVHTPGTKNLSFFASMFSLFIYVCVFYTFNLSPYSLLNNNIFWFFMSNTLILIIAADYGASKKKQDLYDEYVQHSQPRNCASSYVPKYDEQVHKQCINPKKELGRKLLEEKKETVSDQNIPERVLEIVAISQPKKSIKFSREKRCALHLHEGDEKIEEKAIPARIYRRSKSDRSSRVKHVVNEERVKMVQRSETVKVKVKVEEENEFSKMSNEDLNRRIEEFIHKFKSQTTSNVYQI >Vigun11g164700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37204723:37206280:-1 gene:Vigun11g164700.v1.2 transcript:Vigun11g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLSVSNEEDGVISGEEERVPKQKRRGRPQKVLKDEFDEEEIEEMEADGSGESVKNAVVSSKETKNLNSTKQGRKRKRNSEEKTEAGEEKNGVRIGSNIDGLTKCNGFRNNGSRRKSKPRRAAEAGVLCKQDYA >Vigun04g037800.3.v1.2 pep primary_assembly:ASM411807v1:4:3171957:3173961:1 gene:Vigun04g037800.v1.2 transcript:Vigun04g037800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIPEVILNSGKKMPLIGLGTASIPLPPHQTLTSILIDAFEVGYRHFDTASVYGSEEPLGNAVEKPLELGIVKSRDEVFITSKLWPSDAHPHLVLPALRTSLQKLGLEYVDLYLIHFPVRLKPEARGQNDMLKENVIPSFDMKGIWEAMEESCRLGLAKSIGVSNFGIKKLNQLLENATIPPAVNQVEMNLSWQQAKLREFCKQKGIHVSAWSPLGSYKSDYGTNAVMESPILKEIACARHKTIAQVLLLSQT >Vigun04g037800.2.v1.2 pep primary_assembly:ASM411807v1:4:3171957:3174605:1 gene:Vigun04g037800.v1.2 transcript:Vigun04g037800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIPEVILNSGKKMPLIGLGTASIPLPPHQTLTSILIDAFEVGYRHFDTASVYGSEEPLGNAVEKPLELGIVKSRDEVFITSKLWPSDAHPHLVLPALRTSLQKLGLEYVDLYLIHFPVRLKPEARGQNDMLKENVIPSFDMKGIWEAMEESCRLGLAKSIGVSNFGIKKLNQLLENATIPPAVNQLWRAQSLRKSLVQDTRPSLRLL >Vigun04g037800.1.v1.2 pep primary_assembly:ASM411807v1:4:3171957:3174670:1 gene:Vigun04g037800.v1.2 transcript:Vigun04g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIPEVILNSGKKMPLIGLGTASIPLPPHQTLTSILIDAFEVGYRHFDTASVYGSEEPLGNAVEKPLELGIVKSRDEVFITSKLWPSDAHPHLVLPALRTSLQKLGLEYVDLYLIHFPVRLKPEARGQNDMLKENVIPSFDMKGIWEAMEESCRLGLAKSIGVSNFGIKKLNQLLENATIPPAVNQVEMNLSWQQAKLREFCKQKGIHVSAWSPLGSYKSDYGTNAVMESPILKEIACARHKTIAQIALRWIYEEGASAIVRSFNKERMKENLELFDWELSEEESQKFRHIPQLRLFSGINFVSENGPYKTLEEFWD >Vigun07g039020.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3779809:3781781:-1 gene:Vigun07g039020.v1.2 transcript:Vigun07g039020.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLILLIMKEMIVMRLGILLLNKSLVNRDKKKTCMVTTVEPHSCDGQVWIPLPAEGV >Vigun06g008800.1.v1.2 pep primary_assembly:ASM411807v1:6:4236707:4241704:1 gene:Vigun06g008800.v1.2 transcript:Vigun06g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAMQGSKDAHWMLMMEGSKVPLFKTCDLLYYYPMLIKMYAERLPIGLEKVIRTVSSETVKHFYKKWYHLCNMAVIAVGDFSDTQELEYSNIGATELVLSNGMQICYKRTDFLDDQVIFTWYSYEGLSELAESEYFSCSMRPTIAGEIGVFGYRPFVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETALQLVLVYQLFTTNLTPGEEDVKIVMQMVEEAVSAQDRDPYIAFTNRVKELNYGNSYFFRPIRKSDLQKVDPRKACEFFSTCFKDPSTFIVVIVGNIDPRTQNL >Vigun11g046901.1.v1.2 pep primary_assembly:ASM411807v1:11:7252548:7252772:-1 gene:Vigun11g046901.v1.2 transcript:Vigun11g046901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMHGSKSSDCIKNEGMLLIKSTLSQEKHYVTVPSGSNGP >Vigun06g212600.1.v1.2 pep primary_assembly:ASM411807v1:6:32478919:32481855:1 gene:Vigun06g212600.v1.2 transcript:Vigun06g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDWVLDYDYLDNISFPTLHPPNFSWSSSSPPPTFSAEVDDPLGISDGFKENGSKKRLRSPGSKACKEKMRRDRLNDRFLELGSILDPARPLKMEKAVILSDAVRTVSQLREEAQKLQESIKNLQGKINELKAEKNELREEKQQLKTEKDNLEQKIEAFNSKPSFLSAVPAPGQVVGRKLVPFMGYPGVAMWQFLPPSAVDTSQDHVLRPPVA >Vigun05g101500.1.v1.2 pep primary_assembly:ASM411807v1:5:10059591:10070074:1 gene:Vigun05g101500.v1.2 transcript:Vigun05g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPSRQQFVLFGSSIVQYSYYEGWGATLSHVYARKADIVLRGYAAWNSRRALEVLDSIFPKEAKEQPSLVIVYFGGNDSSIPNPNGIGPHVPLDEYKENMRKIATHVKNLSEKTRTIFLTTPPINEAQILHNIDPQGQLERTNEACRIYAEACMEVCDEMNVKGIDLWSAIQKNDNWEDVCFIDGIHLTNEGSKIVSKEILNVLKEAEWEPSLYWKSMPSEFGEDSPYDVVGPDGKTTYNLSNFIYPDNDMWD >Vigun08g122400.4.v1.2 pep primary_assembly:ASM411807v1:8:29187014:29193539:1 gene:Vigun08g122400.v1.2 transcript:Vigun08g122400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKITGNLIEEKIWSKIPILVKPVPLRPQPWRKPSKPLQKLPTIPENDQILTEGNLNGDHNNFNELQPNIEQEMRNKFKRIVSNRYAARRSRLKKLAYVEELENELKSCERKREVLHGEIAEQRKKHLSLEIENHTLKFHLAAREKQRILQEVEIEKNRAEVGRMMEVQRRMVNLRSLQLMTNSNFNPSAFI >Vigun08g122400.3.v1.2 pep primary_assembly:ASM411807v1:8:29184949:29193539:1 gene:Vigun08g122400.v1.2 transcript:Vigun08g122400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKITGNLIEEKIWSKIPILVKPVPLRPQPWRKPSKPLQKLPTIPENDQILTEGNLNGDHNNFNELQPNIEQEMRNKFKRIVSNRYAARRSRLKKLAYVEELENELKSCERKREVLHGEIAEQRKKHLSLEIENHTLKFHLAAREKQRILQEVEIEKNRAEVGRMMEVQRRMVNLRSLQLMTNSNFNPSAFI >Vigun08g122400.2.v1.2 pep primary_assembly:ASM411807v1:8:29182686:29193409:1 gene:Vigun08g122400.v1.2 transcript:Vigun08g122400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKITGNLIEEKIWSKIPILVKPVPLRPQPWRKPSKPLQKLPTIPENDQILTEGNLNGDHNNFNELQPNIEQEMRNKFKRIVSNRYAARRSRLKKLAYVEELENELKSCERKREVLHGEIAEQRKKHLSLEIENHTLKFHLAAREKQRILQEVEIEKNRAEVGRMMEVQRRMVNLRSLQLMTNSNFNPSAFI >Vigun02g199900.1.v1.2 pep primary_assembly:ASM411807v1:2:33490226:33493004:1 gene:Vigun02g199900.v1.2 transcript:Vigun02g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTALNTVLCATITPVYDFVCFLPYWERRRERRRQQREATIANSTN >Vigun05g291416.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47759945:47760754:1 gene:Vigun05g291416.v1.2 transcript:Vigun05g291416.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRRIWAFPSILLLTIVMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGYLIDSLTSIMSILITTVGILVLIYSDNYMSHDQGYLRFFAYLTLFNISMLGLVTSSNLIQIYFFWELIGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITGSLEFRHLFQIINNLISKNEMNIFFLLCLPSCYFVAQLQNLPNFLFMYGYPMLWRDLLQFLPLYMLLLW >Vigun06g142000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26769721:26770716:1 gene:Vigun06g142000.v1.2 transcript:Vigun06g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFITKTLFLFVSFSGLVLHAHAVRTPQARVWSLCKPTTNPFMCFTTILPHVITLPKFNVYKALEIEIDATRVLVGNTTILINTLLANPANSKDLNDSLSICSDQFDSMMDAINETITVIAQRNAAEARFKFSAVLSYLSACTDEFTNSPIVKEGKDVYDLGGNCLDIMKAIEDRESRRRRGAPVPSPVLGPLPVATPILTPVLTPCQNQIGPCN >Vigun07g262801.1.v1.2 pep primary_assembly:ASM411807v1:7:37859443:37861756:1 gene:Vigun07g262801.v1.2 transcript:Vigun07g262801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSAGSGNFPRSDSNVRSRRRRSRSRSWRRRRSRSHETGDWRNPGNTLYVTGLPGWATERNLRELFRTQGEVIDCHLIRNYSTNESCGYAFVTMATKADAERCIQYFHHSVHFGRVIVVEVARRKRPRTPRGKYRVRRYEQEQQRRRQTLSHSPTQQWDEDRYSWDRSRSLSPVRKEDRYRYSSDRSRSQTPIQEEDRYQYSSDQRGRSRSPETQRRQSE >Vigun02g023500.2.v1.2 pep primary_assembly:ASM411807v1:2:7883273:7902958:1 gene:Vigun02g023500.v1.2 transcript:Vigun02g023500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIWRGIWVITWQDLTEALLLADLEQDTVDFVPNFDNSQKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDVLCVLIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRVVIRGKTDIELLDSKTKRTAIIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTSLQSTFSCNMVGILNGQPKQMGLKELLQAFLDFRCSVVERRALFKLSQARGRKHIVEGILIGFDNLDEVICIIREASSNSAAAVGLRNAFGLSEKQAEALLDISLRRLTLRESGNFLAESKSLMEQISKLEELLSSRKNILELIEQEAIELKNKFANPRRSMLEDTDNGQLEDIDVIPNEDMLLALSEKGYLKRMKPSTFNLQNRGTVGKSVGKLRVNDSMSDFIVCRAHDHVLYFSDKGTVYSARAYKIPECSRTAAGTPLVHILSLSDGERITSIIPVSEFVEDQFLLMLTMQGYIKRVSLNLFSSIRSTGIIAIQLVPDDELKWVRLCTNDDLVAMASHNGMVMLCQCSKIRTLSRNTRGSPAMRLKNGDSMASVDIIPAAMWNNLETLSKYRENSGKGQKGPWLLFVSENGHGKRVPLSSFRVSSLNRVGLVGYKFSAEDRLAAVFVVGFSLAEDGESDEQVVLVSHSGTVNRIKVRDISIQSRFARGVILMRLDHAGKIQSASLISATDCEPEEEVLATAQG >Vigun02g023500.1.v1.2 pep primary_assembly:ASM411807v1:2:7874475:7902958:1 gene:Vigun02g023500.v1.2 transcript:Vigun02g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHLHFQNPNLLLCPSPSPSPSPSMAFSTALRVLRLSPFLQPSRLRLSPSHPRLLSPFQHRLISARASRRPAAAARAVRRSDGNGSVTATTESGNSSEGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLDDLTEALLLADLEQDTVDFVPNFDNSQKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDVLCVLIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRVVIRGKTDIELLDSKTKRTAIIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTSLQSTFSCNMVGILNGQPKQMGLKELLQAFLDFRCSVVERRALFKLSQARGRKHIVEGILIGFDNLDEVICIIREASSNSAAAVGLRNAFGLSEKQAEALLDISLRRLTLRESGNFLAESKSLMEQISKLEELLSSRKNILELIEQEAIELKNKFANPRRSMLEDTDNGQLEDIDVIPNEDMLLALSEKGYLKRMKPSTFNLQNRGTVGKSVGKLRVNDSMSDFIVCRAHDHVLYFSDKGTVYSARAYKIPECSRTAAGTPLVHILSLSDGERITSIIPVSEFVEDQFLLMLTMQGYIKRVSLNLFSSIRSTGIIAIQLVPDDELKWVRLCTNDDLVAMASHNGMVMLCQCSKIRTLSRNTRGSPAMRLKNGDSMASVDIIPAAMWNNLETLSKYRENSGKGQKGPWLLFVSENGHGKRVPLSSFRVSSLNRVGLVGYKFSAEDRLAAVFVVGFSLAEDGESDEQVVLVSHSGTVNRIKVRDISIQSRFARGVILMRLDHAGKIQSASLISATDCEPEEEVLATAQG >Vigun03g199300.2.v1.2 pep primary_assembly:ASM411807v1:3:28702564:28705969:1 gene:Vigun03g199300.v1.2 transcript:Vigun03g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGRKQGAPSPCAACKLLRRRCAQDCVFAPYFPADEPHKFANVHRVFGASNVNKMLQELPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLAVAQAEAVHLRVRQSASLYTPTSPTNTASPSSKNIHSQSKPIFHIDMDMVVDHTTYTDSIW >Vigun03g199300.1.v1.2 pep primary_assembly:ASM411807v1:3:28702749:28705969:1 gene:Vigun03g199300.v1.2 transcript:Vigun03g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGRKQGAPSPCAACKLLRRRCAQDCVFAPYFPADEPHKFANVHRVFGASNVNKMLQELPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLAVAQAEAVHLRVRQSASLYTPTSPTNTASPSSKNIHSQSKPIFHIDMDMVVDHTTYTDSIW >Vigun11g139800.1.v1.2 pep primary_assembly:ASM411807v1:11:34920371:34922783:1 gene:Vigun11g139800.v1.2 transcript:Vigun11g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTKHAVICSLLTALVFSSCPITMSQSDSENEFTYDMKGKTGPDKWGDLKPDWNLCKMGSMQSPIDFSNDKIEKVTNLEELQTNYRPSRASIKNRGYDIKVEWHGDKSSVKINGTKYRLVQSHWHSPSEHAIDGTRLDLELHMVHQTPSGQIAVVGIMYRAGRADPFLSSLTEVLEALSDKTGAEKEVAELDPNLVMERASAAFYYRYIGSLTSPPCSENVVWTVFREVRSVSQEQIKMLKEAVEDHAISNARPLQKLNNRVVQFNDPEVKD >Vigun09g273700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43453402:43454741:-1 gene:Vigun09g273700.v1.2 transcript:Vigun09g273700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKQRVTGKVKWFNDQKGFGFITTDDGGEDLFVHQSSIRSDGFRSLALGESVEFLIDSDSEGRTKAVDVTGPDESPVQGTRGGGGGGGGRGGYGGGGGRGGYGGGGRGGRGGYGGGGGYGGGGYGGGGGYGGGGGGGGGGCYKCGETGHIARDCSQGGGGGGRYGGGGGGGGGGSCYNCGESGHFARDCPSNAR >Vigun09g273700.2.v1.2 pep primary_assembly:ASM411807v1:9:43453402:43454741:-1 gene:Vigun09g273700.v1.2 transcript:Vigun09g273700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKQRVTGKVKWFNDQKGFGFITTDDGGEDLFVHQSSIRSDGFRSLALGESVEFLIDSDSEGRTKAVDVTGPDESPVQGTRGGGGGGGGRGGYGGGGGRGGYGGGGRGGRGGYGGGGGGRYGGGGGGGGGGSCYNCGESGHFARDCPSNAR >Vigun08g212300.1.v1.2 pep primary_assembly:ASM411807v1:8:37358963:37362296:-1 gene:Vigun08g212300.v1.2 transcript:Vigun08g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTDGESLGRGTKITLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEKKEEEGKVEEVDEDKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFIPKRAPFDIFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKSKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESRKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEELKEKFDGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEADADMPPLEDADADAEGSKMEEVD >Vigun10g110700.2.v1.2 pep primary_assembly:ASM411807v1:10:31083575:31084583:1 gene:Vigun10g110700.v1.2 transcript:Vigun10g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSLFYILNNEVEAVELNWSKRVNIIKGIAKALSYMHHDCSPPIVHRDVTSTNVLLNSQLEAYVSDFGTVRLLDPDSSNQTLVVGTYGYIAPELAYTLSVTKKCDVYSFGVVTLETLMGIHPKEIISSLSNPTTQNMFVKDLLDSRLPLPLRKEAQDISLVITLALSCLCSKPNLRPSMQQVVEKLSSFKLPLSLPFHEVLIYQLMSQDICHLLSNCQE >Vigun05g266800.1.v1.2 pep primary_assembly:ASM411807v1:5:45902633:45906592:-1 gene:Vigun05g266800.v1.2 transcript:Vigun05g266800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLHALSTPVRVWPHWEVGSTKRKHIVCNAQKEDVEEGEVTTLSHVSRRLALGTALIGGAAAAGAKVSPANAADDAELSLDQPAFITTLPASISSEEYPASVVEALSLNRTSFPKGFVFGTASAAYQYEGAAFEDGRKASIWDAFTHRYPERIRDRSNGDVAVDEYHRYREDIQIMKDMNLDAYRFSISWSRIVPNGKVGPYEEGVNQAGIDYYNRLIDYLIDNGLKPYVTLFHWDLPQALEEEYGGFLSHHVVDDFRDYARVCFKNFGNRVKHWITLNEPWSYSNNGYAVGTFAPARCSEWQDPTCLGGDSGREPYIVTHNLLLSHAAAVEEYRKFQEYQEGMIGITLISHWYEPQTDSESDKDAAKRALDFMFGWYMEPLTTGKYPKSMRYLVGNRLPEFSKHESKLLADSYDFIGINYYTTVCVADNPSVQPESKRSYSTDPNVIYSTQRNGVLIGVPTASDWLYVCPKGIKKLLLYTKKEYNDPLIYITENGRGNDIGEEHDTLEESLIDVYRIDFYYRHLYYLLSAIRDGRVNVKGYFAWSLLDNFEWRDGYLIGFGLNYVDRKNKLKRYPKLSAKWFKNFLQKA >Vigun11g166160.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37351788:37352102:-1 gene:Vigun11g166160.v1.2 transcript:Vigun11g166160.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAVRELLMGQPKIYPFGTCTMY >Vigun07g185600.1.v1.2 pep primary_assembly:ASM411807v1:7:30244318:30245703:-1 gene:Vigun07g185600.v1.2 transcript:Vigun07g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLLFYCSLLFCSATITFTCSATTYTVGDTSGWDISTNLDTWVADKNFKVGDVLIFQYSSGQNVEEVTKENFETCNTTNVLAIYGNGNTTVPLRKGGDRYFVSGNKLYCLGGMKLHVHVEGDDSKALAPTMAPKAVAGSDENTVTVSNSPSSKKSTHLSVGTLNYSAKNALQFVYVAVMVAAYLAYNECD >VigunL059028.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000234.1:8347:18614:-1 gene:VigunL059028.v1.2 transcript:VigunL059028.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSCHPPKLSLPTTQESIVFGVLAGPPLASNNPRRDLPLLPTTQESIVFGVLAGPPLASNNPRVHSIRSSRVASHCFQQPKSRSYLEFHIASQEANNQKVVSLVSNFPLSCPPRSLAKFTLFQRHPPKLPWAQFGLVHSFPASSPQVVLFAVRPSSLFSSVIPPSCLVRSSCHPPKLPCSQFGLVHSFPVSSPQVVVANNPRVHSIRSSSGPPLASNNPRVHSIRSSSGPPLASNNPRVLVFGVLADLPLLPTTQESIVFGVLRASLVPTTQESYLEFTSLPRKPTTKESIVFGVLAGPPLASNNPRVHSIRSSRVASHCFQQPKSRSYLEFHIASQEANNQKVGRIWSSVFASVDSNFPKTCLLRSSAKSPSFPTFL >VigunL059028.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000234.1:8283:18614:-1 gene:VigunL059028.v1.2 transcript:VigunL059028.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSCHPPKLSLPTTQESIVFGVLAGPPLASNNPRRDLPLLPTTQESIVFGVLAGPPLASNNPRVHSIRSSRVASHCFQQPKSRSYLEFHIASQEANNQKVVSLVSNFPLSCPPRSLAKFTLFQRHPPKLPWAQFGLVHSFPASSPQVVLFAVRPSSLFSSVIPPSCLVRSSCHPPKLPCSQFGLVHSFPVSSPQVVVANNPRVHSIRSSSGPPLASNNPRVHSIRSSSGPPLASNNPRVLVFGVLADLPLLPTTQESIVFGVLRASLVPTTQESYLEFTSLPRKPTTKESVVFGVSHRFPGSQQPKGRSYLEFSIRFSRFQLPKNLPSSQFG >VigunL043401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:3:1735:1 gene:VigunL043401.v1.2 transcript:VigunL043401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VFVACFVAAFGGLIFGYDLGISVLVTKEINMCRCQTLTLFTSSLYLAALVASVYHVCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKALKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFRRPMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPTAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWK >Vigun02g021800.1.v1.2 pep primary_assembly:ASM411807v1:2:7490566:7496246:-1 gene:Vigun02g021800.v1.2 transcript:Vigun02g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMNSGGSSIRRRSLSISSHVSYHTDNDGENESVSEAGDIGDRALRSKRFSESNSFRLSFDNRSEKEAVVSIPEEHRSHPNSSVRPLPPAFTSLSPLSTDGIVRSEDIEHDLPKGLPEFLDYTSCLVHLAVFGILGVLTRYLLQKLFGPRVAHVTSDQTILYVDLPANMIGSFLMGWFGVVFKGDISQVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFLLGLFLVAYSIQFGIETAKGFRWLLHRLGISLEKDVNKMNCKVDSYRRQLIVMVMFLVILGILWGVSGALVKAEFKHGGNAAQLWFACMVGPMGVWIRWFLARLNGRGLGKEGLFKWIPFGTLIANVLAACIMAALSTVKNAVKTRDCDTVVAGIQFGLMGCLSTVSTFAAEFNAMRESNHPWRAYTYAVITVCVSFSLGILIYCIPVWTKGFDIDT >Vigun09g110900.1.v1.2 pep primary_assembly:ASM411807v1:9:23939160:23941382:-1 gene:Vigun09g110900.v1.2 transcript:Vigun09g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRKVAINVYRVINSNKATIATFTNPANTLRPFFGYSRFTPFSSHTQTPSQEIDLSNEESKRRLFNQLLYRSKQRGFLELDLVLGKWVEENIHTLDENRIKALIYVLDLENPDLWKWISGQEQPPESVSTNPVFAAVHERVMKNLNSHSAPEVRATPGQPWVRGWDDIKKGQDGPVSGNQ >Vigun09g223900.1.v1.2 pep primary_assembly:ASM411807v1:9:39680614:39684548:1 gene:Vigun09g223900.v1.2 transcript:Vigun09g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESIVLTVVATAGNNIGKILQKKGTVILPPLSFKLKVIRAYALNKTWLIGFLMDIFGALLMLRALALAPVSVIQPVSGCGLAILSVFSHFYLKEVMNVVDWVGITFAGFGTIGVGAGGEEQGAAALSIFHIPWLAFVVFILFIMLNGWLRICRRNRREQEMIEYDVVEEIIYGLESGILFGMASVISKMGFLFLEQGFPKLLVPICLIVSVCSSGTGFYYQTRGLKHGRAIIVSTCAAVASILTGVLAGMLALGERLPSAPKARMLLLLGWLLIIVGVILLVGSEKLVKFFRLYSHRFKRSNVDKNYSHRRSATSRIKETSPTDVIQAATVNHLL >Vigun03g153500.5.v1.2 pep primary_assembly:ASM411807v1:3:16221380:16225094:-1 gene:Vigun03g153500.v1.2 transcript:Vigun03g153500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQTGCFDPNTMGENIPSLKDNFPQTLGDPLTPSSPMVVDNTTNTDNGLEENLRLSVEELSFNHHNLQHQDGVTATSTHSQQHLGLNMDNHLVQQVIDVLPYQQSTWDPSTVQELPDIAYANQIEQQQNEQQFQQMETQSFNPSSILDPPYPSPDLLNLIHLPRCSAPSLHTNPTICLTNPTQNTPNFQNPLAFIADLPIGSDNNTSASSVLYDPLFHLNLPPQPPALRELFQSLPRGYSLPTNSRNGSLFGGDEIEGDGSQLDMGVLEFNRVTPTVAKGRGGKATKHFATEKQRREQLNGKYKILRNLIPNPTKIDRASVVGDAIDYIRELIRTVNELKLLVEKKRYGRERCKRPKTEEDGAESCNIKPFGDPDGGIRTSWLQRKSKDSEVDVRIIDDDVTIKLFQRKKINCLLFVSKVLDELQLELHHVAGGHVGEYCSFLFNSKIIEGSSVYASAIANRVIDVLDCQYTASVPHTNSY >Vigun03g153500.1.v1.2 pep primary_assembly:ASM411807v1:3:16221379:16225095:-1 gene:Vigun03g153500.v1.2 transcript:Vigun03g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQTGCFDPNTMGENIPSLKDNFPQTLGDPLTPSSPMVVDNTTNTDNGLEENLRLSVEELSFNHHNLQHQDGVTATSTHSQQHLGLNMDNHLVQQVIDVLPYQQSTWDPSTVQELPDIAYANQIEQQQNEQQFQQMETQSFNPSSILDPPYPSPDLLNLIHLPRCSAPSLHTNPTICLTNPTQNTPNFQNPLAFIADLPIGSDNNTSASSVLYDPLFHLNLPPQPPALRELFQSLPRGYSLPTNSRNGSLFGGDEIEGDGSQLDMGVLEFNRVTPTVAKGRGGKATKHFATEKQRREQLNGKYKILRNLIPNPTKIDRASVVGDAIDYIRELIRTVNELKLLVEKKRYGRERCKRPKTEEDGAESCNIKPFGDPDGGIRTSWLQRKSKDSEVDVRIIDDDVTIKLFQRKKINCLLFVSKVLDELQLELHHVAGGHVGEYCSFLFNSKIIEGSSVYASAIANRVIDVLDCQYTASVPHTNSY >Vigun07g035800.1.v1.2 pep primary_assembly:ASM411807v1:7:3427503:3431988:1 gene:Vigun07g035800.v1.2 transcript:Vigun07g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSPLKPNFLCECRNQNRAMETKPSEISSGKMFGGYNKRFKHFSPTLGCSMNFHIYFPPSPSPSHKFPVLYFLSGLTCTDENFLFKSGAQRAAAAEGIALVTPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSDNFPQLETSKASIFGHSMGGHGALTIYLKNQDKYKSVSAFAPITNPINCPWGQKAFSNYLGDNKSDWEEYDATCLVTKFPNVSSSILIDQGEDDHFFPHQLLPQNFEEACRKANVPLLLRFQPGYDHGYYFIATFVDDHIRHHAQALRLN >Vigun11g020000.5.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2514750:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2507753:2515105:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.6.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2514750:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.4.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2514750:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.7.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2514328:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.1.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2515105:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.3.v1.2 pep primary_assembly:ASM411807v1:11:2507753:2514750:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGLLRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun11g020000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2507753:2516508:1 gene:Vigun11g020000.v1.2 transcript:Vigun11g020000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLVNLAFVNVQRLFEKLNSVQILGYFRGRDFHEKLLKKLKRKLMNINAVIDDAEQKQLSNSLVKKWLDEARGVLYDAEDLLEKIDYELSKSELKSGFQTSESKERSFESKMREVLDDLDSLSNQQIVKDFKISSGDISGLGNEVLEKKVESSSLVAEDHVMYGRDEDKEVIFSWLTSDTNGNKLSILSIVGMGGVGKTTLAQHVYNDPKTKEAKFDEKAWVCVSDEFDVFTMSKAIIEALSKSKYDTGDLEMVHGKLKEKLSGRKFLLVLDDVWIVDPNQWKALQTPLTCGAMGSKILVTTRGNVVASILQSDYIHQLKQLNEDHSWQAFGKHAFQDGNSKLNSELKEIGKKIVGKCAGLPLALQMVGCLLQSKSSVSEWEAVLRSSIWDLTIEEDSTIFPVLLLSYYHLPSHLKRCFVYCALFPKNYEFDKESLILLWMAQNFLQYSQQSQSPEEVGEQYFNDLLSRSFFQQIIRYNKTYFVMHDLLNDLAIYMSGEMCYRLGVDRVETLSKKTRHFLIVRGSKYSTNLHDAKGLRTFISCRWHRYMSIQEVISNFKSLRVLSLHLCFEVPDTIGDLTHLRILDLSHTYIERLPDSICSLRNLQVLKLNDCTCLKELPSTLHELTDLCRLELMETTLTKAPLLLGKLNNLQIWMNSFDFSIQELRELDLHGELSIKNLENILNPGDALAVDFKNKTHLVRLHLEWNLMQNNEDLTKEREVLENLQPSCHLKELSMDGYSGTRFPHWLSDNSLSNVVSLTLKRCKQCLWLPSLGLLTFLKHLTIDGLDLIGRIDADFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFSNLQSLTVKNCPKLRGNLPEQISHLKELTIEQCQQLVASIQDVKMEQSSVDVIRSVVSDTPFKFLSITFCPGMTIPIYQTYHFLLELRIIHGCDSLTTFPLDLFPKLCKLELGECRNLQMISQEHPHIHLKFLKIEKCYEFESFPNEGLFAPELKRFQILGLEKLKSMPKRMSALLPSLNDMLISNCPEVELSDRSLPSNLKCMSLWSCSKLVASLKGAWGTEPSL >Vigun03g151500.1.v1.2 pep primary_assembly:ASM411807v1:3:15928430:15931515:-1 gene:Vigun03g151500.v1.2 transcript:Vigun03g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGESTPVSKEVNATVIGGTINMHGALHIQATKVGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPTVVILSLLTLLCWYIAGALGAYPEKWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDSLERAQKVKYVIFDKTGTLTQGKSTVTAARVFTGMDRGEFLTLVASAEASSEHPLGKAILQYARHFHFFDESSPVSGTKNDAGEFKSGWLYDVSDFSALPGRGVQCFLDGKRILVGNRKLLEENGIEISTEVENFVVELEESAKTGILVAYDDVLTGVLGIADPLKREASVVIEGLRKMGVIPVMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAANYVLMRDNLEDVITAIDLSKKTFFRIRLNYVFAMAYNVVAIPVAAGVFFPSLRIQLPPWLAGACMAMSSVSVVCSSLLLRRYTRPKLTTILEILVK >Vigun03g151500.2.v1.2 pep primary_assembly:ASM411807v1:3:15928429:15931515:-1 gene:Vigun03g151500.v1.2 transcript:Vigun03g151500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSFGLQVASIFVPTVVILSLLTLLCWYIAGALGAYPEKWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDSLERAQKVKYVIFDKTGTLTQGKSTVTAARVFTGMDRGEFLTLVASAEASSEHPLGKAILQYARHFHFFDESSPVSGTKNDAGEFKSGWLYDVSDFSALPGRGVQCFLDGKRILVGNRKLLEENGIEISTEVENFVVELEESAKTGILVAYDDVLTGVLGIADPLKREASVVIEGLRKMGVIPVMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAANYVLMRDNLEDVITAIDLSKKTFFRIRLNYVFAMAYNVVAIPVAAGVFFPSLRIQLPPWLAGACMAMSSVSVVCSSLLLRRYTRPKLTTILEILVK >Vigun03g004800.1.v1.2 pep primary_assembly:ASM411807v1:3:322792:325096:-1 gene:Vigun03g004800.v1.2 transcript:Vigun03g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFGSPPIFSLPLARTHHISSSSATPPPTPPPTPPPQSQPPTSPSQIRTTNLNEEAVQVSTEAKQQKPIKPVTSSTKVESTDWIATSLTRRFGLGAGLAWVGFLAFGVISEQIKTRLEVSQQEANTRNVEKVEEVVLPNGIRYYELKVGGGASPRPGDLVVIDIMGKIENNGEVFVNTFEGEKKPLALVMGSRPYSKGVCEGIEYVLKTMKAGGKRKVIVPPKLGFGENGADLGTGVQIPPFATLEYIVEVDKVSIAPA >Vigun05g018200.1.v1.2 pep primary_assembly:ASM411807v1:5:1472448:1477252:-1 gene:Vigun05g018200.v1.2 transcript:Vigun05g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFYSTHCRSLATTSSSSSASFQPSPFSSNLAYLQRVTFSHRFIASKVSLKYQPQSYQIPVHTQQQEGAVASSVAPVENGTSHKQLNAELLSVKSPKESLVEDGFEKDGNESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIYGYARSKMTDAELRNMVSRTLTCRIDKRENCNEKMEQFLKRCFYHSGQYDSQEHFAVLDKKLKEQEGGRTSNRLFYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSESSAALTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHTRGGVTYPAYTDDKTVPVGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMKLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYNVRWGDLGSDIDR >Vigun02g085100.1.v1.2 pep primary_assembly:ASM411807v1:2:23908127:23912438:1 gene:Vigun02g085100.v1.2 transcript:Vigun02g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSLTLLTFWSILIHLFSLFILKSLWFSPNIIVFALGNETDHFALLKFKESISSDPNRILFSWNTSTHFCNWHGITCNSMLQRVTELNLIGYKLKGFISPHIGNLSHMTTFNIGNNNFYGEIPQELGKLSKLQYLSVNNSLLVGKFPSNLTACTDLKILHLNGNNLTGEIPVEIVLLQKLQKLFLFKNKFSGRIPSFMGNLSSLTHLSLGSNNFKGHIPQEICRLKSLTFLSLALNKLTGAFPSCFYNMSSLTTFSVSLNQLNGSLPSNMFHTLSNLQVLYIAGNQFSGPIPSSITNASILSVIDLSLNNFFGQVPSLGKQIDLRYLNFARNNLGENSPNDLEFLKPLTNCSELQILSLAYNNFGGRLPNSLGNLSTQLWKLYLGGNQISGHIPATCGNLIGLILFTMERNLIHGIIPTTFAKLQKLQVLDLSENKLSSLGTFIGNLSRLYYLDMAENMLEGSIPPSLGNCQSLQHLDLSQNNLTGTIPLQVFNLSYLTNAFSLSQNLLSGNIPEEVGNLKNLNLLYLFENRLSGHIPETIGECIMLQELYLEGNSLQGSIPSSLASLRGLQRLDLSRNLLSGSIPSVLQSISLLQYFNISFNMLDGELHLPLCPVKATKQAKHHSLRLIAIIVSAVASLLILLVILTIYWMRKRSNKPSLDSPTIDQLPKVSYQSLYNGTNGFSSSNLIGSGSFSSVYKGTLEQEDKIVAIKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQEFKAIIFEYMTNGSLEQWIHPGPPSARHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGISRLLSTFNHTTSGQTSTNGIKGTVGYAPPEYGERYKQRRTLILDPRIISEYEATAVEGNCCNLNAEAEKCVVSLFRIGLACSVESPKERMNLVDVIRELNQITRVSSLLEMTIE >Vigun03g199200.1.v1.2 pep primary_assembly:ASM411807v1:3:28614287:28616608:1 gene:Vigun03g199200.v1.2 transcript:Vigun03g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTPKPSPTLILRVILCCWLCSSLVMGATYNVVNFGAKSDGKTDSTKAFLNAWAKACASTSSASVYVPQGRFLLRSATFSGQCHNQAITFTIDGTLVAPSDYRVIGNSGKWLQFEGVNGVSIRGGVLDGQGTALWNCKNSGKGNCPTGATTLGFTNSNNIGISGLTSLNSQMFHIVFNGCQNVKVQGVKVMADGDSPNTDGIHVQMSSHVTILNSKIRTGDDCISIGPGTTNLWIENIACGPGHGISIGSLGKDLKEAGVQNVTVKTVTFTGTQNGVRIKTWGRPSNGFVRNVVFQDAIMVNVENPVIIDQNYCPDNKGCPGQASGVKVSDVTYQNIHGTSATQVAVKFDCSSKYPCSGIRLEDVKLTYKNQRALSSCSHADGAALGSVQPQGCF >Vigun10g011701.1.v1.2 pep primary_assembly:ASM411807v1:10:1223887:1228860:1 gene:Vigun10g011701.v1.2 transcript:Vigun10g011701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLFFFLLFAGQLMGGFSTPKLPPSHHGDILTILSIDGGGIQGILPATVLEHLDKALKAKDPNADLAHYFDVIGGSGTGALITAMLATASPDDPTRGAFTPAQIVDFYKQNGPHVEKYPYFNALLSDICISASAAPTLLPPYYFKNDGVEFNMISGAFQKNKTRATVSEVLRHNKYSKIVVLSLGTGTPETQQIFNVEMAATWSNQTWLIPLIIFLDRATTSMNEYYHGSLFRKPTINYLRIQEYELDPALTDMINVAKENMEGLEEAGKELLEEKVKKINLDSFCVEEGVGTNAEALDRLADILYGERQHRLKQKSMEKGRRPFLRVPSDKKKIKKIKQFT >Vigun10g194200.1.v1.2 pep primary_assembly:ASM411807v1:10:40810756:40814747:1 gene:Vigun10g194200.v1.2 transcript:Vigun10g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSASKKTGQSYSTPTATDLYRSASSKASSKESERIDSLFYSFANGSSGMIDPEGIETLCAAMEVNHTDVRVLMLAWKMKAEEQGYFTLDEWRRGLKALRADTISKLRKALSDLEKEVRRPSNFADFYSYAFQYCLTEEKQKSIDIESICELLTLVLGSTFPPQVNSFVEYLKTQNDYKVINMDQWMGFFRFCNEISYPALDDYDPELAWPLILDNFVEWVREKEK >Vigun10g194200.2.v1.2 pep primary_assembly:ASM411807v1:10:40811319:40814747:1 gene:Vigun10g194200.v1.2 transcript:Vigun10g194200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSSSKASSKESERIDSLFYSFANGSSGMIDPEGIETLCAAMEVNHTDVRVLMLAWKMKAEEQGYFTLDEWRRGLKALRADTISKLRKALSDLEKEVRRPSNFADFYSYAFQYCLTEEKQKSIDIESICELLTLVLGSTFPPQVNSFVEYLKTQNDYKVINMDQWMGFFRFCNEISYPALDDYDPELAWPLILDNFVEWVREKEK >Vigun09g000300.1.v1.2 pep primary_assembly:ASM411807v1:9:48931:56641:1 gene:Vigun09g000300.v1.2 transcript:Vigun09g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSFRASTMEKQKSFRGFMEKQKSFRIVMEKQLSFMGSERKKSKESPGKRGDLPIHLAARAGNLSRVKEIIQNYSNCETKDLLAKQNLEGETPLYVASENGHALVVSEILKYLDLQTASIAARNGYDPFHIAAKQGHLEVLRELLQSFPNLAMTTDLSNSTALHTAASQGHIDVVNLLLESDSNLAKIARNNGKTVLHSAARMGHLEVVKALLNKDPSTGFRTDKKGQTALHMAVKGQNEEILLELVKPDPAVLRLEDNKGNTALHIATKKGRTQNVRCLLSMEGININSTNKAGETPLDVAEKFGSPELVSILRDAGAANSTDQGKPPNASKQLKQTVSDIKHDVQSQLQQTRQTGMRVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVENKTDGFSLGQANIANNAAFLIFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWMACLFISIAFISLTYVVVGSHSRWLAIYATVIGSLIMLSTIGSMCYCVILHRMEETKLRAESRSFSMSHASDQEILNSEYKRMYAL >Vigun11g013801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1670287:1671028:1 gene:Vigun11g013801.v1.2 transcript:Vigun11g013801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDLTDVYVSTGNDSNLEQLLIARYSRLDGKPSSGNDTSLGQFCKRSDLRDNICMFSGNDSNSGHSLISRCSRFDGKNPSGNDLNLGHSIINSKLRDGSKICMFPGNDSNAGHPMINRCFRFDAKLPSGNDSSLGH >Vigun11g013801.2.v1.2 pep primary_assembly:ASM411807v1:11:1670289:1671027:1 gene:Vigun11g013801.v1.2 transcript:Vigun11g013801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDLTDVYVSTGNDSNLEQLLIARYSRLDGKPSSGNDTSLGQFCKRSDLRDNICMFSGNDSNSGHSLISRCSRFDGKNPSGNDLNLGHSIINSKLRDGSKICMFPGNDSNLWHLTIFKHLR >Vigun03g076200.1.v1.2 pep primary_assembly:ASM411807v1:3:6330182:6335093:1 gene:Vigun03g076200.v1.2 transcript:Vigun03g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPKSRGATQKTDNAARIQFFSLLLALQYGAQPLISKRFVRREVIVTSSVLVCELAKVLCALFIMAKDGTLRKVYKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSMLNQTKIFFTAFFTYLILRQKQSIEQIGALFLLIVAAVLLSVGEGSSKGSSSSDADQILFYGIIPVLVASVLSGLASSLCQWASQVKKHSSYLMTIEMSIVGSVCLLASTFKSPDGEAMRQHGFFYGWTPLTLIPVMFNAFGGILVGLVTSHAGGVRKGFVIVSALLITALLQFVFDGKPPSLYCLVALPIVVSSISIYQKYPYVVKKKES >Vigun03g076200.2.v1.2 pep primary_assembly:ASM411807v1:3:6331494:6335093:1 gene:Vigun03g076200.v1.2 transcript:Vigun03g076200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGTLRKVYKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSMLNQTKIFFTAFFTYLILRQKQSIEQIGALFLLIVAAVLLSVGEGSSKGSSSSDADQILFYGIIPVLVASVLSGLASSLCQWASQVKKHSSYLMTIEMSIVGSVCLLASTFKSPDGEAMRQHGFFYGWTPLTLIPVMFNAFGGILVGLVTSHAGGVRKGFVIVSALLITALLQFVFDGKPPSLYCLVALPIVVSSISIYQKYPYVVKKKES >Vigun05g139900.5.v1.2 pep primary_assembly:ASM411807v1:5:17106339:17114812:-1 gene:Vigun05g139900.v1.2 transcript:Vigun05g139900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPLVGLRLSISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTFESSGTSTGRDELINSLRNIVLGSEQFRSRPCMTIDVCSERQVQLILEMLLDHSDDLLPLLIPTKSGSIHAIRIVLVNSKEEKESLQRELQNFEEEESKKIRGFREVIDSISASQKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSTLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELEDFTNVFHPRSPPIQDSSNAADVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSSHNIFSREFDVKLVDKSCAIVVFWQPGVSEQFLDIMNSEEISGDLKELVSEGLRVTSYETYDRICRLGLWEMDLRESLERALESSYCDKEGNCERKSSEIHWYNDNVINLDDL >Vigun05g139900.2.v1.2 pep primary_assembly:ASM411807v1:5:17106339:17114812:-1 gene:Vigun05g139900.v1.2 transcript:Vigun05g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPLVGLRLSISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTFESSGTSTGRDEELINSLRNIVLGSEQFRSRPCMTIDVCSERQVQLILEMLLDHSDDLLPLLIPTKSGSIHAIRIVLVNSKEEKESLQRELQNFEEEESKKIRGFREVIDSISASQKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSTLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELEDFTNVFHPRSPPIQDSSNAADVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSSHNIFSREFDVKLVDKSCAIVVFWQPGVSEQFLDIMNSEEISGDLKELVSEGLRVTSYETYDRICRLGLWEMDLRESLERALESSYCDKEGNCERKSSEIHWYNDNVINLDDL >Vigun05g139900.3.v1.2 pep primary_assembly:ASM411807v1:5:17106339:17112897:-1 gene:Vigun05g139900.v1.2 transcript:Vigun05g139900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPLVGLRLSISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTFESSGTSTGRDEELINSLRNIVLGSEQFRSRPCMTIDVCSERQVQLILEMLLDHSDDLLPLLIPTKSGSIHAIRIVLVNSKEEKESLQRELQNFEEEESKKIRGFREVIDSISASQKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSTLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELEDFTNVFHPRSPPIQDSSNAADVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSSHNIFSREFDVKLVDKSCAIVVFWQPGVSEQFLDIMNSEEISGDLKELVSEGLRVTSYETYDRICRLGLWEMDLRESLERALESSYCDKEGNCERKSSEIHWYNDNVINLDDL >Vigun05g139900.4.v1.2 pep primary_assembly:ASM411807v1:5:17106339:17114812:-1 gene:Vigun05g139900.v1.2 transcript:Vigun05g139900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQLRRRFFCTSSSKWRVKQVTTSNFEESLQELKTHISSSDYVAVSMAKTGSPSPPSWLRPLPFDTAQTAYSKARRAAHRFQLLHFAVCPFSVSSSDKLLAHPYNFVLFPRDELKMGMPSYSFSCQTSLLASMARRGFDFNACVYNGISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTFESSGTSTGRDELINSLRNIVLGSEQFRSRPCMTIDVCSERQVQLILEMLLDHSDDLLPLLIPTKSGSIHAIRIVLVNSKEEKESLQRELQNFEEEESKKIRGFREVIDSISASQKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSTLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELEDFTNVFHPRSPPIQDSSNAADVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSSHNIFSREFDVKLVDKSCAIVVFWQPGVSEQFLDIMNSEEISGDLKELVSEGLRVTSYETYDRICRLGLWEMDLRESLERALESSYCDKEGNCERKSSEIHWYNDNVINLDDL >Vigun05g139900.1.v1.2 pep primary_assembly:ASM411807v1:5:17106339:17114811:-1 gene:Vigun05g139900.v1.2 transcript:Vigun05g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQLRRRFFCTSSSKWRVKQVTTSNFEESLQELKTHISSSDYVAVSMAKTGSPSPPSWLRPLPFDTAQTAYSKARRAAHRFQLLHFAVCPFSVSSSDKLLAHPYNFVLFPRDELKMGMPSYSFSCQTSLLASMARRGFDFNACVYNGISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTFESSGTSTGRDEELINSLRNIVLGSEQFRSRPCMTIDVCSERQVQLILEMLLDHSDDLLPLLIPTKSGSIHAIRIVLVNSKEEKESLQRELQNFEEEESKKIRGFREVIDSISASQKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSTLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELEDFTNVFHPRSPPIQDSSNAADVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSSHNIFSREFDVKLVDKSCAIVVFWQPGVSEQFLDIMNSEEISGDLKELVSEGLRVTSYETYDRICRLGLWEMDLRESLERALESSYCDKEGNCERKSSEIHWYNDNVINLDDL >Vigun10g142000.1.v1.2 pep primary_assembly:ASM411807v1:10:35882692:35889829:1 gene:Vigun10g142000.v1.2 transcript:Vigun10g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRLLFVITFLCSFFLASTEPDSCTSNLSLNVSVPFDTTNLRCLSVWDAQSFILRYAQTYANIWSFILSTPDTNSYIAMGFSVSGGMVGSSAMVGWVESRGASGGIKQYYLGGVTPNQVVPDKGNLQVIANSAFITLQNSRLFMVFQLQTADPLSSLIFATGSTGLFPAPPSFALTKHLDKISTRIDYSKANIGSSQGDGNSSQVDGSTSQAADSCVSKLNLSVPLLFDTTNLNCLPVWNAQGYILRYSQTSPNIWSFILSAPNTNSYIAMGFSPNGGMVGSSAIVGWISSNGAGGGMKQYYLTGLAPNQVVPDRGNLKVLTNSTFITSQSSRLYMAFQLQTNQPLSRLIYAFGPNGVFPSPPSFALTHHQDKVSITLNYATGSSALGNSYMNLKRSHGVLNILGWGILIIMGAIVARYFREWDPFWFYFHASVQFLGFVLGVIGVISGFVLNNQLHVDVTLHKALGIIIFVLACFQVMALLGRPKKESKVRKYWNLYHHNMGRILIILAVANIFYGIQLGKEGNGWNIGYGIVLAILLTMALTFETQLCSKD >Vigun10g142000.2.v1.2 pep primary_assembly:ASM411807v1:10:35882692:35889812:1 gene:Vigun10g142000.v1.2 transcript:Vigun10g142000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVSGGMVGSSAMVGWVESRGASGGIKQYYLGGVTPNQVVPDKGNLQVIANSAFITLQNSRLFMVFQLQTADPLSSLIFATGSTGLFPAPPSFALTKHLDKISTRIDYSKANIGSSQGDGNSSQVDGSTSQAADSCVSKLNLSVPLLFDTTNLNCLPVWNAQGYILRYSQTSPNIWSFILSAPNTNSYIAMGFSPNGGMVGSSAIVGWISSNGAGGGMKQYYLTGLAPNQVVPDRGNLKVLTNSTFITSQSSRLYMAFQLQTNQPLSRLIYAFGPNGVFPSPPSFALTHHQDKVSITLNYATGSSALGNSYMNLKRSHGVLNILGWGILIIMGAIVARYFREWDPFWFYFHASVQFLGFVLGVIGVISGFVLNNQLHVDVTLHKALGIIIFVLACFQVMALLGRPKKESKVRKYWNLYHHNMGRILIILAVANIFYGIQLGKEGNGWNIGYGIVLAILLTMALTFETQLCSKD >Vigun03g362000.3.v1.2 pep primary_assembly:ASM411807v1:3:56547574:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g362000.5.v1.2 pep primary_assembly:ASM411807v1:3:56547678:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSGQDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g362000.2.v1.2 pep primary_assembly:ASM411807v1:3:56547574:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSGQDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g362000.4.v1.2 pep primary_assembly:ASM411807v1:3:56547574:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g362000.6.v1.2 pep primary_assembly:ASM411807v1:3:56547678:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun03g362000.1.v1.2 pep primary_assembly:ASM411807v1:3:56547574:56550418:-1 gene:Vigun03g362000.v1.2 transcript:Vigun03g362000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVNEDGVSRACGCPLLPLKSHIKGPAPVSGQDTVDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTSYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFPLPQSQDEAELFKNYLKQIREETSGRILSVAYRPNGTPNKWWLAFAKRKFMNIIIP >Vigun07g296400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40665351:40665665:-1 gene:Vigun07g296400.v1.2 transcript:Vigun07g296400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMNAIVVAVAMMVAMEVLLTGAATDAMDLCGVPSSDLMKCLPAVTPPSPSEPSKDCCSVINIVDLKCLCGFKSSPLLPALGIDPKLALELPAKCKIGKTIPC >Vigun05g079200.1.v1.2 pep primary_assembly:ASM411807v1:5:7401724:7415776:-1 gene:Vigun05g079200.v1.2 transcript:Vigun05g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLKQVLVPALEKIIKNASWRKHAKLAHECKSVIEILTSPPKPQSPASDDAAEPEASIPGPIHDGGPVEYSLAESESILRPLIAAAGSGVLKIADPAVDAVQRLIAHGFLRGEADSSGGAPEAKLLASLIEAVCKCHEFGDDAVELLVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLDSKNLVNQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMDPVEKSDADNSMTQSVQGFITKIVQDIDGVLNPVAPSSLGAHDGAFETTVAATVEAANPADLLDSTDKDMLDAKYWEISMYKTALEGRKEELVDGEVVERDDDLEIQIGNKLRRDAFLVFRALCKLSMKTPTKEASVDPQLMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLEKLCDDSQILVDIFINYDCDVNSTNIFERMVNGLLKTAQGVPPGATTTVLPPQEETLKLEAMKCLVAVLKSMGDWMNKQLRIPDPLSGKKVEAVDNDHEAGVPPTANGNGEEPADGSDTQSEISNETSEVSTIEQRRAYKLKLQEGISLFNRKPKKGIEFLINANKVGNSPEEIAAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGLEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIKNNRGIDDGKDVPEEYLRSLYERISRNEIKMKDVDLETQQIQAVNSNRLLGLDSILNIVIRKRGEDSNMETSNDLIRHMQEQFKEKARKTESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEFTIALCLEGFRYAIHVTSVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKVIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKTKQSKSTILPVLKKKGPGRMQYAAATVMRGSYDSTGIGSNTSGAVTSEQVNSLVSNLNMLEQVGSSEMSRIYTRSQKLNSEAIIDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSGNLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLSFEIMEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKEISLNAIAFLRFCATKLAAGDLGSSSRNSDKETYGKVSTPSPRTGKEGKQENGEVTEKDDHLYFWFPLLAGLSELSFDPRSEIRQSALKVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGNSSEVNESESDGQLDQDAWLYETCTLALQLVVDLFVNFYNTVNPLLRKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSNAGELFSDEKWLEVVFSLKEAANATLPNFQFLDSGDIITGNHEHASMAEDDMDPAESGSHDSLQSVRTQHLYAHISDAKCRAAVQLLLIQAVMEIYNMYRSQLSAKAILVLFEALHDVALHAHKINSNLILRSKLQEYGSMTQMQDPPLLRLENESYQICLTFLQNLVEDTPPNYEEVEVETLFVQLCQEVLKFYVEVAGSGTVSESSNGREVHWLVPLGSGKRRELSARAPLVVASLQGICNLGDTSLEKNLDHFFPLITSLISCEHGSTEIQVALSDMLSLSVGPLLLRTC >Vigun05g072001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6167713:6168108:-1 gene:Vigun05g072001.v1.2 transcript:Vigun05g072001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRVGGLRFRVSGFEIRVSDFGSRVLGFGCRVCGFRFGVSGWDFRLRVFGLGFRVTCFWFRVLGFGFRVSSFGFRVSSFGFWVSGLRFGILGFGFEVLCFGLGIPSFGLRLFRFEF >Vigun03g300000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48820182:48821258:1 gene:Vigun03g300000.v1.2 transcript:Vigun03g300000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEVSALNRIKLHLLGELSPLATPQNKQPSSQFNETNNSPSQSSSSDSCLSFDHYLTELLEPEIQLPLFEFDSKPQIIDLDTPKPLTSVHKKPQSNRKPSLQISLPKKTEWIQFGNPDPNPAVVLPENQPEKKHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFDTAIEAAKAYDRAAFRLRGSKAILNFPLEVSAVEETTDAEGERKRRRQEEEEVEEVKPVVKKEKTTEQEVNCFREMPLTPSMWTGFWDSDVKEIFNVPPLSPLSPLPAFGLSQLMVV >Vigun11g005700.1.v1.2 pep primary_assembly:ASM411807v1:11:609412:611378:-1 gene:Vigun11g005700.v1.2 transcript:Vigun11g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVEGNDTLSTLYEVSLRGSVSELETLIGRDPLLLHRISLTSFTETPLHISALLGHLDFINSLLTQKPQLALETDHCRRTPLHLASAEGHVEIVHVLLQKCEDACLMSDQDGRIPLHYAAMRGRTEVARQLISGAKSESVMVFDGSGKTVFHLCVEHNHLETLKTLVQVGNVREDFLNSGDFHHGNTILHLAVMFKQIESVRYLLSISKIKEEANIQNKMGYTALDMLDQVPKDMRSLQIKLMLVDAGFKNNENNQVHHPPSASIIDVPPSRTQNPNEKFWSNSLKRVNKLLQLKSGRLEEMRGMLSLVSTMISTVTFGVVMNPPGGDLSIHPLLRMQYEEMFTSFLTMNTISFIASLGVTLLLISGVPLKNEVTMGLLSVGTGVCLTFLVLTYIYAVPLNKNLHFGETFSVLFSWLGLVGAIVVFTIIRVISKLAKVL >Vigun03g143600.1.v1.2 pep primary_assembly:ASM411807v1:3:14520299:14523580:-1 gene:Vigun03g143600.v1.2 transcript:Vigun03g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKFLVDLNKPLVFQVGHLGEDYDEWVHEPIVSKEGPRFFGSDFLELFTRTAWWVIPTVWLPVASWFISNSVKSDLPSEQVAPFVLLGIFVWTLAEYTLHRFLFHVKTKSYWGNTFHYLLHGCHHKHPMDSYRLVFPPVAAALLALPIWNLVKLMCTPSIAPAVFGGILLGYVMYDCTHYYLHHGQPKSEVPKSLKKYHLNHHYRLQNYGFGITSPLWDKVFGTVPPPPSKSDVKRR >Vigun09g076800.1.v1.2 pep primary_assembly:ASM411807v1:9:8792680:8793983:1 gene:Vigun09g076800.v1.2 transcript:Vigun09g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMYSIHLDTQFDEEFLHNITRELLKDTRLSQTLTNVVIPTFDTKRQKPVIFSNYKVEKYPYFNALMSDISISASAAPTLLPPYYFRNDGVDFNLISDADLSMLSNDLVNGNPLYHECSPLKLSAIVVSLLGMTMALSESLSLPLESRCS >VigunL069500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:85376:86108:1 gene:VigunL069500.v1.2 transcript:VigunL069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun06g059100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18605589:18609571:-1 gene:Vigun06g059100.v1.2 transcript:Vigun06g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARKYVFPKLLEAVNMIRDLPKEVDDLTDALETFRYFINETEEVVEAEEDSNRRDRMSTRLMKMREATFRMEDVIDDYVICGENQPQEDPRCAALLCEAVEFIKTQISRLQIAHKIRDVKSLASAAKDGFETHFPSKKSPSNSERNQNGNWQKLRKDALHVKEDEVVGLDGPRTILTNWLTKGRNGRTVIFVVGIPGVGKTTLAKLVFDKVRNDFDCHASIRVSQSYNVEKLLRDMIHQLCKASKEDPRRNVSEMGQASLIEEVKDLLHKKRYVVLFDDLWNENFWNDIESALIDDNNKSRIIITTRHEEVAVFCKKSSFVEVHKLEKPLSEDESFRLFCRKAFMYGSSGGCPEELKDTSLEIVRKCKGLPLAIVAIGGVLAQKDENPHEWKLVNQNLSLEGNSELNIITKILGLSYHDLPINLKSCLLYFGMYPEDCEIESDRVIRQWVAEGFVRHKTGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHDMILRKAKDSGFCGYIGRNDECVSSGIVRRLIIIAKDDLIGSVESSLIQSIAINEGRSRKLTENFVLEFLGDYTLLLSEDLVWKILENYTSLKVLDFEGAPLPCVPENLGHLIHLRYLSFRGTGLEILPKSIGKLQNLETLDIRKTDVHYIPRDFYKLSKLRHFLADKVSKIEWRYIGGMTSLQTIPPVSRCYHADDIGEVAKLKQLRVLRVLVSDTNLVKSLCSAINEMQQLEELHIAVDYYISEVIDLNITSPLARLRKLFLDMKLKGLPDWIPQLQKLVKLSLWRTGLANDELQSLKDMPSLLVLHLWFALEGETLHFQCGGFQKLKKLHLNYLSNLNSILIDRGALHSLEHMRLKGLLKLKTVPDGIQHLGNLKLVELTSMPTEFKKKIVPIAEQQHCVVQYKTRPRLSATWKKHGK >Vigun05g267600.1.v1.2 pep primary_assembly:ASM411807v1:5:45966746:45970159:1 gene:Vigun05g267600.v1.2 transcript:Vigun05g267600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEEEEQKRVPLRERPEWSDVTPLPQNDGPSPVVPIQYTQDFAEVMDYFRAVYHADERSPRALALTAEVIQFNSGNYTVWHFRRLLLESLKVDLHSELEFVERMAAGNSKNYQMWHHRRWVAEKLGPEARKVELEFTKKILSNDAKHYHAWSHRQWALQALGGWEDELNYCSELLEEDIFNNSAWNQRYFVITRSPFLGGLKAMRESEVLYTIEAIISQPENESSWRYLRGLYKGEITSWVNDPQVSSLCLKILRTKSNYLFALGTVLDLICYGYQPNEDMRNAIEAVNAVDMDKHSLEDDGRGEHQNLNIARNICSILEHVDPIRTNYWIWRKSRLSLSA >VigunL013866.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:133897:143591:1 gene:VigunL013866.v1.2 transcript:VigunL013866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVQMLIGVGLLLFPTATKNIRRIWAFPSILLLTIVMIFSLDLSIHQIKNSSFFQYFGDNYMSHDQGYLRFFAYLTLFNISMLGLVTRMCSYLLIGFWFTRPIAANGCQKAFVTNRVGDFGLLLGILGLYWIMVAKSSQFPLHVWLPDATEGPTPISALIHAATMVVVGIFLMAHLFPLFIVLPKIMNAIAFICIITVILEATLAIAQKDIKKILAYSTMSQLGYMMLALGMRSYRGALFHLITHAYSKALLFSGSRSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPIIKTFFYSFYSISLWGKKQRYLHRVNQNIKNIKHLVFGIIHFGTKKTASFFTLFVGAVGISFNQDGIHLDILPNFFLKNVVDHFQNIIYNWSYNHVKLNSFFDKKRIDGITNGIDILIFLVILFLFETNKY >Vigun07g018600.2.v1.2 pep primary_assembly:ASM411807v1:7:1539951:1542808:-1 gene:Vigun07g018600.v1.2 transcript:Vigun07g018600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSCLSLDKLRANWTPSQDQYFLELLLFHVHKGNKTGKAFRRQAWVEMIEQFNTKFGFKYDVEVLKNRHKRFRKQYNEIKMIVGQKGFQWDGTQNMITADDKTWDACIKLHPDAEPFKTRVIPNYNDLCIVFGHAVADGRYSLSCFDVDYEYEEKELEKTITDKGVDNQTPTAVISQGKLDWSPMMDLFFVELMVDQLRKGNKIDRTFRRQTWVDMTESFNKRFGCHCGKVVLRNRFSVLRRHHCSINVLLGKEGFSWDKTHHKVVADDQAWQKCIRVNHSFRLYKIRSMPFYSGMCIICRNQEVSAGFKSNIQKGSSGGNNSVPITLPLCNADEEALHIGGENNFNADKEAIHIGGEKKSSREINADNETLHVGKNVSAQLKRHHQPNMPATLNESKKARGHVAVAVTSLTKTKNDDFSVDNVLSVLQAIPDLDDDLILDACDFLEDERRARLFLALAASLRKKWLLRKLRSQ >Vigun07g018600.1.v1.2 pep primary_assembly:ASM411807v1:7:1539951:1542808:-1 gene:Vigun07g018600.v1.2 transcript:Vigun07g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSCLSLDKLRANWTPSQDQYFLELLLFHVHKGNKTGKAFRRQAWVEMIEQFNTKFGFKYDVEVLKNRHKRFRKQYNEIKMIVGQKGFQWDGTQNMITADDKTWDACIKLHPDAEPFKTRVIPNYNDLCIVFGHAVADGRYSLSCFDVDYEYEVFFSEKELEKTITDKGVDNQTPTAVISQGKLDWSPMMDLFFVELMVDQLRKGNKIDRTFRRQTWVDMTESFNKRFGCHCGKVVLRNRFSVLRRHHCSINVLLGKEGFSWDKTHHKVVADDQAWQKCIRVNHSFRLYKIRSMPFYSGMCIICRNQEVSAGFKSNIQKGSSGGNNSVPITLPLCNADEEALHIGGENNFNADKEAIHIGGEKKSSREINADNETLHVGKNVSAQLKRHHQPNMPATLNESKKARGHVAVAVTSLTKTKNDDFSVDNVLSVLQAIPDLDDDLILDACDFLEDERRARLFLALAASLRKKWLLRKLRSQ >Vigun07g296800.2.v1.2 pep primary_assembly:ASM411807v1:7:40708959:40718981:-1 gene:Vigun07g296800.v1.2 transcript:Vigun07g296800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVYASTMRPCFCVCAHPSTPTSSYKTHLRFVLPSLLPLRPLRLRLPPPISALSSPQTQTRDQEQEQGNGNPSSVSMDRKVRLQVRLDHQVQFGDHVVVRGSAKELGSWNKNVPLNWTENGWVCNLEFRGTDHIEFKFVTVKKDSTLVWEGGENRVLKLPVAGNFTTVATWDATQQNLELQPCDDQPQLQEEVGNAYDAATSSVSEKAEPSPFVGQWQGKPVSFMRSNEHRSHETHMKWDTSGLHGVPLKLVQADQNARNWWRKLDIVRDIIAEGLQGEDRLEALLYSAIYLKWINTGQITCFEDGGHHRPNRHAEISRLIFRELERHTTRKDISPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKLQIKHTIQNKLHRNAGPEDLVATEAMLAKITKNPGEYSEPFVKEFKIFHLELKDFFNAGSLAEQLESIQESMDEYGISALNSFLECKKNMDTAAESTAATEDVIKLLFKTMESLNVLRETIVKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRFLNELEVVGGASWLAANVQSKNVNMWNDPLGALIIGIHQLKLSGWKPEECGAIENELIAWSKRGLNEREGNEDGKTIWTLRLKATLDRSKRLTDEYTEELLKIFPQKVEILGKALGIPENSVRTYTEAEIRAGVIFQVSKLSTLLLKAVRSTLGSQGWDVLVPGAALGKLVQVERIVPGSLPSSVEEPIILVVNKADGDEEVTAAGRNIVGVILQQELPHLSHLGVRARQEKVVFVTCEDEENVADIQRLIGSYVRLEASTAGVNLKLSSLVGTDDNSSIRSSSDDNVSGVEVPSFSSSRIANSDQIKSASSGGVILLPDAEIQTSGAKAAACGRLSSLSEVSDKVIREFLLRFEFLLGQFYHLVRWNWS >Vigun07g296800.1.v1.2 pep primary_assembly:ASM411807v1:7:40708959:40718981:-1 gene:Vigun07g296800.v1.2 transcript:Vigun07g296800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVYASTMRPCFCVCAHPSTPTSSYKTHLRFVLPSLLPLRPLRLRLPPPISALSSPQTQTRDQEQEQGNGNPSSVSMDRKVRLQVRLDHQVQFGDHVVVRGSAKELGSWNKNVPLNWTENGWVCNLEFRGTDHIEFKFVTVKKDSTLVWEGGENRVLKLPVAGNFTTVATWDATQQNLELQPCDDQPQLQEEVGNAYDAATSSVSEKAEPSPFVGQWQGKPVSFMRSNEHRSHETHMKWDTSGLHGVPLKLVQADQNARNWWRKLDIVRDIIAEGLQGEDRLEALLYSAIYLKWINTGQITCFEDGGHHRPNRHAEISRLIFRELERHTTRKDISPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKLQIKHTIQNKLHRNAGPEDLVATEAMLAKITKNPGEYSEPFVKEFKIFHLELKDFFNAGSLAEQLESIQESMDEYGISALNSFLECKKNMDTAAESTAATEDVIKLLFKTMESLNVLRETIVKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRFLNELEVVGGASWLAANVQSKNVNMWNDPLGALIIGIHQLKLSGWKPEECGAIENELIAWSKRGLNEREGNEDGKTIWTLRLKATLDRSKRLTDEYTEELLKIFPQKVEILGKALGIPENSVRTYTEAEIRAGVIFQVSKLSTLLLKAVRSTLGSQGWDVLVPGAALGKLVQVERIVPGSLPSSVEEPIILVVNKADGDEEVTAAGRNIVGVILQQELPHLSHLGVRARQEKVVFVTCEDEENVADIQRLIGSYVRLEASTAGVNLKLSSLVGTDDNSSIRSSSDDNVSGVEVPSFSSSRIANSDQIKSASSGGVILLPDAEIQTSGAKAAACGRLSSLSEVSDKVYSDQGVPASFRVPSGAVLPFGSMELELEKSNSTEAFRSILEKIETAKLEGGELDDLCHQLQELISSLKLRKEMIESIGKIFPSNAHLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFANAVSKVWASLYTRRAVLSRRAAGVPQKEASMAVLIQEMLSPDLSFVLHTVSPTKQDNNLVEAEIASGLGETLASGTRGTPWRLSSGKFDGQVQTLAFANLSEELLVRGAGPADGEVIRLIVDYSKKPLTVDSAFRRQLGQRLCAVGFFLERKFGCPQDVEGCLVGKDIFIVQTRPQPL >Vigun03g092600.1.v1.2 pep primary_assembly:ASM411807v1:3:7814446:7817607:-1 gene:Vigun03g092600.v1.2 transcript:Vigun03g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARKRKEKENKTNPSTNNPQGNGGLKSIGEEGSDSGEGNFPAYNGHDDQQNPFKDGSGELESVAQPHASDVESLKVVSSEVKIDQVFGEKEDCVVLVDKSLSFEHNETAKESYFENENENGSDTSQGESLSEKNSNNGNCISVEEAIVCHVSVKSNDYVSKVENSDSGSVVLDKPVVHPEEGNNLAIKLNEDNVCSLTNENVRTLSMEEPKTKECDSETLTPVSASPFTKFANGAKHFKDSELAERSKTQPHAAALAPNMVQKTSWLSCCGLFEVLSSSNR >Vigun02g046900.4.v1.2 pep primary_assembly:ASM411807v1:2:18583042:18583689:1 gene:Vigun02g046900.v1.2 transcript:Vigun02g046900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDATAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMRRIEAENRAAMQAAEDLHRKQVAEQREGEKEEEIEIKDDPMANAEAEVLRQ >Vigun02g046900.1.v1.2 pep primary_assembly:ASM411807v1:2:18582981:18585639:1 gene:Vigun02g046900.v1.2 transcript:Vigun02g046900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDATAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMRRIEAENRAAMQAAEDLHRKQVAEQREGEKEEEIEIKDDPMANAEAEVLRQ >Vigun02g046900.3.v1.2 pep primary_assembly:ASM411807v1:2:18582981:18585639:1 gene:Vigun02g046900.v1.2 transcript:Vigun02g046900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDATAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMRRIEAENRAAMQAAEDLHRKQVAEQREGEKEEEIEIKDDPMANAEAEVLRQ >Vigun03g338900.1.v1.2 pep primary_assembly:ASM411807v1:3:53691166:53693283:1 gene:Vigun03g338900.v1.2 transcript:Vigun03g338900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIDTKSIEPVRNAVSLFGDKGDQKKYQAAKSKSDCGNEFEELTKELANCKFQLEAKHAAHMQALLKLEQSQKMIHELSTLLKKSDIERNKNTSECSECRSRKGELESKMKEMVDQSLEAAKIRDQFAHVLSELKATQRELLNKETELVAARDSEMNSLTKAKQLEAALEAEKEQKEELLQQVKELDEVIHSSKVAAIESEKEMLALLCEKDEQIDFATKATAEVQQQLEDMRKHAEMLQNQPMGVPTVVDSLLLEHMLADEKLVLSEDSARKAIDQLNIEMEQKDRKMMDQSVYIHTLEMELSELKQEVTVAKEEINALSITNESLTSELHEAKAELNMNKGSDIETQVEIALLKSKLQEHRVVYKNGKITEDSTSNDSKEEAEERNGEKKNEHVTEKSLFGFSELALMKKELENASVKISELRARAEQALSRAEFAENAKTALEEKIRRHREHRQRRRLALTALREESTPKPFSPSSSYGTPGSYQPLGKVLNMKL >Vigun06g113700.1.v1.2 pep primary_assembly:ASM411807v1:6:24202022:24204612:1 gene:Vigun06g113700.v1.2 transcript:Vigun06g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRAKSLLFLVCVLVLLKGIRGAWKEETGSLILGCGLDEGGAEDADGRRWSSDKKFLATKGDSITSKASFQDPSLTSEIPYMSARIFKSETTYKFPVEPDKRYWLRLHFYPSLYASFDTNEAYFSVDANGVTLLTNFSASVTCEALSLAYIDREYSLSPLSSDTLTLTFRPSDKYSGTFAFVNGIQLIQMPDLFESAPMIGFDDQTMDMTTMNLQTMFRLNVGGTTGVTNKAEKNVTINYQSMAEYIAPVDVYSTYRSMGGDKNLNMGYNLTWMFHVDTNAMYLVRLHFCDFYFSKINEIAFKIFVNNQTAQAQADVIGWTGGIGVPTYKDYVIYVEGGKSNELLWLALHPDPETKPEFYDAILNGVEIFKINETDLSAPNPLISDLLLKQHQEEEAGFFVHKTSHHTVIGGAAGGAAGFAFMAVLCVAVYNKKKKEPGSEGQTGWLPIYLSSNGSKISKSGKSACSSTLSAMSQGLCRYFSLQEITQATQNFDESNVIGVGGFGKVYKGVIDNGVKVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEHNEMCLVYDYMALGTMREHLYKGNKPMATLSWKQRLEICIGAAKGLHYLHTGAKYTIIHRDVKTTNILLDENWNAKVSDFGLSKTGPNMNTGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPVLNPNLSKEQVSLAEWALLCKKKGTLEDIIDPSLKGNINPESLKKFADTAEKCLSDHGAARPSMNDLLWNLEFALNLQENVNGEGGATNSAPAEKSEFEDIILAEKERARLYKNLSLESDIDINNDLTDNHQDFSQLVSPKGR >Vigun05g193400.1.v1.2 pep primary_assembly:ASM411807v1:5:37512883:37519764:-1 gene:Vigun05g193400.v1.2 transcript:Vigun05g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMCCKPSAIEDSKESPRERLSSKAVSDLRVSRGTSSRREEVFRVKDRYDNNEGRTALIDKQGNGSVRVQGDSIERKREKMEYAVAPHPAIGSVPKAMEGEQVAAGWPSWLAAVAGEAIRGWLPRRADSFEKLDKIGQGTYSNVYRARDLEQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTEAQVKCYMQQLLRGLDHCHSCGVLHRDIKGSNLLIDNNGILKIADFGLASFFDPNQAQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVSETFKDFPTPAIDLIETLLSIDPADRGTSASALISEFFSTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGGAGVKGQRHDLERKGTRESRAIPAPDANAELVLSMQKRQGQGNSQSRSEKFNPHPEEVASGFPIDPPRPSQAAGVSVDPPVHQHKRASHSGPLTHRAAWAKAGKNQEDAPKISMGGDLSTISGLVAARRSMLSDDRRERSGSSQADTQKLISRFPGSFKEASESMMQQDQKNNHAHAPQKEEGRASSNKDSSLVGYGSKGHKIHYSGPLLVPSSNMDQMLKDHDRQIQEAVRRSRLDKAKMRRLQAEGNQISNSLFVSGR >Vigun10g044900.1.v1.2 pep primary_assembly:ASM411807v1:10:6562280:6567627:1 gene:Vigun10g044900.v1.2 transcript:Vigun10g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSQPALVVVIAITIILLYNTCRKQKGGHKTKGREPPEPSGALPLIGHLHLLGAQTPLARTFAALADKYGPIFGIRLGAYPALVICNQEAIKECFTTLDKVLASRPKSSHGVLLGYNFAGFGFAPYGAYWIKIRKLIMLELLSARRLEFLRHVYESEIDTLVKDLGMYVGGKTDVKVTISEWMERLSFNIVTKMIAGKRYFSYLEDVDDVEAHGIVKLIKEFMHISGEFVPSDLIPVLGWFGVQGKVLKSMKRIAKDLDNLVGGWVEEHKKIDSLNNKSLEKHDFIDVMLSAIQDDPLSHHDRDTIIKANVANLMLAGSDTTSTAMTWILTMLVKNPEVLQRAQEEIEDEVGRERKVEATDIKNLIYLQAIVKETLRLYPPGPLLVPHEATQDCYIQGYHVPKGTRVFANVWKLHRDPSVWSEAEKFSPERFVNENGEVVDEGHQHFEYLPFGSGRRACPGSTFATQVTLITIARLLQAFRLEVPVDEPVDLEEGLGITLPKINPLQILLSSRLPNQFYNSDKL >Vigun01g100100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26711517:26716248:-1 gene:Vigun01g100100.v1.2 transcript:Vigun01g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHFGQDAAPASGSFDKTRVLNVKPLRTLVPVFPSPNPASSSAPQGGAPFVCVSPTGPFPSGVAPFYPFFISPESQRLSEQNAQTHTGQRVPATPAAPISTAVPINSFRTPSGATNGDVGSSRRSTRSRGQVAQEDGYGNAVVEEVEADATTVTKRSKRKLQKIKGPQSVGSGDVDPDAVAADILKSLNPLVFDVLNQPEGSRESVAYTLMVYEVMRRKLGQIEDSNRAANAGAKRPDLKAGAIMMNKGIRTNSKKHIGPVSGVEIGDIFYFRFELCLVGLHSPSMAGIDYIGTKTSQEEEPLAVSIVSSGGYEDNVEDGDVLIYSGQGGVNRDKGASDQKLERGNLALDNSAHRGNEVRVIRGLKDMYHPTGKVYVYDGLYKIQDSWVDKAKSGFNVFKYKLGRLPGQPPAYMIWKSIQQWTEKSVSRAGVILPDLTSGAEKVPVCLVNDVDTEKGPAYFTYLPNLKNLRPTAPLESTIGCSCVGGCQPNNPNCPCVQKNGGYLPYSSASLIADLKSVIYECGPSCQCPSNCRNRVSQGGLKFRLEVYKTKNKGWALRSWDAIRAGSFICEYAGEVIDSARVEEELGGDNLDDYIFDSTRIYQQLEVFSGDTEAPKIPSPLYISAINEGNVARFMNHSCSPNVLWRPVIHENKNFSDLHIAFYAIRHIPPMMELTYDYGTVLPLKAGHRKKKCLCESVKCRGYFC >Vigun03g111300.2.v1.2 pep primary_assembly:ASM411807v1:3:10055383:10062178:1 gene:Vigun03g111300.v1.2 transcript:Vigun03g111300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPPQKHRILMVSDFYYPNFGGVENHVYYLSQCLLKLGHKVVVVTHAYGNRSGVRYMTGGLKVYYVPWRPFFNQSTFPTLYGLLPIIRTILIRERITVVHGHQTFSTLCHDALLNSRIMGYRVVFTDHSLHGFGDVGSIHMNKVMQFTLADVSQAICVSHTSKENTVLRSGLAPEKVFVIPNAVDTAMFKPALERPSGSEIVIVVVSRLVYRKGADLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLFDRVDLLGAVPHTQVRSVLISGHIFLTCSLTEAFCMAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPGDIVQAIQTAISMLPKIDPQVMHNRMRQLYNWHDVAKRTEIVYDRASKCPNQSLLECLSRYLSCGAWAGKLFCLVMILSFLLWHLLELCLQMILRRCQMLYFQRTVMKRCYRNPNY >Vigun03g111300.1.v1.2 pep primary_assembly:ASM411807v1:3:10055383:10062178:1 gene:Vigun03g111300.v1.2 transcript:Vigun03g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPPQKHRILMVSDFYYPNFGGVENHVYYLSQCLLKLGHKVVVVTHAYGNRSGVRYMTGGLKVYYVPWRPFFNQSTFPTLYGLLPIIRTILIRERITVVHGHQTFSTLCHDALLNSRIMGYRVVFTDHSLHGFGDVGSIHMNKVMQFTLADVSQAICVSHTSKENTVLRSGLAPEKVFVIPNAVDTAMFKPALERPSGSEIVIVVVSRLVYRKGADLLVEVIPEVCRLHPNVRFIIGGDGPKRVRLEEMREKHSLFDRVDLLGAVPHTQVRSVLISGHIFLTCSLTEAFCMAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPGDIVQAIQTAISMLPKIDPQVMHNRMRQLYNWHDVAKRTEIVYDRASKCPNQSLLECLSRYLSCGAWAGKLFCLVMILSFLLWHLLELWQPADDIEEVPDVILSENCDEEMLQKS >Vigun10g144700.1.v1.2 pep primary_assembly:ASM411807v1:10:36187284:36191152:-1 gene:Vigun10g144700.v1.2 transcript:Vigun10g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGDSRSALEAQYIRRHHKHEPRENQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMQGDLGIGSVREVNVKSGLPATTSTERLEQLDDEEHILGIRIVGGDHRLRNYSSIITVHPEVIEGRPGTMVIESFVVDVPDGNTKDETCYFVEALIRCNLSSLADVSERMAVQGRTDPINH >Vigun03g198000.1.v1.2 pep primary_assembly:ASM411807v1:3:28281141:28282841:-1 gene:Vigun03g198000.v1.2 transcript:Vigun03g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQLSSCHSLLLLLACTLFQQLYASNTKIGVNYGTMADNLPPPSMVATFLKSKTTIDRIKIFDANPDILRGFAGTGISVTVTVPNDAITSLSSLPGAQAWLSQNILPFLPKTVVTRICVGNEVVESNNKTLITNILPAMKSLHEALSLANQTTIQVSTPHSLGILDSSDTPSATVFRRGHDKAIIAPMLDFHRRTKSPFMVNPYPFAGITPTEPETVNYALFKPNNGIRDEATWLHYTNMFDTQMDAIFSAMKKLGYGDVELVVAETGWPSIGEPHEEGVSFENAASYNGNLIKHINSGKGTPLMPGRTFETFIFSLFNENLKPTVSERNYGLFYPDLTPVYDVGVFIAEEASVPRSGFGPISGPTSGPTSEPSPISGPAPQGNFP >Vigun10g124100.2.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232236:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.4.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.8.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232236:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.1.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDNDSGRMDGINLEKKSKCSEVVDSDILSPKDASSHKDIVEVQEIIDGVGDKDTDFTFNKMVLDDIEHIMEIDDMCTQVNGFDKEHKLMNEFELVMKGTEDLICDSHLMPTNTKFDGKHNDGPEVGLMDSHVDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.3.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232236:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDNDSGRMDGINLEKKSKCSEVVDSDILSPKDASSHKDIVEVQEIIDGVGDKDTDFTFNKMVLDDIEHIMEIDDMCTQVNGFDKEHKLMNEFELVMKGTEDLICDSHLMPTNTKFDGKHNDGPEVGLMDSHVDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.6.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDNDSGRMDGINLEKKSKCSEVVDSDILSPKDASSHKDIVEVQEIIDGVGDKDTDFTFNKMVLDDIEHIMEIDDMCTQVNGFDKEHKLMNEFELVMKGTEDLICDSHLMPTNTKFDGKHNDGPEVGLMDSHVDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.5.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.7.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232236:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPYRRSHLRSNTYHALVHIISHFAEYNSSHETPTQPFNIPDNDSGRMDGINLEKKSKCSEVVDSDILSPKDASSHKDIVEVQEIIDGVGDKDTDFTFNKMVLDDIEHIMEIDDMCTQVNGFDKEHKLMNEFELVMKGTEDLICDSHLMPTNTKFDGKHNDGPEVGLMDSHVDMEEGEISGDLGKDDNSCNVPSADGLILPQKQVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.9.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232236:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTSSLTLLSTTLHMKLQLNPSTFPVDDLQEPVDVTRNMMYPSMIMNQEKEKGCEPTSSVVNSLQDRNDSGQVEPITGGKKGIACRVEVATSEKAVECKKEDKMKLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.10.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTSSLTLLSTTLHMKLQLNPSTFPLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.11.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33232054:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTSSLTLLSTTLHMKLQLNPSTFPLVNASKRKERGGKKEKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun10g124100.12.v1.2 pep primary_assembly:ASM411807v1:10:33226807:33230167:-1 gene:Vigun10g124100.v1.2 transcript:Vigun10g124100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNASKRKERGGKKEKKKKAYRMKRAKMNRELGVKSMQLQKFVQKPKVVSHCRHYIMGRCYEGDKCQFSHDVVPRTKSKPCGHFAHNSCMKGDDCPFDHELSKYPCNNFVSQGFCRRGESCLFSHQVSKEDIPTPSNMRRPVLSTLQSGNANSNTPVSNNHGSGSMQQNPLTHSSGTHSRVNVEHKVTNTTQKQPTPPKGISFINLAKLTPIPSAPKQGTVTTKESPLQTGTHEDQSAFNKTQNKVEIAKKLPSVTPKGVNFLSFGKGSVSGSKTHIRPNVSTENGFNLPRLLNFGLPEQINKDVHYKPSDRTKQSVSLSDIFLNEILRKNQSVSEGIKSKFPDKSSATPSPFVSFQSSEHLKSDYHKHASNSSQKALLSTLAFAAERESVSEGVKSKFPDKSSATPSPFVSVQSSEHLKSDYHKHASNSSQKALLSTLAFAAEHESDIKMKFPSVDSSA >Vigun09g037900.2.v1.2 pep primary_assembly:ASM411807v1:9:3382188:3385766:1 gene:Vigun09g037900.v1.2 transcript:Vigun09g037900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGNRNQKTFRPKKSAPSGSKGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNILFGTLTEFCTANNCPTMSAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDETIFPQRLGAPFPSNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKAELAPLEDLVESIIQL >Vigun09g037900.1.v1.2 pep primary_assembly:ASM411807v1:9:3382188:3385766:1 gene:Vigun09g037900.v1.2 transcript:Vigun09g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGNRNQKTFRPKKSAPSGSKGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNILFGTLTEFCTANNCPTMSAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDETIFPQRLGAPFPSNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKAELAPLEDLVESIIQL >Vigun06g238600.8.v1.2 pep primary_assembly:ASM411807v1:6:34314658:34317552:-1 gene:Vigun06g238600.v1.2 transcript:Vigun06g238600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNGERSKAWNIYPSSNPGPSSQRGVDEEGPWKSLGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTPQSMMPRYQDHPKQPNAQPVPPCYANSDFSVVMPGHQYPTYIAQPAPLPCQREGAYWPSHEHHFVFN >Vigun06g238600.6.v1.2 pep primary_assembly:ASM411807v1:6:34314731:34317279:-1 gene:Vigun06g238600.v1.2 transcript:Vigun06g238600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNGERSKAWNIYPSSNPGPSSQRGVDEEGPWKSLGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTPQSMMPRYQDHPKQPNAQPVPPCYANSDFSVVMPGHQYPTYIAQPAPLPCQREGAYWPSHEHHFVFN >Vigun06g238600.9.v1.2 pep primary_assembly:ASM411807v1:6:34314941:34315470:-1 gene:Vigun06g238600.v1.2 transcript:Vigun06g238600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNGERSKAWNIYPSSNPGPSSQRGVDEEGPWKSLGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTPQSMMPRYQDHPKQPNAQPVPPCYANSDFSVVMPGHQYPTYIAQPAPLPCQREGAYWPSHEHHFVFN >Vigun06g238600.7.v1.2 pep primary_assembly:ASM411807v1:6:34314442:34317552:-1 gene:Vigun06g238600.v1.2 transcript:Vigun06g238600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNGERSKAWNIYPSSNPGPSSQRGVDEEGPWKSLGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTPQSMMPRYQDHPKQPNAQPVPPCYANSDFSVVMPGHQYPTYIAQPAPLPCQREGAYWPSHEHHFVFN >Vigun03g056900.1.v1.2 pep primary_assembly:ASM411807v1:3:4620685:4621087:-1 gene:Vigun03g056900.v1.2 transcript:Vigun03g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTILGFMLLLVLIFTSDVSVKRTEATLCTVPSKTFKYLCFSDTQCDSVCKTEGFDSGKCEGVLHRCMCTKNC >Vigun11g059100.1.v1.2 pep primary_assembly:ASM411807v1:11:12750495:12772332:1 gene:Vigun11g059100.v1.2 transcript:Vigun11g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFTVELEGKSYGCKSCKTHLALTDDLISRAFYCQRGKAYLFNNVHVMRKAYTTKNTRRNMKDQFGNRIDVNFTIGVLEERMMLSGLHTVADIFCCCCGQIVGWKYESAHERSQKYKEGKFVLERGRIVDEIDFTAEFHIESCVSMSDAEDA >Vigun09g026200.1.v1.2 pep primary_assembly:ASM411807v1:9:2052870:2060576:1 gene:Vigun09g026200.v1.2 transcript:Vigun09g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKGSITLEEIRNETVDLERIPIEEVFEQLKCTREGLSSTEGENRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGEGKPPDWQDFVGIVCLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLMVDQAALTGESLPVTKHPGQEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDKDHVILLAARAARTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQIMSLCNLRDDAKKKVHAIIDKFAERGLRSLAVARQEVPEKSKESAGAPWQFVGLLSLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWAMRETTFFPDKFGVRDIHDSPDEMTAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVSAFVIAQLIATLLAVYANWGFARIKGIGWGWAGVIWLYSIVFYVPLDFMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun10g034400.2.v1.2 pep primary_assembly:ASM411807v1:10:4613489:4615522:-1 gene:Vigun10g034400.v1.2 transcript:Vigun10g034400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFIKSEPHFIYDAFINFWGEDIGRKFVSHLHSAFLQAQVKTFISQESLPKELELEEHMRAIGGTKIAIIVFSKTYTESACCLLELEKIIECLETFGQIVLPVFYENEPLYVRDEKNDFGKAMEETAHKSYSGEQREQVLSRWRRALNKAAGITGLNVREFRHDAQLVAVTVRRVQSLLGYQDLSVIPFPVELEPHVEKVIGCIENISTKVFMIGICGKEGSGKTAIAKAIYNRIYRLFIAKNFFDYIKGVWDPVDKRYVDLKEFVNDVLKDKLEFERTVMERVIMDYNEHSRRKLLIVFDDVTVFGQLKNLYRNRTRFGQGTLIIITTRDVRILKRLKVDYVYKMNVMN >Vigun05g272700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46362198:46363376:1 gene:Vigun05g272700.v1.2 transcript:Vigun05g272700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEFRSKSCRDERVQRESNCGGKVAPTTMQDLRCHSANNASSATTAKEIKVKKVKRVASKPSKSWSFNDPELQRKKRVAGYKIYAAEGKMKGSLRKSFRWIKHTYTQALYGWW >Vigun11g010900.2.v1.2 pep primary_assembly:ASM411807v1:11:1345230:1349196:-1 gene:Vigun11g010900.v1.2 transcript:Vigun11g010900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVQDEFDVFKVSRAILEAVTESTDDSRDLEMVHKRLKEELTQKKFLLVLDDLWNENQHNWEEVQKPLMFGVQGSRILVTTRSKEVASTMQSEVYSLKQLQEDDCWNLFSKCAFRDDDTQPNPECREIGMKIVKRCKGLPLALKTMGSLLYNKSSVSEWDTVFQSEIWEFSQERYDIVPALALSYIHLPSHLKVCFAYCAMFPKDYEFKKEHLMHLWMTENLLHCPSENVCQQYFNDLLSRSFFQQSGEKEDVYVIHDLLNDLAKYVGGGIYFRWEVGQNEKIQKVTRHYSVELGHNRYFDGFGFGTSCNTERLRTFMLTELPDSVSNLEHLRLLDLSHTILSQLSEKICSLSHLQILKLNYCIYLEELPSNLYLLTNLCRLELIENNLEKLPPGLGKLKNLTVVMESFNVGDGWREFGIQQLGELNLDGHLSIKELQNIENSVDASKACLRNKRLLMKLELRWRGNRRFIDSKNEEEVIKNLQPSENLKELAILEYGGKQLPNWLHSLPYLVSLELNGCGYCERLPPLGLLPFLEDLSIDGLDGIVSIDADFYGSNSCSFKSLQSLVFSHMRQWKKWECKAGAFPRLQYLTITSCPKLKGELPKQLIPLKTLTIRDCQQLEASAPRALDLKLYDCGKLHFDWATMKGLILEASLLEIVWSDTVEYLCIIFKSMSDDCVAIRIFPLDSLPRLRKLYLGGFPNLQMISQDHVQNHLVGMTIEKCPKFESLPANIHMMLPSLNDLNIEDCPKLESFPEGGLPSNLEHLTIKRCLKLESLSGNMHMLSLKNLWIEDCPKLESFIKGGLPSNLRFMKLNNCSTLLVDSLKGAFRDNPSLESLTIEKVDAKYFPAEGLLPLSLTELIMRDCPNLEKLDYKGLYQLSSLQSLRLDNCPKLQCLPEEGLPTSISFLYIARCPVLIQRCQKERGEDREKLSHIKHLYIMW >Vigun11g010900.1.v1.2 pep primary_assembly:ASM411807v1:11:1345230:1349196:-1 gene:Vigun11g010900.v1.2 transcript:Vigun11g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELVGGALLSVFLDVAFKKLASSQILDFFRARKLDEKLLNNLKTKLNSIHSLADDAERKQFTNSYVRNWLVEVKDVVLDAEDLSDDIQMLSKRQVDADSESQTSACCTFKVLNFFKSSPITSYNKEIESRMQEVLDKLDTLLSQRGNLGLKTGRAIGSGLGNELPQKSQTTSLVVGTDIYGRDDDKKQIVDWLISDNNNPNLPSILCIVGMGGLDEFDVFKVSRAILEAVTESTDDSRDLEMVHKRLKEELTQKKFLLVLDDLWNENQHNWEEVQKPLMFGVQGSRILVTTRSKEVASTMQSEVYSLKQLQEDDCWNLFSKCAFRDDDTQPNPECREIGMKIVKRCKGLPLALKTMGSLLYNKSSVSEWDTVFQSEIWEFSQERYDIVPALALSYIHLPSHLKVCFAYCAMFPKDYEFKKEHLMHLWMTENLLHCPSENVCQQYFNDLLSRSFFQQSGEKEDVYVIHDLLNDLAKYVGGGIYFRWEVGQNEKIQKVTRHYSVELGHNRYFDGFGFGTSCNTERLRTFMLTELPDSVSNLEHLRLLDLSHTILSQLSEKICSLSHLQILKLNYCIYLEELPSNLYLLTNLCRLELIENNLEKLPPGLGKLKNLTVVMESFNVGDGWREFGIQQLGELNLDGHLSIKELQNIENSVDASKACLRNKRLLMKLELRWRGNRRFIDSKNEEEVIKNLQPSENLKELAILEYGGKQLPNWLHSLPYLVSLELNGCGYCERLPPLGLLPFLEDLSIDGLDGIVSIDADFYGSNSCSFKSLQSLVFSHMRQWKKWECKAGAFPRLQYLTITSCPKLKGELPKQLIPLKTLTIRDCQQLEASAPRALDLKLYDCGKLHFDWATMKGLILEASLLEIVWSDTVEYLCIIFKSMSDDCVAIRIFPLDSLPRLRKLYLGGFPNLQMISQDHVQNHLVGMTIEKCPKFESLPANIHMMLPSLNDLNIEDCPKLESFPEGGLPSNLEHLTIKRCLKLESLSGNMHMLSLKNLWIEDCPKLESFIKGGLPSNLRFMKLNNCSTLLVDSLKGAFRDNPSLESLTIEKVDAKYFPAEGLLPLSLTELIMRDCPNLEKLDYKGLYQLSSLQSLRLDNCPKLQCLPEEGLPTSISFLYIARCPVLIQRCQKERGEDREKLSHIKHLYIMW >Vigun06g178900.1.v1.2 pep primary_assembly:ASM411807v1:6:29882343:29884851:1 gene:Vigun06g178900.v1.2 transcript:Vigun06g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCNLELALFPPSDSGPLHHHPARVQETTEISPLHHHHRQEHQQQPLTIFYDGKICVADVTELQAKSILMLANGKLEERARTGNGSEASSPTVLQSPNQLYSPGTGLSMRKSLQRFLIKRKNRVQEASPYHH >Vigun09g148200.3.v1.2 pep primary_assembly:ASM411807v1:9:31152596:31157367:-1 gene:Vigun09g148200.v1.2 transcript:Vigun09g148200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITTSATQPVSQEASNYDEVFMQQSLLFDDSLKDLKNLRAQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVVEVSVAELRVSCIEQRIKTCQEYMDHEGRTQQSLVISTPKYHKRYILPVGETMHGANYTKSKYEGYNLDDEDDWPHFRNAVRATIRETPPSTARRGRSPSPSLQPQRSGAFSFTSSSTPKKDLERRSVSPYRFPLLRTGSRSSRPTTPKISRPTTPNPSRPTTPNPSNARQRYPSEPRKSSSMRLYAERDPAKDVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >Vigun09g148200.2.v1.2 pep primary_assembly:ASM411807v1:9:31152566:31157384:-1 gene:Vigun09g148200.v1.2 transcript:Vigun09g148200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITTSATQPVSQEASNYDEVFMQQSLLFDDSLKDLKNLRAQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVVEVSVAELRVSCIEQRIKTCQEYMDHEGRTQQSLVISTPKYHKRYILPVGETMHGANYTKSKYEGYNLDDEDDWPHFRNAVRATIRETPPSTARRGRSPSPSLQPQRSGAFSFTSSSTPKKDLERRSVSPYRFPLLRTGSRSSRPTTPKISRPTTPNPSRPTTPNPSNARQRYPSEPRKSSSMRLYAERDPAKDVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >Vigun09g148200.1.v1.2 pep primary_assembly:ASM411807v1:9:31152566:31157367:-1 gene:Vigun09g148200.v1.2 transcript:Vigun09g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITTSATQPVSQEASNYDEVFMQQSLLFDDSLKDLKNLRAQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVVEVSVAELRVSCIEQRIKTCQEYMDHEGRTQQSLVISTPKYHKRYILPVGETMHGANYTKSKYEGYNLDDEDDWPHFRNAVRATIRETPPSTARRGRSPSPSLQPQRSGAFSFTSSSTPKKDLERRSVSPYRFPLLRTGSRSSRPTTPKISRPTTPNPSRPTTPNPSNARQRYPSEPRKSSSMRLYAERDPAKDVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >Vigun09g213600.1.v1.2 pep primary_assembly:ASM411807v1:9:38771452:38772785:1 gene:Vigun09g213600.v1.2 transcript:Vigun09g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFPYNPNASQSSSSKLLSATLTAKLHRCYMASLQITRPSSLPEPQRVASPNAITSTSNETKTMLPQKRAQEEQEVEENWVLKKVKVERTQQFKPLEEDHIEQMIEELLHYGTIELCSVIPHHRLF >Vigun08g035200.2.v1.2 pep primary_assembly:ASM411807v1:8:3396739:3401475:1 gene:Vigun08g035200.v1.2 transcript:Vigun08g035200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNDDEKVAGPMFPRLHVNDAEKGGPRAPPRNKMALYEQLSVPSQRFNPRLLPLKPNSSSNLVAPPTSSTQGSGHERSYGYPVRLPSQTQAHRTESYVSRQSDGSRSNTSSVQLERRKRADEDDVHAYICSRIGHSNDKAMKSFNGKKFTPLGNFCSVSVQNDGDRDSAQFGSLPVDTRKHVRSGNETHPHVSSSRQQQKMSVKNKSSGEVIDSLVMQAKVIPNQEDQDCSVPSISRLHQDVACLQQECVAGTQSNDVEHRNGVLNSTRDMDNGNALVPKSCFHSAVNQTCPVEVTNDVDVEYHDVGTEGILGQKHFWKARRKIANQQRVFAVQVFELHRLIKVQQLIASSPDVLLEDAAFLGKFPLEGSTPKTISLQVVVDPQQQTPKRKNNSEKQNLKTECSAENAVEKKTSFSSPKNGSHLTNHTPYSGLPHQADVASDNRTSPWSFNQSPQHQWLIPIMSPSEGLVYKPYPGPAFTGAMPAGFGQAPLGATFMNPAFQFPASHQVVGVSPFVSPANHTYFSPYGMPVANQAASGSAVEHVNQLAGQGSHGQNGHSSVEGANFNTHHNQSSSNLPVQRNGAISHVKKLHVSKERQLQGSTASTPGETPQGIRAGNIAEGSDAHSLSLHSVETRHQTQVIKVVPHNGKSATESAARIFQSIQQERKQHDLV >Vigun08g035200.1.v1.2 pep primary_assembly:ASM411807v1:8:3396739:3401475:1 gene:Vigun08g035200.v1.2 transcript:Vigun08g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNDDEKVAGPMFPRLHVNDAEKGGPRAPPRNKMALYEQLSVPSQRFNPRLLPLKPNSSSNLVAPPTSSTQGSGHERSYGYPVRLPSQTQAHRTESYVSRQSDGSRSNTSSVQLERRKRADEDDVHAYICSRIGHSNDKAMKSFNGKKFTPLGNFCSVSVQNDGDRDSAQFGSLPVDTRKHVRSGNETHPHVSSSRQQQKMSVKNKSSGEVIDSLVMQAKVIPNQEDQDCSVPSISRLHQDVACLQQECVAGTQSNDVEHRNGVLNSTRDMDNGNALVPKSCFHSAVNQTCPVEVTNDVDVEYHDVGTEGPIQKGNFDESGDVSKISTVTNLSSLIVSPDDVVGILGQKHFWKARRKIANQQRVFAVQVFELHRLIKVQQLIASSPDVLLEDAAFLGKFPLEGSTPKTISLQVVVDPQQQTPKRKNNSEKQNLKTECSAENAVEKKTSFSSPKNGSHLTNHTPYSGLPHQADVASDNRTSPWSFNQSPQHQWLIPIMSPSEGLVYKPYPGPAFTGAMPAGFGQAPLGATFMNPAFQFPASHQVVGVSPFVSPANHTYFSPYGMPVANQAASGSAVEHVNQLAGQGSHGQNGHSSVEGANFNTHHNQSSSNLPVQRNGAISHVKKLHVSKERQLQGSTASTPGETPQGIRAGNIAEGSDAHSLSLHSVETRHQTQVIKVVPHNGKSATESAARIFQSIQQERKQHDLV >Vigun03g355600.1.v1.2 pep primary_assembly:ASM411807v1:3:55700323:55702531:1 gene:Vigun03g355600.v1.2 transcript:Vigun03g355600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLCFAPICSLISPNKPGTIIGTSVTRKAFRVKEACQSSRAPSFQSLKVKATEDDTKKTKSRSIVCSDCDGNGAISCTQCKGAGVNSVDHFNGQFKVGGLCWLCRGKKDILCGSCNGAGFIGGFMSTFDD >Vigun11g032050.1.v1.2 pep primary_assembly:ASM411807v1:11:4214238:4216941:1 gene:Vigun11g032050.v1.2 transcript:Vigun11g032050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAHDKMNSSGNLPKQFDELVDRSLLFKVESRNDQNFKLEQSLRVKKICVDNDIIEKFNDSSLKSVDVYAGNGEFSREKMRIVNESTVNISEDLLVRFTKETIECGSQSPELIQDNPTNDDANSSHKRESGNKTASLESIEEDTVPLEILKRNIKKEKSVI >Vigun04g194100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41829656:41834839:-1 gene:Vigun04g194100.v1.2 transcript:Vigun04g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDESDSMAPGSSPVQESVSVVRGSEQAKEVGEVVGGLRSEEGCGGVAVNGGGFSSIENQGLGDEGVVVNVVNNSNVLEAKVSVVSGNDCQVLTDSEVNGMSPWLGMQESDMSTVFSGDGTEKLDYDYASEKMDYMFASEMEETAVLSLDGSVGDGGEHGSEGGKSGEEGKYEACDADNVTLASESRVAEVPVLSGDSVVEAGAEHTIERKEGEDCDGNIGVAAAVMSVQSLLGVDGDDRREEDKSEEGGKDEDCDGNDVITTSESGPVEAAILIVDRSVGVGKEDVREEDIGGEEDGDGNVVTVTSDSRVAEAAVSGVDAFVGLGKEDRREKGISEEEGGDQDRDGNVVTISSESRVAEAAVLSVDSFVGIGGQERREENIREEEGKDEDIVAVASESKAAEADALRVDNLINVGGEDTSGEERDGNILTLASQSEVAKAADLSGDSVVAIGVNDKTVEECEDGGKKAECGGNIVNITSENGVEDAAVLSVDGSKVVGVGSEEVEKDKDCSENIVTVEVPIAETSENMDVDVEELSDEGYGYAVGDFVWGQAESQLWWPGRIYDPSDASDAALKLKQKNRLLVAYFGHGTFAWCHPSQLKRFEDSFDDMVKQSSCIDFTNAVQEAASEVGRLLSMKLSRLVVDKKTGSESESESKSTLLQGKNSGIKEGVVVPETGIDRLLYSQFEPAELLSHVNRIARIIDSGSSIMELEILKARLSAYYLSKGHRLPDFMDTQLVHGVEDSLMDETVAVENIKSTVEAPTQGPFDELGHSPGLSGNISNHVRKQKSIAEIMREDKDVMVEAIGSNGGKKRKGGEDGVSSKPVQKKKELLLLDTDEDVSSAEHSAKENSGSIGSWMQSKEKKEVSDEGKSEERSRKSGLSRERKKSKYLSPPFTAPIRGQREESIEAESITVSRKVKASQTSAVAAVLQYPPVYMGRFFDSSSYQTEEDDGKMVIDPKKIQGHVEEVLFQVRNAAISPQIRREGTSLDQFVDFTFAFRSSLYSEGSLRNVYEKNEPGRKRKKPESEESLKQKSGTKKKRKESGSGKKDAAGENAEGAVLVVSFCPGTSTPSRSELISVYSKFGALNEAETDMFCNDYTARVCFLRTFDAENAFNHSKSNNPFGSSDVTFQLEYYSEGSKSEQDGERSKNTPLLAATPPSVGEASRLIFIQKKLQGLTLILEASSGDKSPDLMAKLQSEVKALLEDVNQMVEASLF >Vigun04g194100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41829656:41834839:-1 gene:Vigun04g194100.v1.2 transcript:Vigun04g194100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDESDSMAPGSSPVQESVSVVRGSEQAKEVGEVVGGLRSEEGCGGVAVNGGGFSSIENQGLGDEGVVVNVVNNSNVLEAKVSVVSGNDCQVLTDSEVNGMSPWLGMQESDMSTVFSGDGTEKLDYDYASEKMDYMFASEMEETAVLSLDGSVGDGGEHGSEGGKSGEEGKYEACDADNVTLASESRVAEVPVLSGDSVVEAGAEHTIERKEGEDCDGNIGVAAAVMSVQSLLGVDGDDRREEDKSEEGGKDEDCDGNDVITTSESGPVEAAILIVDRSVGVGKEDVREEDIGGEEDGDGNVVTVTSDSRVAEAAVSGVDAFVGLGKEDRREKGISEEEGGDQDRDGNVVTISSESRVAEAAVLSVDSFVGIGGQERREENIREEEGKDEDIVAVASESKAAEADALRVDNLINVGGEDTSGEERDGNILTLASQSEVAKAADLSGDSVVAIGVNDKTVEECEDGGKKAECGGNIVNITSENGVEDAAVLSVDGSKVVGVGSEEVEKDKDCSENIVTVEVPIAETSENMDVDVEELSDEGYGYAVGDFVWGQAESQLWWPGRIYDPSDASDAALKLKQKNRLLVAYFGHGTFAWCHPSQLKRFEDSFDDMVKQSSCIDFTNAVQEAASEVGRLLSMKLSRLVVDKKTGSESESESKSTLLQGKNSGIKEGVVVPETGIDRLLYSQFEPAELLSHVNRIARIIDSGSSIMELEILKARLSAYYLSKGHRLPDFMDTQLVHGVEDSLMDETVAVENIKSTVEAPTQGPFDELGHSPGLSGNISNHVRKQKSIAEIMREDKDVMVEAIGSNGGKKRKGGEDGVSSKPVQKKKELLLLDTDEDVSSAEHSAKENSGSIGSWMQSKEKKEVSDEGKSEERSRKSGLSRERKKSKYLSPPFTAPIRGQREESIEAESITVSRKVKASQTSAVAAVLQYPPVYMGRFFDSSSYQTEEDDGKMVIDPKKIQGHVEEVLFQVRNAAISPQIRREGTSLDQFVDFTFAFRSSLYSEGSLRNVYEKNEPGRKRKKPESEESLKQKSGTKKKRKESGSGKKDAAGENAEGAVLVVSFCPGTSTPSRSELISVYSKFGALNEAETDMFCNDYTARVCFLRTFDAENAFNHSKSNNPFGSSDVTFQLEYYSEGSKSEQDGERSKNTPLLAATPPSVGEASRLIFIQKKLQGLTLILEASSGDKSPDLMAKLQSEVKALLEDVNQMVEASLF >Vigun05g252400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44707466:44708196:1 gene:Vigun05g252400.v1.2 transcript:Vigun05g252400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYAKQRGTYMVPPKRGRVMIMVVKSIAAALSCSGRKSKERRQESGGAAPLSSASTTPPVPSGYSSEA >Vigun06g108850.1.v1.2 pep primary_assembly:ASM411807v1:6:23780573:23787162:-1 gene:Vigun06g108850.v1.2 transcript:Vigun06g108850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIMILIPIPFILSSLFPCFQTQILPSSPFANQGQWQLLHPTIGISPMHMQLLHNDKVIMFDRTDFGHSYLPLSNGRCRVDPSDIALKLDCSAHSVLYDVVTNTLRPLMIQTDTWCSSGSVLPNGTLIQTGGYNDGDRTIRMFTPCFDQTCDWVEFPRSLSQRRWYATNQILPDARVVVVGGRRQFNYEFLPNNNIPSSIPLNFLQRTTDESENNLYPFVHLLPDGNLFIFANTKSVLFDYKQNSVIKEFPPIPGEDPRNYPSSGSSVLLPLDENLAPLQAEVVVCGGAPRGSFESAVRGAFMQALATCGRLRLSDSNPNWVMEKMPMPRAMGDMLLLPDGNVVIINGVGAGTAGWEHSREPVFTPVIFRPSETVNRFSIMAPAARPRLYHSSAVLLKDGRVLVGGSNPHVFYNFTGVEYPTDLSLEAFSPPYLAPEFNPVRPTIRYVTNNNVLGFRVFCYVTFTVADFGSASDVSIRIVAPSFTTHSFGQNQRMVVLKLRGVTYVGGEAYYATVVGPSTAEIAPPGYYMLFVVHKGVPSSGTEAYNGAVAFLQLESSFRPQFSKYPKSKRGIGPGSLKTGAKQWNRPRSSKTRSQKVVGRFEFREPFFFPAHAPLQLFLLSLSAATTATTPSGHRRSTTSGHCNHHANEPPVLHIHFASLSRALSLSLLRCTHCHHTLQPPSTTSVNILNPHTPKVQGLSIKCARVGDVEIPNNKRIEYSLQYIHGVGRSRARQILCDISMDNKLTKELTQEEIITLKDEVSRYMIEGDLRRFNAIDIKRLKDSQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKVAIAGVGKLGIWHRF >Vigun05g059700.1.v1.2 pep primary_assembly:ASM411807v1:5:5115643:5117303:-1 gene:Vigun05g059700.v1.2 transcript:Vigun05g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLFLHMNGGEGERSYANNSSLQRKIMLKAKVMLEETVTRLYSHSFPKCMKVADLGCSVGPNTLLVMSNIIDIVHATCIRLNREPPTFQLYLNDLFGNDFNTIFKSLPDFYTKLVQDKGHKSGSCFINATPGSFYGRLFPRNSINLFHSANSVHWLSQDPFLGLSKEALNKGNCHIVSTSPPSVYTTFLKQFQKDFELFLKSRSEELVPGGAMVLVLLVKTETPRRSIWEAISLTLSDMLLEGLIEEEKLESFNIPTYEPTIEEIRNVIREEGSFFIQELEIAIVPWDEGRNEDGDDDFVDENIRAEFIGRHTRAVMEPLLSVNFGAKVMDELFFRFQKKVVQLMEEERLEYANLVISLTKNCL >Vigun06g022300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10495246:10495878:1 gene:Vigun06g022300.v1.2 transcript:Vigun06g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQHYYYNVVRLNNQHTTVAAGDTFNFDIKVIYHTVHRIPHGLRNRFSPLSISCLEFFEEGQNFLWSLLSSLSFSIESIAEISERVVSTVQELFEDRSIVSSLPSDSQNRIIPLRVQIIIVDHAGIDEVLEQSRQFPGMNQSNETILKTFLKKRTVTEGSEECCICLEGLNMNCESCTMPCQHAFHEHCILKWLKTNRVCPLCRYSLEN >Vigun02g151900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29869440:29871904:1 gene:Vigun02g151900.v1.2 transcript:Vigun02g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLFGVVRFQHRVQGDQDQSLNNSTTTSSSRSSRQHNNNYPYPQEDEECFNFFMDEEDLSSSSSKHYYPYQPHPHSTTPTTTTTDLSFSPTRDAAFPFQLSAKWANDILLETARAVSDKNTTRLQQLMWMLNELASPYGDTDQKLASYFLQAFFSRITQAGDRTYRTLASASERTCSFESTRKTVLKFQELSPWTTFGHVASNGAILEALEGEPKLHIVDISNTYCTQWPTLFEALATRNDDTPHLRLTSLVTAASAHKVMKEIGARMEKFARLMGVPFQFNVVHHLGQLSDFDFSALDIKEDEALAINCVNTLHSVSAIGNHREAVISSLRRLQPRIVTVVEEEADLDVGLEGLEFVKGFEECLRWFRVYFEALEESFPKTSNERLMLERAAGRAMVDLVACSAADSVERRERAGRWTRRMHGVGFNTVAFSEEVCDDVRALLRRYREGWSMAQCSDAGIFLSWKDQPVVWASAWRALT >Vigun07g134500.1.v1.2 pep primary_assembly:ASM411807v1:7:24453794:24455943:-1 gene:Vigun07g134500.v1.2 transcript:Vigun07g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLDYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEENMIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRSIDPNTHKPLSEVENDKDMPPSTDKTNHKASLVDQPPKPLPSSERYPLEVSTSSTQELFLDRFAATSHDNSSCRPSDVVVGPYFSFHHFNYGNPNPSLSFIPPPTSSDLNNPTIPSSMLHSIFPTQVKLQSNHNPSISPDAVQNWESDNTNKSNAGMQFQSSTNFLDNTWGVAESTKVNVNKDAQVPLQTEQEDLKWSEYLNTPFILGNTPENQIQTSQSIYSEVKPETGFITDESCTGWHHPPPAFQLSDIYSKNLQRFSVTFGQTL >Vigun02g111100.1.v1.2 pep primary_assembly:ASM411807v1:2:26488148:26495076:1 gene:Vigun02g111100.v1.2 transcript:Vigun02g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAKEHEEAAQGESSVVEENKVLVDTKDRVQPEAASTPAPVEEASNLVENGSNHVESGSNPAEIKKEEPSAEHKNVEPEVVSEGIPQEDVKNTFHSVPVESHIQVLDTIECEEASKAKGEAEEFPTSDKKVENCDDIRQNSIDNVGMAVEVKPEGCGVVDSKNCDNGDPMPASHNEPPISQPAPADTNTEFVNVLEVENKADEKQATDPADNGNSNSKHFCFLDADHSYDGNESGTEEEQSAFMKELENFFRERSMEFKHPKFYGEGLNCLKLWRAVTRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKIKGGELNVPISSLPEPVNIENQASASGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRNSMSVQKREKQLKSINLHKRKKPSSPYMDNAVKAARSKPSKPQLDTTVIDIGPPADWVKVNVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPNNPWGVTPFRKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFELSE >Vigun03g004600.2.v1.2 pep primary_assembly:ASM411807v1:3:310248:314452:-1 gene:Vigun03g004600.v1.2 transcript:Vigun03g004600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIDSHFLGFTALVTIGYQFLFFVITALLKFDKVTDFAGSTNFIIIAVLTLVIKGSWYFRQIILTSFVVIWGIRLGLFLLFRILQWGEDRRFDEMRSNLGRLAIFWIFQAVWVWTVSLPVTLVNASDRNPFLHVVDIIGWILWAVGFIVEGTADQQKLNFKRSSENRGRWCNVGVWKYSRHPNYFGEILLWWGVFLASTPVLEGAEWLVIVGPIFLTLLLLFVSGIPLLEDSADKKFGNVDGYRIYKKEQAL >Vigun03g004600.1.v1.2 pep primary_assembly:ASM411807v1:3:310248:314452:-1 gene:Vigun03g004600.v1.2 transcript:Vigun03g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIDSHFLGFTALVTIGYQFLFFVITALLKFDKVTDFAGSTNFIIIAVLTLVIKGSWYFRQIILTSFVVIWGIRLGLFLLFRILQWGEDRRFDEMRSNLGRLAIFWIFQAVWVWTVSLPVTLVNASDRNPFLHVVDIIGWILWAVGFIVEGTADQQKLNFKRSSENRGRWCNVGVWKYSRHPNYFGEILLWWGVFLASTPVLEGAEWLVIVGPIFLTLLLLFVSGIPLLEDSADKKFGNVDGYRIYKKEQAL >Vigun09g095200.1.v1.2 pep primary_assembly:ASM411807v1:9:14067586:14069610:-1 gene:Vigun09g095200.v1.2 transcript:Vigun09g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMHTNLPHRNPSNPIEAMALQVQPLSSLLKELANQLNTSYKAMLLFFLSLLFVLTNQRRRRNKSNLPPSPPKLPIIGNLHQLGTLPHRSFQALSRKYGPIMFLKLGQTPTLVVSSADVAKEVYKTHDLAFSNKPQTTAVKIIMYGCMDVAFSPYGEEWRQKRKICVLELLSTKRVQSFQSIREEEVAAMLGVVREACRAGKTSSSVNLTQILIETSTNIVSRCVLGRKYDNPDGGISFGELGRKMMKHLATFSVGDFFPLLGWIDVLSGQIPEFKATFRALDSFFDQLIAERKTIMNMESYQPDRNKDFVDSLLQIQDGPGKYDFQLTHDDVKAILMDIFAGGSDTTSTLLEWVFAALLNNPATMKRAQEEVRRVVGDKLKVEENDLNELNYLKCVVKETLRLYPPAPLLIPRETLSDVKVKGFDIPSKTRVFVNAWAIQRDPEIWNRPEEFLPERFEEEPEVDFRGNDLQFIPFGSGRRGCIGISFALASTEYMLANLLYWFDWKIPHENGKPVQDVDMTEIWGLTVIKKLPLHLQPQLHSFGAYN >Vigun09g160400.1.v1.2 pep primary_assembly:ASM411807v1:9:32790154:32792937:1 gene:Vigun09g160400.v1.2 transcript:Vigun09g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRCTASAAGQTGNSDIESDEFTTQCLSCEEEYHMIDSGTCRECYQEANEAEEELRLEIDDLKSKVSFLTLSSPILNPSTTDIVLVPVDDSDATPIPAHKHVLVSRSPVFNAMLENDMEERRSGTIKISDVSHDTLRAFVNYLYTAEAPLDNQMACNLLVLGEKYQVKHLKTYCEKYLIAKMNWNRAIANYAFAYQYNCKQLRSASLAVILDKMDLLTQNEYYAELVDTNPRLVVEIYETYIGKQLNTAGTF >Vigun05g171933.1.v1.2 pep primary_assembly:ASM411807v1:5:30762103:30763459:1 gene:Vigun05g171933.v1.2 transcript:Vigun05g171933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKFIGALNEKLTVAQKEYIASTPFWWFAMLKQSLKISRNVLSQLCSKWVERMGGFDVGGEVVDFSLLDICLGLGLRVVGEKIDLNEEVVESETWNTFGRQRVDVKFIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPNEPSRFEFYVVGCAYLLELWSFDHLVVCQSTFKCKMNLFPRLLYWMNVSVGDKVMKTAFDYDMAIVDMAVSKEELDHAIVREAFEHFGTEYKTQDLKDKEELERLVQHHEVEIADLEQSMSALDELVANWKGQQPKDEVRDEVGDDVFIDPRASVMSVEKDDGVQQSNMYDRMKARPRMRFKSVATKTPYSVYGKKKLKSLQIG >Vigun08g172500.1.v1.2 pep primary_assembly:ASM411807v1:8:34338502:34342394:1 gene:Vigun08g172500.v1.2 transcript:Vigun08g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCVKEIHDYLKTLCPDLHITRGEYDEETKYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSTSHSAH >Vigun03g298300.1.v1.2 pep primary_assembly:ASM411807v1:3:48599039:48599779:1 gene:Vigun03g298300.v1.2 transcript:Vigun03g298300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVQVKCKITEVCDVNGAFLVATDQGYGDRTDFIMSPQAFSRLGRNQNASAELKKYGTVEIEYRRVPCTFIGNVLFHIKESSSNPGYLAVAILNVNGKYDVNAVEMWQKGQQRWEPLRRVYGAVFDFANPPRGSILLRFQVGVNWMIPNIPIPANWRPGATYDTKLQLY >Vigun03g246100.1.v1.2 pep primary_assembly:ASM411807v1:3:40936521:40952946:1 gene:Vigun03g246100.v1.2 transcript:Vigun03g246100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVDSQSDPQSNSLSPTLSPNAPDCDPFLPPIECLPSPPSSDAVKMPLPPHAESLRRSTRQHSEPTVVEDANAGFRKRKLPVEKEGGPLRRSPRFSASSGSGSRHLVKWHGSRGPELPLNVQNFHGKFYDGKHLRQCQGLSPSSEAYTLELRRSPRFSSSNGNENMEINVLQRKTTSKAVHQKKKNTKAVSTSIELSSSTVYQHSEVKIMSRSSTCGIDDTKYLTRSSEAITSPYQNGRTTSNSFPLSELDDDPPRKKYRTSTSSTKEGLDSESIAAFIGDPIPEDEALKRWGWRYELKDKKCKGQQLKINEGEEDEIIANVKCHYAQAEVGNCIFSLGDCAFIKGEGEEKHVGKIIEFFQTTEGQNYFRVQWFYRIQDTVVQDEGGFHDKRRVFYSSIMNDNLIDCIIGKANVTQITPRVGLKLASISPSDLYYDMEYCVDYSTFRNIPSDASAVTESLPSSELCKNELSLLDLYSGCGGMSTGLCLGAKTASVNLVTRWAVDSDKSASESLKLNHPDTHVRNESAEDFLELLKEWEKLCKRYNSTVEERKLPCRSKYSGEKKQEVHDNSDDEFEVSKLVDICFGDPKETGNRGLYFKVHWKGCSTSEDTWEPIKSLSKCKESIQDFVRKGMKSKLLPLPGEVDVICGGPPCQGISGYNRFRNFESPLDDERNRQIVIFMDMVKFLKPRHVLMENVVDILRFDKGSLGRYALSRLVNMKYQARLGIIAAGCYGLPQFRLRIFLWGSHPSEVLPQFPLPTHDVIVRYWPPPEFERNVVAYDEDQPRELEKAIVIQDAISDLPAVMNTETREQMPYKSSPETEFQRYIRSTKYEMTGSKSNETTEKRPLLYDHRPHTLSEDDYERVCQIPKRKGANFRDLPGVIVGADNVVRRHPTENPMLPSGKPLIPDYCFTFEQGKSKRPFARLWWDENLPTALTFPSCHNQVVLHPEQDRVLTVREYARLQGFPDYYRFHGTVKGRYRQIGNAVAIPVSRALGYTLGLACRKLSGNEALVALPPKFSHSHYLQLSNNQPANTDNTH >Vigun01g184500.1.v1.2 pep primary_assembly:ASM411807v1:1:36492057:36498113:1 gene:Vigun01g184500.v1.2 transcript:Vigun01g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSMLSEFSHDVDDQISQLMQCKPLSEQQVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Vigun03g073500.1.v1.2 pep primary_assembly:ASM411807v1:3:6060892:6062826:1 gene:Vigun03g073500.v1.2 transcript:Vigun03g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSYSCNDHAPEIVFFDLETTVPKRVGDRFWVLEFGAIVVTPHKLNEVESYTTLIKPKDLSVVALKSTRTDGITRKAVENAPSFEDVADRIFGILNGRVWAGHNIQRFDCLRIKEAFEYINRPPPLPVGIIDSLRLLTQKFGRRAGNMKMASLASYFGMGQQKHRSLDDVRMNLEVVKHCATVLFLESSLPNMFESKWYESPSIMTRSRSNGKSPCKEETSRKSPPSTLGNRRTVPYARGSLGKVTERVKGLLCKAQGQPPLQQLLKHSHSLLR >Vigun10g064600.2.v1.2 pep primary_assembly:ASM411807v1:10:14073731:14074334:1 gene:Vigun10g064600.v1.2 transcript:Vigun10g064600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHLKLEEANMMKKIELLEAAKRKFLGEVVGSCSVEELLRVEQQLERSLSNGGIKATIVEEVAN >Vigun07g013900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1257816:1258646:-1 gene:Vigun07g013900.v1.2 transcript:Vigun07g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDHEKDSSNFSSGKSMDHPPPQPTPAYPTHAPPPMYYPNPHGYPPPYPNAYPPGYHYPAPAPYYGPPPTYERRHSSSCCARCCRSFITCCLLMLTLFFLMSLLLALALHPQLPDYKVVSFSVTNFTTQPTLGGQWDTKIAIENPNDKLVAYFSDFKIDMSYKEGVVAVDRAPALALHTKSQAEVVIRGLLHQGNGNLLDPNLMNDLVKERSTDSVTFSLRMSSMLILKSGTLTTKSEELIAVCHGLKVKFQNNGATYGELENSAKPVPCMLYI >Vigun04g159100.1.v1.2 pep primary_assembly:ASM411807v1:4:38111396:38113617:-1 gene:Vigun04g159100.v1.2 transcript:Vigun04g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIHENSTRLFLLLATFIILFLLRTVIKFLSKWNKNSITTMAKKSSPPSPPKLPIIGNLHQLVTLTHRTLQSLAQTYGPLMLLHFGNVPVLVVSTAEAAREVLKTQDLVFSNRPRRKMFDILLYGSKDVGAAPYGNYWRQIKSISVLHLLSTKKVQSFHAVREEEISMMMEKIRQCCSSLMPVNLTDLFSTLTSEIVSRAALGRRYSGEGGSKLREAMDEMQVLLGAPVLGDYIPCFHVLGRVNGLYGRAERVAKIIDEFFEEVVEEHVSKKEHDDVNGEDYHNDFVDILLWIQRTNAVGYPIDRTTIKALILDMFSAGTETISVALGWAMTELLKHPIVMQKVQGEVRSVGGDRRNVTEKDLCNMHYLKAVVKETLRLHPPVPLLLPRESMQDTKVMGYDIATGTQIIVNAWAISRDPSFWNQPQEFQPERFLNSSIDIKGHDFEVIPFGAGRRGCPGIVFALVVNELALANLVHQFNWEVPGGVAGDQTLDMAETAGLSSRRKFPLVALASPQT >Vigun11g186900.1.v1.2 pep primary_assembly:ASM411807v1:11:38722561:38723784:1 gene:Vigun11g186900.v1.2 transcript:Vigun11g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHISELFDCSHTSSRLKKKRKQFQTVEVKVKMDCEGCERKVKKSVEGMKGVTQVEVDRKASKVTVSGYVEPSKVVSRISHRTGKRAELWPYVPYDVVAHPYAPGVYDKKAPSGYVRNADVDPRLTNLARASSTEVRYTTAFSDDNPAACVVM >Vigun11g088100.3.v1.2 pep primary_assembly:ASM411807v1:11:26340362:26344512:1 gene:Vigun11g088100.v1.2 transcript:Vigun11g088100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNLMEEKQLDFDQPLLSVRRISSTVASENENKRKPDKAVPKRPPLPFYKSDLKSGPVSNPGTVPFVWEKTPGKPKNESRLQTQAPERASVAPKLPPGRVLKADQQDFDKVPKVTLVTQSSTERSVSDSEHAASLDNQVTKHESPKEVTEKASSCSDNEDETYLDALDTLSRTESFFMSCSVSGLSEWDDHEVQPSGSFPTDQEARDFMIDRFLPAAKAMASETPSLQHHSRKPLAALEQPKLMRKVVTGTNSRPLNEKWQKVLPHYVQDIGREESGDESDDNDGYENYAPKVCGLFPRFCLLNPVPGLRTEGRIQSSTGHGVQNKSIVSHRRTAKENGRTANNGKMSLNSQSGFTKEKKDFGTAEKSEHGIDPPRRACSKSSTSESSQFESSSESPVVEKTLYVDSVHEVKSSNACSSDTNDRGDDFDTSRKDTGLDKNLCIDYSIEESKHLGIVDEKGVLQPKSSASLDSSLLVDADNSNDNLQMELKNHPKKICPEEPNYQGNNLDHALVAFSSPAMVESKKRESESKVFSIKEGSNGPGSWRNKKFTSDLKFDPKGLSATKVFDQECTLRSSEDPSTLTSSKVVGDTKLYMKLGHGETLNASSMKHPLALPSPKAPSESWLKRTLPTVSSKNTFLRSKLATHLPAPVQIPSTALSDPKWERIVKSSKANHGHLQFGEELLPTIPEA >Vigun11g088100.1.v1.2 pep primary_assembly:ASM411807v1:11:26340443:26344440:1 gene:Vigun11g088100.v1.2 transcript:Vigun11g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKETNQMILKNLMEEKQLDFDQPLLSVRRISSTVASENENKRKPDKAVPKRPPLPFYKSDLKSGPVSNPGTVPFVWEKTPGKPKNESRLQTQAPERASVAPKLPPGRVLKADQQDFDKVPKVTLVTQSSTERSVSDSEHAASLDNQVTKHESPKEVTEKASSCSDNEDETYLDALDTLSRTESFFMSCSVSGLSEWDDHEVQPSGSFPTDQEARDFMIDRFLPAAKAMASETPSLQHHSRKPLAALEQPKLMRKVVTGTNSRPLNEKWQKVLPHYVQDIGREESGDESDDNDGYENYAPKVCGLFPRFCLLNPVPGLRTEGRIQSSTGHGVQNKSIVSHRRTAKENGRTANNGKMSLNSQSGFTKEKKDFGTAEKSEHGIDPPRRACSKSSTSESSQFESSSESPVVEKTLYVDSVHEVKSSNACSSDTNDRGDDFDTSRKDTGLDKNLCIDYSIEESKHLGIVDEKGVLQPKSSASLDSSLLVDADNSNDNLQMELKNHPKKICPEEPNYQGNNLDHALVAFSSPAMVESKKRESESKVFSIKEGSNGPGSWRNKKFTSDLKFDPKGLSATKVFDQECTLRSSEDPSTLTSSKVVGDTKLYMKLGHGETLNASSMKHPLALPSPKAPSESWLKRTLPTVSSKNTFLRSKLATHLPAPVQIPSTALSDPKWERIVKSSKANHGHLQFGEELLPTIPEA >Vigun11g088100.2.v1.2 pep primary_assembly:ASM411807v1:11:26340211:26344619:1 gene:Vigun11g088100.v1.2 transcript:Vigun11g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNLMEEKQLDFDQPLLSVRRISSTVASENENKRKPDKAVPKRPPLPFYKSDLKSGPVSNPGTVPFVWEKTPGKPKNESRLQTQAPERASVAPKLPPGRVLKADQQDFDKVPKVTLVTQSSTERSVSDSEHAASLDNQVTKHESPKEVTEKASSCSDNEDETYLDALDTLSRTESFFMSCSVSGLSEWDDHEVQPSGSFPTDQEARDFMIDRFLPAAKAMASETPSLQHHSRKPLAALEQPKLMRKVVTGTNSRPLNEKWQKVLPHYVQDIGREESGDESDDNDGYENYAPKVCGLFPRFCLLNPVPGLRTEGRIQSSTGHGVQNKSIVSHRRTAKENGRTANNGKMSLNSQSGFTKEKKDFGTAEKSEHGIDPPRRACSKSSTSESSQFESSSESPVVEKTLYVDSVHEVKSSNACSSDTNDRGDDFDTSRKDTGLDKNLCIDYSIEESKHLGIVDEKGVLQPKSSASLDSSLLVDADNSNDNLQMELKNHPKKICPEEPNYQGNNLDHALVAFSSPAMVESKKRESESKVFSIKEGSNGPGSWRNKKFTSDLKFDPKGLSATKVFDQECTLRSSEDPSTLTSSKVVGDTKLYMKLGHGETLNASSMKHPLALPSPKAPSESWLKRTLPTVSSKNTFLRSKLATHLPAPVQIPSTALSDPKWERIVKSSKANHGHLQFGEELLPTIPEA >Vigun03g190000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25889281:25890953:1 gene:Vigun03g190000.v1.2 transcript:Vigun03g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHDRQLHVFFFPFFANGHIIPTIELARVFASRGLKTTVVTTPLNEPLISRTVGKANITIRTIKFPSPEQTGLPEGCENSDHLSGMQIIPFLKSTVLLRDPLEQLLQQERPDLIIADMFFPWATDSAAKFGIPRIVFHGLGFFPLCVSACVRQYKPQDKVSSYTEPFVVPNLPGEITITKMQLPQTPKHDGEFTKLLDEANASELNSYGVIANSFYELEPVYADHYRNELGRKAWHLGPVCLSNRDTAEKAQRGNEAMIDEHECLKWLDSKEPDSVVYVCFGSMTTFPDAQLKEIALGLEASGQPFIWVVKKGSSENLEWLPEGFEERTVDQGKGLIIRGWAPQVMILDHKAVGGFVSHCGWNSAMEGVCAGLPMVTWPMYAEQFYNAKFLTDIVKTGVSVGVQTWIGMMGGGPVKKEVIEKAVKRIMVGDEAEQIRNRAKEIAQMAKRAVEEGGSSYSDFDSLIEDLRSRTL >Vigun08g085500.3.v1.2 pep primary_assembly:ASM411807v1:8:18791664:18801798:1 gene:Vigun08g085500.v1.2 transcript:Vigun08g085500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENEEVSPVGKLFLEASMNCYVIASMGSKTRINPEVIREGLCQTLLKHPRFTSKLVKEGRTRKWIPTTVNLDNHIIVPEIDSNIEHPDRFVEDYISHFTKTPLDLSKPLWELHLLNIKTSDAEAVAIFRIHHSVGDGASLISLLLAATRKTSDPHALPTVPTKNRNSERHRSWLFSIWWGLLLIWHTLVDMLLFTLTIFFIRDTPTPLKGAPGVALNTKRFVNHTVSMDDIKLIKNQMQTTVNDVLLGVTQAALTLYLNRAYDQEANFNGAKGRSSALKNIRLRASILVNIRPVGGIQDLADMMAEKSKVRWGNIMGYIILPFDIAIYENPLEYIRKAKDRIDRKKHSLEAICSYFCAKAVLNLLGVKVAAAITERVLFNTSIAFSNVAGPVEEISFLGHPVAYIAPSVYGHPQALTIHFQSYANKMTISLAVDPLVIPDPYLLCDDFEQSLKLIRDAVQRNNVVHLV >Vigun08g085500.2.v1.2 pep primary_assembly:ASM411807v1:8:18790943:18801797:1 gene:Vigun08g085500.v1.2 transcript:Vigun08g085500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENEEVSPVGKLFLEASMNCYVIASMGSKTRINPEVIREGLCQTLLKHPRFTSKLVKEGRTRKWIPTTVNLDNHIIVPEIDSNIEHPDRFVEDYISHFTKTPLDLSKPLWELHLLNIKTSDAEAVAIFRIHHSVGDGASLISLLLAATRKTSDPHALPTVPTKNRNSERHRSWLFSIWWGLLLIWHTLVDMLLFTLTIFFIRDTPTPLKGAPGVALNTKRFVNHTVSMDDIKLIKNQMQTTVNDVLLGVTQAALTLYLNRAYDQEANFNGAKGRSSALKNIRLRASILVNIRPVGGIQDLADMMAEKSKVRWGNIMGYIILPFDIAIYENPLEYIRKAKDRIDRKKHSLEAICSYFCAKAVLNLLGVKVAAAITERVLFNTSIAFSNVAGPVEEISFLGHPVAYIAPSVYGHPQALTIHFQSYANKMTISLAVDPLVIPDPYLLCDDFEQSLKLIRDAVQRNNVVHLV >Vigun08g085500.4.v1.2 pep primary_assembly:ASM411807v1:8:18791664:18801798:1 gene:Vigun08g085500.v1.2 transcript:Vigun08g085500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEGSTNNNTNSRVRNYELGQTVGKGNFSTMKLARCLLNNCVKEGRTRKWIPTTVNLDNHIIVPEIDSNIEHPDRFVEDYISHFTKTPLDLSKPLWELHLLNIKTSDAEAVAIFRIHHSVGDGASLISLLLAATRKTSDPHALPTVPTKNRNSERHRSWLFSIWWGLLLIWHTLVDMLLFTLTIFFIRDTPTPLKGAPGVALNTKRFVNHTVSMDDIKLIKNQMQTTVNDVLLGVTQAALTLYLNRAYDQEANFNGAKGRSSALKNIRLRASILVNIRPVGGIQDLADMMAEKSKVRWGNIMGYIILPFDIAIYENPLEYIRKAKDRIDRKKHSLEAICSYFCAKAVLNLLGVKVAAAITERVLFNTSIAFSNVAGPVEEISFLGHPVAYIAPSVYGHPQALTIHFQSYANKMTISLAVDPLVIPDPYLLCDDFEQSLKLIRDAVQRNNVVHLV >Vigun08g085500.1.v1.2 pep primary_assembly:ASM411807v1:8:18790943:18801797:1 gene:Vigun08g085500.v1.2 transcript:Vigun08g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENEEVSPVGKLFLEASMNCYVIASMGSKTRINPEVIREGLCQTLLKHPRFTSKLVKEGRTRKWIPTTVNLDNHIIVPEIDSNIEHPDRFVEDYISHFTKTPLDLSKPLWELHLLNIKTSDAEAVAIFRIHHSVGDGASLISLLLAATRKTSDPHALPTVPTKNRNSERHRSWLFSIWWGLLLIWHTLVDMLLFTLTIFFIRDTPTPLKGAPGVALNTKRFVNHTVSMDDIKLIKNQMQTTVNDVLLGVTQAALTLYLNRAYDQEANFNGAKGRSSALKNIRLRASILVNIRPVGGIQDLADMMAEKSKVRWGNIMGYIILPFDIAIYENPLEYIRKAKDRIDRKKHSLEAICSYFCAKAVLNLLGVKVAAAITERVLFNTSIAFSNVAGPVEEISFLGHPVAYIAPSVYGHPQALTIHFQSYANKMTISLAVDPLVIPDPYLLCDDFEQSLKLIRDAVQRNNVVHLV >Vigun08g085500.5.v1.2 pep primary_assembly:ASM411807v1:8:18791007:18801782:1 gene:Vigun08g085500.v1.2 transcript:Vigun08g085500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENEEVSPVGKLFLEASMNCYVIASMGSKTRINPEVIREGLCQTLLKHPRFTSKLVKEGRTRKWIPTTVNLDNHIIVPEIDSNIEHPDRFVEDYISHFTKTPLDLSKPLWELHLLNIKTSDAEAVAIFRIHHSVGDGASLISLLLAATRKTSDPHALPTVPTKNRNSERHRSWLFSIWWGLLLIWHTLVDMLLFTLTIFFIRDTPTPLKGAPGVALNTKRFVNHTVSMDDIKLIKNQMQTTVNDVLLGVTQAALTLYLNRAYDQEANFNGAKGRSSALKNIRLRASILVNIRPVGGIQDLADMMAEKSKVRWGNIMGYIILPFDIAIYENPLEYIRKAKDRIDRKKHSLEAICSYFCAKAVLNLLGVKVAAAITERVLFNTSIAFSNVAGPVEEISFLGHPVAYIAPSVYGHPQALTIHFQSYANKMTISLAVDPLVIPDPYLLCDDFEQSLKLIRDAVQRNNVVHLV >Vigun07g094101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15140949:15141167:1 gene:Vigun07g094101.v1.2 transcript:Vigun07g094101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKVIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun06g141900.1.v1.2 pep primary_assembly:ASM411807v1:6:26751708:26754197:-1 gene:Vigun06g141900.v1.2 transcript:Vigun06g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPTSPMNLNMIDSNFEVDKLTNEIFSILENNFLFGYGDAENRTNSFQNAKPAKHTAGKVRILCIDGAGATEGILAAKSLAHLEACLRRKSGDANSRVADFFDAAAGSGVGGVLAALLFTRGKDGQPLFTAEEALKFLSDNRRKISRRPGILRRVLRPESKAEKLFRKTFGECTLRDTVKPVLIPCYDLVTRAPFVFSRADALEMDGYDFKMSDVCAATSADPSFAGPTVMRSVDGRTKIVAVDGGVAMNNPTAAAITHVLNNKHEFPFCNGVSDLLVLSLGNGESDFNAVKSPSGFVKIAGEGASDMVDQAVSMAFGDCRMNNYVRIQSNGVMSKANKGPQVKSCKSETDLLAVSEEMLRQKNVESVLFKGKKVANNSNLEKLELFGGEIIKEGERRKTSILPTVVLKNNNASPSPSRTSSATTLSTLSSNC >Vigun02g204200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33761308:33763309:-1 gene:Vigun02g204200.v1.2 transcript:Vigun02g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGKLNVMFLPFPGQGHLIPMGDMARAFSGRGVRTTIVTTPLNVPTIRGTIGKNSDLDSDSEIEIVSIKFPCAEVGLPEGCENTESVPSPDFIPAFFKATTMLQPQLEHLLLQHSPHCLIASAFYPWASHSAAKFNIPRLVFYGTGVFALCASECVRLYQPHNNLSSDSDLFVIPHLPGNIQMTRMMLPDYAKTDGETKYAELLKAIRESEVASLGVIVNSFYELEQEYADYFEKLRGRRAWFIGPLSLCNQQQEKGKRGKEASVDEGEILKWLDSKKGNTVVYVCFGSIANFSENQLREIARGLEDSGQEFIWVVRRSDKEWVPEGFERRTEGRGMVIWGWAPQLLILDHQAVGAFVTHCGWNSTLEAVSAGVPMVTWPVSAEQFYNEKLVTEILEIGVPVGVKKWARIVGDSVGSEALEKALKRIMVGEEAETIRNRAHKLSQMARTAVECNGSSSCYLTDLIQHLHSIARLQNCPKSSE >Vigun01g210100.2.v1.2 pep primary_assembly:ASM411807v1:1:38498136:38501439:-1 gene:Vigun01g210100.v1.2 transcript:Vigun01g210100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSNNVVGVDNTFRRKFDREEYLERARERERQEEEGRSKPKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLKQVQERFEVLKKRKDVGSFTEQDLDERILKQQQEEEEKKRLRREKKKEKEKAMEEPEIDPDVAAMMGFGGFRSSKK >Vigun01g210100.1.v1.2 pep primary_assembly:ASM411807v1:1:38498136:38501439:-1 gene:Vigun01g210100.v1.2 transcript:Vigun01g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSNNVVGVDNTFRRKFDREEYLERARERERQEEEGRSKPKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLKQVQERFEVLKKRKDVGSFTEQDLDERILKQQQEEEEKKRLRREKKKEKKEKAMEEPEIDPDVAAMMGFGGFRSSKK >Vigun09g257100.1.v1.2 pep primary_assembly:ASM411807v1:9:42300229:42307870:1 gene:Vigun09g257100.v1.2 transcript:Vigun09g257100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYGLSHLFMTVFLHNFAIFMVVPAITDVTMAALCPGQDECSIAIYLTGFQQAMIGVGTLVMMPLLGNLSDKYGRKAILTVPMILTIIPTGILAYNRTKKFFYVYYVFKILISMVCEGSVPCLALAYVADNIPESGRSTAFGIISGIGSAAFVCATLSARFLSTAQTFQVSTFIAVIGAVYMKLFLPDSVIDDRHLYTPIISQQKPTVSSVDAKLERNKHLLKALRSFKDLTSFLTSSFTITQAAIVAFFSNLADVGLHGSMLYFLKAQFHFDKNQFADLMVISGISGTVSQLLVMPILAPILGEARLLSIGLFFHCVHMFLYSIAWSSLVPYASAMFSILFVFSQPCIRSIVSKQVGPHEQGKAQGCISGICSVAHIVSPLVFSPLTALFLSEKAPFNFPGFSIMCIGFASMVSFVQSMMLRVVPPILS >Vigun01g151300.3.v1.2 pep primary_assembly:ASM411807v1:1:33397051:33400879:-1 gene:Vigun01g151300.v1.2 transcript:Vigun01g151300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGELLKKKADEGVRVLLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVCVLVPSVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun01g151300.4.v1.2 pep primary_assembly:ASM411807v1:1:33397051:33400879:-1 gene:Vigun01g151300.v1.2 transcript:Vigun01g151300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGELLKKKADEGVRVLLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun01g151300.1.v1.2 pep primary_assembly:ASM411807v1:1:33397051:33400879:-1 gene:Vigun01g151300.v1.2 transcript:Vigun01g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLHGILKVTIFEVDRLHTGCHLDFCQKGTTHKGKRFLAQVKGCLLCRPEIVGTRLYATVDLDKARVGRTRMIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGELLKKKADEGVRVLLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun01g151300.2.v1.2 pep primary_assembly:ASM411807v1:1:33397051:33400879:-1 gene:Vigun01g151300.v1.2 transcript:Vigun01g151300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLHGILKVTIFEVDRLHTGCHLDFCQKGTTHKGKRFLAQVKGCLLCRPEIVGTRLYATVDLDKARVGRTRMIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGELLKKKADEGVRVLLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVCVLVPSVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun01g151300.5.v1.2 pep primary_assembly:ASM411807v1:1:33397051:33400692:-1 gene:Vigun01g151300.v1.2 transcript:Vigun01g151300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNQPSNPKWNESFEIYSAHRISNIIFTVKDGNPIGATLIGRAYVPVEQVRKGPIIKRWVEILDEEDHRPVPGHSKIRVSVQFTSVTQDPTCSWSQGIRMPFSGVPRTFFNQREGCHVTLYQDAHAPRGVGVVPYIPISEGKDYLPARCWEDIYTAIMDAKHFVYITGWSVYTEIALVRDKEESNITLGELLKKKADEGVRVLLLIWNDRTSVPELTKDGLMGTHDKETAAYFRNTKVHCVLCPRNPDDGKSMIQELQISTMFTHHQKSVVVDAGFVGPSQKRRSVVSFIGGLDLCDGRYDTRDHPLFSTLNTVHRNDFHQPNFPNASIDKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKEHLFSLDDLDGILVHPSDSQKSEVGVEDTWNVQLFRSIDGGAALGFPQAPEEVAELGLVSGKDNMIERSIQDAYINAIRRAKNFVYIENQYFLGSSYAWHPTNEFVIENVGALHLIPKELTLKIVSKIEAKERFSVYIVIPMWPEGVPESESVQAILDWQRRTMEMMYSEIAEALVKFGIQAHPRDYLTFFCLGNREHKEPGEYTPPQEPEPESDYRRAQNSRRFMIYVHSKMMIVDDEYIIVGSANINQRSMEGSRDSEIAMGAFQPRHLAWNGRPRGEIYRFRRALWYEHLGDHGFDSKIFDNPEHLDCIGLVNRLAEENWDMYSKETFDEPTKFHHLMRYPIHVAHDGTITSLRFEHFPDTKARILGSYSYFLPSILTT >Vigun07g065300.1.v1.2 pep primary_assembly:ASM411807v1:7:7637781:7649647:-1 gene:Vigun07g065300.v1.2 transcript:Vigun07g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLSSLGASGSTIGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRGAASSDSVWEAKLPRNYQDLLDLVPPERHRNLSKKDIFALLARPLPFDDGHKVGGALQEVWLDRVTGRVCMSISARAMAITGIDDRRYWNWIPTEESRFHTVAYLQQIWWFEVDGEVTFPFPADIYTLSFRLHLGRFTKRLGRRVCNYEHTHGWDIKPVKFELSTSDGQQASCQYCLDECEIDDTYGNHKRGYWIDYKVGEFIVSGSEPTTQVRFSMKQIDCTHSKGGLCVDSVFIIPSDLRERKRKCILK >Vigun07g065300.2.v1.2 pep primary_assembly:ASM411807v1:7:7637781:7649647:-1 gene:Vigun07g065300.v1.2 transcript:Vigun07g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLSSLGASGSTIGPGLGDIPESCVACVFLYLTPPEICNLARLNRAFRGAASSDSVWEAKLPRNYQDLLDLVPPERHRNLSKKDIFALLARPLPFDDGHKEVWLDRVTGRVCMSISARAMAITGIDDRRYWNWIPTEESRFHTVAYLQQIWWFEVDGEVTFPFPADIYTLSFRLHLGRFTKRLGRRVCNYEHTHGWDIKPVKFELSTSDGQQASCQYCLDECEIDDTYGNHKRGYWIDYKVGEFIVSGSEPTTQVRFSMKQIDCTHSKGGLCVDSVFIIPSDLRERKRKCILK >Vigun05g195500.2.v1.2 pep primary_assembly:ASM411807v1:5:37818289:37819979:1 gene:Vigun05g195500.v1.2 transcript:Vigun05g195500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVLSFGLLLTALRSRKARSWHYGSVADYLSLSFWDEIGESNNDRDNMLLQLEQECLDIYHKRVEETRKHKADMYQWLADAEAQATNIVSSIGESTVLPRKQSPDLKKHKCIRAFLDATECLYKTQKLAGKPAGIISNTRYQGGQEETTM >Vigun09g195000.7.v1.2 pep primary_assembly:ASM411807v1:9:36964551:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.1.v1.2 pep primary_assembly:ASM411807v1:9:36964553:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAIEEAHSKLRSKFLQVIRFSTRRRCEFFPIVRRYKKAVSRLYENSPPTKEEMEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.2.v1.2 pep primary_assembly:ASM411807v1:9:36964553:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAIEEAHSKLRSKFLQVIRFSTRRRCEFFPIVRRYKKAVSRLYENSPPTKEEMEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFMIGSFYTQFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.8.v1.2 pep primary_assembly:ASM411807v1:9:36964551:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.6.v1.2 pep primary_assembly:ASM411807v1:9:36964551:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVQVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.4.v1.2 pep primary_assembly:ASM411807v1:9:36964553:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAIEEAHSKLRSKFLQVIRFSTRRRCEFFPIVRRYKKAVSRLYENSPPTKEEMEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.5.v1.2 pep primary_assembly:ASM411807v1:9:36964551:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFMIGSFYTQFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun09g195000.3.v1.2 pep primary_assembly:ASM411807v1:9:36964553:36972696:1 gene:Vigun09g195000.v1.2 transcript:Vigun09g195000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAIEEAHSKLRSKFLQVIRFSTRRRCEFFPIVRRYKKAVSRLYENSPPTKEEMEIMESCPKMETENLEELLQEENFYLVMNWPTGEWDDELWEGENENLEYEAHSGRRIQWLPVVIYKLKESDESDEEKRRPAVVFLHSMNKNKDFLRPLLKAYASRGYVAISVDTCYHGERATNTHAYRDALTYSWASGKSKPFIYEVQVYDLMRLADFLTEDRDDIDPSRIGITGISLGGIHAWFAALADTRYSVVVPLIGVRGFRWAIDNDQWKPLADDMEVLFDVARHNLGKDRVDKEVVEKVFDRIAPDLTSEYDAPYSIPAIAPRPLLIINGAEDPLCPVAGLEVPISKATQAYEAFQCLDNFKFIAEAGVGHQITRLQVKESCDWFDRFLKPDT >Vigun07g138600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24841324:24842516:-1 gene:Vigun07g138600.v1.2 transcript:Vigun07g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEPNVDSSNSETLRESNQKKRRKIGDHAADHNSANAIPWRSEAEQRIYSRRLVEALRRTPSSAAKPRAAGQVRETADRVLAASARGRTRWSRAILGRWRKLRTQHKKAKRTSSTGLKRTRINGGERRNRLPAVQKKARVLSRLVPGCRKVSFPNLLEEATDYISALEMQVRTMTALAELLSGGAPAGLDGGALS >Vigun10g036900.2.v1.2 pep primary_assembly:ASM411807v1:10:4982901:4991809:-1 gene:Vigun10g036900.v1.2 transcript:Vigun10g036900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSPSFSKSKHERIHDVFINFRGEDTRKKFVSHLHYALSNAGINTFLDNESLFKGMQPHHERMRAVEGSQIAIVVFSQTYTESAWCLHELEQIIKCNETQGQSILPVFYKIDPSDVRYQSGHFGKLLEETARRRTYSGEHLEHTLSRWRCALNKAASFYGWDVSSFRNEAELVRQIVDHVQKLLSYEVLSITEHPVGLESRTQEVIGLIETRSSQVCMIGIWGMGGSGKTTVAKAIYNHIHRAFMNKSFIENIRQTCENHGRGYLPLQEQLLSNVLRKKMDIHSVGMGITLIENILAGKRALIVLDDVNEYNQLQAVCGNRKWIGQGSVIIVTTRDVSLLYRLEVDYVYEMDKMDEDESLQLFSFHCFRDAKPKEDFSELSRNVVAYCGGLPLALEVLGSYLFDKTSKREWEGVLSMLEKIPNDEIQRKLRISFDNLSNDMEKDIFLDVCCFFIGKDIGYVTDILNGCDLCADVGIPVLIERGLIKVRKNNKLEMDSLLQEMGREIIREDSRKEPGKQSRLWFQKDVVEVLTKNTGTEAIEGLALKMHLTSGDFFKADSFQKMERLRLLQLHHVQLAGNYGYLSKQLRWISWQGFPSKFLPNNFYMDHVIAIDLKHSHLRFLWKQSPVLKWLKVLNLSHSRFLIETPDFSRLPSLEQLILKDCPSLLAIHKSIGDLRNILLINLRDCTSLTNLPKEIYELKSVKVLILSGCSKIDKLGEDMAQMESLVTLIADDIYVKQVPFSIVSSKSIRYISLSGFEGLARNAFPVIIRSWMSPTMNPLLYTHPFYVTSCYLVSMITQNNTFGELAPMLTSLPNLRSVLVQCETESQLSKHVKTILVEDALSFRESGISRHHLRSSLIGVGSYKAFFDILSNRISEGLASNEACEVVLPGDNYPYWSAHTGDGHSVYFTVPEDRGMKGMALCIVYFSNPEMKPTECFTSVLIANYTKRTLQIHKQDTVISFNDEDWKEIISHLGGGDKVEIFVTFGDDLVVKKTAVYLIYSESNDIEIEPTHGESNDIEIEPMDCEANDIKIESMNSESNLLEMYGESNDMDMTETGIQGDVFIHFSGDEIRRNFVSHLNSALLQAGVEPCLLAMRMKWEHFVASIKMFQIGIVVLTKEYSESLGCLDELERIIECHKIHGLMVMPVFYEIDPSDAHIQNGGFGDALKATAQGIFTREYLETGLSTLSSPLTEAGKLHKWDETKHSGRNDAELVEEIVKSVLAKLDRVLSITKFPVGLESHVKNVIRLFENQPTEFCMIGIWGIGGSGKTTLAKAIYNQIPYTFGGKSFIQDIREVCETDGRGLVHLQEQLLSDVLKTKWKIERGEMEETMNEIRHSGKRLFIVLDDVNEIDQLKQLCGNGKWFSRGSVVIITTRNLDLLYQFNVDYVYEMDELDESDSVELFSWHAFGEAKPREDFNELAKSAVTYCGGLPLALEILGSFLRKRSENEWKSVLSKLEIIPNTQVQNILRISFDGLCVMEKDIFLDVCCFFIGKDRDYVTEILNGCGLHADIGIIVLIKRGLIKIEKNNKLGMHRLLLDMGREIVRQSSTMQPGKRSRLWLRKDVLDVLKKNTGTEAIVGLSLNCQLPNSDFFEAYAFEKMKMLRFLQLDHVQMTGDYGYLSKQLRWIYWQGFPLESIPNNFYLKGAIVMDFQRSNLRLVWKEPEVLPLLKILNLSHSKYLTETPDFSKLPNLEKLILKHCPSLRKVHQSIGDLHNLLLVNLKGCINLSNLPSETYKLKSLKTLILSGCLKIGIFTQDILHLESLITLISEDTGVKQVPISVVSSKSIGYILLDEKKGLLLADFRSIIWSWMSHTFNPLYHIRPFRGISLSLISMNTENNDLGDLAPILSSILNLRTVLVQCVTEYQISQVRTILEEIRGATWTTLKIRPSTPEISNHPLRSYLIEFGGYQEEVFNTLRKSIYEGLKLV >Vigun10g036900.1.v1.2 pep primary_assembly:ASM411807v1:10:4977829:4991809:-1 gene:Vigun10g036900.v1.2 transcript:Vigun10g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSPSFSKSKHERIHDVFINFRGEDTRKKFVSHLHYALSNAGINTFLDNESLFKGMQPHHERMRAVEGSQIAIVVFSQTYTESAWCLHELEQIIKCNETQGQSILPVFYKIDPSDVRYQSGHFGKLLEETARRRTYSGEHLEHTLSRWRCALNKAASFYGWDVSSFRNEAELVRQIVDHVQKLLSYEVLSITEHPVGLESRTQEVIGLIETRSSQVCMIGIWGMGGSGKTTVAKAIYNHIHRAFMNKSFIENIRQTCENHGRGYLPLQEQLLSNVLRKKMDIHSVGMGITLIENILAGKRALIVLDDVNEYNQLQAVCGNRKWIGQGSVIIVTTRDVSLLYRLEVDYVYEMDKMDEDESLQLFSFHCFRDAKPKEDFSELSRNVVAYCGGLPLALEVLGSYLFDKTSKREWEGVLSMLEKIPNDEIQRKLRISFDNLSNDMEKDIFLDVCCFFIGKDIGYVTDILNGCDLCADVGIPVLIERGLIKVRKNNKLEMDSLLQEMGREIIREDSRKEPGKQSRLWFQKDVVEVLTKNTGTEAIEGLALKMHLTSGDFFKADSFQKMERLRLLQLHHVQLAGNYGYLSKQLRWISWQGFPSKFLPNNFYMDHVIAIDLKHSHLRFLWKQSPVLKWLKVLNLSHSRFLIETPDFSRLPSLEQLILKDCPSLLAIHKSIGDLRNILLINLRDCTSLTNLPKEIYELKSVKVLILSGCSKIDKLGEDMAQMESLVTLIADDIYVKQVPFSIVSSKSIRYISLSGFEGLARNAFPVIIRSWMSPTMNPLLYTHPFYVTSCYLVSMITQNNTFGELAPMLTSLPNLRSVLVQCETESQLSKHVKTILVEDALSFRESGISRHHLRSSLIGVGSYKAFFDILSNRISEGLASNEACEVVLPGDNYPYWSAHTGDGHSVYFTVPEDRGMKGMALCIVYFSNPEMKPTECFTSVLIANYTKRTLQIHKQDTVISFNDEDWKEIISHLGGGDKVEIFVTFGDDLVVKKTAVYLIYSESNDIEIEPTHGESNDIEIEPMDCEANDIKIESMNSESNLLEMYGESNDMDMTETGIQGDVFIHFSGDEIRRNFVSHLNSALLQAGVEPCLLAMRMKWEHFVASIKMFQIGIVVLTKEYSESLGCLDELERIIECHKIHGLMVMPVFYEIDPSDAHIQNGGFGDALKATAQGIFTREYLETGLSTLSSPLTEAGKLHKWDETKHSGRNDAELVEEIVKSVLAKLDRVLSITKFPVGLESHVKNVIRLFENQPTEFCMIGIWGIGGSGKTTLAKAIYNQIPYTFGGKSFIQDIREVCETDGRGLVHLQEQLLSDVLKTKWKIERGEMEETMNEIRHSGKRLFIVLDDVNEIDQLKQLCGNGKWFSRGSVVIITTRNLDLLYQFNVDYVYEMDELDESDSVELFSWHAFGEAKPREDFNELAKSAVTYCGGLPLALEILGSFLRKRSENEWKSVLSKLEIIPNTQVQNILRISFDGLCVMEKDIFLDVCCFFIGKDRDYVTEILNGCGLHADIGIIVLIKRGLIKIEKNNKLGMHRLLLDMGREIVRQSSTMQPGKRSRLWLRKDVLDVLKKNTGTEAIVGLSLNCQLPNSDFFEAYAFEKMKMLRFLQLDHVQMTGDYGYLSKQLRWIYWQGFPLESIPNNFYLKGAIVMDFQRSNLRLVWKEPEVLPLLKILNLSHSKYLTETPDFSKLPNLEKLILKHCPSLRKVHQSIGDLHNLLLVNLKGCINLSNLPSETYKLKSLKTLILSGCLKIGIFTQDILHLESLITLISEDTGVKQVPISVVSSKSIGYILLDEKKGLLLADFRSIIWSWMSHTFNPLYHIRPFRGISLSLISMNTENNDLGDLAPILSSILNLRTVLVQCVTEYQISQVRTILEEIRGATWTTLKIRPSTPEISNHPLRSYLIEFGGYQEEVFNTLRKSIYEGLAARQTGNVSLPSDNYPHWLTYMDEGHSVYFTMPKNFHTDGMILCVEHSSTFGDPTDCLDSVLIVNYTKCTIQLFKRDTITSFNDVDWQGMISHLAYGDKVGIFVIFRDGFEVRKTSVYLVCDGSIDRMSM >Vigun08g202400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36652267:36652818:-1 gene:Vigun08g202400.v1.2 transcript:Vigun08g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTLCSSLLVTLCMILIFQSPLPTKAGRSHVGPDLIDRVCNETKEDYALCQDVLRRDHEVVKAKNFTELAAAILKLGVKNAVEGQKFLKRLAKEKHVPEIEECATDDYDGVIRELRNSLGEMKDDLDAANYEARIAGDGADYCSKALVDAKIDNPKIFALNREISMIGFMAFNAMEKIGHE >Vigun09g045500.3.v1.2 pep primary_assembly:ASM411807v1:9:4324587:4327425:-1 gene:Vigun09g045500.v1.2 transcript:Vigun09g045500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGLEKGIEELKLKEEKGNLHVQEQAQGQLQITTFSELVNDVSLHFQIIRFPNQIYVWIGYNSAKLGHMYAAAPTRPNNSVSVTSILGGFSDNTGTGIAHRLVLKTGLNIILACSIPKNSPMLEIEAEKILIQKLISLGYTKSRSGGTSL >Vigun09g045500.1.v1.2 pep primary_assembly:ASM411807v1:9:4324674:4327145:-1 gene:Vigun09g045500.v1.2 transcript:Vigun09g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIGFCVIRMDCGGLEKGIEELKLKEEKGNLHVQEQAQGQLQITTFSELVNDVSLHFQIIRFPNQIYVWIGYNSAKLGHMYAAAPTRPNNSVSVTSILGGFSDNTGTGIAHRLVLKTGLNIILACSIPKNSPMLEIEAEKILIQKLISLGYTKSRSGGTSL >Vigun09g045500.2.v1.2 pep primary_assembly:ASM411807v1:9:4324494:4331371:-1 gene:Vigun09g045500.v1.2 transcript:Vigun09g045500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGLEKGIEELKLKEEKGNLHVQEQAQGQLQITTFSELVNDVSLHFQIIRFPNQIYVWIGYNSAKLGHMYAAAPTRPNNSVSVTSILGGFSDNTGTGIAHRLVLKTGLNIILACSIPKNSPMLEIEAEKILIQKLISLGYTKSRSGGTSL >Vigun09g045500.4.v1.2 pep primary_assembly:ASM411807v1:9:4324632:4331242:-1 gene:Vigun09g045500.v1.2 transcript:Vigun09g045500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGLEKGIEELKLKEEKGNLHVQEQAQGQLQITTFSELVNDVSLHFQIIRFPNQIYVWIGYNSAKLGHMYAAAPTRPNNSVSVTSILGGFSDNTGTGIAHRLVLKTGLNIILACSIPKNSPMLEIEAEKILIQKLISLGYTKSRSGGTSL >Vigun01g019200.1.v1.2 pep primary_assembly:ASM411807v1:1:2062084:2062478:-1 gene:Vigun01g019200.v1.2 transcript:Vigun01g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKRCSVALLAFSLAFLIIASDMSMKSEARGPVVQTPCDNDQDCARRPCASCGCKCIKNCCHCPTKPYFSDFWC >Vigun10g053100.1.v1.2 pep primary_assembly:ASM411807v1:10:8816787:8821666:-1 gene:Vigun10g053100.v1.2 transcript:Vigun10g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKGKPFTFSLPVSVVVLAIAYIYVSTVFVFIDRWLGLFSSPGIMNATVFTALAAVCVVTYRAAITTDPGRVPATYMPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFNFVLYAVISCIYSLVLLVGSLAYDGIQDEEKIGKSSFRTVYVVSGLLLVPLSIALCVLFGWHICLVLHNKTTIEYHEGVRALWLAEKGGSIYKHPYDLGPYENLTSVLGPNILSWLWPSSNHIGSGLRYSTIYDLAKGSTSK >Vigun05g025500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2069426:2071012:-1 gene:Vigun05g025500.v1.2 transcript:Vigun05g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGREITGLNYLLPSDPYPYPSHCSMTQNTIPTFQLHKLSNQFYGFQNPQQMVAEFSPPQSSCISSTTSTSDEADEQHQSLINERKHRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLMDKLNHVSESHDRVSQENVQLREEASELRQMICDMQLHSPYHPPPLSPIDDDVSPYVKSDSSITSSFNLLG >Vigun10g099200.4.v1.2 pep primary_assembly:ASM411807v1:10:29044305:29047752:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.6.v1.2 pep primary_assembly:ASM411807v1:10:29044342:29047748:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.3.v1.2 pep primary_assembly:ASM411807v1:10:29044300:29047753:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.7.v1.2 pep primary_assembly:ASM411807v1:10:29044373:29047591:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.8.v1.2 pep primary_assembly:ASM411807v1:10:29044373:29047591:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.5.v1.2 pep primary_assembly:ASM411807v1:10:29044334:29047748:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun10g099200.2.v1.2 pep primary_assembly:ASM411807v1:10:29044298:29047762:-1 gene:Vigun10g099200.v1.2 transcript:Vigun10g099200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSTIFF >Vigun03g220900.1.v1.2 pep primary_assembly:ASM411807v1:3:36726963:36735481:1 gene:Vigun03g220900.v1.2 transcript:Vigun03g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSFFQNVDWHHESYPAYRDFYFLPLFALFFPSIRFFLDRFFFQKLARRLIFGKGNENLDLHTDERRKKIRKFKESAWKCVYYLSAEVLALYVTYDEPWFTNTRNFWVGPGSQVWPDQKTKLKLKAVYMYAAGFYSYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAETVASFAFILFVLSWIILRLIYYPFWILWSTSYEVLLTLDKEKHRVDGPIYYYLFNSLLYCLLVMHIYWWVLIFRMLVKQIQAKGKVSEDVRSDSDEDEHED >Vigun03g220900.2.v1.2 pep primary_assembly:ASM411807v1:3:36727453:36734801:1 gene:Vigun03g220900.v1.2 transcript:Vigun03g220900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSFFQNVDWHHESYPAYRDFYFLPLFALFFPSIRFFLDRFFFQKLARRLIFGKGNENLDLHTDERRKKIRKFKESAWKCVYYLSAEVLALYVTYDEPWFTNTRNFWVGPGSQVWPDQKTKLKLKAVYMYAAGFYSYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAETVASFAFILFVLSWIILRLIYYPFWILWSTSYEVLLTLDKEKHRVDGPIYYYLFNSLLYCLLVMHIYWWVLIFRMLVKQIQAKGKVSEDVRSDSDEDEHED >Vigun04g023300.1.v1.2 pep primary_assembly:ASM411807v1:4:1775556:1777983:1 gene:Vigun04g023300.v1.2 transcript:Vigun04g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPTEFEESVGQAFFDLENTNNELKSDLKDLYINSAVQIDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVVLIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKFSGKDVVFEYPTTEA >VigunL039375.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000338.1:12356:14215:-1 gene:VigunL039375.v1.2 transcript:VigunL039375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVLDHHPRRHAAILDHILTSLVINSTDHDRAGCAGIAEGHSDLITASHARGRAQGDGAGVVGLVEQVDRQVRPRQINSTLLIRTIEKRRAAPTGSLGQRGPMSFHATVHLSRPDALFIGGAWVAPATSAKHTIISPFDGRTVIEIAEAKAEDVERAVAAAATAFENGPWPRMQLSERLAVLSRWADAIEARVGDIATAHSAQIGIPISFSTAVASSAVVSLRNTIEFAGAYRFEEDRPAQGGLARISREPVGVVAAIVPWNFPSLLAMNKMAPALAVGCPVIVKPALEAPLDCLIFAECAQQAGFPEGVLSVLPADRAVGEHLIGDPRVDKVSFTGSTTAGKHIAARCMERVARVTLELGGKSAAIILDDFPLASAIPALVQMSTLFNGQACMGLTRVLVSRRRHDELAQGLAAAYGALKVGDPSDPATQIGPVASPAQAERVAGYIEAGKRSGARLMTGGIVNGCLVQPTVFANITNQMSIAREEIFGPVLVVQPYDSVDEAVAIANDSPYGLNGAIFTLDADLALTLARRIRAGSVAQNGMGPQAGLPFGGYKQSGLGREGGPEALDLYTEIKAIYLSSPPIAPR >Vigun06g234000.5.v1.2 pep primary_assembly:ASM411807v1:6:33993246:34001034:-1 gene:Vigun06g234000.v1.2 transcript:Vigun06g234000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEANSVRAVKENNRDWLQHANAHENFSSQEKFLSSNFLFSLPPKKPRHREPNPGTSGFVFQRSENIQVSQRVQFDKAWDALSSLQNSSRNYVQPGKSVNVNQQSHENTRTVPIHGGYQNDNRMCPDVTAIPVVSGNTWGLDGSLNNHNKYTGQINESSNCMSGDIDDDNILENIDVDQIVEKYQSTSTPTSSISKFPPVTSNAHKDECARQGDDILPPDLCVDCIHGYKLGLCPEAAIHLQGLKDNLIAISNELLDNGENLNSTQLTKLRHDRSQLNKQIQQLEKYIQSGNLNEERQKSHFSASTAPSTSSVYETPQQTGACNGSKRYDTQAYMGIGTCGSTFQSLPSFSLDNYSISSGPVEREAFIPKIIEVNYIEGSGDKRWSSHDFPWTKELEVNNKKVFGNHSFRPNQREVINATMSGSDVFVLMPTGGGKSLTYQDQIMHLLQANIPAAYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKVVKSDNLLRHLENLHFRELLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVASNLQECGHKCAFYHGSMDPAQRAFVQQQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRFKLMLSQGAIEQSPMVSGHNRSNMINPGSILETNTENLMRMVSYCENNVDCRRLLQLVHFGEKFNSSTCHKTCDNCLKITNFIEKDVTEIAKQLVELVKLTGQRFSSSHILEVYRGSFSQMVKKNRHEKVSLHGAGKHLAKGEASRILHHLVVEDILVEEVKKSDYYGSISSILKVNELKVCNLFAGHRIILRFPSSVKALLKPGKSDATPAKGSLTSGKPNVIPINTPQPQTEVDLPSYTLLCVC >Vigun06g234000.2.v1.2 pep primary_assembly:ASM411807v1:6:33993246:34001034:-1 gene:Vigun06g234000.v1.2 transcript:Vigun06g234000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEANSVRAVKENNRDWLQHANAHENFSSQEKFLSSNFLFSLPPKKPRHREPNPGTSGFVFQRSENIQVSQRVQFDKAWDALSSLQNSSRNYVQPGKSVNVNQQSHENTRTVPIHGGYQNDNRMCPDVTAIPVVSGNTWGLDGSLNNHNKYTGQINESSNCMSGDIDDDNILENIDVDQIVEKYQSTSTPTSSISKFPPVTSNAHKDECARQGDDILPPDLCVDCIHGYKLGLCPEAAIHLQGLKDNLIAISNELLDNGENLNSTQLTKLRHDRSQLNKQIQQLEKYIQSGNLNEERQKSHFSASTAPSTSSVYETPQQTGACNGSKRYDTQAYMGIGTCGSTFQSLPSFSLDNYSISSGPVEREAFIPKIIEVNYIEGSGDKRWSSHDFPWTKELEVNNKKVFGNHSFRPNQREVINATMSGSDVFVLMPTGGGKSLTYQLPALICHGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKVVKSDNLLRHLENLHFRELLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVASNLQECGHKCAFYHGSMDPAQRAFVQQQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRFKLMLSQGAIEQSPMVSGHNRSNMINPGSILETNTENLMRMVSYCENNVDCRRLLQLVHFGEKFNSSTCHKTCDNCLKITNFIEKDVTEIAKQLVELVKLTGQRFSSSHILEVYRGSFSQMVKKNRHEKVSLHGAGKHLAKGEASRILHHLVVEDILVEEVKKSDYYGSISSILKVNELKVCNLFAGHRIILRFPSSVKALLKPGKSDATPAKGSLTSGKPNVIPINTPQPQTEVDLPSYTLLCVC >Vigun06g234000.1.v1.2 pep primary_assembly:ASM411807v1:6:33993246:34001034:-1 gene:Vigun06g234000.v1.2 transcript:Vigun06g234000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEANSVRAVKENNRDWLQHANAHENFSSQEKFLSSNFLFSLPPKKPRHREPNPGTSGFVFQRSENIQVSQRVQFDKAWDALSSLQNSSRNYVQPGKSVNVNQQSHENTRTVPIHGGYQNDNRMCPDVTAIPVVSGNTWGLDGSLNNHNKYTGQINESSNCMSGDIDDDNILENIDVDQIVEKYQSTSTPTSSISKFPPVTSNAHKDECARQGDDILPPDLCVDCIHGYKLGLCPEAAIHLQGLKDNLIAISNELLDNGENLNSTQLTKLRHDRSQLNKQIQQLEKYIQSGNLNEERQKSHFSASTAPSTSSVYETPQQTGACNGSKRYDTQAYMGIGTCGSTFQSLPSFSLDNYSISSGPVEREAFIPKIIEVNYIEGSGDKRWSSHDFPWTKELEVNNKKVFGNHSFRPNQREVINATMSGSDVFVLMPTGGGKSLTYQLPALICHGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKVVKSDNLLRHLENLHFRELLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVASNLQECGHKCAFYHGSMDPAQRAFVQQQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRFKLMLSQGAIEQSPMVSGHNRSNMINPGSILETNTENLMRMVSYCENNVDCRRLLQLVHFGEKFNSSTCHKTCDNCLKITNFIEKDVTEIAKQLVELVKLTGQRFSSSHILEVYRGSFSQMVKKNRHEKVSLHGAGKHLAKGEASRILHHLVVEDILVEEVKKSDYYGSISSILKVNELKVCNLFAGHRIILRFPSSVKALLKPGKSDATPAKGSLTSGKPNVIPINTPQPQTEVDLNLSAKLYTALRMLRTTLVKEAGDGVFAHHIFGNATLQHMSKRVPRTKEELLDINGIGKAKVSKYGDEILETIENTINEYYKLDKASSDSKGSADSGKRRREFNNAEDDDALTNSTGRSKKRTIKKQNRKTVIYDSAEEDYFHGCHDEDLDFDLIEIDALDQVTCKNASGGALPQWTTS >Vigun06g234000.3.v1.2 pep primary_assembly:ASM411807v1:6:33993246:34001034:-1 gene:Vigun06g234000.v1.2 transcript:Vigun06g234000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEANSVRAVKENNRDWLQHANAHENFSSQEKFLSSNFLFSLPPKKPRHREPNPGTSGFVFQRSENIQVSQRVQFDKAWDALSSLQNSSRNYVQPGKSVNVNQQSHENTRTVPIHGGYQNDNRMCPDVTAIPVVSGNTWGLDGSLNNHNKYTGQINESSNCMSGDIDDDNILENIDVDQIVEKYQSTSTPTSSISKFPPVTSNAHKDECARQGDDILPPDLCVDCIHGYKLGLCPEAAIHLQGLKDNLIAISNELLDNGENLNSTQLTKLRHDRSQLNKQIQQLEKYIQSGNLNEERQKSHFSASTAPSTSSVYETPQQTGACNGSKRYDTQAYMGIGTCGSTFQSLPSFSLDNYSISSGPVEREAFIPKIIEVNYIEGSGDKRWSSHDFPWTKELEVNNKKVFGNHSFRPNQREVINATMSGSDVFVLMPTGGGKSLTYQLPALICHGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKVVKSDNLLRHLENLHFRELLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVASNLQECGHKCAFYHGSMDPAQRAFVQQQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRFKLMLSQGAIEQSPMVSGHNRSNMINPGSILETNTENLMRMLVHFGEKFNSSTCHKTCDNCLKITNFIEKDVTEIAKQLVELVKLTGQRFSSSHILEVYRGSFSQMVKKNRHEKVSLHGAGKHLAKGEASRILHHLVVEDILVEEVKKSDYYGSISSILKVNELKVCNLFAGHRIILRFPSSVKALLKPGKSDATPAKGSLTSGKPNVIPINTPQPQTEVDLNLSAKLYTALRMLRTTLVKEAGDGVFAHHIFGNATLQHMSKRVPRTKEELLDINGIGKAKVSKYGDEILETIENTINEYYKLDKASSDSKGSADSGKRRREFNNAEDDDALTNSTGRSKKRTIKKQNRKTVIYDSAEEDYFHGCHDEDLDFDLIEIDALDQVTCKNASGGALPQWTTS >Vigun06g234000.4.v1.2 pep primary_assembly:ASM411807v1:6:33993246:34001034:-1 gene:Vigun06g234000.v1.2 transcript:Vigun06g234000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGEANSVRAVKENNRDWLQHANAHENFSSQEKFLSSNFLFSLPPKKPRHREPNPGTSGFVFQRSENIQVSQRVQFDKAWDALSSLQNSSRNYVQPGKSVNVNQQSHENTRTVPIHGGYQNDNRMCPDVTAIPVVSGNTWGLDGSLNNHNKYTGQINESSNCMSGDIDDDNILENIDVDQIVEKYQSTSTPTSSISKFPPVTSNAHKDECARQGDDILPPDLCVDCIHGYKLGLCPEAAIHLQGLKDNLIAISNELLDNGENLNSTQLTKLRHDRSQLNKQIQQLEKYIQSGNLNEERQKSHFSASTAPSTSSVYETPQQTGACNGSKRYDTQAYMGIGTCGSTFQSLPSFSLDNYSISSGPVEREAFIPKIIEVNYIEGSGDKRWSSHDFPWTKELEVNNKKVFGNHSFRPNQREVINATMSGSDVFVLMPTGGGKSLTYQDQIMHLLQANIPAAYLSANMEWTEQQEILRELNSDYCKYKLLYVTPEKVVKSDNLLRHLENLHFRELLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVASNLQECGHKCAFYHGSMDPAQRAFVQQQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRFKLMLSQGAIEQSPMVSGHNRSNMINPGSILETNTENLMRMVSYCENNVDCRRLLQLVHFGEKFNSSTCHKTCDNCLKITNFIEKDVTEIAKQLVELVKLTGQRFSSSHILEVYRGSFSQMVKKNRHEKVSLHGAGKHLAKGEASRILHHLVVEDILVEEVKKSDYYGSISSILKVNELKVCNLFAGHRIILRFPSSVKALLKPGKSDATPAKGSLTSGKPNVIPINTPQPQTEVDLNLSAKLYTALRMLRTTLVKEAGDGVFAHHIFGNATLQHMSKRVPRTKEELLDINGIGKAKVSKYGDEILETIENTINEYYKLDKASSDSKGSADSGKRRREFNNAEDDDALTNSTGRSKKRTIKKQNRKTVIYDSAEEDYFHGCHDEDLDFDLIEIDALDQVTCKNASGGALPQWTTS >Vigun03g058100.1.v1.2 pep primary_assembly:ASM411807v1:3:4722600:4728565:1 gene:Vigun03g058100.v1.2 transcript:Vigun03g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLLTLPLSHHQDIHIRAKKKKPQQPGTGHSSSNSSSSGGGGHSTTRVSRRVSPVGEKSLPNGDIYSGTLSGNAPHGTGKYLWSDGCMYEGEWRKGKACGKGRFSWPSGATYEGEFKSGRIDGFGSFIGVDGDMYRGSWVADRKHGFGEKRYANGDVYEGWWRCNLQDGEGRYTWRNGNEYVGEWRGGVISGKGVLVWANGNRYEGFWENGVPVGKGVFTWCDGSTCAGNWGKEFMEEAREEKMKRSSVDGCRSVSFPRICIWELDGEAGDITCDIVHNAEASLFYRDGTTASESENGGDDIIIDNNNGCGVLQKSPCWSLDGSGGEVKKPGQTVSRGHKSYDLILNLQLGIRYTVTKHAAIVRELRPGDFDPKEKFWTRFPPEGSRFTPQHHSVDFRWKDYCPMVFRHLRELFAIDPTDYTLAICGSDSLREMSSPGKSGSIFYLTQDDRFIIKTVKKSEVKVLIRMLPSYYQHVCQYKNSLVTAFLGVHCVKPVGGQKTRFIVMGNVFCSEYRIHKRFDLKGSSHGRTTDKPREEIDETTTLKDLDLNFVFRLEQSWFQELIRQVDRDCEFLEAEGIMDYSLLIGLHFRDDCSVDEMKSSPRNSYSGKRDMLDDEMLTCRGPLIRLGMNMPARAESVGSGSGNSCCIPSESNNNSSSKISDVILYFGIIDILQDYDISKKIEHAYKSLQVDSTSISAVDPKLYSKRFRDFIHRIFVEDK >Vigun07g033300.1.v1.2 pep primary_assembly:ASM411807v1:7:3162502:3175982:1 gene:Vigun07g033300.v1.2 transcript:Vigun07g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAKVLDPAFQGVGQRVGTEIWRIENFQPVPLPKSEHGKFYMGDSYIILQTTQGKSGSYFYDLHFWIGKDTSQDEAGTAAIKTVELDAALGGRAVQHREIQGHESDKFLSYFKPCIIPLAGGVASGFTKPEEEEFETRLYVCKGKRVVRLRQIPFARSSLNHDDVFIVDTENKIYQFNGANSNIQERAKSLEVIQFLKEKYHKGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDVIPESIPAQLYSIANGEVTPVEGELSKSLLENNKCYLLDCGAEVFVWVGRVTQVEERKAACQAVEEFVTSQNRPKSTRITRIIQGYETHSFKSNFDSWPSGSANTNAEEGRGKVAALLKQQGMGVKGMAKNTPVNEAIPPLLEGGGKIEVWRINGDAKTALPKEEIGKFYSGDCYIVLYTYHTGERKEDFFLCCWFGKDSIEEDQTTATRLANTMFSSLKGRPVQGRIFEGKEPPQFVAIFQPMVILKGGLSSGYKNLLADKDASDETYTSESIALIRMGGTSIHNNKSVQVDAVPSSLNSFESFVLQSGSTVFIWHGNQCSFEQQQLAAKVAEFLRPGVTLKHAKEGTESSAFWSALGGKQAYTSKKVVNEVVRDPHLFGISFNKGKFKVEEVYNFSQDDLLPEDILVLDTHAEVFIWVGYSVDPKEKQNAFEIGQKYIDIAASLEGLSPHVPVFKVTEGNEPCFFTTYFSWDHAKAVVQGNSFQKKVALLFGIGHAVEDKSNGSSLGGPRQRAEALAALNNAFSSSSEKAPSMTQDRLNGLSQGGPRQRAEALAALNSAFNSSSGTKPVTPPKASGKGQGSQRAAAVAALSQVLTAEKKIITPDGSPVASSSPLTENSPTVLAAEAKSESSEVEEVAEAKETTEELAPETASNEDMEPKQEIVEESDGSQRTFTYEQLKTRSVNDLPGVDLNRREAYLSEEDFNAIFGMEKEAFYKLPRWKQDILKKKYELF >Vigun07g033300.2.v1.2 pep primary_assembly:ASM411807v1:7:3162627:3175982:1 gene:Vigun07g033300.v1.2 transcript:Vigun07g033300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAKVLDPAFQGVGQRVGTEIWRIENFQPVPLPKSEHGKFYMGDSYIILQTTQGKSGSYFYDLHFWIGKDTSQDEAGTAAIKTVELDAALGGRAVQHREIQGHESDKFLSYFKPCIIPLAGGVASGFTKPEEEEFETRLYVCKGKRVVRLRQIPFARSSLNHDDVFIVDTENKIYQFNGANSNIQERAKSLEVIQFLKEKYHKGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDVIPESIPAQLYSIANGEVTPVEGELSKSLLENNKCYLLDCGAEVFVWVGRVTQVEERKAACQAVEEFVTSQNRPKSTRITRIIQGYETHSFKSNFDSWPSGSANTNAEEGRGKVAALLKQQGMGVKGMAKNTPVNEAIPPLLEGGGKIEVWRINGDAKTALPKEEIGKFYSGDCYIVLYTYHTGERKEDFFLCCWFGKDSIEEDQTTATRLANTMFSSLKGRPVQGRIFEGKEPPQFVAIFQPMVILKGGLSSGYKNLLADKDASDETYTSESIALIRMGGTSIHNNKSVQVDAVPSSLNSFESFVLQSGSTVFIWHGNQCSFEQQQLAAKVAEFLRPGVTLKHAKEGTESSAFWSALGGKQAYTSKKVVNEVVRDPHLFGISFNKGKFKVEEVYNFSQDDLLPEDILVLDTHAEVFIWVGYSVDPKEKQNAFEIGQKYIDIAASLEGLSPHVPVFKVTEGNEPCFFTTYFSWDHAKAVVQGNSFQKKVALLFGIGHAVEDKSNGSSLGGPRQRAEALAALNNAFSSSSEKAPSMTQDRLNGLSQGGPRQRAEALAALNSAFNSSSGTKPVTPPKASGKGQGSQRAAAVAALSQVLTAEKKIITPDGSPVASSSPLTENSPTVLAAEAKSESSEVEEVAEAKETTEELAPETASNEDMEPKQEIVEESDGSQRTFTYEQLKTRSVNDLPGVDLNRREAYLSEEDFNAIFGMEKEAFYKLPRWKQDILKKKYELF >Vigun02g009700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3885046:3888593:-1 gene:Vigun02g009700.v1.2 transcript:Vigun02g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNTLLFFLLLTITICSSLASSHFEQLNVEEEMAATKLKNPSKETEELEEKQGDWKVQVLHRDKISTTPAKDHTTRFNQRMKRDVKRVSSIVISISHAYKEEAAEEASFGSDVVSGTEEGSGEYFVRIGIGSPATYQYMVIDSGSDIVWVQCQPCDHCYNQSDPIFNPALSASFAGVSCSSSVCDQLDDAGCHQGRCRYEVAYGDGSYTRGTLALETITLGRTLIRNTAIGCGTWNQGMFVGAAGLLGLGAGPMSFVGQLGGQTGGAFAYCLLSRGSASSGSLQFGRQALPLGAAWVPLIPNPFFPSFYYVGLSGLGVGGSRLNISEDVFRVTDLGDGGAVMDTGTAVTRLPTVAYHAFRDAFLAQTTNLPRAPGLSIFDTCYDLNGFVTVRVPTVSFYFSGGQILTLPARNFLIPADDAGTFCFAFAASPSGLSIIGNIQQEGIQISVDGDNGFVGFGPNVC >Vigun07g137500.1.v1.2 pep primary_assembly:ASM411807v1:7:24752062:24753829:-1 gene:Vigun07g137500.v1.2 transcript:Vigun07g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMTTVKPNLTKAEAKTAEGGGRAYVTFLAGNGDYVKGVVGLAKGLRKVKSMYPLVVAMLPDVPEEHRNILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDLPDNYFYAVKDCFCEPTWAHTPQYKIHYCQQCPDKVQWPTNFGPKPPLYFNAGMFVYQPNLATYKDLLQTVQVTKPTSFAEQDFLNMYFKDKYRPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDIYEDETLDYNYSLNAERFTEALLEAGGLNYVPAPSAA >Vigun05g050566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4345586:4347831:1 gene:Vigun05g050566.v1.2 transcript:Vigun05g050566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPGGNRRAHRRLPRQVVLPRPHQPQSHPLARGRRCRHRPVPQRLPHCQNRRPVPPQNGKTPQTLPHRNPTPPLPPPPSPHQQLHHRLTLLLGPLQIHGLHGKGPNKPHTDTTTATNPNTPTHNLNFPDDDNDDDDLYEEFKNAPGSNTRSLNKLYKNGFGSGSASGFRIRIPAGVPNHASSKFFNTPPPGMVPRNGAKRERESDAVAEMVGAIKVLRDGFVRMEQMKMEMAREIESMRMEMEMKRTEMILDSQQRIVEAFARAVSQKRSKGKSTPSPSSQP >Vigun11g223300.1.v1.2 pep primary_assembly:ASM411807v1:11:41428817:41431575:-1 gene:Vigun11g223300.v1.2 transcript:Vigun11g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTLWFSDNGERLGTFRGHNGAVWSCDVSRDSTRLITGSADQSAKLWSVQTGHQLYSFNFDSPARSVDFSVGDKLAVITTDPFMELPSAIHVKRIANDPTQQTGESVLLIKGPQGRINRAIWGPLNTTIISAGEDAVIRIWDSETGKLLKESDRESGHKKTVTSLAKSADGSHFLTGSLDKSARLWDTRSLTLIKTYVTERPVNAVAMSPLLDHVVIGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKL >Vigun04g032300.2.v1.2 pep primary_assembly:ASM411807v1:4:2658868:2660196:1 gene:Vigun04g032300.v1.2 transcript:Vigun04g032300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPATELVPPPSSPTISSISSSDLDTESTGSFFHDRSTTLGTLMGVNFPTITFRVPSQHRNPNSVAEVTGGARRTAAAVKKKKRAIVSTSTAAERRRKWWQLCRDGDTRSASLGEFLEVERRFGDGEFYGTAAELEGMVAGHQQRNGGRVLFVDGRVLPPTADVDDGARAAESLCNRFPVALAGICSGGAA >Vigun04g032300.1.v1.2 pep primary_assembly:ASM411807v1:4:2658794:2660250:1 gene:Vigun04g032300.v1.2 transcript:Vigun04g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPATELVPPPSSPTISSISSSDLDTESTGSFFHDRSTTLGTLMGVNFPTITFRVPSQHRNPNSVAEVTGGARRTAAAVKKKKRAIVSTSTAAERRRKWWQLCRDGDTRSASLGEFLEVERRFGDGEFYGTAAELEGMVAGHQQRNGGRVLFVDGRVLPPTADVDDGARAAESLCNRFPVALAGICSGGAA >Vigun08g043700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4558937:4561070:1 gene:Vigun08g043700.v1.2 transcript:Vigun08g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATMARFHNIKTFLLCYIFLVLPLTIAQPLSFNITNFNDTQSASLVGYAGVAKTENRSIVLNSLVDNGIGRAIYGLPLRFKNSSNGHVTDFSTRFSFTIDVSSRTDYGDGFAFYVAPLAYPIPSDSGGGGLGLYGANQDNIIAVEFDTFPNANVDPPMKHVGINNNSLVSLNSSLFDIDSNIGNMGHVLITYSASAKLLAVSWFFEETSSGFMPNTSLSYQIDLGEILPEWVTVGFSGATGLSNEENVIHSWELTSTLNSTQLNSKEKEGNNGITVKYKFSVQVVVVAVSCSVLFMLVVAAVSWFIIIQKRRNEHDFGFNGEAMPRRFGYEEIVAATNGFADDRRLGEGGTGQVYKGFLSDLGRVVAVKRIFSDVEDSEGIFTNEVKIISRLVHKNLVQLMGWCHEQGELLLVFEYMSNGSLDTHIFGNRRTLTWGVRYNIALGVARALRYLHEEVEQCVLHRDIKSSNVLLDTDFNTKVSDFGIAKLVDPRLRTQKTRVVGTYGYLAPEYVKEGRASKESDMYGFGVVALEIGCGRRTYQDGEYNHVPLTNWVWKKYVDGNILDAADEGLKGDYDVEEMRCLLTLGIWCTHPDHRQRPKAEQVINTLKQETPLPMLSL >Vigun08g015900.5.v1.2 pep primary_assembly:ASM411807v1:8:1338810:1339345:-1 gene:Vigun08g015900.v1.2 transcript:Vigun08g015900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKKKPQKTKELSVAIAEASSTIDDEKEQQEAEPQPQPQPQAPRKRGRPRKAIVKMEENEEEKAQVIEQNRGGSLSAQGTESSMEKVTTNEEEGTSSAACMRVAEQEEMQLPKVEPSRSRARRKSKPRKKNRYRN >Vigun09g260900.1.v1.2 pep primary_assembly:ASM411807v1:9:42618756:42621167:-1 gene:Vigun09g260900.v1.2 transcript:Vigun09g260900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTMVEEEEKEKEEEVIPLLTPFKMGKFNLSHRIVLAPLTRTRSYNFVAQPHAALYYSQRTTKGGLLIGEASGVSETAQGYPNTPGIWTRDQVEAWKPIVRAVHEKGGIFFCQLWHAGRVSNYVYQPNGKPPISSTNKAIEGSSTKYPSPHRLRTDEIPTIVDDFRMAAKNAIEAGFDGVEIHGANGYLLDQFLKDHVNDRDDEFGGSLENRCRFPLMVVKAIIDEIGSEKVGVRLSPFANYCDCKDSDPQALGIYMAQSLRKLDILYCHVIEPRMVTMFEKCETNVSLLPIRKAFGGTFIVAGGYSRSGGNKVVADGGADLVAYGRLFLANPDLPMRFEVDAELNKPDRSTFYTSDPVVGYTDYPFLQHDH >Vigun11g097451.1.v1.2 pep primary_assembly:ASM411807v1:11:28617981:28618802:-1 gene:Vigun11g097451.v1.2 transcript:Vigun11g097451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIMKSMNLNGLSNTQVSTLTLLSFVQEICLFQCHGLTIQIQFFLIKTQFVSDYITQKPLF >Vigun04g138400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34453250:34456659:-1 gene:Vigun04g138400.v1.2 transcript:Vigun04g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSYFFKILFVMLVCLQTKVSILGSSLNVKCIERERQALLNFKQGLQDDFGMMSTWSNDKNNTDCCIWNGVECNNETGHIQKLDLRGNDEVYLTGAVNITSLIYLENILILDLSYNNLTSSIFEGNFSLSSKLQELRLQNCGLTDKNFLVPSSTSIENSSSSLVFIDLSQNLLKSSAIFHWILNFLTNLRCLYLDANLLEDPIPDEFGKRMKSLEILSLNSNKLQGDIPVSFGDICTLQELYLYNNSLTGEISNFIQNSSWCNRHVFQNLDLSYNRISGMLHNLSIFSSLRMLDLSNNQLTGEIPKSIGLLYELDELHLEENYFEGYVNELHITNLSKLKDLDLSGNSLSVTFATTWIPTFQLMYLGLASCKLGPNFPSWLQTQSYLNSLDISDAEVDDYVPDWFWNKLQSISMMNISYNSLKGTIPNLPIKFIHDTFIVLKSNELEGGIPAFLSQAYTLDLSENKISDLNTFLCRRNATPNMHILNLSNNQIMGQLSDCWDHLNSLQFLDLSNNNLSGKIPQSMGTLVNLRALILRNNNLAELPSTLKNCTYLDILDLSENMFSYPIPSWIGESLQQLRILSLRVNHFFGSVPFHLCYLRQIHLLDLSRNNLSGGIPTCLRNFTAMKKREVISERLYNGYALYDSNVLLMWKGQEHVYWNPENLLRSIDLSSNILTGEIPEEFGYLLGLVSLNLSRNNLVGEIPSDIGNLNLLEFLDLSRNILSGKIPSALSKIDRLAVLDLSNNFLMGRIPWGRQLQTFDASSFEGNVDLCGEQLNKTCLGDKTTTKPQGVAIYEDGNSLFYGALYKSMGLGFVTGVWGLMGSILIWQPWRSAYLRFLNKVTDYILVMLK >Vigun03g254100.1.v1.2 pep primary_assembly:ASM411807v1:3:42057824:42060203:1 gene:Vigun03g254100.v1.2 transcript:Vigun03g254100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPNQCPLTGSLGNFVEKVKRVGTLFVSAIIGNILSAILTFCFALVGTLLGAMTGALIGQETESGFIRGAAIGAISGAVFSIEVFESSIVLWKSDDSGIGCALYLIDVFASLLSGRLVRERIGPAMLSAVQSQMGAVEISFDEVQNLFDIGVAKGLTRDSVEKIPTITITSDNNVDASGDRVSCSVCLQDFQLGETCRSLPHCHHMFHLPCIDKWLIKHASCPLCRRDL >Vigun03g254100.3.v1.2 pep primary_assembly:ASM411807v1:3:42057824:42060203:1 gene:Vigun03g254100.v1.2 transcript:Vigun03g254100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALIGQETESGFIRGAAIGAISGAVFSIEVFESSIVLWKSDDSGIGCALYLIDVFASLLSGRLVRERIGPAMLSAVQSQMGAVEISFDEVQNLFDIGVAKGLTRDSVEKIPTITITSDNNVDASGDRVSCSVCLQDFQLGETCRSLPHCHHMFHLPCIDKWLIKHASCPLCRRDL >Vigun03g254100.2.v1.2 pep primary_assembly:ASM411807v1:3:42058346:42060203:1 gene:Vigun03g254100.v1.2 transcript:Vigun03g254100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFGFVGNYSYRRRMHFGFIQFCSVLWGVKNANLNAVGTLLGAMTGALIGQETESGFIRGAAIGAISGAVFSIEVFESSIVLWKSDDSGIGCALYLIDVFASLLSGRLVRERIGPAMLSAVQSQMGAVEISFDEVQNLFDIGVAKGLTRDSVEKIPTITITSDNNVDASGDRVSCSVCLQDFQLGETCRSLPHCHHMFHLPCIDKWLIKHASCPLCRRDL >Vigun03g279500.1.v1.2 pep primary_assembly:ASM411807v1:3:45752919:45760134:1 gene:Vigun03g279500.v1.2 transcript:Vigun03g279500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKQQKKLSQKKQQQPQVKKLGKQADTSQFRTQLDALGLRIVEVTADGNCFFRALADQLEGNEEEHKKYRSMVVKHILDNREMFEPFIEDEVPFDEYCLSMENDGTWAGHMELQAASLVTRSNICIHRHMSPRWYIRNFDDHGVRMVHLSYHDGEHYNSVRLKDDPCDGPARPIVIKVDADLSAPSHEMKVMNNKTRGRAGKETFQPGSIKLVMAGTGCENAEKVEQILGQVNGDVDAAIEFLIAEQGTEECSANSDSLPSGANTYGLDENENHKQHEEDKVEDSTNDESNNSSKKTNIKLQPNDKIPRNKVCPCGSKKKYKACCGSALGKQSAKFLVNQAADARRGKNERKRGEKGISAKVEVPSEYDLVTPDVGALCI >Vigun01g068800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18488049:18489563:-1 gene:Vigun01g068800.v1.2 transcript:Vigun01g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMILLSLEPLLSFFFLLILFYTALVEFRRRNKFSGTGPATYPLIGCLISFYKNKHRLLDWYTELLGESPSNTIVVQRLGARRTIVTANPQNVEYILKTNFNNFPKGKPFTEILGDFLGQGIFNVDGELWLTQRRFVSHEFSTKSLREFVMHTLEREVCERLLPVLEALSDENKVVDLQELLGRFSFNVICKFTLGTNRCCLDPSVPTCSLARAFDVAAEVSARRGAAPLFMIWRVKRWLGAGSEGLLRKAVGEVERHVMRVIRERKEKREESEENGVVEDLLSRLLCAGHEEELIKDMVISFIMAGRDTTSAAMTWFFWILSHYSHMEKQIVEEAKGVLDYESVKNLSFLKACLCESMRLYPPVAWDSKHASGDDVLPDGTLVKAGDRVTYFPYGMGRMEALWGKDCFEFRPDRWFLEPGNSEGIMLNEVSPFLFPVFQAGPRVCLGKEMAFIQMKYVVASILSRFKFTIVAPQRPIFVPLLTAHMAGGLRVLVSKREKTE >Vigun02g080750.1.v1.2 pep primary_assembly:ASM411807v1:2:23337729:23338847:-1 gene:Vigun02g080750.v1.2 transcript:Vigun02g080750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding QIIPFSAFWLRSSVVSVLISLISDMWTICSHDIKFIFQGERTIKVACYLVSRASPLSFTDAKVWCIPPKII >Vigun01g101600.2.v1.2 pep primary_assembly:ASM411807v1:1:26908884:26914829:-1 gene:Vigun01g101600.v1.2 transcript:Vigun01g101600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPGSLTLCELNRDLITVDALSDDQANQTYGKILGSVFSPVPFHSLESEASDQEGATTTAGETVQRKGPVALLQGLVNTYLGRLFYPNDVHLLPEVDLQGVSWHPNKHVIAFISAPTQVLVRDYQESEGKDPSILANESHRDVRVLEWRPNGGKMLAVGCKGGICIWAASYPGNAASVRSGAASFLGSLSRSSGNRYILVDFLRSPYDEHVSALTWSPDGRYLASGSYESSSFTVWDFAQGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSTSGFVKGATWDPDGRMILLAFSESSTLGSVHFASKPPSLDAHLLPVDLPEILSLTGRELKR >Vigun01g101600.1.v1.2 pep primary_assembly:ASM411807v1:1:26908884:26914829:-1 gene:Vigun01g101600.v1.2 transcript:Vigun01g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPGSLTLCELNRDLITVDALSDDQANQTYGKILGSVFSPVPFHSLESEASDQEGATTTAGETVQRKGPVALLQGLVNTYLGRLFYPNDVHLLPEVDLQGVSWHPNKHVIAFISAPTQVLVRDYQESEGKDPSILANESHRDVRVLEWRPNGGKMLAVGCKGGICIWAASYPGNAASVRSGAASFLGSLSRSSGNRYILVDFLRSPYDEHVSALTWSPDGRYLASGSYESSSFTVWDFAQGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSTSGFVKGATWDPDGRMILLAFSESSTLGSVHFASKPPSLDAHLLPVDLPEILSLTGSQGIEKIAWDNSGERLAISFKGGDDMYRGLIAIYDTRRTPLISTSLIGFIRGPGDSPKPITFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRSHMLP >Vigun06g048300.1.v1.2 pep primary_assembly:ASM411807v1:6:17263334:17269552:1 gene:Vigun06g048300.v1.2 transcript:Vigun06g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETFTAPKFVFLLLFSFRHFEKVIHLRALPLSTCFSGTHRSVSGCYHQASLVSALKGILWSLCWLRVACYRGRF >Vigun06g000650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:312954:313172:-1 gene:Vigun06g000650.v1.2 transcript:Vigun06g000650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVFAAAATATNYGSASPWSSDQVSLVHVGDAFAVEAFSSHAIVVVSGHDDGYRFGSMVVSCSRIQGSRW >Vigun01g034300.1.v1.2 pep primary_assembly:ASM411807v1:1:4460645:4463672:-1 gene:Vigun01g034300.v1.2 transcript:Vigun01g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLYESASGYALFEAHGLDEIGQNTEAVRNSVSDLNRFGKVVKLSSFNPFTSALDALKQCNAVSEGILTDELRTVLETNLPKVKEGKKAKFSLGVSDPKIGSQISEVTKLPCQSNEFVSELLRGVRLHFDTFIGDLKAGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKVSKFIEDKAKLTEDKIPHLTEIVGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMDLAEYRKNLYDYLVAKMSDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFSERGTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMQSAIETAENKDTEMETEAPTEVSGKKGKKKKQKAAADEGSDMIVDKPTEVTNGDAVEDHKSEKKKKKKEKRKLEQETARDNEAEDDGANGVVSEQDGTAKKKKNKKVNNVVETGEESKKKKKKSKRKPSEQ >Vigun04g007300.1.v1.2 pep primary_assembly:ASM411807v1:4:514759:519028:-1 gene:Vigun04g007300.v1.2 transcript:Vigun04g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIPSSLSSSYLKLLFQSLVILLLVFTHANSESQSQLHDQEHAILLRIKQYLENPSYLSHWTSSNFSHCSWPEITCNNDDSVTGLTLSNNSIIQTIPSFICDLKNLTHVDFYNNLIPGEFPIHLLNCSKLEHLDLAMNNFVGSIPRDIGNLSNLQFLNLGYTNFSGDIPSTIGNLKELTNLQLQNCLFNGTTPSEIGNLSNLEFLELSSNPMFPPSRLHDNWMRLNKLKYFFMFDCNLVGEIPETIGNMVALERLDLSQNDLSGEIPGGLFMLKNLNIIFLSRNNLSGVIPDVVEALNLTIIDLSQNSLSGKIPEGFGKLQHLTGLALSINNLQGEIPHSIGLLPSLIDFKVFSNNLSGTLPPDFGRNSMLRSFLISNNGFSGKLPENLCYNVHLENLTTYENYLSGELPQSLGNCSSLTDLKIYSNEFSGSIPSGLWTLNLSTFMVSHNKFTGELPERLSSSISRLEIDYNQFYGRIPTGVSAWTNVVVFIASKNNLNESIPRELTALPKLTTLLLDQNQLTGSLPSDIISWKSLGNLNLRQNQLSGHIPDSIGHLPNLNQLDLSENQFSGQIPSIPTRLTNLNLSSNYLTGRVPSAFENSAFETSFLNNSGLCSDTPALNLRSCNYSSESESKDSSWSVALIISLVVVACFLALLALFLSIRYYRKRKSGLDRSWKLISFQRLSFTESNIVSSLTENNIIGSGGYGAVYRAAVDDLGYVAVKKIWDNKKIDKKLEDSFHTEVKILSSIRHRNIVKLMCCVSKEDSMLLVYEYVENRSLDRWLHRKNKSSSAVSGSVHHVVLDWPKRLHIATGTAQGLSYMHHDCSPPIVHRDVKTSNILLDDQFNAKVADFGLARILMKPGELATMSAVIGSFGYMAPEYIQTTRVSEKIDVFSFGVILLELTTGKEANYGDEHSSLAEWAWRHHQLGSNIEELLDKEVMESSYLGEMCKVFKLGVMCTATLPSTRPSMKEVLQVLLSCEESFSKGESNISHCDDDVPFLKNSRREHKLDIDNDS >Vigun10g192500.1.v1.2 pep primary_assembly:ASM411807v1:10:40662224:40670379:-1 gene:Vigun10g192500.v1.2 transcript:Vigun10g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPTPLAGWMSNPTTVAHAAVSGGGAIGLGAPSIPAALKHPRTPPTNPSVDYPSGDSEHVAKRTRPIGISDEVNLPVNVLSATFPGHGQHSQAFNTPDDIPKAVVRTLNQGSAPMSMDFHPVQQSLLLVGTNVGDIALWEVGSRERLVSRNFKVWDLSACSMPFQAALVKDPGVSVNRVIWSPDGALFGVAYSRHIVQIYAYQGGDEIRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIRVWDAASGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQLLTTVDADGGLPASPRIRFNKDGTLLAVSANENGIKILANGDGIRLLRTLENSLYDASRASEALTKPTINPISAAAAAAAATSAALAERASSVVAITGMNGDTRNMGDVKPRISEESNDKSKVWKLTEINEQSQCRSLKLPENVRVTKISRLIYTNSGNAILALASNAIHLLWKWQRNERNSSGKATATLQPQLWQPSSGILMTNDIADSNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQICVWNTDGWEKQKSRFLQLPPGRTPPAQSDTRVQFHQDQIQFLVVHETQLAIYEATKLEGLKQWCPRDSSAPISHATFSCDSQLIYASFLDATICVFSASNLRLRCRINPSAYLPASVSSSVQPLVIAAHPQEPNQFAVGLSDGGVHVFEPLESEGKWGVPPPIENGSASNVAATSVGPSSDQPQR >Vigun08g088200.2.v1.2 pep primary_assembly:ASM411807v1:8:19987738:19993546:-1 gene:Vigun08g088200.v1.2 transcript:Vigun08g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPQRVLVDSICVDSGKHCSSRIPALKNCLIFYALNTAWKPGMGVVTVSTSAARTPLRLSAKLISRQQAHKRLLIVAFKGNKSNKTTLVSPQEQIPLPVETAKKQRKRIEKSNESLKRVRAVSTDETTPFTMVVNYNEAAAKLENIYKLSSVSDACKTEDIDSKTKRVFRRRKKVGDEHDDRNDDNVVRNLNKKAKRLSLDKRIALKRNAKEKVVVQAQKKRDVNRVEKIDALIREYSASTDLVSMDWKKMKIPPVLPSSEHAWLFKLMEPMKALLQVREDLQAAGKEISDGELADAKSISIVHVRKAIEVGQAARNKLIKHNLRLVLFVINKYFQDFASGPRFQDLCQAGVKGLITAIDRFESKRRLQLSTYSLFWIRHSIVRSITLSSFTRVPFGLDRVRADIHRAKLELTFEHQRSPTEEEVLKRIGISPERYYDVLRASKPILSLHSRHITTQEEFINGITDLDGVDGDNRRQVAVLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARLDYLRRYVV >Vigun08g088200.1.v1.2 pep primary_assembly:ASM411807v1:8:19986642:19993546:-1 gene:Vigun08g088200.v1.2 transcript:Vigun08g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPQRVLVDSICVDSGKHCSSRIPALKNCLIFYALNTAWKPGMGVVTVSTSAARTPLRLSAKLISRQQAHKRLLIVAFKGNKSNKTTLVSPQEQIPLPVETAKKQRKRIEKSNESLKRVRAVSTDETTPFTMVVNYNEAAAKLENIYKLSSVSDACKTEDIDSKTKRVFRRRKKVGDEHDDRNDDNVVRNLNKKAKRLSLDKRIALKRNAKEKVVVQAQKKRDVNRVEKIDALIREYSASTDLVSMDWKKMKIPPVLPSSEHAWLFKLMEPMKALLQVREDLQAAGKEISDGELADAKSISIVHVRKAIEVGQAARNKLIKHNLRLVLFVINKYFQDFASGPRFQDLCQAGVKGLITAIDRFESKRRLQLSTYSLFWIRHSIVRSITLSSFTRVPFGLDRVRADIHRAKLELTFEHQRSPTEEEVLKRIGISPERYYDVLRASKPILSLHSRHITTQEEFINGITDLDGVDGDNRRQVAVLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARLDYLRRYVV >Vigun08g088200.3.v1.2 pep primary_assembly:ASM411807v1:8:19986642:19993546:-1 gene:Vigun08g088200.v1.2 transcript:Vigun08g088200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPQRVLVDSICVDSGKHCSSRIPALKNCLIFYALNTAWKPGMGVVTVSTSAARTPLRLSAKLISRQQAHKRLLIVAFKGNKSNKTTLVSPQEQIPLPVETAKKQRKRIEKSNESLKRVRAVSTDETTPFTMVVNYNEAAAKLENIYKLSSVSDACKTEDIDSKTKRVFRRRKKVGDEHDDRNDDNVVRNLNKKAKRLSLDKRIALKRNAKEKVVVQAQKKRDVNRVEKIDALIREYSASTDLVSMDWKKMKIPPVLPSSEHAWLFKLMEPMKALLQVREDLQAAGKEISDGELADAKSISIVHVRKAIEVGQAARNKLIKHNLRLVLFVINKYFQDFASGPRFQDLCQAGVKGLITAIDRFESKRRLQLSTYSLFWIRHSIVRSITLSSFTRVPFGLDRVRADIHRAKLELTFEHQRSPTEEEVLKRIGISPERYYDVLRASKPILSLHSRHITTQEEFINGITDLDGVDGDNRRQVAVLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVSLCQQDRRIALVGVKGEP >Vigun07g077400.2.v1.2 pep primary_assembly:ASM411807v1:7:10426063:10431325:-1 gene:Vigun07g077400.v1.2 transcript:Vigun07g077400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSELRKTVEEMEVVDGHSHNIVAFYSNSISSGFVQAFTVGASADPDAAAFAQTTLSFKRGLRDVAELYGTEVSLQSVEEYRKVSGIESITSTCLKTAKFSAILIDDGIEMDKRNEIQWLKNFTPLVGRILRTERVAEQILEQGLSNGSSWTIDSFTEAYVSKLRSVAKEIYALKSIVAYYGGLEINLKVTKTEAEESLRQVLINATKPIYLLFHRNLVDYLFLQSLEIALSYDLPMQIHTGFGDRLLDLRKSNPLHFRSVLEDKRYSKCRFVFLHASHPFSKEASYLASVYSQVYLDFGLAIPKLSMHGMISAVKDLLTLAPINKVMFSTDAYTFPETFYLGAKNSREVVFSVLRDACNDGELLISEAVEAAKDILARNAIRFYKINSTNITVP >Vigun07g077400.1.v1.2 pep primary_assembly:ASM411807v1:7:10426064:10431324:-1 gene:Vigun07g077400.v1.2 transcript:Vigun07g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFSFQLPILFTISSLAILSNPRVTAIEDLNLVEREGMDLSELRKTVEEMEVVDGHSHNIVAFYSNSISSGFVQAFTVGASADPDAAAFAQTTLSFKRGLRDVAELYGTEVSLQSVEEYRKVSGIESITSTCLKTAKFSAILIDDGIEMDKRNEIQWLKNFTPLVGRILRTERVAEQILEQGLSNGSSWTIDSFTEAYVSKLRSVAKEIYALKSIVAYYGGLEINLKVTKTEAEESLRQVLINATKPIYLLFHRNLVDYLFLQSLEIALSYDLPMQIHTGFGDRLLDLRKSNPLHFRSVLEDKRYSKCRFVFLHASHPFSKEASYLASVYSQVYLDFGLAIPKLSMHGMISAVKDLLTLAPINKVMFSTDAYTFPETFYLGAKNSREVVFSVLRDACNDGELLISEAVEAAKDILARNAIRFYKINSTNITVP >Vigun05g263701.2.v1.2 pep primary_assembly:ASM411807v1:5:45611563:45616160:-1 gene:Vigun05g263701.v1.2 transcript:Vigun05g263701.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVVVGSSISEPHHDMEFESHEAAYAFYKEYAKSAGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFVKEHNHELLPAQAHFFRSHRSSDPLGNDVRVRRRKTSNAVSKLFSAYQNVDCLENFVKHQHDKGRSLVLEAGHAQLLLELFMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGLEDFTYFSDVVSFDTTYFTSKYKIPLVFFLGVNHHIQPTLLGCALIADETIFTYAWLLQTWLIAMGERAPQVLLTDQNDAIKAAVANLLPGTRHCFCLWHILEKIPKQLQILGAWHDSFMEKFNKCIYKSWNEEQFEKRWWKLVDSFSLGDVEWVRSLYDDRTYWVPTFMKDISFAGLSTSSRSESLNSLLDNSIHVDSSLREFIEQYRVILEDRHEEEAKANFDAWHETPDLKSPSPFEKQMLLVYTHEIFRKFQVEVLGAAACHLKKESDGVTTTYTVKDFENNQNYLVEWNTSSSDICCSCRLFEYKGYLCRHAIVVLQMSGVFSIPPKYILKRWTNAAMSRHPIGEKLEEIQSKVRRFNDLCRRAIILGEEGSLSQESYYMALGAISEALKQCVNFSNPVESGMRPDASGTHVVCNVEEEYQSIITSNNKAPDPKLNVGKKAVRTGVAFRSQGSIENSGGDKGKVSLVEAGSGKDGFQHMELTDPRSQGVMPMQFHNVVPSLFHNVSAPFHTAPSPHMHENP >Vigun05g263701.1.v1.2 pep primary_assembly:ASM411807v1:5:45611563:45616160:-1 gene:Vigun05g263701.v1.2 transcript:Vigun05g263701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVVVGSSISEPHHDMEFESHEAAYAFYKEYAKSAGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFVKEHNHELLPAQAHFFRSHRSSDPLGNDVRVRRRKTSNAVSKLFSAYQNVDCLENFVKHQHDKGRSLVLEAGHAQLLLELFMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGLEDFTYFSDVVSFDTTYFTSKYKIPLVFFLGVNHHIQPTLLGCALIADETIFTYAWLLQTWLIAMGERAPQVLLTDQNDAIKAAVANLLPGTRHCFCLWHILEKIPKQLQILGAWHDSFMEKFNKCIYKSWNEEQFEKRWWKLVDSFSLGDVEWVRSLYDDRTYWVPTFMKDISFAGLSTSSRSESLNSLLDNSIHVDSSLREFIEQYRVILEDRHEEEAKANFDAWHETPDLKSPSPFEKQMLLVYTHEIFRKFQVEVLGAAACHLKKESDGVTTTYTVKDFENNQNYLVEWNTSSSDICCSCRLFEYKGYLCRHAIVVLQMSGVFSIPPKYILKRWTNAAMSRHPIGEKLEEIQSKVRRFNDLCRRAIILGEEGSLSQESYYMALGAISEALKQCVNFSNPVESGMRPDASGTHVVCNVEEEYQSIITSNNKAPDPKLNVGKKAVRTGVAFRSQGSIENSGGDKGKVSLVEAGSGKDGFQHMELTDPRSQGVMPMQFHNVVPSLFHNVSAPFHTAPSPHMHENP >Vigun11g026500.1.v1.2 pep primary_assembly:ASM411807v1:11:3434872:3436443:1 gene:Vigun11g026500.v1.2 transcript:Vigun11g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCIDQSTYPDYYFRITNSEHMTGLKEKFQRMCDKSMIKKRYMHLTEDILKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNKAARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFNPLNISDYNSIFWIAHPGGPAILDQVEEKLGLKAEKMKATRDVLSEYGNMSSACVLFILDEMRRKSAKNGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun07g067600.1.v1.2 pep primary_assembly:ASM411807v1:7:8009371:8010179:-1 gene:Vigun07g067600.v1.2 transcript:Vigun07g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSGMSTPRGTPTRSSRQGSPRIVKDIESLDISRIYQTIQCSMRSQGLSFAAIELVLESSVSTVEEREAMG >Vigun02g165900.5.v1.2 pep primary_assembly:ASM411807v1:2:31016760:31019278:1 gene:Vigun02g165900.v1.2 transcript:Vigun02g165900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDKFTGSVEGLAFQSLSISTISELLSKQHWSELRPLFRTTKPTIFIDQLFNAGVDSELVLRFFQWSQKEFRISYGLETTAKILHLLANSKKYSKVRSFLDKFVKNEKHTVSSVFHSLLSVGVRPCTNALIIDMLILGYARNLQIRAACETFRRSHDYGYKLSLNSCNSLLSGLVKENETGEMEYVYNEMIKRRIMPNLITFNIYINGLCKAGKLNKAEDVIEDIKAWGFSPNVVTYNTLIDGHCKKGSAGKMYRADAILKEMLANKISPNERSFNSLIDGFCKDENVLAAKKALEEMQRLGLKPNLVTYNSLINGLSTNGKLDEAIALWDKMDGIGLKPNIVTYNVLINGLCKRKMMWEARKLFDDIARQGLVPNAITFNTLIDAFCKDGMVEDAFAMHKSMREEGIFPNVSTYNTLIAGLCRNQNGRAAKDLLNEMLTNGLNADVITYNILIDGCCKENESSKGEKLLGEMVNLGVKPNHITYNTLMDGYCMEGNVKAALKVRTQMEKEGKRANVATYNVLIKGFCRTGKLEDANRLINEMLEKGLNPNRTTYDIVRLEMLDKGFVPDIEGHLYNISSRS >Vigun02g056800.8.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRVHASILRRGRHQPCIQQFKLSLNNYCNGLSDSL >Vigun02g056800.9.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRMSRMFHSEELLETHSELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.2.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.1.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.3.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.4.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.10.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRMSRMFHSEELLETHSELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.6.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRMSRMFHSEELLETHSELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.5.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRMSRMFHSEELLETHSELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRGIYNIQYFIVGIIPYWFRLAQCMRQFYEEGDINRAFNSLNYLSTITAMVFRTAFELKKGNSWKVLALVFSALAVLQNTYWDIVKDWGLLQRLSNNPYLRDKLILPHRSIYFIAMVVDIALRISWMQLVFEMDWSPLRKVAIITVTSCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYYDEEKDKDE >Vigun02g056800.7.v1.2 pep primary_assembly:ASM411807v1:2:20069965:20075292:-1 gene:Vigun02g056800.v1.2 transcript:Vigun02g056800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKDFKQQMVPEWEKEYMDYEGLKRILKEMKSSKQSKHNRSLQHRLKLERAFSGIHLQGSNHQREGNIEEEVIEVKTLEEEEGSKELYKTDFHKLHEEGGEAEARLFQKLDEELNKVNAFYKDQVEAANHESNLLSKQVEALVALRVKVKSPDAELKQIVSSPKEAADQNHQQKDSMTGPEVDPVRQTNRNIHHHEEQVEAHNNYKRRDPLEILEHVKVDDSLQSPKSTIKKAFTDSSDNDNELSISKEELKKIEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKTYEKRTSRAASTAYMTVVDNSYLGSSDEVNFLLEKVESTFIRNFTHSNHKKGRKLLRQKAKTEKQSITFFTGFFCGCFLALLVATILRITSQQFIKKKKGTFYMENIFPLYSLFGYITLHMLVYAANTYYWKRYRVNYPFLFGFRAGTELDYRQIFLLTSGLAVVALLCFLINLQIEMNSRSQQYKTLTELVPLILVVLVLLITFCPLNILYRSSRFFFIRCLFRCICAPFFTVRLADFFLADQLTSQFQAFKSVELYICYYGLGEHSRRQKKCHTRVHASILRRGRHQPCIQQFKLSLNNYCNGLSDSL >Vigun06g210500.1.v1.2 pep primary_assembly:ASM411807v1:6:32353412:32357662:1 gene:Vigun06g210500.v1.2 transcript:Vigun06g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSISVVFLFSFISLHHLSSLAASSKISITQDVVIRDRDRETLVSEELNFTMGFFNLDNSSSRYVGIWYHNIPATPVIWVANGDNPINGTGGSITIANDGNLVVLDGARNLVWSTQVSIDSSSKNCSAMLRETGNLVLSCDRKDMWQSFENPTDTFMPGMRVPVGASTGKSSGYVFTSWKSSTDPSKGNYTMGVDPKGLPQIVVWEGDKRRWRTGYWDGIMFEGVSMAGYYLYGFTLNGDGKGGRYFIYNPLNGTDMVRFQMGWDGYEREFRWNEDEKRWDVVQRGPSHECEVYNKCGSFAACDMSPSNLPVCTCIRGFEPKNRDQWDKGNWSGGCTRMTPLKAQRTNVTSAGTTQVSVGEDKFLERTSMRLPDFASVVGTNDCQKVCLNDDSCTAYANVNGIGCMVWNVDLVDIQDYDSGGNTLHIRLAHSELDGGKKNMIAIISAVVAGLICLGIFVWLVYRFKGKLKVLPTVSSASCCKNSDVLPVYDGSKSRDMSAEFSGSADHSLEGSQLSGPEFPLFNFSCISIATNNFSEENKLGKGGFGPVYKGKLPGGEQIAVKRLSRRSGQGLEEFKNEMMLIAKLQHRNLVRLMGCSIQGEEKLLVYEYMPNKSLDCFLFDPFKQTQLDWTRRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLARIFGGNQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEILSGRRNTSFRHSDDSSLIGYAWHLWNEQRAMELIDPCIRDCSPKNRALRCIHIGMLCVQDSAAQRPNMSAVVLMLESEATTLPTPTQPLITSMRRSDDRQFYMDGLDVSNDLTVTMVVGR >Vigun06g210500.2.v1.2 pep primary_assembly:ASM411807v1:6:32353412:32357658:1 gene:Vigun06g210500.v1.2 transcript:Vigun06g210500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSISVVFLFSFISLHHLSSLAASSKISITQDVVIRDRDRETLVSEELNFTMGFFNLDNSSSRYVGIWYHNIPATPVIWVANGDNPINGTGGSITIANDGNLVVLDGARNLVWSTQVSIDSSSKNCSAMLRETGNLVLSCDRKDMWQSFENPTDTFMPGMRVPVGASTGKSSGYVFTSWKSSTDPSKGNYTMGVDPKGLPQIVVWEGDKRRWRTGYWDGIMFEGVSMAGYYLYGFTLNGDGKGGRYFIYNPLNGTDMVRFQMGWDGYEREFRWNEDEKRWDVVQRGPSHECEVYNKCGSFAACDMSPSNLPVCTCIRGFEPKNRDQWDKGNWSGGCTRMTPLKAQRTNVTSAGTTQVSVGEDKFLERTSMRLPDFASVVGTNDCQKVCLNDDSCTAYANVNGIGCMVWNVDLVDIQDYDSGGNTLHIRLAHSELDGGKKNMIAIISAVVAGLICLGIFVWLVYRFKGKLKVSSASCCKNSDVLPVYDGSKSRDMSAEFSGSADHSLEGSQLSGPEFPLFNFSCISIATNNFSEENKLGKGGFGPVYKGKLPGGEQIAVKRLSRRSGQGLEEFKNEMMLIAKLQHRNLVRLMGCSIQGEEKLLVYEYMPNKSLDCFLFDPFKQTQLDWTRRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLARIFGGNQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEILSGRRNTSFRHSDDSSLIGYAWHLWNEQRAMELIDPCIRDCSPKNRALRCIHIGMLCVQDSAAQRPNMSAVVLMLESEATTLPTPTQPLITSMRRSDDRQFYMDGLDVSNDLTVTMVVGR >Vigun02g133900.1.v1.2 pep primary_assembly:ASM411807v1:2:28426523:28430356:1 gene:Vigun02g133900.v1.2 transcript:Vigun02g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIFSRPNATIYCRIREALTRQQGVPAFLYRSSGLSLCSLYSTFFLPGSIHCSSSHTLIPKSMATASHCNAVLGDVYVNGLISGCASVQDFTKPAVVSFKDKNLKGCLRGSGNLRRPQPSFGSLSFGSSTFDGNWRIRDFSLLHGSWLKNFSTSSSACPSAGAARAVSFDGSPPDEQLANSSFSPDETTVGGKTLKMLSGSCYLPHPDKEETGGEDAHFICADEHAIGVADGVGGWADVGVNSGLFSRELISNSVRAIQEEPQGSFNPTRVLEKAHSNTKAKGSSTACIVALTDKGLHAINLGDSGFIVVRDGCTIFQSPVQQHDFNFTYQLESGYGGDLPSSAEVFTIPVASGDVVIAGTDGLFDNLYNSEITAVVVHAIRAGLEPQVTAQKIAALARQRALDPSRPTPFSTAAQEAGFRYYGGKLDDITVVVSYISGSLSE >Vigun02g133900.2.v1.2 pep primary_assembly:ASM411807v1:2:28426523:28430356:1 gene:Vigun02g133900.v1.2 transcript:Vigun02g133900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGIFSRPNATIYCRIREALTRQQGVPAFLYRSSGLSLCSLYSTFFLPGSIHCSSSHTLIPKSMATASHCNAVLGDVYVNGLISGCASVQDFTKPAVVSFKDKNLKGCLRGSGNLRRPQPSFGSLSFGSSTFDGNWRIRDFSLLHGSWLKNFSTSSSACPSAGAARAVSFDGSPPDEQLANSSFSPDETTVGGKTLKMLSGSCYLPHPDKEETGGEDAHFICADEHAIGVADGVGGWADVGVNSGLFSRELISNSVRAIQEEPQGSFNPTRVLEKAHSNTKAKGSSTACIVALTDKGLHAINLGDSGFIVVRDGCTIFQSPVQQHDFNFTYQLESGYGGDLPSSAEEM >Vigun03g431000.2.v1.2 pep primary_assembly:ASM411807v1:3:63544852:63551155:-1 gene:Vigun03g431000.v1.2 transcript:Vigun03g431000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTRTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSSREFKDIYVVFELMESDLHQVIKANDDLTAEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDFLGTPSPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNVDPLALRVLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPCSQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGEEPTGFMYPSAVDHFKKQFAYLEEQYGKGGTVTPPERQHASLPRPCVLYSDNEKQNMAVVADDLSKCRIREVEKPAIDKNGGIPMTRLPLQAPQNIQGVAARPGKVVGSVLRHNNRGVAVTTETEQRKTGKNPSVSAKYAAQSCSYPRRNPNCKIERAVEGIEGANGLQTKPQYLARKVAAAQGGPGGNWY >Vigun03g431000.1.v1.2 pep primary_assembly:ASM411807v1:3:63544852:63551155:-1 gene:Vigun03g431000.v1.2 transcript:Vigun03g431000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTRTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSSREFKDIYVVFELMESDLHQVIKANDDLTAEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDFLGTPSPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNVDPLALRVLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPCSQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGEEPTGFMYPSAVDHFKKQFAYLEEQYGKGGTVTPPERQHASLPRPCVLYSDNEKQNMAVVADDLSKCRIREVEKPAIDKNGGIPMTRLPLQAPQNIQGVAARPGKVVGSVLRHNNRGVAVTTETEQRKTGKNPSVSAKYAAQSCSYPRRNPNCKIERAVEGIEGANGLQTKPQYLARKVAAAQGGPGGNWY >Vigun09g090915.1.v1.2 pep primary_assembly:ASM411807v1:9:12579674:12584584:1 gene:Vigun09g090915.v1.2 transcript:Vigun09g090915.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTDAAKSKSEDASGVTTGIILSLRESLQNSKDTLVMCQNELEAAKLEIQNWHSTLKNEPSLPAGITSDPKVLNDYLQSLKSSVASLKQQIEKAKRKEDAFMVTFAKREKYMSELKSVEQDLKVQLKPPSMEAKRFLLDPAVREEFTRLKNLVDEKDRKVKELEDEITALSFTTQSKEGKMLIDKCRKLQAENEKLGEATSEEKIHEIESKLALQKLQNSQLKSHFEGLQKHMEGLTNDVQISNEIVLILQDKIEEKDREVQRLKNELQQKNLEDEDLMQK >Vigun07g079500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11054254:11056612:1 gene:Vigun07g079500.v1.2 transcript:Vigun07g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLLQSPLSPFQRAATTNAEPRDQKLLSLLRDRKTEEAWHAYANSTHLPNPTCLSRLVCQLSYQNTHSSLTRAQSIVTRLRSERQLHRLDANCLGLLAVAATKAGHTLYAASVLRSMIRSGYLPHVKAWTAVVARLSSAPDDGPVEALKLFRSVTRRLRKLPDKDMAAASRPDTTAINAVLNACANLGDAKAFLQVFDEMPQFDVAPDALSYNTMMKLCCKIGRKDLLLFVLERVLQLGIPFCVTTLQSLVSAYVEFGDLETAEKLVQAMREQRRDICRVLRECSNLEYLSGNESDYDNSNNDEDEDCVFEKLLPNLVDQSGNEAEPPLLPKGYAPNTRTYTTLMKGYMNAGRVSDTVRMLEAMRRQDDKGSHPDHVSYTTVVSALVKVGSMDRAREVLAEMTRIGVPANLITYNILLKGYCKQLQIIEAKELLKEMVDDAGIQPDVVSYNILIDGCILVDDSAGALSFFNEMRARGIAPTKISYTTLMKAFAYSGQPKLAHKVFNEMDSDPRVKVDLIAWNMLVEGYCRLGLVEEAKKVVQKMKESGVHPDSSTYGSLANGIALARKPGEALLLWNEVKERCEMRKEGEKSDSSVPPLKPDGGLLDTLADICVRAAFFRKALEIVACMEENGIAPNKTKFTRIYVEMHSRMFTSKHASRARQDRRIERKRAAEAFKFWLGLPNSYYDGSEWRLEPMEGYGTTSDSV >Vigun09g104200.1.v1.2 pep primary_assembly:ASM411807v1:9:18839797:18842187:1 gene:Vigun09g104200.v1.2 transcript:Vigun09g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAISSIRSQFPRNVNDSRKKNVSFYAPCNITNVFNNKRRFLSVTASYSDNPRKMDTASRVNVNGIHVAEAPLRVGRLAKESAADEAIVTSLRGRFVEDKFVFRQIFVIRSYEIGPDKTATMETLMNFLQETALNHVTSSGIGGEGFGATREMSLRKLIWVVTRIQLQVQKYNKWGDEIEVDTWVDAAGKNGMRRDWIIRDHYTKEIITRATSTWVIMNRQTRRLSKIPEEVKQELVPFYLNRLAVPTEEVDCERIDKLTDDTAERIRSGLAPRWNDMDANQHVNNVKYIGWILESVPIEVLEHYNMTSMTLEYRRECTQSNIVESMTCPTARVFESNNNSKNRKPNLQYTHLLRLQHDKADVVRARTEWNFKQSQQ >Vigun05g032800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2625222:2627157:1 gene:Vigun05g032800.v1.2 transcript:Vigun05g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVHVFNVAPTSESKELPTQTTLPLTFFDILWLRLPPVQRIFFYHFPHPTPLFFDTLLPKLKRSLSLALAHFFPLAGHLTWPLHSQNPIITYKTGDTVSLTVAESHADFDRLAGTDLSEAREIHHLLPHLTISHDQASVLALQVTLFPNSGFSIGITSHHAVLDGKTSTSFIKAWAYLCRDQPEPESPFSLPPELSPFFDREVVQVHDPNHLEQKFRSDWLRQGGPDNRSLVVWDLQVPDDATRGVFHLGRSDIEKLKQIVVSKKKGNSSNLRLSTFVLTVGYAWVCRVRAEETKNKTVILALNIDCRNRLEPPVPATYFGNCVGARLAIAETKELLGEDGFIVAVDAVNESLETLKEGALSGAENWSKWLHESFKDDVKIVGVAGSPRFDAYGNDFGWGRPKKVEMASIDKTAAFCLSDSRNGDGVEIGFVSTKEAMHAFAYLFLNGLHQS >Vigun08g011300.2.v1.2 pep primary_assembly:ASM411807v1:8:993482:997085:-1 gene:Vigun08g011300.v1.2 transcript:Vigun08g011300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFFFCLISTFLLSTQGTLTLTPNESIQGNKTMVSSAGTFEAGFFKFGNSQGQYFCIWYKNISPKTIVWVANRDAPVKNSTPFFTLTEEGVPVIRDASGSVLWFSNSSRNSKKPVMQLLDSGNLVVQDGDSTKDLLWESFDYPGDTFLAGMKLRTNFVSGPFRFLTSWRNAEDPGFGEFSYHIDAHGFPQLVTTKGELLFSRGGSWIGNVFSGVSWRRMLRLVNFSLEINDKEVIYQYKTLEAETITRLVINPSGFVQRLLWSGGSRGWEILSTRPMDQCEYYAFCDVNSLCNVTNSPKICTCLEGFVPKFYEKWSSLNWSGGCVRRVNLSCDGDRFRKYTGMKLPDTSFSWYNESLSLEKCENLCLKNCSCTAYANIDASGRGCLLWFGDITDLSRHTDQGQDIYIRLEASELDHGGSNKSFNSKNIAGIIVSIVFLVVVLGLATFTYMKRKKLAKREVLKIFHWKYKREKEDVELSTIFDFSTISAATNHFSPSNILGEGGFGPVYKGILQDGQEIAVKRLAKTSEQGAEQFKNEVMLMAKLQHRNLIKLHGCSTHQEERLLIYEYMHNRSLDNFIFDSTQSKQLDLTKRLQIIDGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARTFGGDQAEANTNRVMGTYGYMPPEYALHGHFSIKSDVFSFGVMVMEIISGRKNRNFHDSKHQLNLLSHAWRLWIEEKPLELIDEVLDYPITPLEILRCIHVGLLCVQQTPQNRPNMSSVVLMLNGEKVLPEPTPPGFYTGTTQFPIQAESSSRSCGDRSQNEVTVSLLQPR >Vigun08g011300.1.v1.2 pep primary_assembly:ASM411807v1:8:993482:997085:-1 gene:Vigun08g011300.v1.2 transcript:Vigun08g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVFFFCLISTFLLSTQGTLTLTPNESIQGNKTMVSSAGTFEAGFFKFGNSQGQYFCIWYKNISPKTIVWVANRDAPVKNSTPFFTLTEEGVPVIRDASGSVLWFSNSSRNSKKPVMQLLDSGNLVVQDGDSTKDLLWESFDYPGDTFLAGMKLRTNFVSGPFRFLTSWRNAEDPGFGEFSYHIDAHGFPQLVTTKGELLFSRGGSWIGNVFSGVSWRRMLRLVNFSLEINDKEVIYQYKTLEAETITRLVINPSGFVQRLLWSGGSRGWEILSTRPMDQCEYYAFCDVNSLCNVTNSPKICTCLEGFVPKFYEKWSSLNWSGGCVRRVNLSCDGDRFRKYTGMKLPDTSFSWYNESLSLEKCENLCLKNCSCTAYANIDASGRGCLLWFGDITDLSRHTDQGQDIYIRLEASELVYSSDHGGSNKSFNSKNIAGIIVSIVFLVVVLGLATFTYMKRKKLAKREVLKIFHWKYKREKEDVELSTIFDFSTISAATNHFSPSNILGEGGFGPVYKGILQDGQEIAVKRLAKTSEQGAEQFKNEVMLMAKLQHRNLIKLHGCSTHQEERLLIYEYMHNRSLDNFIFDSTQSKQLDLTKRLQIIDGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARTFGGDQAEANTNRVMGTYGYMPPEYALHGHFSIKSDVFSFGVMVMEIISGRKNRNFHDSKHQLNLLSHAWRLWIEEKPLELIDEVLDYPITPLEILRCIHVGLLCVQQTPQNRPNMSSVVLMLNGEKVLPEPTPPGFYTGTTQFPIQAESSSRSCGDRSQNEVTVSLLQPR >Vigun01g019400.1.v1.2 pep primary_assembly:ASM411807v1:1:2074373:2074885:-1 gene:Vigun01g019400.v1.2 transcript:Vigun01g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKVMFFNKMDTTKRCSVALLAFSLALFIIASDMSMKSEARGPIVHLHCSRDSDCDHRPCASCGCKCRNTYCVCQSKPDFSENISTHAPTN >Vigun11g187000.1.v1.2 pep primary_assembly:ASM411807v1:11:38723786:38727483:1 gene:Vigun11g187000.v1.2 transcript:Vigun11g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYNEAEVEEEFSVWKKNTPLLYDFFISHPLQWPSLTVHWLPSSPQPHPDPSFNVHKLLLATHTAQGESNFLMLADASLPADTSQPIVATDPNNPVIPKVEISQKVAVDGEVNRARCMPQNPDIVAAKTCNSEVYVFDFSKQRGIEFAPDLRLRGHDQEGYGLSWSPFKSGYLLSGSHDNKICLWDVPAASQESVIDPVHVYEGHESAVEDVSWNMKDENMFGSVGDDWKLVIWDLRTNKPQQSVKPHENEINFLSFSPYNEWILATASSDTTVGLFDTRKLSIPLHFLSCHTDEVIQVEWDPNHETVLASSGADRRLMVWDLNRVGDEQIEGDFEAGPTELLFSHAGHKGKISDFSWNINQPWVISSVAEDNSFHVWQVAENIYNDGDDDNMWTADD >Vigun11g179800.1.v1.2 pep primary_assembly:ASM411807v1:11:38374160:38386361:-1 gene:Vigun11g179800.v1.2 transcript:Vigun11g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYILSPFSPSSSPTLSLSLFPCNSRRLRENETLTLCDNHISELQSRQTKKKKKKRGNRTKCSSLFLSIAISPFSHRKPSTPHSSSSSTSSVTNGRVMPRSCSSSTSSFYNSGGGGGPSMTPSRGRSDSISYGYGNPSPVEFGMEDEVIMEPVDPSRSRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKSAMDGVNGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEDYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISILKHELDQLKKGMQLGVNHEEIMSLKQKLEEGQVKMQSRLEEEEEAKVALMSRIQKLTKLILVSSKNTIPGYVTEATSHHQSHSVGEDDNYDALRDGSLLIENESQKDVSTVSSDLSHDVRHMRSSSRRNEELSPTSSIITESIRLPAGGMTMSDEMDLLVEQVKMLAGDIAFSTSTLKRLMEQSVNDPESSKIQIENLEQEIQAKRKQMSILEQRIIESGESSVANSSLIEMQQTITRLMTQCDEKAFELEIKSADNRVLQEQLDNKCSENRELQEKVKLLEQQLARVPSGTSLMLADQCTFGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAVRDQANARNSVVQTVNGVGRKYNDARSGRKGRISSRANENFGVGMDEFESWSLDANDLRMELQARRQREAALEAALSEKEFLEDEYRKKVEEAKKREASLENDLANMWVLVAKLKKEGTATPEANNATKKCDEDVQVDDLKTNDIESSIVPKEQVLDLSIQENAITNEDPLVVRLKARMQEMREKEFKHLGNGDTNSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >Vigun11g179800.5.v1.2 pep primary_assembly:ASM411807v1:11:38374160:38386361:-1 gene:Vigun11g179800.v1.2 transcript:Vigun11g179800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSHRKPSTPHSSSSSTSSVTNGRVMPRSCSSSTSSFYNSGGGGGPSMTPSRGRSDSISYGYGNPSPVEFGMEDEVIMEPVDPSRSRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKSAMDGVNGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEDYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISILKHELDQLKKGMQLGVNHEEIMSLKQKLEEGQVKMQSRLEEEEEAKVALMSRIQKLTKLILVSSKNTIPGYVTEATSHHQSHSVGEDDNYDALRDGSLLIENESQKDVSTVSSDLSHDVRHMRSSSRRNEELSPTSSIITESIRLPAGGMTMSDEMDLLVEQVKMLAGDIAFSTSTLKRLMEQSVNDPESSKIQIENLEQEIQAKRKQMSILEQRIIESGESSVANSSLIEMQQTITRLMTQCDEKAFELEIKSADNRVLQEQLDNKCSENRELQEKVKLLEQQLARVPSGTSLMLADQCTFGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAVRDQANARNSVVQTVNGVGRKYNDARSGRKGRISSRANENFGVGMDEFESWSLDANDLRMELQARRQREAALEAALSEKEFLEDEYRKKVEEAKKREASLENDLANMWVLVAKLKKEGTATPEANNATKKCDEDVQVDDLKTNDIESSIVPKEQVLDLSIQENAITNEDPLVVRLKARMQEMREKEFKHLGNGDTNSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >Vigun11g179800.3.v1.2 pep primary_assembly:ASM411807v1:11:38374160:38386361:-1 gene:Vigun11g179800.v1.2 transcript:Vigun11g179800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSHRKPSTPHSSSSSTSSVTNGRVMPRSCSSSTSSFYNSGGGGGPSMTPSRGRSDSISYGYGNPSPVEFGMEDEVIMEPVDPSRSRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKSAMDGVNGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEDYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISILKHELDQLKKGMQLGVNHEEIMSLKQKLEEGQVKMQSRLEEEEEAKVALMSRIQKLTKLILVSSKNTIPGYVTEATSHHQSHSVGEDDGGMTMSDEMDLLVEQVKMLAGDIAFSTSTLKRLMEQSVNDPESSKIQIENLEQEIQAKRKQMSILEQRIIESGESSVANSSLIEMQQTITRLMTQCDEKAFELEIKSADNRVLQEQLDNKCSENRELQEKVKLLEQQLARVPSGTSLMLADQCTFGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAVRDQANARNSVVQTVNGVGRKYNDARSGRKGRISSRANENFGVGMDEFESWSLDANDLRMELQARRQREAALEAALSEKEFLEDEYRKKVEEAKKREASLENDLANMWVLVAKLKKEGTATPEANNATKKCDEDVQVDDLKTNDIESSIVPKEQVLDLSIQENAITNEDPLVVRLKARMQEMREKEFKHLGNGDTNSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >Vigun11g179800.2.v1.2 pep primary_assembly:ASM411807v1:11:38374160:38386361:-1 gene:Vigun11g179800.v1.2 transcript:Vigun11g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYILSPFSPSSSPTLSLSLFPCNSRRLRENETLTLCDNHISELQSRQTKKKKKKRGNRTKCSSLFLSIAISPFSHRKPSTPHSSSSSTSSVTNGRVMPRSCSSSTSSFYNSGGGGGPSMTPSRGRSDSISYGYGNPSPVEFGMEDEVIMEPVDPSRSRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKSAMDGVNGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEDYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISILKHELDQLKKGMQLGVNHEEIMSLKQKLEEGQVKMQSRLEEEEEAKVALMSRIQKLTKLILVSSKNTIPGYVTEATSHHQSHSVGEDDGGMTMSDEMDLLVEQVKMLAGDIAFSTSTLKRLMEQSVNDPESSKIQIENLEQEIQAKRKQMSILEQRIIESGESSVANSSLIEMQQTITRLMTQCDEKAFELEIKSADNRVLQEQLDNKCSENRELQEKVKLLEQQLARVPSGTSLMLADQCTFGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAVRDQANARNSVVQTVNGVGRKYNDARSGRKGRISSRANENFGVGMDEFESWSLDANDLRMELQARRQREAALEAALSEKEFLEDEYRKKVEEAKKREASLENDLANMWVLVAKLKKEGTATPEANNATKKCDEDVQVDDLKTNDIESSIVPKEQVLDLSIQENAITNEDPLVVRLKARMQEMREKEFKHLGNGDTNSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >Vigun11g179800.6.v1.2 pep primary_assembly:ASM411807v1:11:38374160:38386361:-1 gene:Vigun11g179800.v1.2 transcript:Vigun11g179800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYILSPFSPSSSPTLSLSLFPCNSRRLRENETLTLCDNHISELQSRQTKKKKKKRGNRTKCSSLFLSIAISPFSHRKPSTPHSSSSSTSSVTNGRVMPRSCSSSTSSFYNSGGGGGPSMTPSRGRSDSISYGYGNPSPVEFGMEDEVIMEPVDPSRSRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKSAMDGVNGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEDYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISILKHELDQLKKGMQLGVNHEEIMSLKQKLEEGQVKMQSRLEEEEEAKVALMSRIQKLTKLILVSSKNTIPGYVTEATSHHQSHSVGEDDNYDALRDGSLLIENESQKDVSTVSSDLSHDVRHMRSSSRRNEELSPTSSIITESIRLPAGGMTMSDEMDLLVEQVKMLAGDIAFSTSTLKRLMEQSVNDPESSKIQIENLEQEIQAKRKQMSILEQRIIESGESSVANSSLIEMQQTITRLMTQCDEKAFELEIKSADNRVLQEQLDNKCSENRELQEKVKLLEQQLARVPSGTSLMLADQCTFGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAVRDQANARNSVVQTVNGVGRKYNDARSGRKGRISSRANENFGVGMDEFESWSLDANDLRMELQARRQREAALEAALSEKEFLEDEYRKKVEEAKKREASLENDLANMWVLVAKLKKEGTATPEANNATKKCDEDVQVDDLKTNDIESSIVPKEQVLDLSIQENAITNEDPLVVRLKARMQEMREKEFKHLGNGDTNSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >Vigun10g034650.1.v1.2 pep primary_assembly:ASM411807v1:10:4658464:4659661:1 gene:Vigun10g034650.v1.2 transcript:Vigun10g034650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSSSSSSSFLKSEPQFIYDVFINFWGEDIRRKFVSHLHSALSQSQVKTLINEENLQEGMKQEERMRAIAGSKIAIIVFSKSYTESTCCLIELEKIIECHQTFDQIVLPVFYEIDLLDVRHLKDDFGKALEETAHKSYSGEQTEHALLRWSRALNKAAGINGLDIRHFMHDAELVEVIVSRVQTKLDYAELSITRFPVELESHVEKVIGYIENNSTKVCMIGIWGMGGSGKTTLAKAIYNRIHRLFIGKSFVENIREVWDPVIKRNVDLQEYILYDVLKLKFGLKNTWRDFEKQLSRRKLLIVLDDVNELGQLQNLCESREWFGQGTVIIITTRDVQVLNELKVNYFYKMDAINEIESL >Vigun04g067701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7720898:7721564:1 gene:Vigun04g067701.v1.2 transcript:Vigun04g067701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRDSRMKLVNELLDYMRVIKFQAWEKHFNGRIFGFRKYEIDWVSKLMHLICSIFIVLWSTP >Vigun03g353600.1.v1.2 pep primary_assembly:ASM411807v1:3:55532820:55535859:1 gene:Vigun03g353600.v1.2 transcript:Vigun03g353600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDHIPSFMMSPPSFSTDTDAIEVRLQFPMNNDLLEPHSPQDQPPSFKRARIGDNNHSYPMACPPRMIQNPNFNKGTSHIFFKTRMCAKFRVGACRNGENCNFAHGVEDMRQPPPNWQELVGLRNEERLPSGNWDDDQKIIQKMKLCKKYYNGEECPYGDKCSFLHEDPAKFRDDSGRYRESTAINIGTNGSPKAHGDPSNCLESNKVVNTGLNVCRSNAKSTYWKTKLCIKFETTGHCPFGDDCHYAHGQAELQFPGGRNEAETAGAAPISAKATIPTLPRAAPVPFNDAPPSQRASVPPANEEEQGKKHLLKWKGPKKINRIYGDWLDDLPLAHNLPGRVGI >Vigun02g053500.2.v1.2 pep primary_assembly:ASM411807v1:2:19562388:19567881:1 gene:Vigun02g053500.v1.2 transcript:Vigun02g053500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTLATCGKIPNQKIPSWMTPFEPFKRSQISSFSGKHLVCWNHSVHSFFLIGYPYVSRHGGFKAKAAWMFRGGEQGLDASSEHSESANEDILMFFFQLDLATRVQYALNMEQYEAAQQLRNKLTEVEEEVIKQQQSKRGLSSKSEAQDKALSIIRLRSDLQNAVENENYALAAELRDEIFKLEAESLAASAKALAHENAQYAFRLGQKVKHKKFGYRAVICGMDPVCSESSSWMDNAQVKKLTRGSTQPFYQVLVDVRAEPNLLVAYVPEENLLTPDKPDMGSFDHPYISFLFYGVDSAGDFIPVKQLREKYNKPRHEIPIDPPNDE >Vigun02g053500.1.v1.2 pep primary_assembly:ASM411807v1:2:19562388:19567881:1 gene:Vigun02g053500.v1.2 transcript:Vigun02g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYILRFIFCFVIRVTVRPSSQFEIVPTSFSAFSARSLESSREERVNLSFYCTSLGEEQFFFLASPKKMVQSLSLSTLATCGKIPNQKIPSWMTPFEPFKRSQISSFSGKHLVCWNHSVHSFFLIGYPYVSRHGGFKAKAAWMFRGGEQGLDASSEHSESANEDILMFFFQLDLATRVQYALNMEQYEAAQQLRNKLTEVEEEVIKQQQSKRGLSSKSEAQDKALSIIRLRSDLQNAVENENYALAAELRDEIFKLEAESLAASAKALAHENAQYAFRLGQKVKHKKFGYRAVICGMDPVCSESSSWMDNAQVKKLTRGSTQPFYQVLVDVRAEPNLLVAYVPEENLLTPDKPDMGSFDHPYISFLFYGVDSAGDFIPVKQLREKYNKPRHEIPIDPPNDE >Vigun02g053500.3.v1.2 pep primary_assembly:ASM411807v1:2:19562790:19567881:1 gene:Vigun02g053500.v1.2 transcript:Vigun02g053500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTLATCGKIPNQKIPSWMTPFEPFKRSQISSFSGKHLVCWNHSVHSFFLIGYPYVSRHGGFKAKAAWMFRGGEQGLDASSEHSESANEDILMFFFQLDLATRVQYALNMEQYEAAQQLRNKLTEVEEEVIKQQQSKRGLSSKSEAQDKALSIIRLRSDLQNAVENENYALAAELRDEIFKLEAESLAASAKALAHENAQYAFRLGQKVKHKKFGYRAVICGMDPVCSESSSWMDNAQVKKLTRGSTQPFYQVLVDVRAEPNLLVAYVPEENLLTPDKPDMGSFDHPYISFLFYGVDSAGDFIPVKQLREKYNKPRHEIPIDPPNDE >Vigun11g171700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37714267:37717775:1 gene:Vigun11g171700.v1.2 transcript:Vigun11g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSLRVRDALVFRDHVQAIKSGLVSSIFTCNQLIHLYSKHGLLQEAHKLFDEIPHPNVFSWNVIIMSYIKAHNLPHAQALFDSASHRDLVSYNSMLSAYVGSDGYETEALDLFTRMQSARDTIGIDEFTLTTMLNLAANLRVLLYGKQMHSYMVKTANDLSKFASSSLIDMYSKCGSFQDACTAFGGCHGMVDLISKNAMVAACCREKNMTMALNIFWKNPELNDTVSWNTLIAGYAQNGYVEKSLTLFVVMTENGIGFNEHTLASVLSACTGLKCLKLGKSVHAWVLKYGYSSNKFISSGIIDFYSKCGNIRYAELVNAEIEIKSPFAVASLIAGYSSQGNMTEAQRLFDSLLERNSVVWTALCSGYVKSQQCEEVFKLFKEFITKEAVVPDTMIIISVLGACAIQAALSLGKESHAYILRMRFHVDKKLLSALTDMYSKCGNVTYAEKIFQLVTDSDRDAILYNIMIAGYAHHGYENKAIYLFQEMLNKSVKPDAVTFIALLSACRHSGLVELGEQFFISMEQDYNVLPEIYHYACMVDMYGRANKLEKAVEFMRKIPIPIDATIWGAFLNACQMSSDTALVKRAEEELLKVEADNGSRYVQLANAYAATGKWDEMGRIRKKMRGYEAKKLAGCSWIFVENGIHVFTSGDTSHSKADAIYSTLMRLNGKLYLSFIELNQLNEIQAGI >Vigun07g298100.2.v1.2 pep primary_assembly:ASM411807v1:7:40823029:40827247:1 gene:Vigun07g298100.v1.2 transcript:Vigun07g298100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYMARGPSTTPMFERCSSCPHSFSYRVILYRSGLVTTATSFVVAASAAFLPDNSSLSSILKQNLDLFYVLGSSGLGLSLLLIHIYVSEIKRFIQALWVVGVLGSATAYFTLAQPANKNLILYVVDNPSAIWFVGPLLLPLQDLSSRKDSAMASLKLDFLRLLFLQFFWGI >Vigun07g298100.3.v1.2 pep primary_assembly:ASM411807v1:7:40823052:40826920:1 gene:Vigun07g298100.v1.2 transcript:Vigun07g298100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLSTVAVTASVCLPGPRCRVHNDTPRLSCGARFPSSGRRFSVRCEAVGEKQQGSVGETIVYDGIYGPWTIDDSDVREVILYRSGLVTTATSFVVAASAAFLPDNSSLSSILKQNLDLFYVLGSSGLGLSLLLIHIYVSEIKRFIQALWVVGVLGSATAYFTLAQPANKNLILYVVDNPSAIWFVGPLLLPLQDLSSRKDSAMASLKLDFLRLLFLQFFWGI >Vigun07g298100.1.v1.2 pep primary_assembly:ASM411807v1:7:40823029:40827247:1 gene:Vigun07g298100.v1.2 transcript:Vigun07g298100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLSTVAVTASVCLPGPRCRVHNDTPRLSCGARFPSSGRRFSVRCEAVGEKQQGSVGETIVYDGIYGPWTIDDSDVREVILYRSGLVTTATSFVVAASAAFLPDNSSLSSILKQNLDLFYVLGSSGLGLSLLLIHIYVSEIKRFIQALWVVGVLGSATAYFTLAQPANKNLILYVVDNPSAIWFVGPLLLPLQDLSSRKDSAMASLKLDFLRLLFLQFFWGI >Vigun03g017100.1.v1.2 pep primary_assembly:ASM411807v1:3:1207736:1214261:1 gene:Vigun03g017100.v1.2 transcript:Vigun03g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGSKKRFNPIHLEEAAVDLLNHVVKVKEKTGWVSLLLPLIFIAWAIHRWLFSFSNWFSLALALWASMQYGKYQRKLLEEDLNKKWNRILLKTSPVTPLEHCDWLNLLLTQVWSNYFNPKFSRRLSAIVEKRLKLRKPRFIEKVEVQEFSLGSCPPSLGLQGMRWSTSGDQRVLKLSFDWDTSEMSILMLAKLSVGTARIVINNLHIKGELLVTPILDGKALLYSFSSTPEVRIGIAFGSGASQSATELPGVSPWLDKLFTDTLVKTMVEPRRRCFSLPAVNLGKTAVGGVIYVSVISADKLSWSCFKSSPSLRQQNSTVNGCSESNLDDKDLQTFVEVEVEELTRRTGLSHGSNPTWDTTFNMVLHDNTGIVRFNLYERPSSGVKCDHLASCEIKMRHVEDDSTIMWAIGPDSSAIAKHAKFCGDEVEMVVPFEGTNSAELKVKIVVKEWQFSDGSHSLNNLRTNSQRSLIGSSTLLSKTGRKLKITIVEAKDLVTKDRSGKVSPYVKLQYGKVGKRTKVAPTTTTNPSWNESFDFDENDGDEYLNVKCFSEEIFGDENIGTANVNLEGLGDGSIKDEWIPLEGVSSGELRLKIEVVRVEDQEGSRGSTNGWIELVVIEARDLIAADLRGTSDPYVRVNYGNLKRRTKVIHKTLNPRWNQTLEFLDDGSPLTLHVRDHNALLPTSSIGECVVEYQRLPPNQMSDKWIPLQGVKSGEIHIQITRKVPEMQTRSSLDSQPSSLSKSHQIPIQMREMLKKFRSLIEDGNLEGLTTTLSELESLEDTMEGYVAQLETEQMLLLSKINELGQEILNSSSSLSSSPSQNRN >Vigun08g127600.4.v1.2 pep primary_assembly:ASM411807v1:8:29796247:29801197:1 gene:Vigun08g127600.v1.2 transcript:Vigun08g127600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFISDSSSLLFRTPSTSSAVRTLPLSFFKITQNSHSHLLPLRVSNTDGDETTSDFALASQPQENLSHSEAESSEDDQDAPLQMNMSWTTVSSPGGGGSASGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMESISDIYFIGGFGTVAWVDVKEYEKLQPDKIAVDGGEQYLKELNAIFSKPLKKLLSNETEVDDAALISIDSKGTDIRVRQGAQVYFFCP >Vigun08g127600.3.v1.2 pep primary_assembly:ASM411807v1:8:29796247:29799784:1 gene:Vigun08g127600.v1.2 transcript:Vigun08g127600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFISDSSSLLFRTPSTSSAVRTLPLSFFKITQNSHSHLLPLRVSNTDGDETTSDFALASQPQENLSHSEAESSEDDQDAPLQMNMSWTTVSSPGGGGSASGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMESIRLGPFQLYLWDVSSNFLLFLFTSERCES >Vigun08g127600.2.v1.2 pep primary_assembly:ASM411807v1:8:29796802:29801197:1 gene:Vigun08g127600.v1.2 transcript:Vigun08g127600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDEFPSFLVPYCFYFSLHADSIENLSHSEAESSEDDQDAPLQMNMSWTTVSSPGGGGSASGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMESISDIYFIGGFGTVAWVDVKEYEKLQPDKIAVDGGEQYLKELNAIFSKPLKKLLSNETEVDDAALISIDSKGTDIRVRQGAQFNIQRISFDEGQSVETLEEAKAALRKLIHKGKVYNLQK >Vigun08g127600.1.v1.2 pep primary_assembly:ASM411807v1:8:29796247:29801197:1 gene:Vigun08g127600.v1.2 transcript:Vigun08g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFISDSSSLLFRTPSTSSAVRTLPLSFFKITQNSHSHLLPLRVSNTDGDETTSDFALASQPQENLSHSEAESSEDDQDAPLQMNMSWTTVSSPGGGGSASGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMESISDIYFIGGFGTVAWVDVKEYEKLQPDKIAVDGGEQYLKELNAIFSKPLKKLLSNETEVDDAALISIDSKGTDIRVRQGAQFNIQRISFDEGQSVETLEEAKAALRKLIHKGKVYNLQK >Vigun07g157400.1.v1.2 pep primary_assembly:ASM411807v1:7:26870132:26874068:1 gene:Vigun07g157400.v1.2 transcript:Vigun07g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNATTLRRSNTKMQTHLLFFLYCYIGLSLAFTEAAPDHEFSTLLSIKATLIDPMRHLKDWQFPSNVTQPGSPHCNWTGVGCNVKGFVETLDLSNMNLSGRVSDHIQSLSSLSYFNISCNNFASSLPKSLSNLTSLENFDVSQNYFTGSFPTGLGRAAGLRLINASSNDFSGFLPEDIGNATLLESLDFRGSYFMSSIPRSFKNLQKLKFLGLSGNNFTGRIPGYLGELTSLETLIMGYNLFEGEIPAKFGNLTSLQYLDLAVGSLSGQIPAELGKLTKLNTIYLYHNNFTGEIPHQLGNITSLAFLDLSDNQISGEIPEELAKLENLKLLNLMTNKLTGRVPEKLGELKNLQVLELWKNSLHGPLPPNLGLNSPLQWLDVSSNALSGDIPPGLCTTGNLTKLILFNNSFTGSIPSGLANCLSLVRVRIQNNLISGTIPVGFGNLLGLQRLEFAKNNLTGKIPSDITSSTSLSFIDVSWNNFESSLPSDILSIPTLQTFIASHNNFGGDIPDEFQDCPSLSVLDLSNTHVSGTIPESIASCEKLVNLNLRNNHLTGEIPKSITSMPTLSVLDLSNNSLTGRIPDNIGNCPALELLNLSYNKLEGPVPSNGMLMTINPNDLIGNEGLCGGILPPCSPNMAVTSHRRSSHVRHIIIGFVTGISVILAFGAAYFGGRCLYNRWHLYNNFFYDWFKQNNEDWPWRLVAFQRISFTSSDILTCMKESNVIGMGSTGIVYKAEIHRPHVTVAVKKLWRSRTDIEDGNDVLREVELLGRLRHRNIVRLLGYVHNERNVMMVYEYMPNGNLGTALHGEESARLLVDWVSRYSIALGVAQGLNYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMIQKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKTPLDPSFEESTDIVEWIRKKKSNKSLLEALDPAIASQCKHVQEEMLLVLRIALLCTAKLPKERPHMRDIITMLGEAKPRRKSVCHNGGQESSSMEKSTVFTTSPAVSLL >Vigun03g281800.1.v1.2 pep primary_assembly:ASM411807v1:3:46190224:46214012:-1 gene:Vigun03g281800.v1.2 transcript:Vigun03g281800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLPYSIPSTKLHSLHFYTGASRIRSSRLPFRPPRFSIKPLYSPKFFILPRHSLRCVAESSDNHHHSHHHDHHGHHHDHHHHHDHHEHQGHHHHHHHHHHHHSHGIDVANLTGPQKAVIAFAKATRWTDLADILREHLHLCCFSTALFVAAAICPHTLPKPLVRPFQNSLIFIAFPLVGVSASLDALIEISSGKVNIHVLMAMAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSRSMVDVRELKENNPDFALVLDTKDDKLPNTFDLAYKRIPVHDVTVGSYILVGAGESVPVDCEVFQGSATITIEHLTGEVKPLEAKVGDRIPGGARNLDGRIIVKVTKTWKESTLSKIVQLTEEAQSNKPKLQRWLDEFGERYSQVVVVLSVAIAVIGPLLFKWPFIGTSACRGSIYRALGLMVAASPCALAVAPLAYAIAISSCAKKGILLKGGHVLDALASCHTIAFDKTGTLTTGGLVFKAIEPIYGHHVRNNESKFSSCCIPTCEKEALAVAAAMEKGTTHPIGRAVVDHSEGKDLPSISVESFEYFPGRGLTATVNNIESGIEGAKLLKASLGSVDFITSFCQSEDESEKIKEAVNTSSYGSEYVHAALSVNQKVTLIHLEDRPRPGVSNVIQELQDEAQFRVMMLTGDHESSARRVASAVGINEFHCNLKPEDKLSHVKDTSRDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRENISAVPFCIAKSRQTTSLIKQNVALALTSIVIASLPSVLGFLPLWLTVLLHEGGTLLVCLNSVRALNEPSWSWKHDILHLISQIKSSLLSITGSNSTITANL >Vigun04g111900.2.v1.2 pep primary_assembly:ASM411807v1:4:28089157:28094215:-1 gene:Vigun04g111900.v1.2 transcript:Vigun04g111900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLTPSSDTKKAEDLLQNLSLDSEPKTTGVPESAKSASIQSGHAVAKASKPFNLNASFTPNGHPSTAYYYGGYDGQGDWNSYSRYMNLNGGMPQGVWGDGSSYLYHQGYGYTPYGAPMQHDDKFYGLQQYHYPSYYQSPTSADGSFAANKISAQPGKISSAISDEHTPSSGVINNGSSVGVVNEDSTNNNGLKDFLSSSKPSLLKSNDSTYQRAGFPVHAPLPGHQDPRVVPHGNQPALPSDALIFSDKKSNDGAKLGLSSPAVPVKKTSSQRNTAIPQPLQQSMNYGSIHSSGLEPFYGFINGIYPSNTMYNQFGNAYRANSHIGPAPYGFRMGSVDNKLKAANGRVHDHFKRNMDGFGELNKGPRSGNGSDDKSMKGPGPVTALTEGQNLLIKSDNKEVLLIPNKKQYDGEDFSENYSDAKFFVIKSYSEDDIHKSIKYNVWSSTLNGNKKLDAAYHEAREKPGDCPVFLLFSVNTSGQFVGLAEMVTPVDFGRTVEYWQQDRWTGCFSVKWHIIKDIPNSTLRHITLENNENKPVTNSRDTQEVKFEKGIQVLKVFKEQSSKTCILDDFGFYETREKMIQERKSKEQQFPKQVSKSHDEALTNESATTGEAAQKQNLVEENGSTTQAIEDCSKKC >Vigun04g111900.1.v1.2 pep primary_assembly:ASM411807v1:4:28089157:28094215:-1 gene:Vigun04g111900.v1.2 transcript:Vigun04g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLTPSSDTKKAEDLLQNLSLDSEPKTTGVPESAKSGHAVAKASKPFNLNASFTPNGHPSTAYYYGGYDGQGDWNSYSRYMNLNGGMPQGVWGDGSSYLYHQGYGYTPYGAPMQHDDKFYGLQQYHYPSYYQSPTSADGSFAANKISAQPGKISSAISDEHTPSSGVINNGSSVGVVNEDSTNNNGLKDFLSSSKPSLLKSNDSTYQRAGFPVHAPLPGHQDPRVVPHGNQPALPSDALIFSDKKSNDGAKLGLSSPAVPVKKTSSQRNTAIPQPLQQSMNYGSIHSSGLEPFYGFINGIYPSNTMYNQFGNAYRANSHIGPAPYGFRMGSVDNKLKAANGRVHDHFKRNMDGFGELNKGPRSGNGSDDKSMKGPGPVTALTEGQNLLIKSDNKEVLLIPNKKQYDGEDFSENYSDAKFFVIKSYSEDDIHKSIKYNVWSSTLNGNKKLDAAYHEAREKPGDCPVFLLFSVNTSGQFVGLAEMVTPVDFGRTVEYWQQDRWTGCFSVKWHIIKDIPNSTLRHITLENNENKPVTNSRDTQEVKFEKGIQVLKVFKEQSSKTCILDDFGFYETREKMIQERKSKEQQFPKQVSKSHDEALTNESATTGEAAQKQNLVEENGSTTQAIEDCSKKC >Vigun05g258500.3.v1.2 pep primary_assembly:ASM411807v1:5:45226954:45230417:1 gene:Vigun05g258500.v1.2 transcript:Vigun05g258500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDPVVWLGFNSKYVSTDGNYLGSTNDKVSGNSVPLTPRSEGEILQSSNLKSFTFSELKTATRNFRPDSVLGEGGFGSVFKGWIDENSLTATKPGTGIVIAVKRLNQDGIQGHREWLAEVNYLGQFSHPHLVRLIGFCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHNLVEWGKPYLGNKRKIFRVLDTRLEGQHSTDDAYKVANLALRCLSIDSKFRPNMDQVVTTLEQLQVPNANGGTQNHSRVRRRSADVSRGYQNPSFNGSRVRRRSADDISRMETPSAYPRPSASPLYT >Vigun05g258500.2.v1.2 pep primary_assembly:ASM411807v1:5:45226954:45230417:1 gene:Vigun05g258500.v1.2 transcript:Vigun05g258500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPCFMLHTSFSYNECHCFLTHHHSLLTLLFNFLLLFCSYLLIFYSTFIHPFFYPNLPSFLLFSLTQCKHAHTLSLQTASWPSPSTIFCLFNLNSSSLLSIQFKFHAFCKILHYLANMGVCLSAQIKAESPYSTGFNSKYVSTDGNYLGSTNDKVSGNSVPLTPRSEGEILQSSNLKSFTFSELKTATRNFRPDSVLGEGGFGSVFKGWIDENSLTATKPGTGIVIAVKRLNQDGIQGHREWLAEVNYLGQFSHPHLVRLIGFCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHNLVEWGKPYLGNKRKIFRVLDTRLEGQHSTDDAYKVANLALRCLSIDSKFRPNMDQVVTTLEQLQVPNANGGTQNHSRVRRRSADVSRGYQNPSFNGSRVRRRSADDISRMETPSAYPRPSASPLYT >Vigun05g258500.4.v1.2 pep primary_assembly:ASM411807v1:5:45226954:45230417:1 gene:Vigun05g258500.v1.2 transcript:Vigun05g258500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDPVVWLGFNSKYVSTDGNYLGSTNDKVSGNSVPLTPRSEGEILQSSNLKSFTFSELKTATRNFRPDSVLGEGGFGSVFKGWIDENSLTATKPGTGIVIAVKRLNQDGIQGHREWLAEVNYLGQFSHPHLVRLIGFCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHNLVEWGKPYLGNKRKIFRVLDTRLEGQHSTDDAYKVANLALRCLSIDSKFRPNMDQVVTTLEQLQVPNANGGTQNHSRVRRRSADVSRGYQNPSFNGSRVRRRSADDISRMETPSAYPRPSASPLYT >Vigun05g258500.1.v1.2 pep primary_assembly:ASM411807v1:5:45226953:45230417:1 gene:Vigun05g258500.v1.2 transcript:Vigun05g258500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPCFMLHTSFSYNECHCFLTHHHSLLTLLFNFLLLFCSYLLIFYSTFIHPFFYPNLPSFLLFSLTQCKHAHTLSLQTASWPSPSTIFCLFNLNSSSLLSIQFKFHAFCKILHYLANMGVCLSAQIKAESPYSTDPVVWLGFNSKYVSTDGNYLGSTNDKVSGNSVPLTPRSEGEILQSSNLKSFTFSELKTATRNFRPDSVLGEGGFGSVFKGWIDENSLTATKPGTGIVIAVKRLNQDGIQGHREWLAEVNYLGQFSHPHLVRLIGFCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAARGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHNLVEWGKPYLGNKRKIFRVLDTRLEGQHSTDDAYKVANLALRCLSIDSKFRPNMDQVVTTLEQLQVPNANGGTQNHSRVRRRSADVSRGYQNPSFNGSRVRRRSADDISRMETPSAYPRPSASPLYT >Vigun01g084866.1.v1.2 pep primary_assembly:ASM411807v1:1:23995964:23999428:1 gene:Vigun01g084866.v1.2 transcript:Vigun01g084866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIMKSMNLNGLSNTQTFKALLSSASSTYQLASLGELLYQCHYNYSACGLGSDGTDRLVHLVQELQHSAESKYEGGTLYGAKITGGGSSGTVCVIGRNCLKSSEHIFKLFISL >Vigun09g160100.2.v1.2 pep primary_assembly:ASM411807v1:9:32749795:32752976:1 gene:Vigun09g160100.v1.2 transcript:Vigun09g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYEMREKQRARGPATILAIATATPSNCIYQSDFTDYYFRVTKSDHMTELKAKLKRICEKSMIEKRYIHLTEKMLKENPNISTYEEPSLNARQDILVEEVPKLGVDMPGADYQLVRLLGLKPSTKRFMLYHQGCFAGGTVLRLAKDLAENNVGARVLVVCSEITVVTFRGPCETHLDSLVGQALFGDGASSVIVGSDPDTAIERPLFHLVSTSETILPKSEGAIEGHLREVGLTFHLKDNVPQLIGENIEKSLEEAFHPLGIIDWNSLFWVAHPGGPAILKQIEATLGLNPDKLRATKQVLKEYGNMSSACVLFILDEMRRWSLEEGKSSTGEGLKWGVLYGFGPGLTMEIIVLHSATIDTNN >Vigun09g160100.1.v1.2 pep primary_assembly:ASM411807v1:9:32749795:32752976:1 gene:Vigun09g160100.v1.2 transcript:Vigun09g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYEMREKQRARGPATILAIATATPSNCIYQSDFTDYYFRVTKSDHMTELKAKLKRICEKSMIEKRYIHLTEKMLKENPNISTYEEPSLNARQDILVEEVPKLGEKAASKALKEWGRPRSDITHLIFCSTSGVDMPGADYQLVRLLGLKPSTKRFMLYHQGCFAGGTVLRLAKDLAENNVGARVLVVCSEITVVTFRGPCETHLDSLVGQALFGDGASSVIVGSDPDTAIERPLFHLVSTSETILPKSEGAIEGHLREVGLTFHLKDNVPQLIGENIEKSLEEAFHPLGIIDWNSLFWVAHPGGPAILKQIEATLGLNPDKLRATKQVLKEYGNMSSACVLFILDEMRRWSLEEGKSSTGEGLKWGVLYGFGPGLTMEIIVLHSATIDTNN >Vigun07g154700.1.v1.2 pep primary_assembly:ASM411807v1:7:26578499:26580525:1 gene:Vigun07g154700.v1.2 transcript:Vigun07g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRFFGIMAMFLFLLFPFAAKGDNNITDSFLDKVCEEVECGKGRCVVNTSYPLNFICECESGWTRTQDDDDKYATSFLPCVIPQCSLNYGCQPAPPPVPEKSFPHNLSAFNPCYWAYCGEGKCTQNRTHTYSCECSTNYFNLLNISVFPCYSECTLGSDCSKLGIKVADSTSGSQDNSGSVFRGRFHWMIMLMMSTGMVMWS >Vigun04g110600.1.v1.2 pep primary_assembly:ASM411807v1:4:27713292:27715529:1 gene:Vigun04g110600.v1.2 transcript:Vigun04g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEAVVVNKGDEALDLPPGFRFHPTDEEIITCYLTEKVLNRGFSATAIGEADLNKCEPWDLPKKAKMGEKDWYFFCQRDRKYPTGLRTNRATQSGYWKATGKDKEIFKGKNNLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLEGKFACYNVPKAAKDEWVVCKVFHKSNSDVNKRVLPNNPGIGLLRMNSIGEDLFDFSSLPPLVDPLFDQTSHNKHIHNDDFKGTTNSTNTHNTPSSVSSPKPPYYLPNFIDNNHHTMKPEEYRIYQNFNNASTSQGNFNSSNNPMGIGASNNHNPLQNSTFHMFQDYYMHQGKNSFQQCKMEQFSNTVVSASQDTCLSNDRNTDTSSVVSKQDNNNMGRNKALYEDLDQAPSSVATLSDLDCLWDDY >Vigun11g099800.1.v1.2 pep primary_assembly:ASM411807v1:11:29281886:29283203:-1 gene:Vigun11g099800.v1.2 transcript:Vigun11g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFCECRPLGFVIGLPFALLSLVFCPVGAIIWLLGSIMSCLCPCWKGCGELSNVGVSLVKFPIRVLRWFIEKIPC >Vigun08g129700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30024541:30028158:1 gene:Vigun08g129700.v1.2 transcript:Vigun08g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQSWLHQPKVWRFVCFSSSIVGLVCYAFNSFLNHLIGNWSWWKIFLYIVFSFLISLSTLFAKTWEYSNSRCLEAHVAFSTLLVTSVYSFFLDKYVKEKPDVYSLVSSVAFAIMSLGLSRLSHLGFEVDLLYFFCGLLTVQLMKIKLWLVIVGGAFSYSLILLRSNLDRQTRSRYYAIEHQDDVVIEIGSHSQPQGTSHSVTQVDSTQTVVASSHPVIDMVWPSRGTGHNASQVVSSQEDGAGGAPPENIYGTKEYFMGSIEALKKENGSIIRAISMHVDKYLKANVVSEDQISVPELHGDDNMVVDSLSSLMISKLRENVEKMVSDGFVEECLDVYSNWRREFLKECLWTLGLQDQELNMEDINKIEKIERLIKAMNIAARILFPNEKRLFYRVFSGSISSGEFHFRELCTELATSLLNSALALTTWSHFIRNTLQELIQEFESCTTFINIVVLLIRQRLCIYEALEDVSLIPGGGIHPITVEGMYCIYLVYRNREISKVRQDLKEGKIPSSVYITKVRILLESSLDAKSKNGNKLEVMYYIKSLDRSKENNLGLGLDTLILPVWIYWMIELLESTLEANSKNYKNPSLGYVFIMNNRRCIEVETKLNGMGHFFGDDWLHKNTRKIQENLELYLRSSWNKIVNLLKVDINQLEPSVAAKLMKDNLYWFNEHFDETCNIQSAWSICDEDLREQIIKSIENMLLPAYGSFLGTFAEFVGKHAYKYIKYGMFEVQDRLNKLFLVRE >Vigun05g260450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45372221:45372445:1 gene:Vigun05g260450.v1.2 transcript:Vigun05g260450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFFFFFCSKTILLCQSNVGKYCLPKTRAKCGDRESFNFSWPKPEQGVPQFRIDYSTSSARQLSDSIPRYNF >Vigun09g193600.3.v1.2 pep primary_assembly:ASM411807v1:9:36827735:36831715:-1 gene:Vigun09g193600.v1.2 transcript:Vigun09g193600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPRASNSKCSVHQLENIDENAPADWTASSCPPPDTPTESMEFLARSWSLSAMELSKALHNTNIAISTSMDMPLSCPSGHQFDTKSSTASKDLSNCCYPPISPSDSSEKKLYRSLLRGRTMGRWLKDQKERKKQEIRTHNAHLHAAVSVAGVAAAIAAVAASTASPEMPYSNPKNPPPLASAAIASAAALVASHCIEIAEDMGAEHDQILTVVNSAINAKTNGDIMTLTAGAATALRGAATLKARLQKGPGATAIPLVEEKCNESKEANILTALDYVFKGGELLKRTRKGDLHWKQVSFNINSNLQVVVKMKSKHMAGTFTKKKKYIVTGLCNDTPAWPGREREDTNEKRAYFGIKTTDRTIEFECGSKGDKQFWLEGIQYMLNCRVKVTL >Vigun09g193600.1.v1.2 pep primary_assembly:ASM411807v1:9:36827735:36831717:-1 gene:Vigun09g193600.v1.2 transcript:Vigun09g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPRASNSKCSVHQLENIDENAPADWTASSCPPPDTPTESMEFLARSWSLSAMELSKALHNTNIAISTSMDMPLSCPSGHQFDTKSSTASKDLSNCCYPPISPSDSSEKKDLLLLHQTLSTEFLSSQNILRNGLYRSLLRGRTMGRWLKDQKERKKQEIRTHNAHLHAAVSVAGVAAAIAAVAASTASPEMPYSNPKNPPPLASAAIASAAALVASHCIEIAEDMGAEHDQILTVVNSAINAKTNGDIMTLTAGAATALRGAATLKARLQKGPGATAIPLVEEKCNESKEANILTALDYVFKGGELLKRTRKGDLHWKQVSFNINSNLQVVVKMKSKHMAGTFTKKKKYIVTGLCNDTPAWPGREREDTNEKRAYFGIKTTDRTIEFECGSKGDKQFWLEGIQYMLNCRVKVTL >Vigun09g193600.2.v1.2 pep primary_assembly:ASM411807v1:9:36827751:36831532:-1 gene:Vigun09g193600.v1.2 transcript:Vigun09g193600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPRASNSKCSVHQLENIDENAPADWTASSCPPPDTPTESMEFLARSWSLSAMELSKALHNTNIAISTSMDMPLSCPSGHQFDTKSSTASKDLSNCCYPPISPSDSSEKKDLLLLHQTLSTEFLSSQNILRNGLYRSLLRGRTMGRWLKDQKERKKQEIRTHNAHLHAAVSVAGVAAAIAAVAASTASPEMPYSNPKNPPPLASAAIASAAALVASHCIEIAEDMGAEHDQILTVVNSAINAKTNGDIMTLTAGAATALRGAATLKARLQKGPGATAIPLVEEKCNESKEANILTALDYVFKGGELLKRTRKGDLHWKQVSFNINSNLQVVVKMKSKHMAGTFTKKKKCMVCPKMADIVTGLCNDTPAWPGREREDTNEKRAYFGIKTTDRTIEFECGSKGDKQFWLEGIQYMLNCRVKVTL >Vigun09g193600.4.v1.2 pep primary_assembly:ASM411807v1:9:36827751:36831715:-1 gene:Vigun09g193600.v1.2 transcript:Vigun09g193600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPRASNSKCSVHQLENIDENAPADWTASSCPPPDTPTESMEFLARSWSLSAMELSKALHNTNIAISTSMDMPLSCPSGHQFDTKSSTASKDLSNCCYPPISPSDSSEKKDLLLLHQTLSTEFLSSQNILRNGLYRSLLRGRTMGRWLKDQKERKKQEIRTHNAHLHAAVSVAGVAAAIAAVAASTASPEMPYSNPKNPPPLASAAIASAAALVASHCIEIAEDMGAEHDQILTVVNSAINAKTNGDIMTLTAGAATALRGAATLKARLQKGPGATAIPLVEEKCNESKEANILTALDYVFKGGELLKRTRKGCSQNEKQTYGRNIHKKEEIYSDRSLQ >Vigun09g193600.5.v1.2 pep primary_assembly:ASM411807v1:9:36827751:36831532:-1 gene:Vigun09g193600.v1.2 transcript:Vigun09g193600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SPRASNSKCSVHQLENIDENAPADWTASSCPPPDTPTESMEFLARSWSLSAMELSKALHNTNIAISTSMDMPLSCPSGHQFDTKSSTASKDLSNCCYPPISPSDSSEKKLYRSLLRGRTMGRWLKDQKERKKQEIRTHNAHLHAAVSVAGVAAAIAAVAASTASPEMPYSNPKNPPPLASAAIASAAALVASHCIEIAEDMGAEHDQILTVVNSAINAKTNGDIMTLTAGAATALRGAATLKARLQKGPGATAIPLVEEKCNESKEANILTALDYVFKGGELLKRTRKGCSQNEKQTYGRNIHKKEEIYSDRSLQ >Vigun10g082200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23224621:23225820:1 gene:Vigun10g082200.v1.2 transcript:Vigun10g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASKEGNEEEKPVLSLHREKAWPSHYHHQLFQNFWCPSIHVEAVESMQKHFQAKESDVIVASFPKSGTIWIKALTFAIINHQRFSSFENHPLLTSNPHELVPFLESLFGGNFNDHQIQLLENKPDPRLFGTHTPFPSLPESIKESNCKVVYICRNPFDNFVSAWIYFNKIKPDSLPPIPLDDAFELYCEGILDYGPWWSHMLDYWKESVAKPNKVLFLKYDDLKEDTNFHVKRIAEFFGCSFTEEEENNGTVENIIQICSFEKMKDLAVNKSGKVGKAAVDKKNFFRKGENGDWINHFSPSMIEKLSKIVEEKFDGSGLSFKNCS >Vigun08g017200.1.v1.2 pep primary_assembly:ASM411807v1:8:1447099:1449863:-1 gene:Vigun08g017200.v1.2 transcript:Vigun08g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSKKGWKSVVPLHLKGKSSTRFSLFRKVNSGGYGPGKTPVYLNVYDLTPMNGYVYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSILIGTTALDCTQVREFMERQSANYNGDTYHLIVKNCNHFCKDICYKLTGKSIPTWVNRLARLGSICNCILPEALRISGVGHDPNYEAEDSEKRRLRSAFNCLSSISMRQKHLSTSSLFLQSPLRGCLSSSWPSWELRKSINRSLKER >Vigun03g358300.1.v1.2 pep primary_assembly:ASM411807v1:3:56030436:56035427:1 gene:Vigun03g358300.v1.2 transcript:Vigun03g358300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRVHSVDADHPLDPQTIFRSHSQSNNPKFSERRGALHLFRTSPRSSLPTQTSPSTLLFILAVPNYLSFHDFIPFCGPHLDNFYHLLFIRNDGTEDRYSVLIKFADQFAADEFYSYFNGKKFSPAEAEVCHILFLQSVEYSEYAEVAGTPPPGCTEIPTCPVCLERLDPDTSGIMTTLCDHSFQCPCVSKWTYLSCQVCQFCQQQDEKPNCFICGTIDDLWVCMICGFVGCGRYKEGHAIQHWKDTQHCYSLDFKTQQIWDYVGDSYVHRLNQNQSKIDGKSEEMNFRCMSLEGDCDTCECREDLGINGALFNSKVETIVDEYNRLLTSQLETQRQYYESLLVEARSKMESSMTEAVEKAAASEMQDIQNQLEKWTEERNAIAEVNQKLIKNQEIWRKKVKEAEEREATSTKAMNERIIDLEEQIRDIKIFLEAQKTIDKMSDSNGIKDGTVLPVAYESSPGHRKRNKKSGRRRN >Vigun02g024700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:8248979:8249552:1 gene:Vigun02g024700.v1.2 transcript:Vigun02g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGRSCWSLFLVAVLVLMGASSRGECMRDLRDFKSTFESQLAKGPVPPSGPSQCHNNLSPYKDNKSSFQDEDYYVVCP >Vigun04g177100.1.v1.2 pep primary_assembly:ASM411807v1:4:40035069:40036296:1 gene:Vigun04g177100.v1.2 transcript:Vigun04g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFRYDVFLSFRGEDTRHGFTGNLYKALCNRGIHTFIDDEDLQRGEEITPSLRKAIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGHLVLPVFYKVQPSDVRHQRGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFKDADGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLELKKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADYFDSSCFVQNVREKSKKHGLEHLQSIILSNLLGAKDINLTSEHQGISMIQLLQYNSSSYVHT >Vigun06g115100.1.v1.2 pep primary_assembly:ASM411807v1:6:24325888:24328802:-1 gene:Vigun06g115100.v1.2 transcript:Vigun06g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAFVHKTWDKWASTNVGYSGHPLKAALLINHDPTGPSRLLSTIAAQEGIKANPIELSNFMDFIKQNKLQTELFVIGSNQYLVTSIHENWFSARCINTSKPAGEGVIVIQTAAYILVALYEGSIGPASRAMAAADQLTWQLGRKNL >Vigun02g006450.1.v1.2 pep primary_assembly:ASM411807v1:2:2808801:2811079:-1 gene:Vigun02g006450.v1.2 transcript:Vigun02g006450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPRLLYWMNVSVGDKVMKTAFDYDMAIVDVAVSKEELDHAIVREAFEHFGTEYKTQDLKDKEEVERLLEDHEVEIVDLEQSMSALDELVANWKGQQPKDEVRDEVGDDVFIDPRADVMSDEKDDGAQQSNMYDRMKAQPRMRFKSVATKTPYSVYGKKKLKSLQIG >Vigun03g339000.1.v1.2 pep primary_assembly:ASM411807v1:3:53713415:53717687:1 gene:Vigun03g339000.v1.2 transcript:Vigun03g339000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEPHSCALLLFFFLILLSGKVVAGESLETDKEVLLKLKSYLDSKILADKGAYKDWNTNSSNPCQWSGISCSATGRVVRIGLPGSDITGEIFKNFSQLTELRHLDLSQNTLSGEIPEDLRRCYKLEHLNLSHNILEGELNLTGLRSLHTLDLSNNRFFGDIGLNFPAICDNLVTLNVSGNNNLIGRIENCFDQCLKLQYLDLSTNSLSGGLWMKFARLKMFSVAENHLSGTIPLEALPLNCSLEELDLSQNGFVGEAPKGVANCKNLSSLNLSSNKFTGGIPVEMGSISRLKALYLGNNSFSREIPESLLNLTNLTFLDLSRNRFGGEIQEIFGKFKQVSFLLLHSNDYTGGLRSSGILTLPNIWRLDLSYNNFSGPLPVEISQMSSLKFLMLCYNQFNGSIPPELGNMTQLQALDLAFNNLTGSIPPTLGKLNSLLWLMLANNSLTGEIPPELGNCSSLLWLNLANNMLSGKLPSELSRIGRNAMTTFESNRRNYKMVAGSGECLAMKRWIPADYPPFSFVYDLLTRKKCRELWDKLIKGYGVFPFCAPGSSFRVTQISGYIQLSSNQLSGEIPPEIGSMVNFSMMHLGFNNLSGKLPREMGSISMVVLNITRNEFSGEIPQELGNMKCLMNLDLSYNNFSGMFPTNLNKLTELNKFNISYNPFISGEVPSTGQFATFEKDSYLGNPLLILPKFIQNTTNDRNDTSQKEHKKSSRLPVLLVSAVITLVFVVCGLLTVLVCVSVKGPSEEPRYLLRDTKQWLDSSSSGSSPWMSDTVKVIRLNKTAFTHADILKATGSFSEDRIIGKGGFGTVYKGVFSDGRQVAVKKLQREGLEGEKEFKAEMEVLSGHGFGWPHPNLVTLYGWCLNESEKILIYEYIEGGSLEDVVTDRTCLTWRRRLEVAIDVARALVYLHHECYPSVVHRDVKASNVLLDKDGKAKVTDFGLARVVDVGDSHVSTMVAGTVGYVAPEYGHTWQATTKGDVYSFGVLIMELAAARRAVDGGEECLVEWARRVMGYGRHHHHHHHRHHRSVPVLLMGSGLVGGAEEMTELLRIGVMCTADAPQARPNMKEVLAMLIKIFNLKDHSTYGYLV >Vigun07g243800.2.v1.2 pep primary_assembly:ASM411807v1:7:36510276:36516211:-1 gene:Vigun07g243800.v1.2 transcript:Vigun07g243800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNNNINPWNSQQQQQRSILEKPPQRTSLENDLERNKDCESSSNQMKVPRSIDQDPDKQSGKKRYHRHTNEQIMEMECFFKECPHPDDKQRRELSRELRLNPLQVKFWFQNKRTQIKSQHERHENNLLKAENDKLREENTRYKEALNNASCPSCGGLGGEMSFDEQPLRVENMRLREEIEKMSAMVPRFAVKPGSFYHNMSSQNQMMPPRSLDQGVGNYGAQTILIGEMFGGNDEPHGTLPIANDFEKDIVMEIGNVAMKEFTNLAEAGNTLWLPGTCGCEILNQDEYLRSFPKGIGPTPLDIKIEASRHSAVVIMDYNKLVEIFMDVNQWANMFCGIISRAAIHQVLEVGQTEIFDGACQVMSAEFQVPSPFVPVRDDYFVRFCKRKNLESWTIVDFSVDQLRPDSFTKSRRRPSGCLITELPNGYSKIVWIEHVELEDNEVVHDLYKSYVNSGLAFGAKRWVASLDRQCERLASSMATNIPHKSIGVLMNFGGRRSMMRLAERMMLSFCTAVGASTANVWTLLTVASEDVRVMSRKSVDDPGRPSGIVLSASTSSWLPIPSRTVFDFLRSENSRNQWDILSKGGQVEELAHIANGHDTGNYVSLLRVNIPNCGQSNMTMLQETCTDATGSYVVYAPIDLISMNAVLRGGNPDCVALLPSGFAVLPDGPEPMNNGGPIREVGSGGCLLTVAFQILVDSVPTAKISATSVTTVSSLIKCIVERIQAALCSGGT >Vigun07g243800.1.v1.2 pep primary_assembly:ASM411807v1:7:36510276:36516211:-1 gene:Vigun07g243800.v1.2 transcript:Vigun07g243800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNNNINPWNSQQQQQRSILEKPPQRTSLENDLERNKDCESSSNQMKVPRSIDQDPDKQSGKKRYHRHTNEQIMEMECFFKECPHPDDKQRRELSRELRLNPLQVKFWFQNKRTQIKSQHERHENNLLKAENDKLREENTRYKEALNNASCPSCGGLGGEMSFDEQPLRVENMRLREEIEKMSAMVPRFAVKPGSFYHNMSSQNQMMPPRSLDQGVGNYGAQTILIGEMFGGNDEPHGTLPIANDFEKDIVMEIGNVAMKEFTNLAEAGNTLWLPGTCGCEILNQDEYLRSFPKGIGPTPLDIKIEASRHSAVVIMDYNKLVEIFMDVNQWANMFCGIISRAAIHQVLEVGQTEIFDGACQVMSAEFQVPSPFVPVRDDYFVRFCKRKNLESWTIVDFSVDQLRPDSFTKSRRRPSGCLITELPNGYSKIVWIEHVELEDNEVVHDLYKSYVNSGLAFGAKRWVASLDRQCERLASSMATNIPHKSIGVLMNFGGRRSMMRLAERMMLSFCTAVGASTANVWTLLTVASEDVRVMSRKSVDDPGRPSGIVLSASTSSWLPIPSRTVFDFLRSENSRNQWDILSKGGQVEELAHIANGHDTGNYVSLLRVNIPNCGQSNMTMLQETCTDATGSYVVYAPIDLISMNAVLRGGNPDCVALLPSGFAVLPDGPEPMNNGGPIREVGSGGCLLTVAFQILVDSVPTAKISATSVTTVSSLIKCIVERIQAALCSGGT >Vigun07g223700.2.v1.2 pep primary_assembly:ASM411807v1:7:34579229:34582607:-1 gene:Vigun07g223700.v1.2 transcript:Vigun07g223700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFLEWKREGGHRENLVMQRYHITGRDDYKKYSGLCHMVQKLVNIVKQMDAKDPFRVDMTDKLLEKLYNMGVIPTRQSITLCERLTVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDLMN >Vigun07g223700.3.v1.2 pep primary_assembly:ASM411807v1:7:34579229:34582607:-1 gene:Vigun07g223700.v1.2 transcript:Vigun07g223700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFLEWKREGGHRENLVMQRYHITGRDDYKKYSGLCHMVQKLVNIVKQMDAKDPFRVDMTDKLLEKLYNMGVIPTRQSITLCERLTVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDLMN >Vigun07g223700.1.v1.2 pep primary_assembly:ASM411807v1:7:34579229:34582607:-1 gene:Vigun07g223700.v1.2 transcript:Vigun07g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFLEWKREGGHRENLVMQRYHITGRDDYKKYSGLCHMVQKLVNIVKQMDAKDPFRVDMTDKLLEKLYNMGVIPTRQSITLCERLTVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDLMN >Vigun09g198800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37356004:37357321:1 gene:Vigun09g198800.v1.2 transcript:Vigun09g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPRTAGPHYGSSHGTSYGGLPYGSTNESNTSIYNNSASRQTVKFITAVTIGISLLLLAGLIFTGTVIGLIVATPLLVIFSPILVPAMFVLFLVTSGFLFSGSCGVAAIAVLTWIYNYVSGNHPAGADTLDYAKGYLADKARDVKERAKDYGSYAQGKFQEAAQGAY >Vigun11g158100.1.v1.2 pep primary_assembly:ASM411807v1:11:36653851:36657846:1 gene:Vigun11g158100.v1.2 transcript:Vigun11g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSSLLTTTPHSRFTLRNPRLRVFAKKSGSFPSFGIGKPKDDSSSSSSPEDKDASSNSNPFRLDFGKIPDVTSLIPVPSNNSSPGFSFGNARRKDPSTVFVAGATGQAGIRIAQKLLREGFSVRAGVPELASAQELARLAAQYKIISNEQAKRLNAVQSSFDNADSIAKAIGNASKVVVTISSAENGPTAEVSAIDALQVVEAAQLAGVGHVAVIYDENAAGASTYNVLDGLSSFFNNLFSRSQPLTVQEFLQKVIETDVKYTFIKTSLTDDFAPESSYNVVVLGEGNTSANDYKVAKSKIASLVADVFANTEVAENKVVKVYSDPNAPLKRVDELFSPIPEDGRRKAYYETQEKAKAEEEARVAAEKASEAAESAKKLEQEVKKLSQQEALGSSLAQEAQEKAEAAGASVENLLNKAKDFGAGFSWEKLSTQITTSIQKPEEDEKPKVQLATVRGQAKARNLAPNKAVVKQTPPRRAASKAKEEKPKKAETAKEVRNVFGGLFKQETIYVDDD >Vigun10g160500.4.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLDEMLTMMSQTKSNENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGVGHVNYHYQ >Vigun10g160500.2.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGTGEPTPRYRGGKGGNSRRRR >Vigun10g160500.3.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLDEMLTMMSQTKSNENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGVGHVNYHYQ >Vigun10g160500.1.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLDEMLTMMSQTKSNENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGTGEPTPRYRGGKGGNSRRRR >Vigun10g160500.5.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLDEMLTMMSQTKSNENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGVGHVNYHYQ >Vigun10g160500.6.v1.2 pep primary_assembly:ASM411807v1:10:37941641:37945851:1 gene:Vigun10g160500.v1.2 transcript:Vigun10g160500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGNKSNDFYTILGLNKECTELELKNAYKKLAKKWHPDRCSASGNSELVEQAKKKFQEIGEAYSVLSDANKRLMYDVGVYDSDDDENGMGDFLDEMLTMMSQTKSNENGEESFEELQQLFEDMFQADIGLDGSPSLSSANCSNSSSYMTYSESSSSNKRNSTEMKFGKPEDSSVFDTSYQNFCFGVGHVNYHYQ >Vigun07g025700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2308885:2310694:-1 gene:Vigun07g025700.v1.2 transcript:Vigun07g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRSSAPKQRNSGEDVLLKKRVPHTKPPFSLSKVKKAIPPHCFERSVVRSFSYVFYDLAIASCLLYVALNYFSTLPYNLSLLAWPLYWILQGSVLTGVWVIAHECGHHAFSDYQCLDDTVGLLLHSFLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSSIGWYSKYLNNPVGRVLTLTVTLTLGWPLYLAFNVSGRSYERFACHYDPYGPIYSDRERLQIYLSDAGVLAVCYGLYKLVLAKGFLWVVCVYGVPLMVVNALLVLITFLQHTHPAVPHYDSSEWDWLRGALATVDRDYGILNKVLHNITDTHVAHHLFSTMPHYHAMEATNAIKPILGDYYHFDATPIYKAMWREARECMYVESDEKSKDNGVYWYNNILD >Vigun05g224500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41693578:41694312:-1 gene:Vigun05g224500.v1.2 transcript:Vigun05g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLYTILLLVSLSLFLHQTCFGDPIYHLCSNSENFTDHSPYETQLKTLVIKLIYKTYSTGFAMSSVGNNVNSKPYGLALCRGDLSASECKTCVSDATKEILSRCPYNKGGIIWYDNCMFKYSDTEFFGTTDDRIKIYMWNSKRASDPTTFNPKTIEFLSGISKKAWVNNPKLYASGEQKLENSDTLYGMAQCTRDLSSYDCNKCLADAINDFPRCCAGQIGGRVVGGSCNFRYELYPFLKQ >Vigun05g128600.2.v1.2 pep primary_assembly:ASM411807v1:5:14773744:14782061:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun05g128600.1.v1.2 pep primary_assembly:ASM411807v1:5:14773744:14782061:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKQMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun05g128600.6.v1.2 pep primary_assembly:ASM411807v1:5:14774327:14782059:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun05g128600.5.v1.2 pep primary_assembly:ASM411807v1:5:14774327:14782059:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKQMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun05g128600.4.v1.2 pep primary_assembly:ASM411807v1:5:14773799:14782058:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun05g128600.3.v1.2 pep primary_assembly:ASM411807v1:5:14773799:14782058:1 gene:Vigun05g128600.v1.2 transcript:Vigun05g128600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMAQNQSNWEADKMLDVYIYDYLMKRKLHATAKAFVAEGKVATDPVAIDAPGGFLYEWWSVFWDIFISRTNEKHSEAAAAYIETQQTKVREQQQLQIQQMQLMQQRNAQLQRRDPNHPALGSSINAMNSEGMLGQQPASVLAMKMYEDRMKHPQPMDSEASPTLIDANRMALLKSAASHPGQLVHGNSGNMSTALHQIPGRTPLTTDIKAEVNLGAPPKSLPMDTSVYRQAILQSKSGLGSAGLNQGVTGLPLKGWPLASGIDQLRPNLGVQVPKPNLTTQNQFVMSSQQQQVLTQNNLGNSSYGDMDPRRLSGLPRGSLSAKDGQSNRNDGSICSQMQSDSPKQMKMAQSQHSLSQQQEQLQQHQLQQNNRKRKQHSGAANSTGTGNTAVPSPNSPPSTHTPGDGLNTANNMQHVNNVQKSVMMYGTEATGGLASSSNLLEDMERFGDVDALDDNVESFLSNDGGDGGNLYGAVKQSPAEQHKESSKGFTFAEVSSIRSRNSKVTCCHFSSDGKWLASAGDDMKVVFWNMDTFKTENSPAEHKAVITDVRYRPNSLQVATASMDKSVRLWDTTNPNRCVQEYSGHSSAIVSLDFHPKKTELFCYCDGENEIRFWNINSSNCFRATKGVYSRVRFQPRLGHLLAAGSDKGVSIFDVESDTKIYSLQGHPEPVSYICWDGNGEALASVSLNMVKIWSLNSGECIQEISSTGSPFHSCVFHPSYSTLLVIGGHACLELWNMSENKSMTIPAHENVISCMGQSSVTGMVASASFDNYVKVWK >Vigun04g075800.1.v1.2 pep primary_assembly:ASM411807v1:4:9650699:9651770:1 gene:Vigun04g075800.v1.2 transcript:Vigun04g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIFTFIIFLLFHGSINGFDYFTIAQIYPRGLCHGNRLCTSSTIALSTKFTVHGLWPSTNAFPQPFNCRVDGLNLSVIQNIGPRLQQVWPNYYSTNYTKFWEHEWEKHGTCSNMQQFDFFRLTLDIYARNDLQAILINAGISRRKPYHINDIISAIRNSAIGVEPELHCRKSRKSRQSGKSGSGSGRRGLIFEIRICLNTDPIPQYINCASQGTCTSPVMFM >Vigun06g215800.1.v1.2 pep primary_assembly:ASM411807v1:6:32687951:32695534:-1 gene:Vigun06g215800.v1.2 transcript:Vigun06g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKKRSSVAEEQTLATDDSPKPLNKKSKSTAVSGDGQQETSVMPMERKKKRKALDKERHRTSSQPQPKLVASESKPVPSTAGGALPEFHIGVFKDLAAASEAAREAAAKQMVTELKAVQSAYDAREEKENGEGGFKLEAEKDDGLDNCAPSVRYAVRRLIRGVSSSRECARQGFALGLTVLAGTVHNIKIESFLKLVVNLLEVTSSMKGQEAKDCLLGRLFAYGALARSGRLTMEWNIDKNTPCIREFITVLISLANKKRYLQEPAVLIILDVVDKLPVEAVVNHVLEAPGLHEWFEAAIEVGNPDALFLALKVREKICIDSSLFGKLLPNPFNSSELFSADHLSSLSNCLKESTFCQPRVHSVWPVLINILLPNTILQIEDAASASNSLKKHKKSRKSSSSDEEIARNLRSFCEIIIEGSLLMSSHDRKHLAFDVLFLLLQKLPASLLPVVLSYKVVQCMVDALSAKNTWLFKVAQHFLKQLSDWVGDDDVRRVAVIVAIQKHSSGKFDRATRTKHVKDFMSQFKTEPGCMLFVQNLINLFVDEGNAVEEPSDQSQTTDENSEIGSIEDKDSPRSNGNSEFLKSWVIESLPSILKFLKLDDEEKFRVQKEIMKFLAVQGLFTASLGSEVTSFELQEKFRWPKSPTSNSLCKMCIDQLQLLLANAQKGEGSRPLANSTEPNDLGSYFMKFFGTFCNIPSVSLFRSLDDADQKAVKSLQAVEARLSREERSHDCNTDANKLHALRYLLIQLLLQVLLCPGEYAEAASELVICCKKAFSGSDLPESSGEEDVEVDDAPELMDVLVDTLLSLLPQSSAPMRSSIEQVFKYFCGDITDDGLMRMLRVIKKQLKPARHPDTASVSDDDEDDDDFINIEDEIDQAETGETGESDGQTDDSESVVEVEEADHGHSEASDDSDSGMDDDAMFRIDTYLAQIFKEKKNHAGGETAHSQLVLFKLRILSLLEIFLHENPGKPQVLMVYSNLAQAFVNPHTAEVSEQLGQRIWGILQKQIFKAKDYPRGDGVHLSTLESLLEKSLKLASKPFKRQKSKQSAALNRQKMVSSLAQTSTFWILKIIDSRNFSQSELEKIIQIFRDVLVGYFENKKSQIKSGFLKEIFRRRPWIGHAVFGFILERCGSAKSDFRRVEALDLVMEIMKSLTSGNSDEQNASKKILKNSLDKLSHLMKELATNLPSKAARRSEVQKFYVKALEILSKLNLTKHYFKALAPDTEAALAAQLGDQFITLKKLEK >Vigun03g411000.2.v1.2 pep primary_assembly:ASM411807v1:3:61870805:61876995:-1 gene:Vigun03g411000.v1.2 transcript:Vigun03g411000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSPVTPGQVSFLLGITPVVVAWIYSEILEYRKSSVSSRAGHSDIKLVEMGSDAVKDEDKAVLLEGGALQSGSPRARSITASPSIIRFLLMDEYFLLENRLTLRAMSEFGLLLAYFYLCDRTDFFASSNKSYNRDLFLFLYFLLIIVSAITSFKIHQDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVVFCCIVLNNSYMLYYICPMHTLFTLMVYGALGILNKYNEIGSVIAVKIIACFLVVILVWEIPGVFEWLWSPFTFLLGYTDPNPAKSHLSRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEIKRRVSIKAAVVLICSLVGYLWFEHIYKLDKLSYNKYHPYTSWIPITVYICLRNVTQSFRSYTLTLFAWLGKITLETYISQIHIWLRCSRWTTQIVAFPDPRLPNVELHAYYFHICCNFL >Vigun03g411000.1.v1.2 pep primary_assembly:ASM411807v1:3:61870805:61876995:-1 gene:Vigun03g411000.v1.2 transcript:Vigun03g411000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSPVTPGQVSFLLGITPVVVAWIYSEILEYRKSSVSSRAGHSDIKLVEMGSDAVKDEDKAVLLEGGALQSGSPRARSITASPSIIRFLLMDEYFLLENRLTLRAMSEFGLLLAYFYLCDRTDFFASSNKSYNRDLFLFLYFLLIIVSAITSFKIHQDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVVFCCIVLNNSYMLYYICPMHTLFTLMVYGALGILNKYNEIGSVIAVKIIACFLVVILVWEIPGVFEWLWSPFTFLLGYTDPNPAKSHLSRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEIKRRVSIKAAVVLICSLVGYLWFEHIYKLDKLSYNKYHPYTSWIPITVYICLRNVTQSFRSYTLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYVAISYRLFDLTNILKVAFVPSKDDKRLVHNLITATTISVVLYSLSLGFLWVPQMLV >Vigun05g287500.1.v1.2 pep primary_assembly:ASM411807v1:5:47450970:47455391:1 gene:Vigun05g287500.v1.2 transcript:Vigun05g287500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESINRGEFQPNLAKQTSLRLGGSLKSTLSGRSSPRNSPTFRRLNSGRTPRRDGRSSLGGALWFRSNRLLFWLLLITLWAYLGFFVQSRWAHSDKKEEFSGFGTGPRNTGSDAEQVQRRDLRASDNSLSANNETDTSIAGASKTINVALAKKGNDVPSNRKTSSKKRSRRRRASKGKSSGKLKQTTEVKNSDIEEQEPEIPTANNTYGVLVGPFGPVEDRVLEWSPEKRSGTCNRKEDFARLVWSRRFILIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMSELTRRRIKVVEDKADLSFKTAMKADLVIAGSAVCASWIEQYIEHFPAGASQVAWWIMENRREYFDRSKDVLDRVKMLVFLSESQSKQWQKWCEEEKIKLRSYPEVVPLSVNDELAFVAGIPSTLNTPSFSTEKMMEKRQLLRESVRKEIGLTDNDMLVISLSSINPGKGQLLLLESVSSVLEQGLLQDDKKMKKVSTMKEGISTMARKHRNRKLLPMLKNGKVASNDILSRRKQVLPNDKGTIQQSIKLLIGSVGSKSNKADYVKSLLNFLEQHPNTSKSIFWTPATTRVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTEAGGTQEIVEHNVTGLVHPVGHPGNLVLAQNLRFLLKNQLARKQMGVEGRKKVQRMYLKQHMYKKFVEVIVRCMRSK >Vigun07g029300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2667775:2668603:1 gene:Vigun07g029300.v1.2 transcript:Vigun07g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATPFASASATIRRRVLQSLRTRGGAESGPSRWTSAGHEERPKGYLFNRTPPPPGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALERLKIENAFASSNDSSE >Vigun07g072500.2.v1.2 pep primary_assembly:ASM411807v1:7:9078335:9084387:1 gene:Vigun07g072500.v1.2 transcript:Vigun07g072500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPLVRVAVGAAVRAGTRNWVRCVSGGASSPSLSIWRRKKEIGKEGLLVAKELKRLRSDPVRLDRYIRSSVSRLLKSDLVAVLAEFQRQNQVFLCIKLYEIVRKEIWYRPDMFFYRDMLMMLARNRRVEEAKRVWADLKEEQVLFDQHTFGDIIRAFLDNGLPSEAMEIYEEMRQSPEPPLSLPFRVILKGLIPYPELREKVKRDFLEIFPDMIIYDPPEDLFEDN >Vigun07g072500.1.v1.2 pep primary_assembly:ASM411807v1:7:9078249:9084414:1 gene:Vigun07g072500.v1.2 transcript:Vigun07g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPLVRVAVGAAVRAGTRNWVRCVSGGASSPSLSIWRRKKEIGKEGLLVAKELKRLRSDPVRLDRYIRSSVSRLLKSDLVAVLAEFQRQNQVFLCIKLYEIVRKEIWYRPDMFFYRDMLMMLARNRRVEEAKRVWADLKEEQVLFDQHTFGDIIRAFLDNGLPSEAMEIYEEMRQSPEPPLSLPFRVILKGLIPYPELREKVKRDFLEIFPDMIIYDPPEDLFEDN >Vigun08g119600.1.v1.2 pep primary_assembly:ASM411807v1:8:28730341:28733577:-1 gene:Vigun08g119600.v1.2 transcript:Vigun08g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSEGTTSGSMEDEDLEKNNEKTAMVRKKSEEVVVVGSNNNGGSEMLRNFMTNLQAVFLGTKLAVLFPAVPLAVVATFYGFGRPWIFAFSLLGLAPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATEMIIALLALHQNKVNVVKLSLLGSILSNLLLVLGSSLLCGGLANLKREQRYDRKQADVNSLVLLLGLLCHLLPLLFRYSLGGAHHSIATNILQMSRASSVVMLLAYLAYIFFQLKTHRKLFDAQEEEEDEEKAVIGFWSAFTWLVGMTLVISLLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAYKNKLDISLGVAMGSATQISMFVVPLSVVVAWIMGITMDLDFSLLETGCLAFTIIVTAFTLQDGTSHYMKGVVLTLCYIVIAACFFVLKTPLTDQNSMANFGAKAWFEGINS >Vigun07g277100.1.v1.2 pep primary_assembly:ASM411807v1:7:39243894:39245249:1 gene:Vigun07g277100.v1.2 transcript:Vigun07g277100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPPMVLPIRFFKAILKSNLQRIKIPDKFTNIYGGMLWNPVFLRPPDDTEWKVHWTEQNGEIWFEKGWKEFVENYSLDHGHFVFFTFDGTSKIDVLILHQSFHDPSPHTSEQRESPDHSDDEEEEEPDSDQNQIVKYDDEVSEGNLSLNWPRDARARELAKKFISCNPFFTVLIRHYNLTEHRLNLPNLKGYIDKGKKYVTVEVGGKSWSLKLVRYSSDRIRMGLGWRTFAGENGLEGGDVCIFELISRNDHVFKVHIFKRQS >Vigun07g228000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34992923:34993539:1 gene:Vigun07g228000.v1.2 transcript:Vigun07g228000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKISTPFLILIILLLSVQDSCSISRLDNHVNLENRSSEKKISSMFIQSYSAILSSLNSRKSKFKQIHAVSHRLVPSGPNPLHN >Vigun02g101900.1.v1.2 pep primary_assembly:ASM411807v1:2:25651669:25656208:1 gene:Vigun02g101900.v1.2 transcript:Vigun02g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDSHSHSQEQEPPKKNGVVFVVLRWVLAIVFPFLFFLSIPFLVGLLVLMFADFSIPNPISLPSQCKIVSTGVDIRSSKICEIGLLNYKAKDVFQHFERSKFRCRYDYYWASVFKVEYKDHFSGQTQVAFAEAPNEALPLYCRPNFGAAWLTQYKFKVNETYNCWYTSGISKVRLPQDNLFGCHAHQQSTLEKSREYSTMAMEMAISWFSSKGRAKHWRWETLIGVVSGFLTSLISITFIRFLHILLSSIYQSFTTWMFPWRVNAVLIKRACFLLAYLSFVAWLAIEYGKRLGLMDIFNFPKL >Vigun03g180700.1.v1.2 pep primary_assembly:ASM411807v1:3:22929168:22941119:1 gene:Vigun03g180700.v1.2 transcript:Vigun03g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGALRDTSVIVVTLESDEVYIIVSLSIRTDTQVIYVDPTTGALLYFARPGFDLFKSQGEALNFVTNGSRFACKSKTPARAILGYAAFGNVAMLLVATRLTASVPNMPGGGCVYTVAESLWIRIPLHNAVSLGKGEAKNVQELTELDIDGKHYFCETRDVTRPFPSRFPMNQPDEEFVWNAWFSTPFVDIGLPRHCVTLLQGFAECRSFGSSGQLEGIVVLIARRSRLHPGTRYLARGLNSCFSSGNEVECEQLVWVPKRSGQSEPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDCDPYKGSVEYYGRLSKRYDARNLDVRAGEKPNRKALVPIVCINLLRNGEGKSESLLVQHFEESINFIRSSGKLPYTRVHLINYDWHASTKLKGEQITIEGLWILLKAPTISIGISEGDYLPSRQRINDCRGEVIYNDDFEGAFCLRTHQNGIIRFNCADSLDRTNAASFFGCLQVFTEQCRRLGISLDSDLAFGYQSIRTNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWMHPCPDKPWKRFDMTFEEFKRTTILSPVSQLSDLFLLAGDIHATLYTGSKAMHSQILSIFSEEAGKFKQFSAAQNVKITLQRRYKNAVVDSSRQKQLEMFLGLRLFKHLPSISLKPLHVPSRPSGFVLKPIANLFPISGGEANLLSFKRKGLVWICPQPADVVEIIIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRHLDGLKLVLEGASIPQCASGTNLVIPLPGAISAEDVAITGANSRLHSQDALPFSLLYDFEELEGEWDFLTRVVALTFYPTVSGRKPLTLGEIEILGVSLPWSDVFTNEGPGTRLVEHVKKFQEEQNPFLSDSDTSPFNPSSIEKVSPTEQGGSSADLLLDLLSGDDPLPHPLAQPVTDNVVYQESDPLDFLDLSVENRGAKSDGKFSAEDARHSDSNAEQYLKCLKTLAGPGLQRKINFIEAMKLEIERLKLNLSAAERDRALLSVGMDPATINPNALLDEAYMAKLSKVANNLALLGETSLEDKIVAAIGLGTLDDNPIDFWNIISLEETCSGGNCEVRAEIKKEVHSSSTMSSTGASEAIFLCSQCERKVCRVCCAGRGALLLVGYNTRGEVMNYNGASSQSGLVDLPVNRLLARDGIICKRCCQDIVLQALILDHVRVLISLRRTERVEKAACNALKQIIGLSWDYLLEKNNADDNKRNGKTVRSLLNGYESLAEFPFGSFLHPVEAAADSAPFLSLLAPLNSGLGLSYWKAPSRTSAVEFGIVLGNISDVSGVILIVSPCGYSAADAPIVQIWASNKIHKEERSLMGKWDLQSMINASSELYGPEKSGTEHKVPRHVKFPFKNSVRCSIIWISLRLPRVGSSSMNIGNDFNLLSVDENPFAQETRRASFGGSIESEPCLHAKRILVVGSSARKEVDLKPQQSSDQLALTGWLERAPQLNRFKVPIEAERLMDNDLVLEQYLSPVSPLLAGFRLDAFSAIKPRVTHSPFSDVHGKHFPSLVDDRYITPAVLHIQVSVLQEPHTVVTIGEYRLPEARAGTPMYFDFSGQIQTRRISFKLLGDIAAFTDDPSEQDDSGTRISPLAVGLSLSNRIKLYYYADPYDLGKWASLGAV >Vigun07g001600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:141115:145451:1 gene:Vigun07g001600.v1.2 transcript:Vigun07g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFRLLDTNLLGFTNTLPSPSDSAAFIPTKTTLSSSKYFFNKLSLDKNADSTTPKKRVDKKLHSTCSTRWVSHGGRVPSILHALDTIHDLDNALRQWEETLSSREISAILKTQVSCQRALQIFEWFKKKGCYDLNVIHYNIMLWTLGRARKWELVENLWGEMNAKGVAPLNSTYGTLIDVYSKGGLKEEALAWLQRMQSQGMEPDEVTMGIVVLLYKRAGEFPKAQDFFRRWIRGANVCLSSNTYTTLIDTYGKGGQFRAACETFARMIRQGMTLNTVTLNLMIHLYGNCGRLRQACLLFQKMGEFRCIPDTWTYNILISAHIKNNNVNMAAKCLARMKQSCLKPDVVSYRILLYAYSTRKMVQEAEELVREMDERNLAIDEFTQSALTRMYVESGMLERSWLWFRRFHLAGNISSGCYAANIDAYGERGYTLEAEKVFMCCKEKKKLTVLEFNVMIKAYGIGKCYDKACQLFDCMKKFGVVADECSFSSLIHILASADKPHDAKSYLERMQNAGLVSDCVLYCVVIKSLAKLGQLKMAEELYQKMLGYAVQPDVIIYGVFINAFADTGSVKEAIKYVNEMRKAGLSGNPTIYNSLIKLYTKVGYLDEAQETYKLLQSSDEGPSIFSSNCMIDLYTERLMVEQAKEIFESLKKNEVANEFSYAMMLCMYKKIGRLDEAIQIATQMRRLGLLTDVLSYNNVLGLYSVDRRLREATETFKEMIKSGIQPDDFTFRALANILLNCGVSKRAVGRLEVMVKKDSSHGLQGWMLALSCASEGDNSPKE >Vigun03g422300.2.v1.2 pep primary_assembly:ASM411807v1:3:62783818:62792624:1 gene:Vigun03g422300.v1.2 transcript:Vigun03g422300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKASEGIKQEDGKYKHTVDLPKTTFGMRANSSVREPEIQKIWEENQVFKKVVEKNSGANFILHDGPPYANGDLHIGHALNKILKDIINRYKVLQNYKVNFIPGWDCHGLPIELKVLQSLDQKARSDLTPLKLRAKATKFAKETVKKQMSSFKRYGVWADWNDPYLTLDPEYEAAQIEVFGQMALKGYIYRGRKPVHWSPSSRTALAEAELEYPEKHVSRSIYANFRVVSAPLTSSSLLQEFPNLCLAVWTTTPWTIPANAAVAVNPKLEYAVVEIKSLLEPDPASGGKKKKGLGLVLEDEKKPFLIVASELVPSLEAKWGVKLVVKTKQLGSELENYRYIHPVDDRECPVVIGGDYITTETGTGLVHTAPGHGQEDYVTGQKYGLPIFSPVDDDGKFTEEAGQFSGLDVLGEGNTAVVKYLDEHLSLIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRHAAMDAINHVKWVPPQAENRISAMTSCRSDWCISRQRTWGVPIPVFYHLQSREPLMNEETIDHIKSIIAQKGGDAWWYMTVEDLLPSKYRDKAAEYEKGTDTMDVWFDSGSSWAAVLGKRDSLSYPADLYLEGTDQHRGWFQSSLLTSVATKGKAPYSSVLTHGFVLDEKGLKMSKSLGNVVDPRFVIEGGKNQKEAPAYGADVLRLWVSSVDYTSDVMIGPQILRQMSEVYRKLRGTLRYLLANLHDWKTEYAIQYHELPRIDQHALFQLENVVKSIQGNYENYQFFKIFQILQRFVIVDLSNFYFDVAKDRLYVGGSTSYTRKSCQTVLAAHLLSIVRILAPILPHLAEDVWQNLPFQYITQDGSIAEYVFESRWPLSNETWLALPVEEINFWENILELRTEVNRVLEVARTGKLIGASLDAKVHIYTSEASLASQLRELCAAKNEADTLHRLFITSQAEILPSLDDEHTVNIPYSGECLIQGKNKVWIGISRAAGSKCERCWNYSQQVGSFLDHPTLCSRCYDVVAVPTPPQVAAVS >Vigun03g422300.3.v1.2 pep primary_assembly:ASM411807v1:3:62785338:62792624:1 gene:Vigun03g422300.v1.2 transcript:Vigun03g422300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKRYGVWADWNDPYLTLDPEYEAAQIEVFGQMALKGYIYRGRKPVHWSPSSRTALAEAELEYPEKHVSRSIYANFRVVSAPLTSSSLLQEFPNLCLAVWTTTPWTIPANAAVAVNPKLEYAVVEIKSLLEPDPASGGKKKKGLGLVLEDEKKPFLIVASELVPSLEAKWGVKLVVKTKQLGSELENYRYIHPVDDRECPVVIGGDYITTETGTGLVHTAPGHGQEDYVTGQKYGLPIFSPVDDDGKFTEEAGQFSGLDVLGEGNTAVVKYLDEHLSLIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRHAAMDAINHVKWVPPQAENRISAMTSCRSDWCISRQRTWGVPIPVFYHLQSREPLMNEETIDHIKSIIAQKGGDAWWYMTVEDLLPSKYRDKAAEYEKGTDTMDVWFDSGSSWAAVLGKRDSLSYPADLYLEGTDQHRGWFQSSLLTSVATKGKAPYSSVLTHGFVLDEKGLKMSKSLGNVVDPRFVIEGGKNQKEAPAYGADVLRLWVSSVDYTSDVMIGPQILRQMSEVYRKLRGTLRYLLANLHDWKTEYAIQYHELPRIDQHALFQLENVVKSIQGNYENYQFFKIFQILQRFVIVDLSNFYFDVAKDRLYVGGSTSYTRKSCQTVLAAHLLSIVRILAPILPHLAEDVWQNLPFQYITQDGSIAEYVFESRWPLSNETWLALPVEEINFWENILELRTEVNRVLEVARTGKLIGASLDAKVHIYTSEASLASQLRELCAAKNEADTLHRLFITSQAEILPSLDDEHTVNIPYSGECLIQGKNKVWIGISRAAGSKCERCWNYSQQVGSFLDHPTLCSRCYDVVAVPTPPQVAAVS >Vigun03g422300.1.v1.2 pep primary_assembly:ASM411807v1:3:62783386:62792624:1 gene:Vigun03g422300.v1.2 transcript:Vigun03g422300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKSLTSNSSAFNFKPTQSTMAHSSPYKVLLRTACSSSRGTNSVGLFHSRGISSVKVVSISNVSYYCTYSRDNICSSKRRSRGPVMAGKKASEGIKQEDGKYKHTVDLPKTTFGMRANSSVREPEIQKIWEENQVFKKVVEKNSGANFILHDGPPYANGDLHIGHALNKILKDIINRYKVLQNYKVNFIPGWDCHGLPIELKVLQSLDQKARSDLTPLKLRAKATKFAKETVKKQMSSFKRYGVWADWNDPYLTLDPEYEAAQIEVFGQMALKGYIYRGRKPVHWSPSSRTALAEAELEYPEKHVSRSIYANFRVVSAPLTSSSLLQEFPNLCLAVWTTTPWTIPANAAVAVNPKLEYAVVEIKSLLEPDPASGGKKKKGLGLVLEDEKKPFLIVASELVPSLEAKWGVKLVVKTKQLGSELENYRYIHPVDDRECPVVIGGDYITTETGTGLVHTAPGHGQEDYVTGQKYGLPIFSPVDDDGKFTEEAGQFSGLDVLGEGNTAVVKYLDEHLSLIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRHAAMDAINHVKWVPPQAENRISAMTSCRSDWCISRQRTWGVPIPVFYHLQSREPLMNEETIDHIKSIIAQKGGDAWWYMTVEDLLPSKYRDKAAEYEKGTDTMDVWFDSGSSWAAVLGKRDSLSYPADLYLEGTDQHRGWFQSSLLTSVATKGKAPYSSVLTHGFVLDEKGLKMSKSLGNVVDPRFVIEGGKNQKEAPAYGADVLRLWVSSVDYTSDVMIGPQILRQMSEVYRKLRGTLRYLLANLHDWKTEYAIQYHELPRIDQHALFQLENVVKSIQGNYENYQFFKIFQILQRFVIVDLSNFYFDVAKDRLYVGGSTSYTRKSCQTVLAAHLLSIVRILAPILPHLAEDVWQNLPFQYITQDGSIAEYVFESRWPLSNETWLALPVEEINFWENILELRTEVNRVLEVARTGKLIGASLDAKVHIYTSEASLASQLRELCAAKNEADTLHRLFITSQAEILPSLDDEHTVNIPYSGECLIQGKNKVWIGISRAAGSKCERCWNYSQQVGSFLDHPTLCSRCYDVVAVPTPPQVAAVS >Vigun03g117400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10883392:10888341:1 gene:Vigun03g117400.v1.2 transcript:Vigun03g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHLPDHFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDAGYRTCPITKLPLPDQPSLIPNHALRSLISNYTLLPPLHQTISQPQTLISTLTSPASSSDSKIDSLRHLTRLSKRDSAFRRHLADSAAVPAVLAAVVDPALQETALPLLLNLTLDDDSKVGLVAEGVVARLVAVLLNAPPDCRAVAATIVTSLSVVEVNKATIGAFPAAIAALVAIIRDGKGRERKEAATALYALCSFPDNRRRAVSCGAVPILLRNVEIGLERCVEVIGVLAKCKEGREQMEGYNGCVQILASVLRNGSSRGIQYALFALTSLCLYSQEMVLLALEEGVLEASIVFVEDDNEKVRRNACNLIRALRGNNSNHDRVE >Vigun02g104000.1.v1.2 pep primary_assembly:ASM411807v1:2:25851919:25856058:-1 gene:Vigun02g104000.v1.2 transcript:Vigun02g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGCGPFEFSSKYYHVSQNGGVCVRQTSFFEGKPVLNQGVGYSVILGFGAFFALFTSFLVWLEKRYVGSRHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVLLFGIMAIEIKRKAPHAHTVCEIVKARWGTSAHVVFLFFCFLTNIIVTAMLLLGGSAVVNALTGVNLYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHIVLVIFVYLVYTASSELGSPSVVYNRLLEVASKSRTCHDPISHKGQSCGPVGGNHQGSYLTMLSSGGLVFGLINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPINESEAGRGLVPPATAVALMGQGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGKQILKVSRGVVLGFGCLMGLLAVILNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWRKANATGAILGTVAGCVLGIITWLSVTKVQYGRVNLDTTGRNAPMLAGNLVSILTGGVVHAICSMLWPQNYDWSTTKQITVVEKEKTDLPAEEFKEEKLIRAKAWVVKWGIGFTVLIVILWPILSLPAGEFSKGYFYFWAVIAIAWGSVGSAVIIILPLVESWETIQSVMMGMFTNDRLMEKVEELNLKLQTIIHAIPEAERLYLLEKGKAKKLEEAPEQHSSSLPA >Vigun01g135400.2.v1.2 pep primary_assembly:ASM411807v1:1:31518226:31525068:1 gene:Vigun01g135400.v1.2 transcript:Vigun01g135400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGQSLNLTNERKRGRTDEAVEQYPETSLRLEVRLRMEPIPGSRNGNVVRQRPLGDGEFRFYGNLNHSNDKLLGLEFISRLHRRTRGLRRLRKMHGVDLTNQIRDLCPRRMIKTEIIEESRQTNRPEKVVRDDENRGVIAEQKGVNTLARAKRMSFLQEKRFGPRNNRTRRKLNTGKRTVFSWMIGVKTIKSRERVYYRDHRSKTVSLGGEVVGDGILCDCCSQVVSMSEFEVHSWRQEKFDSVEKISDPLRNICLGRGRGLCLLQCMAESWDKQTEFSSKLYNLVREGKEKSDDLCRVCGEKGNLLCCDGCPSTFHQSCLGIQTIPEGDWHCMSCCCKYCGLQCEKTVIAFEGLAPFQMSTECLVCEKRFHLSCLKSHGGNSARNKRLPLCGNGCREIYERLQGLLRVKHNIEDGFSWSFIYRADTDPNLTRLDAENVECNAKLGVALSVLSESFMPYIEEGSGTNIIQSIVYSCGSNFPRLDCKGFITAILEKDYEIISVASIRIHGNQLAELPFVATVFAYRKKGMFARLLRIIESTLSHLNVELLVVPTVKQTRETWIRSFGFEPVDSTTEKIIKGVNMLVCRGTEKLQKRLPKLT >Vigun01g135400.1.v1.2 pep primary_assembly:ASM411807v1:1:31518226:31525068:1 gene:Vigun01g135400.v1.2 transcript:Vigun01g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGQSLNLTNERKRGRTDEAVEQYPETSLRLEVRLRMEPIPGSRNGNVVRQRPLGDGEFRFYGNLNHSNDKLLGLEFISRLHRRTRGLRRLRKMHGVDLTNQIRDLCPRRMIKTEIIEESRQTNRPEKVVRDDENRGVIAEQKGVNTLARAKRMSFLQEKRFGPRNNRTRRKLNTGKRTVFSWMIGVKTIKSRERVYYRDHRSKTVSLGGEVVGDGILCDCCSQVVSMSEFEVHSWRQEKFDSVEKISDPLRNICLGRGRGLCLLQCMAESWDKQTEFSSKLYNLVREGKEKSDDLCRVCGEKGNLLCCDGCPSTFHQSCLGIQTIPEGDWHCMSCCCKYCGLQCEKTVIAFEGLAPFQMSTECLVCEKRFHLSCLKSHGGNSARNKRLPLCGNGCREIYERLQGLLRVKHNIEDGFSWSFIYRADTDPNLTRLDAENVECNAKLGVALSVLSESFMPYIEEGSGTNIIQSIVYSCGSNFPRLDCKGFITAILEKDYEIISVASIRIHGNQLAELPFVATVFAYRKKGMFARLLRIIESTLSHLNVELLVVPTVKQTRETWIRSFGFEPVDSTTEKIIKGVNMLVCRGTEKLQKRLPKLT >Vigun09g071200.1.v1.2 pep primary_assembly:ASM411807v1:9:7765063:7766114:-1 gene:Vigun09g071200.v1.2 transcript:Vigun09g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLNTLILVAIAVSATIPKATEAAEHTVGDSTGWTSAPTGGASFYSDWASSITFREGDILVFRFSSGHTVAELNDKASFDSCSVDQNQEVLSTSPARITLNRTGEFYFACTIQGHCSSGQKLSVNVIASSSSPSSPPASGTTPTPPSAGAAPSPESTPTEPGSTPPPPPSPGSATSIVATFSLLLTTLLVNSLFF >Vigun11g099033.1.v1.2 pep primary_assembly:ASM411807v1:11:29111354:29111836:1 gene:Vigun11g099033.v1.2 transcript:Vigun11g099033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIIYANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun01g080500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22642106:22646812:-1 gene:Vigun01g080500.v1.2 transcript:Vigun01g080500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLQFLLFFTSHHANALNASISGDALSLLSFKSFVSSDPSNILAGWTNRTSSNLCRWRSVACGAAGSLSARVTSLNVTGLGGGLLSPSVGDLSELRVLSLVGNMFSGQIPATVGNLRFLEVLELQGNNFSGRVPTQMSFVFLQSLKLVNLSGNAFSGSIPSEIIGSGSVKIVDLSNNQFSGVIPLNGTCDSLKHLKLSRNFLTGEIPPQIGKCRNLRTLLVDGNILEGRIPSEIGQIVELKVLDVSRNSLTGRVPKELANCVKLSVIVLTDLFEDRDNGGLEDGFRGEFNAFVGNIPHQLLLLSSLRVLWAPRANLGGRLPSGWSELCSLRVLNLVQNYVTGVLPESLGMCRNLSFLDLSSNNLVGYLPSLQLHVPCMVYFNVSRNNISGTLKGFRKESCGVSAVDPSFQELDGFSDDEYFNFPVWRFQKNAFVGSGFEENNTIVVSHDFSWNSFVGSLPSFSLGDSLFGANRKVSYALSLNNNRFNGTLPEQLVSNCNELKTLSVNLSVNQLSGGNLQTAVLECLRLTDFEAAYNQIDESIGPAIGDLVMLQHLDLSGNKLSGSLPNQLGNLQNMKWMHLGGNNLTGEIPTQLGQMASLAVLNLSHNALVGTIPASLSNAKSFEILLVDHNKLSGEIPLAFSTLSNLVQLDVSFNNLSGHIPRLQHPSDCDSYKGNAHLHPCPDPYSDSPASLPVPLEIQHHTHRGRKLRTLVIVVVTSASVVLCTLLGIVLVIFSGRSKFGRLSSIRRRQVVTFQDVPTELNYDSVATATGNFSIRHLIGTGGFGSTYKAELSPGFLVAIKRLSIGRFQGIQQFETEIRTLGRIRHKNLVTLIGYYVGKAEMFLIYNYLSGGNLEAFIHDRSGKNVQWPVIYKIAKDIAEALAFLHYSCVPRIVHRDIKPSNILLDEDHNAYLSDFGLARLLEVTETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGRKSLDPSFSEYGNGFNIVPWAELLMTEGRCSELFSATLWEAGPKEKLLGLLKLALTCTEETLSIRPSMKQVLEKLKYLKS >Vigun01g080500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22642130:22646804:-1 gene:Vigun01g080500.v1.2 transcript:Vigun01g080500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLQFLLFFTSHHANALNASISGDALSLLSFKSFVSSDPSNILAGWTNRTSSNLCRWRSVACGAAGSLSARVTSLNVTGLGGGLLSPSVGDLSELRVLSLVGNMFSGQIPATVGNLRFLEVLELQGNNFSGRVPTQMSFVFLQSLKLVNLSGNAFSGSIPSEIIGSGSVKIVDLSNNQFSGVIPLNGTCDSLKHLKLSRNFLTGEIPPQIGKCRNLRTLLVDGNILEGRIPSEIGQIVELKVLDVSRNSLTGRVPKELANCVKLSVIVLTDLFEDRDNGGLEDGFRGEFNAFVGNIPHQLLLLSSLRVLWAPRANLGGRLPSGWSELCSLRVLNLVQNYVTGVLPESLGMCRNLSFLDLSSNNLVGYLPSLQLHVPCMVYFNVSRNNISGTLKGFRKESCGVSAVDPSFQELDGFSDDEYFNFPVWRFQKNAFVGSGFEENNTIVVSHDFSWNSFVGSLPSFSLGDSLFGANRKVSYALSLNNNRFNGTLPEQLVSNCNELKTLSVNLSVNQLSGGNLQTAVLECLRLTDFEAAYNQIDESIGPAIGDLVMLQHLDLSGNKLSGSLPNQLGNLQNMKWMHLGGNNLTGEIPTQLGQMASLAVLNLSHNALVGTIPASLSNAKSFEILLVDHNKLSGEIPLAFSTLSNLVQLDVSFNNLSGHIPRLQHPSDCDSYKGNAHLHPCPDPYSDSPASLPVPLEIQHHTHRGRKLRTLVIVVVTSASVVLCTLLGIVLVIFSGRSKFGRLSSIRRRQVVTFQDVPTELNYDSVATATGNFSIRHLIGTGGFGSTYKAELSPGFLVAIKRLSIGRFQGIQQFETEIRTLGRIRHKNLVTLIGYYVGKAEMFLIYNYLSGGNLEAFIHDRSGKNVQWPVIYKIAKDIAEALAFLHYSCVPRIVHRDIKPSNILLDEDHNAYLSDFGLARLLEVTETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGRKSLDPSFSEYGNGFNIVPWAELLMTEGRCSELFSATLWEAGPKEKLLGLLKLALTCTEETLSIRPSMKQVLEKLKYLKS >Vigun01g080500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22642106:22646804:-1 gene:Vigun01g080500.v1.2 transcript:Vigun01g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLQFLLFFTSHHANALNASISGDALSLLSFKSFVSSDPSNILAGWTNRTSSNLCRWRSVACGAAGSLSARVTSLNVTGLGGGLLSPSVGDLSELRVLSLVGNMFSGQIPATVGNLRFLEVLELQGNNFSGRVPTQMSFVFLQSLKLVNLSGNAFSGSIPSEIIGSGSVKIVDLSNNQFSGVIPLNGTCDSLKHLKLSRNFLTGEIPPQIGKCRNLRTLLVDGNILEGRIPSEIGQIVELKVLDVSRNSLTGRVPKELANCVKLSVIVLTDLFEDRDNGGLEDGFRGEFNAFVGNIPHQLLLLSSLRVLWAPRANLGGRLPSGWSELCSLRVLNLVQNYVTGVLPESLGMCRNLSFLDLSSNNLVGYLPSLQLHVPCMVYFNVSRNNISGTLKGFRKESCGVSAVDPSFQELDGFSDDEYFNFPVWRFQKNAFVGSGFEENNTIVVSHDFSWNSFVGSLPSFSLGDSLFGANRKVSYALSLNNNRFNGTLPEQLVSNCNELKTLSVNLSVNQLSGGNLQTAVLECLRLTDFEAAYNQIDESIGPAIGDLVMLQHLDLSGNKLSGSLPNQLGNLQNMKWMHLGGNNLTGEIPTQLGQMASLAVLNLSHNALVGTIPASLSNAKSFEILLVDHNKLSGEIPLAFSTLSNLVQLDVSFNNLSGHIPRLQHPSDCDSYKGNAHLHPCPDPYSDSPASLPVPLEIQHHTHRGRKLRTLVIVVVTSASVVLCTLLGIVLVIFSGRSKFGRLSSIRRRQVVTFQDVPTELNYDSVATATGNFSIRHLIGTGGFGSTYKAELSPGFLVAIKRLSIGRFQGIQQFETEIRTLGRIRHKNLVTLIGYYVGKAEMFLIYNYLSGGNLEAFIHDRSGKNVQWPVIYKIAKDIAEALAFLHYSCVPRIVHRDIKPSNILLDEDHNAYLSDFGLARLLEVTETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGRKSLDPSFSEYGNGFNIVPWAELLMTEGRCSELFSATLWEAGPKEKLLGLLKLALTCTEETLSIRPSMKQVLEKLKYLKS >Vigun01g080500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22642130:22646804:-1 gene:Vigun01g080500.v1.2 transcript:Vigun01g080500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLQFLLFFTSHHANALNASISGDALSLLSFKSFVSSDPSNILAGWTNRTSSNLCRWRSVACGAAGSLSARVTSLNVTGLGGGLLSPSVGDLSELRVLSLVGNMFSGQIPATVGNLRFLEVLELQGNNFSGRVPTQMSFVFLQSLKLVNLSGNAFSGSIPSEIIGSGSVKIVDLSNNQFSGVIPLNGTCDSLKHLKLSRNFLTGEIPPQIGKCRNLRTLLVDGNILEGRIPSEIGQIVELKVLDVSRNSLTGRVPKELANCVKLSVIVLTDLFEDRDNGGLEDGFRGEFNAFVGNIPHQLLLLSSLRVLWAPRANLGGRLPSGWSELCSLRVLNLVQNYVTGVLPESLGMCRNLSFLDLSSNNLVGYLPSLQLHVPCMVYFNVSRNNISGTLKGFRKESCGVSAVDPSFQELDGFSDDEYFNFPVWRFQKNAFVGSGFEENNTIVVSHDFSWNSFVGSLPSFSLGDSLFGANRKVSYALSLNNNRFNGTLPEQLVSNCNELKTLSVNLSVNQLSGGNLQTAVLECLRLTDFEAAYNQIDESIGPAIGDLVMLQHLDLSGNKLSGSLPNQLGNLQNMKWMHLGGNNLTGEIPTQLGQMASLAVLNLSHNALVGTIPASLSNAKSFEILLVDHNKLSGEIPLAFSTLSNLVQLDVSFNNLSGHIPRLQHPSDCDSYKGNAHLHPCPDPYSDSPASLPVPLEIQHHTHRGRKLRTLVIVVVTSASVVLCTLLGIVLVIFSGRSKFGRLSSIRRRQVVTFQDVPTELNYDSVATATGNFSIRHLIGTGGFGSTYKAELSPGFLVAIKRLSIGRFQGIQQFETEIRTLGRIRHKNLVTLIGYYVGKAEMFLIYNYLSGGNLEAFIHDRSGKNVQWPVIYKIAKDIAEALAFLHYSCVPRIVHRDIKPSNILLDEDHNAYLSDFGLARLLEVTETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGRKSLDPSFSEYGNGFNIVPWAELLMTEGRCSELFSATLWEAGPKEKLLGLLKLALTCTEETLSIRPSMKQVLEKLKYLKS >Vigun07g239000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36049510:36052585:1 gene:Vigun07g239000.v1.2 transcript:Vigun07g239000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKLSQIIFIIAVLILVAQSSAAFHGSKNTIMKVHPVPRKRNISIQFGVGGGNPMSEAQALLGIAGKKLRRLPHVFSCVLELPFRSDADVVVEEAPDCFRFVAETDGIVDVRAHTVEIHPGVTKIVVRDGGSVEFSLDQLELDMWRFRLPESTRPELASAVFVDGELIVTVPKGHGEEDGDGDRGMGGGRLVLVQ >Vigun07g239000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36049510:36052653:1 gene:Vigun07g239000.v1.2 transcript:Vigun07g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKLSQIIFIIAVLILVAQSSAAFHGSKNTIMKVHPVPRKRNISIQFGVGGGNPMSEAQALLGIAGKKLRRLPHVFSCVLELPFRSDADVVVEEAPDCFRFVAETDGIVDVRAHTVEIHPGVTKIVVRDGGSVEFSLDQLELDMWRFRLPESTRPELASAVFVDGELIVTVPKGHGEEDGDGDRGMGGGRLVLVQ >Vigun07g060000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6752120:6752347:-1 gene:Vigun07g060000.v1.2 transcript:Vigun07g060000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKGNQKANASNTILDLSLSSSGSQNPSSSSN >Vigun07g060000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6751835:6753683:-1 gene:Vigun07g060000.v1.2 transcript:Vigun07g060000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKGNQKANASNTILDLSLSSSGSQNPSSSSN >Vigun09g181400.1.v1.2 pep primary_assembly:ASM411807v1:9:35501107:35505404:-1 gene:Vigun09g181400.v1.2 transcript:Vigun09g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNDDFQGVSNCYVFKSRLQEYAQKAGLPTPVYETIKEGPSHEPSFRSTVIVNDVRYGSLPGFSNRKAAEQSAAEVALVELAKSNAVNQSITQPVHETGLCKNLLQEYAQKMNYAMPMYQCKKDETPGRASVFSCTVDIGGILYIGGAAKTKKEAEIKAARTALLAIQTSASHASQNQAGHPQLTVLPCRKRVAESVLIADENSNPPKPKKARFKRKSSKRKTPRDKIGLIHTENVGIEANIDHEVETQASVNGESGVQETKSEKFTSEVMKNLENGISFKYPEKEAYAVEGSLVFENGKSAELYSKSLGTVVTDLSSVSNGDILETSVERNKQLYNGEMVSDHCVVGG >Vigun07g095400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15542302:15543534:-1 gene:Vigun07g095400.v1.2 transcript:Vigun07g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQFGVPPEEVGAAVATESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALHALRLEDLRIPTAYIKTFQGLPHDIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKYDENVNSQPFMRWRDCFLFCAEAIFKSQAETGEIKGHYLNATADTCEEMMKRAVFSRELGVPIVMHDYLIGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALLLSGGDHVHSGTVVGKLEGERDITLGFFDLLRDDFVEKDRSRGIYFTQDWVSLPGVLAVASGGIHVWHMPALTEIFGDDSVLQLGRGTRCCS >Vigun10g075500.2.v1.2 pep primary_assembly:ASM411807v1:10:20492558:20494035:1 gene:Vigun10g075500.v1.2 transcript:Vigun10g075500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFNEKWLFSIMVIDTQCRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNNIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSSPKYGTGKEAGNEKGYLVGMSVSYPKPGSIKIEDGEILTLESIYENKFRTGAMGHFYIYLAEQMPNKYSKEI >Vigun03g182700.5.v1.2 pep primary_assembly:ASM411807v1:3:23550931:23572033:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSYKIRPLYLPDFLLVILIIHQKKVLLQKNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun03g182700.2.v1.2 pep primary_assembly:ASM411807v1:3:23548677:23571960:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCYKYHYLFLHSHSLWRKLPLPHNSSTATFSRNLRIPTLFHSSGALQSKGYCSAAADSSGAVPATSPTLVPDAAFGTGQALRLGSAAKAERVTNADPLNGRVMIVDGTSIIHRAYYKLLAKLHHGHLTHADGNGDWVLTIFTALSLIIDVLEFIPSHVVVVFDHDGQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun03g182700.3.v1.2 pep primary_assembly:ASM411807v1:3:23548677:23572033:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGTSIIHRAYYKLLAKLHHGHLTHADGNGDWVLTIFTALSLIIDVLEFIPSHVVVVFDHDGLPFGHTYNSSKESFTAKGQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun03g182700.1.v1.2 pep primary_assembly:ASM411807v1:3:23548677:23571960:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCYKYHYLFLHSHSLWRKLPLPHNSSTATFSRNLRIPTLFHSSGALQSKGYCSAAADSSGAVPATSPTLVPDAAFGTGQALRLGSAAKAERVTNADPLNGRVMIVDGTSIIHRAYYKLLAKLHHGHLTHADGNGDWVLTIFTALSLIIDVLEFIPSHVVVVFDHDGLPFGHTYNSSKESFTAKGQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun03g182700.6.v1.2 pep primary_assembly:ASM411807v1:3:23555429:23572033:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun03g182700.4.v1.2 pep primary_assembly:ASM411807v1:3:23548677:23572033:1 gene:Vigun03g182700.v1.2 transcript:Vigun03g182700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGTSIIHRAYYKLLAKLHHGHLTHADGNGDWVLTIFTALSLIIDVLEFIPSHVVVVFDHDGQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLALRSVAAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGDQMVSFGVEDFANRYGGLKPSQFADMIALSGDRSDNIPGVNGIGDVYAVQLLSRFGTLERLLESVDQIKEDRIRKALIENAEQALLSKELALLRSDLPLYMVPFAVEDLSFNKPEDNGSRFNSLLTAISAYAEGFSADPLIRRAVHLWRKLESR >Vigun02g197400.2.v1.2 pep primary_assembly:ASM411807v1:2:33233190:33239901:-1 gene:Vigun02g197400.v1.2 transcript:Vigun02g197400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRSKSAGSCLTLEVISGPSRGLRCSVQSVNSSRLPLTLGRVSPSDLLIKDSEVSGKHAMIKWNLDKMKWELVDMGSLNGTLLNSKPINHPDTESRNWGNPMNLANGDVITLGTTSKVMVHITSQTQHQIPFGVGMASDPMAMRRGGKRFPMEDVCYYQWPLPGLDQFGLFGVCDGHGGDGAAKSASKLFPEVIASILSDSSRRERVLSLGDASDILRDAFSQTEAHMNHHYEGCTATVLLVWTDGEENFFAQCANVGDSTCIMSLNGEQIKMTEDHKITNYSERLRIEETGEPLKEGETRLYGINLARMLGDKFLKQQDTRFSSEPYISEVVHIDQASKAFAILASDGLWDVISVKKAIQLVLQMKEKCYSERENIAEKAASLLLNEAKTLRTKDNTCVIFLDFDTFNKFSCKVES >Vigun02g197400.3.v1.2 pep primary_assembly:ASM411807v1:2:33233190:33239901:-1 gene:Vigun02g197400.v1.2 transcript:Vigun02g197400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRSNAGSCLTLEVISGPSRGLRCSVQSVNSSRLPLTLGRVSPSDLLIKDSEVSGKHAMIKWNLDKMKWELVDMGSLNGTLLNSKPINHPDTESRNWGNPMNLANGDVITLGTTSKVMVHITSQTQHQIPFGVGMASDPMAMRRGGKRFPMEDVCYYQWPLPGLDQFGLFGVCDGHGGDGAAKSASKLFPEVIASILSDSSRRERVLSLGDASDILRDAFSQTEAHMNHHYEGCTATVLLVWTDGEENFFAQCANVGDSTCIMSLNGEQIKMTEDHKITNYSERLRIEETGEPLKEGETRLYGINLARMLGDKFLKQQDTRFSSEPYISEVVHIDQASKAFAILASDGLWDVISVKKAIQLVLQMKEKCYSERENIAEKAASLLLNEAKTLRTKDNTCVIFLDFDTFNKFSCKVES >Vigun02g197400.1.v1.2 pep primary_assembly:ASM411807v1:2:33233190:33239901:-1 gene:Vigun02g197400.v1.2 transcript:Vigun02g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLQTILTVLLLLMLLLIIIFLFFLFKPWRFFFSQRFLSSIKGELERPLVTDEEDANASPLNQINELPRDYDLEGACYPSEAHFRSPRTHGQGLVHKQRVQNLSVPPTIHLGGDALVVDVISDPSSDDVDVGQTLRLSTAQLAQFQKQGTTNVRNDRLQDLVQWNISDQRSCLTLEVISGPSRGLRCSVQSVNSSRLPLTLGRVSPSDLLIKDSEVSGKHAMIKWNLDKMKWELVDMGSLNGTLLNSKPINHPDTESRNWGNPMNLANGDVITLGTTSKVMVHITSQTQHQIPFGVGMASDPMAMRRGGKRFPMEDVCYYQWPLPGLDQFGLFGVCDGHGGDGAAKSASKLFPEVIASILSDSSRRERVLSLGDASDILRDAFSQTEAHMNHHYEGCTATVLLVWTDGEENFFAQCANVGDSTCIMSLNGEQIKMTEDHKITNYSERLRIEETGEPLKEGETRLYGINLARMLGDKFLKQQDTRFSSEPYISEVVHIDQASKAFAILASDGLWDVISVKKAIQLVLQMKEKCYSERENIAEKAASLLLNEAKTLRTKDNTCVIFLDFDTFNKFSCKVES >Vigun11g186300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38668585:38669613:1 gene:Vigun11g186300.v1.2 transcript:Vigun11g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNRFVGIANAKHKLQRTLSQRIKMASSVADVPKGHLAVYVGENHKRFVIPISYLSHPLFRDLLDWAEEEFGFNHPMGGLTIPCTEHYFVTLTSSLS >Vigun04g028900.2.v1.2 pep primary_assembly:ASM411807v1:4:2240930:2245204:1 gene:Vigun04g028900.v1.2 transcript:Vigun04g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDQSQSAYLQSPLIQHSHSSGQGEGLVLNEKQSCWRRTERSDVVEEMKKQLWLAGPLITVTLLNFGLNLISVMFVGHLGELALSGASMATSFASVTGFSLLQGMASALDTFCGQSYGAKQYHMLGIHMQRAMLILMTVSIPLAVIWANTRSILIFFGQDPEIAAAAGSYATFMLPTVFAYGLLQCLNRFLQTQNIVYPMMCSSGITTLLHVLTCWILVFKSGLGSKGAALANGISYWLNVTMLILYIKFSPSCAKTWKGFSKEALHNIPTFFRLAIPSAVMVCLEMWSFELMVLLSGLLPNPKLETSVLSICLNSSATVWMIPFGLSGAVSIRVSNELGAGRPWIARLAVRVVLAIAIVQGLLIGTVMILVRNVWGYAYSNEVEVVKYVAIMFPILAASNFLDGLQCVLSGTARGCGWQKIGAFVNLGSYYLVGIPSAIVLAFVLHIGGKGLWLGIICALIVQVCSLMIITIRTDWEQQAKKATDRVYNSVTPESSVS >Vigun04g028900.1.v1.2 pep primary_assembly:ASM411807v1:4:2240930:2244466:1 gene:Vigun04g028900.v1.2 transcript:Vigun04g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDQSQSAYLQSPLIQHSHSSGQGEGLVLNEKQSCWRRTERSDVVEEMKKQLWLAGPLITVTLLNFGLNLISVMFVGHLGELALSGASMATSFASVTGFSLLQGMASALDTFCGQSYGAKQYHMLGIHMQRAMLILMTVSIPLAVIWANTRSILIFFGQDPEIAAAAGSYATFMLPTVFAYGLLQCLNRFLQTQNIVYPMMCSSGITTLLHVLTCWILVFKSGLGSKGAALANGISYWLNVTMLILYIKFSPSCAKTWKGFSKEALHNIPTFFRLAIPSAVMVCLEMWSFELMVLLSGLLPNPKLETSVLSICLNSSATVWMIPFGLSGAVSIRVSNELGAGRPWIARLAVRVVLAIAIVQGLLIGTVMILVRNVWGYAYSNEVEVVKYVAIMFPILAASNFLDGLQCVLSGTARGCGWQKIGAFVNLGSYYLVGIPSAIVLAFVLHIGGKGLWLGIICALIVQVCSLMIITIRTDWEQQAKKATDRVYNSVTPESSVS >Vigun06g041100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16191577:16193344:1 gene:Vigun06g041100.v1.2 transcript:Vigun06g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVASYSVFPSKETPKGHLWLSDNDHVWRSGHTPTIYVYKAKHSDDTIQRLRNSLPEILVHYYPLAGRLTLRETGRMEVDCNAKGVTLLQAETTKSLADYGDFSPSDSVRELVPKIDYTQPIEEIPLLLVQSTRFHDGEGFVIGVAFCHPLADGLAGTRFINSWAKLARGETLEPHELPYLDRTILKLQHSSTSPCFDHPELKPLPLKLGSSDINAEQSKKTSGVLLKLTPEQVQKLKKEANEEALEEGVRAYSRFEAIAGHVWRCACKARELDEKQPTVVRFNGDVRSRLVPPLPRNYFGNALAETVSPECYVGEIVSKPLSYGAQKIREATKKLRNEYIRSQMEIVLGEEQLDGIRGFFSGQGERNSFPFAGNPNLHITSWMSMPMYEADFGWGKPVFFGLAYVCAHDRAVILLGPHGDGSIIVSMHFQEAHLELFKKFFYQSL >Vigun11g140200.1.v1.2 pep primary_assembly:ASM411807v1:11:34946422:34947013:-1 gene:Vigun11g140200.v1.2 transcript:Vigun11g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEMKSIVAVVVMMIMLGFSEEAKLSCPAQCGIDCVLANLAYPICFAACVAKCPKMSKGALQCISHCGVNKSINIDVDGSGAVTKVMDSCLQKCPTN >VigunL007302.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:15477:15851:1 gene:VigunL007302.v1.2 transcript:VigunL007302.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTGKKAASDAAKVLSDPKSTSAEKSAAGAALTQRAGPKSSAANETTSPAAAKKASKVLSNPKSTAAEKTAAASALAQAAPKAKPAKPPAPAKPAPKPAAKPAAAKPAPKPPAAKPSAPKRK >Vigun09g133250.1.v1.2 pep primary_assembly:ASM411807v1:9:29206019:29206492:-1 gene:Vigun09g133250.v1.2 transcript:Vigun09g133250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLSHHLIYSTLPRLNISRAHKHFLRFVNCK >Vigun11g192000.4.v1.2 pep primary_assembly:ASM411807v1:11:39119830:39124340:1 gene:Vigun11g192000.v1.2 transcript:Vigun11g192000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLSVLSTSIIRSSTHSKPILRCPSIQFQPFQLPHSPNAHRKISCYEFPDFQGFRAYSLLSLNDLRDKVPRKQPTRKGRGIGSGKGKTAGRGHKGQRARKGIKLGFEGGQTPLRRRVPKRGFKNPFSLTFQPIGLGKIAKFINAGKIDSSELITMKTLKDAGVLGKQSKDGVRLMGRGSEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFLVETTKDLPVQPFS >Vigun11g192000.1.v1.2 pep primary_assembly:ASM411807v1:11:39119830:39124340:1 gene:Vigun11g192000.v1.2 transcript:Vigun11g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLSVLSTSIIRSSTHSKPILRCPSIQFQPFQLPHSPNAHRKISCYEFPDFQGFRAYSLLSLNDLRDKVPRKQPTRKGRGIGSGKGKTAGRGHKGQRARKGIKLGFEGGQTPLRRRVPKRGFKNPFSLTFQPIGLGKIAKFINAGKIDSSELITMKTLKDAGVLGKQSKDGVRLMGRGSEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFLVETTKDLPVQPFS >Vigun11g192000.3.v1.2 pep primary_assembly:ASM411807v1:11:39119808:39124340:1 gene:Vigun11g192000.v1.2 transcript:Vigun11g192000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLSVLSTSIIRSSTHSKPILRCPSIQFQPFQLPHSPNAHRKISCYEFPDFQGFRAYSLLSLNDLRDKVPRKQPTRKGRGIGSGKGKTAGRGHKGQRARKGIKLGFEGGQTPLRRRVPKRGFKNPFSLTFQPIGLGKIAKFINAGKIDSSELITMKTLKDAGVLGKQSKDGVRLMGRGSEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFLVETTKDLPVQPFS >Vigun11g192000.2.v1.2 pep primary_assembly:ASM411807v1:11:39119808:39124340:1 gene:Vigun11g192000.v1.2 transcript:Vigun11g192000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLSVLSTSIIRSSTHSKPILRCPSIQFQPFQLPHSPNAHRKISCYEFPDFQGFRAYSLLSLNDLRDKVPRKQPTRKGRGIGSGKGKTAGRGHKGQRARKGIKLGFEGGQTPLRRRVPKRGFKNPFSLTFQPIGLGKIAKFINAGKIDSSELITMKTLKDAGVLGKQSKDGVRLMGRGSEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFLVETTKDLPVQPFS >Vigun11g143200.1.v1.2 pep primary_assembly:ASM411807v1:11:35280305:35285889:-1 gene:Vigun11g143200.v1.2 transcript:Vigun11g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVANTQLSPSKTLDSTNGHDSTMEPNQMLPQDAQLNQENDGVVSTGKRSREDDDGEERSLHPMWKTSLCSYYRSHNNTCNHGDTCRYAHGEEELRPRPDNTWDPTSERAKKALKTETDEKCAASEDVMMTEAVVDGDDIDSNHAFSKCLVHLPRRWCSEDLRNFLSQQGIAFKYAKKKNGMMIGFVTFEDEEQLKSSSKDLEGKTIGNKTVKVADVLNTNNDKLTGDGSVGKTRNLRDVVTPLAHIPYADQLEQKKTSLMQILKKLTRNARKACPDGVSLPEWILNSREIGGLPCKLEGIIASPIVDGYRNKCEFSVGYSLKGNVTVGFMLGNFREGVTAVEEATECPNVSTIASKYVTMFQEFLQHSDLPVWNRFKNTGFWRQLTVREGRTNGNTDHAETFGGIAEVMLIVQVSTANFDDALVAAEFKRLALAFVAGATSHCPTLPLTALFVQDHQGISNVAPADAPLHLLPLPKAGGDPEIDENNNAMDVRIHDYINNLRFSISPTAFFQVNTLAAEKLYSLAGDWACLGPDTLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAHRNAEINGIKNIRFICSKAEDVMGSLLREYLNMTKKQDDSNTPKSGYDIPEDSACPEAENGEMASPCPENNNGEVRSEVQKDSTSEQVDGISEQAENVTGSQLRDDVNVPKEQSDDANVCGGGNDIPGDSTCPCPQTSDMTSRSENNNAEVVSEVQNGNSSENGNTSMQQFKNVVAIVDPPRAGLHPTVIKALRTHPRLRRLVYISCNPETLVANAIELCTPSPAKIQKGNKDNRGWRNMSSAGLARHRAKSMPISEAFHPVKAMAVDLFPHTPHCELVMLLER >Vigun06g092333.1.v1.2 pep primary_assembly:ASM411807v1:6:22451055:22452284:1 gene:Vigun06g092333.v1.2 transcript:Vigun06g092333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTNFSVCFLMQGVHPYNKEEVSGSINLYFLQLIFHWGQEKRR >Vigun09g008500.2.v1.2 pep primary_assembly:ASM411807v1:9:653590:654228:-1 gene:Vigun09g008500.v1.2 transcript:Vigun09g008500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASENPIHDSTQGKASSSSSTTLKLFGFPLTSSENPINKEIHVVAPHCPYKRFKCHFCYREFANSQALGGHQNAHKRERQKAALSPFGYFRYHQRLTAPPAHSPIVVPHGGSRPLVGPRDRDDDRRVGLVRPMGAGAVSIDRVREVHNIINDGDDGNGGVDLNLSLANKAFNFRDKEFGRCKRS >Vigun07g091300.1.v1.2 pep primary_assembly:ASM411807v1:7:14290561:14293801:-1 gene:Vigun07g091300.v1.2 transcript:Vigun07g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGVTEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun03g201228.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:30702818:30703273:-1 gene:Vigun03g201228.v1.2 transcript:Vigun03g201228.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGMVVVVVVVVVVVGMVVVVEVAMVVVVGVVVMVVVVVVAAVVVMLVAMVVVVVVEVVLVAVVAMVVVVMLVVVVVVVVVVMVVVVMVVVLVVGLLKVVMVVVVVVVAAVVIVVVAMVVVVDGRPWCRRGRRSMEDTKKKKKCSGN >Vigun06g117300.1.v1.2 pep primary_assembly:ASM411807v1:6:24514348:24518214:1 gene:Vigun06g117300.v1.2 transcript:Vigun06g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLLLLLLLLVMVLSAFAMDVEYSQCNCDEEGFWSIYNILVCQKVSDFFIAIAYFSIPLELLYFVSRSNVPFKLVFLQFIAFIVLCGLTHLLNAYTYYGPHSFRLFLSLTVAKFLTALVSCATAITFPTLVPLLLKVKVRELFLRQNVLELGQEVGIMKKQKEASWHVRMLTCEIRKSLDKHTILYTTLVELSKALDLHNCAVWMPDDDRREMLLTHELKPNSASSFHSSIPISDPDVLDIKNSKGVWILRRDSKLGAASSGGGSGDAGAVAAIRMPILHVSNFKGGTPEMVETSYAVLVLVLPISNSRAWTSHEMEIVEVVADQVAVALSHASVLEESQLMSQKLAEQNRALQQAQKNAMMARKARSSFEKVMSHAMRRPMHSILGLLSMFQEDNIRPEQKIVIDSIFKVSNALSRLINDVMEISANDNGTFRLEMKPFSLHSMMREVSCTSKCLCIYKGFGLEVDVDKSLPDLVIGDEARSFQVILHMIGYLLNIYDRGTLIFQVYLESDSGDKDDKSIGIWRSSMQNAYVHIRFSFHISGISSQADESFSTTNCNVKGHFNNESKEVLSFSMCKTLVQMMQGNIWISTNTMGLTQGMTLLLKFQSGSSHGRFILAPKDFSNYQFKGLKVVLADDDDVNRTVTKKLLEKLGCQVTAVSSGFECLGAISASGNLFKIILLDLHMPEMDGFEVAKRIRKLQSHNWPLIIAFTASAEEHIKERCLQVGMNGFIRKPILLHEIADELRAVLQRTGEKY >Vigun01g072000.3.v1.2 pep primary_assembly:ASM411807v1:1:19864701:19868402:-1 gene:Vigun01g072000.v1.2 transcript:Vigun01g072000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVTENELKLISYELEKFLEAEREESFHDSSGRNSYVSTITLSAKETDGPKAEDYRNKAVCPLQGYLLGSSFELPETKQVSKKRASLAELLYRTKATSQDCIETGIRGETQVKETHKSAARHIMRKVLKRVYSSLESCNTSRKDADCASTNKKLHKGMQALRLFHRKVYPESPINGKDFIKSHKDEMQNVSHEFFHEYDNGKPTNPDKGKKFHPDTKSREWSQHRTINWNPPQLGQISSSSTGNNEHWIRTDAEYLVLEL >Vigun01g072000.2.v1.2 pep primary_assembly:ASM411807v1:1:19865130:19868402:-1 gene:Vigun01g072000.v1.2 transcript:Vigun01g072000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVTENELKLISYELEKFLEAEREESFHDSSGRNSYVSTITLSAKETDGPKAEDYRNKAVCPLQGYLLGSSFELPETKQVSKKRASLAELLYRTKATSQDCIETGIRGETQVKETHKSAARHIMRKVLKRVYSSLESCNTSRKDADCASTNKKLHKGMQALRLFHRKVYPESPINGKDFIKSHKDEMQNVSHEFFHEYDNGKPTNPDKGKKFHPDTKSREWSQHRTINWNPPQLGQISSSSTGNNEHWIRTDAECKYIHLECNSLHTNLINIFH >Vigun01g072000.1.v1.2 pep primary_assembly:ASM411807v1:1:19864128:19868402:-1 gene:Vigun01g072000.v1.2 transcript:Vigun01g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQWVHRKLRQNSIEPFKDFTFGNPCTCLTVKPTLDNQYSHTKPCFSSKNQPSFSKSHNQENQISYSGLVDSREDKSQEETPAICSQLFEGFLTIGTLGTETDTNEPGTPTFAKPSGNIPMRSEEVTENELKLISYELEKFLEAEREESFHDSSGRNSYVSTITLSAKETDGPKAEDYRNKAVCPLQGYLLGSSFELPETKQVSKKRASLAELLYRTKATSQDCIETGIRGETQVKETHKSAARHIMRKVLKRVYSSLESCNTSRKDADCASTNKKLHKGMQALRLFHRKVYPESPINGKDFIKSHKDEMQNVSHEFFHEYDNGKPTNPDKGKKFHPDTKSREWSQHRTINWNPPQLGQISSSSTGNNEHWIRTDAEYLVLEL >Vigun11g132800.2.v1.2 pep primary_assembly:ASM411807v1:11:34148189:34154497:-1 gene:Vigun11g132800.v1.2 transcript:Vigun11g132800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSKQSDFNRPPQSAASSSAAGVRGRGRGRGRGAHSSPSPPTQTSHPPSYAASPAPPTAAPPRAVAPVPASTGPGPSRPPPVQSSPAPVSGSPAVATTTSPSPAVEAVTSALEKQLSLAPSAPSSSKAVRFPDRPGIGRAGRKIQVRANHFQVQVAMLDIYHYDVAISPEITSKTISRDIINLLVRAHRESILGNRMPAYDGRKSLFTAGPLPFEVKEFKVKLGDNDEPGSSATPTSDRKKREREFRVTIRFASKTDLHHLTQFLARRQLDCPQETIQALDVVLRATPSEKFDVVGRSFFSPALGPKGPLGNGTEYWRGYYQSLRPTQMGLSLNIDVSARAFYEPIPVLDFISKNFRLDLRRPLHDQDRVKIKKALRGVKVQVNHGQNIRRYKVSGLSKEPLRALMFTDDNGTKKSVPQYFQEKYNISLQYTQLSALQAGSDTKPIFLPMELCQIVAGQRYTKKLNEDQVTALLRATCQRPRDREDSIRQTVRQSKFSTDKFAQHFQIKVREDPALLEARVLPPPMLKYHGSGGESQVQPRMGQWNMINKKMIAGGTVEHWTCLNLSGRIHRDLPYQLCQNLAEMCNSKGMRFKESPVVPITSSPSGQIENALMNLHKQCRQANVGLQLLIIILPDIKGSYGKIKRICETELGIVSQCCQPKQVVKMNKQYLENVALKINVKVGGTNTILNDAFANRIPHVSDVPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPFVTRYKGVVSAQKHREEIIQDLFIQDPAGGNAHSGIIRELFRAFRLSTRRKPERIIFYRDGVSEGQFNQVLLYEMDAIRRACNSLEADYLPPVTFVVVQKRHHTRLFPMDHGGRDSTDRSGNIMPGTVVDTTICHPREFDFYLNSHAGIQVMQYS >Vigun11g132800.1.v1.2 pep primary_assembly:ASM411807v1:11:34148189:34154497:-1 gene:Vigun11g132800.v1.2 transcript:Vigun11g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSKQSDFNRPPQSAASSSAAGVRGRGRGRGRGAHSSPSPPTQTSHPPSYAASPAPPTAAPPRAVAPVPASTGPGPSRPPPVQSSPAPVSGSPAVATTTSPSPAVEAVTSALEKQLSLAPSAPSSSKAVRFPDRPGIGRAGRKIQVRANHFQVQVAMLDIYHYDVAISPEITSKTISRDIINLLVRAHRESILGNRMPAYDGRKSLFTAGPLPFEVKEFKVKLGDNDEPGSSATPTSDRKKREREFRVTIRFASKTDLHHLTQFLARRQLDCPQETIQALDVVLRATPSEKFDVVGRSFFSPALGPKGPLGNGTEYWRGYYQSLRPTQMGLSLNIDVSARAFYEPIPVLDFISKNFRLDLRRPLHDQDRVKIKKALRGVKVQVNHGQNIRRYKVSGLSKEPLRALMFTDDNGTKKSVPQYFQEKYNISLQYTQLSALQAGSDTKPIFLPMELCQIVAGQRYTKKLNEDQVTALLRATCQRPRDREDSIRQTVRQSKFSTDKFAQHFQIKVREDPALLEARVLPPPMLKYHGSGGESQVQPRMGQWNMINKKMIAGGTVEHWTCLNLSGRIHRDLPYQLCQNLAEMCNSKGMRFKESPVVPITSSPSGQIENALMNLHKQCRQANVGLQLLIIILPDIKGSYGKIKRICETELGIVSQCCQPKQVVKMNKQYLENVALKINVKVGGTNTILNDAFANRIPHVSDVPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPFVTRYKGVVSAQKHREEIIQDLFIQDPAGGNAHSGIIRELFRAFRLSTRRKPERIIFYRDGVSEGQFNQVLLYEMDAIRRACNSLEADYLPPVTFVVVQKRHHTRLFPMDHGGRDSTDRSGNIMPGTVVDTTICHPREFDFYLNSHAGIQGTSRPTHYHVLFDENKFTADELQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGDTSDAGSSTGGKSTTASFEVKLPSVKGNVADVMFFC >Vigun09g022700.1.v1.2 pep primary_assembly:ASM411807v1:9:1799386:1800291:-1 gene:Vigun09g022700.v1.2 transcript:Vigun09g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSRNATKKQESVAKDLIEGGQSSTKNGSSNGTVKQTGTSTEKLLKPDPVTKDPKGPRSKRRN >Vigun09g022700.2.v1.2 pep primary_assembly:ASM411807v1:9:1799386:1800291:-1 gene:Vigun09g022700.v1.2 transcript:Vigun09g022700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSINYTVDWLESQQESQPKQYRIEFVFILFIENDFTKQSQCHQQESVAKDLIEGGQSSTKNGSSNGTVKQTGTSTEKLLKPDPVTKDPKGPRSKRRN >Vigun06g134700.1.v1.2 pep primary_assembly:ASM411807v1:6:26102098:26106022:-1 gene:Vigun06g134700.v1.2 transcript:Vigun06g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERDIDDLPKNDANHAALTPLWFLERAALVHPTRRSIVHGSRHYTWLQTYQRCRRFASALSNHSIGLPNTVAVIAPNIPAFYEAHFGIPMAGAVLNAVNIRLNAPAIAFLLAHSSTAAVIVDQEFFSVAEESLKIWSENSKTFKPPILIVIGDENCHPKVLKNCVSRGAVEYETFLEGGDPEFKWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLSAALNWGMSEGAVYLWTLPMFHCNGWCYPWTLAALCGTNICMRQVTAKAVYAAIAKYKVTHFCAAPVVLNSIVNASSEEVILPLPHVVEVNTAGAAPPPSMIAEMSEKGFRVTHTYGLSETYGPSTICARKPEWESLPIEKQARLSARQGVRYIALEGLEVMNPKTMEPVPADGGTVGEIMMRGNAVMKGYLKNPRANKEAFANGWFHSGDLAVKHKDGYIEIKDRSKDIIFSGGENISSVEIEHVLFSHPAILEAAVVARPDEKWGESPCAFVTLKGDVDGGNEQRLVEDIVKFCRSHMPAYWVPKSVVFGPLPKTATGKTQKPLLRAKAKEMGPLRKSKL >Vigun11g203200.1.v1.2 pep primary_assembly:ASM411807v1:11:40072021:40075092:-1 gene:Vigun11g203200.v1.2 transcript:Vigun11g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRRPKWRHHPPPPPTPRILHFPRRRPSFRRDLKSNNSNRLGTLIDRERRARPPTVLLDNNVGSESERRRERVGSPKGWALEEEKWKFQAEMLRAECNLLRMEKEIAVKKLQRTRVKMETTLRSALHTLVSGRIRICEGKNVDMVLDEEIRELTEKLQRLQKRSKVKDLGAKKNKNFDKQVCVLQSRLEKIGGSSDEIYLREFQEMENISLSIKRCSGIDDSIVASGKLNVEILRRKMEGLSKGILLQRMEEEYNSLLSSATCSLASSASTSKRIELQDSSSMRVLQREKLSCEGNPCSGHCKTVVRRIVEQVRAETEQWSQMQEMLGQVREEMEELQASRDFWEDRAKQSDFDIQSLQNAVQEWKEKADSSESKTKELEAELSMVRDDLERLKKEQNVVKGTKCLPIPVDTQNELEKRIVVCSSKKNGNFTENSKHSDVLRSGERKTHGGNGGFLAPKRSPLRDIGNNSSLLMRQNGKAVFPLRCQISSDVEKIH >Vigun11g203200.2.v1.2 pep primary_assembly:ASM411807v1:11:40072021:40075092:-1 gene:Vigun11g203200.v1.2 transcript:Vigun11g203200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRRPKWRHHPPPPPTPRILHFPRRRPSFRRDLKSNNSNRLGTLIDRERRARPPTVLLDNNVGSESERRRERVGSPKGWALEEEKWKFQAEMLRAECNLLRMEKEIAVKKLQRTRVKMETTLRSALHTLVSGRIRICEGKNVDMVLDEEIRELTEKLQRLQKRSKVKDLGAKKNKNFDKQVCVLQSRLEKIGGSSDEIYLREFQEMENISLSIKRCSGIDDSIVASGKLNVEILRRKMEGLSKGILLQRMEEEYNSLLSSATCSLASSASTSKRIELQDSSSMRVLQREKLSCEGNPCSGHCKTVVRRIVEQVRAETEQWSQMQEMLGQVREEMEELQASRDFWEDRAKQSDFDIQSLQNAEWKEKADSSESKTKELEAELSMVRDDLERLKKEQNVVKGTKCLPIPVDTQNELEKRIVVCSSKKNGNFTENSKHSDVLRSGERKTHGGNGGFLAPKRSPLRDIGNNSSLLMRQNGKAVFPLRCQISSDVEKIH >Vigun03g178400.6.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331106:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQMFAEEVMNFVEPQGIWSKKCL >Vigun03g178400.16.v1.2 pep primary_assembly:ASM411807v1:3:22326908:22331106:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.2.v1.2 pep primary_assembly:ASM411807v1:3:22326746:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.12.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331106:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQMFAEEVMNFVEPQGIWSKKCL >Vigun03g178400.17.v1.2 pep primary_assembly:ASM411807v1:3:22327926:22329221:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.9.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQEPRESNISYMALGVHKYVLFFCLVASWQRGQE >Vigun03g178400.15.v1.2 pep primary_assembly:ASM411807v1:3:22326903:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.5.v1.2 pep primary_assembly:ASM411807v1:3:22326746:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQEPRESNISYMALGVHKYVLFFCLVASWQRGQE >Vigun03g178400.14.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.3.v1.2 pep primary_assembly:ASM411807v1:3:22326762:22331107:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.10.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331106:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQEPRESNISYMALGVHKYVLFFCLVASWQRGQE >Vigun03g178400.1.v1.2 pep primary_assembly:ASM411807v1:3:22326780:22331106:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQEPRESNISYMALGVHKYVLFFCLVASWQRGQE >Vigun03g178400.7.v1.2 pep primary_assembly:ASM411807v1:3:22326746:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQMFAEEVMNFVEPQGIWSKKCL >Vigun03g178400.4.v1.2 pep primary_assembly:ASM411807v1:3:22326762:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTLEVLELQLPGKEAVNAAAFWNGLRGQKLKKL >Vigun03g178400.13.v1.2 pep primary_assembly:ASM411807v1:3:22326985:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQMFAEEVMNFVEPQGIWSKKCL >Vigun03g178400.11.v1.2 pep primary_assembly:ASM411807v1:3:22326985:22331105:1 gene:Vigun03g178400.v1.2 transcript:Vigun03g178400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSGSDLKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNDDVAFVEGALVFPCGRGTTLEEKRQKGVEEQNNNAGNTQDGMEAKEEVKQEKVIFLRPLNMQDFKEARSQEPRESNISYMALGVHKYVLFFCLVASWQRGQE >VigunL008801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:106384:106587:-1 gene:VigunL008801.v1.2 transcript:VigunL008801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun03g430900.1.v1.2 pep primary_assembly:ASM411807v1:3:63537784:63541287:-1 gene:Vigun03g430900.v1.2 transcript:Vigun03g430900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGSIHVEVDELQRMRLSETISIGTTMFEPRGLSSIDKFDSNSTVNSVSPTTSAPEKKLTLFALQLAVLEKAATGLGTLGFIWATVVLLGGFAITLEKTDFWFITIILVVEGTRIFSRSHELEWQHQATWSITENHHHQYVAADATPSRTPTRMWVSSDVPLLPYAKWFFLSRHVSRLLYWLQLLSATACVVLSLTKLVMHNYGEVAKGDTDKRNRKSALSIFYALALAEALLFLMEKAYWEWQVSYCKLLEEVNKECELGPPGMVSTRRFFYDAYSRCVNGSIFDGLKMDMVSFSMDLLASNSPDEQLIGARILRQFSVSERFSDDTLQKIGIDISVAERLVEMLNWTDLKEEEIRLSAAEILSKLAGKKQNSLRIAGIPGAMESISSLLKTNRSVIPAADEIGEKKLVFDHQNYGFCTFNQLGLLILKKLARDLDNCGKIGNTRGLLPKIIDFTHAEEWLLKSENVTSSQVVTVKRSLQLVKMLASTVGTTGKYLRREISEIVFTISNIRDILRHGEKHPLLQKLSIEILTSLALEEDATERIGGTGGVLKELFNIFFKHNIPENQKHIKIVAGEALAMLVLESKNNCHRILKLRVLERLEEALKDPLLRVNAARILRNLCTYSGPELFNQLKGVTTAAPIILKAIMSEENKIQEVMVGLAANVFRYITTRESSIVFEEAGITEAELANKLVQILKKHKYPPTKVPRIRRFVIELAIWMMKDKSENIDTFKGMEMEVVLEGVLETTSELESFNVFSGTVGLNRHNITTQSLVDMALKLMEDR >Vigun05g199600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38588349:38589489:-1 gene:Vigun05g199600.v1.2 transcript:Vigun05g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATRAWIVASSIGAVEALKDQLGVCRWNYALRSLQQHAKSNIGSFTQTKSLSSATSAAVANKVNRTKEDSMRKVLDLGCWGPNTIRF >Vigun03g361800.1.v1.2 pep primary_assembly:ASM411807v1:3:56535400:56540221:-1 gene:Vigun03g361800.v1.2 transcript:Vigun03g361800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKINAQISPQPCPHLAEFRRTSTKPFLALHSCLRIKPPGGRAALRRDPDEVPRCGACGLSSPSRLYACVTCAAVSCHSTSADDSSHAAVHAASMPPGHQIAVDVDRAELFCCACRDQVYDRDFDAAVVIAQTAASTLGADPPPAIPSSHPENLRKKRRVDYRPWAPDLRERALIGSCSGPIAGDAADPSQDLPRGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRFFCQKRNNGDGDGGAAGKRNGGNNGNKNARICLACDMDAMFSAVFSGDRVPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKGRREPDSEGNGDCCIAHKVFSGILRSDVTCMACGFTSTTYDPCIDISLDLEPNQGVSNKTATATSNHSCNGEADCMNSSQYCGTSTLTGCLKRFTRAERLGADQKFFCRQCQVRQETLKQMSIRKLPLVSCFHIKRFEHSSTRKMSRKVDRYLQFPFSLDMSPYLSSTILRSRFGNRIFPFDGDEPDASDELCSEFELFAVVTHTGKLDAGHYVTYLRLSNRWYKCDDAWITQVDENIVRAAQCYMMFYVQKMLYYKATDKQVAS >Vigun05g086700.1.v1.2 pep primary_assembly:ASM411807v1:5:8221154:8222341:-1 gene:Vigun05g086700.v1.2 transcript:Vigun05g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERRRQQQQQQSWKLQVTAKANNFNFRLRFAAATYKPTWNFSFFRLSILLKLPKFALRFASHPTQHRPGFFATKFMKIVHKLRPRFPRKKESNVSYPKVNNLTYQDPLRVGFWVMGILARVARSISNNGQRGIVMLGSVGYLLLLFWRMKTVNLVAFLVVVGTIFYHLFINFDCNYCYWEYMGRSWNKTVNLW >Vigun01g016900.1.v1.2 pep primary_assembly:ASM411807v1:1:1851530:1858070:1 gene:Vigun01g016900.v1.2 transcript:Vigun01g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDLVNKTEAGGKESQDNHITGLVKNNDGVNPANHQAAGGAERPVSDQTDVDRNPTSEQVGIAGNMVNNQAGAAETPAKEHVTGGAENSVHNEAGASISGMKDEAGVIDSPVNSIVTAAEISVSSQADAAANRKENPVETPVACKADRLPEEAQEMLKSLASHWEDVLDANALQVFPLKGAMTNEVFQIKWPTSTGETSRKVIVRIYGEGVDVFFDRSQEIQTFEFMSKNGQGPPLLGRFANGRVEEFIHARTLSAADLRDPTISALIAAKLKDFHDLDMPGEKEVHLWNKLRNWLSEAKRISSPKEAEAFYLDTIDKEITLLEKELSGSHQMIGFCHNDLQYGNIMLDEETNSVTIIDYEYACYNPVAFDIANHFCEMAANYHTEEPHILDYSKYPDLKERKRFVLAYLSASDGSEVEQLLQEIEKYTLANHLFWGVWGIISAQVNTIHFDYKEYAKQRFQEYWARKPYLLINSEAPSPYNVPEGTGELASAPSHKSKNSSIFRRMKKVFGLGLFRSKS >Vigun01g016900.2.v1.2 pep primary_assembly:ASM411807v1:1:1851487:1856596:1 gene:Vigun01g016900.v1.2 transcript:Vigun01g016900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDLVNKTEAGGKESQDNHITGLVKNNDGVNPANHQAAGGAERPVSDQTDVDRNPTSEQVGIAGNMVNNQAGAAETPAKEHVTGGAENSVHNEAGASISGMKDEAGVIDSPVNSIVTAAEISVSSQADAAANRKENPVETPVACKADRLPEEAQEMLKSLASHWEDVLDANALQVFPLKGAMTNEVFQIKWPTSTGETSRKVIVRIYGEGVDVFFDRSQEIQTFEFMSKNGQGPPLLGRFANGRVEEFIHARTLSAADLRDPTISALIAAKLKDFHDLDMPGEKEVHLWNKLRNWLSEAKRISSPKEAEAFYLDTIDKEITLLEKELSGSHQMIGFCHNDLQYGNIMLDEETNSVTIIDYEYACYNPVAFDIANHFCEMAANYHTEEPHILDYSKYPDLKERKRFVLAYLSASDGSEVEQLLQEIEKYTLANHLFWGVWGIISAQVNTIHFDYKEYAKQRFQEYWARKPYLLINSEAPSPYNVPEGTGI >Vigun08g120000.1.v1.2 pep primary_assembly:ASM411807v1:8:28771263:28782572:1 gene:Vigun08g120000.v1.2 transcript:Vigun08g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTRHPSHRTSKQHFKVCFCFRRMFRLKVVVPPDEINTVFEEYSHDGIMTMDDMCDFLVEFQGENEGVTTHAQTIFDSLKHLNIFQRRGFHIDAFFRYLLSDLNGPLAEVYHDMTAPLAHYFLYTGHNSYLTGNQVSSASSTSAIINALKKGVRVIELDLWPNSRGDDVLVHHGGTLTSSVKLRPCLIAIKDYAFLASPYPVVITFEDHITPSLQDKVAKMLVDTFGDLLFYPENSHQMREFPSPERLKKKILISTKPPDSYESQDKRTLEEAPQRLEEYHDKSRVNYKDDSDDSDDEDDTPAYRDLISIRAGKPKGKLKNCLINHNQVRRLSLSEQELEDIAKNHGTDIIRFTQRNLLRIYPKGTRLDSSNYDPMIGWMHGAQMVAFNMQGGGHYLRYMEGMFRANGGCGYVKKPNILLNVNDIFDPKALRPVKTTLQVLVYMGDGWRSDFSPTHFDFYSPPDFRVQIGIHGVPADRDTKYTRTIEDDWVPVWNEEFSFPLRVPELALLYIKVVERDFSGSGDFGGQTCLPVSQLRQGIRAVRLRNRKGELYKSVRLLIQFHFLHNTL >Vigun08g155000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32752065:32753238:1 gene:Vigun08g155000.v1.2 transcript:Vigun08g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGKRSNVFDDPFSLDIWDPFEGFPLSATLANLTSSGDESSAIANTRVDWKETPQAHVFNVDLPGLKKEEVKVEVEDGKVLQISGERSREKEEKDDRWHRVERRTGKFIRRFWLPENAKVDQVKAAMENGVLTVTVPKEEEKKPEVKSVKISG >VigunL087701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:165851:166009:1 gene:VigunL087701.v1.2 transcript:VigunL087701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun03g277800.1.v1.2 pep primary_assembly:ASM411807v1:3:45501591:45505119:-1 gene:Vigun03g277800.v1.2 transcript:Vigun03g277800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCDCNKGFADSYMLLKPEKAGFFDLLRILTFRNISQRKFLESHAAGDFDETLAHRWLIFISILAQKLLQLLAKPLSLFGTCVEFFINFIALNGGLFSLLPNFIKGSLVLPDPKSEKYLSLIGNLDVRVKLDATHEDFKYYPALSMMASKASYENQAFLKTTVEDKWKMEFVGLFNCLNEYQGKTTTQVLIVLDKQEDRHTYVVAFRGTEPFDADAWCTDLDISWYGIPGVGRMHGGFMKALGLQKNVGWTKEVGEGDQSVPPLAYYLVRDILRKGLSENEKAKFIVTGHSLGGALSVLFGTILCLHEETLLLERLEGIYTFGQPRVGDEVYAKYMKRKLKEHCVRYCRFVYCNDLVPRLPYDDQEMMFKHFGTCLFFNRRYELEVLEEQPNKNYFSPWCMIPMMLNAMLELIRSFVIVYQSGPYYREGWFLFGFRTIGLVMPGLPAHGPQDYINSTLLGTIQKHFKGE >Vigun03g053900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4406481:4408585:1 gene:Vigun03g053900.v1.2 transcript:Vigun03g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTLQLISQCKSISQLYQIHGHTVTTGVSPPHTLPIINNILFTLTTLLSASNTAATHSSNSIITYYALSLFHSLPNPTTFSFNTLIRIHTLLLSPLPALRLFSTLRRLSLPPDFHTFPFALKACTQLSSLSLAQSLHSQLLKFGLLPHLYAFNSLIRVYSILDRVNDAHKLFCECPHRDVVSYNALIDGLVKTGQISRARQLFDEMPVRDEISWGTMIAGYSHLKLCNQAIELFSQMMALEVKPDNIALVSVLSACARLGELKQGSIVHDYIKRNRIRVDSYLATGLVDLYSKCGCVETARDVFESCEEKEVFTWNAMLVGFAIHGEGSTVLEYFSRMVAEGVKPDGVSLLGVLVGCSHGGLVREARKVFQEMETVYGVAREEKHYGCMADMLARAGLIEEAVEMIRGMPNGGDVFAWGGLLGGCRIHGNVEVAKKAAKQVMEIKPEDGGVYSVLANIYAHRGQWDDLVKVRRSLSANKRAKKITGCSLIRLNDDT >Vigun10g076000.1.v1.2 pep primary_assembly:ASM411807v1:10:20549225:20550472:-1 gene:Vigun10g076000.v1.2 transcript:Vigun10g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHQVLKNTKLSVFSLITSSAIVFLIYADGVTYHDCVHSASNTETPEKLELQNNRTTKTISPTLVHINFRSIQEKKDEAERKNHYTLVAPLNATEEERKVWFREHLHHFKILKSNNLTQQFHARVSVFFGRECEAQFFMTWISPASLFGGRELLSMESIFKSHPKACLVILSRTLDTRHGYKILKPLHDRGFKVQAMAPDFSFLVKRTPAETWFHELKKGKKDPDTDFIIMKPLKGLSNSIGAQSTSLDSKHWTRLNNAVLIFDMRHPLLHRFISEFALTFDGNKWGHNGPYLVSRVIKRLRKRRDFNLTILPPKAFYPVDWNKITGFFTKPKTQSESRWVEAKLLQLSGKKTYGIHLWNKRSSRLTIEEGSVIDRLVSNYCVICNHK >Vigun09g074000.1.v1.2 pep primary_assembly:ASM411807v1:9:8233067:8234585:-1 gene:Vigun09g074000.v1.2 transcript:Vigun09g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGIKFKTIYKKTPIGLSSTPSSIMSKMAEKDKVILHGMWASPYSKRVELALNFKGIPYEYVEEDLRNKSELLLQYNPVHKKVPVLVHNGNAIAESIVILEYIDETWKHGPNLLPADSYRRAQARFWSTFIQDQLVEATFLVVKTDGEAQQKAVDQVYEKLNVLENGMKTYLAERDAKVESNFGIVEIVFSALFGCYKAHEEVLGTKFIVPEKFPVLFSWLMGIAEVEAVKKATPPHEKVVGILQLFRQSALKSSAAA >Vigun05g136500.1.v1.2 pep primary_assembly:ASM411807v1:5:16426459:16429961:-1 gene:Vigun05g136500.v1.2 transcript:Vigun05g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLIPLLLSSISLFTISTATFSPQPGICYGQLGDNLPPPRESVSLLTSLHAKRVKLYDANSTILNALRHTRLQVSVMVPNELISNISSNQTLADKWVSSNVAAFLPKTRIRYILVGNEIISSTTNATWRHLVPAMRRIKHALKSLGIRKVKVGTSSAMDVLQTSFPPSNGSFRADIAVPIMKPMLKFLNRTKSFFFLDVYPFFAWSSDPVNINLDYALFESKNLTVTDPGSGLVYTNLFDQMVDAVYFAMEKLGYPGIRIFVAETGWPNGGDLDQIGANVYNAATYNRNFIKRVTRKPRVGTPARPGSLLPSFLFALFNENQKPGPGTERHFGLLHPNGSRVFDIDLSGRTPESDFRPLPAPENNEKYKGKIWCVVARRDNATVLAAALSYACSQGNGTCDPIQPRGKCFKPNSVFWHASYAFSAYWAQFKKDGATCYFNGLATQTANDPSYGSCKFPSVTL >Vigun04g010600.1.v1.2 pep primary_assembly:ASM411807v1:4:803922:807485:-1 gene:Vigun04g010600.v1.2 transcript:Vigun04g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLQQFPQPKEELEQYPTGPHIASRMLFTAENSFEDVSNKVVADFGCGCGTLGVAAALLGAEHVLSIDIDPESLEIASVNAEELELDIDFIRSNVLELGWRGPIVDTVIMNPPFGTRKKGADMDFLSVALKVASQAVYSLHKTSTRDHVKRTALRSFNASSAEVICELRFDVPKMYKFHKKKEVDIAVDLWRFVPASHQRST >Vigun09g080533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9557058:9558239:1 gene:Vigun09g080533.v1.2 transcript:Vigun09g080533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPATKPNKGKRRRRASSLSPERPNNNLFRDSEREERYEKIKNWVFIKERKVVLLSDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANVYSEDNHGEKRSKVRGRWVNYDRGAISEFLGNPLSLEPGQRCDFTRRRMSHEPYDKNEVALLICAANRSYQVGPTRNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQNQGVEVELTEKIRPSITKRFIEHFCTHSEDLEQLEEPQLDQQVEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVRGPYPDMTPPEFLTYL >Vigun05g075200.1.v1.2 pep primary_assembly:ASM411807v1:5:6780354:6783504:-1 gene:Vigun05g075200.v1.2 transcript:Vigun05g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSLGIINVPVFSGVNVSSEDSDLTFDHDLNTTIETLFHEQEFLNHSKHMTLDDYLEKTGVQHRKPAISDSFFGSFKELEFDAACKRAIVIPFHVLPYLKNLEKLNVHSSDAARVIFDIDESEIKTKGMVSNLKKLTLNNLPNLKCVWKKNLGRIVSFPNLEEVVVNGCGSLVTLLSSSLAKSLEKLKTLHMAECENLVEIVGEEDERGQGMTLTFEFPCLTLLYLWNMRLLSSFYPGKHYLECPVLDTLWVAYCPKLKLFTSDFDDSDKDEVIKAPITPLQQPLFLLEKVSPKLKQLSLNEKSFMLLRDGCLPHDLLCKLRNLLFCFEDYKNENDTLPFDFFHKLPCLEHLHLQNYFGLKEIFPSQKLQVHK >Vigun11g056333.1.v1.2 pep primary_assembly:ASM411807v1:11:10883575:10887586:1 gene:Vigun11g056333.v1.2 transcript:Vigun11g056333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTEHSKGRSDVWGHFTKQNPYSEKKAKCNYCGDLIKYLAGTRKNLSSSTTEGARVGPSPTISKFDQNASRMKLMKMFVKFELPFQFVEDEDFCDFVRSLQPRFEVPSHTTLRREMWELYEEEKAKLKIFLSKQCGRVCLTTNTWTSIQNLNYMSHSGELIAKHVEACLNKLKRVLSVNVDNVTANDVGVQYLKRRMLSWNCLVLKGEYVHMHCCAHILSLIGKDGAVKYVKSSLARFAIFKACVEQEGICYKGLVCLDVETRWNSTYLMLKASSKYKDAFVLLDMQDKKFGAEMAKSSGVPLEEDWEYARFVLPFLKMFYDSTMHIYVFGIGKKIRQYFESSDGKYDKYWGNPNGINILLLIFVVGGAPKVNEELHGQQKWMKAWRQVGRHLQAMEVVLNPRSKLDFVNYFIDYIFESSMASGLKSKLLSSLKTLYDQYQGVEEDDEDDDPHGMSFYLRATGRRFDYILELDKYLREDPEPYTKSVELDVLHWWKVNSTRFPILANMAREVLAIPISTVASECAFSTRGRVVNPYRSCLTPKIVEVLVCTQD >Vigun06g172900.1.v1.2 pep primary_assembly:ASM411807v1:6:29371099:29377402:-1 gene:Vigun06g172900.v1.2 transcript:Vigun06g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNKNKSTRNNESSAGGIAGERDIHLDHEIAQLTKLRSSPHELLGRVVPGRMRLPASTVRMLVGREGNFSGRGRFSSADGCHVLSRYLPTKGPWIVDRMKSRAYVSQFSDDGSLFIAGFQGSHIRVYDVDRGWKIKKDISARNLRWTITDTSLSPDKLYLVYASMSPIIHIVTVGSGTTESIANITEIHHGLNFSSDEDDDEFGIFSIKFSTDGQELVAGTSDSSICVYDLGADRLSLRIPAHQSDVNTVCFADESGHLIYSGSDDSFCKVWDRRCFVTKGQPAGILMGHLEGITFIDSRGDGRYLISNGKDQTTKLWDIRKMSSNAINLGLGDDEWDYRWMDYPEYARNLKHPHDQSLATYKGHSVLRTLVRCYFSPSYSTGQKYIYTGSSDSSVYIYDLVSGAQVAKLDHHEAPVRDCSWHPFYPMMISSAWDGDIVRWEFPGSDEATVPPNKRAGRVRRRNLPYL >Vigun06g119400.1.v1.2 pep primary_assembly:ASM411807v1:6:24735620:24737205:1 gene:Vigun06g119400.v1.2 transcript:Vigun06g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNNNKVMVVEAEQGGCGGGGGGEFKHLPEGCIANILSFTTPRDACVLSLVSSSFRSAAQSDVVWERFLPSDLHAIVSQTSKPLSYSSKKELYLHLCDNPILIDGGKKSFALDKPSARRCYMLSARSLSIVWGNTPRYWRWTSVPAARFWEVAELVSVCWLEIKGGIKGRMLSPNTLYGAYLVFKQRNGGAYGFENQPVEVSVGVAGEGEGRRRTVYLEAATPRRPRHQIVPRIFSRVRSRFLDSFDAAPPPPPPPSRVDDSAVGGEHPKEREDGWMEVELGDFFNGGGEEEVEIGVYEVKSGEWKGGILVQGIEIRPKNKN >Vigun06g021800.1.v1.2 pep primary_assembly:ASM411807v1:6:10240411:10242817:1 gene:Vigun06g021800.v1.2 transcript:Vigun06g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNDEMLVLKGEMSQMLRQMNKTFYSNKEIFLRELINNASNALDKIQFESHINKNILDDGLIRLIPHKDNKTLSIIDTGIGMSRADLSYNLGVGFYSTYLIANKESQPDASFIITKDINAQQSSRGTNITLFLKENQFEYMEEITIKNLVIKYCKHISHRIYLWNESTKDDWQLINIWLHNPKRDNNFLAQKLMNHVPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENDHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYKSSLFSISGERFQNMEKLNWPSQIEEDYPKGIGILGSSSFNGFLCLYVNGMRQFGYLWNPTTREFKAIPPSPFENAPHYVDIEIYYHGFGYDCVRDEYKVIREVLFWAISDDDVYVNDPFLLNFLWEMYNVKINSWTKLELDSSIPSSHADNNKFYLEGMCHWLGYGDGFIQHLVSFDLINKVCIMTPPPLDIPMEIYDNFDMNFVRRQLFMLNESIALMSNYAETTIFYISILVEVGKKETWTKLFVFGPIPYIAFPIGTRNMGNILFQTHDGDVAWFDLSTHTVQKLGVNIQGGYSQLVVYKESLLTVERMNS >Vigun06g029700.2.v1.2 pep primary_assembly:ASM411807v1:6:13228259:13233215:1 gene:Vigun06g029700.v1.2 transcript:Vigun06g029700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVPSSSNSCTKKYDVFISYRGKDTRKNFSSHLYEALMQKKVETYIDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYSTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDAELLKDIVGDVLKRLPPRCRNQYTMECVYDSVASITAEKKTWRIQVKIIRMWTIPGLPNSRQPFSVEMVLMDDKGCKIHASMRRALIYKFISMLKEGNIYCMGFFTVGSNDGDYRTTKHDFKLNFEINTVVEELKEPSITHSPYSFVPFNDMLEKEYDMSYLVDVIGLVTGVVSKREYIRQERKIRMIVIEIESGGLKMDCALFGNYVDELNSFLGSGEVASPVVIQFARVKTFRGKVSLQSMMHGTKLLFRENVPESIKLMQRITQNSEAVSTMLSQPNASPKYNMEQDFLFLTPRKTIEELKDLKEDCFCITLATIKDIEESDNWWYTACKCNKSVFPDSNMYFCANCNLHVVTTFPRYRIKLRVSDDTDSASFVVFDHEVSSLLKIKCADMIKKHNKAINATSVPREIKDLIGKILLFKVEIKKLNNSQFQSSYAVKRISDDELIIEKFLKLSALKIEFDVPLVNANKFKFCSTLTSPSKNLLQDFTCVSADKDCTEEITHSKRKRHDSFDLAMESRNNKILKTLKDTE >Vigun06g029700.4.v1.2 pep primary_assembly:ASM411807v1:6:13228259:13233215:1 gene:Vigun06g029700.v1.2 transcript:Vigun06g029700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVPSSSNSCTKKYDVFISYRGKDTRKNFSSHLYEALMQKKVETYIDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYSTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDAELLKDIVGDVLKRLPPRCRNQYVIGLVTGVVSKREYIRQERKIRMIVIEIESGGLKMDCALFGNYVDELNSFLGSGEVASPVVIQFARVKTFRGKVSLQSMMHGTKLLFRENVPESIKLMQRITQNSEAVSTMLSQPNASPKYNMEQDFLFLTPRKTIEELKDLKEDCFCITLATIKDIEESDNWWYTACKCNKSVFPDSNMYFCANCNLHVVTTFPRYRIKLRVSDDTDSASFVVFDHEVSSLLKIKCADMIKKHNKAINATSVPREIKDLIGKILLFKVEIKKLNNSQFQSSYAVKRISDDELIIEKFLKLSALKIEFDVPLVNANKFKFCSTLTSPSKNLLQDFTCVSADKDCTEEITHSKRKRHDSFDLAMESRNNKILKTLKDTE >Vigun06g029700.3.v1.2 pep primary_assembly:ASM411807v1:6:13228259:13233215:1 gene:Vigun06g029700.v1.2 transcript:Vigun06g029700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVPSSSNSCTKKYDVFISYRGKDTRKNFSSHLYEALMQKKVETYIDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYSTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDAELLKDIVGDVLKRLPPRCRNQYVIGLVTGVVSKREYIRQERKIRMIVIEIESGGLKMDCALFGNYVDELNSFLGSGEVASPVVIQFARVKTFRGKVSLQSMMHGTKLLFRENVPESIKLMQRITQNSEAVSTMLSQPNASPKYNMEQDFLFLTPRKTIEELKDLKEDCFCITLATIKDIEESDNWWYTACKCNKSVFPDSNMYFCANCNLHVVTTFPRYRIKLRVSDDTDSASFVVFDHEVSSLLKIKCADMIKKHNKAINATSVPREIKDLIGKILLFKVEIKKLNNSQFQSSYAVKRISDDELIIEKFLKLSALKIEFDVPLVNANKFKFCSTLTSPSKQNLLQDFTCVSADKDCTEEITHSKRKRHDSFDLAMESRNNKILKTLKDTE >Vigun06g029700.1.v1.2 pep primary_assembly:ASM411807v1:6:13228259:13233215:1 gene:Vigun06g029700.v1.2 transcript:Vigun06g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRIMSVVPSSSNSCTKKYDVFISYRGKDTRKNFSSHLYEALMQKKVETYIDEDIEKGDEISAALMKAIEDSRVSIVVFSENFASSKWCLNELIKIMDCKKELGQIVIPVFYSTDPSHVRNQTGRFMESFVKHEGEPNCIKWKTALTQAANLAGWSSQNYRTDAELLKDIVGDVLKRLPPRCRNQYTMECVYDSVASITAEKKTWRIQVKIIRMWTIPGLPNSRQPFSVEMVLMDDKGCKIHASMRRALIYKFISMLKEGNIYCMGFFTVGSNDGDYRTTKHDFKLNFEINTVVEELKEPSITHSPYSFVPFNDMLEKEYDMSYLVDVIGLVTGVVSKREYIRQERKIRMIVIEIESGGLKMDCALFGNYVDELNSFLGSGEVASPVVIQFARVKTFRGKVSLQSMMHGTKLLFRENVPESIKLMQRITQNSEAVSTMLSQPNASPKYNMEQDFLFLTPRKTIEELKDLKEDCFCITLATIKDIEESDNWWYTACKCNKSVFPDSNMYFCANCNLHVVTTFPRYRIKLRVSDDTDSASFVVFDHEVSSLLKIKCADMIKKHNKAINATSVPREIKDLIGKILLFKVEIKKLNNSQFQSSYAVKRISDDELIIEKFLKLSALKIEFDVPLVNANKFKFCSTLTSPSKQNLLQDFTCVSADKDCTEEITHSKRKRHDSFDLAMESRNNKILKTLKDTE >Vigun06g054800.3.v1.2 pep primary_assembly:ASM411807v1:6:18113772:18117953:1 gene:Vigun06g054800.v1.2 transcript:Vigun06g054800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGQNPLLDEITCGSLLHKLQEIWDEVGESDEERDKMLLQIEEECLDVYKRKVEMAAKSRARLLQSLSDAKLELSSLLLALGENSFTGMPDKTSGTIKEQLAAIAPTLEQLWQQKEERVKEFSDVQLQIEQICGEITGDFNPDQTGSGSFTVDESDLSLKKLDEYQSQHQELQREKSERLHKVLEYVSTVHDLCAVLGMDFFSIVTEVHPSLNDAVGVHSKSISNGTLAKLAKTVSALKEDKKQRLHKLQELASQLIDLWNLMDTPTEERRLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQDELEEIYARAHVEINPEAARGNILSLIDSGNIEPSELLTDMDKQIAAAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHINLKRAEKARILVGKIPALVDTLVAKTRTWEEVHNMSFTYDGVPLLAMLDEYAMLRHERQEEKRRMRDQKKYYEQQSTDQESVFGLRPSPARPLGNKKVVGPRPNGTPNRRLSLNVHQNGSRSITKDGKRDNTRPVAPLNYVSISKEDAASHVSGAEPVPTSP >Vigun06g054800.2.v1.2 pep primary_assembly:ASM411807v1:6:18113769:18117953:1 gene:Vigun06g054800.v1.2 transcript:Vigun06g054800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGQNPLLDEITCGSLLHKLQEIWDEVGESDEERDKMLLQIEEECLDVYKRKVEMAAKSRARLLQSLSDAKLELSSLLLALGENSFTGMPDKTSGTIKEQLAAIAPTLEQLWQQKEERVKEFSDVQLQIEQICGEITGDFNPDQTGSGSFTVDESDLSLKKLDEYQSQHQELQREKSERLHKVLEYVSTVHDLCAVLGMDFFSIVTEVHPSLNDAVGVHSKSISNGTLAKLAKTVSALKEDKKQRLHKLQELASQLIDLWNLMDTPTEERRLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQDELEEIYARAHVEINPEAARGNILSLIDSGNIEPSELLTDMDKQIAAAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHINLKRAEKARILVGKIPALVDTLVAKTRTWEEVHNMSFTYDGVPLLAMLDEYAMLRHERQEEKRRMRDQKKYYEQQSTDQESVFGLRPSPARPLGNKKVVGPRPNGTPNRRLSLNVHQNGSRSITKDGKRDNTRPVAPLNYVSISKEDAASHVSGAEPVPTSP >Vigun06g054800.1.v1.2 pep primary_assembly:ASM411807v1:6:18113504:18117985:1 gene:Vigun06g054800.v1.2 transcript:Vigun06g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGQNPLLDEITCGSLLHKLQEIWDEVGESDEERDKMLLQIEEECLDVYKRKVEMAAKSRARLLQSLSDAKLELSSLLLALGENSFTGMPDKTSGTIKEQLAAIAPTLEQLWQQKEERVKEFSDVQLQIEQICGEITGDFNPDQTGSGSFTVDESDLSLKKLDEYQSQHQELQREKSERLHKVLEYVSTVHDLCAVLGMDFFSIVTEVHPSLNDAVGVHSKSISNGTLAKLAKTVSALKEDKKQRLHKLQELASQLIDLWNLMDTPTEERRLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQDELEEIYARAHVEINPEAARGNILSLIDSGNIEPSELLTDMDKQIAAAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHINLKRAEKARILVGKIPALVDTLVAKTRTWEEVHNMSFTYDGVPLLAMLDEYAMLRHERQEEKRRMRDQKKYYEQQSTDQESVFGLRPSPARPLGNKKVVGPRPNGTPNRRLSLNVHQNGSRSITKDGKRDNTRPVAPLNYVSISKEDAASHVSGAEPVPTSP >Vigun03g115000.1.v1.2 pep primary_assembly:ASM411807v1:3:10513489:10513857:1 gene:Vigun03g115000.v1.2 transcript:Vigun03g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVTSFTLVFLSLLVMLLLVVTPAVGGVTCKAVHGVEEGETCTSIFQGFNLQERDFLLLNPNINCNFIFVGQWVCVNGVAP >Vigun05g015800.1.v1.2 pep primary_assembly:ASM411807v1:5:1262927:1265823:1 gene:Vigun05g015800.v1.2 transcript:Vigun05g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLQNSPTIHRTLLHNSFPQKLPTSRSPRRDTVSFTVKASQEPSASLTSQDTQGRRQVIAIGTTAPLVFLMNQHSNSFAAENKKGFLSVLDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNVIATGKQDITEFGSPQEVAETLIKKVLAPSNQKTKIVEAKEQDVEGKKYYQFEFIAKAPNYTRHALSTVSIGNGKFYTLTTGANERRWEKMKDRLHTVIESFKIFDV >Vigun01g147700.2.v1.2 pep primary_assembly:ASM411807v1:1:32927575:32934767:1 gene:Vigun01g147700.v1.2 transcript:Vigun01g147700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MEESALRAMDAEQLREHAHKMVDFISDYYKTIQNFPVLSQVQPGYLGNLLPDSAPEYPDSLQNVLDDVKEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLNIVGFSWITSPAATELESIVLDWLAKAFQLPEEFYSTGKGGGVIQGTASEAVLVVLLAARDKILRRLGRSALPKLVMYASDQTHSALRKACQIAGLNPELCKLLKADSSTNYALSPEVLSEAISNDIAGGLVPFFLCATVGTTSSTAIDPLPALGKIAKTNNLWFHVDAAYAGSACICPEYRHCFDGIEETDSFNMNAHKWFLTNFDCSVLWVKDRSFLIQSLSTNPEFLKNKASEGNTVIDYKDWQIPLGRRFRSLKLWMVLRLYGLEGLRSHVRNHIELAAYFEELVNQDTRFKVVAPRTFSLVCFRLLPPLNSEDHGNKLNSDLLDSVNSTGNIFISHTVLSGEYILRFAVGAPLTERRHVTTAWQIFQDKATALLESLQD >Vigun01g147700.3.v1.2 pep primary_assembly:ASM411807v1:1:32927578:32934766:1 gene:Vigun01g147700.v1.2 transcript:Vigun01g147700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MEESALRAMDAEQLREHAHKMVDFISDYYKTIQNFPVLSQVQPGYLGNLLPDSAPEYPDSLQNVLDDVKEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLNIVGFSWITSPAATELESIVLDWLAKAFQLPEEFYSTGKGGGVIQGTASEAVLVVLLAARDKILRRLGRSALPKLVMYASDQTHSALRKACQIAGLNPELCKLLKADSSTNYALSPEVLSEAISNDIAGGLVPFFLCATVGTTSSTAIDPLPALGKIAKTNNLWFHVDAAYAGSACICPEYRHCFDGIEETDSFNMNAHKWFLTNFDCSVLWVKDRSFLIQSLSTNPEFLKNKASEGNTVIDYKDWQIPLGRRFRSLKLWMVLRLYGLEGLRSHVRNHIELAAYFEELVNQDTRFKVVAPRTFSLVCFRLLPPLNSEDHGNKLNSDLLDSVNSTGNIFISHTVLSGEYILRFAVGAPLTERRHVTTAWQIFQDKATALLESLQD >Vigun01g147700.1.v1.2 pep primary_assembly:ASM411807v1:1:32927578:32934766:1 gene:Vigun01g147700.v1.2 transcript:Vigun01g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MEESALRAMDAEQLREHAHKMVDFISDYYKTIQNFPVLSQVQPGYLGNLLPDSAPEYPDSLQNVLDDVKEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLNIVGFSWITSPAATELESIVLDWLAKAFQLPEEFYSTGKGGGVIQGTASEAVLVVLLAARDKILRRLGRSALPKLVMYASDQTHSALRKACQIAGLNPELCKLLKADSSTNYALSPEVLSEAISNDIAGGLVPFFLCATVGTTSSTAIDPLPALGKIAKTNNLWFHVDAAYAGSACICPEYRHCFDGIEETDSFNMNAHKWFLTNFDCSVLWVKDRSFLIQSLSTNPEFLKNKASEGNTVIDYKDWQIPLGRRFRSLKLWMVLRLYGLEGLRSHVRNHIELAAYFEELVNQDTRFKVVAPRTFSLVCFRLLPPLNSEDHGNKLNSDLLDSVNSTGNIFISHTVLSGEYILRFAVGAPLTERRHVTTAWQIFQDKATALLESLQD >Vigun08g143200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31568650:31570373:1 gene:Vigun08g143200.v1.2 transcript:Vigun08g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLPNSSHKTMALCSTVSLFPLLFAVSLFFSASSSAANHDSVSFSFPLRSLPLSAVKPLKKNPKFRSLSSASYNVKWPFKYSMALVVSLPIGTPPQHQQMVLDTGSQLSWIQCHNKTPPTASFDPSLSSSFYVIPCTHPLCKPRVPDFTLPTTCDQNRLCHYSYFYADGTYAEGNLVREKLTFSPTQTTPPLTLGCATESHDAGGILGMNLGRLSFPSQAKITKFSYCVPTRQSRSGNLPTGSFYLGNNPNSARLRYVSMLTFGQSQRMPNLDPLAYTVPMQGIRIGGKRLNINPSVFHPDAGGSGQTMIDSGSEFTFLVDEAYDRVREEVVRVVGPRIKKGYVYGGVADMCFDGSAKETIGRLIGDVVLEFEKGVEIVVPKERVLADVGGGVHCVGIGRSERLGAASNIIGNIHQQNLWVEFDLANHRVGFGEADCSRS >Vigun11g097350.1.v1.2 pep primary_assembly:ASM411807v1:11:28545335:28546737:-1 gene:Vigun11g097350.v1.2 transcript:Vigun11g097350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFHISFRSHLIHNPIKFSLDFSLMMMVIDEPERVVKPTCMKPSNVSERSTWETRMGVGR >Vigun02g078400.1.v1.2 pep primary_assembly:ASM411807v1:2:23069665:23071643:1 gene:Vigun02g078400.v1.2 transcript:Vigun02g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDSYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNSLDKSDPS >Vigun05g256000.1.v1.2 pep primary_assembly:ASM411807v1:5:45045106:45047591:1 gene:Vigun05g256000.v1.2 transcript:Vigun05g256000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLFVSRLSFYATQEQLKKLFAPFGEVASVNLVVDPKTKRTKGYGFVSYNTQIEAEKALKAMNGRIVDGRLLLVEPAGEK >Vigun03g010500.1.v1.2 pep primary_assembly:ASM411807v1:3:728385:734900:1 gene:Vigun03g010500.v1.2 transcript:Vigun03g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIAERALNCLGKGFDLTSDFRLKFCKGEERLVLLNETEKRVLTVPGFGPIRDVSGDIKCDKGDCTRYQSDILTFNQMSELFNQKSSIPGRIPSGYFNTVFGFDESSWATDAANTKCLGIDGYFIKLFSVHIDRYPLLLSHQVLEAVPSSWDPPALARFIEKFGTHILVGLSIGGKDLVLVKQDVSSSLEASELKRHLDELGNQLFTGTCSFLPRSKDQKYKVPQAFDVFGPQIVAFNSSTSVCAKDGISVICAKRGGDTQVRDHSEWLHTVPKKPDAVDFSFIPITSLLKGLPGKGFLSHAINLYLRYKPPMSDLPYFLDYQAHKLWAPVHNDLPLCPATNRTTSSPSLSFNLIGPKLYVNTSKVTVGKRPITGMRLFLEGMKCNRLAIHVQHLLNTPIMLKDKIQESSIWSEEISDERFFEAINGKKFSHVCTAPVKYDPRWSSDKDVAFIVTGAQLHVKKHESRSVLHLRLLFSQVSNCVVVKSNWTQGSSGLSQRSGIFSLISTSISGKEEKKPVVVLDSSVFPTGPPVPVQTQKLLKFVDASQLCKGPQDSPGHWLVTGARLVLDKGKICLWAKFSLLNTGS >Vigun11g188600.1.v1.2 pep primary_assembly:ASM411807v1:11:38843776:38848579:-1 gene:Vigun11g188600.v1.2 transcript:Vigun11g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGSEDPNNKGDSPFESSATQPFLSKPSYPSSASSAVEEESESNQQYLHITYNSGPRSFKDLPFLILFLLFVISTFAFGIFAVFHRNDNYPSLSSFSYDPTSSSCVLNPSSTSSSLSSWLSLDSSSHLVKGLIWTLVITFVLSIPLCWALLLLLKHYTKQIVYAAIPFFIAIPIFLNVYWFVACTLGSSCSTAFPLAYRVIVMVFVFLVIGVVVWILVVNWHRVELTVSIIGVASHALSRNLNLFGVLPCLIVGLLAYYVPIVVFMVFSKFNGKIAVKNLESGYACVWKEDSWVPAYFALAILTMLWSAAAMIEAQVYVISGTIANWYFTKDHETPKRSIRTSLRNAFGPSSGTICLSGLLIFVVRMVRSAVDSARQEDITGIVNLVLRCCVNALMTAVDFLNKFTINFAAITGEAYCSSARMTYELLRRNLLSAVFVETISSRILAGIVFVFSATYTIVACVILKAGTNLGSDSYFVAAMAWVLLIVVLGYLVHVLDNVIDTIYICYAIDRDRGEVCKQDVHEVYVHLPISRSLRQSVTPRTLGV >Vigun08g023100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1985826:1986663:1 gene:Vigun08g023100.v1.2 transcript:Vigun08g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDETFSIRDVRRCKIRDHRPLLFARAQQISICVPYALYYLSHVPYVLSHLEQLGRPIGFLARLERGQNHTHIEVKDPPGCRSRNEPGQATSRRKVVHLVCLLRRGAGSSPTSFEGKVPLPAIGDYPCSAITRFFCAEDVFIKLD >Vigun08g219300.1.v1.2 pep primary_assembly:ASM411807v1:8:37852122:37858509:1 gene:Vigun08g219300.v1.2 transcript:Vigun08g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDQELQSQFTQVELRTLKSKYVSERTQLGRVTVGNLPAIFKKLKIFSELFTEDEIKAAFAQSYENMDEEIDFESFLRAHLNLQAHAIAKDGGSKSASSFLKTATTTFHHAINESEKASYVAHINNYLAEDKFLGQFLPIDPSTDALFNLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLIEGRPHLILGLISQVIKIQLLADLNLKNTPQLVELVEDDKEVEELISLAPEKVLLKWMNFHLKKAGYEKQVTNFSSDLKDGEAYAYLLNALAPEVAGPSALTTSDPTERASLVLEQAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTTVDSQKMSFAEMMTDDVETSKEERCFRLWINSLGITTYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLATKPPIKMPFRKVENCNQVIQIGKDLNFSLVNVAGNDFVQGNKKLLVAFLWQLMRYNMIQLLKNLRSHSQGKEITDADILNWANNKVKKSGRTSEMESFKDKNLSSGVFFLELLSAVEPRVVNWSLVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMILILTASIMHWSLKKPEDHYHHHREATPATPMESENEADVADEVSNLAVNDDGDASENPSTP >Vigun09g064300.1.v1.2 pep primary_assembly:ASM411807v1:9:6768334:6770422:1 gene:Vigun09g064300.v1.2 transcript:Vigun09g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASTNRDESSDNDSNCSSVTAPDFSRTWLTTFSFLRANRTSLSLPTAQTTSRAASEAMRRLRLHTGAIALDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCLYAMKVVDREALAIRKKLHRAEMEKEILAMMDHPFLPTLYAAFDASHYSCFVMDFCPGGDLFSARQRLPGKRFTISSTKFYAAETLVALEYLHMKGIVYRDLKPENVLVREDGHIMLSDFDLCLRCEVVPKLLRSKTRSERSVKTRASSCAAPMQSCFSASSKRKKVTTVIRENMEVCEVDTEVVAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTYGVFLYEMVYGRTPFKGENNEKTLTNILKQPLTFPRITVSSIKECEEMVKVQDLISKLLVKNPKKRIGCCMGSVEIKRHEFFKGVNWALIRSVKPPDFHADFNNIKGRVLLQKLSKKDKDQQPFQITTHRFDYF >Vigun05g052300.1.v1.2 pep primary_assembly:ASM411807v1:5:4468045:4471874:-1 gene:Vigun05g052300.v1.2 transcript:Vigun05g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLENWISNLLSQEMEDYDAVLSESNVSFDEQQFLREILEEPQNLSPSNSSVSFDEAIGDTLHKSYSSNSIKSLKTSSSSYGSAATRYLLSFDTSTAEPITDHKHGSSVQGCCSKKRGGGAVVKDGAEFEAVMAQPRKRVRRSCETQHHIMAERKRRQELTGSIIALSATIPGLKRMDKAYVLREAVNYTRQLQERVKELENQNSEKKVVHHSSTLVTKSQVSSSNKSSETNKESLFEVEARVLDEEVLIGIHCEKQKDIVCNILAFLEKLHLSPTSSSVLPFGTSTLIIHIISQMDEECRMNMDELVKNMREYLLDVYDMQQ >Vigun05g052300.2.v1.2 pep primary_assembly:ASM411807v1:5:4468045:4471874:-1 gene:Vigun05g052300.v1.2 transcript:Vigun05g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLENWISNLEMEDYDAVLSESNVSFDEQQFLREILEEPQNLSPSNSSVSFDEAIGDTLHKSYSSNSIKSLKTSSSSYGSAATRYLLSFDTSTAEPITDHKHGSSVQGCCSKKRGGGAVVKDGAEFEAVMAQPRKRVRRSCETQHHIMAERKRRQELTGSIIALSATIPGLKRMDKAYVLREAVNYTRQLQERVKELENQNSEKKVVHHSSTLVTKSQVSSSNKSSETNKESLFEVEARVLDEEVLIGIHCEKQKDIVCNILAFLEKLHLSPTSSSVLPFGTSTLIIHIISQMDEECRMNMDELVKNMREYLLDVYDMQQ >Vigun01g185000.1.v1.2 pep primary_assembly:ASM411807v1:1:36532119:36533351:-1 gene:Vigun01g185000.v1.2 transcript:Vigun01g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRPFRKKGTIALTTYLRTYHIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVMPSRCTEEFRLRKIKNDQLKADAKAKGEKISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Vigun09g278100.1.v1.2 pep primary_assembly:ASM411807v1:9:43781193:43784963:-1 gene:Vigun09g278100.v1.2 transcript:Vigun09g278100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALLKAPTPQLQWRCSVAPTTLAFPNSHSISAGRGKGREWSWRVKCSSSASAGPEIEKGTANFGPGSPVRPTSILVVGGTGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLRDSGLNHIVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAIRNEKLIGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRFTRQLTRVFEWTNDVADRLAFSEVLSSDTVFSVPMADTYNLLGVDSKDIITLEKYLQDYFSNILKKLKDLKAQSKQTDIYF >Vigun04g166900.1.v1.2 pep primary_assembly:ASM411807v1:4:39153137:39157681:1 gene:Vigun04g166900.v1.2 transcript:Vigun04g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKVVKARQIFDSRGNPTVEVDVTLSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVNKAVENVNTIIAPALVGKDPTKQTELDNYMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAEVNKIPLYKHIANLAGNKTLVLPVPSFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAISQAGYTGKVVIGMDVAASEFFNSKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWETYTKLTGEIGQQVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVRMSKKAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSGAVYAGSKFRAPVEPY >Vigun07g143100.1.v1.2 pep primary_assembly:ASM411807v1:7:25390861:25394953:1 gene:Vigun07g143100.v1.2 transcript:Vigun07g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLRGPEISPSTSSASLGELPEIGAIRLGIDLVSAARRNIAFLRSVADSVWLHHTSIMVEAVRRYHDFWMPLIADLTLPDSAPPVILPALDVQWVWFCHTLNPVSYREYCETRFSKLIGRAGIFDEENREYALMRGMEIWSSRYPFESFENEASSDSQDSGGEVVGGSLKEGVFKEVEKQRLLLCSMFVEPYRSEVVYLIAARQRYRAFLFMLQRFAHEFSSRFVPASDILLMWLTHQSYPTVYFDDLKALAVESDLQKVVTLSETPKEKDFEETKKLWDRAFNQPYEKAGGELPFTLEGVTSIKLPVYWEESGTDVNTKYRSMLPRFLLEACVFVRIKQRIRTLQKDINRDFLRLRITRCHSELKLDKAFSNFTDDSWKKAWHFYCEFGTKGVMLEFRRHGGKCLRGSSLLDTVSFGWNDLLRADSLTLEKEISQQVNVVTSITPPVQAPYLLKCVPDRVTDDSGAMISDVILKMSSYRPQEGRWLSRTVLDHSGRVCFVVRIRVAGGFWRRGSETPSAVKREDRIIEIREGSWSYVAGPIGRAPEKVVATATPKEPTEQCEAAWNFSTGDELRIQWESSKSVSGLTFSLLNQTSPESSVQLLRGRQMQYQVKKTKSKRKGEDMRIELKEKETEEEEDEDEDCFVTVIRFTEDNPDGKATALLNWRLLVVEVSPEEDAVVMLLLCISILRSVSEMKKQDLGGLLVRRRLKEARFGSRDWGSVILHPSSCSSSVDSTYLQPWHWHAGVVMKSDAVDQLKRYPTLGQSPVEGSDMLYKQSILS >Vigun07g143100.3.v1.2 pep primary_assembly:ASM411807v1:7:25390861:25394953:1 gene:Vigun07g143100.v1.2 transcript:Vigun07g143100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMEIWSSRYPFESFENEASSDSQDSGGEVVGGSLKEGVFKEVEKQRLLLCSMFVEPYRSEVVYLIAARQRYRAFLFMLQRFAHEFSSRFVPASDILLMWLTHQSYPTVYFDDLKALAVESDLQKVVTLSETPKEKDFEETKKLWDRAFNQPYEKAGGELPFTLEGVTSIKLPVYWEESGTDVNTKYRSMLPRFLLEACVFVRIKQRIRTLQKDINRDFLRLRITRCHSELKLDKAFSNFTDDSWKKAWHFYCEFGTKGVMLEFRRHGGKCLRGSSLLDTVSFGWNDLLRADSLTLEKEISQQVNVVTSITPPVQAPYLLKCVPDRVTDDSGAMISDVILKMSSYRPQEGRWLSRTVLDHSGRVCFVVRIRVAGGFWRRGSETPSAVKREDRIIEIREGSWSYVAGPIGRAPEKVVATATPKEPTEQCEAAWNFSTGDELRIQWESSKSVSGLTFSLLNQTSPESSVQLLRGRQMQYQVKKTKSKRKGEDMRIELKEKETEEEEDEDEDCFVTVIRFTEDNPDGKATALLNWRLLVVEVSPEEDAVVMLLLCISILRSVSEMKKQDLGGLLVRRRLKEARFGSRDWGSVILHPSSCSSSVDSTYLQPWHWHAGVVMKSDAVDQLKRYPTLGQSPVEGSDMLYKQSILS >Vigun07g143100.2.v1.2 pep primary_assembly:ASM411807v1:7:25390861:25394953:1 gene:Vigun07g143100.v1.2 transcript:Vigun07g143100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMEIWSSRYPFESFENEASSDSQDSGGEVVGGSLKEGVFKEVEKQRLLLCSMFVEPYRSEVVYLIAARQRYRAFLFMLQRFAHEFSSRFVPASDILLMWLTHQSYPTVYFDDLKALAVESDLQKVVTLSETPKEKDFEETKKLWDRAFNQPYEKAGGELPFTLEGVTSIKLPVYWEESGTDVNTKYRSMLPRFLLEACVFVRIKQRIRTLQKDINRDFLRLRITRCHSELKLDKAFSNFTDDSWKKAWHFYCEFGTKGVMLEFRRHGGKCLRGSSLLDTVSFGWNDLLRADSLTLEKEISQQVNVVTSITPPVQAPYLLKCVPDRVTDDSGAMISDVILKMSSYRPQEGRWLSRTVLDHSGRVCFVVRIRVAGGFWRRGSETPSAVKREDRIIEIREGSWSYVAGPIGRAPVQRRWWPLQRQRNLLNNVKLHGTFQQEMS >Vigun07g143100.4.v1.2 pep primary_assembly:ASM411807v1:7:25390861:25394953:1 gene:Vigun07g143100.v1.2 transcript:Vigun07g143100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLRGPEISPSTSSASLGELPEIGAIRLGIDLVSAARRNIAFLRSVADSVWLHHTSIMVEAVRRYHDFWMPLIADLTLPDSAPPVILPALDVQWVWFCHTLNPVSYREYCETRFSKLIGRAGIFDEENREYALMRGMEIWSSRYPFESFENEASSDSQDSGGEVVGGSLKEGVFKEVEKQRLLLCSMFVEPYRSEVVYLIAARQRYRAFLFMLQRFAHEFSSRFVPASDILLMWLTHQSYPTVYFDDLKALAVESDLQKVVTLSETPKEKDFEETKKLWDRAFNQPYEKAGGELPFTLEGVTSIKLPVYWEESGTDVNTKYRSMLPRFLLEACVFVRIKQRIRTLQKDINRDFLRLRITRCHSELKLDKAFSNFTDDSWKKAWHFYCEFGTKGVMLEFRRHGGKCLRGSSLLDTVSFGWNDLLRADSLTLEKEISQQVNVVTSITPPVQAPYLLKCVPDRVTDDSGAMISDVILKMSSYRPQEGRWLSRTVLDHSGRVCFVVRIRVAGGFWRRGSETPSAVKREDRIIEIREGSWSYVAGPIGRAPVQRRWWPLQRQRNLLNNVKLHGTFQQEMS >Vigun02g122600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27458411:27460399:-1 gene:Vigun02g122600.v1.2 transcript:Vigun02g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVKKILAKPIQLADQVTKAADEATSFKQECAELKSKTEKLAALLRQAARASSELYERPTRRIIDETEQVLDKALALVLKCRGNALMKRVFTLNPSAAFRKVSSHLENSVGDVSWLLRVSAGEAGGEYLGGLPPIAANEPILCLIWEQIAVLHTGSPEERSDAAASLVSLASGSQRYGKLIIEEGGVGPLLKLLKEGKSEGQENAARAVGLLGRDPESVEHMIHVGACSVLAKILKEGPMKVQGVVAWAVSELAANYPKSQDLFAQHKMVTLLVGHLAFETVEEHSKYAIVSKKPTSIHALVVASSNTNNNNLRKHNLHHDAEIPTRIPHPFGEGPRNLHRVITSTIAMHAANKQGNETNQNNQSKSNGVGNDGKLGNNNQNHQRNYSHSGINMKGRDLEDPGTKSYMKEMAARALWQLAKGNSPICRSITESRALLCFAVLLEKGTEAVQYNSAMAVMEITAVAEKDPELRKSAFKPNSPACKAVVDQVVKIIEKADSDSILLIPCIKTIGNLARTFKATETRMIGPLVRLLDEREAEVTREASIALAKFACTENYLHVDHAKAIISAGGAKHLIQLVYFGEEMVKIPALLLLSYIAMHVPDSDELAQAEVLGVIEWASKQSFVAHNQTLEALLLDSKSKLELYQSRGPRGSHQLHLHH >VigunL074700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:176861:177601:1 gene:VigunL074700.v1.2 transcript:VigunL074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLQPSFLMSKTRSYALILIGSRLFLTAMAIHFSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIATAINTFLFLLTKHPLSLRSSGTGTEMGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANFPLSTRILFVLETRLPILSFPESPLRDEIEAREGIAKPSLLPSSN >Vigun02g190600.1.v1.2 pep primary_assembly:ASM411807v1:2:32823160:32836076:1 gene:Vigun02g190600.v1.2 transcript:Vigun02g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASTSTSLSVVGSGLAFPHHHLHPPATSSTRFCTRFRNNRLFLSSLPSERRRLKALGGGSGFRRNKPRGHAFVIGEPSFLLPQQTCASCCLARKRRSNLATFVPGAFLDKSCFRLSNSRLHRSSVQIPRATVGPDEPHAASTTWADGTVKQDSSVYDNELEQIEGFLSTELPSHPKLHRGQLKNGLRYLILPNKVPPKRFEAHLEVHAGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHAPTTTKDSDGDLLPFVLDALNEIAFHPKFLASRIEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVYQIEAVFGQTGVDNEKGSVAPPSAFGAMASFLVPKLSVGLGGNSIERSAMDQSKIFNKERQAVRPPVKHNWSLPRSGADLKPPQIFQHELLQNFSINMFCKIPVNKVQTYRDLRQVLMKRIFLSALHFRINSRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWHNAIRVAVQEVRRLKEFGVTQGELTRYLDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHKVMDQRQGHESLLGVAGTVTLEEVNSVGAKVLEFIADFAKPTAPLPAAIVACVPRNVHIEGAGETEFRISSTEITDAMKAGLDEPIQPEPELEVPKELIQSSKLEELKKLRKPTFIPVNPETDATKLLDEETGITQRRLSNGIPVNYKISKTETQSGVMRLIVGGGRAAESSDSRGSVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWVDDAFDRARQLYLSYYRSIPKSLERSTAHKLMVAMLDGDERFIEPTPKSLENLTLQSVKDAVMNQFFGNNMEVCIVGDFTEEDIESCILDYLGTAQSTRNREREQEFNPPVFRLSPSELQFQEVFLKDTDERACAYIAGPAPNRWGFTVDGEYLLESISNASPTNGDQSNSDAQQIQGLQKSLRGHPLFFGITMGLLSEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVHKAVDACKNVLRGLHSNKITERELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPKKDISCIKDLTFLYEVATIEDIYLAYQQLKVDEDSLYSCIGIAGAQDAQDVAAPLEEVVAEDVYPGVIPVGRGLSTMTRPTT >Vigun10g156700.1.v1.2 pep primary_assembly:ASM411807v1:10:37618760:37623106:-1 gene:Vigun10g156700.v1.2 transcript:Vigun10g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCIKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTTAEKQRLAKSSLSFNCKNATFRKMFPEYVEKYNQQQLSEQAAQERVPSEASPDKSSKSVVENKLESSGQDMRRVDGLKDMRKNRKPFPTWMMLLLFSIFGVVMALPLLQL >Vigun10g156700.2.v1.2 pep primary_assembly:ASM411807v1:10:37618827:37623051:-1 gene:Vigun10g156700.v1.2 transcript:Vigun10g156700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCIKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTTAEKQRLAKSSLSFNCKNATFRKMFPEYVEKYNQQQLSEQAAQERVPSEASPDKSSKSVVENKLESSGQDMRRVDGLKDMRKNRKPFPTWMMLLLFSIFGVVMALPLLQL >Vigun05g090100.1.v1.2 pep primary_assembly:ASM411807v1:5:8669809:8676083:1 gene:Vigun05g090100.v1.2 transcript:Vigun05g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSLAARLVFRRTSPASRFFPRREVSLPAAERTKDAIAPPKELPAFDYSPLAYSGPTGDEILAKRREFLSPSILHSYKTPLNVVEGKRQYLFDDKGRRYVDAFGGIATVCCGHCHPDVVAAVVEQTKRLQHSTVLYLNHAIADFAQALVSKLPGNLKVAFFTNSGTEANELAILIARLYTGSHDIISLRNSYHGNGGGTMGATAQSIWKYNVIQSGVHHAVNPDPYRGIFGSDGEKYVRDVQEIINFGTSGNVAAFISEAIQGVGGIVEMAPGYLPAAYDIVRRAGGVCIADEVQCGVARTGSHFWGFEAHGVVPDIVTIAKSIGNGLPLGAVVTTPEIAKALTRRSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFEVGSYLKERLNTLKDKYELIGDVRGQGMLLGVELVTDRQLKTPAPKETLHAMDQMKELGVLIGKGGYYGNVFRITPPLCFTKEDADFVVDAMDYTFSRM >Vigun05g042100.1.v1.2 pep primary_assembly:ASM411807v1:5:3396792:3400354:1 gene:Vigun05g042100.v1.2 transcript:Vigun05g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALCLSVSPTLFYKPQDPSKDFHHLTKTSHSQKSCQQSLLDNKVISKRKLLSSAVIGLGPALAGLSVAQPTRAEPESPAASTSNRISYSRFLQYLDEGAVKKVDLFENGTVAIAEIYNPSLEKMQRVKIQLPGLPQDLIRKMKDKNVDFAAYPLEVGWWPALLDLFGNLAFPLILLGSLLLRTSINNPAGGPNLPFGLGRSKAKFEMEPNTGVTFEDVAGVDEAKQDFQEIVEFLKTPEKFSAVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKQNSPCLVFIDEIDAVGRKRGTGIGGGNDEREQTLNQLLTEMDGFTGNTGVIVIAATNRPEILDSALLRPGRFDRQVTVELPDIRGREDILKVHSKNKKLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKHKITLKEIDDSIDRIVAGMEGTKMTDSRSKILVAYHEIGHAVCATLTPGHDPVQKVTLVPRGQARGLTWFIPDEDSSLVSKKKLFARIVGGLGGRAAEEVIFGETEITTGAAGDLQQITQIARQMVTVFGMSEIGPWTLIDPAVQSSDVVLRMLARNSMSEKLAEDIDNSVREIIETAYEIAKNHIRNNRDAIDKLVDVLLEKETLNGDEFRALLSEFTDISSIKIDRTSVREMIEA >Vigun06g149432.1.v1.2 pep primary_assembly:ASM411807v1:6:27417655:27419580:1 gene:Vigun06g149432.v1.2 transcript:Vigun06g149432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ENKKIKYVGLSTSLGSIILLIVLWRVFKATKLIIVQKYREKLFKRNGGLLLQQILSSGKVNVDKVKLFSLKELEMATDNFNINRVLGKGGQATVYKGMLVDGTIVAVKKFKIEGKIEEFINEFVILSQINHRNVVKLLGSCLETKIPLLVYEFIPNGNLFEYLHQQNEDLPMTWEMRLRIATEVAGALFYLHSAASQPIYHKDIKSTNILLDEKYRAKVADFGTSRVISIDVTHLTTVVQGTFGYLDPEFFRTSKFTEKSDVYSFGVVLVELLTGQKPITLLSPEEARSLALYFITCVEENCVFDIIDEKVMKEGERDHIMKVINLASRCLELNGKRRPTMKEITLELEGIRKVKNVLNAQEGHNEL >Vigun09g187700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36155968:36156966:1 gene:Vigun09g187700.v1.2 transcript:Vigun09g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFASKPQPNVQESFEAFYEGWLARHESFLQQLLSVTPNDDDAEQRMLIEQVMCHYQQFLEEKSNVANGDVFLLFSPPWLSAYERSLLWIGDYKPSLILRLADGAVTGLTAEQREKMERVRDETKRAEREVSEAMAAVQESMASPRMLALVRVVDGVKTEQEAALQVLKDALKKVSERGDELRASTMRKVVEILSPPQTVQLLVATLRFQMRVRKNGLHRDEVGPSC >Vigun08g208700.3.v1.2 pep primary_assembly:ASM411807v1:8:37168987:37173255:-1 gene:Vigun08g208700.v1.2 transcript:Vigun08g208700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSRAQVLKFRFALKCGKIDPLSCKFSSSFSNGSSSESYGKAKVDSFMDDTSHSQDDALKSEAMRKTVHDVCRVLDTGPWGPALEDALNTFDDMPQPELVVGVVRRLKHVKLALQYFRWVETKTERPHCPEVYNALLMLMARTRNLDYLEQILEEMGQAGFGPSNNTCIELVSSFIKSRKLREAFGVIETMRKFKFRPAYSAYTTLIGALSAAHEADLMLTLLHQMQEIGYEVSVHLFTTLIRAFARDGRIDAALSLLDEMKSNSFNADIVLYNVCIDCFGKVGKLDMAWKFFHEMKSQGLIPDDVTYTSMIGVLCKAERLDEAVELFEELDRNRSVPCVYAYNTMIMGYGSVGKFDKAYSLLERQKRKGCIPTVIAYNCILTCLGRKGKVEEAFRVFEEMKIDAAPNLATYNILVDMLCKAGDHEAALKVRDSMKEAGLFPNIMTVNIMIDRLCKAQRLDEACSLFLELDHKVCTPDTVTFCSLIDGLGRHGRVNDAYMLYEKMLDSDQTPNAVVYTSLIRNFFKCGRKEDGHKIYKEMMHRGCFPDLMLLNNYMDCVFKAGEIEKGRALFEEIKARGLTPDVRSYSILIHGLVKAGFSRETYKLFYEMKEQGLHLDTRAYNIVIDGFCKSGKVNKAYQLLEEMKAKGLPPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVDLNVVVYSSLVDGFGKVGRIDEAYLILEELMQKDLTPNTYTWNCLLDALVKAEEIDESLVCFQNMKNLKCPPNEVTYSIMINGLCKVRKFNKAFVFWQEMQKEGLKPNTITYTTMISGLARVGNVLEAKDLFERFKSSGGIPDSACYNAMIEGLSNANKAMDAYTLFEETRLKGCRIYSKTCVVLLDALHKVDCLEQAAIVGAVLREMAKSQHATRLS >Vigun08g208700.2.v1.2 pep primary_assembly:ASM411807v1:8:37168987:37173255:-1 gene:Vigun08g208700.v1.2 transcript:Vigun08g208700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSRAQVLKFRFALKCGKIDPLSCKFSSSFSNGSSSESYGKAKVDSFMDDTSHSQDDALKSEAMRKTVHDVCRVLDTGPWGPALEDALNTFDDMPQPELVVGVVRRLKHVKLALQYFRWVETKTERPHCPEVYNALLMLMARTRNLDYLEQILEEMGQAGFGPSNNTCIELVSSFIKSRKLREAFGVIETMRKFKFRPAYSAYTTLIGALSAAHEADLMLTLLHQMQEIGYEVSVHLFTTLIRAFARDGRIDAALSLLDEMKSNSFNADIVLYNVCIDCFGKVGKLDMAWKFFHEMKSQGLIPDDVTYTSMIGVLCKAERLDEAVELFEELDRNRSVPCVYAYNTMIMGYGSVGKFDKAYSLLERQKRKGCIPTVIAYNCILTCLGRKGKVEEAFRVFEEMKIDAAPNLATYNILVDMLCKAGDHEAALKVRDSMKEAGLFPNIMTVNIMIDRLCKAQRLDEACSLFLELDHKVCTPDTVTFCSLIDGLGRHGRVNDAYMLYEKMLDSDQTPNAVVYTSLIRNFFKCGRKEDGHKIYKEMMHRGCFPDLMLLNNYMDCVFKAGEIEKGRALFEEIKARGLTPDVRSYSILIHGLVKAGFSRETYKLFYEMKEQGLHLDTRAYNIVIDGFCKSGKVNKAYQLLEEMKAKGLPPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVDLNVVVYSSLVDGFGKVGRIDEAYLILEELMQKDLTPNTYTWNCLLDALVKAEEIDESLVCFQNMKNLKCPPNEVTYSIMINGLCKVRKFNKAFVFWQEMQKEGLKPNTITYTTMISGLARVGNVLEAKDLFERFKSSGGIPDSACYNAMIEGLSNANKAMDAYTLFEETRLKGCRIYSKTCVVLLDALHKVDCLEQAAIVGAVLREMAKSQHATRLS >Vigun08g208700.1.v1.2 pep primary_assembly:ASM411807v1:8:37168987:37173255:-1 gene:Vigun08g208700.v1.2 transcript:Vigun08g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSRAQGLLKFRFALKCGKIDPLSCKFSSSFSNGSSSESYGKAKVDSFMDDTSHSQDDALKSEAMRKTVHDVCRVLDTGPWGPALEDALNTFDDMPQPELVVGVVRRLKHVKLALQYFRWVETKTERPHCPEVYNALLMLMARTRNLDYLEQILEEMGQAGFGPSNNTCIELVSSFIKSRKLREAFGVIETMRKFKFRPAYSAYTTLIGALSAAHEADLMLTLLHQMQEIGYEVSVHLFTTLIRAFARDGRIDAALSLLDEMKSNSFNADIVLYNVCIDCFGKVGKLDMAWKFFHEMKSQGLIPDDVTYTSMIGVLCKAERLDEAVELFEELDRNRSVPCVYAYNTMIMGYGSVGKFDKAYSLLERQKRKGCIPTVIAYNCILTCLGRKGKVEEAFRVFEEMKIDAAPNLATYNILVDMLCKAGDHEAALKVRDSMKEAGLFPNIMTVNIMIDRLCKAQRLDEACSLFLELDHKVCTPDTVTFCSLIDGLGRHGRVNDAYMLYEKMLDSDQTPNAVVYTSLIRNFFKCGRKEDGHKIYKEMMHRGCFPDLMLLNNYMDCVFKAGEIEKGRALFEEIKARGLTPDVRSYSILIHGLVKAGFSRETYKLFYEMKEQGLHLDTRAYNIVIDGFCKSGKVNKAYQLLEEMKAKGLPPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVDLNVVVYSSLVDGFGKVGRIDEAYLILEELMQKDLTPNTYTWNCLLDALVKAEEIDESLVCFQNMKNLKCPPNEVTYSIMINGLCKVRKFNKAFVFWQEMQKEGLKPNTITYTTMISGLARVGNVLEAKDLFERFKSSGGIPDSACYNAMIEGLSNANKAMDAYTLFEETRLKGCRIYSKTCVVLLDALHKVDCLEQAAIVGAVLREMAKSQHATRLS >VigunL019901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000241.1:16137:17203:1 gene:VigunL019901.v1.2 transcript:VigunL019901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSLKKKLRSTSSMRKYGSFNRR >Vigun08g069900.1.v1.2 pep primary_assembly:ASM411807v1:8:11078908:11080861:-1 gene:Vigun08g069900.v1.2 transcript:Vigun08g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITSTITQLHPSQPKLNVVPVENITSSQHNADIFSASDDDIPTVDYSLLFSDNPVQRFLALENLRQACQEYGFFYLVNHTIPDEVLDSTLKGFSDFFDPKTIDERRVFRKSGSSDKIRWDLNSSAGENREYLKVIAHPKFHAPSNPSGIRKILEEYHKEMRNIVAGLARSVSTTLGFEEDYIEKELNLKSGFDVMAMNLYPPNSRSKGVIGIPEHTDPGFVVTLVQDVDGGLQILSHQGKWINVYIPHHAILIQLGDHLEVLTNGKYKSHIHRVIVNKNKVPRISVVTLHGPELEKFISPGVEFVDEEHPQKYLGMTYKESLEANGGDEIDVQSSLDKIRFM >Vigun08g056100.1.v1.2 pep primary_assembly:ASM411807v1:8:6986671:6992994:-1 gene:Vigun08g056100.v1.2 transcript:Vigun08g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEILFVFSFLLCMIVALKLGRNLKKKTKSSLNIPPGPWKLPIIGNLHHLLSSTPHRKLRDLAKIYGPMMHLQLGEIFIIVVSSAEYAKEIMKTHDAIFASRPQLLAADIASYGYTNIAFAPYGSYWKKVRRICTMELLSPKRVSSFQPIREEELSNLVEIIGTHVGGSPINLTEAVSSSMYNIISRAALGKKRTKDQDEFMSILQRGVVGAGGFDIGELFPSATWLQHLTGLRPMLEKVHQEMDRILEAIIDEHREARSKAKYGHESDEDLVDVLLNFHDANDTNQEVCLTINNIKAVIMDIFVAGVESVTTTVNWAMAEMIKNPSVMKKAQAEVREVFKEKGKVDEICMNELQYLKSVVKETLRLHPPGTLLVPRECGETCEIDGYHIPVKSKVLINAWAIGRDPKYWNEAERFYPERFIGSSIDLRGSHFEFIPFGAGRRMCPGMSFGLLSVELTLAVLLFHFDWNLPNGIRSEDFDMSEKFGVTVGRKNDIFLIPLTASTSLGSDAKSNEVSSGSKEE >Vigun02g069800.1.v1.2 pep primary_assembly:ASM411807v1:2:22040871:22048696:1 gene:Vigun02g069800.v1.2 transcript:Vigun02g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTHFILTLQPRYPYSPSSSSSSSFPFILTNTALSFSHLRFGSLPLSLNFRASFSFKSPTSTISAFSDAEEDEEEEEEDEEDDEEEEEDEDDDVAADEYDDVSADASEDDAGVFARHDGFKWQRVEKLCNEVREFGADIIDVEELAAVYDFRIDKFQRQAILAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRETFGDSNVGLLTGDSAVNKDAQVLIMTTEILRNMLYQSVGNVSSGSGLVNVDVIVLDEVHYLSDISRGTVWEEIVIYCPKVVQLICLSATVANPDELAGWIGQIHGKTELVTSSKRPVPLTWHFSMKNSLFPLLDEKGTHMNRKLSFNYLQLQAAGAKPYKDDWSRKRNSRKRGTRFSYDSDDNMFEQRSLSKNDINAIRRSQVPQVIDTLWQLQSRDMLPAIWFIFSRKGCDAAVQYLENCKLLDECESTEVELALKKFRKQYPDAVRDSAVRGLLQGVAAHHAGCLPLWKAFIEELFQRGLIKVVFATETLAAGINMPARTAVISSLSKRGDSGRIPLSSNELLQMAGRAGRRGIDESGHVVLIQTTNEGAEEGCKVLFAGLEPLVSQFTASYGMVLNLLAGVKAIQWSNESGNMKPSTGRTLEEARKLVEQSFGNYVSSNVMLAAKEELNKIEKEIELLMSETTDEAIDRKTRKALAPRQYKEIAELLEDLRSEKRVRTKLRKQVEAKRMSALKPLLEETESGHLPFLCLQYRDAEGVEHSIPAVFLGKVDSLNASKLKTMISSVDSFALNLAEAEPSVADSALNKDIKPSYHVALGSDNTWYLFTEKWIKTVYGTGFPNVPLAQGDARPREIMSTLLDNGDMNWDKLSQSEHGGLWFMEGSLDTWSWSLNVPVLSSLSENDELLLKSQDYKDAIECYKDQRNKVSRLKKKISRSEGYKEYFKITDTVKFVEEKIKRLKNRSKRLINRIEQIEPSGWKEFMQVSNVIHEIRALDINTHVIFPLGETAGAIRGENELWLAMVLRNKILLDLKPAQLAAVCASLVSVGIKVRPWKNNSYIYEPSATVTKFITLLDEQRNALLALQDKHGVTISCCLDNQFCGMVEAWASGLTWREIMMDCAMDDGDLARLLRRTIDLLVQIPKLPDIDPLLQRNAKAASAVMDRPPISELVG >Vigun02g069800.3.v1.2 pep primary_assembly:ASM411807v1:2:22045480:22048696:1 gene:Vigun02g069800.v1.2 transcript:Vigun02g069800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQRSLSKNDINAIRRSQVPQVIDTLWQLQSRDMLPAIWFIFSRKGCDAAVQYLENCKLLDECESTEVELALKKFRKQYPDAVRDSAVRGLLQGVAAHHAGCLPLWKAFIEELFQRGLIKVVFATETLAAGINMPARTAVISSLSKRGDSGRIPLSSNELLQMAGRAGRRGIDESGHVVLIQTTNEGAEEGCKVLFAGLEPLVSQFTASYGMVLNLLAGVKAIQWSNESGNMKPSTGRTLEEARKLVEQSFGNYVSSNVMLAAKEELNKIEKEIELLMSETTDEAIDRKTRKALAPRQYKEIAELLEDLRSEKRVRTKLRKQVEAKRMSALKPLLEETESGHLPFLCLQYRDAEGVEHSIPAVFLGKVDSLNASKLKTMISSVDSFALNLAEAEPSVADSALNKDIKPSYHVALGSDNTWYLFTEKWIKTVYGTGFPNVPLAQGDARPREIMSTLLDNGDMNWDKLSQSEHGGLWFMEGSLDTWSWSLNVPVLSSLSENDELLLKSQDYKDAIECYKDQRNKVSRLKKKISRSEGYKEYFKITDTVKFVEEKIKRLKNRSKRLINRIEQIEPSGWKEFMQVSNVIHEIRALDINTHVIFPLGETAGAIRGENELWLAMVLRNKILLDLKPAQLAAVCASLVSVGIKVRPWKNNSYIYEPSATVTKFITLLDEQRNALLALQDKHGVTISCCLDNQFCGMVEAWASGLTWREIMMDCAMDDGDLARLLRRTIDLLVQIPKLPDIDPLLQRNAKAASAVMDRPPISELVG >Vigun02g069800.2.v1.2 pep primary_assembly:ASM411807v1:2:22043219:22048696:1 gene:Vigun02g069800.v1.2 transcript:Vigun02g069800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLFPLLDEKGTHMNRKLSFNYLQLQAAGAKPYKDDWSRKRNSRKRGTRFSYDSDDNMFEQRSLSKNDINAIRRSQVPQVIDTLWQLQSRDMLPAIWFIFSRKGCDAAVQYLENCKLLDECESTEVELALKKFRKQYPDAVRDSAVRGLLQGVAAHHAGCLPLWKAFIEELFQRGLIKVVFATETLAAGINMPARTAVISSLSKRGDSGRIPLSSNELLQMAGRAGRRGIDESGHVVLIQTTNEGAEEGCKVLFAGLEPLVSQFTASYGMVLNLLAGVKAIQWSNESGNMKPSTGRTLEEARKLVEQSFGNYVSSNVMLAAKEELNKIEKEIELLMSETTDEAIDRKTRKALAPRQYKEIAELLEDLRSEKRVRTKLRKQVEAKRMSALKPLLEETESGHLPFLCLQYRDAEGVEHSIPAVFLGKVDSLNASKLKTMISSVDSFALNLAEAEPSVADSALNKDIKPSYHVALGSDNTWYLFTEKWIKTVYGTGFPNVPLAQGDARPREIMSTLLDNGDMNWDKLSQSEHGGLWFMEGSLDTWSWSLNVPVLSSLSENDELLLKSQDYKDAIECYKDQRNKVSRLKKKISRSEGYKEYFKITDTVKFVEEKIKRLKNRSKRLINRIEQIEPSGWKEFMQVSNVIHEIRALDINTHVIFPLGETAGAIRGENELWLAMVLRNKILLDLKPAQLAAVCASLVSVGIKVRPWKNNSYIYEPSATVTKFITLLDEQRNALLALQDKHGVTISCCLDNQFCGMVEAWASGLTWREIMMDCAMDDGDLARLLRRTIDLLVQIPKLPDIDPLLQRNAKAASAVMDRPPISELVG >Vigun07g273000.1.v1.2 pep primary_assembly:ASM411807v1:7:38844935:38849177:1 gene:Vigun07g273000.v1.2 transcript:Vigun07g273000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKLAHKKCSKLEFPENGLQFYDVVVKHASRNAAAPAAPVTITGPAVVPAISDSQTPPPALPPPNTTAIEPLPPLRDVDESEHRALILRKIQGCCFLCDFSDTVDSVNERETKRQTLEELIDIIHSGSFGFSENQEDLINMVSVNIFRCLPPAPRGTEYLEPEEDDRYLDPSWPHLQLVYDILLRYVVSPEIDVRTFKRYIDHIFVTKLVDLFDSEDMREREYLKNILHRIYGKYMGHRPFIRNAINDVFYRFIFETQRHNGISELLEIHASIINGFALPMKEEHRQFLIRTLIPLHRPKSISFYHEQLTYCVVQFVEKDNRLADPVIRGMLKYWPVSNSRKEVLFLGEIELVLEAAQCTDSLRCIASLFRQIGRCLSSSQFQVAERAFYLWNNEYFISFVAQNRNVILPVIFEALENNMKGHWSQVVQGLTANVRKIFLGMDAELFEECQRQYIEKEAKTIELEEKRELTSEKLEAEAA >VigunL060737.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000274.1:21678:26215:1 gene:VigunL060737.v1.2 transcript:VigunL060737.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGILQFTPSIAFRYVLHRCKSRDIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun08g196400.1.v1.2 pep primary_assembly:ASM411807v1:8:36241948:36244269:1 gene:Vigun08g196400.v1.2 transcript:Vigun08g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFFSLLPLELLVIIISLLPFKEAVKSSVLFKNLLKASKFTKNVEFNELFFVKPDQSDETRQVQRRVFIDFIKTWIENHKGTVLDKFSLKMSTQANVGEVIDQCVGFATQRNVKELTLDFADSEWEEHDVYFGDYDAIFDMPKQVYAHSGLEHLKLYSCCFIEAEMRNFHVLKEVSLGWMKLSVTAIKGLLSNCRVLESLSMKRCWSSERFRLGQEETKGLKMLVIDHCRFEFDVFIVNAPNLQIFKYYGWMNFNIMEIQSPEMEEADLDFAVEYSYHRRGYPLYNMMKNLSIASILTVCSYVLQVIPSGPEQLRMEHDMCVQHLIMNASLHEDEFIGIAFFINSCPMLGCLTIQICPKNDLSVSDCEEPFYFNLTRFWADFLGDCECLRSSLEEVEFDGYRGHKNENLLLEYLIIKGFVLKSISINLMKDDSGMIVESHSRQHAEDLLQVQRASKNLEITIS >Vigun10g055401.1.v1.2 pep primary_assembly:ASM411807v1:10:9723952:9736710:1 gene:Vigun10g055401.v1.2 transcript:Vigun10g055401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTLLCFFLLPFLCINHNANVFPANAYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWNGVTCKEGRVVALDLSEESISGRLLNSTVLFGLQYLQSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGITISAKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLLSLTVLNLSNNSMSSSVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLMGSFPNFQPRGSLRDLNLSETSFTGKLPGAISNLKHIDISYCQFNGTLPSSMLELIQLVYLDLSSNNFSGTLPSFNMSKNLSYLSLSHNSLTGVLPSNHFEGLKNLVIIDLGFNFFKGTLPSSLLKLPYLRELKLPFNQLTGLLDESVMTSPTLEMLDLGSNNLEGPIPLSIFNLRTLRVIQLNLNKFNGTLQLDMIRRLSNLTSLCLSHNNLSVDIYSRYERDPSPFPVLRKIMLASCKLRGIPSFVKNQSALLYLDLADNEIQGTIPYWIWQLEYLLLLDLSKNFLTKLEGNFWNFSSNLMLLDLSSNQLQGPLPFLPRSVNYMDYSNNRFNSVIPTDIGNRLPFVKVLYLSNNSFQGQIPESFLNASSLLLLDLSRNNFDGTIPKFFAELSSTLRVLNFGGNKLQGYIPDTLPTSCSLQLLDLNDNLLEGTIPTSLAYCQKLQVVNLRRNLLTDKFPCFLSKISTLRIMDLQLNKLHGSIGCSKSRGDWEMLHMVDVAFNNFSGAIPGALLNNWKAMMRDNDNVGPEFGHLFIDITDNYNPKDFKDLLLHLDKTIVAKLAKIVANVPRSILDEGSSDTDYWSTYTGPNFNPDPQTRYTYQIDLSQYQNSILITNKGQQIKLDKIQRAFTYVDMSSNNFEGPIPIELMQFKAMMALNLSNNALSGHIPSSIENLKNLECLDLSNNSLSGDIPRELVMLYFLAYLNLSNNHLVGEIPKGAQIQTFDGDSFEGNENLCGSPLTGNCSNSGMPTPETPQSHTRSSIDWSLLSAEMGFIFGFGVFILPLLLWKRWRFWYSKHMDEMLQKIIPQLEFAYEHQRGQTYRTLRRWY >Vigun02g084100.1.v1.2 pep primary_assembly:ASM411807v1:2:23788461:23795267:-1 gene:Vigun02g084100.v1.2 transcript:Vigun02g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLLRRVYLTAYNFTLFIGWFQVLFTVLKTLKESGHENLYRAAEKPLLFSQTAAVLEILHGLVGLVRSPVTATLPQIGSRLFVVWGILWSFPETRTHVLVTSLLISWSITEIIRYFFFGLKEAFGSAPSWLLWLRYSTFLVLYPTGISSEVGLIYNALPFIKASEKYCIRMPNKWNFSFDYFCAAIVVLGIYVPGSIHMYSYMLAQRKKALMKSKKE >VigunL025600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:92159:92575:1 gene:VigunL025600.v1.2 transcript:VigunL025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps11 MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDAALRAIRRSGILLNFIRDVTPMPHNGCRSPKKRRV >Vigun09g195200.1.v1.2 pep primary_assembly:ASM411807v1:9:36982446:36985771:-1 gene:Vigun09g195200.v1.2 transcript:Vigun09g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKARLFKEYHEVQREKTADPDIQLVCDESNIFKWTAFIKGPSETPYEGGVFQLSFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMAKMYTRLAAMPKKG >Vigun09g195200.2.v1.2 pep primary_assembly:ASM411807v1:9:36982446:36985771:-1 gene:Vigun09g195200.v1.2 transcript:Vigun09g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKARLFKEYHEVQREKTADPDIQLVCDESNIFKWTAFIKGPSETPYEGGVFQLSFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGDVRGYQSMAKMYTRLAAMPKKG >Vigun04g040700.1.v1.2 pep primary_assembly:ASM411807v1:4:3512566:3519416:1 gene:Vigun04g040700.v1.2 transcript:Vigun04g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTEGPSGGESSEAQRPAERSAPPQQQAAAPGGTGPQGGGRAWGPQGGRGGYGGGRGRGMPQQQYGIPQQQYGAPADYQGRGRGGSSQQGGRGGYGGVRGGGGGGGVGGGRGIGPSYGGPSRPPVPELHQATSVQSYQTGVSPQPTTSEASSSLPPEPVDLEQSVGQMVISEATPTPPPQSKSSMRFPLRPGKGSYGIKCIVKANHFFAELPNKDLHQYDVTITPEVTSRGVNRAVMEQLVRLYRESHLGKRLPAYDGRKSLYTAGPLPFISKEFRITLVDDDEGAAGGQRRDREFKVVIKLAARADLHHLGLFLQGRQTDAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSARPLSDADRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPVERERDIMQTVYHNAYHEDPYAQEFGIKISEKLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNHWFCINFSRSVQDSVARGFCYELAQMCYISGMAFNPEPVVPPVSARPDQVEKVLKTRYQDAKNKLQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVGDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDYPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTVTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHHDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAVAGRGMGGGIGRSTRAPGANAAVRPLPALKENVKRVMFYC >Vigun04g089000.1.v1.2 pep primary_assembly:ASM411807v1:4:15603579:15607255:1 gene:Vigun04g089000.v1.2 transcript:Vigun04g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDSRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRDTFNHLASWLEDARQHANPNMSIMLIGNKCDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAYIRTAAKILQNIQEGVFDVSNESSGIKVGYGRSQGQSGGRDGTVSARGGCCS >Vigun10g054500.1.v1.2 pep primary_assembly:ASM411807v1:10:9119291:9126309:-1 gene:Vigun10g054500.v1.2 transcript:Vigun10g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNHNSHNNHHHHHSFRPRKWMMMITVIVTICIILLLLTLTKPSSSSSSSATWSSSSSSLNLTEEVGVGLPRLAYMLTGTKGEGAQLKRVLQAVYHPRNFYLLHLDLEASDEERFELAKYVKFEAVFAAFGNVMVVGKSDLVTYKGPTMVASTLHGIALLLKRIPQWDWFLNLSASDYPLISQDDLLHIFSFLPRDLNFIEHTSNIGWKEHQRARPIIIDPGLYHLKKSGVYWAKEKRSVPSSFKLFTGSPWIVLTKSFLEFCVLGWDNLPRTLLMYYTNFLSSPEGYFHTVICNHKDYQNTTINHDLRYIRWDNPPKQHPLFLKLEHFDDMVRSGIPFARKFAKDDPVLDKIDKELLGRSKGHFTPGGWCFGSPLLGKDSCTVYGNPIVVKPTLRSKKLEKLLVKLLDSENFRPKQCK >Vigun07g159000.3.v1.2 pep primary_assembly:ASM411807v1:7:27079895:27088591:-1 gene:Vigun07g159000.v1.2 transcript:Vigun07g159000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALLDDIINRLLEVRGRPGKQVQLSESEIRQLCAASREIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLLRPTDVPDTGLLCDLLWSDPSKEVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKAKLNSTTTTKPGNSPAGVKSFMGTRI >Vigun07g159000.2.v1.2 pep primary_assembly:ASM411807v1:7:27079979:27088529:-1 gene:Vigun07g159000.v1.2 transcript:Vigun07g159000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALLDDIINRLLEVRGRPGKQVQLSESEIRQLCAASREIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGLPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLLRPTDVPDTGLLCDLLWSDPSKEVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKAKLNSTTTTKPGNSPAGVKSFMGTRI >Vigun03g063500.2.v1.2 pep primary_assembly:ASM411807v1:3:5202975:5219110:-1 gene:Vigun03g063500.v1.2 transcript:Vigun03g063500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEHTLNRRPSRSAATTTFSSEVFDNEVVPSSLASISPILRVANEIESERPRVAYLCRFFAFEKAHRLDQSSSGRGVRQFKTLLLQRLERDNPNSLASRVKKTDAREIQSYYQQYYEQYVRTLDQKEQADRAQISKAYQTAGVLYEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYTPYNILPLDAAGASLPIMQFEEIKAAVSALWNTRGLNWPNPSGPQRQKTEDLDLLDWLKAIFGFQRDNVRNQREHLILLLANSHIRLHPQPEPFNLLDDRAIDSVMKNLFKNYKMWCKFLGRKHSLRLPQGQQETQQRKLLYMGLYLLIWGEASNVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGSDDEAFLCKIITPLYRVIEREAKKSRHGKAPHSAWCNYDDLNEYFWTSDCFSLGWPMRDDGEFFKSTSDLSQGRKGAPRNFEKTVKSNFVETRSFWHLFRSFDRLWTFFILGLQVMLIIAWEGITLTNIFQREVLYYLSSIFITASILRLLQSILDLILNFPGYHRWKFVDVLRNILKVIVTLFWVIILPLFYVNSFKEAPGGLKQFLPFFTQIKGIPPLYIMAVILYLLPNLLAAILFLFPMLRRWIENSDWHIVRFVLWWSQPSIYVGRGMHESQFTLLKYTIFWLLLLTCKFLFSFFVQIKPLVRPTRDIMSIRRVDFEWHEFFPTARNNYGAVIALWAPVILVYFMDTQIWYAIFSTLYGGAVGAFDRLGEIHTLVMLRSRFLSMPGAFNTCLVPSDIKRKERFSFSKKFAEISANKRTEAAKFAQIWNEIICCFREEDLISDREMDLLLVPYSLGHCLKIIQWPPFLLTGKIAVALDIATQFRGRDSDLWKRICADEYMKCSVIECYESFKHFLQDLVIGETEKRIISIIIKEVESNISKNTLLTNFRMVYLPSLCKKYVELVEFLKNGDPSQQGTVVVLLQDMLEVVTDMMVNEISELAELNQISKDTGQQIFAGTEGNPAIRFPPVVTAQWEEQIRRLYLLLTVKESAVDVPINTEVRRRVAFFSNSLFMDMPRAPRVRKMLSFSVLTPYYSEETVYSKNDIEFENEDGVSIIYYLQKIFPDEWNNFLERLDCKKDSEVWEKDENILQLRHWASLRGQTLCRTVRGMMYYRRAIKLQAFLDMASEKEILDGYKAIDVPSAEGKESHRSLYDNLEAMADMKFTYIATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEHEEREDGQVHKVYYSVLVKAVDNLDQEIYRIKLPGAAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGLRAPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARTLKIRFHYGHPDVFDRIFHFTRGGFSKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSFYFTTIGFYFSSMIVSLTVYAFLYGKFYLSVSGLEAAIVKLARKKGDDPLKAAMASQSLVQIGILMTLPMVMEIGLERGFRTALSEIIIMQLQLAPVFFTFSLGTKMHYFGRTLLHGGAKYRATGRGFVVRHERFAENYRMYSRSHFVKGMELAMLLICYKLYGAAAPDSVSYGLLSLSMWFLVCSWLFSPFLFNPSGFEWQKIVEDWDDWTKWINSQGGIGVPANKSWESWWNEEQEHLLHTGLWGKICEVILALRFFIYQYGIVYHLHVARSDKSITVYGLSWLVVVVAIIILKIISLGRKTFSADFQLMFRLLKLFLFIGIVVILVLMFALLNLTVSDIFVSLLAFMPTGWAFIQIGQACKPLVKAIRMWGSIKALSKGYEYVMGVIIFAPVAILAWFPFVSEFQTRLLYNQAFSRGLQIQRILAGGKKNK >Vigun01g163000.1.v1.2 pep primary_assembly:ASM411807v1:1:34472559:34475199:1 gene:Vigun01g163000.v1.2 transcript:Vigun01g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVERRYEIMARSISYITGTQLLSLRRHPSIAIVDVRDDERGYDGHISGSLHYASDTFSDNISNLVQAVKGKDTVVFHCALSQVRGPTCARRLVNYLEENKEDTGIKNIMVLERGFNGWEASGRPVCRCTNIPCKGE >Vigun01g163000.2.v1.2 pep primary_assembly:ASM411807v1:1:34472559:34473514:1 gene:Vigun01g163000.v1.2 transcript:Vigun01g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVERRYEIMARSISYITGTQLLSLRRHPSIAIVDVRDDERGYDGHISGSLHYASDTFSDNISNLVQAVKGKDTVVFHCALSQVLLFSFNYVLYMFFFFLLLRFLLFQFQ >Vigun09g050400.2.v1.2 pep primary_assembly:ASM411807v1:9:4973199:4974801:1 gene:Vigun09g050400.v1.2 transcript:Vigun09g050400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPINPYTNPTTPKTSPTNPTMVPPSPTTTTTPTPATASAGGQWCVASQSAAESTLKVALDYACGYGADCSAIQPGAGCYNPNTLKDHASYAFNDYYQKNPAPTSCAFGGTAVLTNKDPSNGNCRYTSSKTPSMSPPTPTYVSPPTPPSTMTPTTPSTMTPTTPSTMTPTTPSIMTPTAPGIMTPTAPGITTIPGGASVYGSGPTGSPNKATSVSHSELLLFTLLGLWASLHVQNYT >Vigun09g050400.1.v1.2 pep primary_assembly:ASM411807v1:9:4972452:4974801:1 gene:Vigun09g050400.v1.2 transcript:Vigun09g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSWRHVLFSLCLLFSLGLGKGIRLGEEENQEISYEFGTKLDAVPVVNPTTPGTANPYPTLNPTTPQAPGTIGQTPPTTPYMTPINPYTNPTTPKTSPTNPTMVPPSPTTTTTPTPATASAGGQWCVASQSAAESTLKVALDYACGYGADCSAIQPGAGCYNPNTLKDHASYAFNDYYQKNPAPTSCAFGGTAVLTNKDPSNGNCRYTSSKTPSMSPPTPTYVSPPTPPSTMTPTTPSTMTPTTPSTMTPTTPSIMTPTAPGIMTPTAPGITTIPGGASVYGSGPTGSPNKATSVSHSELLLFTLLGLWASLHVQNYT >Vigun05g247100.3.v1.2 pep primary_assembly:ASM411807v1:5:44047376:44052984:1 gene:Vigun05g247100.v1.2 transcript:Vigun05g247100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQLNVAVALVISLLCIQHSFCDEPSDTGPNKWKCRCSSLQGNQIYSPANCSKSCDCHSDAEESASTWTCLCDSNGFPEVSADGHNLNCFNACNCTWGTVRMPQGSKKQISSKIVVVILSVCVTCTTVAFLASVICQVCRRERCSIQSPMISSDKETSYSSTTNLFSHKTSSPVSNITGCFQKSSLLFGSQRQTFYGNIIQFSFAELENATENFSTSNLTGLGGSSYVYRGRLKDGSNVAVKRLKDQRGPEADSEFFTEIELLSRLHHCHLVPLVGYCSELKGKNVQRLLVFEYMTNGNLRDRLDGVFGKKMDWSTRVRIALGAARGLEYLHEAAAPRILHRDVKSTNILLDKNWQAKITDLGMAKSLRADDHPSRSDSPARMQGTFGYFAPEYAIVGRASLESDVFSFGVVLLELISGRQPIHKSAGKEQSLVIWASPRLKDSRRVITELADPQLKGNFPEEELHIMAYLAKECLLLDPDTRPSMTEVVQILSSISPDRSRRRRNIPVRLFQEPEDLENQRQTPSIFPTCNLLPLGVDHNLNDGNENEDANTVSSEHMESLILFSPKGESWHASEEEMVDLTEPRFESFFMSNPNFP >Vigun01g125100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30190408:30192144:-1 gene:Vigun01g125100.v1.2 transcript:Vigun01g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEHFSHIHPLLLKQEKTDNNNNNNLVLCSGCENPISSGPVFSCDKCNYILHKTCVEIARHIKHPFHPQHPLVLLSTSPYEGPYVCDSCRGLFRNFVYHCYTCSYDLDVSCASECNPDDGHKHDFMSVTNPQSFVCYACGLQGNEGLACLCTVCQIWVHSSCAELPLAVRIKSHDHPLKLTYLLHHIYGFWGSITCGVCNGAMSSAFAGYFCSTCRFPVHLKCLQEDLRDDWAVEDEKSSTYYETDESLDIDFTCSFFGHEHLLKLADEESDLRYSGKLCDACIQPIVPPLFSCEEENCGFILHQSCVELPRTTLHPFHAHPLTLLPKAPHYDGIYRCDGCKRLSNGFVYRCDVCQFDLDVCCGSLRERIEHESHMHPLLLKKTTVARQCKGCHRWSKHVLVCEVCEDFAIDCGCATLPRGAWCMYDKHPLSLNYFVEEGLREYECGICNEKICPKQWFYYCDDCDYGAHPYCVEGKCRLVKFGGSFQYDVHSHPLALVEETDENTKCEACGECCNGWTLECGQCKSYFHREGLCFWKQFKKSDKYFKLSGIMRHRYAANVLASMPNVTNNKAKGS >Vigun03g319300.1.v1.2 pep primary_assembly:ASM411807v1:3:51378319:51379686:-1 gene:Vigun03g319300.v1.2 transcript:Vigun03g319300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLVVASLLIFCLAGTCYGKVHFSSLKTTLDVTASPKQGQVLEAGTDKITVTWALNKTLPTGTDSAYKTIKVKLCYAPISQKDRAWRKTEDDLSRDKTCQHKIVAKPYDASNKTVQRFEWVIERDVPKATYFVRTYAFDSNGEEVAYGQTTDAKKSSNLFEINSISGRHASLDICSVCFSAFSVVALFVFFYIEKRKAKSSSSSK >Vigun03g207200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33784185:33785272:-1 gene:Vigun03g207200.v1.2 transcript:Vigun03g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSPRLDLCATVVAAVGVDSGVAQLFEMAILTTRAGEGEDSFSSSTPSSSWISCILMLCVVELLRHQRQCYYVCLHTSCHICTVGDDFQALIWDFEILRV >Vigun04g077900.3.v1.2 pep primary_assembly:ASM411807v1:4:10690641:10700577:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKVQELCQRRSWPLPTYDTTREGPDHDPRFTSTVTVNGASFQTPSPTRNSKSAQNDAAMLAFHHFSPPSSSPSPLPSPPSPSFPEHSLSISALSSFPQPSLCNSSSGAPDPKSVVDDVHLPTNGLLQLKFEEVCQISQISNPLAAVRDTITLEDQKNMLHLYKNQLQSFVQKKNLGLPVYSSECEGPPHATRFKCKVTIDGHTYGSDKFYSTVKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKVKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.1.v1.2 pep primary_assembly:ASM411807v1:4:10690641:10700577:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKVQELCQRRSWPLPTYDTTREGPDHDPRFTSTVTVNGASFQTPSPTRNSKSAQNDAAMLAFHHFSPPSSSPSPLPSPPSPSFPEHSLSISALSSFPQPSLCNSSSGAPDPKSVVDDVHLPTNGLLQLKFEEVCQISQISNPLAAVRDTITLEDQKNMLHLYKNQLQSFVQKKNLGLPVYSSECEGPPHATRFKCKVTIDGHTYGSDKFYSTVKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.2.v1.2 pep primary_assembly:ASM411807v1:4:10690641:10700577:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKVQELCQRRSWPLPTYDTTREGPDHDPRFTSTVTVNGASFQTPSPTRNSKSAQNDAAMLAFHHFSPPSSSPSPLPSPPSPSFPEHSLSISALSSFPQPSLCNSSSGAPDPKSVVDDVHLPTNGLLQLKFEEVCQISQISNPLAAVRDTITLEDQKMKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKVKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.4.v1.2 pep primary_assembly:ASM411807v1:4:10690641:10700577:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKVQELCQRRSWPLPTYDTTREGPDHDPRFTSTVTVNGASFQTPSPTRNSKSAQNDAAMLAFHHFSPPSSSPSPLPSPPSPSFPEHSLSISALSSFPQPSLCNSSSGAPDPKSVVDDVHLPTNGLLQLKFEEVCQISQISNPLAAVRDTITLEDQKMKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.6.v1.2 pep primary_assembly:ASM411807v1:4:10691501:10700578:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLYKNQLQSFVQKKNLGLPVYSSECEGPPHATRFKCKVTIDGHTYGSDKFYSTVKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.10.v1.2 pep primary_assembly:ASM411807v1:4:10692029:10700577:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLYKNQLQSFVQKKNLGLPVYSSECEGPPHATRFKCKVTIDGHTYGSDKFYSTVKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKVKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.7.v1.2 pep primary_assembly:ASM411807v1:4:10691425:10700578:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.9.v1.2 pep primary_assembly:ASM411807v1:4:10691425:10700578:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLYKNQLQSFVQKKNLGLPVYSSECEGPPHATRFKCKVTIDGHTYGSDKFYSTVKDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun04g077900.5.v1.2 pep primary_assembly:ASM411807v1:4:10691501:10700578:1 gene:Vigun04g077900.v1.2 transcript:Vigun04g077900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KDAEHAAAEAALMSLSPGGVEEGHAGLYKNLLQELAQKEGFRLPIYTTNKNGEAHMPIFVSQVEVEGALFTGQKAKSKKQAEMSAAKVAYMALKEHKGKSDKTSSFPFSNYEGQVPKFSHDHSESNVVSGLKLNTNPNLSVGLGLVTSSELSKDTLKNFSTSSGNTNGYTKVSSTLSNDKSSPSLSGSSKTDSETSDKSSTAVDTTSSCIKKIVVYSRKTNVKIEDGGTMLPVSDDKWVAYSYSH >Vigun07g061300.1.v1.2 pep primary_assembly:ASM411807v1:7:6938691:6943395:-1 gene:Vigun07g061300.v1.2 transcript:Vigun07g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHLISSPNVSSLTTGSNLWGRKLSFNSAFYESSRGSKASNYSIKAQIQYNPLRFQQSPFNHHYKSIERGATFEESNKNYVVKAVTVPSSESESEASNSKNIVDSVKNFMAVLYQFIYPYVVYGRTSAAISASLVAVEKLSDISPLFFIGLLQALLPPLFMDLYVNGVNQLFDFEIDKINKPFLPLAAGKLSFRNCAFIVASSAILGLGLNLMIGSPALIWNFVLCATLWTCYSVNLPFLRWKQYPVSASLLMFVCWTCIIPISYFLHMQTFVLKRPLVFTRSLIVTLLFLSFYSTGLALSKDIPDVEGDIKHGIDSFAARLGQKKVFWICIFLFEMAFGVAFLAGASSSSPLWIKFVTCLGNVVLGSILWYQTKYVDVTDPASGRSFYSLNWKLMMGAHVLLPLIR >Vigun03g160100.1.v1.2 pep primary_assembly:ASM411807v1:3:17666629:17670057:1 gene:Vigun03g160100.v1.2 transcript:Vigun03g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVMNDDENAPITFLFLASMFLVLVASTSSQEENKHEECSKPFSCGQISIYYPFWGGSRPSYCSSNHQFKLNCEGNQNSTLQLGSQTFQVLHFDPVQYTVKMLRTGLVYDNCSSSAVTNNTVDSNLFSYSNVRNITIYYGCPYSLISNTTRSFQCKDDGNKSAFYGDPATEKVQDCDGARIEVQVAQELDPDGGIQGLNKALSEGFEIHLISETQVQQCLECILTNGTCGANDESQFSCFCPDGSEGLNCSGHNSDTWNWQRKLVIGVAAAVMSGIVVGLGFYIYYGRLKKNHLHAVTSSVLYNPKGVSRSSSLEDSEKGSKYFGVHFFTHSELEEATNFFNPDRELGDGGFGKVYFGKLQDGRLVAVKRMYENSLKVEQFVNEVEILTGLHHQNLVSLYGCTPRNSRELLLVYEYIPNGTVADHLHGQRAKPGTLPWHIRMNIAIETASALVYLHASDIIHRDVKTSNILLDNHFSVKVADFGLSRPFPIHASHVSTAPQGTPGYVDPEYHEYYQLTDKSDVYSFGVVLIELISSMHAVDISRRRHEIHLSNMAIKKIQSGALHEIVDPSLGFESDFKVRKMINAVAELAFQCLQSSNVRPSMAEVLDRLEDIRSDGKYKSKHEVLDISEDHAALLKNEPPPPSPDSNFQTVV >Vigun06g177300.1.v1.2 pep primary_assembly:ASM411807v1:6:29731255:29735933:1 gene:Vigun06g177300.v1.2 transcript:Vigun06g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEKVPPSTTAAITTAVNATASPLPLVDPSAGPDLTVAETENHAMVSDEEHGHEIDHDHDLDHEHDDEHDPDEHLEHRDHAALPAVSTEDLKLKIIKQVEYYFSDENLPNDKYMLGFVKKNKEGFVPVSVIASFRKMKKLTRDQTFIVAALKESSLLVVSSDGKRVKRLHPLRFNESRDHKLSTVLVENLPEDHSKKNIQRIFHEAGNIKRITINDPYSTSESTKHIKQEMLISNKLHALVEFETIEAAEKAVAMLNNEQDWRNGMHVKLLKRMGKYGHKKQAWKGPTSEKNSSSHASEQIGDEENHGSSEHHEDTHEDEDGEHLSKDKGGQRYKNQGRSRKHKYRAGNGLGHGSTPSTHAAEASKPPPGPRMPDGTRGFAIGRGRPPVPASN >Vigun10g157200.1.v1.2 pep primary_assembly:ASM411807v1:10:37673581:37676645:1 gene:Vigun10g157200.v1.2 transcript:Vigun10g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKSFKGYGKVDELEQQAYQKKTRKRIIIITVSSIVLIAVIIAAVAGVVIHNRNNKSSPSSDSAPQTQLSPAASLKAVCDATRYPNSCFTSISSLPESNTTDPELLFKLSLRVAIDELSKLSSFPSKIRANAEHDARLQKAIDVCSSVIGDALDRLNDSISALGTVTGRIVSSASVSDVETWLSAALTDQDTCLDALGELNSTAARGALQEIDTAMRNSTEFASNSLAIVTRILGLLSRFEAPIHHRRLLGFPEWLGAAERRLLEEKNNDSTPDVVVAKDDSGQFKTIGEALKWVKKKSEKRFSVYVKEGSYVENIDLDKNTWNVMIYGDGKDKTIIVGSRNFMDGTPTFETATFAVKGKGFIAKDIGFVNNAGASKHQAVALRSGSDRSVFFRCSFDGFQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCKIMPRQPLPNQFNTITAQGKKDPNQNTGIIVQKSTITPLGNNLTAPTYLGRPWKDFSTTVIMQSEIGSFLNPVGWMSWVANMEPASTIFYAEYQNTGPGADVSQRVKWAGYKPTLTDSDADKFSVQSFIQGPDWLPSAAVQFDSNL >Vigun07g247200.1.v1.2 pep primary_assembly:ASM411807v1:7:36729230:36732547:1 gene:Vigun07g247200.v1.2 transcript:Vigun07g247200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETVLFFLSLLGILISQAIAEPDYQYNYTICYQSGDYDPNTTYQTNLNTVLSRLTSNTQIDNGFYNSSYGQDSDRVYATGLCRGDVSPHTCLTCLNHSTSLLLKYCPHQKEAVGGFDLCMLHYADDSIFGYQDSSFRFYFWWEANVTDWNQYSYVLNKLLFKLREKAASADSFNRNKFAAGNATGPSSETIYAVVQCSTELTEAECNDCLGGAFSEISKYCNNRIGGGIVKLSCNFRYMNSSFYEPTAETLALQLPPQGFASPPSSSPTPYTTSNSSQSTYHGKSNRSQPVNAKYVVPIIVFTGVLILICIYLRLWKLRKYFESEAKVDDKIIQLESSRFDLDTIRVATNNFSDANKLGQGGFGPVYKGTLFNEQVVAIKRLCSNSGQGDIEFKNEVLLMSRLQHRNLVKLLGFCFEREERLLVYEFLPNKSLDNHIFDHIGRAHLDWKKRYGIIKGIAYGLLYLHEDSQQRIIHRDLKLSNILLDDNMNPKISDFGFARLFNVDQTQFNASKIAGTYGYMAPEYARHGKLSMKLDVFSFGVIMLEIVSGQKNSGFRNGENAEHLLSLAWKNWMKGRIANIIDPALHNGLRDEIVRCIHIGLLCVQEKAADRPTMASVVLMLDSHSFALPVPLQPAYFMKNSCLSVIQFSRYGSTETGSSEQKSYCADGSANEASISSLYPR >Vigun11g208900.2.v1.2 pep primary_assembly:ASM411807v1:11:40472165:40476106:-1 gene:Vigun11g208900.v1.2 transcript:Vigun11g208900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIILNARNLLSGNKTALSAVPWLGMLTSLLGNLSLLSYFAKKREKEAMLVQTLGVVSTYVVLVQLALAETMPLPYFLATSVVVISGLVLNFLNYFGLLNAELWRFWEDFITIGGLSVLPQIMWSTFVPYLPNSILPGATSFVIALLAVTLARTGKLSEKGVKFVGGISGWTATLLFMWMPVSQLWTNYLNPENMKGLSAFSMLLAMLGNGLMLPRALLIRDFMWFTGSTWATLFYGYGNIACLYLLNIISKEFFLAATGGLILWIGTTFWRDSVVHGYSSPLASIRDLIGS >Vigun11g208900.1.v1.2 pep primary_assembly:ASM411807v1:11:40472165:40476106:-1 gene:Vigun11g208900.v1.2 transcript:Vigun11g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLSTPFAPPHPRHRNRFQQHSHSTDLPQFKHLNLTRSSFLLTVSPSSHFRLFAFPPRRSNFAVQALDSDAPNQGSVSVGRSQSYQQWDSLTSKFSAAANIPFLLLQMPQIILNARNLLSGNKTALSAVPWLGMLTSLLGNLSLLSYFAKKREKEAMLVQTLGVVSTYVVLVQLALAETMPLPYFLATSVVVISGLVLNFLNYFGLLNAELWRFWEDFITIGGLSVLPQIMWSTFVPYLPNSILPGATSFVIALLAVTLARTGKLSEKGVKFVGGISGWTATLLFMWMPVSQLWTNYLNPENMKGLSAFSMLLAMLGNGLMLPRALLIRDFMWFTGSTWATLFYGYGNIACLYLLNIISKEFFLAATGGLILWIGTTFWRDSVVHGYSSPLASIRDLIGS >Vigun03g273500.1.v1.2 pep primary_assembly:ASM411807v1:3:44913408:44915704:1 gene:Vigun03g273500.v1.2 transcript:Vigun03g273500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAQQMKRPFGIPEKPEFTVGLDEALKKLKMNVLSEGVSVMVLTGVGGSGKTTLATMICWDKQVIGKFKENILFVTFSKAPKLKIIVERLSEHLGYQVPELQSDGDAIDQLVLLLRKINANPMLLVLDDVWPGSETVVEKLKFQISDYKIVVTSRVALPRLGTSLVLKPLVPEDATTLFRNHAFLERESSNIPDEDHVQKVLVPSLIDMCYTREDLKVERICIPSSFVTLKNLKKLSLYLCDTREAFENSNMPISDAFPNLEELNIEYSKDMVGLPKGLCNITSLKMLSISSCHKLSSLPQEIGNLENLKVLRLNSCTDLEEIPNSVGRLSNLRDMDISNCINLPNLPEEFGNLCNLRILCMRSCARCELPSSIINLKNLKEVVCDEETAFSWEPFKPMLPNLKIDVPPLDVNLNWLHAIHS >Vigun10g135270.1.v1.2 pep primary_assembly:ASM411807v1:10:34661358:34667525:1 gene:Vigun10g135270.v1.2 transcript:Vigun10g135270.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCATQYSMTFPVKELKLFKLSNLKNVWNKDPTGVISMQHLQEVFVDGCPCLISVFPSSVAKELEELQNLVVKDCDTLMTIVAEDPSRTIAKDLVELEKLTVKDCKELITIVAEETKVEVTSPCPRVRSLELRDLPEFKYFYYCSLKSDIYKHLESHTESLSLGRKGIEMILNGEFQTNLLHNLKVLTLYFGSESNVFPHEILKQVPDVEKLVVRDGSFTEIFCCQSCNDVDYSGLLLQLKVLHLESLPKLVFIVSENSSNEPFLRNLQTLQVISCSSLVNLELCRVSFSHLTYLKVEDCDSLTYLFTSSTAKNLGQIKTVGIKNCKSMEEIVSKDGGEESNDDEITFPHLSCMNLENLWNLRRFYKGSLSFTCLEKLSVINCHSMETLCPSPSTLKTEKSSQVMVGMSEAIPLKTDLNSTIRSAFRRKISELQRLVLKNRPGLQEIWHGSLYIPDFSKLAMLIVDGCQFLSDTVLPFHLLPFLPKLETLEVRNCNSMKTIFDVKCTPKDTSITFPLNKLILSNLPKLKNVWNEDPIGILSMPNLQEVFVDNCRSLKSIFPTSVAEHLVKLENLKVKNCERLITIVAQEHDKDQEIIFKRLKLLDLQNLDELTCFYPGNFTLSFRSLDEVHVINCSSMKIFSEVNKIDHSIKWYVAGNLEPRQESDL >Vigun07g192700.1.v1.2 pep primary_assembly:ASM411807v1:7:31173012:31175522:-1 gene:Vigun07g192700.v1.2 transcript:Vigun07g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTEEPKQSPPNLFSLIPKIHFQFPFLPHLLQPQPTPSPPSPQSNSQDEPPKLTRNVVTFPKTQATVVSTTPLQAEIDAANSPAARTTNPFLLWQIYALGTIAISTWVWARWNERKGRGGSPNDERRHSDDGNH >Vigun09g240900.1.v1.2 pep primary_assembly:ASM411807v1:9:41072494:41082472:-1 gene:Vigun09g240900.v1.2 transcript:Vigun09g240900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESHIPRSLIHRIYAPLANEFHFAPPVSSSRTNELELVRGVLRMLQGFSGPLFSWDKSANSFRAKSGVYVSHLSQKSLHSLLNQFIHAATCLQSVAITLDKVESAVPKSPPTLNAFASSASACLQRLRNLALKEETSMSNADGITTPTLLGLTNSLSSLCSGAEFLFQVVHEAIPAVYFEYGVSVPAAELTVHVLDYLHKKLDEMCLVQGGEEEANQMVLYMYVGSLLPYIESLDSWLFEGILDDPFGEMFFFTNKAVSVDEAEFWERSYLLRIQQRGKLGSEFSSTNYGNGSTPASDDKEMDRRDSISLSATIKGKESSIRDRPACPFFITDLAKSIISAGKSLQLMRYVPNPSASCSKESNYEVGSAKCLNYGLYPAQRMTGLTLPEVFSVSLVGLLGHGDHVCKYFWQENWHDIVTVSSHASYVNEEKIDNDNNERLIVPPYSEKTWYKFLIDTLFQKRSADLKLKYEHINNDASELRGAKVIEDEVLLLGSYMENPVITVCRENLRKNGNALKALNLSRKFSLPSLNDESLRRAIFGAESTGFSDSEGTNYTFGFHFGESEYLRSQDDRKLLEMLFPFPTILPSFQDDVPVSELLPFQRNSSLISRVLRWMQNVDLRITPLPLVIMQYCLTVYIQKQVDYIGVNMLSKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGEAWDDDFELNTTLQESIRNSSDCMLLSAPDSLVVTITKNSVGNDEQASTAGAALSAPRQSHVNSFGINGLDMLKFTYKVPWPLELIANTEAVKKYNQVMRFLLKVKRAKFVLDKVRRWMWKGRGSATNYRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMSAAKSLDEVIEVHETYMLSIQRQCFVVPDKLGALIASRINSILGLALDFYNIQQTLSGGGAVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSANGNLMTASSSGSVTSRLGKPPG >Vigun09g240900.2.v1.2 pep primary_assembly:ASM411807v1:9:41072494:41082472:-1 gene:Vigun09g240900.v1.2 transcript:Vigun09g240900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESHIPRSLIHRIYAPLANEFHFAPPVSSSRTNELELVRGVLRMLQGFSGPLFSWDKSANSFRAKSGVYVSHLSQKSLHSLLNQFIHAATCLQSVAITLDKVESAVPKSPPTLNAFASSASACLQRLRNLALKEETSMSNADGITTPTLLGLTNSLSSLCSGAEFLFQVVHEAIPAVYFEYGVSVPAAELTVHVLDYLHKKLDEMCLVQGGEEEANQMVLYMYVGSLLPYIESLDSWLFEGILDDPFGEMFFFTNKAVSVDEAEFWERSYLLRIQQRGKLGSEFSSTNYGNGSTPASDDKEMDRRDSISLSATIKGKESSIRDRPACPFFITDLAKSIISAGKSLQLMRYVPNPSASCSKESNYEVGSAKCLNYGLYPAQRMTGLTLPEVFSVSLVGLLGHGDHVCKYFWQENWHDIVTVSSHASYVNEEKIDNDNNERLIVPPYSEKTWYKFLIDTLFQKRSADLKLKYEHINNDASELRGAKVIEDEVLLLGSYMENPVITVCRENLRKNGNALKALNLSRKFSLPSLNDESLRRAIFGAESTGFSDSEGTNYTFGFHFGESEYLRSQDDRKLLEMLFPFPTILPSFQDDVPVSELLPFQRNSSLISRVLRWMQNVDLRITPLPLVIMQYCLTVYIQKQVDYIGVNMLSKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGEAWDDDFELNTTLQESIRNSSDCMLLSAPDSLVVTITKNSVGNDEQASTAGAALSAPRQSHVNSFGINGLDMLKFTYKVPWPLELIANTEAVKKYNQVMRFLLKVKRAKFVLDKVRRWMWKGRGSATNYRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMSAAKSLDEVIEVHETYMLSIQRQCFVVPDKLGALIASRINSILGLALDFYNIQQTLSGGGAVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSANGNLMTASSSGSVTSRLGKPPG >Vigun09g240900.3.v1.2 pep primary_assembly:ASM411807v1:9:41072494:41082472:-1 gene:Vigun09g240900.v1.2 transcript:Vigun09g240900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESHIPRSLIHRIYAPLANEFHFAPPVSSSRTNELELVRGVLRMLQGFSGPLFSWDKSANSFRAKSGVYVSHLSQKSLHSLLNQFIHAATCLQSVAITLDKVESAVPKSPPTLNAFASSASACLQRLRNLALKEETSMSNADGITTPTLLGLTNSLSSLCSGAEFLFQVVHEAIPAVYFEYGVSVPAAELTVHVLDYLHKKLDEMCLVQGGEEEANQMVLYMYVGSLLPYIESLDSWLFEGILDDPFGEMFFFTNKAVSVDEAEFWERSYLLRIQQRGKLGSEFSSTNYGNGSTPASDDKEMDRRDSISLSATIKGKESSIRDRPACPFFITDLAKSIISAGKSLQLMRYVPNPSASCSKESNYEVGSAKCLNYGLYPAQRMTGLTLPEVFSVSLVGLLGHGDHVCKYFWQENWHDIVTVSSHASYVNEEKIDNDNNERLIVPPYSEKTWYKFLIDTLFQKRSADLKLKYEHINNDASELRGAKVIEDEVLLLGSYMENPVITVCRENLRKNGNALKALNLSRKFSLPSLNDESLRRAIFGAESTGFSDSEGTNYTFGFHFGESEYLRSQDDRKLLEMLFPFPTILPSFQDDVPVSELLPFQRNSSLISRVLRWMQNVDLRITPLPLVIMQYCLTVYIQKQVDYIGVNMLSKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGEAWDDDFELNTTLQESIRNSSDCMLLSAPDSLVVTITKNSVGNDEQASTAGAALSAPRQSHVNSFGINGLDMLKFTYKVPWPLELIANTEAVKKYNQVMRFLLKVKRAKFVLDKVRRWMWKGRGSATNYRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMSAAKSLDEVIEVHETYMLSIQRQCFVVPDKLGALIASRINSILGLALDFYNIQQTLSGGGAVSAIKARCEMEVDRIEKQFDDCIAFLLRVFF >Vigun09g078600.2.v1.2 pep primary_assembly:ASM411807v1:9:9136853:9138377:1 gene:Vigun09g078600.v1.2 transcript:Vigun09g078600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FSFPFFFFFPFSRFKIYSELWRCSRGKGCNESFFLPYDENGDEDTDEYFHQPEKKRRLSVEQVQFLEKSFDEENKLEPERKIRLAKELGLQPRQVAIWFQNRRARWKTKRMEKDYDSLQACYNDLKASYDDLLREKDKLQAEVTKLSEKVLGREELEEGKFYRVETKGFEEPLQKSMIDSTSEGEGSKVHISSAKSDMFDSSESPRYTDGVHSALLETGDSSYVFEPDQSDVSQDEGDNLSKTLLPHYMFPKLEDVGYSDQSHNSCHFGIPEEDQAIWSWSY >Vigun10g190800.1.v1.2 pep primary_assembly:ASM411807v1:10:40526949:40528267:-1 gene:Vigun10g190800.v1.2 transcript:Vigun10g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREELVVQEVYVSNEERNLTVLKTSLFFNGDGFAVYDSKGQLVFRFDSYGPRARDKDELVLMDPNGRSLLTLRRKKPSLHQRWEGFRGERREGDIAVFSVKRSSIIGRSRTSVAVEVYDRDGVEYLIEGCFRQRWCKVLNAAKEVVAEIRRKVDPTTSVMLGKEVFWLCVKPGFDAAFAMGLVLVLDEMNGENYFVDRTEDLVVHSAAEDPGLVSPLPV >Vigun01g040500.1.v1.2 pep primary_assembly:ASM411807v1:1:5610484:5630135:1 gene:Vigun01g040500.v1.2 transcript:Vigun01g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDKRQLSISLGLYSVWGLKVQPFPICIKFNTQQHRLKEEMAGKSSKGRNKKGSQHISSTSEPAVHSDLPVKNNDEGTLESAKADMAEAAAIGDSIGVNPELKEHETATSEGSQQKQGDLQLYPVSVKTQTGEKLELQLNPGDSVMDVRQFLLDAPETCFITCYDLLLHTKDASTHHLEDYNEISEVVDITTGGCSLEMVPAFYDDRSIRAHVHRTRELLSLSNLHASLSTSLALQNEVAQNKSANSTDALKPEVPELDGLGYMEDISGSLGNLLSSPLKDIKCVESIVFSSFNPPPSYRRLLGDLIYLDVITLEGNKFCITGSTKMFYVNSSSANTLDPRQSKATFEATNLVALLQKISPKFKKAFREVLEGRAAAHPFENVQSLLPPNSWLGLYPVPDHRRDAARAENALTLLYGNEPIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAINGAIGVISGCIPPINPTDPECFHMYVHNNIFFSFAIDADLEKLSKKCVDSNSKTWSSATLQSSSDKASIPLHGETQVPNGGKDTGSSSDDLNGTETTQDASPEAQLAENEQATYASANNDLKGTKAYQEADVAGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHLVLDGSGNVFKLAAPVECKGIVGGDDRHYLLDLLRVTPRDANYTGPGSRFCILRPELITAFCQAQAAEALKPTKVNSQEADNLATDSGKATDSDQMVNDSQDAGDADQLVNDSLKAADADTLVNDSQNVADAYKPDSTNENKTEDVKEFASVTAKASDGSEDIVFNPNVFTEFKLAGSPEEIAADEDNVRKVGQYLIDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVAGGTKHLPHLWDLCNNEIVVRSAKHIIKDLLRETEDHDLAPAVSHFLNCLFGSCQAPSGKATTNSTQSKTPKKEHAGQRSPGKHSKGQARWKGRSSLRKTQPLYMSVSSEALWSDIQEFASVKYKFELPEDARSRVKKISVIRNLCLKVGITVAARKYDLSSATPFQTSDVLDIRPVVKHSVPSCSEAKELVETGKLQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHERKTYDILVKQLGEDDSRTRDSQNWMNTFRMRELQMNAQKQKGQALNAASAQKAIDILKAHPDLIHAFQAAAVAGGSGSSSASANKSLNAAIMGEALPRGRGIDERAARAAAEVRKKAAARGLLVRPHGVPVQALPPLTQLLNIINSGATPDTVDNGNADGAKEETNGIPPSDSTDVNKGQTVPVQEQAPVGLGKGLSSLDSKKQKAKPKAGA >Vigun01g040500.2.v1.2 pep primary_assembly:ASM411807v1:1:5610484:5630135:1 gene:Vigun01g040500.v1.2 transcript:Vigun01g040500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSKGRNKKGSQHISSTSEPAVHSDLPVKNNDEGTLESAKADMAEAAAIGDSIGVNPELKEHETATSEGSQQKQGDLQLYPVSVKTQTGEKLELQLNPGDSVMDVRQFLLDAPETCFITCYDLLLHTKDASTHHLEDYNEISEVVDITTGGCSLEMVPAFYDDRSIRAHVHRTRELLSLSNLHASLSTSLALQNEVAQNKSANSTDALKPEVPELDGLGYMEDISGSLGNLLSSPLKDIKCVESIVFSSFNPPPSYRRLLGDLIYLDVITLEGNKFCITGSTKMFYVNSSSANTLDPRQSKATFEATNLVALLQKISPKFKKAFREVLEGRAAAHPFENVQSLLPPNSWLGLYPVPDHRRDAARAENALTLLYGNEPIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAINGAIGVISGCIPPINPTDPECFHMYVHNNIFFSFAIDADLEKLSKKCVDSNSKTWSSATLQSSSDKASIPLHGETQVPNGGKDTGSSSDDLNGTETTQDASPEAQLAENEQATYASANNDLKGTKAYQEADVAGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHLVLDGSGNVFKLAAPVECKGIVGGDDRHYLLDLLRVTPRDANYTGPGSRFCILRPELITAFCQAQAAEALKPTKVNSQEADNLATDSGKATDSDQMVNDSQDAGDADQLVNDSLKAADADTLVNDSQNVADAYKPDSTNENKTEDVKEFASVTAKASDGSEDIVFNPNVFTEFKLAGSPEEIAADEDNVRKVGQYLIDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVAGGTKHLPHLWDLCNNEIVVRSAKHIIKDLLRETEDHDLAPAVSHFLNCLFGSCQAPSGKATTNSTQSKTPKKEHAGQRSPGKHSKGQARWKGRSSLRKTQPLYMSVSSEALWSDIQEFASVKYKFELPEDARSRVKKISVIRNLCLKVGITVAARKYDLSSATPFQTSDVLDIRPVVKHSVPSCSEAKELVETGKLQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHERKTYDILVKQLGEDDSRTRDSQNWMNTFRMRELQMNAQKQKGQALNAASAQKAIDILKAHPDLIHAFQAAAVAGGSGSSSASANKSLNAAIMGEALPRGRGIDERAARAAAEVRKKAAARGLLVRPHGVPVQALPPLTQLLNIINSGATPDTVDNGNADGAKEETNGIPPSDSTDVNKGQTVPVQEQAPVGLGKGLSSLDSKKQKAKPKAGA >Vigun01g040500.3.v1.2 pep primary_assembly:ASM411807v1:1:5612142:5630135:1 gene:Vigun01g040500.v1.2 transcript:Vigun01g040500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSKGRNKKGSQHISSTSEPAVHSDLPVKNNDEGTLESAKADMAEAAAIGDSIGVNPELKEHETATSEGSQQKQGDLQLYPVSVKTQTGEKLELQLNPGDSVMDVRQFLLDAPETCFITCYDLLLHTKDASTHHLEDYNEISEVVDITTGGCSLEMVPAFYDDRSIRAHVHRTRELLSLSNLHASLSTSLALQNEVAQNKSANSTDALKPEVPELDGLGYMEDISGSLGNLLSSPLKDIKCVESIVFSSFNPPPSYRRLLGDLIYLDVITLEGNKFCITGSTKMFYVNSSSANTLDPRQSKATFEATNLVALLQKISPKFKKAFREVLEGRAAAHPFENVQSLLPPNSWLGLYPVPDHRRDAARAENALTLLYGNEPIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAINGAIGVISGCIPPINPTDPECFHMYVHNNIFFSFAIDADLEKLSKKCVDSNSKTWSSATLQSSSDKASIPLHGETQVPNGGKDTGSSSDDLNGTETTQDASPEAQLAENEQATYASANNDLKGTKAYQEADVAGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVSEAAKRLHLKEHLVLDGSGNVFKLAAPVECKGIVGGDDRHYLLDLLRVTPRDANYTGPGSRFCILRPELITAFCQAQAAEALKPTKVNSQEADNLATDSGKATDSDQMVNDSQDAGDADQLVNDSLKAADADTLVNDSQNVADAYKPDSTNENKTEDVKEFASVTAKASDGSEDIVFNPNVFTEFKLAGSPEEIAADEDNVRKVGQYLIDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVAGGTKHLPHLWDLCNNEIVVRSAKHIIKDLLRETEDHDLAPAVSHFLNCLFGSCQAPSGKATTNSTQSKTPKKEHAGQRSPGKHSKGQARWKGRSSLRKTQPLYMSVSSEALWSDIQEFASVKYKFELPEDARSRVKKISVIRNLCLKVGITVAARKYDLSSATPFQTSDVLDIRPVVKHSVPSCSEAKELVETGKLQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHERKTYDILVKQLGEDDSRTRDSQNWMNTFRMRELQMNAQKQKGQALNAASAQKAIDILKAHPDLIHAFQAAAVAGGSGSSSASANKSLNAAIMGEALPRGRGIDERAARAAAEVRKKAAARGLLVRPHGVPVQALPPLTQLLNIINSGATPDTVDNGNADGAKEETNGIPPSDSTDVNKGQTVPVQEQAPVGLGKGLSSLDSKKQKAKPKAGA >Vigun04g058200.1.v1.2 pep primary_assembly:ASM411807v1:4:5780685:5784018:1 gene:Vigun04g058200.v1.2 transcript:Vigun04g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASNNSNQSTTATPSKKKNSENTENLNPNVPHRGLSSKSPALKPFKPNNTNPVVQSPQNRIRQRKFVVAKKNNRKGSRNDAVSCKCKHNGGAKCVCVAYQTLRASQEEFFLKERKDFGEEEEEEEEAKDEKGYDFETEGTKSETVEDEEKEDGGSTVKRRRERDRLLEEARNSVPENGFGKVMHLVKAFERLLTIPKNSKEKDHTEDQDQDHDLGEKRDKNKVMKWALPGLQFEQQALEATSGSGSSSFCYNSDLVLTSENLGLDQGISVSSSWDSSRASVSSRTSGGRRSRRNSSESSGTFGGKRWKRKERVRVTRQQPFKLRTEQRGKLKEEEFMKKIQEMTAEEERMRVPIAQGLPWTTDEPECLLKPAVKESTKPVDPKLHSDIRAIDRAEFDQQVAEKMSFIEQLKLERERLQKLAEEEEIKRLRKELIPKAQPMPYFDRPFVPRRSMKHPTIPREPKLHLPQVQHKKIKCLLSWNDMNPYSSYLN >Vigun04g058200.2.v1.2 pep primary_assembly:ASM411807v1:4:5780685:5784018:1 gene:Vigun04g058200.v1.2 transcript:Vigun04g058200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASNNSNQSTTATPSKKKNSENTENLNPNVPHRGLSSKSPALKPFKPNNTNPVVQSPQNRIRQRKFVVAKKNNRKGSRNDAVSCKCKHNGGAKCVCVAYQTLRASQEEFFLKERKDFGEEEEEEEEAKDEKGYDFETEGTKSETVEDEEKEDGGSTVKRRRERDRLLEEARNSVPENGFGKVMHLVKAFERLLTIPKNSKEKDHTEDQDQDHDLGEKRDKNKGISVSSSWDSSRASVSSRTSGGRRSRRNSSESSGTFGGKRWKRKERVRVTRQQPFKLRTEQRGKLKEEEFMKKIQEMTAEEERMRVPIAQGLPWTTDEPECLLKPAVKESTKPVDPKLHSDIRAIDRAEFDQQVAEKMSFIEQLKLERERLQKLAEEEEIKRLRKELIPKAQPMPYFDRPFVPRRSMKHPTIPREPKLHLPQVQHKKIKCLLSWNDMNPYSSYLN >Vigun09g200700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37495165:37496881:-1 gene:Vigun09g200700.v1.2 transcript:Vigun09g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLYNVRSDDPYAPTNPMTPLPLQLPSSITHQPQLNHSHFSLSSLLSSLLFISSPSLSLAHKNIMVYFEGEKEHKDLIPGLPNEIAELCLLHVPYPYQALSRSVSSTWNRAITHPSFIFSKKTLSHPNLFVLAFHSQTGKLQWQALDPSSARWFLLPQMPSPEEDDACPAPLACAALPRQGELFVVNGRETLAYRAATNQWSVTGGPGRRELVAAVGVEGRIVAVGRGGTGVYDPESDTWQEGSELGGELERYEVVAAAGRVYVTEGWWWPFMFRPRGWVYEVERDTWQEMRVGMREGWSGVGVAVGERVFMIAEYGDSPVKVYDEEHDTWYPVGGGRFPREVMKRPFCATGLDDRIYVASRGLNVAIGIVETVQDVKGCSADVSVTWQVVEAPRAFREFSPCSCQVLYA >Vigun05g207100.2.v1.2 pep primary_assembly:ASM411807v1:5:39651929:39653349:1 gene:Vigun05g207100.v1.2 transcript:Vigun05g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQVLKLFDTFWFQTTIFTNKTSSTFHATADTTSLSLVKEALPFDSNLLRVPTLQVRSLSDQNLGSSMGVFSDFPSPNSVLTPPKLMPILSGKEVGYFPLEKGNGKHEDERVVTAKKVCHSDRRRRRRSFRKGKATRSLSDLEFKELKGFMDLGFVFSEEDKDSRLVSLIPGLQRLGREDAEEVSSEHNIDETVICRPYLSEAWGVLDQKKYVNPLLNWRVPVVGNEIDMKDNLRFWAHTVASSFSSICLTNEAYDRKRRYMNGPFFHLVWSITLPPENFGLFFVLKCIETLLMFLQLFVFSFLDN >Vigun05g101000.1.v1.2 pep primary_assembly:ASM411807v1:5:9975076:9977662:-1 gene:Vigun05g101000.v1.2 transcript:Vigun05g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDRSAKDEDIKKAYRSLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLNGVPPGGGGFPGGSDGGPTTFRFNPRNADDIFSEFFGFTSPLGRGGMGDMGGRGGFSRGGPFGEDIFAQFRNAAGEGSGLVPRKGAPIERTLHCSLEDLYKGTTKKMKISRDVNDSSGRPSTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHGMFKRDGNDLVLTQKISLVEALTGYTAQVTTLDGRNLTIPVTNTISPTYEEVVKGEGMPIPKEPSRKGNLRIKFNIKFPSRLTSEQKSGLKRFLTSP >Vigun05g157950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25559019:25559297:1 gene:Vigun05g157950.v1.2 transcript:Vigun05g157950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFFFFSSLIIEFIDELPILNCIFQVGFFIFFFLSKIEIREVLYKHMYKKNEHISFSFYMPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun03g241800.1.v1.2 pep primary_assembly:ASM411807v1:3:40425756:40427269:-1 gene:Vigun03g241800.v1.2 transcript:Vigun03g241800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPKSQYSLCFALSLLGIINFFIFSEATTLQLIHHRLRNALHHSVDGVNFFKHANRSHGQTKASMQTNGATYYMKYSIGTPPFEVVGYADTGSDLIWTQCQPCIRCYKQNTPIFDPRKSKSYHTLPCNSSFCSSKNVESPRCDGNENPITSSKIVFGCAHDSAGTFPPLSLLSQLGIRKLSYCLAPNVGNNTSKIVLGEDAVVSGAEVVRTPLATNSFVYRITLEALSVGDQKRIEFEKQEDVTEGNVRIDSGTTFTFLPPALYNGLVSALDKTIRHPKVADPHGVLKHCYEIGEPDKIVFPFITAHFKGGDVKLKAINTFYWVADHVVCLSMLPGEEGFFGNIAQLNFWVGYDLQAGTVSFKPADCSL >Vigun02g023200.1.v1.2 pep primary_assembly:ASM411807v1:2:7794626:7795260:-1 gene:Vigun02g023200.v1.2 transcript:Vigun02g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVCSVSKPTVGSGNIPQITDSDSESLPTKRFSRRNRVPNEPPKPSVMQMQRIVGAGSFRDTEPLPLPGSDMRKTVMDLFLGQAMEGKVQKKMRETGEWVADNAEAKITSSRKGILLFMVQWMLPIWTILFLIAFGAIKLPFSSPFLDDLLM >Vigun04g127900.2.v1.2 pep primary_assembly:ASM411807v1:4:32194702:32200774:-1 gene:Vigun04g127900.v1.2 transcript:Vigun04g127900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRKRKRKGIDYNAEIPFEKRPPPGFFDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAPSAILHANKLNDPETVRKRSKLMLPPPQISDQELDEIAKLGYASDLAGSQELAEGSGATRALLANYAQTPGQGVTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKKDIQTPNPMLTPSSTPGGAGLTPRIGMTPTRDGFSFSMTPKGTPLRDELRINEDMNMHDSTKRELQRQADMRRSLRSGLGSLPQPKNEYQIVMEPVSEDAEEPEEKIEEDMSDRIAREKAEEEARQQALLRKRSKVLQRELPRPPAASLELIRNSLIRTDGDKSSFVPPTSIEQADEMIRKELLTLLEHDNAKYPLDDKVNREKKKGVKRSADVSAVPVIEDFEEDEMKDADKLIKEEVQYLCAAMGHENESLDEFIDAHRTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQNEFENVRNKLDDDKEKMVRLEKKVTVITQGYEMRAKKSIWPQIEATFKQMDIAATELECFKALQKQEQLAASHRINNLWSEVQKQKELEKTLQNRYGSLIEELEKMQNVMNQCRLKAEQQKEIEANNAHAEANETKVNETDVHDTGSVVPHSADDGNAQAITVESSHDGTADQQVEVVQDQSTSSPSHDMNVDSDKIHTIHDSDVKLANASPAAENVVEKVEGSSPADGYTGNGENMSEVGAPMEINSPNEDVVANAVNTRESSMEETNAVTEETD >Vigun04g127900.1.v1.2 pep primary_assembly:ASM411807v1:4:32194702:32200774:-1 gene:Vigun04g127900.v1.2 transcript:Vigun04g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRKRKRKGIDYNAEIPFEKRPPPGFFDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAPSAILHANKLNDPETVRKRSKLMLPPPQISDQELDEIAKLGYASDLAGSQELAEGSGATRALLANYAQTPGQGVTPLRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKKDIQTPNPMLTPSSTPGGAGLTPRIGMTPTRDGFSFSMTPKGTPLRDELRINEDMNMHDSTKRELQRQADMRRSLRSGLGSLPQPKNEYQIVMEPVSEDAEEPEEKIEEDMSDRIAREKAEEEARQQALLRKRSKVLQRELPRPPAASLELIRNSLIRTDGDKSSFVPPTSIEQADEMIRKELLTLLEHDNAKYPLDDKVNREKKKGVKRSADVSAVPVIEDFEEDEMKDADKLIKEEVQYLCAAMGHENESLDEFIDAHRTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQNEFENVRNKLDDDKEKMVRLEKKVTVITQGYEMRAKKSIWPQIEATFKQMDIAATELECFKALQKQEQLAASHRINNLWSEVQKQKELEKTLQNRYGSLIEELEKMQNVMNQCRLKAEQQKEIEANNAHAEANETKVNETDVHDTGSVVPHSADDGNAQAITVESSHDGTADQQVEVVQDQSTSSPSHDMNVDSDKIHTIHDSDVKLANASPAAENVVEKVEGSSPADGYTGNGENMSEVGAPMEINSPNEDVVANAVNTRESSMEETNAVTEETD >Vigun04g097201.1.v1.2 pep primary_assembly:ASM411807v1:4:20866937:20877187:1 gene:Vigun04g097201.v1.2 transcript:Vigun04g097201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFAVVDMSSGCNKDIPEPSLPIVKKGRKGTMKGRRPKYVLILPSQKTHILESPIDQQNPSTTANVVPIPSQPSIAESTPSQPSIDQPIPSQPPIVQPVQPHVNEPTLSSMASQCVGARLTSLSSPSQQNDTPTLIPTRSIHNVLQEDQHMSTEEAGEPSINEDNDPHVGAMLEIIEPCNDGFYLSRVASKAITKTIKQQYVEPWLTWGAMLDEEKEIFFQRFKRKVTWRPEHEEKIRKIFNTKASHRLSEIFKEARKTSLDV >Vigun05g022500.2.v1.2 pep primary_assembly:ASM411807v1:5:1899258:1903480:-1 gene:Vigun05g022500.v1.2 transcript:Vigun05g022500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PFSSTSFTSSGSACNPSSFHISLSSTIFSTTTNTNSAPSFTTRFSSSITTSISNATSCNTSPSPPATTTAPPPPSPPVLSPPSSPTVSPPPQSPLSTIPPPSQPISPSPPPPANVPRPPSTGTPPQKESPPKTTPSHAPPPSVSQSPPKPPPSDVPPPSTLPSTPPSVPSGSSPPASLPDPPTNETAVGGPPVPLPSLPTEKPTARPTNDGSNDIGTNNTPSHSGVLSAGGSVAIGIVVGFIVLSFLVMAVWFVQKKKKKEKGSRGGYAAPSPFTSSHNSGTLFLRPQSPANFVAGSASGSDFVYSPSEPGGVSSSRSWFTYEELIQATNGFSAQNLLGEGGFGCVYKGLLVDGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNNTLHYHLHEENRPVLDWPTRIKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDLNYEARVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTEKSDVYSYGVVLLELITGRKPVDASQPIGDESLVEWARPLLSEALEKEDFEILVDPRLGKNYDRNEMFRMIEAAASCVRHSSVKRPRMSQVVRALDSLDEFTDLNNGMKPGQSSVFDSAQQSAQIRMFRRMAFGSQDSSSFFNESQSSWRSRDQDSTTMFSQNKTGPWNV >Vigun08g089300.1.v1.2 pep primary_assembly:ASM411807v1:8:20469643:20471988:-1 gene:Vigun08g089300.v1.2 transcript:Vigun08g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGSLLKQLSVKEAWKSTSSRWGGKEKGCEASLSQMEGFSMYGNEDSGMVGKKRVMVVVDHTSHSKHAMMWALTHVANKGDFLTLLLVLPPHKPSQSSSSAFLVNHLASLCKDCKPEVEVEALVIQGPKLATVMSQVKKLEVSILVLGQKKPSPLLSCLCGNNSISSSEEFAEYCINNAECLAIGVRKRSQGNNGYLISTRWQKNFWLLA >Vigun08g089300.3.v1.2 pep primary_assembly:ASM411807v1:8:20469643:20471988:-1 gene:Vigun08g089300.v1.2 transcript:Vigun08g089300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGSLLKQLSVKEAWKSTSSRWGGKEKGCEASLSQMEGFSMYGNEDSGMVGKKRVMVVVDHTSHSKHAMMWALTHVANKGDFLTLLLVLPPHKPSQSSSSAFLVNHLASLCKDCKPEVEVEALVIQGPKLATVMSQVKKLEVSILLVWKQQYKQLRGVC >Vigun08g089300.2.v1.2 pep primary_assembly:ASM411807v1:8:20469643:20471988:-1 gene:Vigun08g089300.v1.2 transcript:Vigun08g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGSLLKQLSVKEAWKSTSSRWGGKEKGCEASLSQMEGFSMYGNEDSGMVGKKRVMVVVDHTSHSKHAMMWALTHVANKGDFLTLLLVLPPHKPSQSSSSAFLVNHLASLCKDCKPEVEVEALVIQGPKLATVMSQVKKLEVSILVLGQKKPSPLLSCISSSEEFAEYCINNAECLAIGVRKRSQGNNGYLISTRWQKNFWLLA >Vigun07g264000.1.v1.2 pep primary_assembly:ASM411807v1:7:37965589:37969381:1 gene:Vigun07g264000.v1.2 transcript:Vigun07g264000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQYSAPQQPRPSGGHTAGRVGNLNSDRLCDKLCVSPKRDHKSENYEDLQLEFNPHVFGSLEQYLPPHLLNLSREVKLRYMRNILLRYFPENDRNRIQKLREYRLKIILNYPPLHREIYTMNAENFFTPSFLRAIKENTEASFRSIMAEPSTGIYTFEMLQPQFCKKLMSEVDNLERWVRGTKLRIMRPNAMNKHGVVLEDFGLETMLDRFMSDFIHPISRVFYSEFGGSTLDSHHSFVVEYGISKDVELGLHVDDAEVSLNVCLGKEFSGGELFFQGVRCEEHVLSKAEPGEIFDYTHVPGHAILHPGRQRHGARPTTSGNRMNLIIWCRSSAFRELKKYQRDFPSWCGECKRKKKERAQLSLMFTQQELLKREI >Vigun01g197200.1.v1.2 pep primary_assembly:ASM411807v1:1:37395131:37402026:1 gene:Vigun01g197200.v1.2 transcript:Vigun01g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLHKLYHRFTLLPFSPSSFPSNPSLIPLAPPLRLTLRLRTAVNFASMASLRLRNLAPLAAAPTEDATAAFSSSANAVTSVDYEDESTVGTKYRVPPPEISKIVDAPPVPALSFSPLRDKIIFLKRRALPPLTEIARPEEKLAGIRIDGKCNTRSRMSFYTGIGIHQILPDGTLGPEIEVHGFPDGAKINFVSWSPDGCHLSFSIRVNEEDSDSSKLTVWIADVKTGKARELFKSPNVHLNAVFDNYVWVNNSSLLVCTIPSSRGAPPKKPLVPAGPKIQSNEEKNIVQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGSAKEIGAPAVYTSMDPSPDDKYILISSIHRPYSFIVPCGRFPKKVELWSAEGKLIRVLCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVEISPRDIVYTQLAEPIEGEQPTIFHKLDFRYGGVSWCDDSLALVYESWYKTRKIRTWLVSPGSEDVAPDILFDRSSEDVYSDPGSPMLRRTQAGTYIIARIKRASDEGRYVILNGVGATPEGNIPFLDLFDINTRKTERIWQSDKEKYYETVVALMSDQEEGDLHLDKLKILTSKESKTENTQYYFVSWPDKKTVQVTNFPHPYPQLASLQKEMVRYQRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGSTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVADPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPHESHGYTARESIMHVLWETDRWLHKHCVSNSSDAEEDHETGTVKEHVSKGTTNAESKVVATSGGGNREASELEYEEFHSLPRSSL >Vigun09g079400.1.v1.2 pep primary_assembly:ASM411807v1:9:9357296:9360600:1 gene:Vigun09g079400.v1.2 transcript:Vigun09g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTNFKFYLNSKWVSTVASIWIQCTSGSLYTFSIYSQTLKSTQRYDQSTLDLVSVFKDVGVNAGVLSGLLYDFLARTTTTGPWIVHFLGSAQCFLGYFLMWAAVAGLFPPVPVPVMCLFMLVTAHGQSFFNTSNVVTGVHNFPHNSGTIVGIMKGFLGLSGAILIQVYKTLFNNNPISYLLMLALLPPINTLLLMWFVRIHNTRENEERKYLNIFSAMALVVAAYLMFVIILGNIFSLQSLVRIVILVVLVLLLASLLFIAFKAHEKSERSSENLLDERAQLIVEPEKVDASSDSSNNQRTSLQVGENLNLFQAVKTVNFWILFFSVACGMGSGLATVNNLGQIGESLGYTSHETGSLVSLWSIWNFLGRFGAGYVSDYYLHTRGWARPLFMVITLMVMSIGHVVIVSGLPGSLYAGSILVGICYGSQWSLMPTLTSEIFGVVNMGSIFNTISIASPVGSYIFSVRVVGYIYDREASGGNICIGTPCFMFSFLIMASATILGSLTALLLFFRTKDFYSQVILRRIQNIQ >Vigun06g016000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:7471553:7473690:-1 gene:Vigun06g016000.v1.2 transcript:Vigun06g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTVFLNTSFLLVICSFMIIHFHTPETEVVVVAKISTFGSSNEQECESLASLDDFKAKCLYLKSNDPCAPQGYIDYLYLFYCQIGGYPSLGYTLLFLWLLILFYLLANTTSQYFCPSLESLSKLLRLSPTIAGVTLLSLGNGACDVFSSLVSFQGSGTRSVGFNTVLGGVSFVSCVVVGTVSIAIRQKRVQIDKSAFVRDVYFLLLVLFTLFGILIFGKINVLGAVAFTMMYAVYVAIAYVSSTRWKEGVAGGGGGGDAAERGGVGCGNDLNLPLLIGVKKEAIDCAENDAGENGLNAEKYCCCTRYLMCRVPLYVLEVPLYLPRRLTIPVVSEERWSKVYAVFCVMLAPLLMSFLWGSTYNRNSFYSKNLIVYGIGVLVGTILGVTAYFTTKKSGPPKKFLFAWVAGGFVMSVTWSYIIAQELVGLLVSIGYICGISPSILGLTVMAWGNSIGDLMTNVTMALNGGPEGAQVAISGCYAGPIFNILIGLGFSLVTSTWSEYPQHVVIPRDPYLWETMVFLVLGLVWALFVLIKRDMKLDGLLGGGLLFVYFLSLFSRLIQTEGSLQ >Vigun01g128250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30609506:30610007:1 gene:Vigun01g128250.v1.2 transcript:Vigun01g128250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEKERRKKRKRKKEAEQVVNNTANMFGIMYLSLSLSLSVSLCVPHAYPPLFFLTTTHTSKYLNASFTSYTTTFSLLFYFFLISDYLINHHLLFIIFNH >Vigun07g066000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7729330:7732134:-1 gene:Vigun07g066000.v1.2 transcript:Vigun07g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAVNGRWLSGLLPVSRKSASDGKEVIGILAFEVAGLMSKVVSLWHSLSNREIMITKEWIVKSVGVKMLVSDDEDFLMELALSEILNNFESLAWSVARLSKRCKDPGYHGYEHFLHNPAQHYVHWSGWEYAWKKMERKVKKMDRFVAAMSLLSQELEVLAEREQTFRRMKANRQLHGGKLKLLEFHKRVMWQRQQVKTLRDMSPWNRSHDYVVRLLARSLFTILERIILVFGHSPIPMENQENESLTRSHSFSSLMHSSKTDSRGFSSQRFESNSKQGFEVNKTKNKSNRKKKKHQVVLHSESKQFKNIGPFIGCMSVENNSPVAKTCMTSNVKNIEDKKSLRCRRRIYFKLYMKDRLRAGECTLGFAALALHYANVIVLIEKMASAPHLIDHETRDDLYDMLPATIRSALRSKLKWYAKSTRASFHETGVAVEWSLVVSHILEWLAPLAHNMIKWYSERNFEREQSGCKANVLLVQTLYFADQAKAEAAMVELLVGLHYVCRIHGEARLRAARESAGSRVFSSDRLKKNELYNRCF >Vigun01g207900.1.v1.2 pep primary_assembly:ASM411807v1:1:38354048:38356934:1 gene:Vigun01g207900.v1.2 transcript:Vigun01g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVPLMLMIVVQLVYAVMNITSKLAIESGMSPLVLVAYRQLFATVSIAPFAYWLEWKTLPRLTKELMFRILLSSLTGVTGNQILYFVGLKFSTATIACALSNLLPAFTFILAVIFRQENLGIKQRSGLAKVFGTILCVSGALLLSFYHGKTIGLGQSSIHWRYAEKMEGTTTSGSGNMFVGPLLLIGSTLVWALWFVIQTDISKKFPAPYTSTGLMCFLASFQCMFIALCFDHSASAWSLHDAMRLSAALYAGIMATGLSYSLMSWAIERKGPLYVSVFTPLQLVLTAVLSWALLREKLYVGTAIGSLLIVLGLYAVLWGKSEEVSTEDGMKEAMKDPKNDTEMQYYVPSNGNRVST >Vigun08g155800.1.v1.2 pep primary_assembly:ASM411807v1:8:32839113:32841928:1 gene:Vigun08g155800.v1.2 transcript:Vigun08g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGSILGYKRSKSNQYPNTSLIQIENVNSKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun08g155800.2.v1.2 pep primary_assembly:ASM411807v1:8:32839113:32841928:1 gene:Vigun08g155800.v1.2 transcript:Vigun08g155800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRSKSNQYPNTSLIQIENVNSKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun07g108500.1.v1.2 pep primary_assembly:ASM411807v1:7:20107379:20109357:1 gene:Vigun07g108500.v1.2 transcript:Vigun07g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRSRAVTKPSLMGDHSSQPSPNQSYKRTIPSLFSPKFRDFSFKCLSGAEALRSPTSILDTRALTPFGNPLSHEKKIETFASSRVPSENRSSWDSKGIGLALVGALKDEPVLQSTAKPCSGSVLFGTKHRVKIPPLPPPPSTSESTKTCDVAGFAAKTKDSPLSVATGVMSLSEMELFEEYTCVISHGPNPRTTHIFDNCIVESYCSLPNNTLSPSLNFLSFCHTCKKHLRQTNDIFIYRGEKAFCSKECRQQEMVLDGAEISEFDEY >Vigun10g131400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34029770:34032663:1 gene:Vigun10g131400.v1.2 transcript:Vigun10g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKFHFKKQLRFPSTAYSFATQCTHSCSKLRVFMPFPDETYLRDPRTVHLFCANALKVSAKWALFPEGKQLHAHLIKLGFCHVLSLQNQILSVYLKCKETKDAQKLFEELPARNVVSWNILIRGILGCGSAIENAHLCFSYFKRMFLEMVVPDSTTLNGLIGVCVKFRDIDVGFQLHCFAVKLRLDLDCFVGSVLVDLYAKCGLVRSARMVFHAVPQRDLVMWNVMISCYAFNRSPEEALGMFNLMRSDGANGDEFTFSSVLTVCDTLDYYDFGRKLHGHILKQSFDSDVLVATALINMYAKNENITDAHKLFDRMAIRNVVAWNAIIVGCGNCGEGNEVMKLLKEMLHEGFSPDELTISSTISSCGYACAITETMLLHAFAVKSSFQEFVSVANSLISAYSKCGSITNACKCFRLAAEPDLVTWSSLISAYAFHGLAKEATETFEKMLSCGVIPDQISFLGVLSACSHSGLVAEGLHYFNLMTSVYQIVPESGHYTCLVDLLGRYGLINEAFEFLRSLPMEAESNTLGAFIGSCNVHANIGLAKWAAEKLFIIEPEKSVNYAVMSNIYASHRHWCDVERVRGMMGNKSDARVPGCSWIEIGNQVHSFVSNDKTHPKALEMYATLKMLLWPMKEKSGMNF >Vigun05g166400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27620389:27621777:-1 gene:Vigun05g166400.v1.2 transcript:Vigun05g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLYDELLQEIFQKLPPSSSSSSVALVCKRWLRLYRSSTTSLSLRLTPQSSLTVSSLSSFLSHHPFLSSFSLSLSPPFSLSPQILSLISSSCSNLLALSLDPTPLSLSSLIFISTSFPRLTSLCITLPRPLFLNWVLSFPCLKQLSISLSSDQGNPGGVNSDEESQDFDAELGLESLSVVGIRSDDWGLGWLWRRCTKLKRLKLQSCQGIGGPYSSFVRCLHGIHEIELRTCRSVVYGVLLELLEHCHSLDFLLVHDGGSREGLLQFLTQCRSNVCKFDLRLPLDLNNDHLLAMAANFNKLTSLRLQSCCLVTGQGLKVLAGACGGLEELALVNCDVIEREPGLLATLGQHLRQLRKLDLSHNEMLVDKEFVSMLVSCIRLIDLRVRGCKGLTSVAVVSMLRSCKHLQNLDVMHCFGIDSEGIELFVKNSSRLRRMEVEGSKLSDAAKTWASSKFIEVVV >Vigun10g087701.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25266250:25270259:-1 gene:Vigun10g087701.v1.2 transcript:Vigun10g087701.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLLVVKVVLADAEKRQITDSNVKEWLDLLNDVVYDVDDLLDEVSTKAATQKKVTNSFPHLFKRKKIVSISKLEDIVERLDDILKQKENLDLKEIPVENNQPSKPQSTSLEDRYDMYGRDKDKETIMKLVLEDSSDGEEVSVIPIVGMGGVGKTTLARSVYNDGKLKQIFDLKAWVCVSDIFDIVKVTKTMIEEIIQKPCKLSDLNSIQLDLLDKLRGKRFLIVLDDVWIEDCDNWSSLTKPFLGGIRGSKILVTTRNENVAAVVPFHSVNVYHLNRLSNEDCWLVFANHAFHPSGGTENRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHAIGDWNNVLKSDIWELPESQCKIIPALRISYNHLPPHLKRCFVYCSLYPKDYEFQKDELILLWMAEDLVKAPKTEKSIEEVGDEYFDDLVSRSFFQCSSHRTWGNYFVMHDLMHDLATFLGGEFYFRADELGKGTKINRKTRHLSFTRFSDPVSDIEVFETVKFSRTLLPINYKDYPFNNEKATRIIGSMLKYLRVLSFRDFRSVLALPDSIGELIHLRYLNLSYTSIASLPESLCNLYNLQTLKLYCCFKLTKLPGAMQNLENLRRLEILNTAIKEMPKGMGKLNQMQNLDFYIVGKHIENSIKELRGLPNLHGSFCIQKIENVTTGEEALEARIMDKKHIHDLSLEWSICNDNSTNFQIELDVLSNLQPHQDLKSLSISGYKGTRFPEWMENCSYYYMTVLSLNNCNNCCMLPSLGQLLSLKRLHISNMISVKTIDAGFYKKNDSSSVTPFPSLESLYIYNMPFWEMWVAFDSEAFLVLKDLYIQNCPKLKGDLPYHLPALQTLAIRNCELLVSSVPGASTLRTLEISESNKLAFHTFPLSVERIEIEGSPVVESMMEAITNIQPTCLRYLTLRDCSSSISFPGDRLPASLKTLQISGLKKLKFPMQQKHELLESLTINNSCDSLTSLPLDSFPNLIRLQITNCENMESLSVLGSDSFKSLSSFEIDRCPNFVSFLGGLSAPNLTRFIVYDCDKLKSLPDQICTLLPKMEYLSISNCQQIESFPEGGMPPNLRIVEINNCEKLLSGQQWVSKDIFTYLKVWGPCDGINSFPKEGLLPPSLTYLQLFGFSSLETLECKGLLHLTSLRELHIQSCKKLENITGERLPISLIKLSINRCPLLQKRCHRKDRGIWHKICHVRGINIDGRWIQ >Vigun10g087701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25266250:25270858:-1 gene:Vigun10g087701.v1.2 transcript:Vigun10g087701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFIEVVFDKLASPEVVNFIRWKKPDKLLQKMRSQLLVVKVVLADAEKRQITDSNVKEWLDLLNDVVYDVDDLLDEVSTKAATQKKVTNSFPHLFKRKKIVSISKLEDIVERLDDILKQKENLDLKEIPVENNQPSKPQSTSLEDRYDMYGRDKDKETIMKLVLEDSSDGEEVSVIPIVGMGGVGKTTLARSVYNDGKLKQIFDLKAWVCVSDIFDIVKVTKTMIEEIIQKPCKLSDLNSIQLDLLDKLRGKRFLIVLDDVWIEDCDNWSSLTKPFLGGIRGSKILVTTRNENVAAVVPFHSVNVYHLNRLSNEDCWLVFANHAFHPSGGTENRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHAIGDWNNVLKSDIWELPESQCKIIPALRISYNHLPPHLKRCFVYCSLYPKDYEFQKDELILLWMAEDLVKAPKTEKSIEEVGDEYFDDLVSRSFFQCSSHRTWGNYFVMHDLMHDLATFLGGEFYFRADELGKGTKINRKTRHLSFTRFSDPVSDIEVFETVKFSRTLLPINYKDYPFNNEKATRIIGSMLKYLRVLSFRDFRSVLALPDSIGELIHLRYLNLSYTSIASLPESLCNLYNLQTLKLYCCFKLTKLPGAMQNLENLRRLEILNTAIKEMPKGMGKLNQMQNLDFYIVGKHIENSIKELRGLPNLHGSFCIQKIENVTTGEEALEARIMDKKHIHDLSLEWSICNDNSTNFQIELDVLSNLQPHQDLKSLSISGYKGTRFPEWMENCSYYYMTVLSLNNCNNCCMLPSLGQLLSLKRLHISNMISVKTIDAGFYKKNDSSSVTPFPSLESLYIYNMPFWEMWVAFDSEAFLVLKDLYIQNCPKLKGDLPYHLPALQTLAIRNCELLVSSVPGASTLRTLEISESNKLAFHTFPLSVERIEIEGSPVVESMMEAITNIQPTCLRYLTLRDCSSSISFPGDRLPASLKTLQISGLKKLKFPMQQKHELLESLTINNSCDSLTSLPLDSFPNLIRLQITNCENMESLSVLGSDSFKSLSSFEIDRCPNFVSFLGGLSAPNLTRFIVYDCDKLKSLPDQICTLLPKMEYLSISNCQQIESFPEGGMPPNLRIVEINNCEKLLSGQQWVSKDIFTYLKVWGPCDGINSFPKEGLLPPSLTYLQLFGFSSLETLECKGLLHLTSLRELHIQSCKKLENITGERLPISLIKLSINRCPLLQKRCHRKDRGIWHKICHVRGINIDGRWIQ >Vigun11g037700.1.v1.2 pep primary_assembly:ASM411807v1:11:5161495:5164618:-1 gene:Vigun11g037700.v1.2 transcript:Vigun11g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRTNTQMSAILVLLLLGILSSITVTESIGVCYGQRGDSLPSKQEVVDLYKSNGIDKMRIYFPEPETLEALRGSDIEVIMDVARESLQFMTDQNASMDWVNTNIVPYAQDVNFRYIAVGNEIHSNDNESQYILPAMTNIHNAISSANLQGQIKVSTAIDTTLISYPYPPDNGLFNSPKYMEPIVDFLKSNGAPLLANIYPYLAYFEDPQDIPLEYALFTQRRKNSVGYQNLFDAMLDSIYAALEKKEASDVKIVVSESGWPSEGGDGASTQNAATYYANLISHAKSGIGTPKRPGGPIETYLFAMFDENNKRGYKFERHFGLFTPDKSPKYQLSFN >Vigun03g191500.1.v1.2 pep primary_assembly:ASM411807v1:3:26506588:26508125:1 gene:Vigun03g191500.v1.2 transcript:Vigun03g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCTEGSSYYNILGVSSDSSVEEIKRAYRKLAMQWHPDRWTKTPSLLGEAKHRFQQIQEAYSVLSDSKKRTMYDAGLYDPQEEEDEGFSDFLEEMLSLMAQARREKKHYDLKELQGMLMEIGKGFECSSMYCGVPSVIEESRCSKKTRLDTSTVENKGPHFQVPDLNLYCS >Vigun04g031400.1.v1.2 pep primary_assembly:ASM411807v1:4:2512507:2515536:1 gene:Vigun04g031400.v1.2 transcript:Vigun04g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELDSIPKTLMEDLLGLLRVRVKRGVNLAVRDVRSSDPYVVIKMFNQKLKTRVIKKDVNPEWNEDLTLSIIDPNHLVKLTVFDHDTFSKDDRMGDAEFEIFPFMEALKSNLSGIPSGTVIKRIQPSKENFLADESCITYVNGKVVQDMILRLQNVECGEVELQLQWIDLPAAKGV >Vigun06g197000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31245399:31246632:1 gene:Vigun06g197000.v1.2 transcript:Vigun06g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAIPYRTCIPAGGGRDQSHAGGTVESNGADPASVATVVSENAVVIIGRRDCCMCHVVKRLLQGLGVNPPVYEVHEDHEAAVARHLSPHTAETLQFPAVFLAGKLFGGLERVMATHISGELVPILKDAGALWL >Vigun11g225200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41555205:41559505:-1 gene:Vigun11g225200.v1.2 transcript:Vigun11g225200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLCGRGLNGAFDVLRTQILMMRPFPDVSKVFFFFLVLQDERRIGSSNNDEGQVFINAIESRKQFCRDKGFGFFREGKVKICSFYERIGHLVDCAMRSMIIQAI >Vigun05g016600.1.v1.2 pep primary_assembly:ASM411807v1:5:1345576:1346210:1 gene:Vigun05g016600.v1.2 transcript:Vigun05g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTIIIGFVYNLLQMGFSIFTVVSGNRVLSGDGGYLFEFFGDKIISYFLISGSSAGFGLTVELRRGAPSNSFTDKAHASASLLLIGFLFTAIASTFTSFALSKKTNS >Vigun06g065700.1.v1.2 pep primary_assembly:ASM411807v1:6:19405564:19408982:1 gene:Vigun06g065700.v1.2 transcript:Vigun06g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSEPSSTSPAWQDMFRSASSRHPSSAPAPHAPPETPHAPSPSSHAPSNPSSGGDPDGKNTFSGDAQVRLALYIAMAHAGLAFAIFILYTFSKLLEEYLRPLQWAVLCSIPLRGIQQTLVAFWSEPLQLGLTETVLAVPVAVFRVFVGTLVEIREASFRVVLRTPKPQQNLPSRKRSGFSKLLRLLVSFGIFIIAYEKLGGFGALSLLILGFLFSSNNVDSTMHTLSSFRSLSFRRSAISAFFTRGILRRLKIIVAVGLIVGMIVGFLSGVIFFSYKIGVEGKDAVISLKLHVEESNYAEKIGVKKWMDENDVAGMVDSYTTKVYETVSDQIDGLAVQYNMTEFVSGIKHFVISNQGNSSVPSKALMTPSPYAEKFLSLKTRVRNREWGHIYAEVDSIFRELVITREDLVEKAKGFAFKGIDVSRQIFASSRTVLGSSSKFMFSIANSIISGAAEVINFVSQSMVFIWVLYYLITSESGGVTEQVMYMLPISNAARVRCVEVLDKAISGVLLSTAEIAFFQGCLTWLLFRLNKIHFLYMSTVLAFISPLLPIFPSWLATIPAALQLVLEGRYIMAIVLSVIHLFLMDYGASEILLDVPGNSAYLTGLSIIGGMTLFPSALEGAIMGPLITTVMIAVKDLYAEFVLQEPKDNPKQKAS >Vigun07g031000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2858548:2861085:-1 gene:Vigun07g031000.v1.2 transcript:Vigun07g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLFSFAESLIGKLASGAVHEASLALGVHADLQQMEESMSLIRAFLLDAEQKKPLNNSLSEWLRQIKQVFSDAEDIVDDFECEALRKHVVNTHGGLTGKVRRFFSTSNPLIYRIRMAHEIKDIKERLQKVAADGNTFGLQIIDQDTRVVHVTDTTHSHVNPSNVVGRQHEKQEILNLLLQHGHGESLSVVSIQGFGGLGKTTLAKLVFNDTTIDECFPLKMWVCVSGDFELRNVLIKILNSALNPTNENFKNFETEQLQNRLRSTLQRQKFLLVLDDVWNEYQARWDDLKEILDVGVEGCKIIVTTRSHKTVTIMCTKSSNSYLLERLSEEDSFSLFVKTAFKEGKEERYPQLLEIGKEIVNKCGGIPLAVKTLASSLFSVVDKTKWEAMRDDKIWNLPQKEKDILPALEISYNQLPSHLKPCFVCFSLFGEGSEFVSFYVAKLWEALGFLPPPKENETMHDVAIQFLRELWSRSFLTDFIELSHCYIFKLHDLVHDLAMYAAKGEFQTIYPRSSKISPNARHLAFSDNNLLDQAVLPTGLRTIIFPDEATNEAFLNTLVSRCKYLRFLELSNSKYETLPRSIGKLKHLRYLCLFGNKNLKGLPCSVCNLQNLENLNLNECTELQELPKGISKLISLRQLHITTKQLHFPDQEISTLTSLETLTFNSCDNLESLLKGIQLSSLTNLSLHDCGKLKTLSFHVIANLEHLLIDNCCELELSMGFGNGNQIPDLRLKSLAFKSLQQLVTLPQWLQGSVNTLHSLVIADCNNLKELPKWLSSAVFLKLLVIEYCSSLQSLPDNLINLENLLINSCPELCKRYQPGVGQDYHKISHIKKVFVGELEE >Vigun03g438400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64189557:64190478:-1 gene:Vigun03g438400.v1.2 transcript:Vigun03g438400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESDNESGGGKNESSICREQDRLLPIANVGRIMKKVLPGNAKISKESKETMQECVSEFISFVTGEASDKCQKEKRKTINGDDLLWAMASLGFEDYAEPLKAYLHKYREMEGEKTAMIGKHHGLYANPL >Vigun08g011200.2.v1.2 pep primary_assembly:ASM411807v1:8:985688:990846:-1 gene:Vigun08g011200.v1.2 transcript:Vigun08g011200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISPPSSSPKIQLRETPFFSTLFIPPRTVQFLHNTRNHENHKWKTVRCGVTSAGASPPPSLMKEPHKFFDHVIITVRAGDGGHGAVLNHREKNEQEQAKTKKKGKGSLKRDFDGSLILPAGGHGGDVVIYADETKDTLLEFHSKSRFHAKRGGNVGAMGVLTSMLRDGLVAPTMRIPVPVVVKSKRGKVLADLAQPGDEVLVARGGQGGISLLEMPQRQRKKMMALTTNVMRDDSDKILVHGQPGEEVKLELILRVVADVGLIGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLGGDPSLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAATESPVNDYRTVREELRMYNPAYLERPYVVVLNKIDLPEAKDRLPSLIQEIMTIGNRDAQLSDETDTKEKRLEDYPGPLSVVGVSVLKGIQINEMLKEIRSALKKCSGSSETLIST >Vigun08g011200.1.v1.2 pep primary_assembly:ASM411807v1:8:985688:990846:-1 gene:Vigun08g011200.v1.2 transcript:Vigun08g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISPPSSSPKIQLRETPFFSTLFIPPRTVQFLHNTRNHENHKWKTVRCGVTSAGASPPPSLMKEPHKFFDHVIITVRAGDGGHGAVLNHREKNEQEQAKTKKKGKGSLKRDFDGSLILPAGGHGGDVVIYADETKDTLLEFHSKSRFHAKRGGNVGAMGVLTSMLRDGLVAPTMRIPVPVGTVVKSKRGKVLADLAQPGDEVLVARGGQGGISLLEMPQRQRKKMMALTTNVMRDDSDKILVHGQPGEEVKLELILRVVADVGLIGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLGGDPSLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAATESPVNDYRTVREELRMYNPAYLERPYVVVLNKIDLPEAKDRLPSLIQEIMTIGNRDAQLSDETDTKEKRLEDYPGPLSVVGVSVLKGIQINEMLKEIRSALKKCSGSSETLIST >Vigun08g011200.3.v1.2 pep primary_assembly:ASM411807v1:8:985687:990846:-1 gene:Vigun08g011200.v1.2 transcript:Vigun08g011200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISPPSSSPKIQLRETPFFSTLFIPPRTVQFLHNTRNHENHKWKTVRCGVTSAGASPPPSLMKEPHKFFDHVIITVRAGDGGHGAVLNHREKNEQEQAKTKKKGKGSLKRDFDGSLILPAGGHGGDVVIYADETKDTLLEFHSKSRFHAKRGGNVGAMGVLTSMLRDGLVAPTMRIPVPVGTVVKSKRGKVLADLAQPGDEVLVARGGQGGISLLEMPQRQRKKMMALTTNVMRDDSDKILVHGQPGEEVKLELILRVVADVGLIGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLGGDPSLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAATESPVNDYRTVREELRMYNPAYLERPYVVVLNKIDLPEAKDRLPSLIQEIMTIGNRDAQLSDETDTKEKRLEDYPGPLSVVGVSVFSNTWTLVNRNQPSKGHPD >Vigun10g066150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15018327:15031636:-1 gene:Vigun10g066150.v1.2 transcript:Vigun10g066150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWCSGVRSLRDLLQVERRCCSWWPEEVLRWCVKVAGMEAARMEDALRRRFAQMQVRGGGARSCDGDGGGCGSCAVVWGPCAAEVGGCRRWCRFDARWWRRETRWRWCNCGGRRDWRWRLPWRVEGKLRLGFHV >Vigun04g099670.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23343802:23344275:-1 gene:Vigun04g099670.v1.2 transcript:Vigun04g099670.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDRGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKDVVYESLTYSAELYISEGLIWKSSRDIRKQTIFVGNIPLMNSSGTSIVNGIYRIVINQILQSPGIYYRSKLEPNGISVYTGTIISDWGVD >Vigun07g236900.3.v1.2 pep primary_assembly:ASM411807v1:7:35863779:35869452:1 gene:Vigun07g236900.v1.2 transcript:Vigun07g236900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKKMVRKFFNIKCKTDDSQADGATYGGGDVECRSRNSFSEREPCTIKKSKTEKFSRSTDQGRRARMNLDHPRIIDVQNYSIFVATWNVAGRSPPSTLNLDDWLHSSSPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIRKTLNNLPGSSGSSGCYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTTSSGWGMDNDPSVVQPRLDRRYSVCDRVIFGHRPSDFDPSFRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLGDSPSTVLFSPMSRGGGGPSFNEEGYAMPGHSRYCLVASKQMVGIYLTIWVRSELKDHVQNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVQGVDNDKSPQTILDHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFLGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESKFSDHRPVYGIFWAEVESAHGRLKKTMSCSRSRIEVEELLPYSGGYTELSFF >Vigun07g236900.1.v1.2 pep primary_assembly:ASM411807v1:7:35863792:35869314:1 gene:Vigun07g236900.v1.2 transcript:Vigun07g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKKMVRKFFNIKCKTDDSQADGATYGGGDVECRSRNSFSEREPCTIKKSKTEKFSRSTDQGRRARMNLDHPRIIDVQNYSIFVATWNVAGRSPPSTLNLDDWLHSSSPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIRKTLNNLPGSSGSSGCYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTTSSGWGMDNDPSVVQPRLDRRYSVCDRVIFGHRPSDFDPSFRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLGDSPSTVLFSPMSRGGGGPSFNEEGYAMPGHSRYCLVASKQMVGIYLTIWVRSELKDHVQNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVQGVDNDKSPQTILDHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFLGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESKFSDHRPVYGIFWAEVESAHGRLKKTMSCSRSRIEVEELLPYSGGYTELSFF >Vigun07g236900.2.v1.2 pep primary_assembly:ASM411807v1:7:35863779:35869452:1 gene:Vigun07g236900.v1.2 transcript:Vigun07g236900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKKMVRKFFNIKCKTDDSQADGATYGGGDVECRSRNSFSEREPCTIKKSKTEKFSRSTDQGRRARMNLDHPRIIDVQNYSIFVATWNVAGRSPPSTLNLDDWLHSSSPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIRKTLNNLPGSSGSSGCYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTTSSGWGMDNDPSVVQPRLDRRYSVCDRVIFGHRPSDFDPSFRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLGDSPSTVLFSPMSRGGGGPSFNEEGYAMPGHSRYCLVASKQMVGIYLTIWVRSELKDHVQNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVQGVDNDKSPQTILDHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFLGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESKFSDHRPVYGIFWAEVESAHGRLKKTMSCSRSRIEVEELLPYSGGYTELSFF >Vigun02g147700.1.v1.2 pep primary_assembly:ASM411807v1:2:29522878:29524940:-1 gene:Vigun02g147700.v1.2 transcript:Vigun02g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLTKIISFLVILVSIKLVFFRKRQKNPPPSPPSLPVIGNLHQLKLPVHRTFHVLSQKYGSIFSFRFGSQPVLVVSSASAAEECFTTNDIIFANRFRSIKTKFLGYDNTILLAASYGDHWRNLRRISSLEILSSHRLNSFSGIRIDETLKMLQILARHSNKEEFTRVELRSMFADLTFNTIMRMVCGKRYYGSEYDGTNAEEAMKFRDLMNEMAQFGLGSHLGDFVPVFRWFDFSRSHTKLRKVGEKMDALFQELIDEHRNKEQHSNTMIGRLLHLQESQPEYYTDQIIKGLIMVLIVAGTETSAIALEWAMSNLLNNPEVLEKARIELDTLIGEECLMKEEDVSKLQYLQNIISETLRLHPPAPMLLPHFSYEDCTVGGYDVPGNTMLMVNAWAIHRDPELWVDPTSFKPERFEDGPTDILKLMPFGLGRRACPGAAMAQKTLNLTLGSLIQCFEWERIGDEEVDMTEGRGTLVPKAIPLEAKCKPRPIISKNSDFSLDCSLMHNHIIALNYALVCIYSFGKISRMRV >Vigun05g228600.12.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTVYLKFVMHSYHHIV >Vigun05g228600.8.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKVSSYIKFHQQGYSIHYIDDSELLTHCFIPGTWSVDSNCSFGDLQRTHSFRVP >Vigun05g228600.4.v1.2 pep primary_assembly:ASM411807v1:5:42211741:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKGPGLWIPIALLVIYSVHTLLEYLKIGLSIRYWWNNQRMSIITTTTAWFIGFLSAMVKLAGISDNVFEITEKELSSSDADGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPTHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGRHGIPLSTMCKSAILAFVFVNFCRK >Vigun05g228600.5.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKVSSYIKFHQQGYSIHYIDDSELLTHCFIPGTWSVDSNCSFGDLQRTHSFRVP >Vigun05g228600.9.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTVYLKFVMHSYHHIV >Vigun05g228600.1.v1.2 pep primary_assembly:ASM411807v1:5:42211741:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKGPGLWIPIALLVIYSVHTLLEYLKIGLSIRYWWNNQRMSIITTTTAWFIGFLSAMVKLAGISDNVFEITEKELSSSDADGNDGDAGRFTFDESPVFVVGTTILLVQLGAMLIRFLRLQPTHSENGCGIGEFISSTYVVVCYFPYLKGLFGSGRHGIPLSTMCKSAILAFVFVNFCRK >Vigun05g228600.7.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKVSSYIKFHQQGYSIHYIDDSELLTHCFIPGTWSVDSNCSFGDLQRTHSFRVP >Vigun05g228600.10.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTVYLKFVMHSYHHIV >Vigun05g228600.6.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKVILENKDGVSDGLPHLIYVSREKKPHQTHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTGLTECFFGKHSPIMGMIFGKTQFRAGLSYSWLTNWGLRSVFEVCYALLPPYCIITDTSIFPKVSSYIKFHQQGYSIHYIDDSELLTHCFIPGTWSVDSNCSFGDLQRTHSFRVP >Vigun05g228600.11.v1.2 pep primary_assembly:ASM411807v1:5:42211740:42215759:1 gene:Vigun05g228600.v1.2 transcript:Vigun05g228600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEDDGPLYEKVWFKRRYQRVMDTFILLLLLLLLSYRLFSHNNFTIPWILAFICESWFTFTWIIILSTKWTPALTITHPDRLLQRVPELPRIDLFVTTADPVLEPPIITANTVLSLLALDYPTNKLACYVSDDGCSPLTYYGLVEASKFAKFWVPFCKKNNIQLRTPFSYFSSVATTNSEHSPEFKQEWSRMKDMYDNLSRKIQDVTRKQIPLELDGEFAVFSNTERRNHPSIIKTRVSGLMTNAPFMLNVDCDMFVNNPKIVLHALSIFMDSQKGKEVAFIQCFQQFYDGIKNDPFGNQWVAVFEYMMRGMTGLQGPHYSGTNAFHRRNVIYGLYPEEIEIGRKAGKLGEKKLLTQQFGSSKEFIKSADHALDWKTNFHNDSSPSDCIEAAIKVAGCEYECGTWWGEKIGWLYGSIVEDVPTGLSIHRRGWRSECCTPDPIAFTGCAPRGLLSTMVQQKRWTTVYLKFVMHSYHHIV >Vigun07g176800.1.v1.2 pep primary_assembly:ASM411807v1:7:29200142:29204488:1 gene:Vigun07g176800.v1.2 transcript:Vigun07g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTMSAKSLPQNAENELNPLTMSDEQILEQIYSTHVHSDTKFDVDSLLTLVENILRRSTNIVDNVVQGSHASLETIDDKIPQFNSPLSTLKQIASEMACKLPPSEETAHKTTLAILKKLSKYEWDAKAVLTLAAFALEYSHFWLLAQYLPTDPLAKSVAILKRVPLLHKHRQAMAEVNNLVKATLQVIQVIFELEKLTSSYDVKDVPALGLAIEQIPVDVYWAIITIVSVVTQIDCLIAESDHKQELSHYGQKINIILTKLSKQIMLCRQQIDGAEYYRKLRKLFHTPTEILEVLKVLIFNKNAPQPLFHGATKTTVDMSVLRKKNVYLFISSLDITEEEISVLRPVYDLIKTKDNYKIVWIPVVEAWTEQLRKKFEVLKSKMAWYVVQYSGSIAGYKYIKEEWHFKRNPMVVVLSPRGKVLHSNAFHLIQAHGTRAFPFATFNEQQINNEINWVGSVVGNIHPVINGWVVEQKYVFFYGGKDKEWIQQFTKYAGALANDAAVKEAKICIELFCVEKEHKSVVKRFWRGIESLFVTKVQKAVDAVTQEVQKMLSYKNESGWALLSKGPSVVTSGYGIAMLKTVAEFEKWKEVAIKKDFGLSFKEQHAKIAAATTHRCSQLEIPNVAGKLPETIKCPDCPGVMEIFISYKCCHNDNTTHY >Vigun05g170380.1.v1.2 pep primary_assembly:ASM411807v1:5:29947821:29950100:-1 gene:Vigun05g170380.v1.2 transcript:Vigun05g170380.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTLAFLFQSLFKKFHKVIKFSGCQILLFSANEKGVQPWINLQGYVLGNVVTIGREFNYRIPCSHGMALISDELYERRVHKSRHQNVLCSRDIESFNEALSGMNGAYILDPLCEWMDTEISWRRSLMKKYSSTNFLNPRLKLPSLNCQSYVYFLYGFWANDDSVRTALHIRQGSIGKCRHCKFDIPYKKDIPRSFEYHVNLSRMGYRSLIYSGDHDLMVPFLATQAWVKSLNYSIMDDWRQWHTNGQVAGYTRTYSNRMTFATVKGGGHTAPEYKPEECFAMFSRWLSKRPL >Vigun07g261900.1.v1.2 pep primary_assembly:ASM411807v1:7:37795986:37796890:1 gene:Vigun07g261900.v1.2 transcript:Vigun07g261900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTQLNLMTHSLSSFCTLNHVFTCHKPIKHPKIRCQRFSDNENRANIVDANLRILRERIEQVQKRERVINTVGWNYKHGYDQNYKKDCMITQSAEVIGLACGAIALVFLLGSLTIFLLSLLLYMCI >Vigun01g237700.1.v1.2 pep primary_assembly:ASM411807v1:1:40914643:40918360:1 gene:Vigun01g237700.v1.2 transcript:Vigun01g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGYGDANQKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIPRWLEELRNHADKNIVIILIGNKSDLENQRQVPTDDAKEFAEKEGLFFLETSALESTNVETAFMTVLTEIFNIVNKKNLAAGDSQGNGNSASLSGKKIIVPGPAQEIPKGNMCCQ >VigunL033200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:246736:247104:1 gene:VigunL033200.v1.2 transcript:VigunL033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun05g136300.1.v1.2 pep primary_assembly:ASM411807v1:5:16341669:16343264:-1 gene:Vigun05g136300.v1.2 transcript:Vigun05g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAMKPTKPGFEESQEQIHKIRITLSSKHVKNLEKVCGDLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >Vigun07g085300.4.v1.2 pep primary_assembly:ASM411807v1:7:12768236:12778299:-1 gene:Vigun07g085300.v1.2 transcript:Vigun07g085300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVCVHALDEGRKENNEEPRTELKRDYDQCVSDTERHLFPHKKQVKEVSNDEVRSEVSNPNVSAVEHALTFQDISSQPTESTDVNHAECGELTSTCLENSSSDETLSDEAGDQNITATTTNNNNNNNNNNTSQSDKDSNSAAMTSCVVMEIPKHASSSGIRKITFKFSKKKEDYGYQPPAPVHRSALYTDGNHIGFHGVDEYLARDYCSGGLVESMGYAPDGDLESYARNMELKMSKKVVPNCYPTNVKKLLSTGILDGAIVKYIYNPGKVELHGIIDAGGYLCGCSMCNYSRILSAYEFEQHAGAKTRHPNNHIFLENGRPIYSIIQEIKTAPLSILDEVIKNVAGSSVNEESFQVWKESLLHSNGKVQAYKNSSAKHVGMSHTNLSQSVDSTSHLSSLHGPSHYEQLKYTNQTNDEWKRVMKKSSSYSSNSGVLLKRSADGCTKRRDNDLHRLLFMPNGLPDGAELAYYVKGQKLLGGYKQGSGIVCGCCDIEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQNLTTGDSDDMCAVCGDGGDLILCNGCPRAFHTACLGLQCVPDSGWQCLNCGDNAGSGRESSLVRPIMIRLTRVDKTPDFEMGGCVVCREHDFSVAKFDERTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCSDCNRIYVALQSSVSAGADVIPASLSELIIRKHEEKGLCTYGSTDDIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSVVVSAGLLRIFGRNVAELPLVATSRVHQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFRKMSEDQLSKHLREVQLTLFNKTSMLEKTVQQTIE >Vigun07g085300.2.v1.2 pep primary_assembly:ASM411807v1:7:12767330:12778359:-1 gene:Vigun07g085300.v1.2 transcript:Vigun07g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVCVHALDEGRKENNEEPRTELKRDYDQCVSDTERHLFPHKKQVKEVSNDEVRSEVSNPNVSAVEHALTFQDISSQPTESTDVNHAECGELTSTCLENSSSDETLSDEAGDQNITATTTNNNNNNNNNNTSQSDKDSNSAAMTSCVVMEIPKHASSSGIRKITFKFSKKKEDYGYQPPAPVHRSALYTDGNHIGFHGVDEYLARDYCSGGLVESMGYAPDGDLESYARNMELKMSKKVVPNCYPTNVKKLLSTGILDGAIVKYIYNPGKVELHGIIDAGGYLCGCSMCNYSRILSAYEFEQHAGAKTRHPNNHIFLENGRPIYSIIQEIKTAPLSILDEVIKNVAGSSVNEESFQVWKESLLHSNGKVQAYKNSSAKHVGMSHTNLSQSVDSTSHLSSLHGPSHYEQLKYTNQTNDEWKRVMKKSSSYSSNSGVLLKRSADGCTKRRDNDLHRLLFMPNGLPDGAELAYYVKGQKLLGGYKQGSGIVCGCCDIEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQNLTTGDSDDMCAVCGDGGDLILCNGCPRAFHTACLGLQCVPDSGWQCLNCGDNAGSGRESSLVRPIMIRLTRVDKTPDFEMGGCVVCREHDFSVAKFDERTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCSDCNRIYVALQSSVSAGADVIPASLSELIIRKHEEKGLCTYGSTDDIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSVVVSAGLLRIFGRNVAELPLVATSRVHQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFRKMSEDQLSKHLREVQLTLFNKTSMLEKTVQQTIE >Vigun07g085300.3.v1.2 pep primary_assembly:ASM411807v1:7:12767330:12778295:-1 gene:Vigun07g085300.v1.2 transcript:Vigun07g085300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVCVHALDEGRKENNEEPRTELKRDYDQCVSDTERHLFPHKKQVKEVSNDEVRSEVSNPNVSAVEHALTFQDISSQPTESTDVNHAECGELTSTCLENSSSDETLSDEAGDQNITATTTNNNNNNNNNNTSQSDKDSNSAAMTSCVVMEIPKHASSSGIRKITFKFSKKKEDYGYQPPAPVHRSALYTDGNHIGFHGVDEYLARDYCSGGLVESMGYAPDGDLESYARNMELKMSKKVVPNCYPTNVKKLLSTGILDGAIVKYIYNPGKVELHGIIDAGGYLCGCSMCNYSRILSAYEFEQHAGAKTRHPNNHIFLENGRPIYSIIQEIKTAPLSILDEVIKNVAGSSVNEESFQVWKESLLHSNGKVQAYKNSSAKHVGMSHTNLSQSVDSTSHLSSLHGPSHYEQLKYTNQTNDEWKRVMKKSSSYSSNSGVLLKRSADGCTKRRDNDLHRLLFMPNGLPDGAELAYYVKGQKLLGGYKQGSGIVCGCCDIEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQNLTTGDSDDMCAVCGDGGDLILCNGCPRAFHTACLGLQCVPDSGWQCLNCGDNAGSGRESSLVRPIMIRLTRVDKTPDFEMGGCVVCREHDFSVAKFDERTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCSDCNRIYVALQSSVSAGADVIPASLSELIIRKHEEKGLCTYGSTDDIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSVVVSAGLLRIFGRNVAELPLVATSRVHQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFRKMSEDQLSKHLREVQLTLFNKTSMLEKTVQQTIE >Vigun07g085300.1.v1.2 pep primary_assembly:ASM411807v1:7:12768240:12778359:-1 gene:Vigun07g085300.v1.2 transcript:Vigun07g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVCVHALDEGRKENNEEPRTELKRDYDQCVSDTERHLFPHKKQVKEVSNDEVRSEVSNPNVSAVEHALTFQDISSQPTESTDVNHAECGELTSTCLENSSSDETLSDEAGDQNITATTTNNNNNNNNNNTSQSDKDSNSAAMTSCVVMEIPKHASSSGIRKITFKFSKKKEDYGYQPPAPVHRSALYTDGNHIGFHGVDEYLARDYCSGGLVESMGYAPDGDLESYARNMELKMSKKVVPNCYPTNVKKLLSTGILDGAIVKYIYNPGKVELHGIIDAGGYLCGCSMCNYSRILSAYEFEQHAGAKTRHPNNHIFLENGRPIYSIIQEIKTAPLSILDEVIKNVAGSSVNEESFQVWKESLLHSNGKVQAYKNSSAKHVGMSHTNLSQSVDSTSHLSSLHGPSHYEQLKYTNQTNDEWKRVMKKSSSYSSNSGVLLKRSADGCTKRRDNDLHRLLFMPNGLPDGAELAYYVKGQKLLGGYKQGSGIVCGCCDIEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQNLTTGDSDDMCAVCGDGGDLILCNGCPRAFHTACLGLQCVPDSGWQCLNCGDNAGSGRESSLVRPIMIRLTRVDKTPDFEMGGCVVCREHDFSVAKFDERTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCSDCNRIYVALQSSVSAGADVIPASLSELIIRKHEEKGLCTYGSTDDIQWRILSGKSRYPEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSVVVSAGLLRIFGRNVAELPLVATSRVHQGKGYFQVLFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFRKMSEDQLSKHLREVQLTLFNKTSMLEKTVQQTIE >Vigun02g130900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28188619:28191908:-1 gene:Vigun02g130900.v1.2 transcript:Vigun02g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGQDKEIEIPTTSLGYNLPNPDSSSSSSKLSSPTVGERSTTTTHHDHHHHHQQLHQPHTLIFNDPPHHNLYPPPPPPLAPRQPHTLTPDPDLTTPIAPTSNPLRTLHPHTTTIAPASTSTSTSTPSIRYRECLRNHAASMGSHVVDGCGEFMASGEEGTPESLRCAACECHRNFHRKEVEGELQPQQPPPLSLVQNHHQQQQQQQHVPNYHSYYPNKHNGHLHYPTPSPSSLHHQRLVGSSGTPSLVPPVMMAFGGPAESSSEDLNMFQSNTGGAQLSVQAPLSSKKRFRTKFSQHQKDRMMEFAEKIGWKIQKHNEQEVQQFCSQAGVKRQVFKVWMHNNKKHPM >Vigun09g184300.1.v1.2 pep primary_assembly:ASM411807v1:9:35795485:35805120:1 gene:Vigun09g184300.v1.2 transcript:Vigun09g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNPELSQGNGGASYGYSQSGSQDHQTRSQGGGTGNMIFKSGPLFISSKGIGWTSWKKRWFILTQTSLVFFRSDPNAIPQKGNEVNLTLGGIDLNNSGSVVIKADKKLLTVQFPDVHDGRAFTLKAETMEDLYEWKTALENALALAPSATNGTEQNGISDNQLKDREPAKSTVIGRPILLALEDVDGTPSFLEKALTFIEEHGANVEGILRQAADVDEVERRVREYEQGRVEFSPDEDAHVIGDCIKHVLRELPSSPVPASCCKALLESCRKCGTERGSRVAAMREAINDTFPEPNRRLLQRILMMMQSVASRKAVNRMSSSAVAACMAPLLLRPLLAGECEIENDFDVGGDGSIQLLQAAAAANHAQAICITLLEEYNSIFGEGSMSPDIYTDSDEVSGSESEEEATDDDVSYEDDEEYDEEDEEDDDESVHDSEGEDDLVSESYSGSEDSEADYEEHDHASSSSKSSVLTDKSKATRKSSSKSLEVSVPQKDIKSSEHLTSPKKSDYGDQSNKRADRDAIDEAALQNLNSPGPAIKKSNTMSNGPAPRHRTTLGRTSARKNLSMESIDFPVEDEDEIERLEAARSELQTQIAEQVKANAELQAQVDNQKKALDERRVSLEQDVARLQEQLNKEKNSRAALENKAELEELALIEADLASLEWKVGEIVARLNAQLDKNLGTTSEFSNQPRQLSNQERRLKYKADAEAAASTQSDRSTNKGTVTAQQDTHTVGAESDTERKPESTPLPNKHPPSSSKRSGSKGEGGNSTPSGLTKLTTRLNLLKVARNQIANELQNLDKGRDSSRSSHNAEKGKGSERHQALPSPKTYAGSESVPNQEKGKGSESSDKGPSKGKSSQQSSSEKLKKSDSHPAHHTDGWNQQPKHLERGRSDGGHQTYNVDKGR >Vigun01g038100.3.v1.2 pep primary_assembly:ASM411807v1:1:5091448:5101338:1 gene:Vigun01g038100.v1.2 transcript:Vigun01g038100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEKVKVEDEMEKLVCVTGASGNIGSWIVKLLLQRRYNNVRATVRDTNDPKKVKHLLRCDATKERLKLFKADLLEEGSFDSAVEGCTIVFHTASHFFEDAKDPQTELLDPAVKGTLNVLKSCAKSPTLKRVILTSCIAAVAFNERPKNPNVVVDETWYSDPEYCKRNGLWYNLSKTLVEDAAWKFAKENNIDLVIMNPGLVIGPLLPPVLNTSSAAILNLINGSQTFKNETLGFVDVRDVASAHLFVMGYPAGHPSYSGRYLLVQRVAHYSDIVKILRGLYPTLKLPEKAPLFSFGFLS >Vigun01g038100.2.v1.2 pep primary_assembly:ASM411807v1:1:5091448:5093709:1 gene:Vigun01g038100.v1.2 transcript:Vigun01g038100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEKVKVEDEMEKLVCVTGASGNIGSWIVKLLLQRRYNNVRATVRDTNDPKKVKHLLRCDATKERLKLFKADLLEEGSFDSAVEGCTIVFHTASHFFEDAKDPQTELLDPAVKGTLNVLKSCAKSPTLKRVILTSCIAAVAFNERPKNPNVVVDETWYSDPEYCKRNGLWYNLSKTLVEDAAWKFAKENNIDLVIMNPGLVIGPLLPPVLNTSSAAILNLINGSQTFKNETLGFVDVRDVASAHLFVMGYPAGHPSYSGRYLLVQRVAHYSDIVKILRGLYPTLKLPEKAPLFSFGFLS >Vigun01g038100.1.v1.2 pep primary_assembly:ASM411807v1:1:5091448:5101338:1 gene:Vigun01g038100.v1.2 transcript:Vigun01g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEKVKVEDEMEKLVCVTGASGNIGSWIVKLLLQRRYNNVRATVRDTNDPKKVKHLLRCDATKERLKLFKADLLEEGSFDSAVEGCTIVFHTASHFFEDAKDPQTELLDPAVKGTLNVLKSCAKSPTLKRVILTSCIAAVAFNERPKNPNVVVDETWYSDPEYCKRNGLWYNLSKTLVEDAAWKFAKENNIDLVIMNPGLVIGPLLPPVLNTSSAAILNLINGSQTFKNETLGFVDVRDVASAHLFVMGYPAGHPSYSGRYLLVQRVAHYSDIVKILRGLYPTLKLPEKCIDDRPYDPIFQVSKEKSKRMLSYPFSFERSLKDTVESSKEKKFIKF >Vigun08g002650.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:281131:281370:-1 gene:Vigun08g002650.v1.2 transcript:Vigun08g002650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RNEPKLIELKELMPLRPWNTPSLPLKKVGVRYVKLKEPIYRDGVRVYWQTVIEEEDENHKFEIPYERLFNLLNKRFPHII >Vigun08g002650.1.v1.2 pep primary_assembly:ASM411807v1:8:280377:281385:-1 gene:Vigun08g002650.v1.2 transcript:Vigun08g002650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPRNEPKLIELKELMPLRPWNTPSLPLKKVGVRYVKLKEPIYRDGVRVYWQTVIEEEDENHKFEIPYERLFNLLNKHERMQ >Vigun03g045300.1.v1.2 pep primary_assembly:ASM411807v1:3:3645362:3649728:1 gene:Vigun03g045300.v1.2 transcript:Vigun03g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREVMAFSGGSASYYMHRGGVGGSGSGSLSGGGEFQAAPGFRPLSNPGIQAESNSRGQGGGSVGSSSIFSMEPPQGRANFNHDIGIGSGAPSSEPVKKKRGRPRKYGPDGTVSLRLSPMSAPANSTQGENATTLSQKKGRGRPPGSGRKQQLAALGEWMNSSAGLAFSPHVVTIGVGEDIVAKLLSLSQQRPRALCVLSGTGTVSSVTLRQPASTNASVTFEGRFQILCLSGSYLVAEDGGPSNRTGGISVSLSSPDGHVIGGGVAVLIAGSPVQVVLCSFVYGGSKTKPKQGLTITDESGEHPQHNDKMATPASAPPGHNQNYLPSGAHIWPGSQPAELKNTQTHTGIDLTRG >Vigun01g058700.1.v1.2 pep primary_assembly:ASM411807v1:1:12199661:12204647:-1 gene:Vigun01g058700.v1.2 transcript:Vigun01g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGIY >Vigun06g056500.1.v1.2 pep primary_assembly:ASM411807v1:6:18284122:18289221:-1 gene:Vigun06g056500.v1.2 transcript:Vigun06g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSLISECALNLASEHAQVVPISLFVAVLCLCLVIGHLLEENRWINESIVAIIIGIIAGIILLLITKGKSSHILTFNEELFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMMFGVIGVFISTFVITIGSWWIFPKLNLLGLSGKDYLAIGTIFSATDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKLDVSKFSSKTFRFIGDFLYLFGLSTGLGVLAGLLTSYILKALCFGRHSSVREIALMILMSYLSYMLAELCDLSGIITVFFCGILMSHYAWYNVTETSRITTRHVFATMSFIAETFIFLYVGMDALDIEKWKMTKLSYGSLLGIYSSLILLILLGRAAFVFPLSAIANYTNTRASSDQASHITFRQQIIVWWAGLMRGAVSIALAFKQFTYSGVTSDPLKATMITNTIIVVLFSTLVFGFLTKPLMRYLLPHAPTRKNIINEESGPPSEDLNLPLLSLEESAATNISRAKESLSMLIESPVFTIHHYWRRFDDAYMRPFFGGPHHNQSQC >Vigun10g074950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20286688:20286864:-1 gene:Vigun10g074950.v1.2 transcript:Vigun10g074950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLMIVEIVSAEKVLIFNLKLFNTTESDLPKSYILYICVVSGLGCQRKKRKLQNI >Vigun09g026300.1.v1.2 pep primary_assembly:ASM411807v1:9:2063588:2068911:-1 gene:Vigun09g026300.v1.2 transcript:Vigun09g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAIIDLVLMRLRKSVVISKGLVGIDKRIADVESLIHRDVESEKARLIGLWGMGGIGKTTLAEEVFNKLRSKYEGCYFLANEREQSSRKGKVSLKEEIFSALLGDVKIDTPNSLPEDIVRRIRQMKVLIILDDVNDSDHITELLGAVDNFGSGSSIIVTTRDEQVLKASKADEIYHLRELTSDEALELFNLNAFNQSDHQREYDELSKRIVHYAKGLPLILKVLAHRLHGKNEEVWESEIDKLKMVPPTKVYDVIKLSYDDLDRKEKQIFLDLACFVCRSRLKITIDCLKYLLKDGERDNSVVVALERLKDKALITFSKDNLLCMHDSIQEMAWEIVRQESTENPVNRSRLWDPDDTYEALENDKVSEAIRSIQIDLKALKEQKLMPHIFAKMCRLRFMEIHGYDNYNCYSQLVAEEELQFLATELRFLYWDFYPLKSLPDKFSGEKLVILILGSGRMEKLWDGVKNLVNLKELDLRNSLRLKELPDLSKATNIEVLCLRECISLTSLHPSVFSLPNLETLNLCGCMRLTIPATDIHLRSFSYLDLDGCCNLMEFTLTSDNMKELNLELTSMKALRSSFGHQRKLEFLCLGGSHIERLPSSFVNLIQLLHLDITFCGKLLEIPELPLSLQTLYAGGCESLKTVFFHSTAVEQIKENRKQVLFDNCMNLDERSLEAIGLNARINIMKFANQHLSAPRQDDFQNYNNHYDSFQAFYAYPGSSVPEWLEYKTKIFCNYRSLFCSTFPCIWLHILLRTPRRS >Vigun09g167400.4.v1.2 pep primary_assembly:ASM411807v1:9:33602165:33606046:-1 gene:Vigun09g167400.v1.2 transcript:Vigun09g167400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRHGAHKFSRCIVTIADLWLGLLWYFLQIIVSAWYSIVVVGNLLESYFISLGVLEKYKSLHSEKVQYLAIVIESEEACQISEVVKLLKWLDSIGVKNVCLYDMNGVLKKSKETILQNLKNAKSIQEVSQVVTHHAHDHMTLEFLSYVDGKEAVAKAANLVFVENLKQHSLGGELDAQISLEPHLNEALQIVGSKGPEPDLLLVYGPVRTHLGFPAWRLRYTEIVHMGSLKFMTYGSLIKAIYNFTRVHQNYGK >Vigun09g167400.5.v1.2 pep primary_assembly:ASM411807v1:9:33603061:33610910:-1 gene:Vigun09g167400.v1.2 transcript:Vigun09g167400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRHGAHKFSRCIVTIADLWLGLLWYFLQIIVSAWYSIVVVGNLLESYFISLGVLEKYKSLHSEKVQYLAIVIESEEACQISEVVKLLKWLDSIGVKNVCLYDMNGVLKKSKETILQNLKNAKSIQEVSQVVTHHAHDHMTLEFLSYVDGKEAVAKAANLVFVENLKQHSLGGELDAQISLEPHLNEALQIVGSKGPEPDLLLVYGPVRTHLGFPAWRLRYTEIVDIITLKV >Vigun07g244650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36573555:36574920:1 gene:Vigun07g244650.v1.2 transcript:Vigun07g244650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNLQESSASESEWWCRPTPFLQGFYLHSQLYSPSPSFSSPSTLPTLCQIAFAASARPETWPPVPLSLYLALTLSTLYLTVYSASETLDSLSTSSPLASTEAYDTQYFSPSPEPP >VigunL073601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:112578:112886:1 gene:VigunL073601.v1.2 transcript:VigunL073601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVLKNAKEGLKKVEKIETPQLTRTPAQRYKDLEARLDEMNRSGEGSGSDPQKSEAPVPSSEGQKPPVGEGPKPSIESSDSLSEILPPRISCFLFLRIGS >Vigun02g020800.1.v1.2 pep primary_assembly:ASM411807v1:2:7274814:7277209:-1 gene:Vigun02g020800.v1.2 transcript:Vigun02g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQTKAEKKIAYDGKLCQLLEEYGQILVVNADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSVRIHAEKTGNNVYLSLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLLEKFVAGVSMVTSLSLAISYPTLAAAPHMFVNAYKNVLSVAVETDYSFPEADKVKEYLKDPSKFAVAAVAAPAAASSAPAAAAKEEEKKEEPAEESDDDMGFSLFD >Vigun07g160000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27183519:27185750:1 gene:Vigun07g160000.v1.2 transcript:Vigun07g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCSSHLATLINQTDATNYLCNQFDSISRKLNDATYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGAPSNGFIGRHFFGLRDYPTPTADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSGDGWASATRIDGNVLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRTGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFFTIAKGYGGLGYYGQWSAIGRTAVTTTLAGSTAALTTLFSKRLLVGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAIVCGFVAAWVLIGLNKVAAKVEYDDPLEAAQLHGGCGAWGVLFTGLFAKREYVEEIYGIGRPCGALMGGGGKLLAAQLIEIVVVCGWVTATMGPLFYGLHKLNLLRISRDDETAGMDLTRHGGFAYAYHDDEDGSSRGVGLMMRKIEPASTSPSPPAAPQLSISSL >Vigun10g012400.1.v1.2 pep primary_assembly:ASM411807v1:10:1294868:1296913:-1 gene:Vigun10g012400.v1.2 transcript:Vigun10g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWVWFILLFAELLIGGFSTQKLPPSNDGKLLSILSIDGGGIKGILPARVLEHLDKALKDLDPNADLAHYFDVIAGTSTGGLITVMLATPSPHDPTRAAFTPAQIVDFYQQEGPHIFNESCPGDGPEFDGVYFHNLTRDLLNDTRLNQTLTNVVIPAFDIKTQKPVIFSNYKLEDAPYLNALLSDITISTSAAPTILPPYCFVNDGVEFNMADGGIAAGNPTQAAVSEVLQQHGEYSEILVLSLGTGKVKVNESFDVEIAANWSYKDWVNNASQFFLRSSTTMTEYYLESLFEPYPPGQPYLRIQEYDLNPDFSNPFNVTQASMDGLDETGKQLLKKKALRMNLDTFDQEELGGLTNAQVLDRFAEILYGERQYRLKRKSMEKEGRPFVETLRVLSDKTQALIRNLFN >Vigun07g155000.1.v1.2 pep primary_assembly:ASM411807v1:7:26612091:26613327:-1 gene:Vigun07g155000.v1.2 transcript:Vigun07g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLSENLIGDFLEAFCLFDRDGDGCITMEELGSAIRALNQSNPRKEELEMMVNEVDMDGNGMIEFEQFLNVMARKMKQSEAEEELKEAFRLFDQDQDGYISATELLSVMRNIGEKITEKELEEMMRVADLDGDGRLNYEEFVRMMAF >Vigun05g121601.1.v1.2 pep primary_assembly:ASM411807v1:5:13376628:13377385:-1 gene:Vigun05g121601.v1.2 transcript:Vigun05g121601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSYSLHPPQLMLSYKLRMKMLTNTSIKHSTSSMGEFLMSTRWICLNTHGSSTDLIVLEFQDIFSQKSKTA >Vigun03g408350.1.v1.2 pep primary_assembly:ASM411807v1:3:61530856:61531380:-1 gene:Vigun03g408350.v1.2 transcript:Vigun03g408350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGQHAYYVKLIPFSAFWLRSSVVSVLISLISDMWANDLTTVACYWGSRVSLKSCTIA >Vigun02g046000.1.v1.2 pep primary_assembly:ASM411807v1:2:18349984:18350488:-1 gene:Vigun02g046000.v1.2 transcript:Vigun02g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFIATLLLALVSQGYSQCSLSDIHVTQSATGHKVNGKPEWTVSITNRCACVQTNVQLNCKGFQTVEAVNPSLLKVSGDACLVSSSQPLFKGAIVFNYA >Vigun05g001900.1.v1.2 pep primary_assembly:ASM411807v1:5:154056:156969:1 gene:Vigun05g001900.v1.2 transcript:Vigun05g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIMQSAPGSCGYLGLYPERKMSFFKNPYILGLAAAAGIGGLLFGYDTGVISGALLYIKDDFQEVRDSSFLQETIVSMAMAGAIVGAAAGGWVNDAYGRKKATLFADVTFGLGAIIMAAAPDPYVLILGRLLVGLGVGTASVTSPVYIAEASPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTGVPGTWRWMLGVSGVPAVIQFVLMLFLPESPRWLFIKNRKSEAVDVLSKIYDVGRLEDEVDFLTAQSEQERQRRSNIKFWDVFKSKEIRLAFLVGGGLLAFQQFTGISTVMYYSPTIVQMAGFQSNQMALLLSLIVAGMNAAGTVLGIYLIDHAGRKKLALCSLAGAAVSLIVLAFAFYKQSTSSNALYGWLAIVGLAMYIAFFSPGMGHVPWTLSSEIYPEEYRGICGGMSATVMWVSNLIVAETFLSIADGIGIGSTFLITCAIAVAAFVFVAVYVPETKGLTFDEVEVIWRERAWGKNPNTHTLLQQGSHS >Vigun05g001900.2.v1.2 pep primary_assembly:ASM411807v1:5:154400:157100:1 gene:Vigun05g001900.v1.2 transcript:Vigun05g001900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIMQSAPGSCGYLGLYPERKMSFFKNPYILGLAAAAGIGGLLFGYDTGVISGALLYIKDDFQEVRDSSFLQETIVSMAMAGAIVGAAAGGWVNDAYGRKKATLFADVTFGLGAIIMAAAPDPYVLILGRLLVGLGVGTASVTSPVYIAEASPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTGVPGTWRWMLGVSGVPAVIQFVLMLFLPESPRWLFIKNRKSEAVDVLSKIYDVGRLEDEVDFLTAQSEQERQRRSNIKFWDVFKSKEIRLAFLVGGGLLAFQQFTGISTVMYYSPTIVQMAGFQSNQMALLLSLIVAGMNAAGTVLGIYLIDHAGRKKLALCSLAGAAVSLIVLAFAFYKQSTSSNALYGWLAIVGLAMYIAFFSPGMGHVPWTLSSEIYPEEYRGICGGMSATVMWVSNLIVAETFLSIADGIGIGSTFLITCAIAVAAFVFVAVYVPETKGLTFDEVEVIWRERAWGKNPNTHTLLQQGSHS >Vigun09g095800.1.v1.2 pep primary_assembly:ASM411807v1:9:14362052:14365556:1 gene:Vigun09g095800.v1.2 transcript:Vigun09g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSISKRRSSRRRSSSNFFPRYQSPYLPQSQDQGSVRHYGYSSPSSGGGPAPEQGKRSDGKYSRIGDNYKSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGARSFQRRCLHHIGHEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDERFCQGFEEVLGRYRELVPQLKLAGPTSFAPVIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTEHGQLSAQEKKTVEAIVKASEYPLSIILVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKETEFALAALMEIPSQYKATIELNILGTRSGKDIERVPLPPPLYGAASFNSPKTSRQNSFRPSAPSSRHDVSRNPPATSASDNQVCPICLTNPKDMAFGCGHQTCCECGQDLELCPICRSSIDTRIKLY >Vigun09g095800.2.v1.2 pep primary_assembly:ASM411807v1:9:14362052:14365556:1 gene:Vigun09g095800.v1.2 transcript:Vigun09g095800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSISKRRSSRRRSSSNFFPRYQSPYLPQSQDQGSVRHYGYSSPSSGGGPAPEQGKRSDGKYSRIGDNYKSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGARSFQRRCLHHIGHEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDERFCQGFEEVLGRYRELVPQLKLAGPTSFAPVIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTEHGQLSAQEKKTVEAIVKASEYPLSIILVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKETEFALAALMEIPSQYKATIELNILGTRSGKDIERVPLPPPLYGAASFNSPKTSRQNSFRPSAPSSRHDVSRNPPATSASDNQVCPICLTNPKDMAFGCGHQTCCECGQDLELCPICRSSIDTRIKLY >Vigun08g013100.1.v1.2 pep primary_assembly:ASM411807v1:8:1127494:1129312:-1 gene:Vigun08g013100.v1.2 transcript:Vigun08g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVMSVELRCSKCKRKVMKLIATVAGINSIVLDPDKNTVTVVGEADPVRIIKNVRKFRKSATIVSFGSSKEEKKEHQKKEEKKKDVVSRTPNLCQRFDVWHDWYVTVDEGYSHCSIM >Vigun04g079400.1.v1.2 pep primary_assembly:ASM411807v1:4:11054900:11060424:-1 gene:Vigun04g079400.v1.2 transcript:Vigun04g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQTVQRFEGCISASRRNGDVHMKWKKHLFGNWVRSMSSKPQSNDNRSTSYSVPKERVECVVIGAGVVGIAVARALALKGREVLVVESAPTFGTGTSSRSSEVIHAGIYYPANSFKAIFCKRGREMLYEYCSKHDIPHKQIGKLIVATRSSEIPKLCDILNRGIQNGVDGLKMVDGVDAMKMEPELQCMKAILSPVTGIVDSHSLMLSLVGEAENHGATFTYNSTVIGGHVEGNDICVHVTETERLKEWKGTSILHPELLLSSKLIVNSTGLSAPALAKRFSGLKSGVVPPSYYARGCYFTLSNTKASPFRHLIYPIPEDGGIGVHVTIDLNGQVKFGPNVEWIDSVDDISSFQNKFDYSVNPNHAERFYPEIRKYYPNLKDGSLEPGYSGIRPKLSGPSQLPADFVIQGEDIHGVPGLINLFGIESPGLTSSMAIAEFICTKFVG >Vigun05g272800.1.v1.2 pep primary_assembly:ASM411807v1:5:46365050:46366497:-1 gene:Vigun05g272800.v1.2 transcript:Vigun05g272800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLRGRLPWQGLKAGTTKLEKISEKKVGTSIESLCHGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFSDLFIREGFQFDDVFDWTNLNYQQSQIASPAARAIGSAAGPSSAVPPAVVNADRHTGEATVPSESNGSKDVTLCSSNMFRPSCDATMGNESEPSHPLTMDAQRSSIMSFEHSQISSARNTSNMKNFESTIRGLETLNFNDERLQY >Vigun01g067200.1.v1.2 pep primary_assembly:ASM411807v1:1:18018951:18026844:-1 gene:Vigun01g067200.v1.2 transcript:Vigun01g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPKIAPSMLSSDFANLASEAQRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPLAKAGASGFTFHVETLKNDWEELIQRIKSHGMRPGVSVKPGTPIEEVFPLVEAENPVEMVLVMTVEPGFGGQKFIPQMMDKVRILRKKYPSLDIEVDGGLGPSTIEVAASAGANCIVAGSSVFGAPEPAQVISLLRNSVEKAQQTLIQ >Vigun02g112000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26614943:26615596:-1 gene:Vigun02g112000.v1.2 transcript:Vigun02g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILRILKSADNASAMTAAAPPPEAVALESDFVVILAALLCALICVVGLVAVARCAWLRRGPGGGNSPRQAVVNKGLKKKVLQSLPRFAYVDSNPSKWVATSECAICLGDFAAGDEIRVLPQCGHGFHVSCIDRWLGSHSSCPSCRQVLAVARCQKCGRFPATASRSGASEPELKSREDSNAASISNCNNNNGDGGGSGNHANHHHSHHSNSGFLP >Vigun05g032600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2604277:2606262:-1 gene:Vigun05g032600.v1.2 transcript:Vigun05g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVQVFSIAPTLDSEELPTQTSLPLTFFDILWLRSPPIQRILFYQFSHPTPPFFHTLLPKLINSLSLALGHFFPLAGHLTWPLHSQNPIINYKTGDTVSLTAAESDADFNHLAGSNLWESEKMHHLLPHLTISHEQATILALQITLFPNSGFSIGITSHRAVLDAKTSTSFIKSWAYLCRESRAATCLPPELCPFYERILVKDPNQIGEKFVNDWLMQGGSNNRSLMVWDVQSPEHATGGLFQLSGLDIEKLKNCVVSKQGQNNNINLHLSTFVLSLAYAWVCRVRAEEMENERVMLILTVDCRGCLEPPLPPTYFGNCVGLRLATAERRELLGEDGLFVAVEALSEALESVKKDGVLNGAENWSSWLLEADVKAIGVAGLPNLEAYSCDFGWGGPKKVETVSVERTTVFSLSDSNSGEGIEIGFVSKKTTMENFASLFAKGLQS >Vigun11g035000.3.v1.2 pep primary_assembly:ASM411807v1:11:4721242:4725518:1 gene:Vigun11g035000.v1.2 transcript:Vigun11g035000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSMFIASSVFSPSPSTLFGSHKICSSFKTISKQSHAFLHRNPFFLPQLNLGSKRALSSVCFSNAGDKESGVEWPILRRWEVPWEWQTVSLTSLACGLGFVLTGLTEVIALPYLGIQPDVLSLDDKAEILLLDQGITTAVVLGIIYSVANTFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVSAIIAIALTGVAVSFFSGETPQREYCLLGGYHRCSCSTP >Vigun11g035000.2.v1.2 pep primary_assembly:ASM411807v1:11:4721403:4725204:1 gene:Vigun11g035000.v1.2 transcript:Vigun11g035000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSMFIASSVFSPSPSTLFGSHKICSSFKTISKQSHAFLHRNPFFLPQLNLGSKRALSSVCFSNAGDKSVFQESGVEWPILRRWEVPWEWQTVSLTSLACGLGFVLTGLTEVIALPYLGIQPDVLSLDDKAEILLLDQGITTAVVLGIIYSVANTFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVSAIIAIALTGVAVSFFSGETPQRETDALVRLLPLIGSSNLSTACLVGITGVLAPLLEETVFRGFFMTSLTKWVPTPVAVVISASVFALAHLTPGEFPQLFVLGTALGFSYAQTHNLLTPITIHAFWNSGVILFLTFLQLQGYDIRELLQAT >Vigun11g035000.1.v1.2 pep primary_assembly:ASM411807v1:11:4721227:4725521:1 gene:Vigun11g035000.v1.2 transcript:Vigun11g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSMFIASSVFSPSPSTLFGSHKICSSFKTISKQSHAFLHRNPFFLPQLNLGSKRALSSVCFSNAGDKESGVEWPILRRWEVPWEWQTVSLTSLACGLGFVLTGLTEVIALPYLGIQPDVLSLDDKAEILLLDQGITTAVVLGIIYSVANTFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVSAIIAIALTGVAVSFFSGETPQRETDALVRLLPLIGSSNLSTACLVGITGVLAPLLEETVFRGFFMTSLTKWVPTPVAVVISASVFALAHLTPGEFPQLFVLGTALGFSYAQTHNLLTPITIHAFWNSGVILFLTFLQLQGYDIRELLQAT >Vigun02g114200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26784415:26785598:1 gene:Vigun02g114200.v1.2 transcript:Vigun02g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAFHNYALPVFLSLLAVTGGSHLNHRNHRRERGNPAPKSLHFTLFQHETINKTGFVTVNGVEGGEGKSENSTPFGTVLVFQDPLTAKPNRSSKQLGTAEGTSITSGLDGLSSISVAKLTLRLNNHKGSISIVGGTNTLERSDYPVVGGTEDFLFVHGYVTSSPLHVNPPTLVFKIGFHLYWPPYPSQPSY >Vigun02g120300.1.v1.2 pep primary_assembly:ASM411807v1:2:27288659:27291558:1 gene:Vigun02g120300.v1.2 transcript:Vigun02g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQNLLSHLSLVILWSFAIVTQQTSLVLSLPNNETVPAVIVFGDSIVDTGNNNFLNTIFKCNFQPYGKDFGGGNQPTGRFSNGIIPSDIIAAKFGVKKILPPYLDPKLQPQDLLTGVSFASGGSGYDPLTSKSASVLSLTDQLNKFREYRSKIEKIVGENRTATIVSKGIYILCTGSNDIANTYSFSPIRRPHFDIPAYTDLMTSQATNFLQELYGLGARRIGVIGLPALGCVPSQRTIKGGLLRNCSDSENQATMLFNTKLSSQIDALNQKFSEARLVYLDIYNPLLKMILNPAKYGFEVANKGCCGTGNFEAGILCNSLTPHICSNTKNYIFWDSFHPTQEAYNVLCSLVLDNKINDFF >VigunL059178.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000503.1:16931:17297:-1 gene:VigunL059178.v1.2 transcript:VigunL059178.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun05g258000.2.v1.2 pep primary_assembly:ASM411807v1:5:45183807:45185898:-1 gene:Vigun05g258000.v1.2 transcript:Vigun05g258000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLFRDFKKQASFFLKEKFKTARLALTDVTPAELMTEEVTRGNPWAPDSPTLRSISRAAFELDDYWRIVEILHKRLLKFERKNWRASYNSLIVVEHLLTHGPESVAEEFQSDKDVISQMKDFHYTDDSGFNWGVTVRKKSERILKLMEEGTLLKEERNRARNLSRGIQGFGSFSQRSTQEQGVLHEKSLPTTFDRSNSDFNNGQSQENQSFGSHHFLDTAAVKSPTPSHEGGFLKSQDDCVEPESYLHDHGNAQMHQKFETSSKEMMTPCKEFHLWNLKGESNPLLDGNQENDSRLGMFTAEDDHPFNSKEMHSTSSLLPSG >Vigun05g258000.3.v1.2 pep primary_assembly:ASM411807v1:5:45183807:45185865:-1 gene:Vigun05g258000.v1.2 transcript:Vigun05g258000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLFRDFKKQASFFLKEKFKTARLALTDVTPAELMTEEVTRGNPWAPDSPTLRSISRAAFELDDYWRIVEILHKRLLKFERKNWRASYNSLIVVEHLLTHGPESVAEEFQSDKDVISQMKDFHYTDDSGFNWGVTVRKKSERILKLMEEGTLLKEERNRARNLSRGIQGFGSFSQRSTQEQGVLHEKSLPTTFDRSNSDFNNGQSQENQSFGSHHFLDTAAVKSPTPSHEGGFLKSQDDCVEPESYLHDHGNAQMHQKFETSSKEMMTPCKEFHLWNLKGESNPLLDGNQENDSRLGMFTAEDDHPFNSKEMHSTSSLLPSG >Vigun05g258000.1.v1.2 pep primary_assembly:ASM411807v1:5:45183570:45185768:-1 gene:Vigun05g258000.v1.2 transcript:Vigun05g258000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLFRDFKKQASFFLKEKFKTARLALTDVTPAELMTEEVTRGNPWAPDSPTLRSISRAAFELDDYWRIVEILHKRLLKFERKNWRASYNSLIVVEHLLTHGPESVAEEFQSDKDVISQMKDFHYTDDSGFNWGVTVRKKSERILKLMEEGTLLKEERNRARNLSRGIQGFGSFSQRSTQEQGVLHEKSLPTTFDRSNSDFNNGQSQENQSFGSHHFLDTAAVKSPTPSHEGGFLKSQDDCVEPESYLHDHGNAQMHQKFETSSKEMMTPCKEFHLWNLKGESNPLLDGNQENDSRLGMFTAEDDHPFNSKEMHSTSSLLPSG >Vigun07g015600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1426077:1427142:1 gene:Vigun07g015600.v1.2 transcript:Vigun07g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKTLSARNQASSKGLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFEHIISCLSAQ >Vigun05g140800.1.v1.2 pep primary_assembly:ASM411807v1:5:17414533:17416429:1 gene:Vigun05g140800.v1.2 transcript:Vigun05g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYYKKKSQVPAFGSWDWNDNLPFTQCFETARQAGLLRCSYSESEDRDLYVTGDLYENNVVTPAMIVVPRRRTKVFDQHEKETKLKNWISDDVDSSAPSSPPLRRPTSKPVDEDLYKISPGLLYAKAKKKRGLCFFSSCLLPTCIA >Vigun09g228800.1.v1.2 pep primary_assembly:ASM411807v1:9:40079810:40084292:-1 gene:Vigun09g228800.v1.2 transcript:Vigun09g228800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMDERNTDDGSNHNWLGFSLSPHMNLEVTSAATVPNPTVPTTFYMSSPHISTSGICYGVGGNGNFHSPLTVMPIKSDGSLCILEALNRSQSQVMTQNSTPKLEDFLGGATMGTHEYGSHERGANAMALSLDSIYYSTQNAQAQPNRDTLSEPFRQQGQMNVQTHPYYSGLGCHGMYQAQIEDEATKEAHALVCSSLMPQMTEDSLKNWVASREYSTHQQVLEQQMNCGMGNERSGVSLGTVGCGELQSLSLSMSPGSQSSCVTAPSGPDSVAVDAKKRGHVKLGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFSIENYHVELEEMKSMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGANAVTNFDISRYDVERIMASINLLAGELARRNKDNDPRNEARDCGMKNNNNNKGVMTSEGRNSNEKDSEWKMVLFNEGSQQQQQSNGSEEKIMKCGNYRNSAFSGALQDLIGIDSVEECGKIGTHFSNASSLVTSLSSSREGSPEKTGPSLLFPKPSMETKIVNPISTSVTSWLPSPTVQMRPPPAISLSHLPVFAAWTDT >Vigun01g012600.1.v1.2 pep primary_assembly:ASM411807v1:1:1408148:1410195:1 gene:Vigun01g012600.v1.2 transcript:Vigun01g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFSIESENVQSNGKITTYVVVSCTMAAMGGLLFGYDIGIAGGVTSMDSFLKKFFHTVYVKKQEAKVSNYCVFDSQLLTSFTSSLYVAGLVTSFFSSHVTKAFGRKPSILAGGAAFLAGTALGAAASNVFMLIFGRLLLGVGVGFANQAVPVYLSEMALPRLRGAISNGFQFSVCIGSVFATLINYGAEKIKGGWGWRVSLAMAAVPASVLTLGAIFLPETPNSLIQRSHDHQKAKLLLQRIRGVEDVQEELDSLIRASSTKTDEKQTLKIILKRRYRPQLVMAIAMPFFQQMTGINVIGCYAPLLYRTMGLGESASLLSAVITGIIGLAATFISMFLVDRLGRRALFMIGGIQMFASQVIIGAIMAFHLKDHGGLSKGYAFVVLAMVCLYVVGFGLSWGPLGWLVPSEIFPLEIRSVGQSITVAVNLIFTFTVSQTFLTMLCHLKSGIFFLFGGWMVVMTLFVHYFLPETKSVPLEEMEKVWQEHWFWKRIVGEMSDKEHKLEISI >Vigun01g252100.1.v1.2 pep primary_assembly:ASM411807v1:1:41826082:41829764:-1 gene:Vigun01g252100.v1.2 transcript:Vigun01g252100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVEKTSSGREYKVKDMSLADFGRLEIELAEVEMPGLISCRKEYGPSQPFKGTRITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPDGGPDLIVDDGGDTTLLIHEGVKAEQAFEKSGQLPDPSSSDNAEFQIVLTIIKDGLKTDPKKYHRMKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKVAGARVIVTEIDPICALQAMMEGLQVLTLEDVVSVADIFVTTTGNKDIIMVSHMKKMKNNAIVCNIGHFDNEIDMMGLENYPGVKRISIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKGTGKYEKKVYVLPKQLDEKVAALHLGQLGAKLTKLTKDQADYISVPVEGPYKPAHYRY >Vigun08g052400.1.v1.2 pep primary_assembly:ASM411807v1:8:6233012:6240058:-1 gene:Vigun08g052400.v1.2 transcript:Vigun08g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERALFLLLLLLLLLHHICATKAHKKHVCPPSSCGKISNITYPFRLKGDPEKCGEESYELGCENNVTLLYMNSAQYHVQAINYNNYTVRVVDPAIQHHNCSSLPLRSLSRSNFSHTYTYSYYSSGPYQAGLRAYENRESLTLSLEHIVFVNCNHSLRGNGKYVETEKCVKWESKGYAYALVAPTSLWSFNNHSYASMHKALAYGFEISWLKLVCQKNPCLEVMCYFDYAIQNRCRRVIGILTSMAWVYKIMFGLPFLIMIFVCKWRKRHASTYANIENYLEQNHLAPIRYSYKEIKKMTRGFKEKLGEGGFGFVFKAKLRSGPFVPIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCVSGSKRALVYEFMSNGSLDKLIFSRARSIDLSYKKIYNISIGVARGIVYLHHGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDNSFVTMTTTRGTIGYMAPELFYNNVGGISHKADVYSFGMLLMEMASKRKNLNPHVEHSNLTKEEKIIAKKLIIVALWCIQLKPKDRPSMNKVVEMLEGGIEDLEIPPKPTLFPDEMSLEDQTTSSI >Vigun03g133200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13054783:13055743:1 gene:Vigun03g133200.v1.2 transcript:Vigun03g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLSSSSSLSMCKPFQSHGLPLSKQQRVSTVKFGSVSCRATTQENLYKVLSVSPGRATTDEIKRAYRSMALRYHPDVCHDPSMKEESTRLFVLVNAAYKTLSNPRLREQYDSELGLRSKVMSAGSDDERWGSVSVCSDFERWGSTFQEEVIEVKTRSRRRMGQKGGSSATRMRTQNMKDRH >Vigun05g281700.1.v1.2 pep primary_assembly:ASM411807v1:5:47084707:47089483:1 gene:Vigun05g281700.v1.2 transcript:Vigun05g281700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVQRTPRSGRQSLFFQDLASPVSTRRGKVSSPGQAAAVSALWRENFGSSDLPPPPVFTLEDRSDFSPESGILDYQVSPEIKSDTRTPIQTSNREFSTPGKSKSEASTSYALRGVQQNQQTSPGLSWWSPATAKSVGEQDEKGKSSPVEGVVQPGALITLPPPLEVARPEVQRNSLPAGNLNEEEWVTVYGFSPGDTNMVLREFEKCGEILKHVPGPRDANWLHILYQNRSDAHKALNKNGMQINGVLIVGVKLLDPMQRQALNERVNNQGFMPLPLPSARNSEVSTLKAPTRPYYQQNGNSTARQTGGTIASPTKSLVSKIMDLMFGV >Vigun05g281700.2.v1.2 pep primary_assembly:ASM411807v1:5:47084801:47089483:1 gene:Vigun05g281700.v1.2 transcript:Vigun05g281700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVQRTPRSGRQSLFFQDLASPVSTRRGKVSSPGQAAAVSALWRENFGSSDLPPPPVFTLEDRSDFSPESGILDYQVSPEIKSDTRTPIQTSNREFSTPGKSKSEASTSYALRGVQQNQQTSPGLSWWSPATAKSVGEQDEKGKSSPVEGVVQPGALITLPPPLEVARPEVQRNSLPAGNLNEEEWVTVYGFSPGDTNMVLREFEKCGEILKHVPGPRDANWLHILYQNRSDAHKALNKNGMQINGVLIVGVKLLDPMQRQALNERVNNQGFMPLPLPSARNSEVSTLKAPTRPYYQQNGNSTARQTGGTIASPTKSLVSKIMDLMFGV >Vigun04g064000.2.v1.2 pep primary_assembly:ASM411807v1:4:6946469:6947436:-1 gene:Vigun04g064000.v1.2 transcript:Vigun04g064000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNWYHDILLVGEGDFSFSLSLATAFGTAENMVATSLDSRVSLTMKYAKAAQNLNELENLGCTIVNEVDAHKMAQHPLLQYRKFDCIIYNFPHAGFHGRESDAYQIQLHKNLVRGFLKNAKLMLRNGGEIHITHKTKHPYTLWDIKLLGNEEGLFCVEEVDFNQALYPGYSNKRGSGSSCDQSFIVGQCSTFKFIADFYL >Vigun06g103700.2.v1.2 pep primary_assembly:ASM411807v1:6:23325775:23328958:-1 gene:Vigun06g103700.v1.2 transcript:Vigun06g103700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWLKLKAKRKPSSLSVILTTTLLLLVLLFFAINPPSPSPIATPRRSLLLAPSPCSGKSDGILNYQCLFPQHTALSIPFLSLFLLLHFYILITTAQDHFSLVTTKLASHLALSPSMAAVTLLSLGNGAPDVFSSLAALRAGQYRTGFGAILSAGTFVSALVVGFVAIYAAPFSVDPAPFVRDVLFYLTAAMFLFYVYLSAEIFLWQAVGFVAFYLFFVGFVFYMDLGMADRTQKSSADLEGQLESDPDPVVSRSAEGEKRASGVRGVFRLISKTWELPVETLLRLTIPQPAPAQWSRFYASANIALCPLALLYACNSFMPFNHPIVFLLPNTHFPLCRRAGELPGSYRHTSETAACTPGSYCAGMGKFSR >Vigun06g103700.1.v1.2 pep primary_assembly:ASM411807v1:6:23325775:23328958:-1 gene:Vigun06g103700.v1.2 transcript:Vigun06g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWLKLKAKRKPSSLSVILTTTLLLLVLLFFAINPPSPSPIATPRRSLLLAPSPCSGKSDGILNYQCLFPQHTALSIPFLSLFLLLHFYILITTAQDHFSLVTTKLASHLALSPSMAAVTLLSLGNGAPDVFSSLAALRAGQYRTGFGAILSAGTFVSALVVGFVAIYAAPFSVDPAPFVRDVLFYLTAAMFLFYVYLSAEIFLWQAVGFVAFYLFFVGFVFYMDLGMADRTQKSSADLEGQLESDPDPVVSRSAEGEKRASGVRGVFRLISKTWELPVETLLRLTIPQPAPAQWSRFYASANIALCPLALLYACNSFMPFNHPIVFLLPNTHFPLWSVVFMTSFSLAFLHFIIEKQPPKTEHLPVVVMAFVMSVFWISTTAGELVNCLEAIGTHLKLPPALLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGSALVIQTANVYPNAYQLNFHVGIVIAFVFLLLSLMGSLLVITWCRFRVPRFWGFCLVGIYAAFTAASLGIAMFSG >Vigun01g024100.1.v1.2 pep primary_assembly:ASM411807v1:1:2620144:2623614:1 gene:Vigun01g024100.v1.2 transcript:Vigun01g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSVAVVSLFITMFVSSGVCFGSFLEDTYHLTNYQHFSVKPNNEHFGNIMRRAYGSNPSTGSQRMISVDDYGAKANDGRDDTKAFEKAWNEACSSGGVLVVPQAKIYHLKPITFSGPCQPNTAFRVYGSIRAWPHISAYGKQRLLWIMFQNLTNLRVDGGGTINGNGRKWWQNSCKTNENLPCRHAPTAVTFYGCNNLRVTNLRFKNAQQMHVRFQKCNNVTASNLILRAPGNSPNTDGIHVTETTNIMISNSSIGTGDDCISIVSGSENVRVIDVTCGPGHGISIGSLGAGNSEAQVSNVLVNRATITGTTNGVRIKTWQGGSGYATNIKFQNIAMRNVTNPIIVDQNYCDQEKPCKQKVSAVQLSNIVYENIRGTSASEVAIKFECSKTFPCKGIYLQDVMLTAQDHGASKTIATCQNVRYVNRGNFFPSCSN >Vigun01g024100.2.v1.2 pep primary_assembly:ASM411807v1:1:2620143:2623614:1 gene:Vigun01g024100.v1.2 transcript:Vigun01g024100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSVAVVSLFITMFVSSGVCFGSFLEDTYHLTNYQHFSVKPNNEHFGNIMRRAYGSNPSTGSQRMISVDDYGAKANDGRDDTKAFEKAWNEACSSGGVLVVPQAKIYHLKPITFSGPCQPNTAFRVYGSIRAWPHISAYGKQRLLWIMFQNLTNLRVDGGGTINGNGRKWWQNSCKTNENLPCRHAPTAVTFYGCNNLRVTNLRFKNAQQMHVRFQKCNNVTASNLILRAPGNSPNTDGIHVTETTNIMISNSSIGTGDDCISIVSGSENVRVIDVTCGPGHGISIGSLGAGNSEAQVSNVLVNRATITGTTNGVRIKTWGVLDMRLT >VigunL044550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:462912:463549:1 gene:VigunL044550.v1.2 transcript:VigunL044550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun07g129800.1.v1.2 pep primary_assembly:ASM411807v1:7:23870015:23872434:1 gene:Vigun07g129800.v1.2 transcript:Vigun07g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNATMVSAVSLILVVGVALGVVAFVSTGDEEPIAAAGDSTGKLTTHAKAVQAVCQNSDDKEFCSDTFSSVNTSDPTAYVKTVVKKATQDVIKAFNLSDTLTVENTKGNSSIKMALDDCKDLLEFAIDELEASQLIVQENNINNINARAADLKNWIGAVVAYKESCLDGFDTDGEKEVQSKLKTESLDSVGKLTALALDVVSGFAEILSDFNLDLITKTSSRRLLDVDQEGYPSWLSMPDRKLLADTASVKPNVVVAKDGSGDYKSVEEAINSYPKNHQGRYIIYVKAGTYDEYIKVDKKKARLLIYGDGPTKSIITGNKNVVDGYKTIRTATFSTVAEDFIAKSIAFVNTAGAAKHQAVALRVQGDRSAFFDCAMHGYQDTLYTHAHRQFYRNCEISGTVDFIFGYGTTLIQNSRIIVRKPDPNQQNIVVADGTDQKNMPTGAVLQNCEIVAEPELEQVKTSFKSYLARPWKAYSRAIFLENTITDVIQADGFLPWNGDLNLDTCFFAEYENTGPGADVKARVKWSKGVLTKDDATKYTADQWLQANAWLPATGIPYTPGFNKA >Vigun11g061900.1.v1.2 pep primary_assembly:ASM411807v1:11:13801036:13801668:1 gene:Vigun11g061900.v1.2 transcript:Vigun11g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILVATLLFVLVSQGYSQCSLSNIVVTQSPTGRKVLGKTEWTVTITNRCACYQKNVKLNCKGFQTVEAVEASYLKVLGDVCLVSDGQPLFNGVIEFNYAWDTQFPFNPISSDIEC >Vigun07g084900.1.v1.2 pep primary_assembly:ASM411807v1:7:12680702:12682312:-1 gene:Vigun07g084900.v1.2 transcript:Vigun07g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFSFILFFSPFLPSFANPHHSCIASLPAVPTLFSISFRFLLYEIENMLKMKFLDWYLKIGFVSALVGASMEVFMIKTGFYDKVTVLESEKRAWENSPDAQAIREALNPWRHIEAEETKKS >Vigun03g382300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58707361:58709536:1 gene:Vigun03g382300.v1.2 transcript:Vigun03g382300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLLGVFAFCQTILQAVFPPELRFASVKLFNRIFHCFSSYCYFDITEIDGVNTNELYNAVQLYLSSSVSITGNRLSLTRAVNSSGFTFGLANNDSIVDTFNGVNVLWEHVVTQRQAQTFSWRPLPDEKRGFTLRIKKKDKALVLNSYLDYIMEKASDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPQKKREIMEDLQDFANGQSFYHKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRNNKGNTSVSASRSYYDSELRGGGGGGGGCGEEGGNTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALKILLKNYLGCEECELEETILKQLEEVVDVARMTPADISEVLIKNRRKKEKAVEELLETLKVRAEMNEKSGVLMRGENGVEEEEEEEQEKRALDSESPKEESEIEDNCKEEEEEEKVK >Vigun09g181000.1.v1.2 pep primary_assembly:ASM411807v1:9:35380722:35426760:-1 gene:Vigun09g181000.v1.2 transcript:Vigun09g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSAGHTGESSGFQGQKCSPPGPKIRFSGSGDEAIVNTLWERYEKADDKVEKKRLLNVFMKQFVIHYKDWEPVNSGILLESASVESLSSSDDVIVGCSAGHPVEVIRVLIEEVTQLISLVSDLNSSLGQSLTDFSGAAAKLFITSEGFFILDALKIIAHSLYNCRVFGYYGGIRKLTALMKGAVVQLKTISQTLSADANCSDSAVEKMKLLQQILTYVVSIIYIFIDLGSNIDKRDELFCSLLGFISHAETPIISSNSSKVLSTEARLHWRQKAIVSVMEAGGLNWLVELLRVIRRFSLKELLMDDSLLYLCLKILSLALSANLRGQNHFRSIGGLEVLLDGLGFPSNYAKTYRNLVLADGFRDDKPLQKIFQLHILSLEVLREAVFGNMISLQFLCENGRVHKFANSFCSPAFLLQDLGQEKDSTVEHAVGMPGLDIRENENYVKPDAAVLSSGLPSHASFSDIWNDYVVMLSRGLCSFLLASEGSKSLHVQVSSGRLALPVSSAYYELSIKWVMRVLFTIFPCIKTCSSQSDLPSYLRIFVSALQNTVLNAFRNLLSSSPMSLEIFRQEGIWDLMFSENFFYFPSGSDESAGQMFAYNEKSEILSASRSTGNTAEVSGVNNLQMEIISFVEFAATCSGNTQNMRELSSLLDALEHCACNPEIACVLVRCLIRILQLSPERTIASFKALSAASRVLQVACVQAHEYRSPGSMIPSNEKSATEASVSLQDQCTSNLPVTIRSSFNCMKVCMEFFAKFLAAAKDTKLILHSFTCIDCLFDLFWVEGLRDDVLRHILNLMKIMPFSEEDKRAKLQLCSKYLEMFTQLKERESSFVDLSIDLLVGMRDTLQTNLSYYQTLFRDGECFLHVVSLLNSNLDGANGEKLVLNVLQTLTCLLASNDASKAAFRALAGKGYQTLQSLLLDFCQSHSSESLLDALLDMLVDGKFDDKMSAMIKNEDVIILYLIVLQKSSESLKHHGLEKFQQLLKDSISNRASCVRAGMLDFLLNWFSQEDNDSVIFQIAQLIQAIGGHSISGKDIRKIFALLRSEKVGMRRQYCSVLLTSLLAMLHEKGPTAFFDLDGIDSGIILKTPLQWPLTKGFSFSCWLRIENFPRNGAMGLFSFLTENGRGSLAVLAKEKLTYESINLKRQRVDLRVNLVRKRWHFLCLTHSIGRAFSAGSLVRCYLDGELVSSEKCRYAKVSESLTSCMIGAKIKMPHYEDNVLTFESIGDSCPFFGQIGPVYLFNDAISAEQVQSIYSLGPSYMYSFLDNEALPVSVSGDKVPSGILDAKDGLVSRIIFGLNAQASVGRMLFNVSPITSHHQLDKNSFEAAVIGGTQLCSRRLLQQIIYCVGGVSVLFPLIAQCSKFENEDRESANGVPLTQTMREWVTTEVIELIASLLDENLANQQQMYIVSGFSVLGFLLQSVPPRQLNLETLSALRHLFNVVSNSGLAEMLVKEAVCNIFLNPHIWVCTVYKVQRELYMFLIQQFDNDPRLLRSLCRLPRVLDIIHQFYCENVKYESFAGSNPLQLSVSEQVTKERRGIPSKEEMHKIRLLLLSLGEMSLRQNIAAGDIKALIAFFEKSQDMACIEDVLHMIIRAVSQLSLLSSFLEQVNIIGGCQVFVNLLQRGSESIRLLSLQFIGRLLVGLPAEKKGSRFFNLPVGRPRSISDSQKKIRMQPIFSAISDRLFCFPQTDILCATLFDVLLGGASPKQVLQRHPLERVRSKGSHFLLPQMLPLIFRYLLGCKNAPARMKVMNDLLDLLDSNASNIEAFMEYGWNAWLTSSLRLDVLKDYNAKLPDKGDNEMDELHLVRNLYSLVLSHYLHSVKGGWQQMEETVNFLLMHSEEGGHSYRYFLRDIYEDLIQNQVDLSAAVDGIFISQPCRDNTLYLLRLIDEMLISEIDKDLPFLGSDFDFHVDLEMEQHKEYNTALKEVLIEEADVQTSSKSQNSNQPIPKDDTMEEKWWNLYDKLWVVIGKMNGKGSSNMLAKSSALVGPSLGQRARGLVESLNIPAAEVAAVVVAGGISTALTAKPNKNVDKAMVLRGERCPRIIYRLVILYLCKSSMERASRCVHQFISLLPCLLNADDEQSKSRLQLIIWTLLFVRTQYGTLDDGVRFHLLSHLIRETVNIGKSMLATSLASRDEASDPGYNSKEASSIQNLIQMDRVLTAVNDEAKYIKTSKMDRSQQIQELRSKVDENSSGEFSSKKAFEDDIQSSLNSVIASDDSRIAEFQLTSEEKQQNVAEKWIHMFRSLIDERGPWSAKPFPNTVVTHWKLDKIEDTWRRRPKLRQNYHFDESLCSPLSATGSGVATPVSESNHGFVGNIPDQMKQLLLKGIRKITDEGTSDTNETNTDISGQKTQTPGDHSDCQSSELPKDVSDRKDTVQERKDTSSSPETEASEVLVSIPCVLVTPKRKLAGHLAVMKNVLHFSAQFLVEGTGGSSVFKNFDASLNSDLTKSDVKQRSYKWPVSGMDPQKGAAVGHAELTNGNGSIKLMRCVKRHRRWSVAKIKAVHWTRYLLRYTAIEIFFSDSVAPVFLNFASMKDAKDIGNLIVTTRNEYSFPKGSGRDKSGSISFVDRRVAQEMAETARENWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADHSSEVLDFNKSSTFRDLSKPIGALDTKRFEVFEDRYRSFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLTNTSDVKELIPEFFYMPEFLVNSNSYHFGVKQDGEPILDVGLPPWAKGSPEEFVRRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDLQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPDSISLTSIVCNASQCSSALLYVSLMDSNIVIVNEGLNLSVKMWLTTQLQSGGNFTFSGSQQDPFFGVGGSDILSPRKIGIPVPENVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRLVQSIRQHKDVVSCVAVTSDGNILATGSYDTTVMVWEVFRGKTIEKRIRNSQSELARKNHVIIETPCHILCGHDDIITCLYVSHELDIIISGSKDGTCVFHTLREGRYVRSLRHPSGSPITKLVVSQRGQIVIYADDDLSLHLYSINGKYLAACESNGRLNAVQLSRCGEFLVGAGDQGQIVVRSMYTLEVVKKYQGVGKVMTSLAVTPEECFLAGTKDGSLLVYSIENPQIRKTSHNKNTKSKLT >Vigun03g408000.1.v1.2 pep primary_assembly:ASM411807v1:3:61497546:61502031:-1 gene:Vigun03g408000.v1.2 transcript:Vigun03g408000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGFCTGDDSVAIADRGPFMQNTPTGNASYHGRHNAVPPPRPINFQPIAVPSITVDELKSLTDNFGSKSFIGEGAYGKVYQATLKTGRAVVVKKLDSSNQPEQEFLSQVSIVSRLKHENVVELVNYCVDGPFRALSYEYAPKGSLHDILHGRKGVKGSQPGEVLSWAQRVKIAVGAARGLEYLHEKAEIHIIHRYIKSSNILLFDDDVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGQLTSKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDVRLKGEYPSKSVAKMAAVAALCVQYEAEFRPNMSIIVKALQPLLNTRSTHAKGSPNM >Vigun05g049200.1.v1.2 pep primary_assembly:ASM411807v1:5:4242659:4247496:1 gene:Vigun05g049200.v1.2 transcript:Vigun05g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLYKERARKPCAKHFPEPMKRMSGSIFYLHLLLSCFVIFTLLLNAVHASKKCYIVYLGAHSHGPNPSSVDLQTATHSHYDLLGSILGSHEKAKEAIIYSYNKHVNGFAASLEEEEAAVIAKNPNVVSVFLSKEHKLHTTRSWEFLGLQRNGINSAWQRGRFGENTIIGNIDTGVWPESKSFTDQGLGPVPAKWRGGNVCQIRGLNKVPCNRKLIGARFFNKAYEAFNGQLPRSQQTARDFVGHGTHTLSTAGGNFVPGASVFGNGNGTAKGGSPRARVAAYKACWSLTDAASCFGADVLAAIDQAISDGVDIISVSVGGRTSLSAEEIFTDEVSIGAFHALGKNVLVVASAGNDGPTPGTVINVAPWLFTIAASTLDRDFSSTLTFGNNQQITGASLFVNIPPNQSFSLILSTDAKFGNATNRDARLCKAGTLDPRKVKGKIVSCIREGKIKSVAEGNEALSAGAMGMILGNQKQNGNTLLAEPHVLSTVNYPPGHKKTTPGFLISATDDTINSNTTIRMSSARTLLGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILASYSLFASASNLPSDTRRGFPFNVMQGTSMSCPHVAGIAGLIKTLHPDWSPAAIKSAIMTTASTRDNTNRTIRDAFDKKLANPFAYGSGHVQPNPAIDPGLVYDLSLVDYLNFLCASGYDQQLISALNFNKSFICSGSHTITDLNYPSITLPNLGLKAVTVTRTVTNVGPPSTYSAKAQLPGYTIAVVPNSLTFTKIGEKKTFRIVVQATSVTKRGNYNFGELLWTNGKHNVRSPITVRRI >Vigun02g076500.2.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889401:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.3.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.6.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.7.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.4.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.1.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSASSPATLPPNPQPSESAPPNPPDPLPQSSTPPSSTPLAVNPNPNPTLLPPPPALLFPAGTPPQVPGVLPPSFRPLAPQVPQFSPVPAPHPVYQNPAVPPPGVASAAVPQQMQPMMSYQVQPGNPAMRPFTPIPNGYAPAPTVTPAGIPRYPPPYGTMVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun02g076500.5.v1.2 pep primary_assembly:ASM411807v1:2:22882634:22889397:-1 gene:Vigun02g076500.v1.2 transcript:Vigun02g076500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVFPPRPPGAVNIPPISRPPVAGIPTVRPIIPPVVRPMVAPSATPAEKPQNTVYIGKIASTVENEFMLSLLQLCGTIKTWKRPQDLSTGTPTSFGFYEFESAEGVLRALRLLTKLNIDGQELKVNVNQAMKEHLERYVQKKAENLNKETQAGVVEKDEGEQTSSANEGTKAEKESSNKVDSESANKESHDVTNFGIVTDEDREGDREALEKITKMIEERLKTRPLPPPPAQPSGDGSVNLTSEQLVKTREGDSVDTEKNESAENKSEKETNSDKPSSERDRSESPDRRHDRKSRERDRDRELKREKERELERYEREAERERIRKEREQRRRIEEAERQYEAYLKEWEYREREKEKERQYEKEKEKERERKRRKEILYDEEDEDEDSRKRWRRSAIEEKRKKRLREKEDDMLDKLKEEEEIAEAKKKAEEEQKRQRDALKLLTEHVVNGGNENMITEEVTDEVKSNVTEQDTIADYSREDHTGDGNALNVTSDELTIVAPTDTQGNAPTKKLGFGLVGSGKRTTVPSVFHEEEDDDAHKDKKMRPLVPIDYSTEELQAVQPTVPGPTPPNLAAAAEFAKRISSTNFKEDKLDGERDRSRRSNDKSNHRDRDRNDEDGTHNRDENKDRIPERDRDRDHVSEKLKTSDNKRLLDAKQLIDMIPKTKEELFSYEIDWAVYDKHQLHERMRPWISKKIKEFLGEEETTLIDYIVSSTQEHVKASQMLDRLQIILDEEAEMFVLKMWRMLIFEIKKVETGLALRSKS >Vigun11g194200.1.v1.2 pep primary_assembly:ASM411807v1:11:39311244:39313805:1 gene:Vigun11g194200.v1.2 transcript:Vigun11g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRRNAEALVEKMMKGNDASHDAAHVWRVRDLALSLAEEEGLSSDPHSMQIVELAALLHDIADYKYLRDPSEEKIVENFLDQEGVEEEKKLKILKIIREMGFKEEVTGNGSNDWFPEFGVVQDADRLDAIGAIGIARCFTFGGSKKRLLHDPAILPRSDLSKEQYMNKEEQTTINHFHEKLLKLKDMMKTKAGKRRAERRHKFMEEFVKEFYDEWNGLN >Vigun08g040900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4120067:4121035:1 gene:Vigun08g040900.v1.2 transcript:Vigun08g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNRELQSRCKGKKEMKAASSSPVRVRWRQQPRDGGSRERRETDPDARKRKRWRQQRRHRCTCDGGSNLVTAVRWRQQGEKREEKPLRLCDDGGSKREEI >Vigun03g059900.1.v1.2 pep primary_assembly:ASM411807v1:3:4905788:4917925:1 gene:Vigun03g059900.v1.2 transcript:Vigun03g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSGSRWSRAEWVQQYDLLGKIGEGTYGLVFLARTKTPSPSKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKLNHSINQYTVKSLLWQLLNGLSYLHSNWMIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKATSNPFQLDQLDKIFKVLGHPTLEKWPSLASLPHWQQDVQHIQGHKYDNTGLYNVVHLSTKSPAYDLLSKMLEYDPRKRITAAQALEHEYFKIEPLPGRNALVPCQLGEKIVNYPTRPVDNTTDLEGTTNLPPSQAVTAVSGSMPGAHGSNRSVPRPMNVVGMPRLPPQAMAAYNLTSQAAMGDGMNPGSISKQRGVPQGHPQQLRRKEQMGMPGYPSQQKSRRI >Vigun03g059900.2.v1.2 pep primary_assembly:ASM411807v1:3:4905788:4913442:1 gene:Vigun03g059900.v1.2 transcript:Vigun03g059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSGSRWSRAEWVQQYDLLGKIGEGTYGLVFLARTKTPSPSKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKLNHSINQYTVKSLLWQLLNGLSYLHSNWMIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKATSNPFQLDQLDKIFKVLGHPTLEKWPSLASLPHWQQDVQHIQGHKYDNTGLYNVVHLSTKSPAYDLLSKMLEYDPRKRITAAQALEHEYFKIEPLPGRNALVPCQLGEKIVNYPTRPVDNTTDLEGTTNLPPSQAIRELLGENVGDS >Vigun06g144000.1.v1.2 pep primary_assembly:ASM411807v1:6:26979390:26981776:-1 gene:Vigun06g144000.v1.2 transcript:Vigun06g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHETCPSVKNILLLDSDGKRVAVKYFSEDWPTNGAKESFEKLVFTKTQKTNARTEAEIAMFENNIVVYKFVQDLHFFVTGGDYENELILVTVLQAFFDSVGLLLRGNVDKKEALENLDLILLCIDEIADGGLILETDPNVIAGKVASNSIDSGAPLSEQTLSQALATAREHLARSLLK >Vigun11g169400.2.v1.2 pep primary_assembly:ASM411807v1:11:37570341:37572260:1 gene:Vigun11g169400.v1.2 transcript:Vigun11g169400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWQMDYYRGNPVFFVIINNTKLQKVPEGFLKHLNEDFQNAVFIGPSGDNWQVTILKKGNNIYMHNGWPQFLTDNSVMLDDFLLFTYHGGNCFHVQIFGKNGLERLGFKQRRQDQVLIPSLVRTKKNTHRRTFSSSFLHQAKSYRKGLSFGNSRRFSFSKNFPKLKSSVKIESSEACYLADSFTSRNPHWKHLMTKCNVEDHCTLPIATEFARKHIPETVKQIILWNTEGKFWEVVVTWFGCQNKRYTRFTTGWGRFVRDNRLMRGDTCIFELEDQNHLSVHIFRTGFYSPKLF >Vigun11g169400.1.v1.2 pep primary_assembly:ASM411807v1:11:37570341:37572260:1 gene:Vigun11g169400.v1.2 transcript:Vigun11g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRDFSFYQKALIVQGNNREMWQMDYYRGNPVFFVIINNTKLQKVPEGFLKHLNEDFQNAVFIGPSGDNWQVTILKKGNNIYMHNGWPQFLTDNSVMLDDFLLFTYHGGNCFHVQIFGKNGLERLGFKQRRQDQVLIPSLVRTKKNTHRRTFSSSFLHQAKSYRKGLSFGNSRRFSFSKNFPKLKSSVKIESSEACYLADSFTSRNPHWKHLMTKCNVEDHCTLPIATEFARKHIPETVKQIILWNTEGKFWEVVVTWFGCQNKRYTRFTTGWGRFVRDNRLMRGDTCIFELEDQNHLSVHIFRTGFYSPKLF >Vigun09g084800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10805573:10807896:1 gene:Vigun09g084800.v1.2 transcript:Vigun09g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPQHQVAGHMAKNGVLGPLVDDSGKFYKPLQDNDRGSAELSFYTSLTVPPSIRPFFPTFHGTAVVPASDGSGPHTHLLLQDLISPYTKPSVMDVKIGSRTWHLGHSEDYIAKCLNKDRTTSTVPLGFRITGIKDSFSSWEPSRTFLQTLSAEGVAVVLRKFVSSSNSDNSQSDPDCDFAAEVLGAVFERLVELKAWFEVQTLYHFYSCSVLVVYEKEKGNTKPLVKLVDFAHVVSGNGVIDHNFLGGLCSFINFIRDILQALHH >Vigun09g084800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10805737:10807534:1 gene:Vigun09g084800.v1.2 transcript:Vigun09g084800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPQHQVAGHMAKNGVLGPLVDDSGKFYKPLQDNDRGSAELSFYTSLTVPPSIRPFFPTFHGTAVVPASDGSGPHTHLLLQDLISPYTKPSVMDVKIGSRTWHLGHSEDYIAKCLNKDRTTSTVPLGFRITGIKDSFSSWEPSRTFLQTLSAEGVAVVLRKFVSSSNSDNSQSDPDCDFAAEVLGAVFERLVELKAWFEVQTLYHFYSCSVLVVYEKEKGNTKPLVKLVDFAHVVSGNGVIDHNFLGGLCSFINFIRDILQALHH >Vigun09g084800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10805575:10807896:1 gene:Vigun09g084800.v1.2 transcript:Vigun09g084800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPQHQVAGHMAKNGVLGPLVDDSGKFYKPLQDNDRGSAELSFYTSLTVPPSIRPFFPTFHGTAVVPASDGSGPHTHLLLQDLISPYTKPSVMDVKIGSRTWHLGHSEDYIAKCLNKDRTTSTVPLGFRITGIKDSFSSWEPSRTFLQTLSAEGVAVVLRKFVSSSNSDNSQSDPDCDFAAEVLGAVFERLVELKAWFEVQTLYHFYSCSVLVVYEKEKGNTKPLVKLVDFAHVVSGNGVIDHNFLGGLCSFINFIRDILQALHH >Vigun09g084800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10806302:10807836:1 gene:Vigun09g084800.v1.2 transcript:Vigun09g084800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPQHQVAGHMAKNGVLGPLVDDSGKFYKPLQDNDRGSAELSFYTSLTVPPSIRPFFPTFHGTAVVPASDGSGPHTHLLLQDLISPYTKPSVMDVKIGSRTWHLGHSEDYIAKCLNKDRTTSTVPLGFRITGIKDSFSSWEPSRTFLQTLSAEGVAVVLRKFVSSSNSDNSQSDPDCDFAAEVLGAVFERLVELKAWFEVQTLYHFYSCSVLVVYEKEKGNTKPLVKLVDFAHVVSGNGVIDHNFLGGLCSFINFIRDILQALHH >Vigun07g166700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28124664:28125905:1 gene:Vigun07g166700.v1.2 transcript:Vigun07g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMHLFNENLSTNYCSFLCTPIMRAPTILRGLPIRHLQQKAPAKVAPLPQKTRFDRELAAELDTLRSQGEVGLPQLLDASITTQKIASDSLVKICYRDCADRGAVDKYLEDNVEILDACNYFMDKMGDINKYVESLRVVLRLADRGGAAKPTTTRALELLESCESMEKRCRAMENQRESCLKKMLRQKLCHESELSEVMCGSKAMALMSCRVVELGLSFDPKRGLPLMKMSEATSCWLRMLEELAKEAEGGDEKKEKKKKKMQRRRCWSSLLMQTEAAARELKEQMKGKREKEMKYAVERLKISCRELEHGVEIIEERVKSLYKSLIDVRMTLLGILSQPTTTLFRSN >Vigun05g045980.1.v1.2 pep primary_assembly:ASM411807v1:5:3828215:3831690:1 gene:Vigun05g045980.v1.2 transcript:Vigun05g045980.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEYLFQPCSVRDKKPRQNQLVIILSITIFLLMAFFLLLYLRHNSIAIKSKHARITETSKNGDLFCIWNYNGSIAYEDIITATEDFNLKYCIGTGAYGSVYRAQLPSGRIVAVKKLHGFEAEVPSFDESFRNEAKVLSEIKHRHIVKLHGFCLHKRIMFLIYEYMERGSLFSVLFDDMEAMELDWKKRVNIVKGTAHALSYLHHDCVPPIVHRDISASNVLLNSMWEPTVSDFGTARFLNLDSSNRTIVAGTIGYIAPELAYTMVVNEKCDVYSFGVVALETLMGKHPKEILSSLQSISTDDGIKLCEILDQRLPHPTFSVLQDIVVVAIVAFACLNPNPCSRPTMKRISQCFLCQLSPFNIPLHHISLQQLMSQELRQYLKL >Vigun05g045980.2.v1.2 pep primary_assembly:ASM411807v1:5:3828511:3831742:1 gene:Vigun05g045980.v1.2 transcript:Vigun05g045980.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEYLFQPCSVRDKKPRQNQLVIILSITIFLLMAFFLLLYLRHNSIAIKSKHARITETSKNGDLFCIWNYNGSIAYEDIITATEDFNLKYCIGTGAYGSVYRAQLPSGRIVAVKKLHGFEAEVPSFDESFRNEAKVLSEIKHRHIVKLHGFCLHKRIMFLIYEYMERGSLFSVLFDDMEAMELDWKKRVNIVKGTAHALSYLHHDCVPPIVHRDISASNVLLNSMWEPTVSDFGTARFLNLDSSNRTIVAGTIGYIAPELAYTMVVNEKCDVYSFGVVALETLMGKHPKEILSSLQSISTDDGIKLCEILDQRLPHPTFSVLQDIVVVAIVAFACLNPNPCSRPTMKRISQCFLCQLSPFNIPLHHISLQQLMSQELRQYLKL >Vigun03g444100.1.v1.2 pep primary_assembly:ASM411807v1:3:64639583:64648593:1 gene:Vigun03g444100.v1.2 transcript:Vigun03g444100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTHHLQPHLLFLSPHSHTVSFPFPSRTTTSHSPRFPVTSIRRGSFSLRFRNRGRASVTNAVANGGTEHQKQPVNRAYPFNEIEPKWQRFWEHNRTFRTPDDDIDTTKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARYKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTVRNINRFRTQLKSLGFSYDWDREISTIEPDYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDDLDWPESVKEMQRNWIGRSEGAEMEFCILDSDGKDKDIKIIVYTTRPDTIFGATYLVVAPEHPLMPSLVSIAQSKHVEDYVDLATRKSDLERTELQKEKTGVFTGSYAKNPANGEAIPIWVADYVLGGYGTGAIMAVPAHDSRDYDFALKYDIPICWVVMPDGKSVDFGKAFIGEGINVNSSNTLVGLDINGLSSKEAALTVIEWAEKCGKGKRKVNYKLRDWLFARQRYWGEPIPVIFMNDSGETVPLCETDLPLILPELDDFSPSGTGEPPLSKAVSWVKTVDGLSGRPATRETNTMPQWAGSCWYYLRFMDPHNSKELVGKAKESYWGPVDVYVGGAEHAVLHLLYARFWHKVLFDIGVVSTKEPFQCVINQGIILGEVQYMAYRDQDGNLISADSPDCLNERKLEIIPAEKVMKSGDSFVLKENPNIRLHARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTSGIEGVHRFLGRTWRLIVGSPLPDGTFKDGTVSVDEEPTLEQLRCLHKCIAKVTEEIEATRFNTGISAMMEFLNAAYKWDKHPRSVIEAFVLLLSPYAPHMAEELWSRLGHKKSLAYVSFPKANPTYLKDSTVVLPVQINGKTRGTIEVEETCTEEEAFVLASRDEKLSKYLDGQSVKKRIYVPGKILNVVLDRKNSKVGVQ >Vigun11g209700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40531845:40532048:1 gene:Vigun11g209700.v1.2 transcript:Vigun11g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDVFYGNDDHREMNDDGMYKEDVIESMRKELESKDLKRRRKNRCYSFFLSCLEFFTLLRGFINF >Vigun06g065600.3.v1.2 pep primary_assembly:ASM411807v1:6:19400884:19404594:1 gene:Vigun06g065600.v1.2 transcript:Vigun06g065600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGREDEIVDMPMSPPIVDSIINNECCHHREFMSQTYLQNKYSEIDIDTEDSNFDQNRPLPIFLKFEDVEYKVRNSQAGSGNVVKSMVSKVTKHLTVEEDRYKKILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLVENVKGKVTYNDVRFNPALKRRIGFVTQEDVLFPQLTVEETLVFSALLRLPGSMSKQQKYAKVDTTIKELGLERCRHTKIAGGYLKGISGGERKRTCIGSEILVDPSLLLLDEPTSGLDSSSANKLLLTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKAKETMEYFSSLRFTPQIPMNPAEFLLDLATGEVNDISVPPDIFQNEESSDPSKIVIEYLQRTYKSLLEPKEKEQNHRVASTPERLQLAIQVKMEWTCSWLDQFMILSKRTYKARRKEYFDKLRIVQALGIALLLGLLWWKSSINTEAGLRDQL >Vigun06g065600.2.v1.2 pep primary_assembly:ASM411807v1:6:19400884:19404594:1 gene:Vigun06g065600.v1.2 transcript:Vigun06g065600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGREDEIVDMPMSPPIVDSIINNECCHHREFMSQTYLQNKYSEIDIDTEDSNFDQNRPLPIFLKFEDVEYKVRNSQAGSGNVVKSMVSKVTKHLTVEEDRYKKILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLVENVKGKVTYNDVRFNPALKRRIGFVTQEDVLFPQLTVEETLVFSALLRLPGSMSKQQKYAKVDTTIKELGLERCRHTKIAGGYLKGISGGERKRTCIGSEILVDPSLLLLDEPTSGLDSSSANKLLLTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKAKETMEYFSSLRFTPQIPMNPAEFLLDLATGEVNDISVPPDIFQNEESSDPSKIVIEYLQRTYKSLLEPKEKEQNHRVASTPERLQLAIQVKMEWTCSWLDQFMILSKRTYKARRKEYFDKLRIVQALGIALLLGLLWWKSSINTEAGLRDQGAGEMFGAAVMSVKRAGAAATLLLMLFLLTGGYYVQHIPKFMRWLKYLSFMYYGFRLLLKVQYSGDQLYDCGSKGGCRTLQSSPTFDSVNLKGGLKEVWILLAMALIFRLLAYLCLRVRIVGRKN >Vigun06g065600.1.v1.2 pep primary_assembly:ASM411807v1:6:19401140:19404519:1 gene:Vigun06g065600.v1.2 transcript:Vigun06g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGREDEIVDMPMSPPIVDSIINNECCHHREFMSQTYLQNKYSEIDIDTEDSNFDQNRPLPIFLKFEDVEYKVRNSQAGSGNVVKSMVSKVTKHLTVEEDRYKKILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLVENVKGKVTYNDVRFNPALKRRIGFVTQEDVLFPQLTVEETLVFSALLRLPGSMSKQQKYAKVDTTIKELGLERCRHTKIAGGYLKGISGGERKRTCIGSEILVDPSLLLLDEPTSGLDSSSANKLLLTLQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKAKETMEYFSSLRFTPQIPMNPAEFLLDLATGEVNDISVPPDIFQNEESSDPSKIVIEYLQRTYKSLLEPKEKEQNHRVASTPERLQLAIQVKMEWTCSWLDQFMILSKRTYKARRKEYFDKLRIVQALGIALLLGLLWWKSSINTEAGLRDQVGLAFYICIFWTSSCIFAAVYVFPFEEYYLVKENKADMYRLSVYYACSTLCDMVATIFYPTFFMLILYFMAGFNRAVASFFLTLLTILLIAITSQGAGEMFGAAVMSVKRAGAAATLLLMLFLLTGGYYVQHIPKFMRWLKYLSFMYYGFRLLLKVQYSGDQLYDCGSKGGCRTLQSSPTFDSVNLKGGLKEVWILLAMALIFRLLAYLCLRVRIVGRKN >Vigun11g204800.1.v1.2 pep primary_assembly:ASM411807v1:11:40191438:40194036:1 gene:Vigun11g204800.v1.2 transcript:Vigun11g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLRNLMRVSSSRNTSTRSFSLVASQISNHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDTNPALGHPIEFICLDLPEPAVCKYCGLRYVQDHHH >Vigun06g178000.2.v1.2 pep primary_assembly:ASM411807v1:6:29793475:29795281:-1 gene:Vigun06g178000.v1.2 transcript:Vigun06g178000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSFVEEKEQCLSMNQVVEKLCNLSLAQSWCEDMQNIISYVSSKYEANATKAISYVILGCFSLLISVLVRRTRSKPKPKPRIRPNRLEATRSFIVRELHSGYPALDRLMTEQYEHPSALDSAHALIRELQKDLPDLLILQQKVRELEMWEVEDFAERILRPALKEANEKEKPHEAYEFEMLIVEVLIYKGGISDLESALQCECLADESLKDARRPLYKVVLEIFYRQLYTKCWGIWRKLKNIGMNLL >Vigun06g178000.1.v1.2 pep primary_assembly:ASM411807v1:6:29793475:29795281:-1 gene:Vigun06g178000.v1.2 transcript:Vigun06g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSFVEEKEQCLSMNQVVEKLCNLSLAQSWCEDMQNIISYVSSKYEANATKAISYVILGCFSLLISVLVRRTRSKPKPKPRIRPNRLEATRSFIVRELHSGYPALDRLMTEQYEHPSALDSAHALIRELQKDLPDLLILQQKVRELEMWEVEDFAERILRPALKEANEKEKPHEAYEFEMLIVEVLIYKGGISDLESALQCECLADESLKDARRPLYKAIIYKMLGNMEKAKEYWDEFIVVREPAFGHEIIEIDFDTFKHHVLRLQKATEKVTQKRSSINFSTFSI >Vigun06g198500.5.v1.2 pep primary_assembly:ASM411807v1:6:31378230:31380300:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTEFITAMLLFCNKKSTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.8.v1.2 pep primary_assembly:ASM411807v1:6:31378134:31380397:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTESTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.3.v1.2 pep primary_assembly:ASM411807v1:6:31376722:31380522:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAENDEMPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTESTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.1.v1.2 pep primary_assembly:ASM411807v1:6:31376835:31380300:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAENDEMPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTEFITAMLLFCNKKSTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.6.v1.2 pep primary_assembly:ASM411807v1:6:31376835:31380300:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAENDEMPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTEKSTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.7.v1.2 pep primary_assembly:ASM411807v1:6:31378231:31380300:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTEKSTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.9.v1.2 pep primary_assembly:ASM411807v1:6:31378966:31380300:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTESTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun06g198500.4.v1.2 pep primary_assembly:ASM411807v1:6:31377067:31380365:1 gene:Vigun06g198500.v1.2 transcript:Vigun06g198500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDPQNVNVLNDEMPIGWPLGLGFLNMRLRVGESFPTASMAPYQLHVPSTSFSSFSSSNLDTESTASFFQDNSVSLAQLIGVRAGERGRLYFPNSRFEERKKKLAKASTSDASKMDMSRVICIPLLKIRKSKKSSRN >Vigun07g128900.1.v1.2 pep primary_assembly:ASM411807v1:7:23789710:23795379:-1 gene:Vigun07g128900.v1.2 transcript:Vigun07g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAVVGSKPPLITFKSTCRATFAANFTSIKFPATPLSSNLLFFRLTHTASSNRTTTFRGFKRFSPVMEWQDCTVKMQIDVPISVAYACYSDREAIPKWMPFISSVQILPDKPDLSRWTLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSMEGLPNRGAVRFYPKGPSSCVVELTVSYEVPQLLAPVASALQPFLEGLLQRGLERFATFAKSYT >Vigun04g144766.1.v1.2 pep primary_assembly:ASM411807v1:4:35911849:35914659:-1 gene:Vigun04g144766.v1.2 transcript:Vigun04g144766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKSGKLDLGVRRDILDWIWKFFHLTNTKQQNSSWMKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGSQQAGYLTTLVPYCIH >Vigun02g034200.1.v1.2 pep primary_assembly:ASM411807v1:2:14378933:14392588:1 gene:Vigun02g034200.v1.2 transcript:Vigun02g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSIQFVAILSLTLLLPNTHGWGDDGHVIVCKIAQARLSKSAAEAVKNLLPKSAENDLSKRCSWADHVHHIFPWSSALHYANTPDAVCSYKNSRDCIDYKKGIKGRCVVAAINNFTTQLLEYGSETKSKYNLTQSLFFLSHFVGDIHQPLHCGFISDSGGNKINVHWYKRKQNLHHVWDHTILQTEVDRFYDSDMDEFVDAIQQNITKVWADEVEEWEHCADNDLPCAALYASESTIDACKWAYKDATEGSVLKDDYFLSRSPIVNLRLAQAGVRLAAILNRVFDRKLSSSM >Vigun02g023600.1.v1.2 pep primary_assembly:ASM411807v1:2:7912053:7914308:1 gene:Vigun02g023600.v1.2 transcript:Vigun02g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHNLREFFSACVKPKEKRIPKKTVNIRVKMDCEGCVRKVKHAVEELEGVESFDVNQKLQRVTVTGYVDAEEVLEEVRSTGKSADNWPFVPYNLVAFPYVKGAYDVKAPSGFVRNVPDANGDPKSPEMKLMRLFDDENPDHCSIM >Vigun05g297350.1.v1.2 pep primary_assembly:ASM411807v1:5:48224479:48227473:1 gene:Vigun05g297350.v1.2 transcript:Vigun05g297350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGENCVKISLPPHMSTELRKNLPFLRNVANVKAASTYPVLVATEAAEAKTSPPPESGNDAPLSDIQKKIRRAEQFGISVQLSEKEKRNSRAERFGTAPASQVSEPSKSEELKRKIKGKLEHLGGCLS >Vigun07g201600.1.v1.2 pep primary_assembly:ASM411807v1:7:32272646:32276029:1 gene:Vigun07g201600.v1.2 transcript:Vigun07g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVMENMERGSERCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHGKVDFGQTRVPPLVPCRLSAMKYMADPDTDEVYVKMRLIPLREHELDLEDDCFLGNTGAEGQEKPPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDMHGQCWKFRHIYRGTPRRHLLTTGWSNFVNQKRLVAGDSIVFLRAENGDLCVGIRRAKKGIGGGSEFSSGWNPLFGGVSGFLCGSENNMMGGAKGGGDEMVGRVAAESVLEAVACAVNGRPFEVVYYPRASSPEFCVKASVVKAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVADPIRWPDSPWRLLQVVWDEPDLLQNVKCVNPWLVELVSNMPSFNLSAYSPPRKKQRFLNDPYFQVINQLPMPSFSSNLLNYTNSLCNIENNSSAGIQGARHAQFGLSPSDFPFNKLPADMLLGGFSRLDHATKPVRPPCGTYKNTTSNTTTNKTNVDISCLLTVGNPNPNFKELNATKAPHILLFGKLIHTEQHCSNTSSASTTGNSVSEGNSLKTSNASDGLGSALHQNSPIENNSDGGSPWYKDQHKADLGSDNVNTLCIAL >Vigun03g187500.2.v1.2 pep primary_assembly:ASM411807v1:3:25132068:25136925:1 gene:Vigun03g187500.v1.2 transcript:Vigun03g187500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFGRKANLLGGSGRRWLNLAAATAITTTNGGAMEELLAQLQSNVQKALAGGGPEAVKRNRSRNKFLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGVVTGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDELHALGLGRNIIKNLHMAGKDVLANGLQNINYEYKEPLYDVNELRSIAPTDLKKQFDIRSVIDRIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTIMVGGSFGAGNYAMCGRAYSPNFLFLWPNARISVMGGAQVAYFS >Vigun03g187500.3.v1.2 pep primary_assembly:ASM411807v1:3:25132068:25137291:1 gene:Vigun03g187500.v1.2 transcript:Vigun03g187500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFGRKANLLGGSGRRWLNLAAATAITTTNGGAMEELLAQLQSNVQKALAGGGPEAVKRNRSRNKFLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGVVTGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDELHALGLGRNIIKNLHMAGKDVLANGLQNINYEYKEPLYDVNELRSIAPTDLKKQFDIRSVIDRIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTIMVGGSFGAGNYAMCGRAYSPNFLFLWPNARISVMGGAQAAGVLAQIEKGNKKKQGIQQWNKEEEEKFKTKVVEAYEREASPYYSTARLWDDGIIDPADTRKVIGLCISASLNRAIEKTKYGVFRM >Vigun03g187500.1.v1.2 pep primary_assembly:ASM411807v1:3:25132054:25137356:1 gene:Vigun03g187500.v1.2 transcript:Vigun03g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFGRKANLLGGSGRRWLNLAAATAITTTNGGAMEELLAQLQSNVQKALAGGGPEAVKRNRSRNKFLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGVVTGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDELHALGLGRNIIKNLHMAGKDVLANGLQNINYEYKEPLYDVNELRSIAPTDLKKQFDIRSVIDRIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTIMVGGSFGAGNYAMCGRAYSPNFLFLWPNARISVMGGAQAAGVLAQIEKGNKKKQGIQWNKEEEEKFKTKVVEAYEREASPYYSTARLWDDGIIDPADTRKVIGLCISASLNRAIEKTKYGVFRM >Vigun03g208400.1.v1.2 pep primary_assembly:ASM411807v1:3:34238131:34238578:-1 gene:Vigun03g208400.v1.2 transcript:Vigun03g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSMILFLVLVSEGYGKCLPSNISLSQSRSGVTVKGKPEWSVTVTNKCPCAQTNVVLNCAGFQSVESVDPSVLTLSKGGNFCLLTPGQPLNKNGVVRFKYAWDHQFSFHPTSTQSSCP >Vigun03g421800.2.v1.2 pep primary_assembly:ASM411807v1:3:62744090:62745421:-1 gene:Vigun03g421800.v1.2 transcript:Vigun03g421800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYKDLLTGDELLSDSFPYREIENGMLWEVSGKWVVQGAIDVDIGANPSAEGGEDDGVDDQAIKVVDIVDTFRLQEQPAFDKKQFLVFMKRYIKLLIQKLDGEKQSLFKKHIEGATKFLLSKIKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAYALKEMKC >Vigun03g112300.1.v1.2 pep primary_assembly:ASM411807v1:3:10242348:10251326:1 gene:Vigun03g112300.v1.2 transcript:Vigun03g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSCSLVEKMKAIILSSNVDPYTYIWPSAYDTAWLAMIADPHDPSKPLFKNCLQWLINNQNQQGFWGDCDASHKPSLETLPATLASMLALKNWNTAASLIQRGLSFIEANTEKLLKDIENCCPRWFIIVFPAMLRLSECAGIEIVFPDTVRVTISSIFLHQQKLLHKEELVGKQGFLPLLSYLEALAPWYEVSEEDICSNLRGDGSLFQSPSATAKAFMATGNIHCLAYLESLIQRCPNGVPQTYPMDEDLIKLCMINQLQRLGLGEHFDKEIEEILAKIYRNYVEEEWWVKPTNMVEAQLHKDSLAFHLLRIHGYNVSPSLSFGWFLDDEEIRARIQKEPEHLSTTIFSMYRASNLIFCGENELQDVKSFTTDLLHKCLLTKNGEPHTIFSQFQQMVQHELNTHWLAETDHLKHRTWIENNDKDVLWKGKTSHVRISHFHKEDLLELARQNYELKQSTFRHELKELTRWVKNYGLTNMGFGREKTTYCYYAIAASTNYRNQSYVRSLVAKTAILITIADDFFDTQGSLRDLKHFTNAVRRWDSRGLNGHGKVIFNALDNLVSETATKYLEQGGIHDIKSGLQDLWCETFLSWLEEAKWNKKGQTPTIDEYLKNGMLSIAIHTMILPASCFLNPSFADNNLRPPQYQTITKLLMLICRILNDIQSYKRETEEGKWNFLQLRLMMNPNLKMEDSIAEGREIIDEMTKEFLQHVLVDGESNLPKPCKMLHLTCLKVFQMFYNSSNAFDSDTQLLQDISNAFYLPLRRNTKPSNIDLSQRYSVPKIKGSTTQKLRFGRSFKHINTCTSFGLHQAISLPVLRNGYGMISVDPKSYIPLLFVASIVP >Vigun07g095200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15537248:15538442:-1 gene:Vigun07g095200.v1.2 transcript:Vigun07g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITNTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSRSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFHLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGILGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLITSSLIRETTENESANEGYRFGQEEETYNIVAGHGYFGRLIFQYASFNNSCSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun07g078300.1.v1.2 pep primary_assembly:ASM411807v1:7:10697361:10700331:1 gene:Vigun07g078300.v1.2 transcript:Vigun07g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGVQAVRPPPLTSTSDPPPLFDGTTRLYISYSCPYAQRVWITRNYKRLQDKIKLVPIDLQDRPSWYKEKVYPENKVPSLEHNGKVLGQSLDLIKYVDANFEGTPLFPGDPAKQEFGEQLLSHVDTFNNDASSSLKGGVVQQARSAFEYLENALGKFDDGPFFLGQFSLVDIAYIPFVERFQPVSIDVFKHDITEGRPKLATWIEEVNKIDAYTETKLDPQEIVDRFKKRYLSQQ >Vigun08g221000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37953263:37954759:1 gene:Vigun08g221000.v1.2 transcript:Vigun08g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPAIQFNVGHPVFTLEIVACDDLCEMTLFEFVCGENSVTVFVLRESENYSMQAFGIEESLEDIIDGDGNPLLKLDFAINEAAEEDHATEEVDMKSCFLRLRSESMGFDERNSDVAAIDGDWVVDVGGMVGHS >Vigun07g215800.1.v1.2 pep primary_assembly:ASM411807v1:7:33774830:33776112:-1 gene:Vigun07g215800.v1.2 transcript:Vigun07g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLDSRFLLYLLLIAFFLGALVWLFICNLTTRGRGIEGSFLVDDEGDQNAVEAEIRAGGVTESFNVKKKGKQNLEKAKIICGGSNGTADSEQNYLLNILFQVIAYLFGGGPYGDKNK >Vigun05g065200.1.v1.2 pep primary_assembly:ASM411807v1:5:5662142:5664022:-1 gene:Vigun05g065200.v1.2 transcript:Vigun05g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKSHDGIPILDLSQPLQPSSLFSLYKASKDWGVFYIINHGISKDLFSHIQSLSKHLFNLPSDTKLRLGPFSSLKSYTPHFIASPFFESLRVTGPNFYVSANSSAEILFDKNDSKFSVMIEEYCSKMEDLSKRILKIVLMSLGEGIEKKFYDCEFKKCHGYLRINNYSAADVMEEEVEGLGMHTDMSCITMLYQDEIGGLEVRSKEGEWIGISPSEGTLVLNIGDMLQAWSNDKLRSSEHRVVLKNHLNRFSLAFFWCFENDKLILAPDEVVGEGNKRMYKPFVCLDYLKFRENNEKGRFDKVGFTVRDFAGIKAQ >Vigun01g212400.3.v1.2 pep primary_assembly:ASM411807v1:1:38644177:38645805:-1 gene:Vigun01g212400.v1.2 transcript:Vigun01g212400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVYKRTLMLNTLSSHCLASMELDIGVTHSDLSLAESWFAAETSTGYLEDVIAGWGIWCKHHNLPSYSQDQKKTQYLVDQKDLFPAFSSTPQILHDHKNVSTMKLPSSQNDKHGAAIKLDSAQRSYASRDSDESDASVIRGHRKKIAYPFELVKPGGVEGETTLKDINHQMLMSPSKPIPHPVGDSFTHSCISNRAFGISGKAVAALTRIQTQGRGSITIIRTKG >Vigun01g212400.4.v1.2 pep primary_assembly:ASM411807v1:1:38644177:38645805:-1 gene:Vigun01g212400.v1.2 transcript:Vigun01g212400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVYKRTLMLNTLSSHCLASMELDIGVTHSDLSLAESWFAAETSTGYLEDVIAGWGIWCKHHNLPSYSQDQKKTQYLVDQKDLFPAFSSTPQILHDHKNVSTMKLPSSQNDKHGAAIKLDSAQRSYASRDSDERGHRKKIAYPFELVKPGGVEGETTLKDINHQMLMSPSKPIPHPVGDSFTHSCISNRAFGISGKAVAALTRIQTQGRGSITIIRTKG >Vigun01g212400.1.v1.2 pep primary_assembly:ASM411807v1:1:38644131:38646299:-1 gene:Vigun01g212400.v1.2 transcript:Vigun01g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVYKRTLMLNTLSSHCLASMELDIGVTHSDLSLESWFAAETSTGYLEDVIAGWGIWCKHHNLPSYSQDQKKTQYLVDQKDLFPAFSSTPQILHDHKNVSTMKLPSSQNDKHGAAIKLDSAQRSYASRDSDESDASVIRGHRKKIAYPFELVKPGGVEGETTLKDINHQMLMSPSKPIPHPVGDSFTHSCISNRAFGISGKAVAALTRIQTQGRGSITIIRTKG >Vigun01g212400.2.v1.2 pep primary_assembly:ASM411807v1:1:38644131:38646299:-1 gene:Vigun01g212400.v1.2 transcript:Vigun01g212400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVYKRTLMLNTLSSHCLASMELDIGVTHSDLSLESWFAAETSTGYLEDVIAGWGIWCKHHNLPSYSQDQKKTQYLVDQKDLFPAFSSTPQILHDHKNVSTMKLPSSQNDKHGAAIKLDSAQRSYASRDSDERGHRKKIAYPFELVKPGGVEGETTLKDINHQMLMSPSKPIPHPVGDSFTHSCISNRAFGISGKAVAALTRIQTQGRGSITIIRTKG >Vigun11g100200.2.v1.2 pep primary_assembly:ASM411807v1:11:29422013:29425422:-1 gene:Vigun11g100200.v1.2 transcript:Vigun11g100200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYRRGALDHLRILASRFMPQNPTFRHSFRSCKSWYLNSGSKGAIFNGFSSWRSISQRLGAKGVVGVNGNFHNLFPLGAKRLYCIDPYNVQHFKPRGLRHWFKNSRNVFVVMVGSGVLITVYFGNLETVPCTNRTHLILLSKEFERRLGEHGFEKVKVNFNGNILPPIHPQSVRVTMIAREIVDALHRGLRKDKMLNDLGYTSEHTMLVEGDGRETLSGLVGNEEKVERSWHEGDKILDEKKCWKYDQERWSKASTSHLDGLNWEILIVNEPVVNAFCLPGGKIVVFSGLFEHFQSDAEIATIIGHEVGHAVARHSAEGITKKFSFGILQLILYQLVMPDIVNIVSSIFFHLPFSRRMEIEADYIGMLLIASAGYDPRVAPGVYEKLGNIGGDSTGMDYLSTHPPGIQRAEFLAQPNIMEEALSIYRDVREGRRVQAFL >Vigun11g100200.1.v1.2 pep primary_assembly:ASM411807v1:11:29422013:29425422:-1 gene:Vigun11g100200.v1.2 transcript:Vigun11g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYRRGALDHLRILASRFMPQNPTFRHSFRSCKSWYLNSGSKGAIFNGFSSWRSISQRLGAKGVVGVNGNFHNLFPLGAKRLYCIDPYNVQHFKPRGLRHWFKNSRNVFVVMVGSGVLITVYFGNLETVPCTNRTHLILLSKEFERRLGEHGFEKVKVNFNGNILPPIHPQSVRVTMIAREIVDALHRGLRKDKMLNDLGYTSEHTMLVEGDGRETLSGLVGNEEKVERSWHEGDKILDEKKCWKYDQERWSKASTSHLDGLNWEILIVNEPVVNAFCLPGGKIVVFSGLFEHFQSDAEIATIIGHEVGHAVARHSAEGITKKFSFGILQLILYQLVMPDIVNIVSSIFFHLPFSRRMEIEADYIGMLLIASAGYDPRVAPGVYEKLGNIGGDSTGMDYLSTHPPGIQRAEFLAQPNIMEEALSIYRDVREGRRVQAFL >Vigun11g100200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29422013:29425422:-1 gene:Vigun11g100200.v1.2 transcript:Vigun11g100200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYRRGALDHLRILASRFMPQNPTFRHSFRSCKSWYLNSGSKGAIFNGFSSWRSISQRLGAKGVVGVNGNFHNLFPLGAKRLYCIDPYNVQHFKPRGLRHWFKNSRNVFVVMVGSGVLITVYFGNLETVPCTNRTHLILLSKEFERRLGEHGFEKVKVNFNGNILPPIHPQSVRVTMIAREIVDALHRGLRKDKMLNDLGYTSEHTMLVEGDGRETLSGLVGNEEKVERSWHEGDKILDEKKCWKYDQERWSKASTSHLDGLNWEILIVNEPVVNAFCLPGGKIVVFSGLFEHFQSDAEIATIIGHEVLYFLCLV >Vigun02g193300.2.v1.2 pep primary_assembly:ASM411807v1:2:32986964:32990862:1 gene:Vigun02g193300.v1.2 transcript:Vigun02g193300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQMEPKSEDPDCYWDSLIPDGSDMLIFNSPGEAEAFKGLMHKPLDPSIRLSDFMSVVPLSAVHNGRKMFIVDSVASGSEHEIGDHCSEPTITATDTDQTRENLADVVLVTSNYNENANDQLVSVTHRAIRRRCLDFEMANVQKKNSDDNSNAGSSTSESDERNVANEKQLLPAKLNGNFQRGILQGIGLHLNALAALKEYKGIQIENLSSGRQLSLPNSTSLQISTTQEQQHLSMVPVSSERELDSSDNGVQPTEDCSQPSVYMTGEDFNRNSPRKKKRKMETPGETEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPVHEDTVLQTRKQIESRNPLAFAPKVIRSADSVPEIGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSIGCRCEGCKNAFGRKDGSAPVGIETEQEETEASDKGVAEKASQKTEIQNTEEHLDSATVSTPLRLSRPLLPLPFSSKGKAPRSFVTTISGSALFGSQKLGKPNSLWPQSKHFQTVPDDEMPEILLGDTSPVTCIKTSSPNGKRISSPSCDLGSSPSRRGGRKLILQSIPSFPSLTPHP >Vigun02g193300.3.v1.2 pep primary_assembly:ASM411807v1:2:32986542:32990862:1 gene:Vigun02g193300.v1.2 transcript:Vigun02g193300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQMEPKSEDPDCYWDSLIPDGSDMLIFNSPGEAEAFKGLMHKPLDPSIRLSDFMSVVPLSAVHNGRKMFIVDSVASGSEHEIGDHCSEPTITATDTDQTRENLADVVLVTSNYNENANDQLVSVTHRAIRRRCLDFEMANVQKKNSDDNSNAGSSTSESDERNVANEKQLLPAKLNGNFQRGILQGIGLHLNALAALKEYKGIQIENLSSGRQLSLPNSTSLQISTTQEQQHLSMVPVSSERELDSSDNGVQPTEDCSQPSVYMTGEDFNRNSPRKKKRKMETPGETEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPVHEDTVLQTRKQIESRNPLAFAPKVIRSADSVPEIGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSIGCRCEGCKNAFGRKDGSAPVGIETEQEETEASDKGVAEKASQKTEIQNTEEHLDSATVSTPLRLSRPLLPLPFSSKGKAPRSFVTTISGSALFGSQKLGKPNSLWPQSKHFQTVPDDEMPEILLGDTSPVTCIKTSSPNGKRISSPSCDLGSSPSRRGGRKLILQSIPSFPSLTPHP >Vigun02g193300.1.v1.2 pep primary_assembly:ASM411807v1:2:32985784:32990862:1 gene:Vigun02g193300.v1.2 transcript:Vigun02g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERNQISATLSKFEDSPVFNYINSLSPIKPVKSVPISQTFNSLSFSSPPSVFTSPHVSCLKESRFLRRHIPLGTSKPKISSEDVNKVHTIEEALADSKRARHNKGESQNTEKGISLGDASIEHIKFSVEPQQTLKYDTCGSPGYDEDNTLLELPGEAAAAGACKTDSVESEVHLQEMCQMEPKSEDPDCYWDSLIPDGSDMLIFNSPGEAEAFKGLMHKPLDPSIRLSDFMSVVPLSAVHNGRKMFIVDSVASGSEHEIGDHCSEPTITATDTDQTRENLADVVLVTSNYNENANDQLVSVTHRAIRRRCLDFEMANVQKKNSDDNSNAGSSTSESDERNVANEKQLLPAKLNGNFQRGILQGIGLHLNALAALKEYKGIQIENLSSGRQLSLPNSTSLQISTTQEQQHLSMVPVSSERELDSSDNGVQPTEDCSQPSVYMTGEDFNRNSPRKKKRKMETPGETEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPVHEDTVLQTRKQIESRNPLAFAPKVIRSADSVPEIGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSIGCRCEGCKNAFGRKDGSAPVGIETEQEETEASDKGVAEKASQKTEIQNTEEHLDSATVSTPLRLSRPLLPLPFSSKGKAPRSFVTTISGSALFGSQKLGKPNSLWPQSKHFQTVPDDEMPEILLGDTSPVTCIKTSSPNGKRISSPSCDLGSSPSRRGGRKLILQSIPSFPSLTPHP >Vigun01g056100.1.v1.2 pep primary_assembly:ASM411807v1:1:11005511:11024464:1 gene:Vigun01g056100.v1.2 transcript:Vigun01g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLCCMALRSSKHISRPSHLLSVQMRMQMQMLSSSPPLTRKMSMNTQSVASKLNSSGLLRTQGLIGGKWSDAYDGKTIQVYNPATGESIADVACMGGRETNDAISAAYTAYRSWSKTTAAERSKFLRKWYDLLMAHKEELAQLITLEQGKTFKESIGEINYGAGFIEFSAEEAKRVYGDIIPAPLSDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLSALAAAELSIQAGIPAGVVNVVMGNAPEIGNTLLASPQVRKITFTGSTAVGKKLMAGSAETVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQDGIYEKFANALRDAVLNMKVGDGFSEDVAQGPLINEAAVEKVESLIHDATSKGAKVILGGKRHSLGFTFYEPTVISDVNTDMRISREEVFGPVAPLLRFKTEEDAIRIANDTNAGLGSYVFTNSIQRSWRVAEALEYGLVGINEGVISTEVAPFGGFKQSGLGREGSKYGMDEYLEIKYVCLGNMNKE >VigunL028201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:120760:120942:-1 gene:VigunL028201.v1.2 transcript:VigunL028201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDSFLCLGSWPSAGSFVFNTDILATNPINLSVVLGLLVFFGKGVCASCLFQRINWI >Vigun09g048300.2.v1.2 pep primary_assembly:ASM411807v1:9:4694674:4710312:1 gene:Vigun09g048300.v1.2 transcript:Vigun09g048300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENGDGDIEGGGGDDGFRSGGKYRPVLANDRAVLEMSSIDPGSASSSASAFTDIPPNLRKVKTSLRGSSDAKEGNASHQQQPNGSQQQESKLELFGFDSLVNILGLKSMTGEQAAQPSSPRDGEDISITAGLPKPAAPKLGTMMGVFIPCIQSILGIIYYIRFSWIVGMAGVGQTLLLVSLCGACTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFREAVTQVNGTAVAQPIESPSSHDLQIYGIVLTILLCFIVFGGVKMINRVAPAFLIPVLFSVICIFLGIFAARKDHPIEGITGLNSQTFRDNWSSDYQKTNEAGIPQPDGSVTWDFNSLVGLFFPAVTGIMAGSNRSSSLKDTQRSIPVGTLAATLATTCLYFVSLVLFGSVATREKLLTDRLLTATVAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKAADGGEPHVATLFTAFLCIGCVVIGNLDLITPTVTMFFLLCYTGVNLSCFLLDLLDAPSWRPRWKFHHWSMSLVGALLCIVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKTACKQLSTYIDYKNCEGVAEIVVAPNMSEGFRGIIQTMGLGNLKPNIVVMRYPEIWRKENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFENCKIQVFCIAEDDGDAEGLKADVKKFLYDLRMQAEVFVITMKWDAQMEGGGGSPQDESSDAFNSAKQRIDDYLTQMKVRAQKEGTPLMADGKTVVVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPVSHPGYFYMEYMDLLLENVPRILIVRGYRRDVVTLFT >Vigun09g048300.1.v1.2 pep primary_assembly:ASM411807v1:9:4694674:4710302:1 gene:Vigun09g048300.v1.2 transcript:Vigun09g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENGDGDIEGGGGDDGFRSGGKYRPVLANDRAVLEMSSIDPGSASSSASAFTDIPPNLRKVKTSLRGSSDAKEGNASHQQQPNGSQQQESKLELFGFDSLVNILGLKSMTGEQAAQPSSPRDGEDISITAGLPKPAAPKLGTMMGVFIPCIQSILGIIYYIRFSWIVGMAGVGQTLLLVSLCGACTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFREAVTQVNGTAVAQPIESPSSHDLQIYGIVLTILLCFIVFGGVKMINRVAPAFLIPVLFSVICIFLGIFAARKDHPIEGITGLNSQTFRDNWSSDYQKTNEAGIPQPDGSVTWDFNSLVGLFFPAVTGIMAGSNRSSSLKDTQRSIPVGTLAATLATTCLYFVSLVLFGSVATREKLLTDRLLTATVAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKAADGGEPHVATLFTAFLCIGCVVIGNLDLITPTVTMFFLLCYTGVNLSCFLLDLLDAPSWRPRWKFHHWSMSLVGALLCIVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKTACKQLSTYIDYKNCEGVAEIVVAPNMSEGFRGIIQTMGLGNLKPNIVVMRYPEIWRKENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFENCKIQVFCIAEDDGDAEGLKADVKKFLYDLRMQAEVFVITMKWDAQMEGGGGSPQDESSDAFNSAKQRIDDYLTQMKVRAQKEGTPLMADGKTVVVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPVSHPGYFYMEYMDLLLENVPRILIVRGYRRDVVTLFT >Vigun09g048300.3.v1.2 pep primary_assembly:ASM411807v1:9:4694674:4710302:1 gene:Vigun09g048300.v1.2 transcript:Vigun09g048300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENGDGDIEGGGGDDGFRSGGKYRPVLANDRAVLEMSSIDPGSASSSASAFTDIPPNLRKVKTSLRGSSDAKEGNASHQQQPNGSQQQESKLELFGFDSLVNILGLKSMTGEQAAQPSSPRDGEDISITAGLPKPAAPKLGTMMGVFIPCIQSILGIIYYIRFSWIVGMAGVGQTLLLVSLCGACTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFREAVTQVNGTAVAQPIESPSSHDLQIYGIVLTILLCFIVFGGVKMINRVAPAFLIPVLFSVICIFLGIFAARKDHPIEGITGLNSQTFRDNWSSDYQKTNEAGIPQPDGSVTWDFNSLVGLFFPAVTGIMAGSNRSSSLKDTQRSIPVGTLAATLATTCLYFVSLVLFGSVATREKLLTDRLLTATVAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKAADGGEPHVATLFTAFLCIGCVVIGNLDLITPTVTMFFLLCYTGVNLSCFLLDLLDAPSWRPRWKFHHWSMSLVGALLCIVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKTACKQLSTYIDYKNCEGVAEIVVAPNMSEGFRGIIQTMGLGNLKPNIVVMRYPEIWRKENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFENCKIQVFCIAEDDGDAEGLKADVKKFLYDLRMQAEVFVITMKWDAQMEGGGGSPQDESSDAFNSAKQRIDDYLTQMKVRAQKEGTPLMADGKTVVVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPVSHPGYFYMEYMDLLLENVPRILIVRGYRRDVVTLFT >Vigun09g048300.4.v1.2 pep primary_assembly:ASM411807v1:9:4701103:4710312:1 gene:Vigun09g048300.v1.2 transcript:Vigun09g048300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKRFSFLKSNIHGEAVTQVNGTAVAQPIESPSSHDLQIYGIVLTILLCFIVFGGVKMINRVAPAFLIPVLFSVICIFLGIFAARKDHPIEGITGLNSQTFRDNWSSDYQKTNEAGIPQPDGSVTWDFNSLVGLFFPAVTGIMAGSNRSSSLKDTQRSIPVGTLAATLATTCLYFVSLVLFGSVATREKLLTDRLLTATVAWPFPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKAADGGEPHVATLFTAFLCIGCVVIGNLDLITPTVTMFFLLCYTGVNLSCFLLDLLDAPSWRPRWKFHHWSMSLVGALLCIVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKTACKQLSTYIDYKNCEGVAEIVVAPNMSEGFRGIIQTMGLGNLKPNIVVMRYPEIWRKENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFENCKIQVFCIAEDDGDAEGLKADVKKFLYDLRMQAEVFVITMKWDAQMEGGGGSPQDESSDAFNSAKQRIDDYLTQMKVRAQKEGTPLMADGKTVVVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPVSHPGYFYMEYMDLLLENVPRILIVRGYRRDVVTLFT >Vigun09g004200.2.v1.2 pep primary_assembly:ASM411807v1:9:321481:327540:1 gene:Vigun09g004200.v1.2 transcript:Vigun09g004200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSSKLNGEEKKKETEEGEDEESFLRAMQLVNSVVLPMALQSATELGVFEVLKEAGEGAKLSAKDIASKISSTNPEAPSMLDRILTLFSSHSILHSSLVSDHRLPPTFHRLYSITPVATFFARNSDGVSLGPLMALLQDKIFLHSWSELKDAIREGGIPFNRVYGTHAFEYPKLDSRFNKVFNTAMINHTTLVMKNVLESYKGFEGIKRLVDVGGGLGININLITSKYPYIHGINFDLPHVIQDAPSYPGVEHVGGDMFENVPKGDAIFMKWILHDWSDEQCVKLLKNCYDAIPDEGKVIVVEAILPKIAETNDAYKTVSQMDVLMMTQNPGGKERSEQEFMDLATAAGFSGIRYECHVNLFWVMEFFK >Vigun09g004200.1.v1.2 pep primary_assembly:ASM411807v1:9:324923:327540:1 gene:Vigun09g004200.v1.2 transcript:Vigun09g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPSSKLNGEEKKKEREEREDEESFSRAAQLVSSLVLPMALQSATELGVFEVLKEAGENAKLSAKDIASKISCTNPEATSMLDRILTLLSSHSILLSSLASDNLVPPTFHRLYTITPVAKFFARNSDGVSLGPLMALLQDKIFLHSWSELKDAIREGGIPFNRVYGTHAFEYPKLDSRFNKVFNTAMINHTTLVMKNVLESYKGFEGIKRLVDVGGGLGININLITSKYPYIHGINFDLPHVIQDAPSYPGVEHVGGDMFENVPKGDAIFMKWILHDWSDEQCVKLLKNCYDAIPDEGKVIVVEAILPKIAETNDAYKTVSQMDVLMMTQNPGGKERSEQEFMDLATAAGFSGIRYECHVNLFWVMEFFK >Vigun05g089100.1.v1.2 pep primary_assembly:ASM411807v1:5:8533728:8540746:1 gene:Vigun05g089100.v1.2 transcript:Vigun05g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGFFTPSVPHFRVRVRPLATANGFSAKVVCFGNIEGAENRATSKSSTPRLVSKGCKLVGCGSAVPALEISNDELSQIVDTSDEWISVRTGIRRRRVLSGKDNLTTLAVEAARKALEMAKVDSDDLDLILMCTSTPEDLFGSAPQISKQLGCKTNPLSYDITAACSGFVLGLISAACHIRGGGFNNVLVIGADALSRYVDWTDRGTCILFGDAAGAVLLQGCNSEEDGLFGFDLHSDGNGQRHLNAAIRENESDNALDSNGSVLGSFPPKPSSYSCIQMNGKEVFRFAVRCVPQSIEYALEKAGLPASSIDWLILHQANQRIIDAVATRLELPSERVISNLANYGNTSAASIPLALDEAVRSGKVQPGHTIATAGFGAGLTWGSAIVRWC >Vigun03g280900.2.v1.2 pep primary_assembly:ASM411807v1:3:46044946:46047512:-1 gene:Vigun03g280900.v1.2 transcript:Vigun03g280900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGYRTTTFTTKAEENAVQEAASGLESVEKLIRLLSQTPQQYNSSNQIAIDCKAVADLAVSKFKNVISLLGPDPSPGPSPTPTPTPTGHARFRRAPLPPPPPPPPSSQPSQPPVFHATPLHQIPKTDTTLNDSSSKTIYFSYPSPATSFISSLTADVHTKQPSSSSPPPPPPGSFHITTLSHVSSAGKPPLSSSSSFKRKCSSENLPSGKCGSSSSRCHCSKKRKMRLRKVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHTLSAADATNLILESS >Vigun03g280900.1.v1.2 pep primary_assembly:ASM411807v1:3:46044946:46047512:-1 gene:Vigun03g280900.v1.2 transcript:Vigun03g280900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMTGYRTTTFTTKAEENAVQEAASGLESVEKLIRLLSQTPQQYNSSNQIAIDCKAVADLAVSKFKNVISLLGPDPSPGPSPTPTPTPTGHARFRRAPLPPPPPPPPSSQPSQPPVFHATPLHQIPKTDTTLNDSSSKTIYFSYPSPATSFISSLTADVHTKQPSSSSPPPPPPGSFHITTLSHVSSAGKPPLSSSSSFKRKCSSENLPSGKCGSSSSRCHCSKKSRKMRLRKVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHTLSAADATNLILESS >Vigun09g105000.1.v1.2 pep primary_assembly:ASM411807v1:9:19323823:19326967:1 gene:Vigun09g105000.v1.2 transcript:Vigun09g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKSRSSILLLFLFVFCVFARAQQQQPIKNVVVLVMENRSFDHMLGWMKERINALIDGVTGDECNPVSTKGSKKDSICFTDDAEFVDPDPGHSFEDVLQQVFGSGSIPSMNGFVEQALSVSQNLSETVMKGFKPEAVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHIKRQLAKGYPQKTIFDSLHENGLDFGIYFQNIPTTLFYRNLRKLKYVFKFHQYDLKFKRDARNGKLPPLAVIEPRYFDLKGLPANDDHPSHDVAHGQMLVKEVYEALRASPQWNETLFIITYDEHGGFFDHVKTPFVNIPNPDGNTGPAPYFFKFDRLGVRVPTIMISPWIKKETVISGAKGPAENSEFEHSSIPATIKKIFNLSSNFLTHRDAWAGTFEHVVGELSSPRTDCPVTLPDVTPLRSTEAKEDAGLSEFQSEIVQLAAVLNGDQFLSSFPDEMSKKMSVKEAHEYVRGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRSSVHN >Vigun08g165000.2.v1.2 pep primary_assembly:ASM411807v1:8:33714566:33718746:-1 gene:Vigun08g165000.v1.2 transcript:Vigun08g165000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKFDPKQPSLPISYPIHELQDLESGSYYQSFHYPFNKASVPIETGYSAPLPDRRRILVCHDMAGGYLDDKWVQGGTNADAYAIWHWHLIDVFVYFSHNLVTLPPPSWTNAAHRHGVKVLGSFITESEKGSAICEKLLSTKESARMYAERLAELAFKLGFDGWLQCFFSVVLFNDSNTTTQLQLNMEVELQPKQIPNLKEFISHLSLTTHSSVPGSLVIWYDSVTIEGDLYYQNELNELNRPFFDLCDGLFANYEWEENYPSRSGSVAGDRKFDVYMGIDVFGRGTYGGGQWNTNRALDVVRKGDVSAAIFAPGWVYETKQAPDFETAQNRWWSLVEKSWGIVRKYLRTLPFYTNFDQGRGFHISVDGDQISEATWCNISSQGIQPLLEFADSSTNPIQLLVDLKEASYSGGGNITFKGSLEKDTKFMRRIYEGEFILSESLIHFFYSVKSDSNSSLGIVLKFTSTVNKSMSVLLTSQGIMDDVSSAFTKVVTTNEHKGKAPGWVINEGAIEMKGYVLTEIHALCYRPKVPPREMGLKSRPLGPDHAAVASSTDYFAVLGHVTVKTSNYKPDFPVSSLWLVDGEFINWTSHPPQDSRILSVKISWKLKEGKKFVFQHYNVYVQKLPKVADGNQSTASEHVQEYLGVAHVNCFYVSELKVPPSVSSLKFIIQVFGFDGTNQNLAESPFYQLEVKGP >Vigun08g165000.1.v1.2 pep primary_assembly:ASM411807v1:8:33714518:33718885:-1 gene:Vigun08g165000.v1.2 transcript:Vigun08g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKFDPKQPSLPISYPIHELQDLESGSYYQSFHYPFNKASVPIETGYSAPLPDRRRILVCHDMAGGYLDDKWVQGGTNADAYAIWHWHLIDVFVYFSHNLVTLPPPSWTNAAHRHGVKVLGSFITESEKGSAICEKLLSTKESARMYAERLAELAFKLGFDGWLLNMEVELQPKQIPNLKEFISHLSLTTHSSVPGSLVIWYDSVTIEGDLYYQNELNELNRPFFDLCDGLFANYEWEENYPSRSGSVAGDRKFDVYMGIDVFGRGTYGGGQWNTNRALDVVRKGDVSAAIFAPGWVYETKQAPDFETAQNRWWSLVEKSWGIVRKYLRTLPFYTNFDQGRGFHISVDGDQISEATWCNISSQGIQPLLEFADSSTNPIQLLVDLKEASYSGGGNITFKGSLEKDTKFMRRIYEGEFILSESLIHFFYSVKSDSNSSLGIVLKFTSTVNKSMSVLLTSQGIMDDVSSAFTKVVTTNEHKGKAPGWVINEGAIEMKGYVLTEIHALCYRPKVPPREMGLKSRPLGPDHAAVASSTDYFAVLGHVTVKTSNYKPDFPVSSLWLVDGEFINWTSHPPQDSRILSVKISWKLKEGKKFVFQHYNVYVQKLPKVADGNQSTASEHVQEYLGVAHVNCFYVSELKVPPSVSSLKFIIQVFGFDGTNQNLAESPFYQLEVKGP >Vigun03g343000.1.v1.2 pep primary_assembly:ASM411807v1:3:54169486:54176403:-1 gene:Vigun03g343000.v1.2 transcript:Vigun03g343000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRSERAPLLESERGGRGKTDGGSEGQVTDLEHGDAVPAANVGFCRVFSLAKPEAGKLVIGTVALLIAATSSILVQKFGGKIIDIVSRDLRTPEEKDEALDAVKNTILEIFLIVVFGSICTALRAWLFYTASERVVARLRKNLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNFSTAFIGLSFMFATSWKLTLLALAVVPVLSVAVRKFGRYLRELSHKTQAAAALASSIAEESFGAIRTVRSFAQEDFEITRYSEKVDETLNLGLKQAKVVGLFSGGLNAASTLSVIVVVIYGANLTIRGYMSSGDLTSFILYSLSVGSSISGLSGLYTVVMKAAGASRRVFQLLDRTSSMPKAGSKCPLGDQDGEVELDDVWFAYPSRPSHPVLKGITLKLHPGSKVALVGPSGGGKSTIANLIERFYDPTKGKILLNGVPLVEISHQHLHRKISIVSQEPTLFNCSIEENIAYGFDGKVDPVDLENASKMANAHEFISKFPEKYQTFVGERGVRLSGGQKQRIAIARALLMNPKILLLDEATSALDAESEYLVQDAMESLMKGRTVLVIAHRLSTVKTANTVAVISDGQIVESGTHDELLSKDGVYTALVKRQLQTTTKVEI >Vigun11g104200.1.v1.2 pep primary_assembly:ASM411807v1:11:30225628:30228193:-1 gene:Vigun11g104200.v1.2 transcript:Vigun11g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTFFSSILCCFLLLTFASAAPFISDRVFESQAFTGRALLQAKKACSVDFENQNYTVLTSQCKGPQYPAKVCCDAFKQFACPFANDINDLTTNCAEVMFSYINIYGKYPPGLFANECKGGELGLDCSNVKSANESSTSHTINLPPPHFASLISIASFLIFLFSSF >Vigun08g157000.1.v1.2 pep primary_assembly:ASM411807v1:8:32968677:32969707:-1 gene:Vigun08g157000.v1.2 transcript:Vigun08g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMSGFCIRSGSVRGKSGSTTSSATGTKCGRWNPTTEQVKLLTELFRSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSFDDNDVVIRRQNSFNTSSQSLADMYRVSEPDRVVETLQLFPINSFDESEPETLRLHANNSTVFSYTMDEQIEHPPLDLRLSFL >Vigun09g153600.1.v1.2 pep primary_assembly:ASM411807v1:9:31878214:31881973:-1 gene:Vigun09g153600.v1.2 transcript:Vigun09g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKIERTAIEMNNEDTNLREHSSPPPPPSQKSSKTQNLGITERSFSAAGAAFFSVIIANPLDVAKTRLQAQAAGVAYSHPLSNMTSRMACFGPNMMFADLRCSPSCHRAGIQGTVSICPPECFRYKGTLDVINKIIHQEGVGKLWRGTNAGLVLAVPTVGIYLPCYDILRNWLEDFTAKNAPTTVPYVPLVAGSLARSLACATCYPIELARTRMQAFKVTQIDKKPPGVFQTLLGVMSHVKSTNHPQSNLRGYRLLWTGMGAQLARDVPFSAICWSTLEPTRRKLLSFARHDEANVFSVVGANFGAGFLAGTIAAGVTCPLDVAKTRRQIEKDPMKALKMTTRQTLMDVWRDGGLKGLFAGFGPRVCRLGPSVALVISFYEVVKFGLHRQHYNTSS >Vigun06g024300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11309899:11311231:1 gene:Vigun06g024300.v1.2 transcript:Vigun06g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGKRVSVSGEGRSCNGGGAGGSSNLQLVPYSESKKVCPFCQREFKCGRSLGGHIKVHKKNRRSTKFTHKKKSSTRRLRSSSALTTPTPLNVVRGDEMVAPLRILESGARGGEEEALRIDESGARGGEEEALRIDESGGSGAEIHDMSKSLVGCGWKRKGRRGSNDDTSKLMRWNRYPYSDDDEYEECDVEDSCDEDDYDNIKLRKWLRSRGYATGAHKICWTRRMLMCKVCNQYFRTLSAVMSHVDECALTNSDDQVVVDNGVGVGEMPEDAPVTKDNGVSSSSSVQQKQHASTSTHAAAPTKDLQLDLNEMPPPEDD >Vigun04g141800.1.v1.2 pep primary_assembly:ASM411807v1:4:35213257:35213939:1 gene:Vigun04g141800.v1.2 transcript:Vigun04g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQNQIKEVYQRIMIWDILRKGNNFAVKVVSVAFSLFSNLSPKYLELQHQMEIYRECEKEGLQNH >Vigun08g009800.2.v1.2 pep primary_assembly:ASM411807v1:8:846371:849810:-1 gene:Vigun08g009800.v1.2 transcript:Vigun08g009800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDQSNMKRNGGVFGSSSGANLRPPNRLNTAVPVGQLGVAENQKPYGIPPSHPNTNISPSSPYPQFFASQSHSNSVSQRLGSPNLSSASSHSRSLSQPSFFSLDSLPPLSPSPYREPSLSDPISMDVSAEESLVNPHAPLPNRGPSLQLGHSLPPRKGHRRSSSDSPLGISDFIQSAPQLVPSRTWSDRDVSRGGNSGYEKPIQLVLKEPIKSMDRGVDGFAGEPVVGRKEDDSLDDLFSAYMNLDNIDALNFSGMEDKDLDSRTSGSKTVESSDNEVESHANGKTTGAQGASSSCSEDRREGVKRTSNGDIAPGSRHRRSYSLDSSIGNFHIGDESPKLPPLQNRGGQHSPSNSMEGKTSETSMEFGNGEFSSEELKKIKENDKLAEIAMADPKRAKRILANRLSAARSKERKMRYISELELKVQTLQTETTTLSTQFTKLQMDNSELKSENNEYKLRIQALEQQSQLKDALNETLDAEVRRLRRTVAELGGESLLSSRMAQQLAISQQMFPLQHQQHPNQLRHAQLHNSHSQEQTQTQSQRQNGKATAY >Vigun08g009800.1.v1.2 pep primary_assembly:ASM411807v1:8:845762:849823:-1 gene:Vigun08g009800.v1.2 transcript:Vigun08g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDQSNMKRNGGVFGSSSGANLRPPNRLNTAVPVGQLGVAENQKPYGIPPSHPNTNISPSSPYPQFFASQSHSNSVSQRLGSPNLSSASSHSRSLSQPSFFSLDSLPPLSPSPYREPSLSDPISMDVSAEESLVNPHAPLPNRGPSLQLGHSLPPRKGHRRSSSDSPLGISDFIQSAPQLVPSRTWSDRDVSRGGNSGYEKPIQLVLKEPIKSMDRGVDGFAGEPVVGRKEDDSLDDLFSAYMNLDNIDALNFSGMEDKDLDSRTSGSKTVESSDNEVESHANGKTTGAQGASSSCSEDRREGVKRTSNGDIAPGSRHRRSYSLDSSIGNFHIGDESPKLPPLQNRGGQHSPSNSMEGKTSETSMEFGNGEFSSEELKKIKENDKLAEIAMADPKRAKRILANRLSAARSKERKMRYISELELKVQTLQTETTTLSTQFTKLQMDNSELKSENNEYKLRIQALEQQSQLKDALNETLDAEVRRLRRTVAELGGESLLSSRMAQQLAISQQMFPLQHQQHPNQLRHAQLHNSHSQEQTQTQSQRQNGKATAY >Vigun05g162199.1.v1.2 pep primary_assembly:ASM411807v1:5:25678116:25678682:1 gene:Vigun05g162199.v1.2 transcript:Vigun05g162199.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding CRRHPWGDLVVPTGWRRWGRASLNLFPMMIPNEETLGEPPTPTTVHVRSILNLTNCPSYLLYVLDSPVFVLVESFNGMFRSSFPLLRKRDPPVQVQDTIITAWTIRHPTRNLNDPIARAELYQLSYIPRAEWSMHEGVNWAILDLNQRPRS >Vigun04g093980.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:18921022:18922156:-1 gene:Vigun04g093980.v1.2 transcript:Vigun04g093980.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGCYCCPGECWKITSSSISISLATIIFPSSPSPARLPSQNPNTTPQTTRITFICHHHCHILVFTNTQLAHERANICLDSPSSSSTCTKIRITALTHAIQYCIGSKPHSSLIFSDQSHPIIAQR >Vigun11g026300.1.v1.2 pep primary_assembly:ASM411807v1:11:3367841:3371069:-1 gene:Vigun11g026300.v1.2 transcript:Vigun11g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITAANPGARRRPVLAAERKTATNIELLANDVAASPAVATSGEGAAGAGRDLSHHSIRGEAVLDRIPRDLAPVKKVAGAGGNSASLQPRRARKSSVKGEKPRWLTVVSIFGKNLVLLVVLAGLVQLIRLALKSGDGDVGGYAGLSEFEGRISDVEGLLKKTAKMIQVQVDVVDKKIDYEVRGLRKELNEKIEEKGVIFESGLKKLEARSEELERYLSELKGEDWLSKEEFEKFVEELRSVKGDGFEGGGLDEIRDFARGVIEKEIEKHAADGLGRLDYALATGGGAVVKHSEVYHMGKGNWFKSVRNGVHPSAEKMLKPSFGEPGQCFPLKDSKGFVQIRLRTAIIPEAVTLEHVAKSVAYDRSSAPKDCRVSGWLQGVNGDSAVDIEKMYLLSEFTYDLEKSNAQTFNVLNSGAFGLINTVRLDFTSNHGSPSHTCIYRFRVHGHEPDSVSMMAVES >Vigun01g097400.1.v1.2 pep primary_assembly:ASM411807v1:1:26353552:26357718:-1 gene:Vigun01g097400.v1.2 transcript:Vigun01g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRSKSGTEKTLSTEEEQIKVLEVKKLIGPIAEKCPVLCSDASILRYLRARSYNTKKTAKMLKGTIKWRLEFKPEKIQWSDVAQEAERGRLYKADYLDKQGRNVFVIRPGVQSTNTPMAQIKYLIYCLENAIWNSDSNQEQMVWLIDFQGWNTSCLSMKVARDTAQILQAHYPERLGIAIFYNPPKMFESFWMMVKPFLETKTHKKVIFVYPANAKSCAVMEEHLDMEKVESYFGGKNTVGFNYEAYARKMKADESNISRIFDLCCSSSPGLSVTSASVSEEASSEIAICSNLEDADGSSANGKQDCSRYESNKVNEPKKT >Vigun01g097400.2.v1.2 pep primary_assembly:ASM411807v1:1:26353608:26356523:-1 gene:Vigun01g097400.v1.2 transcript:Vigun01g097400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCQLSGSDFFLSVLVLLYFQVVLASLGFEGKMSFKRSKSGTEKTLSTEEEQIKVLEVKKLIGPIAEKCPVLCSDASILRYLRARSYNTKKTAKMLKGTIKWRLEFKPEKIQWSDVAQEAERGRLYKADYLDKQGRNVFVIRPGVQSTNTPMAQIKYLIYCLENAIWNSDSNQEQMVWLIDFQGWNTSCLSMKVARDTAQILQAHYPERLGIAIFYNPPKMFESFWMMVKPFLETKTHKKVIFVYPANAKSCAVMEEHLDMEKVESYFGGKNTVGFNYEAYARKMKADESNISRIFDLCCSSSPGLSVTSASVSEEASSEIAICSNLEDADGSSANGKQDCSRYESNKVNEPKKT >Vigun03g258300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42437532:42438778:-1 gene:Vigun03g258300.v1.2 transcript:Vigun03g258300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTTLYQILGICPAASEGEIKAAYRQLVKVCHPDVAPMGKKESSGSEFIKIHDAYRTLLNPDKRAKYDRNLIPRGRVFSTASSGVSGYNGRRWETDQCW >Vigun06g236700.1.v1.2 pep primary_assembly:ASM411807v1:6:34182448:34189619:-1 gene:Vigun06g236700.v1.2 transcript:Vigun06g236700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLHKLFSCLGGLRHCHTVHATVMFVYRYQIFPFLLRKGSAIFFA >Vigun02g082700.1.v1.2 pep primary_assembly:ASM411807v1:2:23622880:23623683:-1 gene:Vigun02g082700.v1.2 transcript:Vigun02g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGEYRKEDILDISAENWHEKCNVVPISAEELKIRSELGMEIENELEKEIKEGIYQLALRLHRIYQERKERSAKETFGGAVSEVKISIRMEGRSKVEMREVKNVKKGGSYVRNSWPQNVKEVKKVDWVKSLRGGSSAVCGSRLSSVSSKKKGENLSTGPNALSNGKIHGGSFGLVKQNASVDKKMLQLGWKV >Vigun09g030200.2.v1.2 pep primary_assembly:ASM411807v1:9:2487460:2495987:1 gene:Vigun09g030200.v1.2 transcript:Vigun09g030200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLDLRWLDVAFDILYFGDTSVIHQSLKERHEILCKVVRPMKGRLEILVPNAGINSHLTSGEPCWSFIAHNVDEVERFFKETIENRDEGIVLKDRSSKWEPSDRSGKWLKLKPEYIQAGSDLDVLIIGGYYGSGRRGGQVAQFLVGLAERPSPNAQPKRFISFCRVGTGLSDEELDVVVTKLKPYFRKYEYPKKRPPSFYQVTNHSKERPDVWVDSPEKSIILSITSDIRTIESEVFAAPYSLRFPRIDRVRYDKAWNECLDVQSFIELVHSSNGTTQRDTEYGSKQDSKPKRTKSSTRGEKRNMSIVPSHLIQTDISSVKGGSLIFSNMMFYFANVPPSHSLESLHKIIAESGGTFSMNLNNSVTHCVAAGSKGFKFEAAKRHGDIIHYSWVLDCYEQKKLVCLQPKYFLFLSELTKKKFREEIDEFSDSFYLDLDLEDIKQLLSNISKSEDVSTVDHYRKKYCPKDKWSFFCGCSIYFHTAIPMKGDWHYILQVALRRFKLEVLMGGGKVTSNLTCATHLVAFLVPGCRTEFEQILSSEQDLALEAHLCGDNTQDQNTSFSDKGNRQRSEKVACEDSTAFLSQEKGIKRKRGRPVGSGIKNVKPAAKQTRRARPQNVRKPAKICEYESPDESESRDKRPFESLDFYEKHSEPQENEEQKNVEVAGTVESSEQNKVDNKLKDLKDNDHEIEMTDRYNDHNNEVVEKLDFSADPLQAMLFDMIPSLGTQKVEQPVNPSVREEKVAETSNAETVPTTTKKNKVSFLDAANELLKDW >Vigun09g030200.1.v1.2 pep primary_assembly:ASM411807v1:9:2487460:2495987:1 gene:Vigun09g030200.v1.2 transcript:Vigun09g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLDLRWLDVAFDILYFGDTSVIHQSLKERHEILCKVVRPMKGRLEILVPNAGINSHLTSGEPCWSFIAHNVDEVERFFKETIENRDEGIVLKDRSSKWEPSDRSGKWLKLKPEYIQAGSDLDVLIIGGYYGSGRRGGQVAQFLVGLAERPSPNAQPKRFISFCRVGTGLSDEELDVVVTKLKPYFRKYEYPKKRPPSFYQVTNHSKERPDVWVDSPEKSIILSITSDIRTIESEVFAAPYSLRFPRIDRVRYDKAWNECLDVQSFIELVHSSNGTTQRDTEYGSKQDSKPKRTKSSTRGEKRNMSIVPSHLIQTDISSVKGGSLIFSNMMFYFANVPPSHSLESLHKIIAESGGTFSMNLNNSVTHCVAAGSKGFKFEAAKRHGDIIHYSWVLDCYEQKKLVCLQPKYFLFLSELTKKKFREEIDEFSDSFYLDLDLEDIKQLLSNISKSEDVSTVDHYRKKYCPKDKWSFFCGCSIYFHTAIPMKGDWHYILQVALRRFKLEVLMGGGKVTSNLTCATHLVAFLVPGCRTEFEQILSSFTSVERKILQSKRLYIVNSQWLEDCSDSNKRLPEDSYSLKPWGIEETTAADCEQDLALEAHLCGDNTQDQNTSFSDKGNRQRSEKVACEDSTAFLSQEKGIKRKRGRPVGSGIKNVKPAAKQTRRARPQNVRKPAKICEYESPDESESRDKRPFESLDFYEKHSEPQENEEQKNVEVAGTVESSEQNKVDNKLKDLKDNDHEIEMTDRYNDHNNEVVEKLDFSADPLQAMLFDMIPSLGTQKVEQPVNPSVREEKVAETSNAETVPTTTKKNKVSFLDAANELLKDW >Vigun08g198700.1.v1.2 pep primary_assembly:ASM411807v1:8:36402661:36407766:-1 gene:Vigun08g198700.v1.2 transcript:Vigun08g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGIDIGNENCVIAAVKQGGVDVLLNDESERETPAVVCFGEKQRFVGSAGAASAMMHPKSAISQLKRLIGRRFGDPDVQNELKMLSVESSESADGGILIHLEYLKESHAFTPVQIIAMLFAHLKSVAEKNLGTTVSDCVIGVPSYFTILQRQAYLHAAAIVGLKPLRLIHDCTATALSYGVYKTDFASEAPVYVAFVDIGHCDTQVCIAAFQDGEMKILSHAYDMSLGGRDFDEVLFSHFAAKFKEQYDIEVYSNDRACRRLRVACEKLKKVLSANLEAALSVECLMDEKDVKGYIKREEFENLASRLLERISVPCKKALANADLTVEEISSVELVGSGSRIPAITRILTSLFKKELSRRLNASECVARGCALQCAMLSPVFRVKEYEVHDSIPFSIGLSSDGKAIPAGLDSVLFTKGQPIPSVKILTFEYCDLLHLEAFYANPDELPLEAYPKICCFTIGPFHGSHASKARIEVQVHLNLHGIIDIESVTLVEDHVDDSGAADDSYSNSEAINISLVSETIDNASDDSINKNCEASHHSADGTRNDKANRRLHVPVSENIYGAMTKAEISEALEKELQLADQDKATELIKDNKNQLESYIYETRSKIFSTYRSFSSEHEKKDVSKSLKETEEWLYDDGGDETVDAYSAKLKDLKELVDPIEYRYKDREARPQATRDLLSCIVEYRLSADSLAPQDKEVVINECNKAEQWLREMRQQQDYYPKNSDPVLLSIDIQSKTEELNSVCQKILNLNSNGSPVPRDEGKDDEQNTP >Vigun08g198700.2.v1.2 pep primary_assembly:ASM411807v1:8:36402661:36407766:-1 gene:Vigun08g198700.v1.2 transcript:Vigun08g198700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGIDIGNENCVIAAVKQGGVDVLLNDESERETPAVVCFGEKQRFVGSAGAASAMMHPKSAISQLKRLIGRRFGDPDVQNELKMLSVESSESADGGILIHLEYLKESHAFTPVQIIAMLFAHLKSVAEKNLGTTVSDCVIGVPSYFTILQRQAYLHAAAIVGLKPLRLIHDCTATALSYGVYKTDFASEAPVYVAFVDIGHCDTQVCIAAFQDGEMKILSHAYDMSLGGRDFDEVLFSHFAAKFKEQYDIEVYSNDRACRRLRVACEKLKKVLSANLEAALSVECLMDEKDVKGYIKREEFENLASRLLERISVPCKKALANADLTVEEISSVELVGSGSRIPAITRILTSLFKKELSRRLNASECVARGCALQCAMLSPVFRVKEYEVHDSIPFSIGLSSDGKAIPAGLDSVLFTKGQPIPSVKILTFEYCDLLHLEAFYANPDELPLEAYPKICCFTIGPFHGSHASKARIEVQVHLNLHGIIDIESLVEDHVDDSGAADDSYSNSEAINISLVSETIDNASDDSINKNCEASHHSADGTRNDKANRRLHVPVSENIYGAMTKAEISEALEKELQLADQDKATELIKDNKNQLESYIYETRSKIFSTYRSFSSEHEKKDVSKSLKETEEWLYDDGGDETVDAYSAKLKDLKELVDPIEYRYKDREARPQATRDLLSCIVEYRLSADSLAPQDKEVVINECNKAEQWLREMRQQQDYYPKNSDPVLLSIDIQSKTEELNSVCQKILNLNSNGSPVPRDEGKDDEQNTP >Vigun06g056200.1.v1.2 pep primary_assembly:ASM411807v1:6:18268952:18273142:1 gene:Vigun06g056200.v1.2 transcript:Vigun06g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEQISEAVSSLLRELNPSQRSFTTLNQVVEELQAKLGHDLSHKLDFITAQINLLFGSQPQPPQPPPQNAQLQIQQQKDRFAPPPNPNFHSVPVSSGFQATKFPSSNAVVATPADISRVEAPAPAPAPAPAPASDAPKESTQTKVKRRGGPGGLTKLCGVSPELQVIVGQPALSRTEIVKQLWAYIRKNNLQDPSNKRKIICNEELRVVFETDCTDMFKMNKLLAKHIIPLEPTKKPVPKKQKVDVDSGKRTAEPTPSVIISDALGNFLGLLKGRCYSLRF >Vigun02g196900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33202952:33203521:1 gene:Vigun02g196900.v1.2 transcript:Vigun02g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCVRCSKGCKICCVSAILLVILLVVVVVVLFFTVFKPKDPDITLQSVKLEGFKLELPTFKLNLSLGVVVTVENPNHGSFTYQNSTAHLYHRGTLVAQAPLHEDTIPARHDHNITTSLSVFADISELKDLSTDYSRGVINLTSTVTLFGKVKVLNLLKMKATSYSTCYLSLFIPHQSIDSMCNSEIKL >Vigun07g171700.1.v1.2 pep primary_assembly:ASM411807v1:7:28692662:28694190:-1 gene:Vigun07g171700.v1.2 transcript:Vigun07g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRIGKKLQPAKKAWKSFSNKMQSKLPKLSIPKAIKATFKRLLATLHSLHHLVLSRGRRSLTGRRSSYAASDYRVHGKNISAIRIDYLFPEPASSMVVHANKTHASQGETSRGKEVIEKKKGLAGGNSGVNSLEDAWKSVVAKSPQLQVDQKAEEFISKFREDMRLQKERSLLEFQEMLARVHLLLRLKDKASPCYLESMETEI >Vigun06g041766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16340549:16340812:1 gene:Vigun06g041766.v1.2 transcript:Vigun06g041766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLPLLFIITNLINYSPSCTQHLMKPSYCRFWHYGAFKSLYILTVCLLILFMSTINYPFGFCVPPYILAEPLWLPQCSCYLPLKA >Vigun08g124100.1.v1.2 pep primary_assembly:ASM411807v1:8:29426933:29428985:1 gene:Vigun08g124100.v1.2 transcript:Vigun08g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSVSGGGSGSVCHVVAMPFPGRGHINPMMNLCKILASRRPSDILITFVVTEEWLGFIAADSKPESIRLAAIPNVIPNERHKAANFPAFYEAVMTNMEEPFDRLLDRLEPPPSVILGCVELRWPIALANRRNIPVAAFWTMSASFYSMLHHLDVFAQQRRLNVDKDTLEGQVENVPGISSAHLADLRTVLHENDQRVLQLALECISKVPKANYLLLTTVYELEAETIESLKAIFSFPVYPIGPAIPYLELGENPSSINNDHNHDYIKWLDSQPPESVLYISLGSFLSVSSAQMDQIVEALNSSNVRYLWVARADASWLKDKCGGKGMVVPWCDQLKVLSHSSIGGFWSHCGWNSTLEALFAGVPMLTFPLFLDQVPNSSQIVDEWRNGCKVEQEKLESEEILAKEKIEKLVNRFMDLESQEGKQIRDRASEIKAMCHRAIGTGGSSDGNLDAFIRYISED >Vigun06g143550.1.v1.2 pep primary_assembly:ASM411807v1:6:26914053:26920324:1 gene:Vigun06g143550.v1.2 transcript:Vigun06g143550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQGVLGVNVGREEEEDEFCSCCGDENEEAWKETEEALVGGLKDELDEFSVKMFFKGLSMAGFENPSSGFSGIGVVMERSPGLPAIRVQKKLDFYAEESVVDYLALLDGLLEAVRKKIRRVYAFTDSELLHDQITFGKKMDMPLLLALRERILELTNNFEDFVLKLIPSTDLEQPLHFAKVAIGLVTFPINGERLLKNCSICCDDKPVQIMITLKCSHIFCSHCLRAYADGKVQLSQVPIKCPQPGCKYCISATECRSFLPFTSFESLEKALSQADIDHSDRIYCPFPNCSVLLDTLECVSARSSSSQSDNSCLECPVCKRFICVDCKVPWHSSMNCLEFQNLSEDERDASDISLHRLAQNKRWKRCQQCRTVIELTQGCYHMACWCGHEFCYSCGAEYREGQQTCQCAYWDENNSENSVAHSLQESEQWAWETFNSLSMIVDAYSDQERSQLALIQRFLAGGFSLSDHNPYQSPPRCTDSYVDPMKDLHQLPWLERFVSVISDNYYEDYIQ >Vigun10g200400.1.v1.2 pep primary_assembly:ASM411807v1:10:41286695:41301892:-1 gene:Vigun10g200400.v1.2 transcript:Vigun10g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAHIPGEMSGQVPNQPGSQLSGLTQLNGNALAHQMPPLGGVPRSTINMDPEFPRARTFIQEKIFDMLLQRQQQPVTDVQRKKLKDLAKRLEEGMLKAARSKEDYMNLDTLESRLSNFLRRASMNNQNQHYPQLVNSSPISTMIPTPGMSHAPNSSMMVASSVDASMIYASGCNSIASTSFNSVNMLPAGGMLGSTLNRFDGLSNGYQQSSTSFSVASGGNISSMGVQRIASQMIPTPGFSVSSSHSHMNIDSNTNGGAFSSVESTMVPLSQLQQQKQIVGGQNSHVLQNLNSQMGIGMRSGLLQKPFTNSNGAINSGSGLIGNNIQVANEPGTSSDSYASTYANSPKQLHQHFDQSQKPVVQGDGYGLNNVDNFPSGNCYTSATSSGPMVNNQNTSSVKLSSVPKTSTLLSGHSNLHGMQQAAHIKSQQVNQLEKLNFQTSLTSREGFLHSQQQYQQRSQQLQQPDQYALQQFQSMQNQHVVNSDTFSQSQLSPNLENRVKPEPGIEHRKEVLNSRVSEQFHISEMQSPFQQNSSEDCSRGAQHLPFPSGHHDLSSSTPQNSQQMLHQHQLAAESQNNFSVGVQSKSVILNQWPQSQDPDSISHDQHLHVDFHQRISGQDEAQCNNLSSDGSIIVRNVLSRGLAEQLESGIATNKAHRNQQRWLLFLLHAKRCSAPEGRCKERFCSIAQMLCKHIDGCKLRHCPYPRCHHTKELLIHYVNCKDLGCPVCVFVRKCRRAFQLKPQIRPEPESSLPTAVTGSSKPYSVAGTSPRLISKPPLVVETSEDLHPSIKRIKIEHCAQAIYPENDHSASSFIGNCESLVSRDAQSQPQPYPNAEKSISIKPEFTEVKAEAPANVIHEKLSEMQMDNNNADDKTPSAELVKCEEPASLARPENIKAEKETGQDLQENVVQTSDIPTGTKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTTGTGDTRHYFCIPCYNDARTENIIVDGTPIAKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARLQGKSYDEIPGADALVIRVVSSVDKKLEVKPRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVDLTNLYDHFFISTGECRAKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHACTGCCILMVSGNRWVCNQCKNYQICDKCYEVEQKREERERHPINQREKHTLYPVEIADVPSDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYLDIETGQGWRCEVCPEYDVCNACYQKDGGIDHPHKLTNHPSMVDRDAQNKEARQHRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNAG >Vigun10g200400.2.v1.2 pep primary_assembly:ASM411807v1:10:41287286:41301892:-1 gene:Vigun10g200400.v1.2 transcript:Vigun10g200400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAHIPGEMSGQVPNQPGSQLSGLTQLNGNALAHQMPPLGGVPRSTINMDPEFPRARTFIQEKIFDMLLQRQQQPVTDVQRKKLKDLAKRLEEGMLKAARSKEDYMNLDTLESRLSNFLRRASMNNQNQHYPQLVNSSPISTMIPTPGLSNGYQQSSTSFSVASGGNISSMGVQRIASQMIPTPGFSVSSSHSHMNIDSNTNGGAFSSVESTMVPLSQLQQQKQIVGGQNSHVLQNLNSQMGIGMRSGLLQKPFTNSNGAINSGSGLIGNNIQVANEPGTSSDSYASTYANSPKQLHQHFDQSQKPVVQGDGYGLNNVDNFPSGNCYTSATSSGPMVNNQNTSSVKLSSVPKTSTLLSGHSNLHGMQQAAHIKSQQVNQLEKLNFQTSLTSREGFLHSQQQYQQRSQQLQQPDQYALQQFQSMQNQHVVNSDTFSQSQLSPNLENRVKPEPGIEHRKEVLNSRVSEQFHISEMQSPFQQNSSEDCSRGAQHLPFPSGHHDLSSSTPQNSQQMLHQHQLAAESQNNFSVGVQSKSVILNQWPQSQDPDSISHDQHLHVDFHQRISGQDEAQCNNLSSDGSIIVRNVLSRGLAEQLESGIATNKAHRNQQRWLLFLLHAKRCSAPEGRCKERFCSIAQMLCKHIDGCKLRHCPYPRCHHTKELLIHYVNCKDLGCPVCVFVRKCRRAFQLKPQIRPEPESSLPTAVTGSSKPYSVAGTSPRLISKPPLVVETSEDLHPSIKRIKIEHCAQAIYPENDHSASSFIGNCESLVSRDAQSQPQPYPNAEKSISIKPEFTEVKAEAPANVIHEKLSEMQMDNNNADDKTPSAELVKCEEPASLARPENIKAEKETGQDLQENVVQTSDIPTGTKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTTGTGDTRHYFCIPCYNDARTENIIVDGTPIAKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARLQGKSYDEIPGADALVIRVVSSVDKKLEVKPRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVDLTNLYDHFFISTGECRAKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHACTGCCILMVSGNRWVCNQCKNYQICDKCYEVEQKREERERHPINQREKHTLYPVEIADVPSDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYLDIETGQGWRCEVCPEYDVCNACYQKDGGIDHPHKLTNHPSMVDRDAQNKEARQHRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNAG >Vigun03g217200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36165100:36165723:-1 gene:Vigun03g217200.v1.2 transcript:Vigun03g217200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMPKFKGKLVMGANGRTNPLVWLVAIICTVIAVAVVVVGIVVFIGYMVIHPRVPVISVTNARLDLLRNDYAGLLQTQLTIVVTAKNGNAKAHATFSDITFNISFQGQDIAVLVADPFEVPKNSSKDLSYVVQSSSIPLSPDQMELVGDAWKKNEIEFDFKGAARTQWRVGPLGSLKFLCHLDCDLKFRPLNGTYIPSRCTSKSH >Vigun05g168600.1.v1.2 pep primary_assembly:ASM411807v1:5:28869867:28871829:1 gene:Vigun05g168600.v1.2 transcript:Vigun05g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARHLNLFPSKLVTNREVMNSVDANVNMYNAQQIGYSSYFPLSGAVTEAVLPSSVYNLIGNAVKSESGLTNNNSVVVPPLSRKRSRENYSYNDSFSFLGQDVSLQIQQQQLDIEHLIMQRMEKVRIEIDQKRKRQARRIIEAIEAGVIKKLKAKEEEIEKIGKLNWALEEKVKSLCMENQIWRDLAQTNEATANALRCNLEQVLAQREMVDDGAAAALMDDAQSCCGSTGEDGERKKEVGWRTIISGCAGVKDKKDGGFGKMMIGESNGRFCRHCGKEESCVLILPCRHMCLCTVCGSTLHICPICKSFKTASVHVNMS >Vigun03g252500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41883620:41884918:-1 gene:Vigun03g252500.v1.2 transcript:Vigun03g252500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYQVRSISLPSRSHPSTIRVEEELSKLKTWEGTFTFTSESIHTGLSMLQDLYLSLDDLLSMPSTQQVISHHKGDKCVEEVLDGSMRILDICGITKDTMLQIKENVQALHSSLRRRKGESSVETSVGEYKLFTKKMKKNANKMITSLKQMDSRFGVSPLLDLDHHLAAVIRVVREVIVINLLIFQFILSFLSVSSSNSKATKWLVVAKLMQKGVKAWEDNNNVNELQCVEVALSSLLIEGTNDEKMQVAQEKLEALKNGIERIENSLESVFRRLIKTRASLLNIISSQ >Vigun03g366400.1.v1.2 pep primary_assembly:ASM411807v1:3:56969349:56974910:1 gene:Vigun03g366400.v1.2 transcript:Vigun03g366400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRRSKGRGRPNNNTSGNATPNNPKSRNKRGSDVKSALFVEGGFLSDWHLPSPTHTPGRSSGSNNKSGSQRRTEGSASKSGLAKSSGATIGYSYPSLDYQEVTRVETGNSTKDSNLNQLQPFVLADTKQSRVTVHADGTPPSKPKYTYSYDADFVLGDSSHKGLGFPSEQDKTPGSIGILQEQMPQSTPVLDSSSFEKDAGSDEGMDCELSNEMAEDCSPNVSAERNSGFLSIGGLKLYTQDISDDENEEYNEDSSDEESSTTSAPEELLESSENNDSEYTSDSDSDIDEDVAEDYLEGVGGSENILDAKWLLKPDLNESGNDSSSSSCYDEALKKLGGFALQEASREYGMKKNKPRNKHGVNSGPIALENLMTEKDPRTISSRKKHLPWFTHSWPSHAQKSKASKKMHGEKKKLRKERIAGKRRERMLHRGVDLEKINLKLQQIVLEEVDMFSFQPMESRDCSQIQRLAGVYQLRSSSQGSGKKRFVTVMRTQSTSMPSSSGRQRLEKLLGVDDEDADFSVADYANKKSVSGDRRGGKKHTKQNNFRLQELHSPQNKFSGSHKVKDKRGSGQKSSYANQPVSFVSSGTIHSETVPVTVVEAEETNRKGVTSSANIGSFEEHTTGFGSKMMAKMGYTEGGGLGKNGQGMALPIEVIQRPKSLGLGVEFSNNPGEPAGKISLGGAAESLGLGVKLSKSPGEPARNRLSKSPGEPARNRSSTVGAFEKHTKGFGSKMMAKMGFVEGKGLGRESQGITTPLTALRLPKSRGLGAKS >Vigun07g246300.1.v1.2 pep primary_assembly:ASM411807v1:7:36685424:36687111:1 gene:Vigun07g246300.v1.2 transcript:Vigun07g246300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSNYSAIPSVFFFLFSSFFFSTKATPIYSSHVCTHSTTNQPNTTFQTNLNLLLSSLSSKATEATQFYKTTIATETPNSVKGLFLCRGDTLAAACHDCVTAAAADLKRRCPVDKEAIIWYDVCMVRYSNQYLNNIVPGVDMSDSKNVTGINLDRFNELLAGLLNSLATKAKNSENKKFATGEVNLTRSVTLYGLVQCTPDLSLFDCRMCFSSAIASVPNCCDGKRGARVLLPGCNIRYEVYPFYSSNNNTLTPPIVKPRPSGRSGVEVILTFVIPVVAAMVLFAFGICTVMRKQAKSVIQLWKQTNYSEV >Vigun09g217900.1.v1.2 pep primary_assembly:ASM411807v1:9:39147797:39149791:1 gene:Vigun09g217900.v1.2 transcript:Vigun09g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFLVAAAQDGDVDLLYKLIQMQPYVLEHTDFMPFVDTPLHVAAAAGHASFCTELIRLKPSFSWKLNQCGLSPMHLALQKKHHRLVCRFVEINKDLVRIKGREGLTPLHLATQTGTIDLLPKFLSACPDSIEDVTVRSETSLHIAVKYNQFQALEVLVGWLQRNCQRHAQNRERRILNWRDEAGNTVLHLSVLKVFPQAVKLLLESNIDINAKNFEDLTALDIVEINQTEAHSAEIRDMLVRRGALRGFSIATTTLVEELRAKITFNERIAISVTRLRKRISNDTRNALLVVAILFATNTYEAVRSPPGGVYQAEGSSNNKISTSFQHSEYAAMQEIAGKVVMKMQIFNWFWSFNTFSCYLSILMICFLMPRGRISVFVTFPLSIFSGCYVFSMLVISPSFRLNTATVVLPCVFTLFYGWGSYVYIRLAKKLKMYAHKQKDTFKFVGGNRW >Vigun05g070850.1.v1.2 pep primary_assembly:ASM411807v1:5:6101850:6102278:1 gene:Vigun05g070850.v1.2 transcript:Vigun05g070850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun07g070232.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8638380:8638766:-1 gene:Vigun07g070232.v1.2 transcript:Vigun07g070232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSQSKDILKTRDSTSLFKVPLVLYKTNHTSFFIVLSQTKPKLFLRSTTHSLDSLLSRFRRRKREESETDSSMAKGIRNLSSWMEVAPAPIILPRKPSNLPALATITEEVPEEHTTKLQSIPSSSHG >Vigun06g077400.1.v1.2 pep primary_assembly:ASM411807v1:6:20834812:20837493:1 gene:Vigun06g077400.v1.2 transcript:Vigun06g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIESYPPVLRHLEQQRHPPPPPPPPPNSDPDEEFQEPDPVPIIDLECLHHEKLEEACKNWGLFRLVNHGVPLTLLKELQEAAKELFSVSFEAKESACSGSPVTYFWGTPALTPSGTALTRSPQNMNWVEGFDVPLSQLSHFNPQLPTLESVRVLVMEYEKHLSRIGRRLLEAMAKNLELNIKNSKEYLAVGMMRVYRYPNCPDTNGGWGMEAHTDSSVMSILNQGDEVGGLQLLKHHQWLNVKPIPDTLIVNLGDMMQAISNDRYKSVTHRVSMNKHKERISLCYFAFPGEEVVIESSKYKPFTYNEFRAQVQEDIKALGHKVGLSRFHHNQNSQVSLL >Vigun05g043900.1.v1.2 pep primary_assembly:ASM411807v1:5:3632201:3634881:-1 gene:Vigun05g043900.v1.2 transcript:Vigun05g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFEKITCFTICMLVICLLTSSLSEASDETFRATEKTENWLNHGGDIYNRRYASMEKKISVETVSNLSLKWKFKAGQDITATPAIFDGILYFPSWNGNIYAVRTEDGSLVWKQNLQNLTGVSATGFVPGVNWTVSRATPTIADHGLVLFGIYGPALVIALKRSTGELVWNTRLDSHNTSAISTSGTYYNGAYYVGTSSQEEGLTPEECCTFRGSFLKLDIKSGAILWQTFLLPDNHGEKGEYAGAAVWGSSPSIDASRNHIYIATGNLYSAPLEIRQCQEKENNETQPTHPDRCVEPENHSDSILALDLDDGKIKWYRQFGGYDVWFVSCSNLSTPNCPPGPNPDADFGEAPIVLTIDVNKTKQDVVVAVQKSGFAWALHRDDGNLVWSTEAGPGGVAGGAIWGAASDIERVYTNIANSDRKNFTLKPSKKTTRSGGWVAMETKSGKILWSTENPSNASAFGPVSVANGIVFAGSVDIKGPIYAINAETGSILWSYETGSSVYGGLSVSDGCIYVGNGYKVGFGLNIGNFTAGTSLFSFCV >Vigun10g054000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9019365:9019655:-1 gene:Vigun10g054000.v1.2 transcript:Vigun10g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIASSEHSRIFSNSYSVFQFLTFLFIVILLFSFSHPSAMASSEHVKSSESTSSTINLYHLKAKNRGCSSKRGGRKFGAKAHEVPSGPNPIQNR >Vigun08g196900.1.v1.2 pep primary_assembly:ASM411807v1:8:36269481:36277461:-1 gene:Vigun08g196900.v1.2 transcript:Vigun08g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSALRPHCPLCRRSFSRPFSLSSLLPSPSLQRRKIQSFQVSYNGLRTSSVLGNSVQSRAGFSCCPKGTSLNKYNGVRYERDFPCKVPVCISSMKIANASTGTLEEVTEEEELESLRSCETKVRMMDVASCNNGFSASTVGRNLSGGDCRGWTIAKDKLTENKKEMNGSNKFDRQNGCSNASNGVASFGQNQAVFKNGDMNIILQDHREHVSSPVKYSVLNKSNVFMDSEKVVRQCNDSTFGISKEKITVVNGDHGLDGIAKDSINVRSAKQAGGTDKTNLRNRLCSIYEDILVVDNISLAEEVSKMLTTKYRHLIHACDTEVAKIDVKQETPVDHGEIICFSIYCGPEADFGGGKSCIWVDVLDGGGKEILLKFAEFFSDSSIRKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRLLDGGYSLEGLTGDRRVMSRTQLNHQKDLIGKVSMKTIFSKKKLKKDGSEGKTSVIAPVEELQRNERIPWICYSALDASSTLKLYESLKGHLSDMPWKFDSVPVYGKTMYDFYNEYWRPFGELLVMMESEGMLVDRAYLESIEKVAKVEEEVAANRFRKWASRYCPDAQYMNVGSDSQLRQLLFGGTLNRKDSNLALPTERIFKIPNVDNVIEEGKKAPKKFRDIKVTSLGYNLKTEMYTATGWPSVSGDALKAMAGKISADYDFFDEDCNLELGDEDGNPSQNQVAPVKIDSSAYGTAYAAFPTEEEGREACHAIAALCQVCSINSLISNFILPLQGQNISGKDLRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIASPGNSLIVADYGQLELRILAHLAECKSMLDAFKAGGDFHSRTAMNMYPYIREAVEKKEVLLEWHPKPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSKDWKVSVKDAKKTVDLWYNDRKEVLQWQEERKKEAHESHCVHTLLGRARRFPLMAQANTYQKGHIERAAINTPVQGSAADVAMCAMLQISKNKQLKELGWKLLLQVHDEVILEGPTESAEVAKSIVVECMSKPFNGKNILKVDLSVDAKCAQNWYAGK >Vigun08g196900.2.v1.2 pep primary_assembly:ASM411807v1:8:36269481:36277461:-1 gene:Vigun08g196900.v1.2 transcript:Vigun08g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSALRPHCPLCRRSFSRPFSLSSLLPSPSLQRRKIQSFQVSYNGLRTSSVLGNSVQSRAGFSCCPKGTSLNKYNGVRYERDFPCKVPVCISSMKIANASTGTLEEVTEEEELESLRSCETKVRMMDVASCNNGFSASTVGRNLSGGDCRGWTIAKDKLTENKKEMNGSNKFDRQNGCSNASNGVASFGQNQAVFKNGDMNIILQDHREHVSSPVKYSVLNKSNVFMDSEKVVRQCNDSTFGISKEKITVVNGDHGLDGIAKDSINVRSAKQAGGTDKTNLRNRLCSIYEDILVVDNISLAEEVSKMLTTKYRHLIHACDTEVAKIDVKQETPVDHGEIICFSIYCGPEADFGGGKSCIWVDVLDGGGKEILLKFAEFFSDSSIRKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRLLDGGYSLEGLTGDRRVMSRTQLNHQKDLIGKVSMKTIFSKKKLKKDGSEGKTSVIAPVEELQRNERIPWICYSALDASSTLKLYESLKGHLSDMPWKFDSVPVYGKTMYDFYNEYWRPFGELLVMMESEGMLVDRAYLESIEKVAKVEEEVAANRFRKWASRYCPDAQYMNVGSDSQLRQLLFGGTLNRKDSNLALPTERIFKIPNVDNVIEEGKKAPKKFRDIKVTSLGYNLKTEMYTATGWPSVSGDALKAMAGKISADYDFFDEDCNLELGDEDGNPSQNQVAPVKIDSSAYGTAYAAFPTEEEGREACHAIAALCQGQNISGKDLRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIASPGNSLIVADYGQLELRILAHLAECKSMLDAFKAGGDFHSRTAMNMYPYIREAVEKKEVLLEWHPKPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSKDWKVSVKDAKKTVDLWYNDRKEVLQWQEERKKEAHESHCVHTLLGRARRFPLMAQANTYQKGHIERAAINTPVQGSAADVAMCAMLQISKNKQLKELGWKLLLQVHDEVILEGPTESAEVAKSIVVECMSKPFNGKNILKVDLSVDAKCAQNWYAGK >VigunL006701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:36434:36742:1 gene:VigunL006701.v1.2 transcript:VigunL006701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun03g268800.2.v1.2 pep primary_assembly:ASM411807v1:3:44004743:44010368:-1 gene:Vigun03g268800.v1.2 transcript:Vigun03g268800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRFVSLCDRDLILHLSLSNFGSAYAESDHDAIDEDDDFVFDIDPTVLVDTRKLIIDEPIAEGLHSIVYKGWYEFNPVSVKVMLPMQTSNVTSSCKAKFQREVNLISKIKHKNIIKFIGASVEPTMMIITEFLEGCSLNKYLESIYPNALSLEQSISFALDISQAMEYLHANGIIHRDLKPGNLLLAKDKMQILLTNFETAREEISGEMTSEAGTYRYMAPELFSKDPLSKGAKKCYDHRVDVHSFAMVLWAIIKNQTPFKGRSDLLAAYATAKKMRPSLEGFPEVVVPLLQSCWAEDPKLRPEFSEITQFLEKLLHNYHSAEEKVCPTTSVQEIFPYVEDNTEHENEAQSLNTSVCLEGQSQVITQNSSPVVIAQTEHKRTLKKGKGLWLCFQKCFHIC >Vigun07g243400.1.v1.2 pep primary_assembly:ASM411807v1:7:36485206:36488418:1 gene:Vigun07g243400.v1.2 transcript:Vigun07g243400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLESLSEATSGAIGSLVSTTVLYPLDTCKTKYQAEVQAQHQRKYKRISDVLWEAIATRQVLSLYQGLGTKNVQSFISSFIYFYGYSYFRKLYLKRSGNRSIGTAANLVVATAAGVFTIVITQPLDTASSRMQTSEFGKSKGFWKTLSEGTWSEAYDGLAISILLTTNPSIQYTAYDQLKERILKSKISNRTGTKSSPEALSAFSAFMLGAVSKCAATCLTYPAIRCKVMIQAAESDDDKSTEAERKAQRTISGALYTIWKREGVLGFFKGLQAQILKTVLSSALLLMVKEKIAKSTWILMLMIGRYLSVNSPKLKAI >Vigun10g073900.1.v1.2 pep primary_assembly:ASM411807v1:10:19412178:19415441:1 gene:Vigun10g073900.v1.2 transcript:Vigun10g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMEPNDVEEDGLYTEDGTVDINKNPANKLKTGKWKACRFILGNECCERLAYYGMSTNLVNYLQTRFNMGNTTAATNITTWSGTCYITPLLGAFLADSYLGRYWTIAIFSIIYVLGTALLTFSATIPGIKPPCDSNGCHPTSAQTAACFVGLYLIALGTGGIKPCVSAFGADQFDENDESERLKKSSFFNWFYFTVNIGSLIASSALVWIQTNVGWGWGFGIPAVAMMIAIIFFFIGSRWYRLQRPGGSTITRICQVIVAACRKSRQQVPEDKSLLYEIEDAEANIRGRHKIQHTDELKFLDKAAIERESDHTKGWADPWKLCTVTQVEELKALIHLLPIWASLIAFATVYSQMSTMFVLQGNTMDQQVGPHFKIPSASLSIFDTLSIIFWAPIYDRAIVPFVRKFTGHQQGFTQLQRVGIGLVISIISMLVAGFLEVVRLNMVRKNNLYDAETVPISIFCQVPQYFLIGAAEVFTNIGQMEFFYGQAPIAMRSLCAALQLTTNALGNYVSSLILLIVTKITTANGSMGWIPDNLNRGHLDYYFWLLSALSLINFVVYLWLAKRYTYKKGT >Vigun10g073900.2.v1.2 pep primary_assembly:ASM411807v1:10:19412182:19415441:1 gene:Vigun10g073900.v1.2 transcript:Vigun10g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMEPNDVEEDGLYTEDGTVDINKNPANKLKTGKWKACRFILGNECCERLAYYGMSTNLVNYLQTRFNMGNTTAATNITTWSGTCYITPLLGAFLADSYLGRYWTIAIFSIIYVLGTALLTFSATIPGIKPPCDSNGCHPTSAQTAACFVGLYLIALGTGGIKPCVSAFGADQFDENDESERLKKSSFFNWFYFTVNIGSLIASSALVWIQTNVGWGWGFGIPAVAMMIAIIFFFIGSRWYRLQRPGGSTITRICQVIVAACRKSRQQVPEDKSLLYEIEDAEANIRGRHKIQHTDELKFLDKAAIERESDHTKGWADPWKLCTVTQVEELKALIHLLPIWASLIAFATVYSQMSTMFVLQGNTMDQQVGPHFKIPSASLSIFDTLSIIFWAPIYDRAIVPFVRKFTGHQQGFTQLQRVGIGLVISIISMLVAGFLEVVRLNMVRKNNLYDAETVPISIFCQVPQYFLIGAAEVFTNIGQMEFFYGQAPIAMRSLCAALQLTTNALGNYVSSLILLIVTKITTANGSMGWIPDNLNRGHLDYYFWLLSALSLINFVVYLWLAKRYTYKKGT >Vigun10g073900.3.v1.2 pep primary_assembly:ASM411807v1:10:19412178:19415441:1 gene:Vigun10g073900.v1.2 transcript:Vigun10g073900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMEPNDVEEDGLYTEDGTVDINKNPANKLKTGKWKACRFILGNECCERLAYYGMSTNLVNYLQTRFNMGNTTAATNITTWSGTCYITPLLGAFLADSYLGRYWTIAIFSIIYVLGTALLTFSATIPGIKPPCDSNGCHPTSAQTAACFVGLYLIALGTGGIKPCVSAFGADQFDENDESERLKKSSFFNWFYFTVNIGSLIASSALVWIQTNVGWGWGFGIPAVAMMIAIIFFFIGSRWYRLQRPGGSTITRICQVIVAACRKSRQQVPEDKSLLYEIEDAEANIRGRHKIQHTDELKFLDKAAIERESDHTKGWADPWKLCTVTQVEELKALIHLLPIWASLIAFATVYSQMSTMFVLQGNTMDQQVGPHFKIPSASLSIFDTLSIIFWAPIYDRAIVPFVRKFTGHQQGFTQLQRVGIGLVISIISMLVAGFLEVVRLNMVRKNNLYDAETVPISIFCQVPQYFLIGAAEVFTNIGQMEFFYGQAPIAMRSLCAALQLTTNALGNYVSSLILLIVTKITTANGSMGWIPDNLNRGHLDYYFWLLSALSLINFVVYLWLAKRYTYKKGT >Vigun05g032000.1.v1.2 pep primary_assembly:ASM411807v1:5:2547197:2550282:1 gene:Vigun05g032000.v1.2 transcript:Vigun05g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLREENKIVVCDMPKEGIKNATSTESPVLDKANRRRRVSRRNKKRKVSVSTTNEKDRPKTRRISLVGPSTVYLKKKLIVLDVNGLLVDIVSPAPKHRKADATVGKKALFKRPFYLDFLNFCFEKFEVAIWSSRTRKNINRVLDHLMGRKMRNRFLFCWDLSYCTKTSFKTLENKYKPLVFKDLRKIWEKYDSKLPWEKGYYNESNTLLLDDSPYKALLNPPCNSVFPQSFDFQNQSDNSLARGGDLREYLNGLADAENMQKYVEQHPFGQSAIDERSEFWNFYREVLDSLS >Vigun09g198600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37348879:37349895:1 gene:Vigun09g198600.v1.2 transcript:Vigun09g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKKPNKIRDIVRLQQILKKWRRVANSSRTSSNSNSNNNNNNNSNTSRSIKFLKRTLSLSEREGGGSSSVVPKGYVAVCVGVELSRFVIPTEYLGHKAFHMLLREAEEEFGFEQTGVLRIPCEVSVFESILKIVERKDRFFTQKCRFSIEKMMGYCSSNNLAYSHQPQSPMCR >Vigun02g067600.1.v1.2 pep primary_assembly:ASM411807v1:2:21769892:21771140:-1 gene:Vigun02g067600.v1.2 transcript:Vigun02g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNELKLLGAWFSPYALRVQIALNLKGVDYEDIEETLFPKSELLLKSNPVLKKIPVLFHADKVICESAIIVEYIDEVWTHAPSILPQNAYDRAQARFWVGYIDQKWISSLKNILIAEDDEAKKPDFEEIEEIAERLEEVLKGKTFFGGDTIGFIDIVFGSFLSWTRVIENINGTKLIDETKQPSLFQWAETFAVHPAVKGLLPEIDKLVEFAKILKLSLAAAK >Vigun06g222300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33134786:33135992:-1 gene:Vigun06g222300.v1.2 transcript:Vigun06g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKYLLAMLLCCVLLQLKPSLGAQQKLELKYHNGVLLKGRYTVDILWYGSFTPIQRSIIVDFINSLSFPSGAQSPTVASWWQTTEKYKGGGTTTLAVGKQIMEPYSLGKHLSEKQLYDLASRIKDLSAISLVLTAKDVAVDGFCSGRCGTHKSISGMTPYVWVGNSETQCPGQCAWPFHKPISGPQTPPLVAPNGDVGVDGMIINIATLLAGTVTNPFNGGYYQGPSTAPLEAVSACTGIFGSGAYPGYPGKTLVDRATGASYNAQGSHGRKFFLPAMWDPQTFQCKTLV >Vigun09g005800.1.v1.2 pep primary_assembly:ASM411807v1:9:425205:431024:1 gene:Vigun09g005800.v1.2 transcript:Vigun09g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAEIDERVDLDEENFMEEIDDDVEEQIDDDGVDGGEDENAEGSVEEHEYEDSTAEVGGKDQLPEAEKSDIATEFGDDDQKPSFIDEDEKEKHDELLALPPHGSEVFIGGLPRDVCEDDLRDLCEPMGDILEVRLMKDRDTGENKGYAFVAFKTKEVAQKAIEEIHSREFKGKTLRCSLSETKHRLFIGNVPKTWTEDDFRKVIEGVGPGVENIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNASFKLDGNTPTVTWADPKNSPDHSASSQVKALYVKNIPENVTTEQLKELFRRHGEVTKVVMPPGKAGGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQADKKPDGGYGYNPGLHPNHLPHPAYGNFSGNPYGSLGAGYGVGGGYQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGVQAPPSRPRRSDRSNGPSGQGGRGGGGGGGGGGSGGSGNDEGNRSRRYRPY >Vigun07g220200.1.v1.2 pep primary_assembly:ASM411807v1:7:34276691:34278406:1 gene:Vigun07g220200.v1.2 transcript:Vigun07g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSHPLSIESFSYSWLVNLRPPSLESLEASLRTSLDASDEASFIEMDPRKPPSKRFFKNSQDSKFDFPISQSPLTLVHADELFSNGYLMPLFVESLQMEEYEFSDSNTSPVSSSHAPQSQAPTCPSRCLSLKGCRTLSRRVFQKYLNFLRPLCRRLRGHKSSTETAAKRGVSVKNRRYYSDTSPRISVALSADDWRYSCDSESSIYEAVLHCKRSIGNERMS >VigunL077225.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000541.1:43131:44197:-1 gene:VigunL077225.v1.2 transcript:VigunL077225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun03g342000.2.v1.2 pep primary_assembly:ASM411807v1:3:54059485:54063389:-1 gene:Vigun03g342000.v1.2 transcript:Vigun03g342000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKAIEEGADFIETDILSSKDGVLICFHDVNLDDTTDIANHEEFADRKRTYEVQGENITGFFTVDFTLKELKSLRVKQRYRYRDQQFNGKFQIITFEEFINIALDAPRVVGIYPEIKNPVFINQHVKWSDGKIFEDKFVETLQKYGLKGSYLSKDWLRQPVFIQSFAPTSLVYIANKTDLPKIFLIDDVDIPTQDTNQSYWEIISDEYLNYIKQYVVGIGPWKDTLVPVVNNYVATPTDLVSRAHAHNLQVHPYTYRNENLFLHFNFSQDPYVEYDYWINKIGVDGLFTDFTGSLHRFQEWTSKHQDDDDNNASKLLHKIALLLSSYN >Vigun03g342000.1.v1.2 pep primary_assembly:ASM411807v1:3:54059480:54063388:-1 gene:Vigun03g342000.v1.2 transcript:Vigun03g342000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSFAPFVFLLLVIGCTARPFYPLPNKGDGGSRKPLQTFRPYNIAHRGSNGELPEETSAAYTKAIEEGADFIETDILSSKDGVLICFHDVNLDDTTDIANHEEFADRKRTYEVQGENITGFFTVDFTLKELKSLRVKQRYRYRDQQFNGKFQIITFEEFINIALDAPRVVGIYPEIKNPVFINQHVKWSDGKIFEDKFVETLQKYGLKGSYLSKDWLRQPVFIQSFAPTSLVYIANKTDLPKIFLIDDVDIPTQDTNQSYWEIISDEYLNYIKQYVVGIGPWKDTLVPVVNNYVATPTDLVSRAHAHNLQVHPYTYRNENLFLHFNFSQDPYVEYDYWINKIGVDGLFTDFTGSLHRFQEWTSKHQDDDDNNASKLLHKIALLLSSYN >Vigun02g063000.1.v1.2 pep primary_assembly:ASM411807v1:2:21055153:21057708:-1 gene:Vigun02g063000.v1.2 transcript:Vigun02g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPKTCNEMAFFPANFMLQTPHHDDHHQPPPSLTSILPPQEYHGGVTFLGKRSMSFSSGIEHGEEPNAEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYESVKSDNDALQTQNQKLQAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPVSTHQASRTLFPSSARPAGVAQLFQTSSRPDLPCQKIDQMVKEESLSNMFCAMDDQSGFWPWLEQQHFN >Vigun02g063000.2.v1.2 pep primary_assembly:ASM411807v1:2:21055153:21057708:-1 gene:Vigun02g063000.v1.2 transcript:Vigun02g063000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPANFMLQTPHHDDHHQPPPSLTSILPPQEYHGGVTFLGKRSMSFSSGIEHGEEPNAEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYESVKSDNDALQTQNQKLQAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPVSTHQASRTLFPSSARPAGVAQLFQTSSRPDLPCQKIDQMVKEESLSNMFCAMDDQSGFWPWLEQQHFN >Vigun02g063000.3.v1.2 pep primary_assembly:ASM411807v1:2:21055153:21057708:-1 gene:Vigun02g063000.v1.2 transcript:Vigun02g063000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPANFMLQTPHHDDHHQPPPSLTSILPPQEYHGGVTFLGKRSMSFSSGIEHGEEPNAEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYESVKSDNDALQTQNQKLQAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPVSTHQASRTLFPSSARPAGVAQLFQTSSRPDLPCQKIDQMVKEESLSNMFCAMDDQSGFWPWLEQQHFN >Vigun03g103450.1.v1.2 pep primary_assembly:ASM411807v1:3:8915738:8916910:-1 gene:Vigun03g103450.v1.2 transcript:Vigun03g103450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCDTFFEICSRLPAKVIYRFMSTCMLFSKFPKETYFALKQAQHARVRNDTCFFIQPEISIDATRWCNLPVEFHPLSGEESSSGVPRNVLAFFSKSVKILCSTNGLVLCSVATQNEVSLFIINPVTQSCSPIPTHEHLQDNHFYDDKIGCMCDFDGNFMIYHFIDNLVEWSSYFDCNVYKEGVWKEKEQFSSGSRNLRFDMPVHHKGVVHFISDCSTYLTRNNPYFRPYIMSYNFENGKSRMLRVPKEARRVTDFEQSICLVRLRKGVFTVWVLTKYKLSLWRKILKIRVKAMGLLENDCSQIAIKSFIVLNGERLVFATQKKVYVYGLSDNKIHKFWNHECDFNYLRFTPYTDTLRKCDIGTKNLSPPIPV >Vigun01g150000.3.v1.2 pep primary_assembly:ASM411807v1:1:33204569:33212794:1 gene:Vigun01g150000.v1.2 transcript:Vigun01g150000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVEGLVLGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLVLEHWPDAPEIHRSADLYEDMIKCCVSDAMSEVRSTARMCYRMFAKTWPERSRRLFASFDPAIQRLINEEDGGIHRRHASPSIRDRGALTSLASQTSAPSNLPGYGTSAIVAMDRSSSISSGTSISSGILLSQAKSLGKGTERSLESMLHASKQKVSAIESMLRGLDLSDKHNSSFRSSSLDLGVDPPSSRDPPFPAAVSATNHLTSSLTTESTTSGINKGSNRNGGLGLSDIITQIQASKDSAKLSYHSTVGIEPLSSISSYSSKRASDRLQERSSLDDNSDIRETRRFIKPNHDKQYLDAPYRDGNFRESHNSYVPNFQRPLLRKNVAGRMSAGRRRSFDDNQLSVGEMPNYAEGPSSLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGPKGVIEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKELVRQPCSTTLEVVNKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAMNPEGAANIGILKLWLAKLTPLVHDKNTKLKEAATTCIIAVYSHFDSTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRSKSSYDSSDVVGTSSEDGYVGYSRKAHYLGRYSAGSLDGDGGRKWSSQDSTLVKASLGQASSGETQEHLYQNFETDPNSGSLASKTKDLAYAINPMGQNFASQTNQHRHVDSSINLEGLSTPRLDVNGLMSSEHLNVAEGYVNDTEHSSELAFNHNTAEDVKINSITETGPSIPQILHMVCSGGEGSPISSKRTALQQLVDASISNDHSIWTKYFNQILTVVLEVLDDSDSSVKELALSLIVEMLKNQKGDMENSVEIVIEKLLHVTKDIIPKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKTIDAVQD >Vigun01g150000.2.v1.2 pep primary_assembly:ASM411807v1:1:33202384:33212794:1 gene:Vigun01g150000.v1.2 transcript:Vigun01g150000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSFRIVWEWNGTRWNVFHSIVSNTPLFFHSNLRNIVSSPTIIVERAGSFAWAHKSWRVREEFTRTVTAAINLFASTELPLQRAILPPVLHLLSDPNPAVREAAILCIEEMYTQAGPQFRDELHRHNLPSSLVKDINARLEGIQPNVRSSDGIPGGYITGEIKHLSVNPKKSSPKAKSSSRETSLFGGEGDITEKPIDPVKVYSDKELIREIEKIASTLVPEKDWSIRIAAMQRVEGLVLGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLVLEHWPDAPEIHRSADLYEDMIKCCVSDAMSEVRSTARMCYRMFAKTWPERSRRLFASFDPAIQRLINEEDGGIHRRHASPSIRDRGALTSLASQTSAPSNLPGYGTSAIVAMDRSSSISSGTSISSGILLSQAKSLGKGTERSLESMLHASKQKVSAIESMLRGLDLSDKHNSSFRSSSLDLGVDPPSSRDPPFPAAVSATNHLTSSLTTESTTSGINKGSNRNGGLGLSDIITQIQASKDSAKLSYHSTVGIEPLSSISSYSSKRASDRLQERSSLDDNSDIRETRRFIKPNHDKQYLDAPYRDGNFRESHNSYVPNFQRPLLRKNVAGRMSAGRRRSFDDNQLSVGEMPNYAEGPSSLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGPKGVIEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKELVRQPCSTTLEVVNKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAMNPEGAANIGILKLWLAKLTPLVHDKNTKLKEAATTCIIAVYSHFDSTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRSKSSYDSSDVVGTSSEDGYVGYSRKAHYLGRYSAGSLDGDGGRKWSSQDSTLVKASLGQASSGETQEHLYQNFETDPNSGSLASKTKDLAYAINPMGQNFASQTNQHRHVDSSINLEGLSTPRLDVNGLMSSEHLNVAEGYVNDTEHSSELAFNHNTAEDVKINSITETGPSIPQILHMVCSGGEGSPISSKRTALQQLVDASISNDHSIWTKYFNQILTVVLEVLDDSDSSVKELALSLIVEMLKNQKGDMENSVEIVIEKLLHVTKDIIPKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKTIDAVQD >Vigun01g150000.1.v1.2 pep primary_assembly:ASM411807v1:1:33201049:33212794:1 gene:Vigun01g150000.v1.2 transcript:Vigun01g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELSRAKDTKERMAGVERLHQLLEASRKSLSSSEVTSLVDTCMDLLKDNNFRVSQGALQALASAAVLSGDHFKLHFNALLPAVVDRLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWAHKSWRVREEFTRTVTAAINLFASTELPLQRAILPPVLHLLSDPNPAVREAAILCIEEMYTQAGPQFRDELHRHNLPSSLVKDINARLEGIQPNVRSSDGIPGGYITGEIKHLSVNPKKSSPKAKSSSRETSLFGGEGDITEKPIDPVKVYSDKELIREIEKIASTLVPEKDWSIRIAAMQRVEGLVLGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKDLLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLVLEHWPDAPEIHRSADLYEDMIKCCVSDAMSEVRSTARMCYRMFAKTWPERSRRLFASFDPAIQRLINEEDGGIHRRHASPSIRDRGALTSLASQTSAPSNLPGYGTSAIVAMDRSSSISSGTSISSGILLSQAKSLGKGTERSLESMLHASKQKVSAIESMLRGLDLSDKHNSSFRSSSLDLGVDPPSSRDPPFPAAVSATNHLTSSLTTESTTSGINKGSNRNGGLGLSDIITQIQASKDSAKLSYHSTVGIEPLSSISSYSSKRASDRLQERSSLDDNSDIRETRRFIKPNHDKQYLDAPYRDGNFRESHNSYVPNFQRPLLRKNVAGRMSAGRRRSFDDNQLSVGEMPNYAEGPSSLHEALSEGLSSGSDWSARVAAFNYLHSLLQQGPKGVIEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKELVRQPCSTTLEVVNKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAMNPEGAANIGILKLWLAKLTPLVHDKNTKLKEAATTCIIAVYSHFDSTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRSKSSYDSSDVVGTSSEDGYVGYSRKAHYLGRYSAGSLDGDGGRKWSSQDSTLVKASLGQASSGETQEHLYQNFETDPNSGSLASKTKDLAYAINPMGQNFASQTNQHRHVDSSINLEGLSTPRLDVNGLMSSEHLNVAEGYVNDTEHSSELAFNHNTAEDVKINSITETGPSIPQILHMVCSGGEGSPISSKRTALQQLVDASISNDHSIWTKYFNQILTVVLEVLDDSDSSVKELALSLIVEMLKNQKGDMENSVEIVIEKLLHVTKDIIPKVSNEAEHCLTIVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKTIDAVQD >VigunL010601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:59869:61137:-1 gene:VigunL010601.v1.2 transcript:VigunL010601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKKSFGFWGVPCRRGICPNENLGQGGDGGQGDQGGHGGQGGTVLQPPKLQRMDTPRPGGKGKEEGKGKEVEGKEKEDKDEKDNGGQGGTGSQQPKPKRPGTPYPNRPGGKGTEVNKFQWIERVKTVTLAFFVIVIWYFAIQFKWYFTIQFKWLFLTIAPCDAGDSLSSPLDQFEIIPLMDMKIGDLYFSFTNPSLFMLLTLSLVLLLFHFVTKNGGGKSVPNAWQSLVELIYDFVPNLVNEQIAGNVKQKFFPCILVTFTFSLFCNPQGMIPYSFTVTSHFLITLGLSFSIFIGITIVGFQRNGLHFLSFSLPAGVPLPLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGSAWTMLCMNDLFYFIGDLGPLFIVLALTGPELGVAISQAHVSTISICIYLNDATNLHQTG >Vigun04g115800.2.v1.2 pep primary_assembly:ASM411807v1:4:28991860:28994519:1 gene:Vigun04g115800.v1.2 transcript:Vigun04g115800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCHPSTSFLNVIFFLYYFSPMVFSMLSQTQTEAMNNLSKNLTARISWTGSDPCSWKGVSCDPAYSSVLGISSAFSLNSSDFLPLVCKIETLEYLDVSQNRLSSIPHGFITECGKIKGLKRLNFSDNMLEGVLPTFLGFDALESLDMSFNAMRGTIGLQIDGLVSLKILNLTFNEFTGSLPTKLGKSMVLEHLLLSNNSFDGKIPDELLSYENLTWIDLKNNRLSQSIPTNIGKLSKLETLILSTNNLNGEIPTSLVNATKLSRFAANQNKFTGSIPSGITKYLTSLELSYNTLSGPIPEDFLSPQQLQVVDLTNNMLNGSLPMKVSPNLFRLRFGSNQLSGNIPPDAFVGVHNLTYLVLDNNIFTGSIPAPIEQF >Vigun04g115800.1.v1.2 pep primary_assembly:ASM411807v1:4:28991860:28994519:1 gene:Vigun04g115800.v1.2 transcript:Vigun04g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCHPSTSFLNVIFFLYYFSPMVFSMLSQTQTEAMNNLSKNLTARISWTGSDPCSWKGVSCDPAYSSVLGISSAFSLNSSDFLPLVCKIETLEYLDVSQNRLSSIPHGFITECGKIKGLKRLNFSDNMLEGVLPTFLGFDALESLDMSFNAMRGTIGLQIDGLVSLKILNLTFNEFTGSLPTKLGKSMVLEHLLLSNNSFDGKIPDELLSYENLTWIDLKNNRLSQSIPTNIGKLSKLETLILSTNNLNGEIPTSLVNATKLSRFAANQNKFTGSIPSGITKYLTSLELSYNTLSGPIPEDFLSPQQLQVVDLTNNMLNGSLPMKVSPNLFRLRFGSNQLSGNIPPDAFVGVHNLTYLVLDNNIFTGSIPGELGSCRSLALLNLAQNRLSGVLPQELGNLTNIHVLQLQLNNFRGAIPTQIGQLRKLITLNLSRNSLSGPIPSEITNLSGLNFLYLQGNYLSGSIPTSIGKLDLLLELELGENHLREC >Vigun03g149700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15520145:15520954:-1 gene:Vigun03g149700.v1.2 transcript:Vigun03g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMTRSVWAYNLEVEFDLICRVIGFFPFISMDTEFPGVIYQSDPTLLQPQNNYVVMKANVDSMHLIQLGLTLSDCHGNLPTFGTSNRFIWEFNFCEFDLTCHPHAPHSIALLQRQGMDFQKNKNFGVSIVRFAELMMLSGLLCNNNIHWITFHGAYDFGYIVKVLSYCFLYTQPLLPPNLGDFLHLVNFFFGHIVYDVKHLIKFCPNFHGGLDKVSESLGLDNSVRKSYHADSLVTLHIFNEIRRLYFHTQNDLAKHAGVVYGLEML >Vigun03g429000.1.v1.2 pep primary_assembly:ASM411807v1:3:63340129:63356496:-1 gene:Vigun03g429000.v1.2 transcript:Vigun03g429000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVKGIFIRSRGDDISNMIWNAKKKYVEHSDVVVVGGRRSTKSNSFRAWKRGRRSYQSLIRGQAILTPATPPTTKKRVFTFGKGTSEGNKAMKSLLGGKGANLAEMASIGLSVPPGFTISTEACQEYQQNGKKLPDGLWEEVLQGLLFVENEMGANLGNPTKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVVGLAAKSGERFAYDSYRRFLDMFGDVVMDIPHSLFEEKLEKLKSVKGVKLDTELAAHDLKDLVEQYKNVYLEARGEKFPSDPKKQLELAVKAVFNSWDSPRAIKYRNINQITGLKGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGENKLYGEFLINAQGEDVVAGIRTPEDLEVMKSCMPEAYKELEENCEFLEKHYKDMMDIEFTVQDNRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDIRSAIKMVEPQHLDQLLHPQFEDPSTYKDQVIAIGLPASPGAAVGQVVFTADNAEEWHAQGKSVILVRNETSPEDVGGMHAATGILTARGGMTSHAAVVARGWGKCCVSGCSDILVNDAEKVFVVGDKVIAEGDWLSLNGSTGEVILGKQPLSPPALSDDLGTFMSWADEIRHLKVMANADSPEDAVTARKNGAQGIGLCRTEHMFFASDERIKAVRRMIMAGTPEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNDLEHFVSELTSETGMKKEEIHSRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFQAAVSVKNHGITVLPEIMVPLIGTPQELRHQASLIRSVADNVLSEMGSSLSYKVGTMIEVPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSSGILQHDPFEVLDQRGVGQLVKICVEKGRAARPNLKVGVCGEHGGEPSSVAFFAEIGLDYVSCSPFRVPIARLAAAQFAA >Vigun10g065500.1.v1.2 pep primary_assembly:ASM411807v1:10:14275615:14278128:-1 gene:Vigun10g065500.v1.2 transcript:Vigun10g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRTIGVALDFSNSSKNALKWALENLADKGDTIYVIHISSNSIDESRNKLWEKSGSPLIPLQEFREPEIMKKYGVHPDIEVLDILDTASRQKEVHIVAKIYWGMRERNFWMQLKI >Vigun01g240600.1.v1.2 pep primary_assembly:ASM411807v1:1:41066483:41069018:1 gene:Vigun01g240600.v1.2 transcript:Vigun01g240600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAGGHYCKKNSDVCGQESSQAWGISRMCCILRALDVKTLIFVFAVVPMCTFGIYLHGQKISYFLRPLWEKPPKPFIVIPHYYNEIVSMENLCRLHGWGVREFPRRVYDAVLFSNELEILNLRWRELYPYITQFVLLESNSTFTGRPKPLVFKGNREKFRFVDSRLTYGTIGGRFIKGENPFIEEAYQRVALDHLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDDVPSILHLQLKNYLYSFEFLADDNSWRASVHRYQSGKTRYAHYRQSDNLLADAGWHCSFCFRRISDFIFKMKAYSHNDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLKNADKYRFLLPGNCLREDR >Vigun03g293700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47908043:47911341:-1 gene:Vigun03g293700.v1.2 transcript:Vigun03g293700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSLTKESKEVARESLIAISNSLPEKTLDSNSVSESKKSDGVAMPSRDKDDKFRSELISISYAESPDLKI >Vigun03g293700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47908027:47911426:-1 gene:Vigun03g293700.v1.2 transcript:Vigun03g293700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSLTKESKEVARESLIAISNSLPEKTLDSNSVSESKKSDGVAMPSRDKDDKFRSELISISYAESPDLKI >Vigun02g006600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2824307:2829279:-1 gene:Vigun02g006600.v1.2 transcript:Vigun02g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSDGDCDRDRIRNICILAHVDHGKTTLADHLIAAAGGGVVHPKLAGRVRFMDYLDEEQRRAITMKSSSILLRYRGHAVNLIDSPGHIDFCSEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQCWIERLIPCLVLNKLDRLITELKLTPSEAYTRLLRIVHEVNGIVSAYKSEKYLSDVDSLLAGTGTVGSTGETLEDYDDNEDVFQPQKGNVIFACALDGWGFGIREFAEIYASKLGASVNALLRALWGPRYFNPKTKMIVGKKGAGANKKPMFVQFVLEPLWQVYQGALEGDKGLVEKVIRSFSLSVPPRELQNKDVKVVLQAVMSRWLPLSDAVLSMVVRCLPDPVAAQAFRISRLIPKREVVGDVVEERVVEEAEMARKAVEGCESGDEVPCVAFVSKMFALPVKMVPGQRGEVGNGYGDEGEGDSDECFLAFARIFSGVLYAGQRVFVLSALYDPLKGESMQKHIQEAELKSLYLMMGQGLKVVTSAKAGNIVAIGGLGQHILKSATLSSTRNCWPFSSMAFQVAPTLRVAIEPSDPADVGALLKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKDRFAKVSLEVSPPLVSYKETIEGEVLNVMENLKVLSRRSDYVEKTTPNGRCVVRVQVMKLLPSLTKVLDESSDLLADIIGVNSGHTLKSLETQRPSILENENPVEVLKKRILDAVEGDILSRNEDDKDHAEKCKLKWLKVLRRIWALGPRQIGPNLLFTPDIKAESTDSSVLIRGCSHVSERLGFVADSTTSDSVAERSSTANQAVYIDAENLESSVISGFQLATSAGPLCDEPMWGLAFVVEARISPFSGHSDESEMPQQSEQYGIFAGQVIATVKDACRAAVLQNKPRLVEAMYFCELNTPTEYLGPMYAVLSRRRARILKEEMQEGSPFFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEALSEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHGTKQRTLARKV >Vigun02g006600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2824307:2829279:-1 gene:Vigun02g006600.v1.2 transcript:Vigun02g006600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSDGDCDRDRIRNICILAHVDHGKTTLADHLIAAAGGGVVHPKLAGRVRFMDYLDEEQRRAITMKSSSILLRYRGHAVNLIDSPGHIDFCSEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQCWIERLIPCLVLNKLDRLITELKLTPSEAYTRLLRIVHEVNGIVSAYKSEKYLSDVDSLLAGTGTVGSTGETLEDYDDNEDVFQPQKGNVIFACALDGWGFGIREFAEIYASKLGASVNALLRALWGPRYFNPKTKMIVGKKGAGANKKPMFVQFVLEPLWQVYQGALEGDKGLVEKVIRSFSLSVPPRELQNKDVKVVLQAVMSRWLPLSDAVLSMVVRCLPDPVAAQAFRISRLIPKREVVGDVVEERVVEEAEMARKAVEGCESGDEVPCVAFVSKMFALPVKMVPGQRGEVGNGYGDEGEGDSDECFLAFARIFSGVLYAGQRVFVLSALYDPLKGESMQKHIQEAELKSLYLMMGQGLKVVTSAKAGNIVAIGGLGQHILKSATLSSTRNCWPFSSMAFQVAPTLRVAIEPSDPADVGALLKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKDRFAKVSLEVSPPLVSYKETIEGEVLNVMENLKVLSRRSDYVEKTTPNGRCVVRVQVMKLLPSLTKVLDESSDLLADIIGVNSGHTLKSLETQRPSILENENPVEVLKKRILDAVEGDILSRNEDDKDHAEKCKLKWLKVLRRIWALGPRQIGPNLLFTPDIKAESTDSSVLIRGCSHVSERLGFVADSTTSDSVAERSSTANQAVYIDAENLESSVISGFQLATSAGPLCDEPMWGLAFVVEARISPFSGHSDESEMPQQSEQYGIFAGQVIATVKDACRAAVLQNKPRLVEAMYFCELNTPTEYLGPMYAVLSRRRARILKEEMQEGSPFFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEALSEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHGTKQRTLARKV >Vigun02g006600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2824191:2829279:-1 gene:Vigun02g006600.v1.2 transcript:Vigun02g006600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSDGDCDRDRIRNICILAHVDHGKTTLADHLIAAAGGGVVHPKLAGRVRFMDYLDEEQRRAITMKSSSILLRYRGHAVNLIDSPGHIDFCSEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQCWIERLIPCLVLNKLDRLITELKLTPSEAYTRLLRIVHEVNGIVSAYKSEKYLSDVDSLLAGTGTVGSTGETLEDYDDNEDVFQPQKGNVIFACALDGWGFGIREFAEIYASKLGASVNALLRALWGPRYFNPKTKMIVGKKGAGANKKPMFVQFVLEPLWQVYQGALEGDKGLVEKVIRSFSLSVPPRELQNKDVKVVLQAVMSRWLPLSDAVLSMVVRCLPDPVAAQAFRISRLIPKREVVGDVVEERVVEEAEMARKAVEGCESGDEVPCVAFVSKMFALPVKMVPGQRGEVGNGYGDEGEGDSDECFLAFARIFSGVLYAGQRVFVLSALYDPLKGESMQKHIQEAELKSLYLMMGQGLKVVTSAKAGNIVAIGGLGQHILKSATLSSTRNCWPFSSMAFQVAPTLRVAIEPSDPADVGALLKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKDRFAKVSLEVSPPLVSYKETIEGEVLNVMENLKVLSRRSDYVEKTTPNGRCVVRVQVMKLLPSLTKVLDESSDLLADIIGVNSGHTLKSLETQRPSILENENPVEVLKKRILDAVEGDILSRNEDDKDHAEKCKLKWLKVLRRIWALGPRQIGPNLLFTPDIKAESTDSSVLIRGCSHVSERLGFVADSTTSDSVAERSSTANQAVYIDAENLESSVISGFQLATSAGPLCDEPMWGLAFVVEARISPFSGHSDESEMPQQSEQYGIFAGQVIATVKDACRAAVLQNKPRLVEAMYFCELNTPTEYLGPMYAVLSRRRARILKEEMQEGSPFFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEALSEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHGTKQRTLARKV >VigunL081200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:3056:7514:-1 gene:VigunL081200.v1.2 transcript:VigunL081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQSIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVSDVWGSISDQGISSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWEDLKIIMALRFPSFSQGLAQDPTTRRIWFGIATAHDFENPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGYLAAPQGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKRTCDISAWDAFYLAVFWMLNTIGWGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun07g094700.1.v1.2 pep primary_assembly:ASM411807v1:7:15378039:15380809:-1 gene:Vigun07g094700.v1.2 transcript:Vigun07g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVEEEEAFEHTLLVVREVSVYKIPPRTSSGGYKCGEWLQSDKIWSGRIRVVSRRDRCEIRLEDPNSGDLFAACFVYPGQRESAVEPVLDSSRYFVLKIEDGRGKHAFIGLGFNERNEAFDFNVALSDHEKYVRREHEKESGDTAAAEESQIDIHPAVNHRLKDGETIRINVKHKVSGGTGMLSAAGLTGGHAATPKPKTSSLAPPPSGIGKIRSPLPPPPNDPVAARIASTGRATDPKGTYESVKHSTDSLSDLSQLQKNLPSTNTSGASGWAAF >Vigun11g184300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38628034:38628306:1 gene:Vigun11g184300.v1.2 transcript:Vigun11g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPAIRRASLTARQGTSKSEDIPKGYFAVYVGEKQNRFVIPISYLNQPSFQDLLNQAEEEFGYDHPMGGLTIPCSEDVFQHTISYFN >Vigun02g179700.1.v1.2 pep primary_assembly:ASM411807v1:2:32072557:32078359:-1 gene:Vigun02g179700.v1.2 transcript:Vigun02g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNSEASSETQPYSGHQHHHPQPSNGISVLPPNSKPSVGRVLNRPMEDVRSTYIFGPELGRGQFGVTYSVTHKHTNEQLACKSIATRKLVNRDDLDDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCAGGELFDRIIAKGHYSERAAADLCRQIATVVHDCHTMGVMHRDLKPENFLFLGKEENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRSYGPEVDIWSAGVILFILLSGVPPFWAENEQGIFNAILRGHIDFASDPWPSISSSAKDLVKNMLRVDPKKRLSAVEVLNHPWMREDGASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNNGIITFEEMKTGLPKLGTKISESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDKDRSGYITMEELESALKKYNMGDDKTIKEIISEVDTDNDGRINYEEFVAMMRKGNPDLVTKRRK >Vigun09g113800.1.v1.2 pep primary_assembly:ASM411807v1:9:24967813:24968647:-1 gene:Vigun09g113800.v1.2 transcript:Vigun09g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCGFFFLLLLLVFASQVVVQTEGRVCESQSHGFKGACTGDHNCALVCRNEGFSGGNCRGFRRRCFCTKIC >VigunL018300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:39632:40249:-1 gene:VigunL018300.v1.2 transcript:VigunL018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVLSSPALVSGLMVARAKNPVHSVLFPIPVFRDTSGLLLLLGLDFSAMIFPVVHIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLILWWEMFFILDNETIPLLPTQINTTSLIYTVYAGKVRSWTNLETLGNLLYTYYFVWFLVPSLILLVAMIGAIVLTMHRTTQVKRQDVFRRNAIDFRRTIMRRTTDPLTID >Vigun03g449200.3.v1.2 pep primary_assembly:ASM411807v1:3:65018530:65021449:-1 gene:Vigun03g449200.v1.2 transcript:Vigun03g449200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAVGNNLITYVTNDMHFPLSKAANVVTNFVGTIFLLALLGGYLSDSHLGSFWTMLIFGFLELSGFILLSVQAHVPQLKPAACNVLTDGEQCVEAKGLKAAIFFVALYLVALGSGCVKPNMVAYGGDQFDQDNPKQLKRLSTYFNAAYFAFSLGELVSLTILVWVQTHSGMDVGFGVSAAVMAMGLISLICGTLYYRNKPPQGSILTPIAQVLVAAISKRKLARPSNPQMFYGNQNHTGPLLSDKFRFLDKACIRVVQEGTDTKESPWRLCSVGQVEQVKILLSVIPIFSCTIVFNTILAQLQTFSVQQGRAMDTHLTKSFNIPPASLQSIPYIMLIVLVPLYDTFFVPFARKFTGHESGISPLRRIGFGLFLATFSMVAAAVMEKKRRDAAVKHNETLSIFWITPQFLIFGLSEMFTAIGLLEFFYKQSLKGMQAFLTAITYCSYSFGFYLSTVLVTLVNKITSTSSNGGWLHYNNLNQDRLDLFYWLLAVLSFLNFLNYLFWSKRYSHAPSLSQPNAKEINPYVEDDNIP >Vigun03g449200.1.v1.2 pep primary_assembly:ASM411807v1:3:65018530:65021449:-1 gene:Vigun03g449200.v1.2 transcript:Vigun03g449200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAKVGEEERQPRHAQGESSTLDWRGRPSNPAKHGGIIPAAFILGLQAFEIMAIAAVGNNLITYVTNDMHFPLSKAANVVTNFVGTIFLLALLGGYLSDSHLGSFWTMLIFGFLELSGFILLSVQAHVPQLKPAACNVLTDGEQCVEAKGLKAAIFFVALYLVALGSGCVKPNMVAYGGDQFDQDNPKQLKRLSTYFNAAYFAFSLGELVSLTILVWVQTHSGMDVGFGVSAAVMAMGLISLICGTLYYRNKPPQGSILTPIAQVLVAAISKRKLARPSNPQMFYGNQNHTGPLLSDKFRFLDKACIRVVQEGTDTKESPWRLCSVGQVEQVKILLSVIPIFSCTIVFNTILAQLQTFSVQQGRAMDTHLTKSFNIPPASLQSIPYIMLIVLVPLYDTFFVPFARKFTGHESGISPLRRIGFGLFLATFSMVAAAVMEKKRRDAAVKHNETLSIFWITPQFLIFGLSEMFTAIGLLEFFYKQSLKGMQAFLTAITYCSYSFGFYLSTVLVTLVNKITSTSSNGGWLHYNNLNQDRLDLFYWLLAVLSFLNFLNYLFWSKRYSHAPSLSQPNAKEINPYVEDDNIP >VigunL023400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:20021:20425:-1 gene:VigunL023400.v1.2 transcript:VigunL023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps8 MGKDTIANIITYIRNADMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFFNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGEVLCYIW >Vigun09g054400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5439352:5439681:-1 gene:Vigun09g054400.v1.2 transcript:Vigun09g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAADGLFRPIYEGCISAYDNDIERRPYHRNCSCALHSKSRRRKPCSNKSPRCNSVSYPIRRAWSEGSLVLSTSAHSSPSSSPGAPRPQHEEERHSHNKLEVLFEM >Vigun11g063600.1.v1.2 pep primary_assembly:ASM411807v1:11:14535003:14544795:1 gene:Vigun11g063600.v1.2 transcript:Vigun11g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKYAKELEVAVRVVHVACALCGRVQERLLETSNDHVVSKDDDSPVTVADFSVQATISWLLSEIFGVQNVSIVAEEDIQTISKDESASLLDNVVNTVNESLAFASKYGLQSPETTLGSTEILEAIARCNSTGGPSGRYWVLDPVDGTLGFVRGDQYAVALALIEDGKVVLGVLGCPNYPIKTELLNYHYQHHQTTPQSSLATLDTGGKGCVLYAKSGSGEAWLQSLIDGEKMLEWPNRARLIRVSSIDDPTLATLCEPVERANSNHSFTAGLAHSVGLRKQPLRVHSMVKYAAIARGDAEIFMKFAKCGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSKGMYLEGLDRGIIACSGVTLHEKFIGAVYASWDSSNL >Vigun08g189100.1.v1.2 pep primary_assembly:ASM411807v1:8:35721994:35727096:-1 gene:Vigun08g189100.v1.2 transcript:Vigun08g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTIPGWDFESDTCFTNQRKPTGLDHELVELLWQNGQVVMHSQANRKLPGNSSNLRQMQKTTLRTSEPNLDQEEAAPWIQYPLDDPLEQGLCSNLLSELPPPCEVESYYKPIRELEEEKFANIFSPSPSQENLMSAPGLHVPDSSQKINDFGASRKVLNFPHFSAPRNVSSPSQKTAVNLSQSVAREHSVITVGSSHCSSNHIPQDQGVNRVSSSGVWATTTNNTTLSAEPDAVDCIQRPAPRNERGKSEMIEPTVTSSSGGCGNTGIGRTCSLSTREHGRKRKGTEEEALEEQSEATELKSADGNKISSQRSRRNRAAEVHNLSERRRRDRINDKMKALQQLIPNSNKTDKASMLEEAIEYLKSLQFQLQVMCMGAGMTPVMFPGIQHYMSQMQLPKVGEAMSLTQPQMPNQNHLLCQNPLLGAFNYQNLMQKPCLSEQYARYMGYHLMHSASQPMNALRHGSEAMQHSETMIAGSNNSSGPMSGTANVDDAVSGKTGSSTFN >Vigun03g446900.2.v1.2 pep primary_assembly:ASM411807v1:3:64823381:64828107:-1 gene:Vigun03g446900.v1.2 transcript:Vigun03g446900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDEKNRNTVKSETASEYKTDTEGTVPKQIDRAKRLVNWMSMDNGQSLYAEKFKDCNSVADFNETDSDDACDENFDDDTENSHRHEEVDDKNEAFSAEKGYRADHVCEESNTSCMGIISGDSVRQEDHENQKTSCILVAPLSEKSEVKTFQGKEIKESAAFTGAAEVHSSGSERISHNRKPLKLAFCPKEVKRIIESEALLQKNAQSHTIRKIIVFASLGIRHGCDDMYELDFNHFSILNKGEPYVSPESPGEHVLYENPGVRRKIFYPNRQNPVLCPVQILEEERAMRPSDASCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLMCRMAVLVHCRSGSFFFKALGITLLFMAGFPDYLVQSETKYRNLDLLQKYYRTDEDAEGEELFLPHSIACDNGTNEPHNLTKKTLPAKSKGKKHPNAIIKSHNSQKISPQQTAPTSSAATQFGLTGYSSAYTYAMAAFHSMPSQVSSQDISHILNPAFANSFTNASGNHGMLPPQPASSFVPVMYWPPPNAFLPGPYTSTYGYHSFPAAANYVSIQTQPYFNHPKSLVDSGKNENDLVSHETNSDTDSSTSA >Vigun03g446900.1.v1.2 pep primary_assembly:ASM411807v1:3:64823381:64828107:-1 gene:Vigun03g446900.v1.2 transcript:Vigun03g446900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQSCAALVNASSLCAIEQEVRGDSVNVVAEISAELERERKKNAELMERISMLEAQLRERNKESQENHQHAVARSLKNFKRQKIEMVDDEKNRNTVKSETASEYKTDTEGTVPKQIDRAKRLVNWMSMDNGQSLYAEKFKDCNSVADFNETDSDDACDENFDDDTENSHRHEEVDDKNEAFSAEKGYRADHVCEESNTSCMGIISGDSVRQEDHENQKTSCILVAPLSEKSEVKTFQGKEIKESAAFTGAAEVHSSGSERISHNRKPLKLAFCPKEVKRIIESEALLQKNAQSHTIRKIIVFASLGIRHGCDDMYELDFNHFSILNKGEPYVSPESPGEHVLYENPGVRRKIFYPNRQNPVLCPVQILEEERAMRPSDASCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLMCRMAVLVHCRSGSFFFKALGITLLFMAGFPDYLVQSETKYRNLDLLQKYYRTDEDAEGEELFLPHSIACDNGTNEPHNLTKKTLPAKSKGKKHPNAIIKSHNSQKISPQQTAPTSSAATQFGLTGYSSAYTYAMAAFHSMPSQVSSQDISHILNPAFANSFTNASGNHGMLPPQPASSFVPVMYWPPPNAFLPGPYTSTYGYHSFPAAANYVSIQTQPYFNHPKSLVDSGKNENDLVSHETNSDTDSSTSA >VigunL008650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000161.1:19278:19991:1 gene:VigunL008650.v1.2 transcript:VigunL008650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRMSILALFLLVIKTLVCYCFSLVLGFDLSLLLVKLKSMLLLRSLRLLFSRLLGWGWKGAALFHLMTHCIEGVFSFMEGDLTLYVGADGASSSKRPSIDLNFPPTDETEPETTSEPPQEELVLRREMEEHILRRLMATAPPGTTSEQLLNQARETAAFKRQIVDRMPTLDTGHSAFWRTHRYGLITDALLTNRQHEDSPKHLRTMWEEVNQPNSAIYKKMISVRQNFQMKGTFRC >Vigun01g219200.1.v1.2 pep primary_assembly:ASM411807v1:1:39301665:39302770:-1 gene:Vigun01g219200.v1.2 transcript:Vigun01g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNTVEEGRSRNGSTFLNSMPSFSHYFVLFCILVSISRTDGTQLIVVNNCKESVWPAILGNGGHPSPKHGGFYLGSGEEVVVQVPEGWSGRIWGRQGCFFDQQTGKGCCETGDCGGLLQCNGIGGVPPATLVEMTLGTSKSALHFYDVSLGDGFNLPVSMKALSGSGGGCGVASCEANLNVYCPSALVVERNGKVVGCKSACLAAKSDRYCCTGHFATKCKPTVFALLFKTVCPNAYSYAYDDSPVLNTCVAPRYVITFCPLH >Vigun09g130800.1.v1.2 pep primary_assembly:ASM411807v1:9:28898743:28902805:1 gene:Vigun09g130800.v1.2 transcript:Vigun09g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEKYQLGTIGALSLSVVSSVSIVICNKTLMSSLHFIFATTLTSWHLFVTFCSLHVALKLRFFEHKPLEKKMVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEILFLGKKFSKRIQFSLVILLVGVGIATITDLQLNALGAFLSLLAIITTCVAQIMTNTIQKKFKVSSTQLLYQSCIYQAATLLVSGPYLDKLLTNLNVFSFKYTTKVTMVIILSCLISIAVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYIIVHDPFSWRNILGIMVAMVGMIIYSYCCALESQQKPVEGGGQMSQARDSETETLINVENSSVILNKRPPIWNKDKDKNKNSL >Vigun09g130800.3.v1.2 pep primary_assembly:ASM411807v1:9:28898797:28902747:1 gene:Vigun09g130800.v1.2 transcript:Vigun09g130800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEKYQLGTIGALSLSVVSSVSIVICNKTLMSSLHFIFATTLTSWHLFVTFCSLHVALKLRFFEHKPLEKKMVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEILFLGKKFSKRIQFSLVILLVGVGIATITDLQLNALGAFLSLLAIITTCVAQIMTNTIQKKFKVSSTQLLYQSCIYQAATLLVSGPYLDKLLTNLNVFSFKYTTKVTMVIILSCLISIAVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYIIVHDPFSWRNILGIMVAMVGMIIYSYCCALESQQKPVEGGGQMSQARDSETETLINVENSSVILNKRPPIWNKDKDKNKNSL >Vigun09g130800.2.v1.2 pep primary_assembly:ASM411807v1:9:28898797:28902596:1 gene:Vigun09g130800.v1.2 transcript:Vigun09g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEKYQLGTIGALSLSVVSSVSIVICNKTLMSSLHFIFATTLTSWHLFVTFCSLHVALKLRFFEHKPLEKKMVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEILFLGKKFSKRIQFSLVILLVGVGIATITDLQLNALGAFLSLLAIITTCVAQIMTNTIQKKFKVSSTQLLYQSCIYQAATLLVSGPYLDKLLTNLNVFSFKYTTKVTMVIILSCLISIAVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYIIVHDPFSWRNILGIMVAMVGMIIYSYCCALESQQKPVEGGGQMSQARDSETETLINVENSSVILNKRPPIWNKDKDKNKNSL >Vigun06g019600.7.v1.2 pep primary_assembly:ASM411807v1:6:9196576:9197743:1 gene:Vigun06g019600.v1.2 transcript:Vigun06g019600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHICTCVRSQIGREKAMIMNCVRVTTMAMVVPSLRPTCCASSSSSSASTIVINTEQLCSQIDHLHAEADATRAKATNARLRLLRLSEVAEKLPK >Vigun06g019600.6.v1.2 pep primary_assembly:ASM411807v1:6:9195509:9197767:1 gene:Vigun06g019600.v1.2 transcript:Vigun06g019600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHICTCVRSQIGREKAMIMNCVRVTTMAMVVPSLRPTCCASSSSSSASTIVINTEQLCSQIDHLHAEADATRAKATNARLRLLRLSEVAEKLPK >Vigun06g019600.8.v1.2 pep primary_assembly:ASM411807v1:6:9196877:9197743:1 gene:Vigun06g019600.v1.2 transcript:Vigun06g019600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMVVPSLRPTCCASSSSSSASTIVINTEQLCSQIDHLHAEADATRAKATNARLRLLRLSEVAEKLPK >Vigun04g053400.1.v1.2 pep primary_assembly:ASM411807v1:4:5013064:5023210:-1 gene:Vigun04g053400.v1.2 transcript:Vigun04g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEAQLPTAFVADVLLWKRWHISLGVIVVATVAWIVFEWTNLPFLTICSDVLLILIVLLFLHANYAALRNKQPPTLPELVVSEEMVNNVAASFRVKINNVLLIAHDITIGKDFRIFFKVVICLWLFSVIGSVFSFFTLSYIGTLLMITIPALYQKYGGYVDKCCGVINRQLSRHYRIVDENVFNRLPRNIPKDKES >Vigun09g095600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14257643:14258204:-1 gene:Vigun09g095600.v1.2 transcript:Vigun09g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAQLQQPPSLPLTSVDVTTATVVFEEINPTTTATMMFSQPNSSGDNDLPTQQQQRSCAGAGQEDVEWRGGGAENAKKKMWAALGFCQTRRRRRKNEEGEEGFCLK >Vigun11g051000.1.v1.2 pep primary_assembly:ASM411807v1:11:8611165:8613404:-1 gene:Vigun11g051000.v1.2 transcript:Vigun11g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKRKPKVRMFRFLRCFNPNGVVSRERKTPDPLLTYIAVPEKHMLPTVLTSAFKTAKGGEGNASHRKKTDRDKNDDSNNNSNRSFRRALMSALNHTSLGKKMGSKKKTNKGGFSRESSKNSSNCSPYSFGSLGFTTNSISSSTSTTLSIISTGTVTSTTSCEPCLTRSLAMANGTRIVKRKDEDGRRWCSGSNIALSMFFITALLILILWGRCYAIAYTAIGFFVVPNRRRTCEEETQLISSVRFKT >Vigun03g283050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46326508:46326828:-1 gene:Vigun03g283050.v1.2 transcript:Vigun03g283050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVLTVRERFAVSTKRKTTTTSGGGDTDNSSWHIPEVAPLSTLPREGEIERKGESSDTLSIGVIVGGCGIVVDGFGVAVGIWWWLVEKHWFYGSSGLKGKRRKP >Vigun11g074500.14.v1.2 pep primary_assembly:ASM411807v1:11:21768804:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELQ >Vigun11g074500.7.v1.2 pep primary_assembly:ASM411807v1:11:21768228:21773403:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.3.v1.2 pep primary_assembly:ASM411807v1:11:21768082:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELQ >Vigun11g074500.4.v1.2 pep primary_assembly:ASM411807v1:11:21768081:21773383:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.12.v1.2 pep primary_assembly:ASM411807v1:11:21768718:21773383:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.11.v1.2 pep primary_assembly:ASM411807v1:11:21768718:21773383:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.10.v1.2 pep primary_assembly:ASM411807v1:11:21769186:21773399:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.5.v1.2 pep primary_assembly:ASM411807v1:11:21768082:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.1.v1.2 pep primary_assembly:ASM411807v1:11:21768738:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.8.v1.2 pep primary_assembly:ASM411807v1:11:21768719:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.13.v1.2 pep primary_assembly:ASM411807v1:11:21768255:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELQ >Vigun11g074500.2.v1.2 pep primary_assembly:ASM411807v1:11:21768082:21773382:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELQ >Vigun11g074500.9.v1.2 pep primary_assembly:ASM411807v1:11:21768718:21773383:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun11g074500.6.v1.2 pep primary_assembly:ASM411807v1:11:21768228:21773402:1 gene:Vigun11g074500.v1.2 transcript:Vigun11g074500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESIGLIIGVSIGVVIGLVLAIFAFFCHRYHRKRSQIGNSSSRRAATILIRTHGADSCTILSDSTLGPESPIKSGRYGLPFWLEGFKKSSNMVPASGLPEYAYKDLQKATHNFTTVIGQGAFGPVYKAQMCTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSNGSLASHLYGSDVNEALCWDLRVNIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFEIIAGRNPQQGLMEYIELAAMNIEGKVGWEEIVDSHLQGNFDVKELNEVAAVAYKCINRAPNKRPSMRDIVQVLTRILKSRHHGNHHNNSLSATDEVFIDPDQLETVISVTDHRREESKDNPATEVFED >Vigun03g301975.1.v1.2 pep primary_assembly:ASM411807v1:3:49146933:49153901:1 gene:Vigun03g301975.v1.2 transcript:Vigun03g301975.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTQTPSNSIPGTQPILSRCRTDSSHAQACGREDHGDYRYGGTRHKRSKSASERNLKNERGGASHPVEKDRKESFVSPPSVSASRLRSPSHDNLSSVNNNTFSNHRANLEKDVEQLQLRLQQERSIRILLDRAMGRASSTLSPGHRHFTAQTKDLIAEIELLEEEVTSREQQVLSLYRSIFEQCVSRPPSEQSSAVASPAHIRQGSRKHPSIISSAFFSSNDLRNRIFGSNHTPLSRGKDNNYFKKTSSDSIKAQEKFSSIEKTTIFRTLKDHLYQCPSKLSEEMVRCMATVYCWLRSATSVNDENGRSPLLSRSSTNAIQPRHGIGDDRDWSCKSSVEISWISTHKRHSSHASYAISNYRVLVEQLEKVKLSQLDGDAQIAFWINVHNALVMHAYLAYGIPQGSLRRLALFHKAAYNIGGHIVSANAIEQMIFCFRTPRIGRWLESIVSAALRKKSGEERQLLSSKLCLTKSQPLVCFALCTGALSDPVLKVYSATNISEELNIAKREFLQANVIVKRSRKVFLPKLLERFSREASISIDDLFGWVMESVDKKLRDSMQKCLDPKSIKKPSQIIEWLPFSSRFRYVFSKDVIDKPWWV >Vigun07g182400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29877135:29877587:1 gene:Vigun07g182400.v1.2 transcript:Vigun07g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVALVILFCLLNVTTLLTHAASCGSNGAHSPEINPSGTPAILTLNDFGRDGDGGGASECDGKFHPLPQRVVALSTGWYSNGARCGRMIRIKARNGRSTVAKVVDECDSVNGCPRACKNNVVDASETVWNDLGLNTDDGEVAVIWTMA >Vigun08g045300.1.v1.2 pep primary_assembly:ASM411807v1:8:4776828:4779094:-1 gene:Vigun08g045300.v1.2 transcript:Vigun08g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVLNTAVIMDEKVESLKSELQRVREENNTLRVMFEVLSSKYTKLESHLQEINKEQHKGMNQIGSVTEPILDVNKRRRLEFPTAKKPLQIFVRTHPMDDSLIVKDGYQWRKYGQKVTKDNASPRAYFRCSMAPICPAKKKVQRCLHDKSILVATYDGEHNHGALHEPSSSTPRGSSVANQLPSIANDKEAMNILALSALSQTDRRHSEEVMHQHNHETNVKVEEYVSSLIKDPDFTMSLAEAVARTITGELKQQDLNLNLNIPEE >Vigun04g031900.1.v1.2 pep primary_assembly:ASM411807v1:4:2595316:2597488:1 gene:Vigun04g031900.v1.2 transcript:Vigun04g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATEEPKFDYEALRKARMLENQARLKSLGVGKTVSELRKQVKEQRPPRRSYQKKVYGLTPLRRSLRISNNLTPPQSTSTPLSTPKQDKVTLSGEKKEKQRPANAPLVNLSDADLLLSSENSGRRCNSKGRGSIYNPVLGICCHFCRQKKLCGEEDCKRCGNFDVNEPCLGKTDCSVCHSSTGVFCRACLKVRYGEEIEEVREKKGWMCPHCIEEQGTKPYWICNSSICLRKRKMPPTGIAVFKAREMGYKSVAHLLMEELKLGKCK >Vigun04g031900.2.v1.2 pep primary_assembly:ASM411807v1:4:2595318:2597474:1 gene:Vigun04g031900.v1.2 transcript:Vigun04g031900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATEEPKFDYEALRKARMLENQARLKSLGVGKTVSELRKQVKEQRPPRRSYQKKVYGLTPLRRSLRISNNLTPPQSTSTPLSTPKQDKVTLSGEKKEKQRPANAPLVNLSDADLLLSSENSGRRCNSKGRGSIYNPVLGICCHFCSSICLRKRKMPPTGIAVFKAREMGYKSVAHLLMEELKLGKCK >Vigun07g122000.2.v1.2 pep primary_assembly:ASM411807v1:7:22529377:22531721:1 gene:Vigun07g122000.v1.2 transcript:Vigun07g122000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFIPRRGRCLAASDIWPSSFGKDDDFGFGYSQTSNQQTPTVKTAQPPKVSEKVETKPVKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDEYSIQARNPIPPLPFATQKPTPYQQQYRCDLNNAPTNLNFEFGYDLNHTGAFSSHVDSVNADSVVASGDENSGLASGSEGAHSTNEFMGSCQKVNGYFNGAVIEKEKEIEVIEAEEEKNKLQELSEELMAYENYMKFYQIPYYDGQSTMPPNNVQESVVGDLWNFD >Vigun07g122000.1.v1.2 pep primary_assembly:ASM411807v1:7:22529377:22531721:1 gene:Vigun07g122000.v1.2 transcript:Vigun07g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFIPRRGRCLAASDIWPSSFGKDDDFGFGYSQTSNQQTPTVKTAQPPKVSEKVETKPVKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDEYSIQARNPIPPLPFATQKPTPYQQQYRCDLNNAPTNLNFEFGYDLNHTGAFSSHVDSVNADSVVASGDENSGLASGSEGAHSTNEFMGSCQKVNGYFNGAVIEKEKEIEVIEAEEEKNKLQELSEELMAYENYMKFYQIPYYDGQSTMPPNNVQESVVGDLWNFD >Vigun07g122000.4.v1.2 pep primary_assembly:ASM411807v1:7:22529377:22531721:1 gene:Vigun07g122000.v1.2 transcript:Vigun07g122000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFIPRRGRCLAASDIWPSSFGKDDDFGFGYSQTSNQQTPTVKTAQPPKVSEKVETKPVKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDEYSIQARNPIPPLPFATQKPTPYQQQYRCDLNNAPTNLNFEFGYDLNHTGAFSSHVDSVNADSVVASGDENSGLASGSEGAHSTNEFMGSCQKVNGYFNGAVIEKEKEIEVIEAEEEKNKLQELSEELMAYENYMKFYQIPYYDGQSTMPPNNVQESVVGDLWNFD >Vigun09g157200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32310914:32312221:1 gene:Vigun09g157200.v1.2 transcript:Vigun09g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGFSGVYRESYKIIFAWRKIFSKITLTLILPLSFIFLIHIEVSNILFRNIVKNTQQMMETPQDTPQYHSLSNILSSEWTTFMLFKLIYFTFLLVFSLLSTSAVVYTVASIYAAREVTFSKVMTVVPKVWKRLMVTFLCTFAAFFVYNVMAVLVVIIWALTTGGRGGGVGLFVVLAVFYFAGFVYLSVVWNLASVVTVLEDSCGIGAMVKSNELIRGKMGLSVLIFLKLVVSFGLIQFLFKKTVVHGWRLGSVDRTVYGIVCLVLFSQLYLFQLVIQTVLYFVCKSYHHQNIDKSALSDHLEVYHGEYEPLKAKDVQMEESHV >Vigun05g008301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:708540:709301:-1 gene:Vigun05g008301.v1.2 transcript:Vigun05g008301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPCFVFVVLLISTNVPTYLCEDDAQYSNCSNAFTCGNTNLDLRYPFFGENRDSYCGEERLACERGVPKITLNDAKYRILDWHNTTQTVTIARDDYWDGICVSEYKNNTFDNTHFRYDHQYNDLANLTLFYCPSNSPPSISTNPLGPLICGAADRYVYYTSQSELSYTGSCTVVVIPIFETNASLVIDNKISEALQNGFELNWVGNYDDCNKCSDSGGECGVDGDGGFQCFCKDGPHSATCTGMSVFPFLV >Vigun02g178300.1.v1.2 pep primary_assembly:ASM411807v1:2:31954599:31956880:-1 gene:Vigun02g178300.v1.2 transcript:Vigun02g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSVTSSITTTQSVDHHPQFNYNSTQLDHFGNQDIVTTKPAVQETIFSSTCPLFMFDTSSLDHGTTTVDTTNVRAELFQDSLGLSSETWNLSHHQVHALPPPPATAAATFTAATVIDATNYLPPLIENVENMVPNMEVQGCSIDEEGELALECLRRQELNEWVENQQHCPSFLFWDSVEGQLGGEELAPNTSNIGTNTLSPFPSSL >Vigun07g005600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:443961:444960:1 gene:Vigun07g005600.v1.2 transcript:Vigun07g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPYINAAFRSSREYEVYFFAKNKYVRLHYTPGKTDDKILTNLRLISSGFPSLAGTPFAEPGIDCSFHTEASEAYVFSGNHSAYIDYAPGTTNDKILVGPTTIAEMFPVLKNTVFENSIDSAFRSTRGKEVYLFKGNKYVRIAYDSKQLVGNIRNIGDGFPVLKGTIFENGIDACFASHKEPEAYLFKGENYVRINFTPGATDDTLVGDIRPILDGWPVLRGIFPVS >Vigun10g141900.1.v1.2 pep primary_assembly:ASM411807v1:10:35876959:35882364:1 gene:Vigun10g141900.v1.2 transcript:Vigun10g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLISWLVLAILALTAPATPPARAASVASFDGQREFDYFALALQWPGTYCQRTRSCCPTNGCCRGSNFPKIFTIHGLWPDYNDGTWPSCCSRASFNPKEISTLTNALEQYWPSLSCGKPSVCHGGKGTFWAHEWEKHGTCSYPVFRNEYDYFVGVLNLYFKYNVTSVLNDAGYVPSNTERYPLGGIISAIENAFHASPLIICSKDSIEELRLCFYKDFQPRDCAVSSDDKIDMVTSKGSCPKYVSLPVPLSGRRDGLQSQASDDAAL >Vigun01g034900.1.v1.2 pep primary_assembly:ASM411807v1:1:4529674:4530648:-1 gene:Vigun01g034900.v1.2 transcript:Vigun01g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQEQGTNPSQEQPNVPLPRNYKQALETNNPTKTSWPELVGVTAEEAETKIKQEMSDAQIQVVPHDSFVTADYNPKRVRLYVDESNKVTRTPTIG >Vigun04g029550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2294875:2295802:-1 gene:Vigun04g029550.v1.2 transcript:Vigun04g029550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKEEITEETKKQLWLSWPMIFVSVFQFSLQLISLMFVGHLSELPLAAVSLSTSIVNATGFMLIVS >Vigun08g168000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33924049:33925826:-1 gene:Vigun08g168000.v1.2 transcript:Vigun08g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHDQYYYYLWEYLSFPLHLVFFVFILFFVLAFSWYINYESLFEDLLVQVKIFLALVPLLLLLVVHCLSSGASFPIPLPEERESLHRAGGSPWGVALLLLFVLFMMAYQSSFHQRWFPLATR >Vigun08g189900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35780778:35781750:1 gene:Vigun08g189900.v1.2 transcript:Vigun08g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKSKVIIAMKGHPGSGKSTLAESIASSLGIPVLDKDDVKDCTQPLLLTSPASLLNDLSYDVICQIASTQLRLGLSVLLDSPLSRRAHLDRLRRLANALSARLLIIECRPADQSEWRRRLEARGGGAGGHKPATWEELEKLLEGYAGCTEYDVGDVARLVVDTTANVPFEEICATALEFIFTHAATPLEI >Vigun07g075500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9865319:9866269:1 gene:Vigun07g075500.v1.2 transcript:Vigun07g075500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIGSSHATIIYTLSLTTSNTHCTLNHLLLSLFLSLLKETMKSRFLRGCLNKCKKMCLNKCISCEECCERGWWCSLREGCSNIPSDVPKGHLVVYVGEKHKRYVIKIGLLHHPLFRALLDQAQEEYDFIADSKLCIPCDEHLFLSVVRCASSPQNQPVCLCL >Vigun03g252400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41879219:41880576:-1 gene:Vigun03g252400.v1.2 transcript:Vigun03g252400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYHIRSISLPSRSHPSTIRVEEELSKLKTWEGKSTPSLESIQNGLSLLQELYLALDDLLNMSSTQQVISLHKGDKCVEEVLDGSMRILDMCGITRDTLLQIKENVQALHSALRRRKGDSSVETSVAEYKFFAKKMKKNVNKLITSLKHMDSKFGVSPLLDLDHHLASVTRVLREVIVINLSVFQSILSFLTVSSSKSKGTKWLLVAKLMHKGVKPSEENSENENELCCVDMALSTLLNEGAHDESIRVAHERLEALENAIESVENGLESVFRRLIKTRASLLNIISQ >Vigun04g030300.1.v1.2 pep primary_assembly:ASM411807v1:4:2415282:2416352:1 gene:Vigun04g030300.v1.2 transcript:Vigun04g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIHTLFFVLFLLFNISVPKPWTSATTVTLYNKCSHPVWPGIQSNAGKPILARGGLFLPPHQNQTLQLPPLWAGRFWPRVGCNFDAAGRGQCDTGDCGAGLFCNGLGGAPPATLAEINIGTGTELAYYDISLVDGYNLPMSIIPVRGPGLGPVPGKCQFAGCTKDLNTMCPAVLQVRSKDKKRVVACKSACRAFNSPNYCCTGSHGTAQTCGPTQYSRIFKSACPMAYSFAYDDLSSLFTCPSANYLVTFCPN >Vigun07g295800.3.v1.2 pep primary_assembly:ASM411807v1:7:40615574:40626414:-1 gene:Vigun07g295800.v1.2 transcript:Vigun07g295800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVRNLSWWSLVVGVSNMAVLLIGAFLIFQTHRLCNGSIPVPVLLVSLAAPVRVVVMFQTAFAQQAAATLILQDSNDLFTRHRRRAMYKKWLCWSRSSAILVLLQFLLALYFVLDSIRYFSSPNHCLLDPPWNRTALFVFISLVSFVAILQCFTGSDVIKWRSFYEAHDHAWRCHYSEVFDYGIRETLCCLGRFDYLSSAEEDEVYSVARLLGDLVAYRATGTGHLELLAALALLQSHGKSTEYYEGSMEAPEMRIREAEDLHKFAEAAYTGPLLDVGRNPCVFPCAWLYRQGILSPWTRNRRPVLDGDNWWRGHAAAFLKYVNLPPEVLRQGRVSQVKCQATYFVVVLHHLQSVVIAIRGTETPEDLITDGLCKECSLSVDDLAGLINSNYLHYDIKKHVVSSFPHYGHSGIVEAARELFMQIEGNPERPDSESYGLLSKLLGFGCECFGYNVRIVGHSLGGAIAALLGLQLYHRYPNLHVYSFGPLPCLDLVVARACSEFVTSIIFGNEFSSRLSIGSVMRLRAAAITSLSQDPKTDSAMIFRLARRFLYLSKYQRNNTNAENESELQSGIIKDDQNHQIRRSQCETDNEGSIEQDNQTAKGGLAISNYGEYMSFDSEDCLNNEHEECSLWSDARARDHSVGIDNAKFTNAFAKDARSIDDPVSQFIETVPDSENPSSNDPPELYLPGSVIHIVLEKQRSQSDLRALWRIQEREKCYKAYMADRESFKDIIVSPSMFLDHLPWRCHDALRKILKAQAAKDQVTVPHIV >Vigun07g295800.2.v1.2 pep primary_assembly:ASM411807v1:7:40615540:40626512:-1 gene:Vigun07g295800.v1.2 transcript:Vigun07g295800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVRNLSWWSLVVGVSNMAVLLIGAFLIFQTHRLCNGSIPVPVLLVSLAAPVRVVVMFQTAFAQQAAATLILQDSNDLFTRHRRRAMYKKWLCWSRSSAILVLLQFLLALYFVLDSIRYFSSPNHCLLDPPWNRTALFVFISLVSFVAILQCFTGSDVIKWRSFYEAHDHAWRCHYSEVFDYGIRETLCCLGRFDYLSSAEEDEVYSVARLLGDLVAYRATGTGHLELLAALALLQSHGKSTEYYEGSMEAPEMRIREAEDLHKFAEAAYTGPLLDVGRNPCVFPCAWLYRQGILSPWTRNRRPVLDGDNWWRGHAAAFLKYVNLPPEVLRQGRVSQVKCQATYFVVVLHHLQSVVIAIRGTETPEDLITDGLCKECSLSVDDLAGLINSNYLHYDIKKHVVSSFPHYGHSGIVEAARELFMQIEGNPERPDSESYGLLSKLLGFGCECFGYNVRIVGHSLGGAIAALLGLQLYHRYPNLHVYSFGPLPCLDLVVARACSEFVTSIIFGNEFSSRLSIGSVMRLRAAAITSLSQDPKTDSAMIFRLARRFLYLSKYQRNNTNAENESELQSGIIKDDQNHQIRRSQCETDNEGSIEQDNQTAKGGLAISNYGEYMSFDSEDCLNNEHEECSLWSDARARDHSVGIDNAKFTNAFAKDARSIDDPVSQFIETVPDSENPSSNDPPELYLPGSVIHIVLEKQRSQSDLRALWRIQEREKCYKAYMADRESFKDIIVSPSMFLDHLPWRCHDALRKILKAQAAKDQVTVPHIV >Vigun08g024300.1.v1.2 pep primary_assembly:ASM411807v1:8:2086019:2088716:-1 gene:Vigun08g024300.v1.2 transcript:Vigun08g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSNLKSDAIMEQMKQHIATDAGKKLTEKIGLVYQFHIAPKKLGFDEVVYTVDLKKGEVTKGPYEGGKPDATFSFKDEDFVKVALGKMNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPSKL >Vigun03g390701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59699755:59701237:1 gene:Vigun03g390701.v1.2 transcript:Vigun03g390701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGEVSDHIDVMYQIQSLLLHILGTILNIPFFATNPSLIILTFPSLFIPSLFFTLLTHFFFISFASSSHSNTLLFIFICFPIKMRIGELILVCFVLLWACIGSNAVARKTVFVSNFFDGAKKKTNTVSYADNARKCNGQRHLSCYGNNITVEDKRLVPTGPNPLHNR >Vigun03g363100.1.v1.2 pep primary_assembly:ASM411807v1:3:56680208:56683700:-1 gene:Vigun03g363100.v1.2 transcript:Vigun03g363100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIRLLGLCLWVYLASLSFISVGALCARVGAVDKGIVLVHGKTAIGRIDDDFVCATLDWWPPQKCDYGKCSWGHASLLNLDLNNKILLNAVKAFSSLKIRVGGTLQDKVIYGTEDNRQPCTPFVFNANEMFGFTRGCLPMNRWDELNSFFQKAGAKVIFGLNELAGKSIKSGFAVGPWNYTNAESLIRYTVRKKYHIHGWELGNELCGNGIGVSVAADQYASDVAALRSIVENEYRGIEPKPLVIAPGGFFDANWFKEFISKSGKSADVVTHHIYNLGPGVDDHLTQTILDPSKLDGPADTFRRLKGILKNSTNKVTAWVGEAGGAYNSGHHLVSDAFVYSFWYLDQLGMSAVYDTRTYCRQSLIGGNYGLLNTTTFMPNPDYYSALLWHRLMGGRVLSTTFYGTKKIRTYAHCAKESKGVTILVLNLDNSTTVEVNVALKFNNLPHSGVHEPARREYHLTAPERNLHSQIMLLNGKVLSVNSAGEIPALEPIYVDSTKPITVGPVSIVFAHIPNVLLAACS >Vigun11g181400.1.v1.2 pep primary_assembly:ASM411807v1:11:38490273:38493229:-1 gene:Vigun11g181400.v1.2 transcript:Vigun11g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGNRVGSVRNSPLLRSPLNFMRALSTSSSSTSSSISSGQSPKKSKRRKKKNLFEVAQFLPNWGIGYHMAKTHWNEVSYEITKLNLYKDGRHGKAWGIAHKNGLPLADAPKKISGVHKRCWKYLSDVVKTSERSANLTSPTDSGLKLETEAS >Vigun03g438800.1.v1.2 pep primary_assembly:ASM411807v1:3:64237541:64242894:-1 gene:Vigun03g438800.v1.2 transcript:Vigun03g438800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKEEGNKEEVKEEKKVEEKKDESKEEPPPEIVLKVDMHCEACARKVAKALKGFQGVEDVTADSRTSKVVVKGMAADPIKVLERLQKKSGKKVELISPLPKAPEEKKEEIKEEPPKEEEEKKDEPPPAVTVVLKIRMHCEACAQVIQKRIRKIKGVESVETDLANDQVIVKGVIDPAKLVDHVYKRTKKQASIVKEEEKKVEEKKEEEKKEEKKVDEENKEQVEEEEDNKTEIKRSEYWPAKNYIDYAYDPEIFSDENPNACSVM >Vigun03g438800.2.v1.2 pep primary_assembly:ASM411807v1:3:64237541:64242894:-1 gene:Vigun03g438800.v1.2 transcript:Vigun03g438800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEGNKEEVKEEKKVEEKKDESKEEPPPEIVLKVDMHCEACARKVAKALKGFQGVEDVTADSRTSKVVVKGMAADPIKVLERLQKKSGKKVELISPLPKAPEEKKEEIKEEPPKEEEEKKDEPPPAVTVVLKIRMHCEACAQVIQKRIRKIKGVESVETDLANDQVIVKGVIDPAKLVDHVYKRTKKQASIVKEEEKKVEEKKEEEKKEEKKVDEENKEQVEEEEDNKTEIKRSEYWPAKNYIDYAYDPEIFSDENPNACSVM >Vigun03g036050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2775610:2775960:-1 gene:Vigun03g036050.v1.2 transcript:Vigun03g036050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTMFACFGGKVSHKKAKVEAVEVRKGVYRDESREKERVKKSVRFAEVEATIMGEENENEKVVGEKEGVRVRVRLTKEEAARLLSKCNEGPLQFKDIAHQLLFVPVDRLCIQESL >Vigun05g287400.2.v1.2 pep primary_assembly:ASM411807v1:5:47445246:47449945:1 gene:Vigun05g287400.v1.2 transcript:Vigun05g287400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEEGLDYESDPEEATRSLAMRRRREASDDEEGDADTDARNAAVDRRITHSDVSDGEGGVADYDDEEELEEEEEEEEEEEELFEEEEDEGRVGEERGLNGTVVKDSDVDVKGPLEESGNGDDEEKKENEPFAVPTAGAFYMHDDRFRDNAGARHRRMRGGRRLWESKDDRKWGHDKFEEITLQERQYKEGRRPSKGSYRGRGGKTRGVDRGGGYVRGNRKGYENRGNQTQAPKSVVRGRGPRRYEPTNKSNDSASQVQNKQYGKQPEKVPHGGSGKAFTPVSNSEPDPVPSKKQVFASNLNYASPPFYPSSSSNKDTSVTSKGDIPTAAASRNVRPGVVDESFLVQNNALLRGKNVVDHVSMEKLYIDGPGAPSVGKAFNSLHMPPPGASGVNASQSTHPRGTGRGGAVPVQMNYQPAASHSQAGKIDPTQLQGIQRTAPGRSSPSVQAPAPQLGHRPGSGSQASSPPITTAAIGSVDSGEIDAASESGKLKGALVGKGREASQGSGRGSFVYGGTMGTAGNINGNHGDQNFPTFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGAAGALGAAGALGATYCPPYLTVDGAYHARQSGQTSATATSSKENNVNKGSNEWKAPPKSETVNDEFGQRHNKPRRYSEMNFGQ >Vigun05g287400.3.v1.2 pep primary_assembly:ASM411807v1:5:47445246:47449945:1 gene:Vigun05g287400.v1.2 transcript:Vigun05g287400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEEGLDYESDPEEATRSLAMRRRREASDDEEGDADTDARNAAVDRRITHSDVSDGEGGVADYDDEEELEEEEEEEEEEEELFEEEEDEGRVGEERGLNGTVVKDSDVDVKGPLEESGNGDDEEKKENEPFAVPTAGAFYMHDDRFRDNAGARHRRMRGGRRLWESKDDRKWGHDKFEEITLQERQYKEGRRPSKGSYRGRGGKTRGVDRGGGYVRGNRKGYENRGNQTQAPKSVVRGRGPRRYEPTNKSNDSASQVQNKQYGKQPEKVPHGGSGKAFTPVSNSEPDPVPSKKQVFASNLNYASPPFYPSSSSNKDTSVTSKGDIPTAAASRNVRPGVVDESFLVQNNALLRGKNVVDHVSMEKLYIDGPGAPSVGKAFNSLHMPPPGASGVNASQSTHPRGTGRGGAVPVQMNYQPAASHSQAGKIDPTQLQGIQRTAPGRSSPSVQAPAPQLGHRPGSGSQASSPPITTAAIGSVDSGEIDAASESGKLKGALVGKGREASQGSGRGSFVYGGTMGTAGNINGNHGDQNFPTFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGAAGALGAAGALGATYCPPYLTVDGAYHARQSGQTSATATSSKENNVNKGSNEWKAPPKSETVNDEFGQRHNKPRRYSEMNFGQ >Vigun05g287400.1.v1.2 pep primary_assembly:ASM411807v1:5:47445246:47449945:1 gene:Vigun05g287400.v1.2 transcript:Vigun05g287400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEEGLDYESDPEEATRSLAMRRRREASDDEEGDADTDARNAAVDRRITHSDVSDGEGGVADYDDEEELEEEEEEEEEEEELFEEEEDEGRVGEERGLNGTVVKDSDVDVKGPLEESGNGDDEEKKENEPFAVPTAGAFYMHDDRFRDNAGARHRRMRGGRRLWESKDDRKWGHDKFEEITLQERQYKEGRRPSKGSYRGRGGKTRGVDRGGGYVRGNRKGYENRGNQTQAPKSVVRGRGPRRYEPTNKSNDSASQVQNKQYGKQPEKVPHGGSGKAFTPVSNSEPDPVPSKKQVFASNLNYASPPFYPSSSSNKDTSVTSKGDIPTAAASRNVRPGVVDESFLVQNNALLRGKNVVDHVSMEKLYIDGPGAPSVGKAFNSLHMPPPGASGVNASQSTHPRGTGRGGAVPVQMNYQPAASHSQAGKIDPTQLQGIQRTAPGRSSPSVQAPAPQLGHRPGSGSQASSPPITTAAIGSVDSGEIDAASESGKLKGALVGKGREASQGSGRGSFVYGGTMGTAGNINGNHGDQNFPTFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGAAGALGAAGALGATYCPPYLTVDGAYHARQSGQTSATATSSKENNVNKGSNEWKAPPKSETVNDEFGQRHNKPRRYSEMNFGQ >Vigun08g094500.1.v1.2 pep primary_assembly:ASM411807v1:8:22426378:22428553:1 gene:Vigun08g094500.v1.2 transcript:Vigun08g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSSNGNTVGGFYAVVSRGMEELERTLVSNNNAISLPFLQRLLSLLRSFHTHLTLLVHTLHLPLGDKWLDEYMDETSKLWETSHLLKNALCNFEPFYSAGFSLASSLHDSLPHLSPQVVRAISGCRREALGLEEQNRSLMETRIQTLCLRLDERVSVESKLNGFNGFRGVLYAMRNVSSMLLMILLHGLVYCWPETSELVAGGCEGGRLFLGSAVMVSAARLRQRVAAEMGGAGSGILLYEFRRARVAVEELRGELERERVESVRMCFGVLKSGIENIISQLDDFFDEIVEGRKKLLDFCSHR >Vigun01g165600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34765322:34767416:1 gene:Vigun01g165600.v1.2 transcript:Vigun01g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEPQLHFVLFPFMAQGHLIPMIDLAKVLVQHNVIVTVVTTPHNAARFESIVHRYTEAGFQIRVAKVHFPSKESGLPQECENLDMLPTLGMGFRFFSAANISWQPVEKLFEELTPAPSCIISDMGLPYTTHIAKRFNIPWICFAAVSCFFLLCLHTLQTTNMMENKAAESEYLVLPGFPEKIEITKAQTEHLTDKRWKEVADEYGAASVATYGKIVNSFEELESAYEREYKMSNDKVWCIGPLSLSNKDHLDKAERGNKASIDENHLKSWLDFQQPGTVIYASLGSLCNLTAPQLIELGLALEASKRPFIWVIRGGSLTEAMEKWIKEEGFEERSNGRSLVIRGWAPQLLILSHPAIGGFITHCGWNSTLEAICAGVPMVSWPLFGDQFFNENLVVQILKVGVKVGAKSTISWGKEEEIGVVVKKEDIERAIESVMDESSESEERRIRVRELAEVAKRAVEKGGSSHSDLTLLIQDIRQKIKRDT >Vigun01g159700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34139018:34139194:-1 gene:Vigun01g159700.v1.2 transcript:Vigun01g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGMRKQSSWIEVAPAPIIFPKKPSNTPTLETIAEEAAEDFENDEISYNKRPNLCSN >Vigun05g211700.1.v1.2 pep primary_assembly:ASM411807v1:5:40255635:40258110:-1 gene:Vigun05g211700.v1.2 transcript:Vigun05g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNKYASINFNHIYDKTTATNPHNRNPSHSVSPSSSSYSSASYSSVSGPNKAHGRILVLTRPTPKPVTPPTPQPQPQPHHQPLPNPIHQTHDQSEPPPDAISLRPLGRTGTASSLSSLPVINHDNKDLPSPPPKTNKFVPPHLRPGFVPREETPPGPGSLRPNGRPKSGGGHERMRRGGQQDAGIMGRGSRPNSSG >Vigun09g126000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27933822:27935832:1 gene:Vigun09g126000.v1.2 transcript:Vigun09g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYESSHNHHHSNHQQQPVSKETAFQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQIFFIFLALLFLAQSQSSRLQCRHCWIPITLLSIAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREIKIRLGAANGADYDGVADEEFEIHYQEPPESYFGKFKRNWALHFGFLILIYAFMISSSVVLLCF >Vigun09g182700.1.v1.2 pep primary_assembly:ASM411807v1:9:35639123:35643699:1 gene:Vigun09g182700.v1.2 transcript:Vigun09g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKERQVEIRKKIEYSMQLNASRIKVLQAQDDVITSMKDAASKELLSASHHDHAYKNLLKDLIVQCLLRLKEPSVLLRCRKEDLHLVEHVLDSAAQEYADKANVESPEIIVDNQVYLPPGPSHHNSHDIYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKQLFGQVVA >Vigun05g027600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2239435:2242544:1 gene:Vigun05g027600.v1.2 transcript:Vigun05g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHTLPLELTREILLRLPVRSVLRFKSVCKSWYSLISTPQFGISHYDLAASPSHRLLIKSKDFFVQSIDIDARLFKQSSAVHFLCLPPPPLRPSDDFHYKYGLLGSCRGLVLLYYQGDLILWNPSIGVHKRFPNFECDLADEILHAFVYDTSTSNYCLILIGTDESQSDERKAEILIFSFKTALYVPFKSFCFPFKCIGDKFSAGVLFSGAVHWLVFTEDEYVAVIIAFDMIERRLSDIPLFEHFTAQRYKVYSFGVMGECLSVCCSVRGRTSAEIWMMKEYKVQSSWTKCVVVPFYNFLNDRFCPICTTKDGEIFGSNIGGRLEKRNHKGELLEFLNFGGGGELFCFNLQSAVYRESLLSPNSEDEQQ >Vigun02g032700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:13764352:13766587:1 gene:Vigun02g032700.v1.2 transcript:Vigun02g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSNSVKLKYVKLGYQYLVNHIITLIILPLMLGTLIEVLRLGPDEILKLWNSLLHLDLVQILSSSFLIIIIATIYFMSKPRTVFLVDYSCFKPPVTCRVPFATFMEHSRLILKNNPKSVDFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDSLFEKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQIHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAAILLSNRKSERKRAKYRLVHVVRTHKGSDDKAFRCVFEEEDKEGKVGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLFMLIGRKIFNPRWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSSEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRTIQTPLDGPWSDCIDRYPVHIPEIVKL >Vigun03g413400.4.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052717:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGVGGGAGGGAGGGIGGGAGGGLGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGGGGFGGGGGF >Vigun03g413400.1.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052717:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGLGGGAGGGVGGGAGGGAGGGIGGGAGGGLGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGIGGGAGGGAGGGFGGGAGGGGGGFGGGGGF >Vigun03g413400.6.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052729:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGVGGGGGAGGGIGGGAGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGVGGGAGGGAGGGIGGGAGGGLGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGGGGFGGGGGF >Vigun03g413400.5.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052717:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGVGGGAGGGAGGGIGGGAGGGLGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGIGGGAGGGAGGGFGVKIYS >Vigun03g413400.7.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052717:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGGGGFGGGGGF >Vigun03g413400.3.v1.2 pep primary_assembly:ASM411807v1:3:62050822:62052717:-1 gene:Vigun03g413400.v1.2 transcript:Vigun03g413400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHSYVAVLAVAFVIVIGAAECRKIKENEFVDNFGGGLGGGGGGGLGLGIGGGHGGGLGGGIGGGGGGAGGGFGGGKGGGIGGGIGGGHGGGGGIGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGIGGGAGGGAGGGFGGGKGGGVGGGVGGGGGAGGGVGGGAGGGAGGGFGGGKGGGGGVGGGIGGGAGGGAGGGVGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGLGGGAGGGVGGGAGGGAGGGIGGGAGGGLGGGAGGGLGGGAGGGAGGGAGGGAGGGLGGGAGGGAGGGFGGGKGGGVGGGVGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGGGGFGGGGGF >Vigun10g126300.12.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g126300.11.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g126300.4.v1.2 pep primary_assembly:ASM411807v1:10:33433501:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g126300.6.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGIRLSVKKFSGELG >Vigun10g126300.5.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGIRLSVKKFSGELG >Vigun10g126300.2.v1.2 pep primary_assembly:ASM411807v1:10:33433501:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g126300.1.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440867:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTIVPTLPRLVKPGFGGSLLEELTPPSYSDYHFSLVDFNLLAESGVQEDLEVMEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g126300.3.v1.2 pep primary_assembly:ASM411807v1:10:33433502:33440940:-1 gene:Vigun10g126300.v1.2 transcript:Vigun10g126300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDEEEIHNCLIKLRSNPQRRRDKVYIGCGAGFGGDRPSAALKLLQRVQELNYLVLECLAERTLVDRYQIMMSGGDGYDSQISYWMRVLLPLALERGTCIITNMGAMDPLGAQHKVLEIADSLGLSVSVAVAHEVSVTNIGSGFSPAKSYFMDGGISTYLGAAPIVRCLEKYHPNVIITSRIADAALFLAPMVYELGWNWDELDHLAQGSLAGHLLECGCQLTGGYFMHPGDQYRDMSFQQLLDLSLPFAEICFDGQVSVAKAEGSGGVLNFSTCAEQLLYEVGDPEAYVTPDVVINFQDVSFSTLSSSRVLCLGAKPSTVSVPDKLLQLVPQDCGWKGWGEISYGGYECVKRAEAAEYLVRSWMEEIYPGLNHRILSYIIGFDSLKATSSNGNERSQRTSEDIRLRMDGLFEQKEQALQFTREFIALYTNGPAGGGGISTGYKTENLLEKHLVKREEVFWRTGVKRNTVSESNKVVNPEHNLRHTLTVEAKLQTEIDKSSSEFAFLGSSCSHSPAPSGQKIPLYKVAHSRAGDKGNDINFSLIPHFPPDYKRLKQIITSQWVKSVVSHLLDLSLSSDQDARNQTDKRINENVTVEIYEVKGIQSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQQVVLPP >Vigun10g022500.1.v1.2 pep primary_assembly:ASM411807v1:10:2653295:2657929:1 gene:Vigun10g022500.v1.2 transcript:Vigun10g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIISRLGQIFLKLLGKEWRKRQIRKITDQVFDQIQNEVQPDNLSFADLYIAILLVYNGINKYIPGSHCDPPSKDRVRQAIKNCDDNKDGQINRDEFFGFIQQMAPETFNVVRKKLVATLVVAPTVAATTKKATEQVPGFGKLVQRLPKVVYAALLTILTVWFQETNHNSAL >Vigun10g022500.2.v1.2 pep primary_assembly:ASM411807v1:10:2655682:2657693:1 gene:Vigun10g022500.v1.2 transcript:Vigun10g022500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSNLIGVVLPSETSSIKPDSCSARVYTGKEWRKRQIRKITDQVFDQIQNEVQPDNLSFADLYIAILLVYNGINKYIPGSHCDPPSKDRVRQAIKNCDDNKDGQINRDEFFGFIQQMAPETFNVVRKKLVATLVVAPTVAATTKKATEQVPGFGKLVQRLPKVVYAALLTILTVWFQETNHNSAL >Vigun09g041500.1.v1.2 pep primary_assembly:ASM411807v1:9:3828308:3835203:-1 gene:Vigun09g041500.v1.2 transcript:Vigun09g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFGRCSSAEFELPESFGTSSPTVGGRAYGAMMPVFLNDLRSNHHKELVEITLELENDAVLLCNVTPAAAYSAPNASPSSSRGGGDDGAGVARSLSITSRIRRKFPWLRSLSSASVESVAAAEDPVTTARNARKMRAKLERTRSSAQRALKGLRFISKSGEASEELWGKVQERFSLLAKDGMLAREDFGECIGMEDSKEFAVCIFDALTRRKERRVSSINREELHEFWLQISDQSFDARLQIFFDMADSNEDGRITREEVQELIMLSASANKLSKLKEQAEGYASLIMEELDPENLGYIELWQLEMLLLEKDRYMNYSRQLSSASVNWSQNMTDTRSGNDIQRLRRTLQCIALEYWRRGWILLLWWVTIATLFGWKFFQYRNRSTFQVMSYCIPIAKGAAETLKFNMALILLPVCRNTLTWLRSTGARKFVPFDDNINFHKMIAFAIAVAVAVHAGSHLACDFPLLVNSSPEKFSLISSDFNNKRPTYKSLLTGVEGVTGISMVILMAISFTLATHHFRRNAVRLPSPFNRLTGFNAFWYSHHLFALVYVLLLVHGTFLYLTHRWYHKTTWMYISVPLLLYIAERTLRTRRSAHYTVKILKVSGLPGNVFSLIMSKPNGFKYKSGQYIFLQCPKISPFEWHPFSITSAPGDEYLSVHIRTVGDWTQELKLLLTKDDDKLPSVKRGATFGELMQLDQRGQPRLLVDGPYGAPAQDYQSFDVLLLIGLGIGATPFISILRDLLSNTKTLDELGMQEPSNTETSQATRSDESSNSFTSSNLTPGGNKRSRRTTNAYFYWVTREPGSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMIQALNHAKHGVDILSGTRVRTHFARPNWKEVFNKIASKHPFSTVGVFYCGMPVLAKELKKLSVELSHKTTTRFEFHKEYF >Vigun09g041500.2.v1.2 pep primary_assembly:ASM411807v1:9:3828308:3835203:-1 gene:Vigun09g041500.v1.2 transcript:Vigun09g041500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFGRCSSAEFELPESFGTSSPTVGGRAYGAMMPVFLNDLRSNHHKELVEITLELENDAVLLCNVTPAAAYSAPNASPSSSRGGGDDGAGVARSLSITSRIRRKFPWLRSLSSASVESVAAAEDPVTTARNARKMRAKLERTRSSAQRALKGLRFISKSGEASEELWGKVQERFSLLAKDGMLAREDFGECIGMEDSKEFAVCIFDALTRRKERRVSSINREELHEFWLQISDQSFDARLQIFFDMADSNEDGRITREEVQELIMLSASANKLSKLKEQAEGYASLIMEELDPENLGYIELWQLEMLLLEKDRYMNYSRQLSSASVNWSQNMTDTRSGNDIQRLRRTLQCIALEYWRRGWILLLWWVTIATLFGWKFFQYRNRSTFQVMSYCIPIAKGAAETLKFNMALILLPVCRNTLTWLRSTGARKFVPFDDNINFHKMIAFAIAVAVAVHAGSHLACDFPLLVNSSPEKFSLISSDFNNKRPTYKSLLTGVEGVTGISMVILMAISFTLATHHFRRNAVRLPSPFNRLTGFNAFWYSHHLFALVYVLLLVHGTFLYLTHRWYHKTTWMYISVPLLLYIAERTLRTRRSAHYTVKILKVSGLPGNVFSLIMSKPNGFKYKSGQYIFLQCPKISPFEWHPFSITSAPGDEYLSVHIRTVGDWTQELKLLLTKDDDKLPSVKRGATFGELMQLDQRGQPRLLVDGPYGAPAQDYQSFDVLLLIGLGIGATPFISILRDLLSNTKTLDELGEPSNTETSQATRSDESSNSFTSSNLTPGGNKRSRRTTNAYFYWVTREPGSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMIQALNHAKHGVDILSGTRVRTHFARPNWKEVFNKIASKHPFSTVGVFYCGMPVLAKELKKLSVELSHKTTTRFEFHKEYF >Vigun09g252800.2.v1.2 pep primary_assembly:ASM411807v1:9:41993262:41996144:1 gene:Vigun09g252800.v1.2 transcript:Vigun09g252800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGYAFPAYECYKAVEKNRPEIEQLRFWCQYWILVAVLTICERIGDTFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHEPEIDRSLSELRTRAGDIAVLYWQKAASYGQTRVFDILQYVAAQSTTSTRPTQQPSTARVRQPPSSNSQPAASTEPRAEDPSSASYTSSQLQKEVTEEPQVPKAPSSTVAAGLKSQKSNSMPENTNQSVPAEEESMAPSSSSANEDENPPLEDTIMEESIRVTRARLRKNRSAGIR >Vigun09g252800.1.v1.2 pep primary_assembly:ASM411807v1:9:41993262:41996144:1 gene:Vigun09g252800.v1.2 transcript:Vigun09g252800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITRVLVMVFGYAFPAYECYKAVEKNRPEIEQLRFWCQYWILVAVLTICERIGDTFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHEPEIDRSLSELRTRAGDIAVLYWQKAASYGQTRVFDILQYVAAQSTTSTRPTQQPSTARVRQPPSSNSQPAASTEPRAEDPSSASYTSSQLQKEVTEEPQVPKAPSSTVAAGLKSQKSNSMPENTNQSVPAEEESMAPSSSSANEDENPPLEDTIMEESIRVTRARLRKNRSAGIR >Vigun02g000021.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7551:7691:-1 gene:Vigun02g000021.v1.2 transcript:Vigun02g000021.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun04g135000.1.v1.2 pep primary_assembly:ASM411807v1:4:33842700:33844365:-1 gene:Vigun04g135000.v1.2 transcript:Vigun04g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLKTIAFALLILQVSSSVRAQSGVLDISKYGGATNGDISQAMIKAWNDACASTNPSKIVIPQGTFLLKQTEFKGPCTAPIEVQVDGTIQAPQDPNQFDGEAQWIKFTYVNFLTISGGGTFDGQGAIAWNQNDCAQNKICKKLSMNFGFGFLNNTVIRDITSKDSKNFHVNVLGCNNITFTNFNAIAPANSPNTDGIHIGRSNGVNITNSIFATGDDCISLGDGSKQVNVFNVKCGPGHGISVGSLGKYPEEEPVQDFSVKNCIINNTDNGVRIKTWPDTPGATTVTGMHFENIEMINVRNPIIIDQEYCPWNQCTKENPSKIKISQVSFKNITGTSGTKEGIVLLCSSGVPCEDVVLTDIDLKFNGTVASAVLSNVKPIIQGKSLSLSNTIPKVEL >VigunL085101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:104769:105116:1 gene:VigunL085101.v1.2 transcript:VigunL085101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIIYAHKHMSKLIIYAHKHMSKPVSSTTPLSSVSPLVLSTKPNHTSFHHLTATCIHTHYHYPPASPSFTIHQYFHRESVAISPSYAEPISYSSSPSPCKKLPNQTRTQTHRTT >VigunL034700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:272427:272681:1 gene:VigunL034700.v1.2 transcript:VigunL034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRIPTRNTNPIHNDVPENRTFLLFDQPSGEANTTGTLINKINEIAINAKTANWKAIVMFLILQFTNKRTLPFDPSTPGCLS >Vigun09g054200.1.v1.2 pep primary_assembly:ASM411807v1:9:5423776:5426277:1 gene:Vigun09g054200.v1.2 transcript:Vigun09g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLPPPPPPPPSLPLHNHFTRRSLLLLSTTTTTTTTLSLPSTPPPTPTVTDRVFMDFSLCPNNFLPDRPDSLSPICSDSTLLGRVVLGLFGNLVPLTVSNFKSVCLGSNATSSSYKNTLVHKVFPGQYLLAGRQGRPDKGEVRPPRDLPRNTETVDAKAFALTHSRPGVVSLSLSENDDDDDIKLDPEYRNVEFLITTGPGPCPQLDNKNIVFGTVLEGLDVITAIASIPTYQPSERIRQFNDLAEFFGDGRAQNARNIWNRPLTTVYISDCGELKVTKPSLTPSLP >Vigun06g084400.1.v1.2 pep primary_assembly:ASM411807v1:6:21664851:21666475:-1 gene:Vigun06g084400.v1.2 transcript:Vigun06g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKDMASHLQHLVVIVMATLLTIPSHAQQLTPDFYYKVCPQALPVIKSVVQRAIFRERRMGASLLRLHFHDCFVNGCDGSVLLDDTPNFTGEKTALPNIGSIRGLEVIDEIKAAVDKACKRPVVSCADILAIAARDSISILGGSVYWYKVALGRRDARTASKDAANSNLPPPFFSLSQLLSNFQSHGLDLKDLVALSGAHTIGFAQCSTFRNRIYNDTNIDPSFASSLQSTCPKSGGDGNLAPLDRVTPARVDTSYYTSLLTKMGLLHSDQELFNGDGSESDSLVKLYSRNPFAFARDFKASMIKMGNIKPLTGNAGEIRVNCRIVN >Vigun02g113700.1.v1.2 pep primary_assembly:ASM411807v1:2:26752247:26757805:1 gene:Vigun02g113700.v1.2 transcript:Vigun02g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQDLLSYPPIDPVNFDLIIVGTGLSESIVAAAASAAGKTILHLDPNSFYGSHFASLSFLDFTSYLTSPNPLPAAVTTTDSEDVVVDLLHQPICSDAEISCYDEYSFLCENSRKFNIDLGGPRALFSADRTVDLLLKSGAAQYLEFKGIDESFVYEPNGGLANVPDSRGAIFRDKKLSLKEKNQLMRFFKLVQQHLDDTQEEKISEEDMEIPFVSFLEKMKLPPKIKSILLYAIALVDYDQDSNEVCKDLLKTKDGIDCLAQYSSSVGRFPNAPGALLYPIYGEGELPQAFCRRAAVKGCIYVLRMPVVSLLMDKATGLYKGVRLASGQDLYSQQLILDPSFTIPSPLSLSPRDFPCERLQMWSQRDIKGMVARGICITRSSIKPAVTNCSVVYPPRSLYPEQMTSIKSLQIGPNLAVCPEGTFILYFSTLCNNADEGKNLLKAAMNALLTLPVSGNTESVSSVQSDSEDTKPIVLWSALYIQKLIVSNFELISSSSTPDGNLNYNDLLDAAEKLFRQMFPNEEFFPKVTTSPEEPTDEDDNGLTLDS >Vigun03g389100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59561879:59562451:-1 gene:Vigun03g389100.v1.2 transcript:Vigun03g389100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLVPVSMDNPDFAVAMDRLKQPQKKKNTGRKKTVIKKIEKSSNKQVTFSKRRAGLFKKASELCILCNVNIAIIVFSPAEKLFCFGHPDVEAIVGSYLKGAKVLVASESRGSYEESNMQYEEAVKNLALEKKNLTETEKMVKGLSRRWWEDPIDEMSERELEQFMMALYELRKKLTERAAQLFMLSIQ >Vigun04g172100.1.v1.2 pep primary_assembly:ASM411807v1:4:39612722:39614146:1 gene:Vigun04g172100.v1.2 transcript:Vigun04g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRSYYLDMMLVPLAYLVNVAYHVWLWHKTRTQPFSTTIGINAHARRFWVPSMLKDIEKKNILVAQSLRNLIMGSTLMATTAILLSAGLAAVISSTYSVKKPLDDAVYGAHSEFMIALKYVTLLTIFLFSFFCHTLSIRFLNQLSILICTPQDAMSLVTPEYLTEILEKGTVLNTVGNRIFYSAIPLLLWIFGPVLVLLCSVAMLPVFYNLDFVCGKVKMMVVNDKSDLA >Vigun11g196400.1.v1.2 pep primary_assembly:ASM411807v1:11:39581519:39582695:1 gene:Vigun11g196400.v1.2 transcript:Vigun11g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQCILSEEERVVVIRFGHDWDETCMQTDEVLASVAEKIKNFAVIYVVDISEVPDFNTMYELYDPCTVMFFFRNKHIMIDLGTGNNNKINWPLKDKQEFIDIVETVYRGARKGRGLVISSKDYSTKYRY >Vigun09g172123.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34195326:34196179:1 gene:Vigun09g172123.v1.2 transcript:Vigun09g172123.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVWGLEFRVSGFGSWVSALGFRVLGYRFRVSSFDLHVLSFGFGVSSLGFGVLGFELQVLGLGFRVSGFVFRMSGFVLGFSSFGFGISGFEFRLSSFEFWVWGFNFGVSSLGFQV >Vigun08g128400.1.v1.2 pep primary_assembly:ASM411807v1:8:29858474:29860756:-1 gene:Vigun08g128400.v1.2 transcript:Vigun08g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPMLLPFLLSLVSLCIINANGVCSLEDKKMFNVQMFRKKQQHGNQGCLLPESRREKGSIVLEMKDTGHCSRKKIDWNRRLQKQLIVDNLRVRSMQNRIRKLVSGKKIEASQTQIPLASGINLETLNYIVTMGLGSMNMTVIIDTGSDLTWVQCEPCMSCYDQQGPMFKPSTSSSYQSVSCNSSTCQSLQIATGNTGACGSNTNTSTCNYVVNYGDGSYTNGELGVERLSFGGVSVSDFVFGCGRNNKGLFGGVSGLMGLGRSYLSLVSQTNATFGGVFSYCLPTTEEGSSGSLVMGNESSLFKNVTPISYTRMLPNPQLTNFYILNLTGIDIGGVALQAPSFGSDGVLIDSGTVITRLPPSVYKALKAEFLKQFSGFPSAPGFSILDTCFNLTGYDEVNTPTINLHFEGNAELKVDATGTFYVVKEDASQVCLAIASLSHEYDTAIIGNYQQRNQRVIYDTKESRVGFAEEQCSFS >Vigun01g164500.1.v1.2 pep primary_assembly:ASM411807v1:1:34633282:34635438:-1 gene:Vigun01g164500.v1.2 transcript:Vigun01g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWGALLTSTLLFLVAFSLPNVHAAAHNTNKYNNKAQLRHHRPIFRPTGWKEALATFYEGDSQTFGGACGYDDVVRDGYGLDTTALSSVLFKDGQTCGACYEIKCINYGAGCKAGESSIFVTGTNLCPPNYDKPGDNGGWCNPPREHFDLAKPAYLKIAEYKAGIVPISYRRVPCKKNGGIRFTITGNPYFNLVSVWNVGGAGDVTEVQVKGDKKIRNWTKLKRNWGQKWETDVMLLGEALCFRVRTSDRRYSTSRWIAPSNWKFGQTFVGKNFV >Vigun11g092800.1.v1.2 pep primary_assembly:ASM411807v1:11:27469640:27474127:1 gene:Vigun11g092800.v1.2 transcript:Vigun11g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDVKGITWVGNMYQKFENMFLEAEDVMYEDTVKYIEDQMQAVGESVKKLYSDIMEDLLPPNEKVGIELSMDKRAEAGLCKKPFQVCSERHVKTDTKQATEDSRIDHGVDNVATLATAYNGTSKADASFMPSLRSSISSPSRQIVGRMDVKSNLPVNDKMAATKIIDETTLAETTLAGTNACIASQSCETSNQNQNQNHGVPWIRCETYFSDGIENDSTTQSLNYPVLVKSAGEKQIDTISSPYVSFAEPVEQGHCFMPQDHLKLEEPEEACVMVDGDEIQLPPKASANLNTNKKKSRQPFSLSKKSARKQEYKELAAWHLNSEKAKGDCMENFDPTLPQDHKNSLLRSMSESEWELL >Vigun10g055500.1.v1.2 pep primary_assembly:ASM411807v1:10:9796010:9798054:1 gene:Vigun10g055500.v1.2 transcript:Vigun10g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSIPASYFDASNWQQQQPNNQPGGSGSTSRQLLPPPPPPAPPLPAAMQSHGEGATRPGSMADRARMANMPMPEVALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRTKGTGGGGGSNSPASSDRQTGSVNSTNSVSSKNERIGLAPQIPPLRFMPPLHQLGDFGDGDIGLNYGLSYAPMGGVEDLSYQIGASAFGGGGGGGGATSIFSAPSLEQWRMPQQFPLLASMEGSSPASNLYPFEGSAEVSGYMRPKVSTSGIMTQLASVKMEESREPNSSTLFLGNYNPVNEQYWPSATSASWTDISGFSSSFTASNHL >Vigun08g034000.1.v1.2 pep primary_assembly:ASM411807v1:8:3173153:3178716:-1 gene:Vigun08g034000.v1.2 transcript:Vigun08g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDESDRNEKKAHDIKLWGVFLFGLLGAAVTAFSLSRSQSRGASGSSFRSSFQEEAWKRYNKRLQEEYEEEVERVERIKRMQSVFNRERDKRRRSYESWKGNGSGAHHQHFQRDDWYWKAEESFREQWANYQHTPGESRNYSLSHHYSVLGLDRFRTTPYTDAEIKTAFRTKAMQYHPDQNQDNREASEAKFKEVICSYEAIQKERKNQNL >Vigun07g123700.1.v1.2 pep primary_assembly:ASM411807v1:7:22864027:22870606:-1 gene:Vigun07g123700.v1.2 transcript:Vigun07g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTSEEDEILAKYIQANGEGSWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISAEEENTIVKLHASLGNRWSLIASHLPGRTDNEIKNYWNSHLSRKFYSFPRMENQQGTGAVAGTIDTPKVVCIPPKRKGGRTSRWAMKKNKTYLQNVDRTKHSFCQRNSTDNTVPKAPTPSAEREGLWNDTRESKAVECDVVEEFREGRREAEVDEKCEKDEDILGVCEIEGVDEGSEALSISDMLESCLVETCGIWDMTEERESNDVEMVPDGDAEVDACPNKTSSSDSSVNDSSCSSSMAFGLDQHWDWESMIEFNNIAESQLASHNFDQKDELLTWLWEDEDWKSHDNNLGEIDLKIQNDVVDWLLS >Vigun07g011600.2.v1.2 pep primary_assembly:ASM411807v1:7:973543:976846:-1 gene:Vigun07g011600.v1.2 transcript:Vigun07g011600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLSWVQKRCQGKRDSLYEASESKKLAKRRIFHPAMSANTIQSQNSVFPKHLFFLLVHGHYKIPKLLASADEMYLRRCLESVHNSALKASQKIKPVSTSLETTNLWISAERLSTVEFIGGTESSGSMTESLVDGTDAAENWTLGTVMGTKSMINILNSPLLQQFNASERNENLNNMNFTDAKNMTCYDFVDSPSVVMETPMVESHKYGSISDNKRSTSTNNSACFDWMMSSAASNVSQGMLQCTWKQGVPSFVFSADDQKEVYVAKLSKVDTTHDEDLDYVYLFHLNNKERQKGREFHDSNLQLVGKMNVSTCLTLCSENSRVMETRFILFGDGKICEKEIFTSSHSHVKNKSIAKKASRVLRTGPSPIHRTLSRFTRSKTIRESSALDQQSCGLDETNLLASNVPPNFELAAIVVKDHLPSKKSVDKVGGWGMKFLNKSGGNQTTLSSESCNRSCGASLTSTSIIIPAGLHGGPRSRHSGPSSLIDRWKSGGCCDCGGWDEGCPLTVLQRRSTNENLLSHVDMQGECKSIELATQDSSNFSPSMRMVNIHNGLYFIHFQSPLSALQSFSVAVAIIHTQSSTLQPK >Vigun07g011600.1.v1.2 pep primary_assembly:ASM411807v1:7:973323:977130:-1 gene:Vigun07g011600.v1.2 transcript:Vigun07g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLSWVQKRCQGKRDSLYEASESKKLAKRRIFHPAMSANTIQSQNSVFPKHLFFLLVHGHYKIPKLLASADEMYLRRCLESVHNSALKASQKIKPVSTSLETTNLWISAERLSTVEFIGGTESSGSMTESLVDGTDAAENWTLGTVMGTKSMINILNSPLLQQFNASERNENLNNMNFTDAKNMTCYDFVDSPSVVMETPMVESHKYGSISDNKRSTSTNNSACFDWMMSSAASNVSQGMLQCTWKQGVPSFVFSADDQKEVYVAKLSKVDTTHDEDLDYVYLFHLNNKERQKGREFHDSNLQLVGKMNVSTCLTLCSENSRVMETRFILFGDGKICEKEIFTSSHSHVKNKSIAKKASRVLRTGPSPIHRTLSRFTRSKTIRESSALDQQSCGLDETNLLASNVPPNFELAAIVVKDHLPSKKSVDKVGGWGMKFLNKSGGNQTTLSSESCNRSCGASLTSTSIIIPAGLHGGPRSRHSGPSSLIDRWKSGGCCDCGGWDEGCPLTVLQRRSTNENLLSHVDMQGECKSIELATQDSSNFSPSMRMVNIHNGLYFIHFQSPLSALQSFSVAVAIIHTQSSTLQPK >Vigun05g294700.1.v1.2 pep primary_assembly:ASM411807v1:5:48019850:48020509:1 gene:Vigun05g294700.v1.2 transcript:Vigun05g294700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSRNRFKVALILAFFFFLILLLPESVRGDRRRNSLEEKRVMIGSKPPACRNKCMKCKPCTATVVVPNHKSQDDSYYLLSWKCRCGDKFFQP >Vigun07g147700.1.v1.2 pep primary_assembly:ASM411807v1:7:25863460:25865038:1 gene:Vigun07g147700.v1.2 transcript:Vigun07g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQKFPPQQQKTQPGKEHLMTPPPQFTSPDYKPSNKLQGKVAVITGGDSGIGRAVCNLFSLEGATVAFTYVKGSEDKDAKETLEMIKRAKTADAKDPIAIPTDLGYDENCKRVVDEVVSAFGRIDILINNAAEQYECGTVEEIDEPRLERVFRTNIFGYFFMARHALKHMKEGSSIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLSLQLVSKGIRVNGVAPGPIWTPLIPASFKEEETAEFGGEVPMKRAGQPIEVAPSFVFLASNACSSYITGQVLHPNGGTIVNG >Vigun11g023600.2.v1.2 pep primary_assembly:ASM411807v1:11:2943684:2950454:-1 gene:Vigun11g023600.v1.2 transcript:Vigun11g023600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQSELIDHFVKRASAVSDAAALASVLVEATSHPSLFAFSEILALPNVLQLEATENSAFLDMLRLFAHGTWSDYKSNADRLPQLIPDQILKLKQLTVLTLAETHKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPDQLGNMIQTLSNWLTTSESLLVSIQEKIKWADAMSEIDKKHRKDVEEKVEEVKKSIFKKLHTVSRPTSTSEAMRRSALNLVE >Vigun11g023600.1.v1.2 pep primary_assembly:ASM411807v1:11:2943684:2950454:-1 gene:Vigun11g023600.v1.2 transcript:Vigun11g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQSELIDHFVKRASAVSDAAALASVLVEATSHPSLFAFSEILALPNVLQLEATENSAFLDMLRLFAHGTWSDYKSNADRLPQLIPDQILKLKQLTVLTLAETHKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPDQLGNMIQTLSNWLTTSESLLVSIQEKIKWADAMSEIDKKHRKDVEEKVEEVKKSIFKADIDFRGHEEICSESGGVMDYEEDRSRPKRRRHPIS >Vigun03g002700.1.v1.2 pep primary_assembly:ASM411807v1:3:199269:202408:-1 gene:Vigun03g002700.v1.2 transcript:Vigun03g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAYGNANCNSLFQKFARIHVGISALPFFQLGVNSCTVFNRGTVIPLCLNRKRSFALSENRFNCKLPKKERFSILTSRNSSNPSGSTDENSKETEKTPFGYTRKDVLLIGLGITLLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWIFTYVFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELQALLEEVEEEKRR >Vigun04g047600.1.v1.2 pep primary_assembly:ASM411807v1:4:4110960:4114116:-1 gene:Vigun04g047600.v1.2 transcript:Vigun04g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFVSLFLCFLCFEISVSAIRLPGVDQEAKATHGSGLGLKKGGCSVKFDPTRVTQLSWNPRAFLYKGFLKEEECDHLISLAKDKLEKSMVADNESGKSIMSEVRTSSGMFLNKAQDETVADIECRISAWTFLPVENGESMQVLHYEHGQKYEPHFDYFHDKANQIMGGHRIATVLMYLSDVEKGGETIFPNSEGKLSQPKDDTWSECAHKGYAVKPRKGDALLFFSLHLDATTDANSLHGSCPVIEGEKWSATKWIHVSDFEKPVRSLEEYSGECVDENENCTRWAKIGECEKNPLYMVGGEGVRGKCMKSCNVCSS >Vigun10g165400.1.v1.2 pep primary_assembly:ASM411807v1:10:38453943:38455745:-1 gene:Vigun10g165400.v1.2 transcript:Vigun10g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCPKEINKGAWSREEDETLSKYVAIHGEGKWQKVAQNAGLKRCGKSCRQRWLNYLKPGIKRGDISMDEEDMIIRLHRLLGNRWALIAKRLPGRTDNEIKNYWNTNLSKKLQKHGTAALSVSSVEHKRDEEEKIKQVHVAPEAPRPRRVSAVEYSKIVENEGCGGNRCSTTTPSPSNKVEGSSDEASFSDFLIDIDQSQLLIGDDSNSKVPQMEEEHNNNNSKEVGLSNSPSSSSPSDHCHHLLAEKFDPLETLLDVELKRMASFLGLEND >Vigun02g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29085489:29085734:-1 gene:Vigun02g142200.v1.2 transcript:Vigun02g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNIRIACVLLFFLILHHHQHLCVQGKTHLKPWSKDGENFSNDDDDAHEGRKGRVEFEEKDFRPTSPGHSPGVGHSINN >Vigun10g029200.1.v1.2 pep primary_assembly:ASM411807v1:10:3678668:3686276:-1 gene:Vigun10g029200.v1.2 transcript:Vigun10g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINGMQKMASSSVSKWNSSSVTTKIVNNLNPICQATQQITLKGKFIIIHNQSKSIPGKFISVQIYSGTDVDPETGKGKLSEKAYFKQGESMKHSHDAQTSIYKIKIHADSNFGTPRAFVIQNKYKKKFFLQSASIETSSNRIIHYDINSWIYPIKKTKSDRLFFSNRCYLPSQTPRALVELRKEELDILRGNGMGERKEWERIYDYDYYNDLGDPEKGPEHLRPVLGGTKLFPYPRRGRTGRKQSTAGPSSESRPQPMNFGIYVPSDERFAPNKLKELKSNCVHAMVHFLSPKAELLQQRNAANFQSFEELLDMFSSNRSQVIEGWMKENLKKLIPVAYLKEITIANKENHGQLPIPQIISENELAWKDDIEFGRQMLSGTHPTRIQCLTTFPPQNRYGIQSSIQQSIIEQKLEGWTLSQAMEQGRIFMLDHHDYLMPYLNRINGNGVCAYASRTLLFLRSDGMLKPLAIELSLPGQSPHLEIHRVFLPAKQGTQAALWQLAKAHVLANDAVYHQLISHWLCTHAVVEPFIIATKRRLSVMHPIHRLLNPHFKDTMHINALARLILINSGGIFERILFPGEICMQISCDLYKEWRFNDQGLPADLLKRGMAVQDRDMNNPTGIQLLLLDYPYATDGLEIWVAIKEWVKDFCSFFYKDNDAIEGDVELQAWWTEIRTKGHGDKHNDTWWNQMTTLSNLVESLTTLIWIASAKHASLNYGQYAYSGYPPNRPTLCRKFVPLEGTVEFGEFLKDPDKFFLKMLPDRFEMSLAVALVDVLSRHTCDEVYLGCQQSPGWIDSEVIQNRFAEFKQELKEIQARIMQRNRDPKLKNRRGPANIEYTLLYPDAATSSASKTGITGMGIPNSISI >Vigun03g155500.1.v1.2 pep primary_assembly:ASM411807v1:3:16579594:16580087:1 gene:Vigun03g155500.v1.2 transcript:Vigun03g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFTKAINEVLLYELDAIHKVIKFDHEHDFTQEFPLLLGKGDELNIVTQQANLCVAPKGGENELMFYSLDAHNNSNSSQYISQESSYSNNSDCSGDDTVFICLHMLTNNVCVYSLDEKHFPLLLHCLLTLQPRIV >Vigun03g290700.2.v1.2 pep primary_assembly:ASM411807v1:3:47379457:47380852:1 gene:Vigun03g290700.v1.2 transcript:Vigun03g290700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHLNNINISSQNIYALIHLLLLISSHSFPPFPHLLHSLPQYPSHSSQKFHTKMGFDHHLICGQRLGFLCICLLFLIVSSWIHKGFLIEGRMTKKLSDFHQVKLGKSFHISLLSCLTQGNMFFCLLE >Vigun03g290700.1.v1.2 pep primary_assembly:ASM411807v1:3:47379457:47380852:1 gene:Vigun03g290700.v1.2 transcript:Vigun03g290700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHLNNINISSQNIYALIHLLLLISSHSFPPFPHLLHSLPQYPSHSSQKFHTKMGFDHHLICGQRLGFLCICLLFLIVSSWIHKGFLIEGRMTKKLSDFHQTISEDKAIMRPRIGSRPPKCERRCRSCEHCEAIQVPTNPQAQNGKKNSWKFSSVAYARLGGSSNYKPMSWKCKCGNLIFNP >Vigun06g038300.1.v1.2 pep primary_assembly:ASM411807v1:6:15601658:15603783:-1 gene:Vigun06g038300.v1.2 transcript:Vigun06g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVLVLEGEMSLVLRQMNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRLIPHKANKTLSIIDTGIGMTKTDLAYNLGVGFYSTYLIADKVIVTSKHKDHDQYIWESQPGASFIVNNDINAQQPSRGTNITLFLKDNQLEYLEEVTIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWFHNRERDSKHVAQKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGERFQNMEKLYWPSQIQEDYLEGIGILGSSSINGILCLYVKDLVYLWNPTINEFKVIPPSPFKNAPYYIYIGIKYHGFGYDCVRDDYKVVREVSFFVNSDDDVEPHDVFPLSCIWEMYSLRSNSWTILQLCDCVPTSFDDNNKFYLEGMCHWLGYAESCIQHVVSFDLINKVWITTLPPLDIPMEIYDNKHFVRRQLFLLNQSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPIGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHGGYSQLVVHKESLLTIARINS >Vigun11g180600.1.v1.2 pep primary_assembly:ASM411807v1:11:38441230:38444928:-1 gene:Vigun11g180600.v1.2 transcript:Vigun11g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASKLREQVARQQQAVLKQFGGGGYGGSDNVATNGVELQLHQKLEKLYISTRAGKHYQRDFVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSRAALSFAQAHAQTEKERGNLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQARVREMPPSAENSMKLEAAEAKLQDLKANTTILGKEAAAALAAVEAQQQRLTLQRLIAMVEAERAYHQTVLQILDQLEGEMISEQRRIETPPIPPSLDNDMPPPPSYEEVNGVYASQAHNGTTDSMGYFLGEVLFPYSAVSEVELNLSVGDYIVVRKVTNSGWAEGECKGRAGWFPFSYIERRERVLASKVAEVF >Vigun09g129800.3.v1.2 pep primary_assembly:ASM411807v1:9:28709460:28713192:-1 gene:Vigun09g129800.v1.2 transcript:Vigun09g129800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENCSSEPTTINQFQSQISMNNGMQSSDMSETSSNSSRKRKGKGKKVSFKRRNPSVVVRRHRANNVDTIGLPLGMSFAAVMAQVLYRKDVAAESTSPSHLSMMCTSAIKESLASVFGDKLDGLTRNFEQSFSSTLTTLQSVYESSKCNEGNKLNNMKMEILSSRLTLDKGECSGDTFRESGPSRPYDTEIHPSISRDLVEEVRDNFHTDSVSRDYPEDDRGRDNFHDSPEEGSRDKILMDSVSRDLALYGQSNQMVSFSQISFGSVNNPMVSIFEKSVTEQCRSNDLKALEIGLKMEELNMKKDELALNRDLNSLSRSKLAMGESKASFKAEKFKTELEDTRHGELKKKCIDCLITGLLIMSSSLFYGAYVYSYERIAEATESCTPSTQESSYWWTPKSVTSFNSKFHILWCQVQVMSRMVFGVLMIFAVAYLLLQRSTTSSSQTMPVTFILLMLGIGCGYCGKLCVETLGGSGNVWLLYWEILCLLHFFSLCWTPALFQILHGPVTAWQTTHKKTIFQYWIRRVLFYTILLVFLPLFCGLMPFATLGQWKDHFTLKGSDFNGSEW >Vigun09g129800.4.v1.2 pep primary_assembly:ASM411807v1:9:28709460:28713192:-1 gene:Vigun09g129800.v1.2 transcript:Vigun09g129800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENCSSEPTTINQFQSQISMNNGMQSSDMSETSSNSSRKRKGKGKKVSFKRRNPSVVVRRHRANNVDTIGLPLGMSFAAVMAQVLYRKDVAAESTSPSHLSMMCTSAIKESLASVFGDKLDGLTRNFEQSFSSTLTTLQSVYESSKCNEGNKLNNMKMEILSSRLTLDKGECSGDTFRESGPSRPYDTEIHPSISRDLVEEVRDNFHTDSVSRDYPEDDRGRDNFHDSPEEGSRDKILMDSVSRDLALYGQSNQMVSFSQISFGSVNNPMVSIFEKSVTEQCRSNDLKALEIGLKMEELNMKKDELALNRDLNSLSRSKLAMGESKASFKAEKFKTELEDTRHGELKKKCIDCLITGLLIMSSSLFYGAYVYSYERIAEATESCTPSTQESSYWWTPKSVTSFNSKFHILWCQVQVMSRMVFGVLMIFAVAYLLLQRSTTSSSQTMPVTFILLMLGIGCGYCGKLCVETLGGSGNVWLLYWEILCLLHFFSLCWTPALFQILHGPVTAWQTTHKKTIFQYWIRRVLFYTILLVFLPLFCGLMPFATLGQWKDHFTLKGSDFNGSEW >Vigun09g129800.1.v1.2 pep primary_assembly:ASM411807v1:9:28709460:28713192:-1 gene:Vigun09g129800.v1.2 transcript:Vigun09g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENCSSEPTTINQFQSQISMNNGMQSSDMSETSSNSSRKRKGKGKKVSFKRRNPSVVVRRHRANNVDTIGLPLGMSFAAVMAQVLYRKDVAAESTSPSHLSMMCTSAIKESLASVFGDKLDGLTRNFEQSFSSTLTTLQSVYESSKCNEGNKLNNMKMEILSSRLTLDKGECSGDTFRESGPSRPYDTEIHPSISRDLVEEVRDNFHTDSVSRDYPEDDRGRDNFHDSPEEGSRDKILMDSVSRDLALYGQSNQMVSFSQISFGSVNNPMVSIFEKSVTEQCRSNDLKALEIGLKMEELNMKKDELALNRDLNSLSRSKLAMGESKASFKAEKFKTELEDTRHGELKKKCIDCLITGLLIMSSSLFYGAYVYSYERIAEATESCTPSTQESSYWWTPKSVTSFNSKFHILWCQVQVMSRMVFGVLMIFAVAYLLLQRSTTSSSQTMPVTFILLMLGIGCGYCGKLCVETLGGSGNVWLLYWEILCLLHFFSLCWTPALFQILHGPVTAWQTTHKKTIFQYWIRRVLFYTILLVFLPLFCGLMPFATLGQWKDHFTLKGSDFNGSEW >Vigun11g149600.1.v1.2 pep primary_assembly:ASM411807v1:11:35978558:35981214:1 gene:Vigun11g149600.v1.2 transcript:Vigun11g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESGLKSREVSAMIKQGFIPDPTLSFSPSRTFSPPPSSTRPSQNQAQTHPQHTQTLFDMMSEEHKFSDDKRRKAQDRLSKLLREPALREGGEVRLTVVGRDGLRVSMEVRKSVLADKSRFFAEKLRCDGAVSHSVEISDCDDVEVYVEAVVLMHCEDLKARLRSMGDGVPKVLSLLKVSAAIMFDVGVVSCLEYLESIPWSEDEQEEVISQVEHLQIHDSAAEVLQRVSSDPSTADRADDIFLNLISGVLQAKDDKARREMKALLSKLLKENNAPNDSTRLDVSKDTLYHLCHKCISSLLLCLSEATGGGDERPDRGVVVSDITREADNIQWIVDILIGKKIGEEFVEIWAEQKELAALHSKVPTVYRHEISRITAQLCIGIGRGHILVPKETRFSLLSTWLEALYEDFGWMRRASRAVDRKLVEDGLSQTILTLPLLQQQAVLLNWFDRFLNKGDDCPNIQKAFEIWWRRAFIRQYSAEPDNNSQLQITLSDYPS >Vigun01g193100.2.v1.2 pep primary_assembly:ASM411807v1:1:37073791:37083873:1 gene:Vigun01g193100.v1.2 transcript:Vigun01g193100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRSMKTEIPERKWFKSEKRGNLAIQKKRRTNVFFSAEGVSGSSEAPSNSNEMDSNGTIQNLLPQSEEGVRAIQPQSFRGRAKKLPRRFNDSVLYERLGSENWSNALQDVAAMSSERDSPCFPPCSAELRDSEYSVKTVKKTVSGGRSLFVKEKSDDVPGLSTEVERVNGSGKRKRDACRLEDFCLGDIVWAKCGKTHPAWPAVVIDPFFRAPKSVINCCVPSALCVMFFGYSKKGNRRDYAWVKQGMIFPFWEFMDRFQEQIKLYKNKASDFHKAMEEAMLAEDGILDLHLEAEQNLVAADSYKDQGTLSCVGCGLLLPCKTIKKIKDSSSAPQHYCKPCAKLIKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDKISSKLFKDLENMDYYCPDCKGKFNCKLSTSHAQKSEKISMENSQELVLPENIAVVCNDLEGIYIPKLHLVMCNCGLCSSRKYTLTEWERHAGCKAKKWKHSVKVESTMQPLIKWITDHKTPRGIPPQLDQQQILSLLQEKYEPVYAKWTTERCAICRWVEDWEYNKIIICNRCQMAVHQECYGANNVQDFTSWVCRVCETPDVERECCLCPVKGGALKPTDVEMLWVHVTCAWFQPEVLFQNHEAMEPATGILKIPRNSFSKTCVICKQRHGSCISCCKCATHFHVTCASRMGYIMELHSTEKNGTTTTKMLTYCAIHRVPNPDSGLVVHTPMEVFSPTISLQNHPRCFRGSRLASTKNVELLEPSNSEIHEIDPLSAARIRVYERSSNKKNAAATIHLLGGPKLHSLSEITQLNGIKVAQALEFSSLKERLHHLRKTESQRVCLGKSGIHGWGLFARRDLQEGEMVVEYRGEQVRRSIADLREAKYRSEGKDCYLFKISDEVMIDATDKGNIARIINHSCMPNCFARIMCLSDEENRIVLIAKTNVSAGEELTYNYLFDVDEPDELKVPCLCKAPNCREFMN >Vigun01g193100.1.v1.2 pep primary_assembly:ASM411807v1:1:37075303:37083976:1 gene:Vigun01g193100.v1.2 transcript:Vigun01g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRSMKTEIPERKWFKSEKRGNLAIQKKRRTNVFFSAEGVSGSSEAPSNSNEMDSNGTIQNLLPQSEEGVRAIQPQSFRGRAKKLPRRFNDSVLYERLGSENWSNALQDVAAMSSERDSPCFPPCSAELRDSEYSVKTVKKTVSGGRSLFVKEKSDDVPGLSTEVERVNGSGKRKRDACRLEDFCLGDIVWAKCGKTHPAWPAVVIDPFFRAPKSVINCCVPSALCVMFFGYSKKGNRRDYAWVKQGMIFPFWEFMDRFQEQIKLYKNKASDFHKAMEEAMLAEDGILDLHLEAEQNLVAADSYKDQGTLSCVGCGLLLPCKTIKKIKDSSSAPQHYCKPCAKLIKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDKISSKLFKDLENMDYYCPDCKGKFNCKLSTSHAQKSEKISMENSQELVLPENIAVVCNDLEGIYIPKLHLVMCNCGLCSSRKYTLTEWERHAGCKAKKWKHSVKVESTMQPLIKWITDHKTPRGIPPQLDQQQILSLLQEKYEPVYAKWTTERCAICRWVEDWEYNKIIICNRCQMAVHQECYGANNVQDFTSWVCRVCETPDVERECCLCPVKGGALKPTDVEMLWVHVTCAWFQPEVLFQNHEAMEPATGILKIPRNSFSKTCVICKQRHGSCISCCKCATHFHVTCASRMGYIMELHSTEKNGTTTTKMLTYCAIHRVPNPDSGLVVHTPMEVFSPTISLQNHPRCFRGSRLASTKNVELLEPSNSEIHEIDPLSAARIRVYERSSNKKNAAATIHLLGGPKLHSLSEITQLNGIKVAQALEFSSLKERLHHLRKTESQRVCLGKSGIHGWGLFARRDLQEGEMVVEYRGEQVRRSIADLREAKYRSEGKDCYLFKISDEVMIDATDKGNIARIINHSCMPNCFARIMCLSDEENRIVLIAKTNVSAGEELTYNYLFDVDEPDELKVPCLCKAPNCREFMN >Vigun03g163300.3.v1.2 pep primary_assembly:ASM411807v1:3:18425196:18436456:1 gene:Vigun03g163300.v1.2 transcript:Vigun03g163300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKQQSVPVLPWMRHPVDVTRCEQLSLRRIPFLDRRLKLALENMEISELFSVQVALWQETIGPGNFERDLCINSPTGSGKTLAYALPIVQKLLPTSTVKCLRALVVVPTRDLALQVKQVFDAIALPLGLRVGLAVGQSSVADEISSLIYLPGEEEGVDPGFLSPFWFQSKVDILVATPGRLVDHVNLSRGFTLKHLHYLVVDEADRLLREDYQSWLPTVLKSTQSSNNDDGGGDGGDDVFQLGFPYSPWSRRTCSGVERGSKPYSRLAKVVLSATLTRDPGRLVQLNLHHPLFLNAGKMRYRLPENLESFKVICERKVKPLYLVALLKSLGEEKCIVFTRSVDSTHRLCKLLNCFGDLQIDIKEYSGHQHQRVRSKTLNEFRKGQFQVLVSSDAMTRGMDVEGDCKSWPDWALLYIDVK >Vigun03g163300.1.v1.2 pep primary_assembly:ASM411807v1:3:18425260:18436461:1 gene:Vigun03g163300.v1.2 transcript:Vigun03g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKQQSVPVLPWMRHPVDVTRCEQLSLRRIPFLDRRLKLALENMEISELFSVQVALWQETIGPGNFERDLCINSPTGSGKTLAYALPIVQKLLPTSTVKCLRALVVVPTRDLALQVKQVFDAIALPLGLRVGLAVGQSSVADEISSLIYLPGEEEGVDPGFLSPFWFQSKVDILVATPGRLVDHVNLSRGFTLKHLHYLVVDEADRLLREDYQSWLPTVLKSTQSSNNDDGGGDGGDDVFQLGFPYSPWSRRTCSGVERGSKPYSRLAKVVLSATLTRDPGRLVQLNLHHPLFLNAGKMRYRLPENLESFKVICERKVKPLYLVALLKSLGEEKCIVFTRSVDSTHRLCKLLNCFGDLQIDIKEYSGHQHQRVRSKTLNEFRKGQFQVLVSSDAMTRGMDVEGVRNVINYHMPKYIKTYVHRAGRTARAGQTGRCFTLMSNDEVGRFKKLMKKAEASACLEHTVPSHLIEELHSTYQSALSKLKEIILESRMKPKKPKA >Vigun03g163300.4.v1.2 pep primary_assembly:ASM411807v1:3:18425196:18436456:1 gene:Vigun03g163300.v1.2 transcript:Vigun03g163300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKQQSVPVLPWMRHPVDVTRCEQLSLRRIPFLDRRLKLALENMEISELFSVQVALWQETIGPGNFERDLCINSPTGSGKTLAYALPIVQKLLPTSTVKCLRALVVVPTRDLALQVKQVFDAIALPLGLRVGLAVGQSSVADEISSLIYLPGEEEGVDPGFLSPFWFQSKVDILVATPGRLVDHVNLSRGFTLKHLHYLVVDEADRLLREDYQSWLPTVLKSTQSSNNDDGGGDGGDDVFQLGFPYSPWSRRTCSGVERGSKPYSRLAKVVLSATLTRDPGRLVQLNLHHPLFLNAGKMRYRLPENLESFKVICERKVKPLYLVALLKSLGEEKCIVFTRSVDSTHRLCKLLNCFGDLQIDIKEYSGHQHQRVRSKTLNEFRKGQFQVLVSSDAMTRGMDVEGDCKSWPDWALLYIDVK >Vigun03g163300.2.v1.2 pep primary_assembly:ASM411807v1:3:18425260:18436456:1 gene:Vigun03g163300.v1.2 transcript:Vigun03g163300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKQQSVPVLPWMRHPVDVTRCEQLSLRRIPFLDRRLKLALENMEISELFSVQVALWQETIGPGNFERDLCINSPTGSGKTLAYALPIVQKLLPTSTVKCLRALVVVPTRDLALQVKQVFDAIALPLGLRVGLAVGQSSVADEISSLIYLPGEEEGVDPGFLSPFWFQSKVDILVATPGRLVDHVNLSRGFTLKHLHYLVVDEADRLLREDYQSWLPTVLKSTQSSNNDDGGGDGGDDVFQLGFPYSPWSRRTCSGVERGSKPYSRLAKVVLSATLTRDPGRLVQLNLHHPLFLNAGKMRYRLPENLESFKVICERKVKPLYLVALLKSLGEEKCIVFTRSVDSTHRLCKLLNCFGDLQIDIKEYSGHQHQRVRSKTLNEFRKGQFQVLVSSDAMTRGMDVEGVRNVINYHMPKYIKTYVHRAGRTARAGQTGRCFTLMSNDEVGRFKKLMKKAEASACLEHTVPSHLIEELHSTYQSALSKLKEIILESRMKPKKPKA >Vigun06g099800.1.v1.2 pep primary_assembly:ASM411807v1:6:23017739:23021967:-1 gene:Vigun06g099800.v1.2 transcript:Vigun06g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRLDRGDVWKSKARSLQLQLRDRFRVAVDRHWRRRHNHPFIPAADVYFSSTIQRWLTRFRDFRRESLPSSTSFYRKRVTRDFSSEEDSTLVRMMQAVAVPVIGNVCHVFMNGLNRVQVYGLEKLHSALLHRPQGKPLLTVSNHVASMDDPLVIASLLPPSVLLDARNLRWTLCASDRCFKNPVTSAFFRSVKVLPVSRGDGIYQEGMDLALSKLNNGSWVHIFPEGSRSRDGGKTMSSSKRGVGRLVLDGDRTPLVVPFVHTGMQEIMPIGANFPRIGKIVTVLIGDPINFDDILELDMEKGLDVPRGRLYDAIASRIGDRLHELKAQVDTLAEQEMQLQDHSSRSTERTSEILQQVDWELFGADSFMSEDDSKQRQETVSLPVVGVSRPQQSNDGDQSWRAGFSYRMRGYTDQMELVSFAARGIFMNYETKNNAGCTSREVGPLKAWKQFLEANLLRQWNYVHH >Vigun07g008800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:756727:757149:-1 gene:Vigun07g008800.v1.2 transcript:Vigun07g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSQAYSMEKIQREEHEKLKETKVRYRGVRRRPWGKYAAEIRDPSKQGSRLWLGTFESAEEAARAYDHAAFTMRGHVAILNFPNEYRSHVRVYPQNLPSSSSSSSVAHANGEQQRQVFEFEYLDDKVLEELLEFEEKK >Vigun03g335800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53404518:53404754:1 gene:Vigun03g335800.v1.2 transcript:Vigun03g335800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVVKREYAASSAVGNIRYGECQKNHAANTGGYAVDGCREFMASAGEGTSAALTCAACGCHRNFHKKEVLHGVN >Vigun11g089800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27009217:27009803:-1 gene:Vigun11g089800.v1.2 transcript:Vigun11g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKAISAANQASSKAVDAQKGYLAVYVGEKMKRFMIPVSYLNKPSFQDLLSKAEEEFGYDHPMGGLTIPCSEEIFYHITSCLDGQ >VigunL044000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:457389:457493:1 gene:VigunL044000.v1.2 transcript:VigunL044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaI MINFPSIFVPLVGLVFPAIAMASLFLYVQKNKIF >Vigun03g096000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8106682:8109610:1 gene:Vigun03g096000.v1.2 transcript:Vigun03g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVKPKSALNNRSKKLAKTFQKVISLRSATKLASNNGICMLNSHLKVKEDLFSDHQSKTHQGNGRNRAVMEALIARLFAGVTTIKAAYAELQMAQHPYNNKSIQAADQAVVDELKAISELKRRFLKKELDLSPQVTIILAEIQEQQSLMKTYEITIKRLEAEVDFKDSNISSLKKHLDDCVSFNKTLEKKLNASGSLSLFDNLTHSALIPTHFVHFLHHTLRSVRSFSKLMMAEMESAHWDLEAAVKFIHPNAVFAKPTHQSFAFESFVCITMFEGFNNPNFSVQEDPPQKHSLQHGQSLYFDKFKKLKSLNPRQYLTHNPNSSFSKFLKSKYLQVVHAKMECSLFGNLNQRKVVNSGGYPDSAFFIAFAEMAKRVWTLHCLALSFHDDVTVFQVKKNTRFSEVYMESVTEEAVSASGESSDSNSGELRVGFTVVPGFKIGKTVIQSQVYLSLVGSPASS >Vigun04g115600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28937304:28938090:-1 gene:Vigun04g115600.v1.2 transcript:Vigun04g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEFSFPRITDTCSHTIDSPPLWNLSPAASPNPYQGNRRGENDCFGAAKLVAEVHRKSFSCVENRRKKNGFEEEEEEEEEKMDLLWEDFNEELYSTTTTGSATSSSREVVEFRNAHELTLAKTTHNSLLHPTKNKPAMVVIVKIFKKLFSIHNSQGRSRKAVRQGN >Vigun10g011801.1.v1.2 pep primary_assembly:ASM411807v1:10:1232269:1234014:1 gene:Vigun10g011801.v1.2 transcript:Vigun10g011801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSTPKPPPSHHGDILTILSIDGGGIKGILPATVLDYLDKALKAKDPNADLAHYFDVIGGTSTGGLITAMLATASPDDPTRAAFTPAQIVDFYKENGPHVFNSSRPGNDPQFDGEYLHNLTREVLKDTRLSQTLTNIVIPTFDIKRQKPVIFSNYKVKNAPYLNTLLSDICISTSAAPTQLPPYYFVNDGVEFNMVDGGVAAANPTQASVSEALQHNEYLNILVVSLGTGTTIDSAGFDARSVAFWPVQIWTAVALDMLSHASSCLAEFFLASLISGFQTLGFQTPGSTYLRIEEYNLNPDFSNGFDVSKENMDGLEETGKQLLKENDVKMNLDTFDLEQLGETNAQALDR >Vigun03g039100.2.v1.2 pep primary_assembly:ASM411807v1:3:2984229:2986586:1 gene:Vigun03g039100.v1.2 transcript:Vigun03g039100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKPNSQPIGMNSWGLQQEAILLINFRKEILAFIPKEEAKGVTFDTSDDCSDVVVVGESMAVVAHNEDANVALVGHTYLIKGILPNGLFFVGYTYAGELPSCAFGFNSHGLAFTLDSVPPAEDEIVAGGIGRNFISRDLLEATSMDDALRRIQSSEVSVGHSYNLIETKSRRIFNVETASRNRISVYEVGEKPFFHANMYLHLPINQVHDENSMSRQKRASVLSKTSKGDFLSLLGDMEDKNYPIYMTGPLLHTLCTAIIDLDEQTLSIIEGNPKKSAVSHVFSIAPQKSP >Vigun03g039100.1.v1.2 pep primary_assembly:ASM411807v1:3:2984229:2986586:1 gene:Vigun03g039100.v1.2 transcript:Vigun03g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNIAVTAKMESCSVDRERLEMFEVGPCKDAYEMGLLIGRRFSKLIRSRVDIDLILQNHLRPFALAHTPRSESLLKALFHANKTKFPTHWDELLGTAAGSGVPLLDILLINFRKEILAFIPKEEAKGVTFDTSDDCSDVVVVGESMAVVAHNEDANVALVGHTYLIKGILPNGLFFVGYTYAGELPSCAFGFNSHGLAFTLDSVPPAEDEIVAGGIGRNFISRDLLEATSMDDALRRIQSSEVSVGHSYNLIETKSRRIFNVETASRNRISVYEVGEKPFFHANMYLHLPINQVHDENSMSRQKRASVLSKTSKGDFLSLLGDMEDKNYPIYMTGPLLHTLCTAIIDLDEQTLSIIEGNPKKSAVSHVFSIAPQKSP >Vigun04g049100.1.v1.2 pep primary_assembly:ASM411807v1:4:4278891:4285840:1 gene:Vigun04g049100.v1.2 transcript:Vigun04g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEAMHAVLKEAVDLENVPLEEVFQTLRCDSNGLTTKSAEERLAIFGHNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWIEEDASVLVPGDIVSVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDVDTVVLMAARAARLENQDAIDAAVVGMLGDPKEARAGIQEVHFLPFNPTDKRTAMTYVDSEGKMHRVSKGAPEQILNLSHNKSEIERRVHSVIDKFAERGLRSLAVAYQEVPDGVKESQGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIATLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPYPDSWKLAEIFTTGIILGGYLAMMTVIFFWAAYKTDFFPQTFGVASLQKKDRDDYRKLASAIYLQVSTISQALIFVTRARSWSYVERPGLLLVAAFVVAQLIATLIAVYANWSFAAIEGIGWGWAGVVWLYNLVFYMPLDFIKFIIRYALSGRAWDLVIEQRIAFTRKKNFGREERELKWAHAQRTLHGLHPPETKMFGERTTYTELNHMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQSYTV >Vigun05g226800.1.v1.2 pep primary_assembly:ASM411807v1:5:41931953:41941118:-1 gene:Vigun05g226800.v1.2 transcript:Vigun05g226800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSLLRASRSTLASSTSTSVYRAFSRSAPRRLPGSSTAARSFGSAVPRWSHGVDWRSPLGLRHQIRAVAPLIERFHRRIATSALDNPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENSSVKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFRNMLVVPPGSGIVHQVNLEYLGRVVFNSEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKLFIDYNEPQPDRVYSSYLELNLDEVEPCISGPKRPHDRVPLKEMKADWHACLDNKVGFKGFAIPKDVQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLKVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGFGCTTCIGNSGELDPSVASAISENDIVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNIYLRDIWPSTQEIADAVQSSVLPDMFRSTYEAITKGNTMWNQLQVPAETLYSWDPKSTYIHEPPYFKGMTMDPPGAHGVKDAYCLLNFGDSITTDHISPAGNINKDSPAAKYLLERGVEQKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAQRYKAEGKDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSKISEIRPGQDVTVTTDTGKSFTCTARFDTEVELAYFNNGGILPYVIRNLIKQ >Vigun06g161600.1.v1.2 pep primary_assembly:ASM411807v1:6:28390538:28393775:1 gene:Vigun06g161600.v1.2 transcript:Vigun06g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKDSTSYPPIKAEQYLHSPVHYAVAIRDHAKLSRIISSLPRVPDPARVITESDSLAHERLAEKISAVLDRRDVPFRETPLHLAVRLNDIVAAKALASAGADISLHNGAGWNPLQEAICRRASDVAHLLARLHHRAAWAKWRRRLPRLVAALRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTTLAGFDGLKIHRADQSFLFLGDGDGKGSIPAGSLLVLNRDDRKILDAFENAGAPMSDSDAAGFCSQSSVYRPGMDVTKAELVGRTNWRRQEKMENVGEWKARVYEVHNVFFSFRSRKVAVGGSDVAGSEQVLPLELDEDEDGFLVAENPSFGIPAASNSNDDKRRHSSFVREEREWVPVGRKSVDLPSISVAPPRKSSAMAPPRKSSAMAPPMLEPPMKEKEYLRSLRPAVWLTEQFPLKTEELLPLLDILANKVKAVRRLRELLTTKFPAGSFPVKVAIPVVPTVRVVVTFTKFVDLQPLEQFYTPLSSPSHLLSADDDDLDSDHNRQKLLRQSSSSSGGSTWLRRSTSSSQSWSKHQQRCSSGALDSDPFAIPAGYTWTNSGDDSSRKMNKSNSFRKSK >Vigun05g113100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11950938:11951609:-1 gene:Vigun05g113100.v1.2 transcript:Vigun05g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTLRRFFLPCFFSSKQQPTVPFADHHMQTKNRPSSPASSTSSSTATSAAPPRPSKSMVIGTIFGNRRGHVWFCVQHDRLSAKPSLLLELPLSTDHLVREMRNGLVRIALECSDAATCPLRSVPLWTAFCNGKKTGFAARRRAGDRVRSILRTMQCVSVGAGVIPSGFSSDTSEELMYMRANFEHVVGNADSESFHLINPDECPGQELSVFLLRSRLAAIR >Vigun06g035900.1.v1.2 pep primary_assembly:ASM411807v1:6:15001109:15009091:-1 gene:Vigun06g035900.v1.2 transcript:Vigun06g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPIYGCPKRVVVCLIEKEIEFEAVHVDLFKGENKEAQFLKLQPFGSLPVIQDGDYTLYESRAIIRYYAEKYKDQGTDLLGKTIEEKGLVEQWLEVEAHNFHPPIYDLVINLLFAPLMGAPSDQKVIEESDKKLEKVLDVYEERLSKSKYLAGEFFSLADLSHLPFGHYLVNQTGRGNLVRERKHVSAWWDDISNRPSWKKVLQLYKYAV >Vigun03g240100.2.v1.2 pep primary_assembly:ASM411807v1:3:40024041:40028599:-1 gene:Vigun03g240100.v1.2 transcript:Vigun03g240100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCGLHCPAAVATVLGRLFNRQFHRTRTQLCPRIRLPLQVHAYYDFQPLTAYLAVNYMDRFMDSRQLPQTNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQIEGAKYIFEPRTIRRMELLVLGVLDWRLRSVTPLCFLAFFACKIDSTGAFTGFLISRATEIIVANIQEAGFLAYRPSCIAAAAILSAAKEIPNWAALKPEHAESWCEGLRKEKIIGCYQLMQELVVNSNRRKLPLVLPQLRVTTRTRMRSSVSSFSSSSSFSLSCKRRKLNNCLWVDDKGNSE >Vigun03g240100.4.v1.2 pep primary_assembly:ASM411807v1:3:40024041:40028598:-1 gene:Vigun03g240100.v1.2 transcript:Vigun03g240100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEPPAALLMSVSCISDYDLLCADENSGILSGESPECSSDVDSTAPPPSPPSSEDYSIASFIEHERNFVPGFDYLSRYQSRSLDADAREESVAWILKVHAYYDFQPLTAYLAVNYMDRFMDSRQLPQTNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQIEGAKYIFEPRTIRRMELLVLGVLDWRLRSVTPLCFLAFFACKIDSTGAFTGFLISRATEIIVANIQEAGFLAYRPSCIAAAAILSAAKEIPNWAALKPEHAESWCEGLRKEKIIGCYQLMQELVVNSNRRKLPLVLPQLRVTTRTRMRSSVSSFSSSSSFSLSCKRRKLNNCLWVDDKGNSE >Vigun03g240100.3.v1.2 pep primary_assembly:ASM411807v1:3:40021836:40028598:-1 gene:Vigun03g240100.v1.2 transcript:Vigun03g240100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEPPAALLMSVSCISDYDLLCADENSGILSGESPECSSDVDSTAPPPSPPSSEDYSIASFIEHERNFVPGFDYLSRYQSRSLDADAREESVAWILKVHAYYDFQPLTAYLAVNYMDRFMDSRQLPQTNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQIEGAKYIFEPRTIRRMELLVLGVLDWRLRSVTPLCFLAFFACKIDSTGAFTGFLISRATEIIVANIQEAGFLAYRPSCIAAAAILSAAKEIPNWAALKPEHAESWCEGLRKEKIIGCYQLMQELVVNSNRRKLPLVLPQLRVTTRTRMRSSVSSFSSSSSFSLSCKRRKLNNCLWVDDKGNSE >Vigun06g071700.3.v1.2 pep primary_assembly:ASM411807v1:6:20223070:20236593:-1 gene:Vigun06g071700.v1.2 transcript:Vigun06g071700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHWSSRQTFERYLYDYLVKRNMHHTAEVFRNETNLHLGPAASSTDAVDVPEGFLLEWWSLNNDFEYFRQMHLQEKRGLFRGMQGCNAFPEVGSSMNVRPKYQFPNNFTPVKALNIGSNLMPSRVTGEWPVFSSSSAHHKGSGKLPQCLFPFSHQEAFGQMQRLSSSHQDKVMTPVEKTTEVSNVNSSEANSGFIEETDPIIENLLNSFWLFEPDEPSLFDKSTVGQSSTNAESLVERGIGNTSMNTIAGSPLEEDDNTSDTSDSSSSFQFVLTDEDVSTDPQLESNAET >Vigun06g071700.5.v1.2 pep primary_assembly:ASM411807v1:6:20230799:20234777:-1 gene:Vigun06g071700.v1.2 transcript:Vigun06g071700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKRGLFRGMQGCNAFPEVGSSMNVRPKYQFPNNFTPVKALNIGSNLMPSRVTGEWPVFSSSSAHHKGSGKLPQCLFPFSHQEAFGQMQRLSSSHQDKVMTPVEKTTEVSNVNSSEANSGFIEETDPIIENLLNSFWLFEPDEPSLFDKSTVGQSSTNAESLVERGIGNTSMNTIAGSPLEEDDNTSDTSDSSSSFQFVLTDEDVSTDPQLESNAET >Vigun06g071700.1.v1.2 pep primary_assembly:ASM411807v1:6:20223098:20236593:-1 gene:Vigun06g071700.v1.2 transcript:Vigun06g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHWSSRQTFERYLYDYLVKRNMHHTAEVFRNETNLHLGPAASSTDAVDVPEGFLLEWWSLNNDFEYFRQMHEKRGLFRGMQGCNAFPEVGSSMNVRPKYQFPNNFTPVKALNIGSNLMPSRVTGEWPVFSSSSAHHKGSGKLPQCLFPFSHQEAFGQMQRLSSSHQDKVMTPVEKTTEVSNVNSSEANSGFIEETDPIIENLLNSFWLFEPDEPSLFDKSTVGQSSTNAESLVERGIGNTSMNTIAGSPLEEDDNTSDTSDSSSSFQFVLTDEDVSTDPQLESNAET >Vigun06g071700.4.v1.2 pep primary_assembly:ASM411807v1:6:20223070:20236593:-1 gene:Vigun06g071700.v1.2 transcript:Vigun06g071700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHWSSRQTFERYLYDYLVKRNMHHTAEVFRNETNLHLGPAASSTDAVDVPEGFLLEWWSLNNDFEYFRQMHLQEKRGLFRGMQGCNAFPEVGSSMNVRPKYQFPNNFTPVKALNIGSNLMPSRVTGEWPVFSSSSAHHKGSGKLPQCLFPFSHQEAFGQMQRLSSSHQDKVMTPVEKTTEVSNVNSSEANSGFIEETDPIIENLLNSFWLFEPDEPSLFDKSTVGQSSTNAESLVERGIGNTSMNTIAGSPLEEDDNTSDTSDSSSSFQFVLTDEDVSTDPQLESNAET >Vigun05g302000.1.v1.2 pep primary_assembly:ASM411807v1:5:48497029:48503708:-1 gene:Vigun05g302000.v1.2 transcript:Vigun05g302000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLSHSSLWLPIPKSNSNLNSNPNSRVLQYQHAYRAQLRVRCSDVGEEKEKEEKKKKKKRLSEQSSWEAKDAEGRDYLYRLGKEADNMNIAVGQRAGVIDSLFAGNFLGKDSDIVFDYRQKVTRSFEYLHGDYYIAPLFMDKVVCHIVKNYLAHILNTKVPLILGIWGGKGQGKSFQTELIFQAMGVEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSVGQDWRDSDITNRIPIIVTGNDFSTIYAPLIRDGRMDKFYWQPNLEDILNIVHRMYEKDGISRVEVERVVNTFPNQALDFYGALRSRTYDTSILKWIDDIGGVENFGSKLLKRRKDPSLPVFIPPEQTVDALLEAGYSLIKEQQLIMETKLSKEYMKNIDD >Vigun07g267350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38285363:38286056:1 gene:Vigun07g267350.v1.2 transcript:Vigun07g267350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVYMFIMVFLVWVGNGNGHKGDCPLWFDCGNHGKFGYPFTTLQRQDCGIWPIHGCDDQNPKSGQGVTLKIGSRWIRVTNFEMQWAATTVYFIDDHLNNLLQSDSCETFNHNISLPPTSPLGYFTINNNITLFKCNRTRHVKTPRTFLKNTSCDYDIFIGPPHPDDVSHNSLASSWFSFPSMAMQSLQIPLHS >Vigun06g182900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30251916:30252534:-1 gene:Vigun06g182900.v1.2 transcript:Vigun06g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFTESEVVFSDHSLRQGVKVALPPPRRMPTSKPVNIPDRRVRRWDSGEEEEEWKEMRPPHEIVRRRVAGKMAFSVCTGNGRTLKGRDLSQVRNSILRLTGFLEA >Vigun05g258100.2.v1.2 pep primary_assembly:ASM411807v1:5:45193601:45198024:1 gene:Vigun05g258100.v1.2 transcript:Vigun05g258100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLISSDQTAIQIQDNNPGCMWGMLKILDYHRWRVKKVFPHKRRRHATYKKKTILYNRLEDQQDGVTEAEPLLVKQHSEKLHAASKSSPKYNQKETRTGKEFNKENFKGRDTMDYSRKNQASSEYELRVEKDGKTTEGYLNHRPTETNKHNGDISYKFEKHSDVFEFLRVEKDLLLKFLRDMDFSGKKLHQPSQTNARLTKCGSFPLASTSQMRNISSRSLKHKQNEIYPKGEKLFAVTQESNMSRSSFVKDASYEKPRTLVSDIGVDSAVKQKAIISSRSSQESNHRGWNQLVLHQFKAIKQKIKHALVEFRKSGNQTPAEAIQRRASPEYSIINNEEEISQSLEDGVVQEYKRSKSSNETKASDYDANKHDARLMRRTSSLNESLDRYTQLFEKSFSKEAKWQSSKSKSLKLTHEDRIHKSAHVPKFSRSNLSMPNLETLGFIVQEALFDANDVGNTEETYDRVHRKSVSLPLKMDKSLDNFKEVEIVETDKALEKIDEVTCDQKEDMDEAEVGDEKEEMSNMTAYLSREVMATLETSCEDNITSHEEGTDLYTQVSTLDELEADLSDKGSVWPQSSSNRNASGITAEDTDYPSNFKYVKNVLEYSGFLGNEHTQMRYTIDQPLKPSLFKDLEASLRHEIEPSEEDTTNPYDHQLIFNLVNEVLLEIYGRSPTYFPRPFSFNPRLHAMPKGHYLLHEVWNSVNSYTTLRPELDQTLDDVVGRDLAKGRGWMILQEEEEYVALELEEMIMDDLFDEFIFS >Vigun05g258100.1.v1.2 pep primary_assembly:ASM411807v1:5:45193660:45197980:1 gene:Vigun05g258100.v1.2 transcript:Vigun05g258100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLISSDQTAIQIQDNNPGCMWGMLKILDYHRWRVKKVFPHKRRRHATYKKKTILYNRLEDQQDGVTEAEPLLVKQHSEKLHAASKSSPKYNQKETRTGKEFNKENFKGRDTMDYSRKNQASSEYELRVEKDGKTTEGYLNHRPTETNKHNGDISYKFEKHSDVFEFLRVEKDLLLKFLRDMDFSGKKLHQPSQTNARLTKCGSFPLASTSQMRNISSRSLKHKQNEIYPKGEKLFAVTQESNMSRSSFVKDASYEKPRTLVSDIGVDSAVKQKAIISSRSSQESNHRGWNQLVLHQFKAIKQKIKHALVEFRKSGNQTPAEAIQRRASPEYSIINNEEEISQSLEDGVVQEYKRSKSSNETKASDYDANKHDARLMRRTSSLNESLDRYTQLFEKSFSKEAKWQSSKSKSLKLTHEDRIHKSAHVPKFSRSNLSMPNLETLGFIVQEALFDANDVGNTEETYDRVHRKSVSLPLKMDKSLDNFKEVEIVETDKALEKIDEVTCDQKEDMDEAEVGDEKEEMSNMTAYLSREVMATLETSCEDNITSHEEGTDLYTQVSTLDELEADLSDKGSVWPQSSSNRNASGITAEDTDYPSNFKYVKNVLEYSGFLGNEHTQMRYTIDQPLKPSLFKDLEASLRHEIEPSEEDTTNPYDHQLIFNLVNEVLLEIYGRSPTYFPRPFSFNPRLHAMPKGHYLLHEVWNSVNSYTTLRPELDQTLDDVVGRDLAKGRGWMILQEEEEYSMVLFRKFSYLIHKFHRVERSLDCIDCRR >Vigun05g258100.3.v1.2 pep primary_assembly:ASM411807v1:5:45194130:45198025:1 gene:Vigun05g258100.v1.2 transcript:Vigun05g258100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLISSDQTAIQIQDNNPGCMWGMLKILDYHRWRVKKVFPHKRRRHATYKKKTILYNRLEDQQDGVTEAEPLLVKQHSEKLHAASKSSPKYNQKETRTGKEFNKENFKGRDTMDYSRKNQASSEYELRVEKDGKTTEGYLNHRPTETNKHNGDISYKFEKHSDVFEFLRVEKDLLLKFLRDMDFSGKKLHQPSQTNARLTKCGSFPLASTSQMRNISSRSLKHKQNEIYPKGEKLFAVTQESNMSRSSFVKDASYEKPRTLVSDIGVDSAVKQKAIISSRSSQESNHRGWNQLVLHQFKAIKQKIKHALVEFRKSGNQTPAEAIQRRASPEYSIINNEEEISQSLEDGVVQEYKRSKSSNETKASDYDANKHDARLMRRTSSLNESLDRYTQLFEKSFSKEAKWQSSKSKSLKLTHEDRIHKSAHVPKFSRSNLSMPNLETLGFIVQEALFDANDVGNTEETYDRVHRKSVSLPLKMDKSLDNFKEVEIVETDKALEKIDEVTCDQKEDMDEAEVGDEKEEMSNMTAYLSREVMATLETSCEDNITSHEEGTDLYTQVSTLDELEADLSDKGSVWPQSSSNRNASGITAEDTDYPSNFKYVKNVLEYSGFLGNEHTQMRYTIDQPLKPSLFKDLEASLRHEIEPSEEDTTNPYDHQLIFNLVNEVLLEIYGRSPTYFPRPFSFNPRLHAMPKGHYLLHEVWNSVNSYTTLRPELDQTLDDVVGRDLAKGRGWMILQEEEEYVALELEEMIMDDLFDEFIFS >Vigun07g210400.1.v1.2 pep primary_assembly:ASM411807v1:7:33198270:33200571:-1 gene:Vigun07g210400.v1.2 transcript:Vigun07g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSSLDTSWWVFTLPAIARTQNLTHNPLLLIILTAILSIALLAWAFPRGGGGPAWRNGKNQMGRVPIPGPKGVPLFGLLFSLNHALPHRTLASIASALSATKLMAFSLGSSPVVVTSNPHVAREILNSPHFADRPVKQSAKSLMFNRAIGFAPNGAYWRLLRRVASTHLFSPRRILAHEPGRWLDCAAMLRAIAQEQSKNGFVCLRKHLQDAALNNVMGTVFGRRYHDQDECYNRREIEEVRDMVREGFDLLGAFNWSDYVPWISFFYDPLRVRERCSVLAPRVKKFVKRILEEHRIMAPFKELSDDSDFVDVLLSLEGEDKLEDDDIIAVLWEMIFRGTDTTALLTEWVMAELILNEEVQTRLRHELRRVVGNKTVVTDADVIKLPYLEAIVKETLRLHPIGPLLSWARLSTSDVHLSNGMVVPANTTAMVNMWAITHDPSVWHEPLVFKPERFVKSEGGVEVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVSLWVANLVHRFQWFEDMANPVDLAEVLKLSCEMKNPLRALASPRFTN >Vigun10g120100.3.v1.2 pep primary_assembly:ASM411807v1:10:32668452:32678614:1 gene:Vigun10g120100.v1.2 transcript:Vigun10g120100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSQLKILTLKWLSELTHIWKNNSQEVLSFPNLQEIVVRGCEKLKSLLPASLAKALEKFEKLEIESCYELQEIVAKEVDTSANVAEKFVFPSLKKLDLCDLPHLTYFHKFTLQSPTLNELSVLDCHQLELFQSAHHTGEIEDTSAPTNPQPLISNLKAISNLKKLRLDWKHISASSLRFRSEKFAEGLNCLEKITLFFDAEENEKAMLPIEMLQMAPNLIEMSINCCSDTGIFIAQHPEISGKGTLGQLKILTLSEVSVLQSIESDHPSWLNIICEKLHELNVSECPHLRTLVHSTSAVSFSDLKEVFISKCLDLQYLLTSSAAKRLMNLEKITVKECKSLKEIVAKEGDETSEGIMKFDLLNTIALESLPSLICFYSGSDTLLLPSLINVHTRECANMKYFSRGAIGAKFFPEIPMSLYPHEDFLLLEDLNATVTQANEDFLSLQDLNATEKGVSQAQKAKDGGVVGIDLGTTYSCVAVWIKGRAEIIYNEQGNNITPSFVAFTDHNRLIGDSAKNQAIANPENTIFDTKRLIGRKYTDPVIQEDKMFWPFKVVAGNNDKPVIVVKYKGQEKHFCAEEVSSMILVKMREIAEAYLETTVKHAVVTVPAYFNDAQRKATIDAGAIAGFNILRIINEPTAAGIAYGLDMRTDHVGERNILIFDLGGGTFDVSLLTIKGKVFQVKATAGNTHLGGEDFDNRMVNYFVNEFKRKNKVDIRGNPRALMRLRSACERAKRTLSYAVTTLIEIDSLFLGIDLCSSITRAKFEELNRDLFTGCIEIVERCLSYANMDKTNVHDIVLVGGSSRIPKIQQLLQDFFKGKNLCKSINPDFAVASGAAIQAAALFREDTKNVPELVLLDVTPQSIGIAVEGDHMSVVIPRNTTIPVVKKQTYKTSKDNQTAVRIDVYAGERTKASDNNLLGSYVLSGFPPTSRGYPYDVCFAIDENGILSVSAEERRTGNKNQITITNDQETGLSTKEIKRMNEDAEKYQTKYKGYRRKARVKLALEKYVYKVREALKRATYSKLSSEEKEKTISAITKATNMIRDEHEVEVLEDCLKKLESLLEDIQGKIDPYLLV >Vigun10g120100.2.v1.2 pep primary_assembly:ASM411807v1:10:32668455:32678614:1 gene:Vigun10g120100.v1.2 transcript:Vigun10g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSQLKILTLKWLSELTHIWKNNSQEVLSFPNLQEIVVRGCEKLKSLLPASLAKALEKFEKLEIESCYELQEIVAKEVDTSANVAEKFVFPSLKKLDLCDLPHLTYFHKFTLQSPTLNELSVLDCHQLELFQSAHHTGEIEDTSAPTNPQPLISNLKAISNLKKLRLDWKHISASSLRFRSEKFAEGLNCLEKITLFFDAEENEKAMLPIEMLQMAPNLIEMSINCCSDTGIFIAQHPEISGKGTLGQLKILTLSEVSVLQSIESDHPSWLNIICEKLHELNVSECPHLRTLVHSTSAVSFSDLKEVFISKCLDLQYLLTSSAAKRLMNLEKITVKECKSLKEIVAKEGDETSEGIMKFDLLNTIALESLPSLICFYSGSDTLLLPSLINVHTRECANMKYFSRGAIGAKFFPEIPMSLYPHEDFLLLEDLNATVTQANEDFLSLQDLNATEKGVSQAQKKAKDGGVVGIDLGTTYSCVAVWIKGRAEIIYNEQGNNITPSFVAFTDHNRLIGDSAKNQAIANPENTIFDTKRLIGRKYTDPVIQEDKMFWPFKVVAGNNDKPVIVVKYKGQEKHFCAEEVSSMILVKMREIAEAYLETTVKHAVVTVPAYFNDAQRKATIDAGAIAGFNILRIINEPTAAGIAYGLDMRTDHVGERNILIFDLGGGTFDVSLLTIKGKVFQVKATAGNTHLGGEDFDNRMVNYFVNEFKRKNKVDIRGNPRALMRLRSACERAKRTLSYAVTTLIEIDSLFLGIDLCSSITRAKFEELNRDLFTGCIEIVERCLSYANMDKTNVHDIVLVGGSSRIPKIQQLLQDFFKGKNLCKSINPDFAVASGAAIQAAALFREDTKNVPELVLLDVTPQSIGIAVEGDHMSVVIPRNTTIPVVKKQTYKTSKDNQTAVRIDVYAGERTKASDNNLLGSYVLSGFPPTSRGYPYDVCFAIDENGILSVSAEERRTGNKNQITITNDQETGLSTKEIKRMNEDAEKYQTKYKGYRRKARVKLALEKYVYKVREALKRATYSKLSSEEKEKTISAITKATNMIRDEHEVEVLEDCLKKLESLLEDIQGKIDPYLLV >Vigun10g120100.1.v1.2 pep primary_assembly:ASM411807v1:10:32668452:32678614:1 gene:Vigun10g120100.v1.2 transcript:Vigun10g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSQLKILTLKWLSELTHIWKNNSQEVLSFPNLQEIVVRGCEKLKSLLPASLAKALEKFEKLEIESCYELQEIVAKEVDTSANVAEKFVFPSLKKLDLCDLPHLTYFHKFTLQSPTLNELSVLDCHQLELFQSAHHTGEIEDTSAPTNPQPLISNLKAISNLKKLRLDWKHISASSLRFRSEKFAEGLNCLEKITLFFDAEENEKAMLPIEMLQMAPNLIEMSINCCSDTGIFIAQHPEISGKGTLGQLKILTLSEVSVLQSIESDHPSWLNIICEKLHELNVSECPHLRTLVHSTSAVSFSDLKEVFISKCLDLQYLLTSSAAKRLMNLEKITVKECKSLKEIVAKEGDETSEGIMKFDLLNTIALESLPSLICFYSGSDTLLLPSLINVHTRECANMKYFSRGAIGAKFFPEIPMSLYPHEDFLLLEDLNATVTQANEDFLSLQDLNATEKGVSQAQKKAKDGGVVGIDLGTTYSCVAVWIKGRAEIIYNEQGNNITPSFVAFTDHNRLIGDSAKNQAIANPENTIFDTKRLIGRKYTDPVIQEDKMFWPFKVVAGNNDKPVIVVKYKGQEKHFCAEEVSSMILVKMREIAEAYLETTVKHAVVTVPAYFNDAQRKATIDAGAIAGFNILRIINEPTAAGIAYGLDMRTDHVGERNILIFDLGGGTFDVSLLTIKGKVFQVKATAGNTHLGGEDFDNRMVNYFVNEFKRKNKVDIRGNPRALMRLRSACERAKRTLSYAVTTLIEIDSLFLGIDLCSSITRAKFEELNRDLFTGCIEIVERCLSYANMDKTNVHDIVLVGGSSRIPKIQQLLQDFFKGKNLCKSINPDFAVASGAAIQAAALFREDTKNVPELVLLDVTPQSIGIAVEGDHMSVVIPRNTTIPVVKKQTYKTSKDNQTAVRIDVYAGERTKASDNNLLGSYVLSGFPPTSRGYPYDVCFAIDENGILSVSAEERRTGNKNQITITNDQETGLSTKEIKRMNEDAEKYQTKYKGYRRKARVKLALEKYVYKVREALKRATYSKLSSEEKEKTISAITKATNMIRDEHEVEVLEDCLKKLESLLEDIQGKIDPYLLV >Vigun02g129500.1.v1.2 pep primary_assembly:ASM411807v1:2:28101418:28103746:-1 gene:Vigun02g129500.v1.2 transcript:Vigun02g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKILVIGGTGYIGKFIIKASTEAGHPTFVLVREKTVSDPQKSILIETFKTSGATLLYGDLNDHESLVKALKEVDVVISTVGGQQIDDQVKLIAAIKEAGNIKRFLPSEFGIDVDRHNTVEPVISFFDKKVKIRRTIEAEEIPYTYVSSNAFAGYFLPTLVQQDVTAPPRDKVTILGDGNVAGVYVSEEDVGTYTIKAVEDPRTLNKILYLRPPANVLTYNQLLRCGRRRLRVRLRKSTSQKVKFLSTSRSLLSLLIST >VigunL005000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:63333:64850:-1 gene:VigunL005000.v1.2 transcript:VigunL005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun11g074000.1.v1.2 pep primary_assembly:ASM411807v1:11:21310940:21315128:-1 gene:Vigun11g074000.v1.2 transcript:Vigun11g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSSSSLPVFPRDAKPNSIFPRRTATFHPSIWGDYFLSYDSNFHEDDSDIKQVPLLKDYVRKMIVSPIDDNFLSKLNFINSVQRLGVSYHFEHEIDEALHQIYEISTKENNIIGYDNDLHHLALLFRLLRQQGYPILSVVFCKFMDEAGKFKKSIVNDVQGMLSLYEASQLRFHGEDILEEAYSFTLSELTKSLTTKLSPFLSGLVDHSIGQALRKGMPRLEARYYISFYQQDPSHNQCLLTFAKLDFNMLQKLHQKEVSNVTKWWVKDLNVSTNFPFVRDRIVEVCFWIVGVYYEPQYCLARRILKKVVAMISIIDDVYDAYGTIDELEIFTNAIERWDISSLVNLPEYMKLCYKALLNIFEETEQELRKQGKEYFVKYSKNEMKRLAQAYLTESRWFHSNHIPTVEEYMEVAIVTSTYAMLTTVSFLGMEETTEEVLIWTTSHPKIIEAASLIGRLMDDIAGSEFEHERGHVVSSVDCYMKQYNSSRKNAIKEVRKLVERAWKDINKECLSPTQVPMKFLMRVVNLARMIDVVYKEQDNYTNSGGIMKDYIKALLVNKVSMQIS >Vigun10g191600.1.v1.2 pep primary_assembly:ASM411807v1:10:40578233:40581705:1 gene:Vigun10g191600.v1.2 transcript:Vigun10g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSISRALQQMSNATIIDEKVLNDCLNDITRALLQSDVQFKLVREMQANIKSIVNLDDLAAGHNKRRIIQQAVFNELCKILDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKSENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRMMRIARGSGRPVREVMEMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSAKDMMGMFGGGDK >Vigun07g278900.2.v1.2 pep primary_assembly:ASM411807v1:7:39392151:39396735:1 gene:Vigun07g278900.v1.2 transcript:Vigun07g278900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICRERKRLLKLAVEKRYALAVAHCKYFHSLNAVAAAIKLFVARHSSPSSPFLITFPPPCPSSHPPSPPSENVITNPMFLQQTPSETKHEAIACDSCISSTTSESSEEESEEKREGENELKGEEPQHEQPCGYYCMPVTMSMSMSMSMPVPMSMPPSMPSPQRDFGWDFFYPFDMRGDMCGYHRNSDDDLRAVREEEGIPELEEEVEREEVEHKVVSVEENNNNNKSNSEGVAEKTMSGVETVKVVDVATENQKEQKGLAVLDTPAEGRELLEALKDIEDHFLRAYDSGKDVTRMLEANRIPLHSGLDEIKESSTKLIQAITWKSISSRQSSCKSLMAPNTKSSSTWVEYKNDLFDDYGGMDSGSHLSTLGRLYAWEKKLYEEVKAGDSTRKSYEKKCAQLRSKNVRGDDLLSTDKTKVEVKDLYAGILVAIRRAESISKRIEKMRDEELQPQIVELLKGLTQSWKIMLESHETQKKILSEVKYFTCTTYEKFCNQSHGFATLQLEAELRNWRDCFKEYTAAQEAYVEALHGWLSKFIVPEVEFYSRSKNIAAVPYQVNGPPLLVICNDWLGSMQKLPDKMVTLALKSVVKDVRALWLQQNKEQQQKRKVERLTRVLERRYCSGGVPKVETKMLELHATDHESEWSTSDHQDECMMEKNDHVETLRRKLEVEKEKHHSSMQETQRITLHGLQSGFSLVFESLSEFSKASQKMYHDLVGYSEKGDKVGNITYIEGGCNIESCNSQK >Vigun07g278900.3.v1.2 pep primary_assembly:ASM411807v1:7:39392563:39396735:1 gene:Vigun07g278900.v1.2 transcript:Vigun07g278900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICRERKRLLKLAVEKRYALAVAHCKYFHSLNAVAAAIKLFVARHSSPSSPFLITFPPPCPSSHPPSPPSENVITNPMFLQQTPSETKHEAIACDSCISSTTSESSEEESEEKREGENELKGEEPQHEQPCGYYCMPVTMSMSMSMSMPVPMSMPPSMPSPQRDFGWDFFYPFDMRGDMCGYHRNSDDDLRAVREEEGIPELEEEVEREEVEHKVVSVEENNNNNKSNSEGVAEKTMSGVETVKVVDVATENQKEQKGLAVLDTPAEGRELLEALKDIEDHFLRAYDSGKDVTRMLEANRIPLHSGLDEIKESSTKLIQAITWKSISSRQSSCKSLMAPNTKSSSTWVEYKNDLFDDYGGMDSGSHLSTLGRLYAWEKKLYEEVKAGDSTRKSYEKKCAQLRSKNVRGDDLLSTDKTKVEVKDLYAGILVAIRRAESISKRIEKMRDEELQPQIVELLKGLTQSWKIMLESHETQKKILSEVKYFTCTTYEKFCNQSHGFATLQLEAELRNWRDCFKEYTAAQEAYVEALHGWLSKFIVPEVEFYSRSKNIAAVPYQVNGPPLLVICNDWLGSMQKLPDKMVTLALKSVVKDVRALWLQQNKEQQQKRKVERLTRVLERRYCSGGVPKVETKMLELHATDHESEWSTSDHQDECMMEKNDHVETLRRKLEVEKEKHHSSMQETQRITLHGLQSGFSLVFESLSEFSKASQKMYHDLVGYSEKGDKVGNITYIEGGCNIESCNSQK >Vigun07g278900.1.v1.2 pep primary_assembly:ASM411807v1:7:39392151:39396735:1 gene:Vigun07g278900.v1.2 transcript:Vigun07g278900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICRERKRLLKLAVEKRYALAVAHCKYFHSLNAVAAAIKLFVARHSSPSSPFLITFPPPCPSSHPPSPPSENVITNPMFLQQTPSETKHEAIACDSCISSTTSESSEEESEEKREGENELKGEEPQHEQPCGYYCMPVTMSMSMSMSMPVPMSMPPSMPSPQRDFGWDFFYPFDMRGDMCGYHRNSDDDLRAVREEEGIPELEEEVEREEVEHKVVSVEENNNNNKSNSEGVAEKTMSGVETVKVVDVATENQKEQKGLAVLDTPAEGRELLEALKDIEDHFLRAYDSGKDVTRMLEANRIPLHSGLDEIKESSTKLIQAITWKSISSRQSSCKSLMAPNTKSSSTWVEYKNDLFDDYGGMDSGSHLSTLGRLYAWEKKLYEEVKAGDSTRKSYEKKCAQLRSKNVRGDDLLSTDKTKVEVKDLYAGILVAIRRAESISKRIEKMRDEELQPQIVELLKGLTQSWKIMLESHETQKKILSEVKYFTCTTYEKFCNQSHGFATLQLEAELRNWRDCFKEYTAAQEAYVEALHGWLSKFIVPEVEFYSRSKNIAAVPYQVNGPPLLVICNDWLGSMQKLPDKMVTLALKSVVKDVRALWLQQNKEQQQKRKVERLTRVLERRYCSGGVPKVETKMLELHATDHESEWSTSDHQDECMMEKNDHVETLRRKLEVEKEKHHSSMQETQRITLHGLQSGFSLVFESLSEFSKASQKMYHDLVGYSEKGDKVGNITYIEGGCNIESCNSQK >Vigun01g064700.1.v1.2 pep primary_assembly:ASM411807v1:1:17131828:17132256:-1 gene:Vigun01g064700.v1.2 transcript:Vigun01g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVMKSLAVAWFMVSIISFVHGINVKSCTRFAILEISQSSQYETITGCNNDCNTACCNCDITKQPPLCVLCCQEDP >Vigun03g417000.1.v1.2 pep primary_assembly:ASM411807v1:3:62381878:62386955:-1 gene:Vigun03g417000.v1.2 transcript:Vigun03g417000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQKEGAKDEGGFFHMFDWTSKSRKKLFSTTPESLKQRRKVDGNLATMLPYLVDEDGEIGIGGSIRDSGDHSCATSVIDSEVCGTRAPGVVARLMGLDSLPSSSFSDPYFDSQCIQDVQYWRKNLNHLHDHQILYSGKLVEKAEGTSRNFMEAKPLQTRSKPIEKFQTEVMPPKSAKSIPLTHHKLLSPIKNPGFVPSNNATYIMEAAARIIEPGRQAATKAKAPLVEASQKEKLISSLRVRDLKEKVEASQKGQLISSSSETSRVRDPKGKRETSLRTSRLFETSHRLTESNDAKYLKGQSLNKSWNGLVDAPIRSSTQVEEDCYSKNKGKSISLAIQAKVNVQRREGLSSSSGKSLVEQREQCDTKNSQKPLKANVQKSLHMKSSGQDASGVLRQNNQKQNCPMDKDKPPSKQLVSNSQGRKVVTGDSSGGRHRSSSNKSAAKSKAGPKKSSTSVTDSEKEVLYTRTNNFPRKKRSTDRDWNDRVVDNLFIDKSQKPVQSNVVSNKYQGEAEEVKKKDMDVVSFTFTMPLTRSNSGFETPGLNSLDQHIRRVLVDTETDNAGYSAVGGDALGILLDQKLRELTYGIGNSCDDSLKARSPSSTAPKSKDLVPTLNSVNLFPGLQQKKDQDMISNRSYLPELSSQHRLRLDKMEECEINPMEAGLFNSGQPSPISVLDPSFSTESCESPFSASASSSEDQKRRKQVVGSGSGYTDLFDSASGWTMLRKQSSRFSQMKIGESNCTWELEYVNDILCNVELMYMDFSLGRAREIVNPHLFNQLENRRGRFESDGGECRIRRKVIFDCVSECLNLRCRRYVGGGYKVWAKGVAMVRRNEWLAKEVWKEISSWRDMRDSMVDELVDRDMSIQYGQWLDFEVDTFQLGAVVEDQIFDSLVDEFVAEILQL >Vigun09g246800.1.v1.2 pep primary_assembly:ASM411807v1:9:41492005:41493130:1 gene:Vigun09g246800.v1.2 transcript:Vigun09g246800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPTLDPQSALIERNRSLTKEEINAFWTAKKETELEHLKAISKLSQTIQAHAFNDSDHPQNVDKNSLEQLMNKSCWWTKSRWAFLNEPPLMEGSSNNYVSQFDVAKLGSSKTNTTNGVRA >Vigun11g118100.1.v1.2 pep primary_assembly:ASM411807v1:11:32411993:32416634:1 gene:Vigun11g118100.v1.2 transcript:Vigun11g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMRVCSVLFLTVLLVACARGEDPYRFYTWNVTYGDIYPLGVKQQGILINWQFPGPQIEAVTNDNLIINVFNSLDEPFLLSWNGVLQRRNSWQDGVYGTNCPIPPGQNFTYVLQVKDQIGSYFYYPSLAFHKAAGGYGGFKIASRPGIPVPFPPPAGDFTILAGDWYKRNHTDLRAILDGGSDLPFPDGLIINGRGSNAYTFTVDQGKTYRFRISNVGLTTSINFRIQGHKMTIVEVEGTHTLQNIYDSLDVHLGQTYSVLVTADQPPQDYLIVVTTRFTSQVLNATSIFRYSNSGGSVTGLFPWGPTIQVDWSLNQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLQNSAPVINGKQRYAVNSVSFIPSDTPLKLADYYKIQGVFSLGSISDNPTGGGGYLQTSVMEADFRGFLEVVFENTEDTVESWHIDGHSFFVVGMDGGLWSQASRLSYNLRDTISRSTVQVYPKSWTALYMPLDNVGMWNVRSENWVHQYLGQQFYLRVYSPANSWRDEYPIPSNALRCGKAVGHY >Vigun04g006600.2.v1.2 pep primary_assembly:ASM411807v1:4:454797:456739:-1 gene:Vigun04g006600.v1.2 transcript:Vigun04g006600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWMKSLFGISREREKKQNIIESGFSESTNNSRVLCHNPGTIPPNISQAEAAWLQSFYTEKDKNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGTMFGVGPEIWAAIKIQAVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQARVRSHQSRRLMSTKNEAFRSQNRARRSMERFDDTRSEYAVPIHSRRASSSFDATLNINNSVDGSPKIVEVDTFRPKSRSRRTISDFGDEPSLQALSSPFFAISYRGTPTPTPTPTRWSIPDQRNFQDSEWGLTGEECRFSTAQSTPRFTNPCSCDSVAPMTPQSGCPDDNLFLRQYGKFPNYMASTQSFKAKLRSHSAPKQRPEPSPRKRLSLNEMMESRSSLSGVRMQRSCSKAQEVISFKNAVMGKLQKSTESVRETDRNYFHQRGW >Vigun04g006600.3.v1.2 pep primary_assembly:ASM411807v1:4:454797:456885:-1 gene:Vigun04g006600.v1.2 transcript:Vigun04g006600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWMKSLFGISREREKKQNIIESGFSESTNNSRVLCHNPGTIPPNISQAEAAWLQSFYTEKDKNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGTMFGVGPEIWAAIKIQAVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQARVRSHQSRRLMSTKNEAFRSQNRARRSMERFDDTRSEYAVPIHSRRASSSFDATLNINNSVDGSPKIVEVDTFRPKSRSRRTISDFGDEPSLQALSSPFFAISYRGTPTPTPTPTRWSIPDQRNFQDSEWGLTGEECRFSTAQSTPRFTNPCSCDSVAPMTPQSGCPDDNLFLRQYGKFPNYMASTQSFKAKLRSHSAPKQRPEPSPRKRLSLNEMMESRSSLSGVRMQRSCSKAQEVISFKNAVMGKLQKSTESVRETDRNYFHQRGW >Vigun04g006600.1.v1.2 pep primary_assembly:ASM411807v1:4:454562:456964:-1 gene:Vigun04g006600.v1.2 transcript:Vigun04g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWMKSLFGISREREKKQNIIESGFSESTNNSRVLCHNPGTIPPNISQAEAAWLQSFYTEKDKNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGTMFGVGPEIWAAIKIQAVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQARVRSHQSRRLMSTKNEAFRSQNRARRSMERFDDTRSEYAVPIHSRRASSSFDATLNINNSVDGSPKIVEVDTFRPKSRSRRTISDFGDEPSLQALSSPFFAISYRGTPTPTPTPTRWSIPDQRNFQDSEWGLTGEECRFSTAQSTPRFTNPCSCDSVAPMTPQSGCPDDNLFLRQYGKFPNYMASTQSFKAKLRSHSAPKQRPEPSPRKRLSLNEMMESRSSLSGVRMQRSCSKAQEVISFKNAVMGKLQKSTESVRETDRNYFHQRGW >Vigun09g026000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2008773:2011730:-1 gene:Vigun09g026000.v1.2 transcript:Vigun09g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILGRESQHSIASKLFDLIPVEQYSLDVRAYTTVLHAYARTGKYKRAIELFEKMNEFGLDPTLVTYNVMLDVYGKMGRSWSRILELLDEMRSKGLEFDEFTCSTVISACGREGMLDEARKFFAELKLNGYKPGTVTYNAMLQVFGKAGIYTEALSILKEMEDNNCPPDSVTYNELAATYVRAGFLDQGKAVIDTMTSKGVMPNAITYTTVIDAHGKAGREDEALRLFSQMKDLGCAPNVYTYNSVLAMLGKKSRTEDLINVLSEMKLNGCAPNRATWNTMLAVCSEEGKHNHVNRVLREMKNSGFEPDKDTFNTLISAYARCGSEVDSAKMYGEMIKAGFTPCVTTYNSLLNALARLGNWKAAESVIMDMRGKGFKPNETSYSLLLHCYSKAGNVKGIEAIEKEIYDGHVFPSWILLRTLILSNHKCRHLRGMERAFDQLHKYGYKPDLVVINSMLSMFSRNKMFSKAHEMMHFIHENGLQPNLFTYNCLMDLYVREGECWKAEEILKGIQNSGPEPDVVSYNTVIKGFCRKGLMQEAIRVLSEMTTKGIQPTIVSYNTFLSGYVAMELFDEAIEVIRFMIEHNCRPNELTYKIVVDGYCKAGKHEQAMDFVSKIKEIDISFDDRSVKRLGSCIRERMGSVL >Vigun05g147000.2.v1.2 pep primary_assembly:ASM411807v1:5:20884625:20886260:-1 gene:Vigun05g147000.v1.2 transcript:Vigun05g147000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDDLRVFPNSMNTTVNVLQNSGIRNVSFVSEMTVNITKNQVVDLLRLSLCSKTVLTDLFLEKLPGERLLKRKRIVLSDFQARDSGKITVKIMQRKSNRKIVFAEGNEDFADFLFSLLTIPLGGAVHLTKGFCYFGSVDGLYRSVVDLDDKYWITKEVKNKVVAPVLAAQFKRGNLLPLMCDVVPNYFCYVNIEDHFTERIKVCYLTSTRKKVNPLVESCVASVFVDPLSDSSNNVKGYAKGPTMYMATDDLVVTPSSSFSVMSLLNSMDIPVHDLKEKVVSIGKEEVVRTLQALCTTSALSTLSLSHLTKVKEECLTKVKEEC >Vigun07g060600.1.v1.2 pep primary_assembly:ASM411807v1:7:6850883:6855869:-1 gene:Vigun07g060600.v1.2 transcript:Vigun07g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHLISSPNVSSLTTGSNLWGRKLSFNTIYFQSTLGSDGSKASKHSIKAEIQYNPLRFQQSPFNHNYKSIDRGATCEVTNKNYVVKADSVPSSESESESSNSKNIVNSVKTFMAVLYEFIYPYALFAQTSASISASLLAVEKLSDISPLFFIGLLQAVLPHSFMLLYVNGVNQLFDFEIDKINKPYLPLASGKISFRSCAIIVALSAILGLGINLMIGSPALIWNFVLSVTLWTCYSVNLPFLRWKKNPVQTSLLMFFCWTLLIPITYFLHMQTFVLKRPLVFTRSLIVSLLFMSFYSIGLALSKDIPDVEGDIKHGVDSFAARLGQKKVFWISVFLFEMAFGVAFLAAASSSSPFWIKFVTSLGNVALGSILWYQTKYVDVTNPASGRSFYSFMWKLLMGSYVLLPLIR >Vigun07g060600.2.v1.2 pep primary_assembly:ASM411807v1:7:6850883:6855869:-1 gene:Vigun07g060600.v1.2 transcript:Vigun07g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHLISSPNVSSLTTGSNLWGRKLSFNTIYFQSTLGSDGSKASKHSIKAEIQYNPLRFQQSPFNHNYKSIDRGATCEVTNKNYVVKADSVPSSESESESSNSKNIVNSVKTFMAVLYEFIYPYALFAQTSASISASLLAVEKLSDISPLFFIGLLQAVLPHSFMLLYVNGVNQLFDFEIDKINKPYLPLASGKISFRSCAIIVALSAILGLGINLMIGSPALIWNFVLSVTLWTCYSVNLPFLRWKKNPVQTSLLMFFCWTLLIPITYFLHMQTFVLKRPLVFTRSLIVSLLFMSFYSIGLALSKDIPDVEGDIKHGVDSFAARLGQKKVFWISVFLFEMAFGVAFLAAASSSSPFWIKFVTGFKL >Vigun01g115300.1.v1.2 pep primary_assembly:ASM411807v1:1:29196393:29203487:1 gene:Vigun01g115300.v1.2 transcript:Vigun01g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSSLTLPSSKPDQLHSLAQKRLFLHSFLPKKAGYNGSSKSSLRVKCATVGNGLFTQTTPEVRRIVPENDQNLPTVKIVYVVLEAQYQSSLTAAVLALNSKRKDASFEVVGYLVEELRDASTYKTFCKDLEDANIFIGSLIFVEELALKIKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKPQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMITGSYIPALKGTKIEYSDPVLYLDNGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSQNAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPVEKFFIDPITKKPFVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPKTGKSHALHKRVEQLCIRAIRWAELKRKSKEEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVMKELKKDGYNVDGLPETPEALIEDVIHDKEAQFSSPNLNIAYKMNVREYQNLTPYSTALEENWGKPPGNLNADGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAFYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGAQIVSSIISTAKQCNLDKDVTLPDEGVEIPPKERDLVVGKVYAKIMEIESRLLPCGLHIIGEPPSALEAVATLVNIAALDRPEDNISSLPAILAETVGRDIEDVYRGSNKGILKDVELLRQITEASRGAITAFVERTTNSKGQVVDVADRLSSILGFGINEPWIQHLSNTKFYRADREKLRVLFMFLGECLKLVVADNEVGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVDRLIERQKAENGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVLPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAEQNYVRKHALEQAQALGVEVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGAGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSTGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEQMLNKLMNTNPNSFRKLVQTFLEANGRGYWETSEDNIEKLRQLYSEVEDKIEGIDR >Vigun05g094500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9171131:9173224:1 gene:Vigun05g094500.v1.2 transcript:Vigun05g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEDALLEILSRLPVKSLKRFMCVSKYFQSIILDARFLRMHLENSRKRTHFLLSYLSEGKTSGFLIPLPISSLLEDSTPLFNADIINGSKPQREMYKVIGSCNGLVCLTKWNRMLPDILYLWNPATKALIQNPYPPHSEQLSLKLHEKENVVMVGFGYDNSRHSYKVVAIFGHMMDFEGDTHPFRSVICNLNDKIGWKDIQDFPVDPTAMKGNGIYLNNTLNWLGSPYCNIYDEDGSYISFDEVVIVSLDLETEIYKQILLPPKLNGVSVGDFCFRVGKLHCNEAPLIGVLSGCLSLFLHNRKKKRLSIWQMKEFGNQQSWTLLLNVSLRDIGFNTIRSPTNFGYDYYLWTLENQHFSCSYSNFLPLCLIENDRDIVIIHGSFQGCVKQTIVYNLRDKTMTSKKMVHNLRWIYPLDYVESLVSPLLD >Vigun07g034301.1.v1.2 pep primary_assembly:ASM411807v1:7:3312500:3313879:-1 gene:Vigun07g034301.v1.2 transcript:Vigun07g034301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEGCSCSSWGSQKQSVSSTGGSYRVLGESPLWFCGESTVLRVAKTIRNAGKQFWGCPNYKVGVDRSGGNEIFKGCNYFKWLNEDNGDKKDATIGRQRRKIYTLEKAVVISDRWVKILIVVVPSCVTIGVGCCFGGDAWGQVFLLCPSALQMAHLLRVPPCLTYVSSFLNSHDSNLRFFFVLPGITLLAEGNTSV >Vigun01g223250.2.v1.2 pep primary_assembly:ASM411807v1:1:39672274:39673082:1 gene:Vigun01g223250.v1.2 transcript:Vigun01g223250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFWISPSVASITPCFFLHHNLSSILCLKHNRTIHFISVTFLNLYSFSEKSHRIDPTLQMRIFWL >Vigun01g223250.1.v1.2 pep primary_assembly:ASM411807v1:1:39672274:39672682:1 gene:Vigun01g223250.v1.2 transcript:Vigun01g223250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFWISPSVASITPCFFLHHNLSSILCLKHNRTIHFISVTFLNLYSFSEKSHRIDPTLQVISFASFFCHLPFFFFIQ >Vigun07g094901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15534091:15535216:1 gene:Vigun07g094901.v1.2 transcript:Vigun07g094901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINLLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKKFIQKNRTISSSVKGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPKKIEELQTNMHKKLNCVNRKLNIANVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYYCYTVRTIYGVLGIKVWIFSK >Vigun05g250200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44389276:44390341:-1 gene:Vigun05g250200.v1.2 transcript:Vigun05g250200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQSSTSTTATRPGIAAPRGSAAATAGMRRRRLAGGNSSTSASVGGGGGGNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRSKSGGA >Vigun08g032600.1.v1.2 pep primary_assembly:ASM411807v1:8:2976839:2984341:1 gene:Vigun08g032600.v1.2 transcript:Vigun08g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERICVAVRLRPPVSEDSSSAVGTFWKVEDNRISLHKIHGTPLSTSSYGFDHIFDERSTNASVYELLAKDIIHAALDGFNGTAFAYGQTSSGKTFTMNGSETDAGVIPRAVRDIFATIDTMSDREFLIRVSYMEIYNEEINDLLVVENQKLQIHESLERGVFVSGLKEEIVNNAEQVLNLIKAGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDSNSFNDSSINDVVRVSVLNLVDLAGSERIAKTGADGVRLKEGKYINKSLMVLGNVINKLSEGSKQRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEIHIEETRGTLQFASRAKRITNCVQVNEILTDAALLKRQQLEIEELRKKLEGSHAEVLEQQILKLRNDLLKYEMERGKLEMELQEERKSRNQWIREQQMKFENSTTKSFSDCGTNDSQGPGFLRHGFMEEYSDISSISQGDIFKSPKADPSVFVVRRSKYSTLPDQSPLPDAFCNVADEDMWLKMNNGFVADLDSLQTTPPTNRKVQSLPTIDTTGCTSAIEKYEQEVQDLRGQLELAKERINELEQKHSKEVPSSQKLIDEAAKHQQETQLKLELPLGLSESVGNFKDGFEEVLSVMQRCASGGTLSTEKILSTVSEIGAHLFSTLEGHIAVNMDGQRSSTENCTVINGQQKMFRERIDNIIASLELSKTSIAEELEKKHKCSCEHKGSGLGGETAYSKEDLCERYESMERELQLLKVERESLLQKFSESSEKLSMVSSQKENVLKDLNTEVLRRKNLEGEVKQFAAAFASRQESLISFHSDLKTKIEKWRAQTPISVPKSFG >Vigun08g032600.2.v1.2 pep primary_assembly:ASM411807v1:8:2976839:2983001:1 gene:Vigun08g032600.v1.2 transcript:Vigun08g032600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERICVAVRLRPPVSEDSSSAVGTFWKVEDNRISLHKIHGTPLSTSSYGFDHIFDERSTNASVYELLAKDIIHAALDGFNGTAFAYGQTSSGKTFTMNGSETDAGVIPRAVRDIFATIDTMSDREFLIRVSYMEIYNEEINDLLVVENQKLQIHESLERGVFVSGLKEEIVNNAEQVLNLIKAGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDSNSFNDSSINDVVRVSVLNLVDLAGSERIAKTGADGVRLKEGKYINKSLMVLGNVINKLSEGSKQRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEIHIEETRGTLQFASRAKRITNCVQVNEILTDAALLKRQQLEIEELRKKLEGSHAEVLEQQILKLRNDLLKYEMERGKLEMELQEERKSRNQWIREQQMKFENSTTKSFSDCGTNDSQGPGFLRHGFMEEYSDISSISQGDIFKSPKADPSVFVVRRSKYSTLPDQSPLPDAFCNVADEDMWLKMNNGFVADLDSLQTTPPTNRKVQSLPTIDTTGCTSAIEKYEQEVQDLRGQLELAKERINELEHSKEVPSSQKLIDEAAKHQQETQLKLELPLGLSESVGNFKDGFEEVLSVMQRCASGGTLSTEKILSTVSEIGAHLFSTLEGHIAVNMDGQRSSTENCTVINGQQKMFRERIDNIIASLELSKTSIAEELEKKHKCSCEHKVVVCSQISRYRSSIMVLFFVLFLGHLLSSLRIPLPLVQ >Vigun09g158000.4.v1.2 pep primary_assembly:ASM411807v1:9:32450554:32455674:-1 gene:Vigun09g158000.v1.2 transcript:Vigun09g158000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLLQESRQRIVNRIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFSAATSQFDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPGPGLVIPSQVHNPGQLHSIPMPNQSQEI >Vigun09g158000.1.v1.2 pep primary_assembly:ASM411807v1:9:32451927:32455674:-1 gene:Vigun09g158000.v1.2 transcript:Vigun09g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLLQESRQRIVNRIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFSAATSQFDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPGPGLVIPSQVHNPGQLHSIPMPNQSQARQQLMQNNIVALIFTFVHNSIP >Vigun09g158000.2.v1.2 pep primary_assembly:ASM411807v1:9:32450543:32455674:-1 gene:Vigun09g158000.v1.2 transcript:Vigun09g158000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLLQESRQRIVNRIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFSAATSQFDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPGPGNLKET >Vigun09g158000.3.v1.2 pep primary_assembly:ASM411807v1:9:32452445:32456279:-1 gene:Vigun09g158000.v1.2 transcript:Vigun09g158000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLLQESRQRIVNRIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFSAATSQFDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPGPGKGCECCILHQESFVNLGFKTSTKKGTLKLQI >Vigun09g158000.5.v1.2 pep primary_assembly:ASM411807v1:9:32453293:32455674:-1 gene:Vigun09g158000.v1.2 transcript:Vigun09g158000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTSDWRGGLLQESRQRIVNRIMDTLKRHLPVSGQEGLHELQKIAQRFEEKIFSAATSQFDYLRKISLKMLTMETKSQGSMANAPNQGGPSNKPPGPG >Vigun11g040950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5868519:5868767:-1 gene:Vigun11g040950.v1.2 transcript:Vigun11g040950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIYFFFTLFFFCFSCLTYISSCFQQSTDFHSKGTHRISFSLHLFRCLQGKHRMNQFVEHHCCCSFLFGVMVGYKSYWKGN >Vigun01g241700.1.v1.2 pep primary_assembly:ASM411807v1:1:41123479:41131247:-1 gene:Vigun01g241700.v1.2 transcript:Vigun01g241700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKLNIRNCSQRVDGVAADPEPDWSFDDLVSELNDLETKLASATSSNERSIPFEKSRTRGREIDKGRTFVLRADEYEMEDSESEDDDHVDKALVVAGNAKRFTCDEVYLSDSDDDSDIVSGFEVRPCLMDELGEVEGALFELAQEHQLRVKDEIRNKISALETALVNETQNSASSLLRVEKYKEARQELDKKFDTQYQRRIAEALDNHLTAVQRDRELRSQIEERKIRSDAAAYEEAKRKAAFEKLQQEKARAEAEAKLADETKREAERKATMEARKQAAMEAEKGAAVAEAEKREVKEANETSKRVTSGGTQQAAGDSTDTSSVSNAENKEYGNLYRAAASALNLEQGRLQKLKELCERNQMIRSSSNKDYTRHEGNISRNIRQIRGVRDNVRSKASELIKLLNDPQYPQSVSIEIFAKKVVSYCENPGNAPFASAYVIVLVTSQIPQAMDIFLAELHMACLYTVPKHLLYKKTAFQSKEAYFRSIGYREVDGKLETTEDYLKRLESYMKVYGALVQTETTNVQNFHGLQEGWAWLARFLNTLPANHYTAVSLNAFLQMAGFALFKRYKSQFLKMLNVVSENFLVDLKSRNISELTKTITEIQTYIEDKKFLQEPEGKSLQSNLLSNVYVNY >Vigun01g241700.3.v1.2 pep primary_assembly:ASM411807v1:1:41123479:41131247:-1 gene:Vigun01g241700.v1.2 transcript:Vigun01g241700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSESEDDDHVDKALVVAGNAKRFTCDEVYLSDSDDDSDIVSGFEVRPCLMDELGEVEGALFELAQEHQLRVKDEIRNKISALETALVNETQNSASSLLRVEKYKEARQELDKKFDTQYQRRIAEALDNHLTAVQRDRELRSQIEERKIRSDAAAYEEAKRKAAFEKLQQEKARAEAEAKLADETKREAERKATMEARKQAAMEAEKGAAVAEAEKREVKEANETSKRVTSGGTQQAAGDSTDTSSVSNAENKEYGNLYRAAASALNLEQGRLQKLKELCERNQMIRSSSNKDYTRHEGNISRNIRQIRGVRDNVRSKASELIKLLNDPQYPQSVSIEIFAKKVVSYCENPGNAPFASAYVIVLVTSQIPQAMDIFLAELHMACLYTVPKHLLYKKTAFQSKEAYFRSIGYREVDGKLETTEDYLKRLESYMKVYGALVQTETTNVQNFHGLQEGWAWLARFLNTLPANHYTAVSLNAFLQMAGFALFKRYKSQFLKMLNVVSENFLVDLKSRNISELTKTITEIQTYIEDKKFLQEPEGKSLQSNLLSNVYVNY >Vigun01g241700.2.v1.2 pep primary_assembly:ASM411807v1:1:41123479:41131247:-1 gene:Vigun01g241700.v1.2 transcript:Vigun01g241700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSESEDDDHVDKALVVAGNAKRFTCDEVYLSDSDDDSDIVSGFEVRPCLMDELGEVEGALFELAQEHQLRVKDEIRNKISALETALVNETQNSASSLLRVEKYKEARQELDKKFDTQYQRRIAEALDNHLTAVQRDRELRSQIEERKIRSDAAAYEEAKRKAAFEKLQQEKARAEAEAKLADETKREAERKATMEARKQAAMEAEKGAAVAEAEKREVKEANETSKRVTSGGTQQAAGDSTDTSSVSNAENKEYGNLYRAAASALNLEQGRLQKLKELCERNQMIRSSSNKDYTRHEGNISRNIRQIRGVRDNVRSKASELIKLLNDPQYPQSVSIEIFAKKVVSYCENPGNAPFASAYVIVLVTSQIPQAMDIFLAELHMACLYTVPKHLLYKKTAFQSKEAYFRSIGYREVDGKLETTEDYLKRLESYMKVYGALVQTETTNVQNFHGLQEGWAWLARFLNTLPANHYTAVSLNAFLQMAGFALFKRYKSQFLKMLNVVSENFLVDLKSRNISELTKTITEIQTYIEDKKFLQEPEGKSLQSNLLSNVYVNY >Vigun09g131000.1.v1.2 pep primary_assembly:ASM411807v1:9:28937271:28941464:1 gene:Vigun09g131000.v1.2 transcript:Vigun09g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGERFQLGTVGALTLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHVALKMRFFEHKPFEQKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLGKRFSKRIQFALTILLLGVGIATVTDLQLNALGSFLSFLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAATLLICGPYLDKLLTNQNVFGFKYTTQVTVFIILSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNILGIMIAMMGMILYSYYCSLENQQKTVEASSQASQAREGESDPLINVENGSAVVSDTIGQRQLSPVWSKSKD >Vigun07g134200.2.v1.2 pep primary_assembly:ASM411807v1:7:24405283:24410953:-1 gene:Vigun07g134200.v1.2 transcript:Vigun07g134200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FEN1 MGIKGLTKLLSDNAPKSMKENKFESYFGRKIAVDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGMKPVYVFDGKPPDLKKQELAKRYSKRADATEDLSEALESANKEDIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPSEAEAQCAALCKAGKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFETAKILEGLNLTMDQFIDVCILSGCDYCESIRGIGGQTALKLIREHGSIENMLENINKERYQIPDNWPYQEARQLFKEPMVLTDEKELDIKWTAPDEEGLITFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETPPNNAKETNKKAKGAGGRKKK >Vigun07g134200.1.v1.2 pep primary_assembly:ASM411807v1:7:24405283:24410953:-1 gene:Vigun07g134200.v1.2 transcript:Vigun07g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FEN1 MGIKGLTKLLSDNAPKSMKENKFESYFGRKIAVDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGMKPVYVFDGKPPDLKKQELAKRYSKRADATEDLSEALESANKEDIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPSEAEAQCAALCKAGKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFETAKILEGLNLTMDQFIDVCILSGCDYCESIRGIGGQTALKLIREHGSIENMLENINKERYQIPDNWPYQEARQLFKEPMVLTDEKELDIKWTAPDEEGLITFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKEIKFMLRSFKPAIEHKMFSLQTCNWSRPMGFGNLSLPMYNLGSELHTFV >Vigun10g183700.1.v1.2 pep primary_assembly:ASM411807v1:10:40059731:40062954:1 gene:Vigun10g183700.v1.2 transcript:Vigun10g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPPKPNQVVVRDLVEEAKKRIVILVVCVVGISYLMSLTSSSVWVNLPAAASLIIILRYLSLDFEMKRKAAAYNNKAGSINVQSSKKSMENPKVVAKFEWRTKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDKEGPEELVQIVNGVLGEISGRMRNINLIDFLIRDLVNIICTHLELFRAAHSKIGKHHTGPLTIESRDMELKIVLAAENKLHPALFSAEAEHKVLQHLMTGLMHSTFKSEDLQCSFFRYTVRELLACAVIRPVLNLANPRFLNERIESVVVNKTKVNKGVTAAQEASHTKEDELQLSSHDFSKTSDPSVTGVELVQLKNGQSRNVHTSAEQNARDNTIKDPLLSVSVDTRTSRTWSSLSANPQTNGDQSVQRHRSGGEWGDILDVISRRKTQALAPEHFENVWTKGKNYKKKMVRTSQMSMSHSILWLGNCQR >Vigun08g032000.1.v1.2 pep primary_assembly:ASM411807v1:8:2932777:2933688:-1 gene:Vigun08g032000.v1.2 transcript:Vigun08g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQAIFFTLLLLLSFNLITIHSSRPNPSLNTLSSLHVAEVDGEFCEEETEECLMRRTLAAHVDYIYTQKNKPKP >Vigun05g265400.1.v1.2 pep primary_assembly:ASM411807v1:5:45745682:45748333:-1 gene:Vigun05g265400.v1.2 transcript:Vigun05g265400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGECISNHPIFNTSSGVGYVTNIAGVNTYVTGSPLAILAIVLISDVYGFKPPLLRKIADKVAHHGYFVAVPDFFHGEPYTDGNVSRPFDPWFKDHDPAKGIETAKPIIEALKRKGASAVGVAGFCWGARTVTNLGKAKLVKASVLLHPSFITADDIRGVEVPIAILGAEHDTISPPKLIKQFQQVLNAKPEIDSYVKIFPNVSHGWTVRYDPNDPKAVKAAGKAHKIMINWFDKHLKKY >Vigun09g102500.1.v1.2 pep primary_assembly:ASM411807v1:9:17579098:17583559:1 gene:Vigun09g102500.v1.2 transcript:Vigun09g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMEESPRSPEAKVGLRVEDLWDVQEPQLSPDEKLNACFESIPVSAFPLPPSNQEVEIKSDTSLAEAVKLLAQHNILSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSDPTSPRSPSSGSAISAAVNGITSAFEHEASGLESAMTTSGNFFEDLTSSQLYKDTKVRDISGSFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVVDLGAGRIQNIITQSSVIHMLAECVGLQWFESWGTKKLSQVGLPMVTPNHIIKVYEDEPVLQAFKLMRKKRIGGLPVMERGGSRAIGNISLQDVQFLLTAPEIYHDYRSITAKDFLTAVRSYLEKHEGTFPMSGELITCNKDCTIKELIQLLDHEKIHRVYVADSDGNLEGLITLRDIISRLVHEPRGYFGDFFDGVLPMPPNSRV >Vigun07g195700.1.v1.2 pep primary_assembly:ASM411807v1:7:31513578:31521759:-1 gene:Vigun07g195700.v1.2 transcript:Vigun07g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRRVMSWRRVAKALQALVAHALLFSFTLLLALKLDHFLPDSWTWWKVFSPLWLFHAVVARGRFSLPAPSIPHDRRWAPFHSLVATPLLVAFELLLCIHLGSSYEMNLRIVFMPLVFFEMVVLFDNVRMCRALMPGDDENLTDEAVWETLPHFWISISMVFLIAATVFTLLKICGDVAALGWWDLFINYGIAQCFAFLVCTKWHNPTIHGGCHITEPCSSSNTIRYLDMSRGGLVIYADEDRQQNGFCNLQDIGGHIMKIPFIGFQIILFMHLEGTPSSAKNLQHWVIFSPLFLLQGAGVLFAAYRLMEKIVLLLYSGDIPERYSSIASKSRECFGFFRHGSRLLGWWSIDEGSKEEEARLFFSGSSGYNTFTPDTVKKMPRADLVEEIWRLQAALGEQTEVTKFSQEEYERLQNEKILCRICFEEQINVVLLPCRHHIICSTCCEKCKRCPVCRGTIEERMPVYDV >Vigun01g167000.2.v1.2 pep primary_assembly:ASM411807v1:1:34888522:34894825:1 gene:Vigun01g167000.v1.2 transcript:Vigun01g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFVWRKKIERDVSQGVSIDTFSVKAEKKRQIERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVNEMNELRDDIKMHLDLDRATPTHVEFWEALLLVCDWELAEVRKKDAFDRARVRGEEPPAELLAEERGLHSSVEPDVKRLLQGKTRAELEALQVHIESEMRSGTAKVVEYWEAILKHLHIYKAKASLKEIHAKLLRKHLQSLERPLEDEDKLEDANVMTPDEEEDTEDDIKVRSLDESFSPEPIREEQEDEDEAGSFSPQLLHGDESEEAIDPEEDRAMLERSRKAVLEEQQRRVQEAMISKPAPSEDNFEMKALKAMGDMEDGDAVFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >Vigun01g167000.3.v1.2 pep primary_assembly:ASM411807v1:1:34889462:34894825:1 gene:Vigun01g167000.v1.2 transcript:Vigun01g167000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDICCMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVNEMNELRDDIKMHLDLDRATPTHVEFWEALLLVCDWELAEVRKKDAFDRARVRGEEPPAELLAEERGLHSSVEPDVKRLLQGKTRAELEALQVHIESEMRSGTAKVVEYWEAILKHLHIYKAKASLKEIHAKLLRKHLQSLERPLEDEDKLEDANVMTPDEEEDTEDDIKVRSLDESFSPEPIREEQEDEDEAGSFSPQLLHGDESEEAIDPEEDRAMLERSRKAVLEEQQRRVQEAMISKPAPSEDNFEMKALKAMGDMEDGDAVFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >Vigun01g167000.1.v1.2 pep primary_assembly:ASM411807v1:1:34888522:34894825:1 gene:Vigun01g167000.v1.2 transcript:Vigun01g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGDRRRRRSDESESESPSDSDSDRRHRSSSRRSWRDSDGDRKKKKSSRNITEEEIAQYMAKKAQSKAMKVAKKLKTSTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVSIDTFSVKAEKKRQIERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVNEMNELRDDIKMHLDLDRATPTHVEFWEALLLVCDWELAEVRKKDAFDRARVRGEEPPAELLAEERGLHSSVEPDVKRLLQGKTRAELEALQVHIESEMRSGTAKVVEYWEAILKHLHIYKAKASLKEIHAKLLRKHLQSLERPLEDEDKLEDANVMTPDEEEDTEDDIKVRSLDESFSPEPIREEQEDEDEAGSFSPQLLHGDESEEAIDPEEDRAMLERSRKAVLEEQQRRVQEAMISKPAPSEDNFEMKALKAMGDMEDGDAVFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >Vigun01g244100.1.v1.2 pep primary_assembly:ASM411807v1:1:41279698:41284923:-1 gene:Vigun01g244100.v1.2 transcript:Vigun01g244100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIFSKLPRKSSRGPEHGGSGKGHHGVTTSSKNSDSVSVSPGGSRQGNSSVAGQNHGNRVPLPKVVNENNIHNANNGNLGSYEALPAFRDVPSSEKTTLFVKKLRMCCVVFDFTDPAKHVKEKEVKRQTLVELLDYVTSANAKFAENVMQEVVKMVSANIFRTLSPQPRENKIVDGVDVEEEEPSMDPAWPHLQIVYELFLRFVASPELDPKLAKRYIDQSFILKLLDLFDSEDPREREYLKMTLHRIYGKFMAHRPFIRKAINNVFFNFIFETEKHNGIAEFLEILGSIINGFALPLKEEHKLFLVRILIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRRIARCLNSPHFQVAERALFLWNNDHIVNLIKQNRKVILPIIFPALERNARSHWNQAVHSLTLNVRKIFNDVDADLSKECLQKFEEDESKVDEVKAGREATWKRLEELAMKKAASGEAVLIGKAPTRPSAG >Vigun10g016600.2.v1.2 pep primary_assembly:ASM411807v1:10:1840127:1844194:1 gene:Vigun10g016600.v1.2 transcript:Vigun10g016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWEKWFSNLEMGDDEFHVFNEHDMMISLEEELMKGESSVPHDSDSAINNDNNNMGTGSCLETNTQHANSSSEKKQVFSSCIFSFADSTVVSNVADESFHAKTEKRRSNFQAQQHIMAEKKRREKLSTMFVELSTMVPGLKKLDKISIIGKTIDYVKHLQNRVKDLQEQKSKTESVKCCKNNRSNVNISENFPKVDASVSGKDVRIRVICDRREHIVTNLFSKLESHHVSIVCSSVLPFGSSALNISVICKVEHFSMGIDDLVKKLYEDLLKFYNLQ >Vigun09g197800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37277826:37279572:1 gene:Vigun09g197800.v1.2 transcript:Vigun09g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRELENRFVATLRTCSKIAELKKLHSHIVKLSLSQSNYLATKMLDLCDNLGHVDYATLIFQSLDDPNVFSYNAIIRTCTHNHKHSLAISVFREMLRHGTKSALADKFTFPFVIKSCAGILCRRLGQQVHAQVCKFGPKSHSITDNALIDMYTKCGDLSGAYQVFEEMTERDAVSWNSLISGHARLGRMKSAREAFNEMPCRTIVSWTTMINGYAKAGCFIDALEVFREMQVVGIEPDEISVISVLPACAQLGALEVGKWIHKYSEKSGFLKKTAVCNALIEMYAKCGCINEALGLFDQMVEKDVICWSTMIGGLANHGKGYEAIRVFEDMQNAGVAPNGVTFVGVLSACVHAGLWKEGLRYFDVMRVDHHLEPEIEHYGCLVDLLGRWGRLHQALDTIMKMPMQPDSKIWNTLLSSCRIHRNLEIAVVAMEQLLELEPEESGNYVLLANIYAELGKWESVSNVRKLIRSKRIKKTPGSSLIEVNNMVQEFVSGDDTKPFSQDVFLILEGLTLHQTTASDFMEFVEDDAGQGQVYTKVPLSNQFL >Vigun03g147200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15094496:15095179:1 gene:Vigun03g147200.v1.2 transcript:Vigun03g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYFSSNDNSNVQGNEGYANNYGNGQQNIMVNPKISPSSRSKRKTNRNNPIISPSSRSKRQTNRNNPIISPSSRPKRCRNNPITAPSSLLEGQTGGNNPITALSSLSDRKTSRNNPIISALLGRKQGSTSTREF >Vigun03g195300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27486663:27488427:1 gene:Vigun03g195300.v1.2 transcript:Vigun03g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQATLMEAWFIIVVSACVCVIVRAIFSLQTKTINTPPGPLHIPILTSIQWLLKSFSQLEPILRNLHAKFGPIVTIRIGSQRAIFIADRTLAHQALVQNGSVFSDRPKALAASKILTSDQRNISSASYGPTWRILRRNLTSEMLHHSRVKSFSGIRNWVLHTLLTHLKSDSQSNDSVKVIDHFQYAMFCLLVFMCFGERLDEGKVRDVERVQRQLLLGFSRYNILNFWPKVTRVLLRERWEEMLRFRQEQEDVMIPLIRARKQKQGKEEGVVSYVDTLLGLELPEEKRKLNEEEIVTLCNEFLNGGTDTTSTALQWIMANLVKYPHVQERLVGEIREVMGEREDGEVKEEDLEKLAYLKAVILEGLRRHPPGHFVLPHAVSEDVVLNDYLVPKNGTVNFMVAEMGWDPKVWEDPMAFKPERFMNDEGFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFEWKIPEGGDVDLSEKQEFTVVMKNPLQVHLSPRI >Vigun03g176500.1.v1.2 pep primary_assembly:ASM411807v1:3:21925683:21945020:-1 gene:Vigun03g176500.v1.2 transcript:Vigun03g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSRNWRWSRIVQFLVASALLFVQNSVPTSAEASSVHIVYMGDKIYQNPQLTKKYHHKMLSSLLGSKEAAKNSILYSYKHGFSGFAARLTKHEAEAIAKFPGVVSVIPNGIHKLHTTRSWDFIGIHHSTSETALSNTNLGEGAIIGVIDTGIWPESTSFNDKAMGEIPSRWKGVCEVGEQFNSTNCNKKIIGARWFLKGITDETKKLLQGNNTNEYLSARDAIGHGTHTASTAAGYFVGNASFRGLASGIARGGAPLAHLAIYKACWDFPIGECTDADILKAFDKAIHDGVDVLTVSLGLSIPLFSYVDQRDVIAIGSFHATAKGITVVCSAGNSGPLSQTITNTAPWIITVGATTIDRAFPAAITLGNNRTLWGQSIDTGKHGVESVGLTYSERIALDSPDNLAKDCQSGSLNATMAAGKIVLCFGVSDQQDIVSASVTVKEAGGVGLVYAQYHEDGLNQCGLFPCIKVDYETGTQILTYIRRSRFPTASLSFPKTVIGKWTSPRVASFSSRGPSSLSPTVLKPDIAAPGVDILAAFPSKGSTESNGFAFLSGTSMSCPHVAGIVAVIKSKYPTWSPAAIRSALVTTAYQSGTDGNVISEEGSTLKEADPFDIGGGHMDPNKAMDPGLIYDITTQDYVQFLCSMGHSSASIRKVTKTNTSCKKQKQQPLNLNFPSISLPNLKNTATVMRRVRNVGNVTAIYKALVKVPYGIKVRVEPQTLSFNSDTQVLTFNVSFLSTQKFRGDYKFGSLTWTDGKHFVRTPIVVRTNAV >Vigun07g085500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12864540:12876955:-1 gene:Vigun07g085500.v1.2 transcript:Vigun07g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLHCHPFPYTVITQRHTIPFSLSFSISSTFRFSSALSSSTSATHQPLPPDFSPSQLLDLLRRQPDESSALRLFQWASAQPNYSAHPSIFHELLGQLGRVGSVDSMLSLLNQMQSSACPVDESTFLIFLETYANFELHAEINAVIHLMERDFALKPHTRFYNVALNLLVKANKLKLVETLHSKMVADGVAPDVSTFNILIRALCKAHQLRPAILMLEDMPNHGLRPDEKTFTTLMQGFIEEGDVDGALRIKELMVESGCTLTTVSVNVLVNGLCREGRIEEALRFIYDEEGFSPDQFTFNALVSGLCRTGHIKQGLEMVDFMLEKGFDLDVYTYNSLISGLCKLGEIDEAVEILNHMISRDCSPNTVTFNTLISTLCKENHVEAATELARVLTSKGFLPDVCTFNSLIQGLCLTSNREIAMELFEEMKEKGCEPDEFTYSILIESLCSDKRLKEALRLLKEMEKCGCARNVVVYNTLIDGLCKSNRIEEAEDIFDQMEMLGVSRSSVTYNTLINGLCMSKRVEEAAQLMDQMIMEGLKPDKFTYTSMLKYFCQQGDIKKAADIVQNMSLNGCEPDIVTYGTLIMGLCKAGRVEIASKLLRSLQMKGMVLTPHAYNPVIQALCKRKRTSEAMRLFREMMEKGDPPDVVSYKILFRGLCNGGGPIQEAVDFTVEMLEKGILPEFQSFGFLAEGLCSLSREDTLVQLINMVMEKGRFSQSEISIIKGLLRIQKYNDALANLGAILDRKRPRRF >Vigun07g085500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12865704:12876955:-1 gene:Vigun07g085500.v1.2 transcript:Vigun07g085500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLHCHPFPYTVITQRHTIPFSLSFSISSTFRFSSALSSSTSATHQPLPPDFSPSQLLDLLRRQPDESSALRLFQWASAQPNYSAHPSIFHELLGQLGRVGSVDSMLSLLNQMQSSACPVDESTFLIFLETYANFELHAEINAVIHLMERDFALKPHTRFYNVALNLLVKANKLKLVETLHSKMVADGVAPDVSTFNILIRALCKAHQLRPAILMLEDMPNHGLRPDEKTFTTLMQGFIEEGDVDGALRIKELMVESGCTLTTVSVNVLVNGLCREGRIEEALRFIYDEEGFSPDQFTFNALVSGLCRTGHIKQGLEMVDFMLEKGFDLDVYTYNSLISGLCKLGEIDEAVEILNHMISRDCSPNTVTFNTLISTLCKENHVEAATELARVLTSKGFLPDVCTFNSLIQGLCLTSNREIAMELFEEMKEKGCEPDEFTYSILIESLCSDKRLKEALRLLKEMEKCGCARNVVVYNTLIDGLCKSNRIEEAEDIFDQMEMLGVSRSSVTYNTLINGLCMSKRVEEAAQLMDQMIMEGLKPDKFTYTSMLKYFCQQGDIKKAADIVQNMSLNGCEPDIVTYGTLIMGLCKAGRVEIASKLLRSLQMKGMVLTPHAYNPVIQALCKRKRTSEAMRLFREMMEKGDPPDVVSYKILFRGLCNGGGPIQEAVDFTVEMLEKGILPEFQSFGFLAEGLCSLSREDTLVQLINMVMEKGRFSQSEISIIKGLLRIQKYNDALANLGAILDRKRPRRF >Vigun04g028600.1.v1.2 pep primary_assembly:ASM411807v1:4:2217371:2224829:-1 gene:Vigun04g028600.v1.2 transcript:Vigun04g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHGSDRRDTSSAGGNGTVAAASLRLRLNPNKEHKPDGYEDLQLDFSPNIFSSLERYLPPSMLNVPRDDKAKFMREILLKYLPLGERSRSQKHREYRQKIIENYQPLHRELYSVNPATFFVPSFLRAINDNTEQSFRSIMSEPSPGIFIFDIFQTNFCELLLSEIENFEKWVTETKFRIMRPNTMNKYGAVLDDFGLETMLDKLMEGFIRPLSRVLFAEVGGATLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTGTHSEEIFDYSHVPGRAVLHRGRHRHGARATTSGNRVNLLLWCRSSVFREMKRYQKDFSSWCGECSREKKERQRSTIAATKLELFRKEGESTA >Vigun09g264100.1.v1.2 pep primary_assembly:ASM411807v1:9:42818615:42820849:1 gene:Vigun09g264100.v1.2 transcript:Vigun09g264100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKAAPQKSLQDFDPQKPPKRNKFAFACAILASMTSILLGYDVGVMSGAVIYIKRDLKLTDVQIEIVVGIINLYSLIGSCLAGRTSDWIGRRYTIVVAGGIFFVGALLMGFSPNYPFLMFARFVAGVGIGYALMIAPVYTAEVSPPSCRGFLTSFPEVFVNGGILLGYISNYCFSKLPLHLGWRMMLGVGAVPSVILAVGVLAMPESPRWLVMRGRLGEATKVLNKISDSPQEAQLRLADIKAAAGIPENCNDDVVQVTNQNSGGEGVWKELILYPTPAVRHILIAALGLHFFQQASGIDAVVLYSPEIFKKAGLESDAEQLLATVAVGFAKTVFILVATFLLDRVGRRPLLLTSVGGMVFSLLTLGVSLTIIDHSRGVMKWAIGLSIATVLSYVSTFSVGAGPITWVYSSEIFPLRLRAQGAAMGVVVNRVTSGVISMTFLSLSDKITIGGAFFIFGGIAMCGWIFFFIMLPETQGKTLEETEGSFGKFTAWSKSRGDRDSENNAEIELAN >Vigun09g264100.2.v1.2 pep primary_assembly:ASM411807v1:9:42818172:42820849:1 gene:Vigun09g264100.v1.2 transcript:Vigun09g264100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVIYIKRDLKLTDVQIEIVVGIINLYSLIGSCLAGRTSDWIGRRYTIVVAGGIFFVGALLMGFSPNYPFLMFARFVAGVGIGYALMIAPVYTAEVSPPSCRGFLTSFPEVFVNGGILLGYISNYCFSKLPLHLGWRMMLGVGAVPSVILAVGVLAMPESPRWLVMRGRLGEATKVLNKISDSPQEAQLRLADIKAAAGIPENCNDDVVQVTNQNSGGEGVWKELILYPTPAVRHILIAALGLHFFQQASGIDAVVLYSPEIFKKAGLESDAEQLLATVAVGFAKTVFILVATFLLDRVGRRPLLLTSVGGMVFSLLTLGVSLTIIDHSRGVMKWAIGLSIATVLSYVSTFSVGAGPITWVYSSEIFPLRLRAQGAAMGVVVNRVTSGVISMTFLSLSDKITIGGAFFIFGGIAMCGWIFFFIMLPETQGKTLEETEGSFGKFTAWSKSRGDRDSENNAEIELAN >Vigun02g117200.1.v1.2 pep primary_assembly:ASM411807v1:2:27016614:27020715:1 gene:Vigun02g117200.v1.2 transcript:Vigun02g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEAKEIWSAPITPRTASVMGTPVVASPPVSCPPSQLHSPSLTRSPLLHPENGEAPRPKTKTPRTPRTPRTPLRLSNLTPRFITPLGSPVRKALRFTKLDPQDAWLPITESRNGNKYYAAFHTLCSGIGTQALVLPVALTVLGWTWGIISLTLAFIWQLYTLWLLVNLHESVEQGVRYCRYLQLCGATFGEKLGKLLALFPILYLSAGTCTTLIIIGGSTARTFYEVVCGDSCTAKPMTTVEWYLVFTCAAVVLSQLPNLNSIAGISLIGAVTAVGYCTSIWITSVAQGALAGVNYDPIRGGSSVENAFSVLNALGIVAFAFRGHNLILEIQSTMPSSEKHPSHVPMWKGVKVSYALIAACLFPLTIGGYWAYGQLIPSNGGMLTALYMFHSHDVSRFVLGLTSFFVVANALCSFQIYGMPAFDDMESQYTTRMKKPCPWWLRACIRVFFGFMCFFIGVAVPFLSQLAGLIGGVALPVTFAYPCFMWLKTKKPKKYSAMWGLNWFLGTLGVCLSVILVVSSLYVIIDTGVNVSFFNPQ >Vigun03g077100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6387823:6389180:1 gene:Vigun03g077100.v1.2 transcript:Vigun03g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNINFNNDSLHVSLRNLSQLSTRMDSLQSFVSQSIQSHTLLTHHQMNIVSNEILTAIRHVITNGAALVAASENVLPFSETPNNETNVVELDAMELLAQHLHFCEVCGKGFTRDANLRMHMRAHGDEFKTADALASRARGKTTRFSCPFEGCNRNKTHKKFRPLKSVFCLRNHFKRSHCPKTLSCERCRKKSFAVLSDLRSHMKQCRGEATWKCSCGTTFSRKDKLFGHVALFEGHLPMLQEEKETSAAAAVVAVVEGSVKESDGGLDGLPEGFFDGLDEFGFGSTESSSSPEETSILGFPQII >Vigun08g206300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36941909:36945471:1 gene:Vigun08g206300.v1.2 transcript:Vigun08g206300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKNSVLGEAEMYKSDIYTQSSGPFSKDIEVNGKEAIRSNSEDFIGAVDVYIHQARDIHNICIYHKQDVYAKISLTSNPENTVSTKTINGGGRNPVFNENLRLNVRTVDSAVKCEIWMLSRVKNYLEDQLLGFALVPLSEVLMKDGKLEKEFSLSSTDLFHSPAGFVQLSLAYTGTSPDVIAISAMPNELAANGTDKDSETCESLVRDLDKIEFPDPKIVNEDHLMVSEYFGIPCEESQCSGSLTTNDTENLSSEAGVQLVESFSACSVESAQPPKVGSPPTSVSTNGVSSPSAAASSDSSDPPPPPPPPAAAAASKSPNQEQVSANKEKIGEVNNGETDSSNGVLNESFPKPVVTVNIEPESNVVQQDIVDMYMKSMQQFTESLAKMKLPMDFESGPTSSGNSSSEQKIQTPKSSNSRVFYGSRAFF >Vigun08g206300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36942110:36945471:1 gene:Vigun08g206300.v1.2 transcript:Vigun08g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKNSVLGEAEMYKSDIYTQSSGPFSKDIEVNGKEAIRSNSEDFIGAVDVYIHQARDIHNICIYHKQDVYAKISLTSNPENTVSTKTINGGGRNPVFNENLRLNVRTVDSAVKCEIWMLSRVKNYLEDQLLGFALVPLSEVLMKDGKLEKEFSLSSTDLFHSPAGFVQLSLAYTGTSPDVIAISAMPNELAANGTDKDSETCESLVRDLDKIEFPDPKIVNEDHLMVSEYFGIPCEESQCSGSLTTNDTENLSSEAGVQLVESFSACSVESAQPPKVGSPPTSVSTNGVSSPSAAASSDSSDPPPPPPPPAAAAASKSPNQEQVSANKEKIGEVNNGETDSSNGVLNESFPKPVVTVNIEPESNVVQQDIVDMYMKSMQQFTESLAKMKLPMDFESGPTSSGNSSSEQKIQTPKSSNSRVFYGSRAFF >Vigun05g292856.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47901235:47901654:-1 gene:Vigun05g292856.v1.2 transcript:Vigun05g292856.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLCCVVCVFVVMCNFCVLWYVCFCVICVLCLVFWGVVIWGVCVLLCFVLCMFCFVRVCVVFCCVRCFCVYFLLCMLCGVFGVFVLYMFCCDLGCLCFMLCVFVLCFVLYVFVLFCVVVFGVFVVGLIVFCVVFRVLL >Vigun05g287000.1.v1.2 pep primary_assembly:ASM411807v1:5:47419068:47421122:1 gene:Vigun05g287000.v1.2 transcript:Vigun05g287000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLSFEFEHEFVQNTERVKSVDLHPTQPWILLGLYSGTVSIWNYHTKSEEKSLKVSESPVRSAKFIARENWIVAATDDKYIRVYNYEKMEKIAEFEEHKDYIRSLAIHPFLPYVVSASDDKVLKLWNWKEDWS >Vigun06g226500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33448871:33449092:-1 gene:Vigun06g226500.v1.2 transcript:Vigun06g226500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPPAECFCEDQTKESRSDNCCICLEEFKNGDFIQPFGVCRHEFHSSCVQSWVLAGNITCPLCRQKLPINV >Vigun09g055400.1.v1.2 pep primary_assembly:ASM411807v1:9:5553055:5557445:1 gene:Vigun09g055400.v1.2 transcript:Vigun09g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNVWPNPSGRFSPFRSTSPTLSVIRFVPPSPSSVRCHSSLTHHTFRCAVLGAGFAGLSVVWHLLKQSPKDLNLRVDIYDEVGIGGGASGISGGLLHPYSPKVKLLWEGAQCWKESMELLRVAEEASVSKDYRTGESAEDMEAFVAHKRGILRPATVMKNMIKLNDNVKTCLPSCRVQTLNNVEAQSLLPGVHLPFNTAFYMPEALNINSRHYLQALFRGCEILVKESSTLDSSQKQLSLHKRSVDRLSEFEGEYDAVIVCLGAKVNMLPELSGRLPLRTCRGVIAQLELHDDMKGYPERAPSILSDAWIAVQGPHSLNLGSTWEWKSVSSSPNVSSDEASKALQELLPKASTIYPGIKDWVFTGARAGLRAMPPLTTLGSLPLLGCINDIIGRNHTCKYWLFGGLGSRGLLYHAWLGNLMAQAVLSFNEEVIPSELRSWKSIEPKCSLLL >Vigun07g196900.1.v1.2 pep primary_assembly:ASM411807v1:7:31675888:31680803:1 gene:Vigun07g196900.v1.2 transcript:Vigun07g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKRKKGPSRISATTVLFYLLILSLVGFFLFASYRHWSSRSRLQSESHMSISEGDNNSVDSKKSELPGYAVLNTSKGSIIIELHKETAPEVVDEFIDLCQKGHFKGMLFHLVIKHYVIQAGDNHGAGATEDWNLRGKHHAISSMKHEAFMLGTSKGKHNHKGFDLFITTAPIPDLNEKLIVFGQVIKGEDVVQEIEEVDTDEHYKPKISIGILDVTLKQKV >Vigun07g196900.2.v1.2 pep primary_assembly:ASM411807v1:7:31675888:31680803:1 gene:Vigun07g196900.v1.2 transcript:Vigun07g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKRKKGPSRISATTVLFYLLILSLVGFFLFASYRHWSSRSRLQSESHMSISEGDNNSVDSKKSELPGYAVLNTSKGSIIIELHKETAPEVVDEFIDLCQKGHFKGMLFHLVIKHYVIQAGDNHGAGATEDWNLRGKHHAISSMKHEAFMLGTSKGKHNHKGFDLFITTAPIPDLNEKLIVFGQVIKGEDVVQEIEEVDTDEHYKPKISIGILDVTLKQKV >Vigun10g149700.2.v1.2 pep primary_assembly:ASM411807v1:10:36849662:36851333:-1 gene:Vigun10g149700.v1.2 transcript:Vigun10g149700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTYSAEGAEAETYTSSSTTPSSAMRSSKKKANNNTRRFSDDQIKSLETMFETESRLEPRKKLQLARDLGLQPRQVAIWFQNKRARWKSKQLERDYGILQSNYNSLASRFEALKKEKQTLLIQKLNHLMQKPLEGGQSRTQVEAANSMDSESENGGTMKCEEEEKPSPSVERSEHVHGVLSDDDGSIKVEDFGLEDEHGLLNFGEHADDSLTSPEDWSAFESDGLLGQTTGDYQWWDFWS >Vigun10g149700.1.v1.2 pep primary_assembly:ASM411807v1:10:36849662:36851333:-1 gene:Vigun10g149700.v1.2 transcript:Vigun10g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTYSAEGAEAETYTSSSTTPSSAMRSSKKKANNNTRRFSDDQIKSLETMFETESRLEPRKKLQLARDLGLQPRQVAIWFQNKRARWKSKQLERDYGILQSNYNSLASRFEALKKEKQTLLIQLQKLNHLMQKPLEGGQSRTQVEAANSMDSESENGGTMKCEEEEKPSPSVERSEHVHGVLSDDDGSIKVEDFGLEDEHGLLNFGEHADDSLTSPEDWSAFESDGLLGQTTGDYQWWDFWS >Vigun05g170533.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30045752:30046030:1 gene:Vigun05g170533.v1.2 transcript:Vigun05g170533.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FEKKTTDVNKAIQSSNASSPSYVFHINSPFYRRHLKRIYKQNTKTPRKISSPKVPDKRACTQRAREKGFVTRLWLKVKGLLGKKDESDEGIN >Vigun05g170533.1.v1.2 pep primary_assembly:ASM411807v1:5:30045666:30046030:1 gene:Vigun05g170533.v1.2 transcript:Vigun05g170533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTPLILQANNDSEKKTTDVNKAIQSSNASSPSYVFHINSPFYRRHLKRIYKQNTKTPRKISSPKVPDKRACTQRAREKGFVTRLWLKVKGLLGKKDESDEGIN >Vigun10g038000.1.v1.2 pep primary_assembly:ASM411807v1:10:5157732:5167369:1 gene:Vigun10g038000.v1.2 transcript:Vigun10g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFASSSSKLPWMYDVLINFTGDDIRNKFVSHLDSALSNVGFTTFLHHQNAVKPMHIQQPIHDLCRVAIVVFTKTYSQSAWCLHQLQQIIEWHETYCRHVLPVYYEISPSDIRLQKGDFGKAFEATAHQTFSRQQLEHGMSRWSQALTKAANFFGWDESNYSFFVGKDRAYVREILNGCGVDADSGIRVLIDRNLIKLKRNNTFGMHPLLQEMGREIDHRKGEERYALEETDVFASERVSVLARRRKKLFLTRALCFKPYAPVIRHNSRLLKIVGNPEYLRLNSSKFLPNDFYLHGAISIDLSHTSLRLDWKKLQVWASLKVLNLSLKSCSKLRKVHRSIGCLYDLTLLNLKDCTRLSNLPKETYKLKSLRTLILSGCSKIELMEKDVLQMESLVSLIAENTAVKQVPLSIVRSKSIGYISLHRFEGLPRNLFPSIIRSRISPTMDSLSYVHSFMDKKDYIQDAIASFLRMLVNLRSVSVECDPEFELSKEVKTVLVEYCPNITESRISKHHLRSSFISVGRYNDFLNTVLAISESCDVSLPGDNDPYWLAHTGEGHSVSFTVPQDRVMKGIVLNVVYLSTPGILTTESFTSVLIVNYTKCTLLMHNHDRVISFNAASIFCFTHISL >Vigun02g010600.3.v1.2 pep primary_assembly:ASM411807v1:2:4138203:4167298:-1 gene:Vigun02g010600.v1.2 transcript:Vigun02g010600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPFQVGDLAESKSFQSGFRGAWFRCKIRDIRTKNAVISHLLEYFDYTDQKPSWIKLYQKPLSNIGKSKGLNKELMLRPSFPTFSRESGKPDVNGRSEVTVIVNNTWKVGDLVDWYTDGCYWSGSVTKLLGNDKVQINLLPPPLGEGLSYEALSKDLRPTLDWCPEKGWSVPIPVGDECRQPCARIINPANSDVTDEIGRHTVRLHSSSLNLKDYPDRSIARRNQSNSARNGMEIDESDNVSSLHIMDSSVENLEIPSINGIYNEYPAKRSDRSLCLNSMSSNTIEAAIIDLEELVNRIKWLRHVLNLRVPLSDTKQSSWEFSKHHASCK >Vigun02g010600.2.v1.2 pep primary_assembly:ASM411807v1:2:4138203:4167298:-1 gene:Vigun02g010600.v1.2 transcript:Vigun02g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPFQVGDLAESKSFQSGFRGAWFRCKIRDIRTKNAVISHLLEYFDYTDQKPSWIKLYQKPLSNIGKSKGLNKELMLRPSFPTFSRESGKPDVNGRSEVTVIVNNTWKVGDLVDWYTDGCYWSGSVTKLLGNDKVQINLLPPPLGEGLSYEALSKDLRPTLDWCPEKGWSVPIPVGDECRQPCARIINPANSDVTDEIGRHTVRLHSSSLNLKDYPDRSIARRNQSNSARNGMEIDESDNVSSLHIMDSSVENLEIPSINGIYNEYPAKRSDRSLCLNSMSSNTIEAAIIDLEELVNRIKWLRHVLNLRVPLSDTKQSSWEFSKHHASCK >Vigun01g001800.1.v1.2 pep primary_assembly:ASM411807v1:1:268235:270244:1 gene:Vigun01g001800.v1.2 transcript:Vigun01g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPPPNDLCSICHANFHIPCQANCSHWFCANCIMLVWQHASGTSSCKCPLCRRPITLLVPTEHSLSHRHDPEVAHILSKIHAYNRVFGGQPSSLFQRVQDLPFLLHRLLREFLNPQRSLPLVIRARVFVAMIASVVYILSPIDLIPEAMLGIVGLLDDVLIGLICFLHVAAIYRSVLYLRHAGS >Vigun01g001800.2.v1.2 pep primary_assembly:ASM411807v1:1:268290:269952:1 gene:Vigun01g001800.v1.2 transcript:Vigun01g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLKKKKNNSCRVRKTANEGAEMESGPPPNDLCSICHANFHIPCQANCSHWFCANCIMLVWQHASGTSSCKCPLCRRPITLLVPTEHSLSHRHDPEVAHILSKIHAYNRVFGGQPSSLFQRVQDLPFLLHRLLREFLNPQRSLPLVIRARVFVAVSFLTLSTSILAVFLNRLSMIASVVYILSPIDLIPEAMLGIVGLLDDVLIGLICFLHVAAIYRSVLYLRHAGS >Vigun09g149600.1.v1.2 pep primary_assembly:ASM411807v1:9:31333643:31337827:1 gene:Vigun09g149600.v1.2 transcript:Vigun09g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALRPVREESFNAGGKFTGCFSPLLRDSILIYLTVGGSVIPLRIMKTDSIASVKLRIQTFKGFFVKKQKLVFEGKELARNKSCIRDYGVGDGNILHLVLRLSDLKAITVKTVCGKEFALYVEKSRSVGYVKQQIAKKGQGLVDLKDQELICEGEALEDQRLIEDICKDNDAVIHFLVRISDAKVRTKPVEKDFELSIEASYVHNLVSNLHADQLGPVSVTNEILERNQLSRDYLVEPIIMNSNMKIPPVIHKIIKMTLEGLENGCKPIQSPEGSGGAYLMQDSSGLKYISVFKPIDEEPMAINNPRGLPISEDGEGLKKGTRVGQGALREVAAYILDHPRKGPRSYHNNDEPGFAGVPPTVLVKCLHKGFHNVEDYQNVSGNAKIGSLQMFMRNIGSCEDIGPSAFPVEEVHKISVLDIRLVNADRHAGNILISKDGEDGHTILIPIDHGYCLPESFEDCTFDWLYWPQAKEPYSADTIDYIKSLDAEEDIKLLKFHGWDLPPKCAQILRISTMLLQKGAERGLTPFAIGSIMCRETLKKKSVIEEIVQEAEEAAFPGASEAAFLDLVSVIMDCHLDELFQ >Vigun06g134400.1.v1.2 pep primary_assembly:ASM411807v1:6:26064379:26067394:1 gene:Vigun06g134400.v1.2 transcript:Vigun06g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNIGIRIRNPTISNATRRTLVAFSTSSGFGDGGRGRGRGGSLPGSGPFNFNERAPGQPNSSEPKSDKTESPIPPGSAHGHGRGKPMPPPGLPSFSPFLSSINQPPAGRGRGTGPQPPNDLRSPAGRGRATVPQPPNDLGPPDSGPIKPILFKREDNASPTTRDGFPIDVEHVNKLPGGILEVLSGLGRGKPMKQPDQETRVTEENRHLRARPAPGAAASDTLPGRQAIPSRDDAVRNARKFLSQGEDDGSGTGRGRGFRERGGLGRGRGRGRGRGIGRGGLRGRDADERLGQLKDAEDSYATGLYVGDDADGEKFAKRFGPEIMNQLTEGFEEMAGRVLPSPLEDEFLDALDINYAIEFEPEYLVEFDNPDIDEKEPIPLRDALEKMKPFLMAYEGIQSQEEWEEIMEETMARVPLLKKIVDHYSGPDRVTAKKQQEELERVAKTLPESAPSSVKQFTNRAVVSLQSNPGWGFDKKCHFMDKLVAEVSQHYK >Vigun05g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37412317:37413407:1 gene:Vigun05g192500.v1.2 transcript:Vigun05g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTILKLTAFLVLLIFSTLHDSHFASATDVQDQNHNEEEDEDYVLDTPITHSGPRSRFLVSVIKKGRQCNAESNNICNGVRANKGRDLLYCCKTHCRNVLRDKNNCKVCGNKCKQGERCCNGVCTNVLSNANHCGKCDKKCSSGDSCGNGVCGYA >Vigun06g145900.1.v1.2 pep primary_assembly:ASM411807v1:6:27122708:27125300:1 gene:Vigun06g145900.v1.2 transcript:Vigun06g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLPSEVYKMAIFWFLVFSAHLATASSSVVEVQDKDPRQKKEGMQLKLYHVKGLESSQTSTSPFSFSDMIKKDEERVRSLHSALANKDGVRNSASSDKFKGPNLLTTPLKSGLSIGSGNYYVKIGLGTPAKYFSMIVDTGSSLSWLQCQPCLIYCHEQVDPVFTPSTSKTYKRFPCSSPQCSSLKASTLNPPSCSNATGACVYKASYGDSSFSIGYLSQDLLTLSPSEGSSGFVYGCGQDNQGLFGRAAGIIGLANDKLSMLSQLSQKYGNAFSYCLPTSFSQPNSSLSGFLSIGASSLTSSPYKFTPLLKNPKIPSLYFLGLTTITVAGKPIQVSASSYNVPTIIDSGTVITRLPEAVYNALQQSFVTIMSKKYAQAPGYSILDTCFKGSVKEMSTVPLIQLIFRGGAGLALEAHNSLIEIEKGLTCLALAGTPNPISIIGNYQQQTFKVAYDVANSKIGFAAAGCK >Vigun06g145900.2.v1.2 pep primary_assembly:ASM411807v1:6:27123243:27125370:1 gene:Vigun06g145900.v1.2 transcript:Vigun06g145900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLPSEVYKMAIFWFLVFSAHLATASSSVVEVQDKDPRQKKEGMQLKLYHVKGLESSQTSTSPFSFSDMIKKDEERVRSLHSALANKDGVRNSASSDKFKGPNLLTTPLKSGLSIGSGNYYVKIGLGTPAKYFSMIVDTGSSLSWLQCQPCLIYCHEQVDPVFTPSTSKTYKRFPCSSPQCSSLKASTLNPPSCSNATGACVYKASYGDSSFSIGYLSQDLLTLSPSEGSSGFVYGCGQDNQGLFGRAAGIIGLANDKLSMLSQLSQKYGNAFSYCLPTSFSQPNSSLSGFLSIGASSLTSSPYKFTPLLKNPKIPSLYFLGLTTITVAGKPIQVSASSYNVPTIIDSGTVITRLPEAVYNALQQSFVTIMSKKYAQAPGYSILDTCFKGSVKEMSTVPLIQLIFRGGAGLALEAHNSLIEIEKGLTCLALAGTPNPISIIGNYQQQTFKVAYDVANSKIGFAAAGCK >Vigun09g098100.1.v1.2 pep primary_assembly:ASM411807v1:9:15280860:15285221:1 gene:Vigun09g098100.v1.2 transcript:Vigun09g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSIISISSASLFTPTTPQPKTHCLLKHTKDNDHLPPSSFTTLSSLRISKPKTTTRVNVPPRTRRWSCSASASSSSTLPSALLFDCDGVLVDTEKDGHRISFNQTFQERNLGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPANAPAGEQERKEFVASLHKQKTELFMALIEKKLLPLRPGVAKIIDQAFAQGVQVAVCSTSNEKAVSAIVSFLLGPERAEKIKIFAGDVVPRKKPDPAIYLLAASTLGVEPSRCVVVEDSAIGLAAAKAAGMTCIVTKSGYTEDEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >Vigun07g168400.1.v1.2 pep primary_assembly:ASM411807v1:7:28219524:28221734:1 gene:Vigun07g168400.v1.2 transcript:Vigun07g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVSTVAVSEMLGNPVSLRGATRSAPSPSTPVTFKTVALFSKKKAAPPPPKKKAAAVSPANEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAFELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGGTLNYFGKPIPINLIVAVVAEIVLVGGAEYYRIINGLDFEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLAKHLSDPFGNNLLTVLAGSAERVPTL >Vigun09g252200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41915288:41917130:1 gene:Vigun09g252200.v1.2 transcript:Vigun09g252200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTASFRRATVCSSGVNILMIFSVITTNLFALYAFSSSPKDLHNHHLLHKNFSLISEQVTLILREIDLSQKKLAQMEKDLLGYESIDLSRNNTSNELKLFLRRHHLPLGKDSKTGITEMVSSVGHSCDQSSHLLYQYMNYKVSGPCPNDWSVAQKLVLKGCEPLPRRRCFAKTISKVGLLPFPTSLWEPPVNNTVNWSGLGCKSFECLKGKKLSRDCIGCFDLVNGYENQRFVKSRSKNDFVVDDVLTLGGGGIRIGLDVGGGSGSFGAVMAERNVTVVTTTLNVGAPFSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHAASGLDDGGRQEKLEFLMFDIDRVLRAGGLFWLDNLYCVDEEKKRELTRLIERFGYKKLKWVVGEKVDSLGSGKSQAVLSAVLQKPARV >Vigun05g099100.1.v1.2 pep primary_assembly:ASM411807v1:5:9705001:9708253:1 gene:Vigun05g099100.v1.2 transcript:Vigun05g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHKIFFRVFIPLCMIYLNININVATCHSLHHQQFLLLHFKDSLVFNPATSEKLVHWNQSSVCCQWNGVTCSKGVVIGLDLSQEFIFGGLDNSTLFELEYLQRLNLAYNHFNSSIPVNFGKLKSLRYLNLSNAGFHGQIPGEISHLTYLTTLDLSTPLTSQPILKLQNPNISMFLQNLAKITELYLDGVKVSAEGKEWCHALSSLQNLQLATLEKLSIIRLSLNNISSSVPEFFANFSNLNVLEISSCSLSGLYPKGIFQLQILKVLDISNNRDLHGVLPNFIQDAPLHTMNLSNTNFSGKLPGSISNLTQLVHLDLSFNRFTGPLPSFKMVKNLRYLSILNNNLTGVITTTHFEGLENLLSINLGDNYLSGNIPMSLFTLLSLQELTLSHNELDGLLDEFPNASSSKLELIDLSSNRLNGHIPVSIFHIKGLRFLQLSDNEFNGTMRLDMVQRLQNLHTLSLSHNKLSVDVTLKDDHDISSFPSMKYLLLGSCNLGEFPGFLRNQTQINALDLSNNQIQGEIPNWIWRFKSLVYLNLSNNFLTNMEGPFDDLNSNLYILDLHSNQLTGSVPTFTKYVVHLDYSINKFSTAPLNMDKYVPFVYFLSLSDNNFQGKITESFCNLSSLRLLDLSYNSFNGLIPKCLMRRNSTLRVLNLAQNKLQGYVSDTISSSCSLRFLNLNGNLLEGVIPNTLANCKSLQVLNLGNNMFDDRFPCFLMKISTLRVLILRSNKLNGPIACPRSTSNWDMLHIVDLASNNFIGILTGQVLRGLKQMMRCETESHGKYGTLFFDIFDDIMRYNSLSTLSCINKFLVIKLHKLLETEPYDVLVHIFNYYRVSNEYGSRYLDSVTVVDKALQMKLIKIPTIFASLDLSSNHFEGPIPEELVSLTALNVLNFLSGKIPSELAGLNFLAYLNLSFNHLWGEIPTGAQIQTFDSSSFEGNEGLCGPPLRDCSSVRGGHSSPTPVYEMQGSIDWSFLSVELGFIFGLGIVILPLILFECWRVLYWKHVDDLLYMLFPQLGFFYEHHKGQRYRSLRWTG >Vigun09g216400.1.v1.2 pep primary_assembly:ASM411807v1:9:39054602:39062395:-1 gene:Vigun09g216400.v1.2 transcript:Vigun09g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKIEKFSEDGENEHLRYGLSSMQGWRATMEDAHAAYTNLDGSTSFFGVYDGHGGKVVAKFCAKFLHQEVLKSKAYLTGDIGTSLQKSFLRMDEMMRGQRGWRELSILGDKINKFSGMIEGLIWSPRSSDGNCRVDDWAFEEGPHSDFAGPTSGSTACVAVIRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEIERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTANPDINTVELCDEDEFVVLACDGIWDCMSSQQLVDFIHAQLCTQTKLSAVCETVLDRCLAPSTAVGEGCDNMTMILVQFKRPAQSSASTEEQSSSTGQAEPETQLERSES >Vigun09g216400.2.v1.2 pep primary_assembly:ASM411807v1:9:39054723:39062395:-1 gene:Vigun09g216400.v1.2 transcript:Vigun09g216400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKIEKFSEDGENEHLRYGLSSMQGWRATMEDAHAAYTNLDGSTSFFGVYDGHGGKVVAKFCAKFLHQEVLKSKAYLTGDIGTSLQKSFLRMDEMMRGQRGWRELSILGDKINKFSGMIEGLIWSPRSSDGNCRVDDWAFEEGPHSDFAGPTSGSTACVAVIRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEIERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTANPDINTVELCDEDEFVVLACDGIWDCMSSQQLVDFIHAQLCTQTKLSAVCETVLDRCLAPSTAVGEGCDNMTMILVQFKRPAQSSASTEEQSSSTGQAEPETQLERSES >Vigun09g216400.3.v1.2 pep primary_assembly:ASM411807v1:9:39054621:39062395:-1 gene:Vigun09g216400.v1.2 transcript:Vigun09g216400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKIEKFSEDGENEHLRYGLSSMQGWRATMEDAHAAYTNLDGSTSFFGVYDGHGGKVVAKFCAKFLHQEVLKSKAYLTGDIGTSLQKSFLRMDEMMRGQRGWRELSILGDKINKFSGMIEGLIWSPRSSDGNCRVDDWAFEEGPHSDFAGPTSGSTACVAVIRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEIERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTANPDINTVELCDEDEFVVLACDGIWDCMSSQQLVDFIHAQLCTQTKLSAVCETVLDRCLAPSTAVGEGCDNMTMILVQFKRPAQSSASTEEQSSSTGQAEPETQLERSES >Vigun03g232700.1.v1.2 pep primary_assembly:ASM411807v1:3:38763208:38772608:-1 gene:Vigun03g232700.v1.2 transcript:Vigun03g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLINPEPFLSPPNSIFPNKPPCITRFQLRPFPNLHRHRSINRRLSSTPPPRATLDSTTIEQFGIPEFDVRNPALSSSYRSSALPRPNQTVLEAQARVCTGPTQTRPLDEQQAFKVFDTILRSARGEMKDEDEVSKAQLGAFFAGMTIRANAFPEATQWSEGETRAMKTFWPLLVRVLPPEVIFIADPEGLMMGVGSSIGPQYVGNGTSEMRLVGALREVLAGGHLGFEEVQGVLKDVLPFQEGHEKPKEASEALLSAFLIGQRMNRETDRELKAYCLAFDDEFGPPPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESCLLHGVDWMAPKGGVTEEQMLKFMGANVNLSPVKAKKLLEDDEVGFAYVSQREARPSLYSLIGIREHIKKRPPLASTEKVQQYVKASGKEAIVAGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGGLSLTTRLRSANATRGPPVNYCSGFRALDVSSTSELGGVTRQGFSLEVNAKEYGFQPTDTPRTDRSVSKNIEFGLSALLGEKGPAYDRIVLNAGVVDHLLGVDGAEDISAALDRAREAIDSGNALKRLLNYIKVSHKMG >Vigun11g183000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38605024:38605693:-1 gene:Vigun11g183000.v1.2 transcript:Vigun11g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIPAIVRQASFSAAKATRKGLEVPKGYLAVYVGDKMRRFVIPVSYLNQSSFQQLLIQSEEEFGYDHPTGGLTIPCKEDEFMSVISQLNEQ >Vigun08g116900.6.v1.2 pep primary_assembly:ASM411807v1:8:28432001:28441998:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.8.v1.2 pep primary_assembly:ASM411807v1:8:28431899:28441521:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.5.v1.2 pep primary_assembly:ASM411807v1:8:28431899:28441929:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.4.v1.2 pep primary_assembly:ASM411807v1:8:28432003:28441929:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.1.v1.2 pep primary_assembly:ASM411807v1:8:28432001:28441929:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.7.v1.2 pep primary_assembly:ASM411807v1:8:28431899:28441929:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun08g116900.2.v1.2 pep primary_assembly:ASM411807v1:8:28432001:28441998:-1 gene:Vigun08g116900.v1.2 transcript:Vigun08g116900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGDQRSLVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWLAHGTSPTSSTHKRQTINCYSGCPSAAEFSSIWSFFLDIPSMGEACEQELGLMSINENGNKSDLVPPEGQNVSGSSQVTDLPTKDKFWAGTSSIPVSGSESRILDQPPGPGNECIPKLYCPGKKISRICEDDGLYDDFIMDEVDLELENYEELFGMALSHSEELFENGGIDSLFETKEMSASAGESHCHGAVAAEGSSAGLVNAIQPACSNAASADSMLSTKTEPIVCFTGRQSQSNISFSGVTKDSAGDYQDCDASSMLLMGEPPWCPPCSESSLHSANRSNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDVYDYDPLSQTRSY >Vigun03g226200.1.v1.2 pep primary_assembly:ASM411807v1:3:37616389:37618966:-1 gene:Vigun03g226200.v1.2 transcript:Vigun03g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGWRRTFCTSNKMPENTNPLPENSCDYENAHPTPKITSTFSLFSTPSSIPHSESRPTLRCRTNSPLSKHQCNNNNNNNATTPSPTPFHLFTPSSPRPLRLSKSSCGICTQTVKTGQGKAIFTAECSHVFHFPCIAAHVKKHRIHTCPVCNANWNQLQTADENKPHHTKTTKSFKINNYSDDEPLMSPTSLSRFKPIPESNENEEEEEEEEEEEQNHEPESFHVSSSLKTRNIDASFAPEAAIVASNRSSETYVALFKVMATPRKAAASRPPVDLVTVLDVGNTVSGKELRMLKRSMEVVISSLGSADRLSIVAFSGASKRLFPLRRMTGRGQTAARRVVDALAAVELQRDGTPARNDALKKAAKVLEDRRQKNTVAKIILLTNGHEDQRLSTTRFAVRSLKYSHDGACDDSQHDSDFATRVGNLLSVVAEDFKLELKLAPRSAPAEIAAVYSVAKGCTDAFSPDSVALGDLYAAEERELLVELKVPAGTASRGSHHRFISVRCSHLDPFTQELVNSKERELIVPRPHTVRSCDPRIERLRRCHLSARAVAESRRLLARNDVSGALHLISSARASLSRKQDDECLSWLEAEQADLRSQKLRIDSSLLEEKGEPLTPMSAWRVAEKLAKVAIMRKSMNRVSDLHGFEDARF >Vigun11g075900.1.v1.2 pep primary_assembly:ASM411807v1:11:22261630:22262402:1 gene:Vigun11g075900.v1.2 transcript:Vigun11g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKVTLVAIVFALIAYNGFVLAKEECNESKDCKGKIDLCKDSAICDNKVCRCPVSIVGKQDQKCKTAGDCPYCPPGLCDKQHCDVATGKCSCLC >Vigun05g069300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5979978:5982518:1 gene:Vigun05g069300.v1.2 transcript:Vigun05g069300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLLKQLTTARRKFYERGRDESFDGKLEKLRLDLNKIKDVFVEVKKKEEELLDTLAQVYDHLRKLERVKLDEDMDGICNRIRDSALMLLPTLVFDDSYKDDDNKGGKISHSSEDLLQLQHQNIWTLEDYYRLHDPSKCCLWSLLIFPVNAVIRKRNAINLWIGEGLIGNTENKTAEEEGEDVIDDLLKCGVIVRCGNGNDPFVHRFRILPGDLHGVSNLIRDSENIISSPLQLDSKKVTVGGVHTKNVTLRNIFNIGASYLYFSPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGMSRIFEVPSSIAELEKLLILDVKACHNLERLTDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGFLISTPEKTPCRISDLKNLEKLRRLSIRIGSEAQIRDEEFEGLKDFLALEKLKISWSVSDPKYANIGVILPRGLRKFHLECFPGKFLEKCFHVFGLKLTELKITGGKLESMKVDFSWWWRVEIMRVKYLKQLKVDIDDLKALFPELRYVEVKQVSNISYVPHQWTDE >Vigun05g069300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5979968:5982518:1 gene:Vigun05g069300.v1.2 transcript:Vigun05g069300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLLKQLTTARRKFYERGRDESFDGKLEKLRLDLNKIKDVFVEVKKKEEELLDTLAQVYDHLRKLERVKLDEDMDGICNRIRDSALMLLPTLVFDDSYKDDDNKGGKISHSSEDLLQLQHQNIWTLEDYYRLHDPSKCCLWSLLIFPVNAVIRKRNAINLWIGEGLIGNTENKTAEEEGEDVIDDLLKCGVIVRCGNGNDPFVHRFRILPGDLHGVSNLIRDSENIISSPLQLDSKKVTVGGVHTKNVTLRNIFNIGASYLYFSPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGMSRIFEVPSSIAELEKLLILDVKACHNLERLTDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGFLISTPEKTPCRISDLKNLEKLRRLSIRIGSEAQIRDEEFEGLKDFLALEKLKISWSVSDPKYANIGVILPRGLRKFHLECFPGKFLEKCFHVFGLKLTELKITGGKLESMKVDFSWWWRVEIMRVKYLKQLKVDIDDLKALFPELRYVEVKQVSNISYVPHQWTDE >Vigun05g069300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5979728:5982518:1 gene:Vigun05g069300.v1.2 transcript:Vigun05g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLLKQLTTARRKFYERGRDESFDGKLEKLRLDLNKIKDVFVEVKKKEEELLDTLAQVYDHLRKLERVKLDEDMDGICNRIRDSALMLLPTLVFDDSYKDDDNKGGKISHSSEDLLQLQHQNIWTLEDYYRLHDPSKCCLWSLLIFPVNAVIRKRNAINLWIGEGLIGNTENKTAEEEGEDVIDDLLKCGVIVRCGNGNDPFVHRFRILPGDLHGVSNLIRDSENIISSPLQLDSKKVTVGGVHTKNVTLRNIFNIGASYLYFSPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGMSRIFEVPSSIAELEKLLILDVKACHNLERLTDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGFLISTPEKTPCRISDLKNLEKLRRLSIRIGSEAQIRDEEFEGLKDFLALEKLKISWSVSDPKYANIGVILPRGLRKFHLECFPGKFLEKCFHVFGLKLTELKITGGKLESMKVDFSWWWRVEIMRVKYLKQLKVDIDDLKALFPELRYVEVKQVSNISYVPHQWTDE >Vigun05g069300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5979727:5982519:1 gene:Vigun05g069300.v1.2 transcript:Vigun05g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLLKQLTTARRKFYERGRDESFDGKLEKLRLDLNKIKDVFVEVKKKEEELLDTLAQVYDHLRKLERVKLDEDMDGICNRIRDSALMLLPTLVFDDSYKDDDNKGGKISHSSEDLLQLQHQNIWTLEDYYRLHDPSKCCLWSLLIFPVNAVIRKRNAINLWIGEGLIGNTENKTAEEEGEDVIDDLLKCGVIVRCGNGNDPFVHRFRILPGDLHGVSNLIRDSENIISSPLQLDSKKVTVGGVHTKNVTLRNIFNIGASYLYFSPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGMSRIFEVPSSIAELEKLLILDVKACHNLERLTDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGFLISTPEKTPCRISDLKNLEKLRRLSIRIGSEAQIRDEEFEGLKDFLALEKLKISWSVSDPKYANIGVILPRGLRKFHLECFPGKFLEKCFHVFGLKLTELKITGGKLESMKVDFSWWWRVEIMRVKYLKQLKVDIDDLKALFPELRYVEVKQVSNISYVPHQWTDE >Vigun10g048450.1.v1.2 pep primary_assembly:ASM411807v1:10:7337157:7358270:1 gene:Vigun10g048450.v1.2 transcript:Vigun10g048450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQHFQPIVTFLYMDKVIMDQGYTSVYEFIEHQTIQAFGNTLEHMKTYLQTWMVELKREIYFAPYIDG >Vigun11g089300.2.v1.2 pep primary_assembly:ASM411807v1:11:26898683:26904740:-1 gene:Vigun11g089300.v1.2 transcript:Vigun11g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASSDESFPKVLVEAVESLDLVDVPRSTLRYNVSAFAAVTVEDVVADLAELKWQECYKTSVERISFFEGKSMLPASSDESFPVSSQSKRMNEIRDLIPEASRRSLKPIKMVPKRKRSKVPALDSVASTIDSASLASC >Vigun07g016000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1433931:1434902:-1 gene:Vigun07g016000.v1.2 transcript:Vigun07g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIPGIVRQGSFSASKATRKGLEVPKGYLAVYVGVNMRRFVIALSHLNQPSFQHLLSKVEEEFGYDHPTGGLRIPCNEDEFLSLTSRLNELL >Vigun06g234200.2.v1.2 pep primary_assembly:ASM411807v1:6:34009108:34012458:-1 gene:Vigun06g234200.v1.2 transcript:Vigun06g234200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVANPVRRITIKEIKSHPWFLKNLPRELTEVAQAAYYRKENPTFSLQSIEDIMNIVEEAKIPPPASRSVGGFGWGGEEDEDETKEAEVEAEEDEYEKRVKEAQASGEFHVS >Vigun06g234200.1.v1.2 pep primary_assembly:ASM411807v1:6:34009108:34012458:-1 gene:Vigun06g234200.v1.2 transcript:Vigun06g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHHNIIRFKEVVLTPTHLGIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVANPVRRITIKEIKSHPWFLKNLPRELTEVAQAAYYRKENPTFSLQSIEDIMNIVEEAKIPPPASRSVGGFGWGGEEDEDETKEAEVEAEEDEYEKRVKEAQASGEFHVS >VigunL059108.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:5956:6114:1 gene:VigunL059108.v1.2 transcript:VigunL059108.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun03g035100.1.v1.2 pep primary_assembly:ASM411807v1:3:2689106:2703527:-1 gene:Vigun03g035100.v1.2 transcript:Vigun03g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADFVTNDMLALTHDAFDANDNDDVAIEGERCGICMDMVIDRGVLDCCQHWFCFVCIDNWATITNLCPLCQNEFQLITCVPVYDTIGNNKVEDDSLLRDDDDWSIEGKNNTLQFPSYYIDENAVICLDGDDCKVRNGLATVEGDSDLDTSIACDSCDIWYHAFCVGFDTESTSDSTWLCPRCVADYVSKGASNSMERTTVECNADNSNSECHAKDSFSGKVSVSVADTGETAVVVSMVDRTKWVPATSEKSLLPLEVDADPMSESCILMSDINDQQSGEMKTISLPIMEEELELSLSNNSDLKKIASGTMNEPGGLDGTKLFDESHTKTSPSRIESDMGLDLGLSVGTFLPVDNAEKSEPKDQEPGVPGLTSEECFSRGDEIEVNACKDNARVVGGKRKHADYSNGQVHIKAEDGDAKPELPEEVVPKKSKATDSQMSNTDDTANDHLSENGPKHPALNDSPTKATVTPDIMNIVKGTVRRLSKGHTSTNACDQSSENKGNMAGLRVKKIMKRNSEDRESSLLVQNLRKEIREAVRNKSSINFEDNHFDPKLLEAFRTAITGPKTELVNKLSPAAIKAKKSMLQKGKVRENLTKKIFGNSNGRRKRAWDRDCEIEFWKYRCMRATKPEKIETLKSVLDLLRKGSDGTESKQASECQTKDPILSRLYLADTSVFPRKEDVKPLSVLKTVANSEQTKQNNPSEKVPNPSGNNTIKATDVNNLLSKMSFVSSEKNVDKKIVHGPVGDNSTSGKIRLNNYSERTPVSSVGSKTGTKELGQKSGCMKNDKKKWALEVLARKTATTSGGNTANGNQEDNAVFKGNYPLLAQLPSDMRPVLAPSRHNKIPISVRQTQLYRLTERLLRNTNLSVIRRTGITELAVADAINIEKEVADRSNSKLVYLNLCSQELLHRTNNTKSDVATDTTPTASSAMLTDQQSEINTEDLSTDPAVETALKNAGLLSDSPPSSPHENIETCNGDMSGPDNILELDSNPDLDIYGDFEYDLGDEDYIGASVTQVSKPKQEQNESKVKLVFSTTNLKKSDIALDCADCEGPERNEVPGEASCSPNCHNDADHRDRASVSSELLPSESAIEPLDKEFEELLYGPDKEPLIKKFPAGESISLHGDGKTETLSVANDYHIDKQHALDNKVKASEQGNENLTEKVYDTTITDQSSNISEAGESFQRKEEKSDVTAKQIDSVNHITKKVEAYIKEHIRPLCKSGVITADQYRWAVAKTTEKVMKYHCKAKNANFLIKEGEKVKKLAEQYAEAAQQNKKN >Vigun11g210300.4.v1.2 pep primary_assembly:ASM411807v1:11:40557451:40563364:1 gene:Vigun11g210300.v1.2 transcript:Vigun11g210300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSLSSSMESCNWVLKYVSILLIFFPSLHFSTSETLTPSLVDRVITDTKVLHRNFTAISEFRTINRKFLGNCSSSNPFFSVKITPNSSLSDDGFVTVTVTGVSKPSDSDWVAMISPSNSDVGTCILKEFLYLQTGDTAKLPLLCHYPIKAQYMKNDPNYLSCKNKECKKYQNGTCVTSTCSGSIQFHVVNIRSDIEFVFFSDGFLKPCIVGRSTLLKFANPKSPLYGHLSSIDSSATSMRLTWVSGGKEPQQIQYANGKTVTSTVSTFSQADMCSSVLPSPAKDFGWHDPGYIHSAVMTGLAPSSKFSYRYGSNSVGWSKQIMFKTPPVGGSNEVRFIAFGDMGKTPLDASEEHYIQPGALSVIKAIANDVDSKYINSIFHIGDISYATGFLAEWEFFFQLINPVASRIPYMTAIGNHERDYVGSGSLYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSKKSEQYQWMQKDMASVNRQKTPWLIFTGHRSMYTSSHTFLYSGDKFIDTVEPLLFQNKVDLALFGHTHNYERTCSVLQHECKALPKKDKNGVDTYDGRNYSAPVQLVIGMAGFTLDTFSTNDKSWSLKRISEFGYLRVYATRNVLNAEFVVANTTQVKDSFRITK >Vigun11g210300.3.v1.2 pep primary_assembly:ASM411807v1:11:40559352:40563364:1 gene:Vigun11g210300.v1.2 transcript:Vigun11g210300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDPNYLSCKNKECKKYQNGTCVTSTCSGSIQFHVVNIRSDIEFVFFSDGFLKPCIVGRSTLLKFANPKSPLYGHLSSIDSSATSMRLTWVSGGKEPQQIQYANGKTVTSTVSTFSQADMCSSVLPSPAKDFGWHDPGYIHSAVMTGLAPSSKFSYRYGSNSVGWSKQIMFKTPPVGGSNEVRFIAFGDMGKTPLDASEEHYIQPGALSVIKAIANDVDSKYINSIFHIGDISYATGFLAEWEFFFQLINPVASRIPYMTAIGNHERDYVGSGSLYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSKKSEQYQWMQKDMASVNRQKTPWLIFTGHRSMYTSSHTFLYSGDKFIDTVEPLLFQNKVDLALFGHTHNYERTCSVLQHECKALPKKDKNGVDTYDGRNYSAPVQLVIGMAGFTLDTFSTNDKSWSLKRISEFGYLRVYATRNVLNAEFVVANTTQVKDSFRITK >Vigun11g210300.2.v1.2 pep primary_assembly:ASM411807v1:11:40559352:40563364:1 gene:Vigun11g210300.v1.2 transcript:Vigun11g210300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAPSSKFSYRYGSNSVGWSKQIMFKTPPVGGSNEVRFIAFGDMGKTPLDASEEHYIQPGALSVIKAIANDVDSKYINSIFHIGDISYATGFLAEWEFFFQLINPVASRIPYMTAIGNHERDYVGSGSLYITPDSGGECGVPYETYFPMPTSAKDKPWYSIEQGSVHFTVISTEHDWSKKSEQYQWMQKDMASVNRQKTPWLIFTGHRSMYTSSHTFLYSGDKFIDTVEPLLFQNKVDLALFGHTHNYERTCSVLQHECKALPKKDKNGVDTYDGRNYSAPVQLVIGMAGFTLDTFSTNDKSWSLKRISEFGYLRVYATRNVLNAEFVVANTTQVKDSFRITK >Vigun09g042500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3954612:3955598:-1 gene:Vigun09g042500.v1.2 transcript:Vigun09g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSASSLNVVGYTHTTSPPSNHLSSPSHITLLALTLLLLAVTVSLALYFLLRYRNRFLHRISPSSASLFSSGNPISSDTATPSIVGSLPAFSFSSVTRRSAASGGGEDCAVCLSKFEQHDLLRLLPLCCHAFHAECIDTWLQSNLTCPLCRSAVSASESDLAKVFHSSSAGGGESFRLEIGNISSSDRRGAAAGQETRGRSYSVGAFEYFIDEEAAAEVEVEVAFGYAIQRSVSSEKHDGAAVEAAADSLRSLAGEVARGGSCNSSGWLKDYVDRLSNTMSFRSSGRFFTGSSRRSDVVQGGDYDAEANRLGDEIGEMFRWLSAV >Vigun05g189100.1.v1.2 pep primary_assembly:ASM411807v1:5:36739159:36743702:-1 gene:Vigun05g189100.v1.2 transcript:Vigun05g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGNSCESSFCRDEKMEAADLLEECWFFDNLLKITPKMTRCHSDPYPSTGLISPPDFTLKDSCVCSYSSPRKPPNNGAFVHTKKIQRAPSMPPLRLREEKEEEGQKGSKFVHQTHDPVVSHSASKPRSAQMKGQHESDCSRRKSKLLRTPSLPPSIGREEKFRVNDSRTGRSNKQTSTPTHYDILPPRQTSKTCSIPRCRPARKTEVESFNTEGVMEMRRRYLNQKTMRRSLSDLEYEEVQGFKDLGFSFEKETLSPSLANILPGLQEKKRDETEEDKAVRRPYLSEAWLVQSCAPPIPNWVSNKSAGDMKQQIKFWARAVASNVHQEC >Vigun01g006700.1.v1.2 pep primary_assembly:ASM411807v1:1:815854:818339:-1 gene:Vigun01g006700.v1.2 transcript:Vigun01g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIPLFNKEKTLVLVGRTGNGKSATGNSILGRNVFKLRKKSSGVSHICELQKNITKDGSIINVINTPGLFDGSDSVGKEIIECIDLAKDGFHALLVVFSVRTRFSEEEEATLRVVQTLFGHKIIDYMIIVFTGGDELEYNKETLDDYLGQECPQPLKDILLQCDNRKVLFNNKTKDETKQIQQVQQLLNLVNMVISKNNGESYTNQTFVKSQEGSPLKPETADLLEKLEEERIERLKIEEKLKSAQARMMKFEN >Vigun01g006700.3.v1.2 pep primary_assembly:ASM411807v1:1:815854:818339:-1 gene:Vigun01g006700.v1.2 transcript:Vigun01g006700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIPLFNKEKTLVLVGRTGNGKSATGNSILGRNVFKLRKKSSGVSHICELQKNITKDGSIINVINTPVFSVRTRFSEEEEATLRVVQTLFGHKIIDYMIIVFTGGDELEYNKETLDDYLGQECPQPLKDILLQCDNRKVLFNNKTKDETKQIQQVQQLLNLVNMVISKNNGESYTNQTFVKSQEGSPLKPETADLLEKLEEERIERLKIEEKLKSAQARMMKFEN >Vigun01g006700.2.v1.2 pep primary_assembly:ASM411807v1:1:815854:818339:-1 gene:Vigun01g006700.v1.2 transcript:Vigun01g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIPLFNKEKTLVLVGRTGNGKSATGNSILGRNVFKLRKKSSGVSHICELQKNITKDGSIINVINTPGLFDGSDSVGKEIIECIDLAKDGFHALLVVFSVRTRFSEEEEATLRVVQTLFGHKIIDYMIIVFTGGDELEYNKETLDDYLGQECPQPLKEGSPLKPETADLLEKLEEERIERLKIEEKLKSAQARMMKFEN >Vigun11g128150.1.v1.2 pep primary_assembly:ASM411807v1:11:33549592:33553796:1 gene:Vigun11g128150.v1.2 transcript:Vigun11g128150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSHPNSESVIRENHEILASVTGSLSEAKGVAVYHYSKSFQGFSAMITPEQAKQLAEYNSVVSVFESKMNKLYTTHSWDFLGLETAYENHAALDIASDVIVGVIDSGIWPESESFNDYGLGPVPEKFKGECVTGDNFTLANCNKKIIGARYYSKGFEAENGPLKSIANRIFFRSARDSGGHGTHTASTIAGSIVGNASLLGIAKGTARGGAPSARLSIYKVCWLGFCSDADILSAMDDAIHDGVDILSLSLGPGLSQPIYFEDAISIGSFHAFQNGVFVSAAAGNSFFPGTACNVAPWIMTVAASTIDREFSSIIYLGNSKILKGSSLNPTRMNQSYDLIYGSAAAATGVSPINASFCKNNTLDPTLIKGKIVICTIEDFLSDDRREKALTIRQGGGVGMILNDHNAKDFGFQFAIPTTLIGQDALEELQAYTLTEKNPKARIYPTITVVGTKPAPEVAIFSSMGPNIITPDIIKPDITAPGVNILAAWSPVGTLATVEERALNYNILSGTSMSCPHITAVAANIKSHHPHWGPAAIKSAIMTTATVMDNTHHLIGRDPKGTPTTPFDYGSGHVIPVASLNPGLVYEFNSQDVLNFLCSNGASSAQLRNLTGNLTQCQKPLTASYNFNYPSIGVSNLNGSLSVYRTVTYYGGEPTVYYASVENPSGVKVTVTPAELKFWKIGEKKSFRIDFFPLRNSNGKFVFGALVWNNGTQRVRSPIALNVLST >Vigun06g094300.3.v1.2 pep primary_assembly:ASM411807v1:6:22573794:22578838:1 gene:Vigun06g094300.v1.2 transcript:Vigun06g094300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNATSFKLVAEQVLMELQTTAPKIKGFYAATKTEVAGGSAIYAIAQCVETATEHDCLQCMTVGYNNLRSCLPNTEGRAYDAGCFMRYSTTPFFADNQTIHITPYLDQVGNPQPHLLNKGCSPYNSSNLPSFFENLNKTFSSLKAQISNDQNKHFATANTTRGEVLTYAIFQCRNYLSKTECLACFNTASTQIRNCSAANGARIIYDACFLRYESESFYDQTTEPGNGASCGNTSAMVVGFSLVAQQVLMQLQTVTPKTKGFYAATKTKLVDGSAIYAVAQCVETAAENDCLKCMQVGYNNLQNCLSNNTSGTAYDAGCFMRYSTTPFFPDNQTIDITPYLTRGGSRKKWIIIGGVVGGGGLLLIILAVFAFRRSRKPKRFPRGDILGATELKGPVNYKYADLKGATQNFSNENKLGEGGFGDVYKGTLRNGKIVAVKKLVLGKSSKMEDDFESEVKLISNAHHRNLVRLLGCCIKGEERILVYEYMANSSLDRFLFGDRGPLNWKQRYDIILGTARGLAYLHEDFHVSIIHRDIKTANILLDDDLQPKIADFGLARLLPEDRSHLSTRFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIVSGQKSTNVKEDEEGREYLLQRAWRLYERGMQLELVDEAIDPNEYDAEEVKKTIEIALLCTQASAGARPTMSEAVVLLKSKSLVDHLRPTMPVFVESNLKSREDNSRSVSTTASSSNATASVTVPSAR >Vigun06g094300.2.v1.2 pep primary_assembly:ASM411807v1:6:22573794:22578838:1 gene:Vigun06g094300.v1.2 transcript:Vigun06g094300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYSIFEIARLMMLKPNLLVLALICCCNINIVYAYGSVANPQTHLLNQRNYLSRIDCLACFNTASTQIRNCSSAKGARVIYDGCFLRYERGRFYNETTEPDNGVSCGNMSSNATSFKLVAEQVLMELQTTAPKIKGFYAATKTEVAGGSAIYAIAQCVETATEHDCLQCMTVGYNNLRSCLPNTEGRAYDAGCFMRYSTTPFFADNQTIHITPYLDQVGNPQPHLLNKGCSPYNSSNLPSFFENLNKTFSSLKAQISNDQNKHFATANTTRGEVLTYAIFQCRNYLSKTECLACFNTASTQIRNCSAANGARIIYDACFLRYESESFYDQTTEPGNGASCGNTSAMVVGFSLVAQQVLMQLQTVTPKTKGFYAATKTKLVDGSAIYAVAQCVETAAENDCLKCMQVGYNNLQNCLSNNTSGTAYDAGCFMRYSTTPFFPDNQTIDITPYLTRGGSRKKWIIIGGVVGGGGLLLIILAVFAFRRSRKPKRFPRGDILGATELKGPVNYKYADLKGATQNFSNENKLGEGGFGDVYKGTLRNGKIVAVKKLVLGKSSKMEDDFESEVKLISNAHHRNLVRLLGCCIKGEERILVYEYMANSSLDRFLFGDRGPLNWKQRYDIILGTARGLAYLHEDFHVSIIHRDIKTANILLDDDLQPKIADFGLARLLPEDRSHLSTRFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIVSGQKSTNVKEDEEGREYLLQRAWRLYERGMQLELVDEAIDPNEYDAEEVKKTIEIALLCTQASAGARPTMSEAVVLLKSKSLVDHLRPTMPVFVESNLKSREDNSRSVSTTASSSNATASVTVPSAR >Vigun06g094300.1.v1.2 pep primary_assembly:ASM411807v1:6:22573794:22578838:1 gene:Vigun06g094300.v1.2 transcript:Vigun06g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYSIFEIARLMMLKPNLLVLALICCCNINIVYAYGSVANPQTHLLNQRCSKYNASNLHSFFSSINGTFSRLKDQVNNHRKHFVTAELQARGKILIHTMFQCRNYLSRIDCLACFNTASTQIRNCSSAKGARVIYDGCFLRYERGRFYNETTEPDNGVSCGNMSSNATSFKLVAEQVLMELQTTAPKIKGFYAATKTEVAGGSAIYAIAQCVETATEHDCLQCMTVGYNNLRSCLPNTEGRAYDAGCFMRYSTTPFFADNQTIHITPYLDQVGNPQPHLLNKGCSPYNSSNLPSFFENLNKTFSSLKAQISNDQNKHFATANTTRGEVLTYAIFQCRNYLSKTECLACFNTASTQIRNCSAANGARIIYDACFLRYESESFYDQTTEPGNGASCGNTSAMVVGFSLVAQQVLMQLQTVTPKTKGFYAATKTKLVDGSAIYAVAQCVETAAENDCLKCMQVGYNNLQNCLSNNTSGTAYDAGCFMRYSTTPFFPDNQTIDITPYLTRGGSRKKWIIIGGVVGGGGLLLIILAVFAFRRSRKPKRFPRGDILGATELKGPVNYKYADLKGATQNFSNENKLGEGGFGDVYKGTLRNGKIVAVKKLVLGKSSKMEDDFESEVKLISNAHHRNLVRLLGCCIKGEERILVYEYMANSSLDRFLFGDRGPLNWKQRYDIILGTARGLAYLHEDFHVSIIHRDIKTANILLDDDLQPKIADFGLARLLPEDRSHLSTRFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIVSGQKSTNVKEDEEGREYLLQRAWRLYERGMQLELVDEAIDPNEYDAEEVKKTIEIALLCTQASAGARPTMSEAVVLLKSKSLVDHLRPTMPVFVESNLKSREDNSRSVSTTASSSNATASVTVPSAR >Vigun07g065400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7663219:7665263:1 gene:Vigun07g065400.v1.2 transcript:Vigun07g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGLGAGMFSGLVGVENALPPQQQQNPHHLQNHPQMAVPYASLHDTDPHHQHHNQSMKQGYPQYSSVKTKQQQQQSAAVSDDDDDTSDPKKKVSLWQRMKWTATMVRLLIMAVYCIGDEGGSEGTDKKKSSGMLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQSLLETMELSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGNNNAPQQGETGGELSQSQAQVHQQQPQPQQQQQQQQPPQQQQQQRCFHSSEVGNLGGLRMLKVGNGEENDEDSDSDDDESEDDSDEEEDDSGEGGSRGDVGHGHEDMEDENDGRSMRKRARKVRGVGVSEVMMQLSAEVSGVFQDGGRSGWEKKQWMRNRILQLEEQQLSYQSQSYELEKQRLKWARFSSKKEREMERAKLENERRRLQNERMILLIRQKELELMSFQHQQLHHQQQQQHSST >Vigun01g028200.2.v1.2 pep primary_assembly:ASM411807v1:1:3348081:3353265:1 gene:Vigun01g028200.v1.2 transcript:Vigun01g028200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEINKAWEATLRKSSGTKKRASSIFTQMSVAHVDDDMSAEVCQVEKILPNGDFYTGQWVEKFPHGQGKYLWTDGCMYVGEWITGSTMGTGRFSWPSGATYEGEFKSGYMDGKGTYIGASGDTYKGCWVMNLKQGQGTESYPNGDFYDGEWKKGLQNGQGRYQWKNGNQYIGQWKNGVFSGNGTMMWNNGNRFDGAWEEGLPKGNGTFRWSDGSFYVGVWSQGPGEQSGTYYPSESSPESSTDWDPQNLYSVELNDCHICPCEKVAIYPSQKTLSGCGGDEDNRPLLKKRTDGSGRPRWTSEDGRVSSYSCNDGNVGFDGGRKSGFSAMEARSISTRSSFTRLRIKNPKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPTPSLDLKSSAFDPKEKVWTRFPTEGSKHTPPHPSSEFRWKDYCPVVFRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPAYYKHVRSFENTLVTKFYGLHCVKLTGTSQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIEPTTTLKDLDLNFIFRLQKSWFQEFCRQVDRDCDFLEQERIMDYSMLVGLHFKETTSAGTVAPTRISLAGGCTPTGVEDGRSRLSGVDADNLAVDPSRWIQLGINMPARAEMTVRKSTSETPQLVGEPTGECYDIIIFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSKRFRDFIFRIFVDDT >Vigun01g028200.1.v1.2 pep primary_assembly:ASM411807v1:1:3348081:3353265:1 gene:Vigun01g028200.v1.2 transcript:Vigun01g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEINKAWEATLRKSSGTKKRASSIFTQMSVAHVDDDMSAEVCQVEKILPNGDFYTGQWVEKFPHGQGKYLWTDGCMYVGEWITGSTMGTGRFSWPSGATYEGEFKSGYMDGKGTYIGASGDTYKGCWVMNLKQGQGTESYPNGDFYDGEWKKGLQNGQGRYQWKNGNQYIGQWKNGVFSGNGTMMWNNGNRFDGAWEEGLPKGNGTFRWSDGSFYVGVWSQGPGEQSGTYYPSESSPESSTDWDPQNLYSVELNDCHICPCEKVAIYPSQKTLSGCGGDEDNRPLLKKRTDGSGRPRWTSEDGRVSSYSCNDGNVGFDGGRKSGFSAMEARSISTRSSFTRLRIKNPKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPTPSLDLKSSAFDPKEKVWTRFPTEGSKHTPPHPSSEFRWKDYCPVVFRALRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPAYYKHVRSFENTLVTKFYGLHCVKLTGTSQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIEPTTTLKDLDLNFIFRLQKSWFQEFCRQVDRDCDFLEQERIMDYSMLVGLHFKETTSAGTVAPTRISLAGGCTPTGVEDGRSRLSGVDADNLAVDPSRWIQLGINMPARAEMTVRKSTSETPQLVGEPTGECYDIIIFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSKRFRDFIFRIFVDDT >Vigun01g028200.3.v1.2 pep primary_assembly:ASM411807v1:1:3348081:3353265:1 gene:Vigun01g028200.v1.2 transcript:Vigun01g028200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEINKAWEATLRKSSGTKKRASSIFTQMSVAHVDDDMSAEVCQVEKILPNGDFYTGQWVEKFPHGQGKYLWTDGCMYVGEWITGSTMGTGRFSWPSGATYEGEFKSGYMDGKGTYIGASGDTYKGCWVMNLKQGQGTESYPNGDFYDGEWKKGLQNGQGRYQWKNGNQYIGQWKNGVFSGNGTMMWNNGNRFDGAWEEGLPKGNGTFRWSDGSFYVGVWSQGPGEQSGTYYPSESSPESSTDWDPQNLYSVELNDCHICPCEKVAIYPSQKTLSGCGGDEDNRPLLKKRTDGSGRPRWTSEDGRVSSYSCNDGNVGFDGGRKSGFSAMEARSISTRSSFTRLRIKNPKRQGETISKGHKNYELMLNLQLGIRALRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLRMLPAYYKHVRSFENTLVTKFYGLHCVKLTGTSQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKPESEIEPTTTLKDLDLNFIFRLQKSWFQEFCRQVDRDCDFLEQERIMDYSMLVGLHFKETTSAGTVAPTRISLAGGCTPTGVEDGRSRLSGVDADNLAVDPSRWIQLGINMPARAEMTVRKSTSETPQLVGEPTGECYDIIIFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSKRFRDFIFRIFVDDT >Vigun03g025900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1932753:1934680:1 gene:Vigun03g025900.v1.2 transcript:Vigun03g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAEGGDYAMMEAAEKEKQCWGIRREVKALMELAFPIAFTALIFYARSMVSMLFLGHLGDLELAAGSLGMAFANITGYSVLSGLALGMEPLCSQAFGAKRMNVLSLTLHRCVMFLLLCSIPISLLWLNISNILLPLHQDPNITRMAHTYLIFSLPDLLTHSFLHPIRIYLRAQGVTHPVTLASLAGTLLHLPFNYLLVTRLRLGLAGVAAASAASGLSILLFLATHVCLTDLRCAAPTRDCLAGWKPLLRLAAPSCVSVCLEWWWYEIMIVLCGLLVNPTSTVASMGILIQTTSFIYVFPSSLSFAVSTRIGNELGANQPYRAKLSTVVSVFLAVIIGFSAMLFAIGMRESWGRMFTNDENIIRITSMALPILGICELGNCPQTVGCGVVRGTARPNTAANINLSAFYLVGMPVAVGLGFWLDVGFCGLWLGLLSAQVCCAGLMLYVIGTTDWDVEAHRARLLMWHDDGTMDEQKQTLTSVVTVTPLA >Vigun05g027000.2.v1.2 pep primary_assembly:ASM411807v1:5:2186585:2192043:1 gene:Vigun05g027000.v1.2 transcript:Vigun05g027000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLLEGRDLCFYPVSQTNGFIFVRIRGGFHEIRNSICDVVVVARLLNATLAMPEIQSTTSSKGISSQFKSFAYLYNEEQFVLSLAKDITVVRTLPKELKGARRKKEIPVFRVPYSASPFYYFHHVLPVLKSHSVVELVVSEGGCLKATLPPDFEEYQRLRCRVSFHALKFRQEVQELSAKILQRLRAPGRPFIAFDPGMTRESLAYHGCAELFQDVHTELIQHKRSWMIKRGIVKGKLSINSAEERLKGSCPLMPEEIGTLLRAYGYSRDTIIYVSGGEVFGGQRTLIPLHAMFENVIDRTSLSTPWEMIRLYGQEVKLVDTPGPPPFTEEVTKRAAWKNAGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPDSTVMELRTNAHKLLWEAIDYVICVEADVFIPGFDLDGKGHPNFASLVMGHRLYQSAASKTFRPDRKEAAKLLDEIRQHMHHANRTWLESVRRHLTKTLLDGIIEASNKSKLQSFLSHPVPECSCLRRDSFEVSKNSSSPSTSQLWKALSVTRRCPAWMDSGPISLSKDKENEEVVDEDDSVSELFFRESAENHEGDAEVNNKEENQFEDQEDEGGDR >Vigun05g027000.1.v1.2 pep primary_assembly:ASM411807v1:5:2186585:2192043:1 gene:Vigun05g027000.v1.2 transcript:Vigun05g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELKMKSKMKWVGLFGLVLSAFSIFIHFLLARFTQMGAADYESSITIFSWRPIFEKPIPPTNSPSHRRLWGPVKRLESLYPDSNPQGNYADPVSQTNGFIFVRIRGGFHEIRNSICDVVVVARLLNATLAMPEIQSTTSSKGISSQFKSFAYLYNEEQFVLSLAKDITVVRTLPKELKGARRKKEIPVFRVPYSASPFYYFHHVLPVLKSHSVVELVVSEGGCLKATLPPDFEEYQRLRCRVSFHALKFRQEVQELSAKILQRLRAPGRPFIAFDPGMTRESLAYHGCAELFQDVHTELIQHKRSWMIKRGIVKGKLSINSAEERLKGSCPLMPEEIGTLLRAYGYSRDTIIYVSGGEVFGGQRTLIPLHAMFENVIDRTSLSTPWEMIRLYGQEVKLVDTPGPPPFTEEVTKRAAWKNAGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPDSTVMELRTNAHKLLWEAIDYVICVEADVFIPGFDLDGKGHPNFASLVMGHRLYQSAASKTFRPDRKEAAKLLDEIRQHMHHANRTWLESVRRHLTKTLLDGIIEASNKSKLQSFLSHPVPECSCLRRDSFEVSKNSSSPSTSQLWKALSVTRRCPAWMDSGPISLSKDKENEEVVDEDDSVSELFFRESAENHEGDAEVNNKEENQFEDQEDEGGDR >Vigun02g047600.2.v1.2 pep primary_assembly:ASM411807v1:2:18674583:18696364:-1 gene:Vigun02g047600.v1.2 transcript:Vigun02g047600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFRSGMRKSHSKPDIYSTFVVHDDEGDDDDGVETNRRRKSGGAQPREDPYATMVYKDNGRDDDDDDEYSSLPPLLKRLPKDFGGGAPLDYDDDDDDAGDFGTMIVKSDRRRNSDRPSSSLASPPWKSRSSSQASPLNRFGEGEDDGDEEEDSGGFSTFVVRSTVKSVEREVVSGTVVRRSSGSSGGVGSTMERAVASMQGMGDFGFGKQRKGSGSSLNDEGRHHSITTKVSTSSIPESITREDPTIKYELLNELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMGVTDESLDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSDVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTASEMLKHKFFEKWKTGSAAMLPKLEKAREIRASMALQAQTLAPAESEDQLVSKPNDEYGDTVPSKPHNIGVEGAANLSSHGTVRKLREVEDIDMSEGNFGTVIVHADEFHQKTTQYADSAVSDSAVPSGTGGRLANSGIENQKVDLTKTTSLRESSATTNTIRSSIPYASDSTEQTLKIKGSYRAPAGMGSSDIFRGETGSRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGLQQDTAGTGTVEALQELFSGDGQSKKGRRALNEMPLPPSIYQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQRTIQNLSDTLRTILRL >Vigun02g047600.5.v1.2 pep primary_assembly:ASM411807v1:2:18674583:18696364:-1 gene:Vigun02g047600.v1.2 transcript:Vigun02g047600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFRSGMRKSHSKPDIYSTFVVHDDEGDDDDGVETNRRRKSGGAQPREDPYATMVYKDNGRDDDDDDEYSSLPPLLKRLPKDFGGGAPLDYDDDDDDAGDFGTMIVKSDRRRNSDRPSSSLASPPWKSRSSSQASPLNRFGEGEDDGDEEEDSGGFSTFVVRSTVKSVEREVVSGTVVRRSSGSSGGVGSTMERAVASMQGMGDFGFGKQRKGSGSSLNDEGRHHSITTKVSTSSIPESITREDPTIKYELLNELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMGVTDESLDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSDVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTASEMLKHKFFEKWKTGSAAMLPKLEKAREIRASMALQAQTLAPAESEDQLVSKPNDEYGDTVPSKPHNIGVEGAANLSSHGTVRKLREVEDIDMSEGNFGTVIVHADEFHQKTTQYADSAVSDSAVPSGTGGRLANSGIENQKVDLTKTTSLRESSATTNTIRSSIPYASDSTEQTLKIKALVDLCCW >Vigun02g047600.3.v1.2 pep primary_assembly:ASM411807v1:2:18674583:18696364:-1 gene:Vigun02g047600.v1.2 transcript:Vigun02g047600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFRSGMRKSHSKPDIYSTFVVHDDEGDDDDGVETNRRRKSGGAQPREDPYATMVYKDNGRDDDDDDEYSSLPPLLKRLPKDFGGGAPLDYDDDDDDAGDFGTMIVKSDRRRNSDRPSSSLASPPWKSRSSSQASPLNRFGEGEDDGDEEEDSGGFSTFVVRSTVKSVEREVVSGTVVRRSSGSSGGVGSTMERAVASMQGMGDFGFGKQRKGSGSSLNDEGRHHSITTKVSTSSIPESITREDPTIKYELLNELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMGVTDESLDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSDVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTASEMLKHKFFEKWKTGSAAMLPKLEKAREIRASMALQAQTLAPAESEDQLVSKPNDEYGDTVPSKPHNIGVEGAANLSSHGTVRKLREVEDIDMSEGNFGTVIVHADEFHQKTTQYADSAVSDSAVPSGTGGRLANSGIENQKVDLTKTTSLRESSATTNTIRSSIPYASDSTEQTLKIKGSYRAPAGMGSSDIFRGETGSRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGLQQDTAGTGTVEALQELFSGDGQSKKGRRALNEQMPLPPSIYQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQRTIQNLSDTLRTILRL >Vigun02g047600.4.v1.2 pep primary_assembly:ASM411807v1:2:18674583:18696364:-1 gene:Vigun02g047600.v1.2 transcript:Vigun02g047600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFRSGMRKSHSKPDIYSTFVVHDDEGDDDDGVETNRRRKSGGAQPREDPYATMVYKDNGRDDDDDDEYSSLPPLLKRLPKDFGGGAPLDYDDDDDDAGDFGTMIVKSDRRRNSDRPSSSLASPPWKSRSSSQASPLNRFGEGEDDGDEEEDSGGFSTFVVRSTVKSVEREVVSGTVVRRSSGSSGGVGSTMERAVASMQGMGDFGFGKQRKGSGSSLNDEGRHHSITTKVSTSSIPESITREDPTIKYELLNELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMGVTDESLDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSDVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTASEMLKHKFFEKWKTGSAAMLPKLEKAREIRASMALQAQTLAPAESEDQLVSKPNDEYGDTVPSKPHNIGVEGAANLSSHGTVRKLREVEDIDMSEGNFGTVIVHADEFHQKTTQYADSAVSDSAVPSGTGGRLANSGIENQKVDLTKTTSLRESSATTNTIRSSIPYASDSTEQTLKIKGSYRAPAGMGSSDIFRGETGSRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGLQQDTAGTGTVEALQELFSGDGQSKKGRRALNEMPLPPSIYQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQRTIQNLSDTLRTILRL >Vigun02g047600.1.v1.2 pep primary_assembly:ASM411807v1:2:18674583:18696364:-1 gene:Vigun02g047600.v1.2 transcript:Vigun02g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFRSGMRKSHSKPDIYSTFVVHDDEGDDDDGVETNRRRKSGGAQPREDPYATMVYKDNGRDDDDDDEYSSLPPLLKRLPKDFGGGAPLDYDDDDDDAGDFGTMIVKSDRRRNSDRPSSSLASPPWKSRSSSQASPLNRFGEGEDDGDEEEDSGGFSTFVVRSTVKSVEREVVSGTVVRRSSGSSGGVGSTMERAVASMQGMGDFGFGKQRKGSGSSLNDEGRHHSITTKVSTSSIPESITREDPTIKYELLNELGKGSYGAVYKARDLKTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMGVTDESLDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSDVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPTASEMLKHKFFEKWKTGSAAMLPKLEKAREIRASMALQAQTLAPAESEDQLVSKPNDEYGDTVPSKPHNIGVEGAANLSSHGTVRKLREVEDIDMSEGNFGTVIVHADEFHQKTTQYADSAVSDSAVPSGTGGRLANSGIENQKVDLTKTTSLRESSATTNTIRSSIPYASDSTEQTLKIKGSYRAPAGMGSSDIFRGETGSRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGLQQDTAGTGTVEALQELFSGDGQSKKGRRALNEQMPLPPSIYQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQRTIQNLSDTLRTILRL >Vigun06g096200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22754371:22759341:-1 gene:Vigun06g096200.v1.2 transcript:Vigun06g096200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRNGSTQPSNGKASAAGSGYSIDLNAFQSRLKTFYSHWDEHKTDLWGSSDAIAIACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKSAREAVGADLVLHVKPKNDDGTALMDAIFRAIRALPKSDDHDSSTVGYISREAPEGKLLETWTEKLKNTKFNLIDVANGLSSLFAAKSNEELTSIKRAAYLTTSVMKNFVVTKLENVIDEEKKVSHSTLMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGEFDLRPSAVSNDELLHYDSASVIICAVGARYKSYCSNIARTFLIDADPLQSRAYGVLLKAHEAVIGSMKPGNKLSAAYQAAVSVVERDAPDLVSYLTKSAGTGIGIEFRESGLNLNAKNEQIIREGMVFNVSIGFQNLQSEKSKSKNRHFSLLLADTVIINKDKTEVVTSMSSKALKDVAYSFNEDEEEEKPSTKVDTKGAEPFTKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSEAGDSRSSSRASTELVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSPHDANSMKFPGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLSDLWIRPAFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQTFVNRVNDLWGQAQFNGLELEFDQPLRELGFPGVPHKSSVFIVPTSSCLVELIETPFLVVSLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEDEASDSESLVESEDDDDDEDSDEDSEEEKGKTWEELEREASNADREKGNESDSEEDRKRRKAKSFGKSRGSNLSSSMPKRAKLR >Vigun06g096200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22754371:22759341:-1 gene:Vigun06g096200.v1.2 transcript:Vigun06g096200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRNGSTQPSNGKASAAGSGYSIDLNAFQSRLKTFYSHWDEHKTDLWGSSDAIAIACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKSAREAVGADLVLHVKPKNDDGTALMDAIFRAIRALPKSDDHDSSTVGYISREAPEGKLLETWTEKLKNTKFNLIDVANGLSSLFAAKSNEELTSIKRAAYLTTSVMKNFVVTKLENVIDEEKKVSHSTLMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGEFDLRPSAVSNDELLHYDSASVIICAVGARYKSYCSNIARTFLIDADPLQSRAYGVLLKAHEAVIGSMKPGNKLSAAYQAAVSVVERDAPDLVSYLTKSAGTGIGIEFRESGLNLNAKNEQIIREGMVFNVSIGFQNLQSEKSKSKNRHFSLLLADTVIINKDKTEVVTSMSSKALKDVAYSFNEDEEEEKPSTKVDTKGAEPFTKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSEAGDSRSSSRASTELVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSPHDANSMKFPGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLSDLWIRPAFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQTFVNRVNDLWGQAQFNGLELEFDQPLRELGFPGVPHKSSVFIVPTSSCLVELIETPFLVVSLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEDEASDSESLVESEDDDDDEDSDEDSEEEKGKTWEELEREASNADREKGNESDSEEDRKRRKAKSFGKSRGSNLSSSMPKRAKLR >Vigun06g096200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22754371:22759341:-1 gene:Vigun06g096200.v1.2 transcript:Vigun06g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRNGSTQPSNGKASAAGSGYSIDLNAFQSRLKTFYSHWDEHKTDLWGSSDAIAIACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKSAREAVGADLVLHVKPKNDDGTALMDAIFRAIRALPKSDDHDSSTVGYISREAPEGKLLETWTEKLKNTKFNLIDVANGLSSLFAAKSNEELTSIKRAAYLTTSVMKNFVVTKLENVIDEEKKVSHSTLMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGEFDLRPSAVSNDELLHYDSASVIICAVGARYKSYCSNIARTFLIDADPLQSRAYGVLLKAHEAVIGSMKPGNKLSAAYQAAVSVVERDAPDLVSYLTKSAGTGIGIEFRESGLNLNAKNEQIIREGMVFNVSIGFQNLQSEKSKSKNRHFSLLLADTVIINKDKTEVVTSMSSKALKDVAYSFNEDEEEEKPSTKVDTKGAEPFTKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSEAGDSRSSSRASTELVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSPHDANSMKFPGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLSDLWIRPAFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQTFVNRVNDLWGQAQFNGLELEFDQPLRELGFPGVPHKSSVFIVPTSSCLVELIETPFLVVSLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEDEASDSESLVESEDDDDDEDSDEDSEEEKGKTWEELEREASNADREKGNESDSEEDRKRRKAKSFGKSRGSNLSSSMPKRAKLR >Vigun10g112300.2.v1.2 pep primary_assembly:ASM411807v1:10:31295497:31310818:-1 gene:Vigun10g112300.v1.2 transcript:Vigun10g112300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTVKVMSHSCKMADITDQEISLVEDLFRRRQPLPTLDAVYFMQPSKENVVMFLSDMSGREPLYKKAYIFFSSPIPKELVNHIKCDTSVLPRIGALREMNLEYFPVDSQGFTTDQDTAMDDLFGNIENTRRFNSCLNNMAIRIATVFASLKELPYVWFRAARETDDESTAAQVRELVPTNLASVVWDMVSKYKSTIPSFPQEETCDLLIIDRTVDQIAPVIHEWTYDAMCHDLLNMDGDKYMHEVPSKTGDEPEIKEVILQDHDSVWLELRHAHIADASERLHDKFTNFVSKNKAAQMQQRGRDGGEISTRDLQKMVQALPQYTEQVEKISLHVEIAGKINTIIRATELRELGQLEQDLVFGDAGAKEVIQFLRKQSTPENKLRLLMIYAIVYPEKFEGDKASKLMQLAKLSPDDMKVITNMQLLAGSHKKKSSGGEGAFSLKFNNQKIKHAARKDRTGEEEETWQLFRFFPMLEELIEKLNKGELPKTEYSCINEPIKSSARGGPAKNSKVPQTAPTTAPNSIRSRRTANWGRSRTSDDGYSSDSTLKNVLTDFKKMGKRIFIFIIGGATRSELRVCHKLTTKLKREVILGTTSMDDPPQYMTKLRTLGERPVEQPLNGLGI >Vigun10g112300.1.v1.2 pep primary_assembly:ASM411807v1:10:31295497:31310817:-1 gene:Vigun10g112300.v1.2 transcript:Vigun10g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISQPHSTTPTVLSQPLPISKSAFAAPITTSCCNQSTMSFSDSETSSGASEYKPFRQISRDRLLYEMLRSARSPDSKAWKVLIMDKVTVKVMSHSCKMADITDQEISLVEDLFRRRQPLPTLDAVYFMQPSKENVVMFLSDMSGREPLYKKAYIFFSSPIPKELVNHIKCDTSVLPRIGALREMNLEYFPVDSQGFTTDQDTAMDDLFGNIENTRRFNSCLNNMAIRIATVFASLKELPYVWFRAARETDDESTAAQVRELVPTNLASVVWDMVSKYKSTIPSFPQEETCDLLIIDRTVDQIAPVIHEWTYDAMCHDLLNMDGDKYMHEVPSKTGDEPEIKEVILQDHDSVWLELRHAHIADASERLHDKFTNFVSKNKAAQMQQRGRDGGEISTRDLQKMVQALPQYTEQVEKISLHVEIAGKINTIIRATELRELGQLEQDLVFGDAGAKEVIQFLRKQSTPENKLRLLMIYAIVYPEKFEGDKASKLMQLAKLSPDDMKVITNMQLLAGSHKKKSSGGEGAFSLKFNNQKIKHAARKDRTGEEEETWQLFRFFPMLEELIEKLNKGELPKTEYSCINEPIKSSARGGPAKNSKVPQTAPTTAPNSIRSRRTANWGRSRTSDDGYSSDSTLKNVLTDFKKMGKRIFIFIIGGATRSELRVCHKLTTKLKREVILGTTSMDDPPQYMTKLRTLGERPVEQPLNGLGI >Vigun03g096666.1.v1.2 pep primary_assembly:ASM411807v1:3:8168670:8170023:-1 gene:Vigun03g096666.v1.2 transcript:Vigun03g096666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSRLTSHVPRPTFHVSRPTCHVSRLTSHVSGLTSLVSRQTSIVPRLTSHIPRLISYASHLTFHVSRPTSHVPCVTSHVSRLTSHVLRLTSHVLGLTSHVRSHVSRLLSHISRVTSYVSRFRSHVPRLTSKVLRLTSYVSRLMSHISRPTYHVTSHLPCSAFHVPRPTSLTSLTSRVPRLTSHISRFRFLVSCPTSHVSRPTFHVSRLMSQVSGFRFQVSGLRSQISRFTFHITSLTSHVSHLTSHISRLTSHVPRPTSHVPRPTSHVPRPTSHVPRPTSSNVARPTSFNVARLMSHVPRLLSHISRLLSHISRLLSHVSRCTFHVSRRTSHVPRHTSHVPSPTSPRLMSHVPRRLTSHFPHPLTSNVLRLTSHVLSLTSHVLCRTFHAPHIMPRLTFVHVARLTSHVH >Vigun11g211580.1.v1.2 pep primary_assembly:ASM411807v1:11:40643452:40643850:-1 gene:Vigun11g211580.v1.2 transcript:Vigun11g211580.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIINILLIFWLKIILKLGGLNSTLQSKRRLSVLVSCVSTIIIAMFVLLLLLQALSRSSYGSQPLLSNYSISIHFSPLNVNNQYFTNLLAEDHS >Vigun04g089300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15757398:15758744:1 gene:Vigun04g089300.v1.2 transcript:Vigun04g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKQISSERCRKTTLARRKRGLMKKMWEFSKRCGGEQCLIVYDDDGDVEAVTSPQNPIEIHSMIQKYYETQLKNGRPHKTYGIQEFFENRKNMIEAEISKVHKEISSIKYPTWDPSFVNMEEDELRAFCAHVDAKIQACDEGIKLLKNKNVPNLMQNFDENSYLLRNMEEGGFSFVPNMPQENISQSQPLLQDFMELYDKNYEAVDVPLNSTNQLSELEFEELIWELSNCDSSYQPCDHHVGCSIQPHQSVLPTISAEHQNQHQEGASFHVLPQTNYYNMLF >Vigun01g068000.1.v1.2 pep primary_assembly:ASM411807v1:1:18247746:18256510:1 gene:Vigun01g068000.v1.2 transcript:Vigun01g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLVSAPPSFSLFTCRATASTALPPPSTTPTPAPASSIPPSPPLTCALHCPHFQTCSGCTHEFNLHRPVILDDATDFFRKHGVSDFTFDTCKLWGWRCRAKLAVRGSSTEPLIGLYEEGTHNIVDIPQCKAHHPNINAAVELLRRGITELGVEPFIEDEGTGDLRYVQMAVTTYNTSLPAAERYMKGKVQITLVWNSRNENSPGSGKLNALANFLWKSGGPRSKVHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDVSLAPSSFGQANTRAFDTLLRKLQKYVPYESAVADLYAGAGVIGLSLAATRKCRSVKCIEINKESKASFEKTIERLPSTVDSSITWHHADASKEPFLWLVGSDVVVIDPPRKGLDASLIDALKNISSVERKALSSSESSNSSQEEKRPWVLRAKEASVQIGSKPLPANIPQSVPQTLIYISCGWESFKEDCKSLLSSKAWYLEKAHGFNFFPGTQSIEVLAVFKRGPQKKKPGKKKKKHLQRAVKH >Vigun09g238400.1.v1.2 pep primary_assembly:ASM411807v1:9:40903582:40907541:1 gene:Vigun09g238400.v1.2 transcript:Vigun09g238400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHSREDLLESSDSEQEEEETYEDAAGGSSERPPKTLSTSSIDDVEAKLKALKLKYSSSSTPAVKNAVKLYLHVGGNTPKAKWVISDKLTTYSFVKTHSNTGTDDESDDTDDDESNREQPFWVMKVGSRIRAKVDPEMGLKSFPDQRRVDFVARGVWAMKFFTLQDQIDFIDSFQNCLFENTHGVEATEANKLKIYGKDFMVWAKPEAADDSMWEDAEETFSKSATPVRANQDLKEEFEEASNGGIQSIALGALDNSFLVSDNGIQVVKNFAHGIHGKGAFVNFSDGYKNGGGDSSSYSTPKKTLLMKAETNMLLMSPMGGGKLHSTGLHQLDIETGKVVSEWMFGKDGTEITMRDITNDCKGAQLDPSGSTFLGLDDNRLCRWDMRDRNGMVQNLADSNAPVLNWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSVNTMRQAKTAFPGLGSPVTHVDVTFDGKWIVGTTDTYLILICTLFTDKDGRTKTGFSGRMGNKIAAPRLLKLTPLDSHLAGANNKFRNAQFSWVTENGKQERHIVATVGKFSVIWNFQQVKDGSHECYRNQQGLKSCYCYKIVLRDDSIVESRFMHDRFAVSDSPEAPLVIATPMKVSSFSISGKR >Vigun05g081200.1.v1.2 pep primary_assembly:ASM411807v1:5:7650985:7654992:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHNIIGGIARGILYLHEHSRLKVIHRDLKPSNVLLDENMNPNISDFGLARIVEIDQEEESTNRIIGTYGYMSPEYALFGQFSEKSDVYSFGVMILEIITGKKNIGSYESHRVADGLLNFVWRNWRDEATLNILDPKLKENYSNVEVMRCIQIGLLCVQENSDSRPTMVTIASYLSSHTIELPSPQEPTFFLNHIMNPIVAHESSSGQDINSIPSSINDISISEFYPR >Vigun05g081200.9.v1.2 pep primary_assembly:ASM411807v1:5:7650985:7654993:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFGKILLTFFLI >Vigun05g081200.3.v1.2 pep primary_assembly:ASM411807v1:5:7650985:7654993:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFELLK >Vigun05g081200.4.v1.2 pep primary_assembly:ASM411807v1:5:7650985:7654993:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKVYKIC >Vigun05g081200.7.v1.2 pep primary_assembly:ASM411807v1:5:7650924:7654992:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHNIIGGIARGILYLHEHSRLKVIHRDLKPSNVLLDENMNPNISDFGLARIVEIDQEEESTNRIIGT >Vigun05g081200.2.v1.2 pep primary_assembly:ASM411807v1:5:7650925:7655005:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHNIIGGIARGILYLHEHSRLKNC >Vigun05g081200.6.v1.2 pep primary_assembly:ASM411807v1:5:7650978:7654993:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKNC >Vigun05g081200.5.v1.2 pep primary_assembly:ASM411807v1:5:7650924:7654993:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKILNKKKF >Vigun05g081200.8.v1.2 pep primary_assembly:ASM411807v1:5:7650985:7654992:1 gene:Vigun05g081200.v1.2 transcript:Vigun05g081200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMIIKVGSYFPLLRTIKNYEQQKSTHRMTGCWKMNRSMTWNPFMLIFLFLSITFFHFATADLVFLHRSCSHERSSDNSPYQTKLKTLLSSLSSNATPNANGFYNNTILGTNSSDTVYGLFMCRGDVLFDECRDCVANATHTLSSDTNCSLSKSGVIWYDECMVRFSNNSFFSTVDTYPRYYRWNQANISSNPLSFNYLLANTMRETAHEAANSSNRYSTKKESLSEFNTLYCLTQCTQDLSPQQCSDCLESAISDIPSCCDGKQGGRVVYPSCNIRYELYPFYRATDEGPKGLVPETKYAQRDSEYSENPAYISHNCSNNKAGIAFQSNLRTLLSAFSSKATSRYGFQTQEGTAYGLFRCRIDIPARLCQQCIQNATDRITSECGLASAEAVIWYNHCWLRYSARNFFSSYETSPRFGNLNISNSSPIQSSVASELSNQLAKVANMTGNTDNKFLTDESLRLNDEQRVYILGQCSTDLSTDGCSGCLNDVIGTAIPWSSLGSVGGRVLYPSCILRFELFQFYNLTPPPPPSPPGQMHSKTIILIITSSIVVSGVFFTLCYYLIRRKPRKNSRTILQENFGQESSTIESLQFNLPTIETATNNFSYENKIGKGGFGEVYKGILYDGRSIAVKRLSRNSKQGIEEFKNEVLLIAKLQHRNLVAFIGFYLDEQEKILIYEYVPNKSLDYFLFDTKQEKVLSWSERHNIIELLK >Vigun01g105700.1.v1.2 pep primary_assembly:ASM411807v1:1:27687359:27695402:-1 gene:Vigun01g105700.v1.2 transcript:Vigun01g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFTNAPVTRLFIVASAIFTIFFGIQGRFGTLGLSYQDIFGKLRLWKLIMSIFSFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFIVFSILTSLLLEVFAVALLKDPTANLVTPGPYGLIFASFVPFFFDIPVSTRFRVFSFFFSDKSFIYLAGIQLLLSSWKRSILPGMCGILAGSLYRLNVFYIRKAKFPEFISSFFSRITLPSMGSTHATSSSTRHSVGNLPSHPARQMERNYPPPMQSVEPSEDSITTLVSMGFDRNSARQALVQARNDVNVATNILLEAQSH >Vigun05g158800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25581779:25581898:-1 gene:Vigun05g158800.v1.2 transcript:Vigun05g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >Vigun11g160500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36794018:36797308:-1 gene:Vigun11g160500.v1.2 transcript:Vigun11g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFYDKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVIKRIGPSLAYLEQVRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGIPEEDMKLVMEMKAEAMKTIVGQGGEATNDDGVLLTMSTLTEDGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVALPKPRDQKERPSCIPAAVLEARAKEAAEKEKRKTEKDLEDENGGAGVYSMNLRKSYILANDEWKEDILPEILDGHNVYDFIDPDILHRVEELEREEGMREAEAEDDDFEIDGSELTPEQQEALAVIRKKKSLLIQQHRIKKSNAESRPTVPRKFDKDKQFTSERMGRQLSSLGLDPTLAIKRMRSRSVSTRGRKRERSPERNANGRDGMDIDGDTPSKKQRLSRSLSRSRSLSRPPHEVVPGEGFKDSAQKVKAIKLAKKSVKKRNKDARRGEADRVIPTLKPKHLYSGKRSSGKTDRR >Vigun03g245300.2.v1.2 pep primary_assembly:ASM411807v1:3:40825470:40831505:-1 gene:Vigun03g245300.v1.2 transcript:Vigun03g245300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKTPKEKESKTPPATSQEQSPTTGMATINPDWSNFQTYSPIPPHSFLASSPQAHPFMWGVQHVMPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMASPNGIADSSGNNPGSIEVGAKPPEVKEKLPVKRSKGSASGGSLNMWITGKNNELGKTNGESANGIHSKSGDSASDGTSEGSGENSQNDSQLKSGERQDSFEDEPSQNGSSAPQNGVHSRPQTLVNQTMPAIPISTASAPGAVPGPTTNLNIGMDYWGTPTSSAIPALHGKDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLKEENATLQAEVSRIRSEYEQLLSENASLKERLGEIPGVATPGNEDVRSGQNDQHVTNDTQQSRQKEAVQGVH >Vigun03g245300.1.v1.2 pep primary_assembly:ASM411807v1:3:40825470:40831505:-1 gene:Vigun03g245300.v1.2 transcript:Vigun03g245300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKTPKEKESKTPPATSQEQSPTTGMATINPDWSNFQTYSPIPPHSFLASSPQAHPFMWGVQHVMPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMASPNGIADSSGNNPGSIEVGAKPPEVKEKLPVKRSKGSASGGSLNMWITGKNNELGKTNGESANGIHSKSGDSASDGTSEGSGENSQNDSQLKSGERQDSFEDEPSQNGSSAPQNGVHSRPQTLVNQTMPAIPISTASAPGAVPGPTTNLNIGMDYWGTPTSSAIPALHGKVSSTAVAGGMITAGSRDGVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLKEENATLQAEVSRIRSEYEQLLSENASLKERLGEIPGVATPGNEDVRSGQNDQHVTNDTQQSRQKEAVQGVH >Vigun05g266200.1.v1.2 pep primary_assembly:ASM411807v1:5:45814639:45819431:-1 gene:Vigun05g266200.v1.2 transcript:Vigun05g266200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTQTFLQLRALTIPVRVSPKWEVGTTKPNHIVCKAQNEDVQDSDVTNLSLLSRRLALGTALIGGAAASATKPSPARAADAQLSLQKPPVTTLPAISYEEYPASVVEALSLNRTSFPEGFVFGTASAAYQKINDRSNGDVAVDEYHRYEEDIKIMKDMNLDAYRFSISWSRILPNGKPGENEEGVNKEGIEYYNKLIDHLIANGLEPYVTLFHWDFPQALEEEYGGFLNARVVDDFRNYADICFKYFGDRVKYWITLNEPWAYSREGYAVGTFAPGRCSESLDSTCLGGDSGTEPYIVTHHLLLAHAAAVDVYKNIYKDDQKGVIGITLISRWFEPYSNSEADKRAVQRALDFLFGWYMEPLTSGRYPESMRSLVGKRLPEFSEEESRLVAGSFDFLGLNYYTTNYAADQAASNLKPSYETDANVNYLTERNGIPVGTPTASDWLFVCPKGFKELLLYVKEKGNDVNNETQTLEEALLDIYRIDYYYRHLYYLLSAMSEGVNVKGYFAWSLLDNFEWKNGYLVGFGLNYVDRNHGLKRYAKLSAQWFKDFLRPKTNLKGILQT >Vigun02g028334.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10385135:10386814:1 gene:Vigun02g028334.v1.2 transcript:Vigun02g028334.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTITTTTTTTTTTTTTTAITSTSTSSSSSTTTTIANNPTTTTTITATTPTWVTTTTTTTTTTTTTTTTTTTPNTTITTTTTTMTTTTKTITITTATTSTTNSTTTTLATTSTTTATTNATKATTITTTTTTITITTTVTTTMAITITNTVTVTTTTTPPVPPPQPPSSPLLPQPTTTTTTTTRATTTTTTTHATATTTTAKTTTYTTVITITTTSATNTTTTTATTTTTTTTITLTIITTTTTTTTTNTTTTTTNTTSMATTTTTRAITITTTTTITTPTTTTTTAITTTTTLIITTTTTTHNHHHGHTTTTTTTTTITLTTIITTITTTTTTTNTTTAITTTTTTTINTTTTTHNHHHGHTTTTTITLTTIITTITTTTSTTNTTTMATTTATTTTSMTITTTTTTAPITTTTTTMTTTTTTTTTPTITTTTNPNTTTSTTIITTSTATTITTSNTNTTTTLTTTTNTTTGTTTTSTTTTTTTTTIMTTATTTTTTTTITTTTTTATTTTTTTMTITTTTTATTTTITTTTTTSTTTITTTTTTITSTTTTPPVPP >Vigun09g226000.4.v1.2 pep primary_assembly:ASM411807v1:9:39835465:39841018:-1 gene:Vigun09g226000.v1.2 transcript:Vigun09g226000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEWLHIHDAIESEMADSVSVDMEAISSPPEEHVVRTRYGCVSVAVYGDQEKPALITYPDLALNYVSCFQGLLFCPEACSLLLHNFCIYHISPPGHELGAAAIDPDGPILSADDLADEIAEVLNYFGQSAVMCMGVTAGAYILALFAVKYRHRVLGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEVRGSDYLPVSDIIQACQRSLDERQSLNVWRFLEAINGRHDISEGLRKLHCRSLIFVGDMSPFHSEALHMASKLDRRLSALVEVQACGSMVTEEQPHAMLTPLEYFLMGYGLYRPSKLSVSPRSPLSPTCISPELYSPESMGLKLKPIKTRISVEM >Vigun09g226000.1.v1.2 pep primary_assembly:ASM411807v1:9:39836120:39841035:-1 gene:Vigun09g226000.v1.2 transcript:Vigun09g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEWLHIHDAIESEMADSVSVDMEAISSPPEEHVVRTRYGCVSVAVYGDQEKPALITYPDLALNYVSCFQGLLFCPEACSLLLHNFCIYHISPPGHELGAAAIDPDGPILSADDLADEIAEVLNYFGQSAVMCMGVTAGAYILALFAVKYRHRVLGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEVRGSDYLPVSDIIQACQRSLDERQSLNVWRFLEAINGRHDISEGLRKLHCRSLIFVGDMSPFHSEALHMASKLDRRLSALVEVQACGSMVTEEQPHAMLTPLEYFLMGYGLYRPSKLSVSPRSPLSPTCISPELYSPESMGLKLKPIKTRISVEM >Vigun09g226000.2.v1.2 pep primary_assembly:ASM411807v1:9:39835465:39841018:-1 gene:Vigun09g226000.v1.2 transcript:Vigun09g226000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFKGCYFVQKPVRYCFTISASIILVHLGMRSLTLFLETLGAAAIDPDGPILSADDLADEIAEVLNYFGQSAVMCMGVTAGAYILALFAVKYRHRVLGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEVRGSDYLPVSDIIQACQRSLDERQSLNVWRFLEAINGRHDISEGLRKLHCRSLIFVGDMSPFHSEALHMASKLDRRLSALVEVQACGSMVTEEQPHAMLTPLEYFLMGYGLYRPSKLSVSPRSPLSPTCISPELYSPESMGLKLKPIKTRISVEM >Vigun09g226000.3.v1.2 pep primary_assembly:ASM411807v1:9:39835465:39841018:-1 gene:Vigun09g226000.v1.2 transcript:Vigun09g226000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEWLHIHDAIESEMADSVSVDMEAISSPPEEHVVRTRYGCVSVAVYGDQEKPALITYPDLALNYVSCFQGLLFCPEACSLLLHNFCIYHISPPGHELGAAAIDPDGPILSADDLADEIAEVLNYFGQSAVMCMGVTAGAYILALFAVKYRHRVLGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEVRGSDYLPVSDIIQACQRSLDERQSLNVWRFLEAINGRHDISEGLRKLHCRSLIFVGDMSPFHSEALHMASKLDRRLSALVEVQACGSMVTEEQPHAMLTPLEYFLMGYGLYRPSKLSVSPRSPLSPTCISPELYSPESMGLKLKPIKTRISVEM >Vigun09g246200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41464125:41466068:1 gene:Vigun09g246200.v1.2 transcript:Vigun09g246200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNRQTKNMSYQYENYDPSFPDQPVVDLYLPVWARLPAFHSKPAFIWAQDSHTTTTLLTYQQLNTNVHLISSQLQGSLQRGDTVLLLCSPGLDLVELIFGCQRAGLLSVPIVPPHPSFSKQNYHHLVRAISQTKPKAAIAHSHYISSIRHYLSSPHNNTKLAHMLQTLHWISIDHVKHGTVNNRDSLTYSGCKADEVYLVQYTSGATGIPKPVLVTAGSAAHNVRTARKAYDLHPNSTIVSWLPQYHDCGLMFLLLTIVSGATCVLTSPNAFIKRPRLWLELLSEFKATCTPVPSFTLPLVIKRGGIHQRTLPINLSTLKNLIIINEPIYSDSVEEFVHTFSPFGLSPSSISPSYGLAENCTFVSTAWRNCHSDKDGAYASSSFPDFPTHNKLLPVARLGNVEQEDVEIMVVNEETLEPSEDGVEGEIWVASPSNASGYLGHPSLTREVFHGRLRNMVSKCFLRTGDKGIVKGEKRYLFVTGRCQDVMELQNGEKVHPHYIETAAYNSCPKLLRGGCIAAFKVSATAVVVAEMQRFEKDVDVVVLKWVCERIKACVWKKEKVEIGWVVLVKSECVPKTTSGKLQRGRCKEKLLAGDMVILMEMRFEKDVTVFTRAQKVGEEAWEEKGHVTRSLSCMDTPSSLISLL >Vigun04g037600.1.v1.2 pep primary_assembly:ASM411807v1:4:3164092:3166706:1 gene:Vigun04g037600.v1.2 transcript:Vigun04g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGSSKVDKSYFLRKPLTYHFYMVYRTFQNTSKPAENMEEKRIPEVILNSGKKMPLIGLGTASIPLPPHETFTSILIDAFEVGYRHFDTASMYGSEEPLGKAVEKALELGIVKSRDEVFITSKLWPSDAHPDLVLPALKTSLQKLGLEYVDLYLIHFPVRMKPEAKGPYDILKENVIPSFDMKGVWEAMEECCRLGLAKSIGVSNFGIKKLNQLLENATIPPAVNQVEMNLSWQQAKLREFCKQKGIHVSAWSPLGAYKSDYGTNAVMESPILKEIACARQKTIAQIALRWIYEEGASAIVRSFNKERMKENLELFDWELSEEESQKFRHIPQLRMFSGINFVSENGPYKSLEEFWDGDP >Vigun11g104500.2.v1.2 pep primary_assembly:ASM411807v1:11:30267007:30269014:1 gene:Vigun11g104500.v1.2 transcript:Vigun11g104500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYEYENILVIRSSIAHHNTRHTEIGIPILPYYGFGEYMITHDSNAFILGNYLGDQHYQSIAPLWSDHPEYHVFNFQTQPVQEVRGHSINFHLPSSFSTPIQNASFASAYMQQPPPPGHRMNSSPVAFLETDDSLLYDYYFDLDIENMSYEEVVEYFEQIGNVGTGLSEEIFTRVVKTKTFLAPNNLEGVTSDEQEIDLCVICQDEYKNQEEIGILRCRHEYHVDCIRRWLHEKNVCPICKSEVLDHE >Vigun08g095333.1.v1.2 pep primary_assembly:ASM411807v1:8:22743282:22743710:-1 gene:Vigun08g095333.v1.2 transcript:Vigun08g095333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMYRIISVNIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIK >Vigun08g014200.1.v1.2 pep primary_assembly:ASM411807v1:8:1211141:1213955:-1 gene:Vigun08g014200.v1.2 transcript:Vigun08g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEALLKKEVAVAEAPIVVGLQPSALVDHVARVDWSLLHQISGEHGGSIPVKIHVLSCHDDDDDDDDSAYVKTLAGGSVANTVRGLRSGFGISSGIIGACGDDEQGVLFVHNMNFNGVDLSRLRKKKGHTAQCVCLVDDLGNRTMRPCLSNAVKVQAEELTKEDFKGSKWLVLRYAILNLEVIQEAILLAKQEGLLVSLDLASFEMVRNFKQPLLKLLESGNIDLCFANEDEATELLRGEQNADPEAAVEFLAKCCQWAVVTLGSDGCIAKHGNQIARVPAIGEAKAKDATGAGDLFASGFLYGVIKGMTLEQCCQVGACSGGSVVRSLGGELTLENLHWMNKHMQIMNLLTPNTAK >Vigun06g206200.4.v1.2 pep primary_assembly:ASM411807v1:6:32024387:32031533:1 gene:Vigun06g206200.v1.2 transcript:Vigun06g206200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLRWLMRKKSGLSDGGKSSFKIRQLPFMAVLCTVMLFIVYRTTKYQYHQEEIDKKWSFWGQPKVYPSTSGKLKGLPRGIIHADSDLELRPLWSRSSLRSKANVYSNRNLLAVPVGIKQKQNVDVMVQKFLRENFTIILFHYDGTVDGWWNLGWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVEIIKQEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTRCSDSSEGPPCTGFVEGMAPVFSRAAWYCTWHLIQNDLVHGWGVDMKLGYCAQGDRTKNVGVVDSEYVFHKGIQTLGGSGHRTTKVPNLQRTTKRQSGAGIDVRTEVYEGNRHGNLKSSKNGGMKLFPKTKLGLTHLRVTKDE >Vigun06g206200.2.v1.2 pep primary_assembly:ASM411807v1:6:32024332:32031533:1 gene:Vigun06g206200.v1.2 transcript:Vigun06g206200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLRWLMRKKSGLSDGGKSSFKIRQLPFMAVLCTVMLFIVYRTTKYQYHQEEIDKKWSFWGQPKVYPSTSGKLKGLPRGIIHADSDLELRPLWSRSSLRSKANVYSNRNLLAVPVGIKQKQNVDVMVQKFLRENFTIILFHYDGTVDGWWNLGWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVEIIKQEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTRCSDSSEGPPCTGFVEGMAPVFSRAAWYCTWHLIQNDLVHGWGVDMKLGYCAQGDRTKNVGVVDSEYVFHKGIQTLGGSGHRTTKVPNLQRTTKRQSGAGIDVRTEVYEGNRHGNLKSSKNGGMKLFPKTKLGLTHLRVTKDE >Vigun06g206200.5.v1.2 pep primary_assembly:ASM411807v1:6:32024387:32031533:1 gene:Vigun06g206200.v1.2 transcript:Vigun06g206200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLRWLMRKKSGLSDGGKSSFKIRQLPFMAVLCTVMLFIVYRTTKYQYHQEEIDKKWSFWGQPKVYPSTSGKLKGLPRGIIHADSDLELRPLWSRSSLRSKANVYSNRNLLAVPVGIKQKQNVDVMVQKFLRENFTIILFHYDGTVDGWWNLGWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVEIIKQEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTRCSDSSEGPPCTGFVEGMAPVFSRAAWYCTWHLIQNDLVHGWGVDMKLGYCAQGDRTKNVGVVDSEYVFHKGIQTLGGSGHRTTKVPNLQRTTKRQSGAGIDVRTEIRRQSTWEFEIFKERWNEAISQDKTWVDPFKSDQRRIRKMHDTKRLSKLVTI >Vigun06g206200.3.v1.2 pep primary_assembly:ASM411807v1:6:32024387:32031568:1 gene:Vigun06g206200.v1.2 transcript:Vigun06g206200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLRWLMRKKSGLSDGGKSSFKIRQLPFMAVLCTVMLFIVYRTTKYQYHQEEIDKKWSFWGQPKVYPSTSGKLKGLPRGIIHADSDLELRPLWSRSSLRSKANVYSNRNLLAVPVGIKQKQNVDVMVQKFLRENFTIILFHYDGTVDGWWNLGWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVEIIKQEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTRCSDSSEGPPCTGFVEGMAPVFSRAAWYCTWHLIQNDLVHGWGVDMKLGYCAQGDRTKNVGVVDSEYVFHKGIQTLGGSGHRTTKVPNLQRTTKRQSGAGIDVRTEIRRQSTWEFEIFKERWNEAISQDKTWVDPFKSDQRRIRKMYC >Vigun06g206200.1.v1.2 pep primary_assembly:ASM411807v1:6:32024332:32031533:1 gene:Vigun06g206200.v1.2 transcript:Vigun06g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKSLRWLMRKKSGLSDGGKSSFKIRQLPFMAVLCTVMLFIVYRTTKYQYHQEEIDKKWSFWGQPKVYPSTSGKLKGLPRGIIHADSDLELRPLWSRSSLRSKANVYSNRNLLAVPVGIKQKQNVDVMVQKFLRENFTIILFHYDGTVDGWWNLGWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVEIIKQEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTRCSDSSEGPPCTGFVEGMAPVFSRAAWYCTWHLIQNDLVHGWGVDMKLGYCAQGDRTKNVGVVDSEYVFHKGIQTLGGSGHRTTKVPNLQRTTKRQSGAGIDVRTEIRRQSTWEFEIFKERWNEAISQDKTWVDPFKSDQRRIRKMHDTKRLSKLVTI >Vigun01g011300.1.v1.2 pep primary_assembly:ASM411807v1:1:1265828:1268795:1 gene:Vigun01g011300.v1.2 transcript:Vigun01g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARTPRSRTTRTQASIRPFYETFEPKSEMKENKNAYLLHIYLPGFVKEKIKINFMRSSRVVKVTGERSLGGNRISNFEKTYSVPEDCEVEKLQGKYELGTLTVTMPKKPINNNASQVSPKSSQQETPPTPLLSSSSEKPVLDQPNPKEVAMPPKSPMEQGEKSMRDRSPRPKTVQEEAMVKPSPLFASTPVKETGKSQKGQEETEPKLTSVGDDDRRIIEHEKEEEKKQNDIATYIEKVKKRMHEKEDKVGLNSLEEKMKLGQRYINHDEVWKGAEIMKTRAKESAEKGKESIEKGKRKEDDEMKTLEKGIIREVVASAKEVVTKIGEGKLNEEEKPLVANMGAAILVIMALGAYVTYKFTSSTKA >Vigun07g287900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40060646:40062563:1 gene:Vigun07g287900.v1.2 transcript:Vigun07g287900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFLCLLPFVFYSFFSLLKLILQRRDQSCYMLAYECFMPQEDTKLNTDSAVKIVLRNRKLRLEELRFLLKTMVSSGIGENTYCPRAILEGREECPSLKDMYEEIDEIMFDTLDNLFKKTGISPSEIDILVVNVSLFSPAPSLTARIINRYKMRENVKAFNLAGMGCSASVVAIDVVQQLFKTYKNAVGIVVSTEDLGAHWYCGTDRTMMLSNCLFRSGGCSVMLTNKASLKERAILKLKHMERTQYGADDEAYNCCIHEEDEQGYLGHRLTKSLVKSAAQALTVNLQAMAPKILPVWEMVRFFFASVRYSGMKKREMVPFFTGLVLGKNKMKKTKVNLLGGGLNFKTGIEHFCVHPGGRAVIDGVGKGLRLSEYDLEPARMALHRWGNTSCGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMRDLSDTNVWKDCIESYPLQNISNPFKDKYEWINDEYLSFVRFDFSRIVH >Vigun08g181600.17.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.22.v1.2 pep primary_assembly:ASM411807v1:8:35123354:35126826:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.19.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.20.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.15.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.18.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.14.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.8.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.2.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.6.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.1.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.10.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.12.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.13.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.9.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.7.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.4.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.21.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.16.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILRLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.5.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181600.3.v1.2 pep primary_assembly:ASM411807v1:8:35123355:35127407:-1 gene:Vigun08g181600.v1.2 transcript:Vigun08g181600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun01g070500.1.v1.2 pep primary_assembly:ASM411807v1:1:18815843:18819908:-1 gene:Vigun01g070500.v1.2 transcript:Vigun01g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQEKLSLFYKSRWLVFVAAMWLQSWAGIGYLFGSISPVIKSSLGYNQKQLAMLGVAKDLGDAVGFMTGLLCELLPIWGALLVGAALNLIGYGWVWLVVTAQVPVLPLWAMCVLIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYALFHAPDHASLIFMVAVGPALVGIGLMFLVRPVGGHKQVRPTDGKCFTFVYGVCLLLAVYLLGVMVVQDLVPVSETVISIFTIILLLILVVPIVIPIILSFGPEASNPEDEFLLQEPHNKEAGKSQMDSDEVILSELEDEKPKEVDMLPASERQKRIAQLQQRLLQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLLFISMIMGSGSGLTVIDNLGQMSQSLGYDNAHIFVSMVSIWNFLGRVGGGYISELVVRDYAYPRPIALAVFQLIMTVGHLFIGMGWPGSMYVGTLLVGLGYGAHWAIVPATASELFGLRNFGALYNFITLANPAGTLVFSSLIASTIYDSEAEKQHPQSMGSMLLHLPNAAAESLKCEGSVCFFLTSMIMSGLCVLAAALCTVLVLRTRIVYANLYGKTSSSRLRL >Vigun01g070500.2.v1.2 pep primary_assembly:ASM411807v1:1:18815843:18819908:-1 gene:Vigun01g070500.v1.2 transcript:Vigun01g070500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQEKLSLFYKSRWLVFVAAMWLQSWAGIGYLFGSISPVIKSSLGYNQKQLAMLGVAKDLGDAVGFMTGLLCELLPIWGALLVGAALNLIGYGWVWLVVTAQVPVLPLWAMCVLIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYALFHAPDHASLIFMVAVGPALVGIGLMFLVRPVGGHKQVRPTDGKCFTFVYGVCLLLAVYLLGVMVVQDLVPVSETVISIFTIILLLILVVPIVIPIILSFGPEASNPEDEFLLQEPHNKEAGKSQMDSDEVILSELEDEKPKEVDMLPASERQKRIAQLQQRLLQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLLFISMIMGSGSGLTVIDNLGQMSQSLGYDNAHIFVSMVSIWNFLGRVGGGYISELVVRVNVCWHFAGWTWLRCSLGNCSSHCLRVVWSQKLWCTLQFHHSCKPCRNIGLL >Vigun01g070500.3.v1.2 pep primary_assembly:ASM411807v1:1:18816898:18819908:-1 gene:Vigun01g070500.v1.2 transcript:Vigun01g070500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQEKLSLFYKSRWLVFVAAMWLQSWAGIGYLFGSISPVIKSSLGYNQKQLAMLGVAKDLGDAVGFMTGLLCELLPIWGALLVGAALNLIGYGWVWLVVTAQVPVLPLWAMCVLIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYALFHAPDHASLIFMVAVGPALVGIGLMFLVRPVGGHKQVRPTDGKCFTFVYGVCLLLAVYLLGVMVVQDLVPVSETVISIFTIILLLILVVPIVIPIILSFGPEASNPEDEFLLQEPHNKEAGKSQMDSDEVILSELEDEKPKEVDMLPASERQKRIAQLQQRLLQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLLFISMIMGSGSGLTVIDNLGQMSQSLGYDNAHIFVSMVSIWNFLGRVGGGYISELVVR >Vigun06g202500.2.v1.2 pep primary_assembly:ASM411807v1:6:31717284:31720411:-1 gene:Vigun06g202500.v1.2 transcript:Vigun06g202500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFAKAIGKPPEELRLPAMGFNNSKTPQEIVESFRSVWPESAVYNLPHGNFMALSHEDESPKHPRSIVVLDDIFCIFVGALENIADLRHHYGLPRQATEAMIVIEAYKVLRDRAPYPPDQVVRHLDGKFAFIIFDARTFTLFIARDRDGSVEFQWGMARDGSLICSDDPTIIKEGCGQACGNFPPGCIFINGSGLTNFDHPRHKVRGVVHEDDSGNILSVYFQVDLYTKIPSIPRTGSAANWADAAAAAEVKGE >Vigun06g202500.1.v1.2 pep primary_assembly:ASM411807v1:6:31717284:31720412:-1 gene:Vigun06g202500.v1.2 transcript:Vigun06g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFAKAIGKPPEELRLPAMGFNNSKTPQEIVESFRSVWPESAVYNLPHGNFMALSHEDESPKHPRSIVVLDDIFCIFVGALENIADLRHHYGLPRQATEAMIVIEAYKVLRDRAPYPPDQVVRHLDGKFAFIIFDARTFTLFIARDRDGSVEFQWGMARDGSLICSDDPTIIKEGCGQACGNFPPGCIFINGSGLTNFDHPRHKVRGVVHEDDSGNILSVYFQVDLYTKIPSIPRTGSAANWADAAAAAEVKGE >Vigun06g202500.3.v1.2 pep primary_assembly:ASM411807v1:6:31717284:31720411:-1 gene:Vigun06g202500.v1.2 transcript:Vigun06g202500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFAKAIGKPPEELRLPAMGFNNSKTPQEIVESFRSVWPESAVYNLPHGNFMALSHEDESPKHPRSIVVLDDIFCIFVGALENIADLRHHYGLPRQATEAMIVIEAYKVLRDRAPYPPDQVVRHLDGKFAFIIFDARTFTLFIARDRDGSVEFQWGMARDGSLICSDDPTIIKEGCGQACGNFPPGCIFINGSGLTNFDHPRHKVRGVVHEDDSGNILSVYFQVDLYTKIPSIPRTGSAANWADAAAAAEVKGE >Vigun07g169700.1.v1.2 pep primary_assembly:ASM411807v1:7:28380553:28388144:1 gene:Vigun07g169700.v1.2 transcript:Vigun07g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHSLNLRLFAPPPTAIPTHAPLPPTNLLFPRHRRLPYLRASSSPEILVSDNNGVPAPLSDPSSIEVDAVTEAELKENGFRSTRRTKLVCTVGPATCGFEQLEALAVGGMNVARINMCHGTREWHKEVIDRVRRLNHEKGFAVAIMMDTEGSEIHMGDLGGASSVKADDGEIWTFSVRAFDSTLPQHTINVSYEGFAEDVQVGDELLVDGGMVRFEVIQKIGPDVECRCTDPGLLLPRANLTFWRNGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVITHLKSYIAARSRDSDISVIAKIESIDSLKNLEEIIQAADGAMVARGDLGAQIPLEQVPSAQQRIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALTVLRSVSLRIEKWWRDEKRYEAMLLPSVGSYFSEKISEEICNSAAKMANNLEVDALFVYTKTGYMASLLSRCRPDCPIFAFTTTPSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFALLKARNLIKSGDLVIAVSDMLQSIQVMNVP >Vigun11g142000.1.v1.2 pep primary_assembly:ASM411807v1:11:35173688:35178120:-1 gene:Vigun11g142000.v1.2 transcript:Vigun11g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALNSLSNRAPSHSLAARILDETGVYKNLLQEIAQRVAAPLPQYFTFRSGLGHLPVFTGTVELAGIMFTGEPAKNKKQAEKNAAMAAWSSLKQLAKETARSSTEPENNDELEQITIARALRNYRLKEKISMSNPNAPIPFPKKFQIQNPRPTSPQPPPAATSKILPLICQKTASRSRHPVSASPASVVSDNSAMPQLSATSDIRRIRRPKFPAAGAAPYVPIRQMRPPCQGMAPPVTIRTAIPVFSPPPPTAATMSLPVLRAPPVRVAPPVTIRQAVPVFAAPPVRIDEPVPSLTSLEPVPTPKDDAPTISSPSPEDKLPVKTPETEMKTEKIPAESETVQSLAQLKI >Vigun11g075601.1.v1.2 pep primary_assembly:ASM411807v1:11:22035801:22036155:-1 gene:Vigun11g075601.v1.2 transcript:Vigun11g075601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKVALIAVVFALIACNGKGLVLCMEECNNNNDCKGKIPLCISPVCADKVCHCSVSKQEKPFYCIPPCEKRFCDVSTGLCKCLCDKQ >Vigun04g035600.1.v1.2 pep primary_assembly:ASM411807v1:4:2958153:2961826:-1 gene:Vigun04g035600.v1.2 transcript:Vigun04g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAFILKESALLSFRALKSLLTLICAAAMLLLLPFRGPRRVSPAEKPSSKEECHRKGAMVRMPAKMVPRKSGVGVVVARRELAIRRVVEDDDQRCVREYWILGTKRGDTIFTQCWTPVYHKIRGLVLLLHGLNEHSSRYSDFAKQLNANGYKVYGMDWSGHGGSDGLHAYVHSLDDAVSDMKVFVEKILNENHELPCFCYGHSTGAAIILKALLDPKVEARIVGATFTSPAVGVEPSHPILVALAPILSFLLPTYECNSAYKKGLPVCRDPEALHAKYSDPLVCTGSLRIRTGYEILRITTYLQQNFRKLRVPFQVLHGTADSVTDPDASRKLYEQASSTDKTIKLYEGFSHDLLFEPERENITRDIIQWLNSRI >Vigun04g035600.3.v1.2 pep primary_assembly:ASM411807v1:4:2958148:2961945:-1 gene:Vigun04g035600.v1.2 transcript:Vigun04g035600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAFILKESALLSFRALKSLLTLICAAAMLLLLPFRGPRRVSPAEKPSSKEECHRKGAMVRMPAKMVPRKSGVGVVVARRELAIRRVVEDDDQRCVREYWILGTKRGDTIFTQCWTPVYHKIRGLVLLLHGLNEHSSRYSDFAKQLNANGYKVYGMDWSGHGGSDGLHAYVHSLDDAVSDMKVFVEKILNENHELPCFCYGHSTGAAIILKALLDPKVEARIVGATFTSPAVGVEPSHPILVALAPILSFLLPTYECNSAYKKGLPVCRDPEALHAKYSDPLASSTDKTIKLYEGFSHDLLFEPERENITRDIIQWLNSRI >Vigun04g035600.4.v1.2 pep primary_assembly:ASM411807v1:4:2958148:2961945:-1 gene:Vigun04g035600.v1.2 transcript:Vigun04g035600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAFILKESALLSFRALKSLLTLICAAAMLLLLPFRGPRRVSPAEKPSSKEECHRKGAMVRMPAKMVPRKSGVGVVVARRELAIRRVVEDDDQRCVREYWILGTKRGDTIFTQCWTPVYHKIRGLVLLLHGLNEHSSRYSDFAKQLNANGYKVYGMDWSGHGGSDGLHAYVHSLDDAVSDMALLDPKVEARIVGATFTSPAVGVEPSHPILVALAPILSFLLPTYECNSAYKKGLPVCRDPEALHAKYSDPLASSTDKTIKLYEGFSHDLLFEPERENITRDIIQWLNSRI >Vigun10g171666.1.v1.2 pep primary_assembly:ASM411807v1:10:39033458:39034954:1 gene:Vigun10g171666.v1.2 transcript:Vigun10g171666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVKIAHRRTGSSNATNGVAIPSEKSTVRDIANEFEPCSKLEDLNLDVRKKFKETEEIILADNFFQR >Vigun04g024700.2.v1.2 pep primary_assembly:ASM411807v1:4:1906370:1912379:1 gene:Vigun04g024700.v1.2 transcript:Vigun04g024700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAPFSMNASVNFTGSLRMNYIEILKMGFLLNWTAPDCEYCEKSGGRCGFDGNQFLCFCKDKSYLKSCGSGNRDQKRIIIILGLASAAMGMFMICLIINCRKCMSSIRKVKFRMTNEKDIEVFLKDHGTLGQKKYKFSEVKKMTNNFTLKLGEGGFGVVYKGELCNGYRVAVKILRASKGNGKDFMNEVASISRTSHVNIVQLIGFCLEGKKKALIYEFMPNGSLDKFIGNKGLESTPSLSWDNLLQIAKGIARGLEYLHKGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPGKESTISVSDARGTIGYVAPEICNKHFGGVSQKSDVYSYGMMLLEIVGVRNNVNGEATQTSEYFPDWIYRKLEQENELRSDGVIGTEEKEIERRMIAVSLWCIQTFPKDRPTMSKVIDMLEGNINSEEIPPKPVLSSPTTTLVAEWTTSSLQSGISVCAISVNRFYRQCNCGNPSYLLRC >Vigun04g024700.1.v1.2 pep primary_assembly:ASM411807v1:4:1906370:1912379:1 gene:Vigun04g024700.v1.2 transcript:Vigun04g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAPFSMNASVNFTGSLRMNYIEILKMGFLLNWTAPDCEYCEKSGGRCGFDGNQFLCFCKDKSYLKSCGSGNNIFRNTLIIFLAVHSDLCGASAPFMFYFPIPSFGCRRNFNSTMPFQIRNRDQKRIIIILGLASAAMGMFMICLIINCRKCMSSIRKVKFRMTNEKDIEVFLKDHGTLGQKKYKFSEVKKMTNNFTLKLGEGGFGVVYKGELCNGYRVAVKILRASKGNGKDFMNEVASISRTSHVNIVQLIGFCLEGKKKALIYEFMPNGSLDKFIGNKGLESTPSLSWDNLLQIAKGIARGLEYLHKGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPGKESTISVSDARGTIGYVAPEICNKHFGGVSQKSDVYSYGMMLLEIVGVRNNVNGEATQTSEYFPDWIYRKLEQENELRSDGVIGTEEKEIERRMIAVSLWCIQTFPKDRPTMSKVIDMLEGNINSEEIPPKPVLSSPTTTLVAEWTTSSLQSGISVCAISVNRFYRQCNCGNPSYLLRC >Vigun02g131000.1.v1.2 pep primary_assembly:ASM411807v1:2:28196653:28200534:-1 gene:Vigun02g131000.v1.2 transcript:Vigun02g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVTAYEWLLHWLVRAVGLRPYMVETEPGTVIKFWGPCDAVSNGKRLCSKPVVVLLHGFCGDGIINWQCQVAALTRDYAVYVPDLLFFGGSITDKEERSPEFQAECLAAGLGKLGVEKCVVVGFSYGGFVALKMAEMYVEMVEGVVLSGAVVAVTESVVLRAVEGIGYSSISEMLLPVSVGELKILLSVACYRNLSLPNRFYADFLQAMYSNRKERAELLEALDISYKQINIPKLHQRIHLLWGEDDKIFKLEFAQKMKEKVGNNATYEGIKSAGHLVHLERPWLYNKSLKQFLSSVFPLPAAKN >Vigun05g236400.8.v1.2 pep primary_assembly:ASM411807v1:5:42951825:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.7.v1.2 pep primary_assembly:ASM411807v1:5:42951825:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSAGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.3.v1.2 pep primary_assembly:ASM411807v1:5:42953027:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSAGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.2.v1.2 pep primary_assembly:ASM411807v1:5:42951825:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRIGELGLSESGPSSHHIPYGVLHGINTTTPVSSLINQGSAFDFGELEEAIVLHGVKGRNDDDKASLFTARPAATLEMFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.5.v1.2 pep primary_assembly:ASM411807v1:5:42953012:42959386:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNDAFCYPYHIDDCMTLEKWPKGPQDSEMLPRRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSAGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.1.v1.2 pep primary_assembly:ASM411807v1:5:42951825:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRIGELGLSESGPSSHHIPYGVLHGINTTTPVSSLINQGSAFDFGELEEAIVLHGVKGRNDDDKASLFTARPAATLEMFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSAGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g236400.4.v1.2 pep primary_assembly:ASM411807v1:5:42953027:42959376:1 gene:Vigun05g236400.v1.2 transcript:Vigun05g236400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWPMRFQQTPRGGSKSGGESTDSGLSSKTEPPLEAESPMSKKASSSDHQAFDQQHLQQRQQLQQDMASDAARTPSSQNQSAAKSQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQIEQELQRARSQGLFVDCGGVGSNVCSGAAMFDMEYGRWLEEDHRLMGELRNGLQVPLSDSDMRVMVDGYLSHYDEIFRLKGVAAKSDVFHLINGMWTSQAERCFLWIGGFRPSDLITMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLEQLQQSLVDTIAGGPVVDGVQQMVVAMSKLANLEGFVRQADNLRQQTLHQLCRLLTVRQAARCFIVIGEYYGRLRALSSLWASRPRETLIGDDNSCQTTTEMQVVQHSQNHFSTF >Vigun05g093400.2.v1.2 pep primary_assembly:ASM411807v1:5:9042566:9045792:-1 gene:Vigun05g093400.v1.2 transcript:Vigun05g093400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVERKDLFVTSKLWCTDLTPERVRPALNNTLQELQLDYLDLYLIHWPFRLKDGASRPPGPGDVLEFDMEGVWREMEKLVEEKLVRDIGICNFTLAKLEKLLSIAQIMPSVCQMEMNPGWRNDKMLAACKKNYIHVTAYSPLGSSDSGRNLTKDQRVDRIANKSHKNPGQVLVKWAIQRGTSVIPKSVKPDRIMQNVSVFNWELPEKDFKTLSSMQEQRRVLDGEELFVNKSDGPLKSTADIWDHED >Vigun05g093400.1.v1.2 pep primary_assembly:ASM411807v1:5:9042153:9045800:-1 gene:Vigun05g093400.v1.2 transcript:Vigun05g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVKPHEPKTQAFTLLSGHSIPAVGLGTWKSGSEAVNSVFTAIVDAGYRHIDTASQYGVQKEVGEALQAAMKSGVERKDLFVTSKLWCTDLTPERVRPALNNTLQELQLDYLDLYLIHWPFRLKDGASRPPGPGDVLEFDMEGVWREMEKLVEEKLVRDIGICNFTLAKLEKLLSIAQIMPSVCQMEMNPGWRNDKMLAACKKNYIHVTAYSPLGSSDSGRNLTKDQRVDRIANKSHKNPGQVLVKWAIQRGTSVIPKSVKPDRIMQNVSVFNWELPEKDFKTLSSMQEQRRVLDGEELFVNKSDGPLKSTADIWDHED >Vigun05g093400.3.v1.2 pep primary_assembly:ASM411807v1:5:9042635:9045761:-1 gene:Vigun05g093400.v1.2 transcript:Vigun05g093400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVKPHEPKTQAFTLLSGHSIPAVGLGTWKSGSEAVNSVFTAIVDAGYRHIDTASQYGVQKEVGEALQAAMKSGVERKDLFVTSKLWCTDLTPERVRPALNNTLQELQLDYLDLYLIHWPFRLKDGASRPPGPGDVLEFDMEGVWREMEKLVEEKLVRDIGICNFTLAKLEKLLSIAQIMPSVCQMEMNPGWRNDKMLAACKKNYIHVTAYSPLGSSDSGRNLTKDQRVDRIANKSHKNPGQVLVKWAIQRGTSVIPKSVKPDRIMQNVSVFNWELPEKDFKTLSSMQEQRRVLDGEELFVNKSDGPLKSTADIWDHED >Vigun11g092900.1.v1.2 pep primary_assembly:ASM411807v1:11:27501128:27504375:1 gene:Vigun11g092900.v1.2 transcript:Vigun11g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVHALFLTLFLLCLQTKAEDSGATRKLAGACNLFKGKWVYDASYPLYDPSSCPFIDPQFNCQKHGRSDKLYQKYRWSPFSCPLPRFNGLDFLQRYRGKKIMFVGDSLSLNQFNSLACMIHAWVPKSKSTFSQRDALSKVTFEEYGLEIYLYRTAYLVDLDREKVGRVLKLDSIKNGDSWMGMDALIFNTWHWWTHTGSSQPWDYVEVDNRLLKDMNRFVAYYKGLTTWAKWIERNINPSQTKVFFLGISPVHYVGRDWNQPAKSCMSETEPFFGLKYPAGTPMAWVVVNKVLNRIKKPVYFLDVTTLSQYRKDAHPEGYSGVMAVDCSHWCLPGLPDTWNELLSAVLSG >Vigun11g094400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27700139:27700654:-1 gene:Vigun11g094400.v1.2 transcript:Vigun11g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSSSKRVENSLSNSGDFDSVCDSAFSHCLALTQHAFHGVLPYQLKTASDYIHANATHALLRKWVPAPPDRTQIDAALRRLPPNPDDDTLPLPLFKQWAYHLYTDAVVSAASKALMVRLPVGVAGIVGIGAVTRPPPQLVGTFVGAYSLAVTLSIFLGLSSQ >Vigun06g200000.5.v1.2 pep primary_assembly:ASM411807v1:6:31525285:31531369:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun06g200000.3.v1.2 pep primary_assembly:ASM411807v1:6:31525278:31531730:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKCLSLLILQNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun06g200000.4.v1.2 pep primary_assembly:ASM411807v1:6:31525274:31531730:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun06g200000.2.v1.2 pep primary_assembly:ASM411807v1:6:31525278:31531369:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKCLSLLILQNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun06g200000.1.v1.2 pep primary_assembly:ASM411807v1:6:31525274:31531369:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun06g200000.6.v1.2 pep primary_assembly:ASM411807v1:6:31525285:31530719:-1 gene:Vigun06g200000.v1.2 transcript:Vigun06g200000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLSLSPGIHKEDKVLSEVANYEKQLWAFMHSKGLLHSDTQDLYRKVRSGYEIKILSDLMLSELQDVEYSLWKLHYKHIDEFRKVIKKSSGNVERKISGRPQNHVVNRENDSHLKLFKIFLTEAIEFYQTLIVKLRKHFGVPVEALFYKKGWNYASVEPDVMQKCQYLCHRCLVCMGDLSRYKQQCENPDIQHHNWSVAATHYLEATRIWPDSGNPQNQLAVLATYIGDEFLALYHCIRSLAVKQPFPDAWNNLILLFEKNRSSPLEFVTSEVSVDFMKPFCRIGEETKVQGKDDSSNCNKFEGKNNHFTKLWSLIVRTMSFFFISSSLEEFSIALASTIGGLDKMMELEDRELKTLLESYSQMDLARRGPFRAIQAVSIIIFSLTNLLGKSESEHKDERQLMQLALAAAFSLMGRFIERCLKASSLNHCPLLPSVLVFVEWCSSINDISATDQNSTRAISYFFYVFVQLLNQLKDDRKETKKHLDRSPLWEDYELRGFIPIAHSHVSLDFCGNWEHLDNFESGIELRTERIRAAAIKMASRSNTWQKWITYDNLGSKFQVVRPDEDHDKEETKNAESNSNNTKLEEHNRQSEKDTGGHGKWVREDNSSRSSTNGKSYVVEEEEVILFRPLTRYNSAPSHPSKSTVDQMSPKDKDNQSVQSNDCLRRASSLLMAQNPAQTHSDPWEFHDRILDLRTDRSFKQQESSTKESNAHTFSETLISAGPPSLNAWVLGRGTLSDYKNNGTNDLGGQRLQPIEEIASSSLVSLSINATENSVASSVDESSIYHYSPSATYSLPVPSAPLLPDNATWFTDAQSSLSPPLFPDNSDWSSTYVPRGYDPRFSVLSNGYPSLGRMTSSEWLRWYRENYKPETTNNYMLPTHLNTPGPVNFLYHDTYRFDQFDSWSNNNPLSSNQ >Vigun09g176900.1.v1.2 pep primary_assembly:ASM411807v1:9:34899154:34902907:1 gene:Vigun09g176900.v1.2 transcript:Vigun09g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCCFKASYSQLVAARSSSSTGKGKNHEGSIKYGFSLVKGKANHPMEDYHVAKFAQIKDNELGLFAIYDGHLGDRVPAYLQKHLFTNILREEEFWEDPTLSISKAYETTDQEILSNSSDLGRGGSTAVTAILINGRRLWIANVGDSRAVLSRKGQALQMTTDHEPNMERCSIENRGGFVSNLPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDVQNTDIDVDTEILIIASDGLWKVMTNQDAVDIARRSKDPQKAAKQLTAEALKRDSKDDISCVVVKFR >Vigun04g195000.1.v1.2 pep primary_assembly:ASM411807v1:4:41967893:41971308:1 gene:Vigun04g195000.v1.2 transcript:Vigun04g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSFQGQLQHPFLSTHFPTNPNLMPQIQPMFPSQSPILIPPSPPPPPIMFHPNNTFRHIQDQTFDAPTQNPNFLLEGPLKLTTNPFCGMLTPSLGSSLGGFANTSHHHPMMLPPPNNNNNALHGHHEGKIIWDFSQKTMIHPSSPLSLPNIIQYNLESNQKIKMKKDSNDLIIKGWWTPHEDSNLVELVNEFGLKKWTQIAKFLPGRIGKQCRERWYNHLQPNIKKESWSMEEDMILMKAHQELGNKWSEIAKRLPGRTENNIKNHWNGVKRRQDLKRKNKNSENPNYDESMLHAYIKRVTATEEAAARVHKKYESKNNNKKVLERSSHDEQCSYNMLGGNSYYAATKSALMARK >Vigun02g185900.1.v1.2 pep primary_assembly:ASM411807v1:2:32508159:32513048:-1 gene:Vigun02g185900.v1.2 transcript:Vigun02g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPCPYHSDNVRGKLHVTQATLGIGSSKDKSILQCASGHKSPVFLCSLIPNRIESCPLNLKFDIDDLVAFSVIGSRSIHLSGFFAADDGDELKDDYEYDSWGEDIEGTDTDESSEYDSEDDYDDGFIVDSDVDMYQSSPVPNSGVIIEEILDDEKPTNGDDQNKQLKKKKQVAKLKPTDSKNFESAIVAKGETDLVESDDEDEDGFPISTAGKDVSVSQKADAEMKEHALKKIENANKKGKDVDHSAGVKRKVDSTDEDVPQDGKKKKKRNKLKEHIKGENADASGNSNETNVTAPDEKHPEAVKTTTSQSDVSHAKDENEGKLVNNEVSAEKKNKKKKKKKTKEPEGAAAVDQITSSAEKQNLLITSEKKGKKQTETKASQVRTFPNGLVIEEVLMGKPDGKRAAPGKKVSVKYIGKLQKGGKIFDSNVGRAPFKFRLGVGQVIKGWEVGINGMRIGDKRRITIPPSMGYADKRVGTIPPNSWLVFDVELVDVDR >Vigun06g079700.4.v1.2 pep primary_assembly:ASM411807v1:6:21150201:21154145:-1 gene:Vigun06g079700.v1.2 transcript:Vigun06g079700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERWRFITWLKLLRVLLALLSLLFTFTMEPVRCSTLNSEGLALQKMKEKVVRDPLGSSWSWNREVVDHCSWFGIECSHGRVVSLHIGEHGDTSSIRKLLQAANGVDDDKETLSPSPSPSPFPSTLSPLSEPFSPSQSLSDSPELSPSPSPSLSAPTPHTSPPPNPPTLLSTPPHSNWVSMPSPASSANTSNTNQHAVIIWSTLGGFSFILVSLVVFLCFRSNKVVTVKPWATGLSGQLQKAFLTGVPSLKRTELEVACEYFSNIIGSLPDGTVYKGTLSSGVEIAVVSSAVTSSHNWSKNLETQFRKKIAMLSRVNHKNFVNLIGYCEENKPFTRMMVFEYAPNGTLFEHLHIREAERLDWGMRIRIAMGIAYCLEHMHELTPPIAYRNILSSSVYLTEDYAAKLSDFSFWTDIVSTKKGSESAQLLETAPEYVKANVYSFGVLLFELITGRIPFAVENGLFEDWAAEYIKGQPLRDMVDSNLNSLQANEIEKWEEVINICVDPDPEKRPTMREVTSKLKEITTIGPDGATPKASPLWWAEIEIMSPDLSSDVNP >Vigun01g059600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12473521:12477535:-1 gene:Vigun01g059600.v1.2 transcript:Vigun01g059600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGLPTSTVTYNTLVKGLCMHGNLKQSLQLLDKLRKKGLVPNAFTFSFLLQAAYKERGVDEAMKLLDEIIAKGGEPNLVSYNVLLTGLCKEERTEEAISLFRELPAKGFSPSVVSYNILLRSLCHEGRWEEANELLAEMDKRDQSPSVVTYNILITSLSFHGRTEQAFQVLDEMTRSGFKVSATSYNPIVARLCKEGKVDLVVQCIDQMIHRRCTPNDGTYCAVAMLCEQGKVQEAFFIIQSLGNKQSNPMHDFYKNVISSLCRKGNTYAAFQMLYEMTKYGFTPDSFTYSSMIRGMCREGMLDEALKVFRILEENDHVPNIDNYNALILGFCKARRTDMSIEIFLMMVNKGCVPNENTYTILVEGLAFEEKTDIAADLLKELCGKEVLSQSTVERLSMQFDFKELIAAE >Vigun01g059600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12473521:12477498:-1 gene:Vigun01g059600.v1.2 transcript:Vigun01g059600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGLPTSTVTYNTLVKGLCMHGNLKQSLQLLDKLRKKGLVPNAFTFSFLLQAAYKERGVDEAMKLLDEIIAKGGEPNLVSYNVLLTGLCKEERTEEAISLFRELPAKGFSPSVVSYNILLRSLCHEGRWEEANELLAEMDKRDQSPSVVTYNILITSLSFHGRTEQAFQVLDEMTRSGFKVSATSYNPIVARLCKEGKVDLVVQCIDQMIHRRCTPNDGTYCAVAMLCEQGKVQEAFFIIQSLGNKQSNPMHDFYKNVISSLCRKGNTYAAFQMLYEMTKYGFTPDSFTYSSMIRGMCREGMLDEALKVFRILEENDHVPNIDNYNALILGFCKARRTDMSIEIFLMMVNKGCVPNENTYTILVEGLAFEEKTDIAADLLKELCGKEVLSQSTVERLSMQFDFKELIAAE >Vigun01g059600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12473521:12477498:-1 gene:Vigun01g059600.v1.2 transcript:Vigun01g059600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGLPTSTVTYNTLVKGLCMHGNLKQSLQLLDKLRKKGLVPNAFTFSFLLQAAYKERGVDEAMKLLDEIIAKGGEPNLVSYNVLLTGLCKEERTEEAISLFRELPAKGFSPSVVSYNILLRSLCHEGRWEEANELLAEMDKRDQSPSVVTYNILITSLSFHGRTEQAFQVLDEMTRSGFKVSATSYNPIVARLCKEGKVDLVVQCIDQMIHRRCTPNDGTYCAVAMLCEQGKVQEAFFIIQSLGNKQSNPMHDFYKNVISSLCRKGNTYAAFQMLYEMTKYGFTPDSFTYSSMIRGMCREGMLDEALKVFRILEENDHVPNIDNYNALILGFCKARRTDMSIEIFLMMVNKGCVPNENTYTILVEGLAFEEKTDIAADLLKELCGKEVLSQSTVERLSMQFDFKELIAAE >Vigun01g059600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12473521:12477498:-1 gene:Vigun01g059600.v1.2 transcript:Vigun01g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNTVSPAANLSPKTKRPTCGGFLQSQVPNLHTFSLNKGFSRVSASTQIAIAPKDAVFNLPNWRGGRNDHRGKELKVYDAFLHLEYLVGKGQKPEEAQATQLLYDLCKFNKARKAVKVMDMMVDSGIIPDAASYTYLVNFLCRRGNVGYALQLVEKMEGHGLPTSTVTYNTLVKGLCMHGNLKQSLQLLDKLRKKGLVPNAFTFSFLLQAAYKERGVDEAMKLLDEIIAKGGEPNLVSYNVLLTGLCKEERTEEAISLFRELPAKGFSPSVVSYNILLRSLCHEGRWEEANELLAEMDKRDQSPSVVTYNILITSLSFHGRTEQAFQVLDEMTRSGFKVSATSYNPIVARLCKEGKVDLVVQCIDQMIHRRCTPNDGTYCAVAMLCEQGKVQEAFFIIQSLGNKQSNPMHDFYKNVISSLCRKGNTYAAFQMLYEMTKYGFTPDSFTYSSMIRGMCREGMLDEALKVFRILEENDHVPNIDNYNALILGFCKARRTDMSIEIFLMMVNKGCVPNENTYTILVEGLAFEEKTDIAADLLKELCGKEVLSQSTVERLSMQFDFKELIAAE >Vigun01g059600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12473521:12477498:-1 gene:Vigun01g059600.v1.2 transcript:Vigun01g059600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGLPTSTVTYNTLVKGLCMHGNLKQSLQLLDKLRKKGLVPNAFTFSFLLQAAYKERGVDEAMKLLDEIIAKGGEPNLVSYNVLLTGLCKEERTEEAISLFRELPAKGFSPSVVSYNILLRSLCHEGRWEEANELLAEMDKRDQSPSVVTYNILITSLSFHGRTEQAFQVLDEMTRSGFKVSATSYNPIVARLCKEGKVDLVVQCIDQMIHRRCTPNDGTYCAVAMLCEQGKVQEAFFIIQSLGNKQSNPMHDFYKNVISSLCRKGNTYAAFQMLYEMTKYGFTPDSFTYSSMIRGMCREGMLDEALKVFRILEENDHVPNIDNYNALILGFCKARRTDMSIEIFLMMVNKGCVPNENTYTILVEGLAFEEKTDIAADLLKELCGKEVLSQSTVERLSMQFDFKELIAAE >Vigun04g190800.2.v1.2 pep primary_assembly:ASM411807v1:4:41470296:41473711:-1 gene:Vigun04g190800.v1.2 transcript:Vigun04g190800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSINALADDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFTSFNKKIESEIREVLEKLEYLARQKGALGLKESIYSGDGSGSKEPQKMPSSSLVVESVIYGRDADKEKILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDIRAWVCVSDHFNVLTVTKTILEAIERKISGKKFFLVLDDVWNERQEKWEAVRTPLSYGAPGSRILVTTRGEKVASNMSSKVHRPKHLKEDECWKVFQKHALRGDELELNDEKKEIGRRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFVSCALFPKDYKFHKKELILLWIAQDFLHCSQQNNNLEEIGEQYFNDLLMRSFFLQTDFKTYFSMHDLLNDVAKYVCADFCFRLKFDKGNCIPKTTRHFSFAFYDVKYFDGFGSLTDAKRLRSFFPYKEFGRRNIDYYPLQFKILVHELFSNFKFLRVLSLDQYSELREVPDSIGDLKHLHSLDLSGTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLNLHKLTKLRCLEFENTKVTKMPMHFGELKNLQVLNTVIFDRNNEFKSKHLGGLNLHGRLSINEVQNIENPFDALEANMKNKDLLKLELKWKSDHIPDDPRKEKKVLENLQPSKNVEHLSIKSYGGTQFPSWVFDNSLSNLVSLRIEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLERLTFSDMKELEECEHKTAAFPRLETLEVYQCPKLKGLPDQLVNVKYLNIRGSMKASCLERCEHIVSHNSLEDLNFCAFPIMNIPMSRSFDLLEQIEIISGCDSLTTFPLDFFPNLKALSLFFCRNLQIISQKHTHNRFKHLSITGCSRFDSFPSEGLSAPRLQRMYIDGAENLKLLPKRMQILLPSLSELQIIYCPKVEDFPDGGLPPNVKKVSLSSFKLMASLRETLGTNTCLESLCIQYMDVEFFSDEVLLPHSITSLRIYHCTNLKKMEYKGLCHLSYLSLHDCPNLQCLPEDGLPKSISSLRILNCPVLEQRCQNPEGQDWKKIAHIENLSVGSKA >Vigun01g173700.1.v1.2 pep primary_assembly:ASM411807v1:1:35562814:35566487:1 gene:Vigun01g173700.v1.2 transcript:Vigun01g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSSAESHHQIPSNPLDLNTTQDIINNMEEQKQQQQQNPLFSLSEVSHDGIDRDKGVTEEDPSASKSILCEEEKMALQEGLEEDSGRERLKRHRVEVAGRVWIPDMWGQEELLKDWIDCAAFDAPLVTTRISTAREALVEECTRANAAGLRIENRDLAFNDLSLNNNSTAVEVQNTPTFSDTEKSI >Vigun03g074100.3.v1.2 pep primary_assembly:ASM411807v1:3:6120705:6123233:1 gene:Vigun03g074100.v1.2 transcript:Vigun03g074100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETELQSFRIFVATWNVGGKSPNFDLNLQDFFLVEGSADIYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNRPRNEYSDSSDSGTGSKSSNSCSKDTKSPASLNFFQKPSLKVISKNFRAEGSSLLKACNCPVDSPSRERRRARKYSDPMNKLDSELQGDETVEELLSISELPSSPSQCRYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISMSMSLHQTSFCFVCSHLASGEKDGDELKRNSDVAEILKSTQFPRICKNPCRRAPDKIVDHDRIIWLGDLNYRVALSYEETRVLLEDNDWDTLLEKDQLNMERDAGRVFTGFKEGKIVFAPTYKYSQNSDSYAGETVKSKKKRRTPAWCDRILWRGNGIDQLSYIRGESRFSDHRPVCAVFSVDVEVRSRNNRFRKGYSYTSPRPEYEDCIPQRHSFYDY >Vigun03g074100.4.v1.2 pep primary_assembly:ASM411807v1:3:6119635:6123233:1 gene:Vigun03g074100.v1.2 transcript:Vigun03g074100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGARFKSNANSEMTKANEASTSPVNTTTTTTITTTTVTSNLPDTSAKNEKKKKSILPKIFGSKRNGRSSDEEAFKPGTEEDDGVPTLDLEKKIETRKKAFLEAAPIMRKSFSERETSPGIEGLNLSNFERPVMAPETELQSFRIFVATWNVGGKSPNFDLNLQDFFLVEGSADIYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNRPRNEYSDSSDSGTGSKSSNSCSKDTKSPASLNFFQKPSLKVISKNFRAEGSSLLKACNCPVDSPSRERRRARKYSDPMNKLDSELQGDETVEELLSISELPSSPSQCRYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISMSMSLHQTSFCFVCSHLASGEKDGDELKRNSDVAEILKSTQFPRICKNPCRRAPDKIVDHDRIIWLGDLNYRVALSYEETRVLLEDNDWDTLLEKDQLNMERDAGRVFTGFKEGKIVFAPTYKYSQNSDSYAGETVKSKKKRRTPAWCDRILWRGNGIDQLSYIRGESRFSDHRPVCAVFSVDVEVRSRNNRFRKGYSYTSPRPEYEDCIPQRHSFYDY >Vigun03g074100.2.v1.2 pep primary_assembly:ASM411807v1:3:6120705:6123233:1 gene:Vigun03g074100.v1.2 transcript:Vigun03g074100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETELQSFRIFVATWNVGGKSPNFDLNLQDFFLVEGSADIYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNRPRNEYSDSSDSGTGSKSSNSCSKDTKSPASLNFFQKPSLKVISKNFRAEGSSLLKACNCPVDSPSRERRRARKYSDPMNKLDSELQGDETVEELLSISELPSSPSQCRYSLISSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISMSMSLHQTSFCFVCSHLASGEKDGDELKRNSDVAEILKSTQFPRICKNPCRRAPDKIVDHDRIIWLGDLNYRVALSYEETRVLLEDNDWDTLLEKDQLNMERDAGRVFTGFKEGKIVFAPTYKYSQNSDSYAGETVKSKKKRRTPAWCDRILWRGNGIDQLSYIRGESRFSDHRPVCAVFSVDVEVRSRNNRFRKGYSYTSPRPEYEDCIPQRHSFYDY >Vigun10g147900.1.v1.2 pep primary_assembly:ASM411807v1:10:36646648:36652710:-1 gene:Vigun10g147900.v1.2 transcript:Vigun10g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADLNRNTEWFTYPGVWTTYILILFFSWILVLSVFGCSPGIAWTIVNLAHFAVTYHFFHWKKGTPFAEDQGIYNRLTWWEQVDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQNPMLFFNTVAVIVLVVAKFPHMHKVRIFGINADK >Vigun02g204700.5.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQNISKLQVLSSETMES >Vigun02g204700.9.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQNISKLQVLSSETMES >Vigun02g204700.7.v1.2 pep primary_assembly:ASM411807v1:2:33817383:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRINNSLTSQCPTTFLLLENR >Vigun02g204700.8.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQKCFHQKPWNHDARYLLVLNYLQRAREQRFPQHLCGILNRLTHAALSNELYSGTGLLFQYRYFQLLLCASEVSLQCGNHMTCITHAKTASELVLPDDYLFFAHLLLCRVYAMKGDLPSFQKEYMLCLELKTDYHIGWICLKLMECRYELQIYSNDTDLNFEECVKRSGKLCDMWMAAYNLVRSMISFQKRDLFSAEEFMKQACSLAGFESCLFLCHGVICMELVRQCNGSQFLSEAVKSLSRVHELSLTPLPFVSVLVAQAEGSLGSKERWNGNLHLEWYNWPSEMRPAELYFQMHLLARELKVGPNFTSSMESSQSPLRWVIRAIHTNPSCMRYWRVLQKLME >Vigun02g204700.10.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQNISKLQVLSSETMES >Vigun02g204700.2.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQKCFHQKPWNHDARYLLVLNYLQRAREQRFPQHLCGILNRLTHAALSNELYSGTGLLFQYRYFQLLLCASEVSLQCGNHMTCITHAKTASELVLPDDYLFFAHLLLCRVYAMKGDLPSFQKEYMLCLELKTDYHIGWICLKLMECRYELQIYSNDTDLNFEECVKRSGKLCDMWMAAYNLVRSMISFQKRDLFSAEEFMKQACSLAGFESCLFLCHGVICMELVRQCNGSQFLSEAVKSLSRVHELSLTPLPFVSVLVAQAEGSLGSKERWNGNLHLEWYNWPSEMRPAELYFQMHLLARELKVGPNFTSSMESSQSPLRWVIRAIHTNPSCMRYWRVLQKLME >Vigun02g204700.11.v1.2 pep primary_assembly:ASM411807v1:2:33818267:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRINNSLTSQCPTTFLLLENR >Vigun02g204700.6.v1.2 pep primary_assembly:ASM411807v1:2:33817383:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRINNSLTSQCPTTFLLLENR >Vigun02g204700.1.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQKCFHQKPWNHDARYLLVLNYLQRAREQRFPQHLCGILNRLTHAALSNELYSGTGLLFQYRYFQLLLCASEVSLQCGNHMTCITHAKTASELVLPDDYLFFAHLLLCRVYAMKGDLPSFQKEYMLCLELKTDYHIGWICLKLMECRYELQIYSNDTDLNFEECVKRSGKLCDMWMAAYNLVRSMISFQKRDLFSAEEFMKQACSLAGFESCLFLCHGVICMELVRQCNGSQFLSEAVKSLSRVHELSLTPLPFVSVLVAQAEGSLGSKERWNGNLHLEWYNWPSEMRPAELYFQMHLLARELKVGPNFTSSMESSQSPLRWVIRAIHTNPSCMRYWRVLQKLME >Vigun02g204700.4.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKSYGRAIELDDTMVFALVESGNIFMTLGSFSKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQNISKLQVLSSETMES >Vigun02g204700.12.v1.2 pep primary_assembly:ASM411807v1:2:33818267:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRINNSLTSQCPTTFLLLENR >Vigun02g204700.3.v1.2 pep primary_assembly:ASM411807v1:2:33810784:33826742:-1 gene:Vigun02g204700.v1.2 transcript:Vigun02g204700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPTPPKTQEEEGVEHLFQRLQESSDDASIHFDIGVFLWEKGEEGKEKAAEHFIQSAKLNPKNGISFKYLGHYYANVSLDTQRAIKCYQRAVVLNPDDSESGEVLCNLLDQGGNDSLEVVVCREASEMSPRAFWAFRRLGFLQVHKKKWCEAVQSLQHALRGYPTCANLWEALGLAYQRLGRFTAAIKGVEQFRQALEISPQCVPAQYGLALGLLGLAKDCINLGAYRWGASLLEEASEVARASAYFFRNFSCIWKLLADIQLAYARCYPWIDDVLELESNKEAFSVSINSWRRTCFFAARDAKFSYQRALHLSPWQANIYADIAVTSDLINSLDKCYKQDINARQIAKRMSMGALLLEGDNYEFWLALGCLSDHNALNQHALIRALQLNVSLAVAWGYLGKLYRKADEKQLARQMFDRARSIDPGLALPWASMSVESCMSRELESDEAFESCSRAVQIMPLAEFQLGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLYGLVCEARNDYKSAATFYRLARHAFNIGSWNIQNTHMRDISINLARSLSKAGNAADALQECENLNKEGALDEEGLQVYAFSLWQLGKNDLALTVARSLAVTLSSMQRTSVATSICFICRLVYYICGLDAVITSIVKMPKDLLQSSKVSFVMSAIHALDGQNRLEFVVTGSRYFLKYYEEIAGMHLLIALSKLVKNESDSLDIRSGVAHLKKAMHMFPNYSLIRNLLGYLLVTSKELNNYHVATRCCKLDHLDLSDKKGFKSAADIHGAGAVACYTTCNSSPKSTFPTCAKQCSNHPGAIRYLQKCFHQKPWNHDARYLLVLNYLQRAREQRFPQHLCGILNRLTHAALSNELYSGTGLLFQYRYFQLLLCASEVSLQCGNHMTCITHAKTASELVLPDDYLFFAHLLLCRVYAMKGDLPSFQKEYMLCLELKTDYHIGWICLKLMECRYELQIYSNDTDLNFEECVKRSGKLCDMWMAAYNLVRSMISFQKRDLFSAEEFMKQACSLAGFESCLFLCHGVICMELVRQCNGSQFLSEAVKSLSRVHELSLTPLPFVSVLVAQAEGSLGSKERWNGNLHLEWYNWPSEMRPAELYFQMHLLARELKVGPNFTSSMESSQSPLRWVIRAIHTNPSCMRYWRVLQKLME >Vigun10g123500.2.v1.2 pep primary_assembly:ASM411807v1:10:33187711:33193995:1 gene:Vigun10g123500.v1.2 transcript:Vigun10g123500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISASLARFSVISQPSSNSQYILFNVAPRTGTPSFRTGSARFRCSAGQTGFFTKLGRLIKEKAKSDVEKVFSGFSKTRSNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPKITIKIVENLREDIFSGKLKSGNEIKEALKRNVLELLTSKGSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAESQKAKASSVLSQAVKKGKELGFDIVLCDTSGRLHTNYSLMEELISCKKSVAKVIPGAPNEILLVLDGTTGLNMLPQAREFNDVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDADAFVNAIFM >Vigun10g123500.1.v1.2 pep primary_assembly:ASM411807v1:10:33187695:33194299:1 gene:Vigun10g123500.v1.2 transcript:Vigun10g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISASLARFSVISQPSSNSQYILFNVAPRTGTPSFRTGSARFRCSAGQTGFFTKLGRLIKEKAKSDVEKVFSGFSKTRSNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPKITIKIVENLREDIFSGKLKSGNEIKEALKRNVLELLTSKGSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAESQKAKASSVLSQAVKKGKELGFDIVLCDTSGRLHTNYSLMEELISCKKSVAKVIPGAPNEILLVLDGTTGLNMLPQAREFNDVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDADAFVNAIFM >Vigun01g053200.1.v1.2 pep primary_assembly:ASM411807v1:1:9805446:9818367:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFETNGEKQCRGLVDYMKSRSESFYNLEIRADFAGIQRFVIGFHQ >Vigun01g053200.3.v1.2 pep primary_assembly:ASM411807v1:1:9805431:9818381:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFETNGEKQCRGLVDYMKSRSESFYNLEIRADFAGIQRFVIGFHQ >Vigun01g053200.5.v1.2 pep primary_assembly:ASM411807v1:1:9805446:9818356:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFELLFAYRTGVQDR >Vigun01g053200.4.v1.2 pep primary_assembly:ASM411807v1:1:9805446:9818356:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFEDWSSG >Vigun01g053200.2.v1.2 pep primary_assembly:ASM411807v1:1:9805431:9818381:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFEDWSSG >Vigun01g053200.6.v1.2 pep primary_assembly:ASM411807v1:1:9805446:9818367:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFEDWSSG >Vigun01g053200.7.v1.2 pep primary_assembly:ASM411807v1:1:9805446:9818356:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGSVNLPHCFPKLLNCSSFGSLGRPFCSSALSSSPTCVKPQVPLFLRPPIYSTKLCDLKKWHDWAKGLSFSIGSTFVQSDNGPDSSMLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFETNGEKQCRGLVDYMKSRSESFYNLEIRADFAGIQRFVIGFHQ >Vigun01g053200.8.v1.2 pep primary_assembly:ASM411807v1:1:9805443:9818356:1 gene:Vigun01g053200.v1.2 transcript:Vigun01g053200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRELKWLMEDAVEDKSLGMEDDDEVTMRIDIEELYSLWKQRVHERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETELVVDIVSDVVSENEDLRSGVWADLGTGSGALAIGIGGVLGNEGGRVIATDLSPVAVAVATYNVQRYCLQDKIDLREGSWFEPLKDMEGKLAGLVSNPPYIPSKDISGLQAEVGRHEPRLALDGGIEGMNALLHLCDGAALMLRSGGFFAFETNGEKQCRGLVDYMKSRSESFYNLEIRADFAGIQRFVIGFHQ >Vigun10g168000.2.v1.2 pep primary_assembly:ASM411807v1:10:38675041:38675615:-1 gene:Vigun10g168000.v1.2 transcript:Vigun10g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVLPTSMESRKGRHNWMEERRGSLMFPNCHKPPGGVWQSNSGADQGCEPGVICHAGTSVLAFGDSKQRPKFEIFMLLCTISFSASLSTIILLICVVSLRNNLIMWFLTILMFISLVCTAGAYAICIWMILYPLGDAVQVVTKLYAMFWVGFTVLVCVGFFCRLAFWFLKKFFRFLCCC >Vigun04g146200.1.v1.2 pep primary_assembly:ASM411807v1:4:36159129:36166293:-1 gene:Vigun04g146200.v1.2 transcript:Vigun04g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVDRLFACFKCGLTPPKSATRERKRSRGRLEQESSESPGPGSVGEELKTPLSRIRKINIESSIEKLKSAVAKKAQSIGHGKQFSPIVFYGSPHGVPPKRPTRMLWRLLREICPDLSQKKTLNVRKEVWMTFPRQDEAMKFSKGQADVHVFSYQDHFNGQRRFLVSTYTELWRRYKNMNPKFRHHYEVIQEGLPCHLYFDLEFNKKVNKEKNGEEMVDLLISMVLEALLEKYAIQGDHNWVLELDSSTEDKFSRHVIICIPNAAFKDNSHAGAFVSEICSRVQKARAKDKTFDKLFVMKDSSSEESADQLFVDTAVYSRNRCFRLVFSSKAGKSSVLLPTKRFKCKDLGEEDIFMASLICNIDVDCQTYLVCKTDLDCVKTLHFDTEESNNVKSPCQNLRQFTLDIGTTDLSTTYFMGKSPFPFLDKFILSVASVGNIPGNIYSWYFLSEFRLMVYSMTKNRYCERIGRQHKSNNVIYVVDLRMAVYYQKCHDPDCRGYRSPSRPIPVHVFSNSSDVTDSSFGLLDGEQLVDNKWRHQLDDNKEQNSLQYDDTVENNSNDSWWVEAIRVVEDMESKQTKTELSTMQEVIDDEDEEWWLAVENTASQAELATFSQQEFCAI >Vigun02g077300.7.v1.2 pep primary_assembly:ASM411807v1:2:22973628:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQELWIISYSRP >Vigun02g077300.3.v1.2 pep primary_assembly:ASM411807v1:2:22973628:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQMMIPEAFAIVLAPNDKLRSCGLFRIADPEGMSILKNCKETGFHPHKEPDNGNPVYEHCSNVYRNSNLRFEIFDLR >Vigun02g077300.9.v1.2 pep primary_assembly:ASM411807v1:2:22973418:22977975:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHIVRTKNHSCISEPISLNFKTVYFQIFDTAGLILYVMNIIETVDVCRLLMFNKYSFCVFQSMRLMEDFLDLAKENTEKDLETCGILGAYLVRIIVKP >Vigun02g077300.4.v1.2 pep primary_assembly:ASM411807v1:2:22973434:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQELWIISYSRP >Vigun02g077300.12.v1.2 pep primary_assembly:ASM411807v1:2:22973628:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQELWIISYSRP >Vigun02g077300.6.v1.2 pep primary_assembly:ASM411807v1:2:22973628:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQELWIISYSRP >Vigun02g077300.10.v1.2 pep primary_assembly:ASM411807v1:2:22973434:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHIVRTKNHSCISEPISLNFKTVYFQIFDTAGLILYVMNIIETVDVCRLLMFNKYSFCVFQSMRLMEDFLDLAKENTEKDLETCGILGAYLVRIIVKP >Vigun02g077300.8.v1.2 pep primary_assembly:ASM411807v1:2:22973417:22977975:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHIVRTKNHSCISEPISLNFKTVYFQIFDTAGLILYVMNIIETVDVCRLLMFNKYSFCVFQSMRLMEDFLDLAKENTEKDLETCGILGAYLVRIIVKP >Vigun02g077300.5.v1.2 pep primary_assembly:ASM411807v1:2:22973434:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQELWIISYSRP >Vigun02g077300.2.v1.2 pep primary_assembly:ASM411807v1:2:22973434:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQMMIPEAFAIVLAPNDKLRSCGLFRIADPEGMSILKNCKETGFHPHKEPDNGNPVYEHCSNVYRNSNLRFEIFDLR >Vigun02g077300.1.v1.2 pep primary_assembly:ASM411807v1:2:22973434:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHISMRLMEDFLDLAKENTEKDLETCGILGAYLEKGTLYMTTLIIPKQESASNSCQATNEEEVFKILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQMMIPEAFAIVLAPNDKLRSCGLFRIADPEGMSILKNCKETGFHPHKEPDNGNPVYEHCSNVYRNSNLRFEIFDLR >Vigun02g077300.11.v1.2 pep primary_assembly:ASM411807v1:2:22973628:22977747:1 gene:Vigun02g077300.v1.2 transcript:Vigun02g077300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSDEKQYVSNRKKVRNCDVAESSFQSMSVDDVDPSGGSSFQLRNLSCCSLVCKPSASNIANRYFMLHKVTQSWPSPALCFVEKVPQDAQSSHVTVFNSGDGSSKSDNESTSSKSMRDVHIVRTKNHSCISEPISLNFKTVYFQIFDTAGLILYVMNIIETVDVCRLLMFNKYSFCVFQSMRLMEDFLDLAKENTEKDLETCGILGAYLVRIIVKP >Vigun10g094900.1.v1.2 pep primary_assembly:ASM411807v1:10:27420031:27421880:-1 gene:Vigun10g094900.v1.2 transcript:Vigun10g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVNSTTNSSPSVAVSAVRKNHVVADHDIENCIMFGSIPVVLSKKKAKKAKKFSLGDKHPEPIISNSNESISSSNATTTASKPKNFSRRTRVDLDLESANPSGDKFSVPNSNFENSKSPLRKKVAENCNLSNPHDELPHSNQCWGKKPDSVNRSHNSDNNSNYAAVGDSKLDSSVNKPEFRPFDICLRGIRKLALKRFTLHGENKESRIEMVDERSEGYVLRPGMVLLKNFISHDGQVEIVRACRELGLGSGGFYQPGYANGAKLRLKMMCLGMDWDPQTYKYGTKRVFDGSTPPSIPDQFSELVATSIKEAQSLSKMEYSVRHANDVLPSMTPDICIVNFYTNNGKLGLHRDRDESKESLQKGLPVVSFSIGDSADFLYGDERNEEEAECVTLDSGDVLIFGGDSRHVFHGVPYVYPNSAPKELLRDSGLSPGRLNLTFRQY >Vigun01g139500.1.v1.2 pep primary_assembly:ASM411807v1:1:31983211:31990102:1 gene:Vigun01g139500.v1.2 transcript:Vigun01g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQVAKVRRDTIVACMTCPLCNKLFREATTISECLHTFCRKCIYDKITEEELESCPICHIDLGCVPLEKLRPDHSLQDVRAKVFPVKGRKVKAPEVVTSTPIPARRKERSLSSLVVNTPRVSTQATMTGRRTKPSRKASGLRSSSFSFEKPIKKEEELLEDHLESSSSPEHSNKFAQNSGQSMSPCEGSQTAPNKGSENGGEAYDAKLDLWKPLNCLVEVASRSKSFKSHVQGSDAKLETTQVSESESQMQKTKIKDKRKGKVEEEKIIPHPATSDATKPNKLRRMRKKKEPASGESSISPQAVLDSASSKRLSRTGPIWFSLVAAENQGDAPLPQIPSSYVRIKDGSVPVSFIQKYLMKKLDLTSETEVEIKCMGQSVLPTLSLYNLVELWLDTASTSQRISATIGSSAKDFVMVLSYARKVPHP >Vigun01g139500.3.v1.2 pep primary_assembly:ASM411807v1:1:31985669:31990102:1 gene:Vigun01g139500.v1.2 transcript:Vigun01g139500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRTKPSRKASGLRSSSFSFEKPIKKEEELLEDHLESSSSPEHSNKFAQNSGQSMSPCEGSQTAPNKGSENGGEAYDAKLDLWKPLNCLVEVASRSKSFKSHVQGSDAKLETTQVSESESQMQKTKIKDKRKGKVEEEKIIPHPATSDATKPNKLRRMRKKKEPASGESSISPQAVLDSASSKRLSRTGPIWFSLVAAENQGDAPLPQIPSSYVRIKDGSVPVSFIQKYLMKKLDLTSETEVEIKCMGQSVLPTLSLYNLVELWLDTASTSQRISATIGSSAKDFVMVLSYARKVPHP >Vigun01g139500.2.v1.2 pep primary_assembly:ASM411807v1:1:31985615:31990102:1 gene:Vigun01g139500.v1.2 transcript:Vigun01g139500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHSLQDVRAKVFPVKGRKVKAPEVVTSTPIPARRKERSLSSLVVNTPRVSTQATMTGRRTKPSRKASGLRSSSFSFEKPIKKEEELLEDHLESSSSPEHSNKFAQNSGQSMSPCEGSQTAPNKGSENGGEAYDAKLDLWKPLNCLVEVASRSKSFKSHVQGSDAKLETTQVSESESQMQKTKIKDKRKGKVEEEKIIPHPATSDATKPNKLRRMRKKKEPASGESSISPQAVLDSASSKRLSRTGPIWFSLVAAENQGDAPLPQIPSSYVRIKDGSVPVSFIQKYLMKKLDLTSETEVEIKCMGQSVLPTLSLYNLVELWLDTASTSQRISATIGSSAKDFVMVLSYARKVPHP >Vigun03g266600.1.v1.2 pep primary_assembly:ASM411807v1:3:43688124:43692108:-1 gene:Vigun03g266600.v1.2 transcript:Vigun03g266600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQRPLLHGLMKMAGIRPYTVEIEPGTVMSFWVPSETITKPKKKEEKPTIAAKPSKPIVVLVHGFAAEGIVTWQFQVGALTKKYAVYVPDLLFFGGSVTDKADRTPRFQAECLARALRKLGVEKCIVVGFSYGGMVAFEMAEMYPEMVEGMVISGSVLAMSDSLSAASLEHLGFSSSSDLLLPTSVKGLKALLSVAAHKKLWFPHRLHKDFLEVMFSNRKERGELLEGLIISNTTTPNFPQRIHLLWGQNDQIFKLELAQNMKEELGDGTTLESIEKAGHLVQLERPCVYNRCLKNFLASFLAKNH >Vigun02g076400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22877616:22880001:1 gene:Vigun02g076400.v1.2 transcript:Vigun02g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNTSGSGRRILTFPAVHPCESIAPSTLLPSLITLCNAISSFQHRFSCFPCNKRNARNAIRLTHLLQPFLHEIRDCHSGLPDPATLSLSELHLTFQKLLFLLEDLSREGAKLYMLMESDRVATQFRVISRSVATALDVFPFGSVEISEETKEHVLLLNEQARKGRFEFEEEDKRVVMSVVSGLTRFENQVAPDEGDLRWVIEYIGVKGWSECNKEVKFLEGEIGFKGSDEKRNKVTLLSSLVGFMSYCRCLVMEVVDSEESNTKFEGRRESSIESEIHLSLSCLNPDDFRCPISLELMSDPVTIETGHTYDRSSILKWFRSGNMTCPNTAKRLITTEMVPNVVLRRLIQQYCHANDISIPFVDSGRRNRESTRRVEPESVAAEGAMRMLASFLKEKIENGSGEEKNRGAFEIRLISKTSVFNRSCLVEAGLVPLLLRLLASMDSLTQENAAAALLNLSKCAKSRSVMVEKWGLESIVGVLKKGVKMEARQHVAAVLFYLSAEYGNLIGKEEEAIPSLVNLVKDGSYRSKKNGLVAIFGLLKHPENHRRVVEGGAVPLLVGILKGSENEDLITDSLAVLATLAEKREGMFAILEEEALHVAVEILSCSTSRVGREHCVALLLSLSVNGGEDVVGYLVKSPSLMGSLYSQLSAGTSRGSKKASALIRVLHDFYERRSSGFKISVISQEQFIHVR >Vigun09g243700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41261178:41262493:1 gene:Vigun09g243700.v1.2 transcript:Vigun09g243700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYCYLMEKIKYWLIQHPSVVSFRWTPTQLYGATWSFLVSAISLYIAAALILHLLLKLSRRRRSFPLGPIPALHSLIMSLISVAIFTGTLFSAEAEARDTRWLWRRSRTTSFEWLLCFPLGTRPSGRVFFWSYVFYLSRFLHLLRTFFVVLRERRLSFLRLFNNSVLLVMSFLWLEFSQSLQVLAILFYTSVYSVVCAFRFWTELGLPSKTPLWFTANCQLALLGCNLACHVGVLSLHYLRGGCNGIGAWLFNSLLNAAFLVQFLKSYVKTHSQRKSASSSST >Vigun03g128500.2.v1.2 pep primary_assembly:ASM411807v1:3:12434000:12436100:1 gene:Vigun03g128500.v1.2 transcript:Vigun03g128500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGSSWITLKFRGVLDVVRATKFQSGYVGLQRNRTIVSAAKPETVHWMHPNMQFYSTNSNNTSTNSKGLRWVLGTTLSILLPFWKPYWEKLQRIEGEAEFVIEEAEAVAKVVEKVANVAEKVSEDISEMLPEDGKLRKVTLVVEHASKEAAHDAQLTQQFIHKVEELKNDLDGIEAFVEPVIDKIVKI >Vigun03g128500.1.v1.2 pep primary_assembly:ASM411807v1:3:12434000:12436099:1 gene:Vigun03g128500.v1.2 transcript:Vigun03g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGSSWITLKFRGVLDVVRATKFQSGYVGLQRNRTIVSAAKPETVHWMHPNMQFYSTNSNNTSTNSKGIKDEKVESEPAAPAHSFKSFAFSYWLRWVLGTTLSILLPFWKPYWEKLQRIEGEAEFVIEEAEAVAKVVEKVANVAEKVSEDISEMLPEDGKLRKVTLVVEHASKEAAHDAQLTQQFIHKVEELKNDLDGIEAFVEPVIDKIVKI >Vigun01g056500.1.v1.2 pep primary_assembly:ASM411807v1:1:11226781:11230598:-1 gene:Vigun01g056500.v1.2 transcript:Vigun01g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMGSRARWRQSWAPQPLTPLIEGPGPEMQEEGTKKESSWEVIREWFKTQKISPGGTFSQSFYGTIHAKTQDLRLLLGVLGCPLAPIPSAHDPTLSIHIKDTPFETSTAKYIIQQYLAATGCLKQQKDSKNMYATGMVKMICCETEISSGKNVKCLGTRSSENGCFVLWQMLPGMWSLELVVGDHKVVAGSNGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKTTASLFTNAQCLGENRIGTVDCFVLKVSADRAAVVERSEGPAEVIRHILYGYFCQKSGLLIYLEDSHLTRVPTQDNDTVFWETTIGSSIGDYRDVDGVLIAHQGRSIATVFRFGELSMQHSRTRMEEIWTIDDVMFNVPGLSMDHFIPPADIFNNISSP >Vigun09g085901.1.v1.2 pep primary_assembly:ASM411807v1:9:11246355:11247310:1 gene:Vigun09g085901.v1.2 transcript:Vigun09g085901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSISFTNFYQNSVMVMEPAEECLEFEVGFYEQWGKLLLMGTNFFSDLKGNIINIEFEEGLLGQRQIRGVEKIRSFYNLNDVYYVCIVYCGDRYFRIRVFDLDWKEVEYPGKAKNSCM >Vigun09g122800.2.v1.2 pep primary_assembly:ASM411807v1:9:27382669:27397164:1 gene:Vigun09g122800.v1.2 transcript:Vigun09g122800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDGAPYTLTRRPSRSGATTFSTEVFDNEVVPSALASISPILRVANEIESERPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTLLLQRLERDNAHSLALRVKKTDAREIQAYYQQYYEHYVRALDQGERADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYAPFNILPLDSAGASQPIMQLEEIKAAVSAFWNTHGLNWPTSFEQQRQINGDLDLLDWLRAMFGFQRDNVRNQREHLILLLANAHIRLNPKPDPLSKLDDRAIDAVMNKLFKNYRMWCKFLGRRHSLRYPQGRQEIQQRKLLYMGLYLLIWGEASNVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGGDEAFLRKVITPIYRVIEKEAKKSKHGAAPHSAWCNYDDLNEYFWSPDCFSLGWPMRDDGEFFRSTFNMTQGRKGVQKTSGRTGKTNFVETRSFWNIFRSFDRLWTFYLLVLQMMFIIAWEGISLLDIFQKEVLYKLSSIFITAAILRLLQSILDLALNFPGYHRWRFTDVLRSCLKVVVSLFWVIALPLFYVHSFEDAPNFMKDMLSFLDSIKGIPPFYMFAVAVYLLPNLLAAVLFLFPMLRRWIENSDWHIVRFLLWWSQPRIYVGRGMHESQFALLKYTIFWVILLAAKFSFSFFVEIKPLVQPTKDIMSIRHVDYAWHEFFPEAQNNYGAVIALWAPVLMVYFMDTQIWYSIFSTICGGVIGAFDRLGEIRTLTMLRSRFQSLPGAFNAYLVPTDKKQKKKFSLSKRFDEISASRTSEAAKFAQLWNEVICSFREEDIISDREMDLLMVPYSSDPSLKTIQWPPFLLASKIPIALDMAAQFRGKDSDLWKRICADEYMKCAVIECYESFKNILHALIVGEAETRTISVIIKEVENSIFKNTLLTNFRMGFLPSLCKKFVELVEILKDADESKQGAVVVLLQDMLEVFTRDMVVNENSELAELYHSSKDTGRQLFAGTDSKPAVLFPPVVTAQWDEQIRRLYLLLTVKESAIEVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVLTPYYSEETVYSKNDLEVENEDGVSIIYYLQKIYPDEWNNFMERLGCKKDSEIWEKDEHILQLRHWASLRGQTLSRTVRGMMYYRRAIKLQAFLDMANEKEILDGYKAVTVPSKEDKRSHRSLYASLEAVADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGRVQKVYYSVLIKAVDNLDQEIFRIKLPGPAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGVRRPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGFSKASCGINLSEDIFAGFNSILRRGNVTHHEYIQCGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYISSMIVVLTCYAFLYGKLYLSLSGFEAAIVKLAKRKGNEPLKAALASQSLVQIGVVMTLPMFMEIGLERGFRTAIGDLIIMQLQLAPVFFTFSLGTKLHYFGRTLLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGIELAILLICYWIYGSAAPDSTAYGLLSWSMWFLVCSFLFSPFLFNPSGFEWQKIVEDWDDWQKWISIRGGIGVPSNKSWESWWNEEQEHLQNTGCLGRICEIILDLRFFVYQYGIVYHLNVARGNKSILVYALSWIVMVAVMIILKIVSMGRKQFSADFQLMFRLVKLFLFMGAVVVLSLMFTLLSLTVGDIFASLLAFLPTGWALIQIAQACRPLVKGIGMWGSVKALARGYEYLMGVLIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKDKKN >Vigun06g005400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:2894026:2894343:1 gene:Vigun06g005400.v1.2 transcript:Vigun06g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGHLPQLRRIPHGRPDQNLRRRRQPASGAPPTITSLSGSLHTKQPASMSTTVEPPLTLTGTAWSRRRCTFVFFYARHSKDQHRDWLCLLPLAETAITAPSSFA >Vigun06g041300.1.v1.2 pep primary_assembly:ASM411807v1:6:16222346:16223351:-1 gene:Vigun06g041300.v1.2 transcript:Vigun06g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSFLINFTMNYLKVTLIISLFFYGSAVEFQYWKMAQTWPSGFCAHKACDATKTIPFKFTIHGLWPSNYSIPQPSLCSSTGLNISLISGIVHELDQDWPNYLWNNNYFWNSEWMKHGTCSNMLPFDYFRLTLDIYARNDLQQILEHANILPGSTYLTSQIIATIKTSPIGVEPQVSCRSKDLVEIRLCLNKSPIPHYINCPKPRLNCPNKVNFL >Vigun03g186000.1.v1.2 pep primary_assembly:ASM411807v1:3:24608898:24610441:1 gene:Vigun03g186000.v1.2 transcript:Vigun03g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAVDEQDESYEAHHSHPSYIVPVVAPPTYGRPHIPSYAPPYISNSVRGPMIRTQRWSTGLCRCLDDPGNCLVTCFCPCVTFGLIAEIIDKGNRSCTCNGAIYATLLSLSGLACLYSCYYRSKMRAQYELPEAPCMDCLVHFCCETCALCQEYRELKNRGFDLSIGWEANRERQGQGAILSPVMSQTMTR >Vigun04g102200.1.v1.2 pep primary_assembly:ASM411807v1:4:23563083:23567019:1 gene:Vigun04g102200.v1.2 transcript:Vigun04g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDEGEIMEPVSPTGQYLTSSSLSIYILAVMETELPIVDDSQTLPLLHNLFLPINPRFSSIMIRDKNGDKKWKKVEVKLEDHIKVPTFESGNNSSNFLYDEYLDEYMSKIAVEQLPQHRPLWELHIIKYPTSKGMGSLVFKLHHALGDGFSLMGALLSCMERADKISLPFTLPSTQNQPKSPISNTKVLFKRLPSIFLRTVSDFGWSLLKSNFVEDDQTPIRSAAEDTKTRHINISDVTFSLDLVREVKSKLGVSINDVVAGVIFFGIRLYMEEMSVKSREGHSTALVLLNTRNIEGYKSIKEMVEKKNSNSAWGNQYAFLHVPIPELTDSKYANPLHFIWEAHQEIARKKTSFATPLTGMLLDTLRKLRGTEAAAKYLYSTLRNSSTTISNMIGPVEQMAVANVPIKGFYFMVAGSPESLTMTIMSYMGKIRIAFGVEKDFIDKKLFKSSLENALQIIVEAARQIKA >Vigun03g363800.1.v1.2 pep primary_assembly:ASM411807v1:3:56739792:56749058:1 gene:Vigun03g363800.v1.2 transcript:Vigun03g363800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRKRDQHVVEDDLHRGISGRYCRSGSIKWSRPRSLRSKSNQCPGVGTCPSLMDLCINRIREDFHKYDSFSVLPRDISQQIFNDLVDSHCLTEASLEAFRDCALQDIDLGEYSGVDDNWMDVISSQGLSLLSVDVSGSQLTDNGLRLLKDCSNLQALTLNYCDQFSEYGLKHISGLSNLDTLSIRKSSSVKPDGMRAFSNLFNLEKLDLERCSEIHGGFVHLKGLKKLEYLNIGCCKCVTDSDMKSISELINLKELHISNSSITDIGISYLKGLGKLTTLNVEGCNLTGACLESIHALASLACLNLSRCGLSNDGFEKIAGLKSLKRLSLAFNRITDSSLVHLKGLTNLEYLNLDSCRIGDDGLANLTGLTLLKSLVLSDTDIGNSGLRYISGLNKLEDLNLSFTTVTDNGLKRLSRLTQLKSLNLDTRLITDNGLSNIIGLSGLVTLDLFGAHISDHGTAYLRSFKNLQSLEICGGGLTDDGVKNIREIVSLTQLNLSQNCNLTDKTLELISGMTALRSLNVSNSRITNGGLRYLKPLKNLRSLTLESCKVTASEIKKLQSTDLPNLISFRPE >Vigun07g290000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40212777:40213405:1 gene:Vigun07g290000.v1.2 transcript:Vigun07g290000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSMRVSSALVVLAVLWLNPFVNSEILSEPDFKYDPIRKTLLIWMEKDMAEDADRLSFTSNFERGEVELVAGEPYKKYKNYEPKKASLRKGNGEFCVDLDVYDPKVEGDHKRIFWSMRRDAIYHSWDNEIWEKRSDWGNCSFQ >Vigun03g201020.1.v1.2 pep primary_assembly:ASM411807v1:3:30425636:30427816:1 gene:Vigun03g201020.v1.2 transcript:Vigun03g201020.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANPTTTTHNHTHHHHHHHHQLHHHHGNHGHHPHNHNHNQDYHDHVHHHHDHHHHHHNHDHHHHCHHHHQDLHHTHHHHLQHHHHNHHNHNHHNQQLHHHHNPPHKHHHHKNHDHDHHRHHHDHHHQYHHYHHNQNHHHHNQPHQHHHHHHQHHHIHHPYHHHHHHQHHHNNHPHHGHYDRHHHNYGHKHHHHYYDDHHHDGHTHHQHHHGQHKGQHHDHHNYNHHANHNHLHNHGHHHHGHNHHYHHHHHHHHPHHYHHGNHYYYNHHNHYHHNHNHNHHHHDHHHHHHHHHHRYPKPQTLHPKP >Vigun07g007600.1.v1.2 pep primary_assembly:ASM411807v1:7:649300:651976:-1 gene:Vigun07g007600.v1.2 transcript:Vigun07g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVKAAVAAPKKKLGTIKEKVTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAEKKERLLKRAQAEADGKSVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTRAKEKLIAKEAAQRMS >Vigun09g119800.1.v1.2 pep primary_assembly:ASM411807v1:9:26453710:26455432:1 gene:Vigun09g119800.v1.2 transcript:Vigun09g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELELPPGFRFHPTDDELVNHYLCRKCAGQPIAVPIIREVDLYKFDPWQLPEIGFYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKALGIKKALVFYSGKAPKGEKTNWIMHEYRLANVDRSASKKNNNLRLDDWVLCRIYNKKGKIEKYNTGAPKSEAGVVYNFEHETKPVIEKLRNEQLFMESSDSMQRLQSESSGSEQVVSPDVRWEREVQSHQKWNDISLQLENAFDFGYNYFDNNNNLSVDDPFGSVEYQMQDVLLEALLM >Vigun08g097900.1.v1.2 pep primary_assembly:ASM411807v1:8:23723438:23728578:-1 gene:Vigun08g097900.v1.2 transcript:Vigun08g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCVNLKTWILQLLSLFSVVSLSHGRPTAQFRVKAVNLGGWLVTEGWIKPSLFDGIPNKDFLDGTALQFKSVTTGKYLCAESGGGTIIVANRTSASGWETFRLWRLDENTFRFRVFNKQFVGLDGVKVVAVSNISTNSSTFNVVKESANSNRVRIRASNGHFLQAKTEDVVSADASTVNSWGDDDPSVFVMTIAGMMEGDFQLTNGFGPTKAPQVMKEHWNTFIVEDDFRFISSNLLTAVRIPVGWWIASDPNPPPPYVGGSLQALDNAFLWSEKFGLKIILDLHAVPGSQNGFEHSGSRDGSQEWGKSDETIQQTVGVIEFLTARYAKSPSLYAVELINEPLSPGVTLETLNKYYKAGYEAVRKHSSTAYVVLSNRLGPADPTELFPLAKGFELSVIDVHYYNLFQDVFDAMTVQQNIDFIYNNRSSQLATVTTSKGPLTFVGEWVAEWKVKGAAEEDYQRFGRAQLDVYGRLATFGWAYWGFKNVNNHWSLEWMIKNGYIKL >Vigun10g076700.1.v1.2 pep primary_assembly:ASM411807v1:10:20756010:20758314:1 gene:Vigun10g076700.v1.2 transcript:Vigun10g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFGSSDQPLDDDAEFTGYSFSNFDGNSSTIFGGASHNDGDDVFSPQAAPETPAPIYSASVGFDTFSQEQNRDGVDGGFGNSDGPILPPPTDVAAEEGFALREWRRKNAILLEEKEKKEREMRSQIIEEAQEYKVEFYRKREVNVENKKASNREREKLFLAGRENFHAEADKNYWKAIGELIPHEVPAIEKRGKKDKEKKPSIVVIQGPKPGKQTDLSRMRQILLKLKHNLPPNMKPKPPPSSETKKDTKTGPPDGASTGSNPPIVVPVATPEVVAAA >Vigun02g197300.1.v1.2 pep primary_assembly:ASM411807v1:2:33228047:33231385:1 gene:Vigun02g197300.v1.2 transcript:Vigun02g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILNKKRKKNVVRGDDNKDTNFDFDHHSRIPLFKELSHLSSLQLSSSLSVFPELVDSVFSLFFASMANEEKEIVMELLPLIRVYKDGTVDRLLSSPNVPPSLEDPETGVSSKDIVIADNPFVSARIFLPKNHNNPSHKLPIFVYFHGGAFCVESAFSFFVHRYLNILVSQANVIAISVDFRLLPHHPLPAAYQDGWTTLQWIASHAANNAATPEPWLLDYADFNKVYVGGETSGANIAHNLLLRAGEESLHGGLKIFGAVLSSPFFWGSNPIGSEPVEGHEQSLAMKVWGLACPDAPGGIDNPWINPCVTGAPSLATLACSKILITITGRDEFRDRNILYHDTIKESGWQGEVQLFDAGDEEHAFQLFKPETDRAKAMIKHLASFLV >Vigun09g108550.1.v1.2 pep primary_assembly:ASM411807v1:9:22787115:22787929:-1 gene:Vigun09g108550.v1.2 transcript:Vigun09g108550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGKGSLKQQLAAIKLVLEDLRSKKDERIKEFLEIKSQISQICDEIAGCGQYTSVTDSDVNQSDLTSKKLGELKSHLQELQNEKVLPNS >Vigun09g060600.2.v1.2 pep primary_assembly:ASM411807v1:9:6224308:6230410:1 gene:Vigun09g060600.v1.2 transcript:Vigun09g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLQSLADENPDLQKQIGCMTGIFQLFDRHHALTARRIAHKRLPSGNSHFSDGSLERDSNNIHHRQATTDTSLNKGVNERQRISTESSRASFSSCSSSVSSLDCKAEAEAPFDRILFPETPSRDAAMNQSTISSHFGCNSLDLRDVVKDSMYREARGLSVKTTGKEESAINGMKHRDSPRPVQLPKSVDGSYRGGIDEKQSVPIDLKESIRVLAKLREAPWYYAETKELPRSSHEVKDGPWHSNSKDASWFAYEGKEISRLSFESRETIKSTPKLKELPRLSLDSKEGSLRPYSSDSATHPSRNIYTGTPTSNDKFSTLQQPSTIPNRLPGVVAKLMGLEALPDSSLAGDTQPSSTEAYSAQDSSQFPRSSKPGLTRPLRFSHSPKISLKDPTSPRRKNSDLVMKPISSSRFPIEPAPWKQQDGNRSSQKLNLRGVKAPARAPDTFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKEEQAPNVVGSQNEYEQKSTSQDQNTRSLRQQNSQRNNFLSSTIKGSESARAFESPIVIMKPAKLVEKTAIPASSVIPIGGLSVSQKHQNGGVYVENKTSTSATRVAKEQSSRNIHRDASASSIDKKANGSKTTRSAQSQSRSQQHLKENSQSSVKHSGTVSPRLQQKKLELEKRSRPPPPPSDSTKLRRQSGKKATESGSPGGKQRPKTPNSRHNDEQLSEISNESRSLSCLGDEISLQSDSLTVNSKMEVEVTSSLKSVEIDDSQSPSLKGVKQLISETTQKKSTPRLDEAESVAELGADAPEHPSPISVLDGSVYRDDMPSPVKQISEDSKGDDGQDSKENEITDQWNPAESLSFHSMGSGEINRKKLQNIDHLVQKLRRLNSSHDEARIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQLHSSGNPINPELFLVLEQTKASSLLSKEESTPEKDSNMKLNKEKFHRKFIFDSVNEILGAKLGSSPEPWFLPNSNRLTKKTLSAQKLLKELCFEIEKIQAKKPECCLEDEDDDLKSMLCQDVMLGSESWTDFHGYLPGVVLDVERLIFKDLVDEVVIGESSGLRVKPPVRRRKLFGK >Vigun09g060600.3.v1.2 pep primary_assembly:ASM411807v1:9:6224534:6230409:1 gene:Vigun09g060600.v1.2 transcript:Vigun09g060600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLQSLADENPDLQKQIGCMTGIFQLFDRHHALTARRIAHKRLPSGNSHFSDGSLERDSNNIHHRQATTDTSLNKGVNERQRISTESSRASFSSCSSSVSSLDCKAEAEAPFDRILFPETPSRDAAMNQSTISSHFGCNSLDLRDVVKDSMYREARGLSVKTTGKEESAINGMKHRDSPRPVQLPKSVDGSYRGGIDEKQSVPIDLKESIRVLAKLREAPWYYAETKELPRSSHEVKDGPWHSNSKDASWFAYEGKEISRLSFESRETIKSTPKLKELPRLSLDSKEGSLRPYSSDSATHPSRNIYTGTPTSNDKFSTLQQPSTIPNRLPGVVAKLMGLEALPDSSLAGDTQPSSTEAYSAQDSSQFPRSSKPGLTRPLRFSHSPKISLKDPTSPRRKNSDLVMKPISSSRFPIEPAPWKQQDGNRSSQKLNLRGVKAPARAPDTFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKEEQAPNVVGSQNEYEQKSTSQDQNTRSLRQQNSQRNNFLSSTIKGSESARAFESPIVIMKPAKLVEKTAIPASSVIPIGGLSVSQKHQNGGVYVENKTSTSATRVAKEQSSRNIHRDASASSIDKKANGSKTTRSAQSQSRSQQHLKENSQSSVKHSGTVSPRLQQKKLELEKRSRPPPPPSDSTKLRRQSGKKATESGSPGGKQRPKTPNSRHNDEQLSEISNESRSLSCLGDEISLQSDSLTVNSKMEVEVTSSLKSVEIDDSQSPSLKGVKQLISETTQKKSTPRLDEAESVAELGADAPEHPSPISVLDGSVYRDDMPSPVKQISEDSKGDDGQDSKENEITDQWNPAESLSFHSMGSGEINRKKLQNIDHLVQKLRRLNSSHDEARIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQLHSSGNPINPELFLVLEQTKASSLLSKEESTPEKDSNMKLNKEKFHRKFIFDSVNEILGAKLGSSPEPWFLPNSNRLTKKTLSAQKLLKELCFEIEKIQAKKPECCLEDEDDDLKSMLCQDVMLGSESWTDFHGYLPGVVLDVERLIFKDLVDEVVIGESSGLRVKPPVRRRKLFGK >Vigun09g060600.4.v1.2 pep primary_assembly:ASM411807v1:9:6225721:6230410:1 gene:Vigun09g060600.v1.2 transcript:Vigun09g060600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFSLGNSHFSDGSLERDSNNIHHRQATTDTSLNKGVNERQRISTESSRASFSSCSSSVSSLDCKAEAEAPFDRILFPETPSRDAAMNQSTISSHFGCNSLDLRDVVKDSMYREARGLSVKTTGKEESAINGMKHRDSPRPVQLPKSVDGSYRGGIDEKQSVPIDLKESIRVLAKLREAPWYYAETKELPRSSHEVKDGPWHSNSKDASWFAYEGKEISRLSFESRETIKSTPKLKELPRLSLDSKEGSLRPYSSDSATHPSRNIYTGTPTSNDKFSTLQQPSTIPNRLPGVVAKLMGLEALPDSSLAGDTQPSSTEAYSAQDSSQFPRSSKPGLTRPLRFSHSPKISLKDPTSPRRKNSDLVMKPISSSRFPIEPAPWKQQDGNRSSQKLNLRGVKAPARAPDTFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKEEQAPNVVGSQNEYEQKSTSQDQNTRSLRQQNSQRNNFLSSTIKGSESARAFESPIVIMKPAKLVEKTAIPASSVIPIGGLSVSQKHQNGGVYVENKTSTSATRVAKEQSSRNIHRDASASSIDKKANGSKTTRSAQSQSRSQQHLKENSQSSVKHSGTVSPRLQQKKLELEKRSRPPPPPSDSTKLRRQSGKKATESGSPGGKQRPKTPNSRHNDEQLSEISNESRSLSCLGDEISLQSDSLTVNSKMEVEVTSSLKSVEIDDSQSPSLKGVKQLISETTQKKSTPRLDEAESVAELGADAPEHPSPISVLDGSVYRDDMPSPVKQISEDSKGDDGQDSKENEITDQWNPAESLSFHSMGSGEINRKKLQNIDHLVQKLRRLNSSHDEARIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQLHSSGNPINPELFLVLEQTKASSLLSKEESTPEKDSNMKLNKEKFHRKFIFDSVNEILGAKLGSSPEPWFLPNSNRLTKKTLSAQKLLKELCFEIEKIQAKKPECCLEDEDDDLKSMLCQDVMLGSESWTDFHGYLPGVVLDVERLIFKDLVDEVVIGESSGLRVKPPVRRRKLFGK >Vigun09g060600.1.v1.2 pep primary_assembly:ASM411807v1:9:6224364:6230471:1 gene:Vigun09g060600.v1.2 transcript:Vigun09g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLQSLADENPDLQKQIGCMTGIFQLFDRHHALTARRIAHKRLPSGNSHFSDGSLERDSNNIHHRQATTDTSLNKGVNERQRISTESSRASFSSCSSSVSSLDCKAEAEAPFDRILFPETPSRDAAMNQSTISSHFGCNSLDLRDVVKDSMYREARGLSVKTTGKEESAINGMKHRDSPRPVQLPKSVDGSYRGGIDEKQSVPIDLKESIRVLAKLREAPWYYAETKELPRSSHEVKDGPWHSNSKDASWFAYEGKEISRLSFESRETIKSTPKLKELPRLSLDSKEGSLRPYSSDSATHPSRNIYTGTPTSNDKFSTLQQPSTIPNRLPGVVAKLMGLEALPDSSLAGDTQPSSTEAYSAQDSSQFPRSSKPGLTRPLRFSHSPKISLKDPTSPRRKNSDLVMKPISSSRFPIEPAPWKQQDGNRSSQKLNLRGVKAPARAPDTFPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKEEQAPNVVGSQNEYEQKSTSQDQNTRSLRQQNSQRNNFLSSTIKGSESARAFESPIVIMKPAKLVEKTAIPASSVIPIGGLSVSQKHQNGGVYVENKTSTSATRVAKEQSSRNIHRDASASSIDKKANGSKTTRSAQSQSRSQQHLKENSQSSVKHSGTVSPRLQQKKLELEKRSRPPPPPSDSTKLRRQSGKKATESGSPGGKQRPKTPNSRHNDEQLSEISNESRSLSCLGDEISLQSDSLTVNSKMEVEVTSSLKSVEIDDSQSPSLKGVKQLISETTQKKSTPRLDEAESVAELGADAPEHPSPISVLDGSVYRDDMPSPVKQISEDSKGDDGQDSKENEITDQWNPAESLSFHSMGSGEINRKKLQNIDHLVQKLRRLNSSHDEARIDYIASLCENSNPDHRYISEILLASGLLLRDLSSELLTFQLHSSGNPINPELFLVLEQTKASSLLSKEESTPEKDSNMKLNKEKFHRKFIFDSVNEILGAKLGSSPEPWFLPNSNRLTKKTLSAQKLLKELCFEIEKIQAKKPECCLEDEDDDLKSMLCQDVMLGSESWTDFHGYLPGVVLDVERLIFKDLVDEVVIGESSGLRVKPPVRRRKLFGK >Vigun01g135300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31506608:31508824:1 gene:Vigun01g135300.v1.2 transcript:Vigun01g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETDGWNLVDHGEVVDETDLGLCVYRRRRRIEWREDSIEGIMEIQATMYTTDSTNQINDTQNSAKLNIHDYILFILKHNTTASGSPQTFKHYLDEILTSRSLYQWLHISNDSFPLGSPALFSKCYPRLFCFGLCILSNQCALSASNQFPFFAGALFFV >Vigun06g064400.5.v1.2 pep primary_assembly:ASM411807v1:6:19290731:19292501:-1 gene:Vigun06g064400.v1.2 transcript:Vigun06g064400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLSNFATAMYEGDRVHASFVVIKIDASWQYTDDGVDLEVRGPGGEPIRDFLDMTSEIFEFVARSTGPYRFCFNNRSPYHETIDFDVHSNHVEFSDHHAKDEHLTPLFEEILKLEQALFNIQYEQHWLEAQTERQAIVGSAMSSRTLHKALLESAALMGASALQVYLLRRLFERKLTTTV >Vigun06g064400.3.v1.2 pep primary_assembly:ASM411807v1:6:19290731:19292501:-1 gene:Vigun06g064400.v1.2 transcript:Vigun06g064400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIEMKVGLSNFATAMVIFCSMNAVLGIRFVIDRRDCFSHNVQYEGDRVHASFVVIKIDASWQYTDDGVDLEVRGPGGEPIRDFLDMTSEIFEFVARSTGPYRFCFNNRSPYHETIDFDVHSNHVEFSDHHAKDEHLTPLFEEILKLEQALFNIQYEQHWLEAQTERQAIVGSAMSSRTLHKALLESAALMGASALQVYLLRRLFERKLTTTV >Vigun06g064400.4.v1.2 pep primary_assembly:ASM411807v1:6:19290731:19292501:-1 gene:Vigun06g064400.v1.2 transcript:Vigun06g064400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLSNFATAMVIFCSMNAVLGIRFVIDRRDCFSHNVQYEGDRVHASFVVIKIDASWQYTDDGVDLEVRGPGGEPIRDFLDMTSEIFEFVARSTGPYRFCFNNRSPYHETIDFDVHSNHVEFSDHHAKDEHLTPLFEEILKLEQALFNIQYEQHWLEAQTERQAIVGSAMSSRTLHKALLESAALMGASALQVYLLRRLFERKLTTTV >Vigun06g064400.1.v1.2 pep primary_assembly:ASM411807v1:6:19290731:19292577:-1 gene:Vigun06g064400.v1.2 transcript:Vigun06g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLSNFATAMVIFCSMNAVLGIRFVIDRRDCFSHNVQYEGDRVHASFVVIKIDASWQYTDDGVDLEVRGPGGEPIRDFLDMTSEIFEFVARSTGPYRFCFNNRSPYHETIDFDVHSNHVEFSDHHAKDEHLTPLFEEILKLEQALFNIQYEQHWLEAQTERQAIGTSRIMLRFYWFNLLDSCL >VigunL059091.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000576.1:7554:9052:-1 gene:VigunL059091.v1.2 transcript:VigunL059091.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKTLMRARFVPPSYRKELLLKLQRLHQGSMSVSEYFKELESQMRRVEIKETNKEKIKRFMSGLRRDIKDQVELYEYSTLENVFTLALGIEIQLKRKRRARKSYSPNHYFSHSWKGKDKKKHDKFPSNSHQEPPSKSKSPSDHIHHSTSQRSSSIKCFKCLGYNHIALNCPTKRTMILKKSNDVESEHSSPHSLSKESSSSSKTKIFEKDPMLLRRMIGQDQSELEPTQRENIFQSRCKINKWVCSLIIDGGSSTNVASTRLVEKLSLETIPHAKPYKLAWISKEGEIDVNKQVLINFSIGSYKDEMLCDVVPMEVTHILLDVHHPSFSSQAKKEGPNHKQERKSTLENKDCLTKARGNTLRKDGTRAHKREVQILPQIKSSSIYKGLKNLWSNSLQGREDDEGLTPTKDGGTCLRRLSMFIKEVH >Vigun07g178800.1.v1.2 pep primary_assembly:ASM411807v1:7:29546699:29550339:-1 gene:Vigun07g178800.v1.2 transcript:Vigun07g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPGTPGTLLALALRISQFVFAAGSIASMATTPSFFNFTAFCYLIASMGLQVIWSFGIALLDAYAYVSKKVLHNAVLVSLFVVGDWVTATLSLAAASSSAGITVLYFNDLGHCHFGEECQKYQISVALAFLSWLPISISSLIMLWLLAAG >Vigun07g147500.1.v1.2 pep primary_assembly:ASM411807v1:7:25845676:25851136:-1 gene:Vigun07g147500.v1.2 transcript:Vigun07g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDDDESFGDFTFASFPSQPFPSTTNDNNNVLVDNDWGDFVNHSGQINNDLSKPLPDPTTKHVNGNNGVAVQAEAAKKPKGAIPLSIFGEEEEEEEEEEERTPANVFPNGGVVKGGSGSNGSVGISDLISNLYNQQRPQMDSLNGSVSVSNGAAPNPANSKGSKLNEEEGEDEEDEDGWEFKSAEWETGIKSQDVKAEVQKHDNGALHIVTASDSSNGISDKAGGWHLEFESSPLFASQNHINPRLGLNSESKVVGTGFAVPSQSFGELNLGSGSNQNLKAPEKADTYPTSMELLKFDSTIGSSLASVSHQSDEWNLGVNFNSSSVGEDNHSSEPHLKRIETKINQADNSINNASPTINVNSDVNLFESEGAITKLEKPLTGSENRREALSLSIFGDETPDTDEHSVPQDLSHYAPTPPVRNNFNSLASNLSINDIWNLYNQAEKQTSPNLTPKASENQILALPEVSGSSLVTDNDGLDDDFWDFKDASTGSRFTHESSQQTSSSYTSQVNDNGLHSSPTVLNSDLANGEDDFEDDSWEFKDAAISGTQSQDQTSTLDHTHLPVTLLSTKLEQSDYAEFYSKLKDELSNYVLSHLQNLKKNLNDATRSGEDAKAKALEEQIQEFSEILHQDKMSVPTEYLSEDYCPTDVCFNELLEVLKEPKFQPFESEYQLASRLLTAEKDIKSAIELLEDTVSTLRILKLGSREEQCNYLTVWSKIVSVCSQELKHGANVWKQAVLQNVHVQILSNQKGVQYIIALGEIYRVAEIIGASIKLHKPWMLSDYTDHKSLCFLLDECYSIWLASGLQEALLSISSQNIFEPDEISRELVESINYIHELDEHALRSYVISGEQTTCQLSALPAGCIPGLNLVTWNGKHCMVKVANLWVNLISSDSP >Vigun05g010800.1.v1.2 pep primary_assembly:ASM411807v1:5:872801:874140:-1 gene:Vigun05g010800.v1.2 transcript:Vigun05g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSHHALLHGNKTPFTLQFQCITSSNPNNANIFTYPSIRCCSSLNQKSSINLRTCKNCKTQFDPSLNHPLACRFHTAHFGGETKRKFESVYEGGTMSTPDSGKVFQYWHCCGSEDPFDPGCTSSPHTSYDD >Vigun05g151100.2.v1.2 pep primary_assembly:ASM411807v1:5:22784396:22789213:-1 gene:Vigun05g151100.v1.2 transcript:Vigun05g151100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPSFPEKMHYLSGIFPEIKSEIHVSEENSSFMYQNNFNHFQQHHPLNEPNHGHPESFFAEGSSSIIAPLFSLSSAAPSSGGYKPTYPNECLKGGYGNPYYPMAYAPNNVESMHGHPNKVIWDFSQKARLHSGPSATSDPQQVQRKTNGQIQQRMTNIIKGQWSAEEDSVLVQLVKRFGIKKWSHIARLLNGRVGKQCRERWHNHLRPNIRKESWSEEEDKILIEAHKELGNKWAEIARRMPGRTENTIKNHWNATKRRQKAKRQRNKRRNSKGTPTLLESYIRKVTAAEDSEKDLKNSLSKMNLNDTTNTGLFRVRYESSEGDFSSEEEELGWSLQHHVPCAAADGGYVPVMVNAGEESTIDYEVAMQMVPEVQMRKEMDLMEMIYRKG >Vigun05g151100.1.v1.2 pep primary_assembly:ASM411807v1:5:22784396:22789213:-1 gene:Vigun05g151100.v1.2 transcript:Vigun05g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPSFPEKMHYLSGIFPEIKSEIHVSEENSSFMYQNNFNHFQQHHPLNEPNHGHPESFFAEGSSSIIAPLFSLSSAAPSSGGYKPTYPNECLKGGYGNPYYPMAYAPNNVESMHGHPNKVIWDFSQKARLHSGPSATSDPQVQRKTNGQIQQRMTNIIKGQWSAEEDSVLVQLVKRFGIKKWSHIARLLNGRVGKQCRERWHNHLRPNIRKESWSEEEDKILIEAHKELGNKWAEIARRMPGRTENTIKNHWNATKRRQKAKRQRNKRRNSKGTPTLLESYIRKVTAAEDSEKDLKNSLSKMNLNDTTNTGLFRVRYESSEGDFSSEEEELGWSLQHHVPCAAADGGYVPVMVNAGEESTIDYEVAMQMVPEVQMRKEMDLMEMIYRKG >Vigun08g011800.6.v1.2 pep primary_assembly:ASM411807v1:8:1049511:1054457:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.3.v1.2 pep primary_assembly:ASM411807v1:8:1049696:1054499:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.4.v1.2 pep primary_assembly:ASM411807v1:8:1049458:1054484:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.1.v1.2 pep primary_assembly:ASM411807v1:8:1049811:1054403:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.7.v1.2 pep primary_assembly:ASM411807v1:8:1049811:1054403:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRCHWHWN >Vigun08g011800.2.v1.2 pep primary_assembly:ASM411807v1:8:1049696:1054499:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.5.v1.2 pep primary_assembly:ASM411807v1:8:1049458:1054484:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTPATYDLSELGNIGVGGQVSLALELRNCESEGFGLSNDDMHRRRKKTLASSPEADLLDYHFTDQEKQQNKFGNPHLLHEFVV >Vigun08g011800.8.v1.2 pep primary_assembly:ASM411807v1:8:1049811:1054403:1 gene:Vigun08g011800.v1.2 transcript:Vigun08g011800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSENVGGQSEFKFMTSMRDTMTVQSIDGHSNAAPTTGQDSFSNAGDSHSHLVPRTTQIGLVDSEQNVQSQGLSLSLGSVMPSIASVPTFPYQYPGAGFSSLMASSVPNLKGTSSLKDDEELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANSSCELSPAERQNLLDKKTKLLAMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALSTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPETSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGDSEMCSNILSSENTLKAPRDDVQSSDSKREESQDNLITVDDSDIQHHGLKLDHASELNRGIRGSDHGENAMDPRIGKLQGDQRCHWHWN >Vigun03g348000.1.v1.2 pep primary_assembly:ASM411807v1:3:54798578:54800723:1 gene:Vigun03g348000.v1.2 transcript:Vigun03g348000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKNATDEDLKKAYRKLAMKWHPDKNATNKKEAETKFKQISEAYEVLSDPQKRAIYDEYGEEGLKGQVPPPDAGGATFFQTGDGPTAFRFNPRNANDIFSEFFGFSSPSPNPFGGGGVRGGSFSGVFGDGIFGPFAEGRAMNQGPRKAPPIEKRLPCTLEELYKGTTKKMKISREIADASGKTLPVEEILTLEIKAGWKKGTKITFTEKGNEVPNMIASDLVFVIDEKPHPVFTRYGNDLVVTQKVSLVEALTGYTVHLTTLDGRALNITINNVIHPNYEEVVPREGMPISKDPSKKGNLRIKFNIKFPAKLTTEQKAGIKKLLSY >Vigun04g051501.1.v1.2 pep primary_assembly:ASM411807v1:4:4676454:4676879:-1 gene:Vigun04g051501.v1.2 transcript:Vigun04g051501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNNPHIYFIINVVETWSIWCHQMSCLIHKPALRDKVGVISKQQVKFLHVVDEENFVGEASSRSCC >Vigun07g254200.1.v1.2 pep primary_assembly:ASM411807v1:7:37259440:37262504:1 gene:Vigun07g254200.v1.2 transcript:Vigun07g254200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFHTRRHRLGRNAIDLGFDTELQAADSFRRRHHVRRLRHHPERASDRVGGRYRRSFINDSVDSEETVRGSLGGSTSERLPVGVVLARARLLQRLRGEPLSRNRQHGIDSLGEDRESESSNEVATGATLVTDLTSQMGRSQFLQELNKKPPGLTQEAMDCLNQEVFSSSEIGLDSKVMQDCSICLETFTDGDELIRLPCGHKFHSVCLDPWIRCCGDCPYCRRPVVLNTHLS >Vigun08g028300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2565163:2565375:-1 gene:Vigun08g028300.v1.2 transcript:Vigun08g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLTLHQISAQNHHPSLLLDHHHLHHPHAMPQKQHNLHQHHHPRYPFGVFFPQKRKVPNASDPLHNR >Vigun06g001900.1.v1.2 pep primary_assembly:ASM411807v1:6:1053406:1057227:-1 gene:Vigun06g001900.v1.2 transcript:Vigun06g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHVIEKDPTSRYARYDEVLGKGAFKTVYKAFDEVDGIEVAWNRISAEDVVQTPQQLEKLYSEVHLLKTLKHDNVIKLYNYWIDDTTATVNMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLCFLHSQTPPVIHRDLKCDNIFVNGNSGLVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEDYNELVDIYSFGMCILEMITCEYPYSECKNPAQIYKKVTSGIKPAALAKVNDPEVKQFIEKCLVPASVRLSACELLKDPFLATENAKEINPDILQLPNSPHIKLMNQPMCKPHPMEIDFNSRRSSPGSSVGRIEETSQVSTFDLVKMTENNEFRLRGEKNAESTISLTLRIADARGGARNIHFPFYIDSDTPISIAEEMVEHLELTHEDVSVIAELIQDMIVKLEPNWKPLCENLSSGTDNLYRAPSEVQNDEQLSCHWPLQSSDYDMKTMYEDLVRPGPVDGGYQEKQESAMSDISAECGITIASDSKDVEPDIFIFDEFWEGFDVFNSNSDDRFSGQEDGQKNHSENSEGSLINSCCSPSKNFDMSSTGSLNIVDKDPSDDELQLELEAIETQYQQCFRELVKMREEAIENAKRRWITRKKIPVT >Vigun02g059950.1.v1.2 pep primary_assembly:ASM411807v1:2:20541154:20543944:1 gene:Vigun02g059950.v1.2 transcript:Vigun02g059950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAYIRSYSSLHNGLGVKTTIDVRESAIQFGCIRTIPFVCDGLKLYKDRFVSSLPTSRPLQVLISRVAFYLCAKKHKLKVDKLKLIELCGTSESEFSSDLCHDVFGVCKGKKMLRKCNQIEICLTFCQVKEKLRMEVICPMMVLSFHVIKNASKWKHVIMKSGNPQLLHPISKTKKKLLANEPNKVVSTL >Vigun10g053400.1.v1.2 pep primary_assembly:ASM411807v1:10:8880845:8882738:1 gene:Vigun10g053400.v1.2 transcript:Vigun10g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVHMRTISKGAIILLSIMMLLFDTTVSVVVESDQNHIKSATFLSENFEVGPGKIVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPNDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTLIHDCQAEYKIPRNHHNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNITLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun06g061900.1.v1.2 pep primary_assembly:ASM411807v1:6:18960025:18961458:-1 gene:Vigun06g061900.v1.2 transcript:Vigun06g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLATSMANNIPNTQLKFDRYKYSSSEFPEEHAVIYSSHEIETRTSTLRLSVKRDMVLCRFAHDMCKDHPIRFHMKITALKDSNGVFKLGEVVAGDGTDRDLRPFPNRIPKFLNRSGGGERPAAVEKEHARFAYRCEEGSGDFGVKSGYDCEKWRFCHSYDGGERGNLTYFVSIWCNSDTGLSVLLVGPFMNDGKGGRLRMSRREVSGEPPKGLIANAERDLKLGKEYTVLKRNQFQDNMDDIKSSLHALTTEIRYRREEATRVMNIRRERAQEQGKTYGGESPRARSDSENFCGRNSGLQILQGKEKPNGEMRISSEEAARRMVLTRRLIERCEVGKNRSAEGRAEINGDGDSEGSVINFSGGEGNELINNSVGHSTQVAFYGPVYLSLKGHPQPLFPSYY >Vigun04g112700.1.v1.2 pep primary_assembly:ASM411807v1:4:28347503:28351373:1 gene:Vigun04g112700.v1.2 transcript:Vigun04g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAEKKESENNSANNPENAHQTLDSSSQLASAIDSNSKETEERQSRELKAGLHPLKNKFVFWYTRRTPGVRNQTSYEDNIKKIVEFSTVEGFWVCYCHLARPASLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRNTWLRG >Vigun08g131500.1.v1.2 pep primary_assembly:ASM411807v1:8:30205238:30206906:1 gene:Vigun08g131500.v1.2 transcript:Vigun08g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMESHDAKLLRAQTHVWNHIFNFINSMSLKCVVELGIPDIIHNHGQPISLSTLIASLPIHSSKTHFIPRLMRIMVHSGFFSQHNPTQNEQDVTYALTDASLLLLKSNPMSVTPFLQAMLDPVLTNTWHHFSNWFKNGNTTPFELAHGKLLWEYAGSDPRINVLFNDGMASDAELVTSVVIEKCKGVFMGLESLVDVGGGTGTMAKAIAESFPQLECIVFDLPHVVSGLQGSENLKYVEGDMFEAIPPTDAILLKWILHDWNDEECVKILKKCKEAISRKGKEGKVIIIDMVMDNETKDEESVETQLFFDMLMMVLVKGKERNEKEWVKLFSSAGFSNYNITPVLGTRSLIQIYP >Vigun06g157500.1.v1.2 pep primary_assembly:ASM411807v1:6:28100023:28103812:1 gene:Vigun06g157500.v1.2 transcript:Vigun06g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASRASSSQAGKIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAIERPLDQLQEPPRSTSFTGTGRLLSGETAQSTTNQQPEAVVHNIVFWSNGFTVNDGPLRRLDDPENASFLEVMLFKILIPLMSIKKSECPKELEPADRRSSVNVNLIRRNENYPEPERQHVPFQGVGRTLGSSSTSVPTASSTPPTTAPTPSAGLVVDQSLPSTSIQIRLADGTRLISQFNHHHTISDIRAFIDASRPGSRQNYQLQMMGFPPKVLTDETQSIEQAGLANSVVMQKF >Vigun06g157500.4.v1.2 pep primary_assembly:ASM411807v1:6:28100023:28103812:1 gene:Vigun06g157500.v1.2 transcript:Vigun06g157500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASRASSSQAGKIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAIERPLDQLQEPPRSTSFTGTGRLLSGETAQSTTNQQPEAVVHNIVFWSNGFTVNDGPLRRLDDPENASFLESIKKSECPKELEPADRRSSVNVNLIRRNENYPVRNPKGSMFHFREWEELLEAALHLCQLLPQHRRPLRQLLLRAWLLINHCHRPQYKSGWLMEPA >Vigun06g157500.2.v1.2 pep primary_assembly:ASM411807v1:6:28099932:28104027:1 gene:Vigun06g157500.v1.2 transcript:Vigun06g157500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDNKKASRASSSQAGKIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAIERPLDQLQEPPRSTSFTGTGRLLSGETAQSTTNQQPEAVVHNIVFWSNGFTVNDGPLRRLDDPENASFLESIKKSECPKELEPADRRSSVNVNLIRRNENYPEPERQHVPFQGVGRTLGSSSTSVPTASSTPPTTAPTPSAGLVVDQSLPSTSIQIRLADGTRLISQFNHHHTISDIRAFIDASRPGSRQNYQLQMMGFPPKVLTDETQSIEQAGLANSVVMQKF >Vigun06g157500.3.v1.2 pep primary_assembly:ASM411807v1:6:28100426:28103885:1 gene:Vigun06g157500.v1.2 transcript:Vigun06g157500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDPSKGNDVDAIFNQARQLGAIERPLDQLQEPPRSTSFTGTGRLLSGETAQSTTNQQPEAVVHNIVFWSNGFTVNDGPLRRLDDPENASFLESIKKSECPKELEPADRRSSVNVNLIRRNENYPEPERQHVPFQGVGRTLGSSSTSVPTASSTPPTTAPTPSAGLVVDQSLPSTSIQIRLADGTRLISQFNHHHTISDIRAFIDASRPGSRQNYQLQMMGFPPKVLTDETQSIEQAGLANSVVMQKF >Vigun01g074000.2.v1.2 pep primary_assembly:ASM411807v1:1:20552711:20554703:-1 gene:Vigun01g074000.v1.2 transcript:Vigun01g074000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIIFKRIFLKFNFLLYGEFNYAKESTQSVKAQQVTYNFDLDTLIWEGSYGRVYYDVHKSEQGVATKNLDANIQADEELLAQVAFKKVDSPKKSFQESLIRCCLASWKQS >Vigun05g012400.1.v1.2 pep primary_assembly:ASM411807v1:5:1002574:1004632:1 gene:Vigun05g012400.v1.2 transcript:Vigun05g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNKEWAIGIDLGTTYSCFAVWKEQQCRVEIIHNDQGNRLTPSCVSFTENQRLIGDAAKNLASINPTNTVFDVKRLIGRKYSDPVIENDIRLWPFKVIADSDDKPIIVVSYKGEEKRISPVEISSMILTKMREIAESYLDSGVKNVVVTVPAYFNDSQRRATKDAATIAGFNVKRIINEPTAAALAYGLENKGNCDGKRKRNIFIFDLGGGTFDVSILRMKDVFEVKAIAGDTHLGGEDMDNRMLKYCVEEFKRKNRVDISGNPKALRRLRSACERAKRTLSHAVDTTIEVDSLSGGIDFHSSMTRTRFEELNMDLFRKCMETVDKCVVDSKMNKSDIDEIVLVGGSSRIPKVQPLLQDYFKGKDLCKRINPDEAVAHGAAVLAALLSDGIKYVPELVLLDVTPLSLGISLNEDLMSVVIPRNTVIPVKRKKEYTRVLDFQSSIPIRVYEGERTRASDNNLLGSFNFYGSPNALRGHPLTVCFSIDADGIISVTAEEESSGRKNSITITNDKERLSTEQVTRMMEEAEKYKAEDKEYQKKVEAINALEDFIYKIRKAAEDVDNSFKLDPHHKKINVAIAEARKLLDASQETEKDVFVDHLRKVKNLIEPIMKIN >Vigun08g112600.1.v1.2 pep primary_assembly:ASM411807v1:8:27877665:27881964:1 gene:Vigun08g112600.v1.2 transcript:Vigun08g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYPNAASMLRSRTIIPPPPPLLALSKRLPSPSPSPSQSPLLSPSVTPSEPTLLCSGTPKPLLHRFSLFSNSHTLQTMTLSKCFSHIGPTVPIPDPPGSEPQPDSPLVVVSFYKFADFPDHALMRNPLKQLCQRLRVSGGIILAPEGINGSICGTRESVEDVLAFVEGDDRLKGLRRVESSVSPEEEAIHHGHSAASPLAAGEDAPFRWDHVRVKLKKEIVTLGMPTVSPIEKVGKYVGPEEWNALINEPDTVVIDVRNNYETRIGKFKGAVDPCTTSFREFPSWVEEHFQLSETDDSENPKLELNNSVQPAEKEMENQKQQMPRVAMYCTGGIRCEKATSLLLSKGFKEVYHLEGGILKYLEEVPETESLWEGECFVFDKRVSVEHGLVPGNFKLCYGCKQPVSDADMEAPEFEYGVSCPHCFALKSDEEKERARARQRQFERWGIIGGPDKGRRPTTQEPESASRNPNQLSRSI >Vigun07g273348.1.v1.2 pep primary_assembly:ASM411807v1:7:38877896:38878618:-1 gene:Vigun07g273348.v1.2 transcript:Vigun07g273348.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITIKTLMSFFPIFCIVLLMTSGVRSDYTPTPAGDVCQIGGYCPSEEKCKQYCTFFGYKNGGFCIPQGGERCCCVK >VigunL040600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:365125:370242:-1 gene:VigunL040600.v1.2 transcript:VigunL040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKLLFLTSSFIGWIIGHTFFIKWIEFLLICIQQNNWIKSNVRIQSKKDILSEFRNYMFKIFAVFLFVTCLYYLGRTPLPFFNKKLLVLESKQSNEISKKGKKKDKDMLQKPLINILFNYKRWKRPFRYIKNNEFENMVKNEISEFFFHTYQRDGREKISFTYPQNLSSFEKLMETKIDFFTKKKISSDDLYNDSYYRNEEKINKLSNEFINRTKLIDKKLISLDIFENRIRFCDDETKKKYLTKRKDPLLNGPFRGQIKNGFSTSIQHEKTYKKNNIFINKIQDIFLYNKISKKNNSNSPKLEENRKTFDKKLLVTTFLFNLISQFSLVSSLNSHVPYLFTEPEQVKMNYNYDAEKKQIIKILFDAITTDLNEKRKVNRKNTKSIKRNEICKKVPRWSYKLMDELEQLGGKIEADNSQIRSRKGKRVVILTNKDKFFKKYKTYRDRGDTENNEQKNELALRRYSQQSDFRRDIIKGSIRAQRRKTVTWKFFQKRVHSPLFLDKIEKSIFFSFHSLKSMKRFFMLNIWIRKKTEFQILGSIEEKTKKSPKKKEEEAKKENAERKRIEIAEAWDSIIFAQVIRGVLLITQCFIRKYILLPSFIIIKNTIRILFFQIPEWSEDYMDWKREIYIKCTYNGVQLSEREFPQKWLTDGIQIKILFPFRLKPWHKSKIRCNENKKNSKKKKNFCFLTVWGMEVELPFSSSTKNPLSFFDPILKELKKKKKKFEFFTFLVLKVLSEKFKLFLNIVIEKAKGIIQSILEIIRKSIVFLTKKKQEFLNLKYFFIKRKPPKLDELSENKISEATISIQSIKSTNFSLKKKSIKDLNTKRKVVIKKIEKMKKEEKKRGLGIPETNIHSKKKIYDSKRIEFEKKILQIFQRRNISLTKKSHSFFQFLMKKIYIDIFLYIICIPKIQLQLFLESTKKFLNKWLYDNEPNTERSYKTNQSIIPFLLKLHKYFNNKNKNANSHNYFDVSFLSQPYVFLNLLQTRIININIYKLRLLFQYNKNFFFLKNEIKDSFFGAQGIVHSKRKQNNLLNSELNQWTNWLKNNYYQYNLSNSSWSKLVSQKWRNRITKCGVTQNKNLTKWDSYGKSPLILYKEQQGIPLKKKIRKQYKYDLLSYNFMNYANKKDSYIYRYRSLFQSNKNTMISSNYNTYKKDLFDRISNIFIKNYIAEDTIIINPDRKYLDWTGINRKILNRSICNPEFWFFSKFVIFYNTFRGNSQIIPIKLLYLHSLFLHSKGNKNVSEKNITRKKKKIDVFRTSKKKPKNNMEAELQFLVRNFLNFHLNWKNFLGQKIFNNVKVYCLLIRLTNLRKITIASIQRGELGLDIMMIQKQTNLTLSGLRKKKNNKFRKKELFVIEPVRLSRKNNKQFFKYKMMGFSLIHKNKRKIYKKYSEKIHVNKKFFDKYITRTKDQKITENKENEKFNLLVPENILSARRRRELRIRICLDPNNINSMHRNTIFYNENKVQNCFKVLTKKRNEKEKKKLMNFKIFLWPKYRLEDLACINRYWFNTHNGSRFSIVRIHMYPRVKI >Vigun05g056100.1.v1.2 pep primary_assembly:ASM411807v1:5:4805383:4806742:1 gene:Vigun05g056100.v1.2 transcript:Vigun05g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPMAIQLKSTFTRTLVSPKGLSSSSPLPLFPSRRHSTFTVKAIQSEKPTYQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFVKAGPLRNTEYAGAAGSLAAGGLVVILSIVLTIYGISSFNEGDASTAPSLTLTGRKKQPDQLQTADGWAKFTGGFFFGGISGVIWAYFLLYVLDLPYYIK >Vigun04g094400.4.v1.2 pep primary_assembly:ASM411807v1:4:19218265:19225039:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDAMDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun04g094400.7.v1.2 pep primary_assembly:ASM411807v1:4:19218264:19225039:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDAMDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun04g094400.6.v1.2 pep primary_assembly:ASM411807v1:4:19218263:19225039:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDARYISQTMKFGTVDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun04g094400.2.v1.2 pep primary_assembly:ASM411807v1:4:19218263:19225061:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDARYISQTMKFGTVDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun04g094400.3.v1.2 pep primary_assembly:ASM411807v1:4:19218263:19225061:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDARYISQTMKFGTVDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun04g094400.1.v1.2 pep primary_assembly:ASM411807v1:4:19218279:19225039:-1 gene:Vigun04g094400.v1.2 transcript:Vigun04g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVHLSVLLVLVTVLVSIFHSEQLSSHSQTLLRIQRLLNFPAVLSSWNNNTDFCSTDSTSSLTVVCYDGTITQLHIVGESRAPLLAKNFSINSFFTTLVRLPNLKVLTLVSLGIWGPLPGKIAHLSSLEIFNASSNFLYGSIPPEFSLLSHLQTLILDHNMFSGHLPEWFDSFPALTVLSLKHNLFNGSLPDSLSSLENMRILSLSHNHFYGPVPDLHRLTNLQVLELDDNGFGPRFPQLGDKLVTLVLRNNKFRSSIPDEMSSCYQLERLDISANTFVGPFQLALMSLPSITYLNISGNKLTGMLLENLSCNPGLQAVDLSSNLLTGILPKCLISNANDRTALYARNCLEETNQNQHALPFCHTEAIAVGVVPEGKKHKRVSKAVLSLGIVCGTFGGVAIIALLFFIIRRENVKRKTKNPPPTKLISENAASGYTSKLLSDARYISQTMKFGTVDLPPYRAFSLDEIVAATNNFDSACFMGEGSQGKMHRGQLKDGLLVAIRSVKVTKSFSTQDFVHYIEQIFKYRHRHLVSVLGHCIECYLDDSSVSSIFIVFEYIPNGTLKSWISDRHYKKSLTWTQRIEAAIGVAKGIQYLHTGIVPSVYSNNLKISDVLLDQNFVAKIKIYDPPLLSLMRKVGQGNPSRELKSPSTNKNVKQVDESDVYDFGVILLELILGRTIKSRNVDTLKDLLQASITADGEARRSIIDPEVRKVCLDQSLKTVMEICVRCLVKEPAERPSIEDVLWNLQFATQVQDAWVGDSQSSSDGSPISPLASIRMTFH >Vigun10g194100.1.v1.2 pep primary_assembly:ASM411807v1:10:40800255:40806004:-1 gene:Vigun10g194100.v1.2 transcript:Vigun10g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALERLKNENIDLERIPLDQVFEQLNCTKEGLTNEEGQKRLELFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFIGILALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWVEEEAAILVPGDIISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVSKNPGDEVFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNQEGHFQKVLTAIGNFCICSIAVGMVVEIVVMYPIQHRAYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFGKNADKDTVILLGARASRVENQDAIDACIVGMLGDPREARDGIKEVHFLPFNPVDKRTAITYIDDNGNWHRASKGAPEQIIELCHLREDVKKKVHAIIGKFADRGLRSLAVAQQEVPEKTKESSGGPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSSSLLGDHKDESIAALPVEELIEQADGFAGVFPEHKYEIVKILQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGIVLGTYLAIMTVVFFWAAHASDFFTQKFGVRSIRLNPDELTAAVYLQVSIVSQALIFVTRSRSWSFLERPGFLLVTAFIVAQLIATVIAVYANWSFARMKGIGWGWAGVIWLYSIIFYIPLDVLKFGIRYFLSGKAWNNITENRTAFTSKKDYGKEEREAQWAIAQRTLHGLNPPENEPTFSDRTNYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun10g194100.2.v1.2 pep primary_assembly:ASM411807v1:10:40800255:40804506:-1 gene:Vigun10g194100.v1.2 transcript:Vigun10g194100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEAAAIMAIALANGGGKPPDWQDFIGILALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWVEEEAAILVPGDIISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVSKNPGDEVFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNQEGHFQKVLTAIGNFCICSIAVGMVVEIVVMYPIQHRAYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFGKNADKDTVILLGARASRVENQDAIDACIVGMLGDPREARDGIKEVHFLPFNPVDKRTAITYIDDNGNWHRASKGAPEQIIELCHLREDVKKKVHAIIGKFADRGLRSLAVAQQEVPEKTKESSGGPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSSSLLGDHKDESIAALPVEELIEQADGFAGVFPEHKYEIVKILQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLLIALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGIVLGTYLAIMTVVFFWAAHASDFFTQKFGVRSIRLNPDELTAAVYLQVSIVSQALIFVTRSRSWSFLERPGFLLVTAFIVAQLIATVIAVYANWSFARMKGIGWGWAGVIWLYSIIFYIPLDVLKFGIRYFLSGKAWNNITENRTAFTSKKDYGKEEREAQWAIAQRTLHGLNPPENEPTFSDRTNYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun04g094300.1.v1.2 pep primary_assembly:ASM411807v1:4:19207672:19210894:-1 gene:Vigun04g094300.v1.2 transcript:Vigun04g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKARNSNGGSGNRRKGPVLRRAMATQTQDEAMKGSSPATPCGACKFLRRKCIGGCIFAPHFGTDQGAAKFAAVHKVFGASNVSKLLSNIPSNRRHEAATTISYEAQARLSDPVYGCVSTILAMQQQVASLQAELAMLQTQVMNSKFAYASALQTTQLQQPNNMNATTLQPAYSNNSCASTNLMNLSSFNNNPAAFDLAMDTTPSSNTLEPLQHTRLSKCEEKDEQQSRTQQAFNHH >Vigun08g177301.1.v1.2 pep primary_assembly:ASM411807v1:8:34741555:34743281:1 gene:Vigun08g177301.v1.2 transcript:Vigun08g177301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNKKKHIVMVPIMAQGHIIPFLALARKIQHTAATTFTITIASTPLNIQHLKSALSSTSPNQIRLAELPFNSAQHGLPPNTENTEKLHFPQLVKFCHATLSLEAPLRSLISRITEEEGHPPLCIISDLFLGWVNKVANSVSTRNLTFTTCGAYGTLSYISIWSNLPHKKTHSDEFWVPGFPQNHRFHRTQLHRYLREADGTDDWSRFLIPQISHAMKSGGWICNTAEEIEPLGLQLLRKYLQLPVWAVGPLLPPPALRGSKHRSGKESSVTLEACMEWLDLKDENSVVYVSFGSQNTISASQMMALAEGLEKSERSFVWVIRPPVGFDIDGEFREEWLPKGFEEKMRDTKKGLLVQKWGPQLEILSHKSTGVFVSHCGWNSVLESLSNGVPMIGWPLAAEQMYNVKMLVEEMGVAVELTRTVETTICGDEVRKVIDMVTEKEGKGKEMKEKAKEIASHMRKAVTEKGEEKGSSVRAMDDLVMTILSPYSL >Vigun04g036700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3054263:3055358:-1 gene:Vigun04g036700.v1.2 transcript:Vigun04g036700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVILPPSSLHLYTPNKYSNLHPFTHTNIMENSSSSSSSNSIYRECLRNHAASLGSYATDGCGEFTLDIDVSSSSLQCAACGCHRNFHRKVTCPGVMEGLQTGGSGDMMEYSGGDVGRMEMGERSSRKRFRTKFSAEQKEKMLAFAEKLGWKLQRKEVDDEIERFCKSVGVTRQVFKVWMHNHKNNSNSSNSSANLSSLTQ >Vigun05g283600.1.v1.2 pep primary_assembly:ASM411807v1:5:47194631:47197928:1 gene:Vigun05g283600.v1.2 transcript:Vigun05g283600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVELGSLEGEEVSTQHIPQTQRRKGGFVTLPFIIANEALAGMASLGLLPNMIQYLMGTYKLHLAKATKILLLSHASSNFTPVVGAFVADSYLGRFLTIGLGSAISFLGMSLLWLTTMIPQARPPPCSHDSEGCKSATGGQMAMLISALALTSIGNGGLACSLAFGADQVNRKDNPNNRRILETFFSWYYAFAAISVIIALTVIVYIQDNVGWKVGYGVPAALMLLSTIFFLLASPLYVKNKVQSSLFTGFAQVITVAYKNRNLSLPPKNSPQLYHHKKDSDLLVPTDKLSFLNKACVIKNPELDIAPDGSASNSWTLCTVDQVEELKAIIRVIPLWSTGIMMSVNIGGSFGLLQAKSLNRHITSHFQVPPGSFSVILVLSIFVWIAVYDRVILPVASKIRGKPVRITAKRRMGLGLLFSFIHLVVSAIVETTRRKRAIEEGYLNNGHATLHMSAMWIFPQLILGGIAEAFNAIGQNEFYYTEFPKSMSSVATSLSGLAMAAGNLVSSFVFSVIENVTSSGGKQGWISDNINKGRYDKYYWVISGLSALNIVYYLICSWAYGPTVDEKVGEENGSSMEEEDSTELRNGGEVDKDFNNSKENGSKD >Vigun09g085500.2.v1.2 pep primary_assembly:ASM411807v1:9:11058799:11061013:1 gene:Vigun09g085500.v1.2 transcript:Vigun09g085500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLSKGSESSTQLDSPLHFHSPMRWDGTDPPESPEYRSPENLTEKQADHSMAIVTVGKLKQLAPDKRTENRKPPENPPPPVRVFNGAMTEETQRPAAKAAPVMVGGERRSRSSGWTTDETVCKAALGFRLSEMVVCLISFSVMAADKTQGWSGDSFDRYREYRYCLSVNIIGFAYSALQACDLTCQLATGKHFISHHLRNHFDFFMDQRHGLTIGYRIGGKMSSQKWPLHQLECPSSLLLPLL >Vigun09g085500.1.v1.2 pep primary_assembly:ASM411807v1:9:11058799:11061013:1 gene:Vigun09g085500.v1.2 transcript:Vigun09g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLSKGSESSTQLDSPLHFHSPMRWDGTDPPESPEYRSPENLTEKQADHSMAIVTVGKLKQLAPDKRTENRKPPENPPPPVRVFNGAMTEETQRPAAKAAPVMVGGERRSRSSGWTTDETVCKAALGFRLSEMVVCLISFSVMAADKTQGWSGDSFDRYREYRYCLSVNIIGFAYSALQACDLTCQLATGKHFISHHLRNHFDFFMDQVLAYLLISASSSAATRVDDWISNWGKDEFTEMATASIGMSFLAFVAFAMSSLISGYTLCNRSSM >Vigun02g138900.1.v1.2 pep primary_assembly:ASM411807v1:2:28822288:28829056:1 gene:Vigun02g138900.v1.2 transcript:Vigun02g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAPEAADYSFAVEYDGPPLTYDLPRAVPISVDSIPVAAVVSQVPLSDALSLPVVQPLLPPTQPPLRTLGSEPRGSKLASETTVSPTSVIAFEHRASQSNVGELSGELSSSGAFEFSTGNDGSGDLSDLGESSRVLEETRSSSTAEFWDKSGRSSGVLRALDGKESLDFNELNQQDWASTESVLSLEYPSTRVSSLKAEDIDSKRPPVVKFDVDSDDDALDEEFDVEETVSKPVKRAPLTKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCGNCVLRAMGSMPEGRKCVTCIGFPIDETKRGSLGKCSRMLKRLLNELEVRQIMKAERFCEANQLPPEYVCVNGQPLSYEELVTLQNCPNPPKKLKPGNYWYDKVSGLWGKEGQKPSRIISPHLNVGGPIQPDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQRNTRGYIWGKAGTKLVCAFLSLPVPSKSSNSLGEQPFSLASRTIPDYLEHGVVQKLLLVGCSGSGTSTIFKQAKILYKSVPFSEDEHENIKLTIQSNVYAYLGILLEGRERFEDESLGDSKKRQSSVLDSTGSSPKHDDKTVYSIGPRLKAFSDWLLKTMVSGKLDAIFPAATREYAPLIEELWNDAAIKATYERRSELEMLPSVASYFLERAVKILRTDYEPSDLDILYAEGVTSSNGVACAEFSFPQSDSEETVDTTDRHDCFVRYQLIRVHARGLGENCKWLEMFEDVEMVIFCVSLSDYDQFSVDGNGCPSNKMILSRKFFETIVTHPTFEQMDFLLILNKFDLFEEKIEQVPLTKCEWFSDFHPIISRNRPNSNSNSINSNPSLGQLASHYIAVKFKRLYSSLTGRKLYVSLVKGLEPGSVDGALKYAKEILKWNDERPNFSLSEYSMYSTEASSFSH >Vigun07g296200.1.v1.2 pep primary_assembly:ASM411807v1:7:40647267:40652407:-1 gene:Vigun07g296200.v1.2 transcript:Vigun07g296200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMRIVFGLLTFVTVGMIIGALSQLAIIRKLEDSYGSDSLPFRRLRGVEGDGYLQLPRGIPFWNNDKEAEILRLGYVKPEVISWSPRIILLHNFLSLEECDYLRAIALPRLQISTVVDTNTGKGIKSDVRTSSGMFLNAQERKYPMVQAIEKRISVYSQIPVENGELVQVLRYEKNQYYKPHHDYFSDTFNLKRGGQRIATMLMYLSDNVEGGETYFPLAGSGECNCGGKLLQGLSVKPTKGNAVLFWSMGLDGQSDPKSVHGGCEVISGEKWSATKWMRQAAHS >Vigun11g187500.1.v1.2 pep primary_assembly:ASM411807v1:11:38757093:38762841:1 gene:Vigun11g187500.v1.2 transcript:Vigun11g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKLALITLLFIAVSPTFASGAEEEKKDPKGVDRGPKEITYDSRSLIINGKRELLFSGSVHYPRSPPEMWPHIIDKARRGGINVIQTYIFWNIHEPVKGKFKVDPEYDFVKFIQLCQDKGMYVTLRIGPFIQAEWNHGGLPYWLREVPGIIFRSNNDGFKTLMQNYVNTVIKMCTDAKLFGPQGGPIILAQIENEYNHIQRAYKEDGDKYVQWAANLAVSTNVGVPWIMCKQTDAPDPVINACNGRHCGDTFTGPNKPYKPFLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSKNGSLVNYYMYYGGTNFGRTSSGFSTTRYYDEAPLDEFGLQREPKWTHLRDVHKALSLCRQALFGAESVITKINQHHETIVFEKKDSHLCTAFITNNHTKNAATIRFRDTDYFLPPRSISILPDCKTVVFNTQNIASQHNSRNFKKAKDSNNFNWEVFTESIPDAKDIPVSLNVPIELYKLVKDTTDYAWYTTSVQLGPEDLPTKNDISTVLRVLCLGHSLHAFVNGEYIGSNHGTHEEKTFVFQKTVTFKVGVNSIAFLGNIIGLPDSGAYMEHRYAGPKSIFILGLNSGKIDLTRNGWGTKVGIQGEEYAVFTEEGSKKVQWQPVQGTGKLLSWYKTTFTTPEGKDPVAIRMTGMGKGIIWVNGKSIGRHWMSFLSPLGTPTQSEYHIPRTYLNPKDNLLVIFEEEQANPNQIEIVTVERDTVCSIITENHPPNVNSWAAKAGKFQAVVEKPWPTATVTCPVYKTIKAVEFASFGDPTGFCGEFVMGKCDAPATKQIIEQQCMGKNTCSIPLEAQTFTQGKDPCPDLSKTLAIQVKCAF >Vigun01g097700.1.v1.2 pep primary_assembly:ASM411807v1:1:26407303:26409698:-1 gene:Vigun01g097700.v1.2 transcript:Vigun01g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERENGNKLKILCLHGFRTSGSFLKKQISKWDPSLFTQFHMEFPDGKFPAGGKSDIEGIFPPPYYEWFQFEKDFTVYFNLDECISYLCEYITANGPFHGFLGFSQGATLCALLLGYQAQGKVLKEHPPINFFISISGSKFRDPSICDVAYKDPIKAKSVHFIGDKDWLKVPGEDLASAFDKPLILRHPQGHTVPRLDEVTTNQLRNWINEEVLCQQKVGVSVIDNGKDHEKEVSSTNPNKGENGV >Vigun04g040900.2.v1.2 pep primary_assembly:ASM411807v1:4:3541824:3544604:1 gene:Vigun04g040900.v1.2 transcript:Vigun04g040900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAGYDPKLESVLRSASMKDKTVIITTLNDAWAEPGSIFDLFLESFRLGNQTEKFLKHLVVITWDQKAHARCVALHNHCYHLETKGDNFTGEAFFMTPDYLNMMWKRIEFLGSVLDMGYNFVFTDTDIMWLRDPFKRFYKDTDFQIACDYFNGDSYDLNNYPNGGFNYVKSNSRTIRFYKFWFNSRSAYPKLHDQDVLNKIKKNSFISNMKLSIRFLSTDNFGGFCHHAENFNGVLTMHANCCVGLENKVSDLKILLEDWKKYLALPENQKKQFHPSWSISCSTSFQRAKGRKQKKNRGRKL >Vigun04g040900.3.v1.2 pep primary_assembly:ASM411807v1:4:3541572:3544604:1 gene:Vigun04g040900.v1.2 transcript:Vigun04g040900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKIWYMAGYDPKLESVLRSASMKDKTVIITTLNDAWAEPGSIFDLFLESFRLGNQTEKFLKHLVVITWDQKAHARCVALHNHCYHLETKGDNFTGEAFFMTPDYLNMMWKRIEFLGSVLDMGYNFVFTDTDIMWLRDPFKRFYKDTDFQIACDYFNGDSYDLNNYPNGGFNYVKSNSRTIRFYKFWFNSRSAYPKLHDQDVLNKIKKNSFISNMKLSIRFLSTDNFGGFCHHAENFNGVLTMHANCCVGLENKVSDLKILLEDWKKYLALPENQKKQFHPSWSISCSTSFQRAKGRKQKKNRGRKL >Vigun04g040900.1.v1.2 pep primary_assembly:ASM411807v1:4:3537987:3544604:1 gene:Vigun04g040900.v1.2 transcript:Vigun04g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNSPGAAVEKAAGDGIKAWNSGGSHLLARRVIQFTMFLVGFAVLWMFLYTSASPFGFPTFSHYFIDDSPKAGYDPKLESVLRSASMKDKTVIITTLNDAWAEPGSIFDLFLESFRLGNQTEKFLKHLVVITWDQKAHARCVALHNHCYHLETKGDNFTGEAFFMTPDYLNMMWKRIEFLGSVLDMGYNFVFTDTDIMWLRDPFKRFYKDTDFQIACDYFNGDSYDLNNYPNGGFNYVKSNSRTIRFYKFWFNSRSAYPKLHDQDVLNKIKKNSFISNMKLSIRFLSTDNFGGFCHHAENFNGVLTMHANCCVGLENKVSDLKILLEDWKKYLALPENQKKQFHPSWSISCSTSFQRAKGRKQKKNRGRKL >Vigun01g134600.2.v1.2 pep primary_assembly:ASM411807v1:1:31318051:31328811:-1 gene:Vigun01g134600.v1.2 transcript:Vigun01g134600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNQIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDNIDKAYFVDLFVRASNAPAIKMYDKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPVTPDELEYD >Vigun01g134600.1.v1.2 pep primary_assembly:ASM411807v1:1:31317263:31328811:-1 gene:Vigun01g134600.v1.2 transcript:Vigun01g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNQIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDNIDKAYFVDLFVRASNAPAIKMYDKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPVTPDELEISSSRSYPLGYGRLVY >Vigun10g170500.1.v1.2 pep primary_assembly:ASM411807v1:10:38961233:38964883:1 gene:Vigun10g170500.v1.2 transcript:Vigun10g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTQDEEDALIAGVEKHGPGKWKNILKDPQFAPFLTSRSNIDLKDKWRNLSVSNGSQGSKDKPRVPKLKALPPPPPPTSATSSTTTTAPQNAPPAPLNVQAEVAALDSSLNDQDVKNPPRYNAMVFEALSALKDNNGSDLNAIVSFIEQKHEVPQNFRRALSTRLRRLVSQGKLEKVQNCYKIKKDVSSGAKSPPPKPKDVRPLQLQPQPERQSPASVITASNETIKEAADTAAYRVADAESKSYLAAEAVKEAEKISLLVEHSDSMLQLAKDIYEQCSRGEIILLA >Vigun01g084700.1.v1.2 pep primary_assembly:ASM411807v1:1:23976385:23980445:1 gene:Vigun01g084700.v1.2 transcript:Vigun01g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVMPKSKRMSFLSFITFLLSLPTFSFSQKNVGNTILNHRKILPKQELLTSYAVIFDAGSSGSRVHVFHFDQNLDLIHIGNDLEFAKKITPGLSSYANHPEKAAQSLIPLLEEAESVVPQEQHPKTPLKLGATAGLRLLDGDAAENILQAVREMFKNRSTLNVQPDAVSVIDGTQEGSYLWVAVNYLLGKLGKKVSKTVGVIDLGGASVQMAYAVSKNTAKNAPKPQQGEEPYIKKLVLKGKKYDLYVHSYLRYGNDAARAEIFKVTDGAASPCLLAGYEDIYKYSGESYNIYGPTSGSNFDECRDTVVKVLRLNDPCAHQNCTFGGIWDGGKGSGQKNLVVTSSFYYRSSEVGFVKPPNSKNRPVDWEIAAKEACKLSFEEAKSTFPHVAKDKLPFVCLDLVYQYTLLVNGFGLHPEQEITVAEGIEYQNSIVETAWPLGTAIEAISSLPKFNPLMYFI >Vigun02g108000.1.v1.2 pep primary_assembly:ASM411807v1:2:26205262:26208219:-1 gene:Vigun02g108000.v1.2 transcript:Vigun02g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTILCFSAVTSLFIVLLFRTLINPFVSKRRHLPLPPGTMGWPYIGETFQMYSQDPNVFFASKIKRYGSMFKSHILGCPCVMISSPEAAKFVLNKAQLFKPTFPASKERMLGKQAIFFHQGEYHANLRRLVLRTFMPEAIKNIIPDIESIAQDCLKSWEGRFITTFLEMKTFTFNVALLSIFGKEEILYRDALKRCYYTLEQGYNSMPINVPGTLFHKAMKARKELAQILAQIISSRRQRKQDYKDLLGSFMGEKAGLTDEQIADNVIGVIFAARDTTASVLTWIVKYLGENPSVLEAVTEEQECILKSKEESGEDKGLNWEDTKKMPITSRVIQETLRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPENFKEPEKFDPSRFEAAPKPNTFMPFGSGIHACPGNELAKLEILVLLHHLTTKFRWSVVGAKNGIQYGPFALPQNGLPITLYPKK >Vigun05g286500.1.v1.2 pep primary_assembly:ASM411807v1:5:47382630:47388095:1 gene:Vigun05g286500.v1.2 transcript:Vigun05g286500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFLGTAGAASTMMNPKNSISQIKRLIGRQFADPELQRDLKTFPFVVTEGPDGYPLIHARYLGEVKTFTPTQVFGMMLSNLKEIAEKNLNAAVVDCCIGIPLYFTDLQRRAVLDAATIAGLHPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKVLSQSFDRSLGGRDFDEVLFNHFVAKFKEDYKIDVLQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQLSLPILERVKGPLEKALAEAGLTVDNVHMVEVVGSGSRVPAIYKILTEFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPFSISLSWKGASSDAQESGPDSKQSTLVFPKGNPIPSVKALTIYRQGTFSIDVQYDDVSGLQTPAKISTYTIGPFQSTKGEKAKIKVKVRLNVHGIVSIESATLLEEEEIEVPVSKESAGENAKMETDEPAADAATPPSTNDNDVNMQDANANATADVPGTENGTSEAGDKPVQMDTDTKAEAPKKKIKKINVPVAELVYGAMSAADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVIDTEREAFTTKLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSIIDQLVYCINSYRDAAMSSDPKFDHIDISEKQKVLNECVEAENWLREKRHHQDTLPKYASPVLLSADIRKKAEAVDRFCKPIMTKPKPPPPKPTTPEAPATPPPQGGEQQQQPQQSPAQENPNATSNENAGDNGTPAPPPGSAEPMETEKSENTTSA >Vigun06g197900.1.v1.2 pep primary_assembly:ASM411807v1:6:31330695:31333592:-1 gene:Vigun06g197900.v1.2 transcript:Vigun06g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYRILEVDKNVSEEELKKAYRKLAMKWHPDKNPTNKKEAEIRFKQISEAYEVLSDPQKREVYDMYGEGGLKGGMPTRDEGMAGMASFFRTGDGPQPFRFNPRNANNIFAEVFGSSNPFGGMGMGRGFGCGGRGMRGGSWVSRSFGGMFGNDMFREGRPMNEAPRRKASPIQNTLLCSLEELYKGSTRKMKISREIAHASGRVFPVEEILNIEIQPGWKKGTKITFPEKGNEQPNIIAADLVFIIDEKPHSIFTRVGNDLVVTQKISPTEAEALPGYTIQLTTLDGRGLNIAINNATDPDYEEVVTGEGMPISKDPSKKGNLRIKFNIEIPDVVGA >Vigun08g080700.3.v1.2 pep primary_assembly:ASM411807v1:8:16739546:16743727:-1 gene:Vigun08g080700.v1.2 transcript:Vigun08g080700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSTEFASSNSKLPRKYDVLINFTGEDIRRKFVSHLDSALSNVGLSTFLYVENAVKGMHIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIKWQETYSRHLLPVFYEIEPSDVRLQKGDFGEAFKATAHQTFSGMSMEHDMSSWSHALTKAASFFGWDESNYRSDAELVDKIVKSVLNLPVLSATKFPVGLQSRVKGVIEIIQNKSMEVCLIGICGERGSGKTTLAKAIYHQIHSTFTEKSFIEDIAQVSQTRGHVHLQEQLLSDVLKTKMNIPSVEMGRSIIREKLHGKKLLLVLDDTKYEPLLDLWDSHVWFAKGTVIIITAREEHLQRIPQIDSVFNVNLLRENESLELLSWHAFREEKPKEEYNYLAKRVADYCRGLPLALEVIGSSLFERTKEEWESVLLELKEIPRLDVHRKLKISFNNLRNEMEKDLFLDVCCFFVGKGRAFVTKILNDCGVDADSGIRILIERNLIQVRKNNKLGMQPLLRKMGRKIIREISGKELGKNPQLWFGQDAEYAMLENTLFSSHRTKVIQKLPFKMLVIATRDLFERYPLVIRDTSRTRKLTEDFGKLRWINLQGFTSEYLPNDFNLHDAMAIDLKHSLLLLVWKEHQVLKWLKVLNLSHSKYLRETPDFSGLPRLEKLILKDCPRLRKVHPSVGCLSNLILLNLKDCTSLSNLPREL >Vigun08g080700.2.v1.2 pep primary_assembly:ASM411807v1:8:16739546:16743727:-1 gene:Vigun08g080700.v1.2 transcript:Vigun08g080700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSTEFASSNSKLPRKYDVLINFTGEDIRRKFVSHLDSALSNVGLSTFLYVENAVKGMHIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIKWQETYSRHLLPVFYEIEPSDVRLQKGDFGEAFKATAHQTFSGMSMEHDMSSWSHALTKAASFFGWDESNYRSDAELVDKIVKSVLNLPVLSATKFPVGLQSRVKGVIEIIQNKSMEVCLIGICGERGSGKTTLAKAIYHQIHSTFTEKSFIEDIAQVSQTRGHVHLQEQLLSDVLKTKMNIPSVEMGRSIIREKLHGKKLLLVLDDTKYEPLLDLWDSHVWFAKGTVIIITAREEHLQRIPQIDSVFNVNLLRENESLELLSWHAFREEKPKEEYNYLAKRVADYCRGLPLALEVIGSSLFERTKEEWESVLLELKEIPRLDVHRKLKISFNNLRNEMEKDLFLDVCCFFVGKGRAFVTKILNDCGVDADSGIRILIERNLIQVRKNNKLGMQPLLRKMGRKIIREISGKELGKNPQLWFGQDAEYAMLENTLFSSHRTKVIQKLPFKMLVIATRDLFERYPLVIRDTSRTRKLTEDFGKLRWINLQGFTSEYLPNDFNLHDAMAIDLKHSLLLLVWKEHQVLKWLKVLNLSHSKYLRETPDFSGLPRLEKLILKDCPRLRKVHPSVGCLSNLILLNLKDCTSLSNLPREDNGWNNIAPLLSTLASLRSVLVQCDTEFQLSKQVEDILIDYGVNITKSGISKQHFKYSLIGVGRCKDFFNAVSDSISKVVASNESRDVSLPGDVDPYWLGHIGEGHSVSFTVPPDRDLKGMALCLVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGRVISFNDIDWQGIISNLGSGDKVEICVTFSHELVVKNTVVYLICDDQLNDLEKEPAPKKNSLIRFLKKIVM >Vigun08g080700.6.v1.2 pep primary_assembly:ASM411807v1:8:16739546:16743727:-1 gene:Vigun08g080700.v1.2 transcript:Vigun08g080700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGEAFKATAHQTFSGMSMEHDMSSWSHALTKAASFFGWDESNYRSDAELVDKIVKSVLNLPVLSATKFPVGLQSRVKGVIEIIQNKSMEVCLIGICGERGSGKTTLAKAIYHQIHSTFTEKSFIEDIAQVSQTRGHVHLQEQLLSDVLKTKMNIPSVEMGRSIIREKLHGKKLLLVLDDTKYEPLLDLWDSHVWFAKGTVIIITAREEHLQRIPQIDSVFNVNLLRENESLELLSWHAFREEKPKEEYNYLAKRVADYCRGLPLALEVIGSSLFERTKEEWESVLLELKEIPRLDVHRKLKISFNNLRNEMEKDLFLDVCCFFVGKGRAFVTKILNDCGVDADSGIRILIERNLIQVRKNNKLGMQPLLRKMGRKIIREISGKELGKNPQLWFGQDAEYAMLENTLFSSHRTKVIQKLPFKMLVIATRDLFERYPLVIRDTSRTRKLTEDFGKLRWINLQGFTSEYLPNDFNLHDAMAIDLKHSLLLLVWKEHQVLKWLKVLNLSHSKYLRETPDFSGLPRLEKLILKDCPRLRKVHPSVGCLSNLILLNLKDCTSLSNLPREL >Vigun08g080700.5.v1.2 pep primary_assembly:ASM411807v1:8:16739546:16743727:-1 gene:Vigun08g080700.v1.2 transcript:Vigun08g080700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGEAFKATAHQTFSGMSMEHDMSSWSHALTKAASFFGWDESNYRSDAELVDKIVKSVLNLPVLSATKFPVGLQSRVKGVIEIIQNKSMEVCLIGICGERGSGKTTLAKAIYHQIHSTFTEKSFIEDIAQVSQTRGHVHLQEQLLSDVLKTKMNIPSVEMGRSIIREKLHGKKLLLVLDDTKYEPLLDLWDSHVWFAKGTVIIITAREEHLQRIPQIDSVFNVNLLRENESLELLSWHAFREEKPKEEYNYLAKRVADYCRGLPLALEVIGSSLFERTKEEWESVLLELKEIPRLDVHRKLKISFNNLRNEMEKDLFLDVCCFFVGKGRAFVTKILNDCGVDADSGIRILIERNLIQVRKNNKLGMQPLLRKMGRKIIREISGKELGKNPQLWFGQDAEYAMLENTLFSSHRTKVIQKLPFKMLVIATRDLFERYPLVIRDTSRTRKLTEDFGKLRWINLQGFTSEYLPNDFNLHDAMAIDLKHSLLLLVWKEHQVLKWLKVLNLSHSKYLRETPDFSGLPRLEKLILKDCPRLRKVHPSVGCLSNLILLNLKDCTSLSNLPREEFQSSTSSIL >Vigun08g080700.4.v1.2 pep primary_assembly:ASM411807v1:8:16739546:16743727:-1 gene:Vigun08g080700.v1.2 transcript:Vigun08g080700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSTEFASSNSKLPRKYDVLINFTGEDIRRKFVSHLDSALSNVGLSTFLYVENAVKGMHIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIKWQETYSRHLLPVFYEIEPSDVRLQKGDFGEAFKATAHQTFSGMSMEHDMSSWSHALTKAASFFGWDESNYRSDAELVDKIVKSVLNLPVLSATKFPVGLQSRVKGVIEIIQNKSMEVCLIGICGERGSGKTTLAKAIYHQIHSTFTEKSFIEDIAQVSQTRGHVHLQEQLLSDVLKTKMNIPSVEMGRSIIREKLHGKKLLLVLDDTKYEPLLDLWDSHVWFAKGTVIIITAREEHLQRIPQIDSVFNVNLLRENESLELLSWHAFREEKPKEEYNYLAKRVADYCRGLPLALEVIGSSLFERTKEEWESVLLELKEIPRLDVHRKLKISFNNLRNEMEKDLFLDVCCFFVGKGRAFVTKILNDCGVDADSGIRILIERNLIQVRKNNKLGMQPLLRKMGRKIIREISGKELGKNPQLWFGQDAEYAMLENTLFSSHRTKVIQKLPFKMLVIATRDLFERYPLVIRDTSRTRKLTEDFGKLRWINLQGFTSEYLPNDFNLHDAMAIDLKHSLLLLVWKEHQVLKWLKVLNLSHSKYLRETPDFSGLPRLEKLILKDCPRLRKVHPSVGCLSNLILLNLKDCTSLSNLPREEFQSSTSSIL >Vigun03g397800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60413945:60414862:-1 gene:Vigun03g397800.v1.2 transcript:Vigun03g397800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGSSFFVTQEEFNLFHRIDRELYKILVMILFREPAECMQVHALWLWLERVGFRNVVKRVLALPNILINEVADETVMCLNCINNSSFIAPPSSFENSEIPLLQSLVDKEISLQFLYENRVSALQGVAKVMQDVCVRAFTDIMQQAIIRNSNDRVVEAQKVVMKPSSENQNQNQSNPLWFGSIGPSNSRVEVPADDRTLFVTFSKGYRVEEWEVREFFTIAYGDCIEALYMQEVQSNEQALFARIVFRIASTIDMILRGAIKAKFTINGKHVWARKFVPKRIAARSMLTTTLGHFSGEASNTRS >Vigun11g022680.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2769152:2770567:-1 gene:Vigun11g022680.v1.2 transcript:Vigun11g022680.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDTLAADLKNKTHLVRLRLEWNFKRSNDDSIKVREVVENLQPPKDLKYLSIDGYSGTKFPSWLSVNSLSNMVSLTLKSCKNCLWLPSLGLLTVLKYLKIDGLDFIGRIDADFYGNSSSSFPSLETLIFTDMKYWEEWQCMPGAFRYLQNLYVKDCPKLKGHFPEQLSHLKKLFIENCKQLVASIPSAVSHTPLECLRIDSCPGMNIPINHCPFLLELHISYGCDSLTTFSLDLFPKLRQLVLDNCCNLRMISQEHPRSHLKFLVIAECSEFESFCNEGLFAPQLETFQISGLKKWKSMPKHMSALLPSLHRLWIIDGQGPELSEGCLPSNLKELYLWNCSKVVGSLKGVWGTNPSLKCLSIRKVDVESFPGEGFLPLSLTELVIFDCSNLKELDYKGLCHLSSLRTLSLEDCPVLQCLPEEGLPKSISNLFISDCPLLKQRCKKQQGEDWEKIAHIQNIIVDQEQVNI >Vigun05g002000.1.v1.2 pep primary_assembly:ASM411807v1:5:159008:162376:1 gene:Vigun05g002000.v1.2 transcript:Vigun05g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMQSTPGSSGYLDLYPERKMSFFKNPYILGLATVAGIGGLLFGYDTGVISGALLYIKDDFQEVRDSYFLQETIVSMAIAGAIVGAAAGGWVNDAYGRKKATLFADVIFGLGAIIMAAAPDPYVLILGRLLVGLGVGTASVTAPVYIAEASPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTGVPGTWRWMLGVSGVPAVIQFVLMLFLPESPRWLFIKNRKSEAVDVLSKIYDVARLEDEVDFLTAQSEQERQRRSNIKFWDVFKSKEIRLAFLVGGGLLAFQQFTGINTVMYYSPTIVQMAGFQANQLALLLSLIVAGMNAAGTVLGIYLIDHAGRKKLALCSLAGVAVSLIVLAFAFYKQSTSSNGLYGWLAVVGLALYIGFFSPGMGPVPWTLSSEIYPEEYRGICGGMSATVCWVSNLIVSETFLSIADGIGIGPTFLIICGITVAAFIFVVVYVPETKGLTFDEVEVIWRERAWGKNPNTHSLLEQGSQS >Vigun11g065550.1.v1.2 pep primary_assembly:ASM411807v1:11:15774477:15774936:-1 gene:Vigun11g065550.v1.2 transcript:Vigun11g065550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAALLLVTTWASPKRLMLSRLRLGSCLEEKDCWTTMIKEQGTKRPCRYVFSVANHKKKEREAAK >Vigun09g157000.1.v1.2 pep primary_assembly:ASM411807v1:9:32286976:32289419:1 gene:Vigun09g157000.v1.2 transcript:Vigun09g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSAGEDPKTCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQDVYNDSNTFLEKRTPQSHQDLLFGTRFGLENGRFFDFRSLDNNKDNNNNTTANNRIVADATPSSSLASWNFGSVPTTVNGSTVVDRKGGRDYFNSSSCSSSVYLSECSRNSDRSFLYRIYPNLAFSLPNYKRVVPTSPFSFLDSDNDGRIKKNFFMSFCDNNSSTFTKLKASTEKDLQQQTPEDETNIEPKEVPFIDFLGVGVSSS >Vigun04g164600.1.v1.2 pep primary_assembly:ASM411807v1:4:38923978:38926630:1 gene:Vigun04g164600.v1.2 transcript:Vigun04g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRGNELVIQFSNSPHQQQQHKISQDLILDDYASLDDNVSAKKFSTSQPQNLFYHPDKNNHHDSNQHMKKMIHKEIERQRRQEMATCYASLRSLLPLHFIKGKRSISDHMNEAVNYIKHMQNNIKELVAKRDELKKLSNYSSMDNSHEGLHTSCNFTVHENNGVIGIEITSGFREERPKVSKLLQLLIQEGLEVVSFLSSEVNGRLLHSVQCEVNNSYCVDLSELRRKVSKAFPAFRCSD >Vigun04g164600.2.v1.2 pep primary_assembly:ASM411807v1:4:38923978:38926630:1 gene:Vigun04g164600.v1.2 transcript:Vigun04g164600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRGNELVIQFSNSPHQQQQHKISQDLILDDYASLDDNVSAKKFSTSQPQNLFYHPDKNNHHDSNQHMKKMIHKEIERQRRQEMATCYASLRSLLPLHFIKGKRSISDHMNEAVNYIKHMQNNIKELVAKRDELKKLSNYSSMDNSHEGLHTSCNFTVHENNGVIGIEITSGFREERPKVSKLLQLLIQEGLEVVSFLSSEVNGRLLHSVQCEIYLS >Vigun07g200900.1.v1.2 pep primary_assembly:ASM411807v1:7:32179152:32184104:1 gene:Vigun07g200900.v1.2 transcript:Vigun07g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSFFGIIGFTLGIPLGLLVGFFLFVYSETKHVKDPVVRPISELGPNALEELLPEIPLWVKTPEFERIDWLNKFLSDMWPYLDTAICKIIRSTAQPIFAEYIGKYQIKAIEFDKLSLGTLPPTVCGMKVVETNEKELVMEQVIKWAGNPNIVLSLYVSSLKITVQLVDLQIFATPRITLRPLVPTFPCFANIVVSLLEKPHVDFGMKVYGGDIMSIPGLYRFVQDTIKKQVANLYLWPRTLEIPILDESMVVMKKPVGILHVNVVRAQKLLKMDLLGTSDPYVKLSLTGDKLPAKKTTVKRKNLNPVWNEKFKIVVKDPQSQVLQLQVYDWDKVGAHDKLGMQLVPLKLLKPYENKEFTLDLLKDTNLSETPNKKPRGKIVVDLTFVPFKEDSSKFGGPSEGYSRKESGFDVVSDDEVQEGAGLLSIVIIEAEEVEGEHHNNPFAVLTFRGEKKRTKTMKKTRHPLWNEEFQFMLEEPPQHEKIHIEVLSKRKNFSFLSKQEKLGHVEINLRDVVHNGRINEKYNLINSRNGVMHVEIRWKVV >Vigun07g200900.2.v1.2 pep primary_assembly:ASM411807v1:7:32179152:32184104:1 gene:Vigun07g200900.v1.2 transcript:Vigun07g200900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSFFGIIGFTLGIPLGLLVGFFLFVYSETKHVKDPVVRPISELGPNALEELLPEIPLWVKTPEFERIDWLNKFLSDMWPYLDTAICKIIRSTAQPIFAEYIGKYQIKAIEFDKLSLGTLPPTVCGMKVVETNEKELVMEQVIKWAGNPNIVLSLYVSSLKITVQLVDLQIFATPRITLRPLVPTFPCFANIVVSLLEKDTIKKQVANLYLWPRTLEIPILDESMVVMKKPVGILHVNVVRAQKLLKMDLLGTSDPYVKLSLTGDKLPAKKTTVKRKNLNPVWNEKFKIVVKDPQSQVLQLQVYDWDKVGAHDKLGMQLVPLKLLKPYENKEFTLDLLKDTNLSETPNKKPRGKIVVDLTFVPFKEDSSKFGGPSEGYSRKESGFDVVSDDEVQEGAGLLSIVIIEAEEVEGEHHNNPFAVLTFRGEKKRTKTMKKTRHPLWNEEFQFMLEEPPQHEKIHIEVLSKRKNFSFLSKQEKLGHVEINLRDVVHNGRINEKYNLINSRNGVMHVEIRWKVV >VigunL059019.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000194.1:30585:30936:1 gene:VigunL059019.v1.2 transcript:VigunL059019.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQIRPTLGLLETMGGHARTPNTMDSWVVGRKGRPR >Vigun05g058300.1.v1.2 pep primary_assembly:ASM411807v1:5:4989815:4997950:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun05g058300.7.v1.2 pep primary_assembly:ASM411807v1:5:4990015:4997922:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun05g058300.2.v1.2 pep primary_assembly:ASM411807v1:5:4989815:4997951:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun05g058300.6.v1.2 pep primary_assembly:ASM411807v1:5:4990015:4997922:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun05g058300.4.v1.2 pep primary_assembly:ASM411807v1:5:4990015:4997922:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun05g058300.3.v1.2 pep primary_assembly:ASM411807v1:5:4989754:4997951:1 gene:Vigun05g058300.v1.2 transcript:Vigun05g058300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVFLTYKRRRQSLTSNFIHGNCNQNSVCEDARNDNQTVEKTSEKHEEKTKDTSEGKRPCFKQLDYSSLPLLQNTGDPKIGVLGNNDATETMATAHESFSTEHPCEDNIKKDDEELPIVEKTSDNDCDTQRNSYASKSSGIGCDNCSNKISLPVELGAGNDSNLDNSEMITRDISSAQVNTSPVCNISMDDPTDCCSKDNIRNPSGDTVIRAKLTSPLITFNRCYKRKKGLNGNGKQSSLLHTKENISVLTKWSMLANGNLCSSDESSSEECPVDNVPDLNQSVELSEKGKTFNETQYETSCRSCSMSFLTDLNQSAELTERGELCQTQEKVKSSDSPCSPGVVSETCMTDMRKKLCHEEDSGKNDRTGELSHSCLVHTEDQHLNKDCQVVSIKVDSGDSYPAATATNQEQELQKSQISLREANEHGLGNEMMKIAECQPQLDPPNNVAEEHIVDLNMGAEKHPFHLRMRAPGSKLESTCSSSAIAEDKVSELDLLNARNTQLISEGKAIAGVCSSSTQAQLMMTEERTRVQHTKTNKQKPMPMISLSLGLSLPMELKARVSDSVNSMSVLSLSNSTTESRDIVRDGLCRLSSPKRKLSHPRNQVVHDNIVHRTRALNERGNFQEYLKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPKLRFSPLRVPGDLAERWEAEQLKLLNDIDVPQFMYPAAERAAAMASLQGNFCYLDPKQSFWESNHLKKPITRYNFQSNTTEHSHKPTTHSRKANYNNRDKYELGFLNSPGSLSICRKNSYFNDYPFNCSAAANNLPHWLREAVNTPPIMPNMSAVMSSHPDMPGTSDHCFNTSNSCFVPQNWFNGLRASEPHMPNGSHYSTYSRRKYGVVKMNKSLEPLVQKPDDLIIVDSDTSSEETISDDHRASL >Vigun09g010200.1.v1.2 pep primary_assembly:ASM411807v1:9:760561:764047:-1 gene:Vigun09g010200.v1.2 transcript:Vigun09g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALLLLVALSNAVRVSGDGGQCGSNPTLDPRPHSVSILEFGAVGDGRTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVIIGSQDPSHWDVIEPLPSYGRGLEVPGGRYQSLINGYMLHDVVVTGNNGTIDGMGMVWWEWYSSHSLNHSRPHLVEIVASDNVVVSNLTFLNAPAYSIHPVYCSHVHIQNVSISAPPESPYTVGIVPDSSEHVCIEDCIVGMGFDAISLKSGWDEYGIAYGRPTEKVHIRRVHLHAFSGSALAFGSDMSGGISDVVVEHAHVLNSNSGIEFRTTRGRGGYMKDIVISDIEMENVHTAIAATGNCGSHPDDNFDPNALPLLDHITLRDVTGTNITIAGNFAGIEESPFTNICLSNITLSTDSVSTMTWECSNVSGFSDSVLPEPCPELGNPSYDSSSSCFLSISGKTAVL >Vigun06g119300.1.v1.2 pep primary_assembly:ASM411807v1:6:24728674:24731760:-1 gene:Vigun06g119300.v1.2 transcript:Vigun06g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCFSKTKKCNSKREQQPTTQPENVIATKPPDVRKPKADDPNNVDTTNIQAQNFTFRELAIATKNFRQECLMGEGCFGRVYKGTIPATGQVVAVKQLDRNGVQGSKEFLVEVLMLSLLNHENLVKLTGYCADGDQRLLVYEFMPGGSLEARLLERKPDEPPLDWYSRMKIASHAAKGLWYLHDKANPSIIYRDLKSANILLGDDFSAKLSDYGLAKLAGNDKANIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTRSHDEQNLVSWAQPIFRDPKRYPDMADPNLNNDFPEKDLNQVVAIAAMCLQEEAAARPLMSDVVTALSFLSTANTEGGADNSSYSGGDSDDSGSAKKQS >Vigun06g119300.4.v1.2 pep primary_assembly:ASM411807v1:6:24730200:24731755:-1 gene:Vigun06g119300.v1.2 transcript:Vigun06g119300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCFSKTKKCNSKREQQPTTQPENVIATKPPDVRKPKADDPNNVDTTNIQAQNFTFRELAIATKNFRQECLMGEGCFGRVYKGTIPATGQVVAVKQLDRNGVQGSKEFLVEVLMLSLLNHENLVKLTGYCADGDQRLLVYEFMPGGSLEARLLERKPDEPPLDWYSRMKIASHAAKGLWYLHDKANPSIIYRDLKSANILLGDDFSAKLSDYGLAKLAGNDKANIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTRSHDEQNLVSWVYL >Vigun06g119300.2.v1.2 pep primary_assembly:ASM411807v1:6:24728741:24731750:-1 gene:Vigun06g119300.v1.2 transcript:Vigun06g119300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCFSKTKKCNSKREQQPTTQPENVIATKPPDVRKPKADDPNNVDTTNIQAQNFTFRELAIATKNFRQECLMGEGCFGRVYKGTIPATGQVVAVKQLDRNGVQGSKEFLVEVLMLSLLNHENLVKLTGYCADGDQRLLVYEFMPGGSLEARLLERKPDEPPLDWYSRMKIASHAAKGLWYLHDKANPSIIYRDLKSANILLGDDFSAKLSDYGLAKLAGNDKANIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTRSHDEQNLVSWAQPIFRDPKRYPDMADPNLNNDFPEKDLNQVVAIAAMCLQEEAAARPLMSDVVTALSFLSTANTEGGADNSSYSGGDSDDSGSAKKQ >Vigun06g119300.3.v1.2 pep primary_assembly:ASM411807v1:6:24728890:24731750:-1 gene:Vigun06g119300.v1.2 transcript:Vigun06g119300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFLSYIFTRMNCFPCFSKTKKCNSKREQQPTTQPENVIATKPPDVRKPKADDPNNVDTTNIQAQNFTFRELAIATKNFRQECLMGEGCFGRVYKGTIPATGQVVAVKQLDRNGVQGSKEFLVEVLMLSLLNHENLVKLTGYCADGDQRLLVYEFMPGGSLEARLLERKPDEPPLDWYSRMKIASHAAKGLWYLHDKANPSIIYRDLKSANILLGDDFSAKLSDYGLAKLAGNDKANIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTRSHDEQNLVSWAQPIFRDPKRYPDMADPNLNNDFPEKDLNQVVAIAAMCLQEEAAARPLMSDVVTALSFLSTANTEGGADNSSYSGGDSDDSGSAKKQS >Vigun03g017200.1.v1.2 pep primary_assembly:ASM411807v1:3:1214512:1219987:1 gene:Vigun03g017200.v1.2 transcript:Vigun03g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVTNALLSSLPLALPSSYALFASSKFKFTFSLKVCIRVRALNTLSSLPTTRHDDYDDLFAPIPPLRPADKAINAIERIALRLRNLGLNSTDHADDDDFLRREWLRPDEALLPWDKREGEEYEEHAEHAEHEEHQKEKLKKRSVNAATLAVKTLEEEELRRLRTLGMSLKEKVTIPKAGLTRAVLDKIHRQWSNCELVRLKFHELLAQNMKLAHQIVECRTRGLVIWRSGSYMWVYRGRNYQGPMQPIEKEGDDRIPVPADGDTALLLAKSEAVFWKEENMTPEEVEFNRMLDGFGPRFVEWWGTGILPIDADLLPPTVPGYKTPLRLLPAGMHPRMTNDELTNMRKLAKSLPCHFALGRNRNLQGLASAILRLWEKSLVAKIGVKRGIVNTNNELMAQELKKLTGGTLLLRNKYYIVIYRGKDFVPTSVATVIAERQEMTKHVQDVEEKVRCKVHDIAPSREDESKSQAGSLAEFYEAQACWGRDISTEERERMRQEVAKAKNAKLAKKIECKLALAQAKRLRAENLLAKIEASLVPVGPDYDKETITDEERVMFRSVGLRMKAYLPLGIRGVFDGVIENMHLHWRHRELVKLITKQKTLAFVEDTARLLEYESGGILVAIDKVPKGFSLIYYRGKNYRRPVTLRPRNLLTKAKALQRSIAMQRHEALSQHVTELGEKIEKMKKELGEDEFSDGDDNYGDFNGEEYSDYNDKNSEF >Vigun03g017200.2.v1.2 pep primary_assembly:ASM411807v1:3:1214512:1219987:1 gene:Vigun03g017200.v1.2 transcript:Vigun03g017200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVTNALLSSLPLALPSSYALFASSKFKFTFSLKVCIRVRALNTLSSLPTTRHDDYDDLFAPIPPLRPADKAINAIERIALRLRNLGLNSTDHADDDDFLRREWLRPDEALLPWDKREGEEYEEHAEHAEHEEHQKEKLKKRSVNAATLAVKTLEEEELRRLRTLGMSLKEKVTIPKAGLTRAVLDKIHRQWSNCELVRLKFHELLAQNMKLAHQIVECRTRGLVIWRSGSYMWVYRGRNYQGPMQPIEKEGDDRIPVPADGDTALLLAKSEAVFWKEENMTPEEVEFNRMLDGFGPRFVEWWGTGILPIDADLLPPTVPGYKTPLRLLPAGMHPRMTNDELTNMRKLAKSLPCHFALGRNRNLQGLASAILRLWEKSLVAKIGVKRGIVNTNNELMAQELKKLTGGTLLLRNKYYIVIYRGKDFVPTSVATVIAERQEMTKHVQDVEEKVRCKVHDIAPSREDESKSQAGSLAEFYEAQACWGRDISTEERERMRQEVAKAKNAKLAKKIECKLALAQAKRLRAENLLAKIEASLVPVGPDYDKETITDEERVMFRSVGLRMKAYLPLGIRGVFDGVIENMHLHWRHRELVKLITKQKTLAFVEDTARLLEYESGGILVAIDKVPKGFSLIYYRGKNYRRPVTLRPRNLLTKAKALQRSIAMQRHEALSQHVTELGEKIEKMKKELGEDEFSDGDDNYGDFNGEEYSDYNDKNSEF >Vigun04g152300.1.v1.2 pep primary_assembly:ASM411807v1:4:36923945:36930069:-1 gene:Vigun04g152300.v1.2 transcript:Vigun04g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKGSSFSSASTAEQVTEGIDGTALTAIVTGATSGIGAETARVLAMRGVHVIMGVRNLVTAKDVKEEILEEYPSAKVDAMELDLSSMASVRKFASDFISSALPLNILINNAGIFGTPFMLSEDNIELQFATNHVGHFLLTNLLLDTMKKTTHESKREGRIVIVTSCAHRLSYRGGIRFDKINDESSYRKFCAYAQSKLANVLHANELARRFKEEEVDITVNSVHPGTIITNIDRYYVNPGLAAVLKKFISFAFKNAEQGASTTCYVALHPEVKGLSGEYFADNKMAKVGSRGRDIELAKKLWDFSMNLIK >Vigun03g289800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47195388:47196227:1 gene:Vigun03g289800.v1.2 transcript:Vigun03g289800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKVVLVTGCGKGGIGYEYCKAFAEKKCHVFASDISARMQDMSELQSDNIETLELDVCCDESVSSAVANVISKHGRIDILVNNAGIGSTGPLAELALDRIRKAWEINTLGQLRMVQHVVPHMAMRRSGSIVNVGSVVGEVATPWAGSYCGSKAAVHAMSNSLRLELRPFGINVVLVLPASVRSNFGRANTERLGNYEWKLYKDFKEAIEERGRASQGDKATDGRVFARHVVKKVLRPKPPKQIAFGHMTALFAFLSWSPLWVRDQFFASRFGLTKKV >Vigun01g083500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23624303:23627258:-1 gene:Vigun01g083500.v1.2 transcript:Vigun01g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPTTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAELLSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKDSSTGEILIKHFNKEQESNQSNFRDAVTSAELEVQEKMPLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVGEDSD >Vigun01g030550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3790117:3790416:1 gene:Vigun01g030550.v1.2 transcript:Vigun01g030550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATIKSLSSGTPISSLPSIHMSNGTQMHITHTNHVSISNLSLPDTYYIPNLTLNLISIGQLCENGLNVIFSSSGVQVQDPQMRKILGMGHRVGWLFEL >Vigun02g184800.2.v1.2 pep primary_assembly:ASM411807v1:2:32448675:32454846:1 gene:Vigun02g184800.v1.2 transcript:Vigun02g184800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFHLIYAIWGLLSFTCFFVVVICASWCPYQNLNQRFEQKTDKFWVFSEQTETWVEAKLPYDLLSCVDGDCRKVGSILQSEKKTTQQVLELEDKLDEQKISDENKDSKMESEDVVLPQRKRISLTKISETSMWVTGESGSIYERFWNGMEWVILSHDLPVSAGSAVSVFVINQTILALSEAGKLYQIRSQHGESSEPVWVEFTLTYPEKNLLIKSGVASRDGQRAYFCTKNETLVELAWVEPPRWINHGQPAGANVVAIADAASTREVVYTMSSAGDLYEYDKKSKPSWKRHIWQDKTSQAAPLMPSKGCSLPGLSDDHSESLFLLTKEGSLVERRFHQRKWKWVVHGRPQDQNLTSITPALQDESAETSSTSLFFTTSYGFVFEYRIPKQSEVWKSHQHPLHAKAARGIAGLQLYVGRILFPLDDGRLGELHPLGLGGESSGPSQPQNIRRKSPTKYVWSIVDVPESEGWNAEYCTEERGLRNCVTGIKDETEESVVSSVTGRRKQSQAQNQYLSVSTSGVGLIQSSEEHNHVPDNWISSNFRLRLVDAGKSFFLVTDDGLIYEYICIESAWIWLRHESFTPMKGILSSYNGSLFMVDTHGSLLLRERRGKELEWRNCTALRRGKNVIGGRPWDGLTGQERKFTNEDTLFFVSKTGRLMKLVNYLGVNSRGIRINHSTKTRYAIIGEGNTMHTFPRFL >Vigun02g184800.1.v1.2 pep primary_assembly:ASM411807v1:2:32448675:32454846:1 gene:Vigun02g184800.v1.2 transcript:Vigun02g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFHLIYAIWGLLSFTCFFVVVICASWCPYQNLNQRFEQKTDKFWVFSEQTETWVEAKLPYDLLSCVDGDCRKVGSILQSEKKTTQQVLELEDKLDEQKISDENKDSKMESEDVVLPQRKRISLTKISETSMWVTGESGSIYERFWNGMEWVILSHDLPVSAGSAVSVFVINQTILALSEAGKLYQIRSQHGESSEPVWVEFTLTYPEKNLLIKSGVASRDGQRAYFCTKNETLVELAWVEPPRWINHGQPAGANVVAIADAASTREVVYTMSSAGDLYEYDKKSKPSWKRHIWQDKTSQAAPLMPSKGCSLPGLSDDHSESLFLLTKEGSLVERRFHQRKWKWVVHGRPQDQNLTSITPALQDESAETSSTSLFFTTSYGFVFEYRIPKQSEVWKSHQHPLHAKAARGIAGLQLYVGRILFPLDDGRLGELHPLGLGGESSGPSQPQNIRRKSPTKYVWSIVDVPESEGWNAEYCTEERGLRNCVTGIKDETEESVVSSVTGRRKQSQAQNQYLSVSTSGVGLIQSSEEHNHVPDNWISSNFRLRLVDAGKSFFLVTDDGLIYEYICIESAWIWLRHESFTPMKGILSSYNGSLFMVDTHGSLLLRERRGKELEWRNCTALRRGKNVIGGRPWDGLTGQERKFTNEDTLFFVSKTGRLMKLVVSLKKLKWKDCRNPPDAKVACILDQELFRKNIVFVIGRNGRLYQYNKVTDLWHEHYHSQHLVLSQSPGTVIRSSLKSLSGSLFMVSREGGLVEYQWSSMYGWNWVEHGTPNRDVTLVGSPGPSFEGNQLFFIGSDGKVYLRYMEKKSWKWKDCGFPHVGKKLVEAHSEGGFQEEKVDCIDEDSASYLNIRCDSKVAATRPIQFSKGSVIFQLRDGRLAEIELVEKREWVWSQIIGTPATLCSENYWTTEPYKLS >Vigun02g147600.2.v1.2 pep primary_assembly:ASM411807v1:2:29521704:29522877:1 gene:Vigun02g147600.v1.2 transcript:Vigun02g147600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFWCCRYHIILFCHYLSTFTIFIVLSTPTPSLHTYIAFTTRFGVRFGREKIATMSQLTTTKPSRSDEVLDAEEQLRIAYEIRAHFDALQPGRPVKPNRSEPGSPHAVNHSLSNNDIPELHKFQSLQSSSHDIISTEGVANAQDEFVETQYYKELASVDKEHHTTGSGFIKVVREGEEGGYKIELLDSHVNAVETEIQPRGYRSNPATNDWVPSCDDYQVFVSTKPNRSECT >Vigun03g385400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59101041:59102999:-1 gene:Vigun03g385400.v1.2 transcript:Vigun03g385400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVKASCTVRPMEATWCGRIALSELDQTGTVGHQALVYFYSLPQNCLSQYNTIASTLKDSLSRALVPFYPIAGRLYWINNGRLELDCNATGVQFIEAESSSTVQHLSHFSSSSEYHYLVPTVDYYSLPIHELPLFAVQLTKFKCGGICVGITFSHAIMDGSSAFHFIRQWAKFARGGPLHTVPFLDRKILRAGEPPLVPLTKCHVLAKLNDPPLLLGRTDDREEKEKKTTITFLKISKTQIETLQKRANESSPKPINDGGYSRYESVTGHIWRCASKARKHKENQPTELTVTVDLRGRMKPPLPNGYFGNAIWDSVACCLAGDLVSEPLGYTASRIREAIERVSDEYVRSGIEFLKNQKNLRRFHQDLHEEGREKEPFPGNPNLSVVSWFRLPINGINFGWGKEVRMSPATHGFDGDFVLLPDPDENGSVLVYIRLQLLHIDAFKKHFYQDIQGYKSNL >Vigun09g029400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2433351:2433911:-1 gene:Vigun09g029400.v1.2 transcript:Vigun09g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLKFFWGMVLCIVAMAHQTIALETLETLKGQSLIQKVCTFSATRNLCIEVLSSDPYKSPNANLRDLAIISLRVAATNASGILGETKILIDDDKLSPDVQQGLSDCKETILDAESQLEDSIAAIMVDSKAETQLWLKAALAAIDTCDASIPGDDDILSIESVAFRKLCNIAITVTKLLLNPIKL >Vigun09g057500.1.v1.2 pep primary_assembly:ASM411807v1:9:5771150:5779216:-1 gene:Vigun09g057500.v1.2 transcript:Vigun09g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDSGSVLRPKPPIPTPYPTRSLFRPFCFDPGSRPAAPNLTLKMELLRRMGRGCFKPDAADAGARDLFEDTRRRDLFDATVAASPNSAPHHLVIMVNGIIGSAADWRYAAEQFVKKLPDKVIVHRSECNSSKLTFDGVDTMGERLAEEVLSVVRRWPEVQKISFVAHSLGGLVARYAIGRLYDYSSTLTLVGNSKDCFSEEKSEYSKQCLEQSYEAKIAGLEPMNFITFATPHLGSRGNKQLPFLCGLSFLERRASETAHLVAGRSGKHLFLMDNDDGKRPLLLRMVNDSGDLKFMSALRAFKRRVAYANANYDHMVGWRTSSIRRQHELPKSNLLVIDERYPHIVYVEGETADDIGNKISSNIGSQIIDLEEEMIRGLTQVSWERVDVSFQKSKQRYIAHSTIQVKTYWLNSDGADVVYHMIDNFLL >Vigun04g098051.1.v1.2 pep primary_assembly:ASM411807v1:4:22228829:22232235:1 gene:Vigun04g098051.v1.2 transcript:Vigun04g098051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSSVTGFFSFFHHSTCSGLGAELGHCLSIYLGFIFVANGGENRFSLVQVAFFFLSLETSIQNSCQHASHIYSDAQSLRETCATPILKQVKSDFTRY >Vigun08g030600.1.v1.2 pep primary_assembly:ASM411807v1:8:2813298:2815895:-1 gene:Vigun08g030600.v1.2 transcript:Vigun08g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFGCFGADKRMSKEEERLASEEARAKAAEAAQKRQEQFEKSAAGRAARAQQQAMAKQSANPNKGEPVLKWQMG >Vigun09g027450.1.v1.2 pep primary_assembly:ASM411807v1:9:2216740:2220084:-1 gene:Vigun09g027450.v1.2 transcript:Vigun09g027450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNVPEIKYDVFVSFRGKEIRDGFLSHLTEAFDKKKINGFVDDKLESGEEIWPSLVAAIERSAISLIIFSPDYASSRWCLEEILKIVECKEKYERIVIPVFYKVAPTDVRHQSGSYENAFANHRLNYTSQVQMWKDALKKSADLSGIESSKFRNDAEVVKEIVDLVLKRLDKHQVNTKGLVGIDEKIATLKSWISDEPKATHLIGIWGMGGIGKTTLAEVVFHRLRSQYQGSYFLANERDQSNKHGLIHLKKIMFSRLLGHEVDIDTPNSLPENIVRRIGCMKVLVVLDDVNDSDHIEKLLGSLENFGMGSTVIVTTRDEQVLRINRVSKTYKVKEFSSDEALELFNLNAFSESNEAKEYGEISKLVVDYAKGNPLVVKVLAHLLQGRNKEEWESLLGKLKTMPPREVYDVMKLSYDSLDRKEQQMFLDLACFFLRMLVPVQVGDLKCLLKDNESDNSVAFELRRLEDKALITISEDNTVSMHDSLQEMAWEIVRHECVEDPGRRSRLWDPNDISEALKNEKVSEAIRSMHVQLTSDNPTTSTKKQMLNPQIFALRKLKFLEISGVDGNAYHQVILGEGAHFLATELRLVCWEEFPMKSLPESFNAEKLVILEFRKSRLRKLWDGVKNLANLKRLILHWAMKLKELPDLSGAIRLEELYLSGCSSLRSLHSSIFSLPKLQILDLNSCISLAILPSNSERRLHSSLWRNSELKFLYMRGCEKLENIAELPPFLTTLDVSFSKSLKTLPNLPLSLQTLNTSFCVSLESLPELPPLLSTLNVSACYSLQIPPNLPLSLQITLVERIQSLKTKDTKNSRLIHQTLSKLPLPSQVLCTCNSIKTGAELLSSFTSQIEDFKGNRKMVLFSYDLNLDQHLLAAIGLKINMMTFAKPALVKTMIMMILTVVMIDVFTCVLVTVFL >Vigun05g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5397475:5398236:1 gene:Vigun05g062500.v1.2 transcript:Vigun05g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYPEVLVPKLFLHALSLLAWLRSLVAALFRLLHLSDLLDTDASALAWPPESQPPRAPTLSALLIREFLPVTAFRDLDDAGESPPSPTGCAVCLSEFCAEEEIRCMANCKHMFHRACVDRWIDHDQKTCPLCRTPFVPEHKVEEYNQRLWAASGVSQFYQDDYTPSL >Vigun05g238400.1.v1.2 pep primary_assembly:ASM411807v1:5:43151094:43152611:1 gene:Vigun05g238400.v1.2 transcript:Vigun05g238400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGAYLLAVLGGNPDPSASDIKHILGAVGAEADDELIKLLLTEVKGKDFNELLASGREKMSAVSGGGGAVAVAAAPAAGGGGAAPAAEAKEEKKVEEKEESDDDMGFSLFD >Vigun05g238400.2.v1.2 pep primary_assembly:ASM411807v1:5:43151353:43152611:1 gene:Vigun05g238400.v1.2 transcript:Vigun05g238400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVILNSDMKVVGAYLLAVLGGNPDPSASDIKHILGAVGAEADDELIKLLLTEVKGKDFNELLASGREKMSAVSGGGGAVAVAAAPAAGGGGAAPAAEAKEEKKVEEKEESDDDMGFSLFD >Vigun01g149300.1.v1.2 pep primary_assembly:ASM411807v1:1:33071733:33078867:1 gene:Vigun01g149300.v1.2 transcript:Vigun01g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPAGISTFASRSFSFLSPPDDAQRRCRLRLERMPVSVVFFLAFLNLLSSSQVKSFSLSVSFASSEQAKMWFAKPSFGPSSAPIPSPNHQGPYMTSRQRHHYHHRRHHSMRPYVVAPPPSEDKACDQICTEPLTSTPFGSPCGCVIPMKVRLTLDVAPYAVFPVMTELENEIALGTYLEQSQVKIMGATADSQNQGRTVVDINLVPLGEKFDNTTAALTYERFWHKKVPLNRSLFGDYAVVYITYPGMPSSPPYGSLVGSGPSQSVEGILPVSANFVGKNQKMNVRTIIIIALSSFVLLLVLVGAFSIILKWKKTRRPSNAVGPAFTSSLNKRSGLGSMLSSSITSSTSVSLMSTMATSILSVKTFSLSELEKATDKFNSKRILGEGGFGRVYSGTLEDGAEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRRRCLVYELVRNGSVESHLHGDDKIKGMLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSNHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPQGQENLVTWARPMLTSREGLEQLVDPSLAGSYNFDDMAKVAAIASMCVHTEVTQRPFMGEVVQALKLIYNDTDETCGDCCSQKDSSAQESDFRGDLAPSDSSWWNAGGLTPRLTYGQASSFITMEYSSGPLEEMENRPFSTSSLIGDEISLPIRHGNRSGPLRTVRNKLSLHRFTGSRSEHGGPSSKRNWNDGYWV >Vigun01g149300.2.v1.2 pep primary_assembly:ASM411807v1:1:33071733:33078867:1 gene:Vigun01g149300.v1.2 transcript:Vigun01g149300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPAGISTFASRSFSFLSPPDDAQRRCRLRLERMPVSVVFFLAFLNLLSSSQVKSFSLSVSFASSEQAKMWFAKPSFGPSSAPIPSPNHQGPYMTSRQRHHYHHRRHHSMRPYVVAPPPSEDKACDQICTEPLTSTPFGSPCGCVIPMKVRLTLDVAPYAVFPVMTELENEIALGTYLEQSQVKIMGATADSQNQGRTVVDINLVPLGEKFDNTTAALTYERFWHKKVPLNRSLFGDYAVVYITYPVGSGPSQSVEGILPVSANFVGKNQKMNVRTIIIIALSSFVLLLVLVGAFSIILKWKKTRRPSNAVGPAFTSSLNKRSGLGSMLSSSITSSTSVSLMSTMATSILSVKTFSLSELEKATDKFNSKRILGEGGFGRVYSGTLEDGAEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRRRCLVYELVRNGSVESHLHGDDKIKGMLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSNHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPQGQENLVTWARPMLTSREGLEQLVDPSLAGSYNFDDMAKVAAIASMCVHTEVTQRPFMGEVVQALKLIYNDTDETCGDCCSQKDSSAQESDFRGDLAPSDSSWWNAGGLTPRLTYGQASSFITMEYSSGPLEEMENRPFSTSSLIGDEISLPIRHGNRSGPLRTVRNKLSLHRFTGSRSEHGGPSSKRNWNDGYWV >Vigun08g104250.1.v1.2 pep primary_assembly:ASM411807v1:8:25966344:25967026:-1 gene:Vigun08g104250.v1.2 transcript:Vigun08g104250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIKIGPLSASLLCYNRFKQLPQIDFEIIVVLVKN >Vigun07g193300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31257474:31260151:1 gene:Vigun07g193300.v1.2 transcript:Vigun07g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSFYDRLTFTALALFVSGLILFLIRRTKSKKLNLPPGPPGWPIVGNLFQVARSGKPFFEYVNDVRLKYGSIFTLKMGKRTMIILTEAKLVHEAMIQKGATYATRPPENPTRTIFSENKFTVNAALYGPVWKALRRNMVQNMLSSTRLKEFRTVRDNAMDKLIKRLKDEAENNSGVVWVLKDARFAVFCILVAMCFGLEMDEKTVERIDQVMKNVLITLDPRIDDYLPILSPFFSKQRKRALEVRREQIEFLAPIIEQRRRAIQNPGSDNTATTFSYLDTLFDLKIDGKKSAPSDAELVTLCSEFLNGGTDTTATAVEWGIAQLIANPEVQTKLYEEIKRTVGEKKVDEKDVEKMPYLHAVVKELLRKHPPTHFVLTHAVTEPTTLGGYDIPVDANVEVFTPAIAEDPKFWSNPEKFDPERFISGGEEADITGVTGVKMIPFGVGRRICPGLGMATVHIHLMMARMVQEFEWGAYPPEKKLDFSGKWEFTVVMKESLRATIKPREKLQL >Vigun05g255100.1.v1.2 pep primary_assembly:ASM411807v1:5:44949842:44954288:1 gene:Vigun05g255100.v1.2 transcript:Vigun05g255100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASFPHPLISHVTTSSSLHRSYGTHQSARLGLWKNKVWNSACCAVGFSAGGTEDVFDDSNLKRNENGSLLGALNINEPSLAPFRTLDAEITPETTDFFVSDAEGDPDCPSKGYSSIEHALNALRQGKFVIVVDDENGDDEGNLIMAASLTSPEDIAFMIKNGSGIVSVGMKEEDLQRLNLPLMSPESEDEDSSAPTFTVTVDVKCGTSTGVSAADRAKTVVALSSPESKSEDFRKPGHVFPLKYRNGGVLRRAGHTEASVDLVALAGLPQVSVLSALVDENDGSMASLASLRNLALKHTLPIVSITDLIRYRRKREKLVERTSVSRLPTKWGLFQAFCYSSKLDGTEHVAVVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMRLIEEAGRGVVVYLRGHEGRGIGLGHKLKAYNLQDEGHDTVQANIELGLAVDAREYGIGAQILRDIGVRTTRLMTNNPAKFVGLKGYGLAVVGRVPVMTAITEENKRYLETKRTKMGHIYGSDLHGFNDSIVNNADSSENT >Vigun05g219600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41110724:41111704:-1 gene:Vigun05g219600.v1.2 transcript:Vigun05g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWTWTVAASVGVVEALKDQGICRWNSVLRSAQQHAKHNMRSLSQTKKLSSQSSAMASAKFKDEKAKQSEESLRTVMYLSCWGPN >Vigun10g053600.1.v1.2 pep primary_assembly:ASM411807v1:10:8895266:8897159:1 gene:Vigun10g053600.v1.2 transcript:Vigun10g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVHMRTISKGAIILLSIMMLLFDTTVSVVVESDQNHIKSATFLSENFEVGPGKIVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPNDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTLIHDCQAEYKIPRNHHNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNITLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun10g078200.2.v1.2 pep primary_assembly:ASM411807v1:10:21278091:21281199:-1 gene:Vigun10g078200.v1.2 transcript:Vigun10g078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTISASPIFITFTLLFITFACATSVNEIENGEPNGSDGFTVPSHVEKQGQDAMKEYLDSVFENALFAGDSTETYPHSYGIEAESNTQIKEEPKESGEGHKLIIPKHIEEEGAEAIKKYLDDLFSKALLGMDSTQTEQNPEAHQHSNSIQLDSKTHITQEGKNNVVDKSFDKVSKLTKEEELVNDDIAVNVNLEMVGDGEYVLKIKRVNPSSNDGDKVERAKHLAQNGAMLLHYGEILKDMGEKLITQSQTLLYSVFKMPAPPKFKSDDQ >Vigun10g078200.1.v1.2 pep primary_assembly:ASM411807v1:10:21278091:21281199:-1 gene:Vigun10g078200.v1.2 transcript:Vigun10g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTISASPIFITFTLLFITFACATSVNEIENGEPNGSDGFTVPSHVEKQGQDAMKEYLDSVFENALFAGDSTETYPHSYGIEAESNTQIKEDKSGDEEPKESGEGHKLIIPKHIEEEGAEAIKKYLDDLFSKALLGMDSTQTEQNPEAHQHSNSIQLDSKTHITQEGKNNVVDKSFDKVSKLTKEEELVNDDIAVNVNLEMVGDGEYVLKIKRVNPSSNDGDKVERAKHLAQNGAMLLHYGEILKDMGEKLITQSQTLLYSVFKMPAPPKFKSDDQ >Vigun05g183000.1.v1.2 pep primary_assembly:ASM411807v1:5:35192801:35197593:-1 gene:Vigun05g183000.v1.2 transcript:Vigun05g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHILFLFFLIALAPTFSLRFQPHAAPAGPLIKHLSSIIKWTRSTSKTPQSDGNVLQFENGYVVETVVEGNEIGVIPHRIRVSEEDGELFAVDAINSNIVRITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGITVDDKGNVYVADTQNLAIRKIGDSGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVRPTCSLLVIDRGNAALRQISLDQEDCDYQSNSISSTDILTVVGAVIVGYATCMLQQGFGSSFFSKTRPSEKEFKGPASNEKHMPILESSKEEPGWPSFGQLIVDLSKLSLEALAGAFTQFIPSHFRPDISKRGLTPLKDRLVMPEDEAQPPLINRQNVQGHTPLTKNQLPPQLHTPLSDSRMAPHVHTPPIDNRLVPNVHTPSTAEKYSEMKPPKIKSSSFKDPSLSSKHRSSKRPDYAEFYGSTEIPPYSKSKSQKERPRHRQREKSGEFVMGAVGTEAKAVEPRAVDHNNPKFDHYSMRTKYASEETFRFNSK >Vigun11g134800.1.v1.2 pep primary_assembly:ASM411807v1:11:34426651:34430729:-1 gene:Vigun11g134800.v1.2 transcript:Vigun11g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMAANAVVAPSPFQPSSLLRSSFSGVSVRLTPQSLTFSRSKTFTVFAATKKAVAVLKGNSAVEGVATLTQEDDGPTTVSVRITGLTPGLHGFHLHEYGDTTNGCISTGAHFNPNKLTHGAPEDEIRHAGDLGNIVANADGVAEVSIVDNQIPLSGPNSVVGRALVVHELEDDLGKGGHELSSTTGNAGGRLACGVVGLTPA >Vigun06g034600.1.v1.2 pep primary_assembly:ASM411807v1:6:14635003:14636994:-1 gene:Vigun06g034600.v1.2 transcript:Vigun06g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKASYTVVPNEATPEGIEWLSDIDQVARLCHTQTIYVFHAKHNLDALVQQMRNSLSKILCIYYPLAGRLRRLEEGGRWEVDCNAKGAMLFEAESTKTVNYYGDFLGDSANDLVPKVNYTNTLIQDIPLLLVQVTSFLGNEAFSIGVAVSHILFDGISAIHFINSWAKLARGDTLESHEMPFLDRTVLKFTAPPPPPRFDHQEFKPMPLILGRSDNTVEKNKRVSAISLKLTAEQVGKLKNKANADGSTKGSRPYSRFEAIAAHVWRSASKARGLDENQPTLLRFTGDIRNRLIPPLPRNYIGNALSIVSVSSHVGEILSSPLGHVAQKIREAVEMITHEFICSQIDVIRGQEHVNKARTLYFGANEGKDVLFFGNPNLRITSWLSMPMHEADFGWGKPVYSGLAGKAAQERAVITQSPDGDGSVILVLHFQVEHMELFKNYFYEEI >Vigun06g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30954974:30955912:-1 gene:Vigun06g192500.v1.2 transcript:Vigun06g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKWSDLPHELLSKIAGELGLMDFLSFRCVCKDWHIASSKVSHEDKSSKSEPWLLVYEGEGSQCSLHSSKHKRYISHIQELEGAACLASHEGWLLLFREGSLFFFCPFSKAKIELPNCPITEVTDHIAAFSSAPTAQDCSVVVLNQLNAFELQLFMLCRGENAWSKHSYAGYPFKRMRTAVFYKEKEFHFLDEGDGLVSFDASESPQWKSFVVRVQESESTPSDVVLNYVISKNFFQRHNNERSGNLRFLEANDSISTVGTTVPRHTGNFDLLIGSERIEAPKQSESRHLKGVWIQPKYCYVPPDQTW >Vigun09g088800.1.v1.2 pep primary_assembly:ASM411807v1:9:12175726:12183757:1 gene:Vigun09g088800.v1.2 transcript:Vigun09g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPPPSPPPRSASGSGNTSPTGKVEAATNNGNCDVDDKKPNFTDGLDHLDSPQCIERFRKYDNDYAHRLVGKYFSNKNLYGGNVFDVQLRVKDEMINSSGLTCYRSYADPVVGFEDQSSNGSTPPADSQASIPNGKHVVKKN >Vigun08g189700.2.v1.2 pep primary_assembly:ASM411807v1:8:35767398:35770172:1 gene:Vigun08g189700.v1.2 transcript:Vigun08g189700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGLRIAHIKSHLQMYRNIKGYAKNCADEIVEGNENDFKVCSICPTQRIIMKGELPLLDNKKGLSQSNEETDYDLNQEPESSSWLVGDDDVSNEEENVPNLLLSFSTPLISMMKSENKKDMMHFSSSTAPANHSIDSTSTSSFGNTYINLDLAI >Vigun08g189700.3.v1.2 pep primary_assembly:ASM411807v1:8:35766705:35770172:1 gene:Vigun08g189700.v1.2 transcript:Vigun08g189700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLSNPKHRIIMKGELPLLDNKKGLSQSNEETDYDLNQEPESSSWLVGDDDVSNEEENVPNLLLSFSTPLISMMKSENKKDMMHFSSSTAPANHSIDSTSTSSFGNTYINLDLAI >Vigun08g189700.1.v1.2 pep primary_assembly:ASM411807v1:8:35766705:35770172:1 gene:Vigun08g189700.v1.2 transcript:Vigun08g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCERSVRQYNKSELPRLRWTPELHQHFVQAIQSLGGKHKATPKRILQQMRVRGLRIAHIKSHLQMYRNIKGYAKNCADEIVEGNENDFKVCSICPTQRIIMKGELPLLDNKKGLSQSNEETDYDLNQEPESSSWLVGDDDVSNEEENVPNLLLSFSTPLISMMKSENKKDMMHFSSSTAPANHSIDSTSTSSFGNTYINLDLAI >Vigun03g384500.5.v1.2 pep primary_assembly:ASM411807v1:3:58943749:58949454:1 gene:Vigun03g384500.v1.2 transcript:Vigun03g384500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLRSLPNASSLTAGGDFCRTRHCAAISYASSYGPNASWNKRKVQNEYNCLRLRKPSLNHRYKGTGGGYTFQKYNIKFVVKATSEKSFGSESQAFVPKSVLDSVKNSLDAFYRFSRPHTVIGTALSIISVSLLAVEKISDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKVPLLRWKRFAVLAAMCILAVRAVIVQLAFFLHMQTHVYKRPPVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKPVFWTCVSLLEIAYGVALLVGASSPCLWSKIATGLGHAVLASILWYQAKSVDLKSKASITSFYMFIWKKQ >Vigun03g384500.2.v1.2 pep primary_assembly:ASM411807v1:3:58943749:58949454:1 gene:Vigun03g384500.v1.2 transcript:Vigun03g384500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLRSLPNASSLTAGGDFCRTRHCAAISYASSYGPNASWNKRKVQNEYNCLRLRKPSLNHRYKGTGGGYTFQKYNIKFVVKATSEKSFGSESQAFVPKSVLDSVKNSLDAFYRFSRPHTVIGTALSIISVSLLAVEKISDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFGTGVAIVASFSILSFWLGWIVGSWPLFWALFVSFVLGTAYSINVPLLRWKRFAVLAAMCILAVRAVIVQLAFFLHMQTHVYKRPPVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKPVFWTCVSLLEIAYGVALLVGASSPCLWSKIATGLGHAVLASILWYQAKSVDLKSKASITSFYMFIWKLFYAEYLLIPFVR >Vigun03g384500.1.v1.2 pep primary_assembly:ASM411807v1:3:58943749:58949454:1 gene:Vigun03g384500.v1.2 transcript:Vigun03g384500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLRSLPNASSLTAVAGGDFCRTRHCAAISYASSYGPNASWNKRKVQNEYNCLRLRKPSLNHRYKGTGGGYTFQKYNIKFVVKATSEKSFGSESQAFVPKSVLDSVKNSLDAFYRFSRPHTVIGTALSIISVSLLAVEKISDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFGTGVAIVASFSILSFWLGWIVGSWPLFWALFVSFVLGTAYSINVPLLRWKRFAVLAAMCILAVRAVIVQLAFFLHMQTHVYKRPPVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKPVFWTCVSLLEIAYGVALLVGASSPCLWSKIATGLGHAVLASILWYQAKSVDLKSKASITSFYMFIWKLFYAEYLLIPFVR >Vigun03g384500.4.v1.2 pep primary_assembly:ASM411807v1:3:58943749:58949454:1 gene:Vigun03g384500.v1.2 transcript:Vigun03g384500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLLRSLPNASSLTAGGDFCRTRHCAAISYASSYGPNASWNKRKVQNEYNCLRLRKPSLNHRYKGTGGGYTFQKYNIKFVVKATSEKSFGSESQAFVPKSVLDSVKNSLDAFYRFSRPHTVIGTALSIISVSLLAVEKISDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKVPLLRWKRFAVLAAMCILAVRAVIVQLAFFLHMQTHVYKRPPVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKPVFWTCVSLLEIAYGVALLVGASSPCLWSKIATGLGHAVLASILWYQAKSVDLKSKASITSFYMFIWKLFYAEYLLIPFVR >Vigun09g002100.1.v1.2 pep primary_assembly:ASM411807v1:9:169656:170679:-1 gene:Vigun09g002100.v1.2 transcript:Vigun09g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWSPALGALALTLSLLLLTWSTRARAEVFPTVRVHSWRSSKTSSYRQVHRKNLSKQFASSFRRIPPSKSNPTQNKFNPPTYG >Vigun04g148200.1.v1.2 pep primary_assembly:ASM411807v1:4:36378041:36385218:1 gene:Vigun04g148200.v1.2 transcript:Vigun04g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSLKRRLRESQNPPMAAAVPSRRKPRSYVARSVLLSALLIVSVIALLVLSSNNWRAFQSGPSYETVTVVNVFPHDPEAFTQGLVYDGNDTLFESTGLYGRSSVRKVSLHTGKVEDIQKMDSSIFGEGLTLLNNRLFQVTWLQKAGFIYDPKTLSKIGTFNHDMKDGWGLATDGKVLFGSDGSSTLYQIDPQTFKALSKQVVHYKSHEVHNLNELEYINGEIWANVFMTDCIARISPNDGSVLGWVLLQNLRKGLIEAGNNNIDVLNGIAWDGEQKRIFVTGKLWPKLYEIKVSPVKKPIEEGIIEQLCLRRPFKFP >Vigun04g050900.1.v1.2 pep primary_assembly:ASM411807v1:4:4503316:4503774:-1 gene:Vigun04g050900.v1.2 transcript:Vigun04g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSFHFSQHCRFGETRLGGKDLRICMDSKG >Vigun08g210900.1.v1.2 pep primary_assembly:ASM411807v1:8:37267836:37275991:-1 gene:Vigun08g210900.v1.2 transcript:Vigun08g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGVREDESGVSLFETKEARFRGLYKVFAATIFGAICLIWVYRVVNMGRIERGRWCWMSVMVSEFGFGFYWIITQSVRWRILYHTPFKHILLNRYGEENLPAVDIFVCTADPKLEPPCMVMNTVLSAMAYNYPANKLNVYLSDDGGSELTFYALFKASIFSKHWLPFCRRFNVEPRSPEIFFAQPQNSSTSTEYHKACLHIKKLYEEMKSEIESAVAKGELPENVRNEHRGFSEWNPKTTKQDHQSIVQIIVDGTDRNSVDEDGFVLPTVVYVAREKRPNHPHHFKAGAVNALIRVSSEISNAPFILNLDCDMYSNNADTIQEILCFFLDETKGQDIAYVQFPQSYNNITKNDHYGNSYLVSAKYELAGICGFGAALFCGTGCLHRRESLSGSHLKDYKVNWEKKPKRNNDRTIDEVNEASKALATCTYEEGTQWGKEMGLVYGIPVEDIATGLVISCRGWKSIHYNPERKAFVGIAPTTLDVACLQHMRWSEGMFQVFFSKYCPFIYGHGKIHLGVQMGYCNYLLWAPMSLPTLCYAIVLPISLFHGIPLFPKLSSIWVIPFAYAFLATYGYSLCEYLSCGSTIKGWWNLQRIKFIHRVTSYLFGFIDTMRKQLGLSQTNFVITDKVVTEDVRNRYEQGIIEFGGSSIMLTILATVALLNLFGVVGGITRVVMAELRWSQLMVQITVSLLVVMMNLAVYEALFIRTDKGCISSSIMLKSIVVASLACYLGAFIC >Vigun09g093200.1.v1.2 pep primary_assembly:ASM411807v1:9:13417891:13419940:-1 gene:Vigun09g093200.v1.2 transcript:Vigun09g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAYMTQYPLSTRTIPTSFLLPSQWPHPQNEELLLAMEESDFEEKCNEIRKMNSNLIVIGKTTNENDKEDFDNEADDDDADNVEESEGEEFEQETG >Vigun09g244250.1.v1.2 pep primary_assembly:ASM411807v1:9:41306537:41306829:-1 gene:Vigun09g244250.v1.2 transcript:Vigun09g244250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVFTCSDSNPDKSNGSCCSLVARRMAGERAEKPDNTTQLVSNLIII >Vigun09g207400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38206489:38207972:-1 gene:Vigun09g207400.v1.2 transcript:Vigun09g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMDDVVIHIQGMLEKAKPPISEECCIYKVPLLIRQINQEAYTPKIVSIGPYHHNNSHLQNMENHKLTYCKSFLERTNTSMESWIHYIAGKELHIRQCYLDVLEFSSKELVEMICVDSGFILELFWITHYKIYDKYLSTPWFRLMILYDLVLLENQLPFFVLHHLFCLSIADGNSDIPSFIRLTFKYFDLFNRLDLKPNLITTCHHFTDLLRHFHLCGRKQTTRTGQWPHIPSVTKLSEAGIRFGKHESKCYLNLSFSRKVLKIPRFTVDDGTEILFRNMVALEQFHYPNDAYITEYALVLQYLVNTGKDVDILVKAGILKNFLGDSDSAAERTKRLCRNILLKDFSSDYVSLWQDLDASYKSRRLKLKSTLRRDYCKSPWQTAATIAAIVVLILSFVQTICSIWQIKQS >Vigun07g271700.1.v1.2 pep primary_assembly:ASM411807v1:7:38744770:38748314:1 gene:Vigun07g271700.v1.2 transcript:Vigun07g271700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKISHAQRLTAPVGSFRHSFLEKSKERLLSKKDLIGLHDEVSEPSEPRSFLDALSDRVVSFHNRSKDFAYKLYQMGRNDRRKVVFAIKAGLSLAIVSLVIYVEEEQFSKYSIWAILTVVVVFEFSIGATLNKGFNRALGTFSAGVLALGLAQISVFFGRAFEEIFIVVSIFIAGFIASYVRLYPAMKQYEYGFRVFLLTFCIVLVSGRTGLQFFSTAFYRLLLIGIGAGVSLSVNICLYPIWSGEDLHKLVVKNFNGVAASLEGCVNGYLQCVEYERVPSKILVYQASDDPLYQGYRTAVQSSTQEESLVDFALWEPPHGPYKMFNYPWKSYVKVSGALRHCAFMVMAMHGCMLSEIQAPPEKRLVFFEELQKVGIEGAKLLRSLGSKVERMEKLSSSEILFDVQCAAEQLQLKIDRLSFLLVNYESWEAVRLHKEKEHENLMQPEITSLNEIIRDDPKLSIKIEPSTPGKSVKIEPSTPGKSLLGRSNLSYCSDGMIPEPESSVYESASSLTLATFASNLIEFVARLQNLVDEFQDLSEKANFKDPFEVEQPLLK >Vigun10g056400.1.v1.2 pep primary_assembly:ASM411807v1:10:10316658:10319084:-1 gene:Vigun10g056400.v1.2 transcript:Vigun10g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYLALLILLFLFSLKLLFQTRRFRNLPPGPMSYPIIGNLLQLKQPYHRTFAQMSQKYGQVFSLWFGSRLVVVVCSQSAVQECFTKNDIVLANRPHFLFGKYISYDNSTILHSSYGDHWRHLRRILSLEVVSNNRLTSFYEVRRDEIMRLVQKLANLSRNQFTKVDLKNMFMETSFNTMTRIVAGKRLFGDDCDVNDVEKAKEFKIIIKELVILAGINNRGDFLPFVRWFDFDNLEKKLKGFGKRTDAFLQELIEERRNGNNNGNTMIDHLLAQQRSQPEQYTDQIIKGLSLSLLLAGTDTSALTLEWTMANLLNHPEVIKKATNEINIHVGSNRLVEESDMSKLPYIQSIVYETLRLHPAAPIWSPHLSSEDCTVGKYNLPKDTIVLVNAWAAHMDPKMWSEPTQFKPERFENESEVNRLLSFGLGRRACPGSNLAQRTVSLSIALLLQCFEWKRIGKEEIDMSEGNGITISRTNPLEAMCQLRQSSAVKDMY >Vigun05g088400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8410450:8411802:-1 gene:Vigun05g088400.v1.2 transcript:Vigun05g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQPPLPSQTRSRQLTSWLNLAVAESCVGKRFKLQERGSTFTTELRAGTATFLTMAYILAVNATIIADSGGTCSVSDCTSHCSDPKIPLAQCPTHLLIQPDSSCKYPPVNPGYAACVERTRRDLIVATVISSLVGSTIMGVFANLPLALAPGMGANAYFAYSVVGYHGSGSIPYRTALTAIFLEGLIFLLISAVGFRAKLAKLVPKPVRVSSSAGIGLFLAFIGLQSNEGIGLVGFSPSTLITLGACPKDKLTALAPVTTINGTVSLIPGGAVSDKILCSGSRMENPTLWLGLVGFVIIAYCLMKNIKGAMIYGIVFVTVISWFRNTPVTVFPNTEFGDAGYNYFKKIVDVHVIKSTAGALSFAHMGRGAFWEALFTFLYVDILDTTGTLYSMARFAGFLDMNGDFEGQYFAFMSDASSIVVGSLLGTSPVTAFIESSTGIREGDGRG >Vigun09g048500.1.v1.2 pep primary_assembly:ASM411807v1:9:4728014:4743811:1 gene:Vigun09g048500.v1.2 transcript:Vigun09g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDESNSKQDDEEAVARLEEIKKSVEAKMALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQRESLMDELRSVNLSKFVSEAVTAICDAKLRSSDIQAAVQICSLLHQRYKDFAPSLIQGLLKIFSPGKPGDESDADRNLKAMKKRSTLKLLMELFFVGVIEDGGIFINIIKDLTNGEQLKDRDAAQTSLTLLSSFARQGRIFLGLSVSGPEIHEEFFKGLNITADQKKVFRKACYSFYDAAAELLQSEHSSLRLMEHENSKILNAKGELSDENIASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEGMSSASGKDSSAVEPIWDDEDTRTFYECLPDLRAFVPAVLLGETEPKSSEQSAKGQDQPTEIVPESDKGQQTTHESGEISTESNALPEAESTERVKDKEEKDKSKESDREKEKEKDNDKKGENEKDKLRSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFNVPRTSLELLAYYSRMVATLSTCMKDVSSILLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIASPGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETSIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWNECETYLLKCFMKVYKGKYGQIHLIASLAAGLSRYHDEFAVAIVDEVLEEIRVGLELNDYGMQQRRIAYMRFLGELYNYEHVDSSVIFETLYLILVYGHGTSEQDVLDPPEDCFRIRLIITLLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFADLRPNMVRYTSIEEVNTALVELEEHDRIVSADKASGEKHSDNEKPSSRTISTITVVGNGQSIDNGTEENGVQDDVNDSETDSGSDTIDVEGHDDEELDEENHDDGCETEDDDDDDDDGPGPASDEEDEVHVRQKVTEVDPLEEADFDQELKAVVQESMEQRRQELRGRPTLNMMIPMNVFEGSTKDHHGRGVSGESGDEALDEDTGGNKEVQVRVLVKRGNKQQTKQMFIPRNSSLVQSTKQKEAAELQEKEDIKRLVLEYNDREEEELNGLGTQPANWMQSVGNKTGGRGSTLEGNSGRGSGSRHRHHNYSGSGTYYSRRK >Vigun11g127700.3.v1.2 pep primary_assembly:ASM411807v1:11:33505111:33507839:-1 gene:Vigun11g127700.v1.2 transcript:Vigun11g127700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFDRKTVSTSTLHSPCIIISTLTKPERSSTFFRFSSYSSSSMHNDTEEKDGSETETLKQIIDPFSSFPHIATVKPNFSSLPMKRTVRPLFALLLLLVFAATLTSRAVLRRGILSIELEYRVRIRNPPPPQLNDTLLHHAAVEIGEEKFKQEIQSLLDGNFGSHARHRTFVSWRRFIHHDRGGGVAANIPVTLRSPLFYRYWLDFRKVLHDWFRKRRFQPGIMSELTRSIKFPIDSHNKVVTNGKSRYSSCAVVGNSGILLNRDYGSEIDAHEVVIRLNNARVDHFEKKYYSLKRFVEESGKSLEKWGEYHDGALFHYSSGMQAVMLALGICDRVSIFGFGKSASAKHHYHTNQKAELHLHDYEAEYAFYRDLVDGHRPIPFLEDRFKVPPVVMYH >Vigun11g127700.2.v1.2 pep primary_assembly:ASM411807v1:11:33505111:33507839:-1 gene:Vigun11g127700.v1.2 transcript:Vigun11g127700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFDRKTVSTSTLHSPCIIISTLTKPERSSTFFRFSSYSSSSMHNDTEEKDGSETETLKQIIDPFSSFPHIATVKPNFSSLPMKRTVRPLFALLLLLVFAATLTSRAVLRRGILSIELEYRVRIRNPPPPQLNDTLLHHAAVEIGEEKFKQEIQSLLDGNFGSHARHRTFVSWRRFIHHDRGGGVAANIPVTLRSPLFYRYWLDFRKVLHDWFRKRRFQPGIMSELTRSIKFPIDSHNKVVTNGKSRYSSCAVVGNSGILLNRDYGSEIDAHEVVIRLNNARVDHFEKKVGKKTSISFMNSNILHLCARRAGCFCHPYGDHVPIVMYICQAVHFLDYTFCNASHKAPLLVTDPRFDVLCARIVKYYSLKRFVEESGKSLEKWGLAFLGLENRLLPSIITTLTRRLSFICMIMRLSMRFIGTLLMDTGRYPSSRTGLRFLLLSCIIDGCRTASASGRGHLLVAVLLL >Vigun11g127700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33505111:33507839:-1 gene:Vigun11g127700.v1.2 transcript:Vigun11g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFDRKTVSTSTLHSPCIIISTLTKPERSSTFFRFSSYSSSSMHNDTEEKDGSETETLKQIIDPFSSFPHIATVKPNFSSLPMKRTVRPLFALLLLLVFAATLTSRAVLRRGILSIELEYRVRIRNPPPPQLNDTLLHHAAVEIGEEKFKQEIQSLLDGNFGSHARHRTFVSWRRFIHHDRGGGVAANIPVTLRSPLFYRYWLDFRKVLHDWFRKRRFQPGIMSELTRSIKFPIDSHNKVVTNGKSRYSSCAVVGNSGILLNRDYGSEIDAHEVVIRLNNARVDHFEKKVGKKTSISFMNSNILHLCARRAGCFCHPYGDHVPIVMYICQAVHFLDYTFCNASHKAPLLVTDPRFDVLCARIVKYYSLKRFVEESGKSLEKWGEYHDGALFHYSSGMQAVMLALGICDRVSIFGFGKSASAKHHYHTNQKAELHLHDYEAEYAFYRDLVDGHRPIPFLEDRFKVPPVVMYH >Vigun07g089200.3.v1.2 pep primary_assembly:ASM411807v1:7:13877157:13881210:-1 gene:Vigun07g089200.v1.2 transcript:Vigun07g089200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRTARNFLISFHRTISSATFPPPPVVSSRRVVVTGLGMVTPLGCGVGTTWKRLIDGDCGVRALSLEDLKMNSFDKETQLSAFEQLTSKVAALVPTGTNPGEFNDQIWLNSKDHRSIARFIAYALCAADEALKDSNWFPTEQEDKERTGVSIGGGTGSVSDILDSAQLICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGSHSIGDAMRMIQFGDADVMLAGGTESSIDVLSIAGFCRSRALTTKYNSSPLEASRPFDCGRDGFVIGEGSGVLVLEEFEHAKNRGANVYAEIRGYGMSGSLLQDIRFSYSLNFIFG >Vigun07g089200.1.v1.2 pep primary_assembly:ASM411807v1:7:13877157:13881209:-1 gene:Vigun07g089200.v1.2 transcript:Vigun07g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRTARNFLISFHRTISSATFPPPPVVSSRRVVVTGLGMVTPLGCGVGTTWKRLIDGDCGVRALSLEDLKMNSFDKETQLSAFEQLTSKVAALVPTGTNPGEFNDQIWLNSKDHRSIARFIAYALCAADEALKDSNWFPTEQEDKERTGVSIGGGTGSVSDILDSAQLICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGSHSIGDAMRMIQFGDADVMLAGGTESSIDVLSIAGFCRSRALTTKYNSSPLEASRPFDCGRDGFVIGEGSGVLVLEEFEHAKNRGANVYAEIRGYGMSGDAYHITQPPSDGRGAIMAMTHALRQSGLHPSEVDYINAHATSTPLGDTIEGNAIKTMFSDRTSSSALAFSSTKGAIGHLLGAAGAVEAIFAILAIRHGIAPLTLNLTKPDPVFDDGFMPLSASEERPIRVAMSNSFGFGGTNAALLFAHTGSD >Vigun02g092100.2.v1.2 pep primary_assembly:ASM411807v1:2:24724081:24726534:-1 gene:Vigun02g092100.v1.2 transcript:Vigun02g092100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDTTTQTVCVMDASGHLGFNLVQRLLHRGYTVHASIQKYGDEDLFNGISADSNKLKIFRSDPFDYHSIIEALRGCSGLFYTFEPPHDQPNYDEYMADVEVRAAHNVVEACAQTETMDKVVFTSSATAVVWREDRKTMELDLDERHWSDVNFCRKFKLWHGVSKTMAEKSAWALAMDRGVNMVSINAGLLMTHDLSIQHPYLRGAAEMYEDGVFVTVDLPFLVDAHICVYEEVSSYGRYLCFNHIINTHDDAVQLAQKLTPAAGSSSSSSSSPSPQRSEDFFGYFDFFFSF >Vigun02g092100.1.v1.2 pep primary_assembly:ASM411807v1:2:24723763:24726534:-1 gene:Vigun02g092100.v1.2 transcript:Vigun02g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDTTTQTVCVMDASGHLGFNLVQRLLHRGYTVHASIQKYGDEDLFNGISADSNKLKIFRSDPFDYHSIIEALRGCSGLFYTFEPPHDQPNYDEYMADVEVRAAHNVVEACAQTETMDKVVFTSSATAVVWREDRKTMELDLDERHWSDVNFCRKFKLWHGVSKTMAEKSAWALAMDRGVNMVSINAGLLMTHDLSIQHPYLRGAAEMYEDGVFVTVDLPFLVDAHICVYEEVSSYGRYLCFNHIINTHDDAVQLAQKLTPAAGSSSSSSSSPSPQSGDLGKGFIEQKISNKKLNKLMVNFEA >Vigun07g009500.1.v1.2 pep primary_assembly:ASM411807v1:7:805495:807685:1 gene:Vigun07g009500.v1.2 transcript:Vigun07g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSFLGRVLFASLFILSAWQLFNEFDANGGPISKELIPKLTVVKKNLSSKLGVALPHIEARQFISVIIFLKGVGGILFVFGSTVGSFLLLLHLALTTPLLYDFYNYRPGKPKYNQLLNEFMLNTALFGALLFFIGMKNSIPRSQLRKKTPKAKTV >Vigun03g335400.1.v1.2 pep primary_assembly:ASM411807v1:3:53356508:53359347:-1 gene:Vigun03g335400.v1.2 transcript:Vigun03g335400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGEWLEKALVELCSKIETGLGLGLDEEIIKGLVSYCDLAQPQDAKEYLDNIIGQEAGKTVIAEYLRRRGYSEFSANSDVPTTKLHAYVKPPSVETSAGGTKKSVRASKGSGRSHQAEPSKNVISSNQENQTPTGGSESRTSQKGNQVNSKKKKAGKVVSLAEAAKGSIVFQQGRPCSCQARRHRLVSNCLSCGKIVCEQEGEGPCHFCGALVLREGSSYAGLEEGLPPLSDAEAAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIDGNSWLSKEEKELLKKKQEEMEEAERAKRNRVVVTFDLVGRKVLVNKDEVSELQSENRILRPRDDREANRIKPNPTLKFQPVFVDLGFSRKSAKDKQSHKGISKGLCLEITGRVQHDSNDHKYFVMENQLATASNENVWHVSSGNGLHMEDDGECLQNYGK >Vigun08g058000.1.v1.2 pep primary_assembly:ASM411807v1:8:7349673:7360213:-1 gene:Vigun08g058000.v1.2 transcript:Vigun08g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAWMILFKVNARIYKKLLKDESIRDSNLNIHDLE >Vigun03g253300.1.v1.2 pep primary_assembly:ASM411807v1:3:41949072:41952226:-1 gene:Vigun03g253300.v1.2 transcript:Vigun03g253300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLSWGRPSAQDQKACINKSGTLNYDDKYKGATAKSLSSLKADEGLSKDGFLLNEARVLVGSGIETFEKGKSALKSWRHFGLNWAFVDPKTPVQPGVKFCVCVKELFPWLMMPLQVVYVNETAKAKNRRASFGFGSGTLHGHLLAGEERFSVEIDENNQVWYEVLSFSKPAHILSFLGYPYVMLRQKHFANESSKAMLKHISSSKS >Vigun01g010400.1.v1.2 pep primary_assembly:ASM411807v1:1:1170133:1176445:1 gene:Vigun01g010400.v1.2 transcript:Vigun01g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNRIVVFGGTGYIGKHLVKASLSLGHPTFVYTRPLTSQTPPSKIQLCKDFTSMGVTLLQGELKYEEIVEAIKKADIVISALAYPQVMDQLKIIEAIKVVGNIKRFVPSGFGAEEDRIKPLPPFQVLLEKKMKIRREIEAAGIPYTSVSANCCAAYFVNYLLRPYEDVKDIVVYGNGEAKAVLNYEEDIAMYTVKAANDPRTRNRVVIYRPPKNIISQNELISLWEKKCGHTFTNTFILEEELVKQSQTLPPPQSIPVSILHSVFVRGDMMKFEIGEDDLEASELYPDYNYTSIDELLDIFLLHPLPPASAAFG >Vigun01g010400.2.v1.2 pep primary_assembly:ASM411807v1:1:1170133:1176444:1 gene:Vigun01g010400.v1.2 transcript:Vigun01g010400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNRIVVFGGTGYIGKHLVKASLSLGHPTFVYTRPLTSQTPPSKIQLCKDFTSMGVTLLQGELKYEEIVEAIKKADIVISALAYPQVMDQLKIIEAIKVVGNIKRFVPSGFGAEEDRIKPLPPFQVLLEKKMKIRREIEAAGIPYTSVSANCCAAYFVNYLLRPYEDVKDIVVYGNGEAKAVLNYEEDIAMYTVKAANDPRTRNRVVIYRPPKNIISQNELISLWEKKCGHTFTNTFILEEELVKQSQTLPPPQSIPVSILHSVFVRGDMMKFEIGEDDLEASELYPDYNYTSIDELLDIFLLHPLPPASAAFG >Vigun02g036300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14994565:14997905:-1 gene:Vigun02g036300.v1.2 transcript:Vigun02g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTYLFPLFLLGCFSPLTLSRTTPHTPKTTLLDVVSSLRNAHNAVASPHYHPLQRQEEPSPLSSFAVHLHSRASIQKPSHSDYKSLTLARLERDSARVRALQTRLDLALNRVSNSDLHPAESRPEFEANALQGPIVSGTSQGSGEYFLRVGIGKPPSQAYVVLDTGSDVSWIQCEPCSQCYQQSDPIFSPLSSSSYSPIHCDAPQCKSLDLSECRNGTCLYEVSYGDGSYTVGEFATETVTLGASAVSGVAIGCGHNNEGLFVGAAGLLGLGGGKLSFPAQVNSTSFSYCLVDRDSDAVSTLEFDSPMPHNAVTAPLLRNPELDTFYYLGLKGISVGGETLPVPESSFSVDATGGGGIIVDSGTAVTRLRSEVYDALRDAFVKGAKGLQKANSVSLFDTCYDLSSRNSVEVPTVTFHFPEGRELPLPAKNYLIPVDSVGTFCFAFAPTTSSLSIIGNVQQQGTRVGFDIGNSLVGFSANSC >Vigun03g179800.2.v1.2 pep primary_assembly:ASM411807v1:3:22645038:22648006:-1 gene:Vigun03g179800.v1.2 transcript:Vigun03g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILEYLFKGSMTIGEKATEGDKNGGHENANNESPAVPPEATADEMFDDFLKQLCNDDDGLPLENDVFDGLLQPYERNTLDDVLLGVLKEMEEGERREMVADTPSHSVRKDATPTVEDEVGGNMGAVNPILTSENCSSGMNNTTVGFSNLIFSDTTGNSVNQFQSPSFPMLTCGGSSSSIHQPFPKPLQFQAIGITIRGTAAMKHRFNQGLLSPPPPSLADFHAFVCAWEGCLIGKVYPNRESLNVAKAVRKPTSPVTLTSDWRSTLRIVCFLPTKTVNYTLKICGGAIDYVFFHVTEFNNLDVYEHLSKKKMCAKVELPSETIILSATESKYHYLGTIIPRDTVFIQPV >Vigun03g179800.1.v1.2 pep primary_assembly:ASM411807v1:3:22645038:22648484:-1 gene:Vigun03g179800.v1.2 transcript:Vigun03g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGEKATEGDKNGGHENANNESPAVPPEATADEMFDDFLKQLCNDDDGLPLENDVFDGLLQPYERNTLDDVLLGVLKEMEEGERREMVADTPSHSVRKDATPTVEDEVGGNMGAVNPILTSENCSSGMNNTTVGFSNLIFSDTTGNSVNQFQSPSFPMLTCGGSSSSIHQPFPKPLQFQAIGITIRGTAAMKHRFNQGLLSPPPPSLADFHAFVCAWEGCLIGKVYPNRESLNVAKAVRKPTSPVTLTSDWRSTLRIVCFLPTKTVNYTLKICGGAIDYVFFHVTEFNNLDVYEHLSKKKMCAKVELPSETIILSATESKYHYLGTIIPRDTVFIQPV >Vigun05g128966.1.v1.2 pep primary_assembly:ASM411807v1:5:14898743:14899761:-1 gene:Vigun05g128966.v1.2 transcript:Vigun05g128966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPTNLSFTSTPFLRFTDYRAEKQPSHNGLVPLSRFVIRVLNAAGRSKLEFCCLRFVLNLFINS >Vigun06g130600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25678553:25679495:-1 gene:Vigun06g130600.v1.2 transcript:Vigun06g130600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSVALLLGFIMMVSMLHGGAVKEEDEQRTNGKRYLLSETGLGRKGMPMKGSASANDNKANDSDNINESYENNGNGSGPVLNSHHYFHIRTPPIHG >Vigun06g130600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25678553:25679495:-1 gene:Vigun06g130600.v1.2 transcript:Vigun06g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSVALLLGFIMMVSMLHGGAVKEEDEQRTNGKRYLLSETGLGRKGMPMKGSASANDNKANDSDNINESYENNGNGSGPVLNSHHYFHIRTPPIHG >Vigun05g068200.1.v1.2 pep primary_assembly:ASM411807v1:5:5908907:5915047:1 gene:Vigun05g068200.v1.2 transcript:Vigun05g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMALSGSYYMQQRGIPGSGAQPELHMSPNIRPLSNPNLPFQSSIGSGSIGSTLPLESSSISAHGVNMGAPPGAPPGEPVKRKRGRPRKYGTDGTVSLALTPTPTSSAHPGALGQSQKRGRGRPPGTGKKQQLASLGELMSGSAGMGFTPHIINIASGEDIATKIMAFSQQGPRAVCILSANGSVSTVTLRQPSTSGGTVTYEGRFEIVCLSGSYLVTDSGGSRNRTGGLSVSLASPDGRVIGGGVGGVLIASSPVQVVVGSFQWGGSKTKNKKKESSEVAEVAVDSDHQGVHNPVALNSISPNQNLSPTPSSLSPWSQSRPLDMRNSHVDIDLMRG >Vigun05g068200.3.v1.2 pep primary_assembly:ASM411807v1:5:5908961:5915047:1 gene:Vigun05g068200.v1.2 transcript:Vigun05g068200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMALSGSYYMQQRGIPGSGAQPELHMSPNIRPLSNPNLPFQSSIGSGSIGSTLPLESSSISAHGVNMGAPPGAPPGEPVKRKRGRPRKYGTDGTVSLALTPTPTSSAHPGALGQSQKRGRGRPPGTGKKQQLASLGELMSGSAGMGFTPHIINIASGEDIATKIMAFSQQGPRAVCILSANGSVSTVTLRQPSTSGGTVTYEGRFEIVCLSGSYLVTDSGGSRNRTGGLSVSLASPDGRVIGGGVGGVLIASSPVQVVVGSFQWGGSKTKNKKKESSEVAEVAVDSDHQGVHNPVALNSISPNQNLSPTPSSLSPWSQSRPLDMRNSHVDIDLMRG >Vigun05g068200.2.v1.2 pep primary_assembly:ASM411807v1:5:5908908:5915047:1 gene:Vigun05g068200.v1.2 transcript:Vigun05g068200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMALSGSYYMQQRGIPGSGAQPELHMSPNIRPLSNPNLPFQSSIGSGSIGSTLPLESSSISAHGVNMGAPPGAPPGEPVKRKRGRPRKYGTDGTVSLALTPTPTSSAHPGALGQSQKRGRGRPPGTGKKQQLASLGELMSGSAGMGFTPHIINIASGEDIATKIMAFSQQGPRAVCILSANGSVSTVTLRQPSTSGGTVTYEGRFEIVCLSGSYLVTDSGGSRNRTGGLSVSLASPDGRVIGGGVGGVLIASSPVQVVVGSFQWGGSKTKNKKKESSEVAEVAVDSDHQGVHNPVALNSISPNQNLSPTPSSLSPWSQSRPLDMRNSHVDIDLMRG >Vigun03g407500.1.v1.2 pep primary_assembly:ASM411807v1:3:61467568:61472310:1 gene:Vigun03g407500.v1.2 transcript:Vigun03g407500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLQMSPEVEQIHGEIRDNFRALANGFQKLDKIKDANRQTNQLEELTDKMRDSKRLIKEFDRKIKDEEGRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMNTIGNKKLELFDNGAGASEPTADENVRLASEMSNQELINAGTKTMDETDQAIERSKQVVHQTIEVGTQTAATLKGQTEQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPHNKDIRDIPGLAPPVPTRRLLYARTTDHFD >Vigun01g158100.1.v1.2 pep primary_assembly:ASM411807v1:1:34006819:34009081:-1 gene:Vigun01g158100.v1.2 transcript:Vigun01g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNFLCTIEEMDSLWFHKLIFFSQPSPTASVTSLPSPPDEEKPIPTSESASCSSFILSSPPPPDEETSADESASSEKPLSSESISVLLQDGSINNEEEEETKERLARMELLLVGNKTRSHSTSPSSQNRDRKSRNPVTSARKLQKSVSCRTFGELELDEVKGFMDLGFTFNKEYLSPRMMSVVPGLQRLGVMDSKETVEGHYTEAEEEKRDIMRPYLSEAWLIKRPDSPLLNLKIPKRCSSANMKKHLRFWAKTVASEIHQK >VigunL046530.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:502976:503308:1 gene:VigunL046530.v1.2 transcript:VigunL046530.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun05g033500.1.v1.2 pep primary_assembly:ASM411807v1:5:2696774:2698200:1 gene:Vigun05g033500.v1.2 transcript:Vigun05g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNDLKASEMFEGLLHLYKHLHSYALDGMSIRWMIELGIPDIIHNHDQPITLTELVSILQIPPTKVRAVHSLLRYLAHSGFLEIVRDHHNTEEKEAYALTAASQLLVKDTHLSLAPMAELLINPSGGLVWSHLKKWTYEDDLTLFDVSLGSNAWGFLGKNQEKNNLFNKAMASDSQMMNVALRGCNWVFEGVESIVDVGGGTGTTAKAISDAFPNVKCTVLDRQQVVENLSGTNDLKYVGGDMFESIPKADAVLLKWVLHNWSDKDCKKILENCKEAISGKGKRGKVIVIESVINEGQDEHGITGLKLLMDVKMSCLFNGKERSEEEWKKLFVEAGFQSYKISPLTGHLSLIQIYP >Vigun08g142900.1.v1.2 pep primary_assembly:ASM411807v1:8:31521422:31532226:-1 gene:Vigun08g142900.v1.2 transcript:Vigun08g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKNVKAASKEEEEEGKQTTTSSTPLSHSRSLSSSSSSSAEKEYEVQDLRDRLKSSRGSRFDLIENELGLNSRWSKFSRQALLQGICGFSKDFVIHPDNRWYRAWTKFILLWAVYSSFFTPMEFGFFRGLPENLFILDIIGQIAFFFDIFLQFFVAYRDSQTYRMVYKRTPIALRYLKSDFVFDLLGCMPWDIIFKVCGRREEVRYLLWIRLYRVRKVTGFFHKLEKDIRVNYITTRIVKLIVVELYCTHTAACIFYFLATTLPESQEGYTWIGSLKLGDYSYSHFREIDLWKRYTTSLYFAIVTMATVGYGDIHAVNLREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDLMKYMNRNKLGRDIREQIKGHVRLQYESSYTEAAVIQDIPISIRAKISQTLYLPYIEKVPLFKGCSAEFINQIVIRIHEEFFLPGEVIMQQGNVVDQLYFVCHGVLEEVGTAEDGSEETVSLLQRHSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILDIYFYDGRKVLNNLLEGKESFRGKQLESDITFHIGKQEAELALKVNGAAFHGDLHQLKGLIRAGADPNKTDYDGRSPLHLAASRGHEDITLFLIHEHVDVNIKDNFGNTPLLEAVKNGNDRIASLLVKEGATMKVENAGSFLCTAVARGDSDYLKRLLSNGMDPNLKDYDYRSPLHIAAAEGLYFMAKLLLDAGANVFTKDRWGNTPLDEARMCGNKNLIKLLEDAKSAQLLEFPYSSQDFTDKMHPKKCTVFPFHPWDPKDNRRHGIVLWIPHSIEELIKSAAEQIKFSGHSCILSEDGGKITDVDMIKDGQKLYLVHETH >Vigun08g142900.3.v1.2 pep primary_assembly:ASM411807v1:8:31521452:31532085:-1 gene:Vigun08g142900.v1.2 transcript:Vigun08g142900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDMLKCQQLFVLISNFVAISLLLKVCGRREEVRYLLWIRLYRVRKVTGFFHKLEKDIRVNYITTRIVKLIVVELYCTHTAACIFYFLATTLPESQEGYTWIGSLKLGDYSYSHFREIDLWKRYTTSLYFAIVTMATVGYGDIHAVNLREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDLMKYMNRNKLGRDIREQIKGHVRLQYESSYTEAAVIQDIPISIRAKISQTLYLPYIEKVPLFKGCSAEFINQIVIRIHEEFFLPGEVIMQQGNVVDQLYFVCHGVLEEVGTAEDGSEETVSLLQRHSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILDIYFYDGRKVLNNLLEGKESFRGKQLESDITFHIGKQEAELALKVNGAAFHGDLHQLKGLIRAGADPNKTDYDGRSPLHLAASRGHEDITLFLIHEHVDVNIKDNFGNTPLLEAVKNGNDRIASLLVKEGATMKVENAGSFLCTAVARGDSDYLKRLLSNGMDPNLKDYDYRSPLHIAAAEGLYFMAKLLLDAGANVFTKDRWGNTPLDEARMCGNKNLIKLLEDAKSAQLLEFPYSSQDFTDKMHPKKCTVFPFHPWDPKDNRRHGIVLWIPHSIEELIKSAAEQIKFSGHSCILSEDGGKITDVDMIKDGQKLYLVHETH >Vigun08g142900.2.v1.2 pep primary_assembly:ASM411807v1:8:31521514:31533552:-1 gene:Vigun08g142900.v1.2 transcript:Vigun08g142900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFFRGLPENLFILDIIGQIAFFFDIFLQFFVAYRDSQTYRMVYKRTPIALRYLKSDFVFDLLGCMPWDIIFKVCGRREEVRYLLWIRLYRVRKVTGFFHKLEKDIRVNYITTRIVKLIVVELYCTHTAACIFYFLATTLPESQEGYTWIGSLKLGDYSYSHFREIDLWKRYTTSLYFAIVTMATVGYGDIHAVNLREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDLMKYMNRNKLGRDIREQIKGHVRLQYESSYTEAAVIQDIPISIRAKISQTLYLPYIEKVPLFKGCSAEFINQIVIRIHEEFFLPGEVIMQQGNVVDQLYFVCHGVLEEVGTAEDGSEETVSLLQRHSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILDIYFYDGRKVLNNLLEGKESFRGKQLESDITFHIGKQEAELALKVNGAAFHGDLHQLKGLIRAGADPNKTDYDGRSPLHLAASRGHEDITLFLIHEHVDVNIKDNFGNTPLLEAVKNGNDRIASLLVKEGATMKVENAGSFLCTAVARGDSDYLKRLLSNGMDPNLKDYDYRSPLHIAAAEGLYFMAKLLLDAGANVFTKDRWGNTPLDEARMCGNKNLIKLLEDAKSAQLLEFPYSSQDFTDKMHPKKCTVFPFHPWDPKDNRRHGIVLWIPHSIEELIKSAAEQIKFSGHSCILSEDGGKITDVDMIKDGQKLYLVHETH >Vigun05g000040.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4347:4862:1 gene:Vigun05g000040.v1.2 transcript:Vigun05g000040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTLHTKPKTPHPKHETIHPKPETLNPKSETLNLKPKPQTPNPKTLHPTPYTLHPTPETLNPNPQILNHKPETLNMIPQNLNPKPETLNPNHKPQIPKPETPNPKPYTRNPKPYTRNPKHETLNPKPETLNLKPKPQTPNPKTRNLIPYTLHLKPHTRNPKHKTQNLKP >Vigun07g224100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34612013:34613365:-1 gene:Vigun07g224100.v1.2 transcript:Vigun07g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTGSDIRLPREEQVDHKEEHGLHKTITTPRKPSFPSFRHLNCLAVVIVLSASGMICPQDFAFVAFSIVYVLLLSKVAFPSLHPSKDTPVFNPQNKMFALYIFVAAIIGLFAPIAYILDGIFEGDKEGIKAAAPHVFLLASQVFMEGVTYYGGFSIPIRAFVPIFYNSRRIFTIVDWLRSEINKVNEEHSGSARRIYVGRVLAVANMAFWCYNLFGFLLPVYLPRVFKLYYSPPKDKD >Vigun08g224400.2.v1.2 pep primary_assembly:ASM411807v1:8:38204655:38213642:1 gene:Vigun08g224400.v1.2 transcript:Vigun08g224400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLKGKYIQEGYSQPEDHSKRTTLSDRSDSEHPSSTRQNINSAENNNSNSTNVNNNNNNNPPFNSKKDSIMRRGLDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTENSTSNEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSGKDLIRKMLCSQPSERLTAHQVLCHPWICENGVAPDRAIDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRRYGSTLKDVEIRDLMEAADVDKSGTIDYGEFIAATVHLNKLEREEHLIAAFQYFDKDGSGYITVDELQQACAEHNMTDAFLEDIIREVDQDNAMLELVGGLCAIV >Vigun08g224400.1.v1.2 pep primary_assembly:ASM411807v1:8:38204655:38213642:1 gene:Vigun08g224400.v1.2 transcript:Vigun08g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSLKGKYIQEGYSQPEDHSKRTTLSDRSDSEHPSSTRQNINSAENNNSNSTNVNNNNNNNPPFNSKKDSIMRRGLDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTENSTSNEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSGKDLIRKMLCSQPSERLTAHQVLCHPWICENGVAPDRAIDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRRYGSTLKDVEIRDLMEAADVDKSGTIDYGEFIAATVHLNKLEREEHLIAAFQYFDKDGSGYITVDELQQACAEHNMTDAFLEDIIREVDQDNDGRIDYGEFAAMMQKGNAGIGRRTMRNSLNLSMRDASSAQ >Vigun11g077700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22979968:22980078:-1 gene:Vigun11g077700.v1.2 transcript:Vigun11g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Vigun04g151000.1.v1.2 pep primary_assembly:ASM411807v1:4:36744769:36750521:1 gene:Vigun04g151000.v1.2 transcript:Vigun04g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPAMGSRNNTTLETVSAAATAIVTAESRVQPTAAPKKRWGGCWSQYWCFGSCKSSKSSKRIGHAVLVPEPVAPTGPAAAAAATAPPNPSTAIVMPFIAPPSSPASLIQSDPPSAIQSPPGLLSLSSLAANAYSSGGPASMFTIGPYAYETQLVSPPVFSNFTTEPSTAPFTPPPESVHQTTPSSPDVPFAQLLASSLDRARKSNGNQKFALYNYDFQPYQQYPGSPGGQLISPGSAFSTSGTSTPFPDRPPTLEFRKGETPKILGVEHFSTQRWSSRLGSGSLTPDGAGQGSRLGSGSVTPDGVGLASRIGSGCVTPDGLGQESRIGSGCLTPDGAGPTNQNISVENQISREATLANSDSGHPSNATLVDHRVSFELTGEDVARCLANKTGVLLRNMSGSSQGILAKDPVDRERVQRDTDTSCNVCTEKTDDKPYNRTGEGEQCFHKQNSVNSSKEFNFDSSKGVVSGTGGSGSEWWTNRRVAGREGRSANSWAFFPMLQSEMN >Vigun03g129900.1.v1.2 pep primary_assembly:ASM411807v1:3:12602950:12604964:-1 gene:Vigun03g129900.v1.2 transcript:Vigun03g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQERCIHCGVLLVVPPEVNVFQCGVCHGITHLRPSTPPWTQAYNSFHSFTGRFRGFLNTIRSTASVNTNSGGYYGANSFGLYPQPQSLRPSFHAHGSKRAVVCGIRYHGKSYKLKGSINDAKCMKYFLIKHYGFPSDSILMLTDDKEERNQLRIPTRYNILMAMRWLLENTQSGDSLVFHFSGHGTQEISMDGNEIDGLDEAICPVDYEEQGKILDDEINTAIVRPLPRGAKLHAIVDACHSGTVLDLSFVCKMNREGYYSWEDQRFPRANKGTSGGLAVSISACEDSQTSIDTSALSGTEATGVLTYSFIQTVQNEPGLSYGRLLSAMRSSIRGTKTGIVPLNGPIASLFNRLLGMELRQEPQLSSSEVFDVYTSRFVL >Vigun09g255300.1.v1.2 pep primary_assembly:ASM411807v1:9:42172409:42174643:1 gene:Vigun09g255300.v1.2 transcript:Vigun09g255300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLEKLNAITKSGKEIVDGVFRRRNPIEILKRLQREAFSDIMKLRDRQEKVERVLSFYQSNKGGPFQESFTHVRGHLDFLGSLLLLSDVNQQNLDAVDKCGIRTGVDSRFIFETTIGEKCSGAAEFVAIHGGRENCDEKPLSLSKLSFTANVNDWFSLVVMPIGARGRDVAIASNSFDQVGEGFTDFSYFGPPLLRLHNGTAIGITVRKSNIIASLAQHATGLQIPHTSSTFGQLLYQFSRGTKLSILGFHRMPLSSKRLGNFGAFTFPIVLSKQNEVSEAAPPKVLSSTGIRTSGGSIALMAESEIDGFGKLGGWFEMNKLNPQSVQFGLNLSDDSEDSLGWGMSLSRFIVNSENEAHFQAESYLRFNMGNKFCLKPGVVLGTDGKSKIAALMLRSNWSL >Vigun02g110900.1.v1.2 pep primary_assembly:ASM411807v1:2:26466137:26473697:1 gene:Vigun02g110900.v1.2 transcript:Vigun02g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDDGGEAEKFISGREEFGNSSNTFSAILAMALWLGAIHFNVALVLFALFYLPLPKLLLVFGFLFVFAVLPIDEKSRFGRKLSRFICKHACNYFPITLHVEDMNAFDPNRAYVFGYEPHSVFPIGVLALADNTGFMPLPKVRVLASSTVFYTPFLRHIWTWLGLTPATKKNFISLLASGHSCILIPGGVQETFLMQHGIEIVFLKARRGFVRISMVKGLPLVPVFCFGQSNVYNWWKPGGKFFLKVARAIRFTPICFWGIFGSPLPFSNPMHVVVGRPIELTRNPDPTTDEVAKIHSKFVEALQDLFERHKALAGYPNLELKIV >Vigun01g211500.2.v1.2 pep primary_assembly:ASM411807v1:1:38582086:38588386:1 gene:Vigun01g211500.v1.2 transcript:Vigun01g211500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPALTFSLASVVQEVLQQHASRLDANSASRKTEETSLRRYEAAGWLRKTVGVVRGKDLPAEPSEGDFRIGLRSGIILCSVLNKIQPGTVKVLEKPSDSVIVPDGGTSTYQYQENIKNFLVAMEDMGLPTFGVSDLEQGGNSERVVNCVLELKSYAERKVGGGSGSGKYSGVANAKPPMSGKPITRKNSEPFMKCMITVPSGDRDGGYMSDPGQDRSERASFSLNSMVRQYLSDKNPEEILSAVESLLSKVMEECEHHMQIRCKMYKTTGEDKAPSETVCSILEAASINEMVKETEDEPAVEEEHDDLQDKNDVKDEQDVRDEQNVKVERDIQDEHKEQNKREEIYEKMCNEHEKREHEKSIHKISISKQQNIVARQNRSIQELKNIVHQTKLGMQLMQSEHQKEIINLSKHLYSLTCAASGYHKVLEENRKLYNVVQDLKGNIRVYCRVRPFLGGQPSHYSSVGNVEDGSISIITPSKYGKEGKKTFNFNRAFGPSATQGEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPDELNDETIGVNYRALGDLFYLSDQRKDTISYEISVQMLEIYNEQVRDLLTPDAANKRLDIRNSSLNGINVPDANLVRVSCTSDVISLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVQGKNLTSGGTVRGSMHLVDLAGSERADKTEATGDRLKEAQHINKSLSALGDVISALAQKSPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEAEALGETLSTLKFAERVSTVELGAARVNKDSSDVKELKEQIASLKAALARKEGEAEQFNNGGNETPKHKSYASSPPVTRPSSGGSRKLSKDDSSSLDKHVASTLKSQSIDLHDMGANSPQWQPVTSERKEEDKESISGDWGDNKISMNRNDSITSDDSVVGAWEVESKQSSPRLSPTFLSEPSKISLDNSSHKKDNQDNEELQRPSFDMTTTDESDEHEIATVTSDSSESDLHWPPQIPKPITISSGLGIKAKKKTNLRSIKNSDSRSMIPSFIPAPVPVNVPVPVPVSSRKQQPSPVTQGRKLPGSSDVRRRFGNSAK >Vigun01g211500.1.v1.2 pep primary_assembly:ASM411807v1:1:38582086:38588386:1 gene:Vigun01g211500.v1.2 transcript:Vigun01g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPALTFSLASVVQEVLQQHASRLDANSASRKTEETSLRRYEAAGWLRKTVGVVRGKDLPAEPSEGDFRIGLRSGIILCSVLNKIQPGTVKVLEKPSDSVIVPDGGTSTYQYQENIKNFLVAMEDMGLPTFGVSDLEQGGNSERVVNCVLELKSYAERKVGGGSGSGKYSGVANAKPPMSGKPITRKNSEPFMKCMITVPSGDRDGGYMSDPGQDRSERASFSLNSMVRQYLSDKNPEEILSAVESLLSKVMEECEHHMQIRCKMYKTTGEDKAPSETVCSILEAASINEMVKETEDEPAVEEEHDDLQDKNDVKDEQDVRDEQNVKVERDIQDEHKEQNKREEIYEKMCNEHEKREHEKSIHKISISKQQNIVARQNRSIQELKNIVHQTKLGMQLMQSEHQKEIINLSKHLYSLTCAASGYHKVLEENRKLYNVVQDLKGNIRVYCRVRPFLGGQPSHYSSVGNVEDGSISIITPSKYGKEGKKTFNFNRAFGPSATQGEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPDELNDETIGVNYRALGDLFYLSDQRKDTISYEISVQMLEIYNEQVRDLLTPDAANKRLDIRNSSLNGINVPDANLVRVSCTSDVISLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVQGKNLTSGGTVRGSMHLVDLAGSERADKTEATGDRLKEAQHINKSLSALGDVISALAQKSPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEAEALGETLSTLKFAERVSTVELGAARVNKDSSDVKELKEQIASLKAALARKEGEAEQFNNGGNETPKHKSYASSPPVTRPSSGGSRKLSKDDSSSLDDQKHVASTLKSQSIDLHDMGANSPQWQPVTSERKEEDKESISGDWGDNKISMNRNDSITSDDSVVGAWEVESKQSSPRLSPTFLSEPSKISLDNSSHKKDNQDNEELQRPSFDMTTTDESDEHEIATVTSDSSESDLHWPPQIPKPITISSGLGIKAKKKTNLRSIKNSDSRSMIPSFIPAPVPVNVPVPVPVSSRKQQPSPVTQGRKLPGSSDVRRRFGNSAK >Vigun08g183200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35283131:35283388:1 gene:Vigun08g183200.v1.2 transcript:Vigun08g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFLTESMRLMTLLPCFSVLLLILFFSIFSSSAAEGYAESQSLASEPLSNLSHKGSRLKNNGEAVLGDEKRIIYTGPNPLHNR >Vigun11g002300.4.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEVSAGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun11g002300.6.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEAGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSNNITNMQIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun11g002300.5.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSNNITNMQIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun11g002300.1.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEVSAGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSNNITNMQIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun11g002300.3.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEAGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun11g002300.2.v1.2 pep primary_assembly:ASM411807v1:11:234280:238786:-1 gene:Vigun11g002300.v1.2 transcript:Vigun11g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQILCACTSSSQVQHQGSIRYRYGYPSEKMNEKRCSVRVEGGNGMVLSSSEEEKRKLRVLVAGGGIGGLVLALAAKHKGYAVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLEAIDQSVAWQIMEAGCVTANRTNGLADGLSGEWFGEFDLLTPASRKRLPLTLVICRMTLQDILVNAVGPNILRNKSKVVDFIQEPSKVRVILENGEQHDGDILIGADGIWSEVRSKLFGRQEANYSGFTCYSGLTSYVPPYIDTIGYRVFLGMNQYFVASDVGHGKMQWYAFHGEPPSNVPFPEGKKKRILDLFGNWCNEVIALISETPENMILQRDIYDRDMINTWGIDRVTLLGDAAHPMQPNLGQGGCMAIEDSYQLILELDKISEHDPDESEVISALRRYEKKRIPRVRVLHTASRMASKMLVNYQPYIEFKFWPLSIKHPGIHVAQALFKFTFPQFVTWMMAGHGLW >Vigun07g246500.1.v1.2 pep primary_assembly:ASM411807v1:7:36693200:36696506:1 gene:Vigun07g246500.v1.2 transcript:Vigun07g246500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKSSSTHLLILSLFVFLNYATEAAPTYSAHACTDGSFYRPNTTFQTNLNLFLSSLVSNATLHDGYYLTNFSLGAPGEVKGLFLCRGDVTPSVCHDCVAAAADNITRLCTNQTESVIWYDECMVRYSNLSFLNNIVPSIGISSQQSVPDSDINSFIDFLASALNGVAQDAVNSLSGRMFATREASFTSSMSLYALAQCRPDLSVFDCNMCLTGAISSLGEGKRGARNLLPSCNVRYELYPFYNVSAVSTKPESLSPSSGKRSISIILAIGVPIVVAVLLLIVGVCFLRKRASDKYNNSFGQDSIVEGLSDADSLQFDLAMIEAATNRFSDENKIGKGGFGVVYKGVLPDGQEIAVKRLSVTSLQGAVEFRNEAALVAKLQHRNLVRLLGFCLEGQEKILVYEYIPNKSLDYFLFDSTKQRELDWSQRYKIIVGIARGILYLHEDSQLRIVHRDLKASNVLLDQNMNPKISDFGMAKIFQADQTQVNTGRIVGTYGYMSPEYAMRGQFSMKSDVFSFGVLVLEIVSGKKNTDFYQSNHADDLLIFAWKKWTEQTPMEFVDPTLRGSCSRNEVNRCIQIALLCVQENPSHRPSMATIALMLNSYSVTMSMPRQPASFLRGRTPNRLNRGLDSDQSTTDQSTSSSIPWSVNEVSVTEVYPR >Vigun07g246500.2.v1.2 pep primary_assembly:ASM411807v1:7:36693200:36696506:1 gene:Vigun07g246500.v1.2 transcript:Vigun07g246500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKSSSTHLLILSLFVFLNYATEAAPTYSAHACTDGSFYRPNTTFQTNLNLFLSSLVSNATLHDGYYLTNFSLGAPGEVKGLFLCRGDVTPSVCHDCVAAAADNITRLCTNQTESVIWYDECMVRYSNLSFLNNIVPSIGISSQQSVPDSDINSFIDFLASALNGVAQDAVNSLSGRMFATREASFTSSMSLYALAQCRPDLSVFDCNMCLTGAISSLGEGKRGKRSISIILAIGVPIVVAVLLLIVGVCFLRKRASDKYNNSFGQDSIVEGLSDADSLQFDLAMIEAATNRFSDENKIGKGGFGVVYKGVLPDGQEIAVKRLSVTSLQGAVEFRNEAALVAKLQHRNLVRLLGFCLEGQEKILVYEYIPNKSLDYFLFDSTKQRELDWSQRYKIIVGIARGILYLHEDSQLRIVHRDLKASNVLLDQNMNPKISDFGMAKIFQADQTQVNTGRIVGTYGYMSPEYAMRGQFSMKSDVFSFGVLVLEIVSGKKNTDFYQSNHADDLLIFAWKKWTEQTPMEFVDPTLRGSCSRNEVNRCIQIALLCVQENPSHRPSMATIALMLNSYSVTMSMPRQPASFLRGRTPNRLNRGLDSDQSTTDQSTSSSIPWSVNEVSVTEVYPR >Vigun03g274300.1.v1.2 pep primary_assembly:ASM411807v1:3:45014822:45018624:1 gene:Vigun03g274300.v1.2 transcript:Vigun03g274300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWRSAAGHYRTMAASRCSSSAFRCSHYHTIQAIPRECTGSKVSARDRGQGRIPAVLFSQNLLEKNPDNRSESKKYLLTVEKKQIKAILNSVDAPTFCSTRFQLQIRAGSGSSHLVESGTVLPIKIHRDEESGQILNLVFVWAEEGMNLKVDVPIIFKGEDVCPGIQKGGILNKIRPSLKYLGPSEHIPSKIVVDVSNLDIEDRIFMRDIEVHPSLKLLSKNENMPICKVVPTSLGNREPIVE >Vigun03g031800.2.v1.2 pep primary_assembly:ASM411807v1:3:2412996:2419193:-1 gene:Vigun03g031800.v1.2 transcript:Vigun03g031800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSVGVTKHIFISFPRNHQNRLQKSLFRSMSMNNQREVQSETESHVHYNHTDPSKYARWTARESFQFMYARPWQRVNDFYFNIVRANLSLSLLFRPQTILDHNDTGIAALSDQSELESVASEDRSGRWARTTFKIILSYHGGSFDGWQKQPGLNTVQSIVEASLGKFVDEKKTQLLKDKGLPIEGCAVVAGRTDKGVTGLQQVCSFYTWKKDVKPKDIEDAINQAALGKIRVISVSEVSRVFHPNFSAKWRRYLYIFPLTDGYRDQSNDNGESCDISRYNEMHDSSSKDEIENQEKSYVFSISKVNRLLRKLEGKLLSYKMFARDTKASRNEGPPTECFVYHARAMEVKLPTAMVRVLVATSIREAAAGAEDDALIKLMDATCRRATAPPAPPDGLCLVDVGYTEFNPQNCFILIQ >Vigun03g031800.4.v1.2 pep primary_assembly:ASM411807v1:3:2412996:2419193:-1 gene:Vigun03g031800.v1.2 transcript:Vigun03g031800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSVGVTKHIFISFPRNHQNRLQKSLFRSMSMNNQREVQSETESHVHYNHTDPSKYARWTARESFQFMYARPWQRVNDFYFNIVRANLSLSLLFRPQTILDHNDTGIAALSDQSELESVASEDRSGRWARTTFKIILSYHGGSFDGWQKQPGLNTVQSIVEASLGKFVDEKKTQLLKDKGLPIEGCAVVAGRTDKGVTGLQQVCSFYTWKKDVKPKDIEDAINQAALGKIRVISVSEVSRVFHPNFSAKWRRYLYIFPLTDGYRDQSNDNGESCDISRYNEMHDSSSKDEIENQEKSYVFSISKVNRLLRKLEGKLLSYKMFARDTKASRNDLSFCDCCFKRGIAIH >Vigun03g031800.3.v1.2 pep primary_assembly:ASM411807v1:3:2412996:2419193:-1 gene:Vigun03g031800.v1.2 transcript:Vigun03g031800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSVGVTKHIFISFPRNHQNRLQKSLFRSMSMNNQREVQSETESHVHYNHTDPSKYARWTARESFQFMYARPWQRVNDFYFNIVRANLSLSLLFRPQTILDHNDTGIAALSDQSELESVASEDRSGRWARTTFKIILSYHGGSFDGWQKQPGLNTVQSIVEASLGKFVDEKKTQLLKDKGLPIEGCAVVAGRTDKGVTGLQQVCSFYTWKKDVKPKDIEDAINQAALGKIRVISVSEVSRVFHPNFSAKWRRYLYIFPLTDGYRDQSNDNGESCDISRYNEMHDSSSKDEIENQEKSYVFSISKVNRLLRKLEGKLLSYKMFARDTKASRNEGELPFIELADDCHSISEVHRLSVLFTMLELWKSNCQQLTVVKKQRLCVLSW >Vigun03g031800.1.v1.2 pep primary_assembly:ASM411807v1:3:2412996:2419193:-1 gene:Vigun03g031800.v1.2 transcript:Vigun03g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSVGVTKHIFISFPRNHQNRLQKSLFRSMSMNNQREVQSETESHVHYNHTDPSKYARWTARESFQFMYARPWQRVNDFYFNIVRANLSLSLLFRPQTILDHNDTGIAALSDQSELESVASEDRSGRWARTTFKIILSYHGGSFDGWQKQPGLNTVQSIVEASLGKFVDEKKTQLLKDKGLPIEGCAVVAGRTDKGVTGLQQVCSFYTWKKDVKPKDIEDAINQAALGKIRVISVSEVSRVFHPNFSAKWRRYLYIFPLTDGYRDQSNDNGESCDISRYNEMHDSSSKDEIENQEKSYVFSISKVNRLLRKLEGKLLSYKMFARDTKASRNEGPPTECFVYHARAMEVKLPTADCGEETKVMCVELVANRFLRKMVRVLVATSIREAAAGAEDDALIKLMDATCRRATAPPAPPDGLCLVDVGYTEFNPQNCFILIQ >Vigun05g098300.1.v1.2 pep primary_assembly:ASM411807v1:5:9611400:9616618:-1 gene:Vigun05g098300.v1.2 transcript:Vigun05g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEREEKELDLSSAEVVTKYKTAAEIVNKALKLVISECKPKTKIVDICEKGDSYIREQTGNVYKNVKRKIERGVAFPTCLSVNNVVCHFSPLASDEAVLEEGDILKIDMACHIDGFIAAVAHTHVLQDGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSLSNPETRVDEAEFEENEVYAIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHELLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRVTSHPLQELQPTKTIDDPDIKAWLALGTKTKKKGGGKKKKGKKGDKVEESAEAEPMDSTNGATSQD >Vigun02g128500.1.v1.2 pep primary_assembly:ASM411807v1:2:28025772:28030818:-1 gene:Vigun02g128500.v1.2 transcript:Vigun02g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNINKVNLYSLNIQRIVHCFKTVIVISRFSFMFYTLEFSLFSFPNSFCTLYFTQRSRSDHREEEEEEEEKMAHSRQPNKAAVTLSNKSNNNGTFSSSKTLYDDVYGGPPKFGVSTLSPRFEDYREIFGSFHTARASSIPLLDLPAVHDAEAFFDPRSHSFNYTEVFGALDFELPSDNLFHRHTALDAASSEESWSPEETDSFSEELDQSAINQSILNGSIFHSVDGNVGSNILYHNKVDGTSNEHMSKGKNHLSQLHAAPGFGRVYDETTQLHQTNSSYQVADDIDLDMEFSAENVKGNHPRATTVAHLGNFTFGEQTFDSDLNLQNGGSKKDSHSGEMFITVSDINLRSMPSQVPPPSRPPPVVDAKKDMCGLHSNSRVVASEETSGAVSPPFFDAEDHMNSSVTASADAMNEAMLIAEEKLRSTKELKGRKKWNRETYLKSNYDAKVNEAKMCKDITRLSSLNDETALGSYDWRHSKAKLYATDDRQELKKASPETTDNLEGKRAVNMFEEKNKMESRSSLESDRSTEVGTWKDESEFFELVGMKESEMVTQTAKQSKNLMQGIGAQKHGQKEREASNVQEKHKQVKATEENFQVEEYEKKYKATKEAREHDENIMKPEASNGKQRQREHIEREKMAKVFEEEENEKSIKIAHQHGKSEKKVTEADQSAIMEDVCEMGNREHKQAEIQKSIEVDRQTANDIQQATGLRENQKKLREVEKQQQNVNRHKHSEKMEENRKTQSEAFAIGQTDQEEKLKGSGKLEDIVERSNAAVESDYTEETEVCKSENQMKLKLGKRIQMNKGLKEARERVEIEKSLKSSFENEESDGGVLPAFRWDENGKKLKEDIELEVKEIRLKEASEQRENKAYEKDQSTKKFEDFYDGDGEGNIPPKSGDGKGIQKVMNQTPVQEQINRMLSEDQKKKVTESTSSQTFASEGNVAVSNENRHLEQSENMNKDGEMEKCKGLNKDLDDKERKDGGKMKNAEVTDETWEIESGEDLSTQSASMHEAFIRKPKVSKDYVAKMRTECKVGEKQLKGVGMENQLDNEKFSAPEQMTAGDAEHSKTQSEKEEDTVTKVDCRRSTEAAEPIVQETVNAQKTAQLFHIGQSTESKTKSINEKSAIIKDTERMKRERESEKDHLRKMEEEVDREREREKKLRRQCWRLREKGKGKRIGWLLIEQLLRLAIGHMLKLVKGKKGLHLKEQLWKHGTKHWLRPGKDLRRHVLRPGTSHTLIKKLQRQD >Vigun03g101200.1.v1.2 pep primary_assembly:ASM411807v1:3:8701884:8704931:1 gene:Vigun03g101200.v1.2 transcript:Vigun03g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSGSGTNGKGYAWAVSAGFNAALAAISVKLPLHQFFRYGMVLLFNVTMWACYVNSLKALSSLQATVTNFATNFISSGLAGFFFFRESLSFQWFAGAILIIIGVVILSNSSIEEKVSTD >Vigun06g124100.1.v1.2 pep primary_assembly:ASM411807v1:6:25130925:25131997:1 gene:Vigun06g124100.v1.2 transcript:Vigun06g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDIMSILFVFSLLLLLEVSKSCIAEEKEYKWDCFKFVQQWPKGFCDSPRTGTRKCRIVPEKFVIHGLWAQRDDGTLPKCRRFKTRIKQLDSDWPNLIGQNFKFWKPEWIKHGGCSEATFPKLEYFNLALHLYDQNNLLNILEKEQIVPDDKKLYNVSSVFAAVHNHTSHDPVLYCYHDPKLNATALYQISICLTKNGTSFINCPKSDGTCGDNSLLFPK >Vigun03g329500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52659366:52661884:-1 gene:Vigun03g329500.v1.2 transcript:Vigun03g329500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKSKNEKSLCEKSMKVVVNIIKLSSFSIAQKSLGGTSKKSGPESDMDSDEEAPVPDQFPTTRRSQQPQSRANPTYVIKSCGSNGSTEHLIYQERVPTDVNPNKEQCVDGLASDYISKIRNKLGRGV >Vigun06g041733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16338623:16338862:1 gene:Vigun06g041733.v1.2 transcript:Vigun06g041733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSENYSSKEECMEDYRCGGYHAIRIGSVFNDGRYVVQSKLGWDAPFRCLHFQLCSFGFHLIIVHIMLFLCFWTSITH >Vigun03g164000.2.v1.2 pep primary_assembly:ASM411807v1:3:18518451:18528518:1 gene:Vigun03g164000.v1.2 transcript:Vigun03g164000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSSTIIQLLLQILLVCLLQRPSFAVKKSYVVYLGAHSHGPALSSSFVDFNQVTQSHYEFLESYLGSHNTAKDSIFYSYTRHINGFAATLEEEVAAEIAKHPKVLSVFKNSGRKLHTTRSWEFMGLEHKGIIPSTSIWKKARFGEGVIIGNLDTGVWPESNSFSEEGLGPIPSKWRGICDNGVDHTFRCNRKLIGARYFNKGYASAAGPLDSSFESPRDKEGHGSHTLSTAAGNAVPGVSVFGQGFGTAKGGAPKARVAAYKVCWPPVAGDECFDADILAAFDEAIHDGVDVLSVSLGGSASSLLNDSVAIGSFHAAKQGIVVVCSAGNSGPADATVENLAPWHLTVAASTMDREFPAYVVLGDDITFKGESLSAARLEQKLYPIIKATDGKLGSARTEDAVLCQNGTLDPKKVREKIVVCLRGINARVDKGEQALLAGAVGMVLANDRASGNEIIADPHVLPASHINFTDGTSVFNYINSTKFPVAYITHPKTQLGTKPAPSMAAFSSKGPNIVVPEILKPDVTAPGVSVIAAYTEAQGPTNQVFDKRRIPYNSLSGTSMSCPHVSAIVALLRASYPSWSPAAIKSAIMTTATTLDNEVEPLLNATDDMSTPFGYGAGHVQPNIAMDPGLVYDTTIGDYLNFLCALGYNETQISVFTEGSSYVCRKKFSLLNLNYPSITVPNLSDSVTVTRTLKNVGSPRTYIAHVQNPNGITVSVKPSILKFRNVGEEKRFKVTFKIMKGKATNNYVFGKLIWSDGIHYVRSPIVVKALTSRN >Vigun03g164000.1.v1.2 pep primary_assembly:ASM411807v1:3:18518451:18528531:1 gene:Vigun03g164000.v1.2 transcript:Vigun03g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSSTIIQLLLQILLVCLLQRPSFAVKKSYVVYLGAHSHGPALSSSFVDFNQVTQSHYEFLESYLGSHNTAKDSIFYSYTRHINGFAATLEEEVAAEIAKHPKVLSVFKNSGRKLHTTRSWEFMGLEHKGIIPSTSIWKKARFGEGVIIGNLDTGVWPESNSFSEEGLGPIPSKWRGICDNGVDHTFRCNRKLIGARYFNKGYASAAGPLDSSFESPRDKEGHGSHTLSTAAGNAVPGVSVFGQGFGTAKGGAPKARVAAYKVCWPPVAGDECFDADILAAFDEAIHDGVDVLSVSLGGSASSLLNDSVAIGSFHAAKQGIVVVCSAGNSGPADATVENLAPWHLTVAASTMDREFPAYVVLGDDITFKGESLSAARLEQKLYPIIKATDGKLGSARTEDAVLCQNGTLDPKKVREKIVVCLRGINARVDKGEQALLAGAVGMVLANDRASGNEIIADPHVLPASHINFTDGTSVFNYINSTKFPVAYITHPKTQLGTKPAPSMAAFSSKGPNIVVPEILKPDVTAPGVSVIAAYTEAQGPTNQVFDKRRIPYNSLSGTSMSCPHVSAIVALLRASYPSWSPAAIKSAIMTTATTLDNEVEPLLNATDDMSTPFGYGAGHVQPNIAMDPGLVYDTTIGDYLNFLCALGYNETQISVFTEGSSYVCRKKFSLLNLNYPSITVPNLSDSVTVTRTLKNVGSPRTYIAHVQNPNGITVSVKPSILKFRNVGEEKRFKVTFKIMKGKATNNYVFGKLIWSDGIHYVRSPIVVKALTSRN >Vigun05g100900.1.v1.2 pep primary_assembly:ASM411807v1:5:9967810:9971515:-1 gene:Vigun05g100900.v1.2 transcript:Vigun05g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASSPRTVEEIFKDYSARRTAVIRALTHDVDEFYGLCDPDKDNLCLYGHPNEAWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFYLGARLNRNERKRLFSLINDLPSVFEVVTERKPIKDKPTVDSGSKSRGSTKRANDGQVKSNPKFAADEGYEEDEDEHNETLCGSCGGNYNADEFWICCDICERWFHGKCVKVTPAKAEGIKQYKCPSCSLRRGRP >Vigun04g090901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:16502070:16503512:-1 gene:Vigun04g090901.v1.2 transcript:Vigun04g090901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRHLDLSSNYFNARDLIYFMNICTLQSLNLYSNNFIEGLPSILSNLSGGCVRHSLKELDLSWNHITGTLSDISVLSSLKKLFLDGNRLSGTIPEDVKLPSTLERFSIIANFFQGGIPNFILFRPFIQSVIRTYYRLLETIEFTIVYLDLSHNNFSGKIPTSMELLLDLQALLLRNNNLVEGIPFSLRNCTMLVMLDMSENKLSGSLPDWIGTNEELEILSLGKKQLCGSLPLEVCCLKNIRVLDLSMNNLSGKIPKCIQNLTSMANIEQIHFGDRSNVINDAFYKIGRPYYLNALLTWKGSKQITDEGLSLLKNLDLSSNHFSEEIPVKIEKLSGLISLNLSRNNLTGKIPPNIGKLTLLDSLDLSRNRLVGSIPPSLAQIYGLGVLDVSHNRLSGEIPTCTQLQSFSTSSYEDNFGLCGPPLKKLCIEGGFTQEPNIKVYQDKYSLFNNGFFISMTFGFVVSFTAVFGSILFRCSS >Vigun03g114600.1.v1.2 pep primary_assembly:ASM411807v1:3:10482199:10483978:-1 gene:Vigun03g114600.v1.2 transcript:Vigun03g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAMSFTNFYQNSVTVMEPAEECLEFEVGFYEQWGKMLLLGTNFFSDPKGNTINIEFEEGLLGQRQFRGVQSIRSFYNVNDVYYVCTVYCGDQFFRIRLFDLDWKEFDYPGTAKTSLGCNPLSSIRFFQAFRVQFIPATMLITVPDYFQIFCRDKLAFHYAIKLYDPLSKMFEIYVDKDENLRMVLFGFSRCIPYYGLTGPCYLHLNYVGNNVFLHRIFLAEGVEMDYNRNSGSAANTQVPVAAPDFEKVLSNYDVKASSLYLDSKFAKECLIKGRKSYRLTNDQSQFWDCKIRWTGRSSYECYLTCGWKKFCKENRLAAGDRIRFVVEDEEKGVIHILKN >Vigun08g113500.1.v1.2 pep primary_assembly:ASM411807v1:8:27993924:27998392:-1 gene:Vigun08g113500.v1.2 transcript:Vigun08g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQFRAVSFVLLSFTVSLFGLIVADLNSDRKALLEFFSNVPHAPRLNWSESTPICTSWAGVTCNQNETSVISIHLPGAGFKGSIPENSLGKLNSLKILSLHSNGLRGNLPSDILSIPSLQYVNLQQNSFSGLIPSSISPKLIALDISSNNFSGSIPPTFQNLSRLTWLYLQNNSLSGAIPDFNFPSLKYLNLSYNNLNGSIPKSINNYPYTSFAGNPHLCGPPLNNCSAVSTPSSSSSSLSPSPVHQPLSPSAAPQNRSATTSKSYFGLASILALAIGGCAFLSLLVLMMFVCCLKKNKSQSSGILTGKAPCSGKTEVSKSFGSGVQEVEKNRLFFFEGCSYSFDLEDLLKASAEVLGKGSYGTTYRAALEDGTAVVVKRLREVLVGKKEFEQQMEVVGRIGRHPNVLPLRAFYFSKDEKLLVYDYMPGGSLFSLLHGNRGIGRSPLDWDSRMKIALGAAKGLASIHTDHMDSKLTHGNIKSSNVLITKECDGCITDIGLTPMMTTQSTMSKANGYRAPEVTEYRRITQKSDVYSFGVLLLEILTGKAPIGYPGYEDMVDLPRWVRSVVREEWTAEVFDEELLRGQYFEEEMVQMLQIALACVAKVADNRPTMDETVRNIEEIRLPEMNNRNTSSESESNVQTP >Vigun08g113500.2.v1.2 pep primary_assembly:ASM411807v1:8:27993924:27997115:-1 gene:Vigun08g113500.v1.2 transcript:Vigun08g113500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQFRAVSFVLLSFTVSLFGLIVADLNSDRKALLEFFSNVPHAPRLNWSESTPICTSWAGVTCNQNETSVISIHLPGAGFKGSIPENSLGKLNSLKILSLHSNGLRGNLPSDILSIPSLQYVNLQQNSFSGLIPSSISPKLIALDISSNNFSGSIPPTFQNLSRLTWLYLQNNSLSGAIPDFNFPSLKYLNLSYNNLNGSIPKSINNYPYTSFAGNPHLCGPPLNNCSAVSTPSSSSSSLSPSPVHQPLSPSAAPQNRSATTSKSYFGLASILALAIGGCAFLSLLVLMMFVCCLKKNKSQSSGILTGKAPCSGKTEVSKSFGSGVQEVEKNRLFFFEGCSYSFDLEDLLKASAEVLGKGSYGTTYRAALEDGTAVVVKRLREVLVGKKEFEQQMEVVGRIGRHPNVLPLRAFYFSKDEKLLVYDYMPGGSLFSLLHGNRGIGRSPLDWDSRMKIALGAAKGLASIHTDHMDSKLTHGNIKSSNVLITKECDGCITDIGLTPMMTTQSTMSKANGYRAPEVTEYRRITQKSDVYSFGVLLLEILTGKAPIGYPGYEDMVDLPRWVRSVVREEWTAEVFDEELLRGQYFEEEMVQMLQIALACVAKVADNRPTMDETVRNIEEIRLPEMNNRNTSSESESNVQTP >Vigun05g001800.2.v1.2 pep primary_assembly:ASM411807v1:5:142929:144861:-1 gene:Vigun05g001800.v1.2 transcript:Vigun05g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYISKPCSQLSHALPFFATFRFSIASAMSNSLHSSAFVTPRLVLKKVLAKSQHEGDGAVVRRGIGRTELKNLDPFLMLDHFSGFPDHPHRGFETVTYMLEGGITHQDFAGHKGTIRAGDVQWMTAGRGIIHSEMPAEANNKGLQLWINLASRDKMIEPNYQELPSENIPTAEKDGVEVRVIAGESMGVQSPVYTRTPTMFLVFSMMPGSEWHQSIPESWNCFVYVIEGEGVFGCTSSSPCVAHHVLVLSLGDGLSVWNNSSKPLRFVVVAGQPLNEPVTQYGPFVMNTQSEIEKTLEDYQYGRNGFEMRKYWRSQ >Vigun05g001800.1.v1.2 pep primary_assembly:ASM411807v1:5:142929:144861:-1 gene:Vigun05g001800.v1.2 transcript:Vigun05g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYISKPCSQLSHALPFFATFRFSIASAMSNSLHSSAFVTPRLVLKKVLAKSQHEGDGAVVRRGIGRTELKNLDPFLMLDHFSVSPPAGFPDHPHRGFETVTYMLEGGITHQDFAGHKGTIRAGDVQWMTAGRGIIHSEMPAEANNKGLQLWINLASRDKMIEPNYQELPSENIPTAEKDGVEVRVIAGESMGVQSPVYTRTPTMFLVFSMMPGSEWHQSIPESWNCFVYVIEGEGVFGCTSSSPCVAHHVLVLSLGDGLSVWNNSSKPLRFVVVAGQPLNEPVTQYGPFVMNTQSEIEKTLEDYQYGRNGFEMRKYWRSQ >Vigun07g103366.1.v1.2 pep primary_assembly:ASM411807v1:7:18608979:18609706:1 gene:Vigun07g103366.v1.2 transcript:Vigun07g103366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVESVEQKIFREKVQMLKKRRLDLNTANVAKRNKSSEVVAKDSTNEESSSDDESEENFAVDWRAQHL >Vigun06g157800.4.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQTMEGSSSSNGTSYLLAFGENSGGLCPMTMMPLVTSHHAGHHPINPNPSNNTNNNANTNCLFIPNCSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.6.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMAAYQWQCRKREGELSLGSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.2.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQTMEGSSSSNGTSYLLAFGENSGGLCPMTMMPLVTSHHAGHHPINPNPSNNTNNNANTNCLFIPNCSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.7.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122446:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQWQCRKREGELSLGSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.3.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQTMEGSSSSNGTSYLLAFGENSGGLCPMTMMPLVTSHHAGHHPINPNPSNNTNNNANTNCLFIPNCSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.5.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQTMEGSSSSNGTSYLLAFGENSGGLCPMTMMPLVTSHHAGHHPINPNPSNNTNNNANTNCLFIPNCSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun06g157800.8.v1.2 pep primary_assembly:ASM411807v1:6:28116463:28122430:-1 gene:Vigun06g157800.v1.2 transcript:Vigun06g157800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQWQCRKREGELSLGSNSGTPSIMLDNNHNNNNNDDNNNTGVGYYFMESGHHNHRNNNNNGSSSSSSSSAVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAVTMAGDAAGSSSIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKNLTVSSSDFGCNEGGDRNGSSEEDVDLQSMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYVDTVMANPFPMDLSHPML >Vigun07g208100.1.v1.2 pep primary_assembly:ASM411807v1:7:32964602:32971509:1 gene:Vigun07g208100.v1.2 transcript:Vigun07g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNGDSNLPPPKHSPQQSRDIPPFFSSSYPITLKFMDVEYRLKIEDKTKTRGSIKTFFTPCESSPSDQGSRPPAPQERLILKGITGIAHPGEILAVLGPSGSGKSTLLNALAGRLHGNGLTGTILANSTKLNKSVLRRTGFVTQDDILYPHLTVRETLVFCAMLRLPRTLPRAAKVAAAESAIAELGLRKCEHTIIGNSFIRGVSGGERKRVSIAHEMLVDPSLLILDEPTSGLDSTAAHRLVVTLGSLAKKGKSVITSVHQPSSRVYQMFDKVVLLSEGQCLYFGKGSDAMRYFQSVGFAPSFPMNPADFLLDLANGVSHIDGQSEKDKPNIKQNLIHSYNTILGPKVKASCMDTASFPTKITNPLRSNCSNECRRSDRVSFFDWFYQFSILLQRSLKERKHESFNTLRVSQVIAAALLAGLMWWHSDYRNIQDRLGLLFFISIFWGVFPSFNSVFAFPQERAIFMKERASGMYKLSSYFMARIVGDLPMELILPTIFLVITYWMGGLKPDFWAFVLTWLVVLGYVMVSQGLGLALGAAIMDAKQASTVAAVTMLAFVLTGGYYVHQVPSCMAWIKYISTTFYCYRLLTRIQYEDGKKISYLLGCYHGDKGGCRFVEEDVVGQIGTLGCIGVLLFMFVFYRLLAYLALRRIKS >Vigun03g271600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44637918:44642222:1 gene:Vigun03g271600.v1.2 transcript:Vigun03g271600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALIMDKKSRRKDESNVDIKRNPSMLRKLQENKLREALEEASEDGSLSKSQDIDQPDSAANQDDGLGRSRSLARLHAQREFLRATALAAERIFESEEEIPSLQEAFSKFLTMYPKYQSSEKVDQLRSDEYSHLSPKVCLDYCGFGLFSFVQTIHYWESSTFSLSEITANLSNHALYGGAERGTVEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVAWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMQSLQNQSGCTGSGMVKITPEFPMYLSDSVDGLDKFVGIEDDEISGLGEKTTETRQGTQLPAFSGAFTSAQVRDVFETEMDQDSSERDGTSTIFEETESISVGEVIKSPVFSEDESSDNSFWIDLGQSPLGSDGAGQSNKHKIASPLPPFWFNGRRNQKQPSPKPTSRMYGSPMYDDREVNLGAHEDHRVLSFDAAVLMSQELDRVKEVPEEEQVEEVDHYSRNGNGSDHLHVDEILEEPGTSGAVNNGSWLNNSTSLARHQSLENGSTSEICADVKESAIRRETEGEFRLLGRREGNRYGGGRFFGLEENEATSRGRRVSFSMEDNRKEYLSQTLEPGDVSATSFDDEEATSDGDYGDGQDWGRREPEIACRHIDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPASDGGEKANLVHIYGPKIKYERGAAVAFNVRDRSRGLINPEIVQKLAEKEGISLGLGFLSHIQILDSSRHNRGAPNLEDTTLCRPMENGRRDGKGSFVRLEVVTASLGFLTNFEDVYKLWAFVAKFLNPTFIREGGLPTVQEGSET >Vigun05g043300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3586983:3589352:-1 gene:Vigun05g043300.v1.2 transcript:Vigun05g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQKRCGRCNAPYSPAPRSYSMHVHHPPQVQGDSYHVVALTSTTLGTLKLNSPAPTHSFAGNCDHDFRLSNGKVGNAESFRFESESFVHRSEAKEKGGELEKEKRKEFSMGLIEAKTWSSMIEQKLPKVFPKTPIRTPPGEPETINTWELMEGLEDTTPFRSPSHFRSFSFDVNGDGDDVGDVDPPKMSVVASPKPMWLLMTEEESKLTPAISDFDPEMISSFRKSLQQLSPDSPFHLRPAPSDEDIQGTTKWSLFEENKTKGDDDIDDVFLVDDPCGKDKVVLYFTSLRGVRKTYEDCCQVKLILKGLGVRVDERDVSMHSGFKEELRELLGEGYGGLGLPRVFVGKNHIGGVEEIQRLHEDGKLEKLLGYCEKIEDSVGCDGGGVCEACGDIRFVPCETCCGSCKIYYEGDENEEEYVDGEVGEYGFQRCPDCNENGLIRCPMCCC >Vigun06g046700.2.v1.2 pep primary_assembly:ASM411807v1:6:17144465:17150825:-1 gene:Vigun06g046700.v1.2 transcript:Vigun06g046700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHKRKWGLPSYSAMKDGPDHIPSFKASVHVHGVIFTSSSASSSLKEAKNQAAMVAFLSLSSVKMYKTKLQELCHKRKWGLPRYSGMKSGPDHIPSFKANVHVNGVIFTSSSASSSIKEAENKAAMVALLSFCSGSPRQTSKDDTKEQIRAVKPQQSSTPAQFSVIIDEQCSSVNNLHPPVFACKTEDLPPANDYKATVLVDGHSTESPSFTNTIKETERASDSILLSPDIFQMGDSDSFKTSLMKLTEREGFHKPTYKTMQAGSPYRPTFFSTLEVEGEEFHGKGCRFKKEAEEDAAKIAYIALKKLMCDQHDFAKNIVQRKRSPRSLHRTNGNDADAPPGFPPKPGGTVYTEKSSDYASNARKGNSDANVPSDFLVKRLKTVPSGIYAQDGFKDNEDIDAEVPARCKNLFNQTSSACTVDYENAKRMSPQEKLVGKDTVEPLILKEEVEDANGSKEATLSIEGVTLSGAQGESYNCVSGMNVIDQHDFAKNIVQRKRSPRSLHRTNGNDADVPPGFPPKLGGTVYTEKSSDDALNARKGNSDANVPSEFLVKRLKTVPSGNYAQDGFKDQENINAEVPARCKYLSNQSSSASTIDYKNAKRMSPQAKLVGKDSVEPLILKEEVEDANWSIEARLSTEGVSLSGAQGESYNCVSGMNVIDLEQRIKKLETVIEKLKITKLGHC >Vigun06g046700.1.v1.2 pep primary_assembly:ASM411807v1:6:17144465:17150825:-1 gene:Vigun06g046700.v1.2 transcript:Vigun06g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHKRKWGLPSYSAMKDGPDHIPSFKASVHVHGVIFTSSSASSSLKEAKNQAAMVAFLSLSSGSSTQISDSGTQEQIRAVKPKDSSIPAQSSVIRNVKMYKTKLQELCHKRKWGLPRYSGMKSGPDHIPSFKANVHVNGVIFTSSSASSSIKEAENKAAMVALLSFCSGSPRQTSKDDTKEQIRAVKPQQSSTPAQFSVIIDEQCSSVNNLHPPVFACKTEDLPPANDYKATVLVDGHSTESPSFTNTIKETERASDSILLSPDIFQMGDSDSFKTSLMKLTEREGFHKPTYKTMQAGSPYRPTFFSTLEVEGEEFHGKGCRFKKEAEEDAAKIAYIALKKLMCDQHDFAKNIVQRKRSPRSLHRTNGNDADAPPGFPPKPGGTVYTEKSSDYASNARKGNSDANVPSDFLVKRLKTVPSGIYAQDGFKDNEDIDAEVPARCKNLFNQTSSACTVDYENAKRMSPQEKLVGKDTVEPLILKEEVEDANGSKEATLSIEGVTLSGAQGESYNCVSGMNVIDQHDFAKNIVQRKRSPRSLHRTNGNDADVPPGFPPKLGGTVYTEKSSDDALNARKGNSDANVPSEFLVKRLKTVPSGNYAQDGFKDQENINAEVPARCKYLSNQSSSASTIDYKNAKRMSPQAKLVGKDSVEPLILKEEVEDANWSIEARLSTEGVSLSGAQGESYNCVSGMNVIDLEQRIKKLETVIEKLKITKLGHC >Vigun06g202400.4.v1.2 pep primary_assembly:ASM411807v1:6:31708717:31714699:-1 gene:Vigun06g202400.v1.2 transcript:Vigun06g202400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGQNIVKCDEEKALKCLLDAFGSVFSLEEIASAYCKASRNADLAGEILFEMQGSSSGSSSTLDSSNADVRTEGSSESSDGYSLENSFQERKTSRPKVRPVSAGTVASIIGKNYVRPAPSANGPSQTTKPTKLDAKSLPMTGIWREKNKPDVSSSKHDQLHQDMEDFLFKMLGDGFQLDRNMIRQVLDTCGYDIQKSLVKLLDQSNTASGKRNAVIDDSAGGFTDMKPKSEVPSSERKSQDLNYPRGGKSIGSIKGTELHQQQKQKHDLQKEVLSSLFNYQGHSEVEKAPKRIVKDLNMKSLFGRVVFEPPKDFPEELNIDMVFPRPGNIDDPEDEEEYKNVRRAVKEYRVAMNEYYKAAVDAFAKGDQIKAEKLLEQGQFFLSKAHEADEESNKIILETNNNEAEEMVLDLRDHGSNEAVRLLKCHLSSFSGIPSFEYLKVMVDANDKDNPKGSRRRLRVLKLLEQESIPWVEGDTTETILIRLANIERKSLSFVKT >Vigun06g202400.2.v1.2 pep primary_assembly:ASM411807v1:6:31708717:31714699:-1 gene:Vigun06g202400.v1.2 transcript:Vigun06g202400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGQNIVKCDEEKALKCLLDAFGSVFSLEEIASAYCKASRNADLAGEILFEMQGSSSGSSSTLDSSNADVRTEGSSESSDGYSLENSFQERKTSRPKVRPVSAGTVASIIGKNYVRPAPSANGPSQTTKPTKLDAKSLPMTGIWREKNKPDVSSSKHDQLHQDMEDFLFKMLGDGFQLDRNMIRQVLDTCGYDIQKSLVKLLDQSNTASGKRNAVIDDSAGGFTDMKPKSEVPSSERKSQDLNYPRGGKSIGSIKGTELHQQQKQKHDLQKEVLSSLFNYQGHSEVEKAPKRIVKDLNMKSLFGRVVFEPPKDFPEELNIDMVFPRPGNIDDPEDEEEYKNVRRAVKEYRVAMNEYYKAAVDAFAKGDQIKAEKLLEQGQFFLSKAHEADEESNKIILETNNNEAEEMVLDLRDHGSNEAVRLLKCHLSSFSGIPSFEYLKVMVDANDKDNPKGSRRRLRVLKLLEQESIPWVEGDTTETILIRLANIERKSLSFVKT >Vigun06g202400.3.v1.2 pep primary_assembly:ASM411807v1:6:31708717:31714699:-1 gene:Vigun06g202400.v1.2 transcript:Vigun06g202400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGQNIVKCDEEKALKCLLDAFGSVFSLEEIASAYCKASRNADLAGEILFEMQGSSSGSSSTLDSSNADVRTEGSSESSDGYSLENSFQERKTSRPKVRPVSAGTVASIIGKNYVRPAPSANGPSQTTKPTKLDAKSLPMTGIWREKNKPDVSSSKHDQLHQDMEDFLFKMLGDGFQLDRNMIRQVLDTCGYDIQKSLVKLLDQSNTASGKRNAVIDDSAGGFTDMKPKSEVPSSERKSQDLNYPRGGKSIGSIKGTELHQQQKQKHDLQKEVLSSLFNYQGHSEVEKAPKRIVKDLNMKSLFGRVVFEPPKDFPEELNIDMVFPRPGNIDDPEDEEEYKNVRRAVKEYRVAMNEYYKAAVDAFAKGDQIKAEKLLEQGQFFLSKAHEADEESNKIILETKLISSALKFSLFRSFLILR >VigunL061337.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:30735:31094:1 gene:VigunL061337.v1.2 transcript:VigunL061337.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g290100.1.v1.2 pep primary_assembly:ASM411807v1:3:47256765:47258710:1 gene:Vigun03g290100.v1.2 transcript:Vigun03g290100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTKATCNSHGQDSSYFLGWQEYEKNPYDEIHNPKGIIQMGLAENQLSFDLLESWLAKNPDVAGFKRDGKSIFRELALFQDYHGLPSFKKALVDFMAEIRGNKVTFDPNHIVLTAGATSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSSNNFQITETALKQAYEEALKRNLRVKGVLVTNPSNPLGTTMSRSELNLLVDFIKEKKDTHLISDEIYSGTVFSSPGFVSVMEILKERNDTGEIWNRVHVVYSLSKDLGLPGFRVGAIYSENDTVVAAATKMSSFGLISSQTQYLLSAMLGDKKFTRNYIVENQKRLKRRQRMMVSGLQKAGISCLESNAGLFCWVDMRHLLHSNTFEAEMELWKKIVYQVGLNISPGSSCHCTEPGWFRVCFANMSEETLSLAMKRLKNFVAESTCTQRSALFNGTSKRKSLTKWVFRLSSRDHREQEER >Vigun07g237000.1.v1.2 pep primary_assembly:ASM411807v1:7:35894232:35896042:-1 gene:Vigun07g237000.v1.2 transcript:Vigun07g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTYDSNLFPNFPSSSYPILPFLIDPENDFASNTLFDDPLLVPFTPITHDPPFPEETVANFAVADCTAILEQDANTNYGSHNGSMSNFLTQKPAIAKKDRHSKIHTSQGLRDRRVRLSSEIARKFFDLQDMLEFDKPSNTLEWLFTKSENAIKELAQSKHSGSISGGDKCSRDASVDSNNNKSLAGSGGDGSKGRKSKSAQKDDACVQTKKESRERARARARERTCYKMCSTRRVQQDFDERCPVTANTQMLHQFRSSILPEPEALARWVQPYNPFLIHNEAPRDGFDVIEESIMIKRNMKPSTMLASHHQNQNQNQSQNLVIPRDASFNNNVCPLLPYSTPDWDTNGAFTGCSNFCAIGTMNLSTCFMNQ >Vigun05g267200.1.v1.2 pep primary_assembly:ASM411807v1:5:45937353:45945630:1 gene:Vigun05g267200.v1.2 transcript:Vigun05g267200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDIESLDDDFYSGETEDAPMDYYSDYDDVADDYFEDADDSDRIESRRPEQNFTILRELDIRQRQEDDITRVSTVLSIPRVSASILLRHYNWSVSKVHDAWFADEEQVRKTVGLLDKPVFQNSNARELTCGICFEMYSRSRVKSAACGHPYCYLCWAGYIGTSINDGPGCLGLRCPDPTCGAAVGQDMINLLASPEDKQKYDRYLLRSYIEDNKKTKWCPAPGCEYAVTFDAGSGNYDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDETERRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKKQFFEYLQGEAESGLERLHQCAEKELQLFLNADGPSREFNDFRTKLAGLTSVTRNYFENLVRALENGLSDVDSNGAASSKATSSKNAAGSSKGRGGRGKATIRTSISSRMSDDNHWSCEHCTYANVRSATTCQMCNQQRR >Vigun07g260500.1.v1.2 pep primary_assembly:ASM411807v1:7:37698207:37705432:1 gene:Vigun07g260500.v1.2 transcript:Vigun07g260500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGGKKVHQMIVDFGGSGFGQVAIAVAVSFLVRVFSAPSPALSPDNDLYDSLENGSKDAEAPGAGKVTPVTIRWSSVNCCLYDRSTRTVRFLLRNMSGIATPGRLLAIMGPSGSGKTTLLNVLAGQVTASPRMYLTGHVEFNRKPASKSACKFAYVRQEDLFFSQLTVRETLSLATELQLPNISSAEERDEFVNNLLFKLGLVSCADTRVGDAKVRGISGGEKKRLSLACELLASPSVIFADEPTTGLDAFQAEKVMETLQQLAQDGHTVICSIHQPRGSVYSKFDDIILLTEGSLAYAGPAHQEPLTYFSKFGYRCPDNVNPAEFLADLISIDYSSAHSVYTSRKRVDGLIQSLSESLSRIIYTSQINVNDLPNVNGLSNSRKKITQRAVRKKKGTWWKQFRLLLKRAWMQASRDAPTNKVRARMSIASAIIFGSVFWRMGNSQTSVQDRMGLLQVTAINTAMAALTKTIGVFPKERAIVDRERAKGSYSLGPYLFSKLLAELPIGAAFPLMFGTVLYPMARLHPTLQRFGKFCGIITMESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYANPENTPIIFRWIPNVSLIRWAFQGLSINEFSGLQFDHQHSFDIRTGEQALERFSFGKSRIRDTVIAQNRILLFWYFTTYLLLEKNKPKYEPLQIPADDKEPIIKTEMLHSLQVEQIVEAPPVDQVDSNQPPESAGGDLVGPFVLEGAK >Vigun02g201000.1.v1.2 pep primary_assembly:ASM411807v1:2:33587101:33589248:1 gene:Vigun02g201000.v1.2 transcript:Vigun02g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNSYNPSLSVPFLNDEEKAIASYSSAKNTVSFFRTCLNGLNTIAGVGILSVPYALATGGWLSLALLFSIAAAAFYTGLLIKRCMDKDSNIRSYPDIGELAFGKTGRLIVSVSMYTELYLVSIGFLILEGDNLSNMFPNAKVSIAALTIAGKQFFVILVALIILPTVWLDNLSLLSYVSASGVFASLLIILSITWTATFDGVGFHHKGTLVHWNGLPTAVSLYAFCYCAHPVFPTLYNSMENKHQFSNVLIVCFVLTTVGYASMAIVGYLMFGDGAESQITLNLPLDKVSSKLAIYTTLVNPISKFALMATPITNALKDLLPKTYKNRVTSILLSTVLVMSTTVVALAVPFFGSLMSLIGAFLSVTASILLPCLCYLKISGTYKKFGCETVAIVMIIMIAIVMAISGTYISLMEIAHNL >Vigun11g071101.1.v1.2 pep primary_assembly:ASM411807v1:11:20576232:20578508:1 gene:Vigun11g071101.v1.2 transcript:Vigun11g071101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLKRPTHNWRIRSILIETRTHREENRFMNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPDSKVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNGKNIYGRIVTIEYDPNRNASICLIHYRDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLKACTVWEEVLIDQKEESTSTDMPLGTERGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAESKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun08g117000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28461917:28463746:1 gene:Vigun08g117000.v1.2 transcript:Vigun08g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFHRSLRSHSVGTILPDRTIEEPLPSKVAQSTVTCFYQANIMGYWRNVSVLWCKNHMNHSLHITIDSVGGEVQYSCKIDVKPWHFWSKKGYKTFEVDGNQIELYWDLRSAKFSGSPEPSSDYYVALVCDEEVVLLLGDYDNKAYKRTKSRPALVEAMLLVKKENVFSKKSFSTKAQFDEKRKDSEIVVDCTTGGPNDPEMWITIDGVLLINVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSGSGSGPGLFIFKPGPPEPENEKEGSVMEGCGESEDDSVGYYSTMNVAAIEFCLVLYAYKIE >Vigun04g039800.3.v1.2 pep primary_assembly:ASM411807v1:4:3377595:3386348:1 gene:Vigun04g039800.v1.2 transcript:Vigun04g039800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEKDEVPMLSETRGQLSDEFVDSNFRRLVSRTRSASMSIPMASLESYEKETSLVGHTGPLRSLRKTPFVQMSGPLYATNGTSNFSGQNIAATGNKVVESKTENFSTFNGTNENRWDNDYDRKNEHLMRSGQLGMCNDPYCTTCPTYFKAAQPRTRKTSAIFDPKFPHVFYGDAKGFGRKFLSFCYSYVPGVMNPHAKVVQQWNKILAIFCLVAIFVDPLFFFLIYVKEDDKCIAINWTMTTTLVFFRSINDLIYFFNILLQFRLAYVSPESMVVGAGDLVDQPKKIALNYLRSYLFIDLFVVFPLPQVMILSVLPNYLGSSGANYAKNLLRAAILVQYFPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSFWYLFGLQRVNQCLRNACRDSNIRGCSTFIDCGRLSGRKSDIWSENVNATACLNGTSGAFQYGVYVNAVALTTETKVINKYVFALFWGFQQISTLAGNQTPSYFIWEVLFTMAIIGLGLLLFALLIGNIQNFLQALGRRRLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYNWAATRGVNEEMLLENLPEDLQTDIRRHLFEFVKKVRIFALMDEPILDAICERLKQKTYIKGSKILTQGGLVEKMVFVVRGKLESFGDDGTSAPLSEGDACGEELLTWYLEHSSVTTDGKKVRVQGQRLLSNRTVKCLTNVEAFSLRAGDLEELTILFTRFLRNPHVLGALRYVSPYWRSLAASRIQVAWRYRKKRLSRAYTSQSDQTF >Vigun04g039800.1.v1.2 pep primary_assembly:ASM411807v1:4:3377618:3386348:1 gene:Vigun04g039800.v1.2 transcript:Vigun04g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEKDEVPMLSETRGQLSDEFVDSNFRRLVSRTRSASMSIPMASLESYEKETSLVGHTGPLRSLRKTPFVQMSGPLYATNGTSNFSGQNIAATGNKVVESKTENFSTFNGTNENRWDNDYDRKNEHLMRSGQLGMCNDPYCTTCPTYFKAAQPRTRKTSAIFDPKFPHVFYGDAKGFGRKFLSFCYSYVPGVMNPHAKVVQQWNKILAIFCLVAIFVDPLFFFLIYVKEDDKCIAINWTMTTTLVFFRSINDLIYFFNILLQFRLAYVSPESMVVGAGDLVDQPKKIALNYLRSYLFIDLFVVFPLPQVMILSVLPNYLGSSGANYAKNLLRAAILVQYFPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSFWYLFGLQRVNQCLRNACRDSNIRGCSTFIDCGRLSGRKSDIWSENVNATACLNGTSGAFQYGVYVNAVALTTETKVINKYVFALFWGFQQISTLAGNQTPSYFIWEVLFTMAIIGLGLLLFALLIGNIQNFLQALGRRRLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYNWAATRGVNEEMLLENLPEDLQTDIRRHLFEFVKKVRIFALMDEPILDAICERLKQKTYIKGSKILTQGGLVEKMVFVVRGKLESFGDDGTSAPLSEGDACGEELLTWYLEHSSVTTDGKKVRVQGQRLLSNRTVKCLTNVEAFSLRAGDLEELTILFTRFLRNPHVLGALRYVSPYWRSLAASRIQVAWRYRKKRLSRAYTSQSDQTF >Vigun04g039800.2.v1.2 pep primary_assembly:ASM411807v1:4:3377577:3386370:1 gene:Vigun04g039800.v1.2 transcript:Vigun04g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEKDEVPMLSETRGQLSDEFVDSNFRRLVSRTRSASMSIPMASLESYEKETSLVGHTGPLRSLRKTPFVQMSGPLYATNGTSNFSGQNIAATGNKVVESKTENFSTFNGTNENRWDNDYDRKNEHLMRSGQLGMCNDPYCTTCPTYFKAAQPRTRKTSAIFDPKFPHVFYGDAKGFGRKFLSFCYSYVPGVMNPHAKVVQQWNKILAIFCLVAIFVDPLFFFLIYVKEDDKCIAINWTMTTTLVFFRSINDLIYFFNILLQFRLAYVSPESMVVGAGDLVDQPKKIALNYLRSYLFIDLFVVFPLPQVMILSVLPNYLGSSGANYAKNLLRAAILVQYFPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSFWYLFGLQRVNQCLRNACRDSNIRGCSTFIDCGRLSGRKSDIWSENVNATACLNGTSGAFQYGVYVNAVALTTETKVINKYVFALFWGFQQISTLAGNQTPSYFIWEVLFTMAIIGLGLLLFALLIGNIQNFLQALGRRRLEMQLRGRDVEQWMSHRRLPEDLRRRVRQAERYNWAATRGVNEEMLLENLPEDLQTDIRRHLFEFVKKVRIFALMDEPILDAICERLKQKTYIKGSKILTQGGLVEKMVFVVRGKLESFGDDGTSAPLSEGDACGEELLTWYLEHSSVTTDGKKVRVQGQRLLSNRTVKCLTNVEAFSLRAGDLEELTILFTRFLRNPHVLGALRYVSPYWRSLAASRIQVAWRYRKKRLSRAYTSQSDQTF >Vigun04g039200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3294121:3297574:1 gene:Vigun04g039200.v1.2 transcript:Vigun04g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVLSQCLSSPTATLSQARQAHALILKLNLFSDTHLTTTLLSLYANTHSLSTPQLSLTLSSHLPHPTLFSFSSLIQAFARSSHFPHVLTAFSHLAPRGLVSDAFLLPTTIKSCAILRALNQGQQVHAFALASGFLSDSIVASSLIQMYLKCDRILDARKLFDRMPERDVVVWSAMIAGYSRLGLVDEARGLFGEMRSSGVEPNLVTWNGMLAGLSNNGLYDEAVGMFRVMLLEGFLPDGSTVSCVLPSVGCLEDVVMGSQVHGYVIKQGLLCDKFVVSAMLDMYGKCGCVKEMSRVFDEVEEMEIGSLNAFLTGLSRNGMVDAALEVFNRLKDQKMELNVVTWTSVIASCSQNGKDFEALELFRDMQAYGVEPNAVTIPSLIPACGNISALTHGKEIHCFSLRKGIFDDVYVGSALIDMYAKCGRIQLSRRCFDNMLAPNLVSWNAVMSGYAMHGKAKETMEMFHMMQQSGQKPDSITFTCVLSACAQNGLTEEGWHYYNSMSKEHGIEPKMEHYACMVTLLSRVGKLEEAYSIIKEMPFEPDACVWGALLSSCRVHNNLSLGEIAAEKLFPLEPANPGNYVLLSNIYASKGLWEEENRIREMMKSKGLRKNPGYSWIEVGHKVHMLLAGDQSHPQMKDILEKLDKLNMEMKKSGYLPKTNFVLQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGQPLQVIKNLRICDDCHAVIKAISRLEGREIYIRDTNRFHHIKDGVCSCGDFW >Vigun03g220700.1.v1.2 pep primary_assembly:ASM411807v1:3:36706949:36713591:-1 gene:Vigun03g220700.v1.2 transcript:Vigun03g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAHGSMSNKLNGSPDEGIFQMNEEVEVRCVEEGFLGSWHPGIVLKCGKVKCFVRYKNVLKSDGSEYLVTAVRVSKASESSKYSMRGFIRPPPPLIQFGRFNLNYGLCVDVNCQGAWWEGVIFDHCDGMLRRSVFFPDLGDEMLIEIHQMRITQDWNEVTRQWKLRRNWVFLELLEEYQDGAFSVSAKQIWYDILVKLRFGMRGEWAYNEKELYREAVRMIICEYSRLRVNNVFSVLDFPPEQESVEPMASIDLNMALSERDNFVQKEAGPRVRELLPEFEIEIDLEGAPEVVSGERCESSRDSKGKISMSQSSGMPPQRSTKVSAPSLLPPDKPLTILSWLIDNKMVFPRSTVYYYNEKAWHHATSSWTRGKATRSGIKCHCCDIVYSFAGFEHHASGISTCTPSACIFLRDGKSLLECQTEIMQNRLTRETNENPSINFPLDENDLICSLCLYGGELILCDQCPSSFHMTCLGLEHIPDGQWLCPQCRCGICCQSKLDEDEDGHAALTCVQCEHKYHVRCLENGGIDLSRCSENWFCGKSCEKIHEGLHGLLGKAVPVGVDNLTWTLVKFIEPECDDVVRIRDDSIVAESYSKLNLALSVMHECFESLEQSLSSRDIIEDVIFGRRSELRRLNFQGFYTVLLARNEEVVSVATVRVHGNKVAEVPFVGTRLHYRQHGFCRILMSGLEQLLMQLGVGRLVLPAVPSTLRTWMDSFGFEKMNLFERSKFLDNTFLNFEGSIMCHKLLTRIPPPYSGLLIPNFEESQPRSHGLPHNTVDLNRSISVSEGGMVYQQTGNTGAGNNNGNNNDCVTCATFPIDMEKGSASDQQYLNGSSSQLDHENEGDEYNSEYSRKKVRFLHKGSF >Vigun03g022200.1.v1.2 pep primary_assembly:ASM411807v1:3:1626775:1628736:-1 gene:Vigun03g022200.v1.2 transcript:Vigun03g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILYLLQEYNFDPATGAIIVFLWNALSNFIPMIGAFVSDSWLGRFRVIALGTIIDLVGLVVLWLTAIIRRARPECHNDEACANPTALQLLFLFSSLALMALGAGGIRPCTLAFTADQISNPENPKNERTMKSFFNWYYVSVGVSVAISVIFIVYIQVKAGWAIGFGIPVGLMSFSTMMFFLGSSLYVKVKPNRSLLTSFAQVTAAAWKNRHLSLPPTNSDTWYFHNDSILVQPTDKVRFLNKACILKNREKDLDSDGMPTDPWSVCTVRQVEELKAIIKVFPIWSTSIIFATTISQQSFSVVQARTMNRVVFHTDIPSTSFTVCIIITLTIWVAIYDRLLVPLFPKGRALSVKQRMGIGIFISCLATAMAAWVERKRRDEAIREGFIDNPKGVVNMSALWLVPQYCLYGLAEGLNIIGQIEFYYSQFPRAMSSIAITLCTFSFGVGNLVGSLIVKVVNDGTGKGGKVRWLASNINRGHYDYYYALLLVLSLVNLLCFLAFSAAYGSTQDIKNWDQDFAPEKEIDNK >Vigun03g022200.2.v1.2 pep primary_assembly:ASM411807v1:3:1626886:1628447:-1 gene:Vigun03g022200.v1.2 transcript:Vigun03g022200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAGGIRPCTLAFTADQISNPENPKNERTMKSFFNWYYVSVGVSVAISVIFIVYIQVKAGWAIGFGIPVGLMSFSTMMFFLGSSLYVKVKPNRSLLTSFAQVTAAAWKNRHLSLPPTNSDTWYFHNDSILVQPTDKVRFLNKACILKNREKDLDSDGMPTDPWSVCTVRQVEELKAIIKVFPIWSTSIIFATTISQQSFSVVQARTMNRVVFHTDIPSTSFTVCIIITLTIWVAIYDRLLVPLFPKGRALSVKQRMGIGIFISCLATAMAAWVERKRRDEAIREGFIDNPKGVVNMSALWLVPQYCLYGLAEGLNIIGQIEFYYSQFPRAMSSIAITLCTFSFGVGNLVGSLIVKVVNDGTGKGGKVRWLASNINRGHYDYYYALLLVLSLVNLLCFLAFSAAYGSTQDIKNWDQDFAPEKEIDNK >Vigun03g087600.1.v1.2 pep primary_assembly:ASM411807v1:3:7287529:7295752:1 gene:Vigun03g087600.v1.2 transcript:Vigun03g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAILRRRKTFIHSYVNGGSTSSVRSLERAIATTTPRIPCKHGTTPYRDLCDRFGLGKFGSAVPRGGFSGFNEPIGVRWLTQSAAAKKNEQEENDDAVAKLRKEASPEECDQAVEGLTTAKAKAMAKRSQESPKEVQSVLRKVWTALLGIGPALRAVASMSREDWAKKLVHWKTEFVSTLQHYWLGSKLLWADVRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLKARIEYAKFLQDTVKEMAKEVQNSRSGELKKTAEDLDEFLNMIRRGATVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKHLRRIKEDDKLIQAEGVNSLSEAELREDCRERGMLGLFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLKPEEAVQATLSSLPDEVVDTIQVTSLPSEDSVSERRRKLEFLEMQEELIKEEEEDRQEEVQARMESSSSQVDKALNEMNISTAKEAHQLARDRAVENKEQLCEISRALAVLASASSVSTEREDFLRLVNKEIELYNSMVKKEGSDGQKAAFKAYKAAREEHDDTAESGEGDKVSSALINRVDAMLQNLEKEIDDVDAKIGDRWRLLDRDYDGKVTAEEVASAAMYLKDTLGKEGIQELVSNLSKDRDGKILVEDIVKLGSWKEDGNVPEDGSRESV >Vigun03g087600.2.v1.2 pep primary_assembly:ASM411807v1:3:7287529:7294323:1 gene:Vigun03g087600.v1.2 transcript:Vigun03g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAILRRRKTFIHSYVNGGSTSSVRSLERAIATTTPRIPCKHGTTPYRDLCDRFGLGKFGSAVPRGGFSGFNEPIGVRWLTQSAAAKKNEQEENDDAVAKLRKEASPEECDQAVEGLTTAKAKAMAKRSQESPKEVQSVLRKVWTALLGIGPALRAVASMSREDWAKKLVHWKTEFVSTLQHYWLGSKLLWADVRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLKARIEYAKFLQDTVKEMAKEVQNSRSGELKKTAEDLDEFLNMIRRGATVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKHLRRIKEDDKLIQAEGVNSLSEAELREDCRERGMLGLFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLKPEEAVQATLSSLPDEVVDTIQVTSLPSEDSVSERRRKLEFLEMQEELIKEEEEDRQEEVQARMESSSSQVDKALNEMNISTAKEAHQLARDRAVENKEQLCEISRALAVLASASSVSTEREDFLRLVNKEIELYNSMVKKEGSDGQKAAFKAYKAAREEHDDTAESGEGDKVSSALINRVRPASFIYYYEILETNFRLL >Vigun03g087600.3.v1.2 pep primary_assembly:ASM411807v1:3:7289401:7295752:1 gene:Vigun03g087600.v1.2 transcript:Vigun03g087600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQEALKRRLKARIEYAKFLQDTVKEMAKEVQNSRSGELKKTAEDLDEFLNMIRRGATVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKHLRRIKEDDKLIQAEGVNSLSEAELREDCRERGMLGLFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLKPEEAVQATLSSLPDEVVDTIQVTSLPSEDSVSERRRKLEFLEMQEELIKEEEEDRQEEVQARMESSSSQVDKALNEMNISTAKEAHQLARDRAVENKEQLCEISRALAVLASASSVSTEREDFLRLVNKEIELYNSMVKKEGSDGQKAAFKAYKAAREEHDDTAESGEGDKVSSALINRVDAMLQNLEKEIDDVDAKIGDRWRLLDRDYDGKVTAEEVASAAMYLKDTLGKEGIQELVSNLSKDRDGKILVEDIVKLGSWKEDGNVPEDGSRESV >Vigun03g087600.4.v1.2 pep primary_assembly:ASM411807v1:3:7287529:7295752:1 gene:Vigun03g087600.v1.2 transcript:Vigun03g087600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAILRRRKTFIHSYVNGGSTSSVRSLERAIATTTPRIPCKHGTTPYRDLCDRFGLGKFGSAVPRGGFSGFNEPIGVRWLTQSAAAKKNEQEENDDAVAKLRKEASPEECDQAVEGLTTAKAKAMAKRSQESPKEVQSVLRKVWTALLGIGPALRAVASMSREDWAKKLVHWKTEFVSTLQHYWLGSKLLWADVRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLKARIEYAKFLQDTVKEMAKEVQNSRSGELKKTAEDLDEFLNMIRRGATVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKHLRRIKEDDKLIQAEGVNSLSEAELREDCRERGMLGLFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGKLKPEEAVQATLSSLPDEVVDTIQVTSLPSEDSVSERRRKLEFLEMQEELIKEEEEDRQEEVQARMESSSSQVDKALNEMNISTAKEAHQLARDRAVENKEQLCEISRALAVLASASSVSTEREDFLRLVNKEIELYNSMVKKEGSDGQKAAFKAYKAAREEHDDTAESGEGDKVSSALINRVDAMLQNLEKEIDDVDAKIGDRWRLLDRDYDGKVTAEEVASAAMYLKDTLGKEGIQELVSNLSKDRDGKILVEDIVKLGSWKEDGNVPEDGSRESV >Vigun03g131000.1.v1.2 pep primary_assembly:ASM411807v1:3:12742124:12742938:1 gene:Vigun03g131000.v1.2 transcript:Vigun03g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADDIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGVALPDTAEKITIDSILSDGPNGGSVVKLRINYHSKGEAPPNEDELKAGKAKSDALFKVIEAYLLANP >Vigun10g050500.1.v1.2 pep primary_assembly:ASM411807v1:10:7850343:7854075:1 gene:Vigun10g050500.v1.2 transcript:Vigun10g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIQFFELNTGAKIPSVGLGTWQAEPGVVAKAITKAVEVGYRHIDCAEAYKNQAEIGSALKKLFDDGVVKREDIWITSKLWCNAHAPEDVPKALDKTLNDLQLDYIDLYLIHWPIRTKSGSVGIKTEDLEQPDIPSTWRAMEALYDSGKARAIGVSNFTSKKLQDLLDVARVTPAVNQVELHPGWQQPKLHAFCESKGIHLSGYSPLGSPGNLKSDILKNPVVVEVAEKLGKTPAQVALRWGLQSGQSVLPKSTTESRIKENFDVFDWSIPEELLAKFSEIKQDRLIKGILYVDEIYGAYKSLEELWDGEV >Vigun10g029000.1.v1.2 pep primary_assembly:ASM411807v1:10:3657122:3666893:1 gene:Vigun10g029000.v1.2 transcript:Vigun10g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTPKEHIERIRRTKFSIGGELNPLREDLHQATKNLSTELYAKDVHFLMELIQNAEDNKYVEGVNPSLEFIITSKDITATSAPATLLIFNNEKGFAPENIESICSVGKSTKKGNRSSGYIGEKGIGFKSVFLLTAHPYIFSNGYQIRFSEKPCPHCDIGYIVPEWVEEKPTLHDIKQIYGGAAGSLPTTTLILPLKPDKVNPVKQQLSNIHPEVMLFLSKIRHLSVREDNEDPKINTVNAVSISSEINFCTRKNMNAESYTLHLSAEENGNSEKECSYYMWKQKFPVRLENVVERRNDVEEWAVTLAFPNQERLHRGKSLPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPSAFMDAFRTLVIGRSNEAPISSLPPIFRFLPIDSSPFEKLNHVREKIKEKILRENIVPVETYKEQKHFYRPCEVSRLLPEFWDILTDAQEESVYLHNLSSHNERKILSSSFDRTEYDHILSFLEVKQVSTDWYAKCIQSSNLVHEASEVLYLKLLLFIIKNWSIFTGSNMMDIPLIKYVDSDNNLSHFTLRQCSNGAKQVVLTDSSQSIPCSWMIDWNSEFSCKTSRFFMPQVTQEAIFQSPRRQTLLEWLENRVSVTTLNMHTFARVLCSSINNHSKLAIKYAHFLYHSFSKRYLSSSEVQGLCSSMALVDNYGHVIGSRKGVLVPASGSKWAELIVSNPWRNQDYVELGKEYLHSLDCAGQYTEYGKLIDFLKNYADASDIPNIYPPNAGFSSVQTLLEKENALLLLDWIRGLKQRGRNLPEKFLKCIKQGSWLKVTVNDCGPPSKSFLIGSSLGRILQSGSILVDIPLIDEGFYGNQISEYEEELKTIGVMFSCEEACGFIGRELMSRATSSTLSRNDILSMLEFIQYLRQNYLPLDQFVNCIREESWLRTSHGLRCPIGSVLHDSEWAVASQVSSIPFIDKAYFGEDIYKFKEELKLLGVIVGFDKNYQVVIDHLKSHSDLANLSAEAVLLLIECVEFSRGSSSKLINSLKGARCLKTNMGFKTPGECFLRDPLWGCILEVFNGLPIIDHQFYGEKIFSYDDELKQVGVVIHFEKAIEKFAHLFKQNASQGSFNQDRVKSFLSCCRVLEGTEYKFPPEFSNIIRTEKWLQTKVGSRRSTRACILYGPEWKAISSIACLPFIDDSDKWYGEGIHEYKKELKGIGVVTEVKDGVKFVFKCLNFPSDTSTITPESVFSLLECIRVQMKGVNFTIEEDFKKRLSRNWLKTHAGYRSPDKCLLFDSKWNKYLKPTDGPFIDENFYGPVISSYKKELNEIGVTIDVEKGCHLISNHLDYLSDYDTIVKIYGYLSEHNWKLEDKAARKIWIPESAMWVSSEQCVIHDQDNLFGSKFHVLEDVYDENILPFFSFALEVRSRPSLDDYINIWNEWENSEEELSPDQCSKFWGFILKHLTSRSEKKLANCLVKLPVTSGNNEIFLLNKNDVFIPDNLHLKKLFEQEKVFVWYPQSLAPLTRCEWFDIYRKIGARNISESVCMEESSLINGVELKKVDPGHICNVKVLAKLILGFLSSSSLKMEPNKRHEAVQDLLNLTFFEINGAVTASYTLAVSSGEIIVKKANKMVRWQRQSSKFFTQMNWQSEDASLLKYATYFSEAISEGVLQEHHDHVPELSKLIRLAFLLKFNSGEIEFLMESNNLHCEDEDFLSSFFPSN >Vigun01g026366.1.v1.2 pep primary_assembly:ASM411807v1:1:3109696:3110035:-1 gene:Vigun01g026366.v1.2 transcript:Vigun01g026366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDFFPEKFGVRHLTHDEMMFALYLQVRRRGKLSGLMLRGPCMDFNHQKLLAFSLRKTVTENSQRLLSRPGKELKLQVSRCPIL >Vigun10g067100.1.v1.2 pep primary_assembly:ASM411807v1:10:15597700:15601010:1 gene:Vigun10g067100.v1.2 transcript:Vigun10g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPLCIASLVTLFVATSARIPGVYTGGAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNSGLSCGACFEIKCDQDPRWCNPGRPSIVITATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVSYRRVACRKAGGIRFTINGFRYFNLVLISNVAGAGDIVRVSVKGSKSAWNSMSRNWGQNWQSNANLVGQALSFRVTGSDRRTSTSWNVAPSHWQFGQTFTGKNFRI >Vigun08g121500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29066385:29068447:1 gene:Vigun08g121500.v1.2 transcript:Vigun08g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCVDRTNKRPNTNALPTTLLIYTPKGNPHTNVNYRTLQEFQVRHRERKKIIMTSWLRGKCVGRGAFGVVNAAVSRADGRVFAVKSVDRGAGHPRQLEALENEIRILKRLPSPHVVAYLGDDVTCEHGGTASFRNLHLEYMPGGTVADLDRADVDDSLVRRYAWCLVSALRDVHARGFVHCDVKGRNVLLSGNRAAAKLADFGSAVEVEVPRDLLPRGSPMWMAPEVVRRERQGPESDVWSLGCTVIEIVNGKPAWEDHGVDTLSRIGYSDELPEFPSKLSELGKDFLEKCLRREWRERWSCDQLLQHPFLLPYAVVESSPRCVLDWVDSEFAESEHNDLEQEGGWLEFKRKENSVKSRISKLATESRVNWETEGWEAVREVELNEESWESRTRGESEEGVNWEFKNVVSVGSCGECWHCEGVNREIEEFGEECNRGGGGWRCDRNGLEKVGWVKGILRIYCCKSLIRCYLLYLMYLNTLWFCFIYGVYLCLLEKCVMQLRETINWVDCRRN >Vigun02g082600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23616631:23618547:1 gene:Vigun02g082600.v1.2 transcript:Vigun02g082600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEDQEEEQCMGAAAYDPARMKVPVGPEAVMAAQPVRSSTNGGAGRARYRECLKNHAVGIGGHALDGCGEFLAAGTEGSLDALKCAACSCHRNFHRKESDGAGASVGGGDPYLVSHHHLHHPPPPQFAAYYRTPTGYLQVGGQARVAGTLALPSTSGGGGTQSTREDQEDVSNPSAGGTGSKKRFRTKFTQEQKDKMLELAEKLGWRIQKHDEGVVQAFCNETGVKRHVLKVWMHNNKHTLGKKP >Vigun02g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23616604:23619031:1 gene:Vigun02g082600.v1.2 transcript:Vigun02g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEDQEEEQCMGAAAYDPARMKVPVGPEAVMAAQPVRSSTNGGAGRARYRECLKNHAVGIGGHALDGCGEFLAAGTEGSLDALKCAACSCHRNFHRKESDGAGASVGGGDPYLVSHHHLHHPPPPQFAAYYRTPTGYLQVGGQARVAGTLALPSTSGGGGTQSTREDQEDVSNPSAGGTGSKKRFRTKFTQEQKDKMLELAEKLGWRIQKHDEGVVQAFCNETGVKRHVLKVWMHNNKHTLGKKP >Vigun02g082600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23616622:23619624:1 gene:Vigun02g082600.v1.2 transcript:Vigun02g082600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEDQEEEQCMGAAAYDPARMKVPVGPEAVMAAQPVRSSTNGGAGRARYRECLKNHAVGIGGHALDGCGEFLAAGTEGSLDALKCAACSCHRNFHRKESDGAGASVGGGDPYLVSHHHLHHPPPPQFAAYYRTPTGYLQVGGQARVAGTLALPSTSGGGGTQSTREDQEDVSNPSAGGTGSKKRFRTKFTQEQKDKMLELAEKLGWRIQKHDEGVVQAFCNETGVKRHVLKVWMHNNKHTLGKKP >Vigun03g162500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18211650:18211925:1 gene:Vigun03g162500.v1.2 transcript:Vigun03g162500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun03g003400.1.v1.2 pep primary_assembly:ASM411807v1:3:231650:236332:-1 gene:Vigun03g003400.v1.2 transcript:Vigun03g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGNGNGNGNCVNERDIQKSYWVQHSADLSVEAMMLDSKAAHLDKEERPEVLSLLPPCEGKSVIELGAGIGRFTGELAPKAGQLLAVDFIESAVKKNESINGHHKNVKFLCADVTSPNMSNSISEGSVDLIFSNWLLMYLSDNEVEKLAERMIKWLKDGGYIFFRESCFHQSGDSKRKHNPTHYREPRFYTKVFKECQMSDDTGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVKSEGDRGFQRFLDRVEYSHKSILRYEQMYGPGFVSTGGLETTKEIVTKLGLKPGQKVLDVGCGVGGGDFYMAGNFDVEVVGIDLSINMISLAIERAIGLKYAVEFDCADCFKKSYPDNTFDVIYTRDTMLHVKDKPTLFRSFYKWLKPGGKILITDYCKSASSPSSEFAEYIQKGGYYLHDMTAYGKMLEDAGFGDLIVEDRTDQFVKTLQKELDALENKKDDFIRDFGEDDYNEIVDRWKAKQSRGESKEQMWGLFIAKKK >Vigun01g024200.1.v1.2 pep primary_assembly:ASM411807v1:1:2625996:2627727:1 gene:Vigun01g024200.v1.2 transcript:Vigun01g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKVSAENEEERRFKRICVFCGSRTGYKSAFSDAALELGKFMVERKIDLVYGGGSLGLMGLISQTVLNGGCHVLGVIPETLLPREVSGETFGEVKRVADMHERKSTMFEHADAFIALPGGYGTMEEVVEVIAWSQLGIHDKPVGLFNVEGYFNSLLRLFDKGVEDGFIQNSASQIVLIADTAQELIKKMEEANKS >Vigun08g023300.3.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.8.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.10.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.9.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKMGKFYQMVLLLFPLMDFFLLYIEKDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.2.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009387:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.13.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREGH >Vigun08g023300.12.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREGH >Vigun08g023300.7.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.11.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.4.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009338:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.1.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009387:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun08g023300.6.v1.2 pep primary_assembly:ASM411807v1:8:2004262:2009387:1 gene:Vigun08g023300.v1.2 transcript:Vigun08g023300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGRLGSYISRGVYTVSGPFLPFGGAVDIVVVRQKDGSFKSSPWYVRFGKLHMVSKEKVKVHISVNGVEPDFYLYLNLKGEVVFNHGDTQEEQEGESIVEGESESTSDSDGVMPQGGGNNRHFKSISWNYDSMGNSKAEAKVVGRTRSRRSRILGLVSRSLRGGEGEDGDVNGVDSVERAEIAANLLELKWSTNLSFDHQLPRKDRKKTKGEALNNGLPLPPRNMKEESYSCSEQDATRSKPVSNEMRLASAGCGEVRFTTEEVLQPATLVLPEVKESEEVTKDFDIGRTQMEASVLQSQQRECSHSGMCAAGNVGDKPMFRKSHTVNIGRRDCSIKNVRQNTPTSEQLESLNLREGRNTITFSFSTAMGNQQVDAQIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSDIKENGYQLLFLSARAISQAYHTRQFLCNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDCNPFYAGFGNRDTDEVSYLKVGIPKGKIFIINPRGEIVVNSRCFDTHSYTSLHSLVNGMFPPTNSSEQEDFNSWNYWKLPETSSAIN >Vigun03g376800.3.v1.2 pep primary_assembly:ASM411807v1:3:57983593:57985977:1 gene:Vigun03g376800.v1.2 transcript:Vigun03g376800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSRLRVQKKKPIKRRGRKVFLKMVLNYLKSDTFMYAPLLSSLPCHAFNAFPSSPAKELEFPKAEKEKRCFGKQVGEYLKSDDYMYDLLLHLPHSSKEPLHDSTRRLTTKVNQLTDHIRNGNQSSESPVPQTDISDQHKHTETMKHTVYQFCRSTAAPRIVTLNSQLRAHS >Vigun03g376800.1.v1.2 pep primary_assembly:ASM411807v1:3:57983593:57985083:1 gene:Vigun03g376800.v1.2 transcript:Vigun03g376800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSRLRVQKKKPIKRRGRKVFLKMVLNYLKSDTFMYAPLLSSLPCHAFNAFPSSPAKELEFPKAEKEKRCFGKQVGEYLKSDDYMYDLLLHLPHSSKEPLHDSTRRLTTKVNQLTDHIRNGNQSSESPVPQTDISDQHKHTETMKHTVYQFCRSTAAPKMRLNLLQGIIGLIVNLLAF >Vigun08g185200.1.v1.2 pep primary_assembly:ASM411807v1:8:35441808:35444775:-1 gene:Vigun08g185200.v1.2 transcript:Vigun08g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGASRSINYPEMGMFVVAPASSFHHHHHHQQQPPHDPVMADSLNPATALGVGVIPLLAATPCLESDNFLGNRSRGGGIQFWQDQGPHQSHYMKKQQQGLLDQSNTSSGNLVQTSGGLTASGTSSGGTTCQDCGNQAKKDCTNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMTAATAAVAGSSGSTSGTKKPRLIASQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGQDEYAYQAVVKIGGHVFKGFLYDQGVENRDVYPNLSELHLGGGNGGSGSGGSGGVGRNGVSSSSPMMDPSDVYAGSGGGLLGGSSAYGNPMN >Vigun08g185200.4.v1.2 pep primary_assembly:ASM411807v1:8:35441822:35444511:-1 gene:Vigun08g185200.v1.2 transcript:Vigun08g185200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGASRSINYPEMGMFVVAPASSFHHHHHHQQQPPHDPVMADSLNPATALGVGVIPLLAATPCLESDNFLGNRSRGGGIQFWQDQGPHQSHYMKKQQQGLLDQSNTSSGNLVQTSGGLTASGTSSGGTTCQDCGNQAKKDCTNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMTAATAAVAGSSGSTSGTKKPRLIASQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGQDEYAYQAVVKIGGHVFKGFLYDQGVENRDVYPNLSELHLGGGNGGSGSGGSGGVGRNGVSSSSPMMDPSDVYAGSGGGLLGGSSAYGNPMN >Vigun08g185200.3.v1.2 pep primary_assembly:ASM411807v1:8:35441820:35444527:-1 gene:Vigun08g185200.v1.2 transcript:Vigun08g185200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGASRSINYPEMGMFVVAPASSFHHHHHHQQQPPHDPVMADSLNPATALGVGVIPLLAATPCLESDNFLGNRSRGGGIQFWQDQGPHQSHYMKKQQQGLLDQSNTSSGNLVQTSGGLTASGTSSGGTTCQDCGNQAKKDCTNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMTAATAAVAGSSGSTSGTKKPRLIASQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGQDEYAYQAVVKIGGHVFKGFLYDQGVENRDVYPNLSELHLGGGNGGSGSGGSGGVGRNGVSSSSPMMDPSDVYAGSGGGLLGGSSAYGNPMN >Vigun08g185200.2.v1.2 pep primary_assembly:ASM411807v1:8:35441818:35444783:-1 gene:Vigun08g185200.v1.2 transcript:Vigun08g185200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGASRSINYPEMGMFVVAPASSFHHHHHHQQQPPHDPVMADSLNPATALGVGVIPLLAATPCLESDNFLGNRSRGGGIQFWQDQGPHQSHYMKKQQQGLLDQSNTSSGNLVQTSGGLTASGTSSGGTTCQDCGNQAKKDCTNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMTAATAAVAGSSGSTSGTKKPRLIASQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGQDEYAYQAVVKIGGHVFKGFLYDQGVENRDVYPNLSELHLGGGNGGSGSGGSGGVGRNGVSSSSPMMDPSDVYAGSGGGLLGGSSAYGNPMN >Vigun10g027300.2.v1.2 pep primary_assembly:ASM411807v1:10:3299910:3304423:1 gene:Vigun10g027300.v1.2 transcript:Vigun10g027300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLIISKTFMDIEFPKGHIGVKSFDAELVDQEGNSIPSYETYLHHWFTIKYHQNVTMSHNPKLIRPEDFIYLRNEGTCNDYILPHYWGFGVESRGTTSKIHDPFAIEVGNPANIQNGYEEKWLLNIMVIDIRGAEDKKGCTECRCDLINLPKDFYNVTKDIHNQKLTPTNYKGGLFCCQDNVQCKLREDFQGPRRKVSLRYNISWVDWNEYQVPVKVYILDSTDKVRSNGSQIIHDCQAEYTIEPNNVSDTPHIQKADIPMEKGGYLIFGTAHMHSGVVNATLYGQDGRTLCTSTPKYGTGNEPGNEEGYLTGMSVCYPQPGSIKIEDGEVLTVESRYKNEFRTGAMGHFYMYLADNIPQGY >Vigun10g027300.1.v1.2 pep primary_assembly:ASM411807v1:10:3302476:3304423:1 gene:Vigun10g027300.v1.2 transcript:Vigun10g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSYCSHMVNVKFIFSFSILLLLPNTSYSLYQNPINLIKTGTFVSESFEMEPGLIISKTFMDIEFPKGHIGVKSFDAELVDQEGNSIPSYETYLHHWFTIKYHQNVTMSHNPKLIRPEDFIYLRNEGTCNDYILPHYWGFGVESRGTTSKIHDPFAIEVGNPANIQNGYEEKWLLNIMVIDIRGAEDKKGCTECRCDLINLPKDFYNVTKDIHNQKLTPTNYKGGLFCCQDNVQCKLREDFQGPRRKVSLRYNISWVDWNEYQVPVKVYILDSTDKVRSNGSQIIHDCQAEYTIEPNNVSDTPHIQKADIPMEKGGYLIFGTAHMHSGVVNATLYGQDGRTLCTSTPKYGTGNEPGNEEGYLTGMSVCYPQPGSIKIEDGEVLTVESRYKNEFRTGAMGHFYMYLADNIPQGY >Vigun07g069400.1.v1.2 pep primary_assembly:ASM411807v1:7:8440805:8445111:1 gene:Vigun07g069400.v1.2 transcript:Vigun07g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMPGDPFSLSTSIGAFTQEQNTNPNPKPNPPPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKEVRKKVYICPEQSCVHHDPARALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARLTSVTSTNLNFKSEEGGNVMNSQGGLGGHGLIAAAAAAQSLQNVSGIPQFGPHGFRLDFNGMEQPRPSLSLWLNQGNPQMNNNNNNGNVNGNNNGPDVGPNYMSSCSSSGLPDIVQMAQANGLMGSTSMVSNFGVPAGSNSTSANLSLSSLPIGKRGEAGGTVVDLASIYNSEGPNKNSKPASPMSATALLQKAAQMGSTRSTNPSIFSGSFGVMNSPSSQTTSLNNNNNAAAAIMLPSNTTAAPDFTSLTHSPNTFDQLVMQTNAQLQSEPVKLKLRSSAAEHNLTRDFLGVSGGGGGGGGGGGGGGPQFLPQELAKFASMGSPMGLSHFTSNQ >Vigun05g256900.2.v1.2 pep primary_assembly:ASM411807v1:5:45090358:45095931:-1 gene:Vigun05g256900.v1.2 transcript:Vigun05g256900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRAYRNLLIEFHPKSFVKRNHEYLTLLLRGRFGRSVVGRITIFLLKVAALEIIRRFSKRRCPCVWRGLQALQILCYPPFKCIQRWAPFKALVDNMQVLSRPLLALSIATLFSDQSIAMLLSDKSKCSDRKSNCSSEDATVYSELSPLQVDLNISQRLINPNNLESEKWLTQLNQELENQGLSLPERINDDELRRFYAASNNDFSSFLTSIKKTIRWRETYRILSEEEQKMWTKLVCWHGCDVERRPCLIVRLGLACNTLPSEDRPRFAQAVISQVEYGVLHLIDADNPQITVLVDCDGLSPVRIPMQMMRSCSSLLRDHFPNRLGCMFVIQLPASVRVIAQSFIQDLKPATRNKLKIEGEMYQKVLADYLPTLPSYLGGCCTCMKCSTIDQQDMLQPYVAGTSRRDRVEDTSDSDNEAPPMLRPSNELEGGFYGQYNQLLRAAIIGSQEAPAAAADNIHF >Vigun05g256900.1.v1.2 pep primary_assembly:ASM411807v1:5:45091800:45095931:-1 gene:Vigun05g256900.v1.2 transcript:Vigun05g256900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRAYRNLLIEFHPKSFVKRNHEYLTLLLRGRFGRSVVGRITIFLLKVAALEIIRRFSKRRCPCVWRGLQALQILCYPPFKCIQRWAPFKALVDNMQVLSRPLLALSIATLFSDQSIAMLLSDKSKCSDRKSNCSSEDATVYSELSPLQVDLNISQRLINPNNLESEKWLTQLNQELENQGLSLPERINDDELRRFYAASNNDFSSFLTSIKKTIRWRETYRILSEEEQKMWTKLVCWHGCDVERRPCLIVRLGLACNTLPSEDRPRFAQAVISQVEYGVLHLIDADNPQITVLVDCDGLSPVRIPMQMMRSCSSLLRDHFPNRLGCMFVIQLPASVRVIAQSFIQDLKPATRNKLKIEGEMYQKVLADYLPTLPSYLGGCCTCMKCSTIDQQDMLQPYVAGTSRRDRVEDTSDSDNEAPPMLRPSNELEGGFYGQYNQLLRAAIIGILIFWAFVALGAVVFEF >Vigun05g256900.3.v1.2 pep primary_assembly:ASM411807v1:5:45091800:45095931:-1 gene:Vigun05g256900.v1.2 transcript:Vigun05g256900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRAYRNLLIEFHPKSFVKRNHEYLTLLLRGRFGRSVVGRITIFLLKVAALEIIRRFSKRRCPCVWRGLQALQILCYPPFKCIQRWAPFKALVDNMQVLSRPLLALSIATLFSDQSIAMLLSDKSKCSDRKSNCSSEDATVYSELSPLQVDLNISQRLINPNNLESEKWLTQLNQELENQGLSLPERINDDELRRFYAASNNDFSSFLTSIKKTIRWRETYRILSEEEQKMWTKLVCWHGCDVERRPCLIVRLGLACNTLPSEDRPRFAQAVEYGVLHLIDADNPQITVLVDCDGLSPVRIPMQMMRSCSSLLRDHFPNRLGCMFVIQLPASVRVIAQSFIQDLKPATRNKLKIEGEMYQKVLADYLPTLPSYLGGCCTCMKCSTIDQQDMLQPYVAGTSRRDRVEDTSDSDNEAPPMLRPSNELEGGFYGQYNQLLRAAIIGILIFWAFVALGAVVFEF >Vigun04g085000.1.v1.2 pep primary_assembly:ASM411807v1:4:13781177:13781927:1 gene:Vigun04g085000.v1.2 transcript:Vigun04g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLKHLVFMFFLSLVTKGSSYCNLNNINIVTTRSGRTIPGKPEWNVVVTNNSNCAQSQIKLQCKGFQSTEIVSRSILSIQEDTCLLINGNPLKGFGSVSFSYAWDPPFLLMPLTSHTTC >Vigun03g214050.1.v1.2 pep primary_assembly:ASM411807v1:3:35581685:35583623:1 gene:Vigun03g214050.v1.2 transcript:Vigun03g214050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFSFLPSSLNLSFQVMFFLQDTMKCAIQNHMHIRGRCVRCSREETCVVMGYSAAAWKRKGKIREKKELGFLVSPRIKGVFSKLGVQEETIGCWKK >Vigun11g066600.1.v1.2 pep primary_assembly:ASM411807v1:11:16556379:16559982:1 gene:Vigun11g066600.v1.2 transcript:Vigun11g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMENIESQTSNITTVQDEAPELFCKGNPSITLKFRDVIYKIKSRKGGFLKSKIEEKVVLNGVTGMVEPGEILAMLGPSGSGKTTLLAALGGKLGGKLYGSITYNGKAFSNAMKRKTGFVTQDDVLYPHLTVTETLVFTAFLRLPSSLSKMEKIEHAKAVVAQLGLTKCKDSIIGGPLLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSILWELANGGRTVVMTIHQPSSRIYSMFHRVLLLSEGNLLYFGNGSEAIEYFTNIGFAPTMPLNPSDFLLDLANGVYTGQSNEDHALNKNKLISAYRNYFDVKFKPVIQEIPEYGRTQGRFEGNEFGEWPTSWSDQFLVLLERDVKERKYASFSGLKVFQVLVVALITGLLWYKCDISRLQDQIGILFFLTSFWGSMPLYQAIFTFPQELMMLEKERSSGMYKLSSYFMSRMVGDLPMELVLPSIFLTIIYWLVGLKNNVVNFSFTLLTILLDVLVSQGLGLAIGAIVMDQKSATTLASVIVLTSVLVSGYYIQHVPKFVAWLKYFSINYYIYHLLIGSQYGSNDTYPCSHGQCLVAEYPLIEQMGLHFQGKIMAASALFIMLIGYRLVAYLALMKIGMTKKMR >Vigun05g119700.3.v1.2 pep primary_assembly:ASM411807v1:5:13064641:13072414:-1 gene:Vigun05g119700.v1.2 transcript:Vigun05g119700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEGMDVNNIKTWLKKHSDNISALDRNMHHDSEFISMLMNNLSVKIESSHAQHSEAIGALGQENEQLLSDVKSMKTERDMIRREMKEINKTLEKLETDNMEAERMLEEAEDVISKLDAEMETSKKSSADTIAGLSSKHAKQVKKLKS >Vigun05g119700.4.v1.2 pep primary_assembly:ASM411807v1:5:13064664:13072356:-1 gene:Vigun05g119700.v1.2 transcript:Vigun05g119700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEGMDVNNIKTWLKKHSDNISALDRNMHHDSEFISMLMNNLSVKIESSHAQHSEAIGALGQENEQLLSDVKSMKTERDMIRREMKEINKTLEKLETDNMEAERMLEEAEDVISKLDAEMETSKKSSADTIAGLSSKHAKQVKKLKS >Vigun05g119700.2.v1.2 pep primary_assembly:ASM411807v1:5:13064641:13072414:-1 gene:Vigun05g119700.v1.2 transcript:Vigun05g119700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEGMDVNNIKTWLKKHSDNISALDRNMHHDSEFISMLMNNLSVKIESSHAQHSEAIGALGQENEQLLSDVKSMKTERDMIRREMKEINKTLEKLETDNMEAERMLEEAEDVISKLDAEMETSKKSSADTIAGLSSKHAKQVKKLKS >Vigun01g219250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39311543:39313780:-1 gene:Vigun01g219250.v1.2 transcript:Vigun01g219250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQFFWLLCLVMAKTRTLVLVLPLCVLTLLLVTNTAKCQEFFFNGFDGASATNIALNGGAVIDHKGLLRLTNNTQRVIGHAFYPTPIQFKHNNKSSSTDSKLFSFSTAFAFAIIPQYPKLGGHGFAFTISRSSSLSDAYPSQYLGLLNPKEVGNFSNHLFAVEFDTVQDFEFEDINDNHVGVNLNNMVSNKSVHAAFFPEDSTNKQNLSLKSGKVTQAWVDYDSSTNQLEVRLSPTSSKPTSPILKYTVDLSPILQDSMYVGFSASTGLLASTHYILGWSFKINGEAKTLSLHNLPSLSASNKTQKRLTLGLSLLLILTLAAISLACYLFRRMKNTEVIEAWERDVVGPHRFPYKELHKATKGFKDKNLLGFGGSGRVYKGVLPKSHMEIAVKRISHESKQRMQEFVSEVSTIGRLRHRNLVQLLGWCRKQNDLLLVYDFMRNGSLDRYLFDQPRKILTWEERFKIIKGVGLGLVYLHEEWEQTVIHRDVKAGNVLLDSDMNGRLGDFGLAKLYEHGANPCTTRVVGTMGYLAPELTRTGKPTTSSDVYAFGALLLEVVCGRRPIEVKALPEELVLVDWVWDRWRMGAPLTVVDPRLGGAFDEVEVLVVIKVGLLCSAEAPEKRPSMRQVVRYLEKEVAPPPPVVYGKKEDGGGGYYEELHSYSPWSSVGDDVASSLSLSGGR >Vigun09g194300.1.v1.2 pep primary_assembly:ASM411807v1:9:36899667:36901258:-1 gene:Vigun09g194300.v1.2 transcript:Vigun09g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHLLLAKFKDDITPEKIEELIKGYANLVNLIPPMKAFHWGKDVSAENLHQGFTHVFESTFESTEGVAEYVAHPAHVEFANRFLSSTEKVVVIDYKPTS >Vigun09g265100.1.v1.2 pep primary_assembly:ASM411807v1:9:42921753:42925888:-1 gene:Vigun09g265100.v1.2 transcript:Vigun09g265100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFLFLSLFAITLSLASSQSFIGVNYGQVADNLPPPESTASLLKSTSIGKVRLYGADPAIIKALANSGIGIVIGAANGDIPSLASDPNAASQWVNANVLPYYPASNITLITVGNEILTLADQSLASQLVPAMRNLQNALNAASVKIKVSTVHSMAVLTQSDPPSSGLFNPALQNTLKQLLAVLKDNKSPFTINPYPFFAYQSDTRPETLAFCLFQPNSGRVDSGNGKLYTNMFDAQVDAVHSALSAMGFQDVEIVVAETGWPSRGDSNEVGPSLENAKAFNGNLINHLRSLVGTPLMPGKSVDTYIFALYDEDLKPGPGSERAFGLFKTDGTMAYDAGLAKSSQQSPSTSPKTPVNSSGWCVPKAGVSDNQLQANIDYACSQGIDCGPIQPGGACFEPNTVASHAAFAMNLYYQTSAKNQWNCDFSQSATLTSQNPSYNACTYVGGST >Vigun05g143100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18802808:18804428:1 gene:Vigun05g143100.v1.2 transcript:Vigun05g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDWPAKHGSFCRRRSPFSLQNPFNFCPCHLQLPQALSLIKIYYHPKSFELDLDFLFCLTFLTINFFFHHLFRCILHSIIFFRSQQRDCCCPSSSLTHNTRPLNQFSHSPSSIY >Vigun05g240800.1.v1.2 pep primary_assembly:ASM411807v1:5:43405826:43409130:-1 gene:Vigun05g240800.v1.2 transcript:Vigun05g240800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKSKNKIPLAPDRMKKRGRPPLMLRGRGRGRPPSSSTVPPNIVSNVETGSSFVPYHLTVNPGEDLLEKVATFAKASSLTVCVLSAVGSISRVVFRKPCPPPIIDTVTWEGRFQILSLSGKYTFVPGQEGKYGEHNSWNLAISDFNGAVFGGSVVGPIIVASPVELILATFQLKSAEEIRRHAYSRLKETDTEMVDGKKCGGSSTSELSSPTPKTDDQASESVTPKKADQTSESDTPKTIDKTSESVTTTSKTTDKPTESGTSTTRDYPMNPCIDDAEKVPGEDPKGEGEKESDCLTTDKPPPESVTPKTTDKPPQEQLSSETVDKPPENSTPSTSDKHPDDVTPATSDKRPENVSPATSDQRPENVSPATSDKPPENVSPATSDKPPENVSPATTTKSPENVVAATSDT >Vigun06g174800.1.v1.2 pep primary_assembly:ASM411807v1:6:29538199:29543657:-1 gene:Vigun06g174800.v1.2 transcript:Vigun06g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFGKESLREERRGEVREAKAEVSRAEVVENENIEKEKEKEGEREEKRTRARGERRRSSKPKPNPRLSNPPNHVHGEQVAAGWPSWLSKVAGEAINGLTPRRADTFEKLDKIGQGTYSNVYKARDSLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMVHDLAGLATNPAIKFTESQVKCYMHQLFSGLEHCHNRHVLHRDIKGSNLLIDNDGNLRIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATEYSVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIDTLLSIDPDERLTATAALHSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRERAGRGVPVPEANAELQANIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSHHMDPVFDPPDVPFSSTNFSQPKANIQTWSGPLVDPSSGGAPRRKKKHGK >Vigun11g002900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:279661:284049:-1 gene:Vigun11g002900.v1.2 transcript:Vigun11g002900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQIQPSHGEGYNHNDTNQKPRISIKRRTRGPFNPQVHASHDEAYNLMGTNPQQPRILVERQPQNTPLTTHQVSPQPQPQPQVHTSHDENYNLSDTNARHGERWPSGGTYGRRGWMSGGGGDRFTSTFDLVEQMYYLYVRVVKAKDLPPSTITSSCDPYVEVKLGNYRGRTKHFDRKLNPEWNQVFAFSKDRIQSSVLEVFVKDKAMMGRDEYLGRVVFDLNEVPTRVPPDSPLAPQWYRLEDWREEGKVRGDIMLAVWMGTQADEAFSDAWHSDAATVYGEGVFNIRSKVYMSPKLWYLRVNVIEAQDVIPGDRNRLPEVFVKAQVGCQVLTTKICPTRTTTPFWNEDLIFVACEPFEEQLTITVEDRVHPSKDEVLGKISLPMTLFEKRLDQRPVHSRWFSLEKFGFGMIEGDRRNELKFSSRIHMRICLEGGYHVLDESTLYSSDQRPTARQLWKQPIGILEVGILGAQGLLPMKMRDGRGSTDAYCVAKYGQKWVRTRTLLDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGEKDTGRDCRIGKVRIRLSTLEANRIYTNSHPLLVLHPHGVKKMGELQLSVRFTALSLANIVHIYGQPLLPKIHYLHPFTVNQIDNLRYQAMNIVAARLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLFSGMITMGKWFSDVCLWKNHVTSILVHILFLILIWYPELILPTVFLYMFLIGLWNYRFRPRQPPQMDPKLSWAEAVHPDELDEEFDTFPSSRSQDVVRMRYDRLRTVAGRIQTVVGDIATQGERVQSLLSWRDPRATSLFVVFSFCAAVILYATPFRVVALVSGLYFLRHPRFRSKMPSVPSNFFKRLPARTDSLL >Vigun03g278500.1.v1.2 pep primary_assembly:ASM411807v1:3:45605411:45612988:-1 gene:Vigun03g278500.v1.2 transcript:Vigun03g278500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGSAGEANANNQNYTRPHFSGHSQEMPHPLFPYLVMDRTFPQPVLNLPEEGAYNIGATTDVPLQENPNVVPPEEEDVDRYLNFDMIPEVATISPAMDPSSNIVALGVNESDSNSVNNISRKRSLPESASRQIEEPKRLDTRSQVRDTRNVIPQSAGTTIPSTNMRADSMDNTNHTTGSHVQPYTSTHSLHSSFQPSHPVQPPSFHSTPFMSNPALTVNTMTAMNAPHSISSPFPHAGMDIIAPIYERGSYMFGPVVTSMGNFPTYRPNWSYVDTDRDTARRSLAFVVPHPFEPPELQAVQLLPGIVGNGGGVSIGGANTYHPLTDHASSSTWFPPQGSGGMHHFGAVISPATQNLQSLMQTSSPAIPPIFIHEPAFPLPQFPSEETRRGLPNEVQSLLNSLSDGQALRFEELMILDYSLVLRAFEREHPELFETSSRSTGLSLETITQYMERETFLVVDGDDSEQNKEKCIVCMEEFCNGEGIGKLHSCVHKFHFDCIKTWLNRKNVCPVCRRTGLETPNDQNVEGKAADAAVVHDEGHSQ >Vigun07g166250.1.v1.2 pep primary_assembly:ASM411807v1:7:28022608:28023635:1 gene:Vigun07g166250.v1.2 transcript:Vigun07g166250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGTRLYPLPGGDGDGRGFHNSPAILFFLSGCIYSRTELLIQKLPFQRLVREIAQDFKTDLRFQISAVSALQEAAEAYLVGLFEDTNLCAIHTKRVTIMPKDIQLARRIRGERA >Vigun03g313500.2.v1.2 pep primary_assembly:ASM411807v1:3:50632702:50636189:-1 gene:Vigun03g313500.v1.2 transcript:Vigun03g313500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATMGESPRSPEAKLGMRVEDLWDVQEAQLSPTEKLNACFESIPVSAFPLAPSNQEIEIKSDATLAEAVMKLARHNVFSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPASPMSPSTPTSAKAIAAAANGLSFALELEALGLGSAATTAGNFFLDLTSSELYKNTTVRDIAGTFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVLDLGSGTIDKIISQSAVIHMLAECAGLQWFESWGTKKISEVGLPLVTPDQIIKVYEDEPVLQAFKVMRKKRVGAVPVIERDSGKAVGNISLRDVQFLLNAPEIYHDYSKGFPDSG >Vigun03g313500.1.v1.2 pep primary_assembly:ASM411807v1:3:50632702:50636189:-1 gene:Vigun03g313500.v1.2 transcript:Vigun03g313500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATMGESPRSPEAKLGMRVEDLWDVQEAQLSPTEKLNACFESIPVSAFPLAPSNQEIEIKSDATLAEAVMKLARHNVFSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPASPMSPSTPTSAKAIAAAANGLSFALELEALGLGSAATTAGNFFLDLTSSELYKNTTVRDIAGTFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVLDLGSGTIDKIISQSAVIHMLAECAGLQWFESWGTKKISEVGLPLVTPDQIIKVYEDEPVLQAFKVMRKKRVGAVPVIERDSGKAVGNISLRDVQFLLNAPEIYHDYRAITVKDFLTAVRSYLEKNKNAFPMVSEYVTCREDCTIKELIQLLDQEKIHRVYVVDNDGDLQGLITLRDIISRLVHEPRGYFGDFFDGVLPLPANTRV >Vigun03g060600.2.v1.2 pep primary_assembly:ASM411807v1:3:4960127:4961500:1 gene:Vigun03g060600.v1.2 transcript:Vigun03g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVSGPEEQGSMTFMGSLRVIELQLVAFVLVFSASGLVPLLDLAYSGLISIYLMLLARFAFPSHGHGPGPMFHGSRLFQMYVVVGTVVGLFLPLAYVLGGFARGDKHAVQASSPHLFLLSFQILSENVISRFSMFSAPVRAMVPLMYTVRRIFVDIDWVSDVWLNQTLVTNANLQVVAKGFYEILQRKGRGLCQVNGRPTICDQQQISFTRQQVTLIRIAWISMSY >Vigun03g060600.1.v1.2 pep primary_assembly:ASM411807v1:3:4960127:4961498:1 gene:Vigun03g060600.v1.2 transcript:Vigun03g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVSGPEEQGSMTFMGSLRVIELQLVAFVLVFSASGLVPLLDLAYSGLISIYLMLLARFAFPSHGHGPGPMFHGSRLFQMYVVVGTVVGLFLPLAYVLGGFARGDKHAVQASSPHLFLLSFQILSENVISRFSMFSAPVRAMVPLMYTVRRIFVDIDWVSDVWLNQTLVTNANLQEKAWFWFGRVLALANLVFFSMNLFGFLIPRLLPRAFMKYFKERGEVYAKSMEDQRFVINNKSLSHDNKSH >Vigun08g083400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17845141:17849206:1 gene:Vigun08g083400.v1.2 transcript:Vigun08g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRIILAQQTKDPSEKISILYEVLDDPSSGCWALRAKEQAIIHLAEQFMEDNWAVDLYSLLTHLRSFFSLIPKAQTANVMRNIIDSVGAIPGSSDLEITICKEMVQWTRAERRTFLRQRLEARLAALLVEYEEYSEALTLLNGLVKEVIRLDDKLLLIDVHLLESKLHFSLRNFQKAKAALIAARTAANAVYVPPVDQFSIDFQGGILHAEDKDYNTAYRYFFEAFKSFSALDKKDPNVVLSLKYMLLCKIMVGQAHHVAGIISHEAGPKYVGPDLAVMKIVADAVCRRSLKLFKIVLRNYKPQLEEDPIVHRHLSFLHGDILEHNLCRLIEPFSRVEIVHVSELIGLPVDDVERKLSQMILDKKLGGTLDQSGCLVIFDDPKTDALYTSTFQTISNIGKVVDSLYARSAKIIA >Vigun08g083400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17842061:17849228:1 gene:Vigun08g083400.v1.2 transcript:Vigun08g083400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRIILAQQTKDPSEKISILYEVLDDPSSGCWALRAKEQAIIHLAEQFMEDNWAVDLYSLLTHLRSFFSLIPKAQTANVMRNIIDSVGAIPGSSDLEITICKEMVQWTRAERRTFLRQRLEARLAALLVEYEEYSEALTLLNGLVKEVIRLDDKLLLIDVHLLESKLHFSLRNFQKAKAALIAARTAANAVYVPPVDQFSIDFQGGILHAEDKDYNTAYRYFFEAFKSFSALDKKDPNVVLSLKYMLLCKIMVGQAHHVAGIISHEAGPKYVGPDLAVMKIVADAVCRRSLKLFKIVLRNYKPQLEEDPIVHRHLSFLHGDILEHNLCRLIEPFSRVEIVHVSELIGLPVDDVERKLSQMILDKKLGGTLDQSGCLVIFDDPKTDALYTSTFQTISNIGKVVDSLYARSAKIIA >Vigun08g083400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17843049:17849206:1 gene:Vigun08g083400.v1.2 transcript:Vigun08g083400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRIILAQQTKDPSEKISILYEVLDDPSSGCWALRAKEQAIIHLAEQFMEDNWAVDLYSLLTHLRSFFSLIPKAQTANVMRNIIDSVGAIPGSSDLEITICKEMVQWTRAERRTFLRQRLEARLAALLVEYEEYSEALTLLNGLVKEVIRLDDKLLLIDVHLLESKLHFSLRNFQKAKAALIAARTAANAVYVPPVDQFSIDFQGGILHAEDKDYNTAYRYFFEAFKSFSALDKKDPNVVLSLKYMLLCKIMVGQAHHVAGIISHEAGPKYVGPDLAVMKIVADAVCRRSLKLFKIVLRNYKPQLEEDPIVHRHLSFLHGDILEHNLCRLIEPFSRVEIVHVSELIGLPVDDVERKLSQMILDKKLGGTLDQSGCLVIFDDPKTDALYTSTFQTISNIGKVVDSLYARSAKIIA >Vigun08g083400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17842106:17849170:1 gene:Vigun08g083400.v1.2 transcript:Vigun08g083400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRIILAQQTKDPSEKISILYEVLDDPSSGCWALRAKEQAIIHLAEQFMEDNWAVDLYSLLTHLRSFFSLIPKAQTANVMRNIIDSVGAIPGSSDLEITICKEMVQWTRAERRTFLRQRLEARLAALLVEYEEYSEALTLLNGLVKEVIRLDDKLLLIDVHLLESKLHFSLRNFQKAKAALIAARTAANAVYVPPVDQFSIDFQGGILHAEDKDYNTAYRYFFEAFKSFSALDKKDPNVVLSLKYMLLCKIMVGQAHHVAGIISHEAGPKYVGPDLAVMKIVADAVCRRSLKLFKIVLRNYKPQLEEDPIVHRHLSFLHGDILEHNLCRLIEPFSRVEIVHVSELIGLPVDDVERKLSQMILDKKLGGTLDQSGCLVIFDDPKTDALYTSTFQTISNIGKVVDSLYARSAKIIA >Vigun08g083400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17842061:17849228:1 gene:Vigun08g083400.v1.2 transcript:Vigun08g083400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRIILAQQTKDPSEKISILYEVLDDPSSGCWALRAKEQAIIHLAEQFMEDNWAVDLYSLLTHLRSFFSLIPKAQTANVMRNIIDSVGAIPGSSDLEITICKEMVQWTRAERRTFLRQRLEARLAALLVEYEEYSEALTLLNGLVKEVIRLDDKLLLIDVHLLESKLHFSLRNFQKAKAALIAARTAANAVYVPPVDQFSIDFQGGILHAEDKDYNTAYRYFFEAFKSFSALDKKDPNVVLSLKYMLLCKIMVGQAHHVAGIISHEAGPKYVGPDLAVMKIVADAVCRRSLKLFKIVLRNYKPQLEEDPIVHRHLSFLHGDILEHNLCRLIEPFSRVEIVHVSELIGLPVDDVERKLSQMILDKKLGGTLDQSGCLVIFDDPKTDALYTSTFQTISNIGKVVDSLYARSAKIIA >Vigun11g164100.1.v1.2 pep primary_assembly:ASM411807v1:11:37154106:37157110:1 gene:Vigun11g164100.v1.2 transcript:Vigun11g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSSLFLALFALLLVRSSNVTSHETVSVSPTIDISINRNTFPQGFIFGAGSSSYQFEGAAMEGGKGESVWDTFTHKYPAKIQDRSNGDVAIDSYHHYKEDVKMMKDVNLDSYRFSISWSRILPKGKLSGGINQEGINYYNNLINELVANGIKPFVTLFHWDLPQALEDEYGGFLSPLIVKDFRDYAELCFKEFGDRVKYWVTLNEPWSYSQNGYASGEMAPGRCSAWMNSNCTGGDSSTEPYLVTHHQLLAHAAAVRLYKAKYQTSQEGVIGITLVANWFLPLRDTKADQKAAERAIDFMYGWFMDPLTSGDYPKSMRSLVRTRLPKFTADQARQLIGSFDFIGLNYYSTTYSSDAPQLSNANPSYITDSLVTAAFERDGKPIGIKIASDWLYVYPRGIRDLLLYTKDKYNNPLIYITENGVNEYNEPSLSLEESLMDTFRIDYHYRHLYYLLSAIRNGANVKGYYVWSFFDNFEWSSGYTSRFGMVFIDYKNGLKRYPKLSAMWYKNFLKKETRLYASSK >Vigun11g164100.2.v1.2 pep primary_assembly:ASM411807v1:11:37154095:37157110:1 gene:Vigun11g164100.v1.2 transcript:Vigun11g164100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSSLFLALFALLLVRSSNVTSHETVSVSPTIDISINRNTFPQGFIFGAGSSSYQFEGAAMEGGKGESVWDTFTHKYPAKIQDRSNGDVAIDSYHHYKEDVKMMKDVNLDSYRFSISWSRILPRKLSGGINQEGINYYNNLINELVANGIKPFVTLFHWDLPQALEDEYGGFLSPLIVKDFRDYAELCFKEFGDRVKYWVTLNEPWSYSQNGYASGEMAPGRCSAWMNSNCTGGDSSTEPYLVTHHQLLAHAAAVRLYKAKYQTSQEGVIGITLVANWFLPLRDTKADQKAAERAIDFMYGWFMDPLTSGDYPKSMRSLVRTRLPKFTADQARQLIGSFDFIGLNYYSTTYSSDAPQLSNANPSYITDSLVTAAFERDGKPIGIKIASDWLYVYPRGIRDLLLYTKDKYNNPLIYITENGVNEYNEPSLSLEESLMDTFRIDYHYRHLYYLLSAIRNGANVKGYYVWSFFDNFEWSSGYTSRFGMVFIDYKNGLKRYPKLSAMWYKNFLKKETRLYASSK >Vigun07g227400.3.v1.2 pep primary_assembly:ASM411807v1:7:34939434:34942359:-1 gene:Vigun07g227400.v1.2 transcript:Vigun07g227400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCSARAGVGVGLLRCGKSCRLRWINYLRPGIKRGPFTSEEESTIVQLHGMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLLRSCHSLREKHSCLVLDQTVVKSESPSTRHMVQWESVRVEAEARLSMESSLLNSWPKSSTCPDHFLHLWHSEVGNSFRMVKGKEGVVVSQSPVSHPSSSSKLESCSDVSLQVKNNGTSSLNPCIEDVNMVHEQISSYKPKLDDTAGSDSGNYEFLDTSDSALKHLLHMSDGDVGFLGQNDNFLNLLDATCDQDSFFHISAEKGNL >Vigun07g227400.8.v1.2 pep primary_assembly:ASM411807v1:7:34939434:34942359:-1 gene:Vigun07g227400.v1.2 transcript:Vigun07g227400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPCCEKHGVKRGAWTPEEDQTLVDYIKEHGHGSWRTLPKHAGLLRCGKSCRLRWINYLRPGIKRGPFTSEEESTIVQLHGMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLLRSCHSLREKHSCLVLDQTVVKSESPSTRHMVQWESVRVEAEARLSMESSLLNSWPKSSTCPDHFLHLWHSEVGNSFRMVKGKEGVVVSQSPVSHPSSSSKLESCSDVSLQVKNNGTSSLNPCIEDVNMVHEQISSYKPKLDDTAGSDSGNYEFLDTSDSALKHLLHMSDGDVGFLGQNDNFLNLLDATCDQDSFFHISAEKGNL >Vigun07g227400.6.v1.2 pep primary_assembly:ASM411807v1:7:34939373:34942392:-1 gene:Vigun07g227400.v1.2 transcript:Vigun07g227400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPCCEKHGVKRGAWTPEEDQTLVDYIKEHGHGSWRTLPKHAGLLRCGKSCRLRWINYLRPGIKRGPFTSEEESTIVQLHGMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLLRSCHSLREKHSCLVLDQTVVKSESPSTRHMVQWESVRVEAEARLSMESSLLNSWPKSSTCPDHFLHLWHSEVGNSFRMVKGKEGVVVSQSPVSHPSSSSKLESCSDVSLQVKNNGTSSLNPCIEDVNMVHEQISSYKPKLDDTAGSDSGNYEFLDTSDSALKHLLHMSDGDVGFLGQNDNFLNLLDATCDQDSFFHISAEKGNL >Vigun07g227400.9.v1.2 pep primary_assembly:ASM411807v1:7:34939451:34942359:-1 gene:Vigun07g227400.v1.2 transcript:Vigun07g227400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCSARAGVGVGLLRCGKSCRLRWINYLRPGIKRGPFTSEEESTIVQLHGMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLLRSCHSLREKHSCLVLDQTVVKSESPSTRHMVQWESVRVEAEARLSMESSLLNSWPKSSTCPDHFLHLWHSEVGNSFRMVKGKEGVVVSQSPVSHPSSSSKLESCSDVSLQVKNNGTSSLNPCIEDVNMVHEQISSYKPKLDDTAGSDSGNYEFLDTSDSALKHLLHMSDGDVGFLGQNDNFLNLLDATCDQDSFFHISAEKGNL >Vigun07g227400.7.v1.2 pep primary_assembly:ASM411807v1:7:34939434:34942359:-1 gene:Vigun07g227400.v1.2 transcript:Vigun07g227400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCSARAGVGVGLLRCGKSCRLRWINYLRPGIKRGPFTSEEESTIVQLHGMLGNRWAAIASQLPGRTDNEIKNYWNTHLKKRLLRSCHSLREKHSCLVLDQTVVKSESPSTRHMVQWESVRVEAEARLSMESSLLNSWPKSSTCPDHFLHLWHSEVGNSFRMVKGKEGVVVSQSPVSHPSSSSKLESCSDVSLQVKNNGTSSLNPCIEDVNMVHEQISSYKPKLDDTAGSDSGNYEFLDTSDSALKHLLHMSDGDVGFLGQNDNFLNLLDATCDQDSFFHISAEKGNL >Vigun09g133450.1.v1.2 pep primary_assembly:ASM411807v1:9:29268560:29271597:-1 gene:Vigun09g133450.v1.2 transcript:Vigun09g133450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINEFHKGALESRLGIPMIYGIDAVHGHNNVYNATIFPYNVGLGCTRDPDLAERIGAATALEVRATGIPYVFAPCIAVCSNPRWGRCYESYSEDAKIV >Vigun09g103300.2.v1.2 pep primary_assembly:ASM411807v1:9:18043827:18048082:1 gene:Vigun09g103300.v1.2 transcript:Vigun09g103300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHQTLAFIFGLLGNIISFMVFLAPLPTFYQIYKKKSAEGFQSLPYIVALFSSMLWIYYALVKREGTLLLITINSFGCVIETFYLVVFLVYAPSKTRLSTIMIILLLDVFGFGAMLLLTLFLTKGSKRLSVIGWISLVFNISVFAAPLGIMKRVIKTKSVEFMPLSLSFFLTLNAIMWFFYGLLLNDYYIALPNTLGFLFGIIQMVLYLIYRNAKRNKLMKLQEPNSHEIIEIGEISRMEPSELNHISSGAVTEIATEDPNRREEGNGNPKNIMDSHSNM >Vigun01g153000.5.v1.2 pep primary_assembly:ASM411807v1:1:33547442:33552232:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun01g153000.4.v1.2 pep primary_assembly:ASM411807v1:1:33546257:33551667:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun01g153000.6.v1.2 pep primary_assembly:ASM411807v1:1:33547442:33552232:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun01g153000.3.v1.2 pep primary_assembly:ASM411807v1:1:33547421:33551667:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun01g153000.1.v1.2 pep primary_assembly:ASM411807v1:1:33547441:33552470:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun01g153000.2.v1.2 pep primary_assembly:ASM411807v1:1:33545182:33552433:-1 gene:Vigun01g153000.v1.2 transcript:Vigun01g153000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESKKLSKSWPKLAVRKWLNTKNSAEKFQSDYDATATGMERRKSCSDEDRYVTVLDDDFSEGKSGMKGLVLGKQSSPGSGTGAMNLRMFVGTWNVGGKSPKEGLSLRNWLTSPSEPHIYVIGFQEIVPLNAGNVLGPEDSGPAAKWVGLIHEALNINNYDDDENNRDDDHKEKFPKTPRRYCLAASKQMVGIFLCVWVRADLCNHVSNLKVSCVGRGIMGYLGNKGSTSISMTLYNTTFCFVCTHLASGEKFGDELRRNLDVSEILKKTKFSHSFKSPPHSLSPESILEHDNIIWLGDLNYRLAAGYEDTHELLKKNNWQALLEKDQLRIEQRAGRVFKGWNEGDIYFAPTYKYLTNSDHYVAQSSKSREKRRTPAWCDRILWKGERLNQMWYVRGESKFSDHRPVYSLFSVEVDLTSKNRVPRSCTLKPLTSTALSSTSCAAKVQAEEQLFLLTRAQSCIDTVPRF >Vigun03g425900.1.v1.2 pep primary_assembly:ASM411807v1:3:63137463:63141999:1 gene:Vigun03g425900.v1.2 transcript:Vigun03g425900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSSARRVSRFFLSPHLRTSEAPHSSALSPFSGLVQHDYRRVTTDPIHCFLSKAFFSSGVDTVEGAPSGDVKELYDKMLDSVKVKRSMPPNAWLWSMIANCKHQHDIRLLFDILQNLRIFRLSNLRIHDNFNCNLCREVTKACVLAGALDFGKKALWKHNVYGLTPNIASAHSLLLYAKDHNDTKLLVEVMKLVKKNDLPLQPGTADIVFSICYNTDDWVLISKYAQRFVKAGVKLRQTSFETWMQFAAKRGDTKSLWTIEKLRSETMKQHTLATGFSCAKGLLLERKPSDAVAVIQVLNQTLSDKKKSGIKDELQKLVSEWPLEVIKHQKDEDRKALAASLKSDIPIMVSELLSMGLEANVSLEDLDRKEGIPQ >Vigun01g156600.1.v1.2 pep primary_assembly:ASM411807v1:1:33870567:33872411:1 gene:Vigun01g156600.v1.2 transcript:Vigun01g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVSVQTLEGDAAPTVPLPDVMKAPIRPDIVNFVHSNISRNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRMFAPTKIWRRWHRKINVQQKRHAVVSAIAASAIPSLVQARGHRIESVPELPLVVSDSIESVEKSKEAVKILQKIGAFPDAEKAKLSHGIRPGKGKMRNRRYISRKGPLIVYGSEGAKAVKAFRNIPGVEIANVDRLNLLKLAPGGHLGRFIIWTKSAFEKLDSIYGSFDKVSEKKKGYVLPRPKKVNSDLARIINSDEVQSVVRPINKEVKRATLKKNPLKNLNVMLKLNPYAKTAKRMALLAEKQRLVAKKEKLDQKRNIVSKEEASAIRAAGKAWYQTMVSDSDYTEFENFSKWLGVSQ >Vigun05g066800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5771147:5771744:1 gene:Vigun05g066800.v1.2 transcript:Vigun05g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCDEMFIYREGVKRRSGRRWKKMSSSGRSVSMVWVFMLILSMVKNGMGEKVVVRATNSLGENVNLDIECTVDEGPPITLIPGTSHQWNYFFDKEFICFFQWFGAQSSGYHSFDMFVKSRDKASNLSWFIKPDGPCRVAPDGSSLCFPWRT >Vigun01g065650.1.v1.2 pep primary_assembly:ASM411807v1:1:17359697:17362417:-1 gene:Vigun01g065650.v1.2 transcript:Vigun01g065650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVECPCGLDDVEILYHSTCFLSKCPQGLLKQESVLANLLVFFKWDFCHGKLNLQFVVISGKSKDKHRNKHRKGDAHAEFLQLSKDDYFAKNNEFAAWLKEEKNVFFSDLLSESARELFTDFVKAWNKGKLDSQYYEGIASGPRTSHNWKIKK >VigunL010401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:53253:54055:1 gene:VigunL010401.v1.2 transcript:VigunL010401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFALLAACLLEKASGSKGYLSVNFSTITPKKPNSALRKVARVRLTSGFEMTAYIPGIGHNLQEHSVVLVRGVRVLSFVVALNCLSKRRIARSSNKSSQRVEVE >VigunL059181.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000511.1:26302:26891:1 gene:VigunL059181.v1.2 transcript:VigunL059181.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTHKPKDGNKTKDGTSRTVSLRRPLLYTQKQRKAQLRMIYFPKSWVKRDLALYAHMEKGCTYRFMGN >Vigun08g188500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35683549:35687941:1 gene:Vigun08g188500.v1.2 transcript:Vigun08g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFKSPTNVSRVTLIRFKIPFFPLCTTAPGSNLNDNECESSDAETEWERLLKPFDLKQLRRSLAPISPFQLCKLLVLPLDIPTSMELFQRAGAQKGYCHTFDAYYLLIDKLGAVGDFKIVENLLKQMKDEGVVFKESLFILIMKHYGKAGLPGQATRLLLDMWGVYSCDPTFKSYNVVLQILVDGNCPRVAPNVFYDMLSRGVSPTVHTFGLVMKALCVVNEVDSACSLLRDMAKHGCVPNSVIYQTLIHALCENNRVSEAMRLLEDMFLMCCEPDVQTFNDVIRGLCRAGRIHEAAKLLDRMLLRGFGADALTYGYLMHGLCRMGQVDEARTLLNKIPSPNTVLYNTLINGYVSSGRFEEAKDVLYNSMVTAGYEPDAYTFNIMIDGLCKKGYLVSALEFLSEVVAKGFEPNVITYTILINGFCKQGRLEEVAEILNSMSAKGLSLTTVGYNCLICALSKDGKIKEALQLFCEMSTKGCKPDIYTFNSLIHGLCMNDKMEQALSLYHDMFLEGVIANTVTYNTLISALLMRDSVQQAFKLVDEMLFRGCPLDSITYNGLIKVLCKTGSVEKGLGLFEEMLGKGIFPTIITCNILISALCKTGKVNDALKFLRDMIHRGLTPNIVSYNCLINGLCKMGHVQEALNIFNRLQGEGICPDDITYNTLISRHCHEDMFNEACLLLYRGVDNGFIPNEITWSILINYFVKKIPRGARLSKDYMVEFGASSS >Vigun08g188500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35683644:35687908:1 gene:Vigun08g188500.v1.2 transcript:Vigun08g188500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFKSPTNVSRVTLIRFKIPFFPLCTTAPGSNLNDNECESSDAETEWERLLKPFDLKQLRRSLAPISPFQLCKLLVLPLDIPTSMELFQRAGAQKGYCHTFDAYYLLIDKLGAVGDFKIVENLLKQMKDEGVVFKESLFILIMKHYGKAGLPGQATRLLLDMWGVYSCDPTFKSYNVVLQILVDGNCPRVAPNVFYDMLSRGVSPTVHTFGLVMKALCVVNEVDSACSLLRDMAKHGCVPNSVIYQTLIHALCENNRVSEAMRLLEDMFLMCCEPDVQTFNDVIRGLCRAGRIHEAAKLLDRMLLRGFGADALTYGYLMHGLCRMGQVDEARTLLNKIPSPNTVLYNTLINGYVSSGRFEEAKDVLYNSMVTAGYEPDAYTFNIMIDGLCKKGYLVSALEFLSEVVAKGFEPNVITYTILINGFCKQGRLEEVAEILNSMSAKGLSLTTVGYNCLICALSKDGKIKEALQLFCEMSTKGCKPDIYTFNSLIHGLCMNDKMEQALSLYHDMFLEGVIANTVTYNTLISALLMRDSVQQAFKLVDEMLFRGCPLDSITYNGLIKVLCKTGSVEKGLGLFEEMLGKGIFPTIITCNILISALCKTGKVNDALKFLRDMIHRGLTPNIVSYNCLINGLCKMGHVQEALNIFNRLQGEGICPDDITYNTLISRHCHEDMFNEACLLLYRGVDNGFIPNEITWSILINYFVKKIPRGARLSKDYMVEFGASSS >Vigun03g396700.1.v1.2 pep primary_assembly:ASM411807v1:3:60299680:60305290:-1 gene:Vigun03g396700.v1.2 transcript:Vigun03g396700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRCILHWQPSQGTMVNSQILNEISQCVETLNGVKEGRCKASLTFYRPNLRDPSTAIDFPRDFLGISMLEQPNKYYFIIRGQKIVLEADYSILTIMEKLQSYKSKVALHFEGALYKLGDFQVRVIKVVPNQAESLRGIMIEIEYLPISSVEKSKHIMEDFIDLWKEVVSKKSLAGQFVHTEPNYAEYGLSDNYTCQHTAVQYAAALAQLIQSSQLRN >Vigun05g252100.4.v1.2 pep primary_assembly:ASM411807v1:5:44661653:44666408:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSTQSQDSVMLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.5.v1.2 pep primary_assembly:ASM411807v1:5:44661720:44666129:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSTQSQDSVMLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.8.v1.2 pep primary_assembly:ASM411807v1:5:44661668:44666348:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.6.v1.2 pep primary_assembly:ASM411807v1:5:44661668:44666348:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.9.v1.2 pep primary_assembly:ASM411807v1:5:44661668:44666348:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.1.v1.2 pep primary_assembly:ASM411807v1:5:44661653:44666408:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSTQSQDSVMLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g252100.7.v1.2 pep primary_assembly:ASM411807v1:5:44661668:44666348:-1 gene:Vigun05g252100.v1.2 transcript:Vigun05g252100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKFPEASSGTSNSSVVNAEGSSNEDSCSTRAGDLFTFNFGILKVEGADDVVVTATKELFPVSAENWQGQSSTSSFQARKSLVDLSLDRQNGEVKVVQVQQQPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVDYEEDLKQMKNLSKEEFVHILRRHSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYESEMKPEAINEGGNHDLDLNLGIATPGHGPKENIGHLQFQPVPFSTHPGRIPRMETNFSSVIGDPSLKRHVVTEERPSVWNPAHYTFFPNMERAERIGIDPSKGVPNWMWQRHGQVTATPVPPFSTAASSGFSISATFPPNAIFPTKSVNSIPQNLCFTSASAPGSNTPQFYYEVKSTQAPYQPI >Vigun05g159850.1.v1.2 pep primary_assembly:ASM411807v1:5:25595784:25596412:-1 gene:Vigun05g159850.v1.2 transcript:Vigun05g159850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSCSSCTCNAWEMKNSSFSSYGGAVRRCDLTPVCYCGEKAITRTARTTKSRGRKFWGCPKFKGGSEEVVGCNFFSWCSENVVEERCGPTKNDDDDTATKMVERDDQSKLIKTKMVEREGENKLISIEKCIIRLEKWVKVLFGMVCLLYVLNIFLFTMY >Vigun11g133800.2.v1.2 pep primary_assembly:ASM411807v1:11:34325912:34331781:-1 gene:Vigun11g133800.v1.2 transcript:Vigun11g133800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLHSVSVLDSPFLRDSHSQSSRRGGEGRRGSTRSSSLLQMWREIEDEHVVNQVQGRPGDVPLEQRRSGLIAELSQEDRLDIQERGQGHVLEDAVLVENESETWSQSQSHNESHEDHEDLNNSSCENSSDLGEVERERVRQIFREWMNSGARDHVSNGSRRSSGSRREWLGETEQERVRVIREWVQMSSQQRSVSSGENREESSAEIGTQIERVRDGLIVNQNGGQNEHTRRGIRKLCGRQAMLDMLKKAERERQREIEDLSVHRAVSQFPYRNRIQALLRGRFLRNDRPVDNNRPLSVAESELGFLRRKQTVSGLREGFFARKENSGCSQATSNVSDTSSNVDNIDFSTNEQMGSNSSHLVPIVHSEQSDPNHRGSDGLGVSDSQSCVQGTTSENLNWQESNAQVGDRMQHLPIGSLDCQSSFSASVDSVNSNEQNVDVLPIDYDANEITQQSLPIEYSMYNNNQESSEVHIEHSELCDINSIENNSSNHGIHMDGNVDDVNWNESSALEVEQPEEAFENEGSDWYQNNIEWRNSTEESAGGNQLSNPGNEWPENTLGNGDGENSHLQESHEAWQEDGGFQEAVENWLGGPSDHESAPAGRIRGFYFPEDDNVYSVELRELLNRRSVSNLLHSSFRDSLDQLIQSYVERQGNAHIDWESQETSPSSDSVEQDLEQQSRDQIVDQDGPVNSPLDLPSLPIPPPLPLWDQHHHHRDNWSQNDINNQRLGIDWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAEIHDCESPEDKSKWECVRKGLCCVCCESNIDSLLYRCGHLCTCSKCANELLQSKKKCPMCQAPVVEVIRAYSIQ >Vigun11g133800.4.v1.2 pep primary_assembly:ASM411807v1:11:34324612:34331781:-1 gene:Vigun11g133800.v1.2 transcript:Vigun11g133800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLHSVSVLDSPFLRDSHSQSSRRGGEGRRGSTRSSSLLQMWREIEDEHVVNQVQGRPGDVPLEQRRSGLIAELSQEDRLDIQERGQGHVLEDAVLVENESETWSQSQSHNESHEDHEDLNNSSCENSSDLGEVERERVRQIFREWMNSGARDHVSNGSRRSSGSRREWLGETEQERVRVIREWVQMSSQQRSVSSGENREESSAEIGTQIERVRDGLIVNQNGGQNEHTRRGIRKLCGRQAMLDMLKKAERERQREIEDLSVHRAVSQFPYRNRIQALLRGRFLRNDRPVDNNRPLSVAESELGFLRRKQTVSGLREGFFARKENSGCSQATSNVSDTSSNVDNIDFSTNEQMGSNSSHLVPIVHSEQSDPNHRGSDGLGVSDSQSCVQGTTSENLNWQESNAQVGDRMQHLPIGSLDCQSSFSASVDSVNSNEQNVDVLPIDYDANEITQQSLPIEYSMYNNNQESSEVHIEHSELCDINSIENNSSNHGIHMDGNVDDVNWNESSALEVEQPEEAFENEGSDWYQNNIEWRNSTEESAGGNQLSNPGNEWPENTLGNGDGENSHLQESHEAWQEDGGFQEAVENWLGGPSDHESAPAGRIRGFYFPEDDNVYSVELRELLNRRSVSNLLHSSFRDSLDQLIQSYVERQGNAHIDWESQETSPSSDSVEQDLEQQSRDQIVDQDGPVNSPLDLPSLPIPPPLPLWDQHHHHRDNWSQNDINNQRLGIDWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAEIHDCESPEDKSKWECVRKGLCCVCCESNIDSLLYRCGHLCTCSKCANELLQSKKKCPMCQAPVVEVIRAYSIQ >Vigun11g133800.3.v1.2 pep primary_assembly:ASM411807v1:11:34324612:34331781:-1 gene:Vigun11g133800.v1.2 transcript:Vigun11g133800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLHSVSVLDSPFLRDSHSQSSRRGGEGRRGSTRSSSLLQMWREIEDEHVVNQVQGRPGDVPLEQRRSGLIAELSQEDRLDIQERGQGHVLEDAVLVENESETWSQSQSHNESHEDHEDLNNSSCENSSDLGEVERERVRQIFREWMNSGARDHVSNGSRRSSGSRREWLGETEQERVRVIREWVQMSSQQRSVSSGENREESSAEIGTQIERVRDGLIVNQNGGQNEHTRRGIRKLCGRQAMLDMLKKAERERQREIEDLSVHRAVSQFPYRNRIQALLRGRFLRNDRPVDNNRPLSVAESELGFLRRKQTVSGLREGFFARKENSGCSQATSNVSDTSSNVDNIDFSTNEQMGSNSSHLVPIVHSEQSDPNHRGSDGLGVSDSQSCVQGTTSENLNWQESNAQVGDRMQHLPIGSLDCQSSFSASVDSVNSNEQNVDVLPIDYDANEITQQSLPIEYSMYNNNQESSEVHIEHSELCDINSIENNSSNHGIHMDGNVDDVNWNESSALEVEQPEEAFENEGSDWYQNNIEWRNSTEESAGGNQLSNPGNEWPENTLGNGDGENSHLQESHEAWQEDGGFQEAVENWLGGPSDHESAPAGRIRGFYFPEDDNVYSVELRELLNRRSVSNLLHSSFRDSLDQLIQSYVERQGNAHIDWESQETSPSSDSVEQDLEQQSRDQIVDQDGPVNSPLDLPSLPIPPPLPLWDQHHHHRDNWSQNDINNQRLGIDWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSSEIHDCESPEDKSKWECVRKGLCCVCCESNIDSLLYRCGHLCTCSKCANELLQSKKKCPMCQAPVVEVIRAYSIQ >Vigun11g133800.1.v1.2 pep primary_assembly:ASM411807v1:11:34325912:34331781:-1 gene:Vigun11g133800.v1.2 transcript:Vigun11g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLHSVSVLDSPFLRDSHSQSSRRGGEGRRGSTRSSSLLQMWREIEDEHVVNQVQGRPGDVPLEQRRSGLIAELSQEDRLDIQERGQGHVLEDAVLVENESETWSQSQSHNESHEDHEDLNNSSCENSSDLGEVERERVRQIFREWMNSGARDHVSNGSRRSSGSRREWLGETEQERVRVIREWVQMSSQQRSVSSGENREESSAEIGTQIERVRDGLIVNQNGGQNEHTRRGIRKLCGRQAMLDMLKKAERERQREIEDLSVHRAVSQFPYRNRIQALLRGRFLRNDRPVDNNRPLSVAESELGFLRRKQTVSGLREGFFARKENSGCSQATSNVSDTSSNVDNIDFSTNEQMGSNSSHLVPIVHSEQSDPNHRGSDGLGVSDSQSCVQGTTSENLNWQESNAQVGDRMQHLPIGSLDCQSSFSASVDSVNSNEQNVDVLPIDYDANEITQQSLPIEYSMYNNNQESSEVHIEHSELCDINSIENNSSNHGIHMDGNVDDVNWNESSALEVEQPEEAFENEGSDWYQNNIEWRNSTEESAGGNQLSNPGNEWPENTLGNGDGENSHLQESHEAWQEDGGFQEAVENWLGGPSDHESAPAGRIRGFYFPEDDNVYSVELRELLNRRSVSNLLHSSFRDSLDQLIQSYVERQGNAHIDWESQETSPSSDSVEQDLEQQSRDQIVDQDGPVNSPLDLPSLPIPPPLPLWDQHHHHRDNWSQNDINNQRLGIDWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSSEIHDCESPEDKSKWECVRKGLCCVCCESNIDSLLYRCGHLCTCSKCANELLQSKKKCPMCQAPVVEVIRAYSIQ >Vigun04g029300.1.v1.2 pep primary_assembly:ASM411807v1:4:2267255:2270643:-1 gene:Vigun04g029300.v1.2 transcript:Vigun04g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSESNKTKYLLVCEHSRISVVEEERMGLSKEEITEETKKQLWLSWPMIFVSVFQFSLQLISLMFVGHLSELPLAAVSLSTSIVNATGFMLMMGLSSALDTFCGQAHGAKQYHMLGVHTQAAMVVLTIVSIPISIIWVFLEPILVLLHQNKDVAELAQLYARYLIPSLSANALLRCIIKFLQTQNILFPMVLATGLTTLLHLLLCWAFVQKLEIGIKGSAIAFCISNWFNTIVLALYIRLSPSCRSTWTGFSKESLHIIPKFFRLAFPSAVMVCLEAWAFEITVILSGLLPNAKLETSVLSICLNTAGILWMVPFGVSVAGSTRISNELGAGKPKAAYLAVKVTMFLNFLVGLVEFSVLMSLRKVWGRAFTNVDEVLTHLIPMMPILASVKFLDSILTGFQGVARGCGWQKLGAFINLGSYYILGVPFSVVSAFVLHMKGQGLFLGIVLALIVQVVCFLVATLRANWEEEAKKAFARAGGSKVQVEEREGDQSVHTPFIVQQSL >Vigun04g029300.2.v1.2 pep primary_assembly:ASM411807v1:4:2260845:2270643:-1 gene:Vigun04g029300.v1.2 transcript:Vigun04g029300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSESNKTKYLLVCEHSRISVVEEERMGLSKEEITEETKKQLWLSWPMIFVSVFQFSLQLISLMFVGHLSELPLAAVSLSTSIVNATGFMLMMGLSSALDTFCGQAHGAKQYHMLGVHTQAAMVVLTIVSIPISIIWVFLEPILVLLHQNKDVAELAQLYARYLIPSLSANALLRCIIKFLQTQNILFPMVLATGLTTLLHLLLCWAFVQKLEIGIKGSAIAFCISNWFNTIVLALYIRLSPSCRSTWTGFSKESLHIIPKFFRLAFPSAVMVCLEAWAFEITVILSGLLPNAKLETSVLSICLNTAGILWMVPFGVSVAGSTRISNELGAGKPKAAYLAVKVTMFLNFLVGLVEFSVLMSLRKVWGRAFTNVDEVLTHLIPMMPILASVKFLDSILTGFQGVARGCGWQKLGAFINLGSYYILGVPFSVVSAFVLHMKGQGLFLGIVLALIVQVVCFLVATLRANWEEEAKKAFARAGGSKVQVEEREGDQSVHTPFIVQQSL >Vigun09g178900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35124588:35125652:1 gene:Vigun09g178900.v1.2 transcript:Vigun09g178900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNTLHRFVSPTTQTYTYKTTSFPSLFLTCILNLLFLFLFPQPQTMAKTTFLFLFATLLLTSALAVAPSGSPAPNPSPSSPRAAGPKASPSTAPKASPPGASAPAAANASAPSAANASAPASAPAAANASSPTASSPTATAPTAKDASSPTAKDASSPASSPTATAPKASTSPASSPPSPSSSSSPTESPTESPPAPDAADSPDTAADASIPAAAADGPADGPAAEAPVSFAPHRRVSTAGAVAVVLLGAVAGLMV >Vigun01g012700.4.v1.2 pep primary_assembly:ASM411807v1:1:1416647:1424329:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun01g012700.7.v1.2 pep primary_assembly:ASM411807v1:1:1416784:1424328:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun01g012700.9.v1.2 pep primary_assembly:ASM411807v1:1:1416650:1421887:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKAYATEIFREEEQALGLSTVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIVSAAHSSAILRMQVNRNGFTKPQEIILVLKNKKVISLQSFL >Vigun01g012700.2.v1.2 pep primary_assembly:ASM411807v1:1:1416650:1424330:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALHPYSIPGPECC >Vigun01g012700.5.v1.2 pep primary_assembly:ASM411807v1:1:1416650:1424328:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun01g012700.6.v1.2 pep primary_assembly:ASM411807v1:1:1416636:1424328:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKAYATEIFREEEQALGLSTVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun01g012700.1.v1.2 pep primary_assembly:ASM411807v1:1:1416650:1424328:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKAYATEIFREEEQALGLSTVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun01g012700.3.v1.2 pep primary_assembly:ASM411807v1:1:1416650:1424330:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKAYATEIFREEEQALGLSTVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALHPYSIPGPECC >Vigun01g012700.8.v1.2 pep primary_assembly:ASM411807v1:1:1416784:1424328:1 gene:Vigun01g012700.v1.2 transcript:Vigun01g012700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLETSPLLKKKYHQNCPGCKVDQAKEQKKDVSFVNLSFIWLAVLSGTLPVASLFPFVYFMVKDFNIAETEEDISTYAGYVGSSMMFGRALTSILWGMISDRYGRKPVIIIGIITVVIFNTLFGLSTSFWMAISMRFLLGSLNGLLGPIKAYATEIFREEEQALGLSTVVAAWGVGLVIGPALGGYLAQPVLKYPHVFPADSFWDRFPYFLPCFIISAFAFASAIACIWLPETLHNHNDSIESTNNVEVLEIESCGTGKEKDKSIFQNWPFMSSIIVYCVFSLHDVAYQEVFSLWALSPTRLGGLNFTSDDVGNVLAISGLAITIYQLSLYRLVQKACGPVTLVQITGVLSIPLLQCYPFVTRLSGFTLQLVINVVSILKNVMIETIATSLFLLQNKAVEQHQRGTANSIAMTGMSAFKTIGPASAGALLSWSQKHVNGSFLPGTHIVFLALNVVEGLGVLLMFKPFLRVKNEAPPSEQ >Vigun03g244200.3.v1.2 pep primary_assembly:ASM411807v1:3:40676066:40686858:1 gene:Vigun03g244200.v1.2 transcript:Vigun03g244200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKVSTKHDSDGSSDQADSFPIFELRESQNVGQQRIRREEDDTKAVRFDGASAISSSNSANKWMAFARDPGAAVDSNSATNDKRTSEENYLGNHLKDKSSSDQQVLTEANIAERTAEWGLVVNSGNFKTSASFDGDRARNLSDRFAESTRTSGDSNFGSESSSGVLRVSQELRDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEIIGRNCRFLQGPDTDKNEVAKIRDATRNGRSYCGRLLNYKKNGTPFWNLLTVTPIKDNQGNTIKFIGMQVEVSKYTEGVNEKALRPNGLPKSLIRYDARQKEKALGSITEVVQTVKDPKSIISNRSDDTASMQEVEQKMNLDFVLPKSAVAGNTSTPGRQPSPLNIPRISSNQEKIRTSRSGRISLKGLKGKSQSYGGRDEEKYVVEPEVLMTKEIEWSNNLENSLRERDMRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLKNRLSETTELQSAKLVKATAENVDEAVRELPDANLRPEDLWAVHSQPVYPRPHRKDNPSWIAIQKVTARGEKIGLQHFVPIRPLGCGDTGSVHLVELKGTGELYAMKAMEKSVMLNRNKVHRSCIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCHGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHFLGIIYRDLKPENILLQKDGHVVLTDFDLSSMTSCKPQVVKQALPGKRRKSRSEPPPILVAEPITQSNSFVGTEEYIAPEIITGAGHTSAIDWWTLGILLYEMLYGRTPFRGKNRQRTFSNILHKDLTFPSSIPASLAARQLINALLQRDPTSRLGSTTGANEIKQHPFFRGINWPLIRNMTPPPLDVPLKLIGKDPVAKDIKWEDDGVLASSIDMDIF >Vigun03g244200.2.v1.2 pep primary_assembly:ASM411807v1:3:40676066:40686865:1 gene:Vigun03g244200.v1.2 transcript:Vigun03g244200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKVSTKHDSDGSSDQADSFPIFELRESQNVGQQRIRREEDDTKAVRFDGASAISSSNSANKWMAFARDPGAAVDSNSATNDKRTSEENYLGNHLKDKSSSDQQVLTEANIAERTAEWGLVVNSGNFKTSASFDGDRARNLSDRFAESTRTSGDSNFGSESSSGVLRVSQELRDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEIIGRNCRFLQGPDTDKNEVAKIRDATRNGRSYCGRLLNYKKNGTPFWNLLTVTPIKDNQGNTIKFIGMQVEVSKYTEGVNEKALRPNGLPKSLIRYDARQKEKALGSITEVVQTVKDPKSIISNRSDDTASMQEVEQKMNLDFVLPKSAVAGNTSTPGRQPSPLNIPRISSNQEKIRTSRSGRISLKGLKGKSQSYGGRDEEKYVVEPEVLMTKEIEWSNNLENSLRERDMRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLKNRLSETTELQSAKLVKATAENVDEAVRELPDANLRPEDLWAVHSQPVYPRPHRKDNPSWIAIQKVTARGEKIGLQHFVPIRPLGCGDTGSVHLVELKGTGELYAMKAMEKSVMLNRNKVHRSCIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCHGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHFLGIIYRDLKPENILLQKDGHVVLTDFDLSSMTSCKPQVVKQALPGKRRKSRSEPPPILVAEPITQSNSFVGTEEYIAPEIITGAGHTSAIDWWTLGILLYEMLYGRTPFRGKNRQRTFSNILHKDLTFPSSIPASLAARQLINALLQRDPTSRLGSTTGANEIKQHPFFRGINWPLIRNMTPPPLDVPLKLIGKDPVAKDIKWEDDGVLASSIDMDIF >Vigun03g244200.4.v1.2 pep primary_assembly:ASM411807v1:3:40676066:40686858:1 gene:Vigun03g244200.v1.2 transcript:Vigun03g244200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKVSTKHDSDGSSDQADSFPIFELRESQNVGQQRIRREEDDTKAVRFDGASAISSSNSANKWMAFARDPGAAVDSNSATNDKRTSEENYLGNHLKDKSSSDQQVLTEANIAERTAEWGLVVNSGNFKTSASFDGDRARNLSDRFAESTRTSGDSNFGSESSSGVLRVSQELRDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEIIGRNCRFLQGPDTDKNEVAKIRDATRNGRSYCGRLLNYKKNGTPFWNLLTVTPIKDNQGNTIKFIGMQVEVSKYTEGVNEKALRPNGLPKSLIRYDARQKEKALGSITEVVQTVKDPKSIISNRSDDTASMQEVEQKMNLDFVLPKSAVAGNTSTPGRQPSPLNIPRISSNQEKIRTSRSGRISLKGLKGKSQSYGGRDEEKYVVEPEVLMTKEIEWSNNLENSLRERDMRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLKNRLSETTELQSAKLVKATAENVDEAVRELPDANLRPEDLWAVHSQPVYPRPHRKDNPSWIAIQKVTARGEKIGLQHFVPIRPLGCGDTGSVHLVELKGTGELYAMKAMEKSVMLNRNKVHRSCIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCHGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHFLGIIYRDLKPENILLQKDGHVVLTDFDLSSMTSCKPQVVKQALPGKRRKSRSEPPPILVAEPITQSNSFVGTEEYIAPEIITGAGHTSAIDWWTLGILLYEMLYGRTPFRGKNRQRTFSNILHKDLTFPSSIPASLAARQLINALLQRDPTSRLGSTTGANEIKQHPFFRGINWPLIRNMTPPPLDVPLKLIGKDPVAKDIKWEDDGVLASSIDMDIF >Vigun03g244200.1.v1.2 pep primary_assembly:ASM411807v1:3:40676066:40686865:1 gene:Vigun03g244200.v1.2 transcript:Vigun03g244200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKVSTKHDSDGSSDQADSFPIFELRESQNVGQQRIRREEDDTKAVRFDGASAISSSNSANKWMAFARDPGAAVDSNSATNDKRTSEENYLGNHLKDKSSSDQQVLTEANIAERTAEWGLVVNSGNFKTSASFDGDRARNLSDRFAESTRTSGDSNFGSESSSGVLRVSQELRDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEIIGRNCRFLQGPDTDKNEVAKIRDATRNGRSYCGRLLNYKKNGTPFWNLLTVTPIKDNQGNTIKFIGMQVEVSKYTEGVNEKALRPNGLPKSLIRYDARQKEKALGSITEVVQTVKDPKSIISNRSDDTASMQEVEQKMNLDFVLPKSAVAGNTSTPGRQPSPLNIPRISSNQEKIRTSRSGRISLKGLKGKSQSYGGRDEEKYVVEPEVLMTKEIEWSNNLENSLRERDMRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLKNRLSETTELQSAKLVKATAENVDEAVRELPDANLRPEDLWAVHSQPVYPRPHRKDNPSWIAIQKVTARGEKIGLQHFVPIRPLGCGDTGSVHLVELKGTGELYAMKAMEKSVMLNRNKVHRSCIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCHGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHFLGIIYRDLKPENILLQKDGHVVLTDFDLSSMTSCKPQVVKQALPGKRRKSRSEPPPILVAEPITQSNSFVGTEEYIAPEIITGAGHTSAIDWWTLGILLYEMLYGRTPFRGKNRQRTFSNILHKDLTFPSSIPASLAARQLINALLQRDPTSRLGSTTGANEIKQHPFFRGINWPLIRNMTPPPLDVPLKLIGKDPVAKDIKWEDDGVLASSIDMDIF >Vigun02g091400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24637100:24637783:-1 gene:Vigun02g091400.v1.2 transcript:Vigun02g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLDEEEVWKCPKHPSKRRRSGICPICLRDRLATLCPDCANVRPCSCYATSSSSSSSSSSSFSRLPGGAGSVGGVGRVHNLIDQEPGLRRSRSMAIPFLRSRSRFSGGGDRGLDLDSARDSPALNGSRSARSFWSMFKSQKSTRGGAPEQEWEAKKILAEERDGEGSVNPVMVRSKSVAVTAVSGDRELRPRTKGRGWFFPSPMKAFRQSKVSKVVQERSPLYRG >Vigun08g205000.2.v1.2 pep primary_assembly:ASM411807v1:8:36851551:36854783:1 gene:Vigun08g205000.v1.2 transcript:Vigun08g205000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSWMKEYNEALKLAEDISGMISEQSSFPASGPEIQRHSSAIRRKITILGTRLDSLQSLLSKLPGKQPPISDKEMNRRKDMLASLRSKVNQMASTLNMSNFSNRDSLLGPEGKPDAMARTVGLDNNGLVGFQRQIMKEQDEGLEQLEDTIASTKHIALAVNEELTLHTRLIDDLDQHVDVTDSRLRRVQKNLAILNKRTRGGCSCLCMLLSVIGIVFLVVVIWLLVKYL >Vigun08g205000.1.v1.2 pep primary_assembly:ASM411807v1:8:36851552:36854783:1 gene:Vigun08g205000.v1.2 transcript:Vigun08g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSWMKEYNEALKLAEDISGMISEQSSFPASGPEIQRHSSAIRRKITILGTRLDSLQSLLSKLPGKQPPISDKEMNRRKDMLASLRSKVNQMASTLNMSNFSNRDSLLGPEGKPDAMARTVGLDNNGLVGFQRQIMKEQDEGLEQLEDTIASTKHIALAVNEELTLHTRLIDDLDQHVDVTDSRLRRVQKNLAILNKRTRGGCSCLCMLLSVIGIVFLVVVIWLLVKYL >Vigun07g202300.1.v1.2 pep primary_assembly:ASM411807v1:7:32366973:32372641:1 gene:Vigun07g202300.v1.2 transcript:Vigun07g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDNELEMQEPSIETDKLSYEIFSILESKFLFGYDDPKLWFPKQVPSKPESEAPPNVTAVDGVSSVKNQRGKICILAIDGGGMRGILAGKALAYLESALKKKSGDQNATIADYFDVAAGAGVGGIFTAMLFATKDHQRPIFAAEDTWRFLAEKGSKFYRAGGGGGFLKRLLSGGSSSVATATAGLEKAVKEAFTADKGGSLTLKDTIKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFEPVQMRSVDGQTKCVAVDGGLAMSNPTGAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYDFDRVKRWKAKDWARPMARISGDGSSDLVDQAVAMAFGHSRSTNYVRIQANGSSMGRCGPNVDTDSSPGNVKMLVGIAEEMLKQENVESVLFGGKRIGEQSNFEKLDWFAGELVQEHQRRSCRIAPTVAFKQATPKAT >Vigun09g085600.2.v1.2 pep primary_assembly:ASM411807v1:9:11152729:11157418:1 gene:Vigun09g085600.v1.2 transcript:Vigun09g085600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRWHKQISNSGKLGRRLSLGEYNRAVSWSKYLVSPGAEIKGEGEEEWSADMSQLLIGSKFASGRHSRIYRGVYKQKDVAIKLVSQPEEDEDLAAFLEKQFTSEVSLLLRLGHPNIITFIAACKKPPVFCIITEYLAGGSLGKFLHHQQPDILPQKLVLKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTGKTPFDNMTPEQAAFAVSHKNARPPLPCECPWAFSDLINRCWSSNPDKRPHFDEIVSILEFYTESLDQDSEFLSTYKPSPSSSSSNTILGCLPKCNARHKFGACKA >Vigun09g085600.1.v1.2 pep primary_assembly:ASM411807v1:9:11152820:11157423:1 gene:Vigun09g085600.v1.2 transcript:Vigun09g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRWHKQISNSGKLGRRLSLGEYNRAVSWSKYLVSPGAEIKGEGEEEWSADMSQLLIGSKFASGRHSRIYRGVYKQKDVAIKLVSQPEEDEDLAAFLEKQFTSEVSLLLRLGHPNIITFIAACKKPPVFCIITEYLAGGSLGKFLHHQQPDILPQKLVLKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTGKTPFDNMTPEQAAFAVSHKNARPPLPCECPWAFSDLINRCWSSNPDKRPHFDEIVSILEFYTESLDQDSEFLSTYKPSPSSSSSNTILGCLPKCNARHKFGACKA >Vigun11g024400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3117932:3123074:-1 gene:Vigun11g024400.v1.2 transcript:Vigun11g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLCENSVPGSGFGLVDKTRIVEVKPLRSLAPVLPKSLQLSICGRYPSGFPPFVLFEEPEESQPSPTPIPAPIRSYRKPPDEEETPHEVNGDTSSPVEGVNGESVDDSPNRSAQPMKSCKTSQKRRKKTQDFGGSSSLGGISMAQRDDGDREVVNLVLMNFDSLRRRFCQLEDSKDLNTLMGTKRPDLRASNVMTSKVFRTNMRRRIGAVPGVEIGDIFFLRMEMCHVGLHGQSMSGIDYMNAKDELQEEPVALSIVSSGVYDNNAEEDDVLVYTGQGENFNKKDKHAVDQKLQRGNLALDRSVHRQNEVRVIRGLKDAVNKNAKFYVYDGLYKIQGSWVEKGKSGGSVFKYKFVRLPGQPSAFAVWKSIQKWKTGSCSSSRAGLILADLTTGVESIPVSLVNDVDNEKGPSFFTYSNSLRNSKPFGIVQSSYGCNCNKTCVPGDLSCSCIQRNEGDFPYIANGVLVSRRPLVHECGPMCKCFPNCKNRVSQTGLKHQMEVFKTKDRGWGLRSIDPIRAGTFICEYAGEVIDRAKVYKNGGYNDEYVFDTSRIYNPFKWNYEPSLLEEISSSTEDYTIPSPLIISSKIFGNVARYMNHSCSPNVFWQPVLYAENNQYFLHIAFFALRHIPPMVELTYDYGCSGHADGSSAPQGRKKCLCGSAKCCGSFG >Vigun10g162800.5.v1.2 pep primary_assembly:ASM411807v1:10:38190249:38195292:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAAWARPNLSLSSWSCMGPRRRALSAYSNSRDGESSPTSSSTNIDCSESLLRSPKKAINAKNMNLPVSSSIEEWSSEIECANESSSDTDVDVDHGDRQNLMDHDRYDDQMSEVELWHQLENELYDGPEVEETDVVKEIREEEATHEVEEAQSSVPETKEVYRFFPPGKIMHIVTLHSDSDNENDDSPTYASSDSSELDETKIGIFLTSRSLYSKLRLSQRMISDHFMPVYRRQIEKLIKELEQEDTEGHDTQDVVLL >Vigun10g162800.6.v1.2 pep primary_assembly:ASM411807v1:10:38188464:38195293:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLQTQDAIDEDAEENGSETTDTPLGSARISHPLIQAPGTWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGCDEESQEYGSMGTSKP >Vigun10g162800.7.v1.2 pep primary_assembly:ASM411807v1:10:38188556:38195293:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLQTQDAIDEDAEENGSETTDTPLGSARISHPLIQAPGTWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGCDEESQEYGSMGTSKP >Vigun10g162800.2.v1.2 pep primary_assembly:ASM411807v1:10:38188705:38194793:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRDSKIMATATMATAAGAAALLYYTLNRKLQTQDAIDEDAEENGSETTDTPLGSARISHPLIQAPGTWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAAWARPNLSLSSWSCMGPRRRALSAYSNSRDGESSPTSSSTNIDCSESLLRSPKKAINAKNMNLPVSSSIEEWSSEIECANESSSDTDVDVDHGDRQNLMDHDRYDDQMSEVELWHQLENELYDGPEVEETDVVKEIREEEATHEVEEAQSSVPETKEVYRFFPPGKIMHIVTLHSDSDNENDDSPTYASSDSSELDETKIGIFLTSRSLYSKLRLSQRMISDHFMPVYRRQIEKLIKELEQEDTEGHDTQDVVLL >Vigun10g162800.1.v1.2 pep primary_assembly:ASM411807v1:10:38188472:38195292:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLQTQDAIDEDAEENGSETTDTPLGSARISHPLIQAPGTWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAAWARPNLSLSSWSCMGPRRRALSAYSNSRDGESSPTSSSTNIDCSESLLRSPKKAINAKNMNLPVSSSIEEWSSEIECANESSSDTDVDVDHGDRQNLMDHDRYDDQMSEVELWHQLENELYDGPEVEETDVVKEIREEEATHEVEEAQSSVPETKEVYRFFPPGKIMHIVTLHSDSDNENDDSPTYASSDSSELDETKIGIFLTSRSLYSKLRLSQRMISDHFMPVYRRQIEKLIKELEQEDTEGHDTQDVVLL >Vigun10g162800.3.v1.2 pep primary_assembly:ASM411807v1:10:38188464:38194861:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLQTQDAIDEDAEENGSETTDTPLGSARISHPLIQAPGTWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAAWARPNLSLSSWSCMGPRRRALSAYSNSRDGESSPTSSSTNIDCSESLLRSPKKAINAKNMNLPVSSSIEEWSSEIECANESSSDTDVDVDHGDRQNLMDHDRYDDQMSEVELWHQLENELYDGPEVEETDVVKEIREEEATHEVEEAQSSVPETKEVYRFFPPGKIMHIVTLHSDSDNENDDSPTYASSDSSELDETKIGIFLTSRSLYSKLRLSQRMISDHFMPVYRRQIEKLIKELEQEDTEGHDTQDVVLL >Vigun10g162800.4.v1.2 pep primary_assembly:ASM411807v1:10:38188565:38195293:1 gene:Vigun10g162800.v1.2 transcript:Vigun10g162800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWGFGVWYQLSAQEGNYQVDSEFCGKDSVQLKGPEITAELKYLLKLLTLCWHFSKKPFPLFLDETGYTEENVLLREAKAGILKPAFTIIVDHDMRCLLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVRDLVLGYAHCGMVAAARWISKLATPCLLEALGHYPDYKVKIVGHSLGGGTAAILTYVLREIKELSVATCVTFAPAACMTWELAESGDSFITSIINGADLVPTFSAASVDDLRSEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIATARAKVAGAGAILQPVSNGTQVVMKRAKSMAAWARPNLSLSSWSCMGPRRRALSAYSNSRDGESSPTSSSTNIDCSESLLRSPKKAINAKNMNLPVSSSIEEWSSEIECANESSSDTDVDVDHGDRQNLMDHDRYDDQMSEVELWHQLENELYDGPEVEETDVVKEIREEEATHEVEEAQSSVPETKEVYRFFPPGKIMHIVTLHSDSDNENDDSPTYASSDSSELDETKIGIFLTSRSLYSKLRLSQRMISDHFMPVYRRQIEKLIKELEQEDTEGHDTQDVVLL >Vigun09g082200.1.v1.2 pep primary_assembly:ASM411807v1:9:9909861:9911578:1 gene:Vigun09g082200.v1.2 transcript:Vigun09g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLILFSIIVACGFVENVVSDNNQFPYKTILNFGDSVSDTGNSVTKEPFGANSPYGSTYFKHPAGRMSNGRLIIDFIAKAYGLPFLPAYLNLTKGQHVKQGVNFAFSGSTALDKSFFDQRGLDVPKAAYGLSTQFEWFQKLKPSLCTGKEDCATFFNNTLVLVGEIGGNDINAIIPRKNLTEVREFVPHIVQAIANMTSKLIEEGAVHLVVPGNFPIGCISYVLNQVNSNKTEDFDEFGCLRNYNAAIKYYHDQLKTAVDELRQKNPHVIITYFNYYSAARRLFENPEQYGFKGKPDTFKVCCGKGGRYNLNEICGLGNSVVCEEPSKHINWDGFHLTEAGYRAVAQGLLEGPYATPPLKTPPFKIPKE >Vigun07g148100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25885520:25886497:1 gene:Vigun07g148100.v1.2 transcript:Vigun07g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILKPYMRGTQCSFSISNHPLPSSISSIQINSHILNPISLRLSQSYPCLTIACATRRDWSQPSNRTILQLASTVAFNLKILPEPFNSIAGEIARSDSNTLSRLIGGRRRRVAGKWRVRKKVSVWFALVLTCIVSGLWSWKIGEFDLFMKIFSFCLAAVSLIRYFLRKKGVKEWFLGFLFGIVLILSSKLSKEDMKFWIQKFSCSPVSVIVTRKRSRVRKWRIFK >Vigun03g360400.1.v1.2 pep primary_assembly:ASM411807v1:3:56337483:56343080:-1 gene:Vigun03g360400.v1.2 transcript:Vigun03g360400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDISIVRATNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEADRPRTKDLDTAELLEQLPALQQLLNRVIGCQPHGAAVHNFVIQLALSMVASESIKIYQAISDGTVNMVDKFFEMQRHDALKALDIYRRVGQQAERLSEFYEICRNLDIGRGEKFIKVEQPPSSFLQAMEEYVKDAPQGPIVRKDQAIENKEVLAIEDKKTTEVQEERPPSPSPSPPPPPPAEPVKVEAPPVQPPPDLLNMEDPVPAALELEEKNALALAIVPIAVEQQPSAVSNPANGTTGWELALVTAPSSNESAAAASKLAGGLDKLTLDSLYDDALRRNNQNVSYNPWDSAPGGNTMQPTMHDPFFASNSVAAPHSVQMAAMSNQQQAFMYQQQQQMMMMAPQQQSGNPFGNPYGATVHPYGSGMPVQSYNPYTGLI >Vigun03g360400.2.v1.2 pep primary_assembly:ASM411807v1:3:56337518:56342986:-1 gene:Vigun03g360400.v1.2 transcript:Vigun03g360400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDISIVRATNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEADRPRTKDLDTAELLEQLPALQQLLNRVIGCQPHGAAVHNFVIQLALSMVASESIKIYQAISDGTVNMVDKFFEMQRHDALKALDIYRRVGQQAERLSEFYEICRNLDIGRGEKFIKVEQPPSSFLQAMEEYVKDAPQGPIVRKDQAIENKEVLAIEDKKTTEVQEERPPSPSPSPPPPPPAEPVKVEAPPVQPPPDLLNMEDPVPAALELEEKNALALAIVPIAVEQQPSAVSNPANGTTGWELALVTAPSSNESAAAASKLAGGLDKLTLDSLYDDALRRNNQNVSYNPWDSAPGGNTMQPTMHDPFFASNSVAAPHSVQMAAMSNQQQAFMYQQQQQMMMMAPQQQSGNPFGNPYGATVHPYGSGMPVQSYNPYTGLI >Vigun07g090900.2.v1.2 pep primary_assembly:ASM411807v1:7:14258966:14262203:-1 gene:Vigun07g090900.v1.2 transcript:Vigun07g090900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGVTEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun09g138901.1.v1.2 pep primary_assembly:ASM411807v1:9:30062594:30063877:1 gene:Vigun09g138901.v1.2 transcript:Vigun09g138901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPNLHIKPKGWKTAILRKRDKESCSSHVHKIDEIFQVRMVEMSTNILRHSSHRYLKSNKCAIVIFSHWGAATFQVCVGLGLLHWGHRCWFVFEWLVFVSLTFALALDVFLILSRF >Vigun09g138901.2.v1.2 pep primary_assembly:ASM411807v1:9:30062594:30063877:1 gene:Vigun09g138901.v1.2 transcript:Vigun09g138901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPNLHIKPKGWKTAILRKRDKESCSSHVHKIDEIFQVRMVEMSTNILRHSSHRYLKTIVIFSHWGAATFQVCVGLGLLHWGHRCWFVFEWLVFVSLTFALALDVFLILSRF >Vigun04g144200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35819869:35821562:1 gene:Vigun04g144200.v1.2 transcript:Vigun04g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIVLYPNIGRGHLVSMVELGKLILSHHPSLSITILILTPSPNATFTQACNSNAQYIAAVSATIPAITFHSVPMAQLPPDTPSVPPHLISLELSRHSTQNVAFALQSLAKASNIKALVMDFLNFSNPKTLTQNLTTNFPTFFYYTSAASSLVVLFHLPTTLPKQIQDQEFQLHFPGLPAISMDIFPNESLDPLSYINQIFGRIAEAMKGSSGIIINTYEAMEEKAIAVLNDDGILPPLFCVGPVISAPYGEEDKGCLSWLESQPSQSVVLLCFGSMGWFSRRQLMEMAIGLEKSEQRFLWVVRTELEDGNSVEEKPSLDELLPEGFLDRTKEKGLVVRDWAPQREILSHDSVGGFVTHCGWNSVLEAVCEGVPMVAWPLYAEQKLNRVLMVEEMKVALALKEEKDGFVSGSELGERVRELMESDTGKEIRQRVFKMKLSAAEALVEGGTSRVALDRLAAFCKTSSFTI >Vigun09g153400.2.v1.2 pep primary_assembly:ASM411807v1:9:31871395:31875063:1 gene:Vigun09g153400.v1.2 transcript:Vigun09g153400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEELKVDKEMSNLNVVINNMSESCRCNEWEERSKKDEMRCAELEFKLQKKDEQCEALEAKLRALEGEVEVLRMFSGGRNEKKVGNVKDGEVDMVIDLTRDNEVEQLLIENSILECEKKKYESEFEVWKDRYRKLESWASQLKLEGGNYYHEESGKNEESGMIRTGGSSHLEPVIESKVLCVGRVKRKMTFEIGEKPSKTMALSAPFVAESASVFAIDIVDSDDEPNVAQHPFLDSEGSRDIFVTSCVPAENEKMSSSYDKNKEEDRNSGEDLPFVVTPKRKRNCNVVSSESESDDDDVPICKLKRMHIQTVRPDEVRCDTNNLVAASTSAVYNVTGTVTPRQRIKPLRKLAKKSEEGRTSYTSSKAKHQQCNPKNATTVDEESQEDFSDCGDEGLSDFIVDDLDELSYDDASSKSQDASDGDVNSDSCNSQDVPDNQMDDARGESDEDIDFSKILSQIQRKKDHMEWEFEADMLAAFGKDSELCMKAVCALYQQQTSEEQMSRGTLYTNQRGFSRGSTLAEYLTHGDPCGGLKKSVKELQEYDPTAVELCRTFAIRYSKQLYQIYINKENTFFP >Vigun09g153400.1.v1.2 pep primary_assembly:ASM411807v1:9:31871395:31875063:1 gene:Vigun09g153400.v1.2 transcript:Vigun09g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEEELKVDKEMSNLNVVINNMSESCRCNEWEERSKKDEMRCAELEFKLQKKDEQCEALEAKLRALEGEVEVLRMFSGGRNEKKVGNVKDGEVDMVIDLTRDNEVEQLLIENSILECEKKKYESEFEVWKDRYRKLESWASQLKLEGGNYYHEESGKNEESGMIRTGGSSHLEPVIESKVLCVGRVKRKMTFEIGEKPSKTMALSAPFVAESASVFAIDIVDSDDEPNVAQHPFLDSEGSRDIFVTSCVPAENEKMSSSYDKNKEEDRNSGEDLPFVVTPKRKRNCNVVSSESESDDDDVPICKLKRMHIQTVRPDEVRCDTNNLVAASTSAVYNVTGTVTPRQRIKPLRKLAKKSEEGRTSYTSSKAKHQQCNPKNATTVDEESQEDFSDCGDEGLSDFIVDDLDELSYDDASSKSQDASDGDVNSDSCNSQDVPDNQMDDARGESDEDIDFSKILSQIQRKKDHMEWEFEADMLAAFGKDSELCMKAVCALYQQQTSEEQMSRGTLYTNQRGFSRFDANRGSTLAEYLTHGDPCGGLKKSVKELQEYDPTAVELCRTFAIRYSKQLYQIYINKENTFFP >Vigun09g101400.2.v1.2 pep primary_assembly:ASM411807v1:9:17132413:17138136:1 gene:Vigun09g101400.v1.2 transcript:Vigun09g101400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHDFVLLFCSVWCVFFVGSCSAELQSSQTQVLLQLKKHLEYPRLLEIWRDRWTDLCSVSSSGKVNVTCKDNFVTELSILGDKPTEGRDFDGFAIPNQTLSDSFSMDSFVATLARLTSLRVLSLVSLGMWGPLPDRIHRLYALQHLDLSSNYLYGSIPPKICTMVNLQILGLGDNFFNGTIPNLFNSSSNLSVLSLRNNRLKGPFPPSILSVTSLKDIDMSSNQISGMLQDFSALSGLEQLDLGENKLESFLPAMPKGLITLFLSRNSFSGEIPKHYGQLNRLQKLDVSFNALTGTAPAELFSFPNISYLNLASNMLNGPLQSHLRCSSQLRYVDISYNRLVGDLPSSLSTRSENRVVKSDGNCLSGSVQKQHAVSYCTEPHVKKKSYRVGIFVGVILGILLISVVLAMIIVITCKKFFLRGVSEQHLLHKTVQDSSYAAGFSSELVTNARYISETAKLGREDLPTCRSYSLEELKEATNNFDNSTFMGENIYGKLYRGKLQSGIQIVIRSLPVSKKYSIRNFKLRLDLLAKLRHPHLVSLLGHCIDGVVGENNETNVFLIYEYVPNGTFQTYLSGDSSGKVFNWSERLSVLINIAKAVHFLHTGMIPGFFKNRLKSDNILLSENWMAKLSDYGLSIISEEADACGVNGESPNSWQMKMLEDDVYSFGFILLEALVGPSVSAKREAAVLNVLASFNSQDGWKEIVDPVVQATCSKESLFVVISITNKCISPESWNRPSIEDVLWNLQYASQIQATADGDHRI >Vigun09g101400.1.v1.2 pep primary_assembly:ASM411807v1:9:17132413:17138148:1 gene:Vigun09g101400.v1.2 transcript:Vigun09g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHDFVLLFCSVWCVFFVGSCSAELQSSQTQVLLQLKKHLEYPRLLEIWRDRWTDLCSVSSSGKVNVTCKDNFVTELSILGDKPTEGRDFDGFAIPNQTLSDSFSMDSFVATLARLTSLRVLSLVSLGMWGPLPDRIHRLYALQHLDLSSNYLYGSIPPKICTMVNLQILGLGDNFFNGTIPNLFNSSSNLSVLSLRNNRLKGPFPPSILSVTSLKDIDMSSNQISGMLQDFSALSGLEQLDLGENKLESFLPAMPKGLITLFLSRNSFSGEIPKHYGQLNRLQKLDVSFNALTGTAPAELFSFPNISYLNLASNMLNGPLQSHLRCSSQLRYVDISYNRLVGDLPSSLSTRSENRVVKSDGNCLSGSVQKQHAVSYCTEPHVKKKSYRVGIFVGVILGILLISVVLAMIIVITCKKFFLRGVSEQHLLHKTVQDSSYAAGFSSELVTNARYISETAKLGREDLPTCRSYSLEELKEATNNFDNSTFMGENIYGKLYRGKLQSGIQIVIRSLPVSKKYSIRNFKLRLDLLAKLRHPHLVSLLGHCIDGVVGENNETNVFLIYEYVPNGTFQTYLSGDSSGKVFNWSERLSVLINIAKAVHFLHTGMIPGFFKNRLKSDNILLSENWMAKLSDYGLSIISEEADACGVNGESPNSWQMKMLEDDVYSFGFILLEALVGPSVSAKREAAVLNVLASFNSQDGWKEIVDPVVQATCSKESLFVVISITNKCISPESWNRPSIEDVLWNLQYASQIQATADGDHRI >Vigun08g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20904385:20905689:1 gene:Vigun08g090200.v1.2 transcript:Vigun08g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPVIETNKGKRRRRASSSSPERPITNHFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISDFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTRFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQLEEPQLDQQAEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVRGPYPGMTPPEFFTYLQWPGDSPIFPGGGGPDAGEGPSGAADADGADIEDEIDFGGD >Vigun03g238700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39832369:39833405:-1 gene:Vigun03g238700.v1.2 transcript:Vigun03g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRDMRKVKWGKRRRRQEGVERRMKKLQRLVPGGAGMNPDRLFLKTAEHILQLRIQLNVLQALSKVFNA >Vigun05g186150.1.v1.2 pep primary_assembly:ASM411807v1:5:35954507:35954678:-1 gene:Vigun05g186150.v1.2 transcript:Vigun05g186150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIAVDMPEWLSGMTRNHVGSARAGSNPAVHAFCCLHAL >Vigun03g378200.1.v1.2 pep primary_assembly:ASM411807v1:3:58209280:58212782:-1 gene:Vigun03g378200.v1.2 transcript:Vigun03g378200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTFVYLAFVSMVNRAYSVSGKNSTNSGAEGLGNKTSGYVKGPVVEEFLFPERSTPFNSCHASTIVEVEKGHFLVAYFGGSSEGAPDVKIWLQTYKNGRWKSPIIADEEPNVPMWNPVLFKLPSNELVLFYRIGLDVQKWSGFMKRSNDKGITWTQREQLPPGILGPIKNKPLLLENGDLLCGSSVESWNSWGAWAEVTTDFGRTWRKHGPIFIENTPLGVIQPVPYLTEKGTLRVLLRSFTGLGRVYISESFDGGKTWGYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVGVSEDDGESWQEALTLEDTLGMEFSYPAVIQASDGRVHITYTFNRTQIKHVVVRLR >Vigun03g378200.2.v1.2 pep primary_assembly:ASM411807v1:3:58209280:58212782:-1 gene:Vigun03g378200.v1.2 transcript:Vigun03g378200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTFVYLAFVSMVNRAYSVSGKNSTNSGAEGLGNKTSGYVKGPVVEEFLFPERSTPFNSCHASTIVEVVEKGHFLVAYFGGSSEGAPDVKIWLQTYKNGRWKSPIIADEEPNVPMWNPVLFKLPSNELVLFYRIGLDVQKWSGFMKRSNDKGITWTQREQLPPGILGPIKNKPLLLENGDLLCGSSVESWNSWGAWAEVTTDFGRTWRKHGPIFIENTPLGVIQPVPYLTEKGTLRVLLRSFTGLGRVYISESFDGGKTWGYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVGVSEDDGESWQEALTLEDTLGMEFSYPAVIQASDGRVHITYTFNRTQIKHVVVRLR >Vigun08g220200.1.v1.2 pep primary_assembly:ASM411807v1:8:37908870:37912587:1 gene:Vigun08g220200.v1.2 transcript:Vigun08g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSLGEKHFIQGGIAHDLRCDGRKRLTYRPILVETGVIAQANGSARVRMGATDVIASIKAELGKPSLLQPDKGKVSIYIDCSSTAEPAFEGRGGDELAAELSNALQHCLLGGKSGAGAGIDLSSLIVVEGKICWDLYIDGLVVSSDGNVLDALGAAIKAALCNTGIPRVQVAAGTSNDEQPEVDVSDEEFLQFDTSGVPVIVTLTKIGRHYIVDATSEEESQMSSAVSISVNRQGHICGITKRGGVGLDPSIILDMISVAKHVSEQLMNKLDSEIASAEAEEES >Vigun02g162900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30791827:30793475:1 gene:Vigun02g162900.v1.2 transcript:Vigun02g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNPLQLSALNQIKLHLLGELSPLPITPSSNLNKSNFSFLFEEPNPTSSQSSSICSQTSTSHSSATMDDFFSDFFDFPTTSTTEDQFSVFEIESKPQIIDYQTPNPQPQTSTSEFTFPNTHDRKKSQTNRKPSLQVSLPKKTEWIQFGEGEVAGNVVQQAAEEKKHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETALEAAKAYDRAAFRFRGSKAILNFPLEAGADNRKRQREEEEPVVEVVKREKVEDFDVTCFRDAPLTPSSWPGFWGSDANGIFAVPPLSPLSPHPALGYPQLMVV >Vigun11g175400.1.v1.2 pep primary_assembly:ASM411807v1:11:38040523:38043717:-1 gene:Vigun11g175400.v1.2 transcript:Vigun11g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSMANGNGSTEDGYSIARHSYQPSLKGSLPWLDIKVFYVRVCKCEVDDSTPGFLTLNHVPLNPNTLLEVNGVRTSIYSDGMSTLLKRDRVDRKSEEVTFVSTDSIRMSGSVKFEVFDRDVLLLSGVLELWNTNGVVRESSYNGHRWSMNCESYIVPGTSFFKGKQLPSPDSTLPSIEVYITGSFLGTPIILTKSLQLSQKKHAKKGMLDVIPEHEASENGKHPSSALVFEAPDYLCDKYEDEDYSSLYSRTTTYGDGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVKTYQGTTSHFRRRLF >Vigun03g039600.1.v1.2 pep primary_assembly:ASM411807v1:3:3025938:3031822:1 gene:Vigun03g039600.v1.2 transcript:Vigun03g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSSHGGGAATTTTTYSDDLPRRNGITVLPPNANPSPPPPKPQASSSLGRVLGRPMEDVRSIYTFGRELGRGQFGVTYLVTHKATKEQFACKSIATRKLVNRDDIDDIRREVQIMHHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRIITKGHYSERAAANSCRQIVTVVHNCHSMGVMHRDLKPENFLLLNKDDDSPLKATDFGLSVFFKPGDVFRDLVGSAYYVAPEVLKRSYGPEADIWSAGVILYILLSGVPPFWAENEQGIFDAILRGHIDFASDPWPSISTSAKDLVKKMLRADPKERLSAVEVLNHPWMRVDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGSKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDDDKSGYITMEELESALKKYNMGDEKTIKEIIAEVDTDNDGRINYDEFVAMMRKGNPDITHITQRRRK >Vigun03g039600.2.v1.2 pep primary_assembly:ASM411807v1:3:3025938:3031822:1 gene:Vigun03g039600.v1.2 transcript:Vigun03g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSSHGGGAATTTTTYSDDLPRRNGITVLPPNANPSPPPPKPQASSSLGRVLGRPMEDVRSIYTFGRELGRGQFGVTYLVTHKATKEQFACKSIATRKLVNRDDIDDIRREVQIMHHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRIITKGHYSERAAANSCRQIVTVVHNCHSMGVMHRDLKPENFLLLNKDDDSPLKATDFGLSVFFKPGDVFRDLVGSAYYVAPEVLKRSYGPEADIWSAGVILYILLSGVPPFWAENEQGIFDAILRGHIDFASDPWPSISTSAKDLVKKMLRADPKERLSAVEVLNHPWMRVDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGSKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDDDKSGYITMEELESALKKYNMGDEKTIKEIIAEVDTDNVVTFFLLFLLPLCPYIVLA >Vigun04g089600.1.v1.2 pep primary_assembly:ASM411807v1:4:15994380:16011822:1 gene:Vigun04g089600.v1.2 transcript:Vigun04g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTFGVKGATLGVPKRRGLVDFTEFRNGSVLRRSRVSMAKNNIDLSGLRPGSATMETKLIGTSVGFGGVFGSSVKPRSVRVMASDGNIEDVVPTTLQSRSSGTVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIIENTVLQGWIVSTLLAGATVGSFTGGSLADKFGRTRTFQLAAIPLAIGAFLSATAQSVQPMIIGRLLAGVGIGVTSAIVPLYISEISPTEVRGALGSVNQLFICIGILVALVAGLPLARNPLWWRTMFGIAVVPSVLLALGMAISPESPRWLFQQGKLAEAEKAIKTLYGKERVATVMLDLSTASQGSSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLIISFSGMAASMLLLSLSFTWKVLAPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFSAVCVLAVLYIAGNVVETKGRSLEEIERALSSSA >Vigun04g089600.2.v1.2 pep primary_assembly:ASM411807v1:4:15993954:16011796:1 gene:Vigun04g089600.v1.2 transcript:Vigun04g089600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTFGVKGATLGVPKRRGLVDFTEFRNGSVLRRSRVSMAKNNIDLSGLRPGSATMETKLIGTSVGFGGVFGSSVKPRSVRVMASDGNIEDVVPTTLQSRSSGTVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIIENTVLQGWIVSTLLAGATVGSFTGGSLADKFGRTRTFQLAAIPLAIGAFLSATAQSVQPMIIGRLLAGVGIGVTSAIVPLYISEISPTEVRGALGSVNQLFICIGILVALVAGLPLARNPLWWRTMFGIAVVPSVLLALGMAISPESPRWLFQQGKLAEAEKAIKTLYGKERVATVMLDLSTASQGSSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLIISFSGMAASMLLLSLSFTWKVLAPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFSAVCVLAVLYIAGNVVETKGRSLEEIERALSSSA >Vigun05g119100.1.v1.2 pep primary_assembly:ASM411807v1:5:12923908:12948736:1 gene:Vigun05g119100.v1.2 transcript:Vigun05g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMEIDSPSDCQPLKPRDRVVRRLAQFGVPEEQLDQPGLVAFVKDKRALIPELVSVILPTDAEVADAFQASRLTSKKMSGVIMKKRFHESMVWLQWLMFEGDPGGALRRLSEMSVGQRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFEKGDHKGHDYCVIYTGGGCCDCGDVTAWKREGFCSMHKGAEQIQPLPKEFASSVDPVLGSLFNCWRVKLTLASEYTERKQPANELTYAVVDMLLEFCKHSESLLSFVARLLLSSDGLINMLVRAERFLTEVVVKKLHELLLKLLGEQSFKYDFAKVFLAYYPSVINEATKDSSDSPLKKYPLLPTFSVQILTVPTLIPRLLKEINLLTMLLGCLENIFVSCSDDGRLQVSRWANIFETTIRVVEDIRFVMSHVVVSKYVTNDQQDISRTWMRLLSFVQGMNPQKRETGQHIEEENENVHYPFILGHYIANIHSVLVDGAFSDASKGEIDGETAWNSKINDSDDGDNVRLAKVGRRSEESSACNVTSRSSVFAAPKLCEIKTDASSNLPLPRAVTGLMCECLRAIENWFRVENIHAVPPNLLSPNSGSACDSNFSAFKRTISKFGRGKYAFGRLASTSEDHGKQCSENSEMDSENTCTRASSDDNAMEEDFLVESDGPRFLSLPDWPQIVYDVSSQDISVHIPLHRLLSMLLQKAMKKYFCQSEVSDVTHASPSNSLSTSYNDFFEQALRGSHPFGFSANIMEHPLRIRVFCAEVHAGMWRKNGDAALLSCELYRSVRCNRSEQGLELDLFLLQCCAALAPEDLFVSRILERFGLSNYLSLNLERSSEYEPVLVQEMLTLIIQIIKERRFCGLTTAESLKRELIYKLSTGDATHSQLVKSLPRDLSKFEQLQDILDAVAVYSNPSGFNQGMYSLRWTFWKELDLYHLRWNSKDLQVAEERYLRFCNVSALTTQLPQWTKIHPPLKGIARIATCKVVLHIIRAAIFYAVSTFKSSDSRAPDSVLLPALHLLSLSLDICFQQKESSEDTCHDVAQLPVIALSGEFIQTSFGEQSLLTLLVLLMEMHRRENVDNFVEAGGCSLFTLIESLLKKFAEIDNRCMTKLQKLAPEVVCHISESFPSRDSSISSLASESEKRKAKARDRQAAIMEKMRAQQTKFLASIDTTADDGSQLGREGDLDNEQDSEEPDTKQVVCSLCHDHNSELPISFLVLLQKSRVVSSVNRGPPSWAKLCQSDKEHTPLINTKETNTSTMNWNTVSSETTSSSHLNQFVQIAAEEVSSSGKPGEVLTFLQYVKNKYPALVNFQLPDTYYDEKEKAPPYSFETLEQCMYFSIYDEMRLPLSSILMNMDDRASTAGENSNIVIDTGSVLLGKYTADLVLEMSETSSMSGITSNESASVESTSQHSTYDEFGPMDCDGVHLSSCGHAVHQGCLDRYLSSLRERSVRRIVFEGGHIVDPDQGEFLCPVCRRLANCVLPTLPGELKKPLKQSIILSAGSINTAPPLAESSELTYSLRLQSGLKLLQSAATAVGKLKFLNSIPLHHIDRTRTNLENFLRVLSKMYSPCKEEKLSRFSRINHSMLMWDTLKYSLTSMEIAARCGKTSFTPNYALSALYEELKSSSGFILSLMLKLVQKTRSKNSLHILQRFRGVQLFAESICAGFSPSYANSDNSGIGDMLSILKHIEMDLSNTDSFWRQASDPVLAHDPFSTLMWVLFCLPHPFLSCEESLLSLVHVFYTVSVTQAIILYHEKSKHKSSRDSDLSGCLITDIYKVMNESANASQYIVSNYFDPNVDIKDAIRRFTFPYLRRCALLWKILYSFIPPPFCDEENILDRSWSVPQDTVGNANIEMFEVTKIHELENMFKIPSLDVVLKDELSRATVSSWCRHFCKEFESGRIQQNMHVTPAVPFELMRLPNIYQDLLQRCIKQRCLACKTVLEDPALCLLCGRLCSPSWKSCCRESGCQTHAVTCGAGTGVFLLIRRTTILLQRSARQAPWPSLYLDAFGEEDFEMSRGKPLYLKEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Vigun05g119100.2.v1.2 pep primary_assembly:ASM411807v1:5:12923908:12948736:1 gene:Vigun05g119100.v1.2 transcript:Vigun05g119100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMEIDSPSDCQPLKPRDRVVRRLAQFGVPEEQLDQPGLVAFVKDKRALIPELVSVILPTDAEVADAFQASRLTSKKMSGVIMKKRFHESMVWLQWLMFEGDPGGALRRLSEMSVGQRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFEKGDHKGHDYCVIYTGGGCCDCGDVTAWKREGFCSMHKGAEQIQPLPKEFASSVDPVLGSLFNCWRVKLTLASEYTERKQPANELTYAVVDMLLEFCKHSESLLSFVARLLLSSDGLINMLVRAERFLTEVVVKKLHELLLKLLGEQSFKYDFAKVFLAYYPSVINEATKDSSDSPLKKYPLLPTFSVQILTVPTLIPRLLKEINLLTMLLGCLENIFVSCSDDGRLQVSRWANIFETTIRVVEDIRFVMSHVVVSKYVTNDQQDISRTWMRLLSFVQGMNPQKRETGQHIEEENENVHYPFILGHYIANIHSVLVDGAFSDASKGEIDGETAWNSKINDSDDGDNVRLAKVGRRSEESSACNVTSRSSVFAAPKLCEIKTDASSNLPLPRAVTGLMCECLRAIENWFRVENIHAVPPNLLSPNSGSACDSNFSAFKRTISKFGRGKYAFGRLASTSEDHGKQCSENSEMDSENTCTRASSDDNAMEEDFLVESDGPRFLSLPDWPQIVYDVSSQDISVHIPLHRLLSMLLQKAMKKYFCQSEVSDVTHASPSNSLSTSYNDFFEQALRGSHPFGFSANIMEHPLRIRVFCAEVHAGMWRKNGDAALLSCELYRSVRWSEQGLELDLFLLQCCAALAPEDLFVSRILERFGLSNYLSLNLERSSEYEPVLVQEMLTLIIQIIKERRFCGLTTAESLKRELIYKLSTGDATHSQLVKSLPRDLSKFEQLQDILDAVAVYSNPSGFNQGMYSLRWTFWKELDLYHLRWNSKDLQVAEERYLRFCNVSALTTQLPQWTKIHPPLKGIARIATCKVVLHIIRAAIFYAVSTFKSSDSRAPDSVLLPALHLLSLSLDICFQQKESSEDTCHDVAQLPVIALSGEFIQTSFGEQSLLTLLVLLMEMHRRENVDNFVEAGGCSLFTLIESLLKKFAEIDNRCMTKLQKLAPEVVCHISESFPSRDSSISSLASESEKRKAKARDRQAAIMEKMRAQQTKFLASIDTTADDGSQLGREGDLDNEQDSEEPDTKQVVCSLCHDHNSELPISFLVLLQKSRVVSSVNRGPPSWAKLCQSDKEHTPLINTKETNTSTMNWNTVSSETTSSSHLNQFVQIAAEEVSSSGKPGEVLTFLQYVKNKYPALVNFQLPDTYYDEKEKAPPYSFETLEQCMYFSIYDEMRLPLSSILMNMDDRASTAGENSNIVIDTGSVLLGKYTADLVLEMSETSSMSGITSNESASVESTSQHSTYDEFGPMDCDGVHLSSCGHAVHQGCLDRYLSSLRERSVRRIVFEGGHIVDPDQGEFLCPVCRRLANCVLPTLPGELKKPLKQSIILSAGSINTAPPLAESSELTYSLRLQSGLKLLQSAATAVGKLKFLNSIPLHHIDRTRTNLENFLRVLSKMYSPCKEEKLSRFSRINHSMLMWDTLKYSLTSMEIAARCGKTSFTPNYALSALYEELKSSSGFILSLMLKLVQKTRSKNSLHILQRFRGVQLFAESICAGFSPSYANSDNSGIGDMLSILKHIEMDLSNTDSFWRQASDPVLAHDPFSTLMWVLFCLPHPFLSCEESLLSLVHVFYTVSVTQAIILYHEKSKHKSSRDSDLSGCLITDIYKVMNESANASQYIVSNYFDPNVDIKDAIRRFTFPYLRRCALLWKILYSFIPPPFCDEENILDRSWSVPQDTVGNANIEMFEVTKIHELENMFKIPSLDVVLKDELSRATVSSWCRHFCKEFESGRIQQNMHVTPAVPFELMRLPNIYQDLLQRCIKQRCLACKTVLEDPALCLLCGRLCSPSWKSCCRESGCQTHAVTCGAGTGVFLLIRRTTILLQRSARQAPWPSLYLDAFGEEDFEMSRGKPLYLKEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Vigun05g119100.3.v1.2 pep primary_assembly:ASM411807v1:5:12923908:12948736:1 gene:Vigun05g119100.v1.2 transcript:Vigun05g119100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMEIDSPSDCQPLKPRDRVVRRLAQFGVPEEQLDQPGLVAFVKDKRALIPELVSVILPTDAEVADAFQASRLTSKKMSGVIMKKRFHESMVWLQWLMFEGDPGGALRRLSEMSVGQRGVCGAVWGHNDIAYRCRTCEHDPTCAICVPCFEKGDHKGHDYCVIYTGGGCCDCGDVTAWKREGFCSMHKGAEQIQPLPKEFASSVDPVLGSLFNCWRVKLTLASEYTERKQPANELTYAVVDMLLEFCKHSESLLSFVARLLLSSDGLINMLVRAERFLTEVVVKKLHELLLKLLGEQSFKYDFAKVFLAYYPSVINEATKDSSDSPLKKYPLLPTFSVQILTVPTLIPRLLKEINLLTMLLGCLENIFVSCSDDGRLQVSRWANIFETTIRVVEDIRFVMSHVVVSKYVTNDQQDISRTWMRLLSFVQGMNPQKRETGQHIEEENENVHYPFILGHYIANIHSVLVDGAFSDASKGEIDGETAWNSKINDSDDGDNVRLAKVGRRSEESSACNVTSRSSVFAAPKLCEIKTDASSNLPLPRAVTGLMCECLRAIENWFRVENIHAVPPNLLSPNSGSACDSNFSAFKRTISKFGRGKYAFGRLASTSEDHGKQCSENSEMDSENTCTRASSDDNAMEEDFLVESDGPRFLSLPDWPQIVYDVSSQDISVHIPLHRLLSMLLQKAMKKYFCQSEVSDVTHASPSNSLSTSYNDFFEQALRGSHPFGFSANIMEHPLRIRVFCAEVHAGMWRKNGDAALLSCELYRSVRWSEQGLELDLFLLQCCAALAPEDLFVSRILERFGLSNYLSLNLERSSEYEPVLVQEMLTLIIQIIKERRFCGLTTAESLKRELIYKLSTGDATHSQLVKSLPRDLSKFEQLQDILDAVAVYSNPSGFNQGMYSLRWTFWKELDLYHLRWNSKDLQVAEERYLRFCNVSALTTQLPQWTKIHPPLKGIARIATCKVVLHIIRAAIFYAVSTFKSSDSRAPDSVLLPALHLLSLSLDICFQQKESSEDTCHDVAQLPVIALSGEFIQTSFGEQSLLTLLVLLMEMHRRENVDNFVEAGGCSLFTLIESLLKKFAEIDNRCMTKLQKLAPEVVCHISESFPSRDSSISSLASESEKRKAKARDRQAAIMEKMRAQQTKFLASIDTTADDGSQLGREGDLDNEQDSEEPDTKQVVCSLCHDHNSELPISFLVLLQKSRVVSSVNRGPPSWAKLCQSDKEHTPLINTKETNTSTMNWNTVSSETTSSSHLNQFVQIAAEEVSSSGKPGEVLTFLQYVKNKYPALVNFQLPDTYYDEKEKAPPYSFETLEQCMYFSIYDEMRLPLSSILMNMDDRASTAGENSNIVIDTGSVLLGKYTADLVLEMSETSSMSGITSNESASVESTSQHSTYDEFGPMDCDGVHLSSCGHAVHQGCLDRYLSSLRERSVRRIVFEGGHIVDPDQGEFLCPVCRRLANCVLPTLPGELKKPLKQSIILSAGSINTAPPLAESSELTYSLRLQSGLKLLQSAATAVGKLKFLNSIPLHHIDRTRTNLENFLRVLSKMYSPCKEEKLSRFSRINHSMLMWDTLKYSLTSMEIAARCGKTSFTPNYALSALYEELKSSSGFILSLMLKLVQKTRSKNSLHILQRFRGVQLFAESICAGFSPSYANSDNSGIGDMLSILKHIEMDLSNTDSFWRQASDPVLAHDPFSTLMWVLFCLPHPFLSCEESLLSLVHVFYTVSVTQAIILYHEKSKHKSSRDSDLSGCLITDIYKVMNESANASQYIVSNYFDPNVDIKDAIRRFTFPYLRRCALLWKILYSFIPPPFCDEENILDRSWSVPQDTVGNANIEMFEVTKIHELENMFKIPSLDVVLKDELSRATVSSWCRHFCKEFESGRIQQNMHVTPAVPFELMRLPNIYQDLLQRCIKQRCLACKTVLEDPALCLLCGRLCSPSWKSCCRESGCQTHAVTCGAGTGVFLLIRRTTILLQRSARQAPWPSLYLDAFGEEDFEMSRGKPLYLKEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Vigun06g191500.2.v1.2 pep primary_assembly:ASM411807v1:6:30898792:30900799:1 gene:Vigun06g191500.v1.2 transcript:Vigun06g191500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQGIKLFGAMIRLNSEEVKKGEKGREYNKREEKRTEKIIACPRCKSMETKFCYFNNYNVNQPRHFCKSCQRYWTAGGALRNVAVGAGRRKGKPPGHGGAEFPDGAVYESSEDENKFEMGNRLLSEEVHTDFRQIFPAAKRRRTPSVEHEGQ >Vigun06g191500.1.v1.2 pep primary_assembly:ASM411807v1:6:30898792:30900799:1 gene:Vigun06g191500.v1.2 transcript:Vigun06g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQGIKLFGAMIRLNSEEVKKGEKGREYNKREEKRTEKIIACPRCKSMETKFCYFNNYNVNQPRHFCKSCQRYWTAGGALRNVAVGAGRRKGKPPGHGGAEFPDGAVYESSEDENKFEMGNRLLSEEVHTDFRQIFPAAKRRRTPSDKIWRSNYIQRSKS >Vigun03g444300.1.v1.2 pep primary_assembly:ASM411807v1:3:64661877:64663772:1 gene:Vigun03g444300.v1.2 transcript:Vigun03g444300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTPLLLSCFMLHLASTIVIAHSTENNYYYNNTPSKYIPKKPLLNVIDSCWRAKPNWSSNRQALADCAVGFGKDATGGKYGAIYRVSDPSDDPANPKPGTLRYGAIQNEPLWIIFDKNMVITLKNELIMSSNKTIDGRGARVEIADGPCITISNVSHVIIHGISIHDCEPAKPGISTPNHVGHRLGSDGDAISIIDSTNVWIDHCFLARSTDGLIDVIRASTAITISNNYFTQHDKVMLLGHSDQFTKDKIMKVTIAFNQFASGLTERMPRVRFGYAHVVNNKYDEWQMYAIGGSANPTILSEGNFYVAPNDPNAKQVTKREVKENWKSWKWRSSKDLFFNDAYFVPSGFGSCAPNYSPTQSFVAAPAYMVPAITLNAGPMYCTVGRAC >Vigun10g171800.1.v1.2 pep primary_assembly:ASM411807v1:10:39047450:39051891:1 gene:Vigun10g171800.v1.2 transcript:Vigun10g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVRPLEYESKEGESTFRTMSFNKCRNLDELYKPEELDEVITEKSTSSKRKKVGNLKLQTTFSFKYLLSDNSDSIEEVDGLFNKQSPPIVLPESEILFSSKPVGELDVAAIKLQKVYKGYRTRRNLADCAVVCEELWWKALDFAALSRCSPSKFDSGKSETASSKWARARTMAAKVGKGLCKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWFHSQSSQPFFYWLDVGDGKEVNHKECLRSELYKQCIKYLGPKEREAYEVVIERGRLVYKKSQNLVHTEEGSKWIFVLSSSRILYVGEKKKGQFQHSSFLAGGATIASGRLVAQHGVIDAIWPYSGHYRPTMKNFMEFIGFLMEHDVDLTNVKKYAIDDDIPPSKPADEELQLESKMGGSSGFTIAKSCSEENIGQSGSTNMQTSTVKERKPLSRKWTTGAGPRIGCVREYPAKLQVKALEQLNLSPRVNHGKVAAKAPIPSPRPSPKIHLSPRLVHM >Vigun10g129000.1.v1.2 pep primary_assembly:ASM411807v1:10:33684790:33692684:-1 gene:Vigun10g129000.v1.2 transcript:Vigun10g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METERAFEFLGRVPLLQRLPSSSVRKISELVDVKSCEPGEYVVREGEHGDGVYFILDGEAEVVGSVSANDENRPEFQLKRYDYFGYGLSNTVHQAGVVALSKLTCLVLPHEHSTLLQPKSIWRAENCAETCSHVENILHVEPMEVDIFRGITLPDAPRFGKVFGGQMIGQALAAASKSVDCLKVVHSLHAYFILAGDLEMPITYKVHRIRDGRSFATRKVEGIQKGTVIFTLLASFQKEEPGMVHQKVAIPSVPSPDKLLPMEELRERRLTDPRLPVIYRNKVAISQFIPWPIEIRLCEYEFSTNMTKSPPSLRYWFRAKGKLSDDQALHRCVVAYASDLIFLQVSLNPHREKGFRTRSVSLDHSMWFHRSVKADDWVLFAIFTPTAHSARVFVTGQMFNQEGELLVSLVQEGVARKINQGNSAIKSKL >Vigun08g064866.1.v1.2 pep primary_assembly:ASM411807v1:8:9106817:9108673:-1 gene:Vigun08g064866.v1.2 transcript:Vigun08g064866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHMIFVDAYVQTFRHCCRTKYIASLNKNLSGEQRSVIKDTPFGWFLMLKRNVKMSRKLLSSLIRFRLRVDGHSIKLDDVFMESKGRELLNNEIVDVEMLCDFLRRCTDRKGTIFPMLFTIVDDLSGLGKYNWGGLIWAFEHFLITKCDVGKSSTMFPRILQWMDMKVAEEKISSALNNNKVVMEVGVSKSELCHPFVQEGFDKYGEGFEDDEDEEDEEHLSIEIAKQEAEIMELRKAMEEFKAIFNDTSNKILKQEVPAEPHNYGGQEDFDEYGQEERFEVFERTPPQQHAEEVGANIQRDEGQSSNLYHRLKEEPGQRFKSIAIRTPFMTYARRRKRSS >Vigun03g263700.1.v1.2 pep primary_assembly:ASM411807v1:3:43269609:43274207:-1 gene:Vigun03g263700.v1.2 transcript:Vigun03g263700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSTESKEGSRMGGDEGEQGWRYTWEAQSHVPTLRLMVFPNDKTLNPSLHCHDLAINLHSSHSFLTLTTSSLSLRVPLPAVLLDADSPVTFRPLSDHIEVKLLLLLPVDHPILSSLHPSPTPLPDPLVSESDVKKLSSAGEVDFYCRTCTFKLTEIPLRNFVEMPSVNWREVADNWFGACCCSFGGISEKMVMRYVSSYTCMPGVCLLTSASVTICKDDLVEYNFPEGCAKQECTSVAENPRDDGIVKLLRSCELNDERTSTCSDDERTSTCSDDERTSTCSDDGGVNLAFDSNYRFERSEDEKLSMKLRSEVAKSKPDCGHFSDSHPDSNGTKDVTEIPSCCAHMKNNLGDEDSEHHSCGTAGREGMPTETLEILGNQKTLLNGFLEDIFMARLSNLTKDIDWREFTCPQCASIIGAYPCCEGHTPVDGGVRLFKCYISTCLPVGGSEDMFSKYTLGKMFANRLMECANDESLFRFVIRDLTTKAPVLQIILLNPDTWSCSGNCSGTEDKESVHKLKLQPIIKVLYSDFHNATESQSRIIEEWATKNSAESIFMSTRQTQELVGLFNSAKELYPPSCTSFQGLVLSSLQW >Vigun09g210700.2.v1.2 pep primary_assembly:ASM411807v1:9:38471571:38475702:1 gene:Vigun09g210700.v1.2 transcript:Vigun09g210700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIGNPPVPFDFELLVDDPENLGTVKASSSSTDPWIEPERLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAAKMLPPIREEHMKTALEKFFELYFQCQGAARVCWLLGISILNGRICIIMNFYEGSVGDKMARLREGRISLPDVLRYGIDLAQGIMELHSKGILVLNLKPSNVLLNDTDQAILGDVGIPNLLFGSSFLSSDTANRLGSPNYMAPEQWQPEVRGPVSFETDSWGFGCTIVEMLIGNQPWYGCPVGEVYRSVVEKYEKPQIPSGLPSSIENVLSGCFEYDLRNRPSMVDILSVFRSSRNAVVNDGGWRYLGIHKTLAKSSSTGYTQWSLSKDHLQVGDTVRSRKPSNSCNPQNMEVPEGNVVGNADHGFVLVRLHGVHDPVRIHASTLERVTNGLGAGDWVRIKEEDDKHSPVGILHSINRDGRVTVGFIGLRTLWKGNSSELEMAESYCVGQFIRLKPNVLSPRFEWLRRRGGTWATGKISWILPNGCLVVNFPGMLNIWNAPNTFLADPSEVDVVSFNNCPNMVEKYQHVEDHHWAIRPVLIAFGLLSTVKLGMSIGKKIGRNMNVNAMENENHYTDKSQNASSPTSTWTSSVANILFREGVNMSTGR >Vigun09g210700.1.v1.2 pep primary_assembly:ASM411807v1:9:38471600:38475684:1 gene:Vigun09g210700.v1.2 transcript:Vigun09g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIGNPPVPFDFELLVDDPENLGTVKASSSSTDPWIEPERLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAAKMLPPIREEHMKTALEKFFELYFQCQGAARVCWLLGISILNGRICIIMNFYEGSVGDKMARLREGRISLPDVLRYGIDLAQGIMELHSKGILVLNLKPSNVLLNDTDQAILGDVGIPNLLFGSSFLSSDTANRLGSPNYMAPEQWQPEVRGPVSFETDSWGFGCTIVEMLIGNQPWYGCPVGEVYRSVVEKYEKPQIPSGLPSSIENVLSGCFEYDLRNRPSMVDILSVFRSSRNAVVNDGGWRYLGIHKTLAKSSSTGYTQWSLSKDHLQVGDTVRSRKPSNSCNPQNMEVPEGNVVGNADHGFVLVRLHGVHDPVRIHASTLERVTNGLGAGDWVRIKEEDDKHSPVGILHSINRDGRVTVGFIGLRTLWKGNSSELEMAESYCVGQFIRLKPNVLSPRFEWLRRRGGTWATGKISWILPNGCLVVNFPGMLNIWNAPNTFLADPSEVDVVSFNNCPNMVEKYQHVEDHHWAIRPVLIAFGLLSTVKLGMSIGKKIGRNMNVNAMENENHYTDKSQNASSPTSTWTSSVANILFREGVNMSTGR >Vigun09g210700.3.v1.2 pep primary_assembly:ASM411807v1:9:38471571:38475702:1 gene:Vigun09g210700.v1.2 transcript:Vigun09g210700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIGNPPVPFDFELLVDDPENLGTVKASSSSTDPWIEPERLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAAKMLPPIREEHMKTALEKFFELYFQCQGAARVCWLLGISILNGRICIIMNFYEGSVGDKMARLREGRISLPDVLRYGIDLAQGIMELHSKGILVLNLKPSNVLLNDTDQAILGDVGIPNLLFGSSFLSSDTANRLGSPNYMAPEQWQPEVRGPVSFETDSWGFGCTIVEMLIGNQPWYGCPVGEVYRSVVEKYEKPQIPSGLPSSIENVLSGCFEYDLRNRPSMVDILSVFRSSRNAVVNDGGWRYLGIHKTLAKSSSTGYTQWSLSKDHLQVGDTVRSRKPSNSCNPQNMEVPEGNVVGNADHGFVLVRLHGVHDPVRIHASTLERVTNGLGAGDWVRIKEEDDKHSPVGILHSINRDGRVTVGFIGLRTLWKGNSSELEMAESYCVGQFIRLKPNVLSPRFEWLRRRGGTWATGKISWILPNGCLVVNFPGMLNIWNAPNTFLADPSEVDVVSFNNCPNMVEKYQHVEDHHWAIRPVLIAFGLLSTVKLGMSIGKKIGRNMNVNAMENENHYTDKSQNASSPTSTWTSSVANILFREGVNMSTGR >Vigun06g205300.2.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.5.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGKQENDSCTSFERSFVDADRLSYVATSTDSSICQGSNVPNDFNDYYPSFNIYQGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.6.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEGFGYACFLDGDGNAADDDKFCIDLETVMSVLDEDNNPSESSPEDFSLKNISPGESGIHDNFLLQNGNSVLECEHENQGPSPQTFSSPNALAGGYMDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGKQENDSCTSFERSFVDADRLSYVATSTDSSICQGSNVPNDFNDYYPSFNIYQGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.4.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEGFGYACFLDGDGNAADDDKFCIDLETVMSVLDEDNNPSESSPEDFSLKNISPGESGIHDNFLLQNAGNSVLECEHENQGPSPQTFSSPNALAGGYMDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.1.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEGFGYACFLDGDGNAADDDKFCIDLETVMSVLDEDNNPSESSPEDFSLKNISPGESGIHDNFLLQNAGNSVLECEHENQGPSPQTFSSPNALAGGYMDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGKQENDSCTSFERSFVDADRLSYVATSTDSSICQGSNVPNDFNDYYPSFNIYQGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.7.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGKQENDSCTSFERSFVDADRLSYVATSTDSSICQGSNVPNDFNDYYPSFNIYQGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun06g205300.3.v1.2 pep primary_assembly:ASM411807v1:6:31950124:31959382:-1 gene:Vigun06g205300.v1.2 transcript:Vigun06g205300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEGFGYACFLDGDGNAADDDKFCIDLETVMSVLDEDNNPSESSPEDFSLKNISPGESGIHDNFLLQNGNSVLECEHENQGPSPQTFSSPNALAGGYMDHEGDDFDFTERTGVSNCEMPAYICTRFPDAEVNSSNVAVCGDSLKLTMWKCENDNQIKHVGYGAESEPASHGSIIENIDVKFDDYETYTKEITGPSGMDDRPAIANASDCLFNGAYPHVWENEKMTRNMKVNKMELFTDTSGGMRSIISGGMSFQDNQYTFQDSKYASSFPGNVLFEDSASVQQSTCASYISSAGESLNVKTDRDGLIMRYQNPAHSEDAEFNVGQEMKQLPGTFPAVGSQGNDLLRCEDSVTFTTTEKAKYYQDAIGGAANYFPGIMGNLNLKPFDKSLYNLQTSIASGKLYNCVMSEGEGKSIEHRSIDSQLSKGSTDKSNIEDESDVCIIEDISHPAPVSRSADLGNSLNTLQSSRGVYTHSSTVGVMRPKARDEQYILRVALQDLSQPKSEVSPPDGLLAVPLLRHQRIALSWMVQKETSSLYCCGGILADDQGLGKTVSTIALILKERPPLVNKSNIAKKSELETLNLDADDDQLPESGVVNTESNIVQDLSCINTNKNMNLLVHVKGRPSAGTLVVCPTSVLRQWDEELHSKVTGKANLSVLVYHGSNRTKDPYELAKHDVVLTTYSIVSMEVPKQPLVDKDDEEKGAYDDPAVSSKKRKCPSSSKTSKKGLDSAMLDSVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPISKSPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELKKVEFSQEERDFYSRLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWKSSVEMAKKLTQEKRLSLLNCLEACLALCGICNDPPEDAVVSVCGHVFCNQCICEHLTGDDNQCPATNCKIRLSMSSVFSKVTLNSSFSDQACDNLPAYSGCEVDESEFYSESQPYNSSKIRAALEVLQSLSKPQCCAPQSNSVHSTLGETTDGLGSSSCDRMKSSNECPENQNVLERASNNSIGGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVSARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKQKMVASAFGEDGTGGRQSRLTVDDLKYLFMM >Vigun01g111300.2.v1.2 pep primary_assembly:ASM411807v1:1:28493760:28495926:-1 gene:Vigun01g111300.v1.2 transcript:Vigun01g111300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHHCRHHAYLRDLVQLIKAQSGVCYGKLGDNLPSPGEVVALYNQHNIGRMRIYGSDEEVFKALRGSTIQLLLDIPKDKLQNLAASQDNANKWVQDNIKNYANVRFRYIAVGNEVKHDESYAKFVVPAMQNIQNAISAAGLGSQIKVSTAIDTGALAESFPPSKGSFKATYREKFVDGVIRFLVNNNSPLLVNVYTYFSYINNPTDISLDYALFTSPSVVVNDGSLGYRNLFDATLDAVYSALEKAGGSSVNIVVSESGWPSSNGTAATLVNARTHNTNLLQHVKNGTPKRPGKPIETYVFAMFDENQKHPPFEKFWGLFNPTTKQLKYPINFN >Vigun10g075100.1.v1.2 pep primary_assembly:ASM411807v1:10:20351116:20352980:-1 gene:Vigun10g075100.v1.2 transcript:Vigun10g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFVFLLVLVVIYASHSDAYPLSTQNRWIIDEATGQRAKLVCANWASHLQPMIPEGLDQRPLKDIVGELVKHSFNCVRLTYAIYMWTRYGNENVSATFASLDVPEVVEGIAKNNPYVLSMTHVQTFDAVVHELGVQNVKVLLDNHVSEPMWCCNDDDENGFFHDTHFNPQEWVHGLTLAAKHFSGNHVVVAMSLRNELHGPRQNLKDWYRYMSQGAVAIHKTNPNVLVLISGLNYDTELQFLRRKPLNIDLGKKMVFETHLYSWSGIGTLKLREIWTKQPLNRICANNVKAIDYRAGFLTTGKNATPLIFTEFGFNEAGSSVEDKRFLTCLQTYLLGKDLDWGLWAFQGTYYLKKDQVQVHESFGVMDETWHNLRYPNFTDKFRLLQRKNLVNDKNEVELGSCETKNRWVRGEDTTKIVLHGSKKCLTTVGEGLPVVVSDCERNNNSWKSVSLSKLHLATLNQHEEQLCLQKDFNSSTIVTSKCICIKDDSQCLDDPQSQWFQLVQTNV >Vigun06g201000.1.v1.2 pep primary_assembly:ASM411807v1:6:31617378:31623350:1 gene:Vigun06g201000.v1.2 transcript:Vigun06g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSLGIRSASYGSLEKQLYMLQHNGASPIQSARKPPKTLKEKDRFFHWIFKFAGRKKVGMLFLFAISAAVFIWVLYLGKGEDSQEGNGVRNNIKVNESTSIGDSPSVISTSNILGLSTVLVLPPSPPSYFLGYILPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIAHMPKFPSPSPVLQNLAFIYEETLSRVGEFGGSDFGGYPTLRQRNESFDIRESMSVHCGFVRGAKPGRNTGFDMDEDDLLVMEQCHGIVVASAIFGNFDEINEPTNISDYSKETVCFLMFVDEETEKYLRSSGRLGISKKIGLWRIIVARNLPYTDARRSGKIPKLLLHRMVPNARYSIWLDGKLELVVDPYQILERFLWRKNATFAISKHYRRFDVFAEAEANKAAGKYDNASIDFQIEFYKNEGLTPYTEAKLPLTSDVPEGCVIVREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKLLSRVDFHFLMFLDCERRNFVVQKYHRDILKRLAAPVAVALSPPLQRVHETSPEKVARRGPGKHGKERRASSRRHRKVVAGGRDIQT >Vigun09g084750.1.v1.2 pep primary_assembly:ASM411807v1:9:10795205:10795747:-1 gene:Vigun09g084750.v1.2 transcript:Vigun09g084750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRDGTSASTSAAKDDAVSKKKVENEDLSDEDLALKQQLDLYVERVQDSDAGLQKAMATVMRRSRRRRERSRAKAMRSSLLLEMRLTPLSVTKESERERETARERATERGTLWRRWRREEEGFRNFKP >Vigun11g058600.1.v1.2 pep primary_assembly:ASM411807v1:11:12490061:12505571:1 gene:Vigun11g058600.v1.2 transcript:Vigun11g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQILSLRTTTTDPEHVKVIQWEDFQHDLATLASLSSALGESKEKKCNLHHKLESLIQANVESLGRLNELEEMRQKLESKKVMMENMSIRSRLAKEDASKQEEQLSGAVQALLVAGGALSVCRRNLQESTKILSDENGYVHMRKLQKMLRRRQQHMTSQISLLYPVKILVGPAQKQELEAYPSSNLAGTSAGLKPVNEASLTIRGLHLNVPSFRKMSFFADKKEIQVSATALGYVAHAVSLIASYLQVPLRYPLRLCASHSYIIDNAPSTELTSESSPNANTNAKHVEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNFIGAKSLGPRHVLANLRELYRIIQASAFIDNLI >Vigun10g007300.2.v1.2 pep primary_assembly:ASM411807v1:10:690565:712028:-1 gene:Vigun10g007300.v1.2 transcript:Vigun10g007300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGDIAKSHPQDLTSLLKKVSQYLRSKNWDTRVAAAHAIGSIAENVKHIHLTELFASVVSKMSENGISCSIEDLCAWPYLQSKITGSSFRSFDMNKVLEFGALLASGGQEYDIGNDSIKNPKERLVRQKQNLRRRLGLDVCEQFMDISDVIRDEDLMVSKSDPHLNGIDRRVFTSCSAHNIQKMVANMVPSVKSKWPSARELNLLKRKAKINSKDQTKSWCEDGGTEASGAQSLTPKGTCPDSLNYSKVFMDVNHDDDGFEHDGDGQWPFHTFVEQLIIDMFDPVWEVRHGSVMALREILAHQGASAGVFKPDSHMGGTLFIELENKSIPTTLKREREIDLNMQVSADEFDSNLKRPKLEDVSSPNFMDSVMTCNNITSETHGCNLTLDYGNGQFNGNSNDMDLESHSDGSRDACKESAIIAEQKGHLDDNQMPSGNLIALRNLPQNCELMNSVKVARRSWLQNCEFLQDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHPALVNETLNILLRMQCRPEWEIRHGSLLGIKYLVAVRQEMLSDLLGRVLPACKSGLEDPDDDVRAVAADALIPAASAIVSLQGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMAPNMYEVLRLGDKEMENGGGGSCDGDGEENPYVLSTLAQRLWPFMRHSITSVRYSAIRTLERLLEAGYKRSMSELSGASFWPSSIFGDTLRIVFQNLLLETNEDILQCSERVWSLLVQCSMEDLEMAASSYGSSWIELASTPFGSALDASKMYWPVAFPRKSQIRAAAKMRAAKIENERGLEFSLDSIKGTIPQDRNGDVPMNSVKIVVGADVDTSVTHTRVVTATALGYFASKLPVGSLKYVIDPLWSSLTSFSGVQRQVASMVLISLFKEIKLKNSSKNLDGIPGALKGWLLDLLACSDPAFPTKDSLLPYAELSRTYAKMRSEAGQLLNVVKSSGMFDELLTATQIELDRLSVDDAIGFASKIPALCNDSSANESLAKNIMDDIESSKQRLLTTSGYLKCVQSNLHVTVTSAVAAAIVWMSEFPTRLTPIILPLMASIRREQEEILQMKSAEALAELMYHCVARKPCPNDKLIKNICSLTCMDPSETPQAKSLCTIESIDDQGLLSFRTPVSKQKSKVHVLAGEDRSKVEGFLSRRGSELSLRLLCEKFGASLFDKLPKLWDCLTEVLKPIEDTEEKQVTVSIESVSDPQTLINNIQVVRSVAPVLTEELKPKLLTLLPCIFKCVQHSHVAVRLAASRCITSLAQSMTAKVMGAVVENAIPMLEDSSSVYARQGAGMLISFLVQGLGVELVPYAPLLVVPLLRCMSDCDQSVRQSVTHSFAALVPLLPLARGLPQPIGLGEGVSRNAEDLQFLEQLLDNSHIEDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQSSAIVASDIAEHRNTIGNEDPLASLIICPSTLVGHWAFEIEKYIDVSVISSLQYVGSAQERMLLRDQFCKHNVIITSYDVVRKDVDFLGQLLWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQVRIMCSSYTS >Vigun10g007300.1.v1.2 pep primary_assembly:ASM411807v1:10:685287:712028:-1 gene:Vigun10g007300.v1.2 transcript:Vigun10g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGDIAKSHPQDLTSLLKKVSQYLRSKNWDTRVAAAHAIGSIAENVKHIHLTELFASVVSKMSENGISCSIEDLCAWPYLQSKITGSSFRSFDMNKVLEFGALLASGGQEYDIGNDSIKNPKERLVRQKQNLRRRLGLDVCEQFMDISDVIRDEDLMVSKSDPHLNGIDRRVFTSCSAHNIQKMVANMVPSVKSKWPSARELNLLKRKAKINSKDQTKSWCEDGGTEASGAQSLTPKGTCPDSLNYSKVFMDVNHDDDGFEHDGDGQWPFHTFVEQLIIDMFDPVWEVRHGSVMALREILAHQGASAGVFKPDSHMGGTLFIELENKSIPTTLKREREIDLNMQVSADEFDSNLKRPKLEDVSSPNFMDSVMTCNNITSETHGCNLTLDYGNGQFNGNSNDMDLESHSDGSRDACKESAIIAEQKGHLDDNQMPSGNLIALRNLPQNCELMNSVKVARRSWLQNCEFLQDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHPALVNETLNILLRMQCRPEWEIRHGSLLGIKYLVAVRQEMLSDLLGRVLPACKSGLEDPDDDVRAVAADALIPAASAIVSLQGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMAPNMYEVLRLGDKEMENGGGGSCDGDGEENPYVLSTLAQRLWPFMRHSITSVRYSAIRTLERLLEAGYKRSMSELSGASFWPSSIFGDTLRIVFQNLLLETNEDILQCSERVWSLLVQCSMEDLEMAASSYGSSWIELASTPFGSALDASKMYWPVAFPRKSQIRAAAKMRAAKIENERGLEFSLDSIKGTIPQDRNGDVPMNSVKIVVGADVDTSVTHTRVVTATALGYFASKLPVGSLKYVIDPLWSSLTSFSGVQRQVASMVLISLFKEIKLKNSSKNLDGIPGALKGWLLDLLACSDPAFPTKDSLLPYAELSRTYAKMRSEAGQLLNVVKSSGMFDELLTATQIELDRLSVDDAIGFASKIPALCNDSSANESLAKNIMDDIESSKQRLLTTSGYLKCVQSNLHVTVTSAVAAAIVWMSEFPTRLTPIILPLMASIRREQEEILQMKSAEALAELMYHCVARKPCPNDKLIKNICSLTCMDPSETPQAKSLCTIESIDDQGLLSFRTPVSKQKSKVHVLAGEDRSKVEGFLSRRGSELSLRLLCEKFGASLFDKLPKLWDCLTEVLKPIEDTEEKQVTVSIESVSDPQTLINNIQVVRSVAPVLTEELKPKLLTLLPCIFKCVQHSHVAVRLAASRCITSLAQSMTAKVMGAVVENAIPMLEDSSSVYARQGAGMLISFLVQGLGVELVPYAPLLVVPLLRCMSDCDQSVRQSVTHSFAALVPLLPLARGLPQPIGLGEGVSRNAEDLQFLEQLLDNSHIEDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQSSAIVASDIAEHRNTIGNEDPLASLIICPSTLVGHWAFEIEKYIDVSVISSLQYVGSAQERMLLRDQFCKHNVIITSYDVVRKDVDFLGQLLWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQTAYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQYKLYEQFSGSRVKQEMSSIVTTNESAVPEGSGTSTKASSHVFQALQYLLKLCSHPLLVTGEKIPDSLSPILLELFPAGSDIVSELHKLHHSPKLVALHEILEECGIGVDNSGSEGAVNVGQHRVLIFAQHKAFLDIIERDLFQTHMKSVTYLRLDGSVASEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFVEHDWNPMRDHQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASMKTMNTDQLLDLFASAETSKKGGNAVKSSENNSDGDAKLVGSGKRLKSILGGLEELWDQSQYTEEYNLSQFLARLNG >Vigun10g007300.3.v1.2 pep primary_assembly:ASM411807v1:10:693848:712028:-1 gene:Vigun10g007300.v1.2 transcript:Vigun10g007300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGDIAKSHPQDLTSLLKKVSQYLRSKNWDTRVAAAHAIGSIAENVKHIHLTELFASVVSKMSENGISCSIEDLCAWPYLQSKITGSSFRSFDMNKVLEFGALLASGGQEYDIGNDSIKNPKERLVRQKQNLRRRLGLDVCEQFMDISDVIRDEDLMVSKSDPHLNGIDRRVFTSCSAHNIQKMVANMVPSVKSKWPSARELNLLKRKAKINSKDQTKSWCEDGGTEASGAQSLTPKGTCPDSLNYSKVFMDVNHDDDGFEHDGDGQWPFHTFVEQLIIDMFDPVWEVRHGSVMALREILAHQGASAGVFKPDSHMGGTLFIELENKSIPTTLKREREIDLNMQVSADEFDSNLKRPKLEDVSSPNFMDSVMTCNNITSETHGCNLTLDYGNGQFNGNSNDMDLESHSDGSRDACKESAIIAEQKGHLDDNQMPSGNLIALRNLPQNCELMNSVKVARRSWLQNCEFLQDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHPALVNETLNILLRMQCRPEWEIRHGSLLGIKYLVAVRQEMLSDLLGRVLPACKSGLEDPDDDVRAVAADALIPAASAIVSLQGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMAPNMYEVLRLGDKEMENGGGGSCDGDGEENPYVLSTLAQRLWPFMRHSITSVRYSAIRTLERLLEAGYKRSMSELSGASFWPSSIFGDTLRIVFQNLLLETNEDILQCSERVWSLLVQCSMEDLEMAASSYGSSWIELASTPFGSALDASKMYWPVAFPRKSQIRAAAKMRAAKIENERGLEFSLDSIKGTIPQDRNGDVPMNSVKIVVGADVDTSVTHTRVVTATALGYFASKLPVGSLKYVIDPLWSSLTSFSGVQRQVASMVLISLFKEIKLKNSSKNLDGIPGALKGWLLDLLACSDPAFPTKDSLLPYAELSRTYAKMRSEAGQLLNVVKSSGMFDELLTATQIELDRLSVDDAIGFASKIPALCNDSSANESLAKNIMDDIESSKQRLLTTSGYLKCVQSNLHVTVTSAVAAAIVWMSEFPTRLTPIILPLMASIRREQEEILQMKSAEALAELMYHCVARKPCPNDKLIKNICSLTCMDPSETPQAKSLCTIESIDDQGLLSFRTPVSKQKSKVHVLAGEDRSKVEGFLSRRGSELSLRLLCEKFGASLFDKLPKLWDCLTEVLKPIEDTEEKQVTVSIESVSDPQTLINNIQD >Vigun10g195000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40866191:40869063:-1 gene:Vigun10g195000.v1.2 transcript:Vigun10g195000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEYGNLPTCATDVDDDSHTCCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEDGIDARRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCQNLPDTQAEFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKDNFFSGSLEKYAGVLYGLGVENGQGAH >Vigun10g195000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40866191:40869078:-1 gene:Vigun10g195000.v1.2 transcript:Vigun10g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEYGNLPTCATDVDDDSHTCCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEDGIDARRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCQNLPDTQAEFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKDNFFSGSLEKYAGVLYGLGVENGQGAH >Vigun10g195000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40866191:40869063:-1 gene:Vigun10g195000.v1.2 transcript:Vigun10g195000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEYGNLPTCATDVDDDSHTCCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEDGIDARRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCQNLPDTQAEFFNLINMYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKDNFFSGSLEKYAGVLYGLGVENGQGAH >Vigun02g140800.1.v1.2 pep primary_assembly:ASM411807v1:2:28951737:28957749:-1 gene:Vigun02g140800.v1.2 transcript:Vigun02g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPATGIGLDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKQTQELVAVKYIERGEKIDQNVKREIINHRSLRHPNIIGFKEVILTPTHLAIVMEFASGGELFSRICNAGRFTEDEARFFFQQLISGVSHCHAMEVCHRDLKLENTLLDGSPALHLKICDFGYSKSSMLHSQPNSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGSYPFEDPTDPRDSWKTIQRVLGVQYSIPDDIQISPECGHLISRIFVFDPAERITIPEILKHEWFLKNLPPYLMDENIMGKQFVESDQPMQSIDTIMQIISEATVPAAGTYYLDQFLTDDIIDDDTDESDSDFEQHVDSSGEIVYAI >Vigun02g140800.2.v1.2 pep primary_assembly:ASM411807v1:2:28952676:28957749:-1 gene:Vigun02g140800.v1.2 transcript:Vigun02g140800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPATGIGLDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKQTQELVAVKYIERGEKIDQNVKREIINHRSLRHPNIIGFKEVILTPTHLAIVMEFASGGELFSRICNAGRFTEDEARFFFQQLISGVSHCHAMEVCHRDLKLENTLLDGSPALHLKICDFGYSKSSMLHSQPNSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGSYPFEDPTDPRDSWKTIQRVLGVQYSIPDDIQISPECGHLISRIFVFDPAEGKLLIAYFKSNLFIV >Vigun03g394600.1.v1.2 pep primary_assembly:ASM411807v1:3:60076331:60081227:-1 gene:Vigun03g394600.v1.2 transcript:Vigun03g394600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGNLGPGLKLSVPVSDQSNFAKFLTESGTFKDGDLLVNRDGVRIVSQSDVEAPPPIKPTDDQLTLADVDVIKVVGKGNGGVVQLVQHKWTSQFFALKVIQMNIEESMRRQIAQELKINQQAQCPYVVICYQSFYENGVISIILEYMDGGSLADLLRKVKTIPEPFLAAICKQVLKGLVYLHHEKHIIHRDLKPSNLLINHIGEVKITDFGVSSIMESTSGQANTFIGTYNYMSPERINGSQRGYNYKSDIWSLGLILLECALGRFPYTPDDQSESWESIFELIETIVDKPPPIPPSGQFSPEFCAFISACLQKDPKNRLSAQELMGHPFVSLYDDSEVNLSSYFSNAGSPLATL >Vigun02g196800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33198871:33200931:1 gene:Vigun02g196800.v1.2 transcript:Vigun02g196800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGVNQNPEIKHMNQRTEMGRRRGKESKSYTLPSSPSHSFSSSSSSDFEFTISISPRKSSTALCPADELFYKGQLLPLHLSQRISMVRTLLLSSASTSSASTAARDSTGSSSNDSHSSFTSDLALFPDCDSSRPSSVTEEDEFKRLNNNSTGNSNSNNQPCSTIKKSHKFFFSRFSSVFRKENNNLQAKTRDLDTASANSSVKRISVTAREVIRKYFKKVKPLYEKLSQKQQRSGQLNATEGASAVTMTSVFSLLSKTERSTKLSEGTKTGRKESVSALSHSFSGNLRYPRRRSCVSSCPSSMRSSPNHSGVLSQRGAAAAMYCGDTSSMEELQSAIQGAIAHCKNSLIQSKTMVSNEI >Vigun02g196800.1.v1.2 pep primary_assembly:ASM411807v1:2:33198878:33200939:1 gene:Vigun02g196800.v1.2 transcript:Vigun02g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGVNQNPEIKHMNQRTEMGRRRGKESKSYTLPSSPSHSFSSSSSSDFEFTISISPRKSSTALCPADELFYKGQLLPLHLSQRISMVRTLLLSSASTSSASTAARDSTGSSSNDSHSSFTSDLALFPDCDSSRPSSVTEEDEFKRLNNNSTGNSNSNNQPCSTIKKSHKFFFSRFSSVFRKENNNLQAKTRDLDTASANSSVKRISVTAREVIRKYFKKVKPLYEKLSQKQQRSGQLNATEGASAVTMTSVFSLLSKTERSTKLSEGTKTGRKESVSALSHSFSGNLRYPRRRSCVSSCPSSMRSSPNHSGVLSQRGAAAAMYCGDTSSMEELQSAIQGAIAHCKNSLIQSKTM >Vigun05g060200.2.v1.2 pep primary_assembly:ASM411807v1:5:5155349:5157738:-1 gene:Vigun05g060200.v1.2 transcript:Vigun05g060200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLDKAKTYVADKVAEKVANMPKPEATVADVDFQKVSCDSVQYLAKVSVSNPYATPLPICEIKYSLKSADREIASGIIPDPGSLKAKDSTMLDVPVKVPHTVLLSLARDIGADWDIDYQLDIWLIIDLPVVGDITIPLSQKGEIKLPTIRDMFASS >Vigun05g060200.1.v1.2 pep primary_assembly:ASM411807v1:5:5155349:5157016:-1 gene:Vigun05g060200.v1.2 transcript:Vigun05g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLGVKVSFGVIGVSAIFAVLKMSNLLDKAKTYVADKVAEKVANMPKPEATVADVDFQKVSCDSVQYLAKVSVSNPYATPLPICEIKYSLKSADREIASGIIPDPGSLKAKDSTMLDVPVKVPHTVLLSLARDIGADWDIDYQLDIWLIIDLPVVGDITIPLSQKGEIKLPTIRDMFASS >Vigun01g206500.1.v1.2 pep primary_assembly:ASM411807v1:1:38235180:38239842:-1 gene:Vigun01g206500.v1.2 transcript:Vigun01g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHDQFIGKAKGEDIVPLKNYLDAQYFGEIGIGTPPQTFTVVFDTGSSNLWVPSSKCYFSLACYTHNWYKPKKSRTYIKNGTSCKINYGSGSISGYFSQDSVKVGNAVVKQLDFIEATREGSLSFLSAKFDGILGLGFQEISVENAVPLWYKMVEQNLISEKVFSFWLNGDPNAKKGGELVFGGVNPKHFKGDHTYVPVTEKGYWQIEIGDFFIGGASTGVCAGGCAAIVDSGTSLLAGPTPVVAEINHAIGAEGVLSVECKDVVSQYGEMIWDLLVAGVKPDDICSQVGLCSAKRIQSKSPGIEMVTEKEQSELTARDTPLCSSCQMLVLWIQNQLKQKQTKDKVFNYVNQLCESLPSPSGESVISCSSLSKLPNITFTIGDKPFVLTPEQYILRTGEGITEVCLSGFMAFDVPPPRGPLWILGDIFMRAYHTIFDYGNLQVGFAQAV >Vigun01g206500.2.v1.2 pep primary_assembly:ASM411807v1:1:38235180:38239842:-1 gene:Vigun01g206500.v1.2 transcript:Vigun01g206500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHDQFIGKAKGEDIVPLKNYLDAQYFGEIGIGTPPQTFTVVFDTGSSNLWVPSSKCYFSLACYTHNWYKPKKSRTYIKNGTSCKINYGSGSISGYFSQDSVKVGNAVVKQLDFIEATREGSLSFLSAKFDGILGLGFQEISVENAVPLWYKMVEQNLISEKVFSFWLNGDPNAKKGGELVFGGVNPKHFKGDHTYVPVTEKGYWQIEIGDFFIGGASTGVCAGGCAAIVDSGTSLLAGPTPVVAEINHAIGAEGVLSVECKDVVSQYGEMIWDLLVAGVKPDDICSQVGLCSAKRIQSKRSESPGIEMVTEKEQSELTARDTPLCSSCQMLVLWIQNQLKQKQTKDKVFNYVNQLCESLPSPSGESVISCSSLSKLPNITFTIGDKPFVLTPEQYILRTGEGITEVCLSGFMAFDVPPPRGPLWILGDIFMRAYHTIFDYGNLQVGFAQAV >Vigun03g276200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45267303:45269928:-1 gene:Vigun03g276200.v1.2 transcript:Vigun03g276200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHTHSFNSLLRACKTLNQAKQLHTYILQTTSHRNPFFVTKLIQIYADFNDLRSALALLQQLSHPNVFAFTSILSFYSKHGYPHQCIQTYAKLRQNGVVPDGYVFPKVLKACAQLSCLGTGTVVYKDVIVLGAESNLQVRNSVLDMYSKCGDVWSAKQVFDEMPERDVFSWNSMMSAYVCNGLPQRAVEVFRVMKRDGCECALDVVTWNTLMDAYCRMGMCCEAWRAFGEIEVPNVISWTILISGYASVGRHDVSLGIFRKMMNVGMVSPDVDALSGVLVSCRSLGALASGMEVHGYGLKIMCGDVFYRSAGAALVTLYAGCGRLDRADIVFRRMDKSDAVTWNAMILSLIDVGLGDLALECFRKMQERGVRIDGTTVSTILPVCDLRCGKEMHAYVRKCCLSSVILVNNALVHMYSVRGCIAYAFAVFSTMVAKDLVSWNTIIGGFGTHGLGQTALKLLQEMSDSGVRPDLVTFSCVLSACSHSGLVDEGIKLFYRMTKDLGLTPVREHFSCVVDMLARAGRLEEAFDFINQMPQEPDKHVWGALLAACQEHQNVSVGKVAAEKLISLEPQEAGHYVTLSNIYSRAGRWDDAAIVRRRMQGHALPKPSGHSLVGIGS >Vigun05g150800.1.v1.2 pep primary_assembly:ASM411807v1:5:22721009:22723760:1 gene:Vigun05g150800.v1.2 transcript:Vigun05g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKEIVSSNSVVVFSKTYCPFCVRVKQLFDSLGVTYKLLELDVERDGADIQAALHEWTGQRTVPNVFISGKHIGGCDDTTALHNQGKLVPLLTSAGAITTKSTA >Vigun09g144300.1.v1.2 pep primary_assembly:ASM411807v1:9:30706201:30709970:1 gene:Vigun09g144300.v1.2 transcript:Vigun09g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTHSLLIKHFAIRTLFLSQHQSPSTFKGISVNLTSMADTNKAAVEVFEGDDLAVSLAKYVADLSNKFTSERGAFTVCLSGGSMLEYLRKLPEPPYLDSLEWSKWHVFWLDERVVPKTHEDSNYKLALDGLLCKVPIPPGNVYAINDALSPEGAADDYERRIKELVDKNVITLSPTSGFPKFDLILLGMGPDGHVASLFPGHPLVQENKRWVTFIKDSPKPPPERITLTFPVINACAYAALVVTGKTEADAIHSALGKSENPVKLPVALVSPEGELKWFLDKDAASKL >Vigun01g144100.1.v1.2 pep primary_assembly:ASM411807v1:1:32558894:32564841:1 gene:Vigun01g144100.v1.2 transcript:Vigun01g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYIAVNIQTGEEVAIKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNAMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGGSSRGRHESGKAAMHAGPSAQKTEKISVGKEIREKFSGAVEAFSRRNPTSSSPRGDHSKHRGFEEGAAHKDVYHDQEKGRNSSRYGSSSRRAIISSSTRPSSSGDHTDSRTSRLTSSLSRPSTTHRNIQPMYESKQPTYTRSGSTRGNRDDPLRSFELLSIRK >Vigun10g053300.1.v1.2 pep primary_assembly:ASM411807v1:10:8856057:8859413:-1 gene:Vigun10g053300.v1.2 transcript:Vigun10g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRFRHQAQRPKYDCLLFDLDDTLYPLKSGLAEACLKNIKDYMVEKLGIHPSKIDDLSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRNLLLSLPYRKLIFTNADKVHAAKALNRLGLEGCFEGIICFETLNPIHKSTVSDDEDDIEFIGSRSTNPTTSSNAPATSQIFDIIEHFAKPNPSSVLPKTPIICKPSENAIELALNIANLKPQRTLFFEDSTRNIQAGKRVGLHTVLVGTSQRCKGADYALESIHNLKEAVPELWETDIKSEVAYPGKLAVETSVTA >Vigun11g059300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12871140:12871727:-1 gene:Vigun11g059300.v1.2 transcript:Vigun11g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDNRSNDVSGSNSTRLNKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAARDFRGAKAKTNFPTHAELTHVARSPSQSSTLESPSPPPPPPLDLTLTPIAATGAFVFPVARPVVFFDAFARAEVRAFDLPVTAFRRTAVAATTQSDSDSSSVVDYERVPHRRVLDLDLNVPPPPEVA >Vigun06g041500.1.v1.2 pep primary_assembly:ASM411807v1:6:16268979:16269984:1 gene:Vigun06g041500.v1.2 transcript:Vigun06g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSFLINFTMNYLKVTLIISLFFYGSAVEFQYWKMAQTWPSGFCAHKACDATKTIPFKFTIHGLWPSNYSIPQPSLCSSTGLNISLISGIVHELDQDWPNYLWNNNYFWNSEWMKHGTCSNMLPFDYFRLTLDIYARNDLQQILEHANILPGSTYLTSQIIATIKTSPIGVEPQVSCRSKDLVEIRLCLNKSPIPHYINCPKPRLNCPNKVNFL >Vigun01g086700.1.v1.2 pep primary_assembly:ASM411807v1:1:24403921:24411363:1 gene:Vigun01g086700.v1.2 transcript:Vigun01g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPSPLPVEQGENWAQPAQNRTRRRVGGGRGRGGNATAVGKGPSPAVPTRRTAAGRGRGARLIDLDPEPCEVLPEPVALGAPEPVYNHVEVVANNNIAMEGGSADKVAVAEEEPSTTPVPERVQVGNSPIYKIERKLGKGGFGQVYVGRRVSGGSDRTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYSTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPSMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGSADDKKLYLIDLGLASRWKDASSGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMRFDEEPNYSKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADTRLRQHVDKGIEDGLYISCVASAANLWALIMDAGTGFSSQVYELSLAFLHKDWIMEQWEKNYYISAIAGAVNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSDQVVEIDFLYPSEGIHRRWENGYRITSMAATSDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVC >Vigun09g250500.1.v1.2 pep primary_assembly:ASM411807v1:9:41777140:41783232:1 gene:Vigun09g250500.v1.2 transcript:Vigun09g250500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALATLAPWIPEDDLLLKNAVEAGASLESLAKGAVQFSRKYNIREIQDRWYSLLYDPVISAEASAGMTNFELSASPLPSKFYRFGHSKEQKVVSTKRKSGSVRNLYYARLKRIRNSMLTSMDLSFLVDPGNGNYAEHESHPLSGTCMPEGGTLNLPVQYDFPPENVMDDNVVSNGVPASVFFPGIESAVEENLPVQLNNVLKEEPQFIGDNVPLDEAVEELDIPGELTIDGWIGDDNLGRIPLPTLDDINNDPGNMCPDFDEKNAFDSTELECGTSFNLASLPEIPVWSTDEGIKESDLPCDGFNDSIACGEAYLEELSNSLLNFSSEEELFLMDVDGEEGIDKSYFDGLSSLLLNSTNDVNPSQVPKKDEAELQVPKTDGTESLTASQAHVLNQSVSCHKELEDNPGSTSSGVQVVHKLEFQMSSPPLAEDPLFHELTNEFPFCSLNTEEQEIPDNEDVFLPFDVPPVIFPPSSKLIFKASKKRISSSVQDYGFNRHRANERGKSLMHAEKKIRVESQSSSQMMGSPSFLVPDGGSKVKCELPASHASRIVSTTSVTVSGSLGGNDAANTTNALLRANRKEEATNADLAKDQCDHISNLFIKKSAASSNDLRNNPQLHGSAMKNEQDLGLSLQNHQLKVAEVGSSDILESELVADPLTLDEEEHDIESDDELPSYSDVEAMVLDMDLDPDDHQDSCYSEEVSRYQHVESKRAIMRLEQGAHSCIQRAINAHGAFAILYGRHTKHYIKKPEVLLGRATEGFPVDIDLSKGGYSNSISRRQAIIKMDKEGSFYIKNFGKSSILVNSKEVHTGQSQRLHTNYLIEVRGIPLIFEINQNRVKRYLDHISDNSQTF >Vigun01g001175.1.v1.2 pep primary_assembly:ASM411807v1:1:227174:228841:1 gene:Vigun01g001175.v1.2 transcript:Vigun01g001175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGGAVRGQNVPAMFIFGDSLIDNGNNNNLPSLAKANYYPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPAYSEASGNEVLHGVNYASAAAGILDATGRNLCWRIPFDQQLRNLRTH >Vigun06g126900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25392606:25394048:-1 gene:Vigun06g126900.v1.2 transcript:Vigun06g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFAMILLTPIFLLTLAAAAFLFAVAGAVLFQTGCGKRLWRGMEDWFHVYQFLTVPELNQTKHHNHLYSKLSLYLHSLPSIQDSHFANIVTGKNPNDIVLCLAPNQTIQDHFLGATIYWFSQPAHPNPEGTGTYVLKIRKVDKRRILRPYLQHIHAVADEIEQQGKRDLRLFTNSADEFGQWRSVPFTHPSTFDTIAMEPDLKNKVKSDLESFLRAKQYYNRLGRVWKRSFLLYGPSGTGKSSFVAAMANFLSYDVFDIDLSKIPSDSDLKLLLLHTTPKSVVVIEDLDRFVAEKTERISVSGILNFMDGLITSCCAEERVMVFTMNTKEHVDPNLLRPGRVDVHIHFPLCDFSAFKTLANSYLGVKDHKLFPQVQEIFQNGASLSPAEIGELMIANRNSPSRAIRSVITALQTDGDGRGCGLTGRQKEDDEMGEPDGVVCGEGVHTVKDLRRLYSFFRFRVTRKSSSSISSLPASPLR >Vigun07g087800.1.v1.2 pep primary_assembly:ASM411807v1:7:13575725:13580144:-1 gene:Vigun07g087800.v1.2 transcript:Vigun07g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKISQTKGTDPKTSEFAFFKKLKKDASEGFRIRPLQKESRQSSKKPESTDYSREKMGDARIGSNCDNSSRIIDHVSTVRTDSCFSPSVKAWYKSGLYCISNTPKDSRDYSDKFKSQRIFSHEDQHAHGEVFARKRQKLRLSAADALFTDPEKLCSKGHDIISMLLSRLFPISTEENKYEDTHPGKEANGTIYDLPDSRKSDDQFKEQNQIPKKKLLGLESSPYIRDHVLSPSFLRLDGRFNLHSKFPTYDSHNFPPQTTEPECKRSATQSFGVATKGDVIPEPLLNEAANATRYGLHDSRELDGQFTEHHQIPKRKPVELESSSSFSDHFLSPVYLRSVEKINPHADFQPYPLNFQPLLSMTEARSNFGGAPSFIDKSDATREFLSNNQDLEIFTFNHFKELGILEKESIPLMEKDFDYTANATNLPITYMHTKPNMASELSILGHREEQTLHNTLDKYHISPSSSLLDKSQNFSSILDSGFLRYQEVKFGSYVYEDMDTNFNHTALALSHSKHYFNVHENRKNDTSCDQDSMFLSPYKHWVGRTDSRAYHHHPNLETWLPSSLDECQRSLSLTSSHLNYQSSSSRTLQLPQRVSMPSLFQINDNDEPEMDGENHEEMLYHFRKSLVEIYNSSFHHMSLPISFG >Vigun11g023100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2814204:2817878:-1 gene:Vigun11g023100.v1.2 transcript:Vigun11g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIETLGGALFGAVLQVLLDRLDSRQVLDYFRRRKLDEKLLKKLKRKLVSINAVVDNAEKNQFRTAYMKAWLDEVRDVLLDTEDLMDEIHYEFSRYGLEAESQSSSSKVCIFESRIKEVLEDLESLLNQKDDLGLKNASRVGVGLGLSSNVSQKLPSTSLVVENTIYGRDDEKEMILKWMTSDTEKHSQLSILSVVGMGGLGKTTLAQHVYNDSRIEGKFSIKGWVCVSDEFDVLMVTKAIVGVLTKSKDDSVDLEMVQGRLKEKLTGRKFLVVLDDVWNEDRDQWKALQTPLNYGAKGSKILVTTRSNKVASIVQSNKVHELKQLGGDHSWKVFAKHAFQDDNPQINAEVKEIGTKIVEKCRGLPLALETVGCLLRSKSSVAEWKSVLSSEIWDFPEEDSKIIPALLLSYYHLPSHLKRCFSYCAMFPKDHEFDKKNLIQLWMAENFLPRSEQSKSQEEVGEHYFNVLLSRCFFQQSSGGLKSCFVMHDLLNDLAKYISGDICFRFGVDRAKRTLKETRHISFVIDDYGVSCNEYENLYDAKRLRTFLPVTRISYWSWYCETLTLELIFKLKCLHVLSFCGCVNLKEVPETIGDLMHLRFLDLSNTGIQKLPNTMCSLCDLQTLKLNSCVNLKELPCNFHKLTNLRCLELIKNSLTKMPMHIGKLKNLEIFMMSPFNVGKSSELCIHQLGELSLHGDLVIKDLQNTVNPMDALAADLKSKTCLVRLDLNWDLERNLDNFMKEKEILENLQPSKHLKELSISDYGGIQFPHWLSDNSLSNLVSLSLINCKHCLLLPSLEFLTFLRHLTISGHDWIGTIDADFYRNSCSAFASLETLSFADMKEWEEWQCTTGDFPSLQSLSVTNCPKLKGHLPEQLSHLKKLIIEDCKKLVTVAPRTLEICELHLRDCGKLQIDYNPTTLKMLRIGGDNMEASLLERLGHIISHTSLESFTIFSCPNMNIPINHCFDFLEKLHICGGCDSMTNFPLDFFPRLSEIDLSECPNLQMITQRHPLNHLKILRIGKCSRFEYFPNEGLFARQLESFYIIGLENLKSLPKHMSDLLPSLNLLYINDCPEVEVSDGYLPSNLDEMCLFNCSKLIVSLKGPWGTNPSLKSLSIGKVDEDCFPGEGLLPLSITNLEIYDCPNLKKLDYRGLCHLSSLEKLFLYKCPILQCLPEEGLPKSISKLRVEGCPLLKKRCKKQEGEDWEKIAHIKYIIVDRERVNI >Vigun08g221100.2.v1.2 pep primary_assembly:ASM411807v1:8:37969220:37976639:-1 gene:Vigun08g221100.v1.2 transcript:Vigun08g221100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAIQWINQGSKPKLEVEDPPPSLIRSPLAEKISTSDRFPRSPILSSANALNSPVLPPLKFHSALLQPRNLAFGYGDHHADNDSDVSMEDDFSDEELSAPSNLDYSDSPIPHNYDEEQLFGSKPQGQGRCGILKTGLANQNLTIQVPCSVRRFTDGEVGFNKCVQRKLTPVGGAVQFQKKVRPRNVKCPDDAVGLGTPSAPPIIDADFSVERDSESSVKNEPTDRASWPSRDSVDYDGSKSESSIEQKPNTVTKTTEPGERLDNTFTDDLERQTPHLQYYRNSCNSQYAWQTLITYDACIRLCLQAWARGCTEAPEFLKDECLALRSAFGLHEFLLQPRGVKLTERRNTRNSEQTVPLKIKKAVGKIRVEVRKLRIIPRQKLKITKSQRGSHYMQAGVEYVRHVSSLVKTGINSMKSATFSLASEEPLHCLIQLKSTTEENEPEPSSAILLRPGSGDYHDFFPLSQGDALIVEVQDSKKAVHGEARIPISSLSDNPSDRIRWWPVYHDERECVGKIQLSIGSTMTSNENTHIKSAAVVETQAYDLLLEGAMSAQHFHSRNLRINGHWKWLLDAFADYYGVSNSYAKLRYLLHVMNVATPTKDCLELVRELLEPLIKAKSERSLTRQERSILSDCETQIESLLATVFENYKSLDENSPSGLTDHFGPAFESAAPALDPAVQVYISLHDILSLDAQTILRNYLQTAARKRCRKHMMETDEFVSSTSECYQMDTVTISTAYLKMKNLCVSIRNEIQADIKIHNQHTTHGQHIFPSSIDLTNITAAVYSTELCKRLRAFLSALPPSSPQAHVNELLVATADFERDFESWNISPVQGGVDSRNLFHNYIMVWIQDMQLGLLDLCKAEKVPWAGVTTNHSTSPFAEEMYEKIKDNLNQYEVAINRWPQYSLYLENAVANIERAIVKSLEKQYSDTLTPLKDSIPKRLHLQVQKIARRQSTTVHLLPNQLGIFLNTLKRILDVLHCRVEDILNSWASCLPVMGDKKTLFGEQMNGITVLLRTKYKTYLQAIIGNLVNNMQANRNTRLKKILEETTETDGEAEVRERMQLLNSQLIDFISNLHEVFTSQIFIAVCRGLWDRMGQIILKFLEGRKENRIWYNGSSYALGILDDTFASQMQRLRGNALQDKDIEPPRSVIEARSILCKDTANATDPSNYFYI >Vigun08g221100.1.v1.2 pep primary_assembly:ASM411807v1:8:37969220:37976639:-1 gene:Vigun08g221100.v1.2 transcript:Vigun08g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAIQWINQGSKPKLEVEDPPPSLIRSPLAEKISTSDRFPRSPILSSANALNSPVLPPLKFHSALLQPRNLAFGYGDHHADNDSDVSMEDDFSDEELSAPSNLDYSDSPIPHNYDEEQLFGSKPQGQGRCGILKTGLANQNLTIQVPCSVRRFTDGEVGFNKCVQRKLTPVGGAVQFQKKVRPRNVKCPDDAVGLGTPSAPPIIDADFSVERDSESSVKNEPTDRASWPSRDSVDYDGSKSESSIEQKPNTVTKTTEPGERLDNTFTDDLERQTPHLQYYRNSSCNSQYAWQTLITYDACIRLCLQAWARGCTEAPEFLKDECLALRSAFGLHEFLLQPRGVKLTERRNTRNSEQTVPLKIKKAVGKIRVEVRKLRIIPRQKLKITKSQRGSHYMQAGVEYVRHVSSLVKTGINSMKSATFSLASEEPLHCLIQLKSTTEENEPEPSSAILLRPGSGDYHDFFPLSQGDALIVEVQDSKKAVHGEARIPISSLSDNPSDRIRWWPVYHDERECVGKIQLSIGSTMTSNENTHIKSAAVVETQAYDLLLEGAMSAQHFHSRNLRINGHWKWLLDAFADYYGVSNSYAKLRYLLHVMNVATPTKDCLELVRELLEPLIKAKSERSLTRQERSILSDCETQIESLLATVFENYKSLDENSPSGLTDHFGPAFESAAPALDPAVQVYISLHDILSLDAQTILRNYLQTAARKRCRKHMMETDEFVSSTSECYQMDTVTISTAYLKMKNLCVSIRNEIQADIKIHNQHTTHGQHIFPSSIDLTNITAAVYSTELCKRLRAFLSALPPSSPQAHVNELLVATADFERDFESWNISPVQGGVDSRNLFHNYIMVWIQDMQLGLLDLCKAEKVPWAGVTTNHSTSPFAEEMYEKIKDNLNQYEVAINRWPQYSLYLENAVANIERAIVKSLEKQYSDTLTPLKDSIPKRLHLQVQKIARRQSTTVHLLPNQLGIFLNTLKRILDVLHCRVEDILNSWASCLPVMGDKKTLFGEQMNGITVLLRTKYKTYLQAIIGNLVNNMQANRNTRLKKILEETTETDGEAEVRERMQLLNSQLIDFISNLHEVFTSQIFIAVCRGLWDRMGQIILKFLEGRKENRIWYNGSSYALGILDDTFASQMQRLRGNALQDKDIEPPRSVIEARSILCKDTANATDPSNYFYI >Vigun02g066300.1.v1.2 pep primary_assembly:ASM411807v1:2:21628149:21629255:1 gene:Vigun02g066300.v1.2 transcript:Vigun02g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLSNMENAQKSSNSSCHILTFHSTAKWKAHFDASKEINKLMVIDFTASWCGPCKQMDPVIQDFSAKYTNVEFIKIDVDELMAVSQEFQVQAMPTFILIKKGKVVDKVVGAKKEELQKLIEKHLSV >Vigun11g127300.3.v1.2 pep primary_assembly:ASM411807v1:11:33442338:33452663:-1 gene:Vigun11g127300.v1.2 transcript:Vigun11g127300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGESLSKLEKYEALNIKKRQRSDLSTDRGSGVNLTKIGNQIHKIPNDNLTQRSEARTSNSMLNKRIRTSVADVREESRSTAIGRSRMVTEKDANLVQTLGGSSVRNEEKTRRLLAGGEGLDQKIKKKRSVGTVGNRITTTERDVKRTAHPKANTDLKMRLYDAQGFRLKSGSGGIKSEGSSELTNTGVRMMLTSEQGVSVHREHIAEQRVVAKGNTRASTQEDLASSPNMIIKNKVSRAPRTGSVSALESSNTQPSSTAFPGSSIHPMTQWVGQRPPKNSRSRRVKVVSPASRNLEVQASSEGCLTSDFSVKASSAGNNGFPLPSSVDHSNPKYKRPPDDISSPFGLSESEESGAGENKIKEKGVNGSDFAMAPDKAGSSMFQMRKTKISNDESGDSVQRHGRNGRNLSLVRPGLPSGREKAENGPIMKPVQDMKPNDKSKIKYGRPPSKKQKERKVVARVGKQLNIGSADFGGESDDDREELCKAANAARDASNLACSGPFWNKMESIFASISLDDASYLKQQLNIAVEFDKSLSHMFGIDQDLLGVVINNKATQDSEERKRSQCDEDLTKFDALGGKKDMERPDKVTPLFQRLLCALIEEEECEESYHQIDAKNISRQCASDDSHCGSCNQIDFEPKDRDRMDSEVESEVDLQIQKNCVLDRLSCDKSTTSNTFRYPNTSSSLQSTGVWQGDEEFSLSDITHTGEICSNDLDQLQHAELSVPSFPSPDGQYQLMSLDDKLLLELQSIGLYPEILPDLAEEDEAIINQDIVKLEKALYEQNQRKKSTLDKIDKAIQEERDVERRKIEQSAFDYLTETAYRKRLASRGSKNSKGAVHKVSKQVALGFIKRTLGRCKRYEEAGINCFSEPTLQNIMFAPPSRENDTQPADCMVSGTASNTCNKISHQIEARKSGAVSSASEKYDCHRDYADRGLVDSFQGSIQSSEQASSKNGSVFIKEKKREMLVNGGVSGSSSRASNLDGAVHGGVKGKRSERDRNQSRDQSRQNPIGRAGRMSLDSSQNENKPKAKKQKISGSGHDRFMEAKDSARLPANDTIKNGTKDGATLSAVDTSQIKESNDFGNLPLPDLSSIEEFGGAHDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLNMLM >Vigun11g127300.1.v1.2 pep primary_assembly:ASM411807v1:11:33442338:33452552:-1 gene:Vigun11g127300.v1.2 transcript:Vigun11g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGNNLNSSSSSGITSSDMPPLPQCLPLDSITVGNRKYTGELRRVLGVSAGNTSEDQSFGGPHPKPMAPGASGELKHFKESVQDASRKARDRSKMLGESLSKLEKYEALNIKKRQRSDLSTDRGSGVNLTKIGNQIHKIPNDNLTQRSEARTSNSMLNKRIRTSVADVREESRSTAIGRSRMVTEKDANLVQTLGGSSVRNEEKTRRLLAGGEGLDQKIKKKRSVGTVGNRITTTERDVKRTAHPKANTDLKMRLYDAQGFRLKSGSGGIKSEGSSELTNTGVRMMLTSEQGVSVHREHIAEQRVVAKGNTRASTQEDLASSPNMIIKNKVSRAPRTGSVSALESSNTQPSSTAFPGSSIHPMTQWVGQRPPKNSRSRRVKVVSPASRNLEVQASSEGCLTSDFSVKASSAGNNGFPLPSSVDHSNPKYKRPPDDISSPFGLSESEESGAGENKIKEKGVNGSDFAMAPDKAGSSMFQMRKTKISNDESGDSVQRHGRNGRNLSLVRPGLPSGREKAENGPIMKPVQDMKPNDKSKIKYGRPPSKKQKERKVVARVGKQLNIGSADFGGESDDDREELCKAANAARDASNLACSGPFWNKMESIFASISLDDASYLKQQLNIAVEFDKSLSHMFGIDQDLLGVVINNKATQDSEERKRSQCDEDLTKFDALGGKKDMERPDKVTPLFQRLLCALIEEEECEESYHQIDAKNISRQCASDDSHCGSCNQIDFEPKDRDRMDSEVESEVDLQIQKNCVLDRLSCDKSTTSNTFRYPNTSSSLQSTGVWQGDEEFSLSDITHTGEICSNDLDQLQHAELSVPSFPSPDGQYQLMSLDDKLLLELQSIGLYPEILPDLAEEDEAIINQDIVKLEKALYEQNQRKKSTLDKIDKAIQEERDVERRKIEQSAFDYLTETAYRKRLASRGSKNSKGAVHKVSKQVALGFIKRTLGRCKRYEEAGINCFSEPTLQNIMFAPPSRENDTQPADCMVSGTASNTCNKISHQIEARKSGAVSSASEKYDCHRDYADRGLVDSFQGSIQSSEQASSKNGSVFIKEKKREMLVNGGVSGSSSRASNLDGAVHGGVKGKRSERDRNQSRDQSRQNPIGRAGRMSLDSSQNENKPKAKKQKISGSGHDRFMEAKDSARLPANDTIKNGTKDGATLSAVDTSQIKESNDFGNLPLPDLSSIEEFGGAHDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLNMLM >Vigun11g127300.2.v1.2 pep primary_assembly:ASM411807v1:11:33442319:33452667:-1 gene:Vigun11g127300.v1.2 transcript:Vigun11g127300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGNNLNSSSSSGITSSDMPPLPQCLPLDSITVGNRKYTGELRRVLGVSAGNTSEDQSFGGPHPKPMAPGASGELKHFKESVQDASRKARDRSKMLGESLSKLEKYEALNIKKRQRSDLSTDRGSGVNLTKIGNQIHKIPNDNLTQRSEARTSNSMLNKRIRTSVADVREESRSTAIGRSRMVTEKDANLVQTLGGSSVRNEEKTRRLLAGGEGLDQKIKKKRSVGTVGNRITTTERDVKRTAHPKANTDLKMRLYDAQGFRLKSGSGGIKSEGSSELTNTGVRMMLTSEQGVSVHREHIAEQRVVAKGNTRASTQEDLASSPNMIIKNKVSRAPRTGSVSALESSNTQPSSTAFPGSSIHPMTQWVGQRPPKNSRSRRVKVVSPASRNLEVQASSEGCLTSDFSVKASSAGNNGFPLPSSVDHSNPKYKRPPDDISSPFGLSESEESGAGENKIKEKGVNGSDFAMAPDKAGSSMFQMRKTKISNDESGDSVQRHGRNGRNLSLVRPGLPSGREKAENGPIMKPVQDMKPNDKSKIKYGRPPSKKQKERKVVARVGKQLNIGSADFGGESDDDREELCKAANAARDASNLACSGPFWNKMESIFASISLDDASYLKQQLNIAVEFDKSLSHMFGIDQDLLGVVINNKATQDSEERKRSQCDEDLTKFDALGGKKDMERPDKVTPLFQRLLCALIEEEECEESYHQIDAKNISRQCASDDSHCGSCNQIDFEPKDRDRMDSEVESEVDLQIQKNCVLDRLSCDKSTTSNTFRYPNTSSSLQSTGVWQGDEEFSLSDITHTGEICSNDLDQLQHAELSVPSFPSPDGQYQLMSLDDKLLLELQSIGLYPEILPDLAEEDEAIINQDIVKLEKALYEQNQRKKSTLDKIDKAIQEERDVERRKIEQSAFDYLTETAYRKRLASRGSKNSKGAVHKVSKQVALGFIKRTLGRCKRYEEAGINCFSEPTLQNIMFAPPSRENDTQPADCMVSGTASNTCNKISHQIEARKSGAVSSASEKYDCHRDYADRGLVDSFQGSIQSSEQASSKNGSVFIKEKKREMLVNGGVSGSSSRASNLDGAVHGGVKGKRSERDRNQSRDQSRQNPIGRAGRMSLDSSQNENKPKAKKQKISGSGHDRFMEAKDSARLPANDTIKNGTKDGATLSAVDTSQIKESNDFGNLPLPDLSSIEEFGGAHDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLNMLM >Vigun06g196600.1.v1.2 pep primary_assembly:ASM411807v1:6:31207332:31209017:1 gene:Vigun06g196600.v1.2 transcript:Vigun06g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDVEELFEAQAHLYKYTYSFVSSMCLKSAVHLGIPDAIFKNGQPITLPELVLALQIQLTKSGHVYRLMRVLVHAGFFATTKVVKDDTEEVGYDLTPSSRLLLKDNVPTLSPFVRAMFHPALVHSSESLPEWFHRNETTPCETAFGMSFWEYLSQNQEFNGLFNEAMVSDSGMVNLVMKNCKSAFEGLNSLVDVGGGKGGVGKIVCESLPNLQWTVLDLPHVVENLSDSTSLKFVGGDMFQSIPSADAVLLKLVLHAYSDEECVKVLKKCKEAVSKKGKAGKVIIIDIVVGQKNENHELTEAKLFFDMIMMIVVTGKEREEKEWEKLFLEAGFSHYKITNIFGMRSLIEVYP >Vigun01g200700.1.v1.2 pep primary_assembly:ASM411807v1:1:37705618:37708965:1 gene:Vigun01g200700.v1.2 transcript:Vigun01g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALETGWYDFVCFGIVGVALLGALLVLGMNEGASRRSFESTYESLLLSRPDDEVVVDVLPSYHVSTSQLWTSCWQGLHPFCLLCTRFLSFVTMLLFLLWDVLLYDARIFVYYTEWTFALVIVYFALGSTISVYGCWKFLNKPVIHNVEEDEFVSRDVEETMSTNSNAYQEKEAKAKGSIKLERRYVEEDFEQRAGFWGYLMQTTYQTCAGAAILTDVLFWGVIVPFLQISHLRLSPLMGCMHALNAFFLLLDTALNNLQFPWFRVSYFVLWSCGYVIFQWVIHAFGFKWWPYPFLDLNNEWAPLWYLCLAVIHVPCYGVYYLIVRGKNTILPRLFPRAFLRSC >Vigun03g151700.1.v1.2 pep primary_assembly:ASM411807v1:3:15952538:15958082:1 gene:Vigun03g151700.v1.2 transcript:Vigun03g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTGDLQLTSAGAGKDSDELEDVRLLDSYEQLYIEHDETKRIQVRITGMTCAACSNSVETALRSVNGVTEASVALLQNKADVIFNPSLVKDDDIKNAIEDAGFEAEILQESGPADRVVGQFTIGGMTCAACVNSVEGILRRLNGVKRVVVALATSLGEVEYDPNVISKDDIVAAIEDAGFEGSFVQSNGQDQVVLGVGGVYSLADAQVLEGMLSGTKGVRQFRFDPVLNELDVVYDPEVISSRSLVDGIQLGSNGKFRLHVRNPYARVTSKDGSETSTMFRLFISSLFLSIPLFFIGVICPHIPFVYSLLLWRCGPFLMGDWLKWALVSVIQFVIGKRFYIAAGRALRNGSTNMDVLVALGTTASYVYSVCALLYGALTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELTPATALLVVKDKGGRTIEEREIDSLLVLPGDTLKVLPGTKIPADGIVTWGSSYVNESMVTGESTPVSKEVNATVIGGTINMHGALHIQATKVGSDTVLSQIISLVETAQMSKAPIQKFADYVASIFVPTVVILSLLTLLCWYIAGALGAYPEKWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDSLERAQKVKYVIFDKTGTLTQGKSTVTAARVFTGMDRGEFLTLVASAEASSEHPLGKAILQYARHFHFFDESSPVSGTKNDAGEFKSGWLYDVSDFSALPGRGVQCFLDGKRILVGNRKLLEENGIEISTEVENFVVELEESAKTGILVAYDDVLTGVLGIADPLKREASVVIEGLRKMGVIPVMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAANYVLMRDNLEDVITAIDLSKKTFFRIRLNYVFAMAYNVVAIPVAAGVFFPSLRIQLPPWLAGACMAMSSVSVVCSSLLLRRYTRPKLTTILEILVK >Vigun10g158700.2.v1.2 pep primary_assembly:ASM411807v1:10:37776795:37780218:1 gene:Vigun10g158700.v1.2 transcript:Vigun10g158700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTIDRVVEEKNRRRVLYDAEEIFPISDEKYAEELQLQEALYSSTMSSGRVEKEAVQVDVDDVADLLLRNRKRKRKESGETSLAHCGICMDAKPGEEMFRNRNCSHLFCDNCIGGHVAAKIQENISMVKCPEPKCKAVIEPENCHSIIPKEVFDRWENALCENVVLGSQKFYCPFKDCSAMMICDAGEVVTSSECPHCNRLFCAQCKVSWHAGIDCKEFQRSKREKGENGDSLVSELAKTKRWRRCSKCKIYVERIDGCTHIMQMWP >Vigun10g158700.1.v1.2 pep primary_assembly:ASM411807v1:10:37776795:37780218:1 gene:Vigun10g158700.v1.2 transcript:Vigun10g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASSSSSSRNFPSTAEDHYLVDDFYFSVLYDAEEIFPISDEKYAEELQLQEALYSSTMSSGRVEKEAVQVDVDDVADLLLRNRKRKRKESGETSLAHCGICMDAKPGEEMFRNRNCSHLFCDNCIGGHVAAKIQENISMVKCPEPKCKAVIEPENCHSIIPKEVFDRWENALCENVVLGSQKFYCPFKDCSAMMICDAGEVVTSSECPHCNRLFCAQCKVSWHAGIDCKEFQRSKREKGENGDSLVSELAKTKRWRRCSKCKIYVERIDGCTHIMQMWP >Vigun10g135440.1.v1.2 pep primary_assembly:ASM411807v1:10:34680446:34689768:1 gene:Vigun10g135440.v1.2 transcript:Vigun10g135440.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPNLESLNLSALNIHKIWSDQENLSSISFQNLIKLVLRNKLKSLIIIECPVMEKIIETEGNSAEKVCVFPKLEEIHLSKMDRLTDVWQTKVSVDSFSSLISVNIKECNKLDRIFSSHMEGWFESLKNLKVSRCESVEVIFEISDSQEINASGGIETNLQVILLKYLPKLKQLWSTDPDGILNFKELRSIEVYSCYGLRNLFPASIANDVPKLECMSVLYCTLMVEIVASQDASEDNKDPLVFPELTYVALYDLPNIKHFYKGRYPIKCPKLKGFSIHKCDNIFIKETNKATVFPNLECMEIDFYLAQKLLPKFQMHSLKELSLISVKDVNLLNQFLYGMPNLQKLKLSCPRYEYLLSSANSLKELIVLFLRIKDLGLGRVSLLRKLELLTIEGCDKLKYLAPPSVSLTYLTYLELKSCERLRNLVSSSTAKSMVQLKTMKVIDCDNIEQIVSNEGTEEGNVMKIVFNKLISIGLVGLKNMTSFCSYKEFEFEFPSLEILIVRECPDMQKFTEGVNNTKIKESVCTSNLSERDIQELCIGSQPIPNSGFGLLESLTLDVIFDVKCTTQGRDVTSMGQILLFSLKILIISKRPNLKNVWNENPRRILSMYHLRANCEGLMTIVAEDNTNLNGTNQELPCPPIREVLLEGLPKLTYFYYCSLQGDNFSHLESHTENQVYIEKCLSLGINGVEMILRGEFQRNLLHNIKVLVLCFRSDSDTDVCMYEILKQVPDIEKLVMCDGSLKEIFCSQSPNNVDYSGLLIQLKELRLENFGELVSIGLENSWTDSFVRNLETFEVIGCSSLQDMVACKVSFSNLTYLKVERCDSLSYLFKSLTAKSLLQLKTMVIQQCKSIEEIVFKEEDEADDDDKIIFPQLNCLDIAYLVNLRWFYRGSLCFPSLEELSVRNCGKMLTLCPGAIETDKLSQVDFLHPFPLETDLHFTMRNKFLRWKECITEFLS >Vigun04g087600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:15127357:15128285:-1 gene:Vigun04g087600.v1.2 transcript:Vigun04g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHQLLRDETYDPFLSMMNKCPVLSTPTDWKETNDAHVFISDLPGMKKEDVKVEVDEGRVLQISGERKKHVDDDDDDKNNKWHHIERFHGKFQRRFKLPENAKVDEVRASMENGVLVVTVPKHEVKKPQTKVIQIEGN >Vigun03g193100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26889774:26892116:-1 gene:Vigun03g193100.v1.2 transcript:Vigun03g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESATHKSHIVKNNLLLLLFFGLFLCLSASQSSDSFPKEALPSRYGYLPISPTSASAIFYAFYEAQNTTLPLSQTPLLIWLQGGPGCSSMLGNFYELGPWRVTQSLTLHLNPGSWNRIFGLLFLDSPIGSGFSVASTPQEIPRDQNTVAKHLFAAITNFLQLDPLFNHRPIYITGESYAGKYVPAIGYYILKKNADLEGSERVNLAGVAIGDGLTDPETQVLSHAVNAYYAGLINERQKNELEKAQLEAVGLIQKRNWSEAADARNKVLGMLQDMTGLATLYDYRRKIPYEDDLVERFLNIAEVKKALGVKESFVYEICSDVVGEALHGDVMKSVKEMVEYLVRKSRVLLYQGEYDLRDGVVQTEVWVKTMKWEGIPHFLNAERKIWKVNGELAGYVQSWTSLTNVVVLGAGHLLPTDQPLHSQAMIEDWVLQKGLFKSV >VigunL027900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:115221:115415:1 gene:VigunL027900.v1.2 transcript:VigunL027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >VigunL015496.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:824287:828354:1 gene:VigunL015496.v1.2 transcript:VigunL015496.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHHPSMHDVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTHWDYLATMFTEAITVNEPEQLGNIQVPKRASYIRVIMLELSRIASHVLWLGPFMYEKYHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRSPILLEWVEGVGVVDVKEVINWGLSGPMLRASRIQWDLRKEVQWQKERDSLAALEGLLGDPYENLEIRCFGREKEPEWNEFEYQFTSKKSSPIEAPKGELGIFLIGDQNGFPWRWKIHSLGFINLQILPQLVKRMKLADIMTILAIVWLEREIFAGIQQRIRPEYTGPFGVLQALADETKLLFKENLIPSRGDIRLFRYGSNNKYSFLGGLQAAAQSISYEIPLTLCVLSISLCVLRLSTIDIVDAQSKYGFWGWNLWRQPMGFLVFLISSLAELCVRVCPIDLPIVDWKLETDIQKKRLLNYNIDFGICIFCGNCIEYCPTNFLSMTEEYELSTYDHHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun05g094200.2.v1.2 pep primary_assembly:ASM411807v1:5:9135963:9138063:1 gene:Vigun05g094200.v1.2 transcript:Vigun05g094200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLDGVDTSSILSEATSTVASIIGKPEAYVMIVLKGSVPMSFGGNEQPAAYGELVSIGGLNPDVNKKLSAAIASILEAKLSVPKSRYFLKFYDTKGSNLGWNGSTF >Vigun05g094200.1.v1.2 pep primary_assembly:ASM411807v1:5:9135963:9138063:1 gene:Vigun05g094200.v1.2 transcript:Vigun05g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLDGVDTSSILSEATSTVASIIGKPEAYVMIVLKGSVPMSFGGNEQPAAYGELVSIGGLNPDVNKKLSAAIASILEAKLSVPKSRYFLKFYDTKAHQSQEYAQCLHALHQH >Vigun01g048400.1.v1.2 pep primary_assembly:ASM411807v1:1:7225368:7229380:1 gene:Vigun01g048400.v1.2 transcript:Vigun01g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPPEMRHNMSEEAHPSVHSSCAKSGNNSPTHPNSVNQAENVYTSGRETGQLDHVSKSDNAKLEGKSRPQRLIPPNRNISDFNPQQHQSPSTVKKPGQTPDHHHSKTVSVSTPHGKTSNHASTGSRSNSLESTSAPIRPHTGGDVRWEAINMVSKCGPLNLSHFRLLKRIGYGDIGSVYLVDLKGTKTYFAMKVMDKAALISRNKLLRAQTEREILGLLDHPFLPTLYSYFETEKFYCLVMEFCSGGDLHTLRQKQPNKCFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSAHASNNNGGNSDSSGVLSDDQASTTQVSSFFPRILPSKKNRKAKSDFGLLVGGARLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLHGSTPFKGAGYKATLFNVVGQPLRFPETPQVSSVARDLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGMNWALVRSATPPHIPDTIDFSKYATKDATPPVDKKMADIANDKHSHNASDSYVDFEYF >Vigun04g038900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3266127:3269256:-1 gene:Vigun04g038900.v1.2 transcript:Vigun04g038900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRRYINSLKTPSFSLCIHHYRHIFRNKVLAQVESTLHSTRFSPCHFSVHCQRPSLSDNKTSCVRFIQYSLPLNYHIACYIPKRHYCAETVSMNQDLSCCESEIDQVCSTMVEGSGLESDVGKVYSTMVEGSGIESDVGKVYSTMVEGSGIESDVDKVYNTIMDNLIGFNNLEEALGQLGIPLSTPLVIGVLHKLRYDEKIAFRFFTWAGHQENYSHEPCAYNDMMDILSSTRYKVKQFRIVCDMLEYMKRNDKITVPVEVLMTILRKYTEKYLTHVQKFAKKRRIRVKTQPEINAFNLLLDALCKCCLVGDAEALYKKMRKTVKPNAETYNILVFGWCRVRNPTRGMQLLEEMIQLGHRPDNFTYNTAIDTYCKAGMITEAVDLFEFMRTKGSTMSSPTAKTYTIIIVALAQNNRMEECFKLIGHMMCSGCLPDVTTYKEIIEGMCVCGKVDEAYKFLEEMGNKGYRPDIVTYNCFLKVLCDNKKSEEALKLYGRMIESSCIPSVQTYNMLISMFFKMDDPDGAFETWQEMDNRGCRPDSDTYCVMIEGYLAAIRWKMLVFFWKK >Vigun04g038900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3266127:3269255:-1 gene:Vigun04g038900.v1.2 transcript:Vigun04g038900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRRYINSLKTPSFSLCIHHYRHIFRNKVLAQVESTLHSTRFSPCHFSVHCQRPSLSDNKTSCVRFIQYSLPLNYHIACYIPKRHYCAETVSMNQDLSCCESEIDQVCSTMVEGSGLESDVGKVYSTMVEGSGIESDVGKVYSTMVEGSGIESDVDKVYNTIMDNLIGFNNLEEALGQLGIPLSTPLVIGVLHKLRYDEKIAFRFFTWAGHQENYSHEPCAYNDMMDILSSTRYKVKQFRIVCDMLEYMKRNDKITVPVEVLMTILRKYTEKYLTHVQKFAKKRRIRVKTQPEINAFNLLLDALCKCCLVGDAEALYKKMRKTVKPNAETYNILVFGWCRVRNPTRGMQLLEEMIQLGHRPDNFTYNTAIDTYCKAGMITEAVDLFEFMRTKGSTMSSPTAKTYTIIIVALAQNNRMEECFKLIGHMMCSGCLPDVTTYKEIIEGMCVCGKVDEAYKFLEEMGNKGYRPDIVTYNCFLKVLCDNKKSEEALKLYGRMIESSCIPSVQTYNMLISMFFKMDDPDGAFETWQEMDNRGCRPDSDTYCVMIEGYLAAIRWKMLVFFWKK >Vigun04g038900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3266139:3269255:-1 gene:Vigun04g038900.v1.2 transcript:Vigun04g038900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDLSCCESEIDQVCSTMVEGSGLESDVGKVYSTMVEGSGIESDVGKVYSTMVEGSGIESDVDKVYNTIMDNLIGFNNLEEALGQLGIPLSTPLVIGVLHKLRYDEKIAFRFFTWAGHQENYSHEPCAYNDMMDILSSTRYKVKQFRIVCDMLEYMKRNDKITVPVEVLMTILRKYTEKYLTHVQKFAKKRRIRVKTQPEINAFNLLLDALCKCCLVGDAEALYKKMRKTVKPNAETYNILVFGWCRVRNPTRGMQLLEEMIQLGHRPDNFTYNTAIDTYCKAGMITEAVDLFEFMRTKGSTMSSPTAKTYTIIIVALAQNNRMEECFKLIGHMMCSGCLPDVTTYKEIIEGMCVCGKVDEAYKFLEEMGNKGYRPDIVTYNCFLKVLCDNKKSEEALKLYGRMIESSCIPSVQTYNMLISMFFKMDDPDGAFETWQEMDNRGCRPDSDTYCVMIEGYLAAIRWKMLVFFWKK >Vigun04g038900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3266139:3269255:-1 gene:Vigun04g038900.v1.2 transcript:Vigun04g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRRYINSLKTPSFSLCIHHYRHIFRNKVLAQVESTLHSTRFSPCHFSVHCQRPSLSDNKTSCVRFIQYSLPLNYHIACYIPKRHYCAETVSMNQDLSCCESEIDQVCSTMVEGSGLESDVGKVYSTMVEGSGIESDVGKVYSTMVEGSGIESDVDKVYNTIMDNLIGFNNLEEALGQLGIPLSTPLVIGVLHKLRYDEKIAFRFFTWAGHQENYSHEPCAYNDMMDILSSTRYKVKQFRIVCDMLEYMKRNDKITVPVEVLMTILRKYTEKYLTHVQKFAKKRRIRVKTQPEINAFNLLLDALCKCCLVGDAEALYKKMRKTVKPNAETYNILVFGWCRVRNPTRGMQLLEEMIQLGHRPDNFTYNTAIDTYCKAGMITEAVDLFEFMRTKGSTMSSPTAKTYTIIIVALAQNNRMEECFKLIGHMMCSGCLPDVTTYKEIIEGMCVCGKVDEAYKFLEEMGNKGYRPDIVTYNCFLKVLCDNKKSEEALKLYGRMIESSCIPSVQTYNMLISMFFKMDDPDGAFETWQEMDNRGCRPDSDTYCVMIEGYLAAIRWKMLVFFWKK >Vigun10g136950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35279194:35281920:-1 gene:Vigun10g136950.v1.2 transcript:Vigun10g136950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEALRLKDECPKDEEVSHAENVTSFDLTSSYSGYIEFDSRKSIVQDIMRKLKDPNMKIIGLHGAQGMGKSTLIKKIANKAKDEGLFDRVAEIDVTVNPNPLTIQADIAHVLGLPLVGESENVRADYLRRWLKTENVSILIILDNLHERLDLNRLGIPVDLDYDLRKKNELSIMSSNQGTNPNPGGDAQGTGEKVLKKGNFLGDYKGCKVLLSSRDKKVFRDEVDVESNFCLKELDGNDAMMLFEKVIGGGNKMSMPKEEIQNYCTGLPIRIVTFAVAFKNWIESESKPTLAKFKKQGLVEWQKSSETPNKKKYDLPENKELKFIFLLCAQMGHLPLVNDLVKYCFGLGIFEGVSSLSAAREKINESIQELKNLSLVSYENPNIHFHMSHMVRDDALSNALMDHNIFALRDGKLDDWPELESCISISLCNSDITDGFPQVINCPQLKFLQIETNEPSLEIPECFFSRMENLRVLILAGFHLLSLPYSIEDLLNLRMLCLERCTLDCNLSVLRKFKKLRILSFSGSQLKNLPVELRYLDKLQMLDISDCFKLKIIPLDLFSNLTCLEELYIRKSLIKMLVEKGENKGHNSFLSKLKNLHQLKVVDLSIPCVSILPSHLFFDRLKDYKIEIGDFEMFSVGEFRMPNKYEELKVLALHLKDDTDIHSHKGIKLLFKTAQSLFLGKICVQNVVNELNIDGFENLKHLSIISNKDVEYVNSTDLSNYVNIFSNLESLCLCNMMNLKMICRGPITLESFAKLKTIKVEMCCQLESLFSFYAIKISTSTGTSEIFKCNSNMKKFLASLEMIEVCECESLKEILQIPPDCVKDCECESLKEILQIPHDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHLTEVQTTNRGLTEISIEQDGHSDNAPPLFGELV >Vigun10g025700.2.v1.2 pep primary_assembly:ASM411807v1:10:3070503:3075808:-1 gene:Vigun10g025700.v1.2 transcript:Vigun10g025700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDSVATLIDSTTSKIQQLQKAFAELESYRAVTLNLKWKDLEEHFHGLEKSLKRRFHELEDQEKEFENKTRKAREILEKRQAAVYAKEQATLQGLQEKRDAAVFDIMNAREKHRKVSSSELAIVSNRGNGALYVEEKPVDAVSFAAEGKVEEVELSPEIETVELSYPDLVKLCKEMDAAGLHKFISDNRKNLAAVREEIPYALSAAPNAACLVLDSLEGFYCTEVSNQDVKKDANLLGLRRTCIMLMECLCDFLRNSDCVSNVISDDIKDRAKTVAEEWKPRLGALDMDASNGNSLEAHAFLQLLASFGIASGFNEEELCRLIPMVSRRRQTADLCRYLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPIPLLKSYLKDARKTSSPVKSVNSSPIAQIDVNERELIALKAVIKCIEDHKLDEQYPVEPLQKRVSQLEKAKADKKRVTEATKPQPKRPRANSGGYGPRVTNFPSDKTCYARVADRYPPPPQYVYDRSYMYPAPADNHCAPLMASATYNISPNPANYFGNGYQYQATYLH >Vigun10g025700.1.v1.2 pep primary_assembly:ASM411807v1:10:3070503:3075801:-1 gene:Vigun10g025700.v1.2 transcript:Vigun10g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDSVATLIDSTTSKIQQLQKAFAELESYRAVTLNLKWKDLEEHFHGLEKSLKRRFHELEDQEKEFENKTRKAREILEKRQAAVYAKEQATLQGLQEKRDAAVFDIMNAREKHRKVSSSELAIVSNRGNGALYVEEKPVDAVSFAAEGKVEEVELSPEIETVELSYPDLVKLCKEMDAAGLHKFISDNRKNLAAVREEIPYALSAAPNAACLVLDSLEGFYCTEVSNQDVKKDANLLGLRRTCIMLMECLCDFLRNSDCVSNVISDDIKDRAKTVAEEWKPRLGALDMDASNGNSLEAHAFLQLLASFGIASGFNEEELCRLIPMVSRRRQTADLCRYLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPIPLLKSYLKDARKTSSPVKSVNSSPIAQIDVNERELIALKAVIKCIEDHKLDEQYPVEPLQKRVSQLEKAKADKKRVTEATKPQPKRPRANSGGYGPRVTNFPSDKTCYARVADRYPPPPQYVYDRSYMYPAPADNHCAPLMASATYNISPNPANYFGNGYQYQATYLH >Vigun05g166500.26.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEVVPGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.37.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.37.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.16.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.19.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.34.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.34.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.32.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.32.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.33.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.33.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.27.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEVVPGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.22.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.30.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.36.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.36.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTEKLVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.24.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.39.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.39.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.21.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27730010:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.28.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.23.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.29.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.31.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.31.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.38.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.38.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEETDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.25.v1.2 pep primary_assembly:ASM411807v1:5:27685102:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVVWLMNLRENEEDQHYFMGNYRVCRYVIHASNPKGYYCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQEDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEEEVHQPIAIAEVVITETVDDITVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g166500.35.v1.2 pep primary_assembly:ASM411807v1:5:27685103:27729992:1 gene:Vigun05g166500.v1.2 transcript:Vigun05g166500.35.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETDSPEDASPVCVPLVKSFKNIGSEEITFPQKHGNDVNSKYPLVEEKGNADSSKTDEVSEIRPQEIHQESCKVFVGSEKQTEVPAGWSKQRDSEGMVMTESIPKSSIEEQGKVPGEHEHEHMSTIPELAINPTEVAVKICEEETYKEEEGLTATDNDQSCRPENELDETSKALEAIDQTQFTGKDTAEYEHADHQSEAPSTENVIAKHSNSEQLPTKSSIKSNCDEEPLEKTLELYSVDNSEIKKDGKVMSEKAIGVPADGTLKTLSTTACVGAAELENKDEGKFTLEKEKEMEAATDMQLLKSATDSPPDNKDLPAVSTSEFVKGHTDEIPQQQTHKNDETSVTDTGKSSAESDDDEKLDKEEKAIEEAIKTQLVNTETESLPEEEVQLRLPTPDIVQQNTDQIIKEQPLNQDQPDMIDNAQSCIAEKEPDRVIEEPVEIETKHNIRILKEQEDQQSEEKSMQNVTANSIIEGHVTPKSSTKVADDVHKSDSIKNLEKKDANEIREVEATDVKQSTNVEKQKAEAKGMSEDKGLQTLPSAELVGNKAIEESCKGHIKPEAGLAVTYANEEQALQHEFDKKEEILEEEACQLTAESEAKPEPQIVAQNNETTCIKHPYLDREASEMNPIVDTIEHEISMPHAKFNQEEEKGTEKETKMQLEDTTESVPKEETIQPIAIAELVRIKAHDESSPEANVTVKSNKEVQTSNVIENEEIWDTGKCNQVEEEQTTEEPTKSQLQEEQVQTRSPTPASVHGDPNQIWQQETLYINESEINDNDKQGSIPKIAQDPKLTIAQETDKPETEDNVGIVTEKLENKHASEIRSKEGTDAKQLTDVEIPKSEIEGVSIDEAQQPIANTVFVGTEVVDEGSGEEIESEADAKLLSNNEEQRPEYVTEGTVGINDTNYTYEKEGVPTSPVSVKEGIENDRKFSKEEESKRVEEKTKMQLQEEQVQTRSPTPISVQGDSNQIHQQETLCIDESEVFDNAKQWCIAAIELEKDTTVVQETRNSETNDKIITVAENLHHKDETEIKEEEGTYAQKSTEVEMLQSEREGMPVDEAQQTMANAVSVRSEALDESSQEEILPEAYVTEKSNKEVKTPESVTNEVVETNTTNYTEKQEVPKGYVRERGRIGDKFNQEKEVEGIEATNTQLLEEEVQIRSSTYVSVQSDINQIPQQQTVNVNESEVIEIAIVVQESEVSEAKDNASRVIENLDKDESEIRAEDGTDAKELTDLDMSKSETEEKIQDDGKFNQREKEKRIDEVTEILTPEEEVHTTSPTPAFVKSHTDITEQQIAFHLNESNVIATAKQSCIPEFEHDRNPTVVQEIEVFETKHNIDRVMENSDKEKTKIRENEKTDATQLNEESGQQDKQLEADITDKSNKKVKTPEYVTEENLETNITDYAEEKNKSPTDHAKEKEGIEAIFNQEEKEKLIEETTKTHSEEEEVQTHAPAFVLGDTNQIPHQETMHANESKVMDNAKQSYIPEIELDEKAIVLQETEVSESLYKKDPTEIRVKKEIDAKKLTDSEMPKPETEDEEALQPIADVVLVRTEVVEESGKQETQPKEDVTDKSNREVLIKKFVTEEGAEINTNNCTKEHDTVQASPANELEGTDDVKLHEEEADEIEEKTKTQIPEEEFQTSSPTPAFVQDDSGQTLQQETVNIDESEVLDNDKHSCIPEIELDGKSTVVEETNNPAIEDNISTGAKDLDNKDAIEVRAEEGTYVKQLTNVEIPNSEIKAVPKDEALELIENIVLIGTEPIDEGSQQVIQPQADVKTPEYVTAETREINTTNYSKEQEKVPSIHAEDEGIKNKFNHKEEAKGIEEATKIQSLEEVQTKSPTPIFVQGDKNQVPYQETLPVDGSKVIDNAKQSCIPEIELHKNSLVAQESEKPETKNNVNIITKNFDDKDASEIRAEEVSYDKQVTEVERSKSLTEEYEVPQPIAITVAVGTEIVDDSNQEKIQHEEDVSEKKSSNEEQSPHYIDEDTAQINTNSYPDEHDKDLTPHAKGKEGIENEENLDQTEKAKGIDKTSKMKIPEEEIQIRSTPPAIVQDDIDQISQQEILHVDESKVIEHIKQNWTPEMEFDRKSTIIQETEKPETKDNVGIITENKGTNNARNIRAEEATDSKKLADFKMHNPEIEDMSDNEVPQPVAITATTKTKTVDDSNYGQIQLEDLIEKESNKEEQTLQYVAEDTVKINTNNYTNEHDKVQDEEAKDIEETTKMQLDEQKVQKTSPTPTFVQGDTDQISQQELLHIVESEVHYDKHSSIPEIEPDRKSTIVQETEKTETISKETENLGTNDASEISAKEETDVKILADLKMPKSEIQDEVPQPTTIAVVDITKTVDGIKQEQRKLEEDIANKKSKEEQTPLYVAEDIAEINTNSYTEEHDKVQTSATKVKEWIEDDKSFGQQEEVKAIAETNKIQLPREDVQRRSHTLDFVQRDTDHISHQELPHVEDSEVIDYVKHSCIQEIELDRKSTIAQETEEPKHKDNISTVTENLSTNDGSEVKGQEQTGVKTLADIKMPKSKIEVKKSNEEEKVQTLAPTPAFVHSDMEQISQEELLHVDESKVIEYVKQSCIRETELQRKSTIPQETEKPETKDNISKVTENLGTNDAREIKEEEETNSKKMADFKMQDSEIEGGEVRQSIATAVAVITQTVDDSKLEQIQLDKDITEKKSNKEEQTPQFVAEDTAEINTTNYNDENQKVQTSNVEVKEEIEEDENFGQQQEVKAIGDNKMQLPKENVQTISPTPAYVQCNTDQILNKELLHVDESEIIDYVKQSRIPEIELDTTIAQETEKPETKDNISNVTENLGTDHGSEIRAEEDTYVKNLADLKIPESEIKETEVPEDEVPQPIAVAVEVETERVADINQKEIQPKEDITEKKSNKDEQSSHYVAKDTTELKANSYTDEHDKVQTSHAEVNQGTEGDEKCSQEENAKGIEEMNKMQLHEEEVQIRSSTPPFVQDDTDQISQQELLLVDESKVIDYVEQSCKPEIELDRKSTIVQEIEKPETKDNVSILTENLGTNDASEIKAKEEIDAEKLADVNMIQSEIEGVPKDETPKPIANAVLLRTEAVEKSSQQDKQLVAEVADKSIKEVQNQEFIIEGNVEININSEAEEKDKNSTFNAEKKEGTSHANGKEGIEDIFNQKEKEKEIEEATKTHSVEEEEIQRRSPPPAFVQGDTDQIQHQETLHINESEVIDNAKLSHIPEIELHEKAIVVQKSEKLETKDNFDRVRENLNKAESENRAEEGIDVKQLTDLEMPKSETEAGVPEDESLQQMSDTVSVEKEAVSVSQEKRQPQEEFIKKVDEEVETPQYFIEDREKLETANDTEEQEEVPTSQPKEKSGNKDDNKFEEEQEEKGIEESTKTQLQDEDIRTTLPIQTSVQGDFDQILQKQTVHDNESKGIGNAERSCTQEIELNGKSTIVEEPETKDNVNIVKQEHADNQSEKSSIQGVTTTYNITEEGLVKSESNREISEVHIDEQDAVKSCSRENLHNKNACEIRVEKASEAKQSTYVEIQESETQDEPEDKALKRKLNSSSNGNEALDESSLEEFTENFNNDAQTPQRNTKVNAETNITTYPDKQQEFPACHANEKQGIQDKGKFEEEEAEEIEESIEKQLPEEEIQHTLPTPTSVQDNIDQMSQLQTLHDDEIEVIDNAKSCMPEIELDRKSIVVKESKQQETKANVDLVKEEQADNQSEESSVQSFITNYIIVERHTTSESNKELSDDVNPDGQKKVESHLVEFLEIKDASEIRAKGIHSKQLTDVEIQKSETEGMAEDRGMQTMSSTTSVKNDAFNECCQEEIQPREEYAETHIKEVNMPHYEFYMQKHIPEAYGVQEDTCQIRVENEQKFKSQLASLIKETTFIDNLYSDGEIEKTNPSNDVEKDVNPQSHNKEKLEIHDDSKFNEEEANGIEEMTKIKLLNSATDSPPEEVQPKLPDPATVQGDTGQILQQQTLAADASDTIDNNMRKIPENELDRMSTSVEEPETKANINIEEEEEEEQSDKQSHEPSMQSLITDYITVEGHLASENSSNMNEQKTVNLQLVENLGIKYASKPTTEEVTDAKQPTGVEVEKTKNEEMIENETLDESNQEEMQAEEDFSGTHTKGKQTPQSSMENVNSEGMEITESSTKSSYAEEEQEQVINPYTIENSEIKDGTKIKLEEASDIKEATDEPVTKAISVLSTIPSVRIWIVDESIQEKIQKDVQTSVINTKEEQRPENDFVRNMEVQEACDLKKGPGNTSVENDEKVEVQSEANNQKTIKKNPNLEELETAEISPSSDTKELGKEHEVAKLGGVSESVSIDITKQIDTGKLETQEAETGLSDNTKEDKAGEEFEKISPSSSVGVISKDSQDTSTKVLHKKSHGILSGVGSKVKHSISKVKKVITGKSSRPKTPPS >Vigun05g017600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1445091:1445405:1 gene:Vigun05g017600.v1.2 transcript:Vigun05g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGREKMAILVLSLFFSILMVCFCFILMIHFVMELAAVMHNRARKQQEVLWAAFGIALFLIFSFAFVVFAIFSGCGMLYIANSSIKVAPAPSPVEAEHHPPMV >Vigun05g261500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45418489:45419946:-1 gene:Vigun05g261500.v1.2 transcript:Vigun05g261500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAKDRSSIFSFQYFCYVVIILSIISIILSLSWSHCCSQCHSPYQVIAQKQNKPINLLSYPLAWNHLVFSSEPPSKFLKIALFVRKWPHQSRAGGLERHALTLHLALAKRGHDLHVFTTSTDSSPTNYSNNNLHFHFSKPTPGGYLDQASVWEQFQAQNSSGKPFDVVHTESVGLRYTRSRYVSNLAVTWHGIAYETFHSDIIQELLRTPQEPQTKALSERAAKVVEEVKFFPNYAHHVATSDHAGDILKRVYMIPEERVHIILNGVDQQVFRSDVSKGNEFKKRHGILDSKSLVIGLAGRLVKDKGHPLMFEALKQIIAENSTFQESSMVVVAGDGPWGGRYRDLGANILVLGPLEQVELACFYNAIDVFVNPTLRAQGLDHTLLEAMLSGKPVMATRLASIAGSVIVGNEMGYTFSPTVSDLKRAIYELWISGRDVLNKKGKVAMQRGLQLFTATKMVAAYERLFLCISGAMHEDHFCEYQP >Vigun01g049000.1.v1.2 pep primary_assembly:ASM411807v1:1:7401076:7407350:-1 gene:Vigun01g049000.v1.2 transcript:Vigun01g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQEWIERVAKIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPILHVVGEPKTKPTQHSVRQLRGLGLTPNLLACRSSKELDDNVKAKLAQFCHVPLSNILTLHDVPNIWHIPLLLKDQKAHEAILKALNLLGVAAEPNLKEWTSRTKVYDRCDETVRIAMVGKYTGLSDAYLSVLKALLHASVARNRKLSIDWVPAGDLEEVTYKEDPEAYKAAWNLLKGADGVLVPGGFGDRGVQGKILAAKYAREHNVPFLGICLGMQIAVIEYARSVLGLHDATSTEFNPETKTPCVIFMPEGSKTHMGATMRLGSRRTYFEVADSKSAKLYGNVSFIDERHRHRYEVNPDMVSQLETAGLSFVGKDETGRRMEIVELPSHPFYIGVQFHPEFKSRPGKPSPLFSGLIAAASEPRKKVPNGYSKLATCNNRHSPKLKAHQNVKGFKAPNGSLNGVYTNGNSVYVDGSC >Vigun09g034100.1.v1.2 pep primary_assembly:ASM411807v1:9:2986089:2988481:1 gene:Vigun09g034100.v1.2 transcript:Vigun09g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVKNNFLNHALASNLQQALIRRKDSVQEQHHSKAKEPTKASSKPVVLVTNGEGIDSPGLAFLVEALLRDALLDVHVCAPQSDRSMCGHSVTTGETLAVCSAQVGGANAFEVSGTPADCVSLALSGALFSWSKPVLVISGVNKGATYGYDTLYSGAIAGAREAMMCGVPSLCISLNWEKNVSCESDLKDAVSVCLPLIHAAISDIQKGTFPKNCFLNIAIPSCPLSNKGVKVTRQSSNRASLNWQAVSTNRNPSAGHYMSNQQSVGIMLAKLGRDASAAAAARRVNSNRKNVEIESTGVAGKFSPQQSIKKYFRMELTEKRQENKEDDLDRRALEEGFVTVTPLSVYENGEMEIQSSVSSWLAAISVWC >Vigun01g107800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28032371:28034659:1 gene:Vigun01g107800.v1.2 transcript:Vigun01g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMDTLLKTPNKLEFLHPLHGYSEKLSGSGSSRVQNQDFRFGSKKPLVRWGKCGGLRASSSALLELVPEFKKENLDFELPLYDSSKGAVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDEKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVIKIIHEDTKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPYDVDKMLFMDWRDSHLDNDKELKQRNGRIPTFLYAMPFSSTKIFLEETSLVARPGLRMDDIQERMVARLRHLGIRVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQSLGSDRGLSGGDISAQVWKDLWPIQRRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELLFFGLSLFSYASNTSRIEIMAKGTLPLVNMINNLVKDTE >Vigun01g107800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28032371:28034659:1 gene:Vigun01g107800.v1.2 transcript:Vigun01g107800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMDTLLKTPNKLEFLHPLHGYSEKLSGSGSSRVQNQDFRFGSKKPLVRWGKCGGLRASSSALLELVPEFKKENLDFELPLYDSSKGAVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDEKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVIKIIHEDTKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPYDVDKMLFMDWRDSHLDNDKELKQRNGRIPTFLYAMPFSSTKIFLEETSLVARPGLRMDDIQERMVARLRHLGIRVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQSLGSDRGLSGGDISAQVWKDLWPIQRRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELLFFGLSLFSYASNTSRIEIMAKGTLPLVNMINNLVKDTE >Vigun01g107800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28032371:28034659:1 gene:Vigun01g107800.v1.2 transcript:Vigun01g107800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMDTLLKTPNKLEFLHPLHGYSEKLSGSGSSRVQNQDFRFGSKKPLVRWGKCGGLRASSSALLELVPEFKKENLDFELPLYDSSKGAVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDEKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVIKIIHEDTKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPYDVDKMLFMDWRDSHLDNDKELKQRNGRIPTFLYAMPFSSTKIFLEETSLVARPGLRMDDIQERMVARLRHLGIRVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQSLGSDRGLSGGDISAQVWKDLWPIQRRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELLFFGLSLFSYASNTSRIEIMAKGTLPLVNMINNLVKDTE >Vigun01g107800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28032371:28034659:1 gene:Vigun01g107800.v1.2 transcript:Vigun01g107800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMDTLLKTPNKLEFLHPLHGYSEKLSGSGSSRVQNQDFRFGSKKPLVRWGKCGGLRASSSALLELVPEFKKENLDFELPLYDSSKGAVVDLAVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDEKTKKDLDRPYGRVNRKLLKSKMLQKCISNGVKFHQAKVIKIIHEDTKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPYDVDKMLFMDWRDSHLDNDKELKQRNGRIPTFLYAMPFSSTKIFLEETSLVARPGLRMDDIQERMVARLRHLGIRVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQSLGSDRGLSGGDISAQVWKDLWPIQRRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELLFFGLSLFSYASNTSRIEIMAKGTLPLVNMINNLVKDTE >Vigun06g006566.1.v1.2 pep primary_assembly:ASM411807v1:6:3408604:3409172:-1 gene:Vigun06g006566.v1.2 transcript:Vigun06g006566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLMDQKGDVIVAMIKKEDMGVWEEKLKEGESYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRAQPIASIPRKLWKFKSIKDIIDGKYCSDLLVDVIGMLDNVEE >Vigun09g046800.1.v1.2 pep primary_assembly:ASM411807v1:9:4432491:4440698:-1 gene:Vigun09g046800.v1.2 transcript:Vigun09g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKLATNSDAKEDNPLENKPKNSNALESSDSLRNRKISARWDPVGACRPIIEEAPVFYPTIEEFEDTLSYIAKIRPLAEHHGICRIVPPACWVPPCPLKEKDLWENAEFPTRIQQIDLLQNREPMKKKCRGRKRKRRRLSRTGTCRRKPASAASHAKNASDSEEKFGFQSGSDFTLKDFQQFADYFKECYFGLKDADRDRTVSDSDHQKRWEPSAEEIEGEYWRIVEQPSDEVEVYYGADLETGALGSGFPKASSITTSDSAQYALSGWNLNNFPRLPGSVLSYEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHAQALENAMRKHLPDLFDEQPNLLNELVTQFSPSILKSEGVPVYRTVQHSGEFVITFPRAYHSGFNCGFNCAEAVNVAPIDWLMHGQNAVEIYSSQCRKTSLSHDKLLFGSALEGVRAITELALGKESPKNLKWRSVCGKDGDLTKAVKARIKMEDERLDCLPTHLKLLKMNSDFDLYTERECFSCFYDLHLSAAGCECSPDRYSCLKHANLFCSCAMEKRFVLLRYTRNELTKLLEALEGESHAIKVWANKNCGMVSVNANEVCIDKSDVEKYVYKTKNCEEMDSLNGCEGTKDRSNLNTPSSPNSHITSDIVQSESHPVTSSAAYDSIDSHNDNNSDKKFVTDKEYKMDQDGYLDLNLDVFSGENENHVLDIADNHHSEGVSVEEKVCYSEAKKEEDSMELGGEGNLSNSISVLNTYFSSSSKGNHKYCTFDGGKFEVDLQTDSGKVHNNLSKTGAIDTTDTHMDLTDESCLVRMFGTSVEPISLGSVVHGKLWCSKHAIYPKGFKSRVLFFSILDPTIICSYISEVIDAGFLGPLFKVTMEDCPSEAFTDTSSDNCWESVLKRLHHEIKRRRSLAELEFPTLELLKGINGHRMFGFLLPSIIQAIEVQDPCHMCVEYWNHKVVPSGSVVDNLTYGSRSPFGDMNTKIFGINLIKHNFFEEMKQILQRASPDELSTLHKLLSSDAWYCEWKVTLMALMDEIRRACQ >Vigun09g046800.2.v1.2 pep primary_assembly:ASM411807v1:9:4432491:4440474:-1 gene:Vigun09g046800.v1.2 transcript:Vigun09g046800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKLATNSDAKEDNPLENKPKNSNALESSDSLRNRKISARWDPVGACRPIIEEAPVFYPTIEEFEDTLSYIAKIRPLAEHHGICRIVPPACWVPPCPLKEKDLWENAEFPTRIQQIDLLQNREPMKKKCRGRKRKRRRLSRTGTCRRKPASAASHAKNASDSEEKFGFQSGSDFTLKDFQQFADYFKECYFGLKDADRDRTVSDSDHQKRWEPSAEEIEGEYWRIVEQPSDEVEVYYGADLETGALGSGFPKASSITTSDSAQYALSGWNLNNFPRLPGSVLSYEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHAQALENAMRKHLPDLFDEQPNLLNELVTQFSPSILKSEGVPVYRTVQHSGEFVITFPRAYHSGFNCGFNCAEAVNVAPIDWLMHGQNAVEIYSSQCRKTSLSHDKLLFGSALEGVRAITELALGKESPKNLKWRSVCGKDGDLTKAVKARIKMEDERLDCLPTHLKLLKMNSDFDLYTERECFSCFYDLHLSAAGCECSPDRYSCLKHANLFCSCAMEKRFVLLRYTRNELTKLLEALEGESHAIKVWANKNCGMVSVNANEVCIDKSDVEKYVYKTKNCEEMDSLNGCEGTKDRSNLNTPSSPNSHITSDIVQSESHPVTSSAAYDSIDSHNDNNSDKKFVTDKEYKMDQDGYLDLNLDVFSGENENHVLDIADNHHSEGVSVEEKVCYSEAKKEEDSMELGGEGNLSNSISVLNTYFSSSSKGNHKYCTFDGGKFEVDLQTDSGKVHNNLSKTGAIDTTDTHMDLTDESCLVRMFGTSVEPISLGSVVHGKLWCSKHAIYPKGFKSRVLFFSILDPTIICSYISEVIDAGFLGPLFKVTMEDCPSEAFTDTSSDNCWESVLKRLHHEIKRRRSLAELEFPTLELLKGINGHRMFGFLLPSIIQAIEVQDPCHMCVEYWNHKVVPSGSVVDNLTYGSRSPFGDMNTKIFGINLIKHNFFEEMKQILQRASPDELSTLHKLLSSDAWYCEWKVTLMALMDEIRRACQ >Vigun08g051900.1.v1.2 pep primary_assembly:ASM411807v1:8:6069253:6082339:-1 gene:Vigun08g051900.v1.2 transcript:Vigun08g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLDMMWPEVAVLLLLLVQTSHAGCLPSSCGKISNITYPFRLKGDPEKCGDERYEVGCENNVTVLYLHSAKYHVQAINYNNYTVRVVDPALQLHKCSSLPLRSLSRSNFSHTYTYTYTYTYSSDPYQAGLSSYGNWESLIFEHIVFVNCKHTVRENGKYVKTEECVKWDSKGYAYAVVGDLKAEDLEVGCDIKLVVPTSLRSLNNHSYTSMHWSLAYGFEISWINLACQTHNFCPDGFCYFDSASQKLDCRRGPFPHSLYGSILEVLKFYVSHPDEFVRDILLLVSQVWACKVLFGMPFLIGILIYKWKKRHASTYGNIENYLEQNNLAPIRYSYKEIKKMASGFKEKLGQGGFGSVFKGKLCSGPFVAIKMLDKSKGNGQDFISEVATIGRICHQNVVRLIGFCVRGSKRALVYEFMHNGSLDKLIFSKDGSAPLSYEKMYNISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVTMTVARGTIGYMAPELFYNNIGGISHKADVYSFGMLLMEMTSKRKNLNPHADRSSQLYFPLWIYDHIREEEDIDIEDVTEEEKKIAKKMIIVALWCIQLKPKDRPSMNKVVEMLEGDIKDLEIPPKPALFPDEMIVEDHTVNSIQTISSEFI >Vigun09g250100.2.v1.2 pep primary_assembly:ASM411807v1:9:41743194:41746205:-1 gene:Vigun09g250100.v1.2 transcript:Vigun09g250100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGTRRGGPQNKEEGEVEKGDNNNNTNNSNSGNNLLLFRNEEIYNKGFEIWPESYQAQAAPQNLSNYFAFGVGPSRRNCSSVNEEEVSLCVSDESTRFGLTVMRSGGAGSSGMNCQDCGNQAKKDCTHLRCRTCCKTRGFQCQTHVKSTWVPAAKRRERQQQLAALHNPQQFRPHNIPKRYHTDTQLLSAPQPIAELGQFPSEVSTSAVFRCVRVSAVDASDEQCAYQTSVNIGGHVFKGILYDQGPESSYTSAVTEGSSGGEPRHFGLITAAAAATATTAGGNNLFDPSLYPAPLNAFMSGTQFFQPPRS >Vigun09g250100.3.v1.2 pep primary_assembly:ASM411807v1:9:41743194:41746205:-1 gene:Vigun09g250100.v1.2 transcript:Vigun09g250100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGTRRGGPQNKEEGEVEKGDNNNNTNNSNSGNNLLLFRNEEIYNKGFEIWPESYQAQAAPQNLSNYFAFGVGPSRRNCSSVNEEEVSLCVSDESTRFGLTVMRSGGAGSSGMNCQDCGNQAKKDCTHLRCRTCCKTRGFQCQTHVKSTWVPAAKRRERQQQLAALHNPQQFRPHNIPKRYHTDTQLLSAPQPIAGLELGQFPSEVSTSAVFRCVRVSAVDASDEQCAYQTSVNIGGHVFKGILYDQGPESSYTSAVTEGSSGGEPRHFGLITAAAAATATTAGGNNLFDPSLYPAPLNAFMSGTQFFQPPRS >Vigun09g250100.1.v1.2 pep primary_assembly:ASM411807v1:9:41743092:41746205:-1 gene:Vigun09g250100.v1.2 transcript:Vigun09g250100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGTRRGGPQNKEEGEVEKGDNNNNTNNSNSGNNLLLFRNEEIYNKGFEIWPESYQAQAAPQNLSNYFAFGVGPSRRNCSSVNEEEVSLCVSDESTRFGLTVMRSGGAGSSGMNCQDCGNQAKKDCTHLRCRTCCKTRGFQCQTHVKSTWVPAAKRRERQQQLAALHNPQQFRPHNIPKRYHTDTQLLSAPQPIAGLELGQFPSEVSTSAVFRCVRVSAVDASDEQCAYQTSVNIGGHVFKGILYDQGPESSYTSAVTEGSSGGEPRHFGLITAAAAATATTAGGNNLFDPSLYPAPLNAFMSGTQFFQPPRS >Vigun07g272000.1.v1.2 pep primary_assembly:ASM411807v1:7:38766939:38768235:1 gene:Vigun07g272000.v1.2 transcript:Vigun07g272000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSDPSVMSEAEYEDDTFYAEIRRQILLLTSEDNEDFDLLQTRSFNSINVTDGGSARSVFRFSCASPPPSNFCLWERHGSGSPPLWLVNLWKGGKGTGVFIPQVASRKIHRPGKLNSRKKVYRPVDNKS >Vigun11g016300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2056658:2057641:1 gene:Vigun11g016300.v1.2 transcript:Vigun11g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKQTNEENELMLSLPKEMGLNAGPYLHLFQDFWCPSFYFQGVINFQKHFHAKDSDVFVASFPKSGTTWLKALTFLILNHQRFSSFENHPLLSSNPHELVSSPEFILSHDLHDQILSLSNMSDPRLFSTHLPFSALPKTMTESKCKIIYICRNPFDTFVSAWEFSTKIKSVSSSPALTFEEAFEKYCNGITGFGPWWSHMLGYWNESITKPDKVLFLKYEDLKEDTVFHVKRIVEFLDSPITQEGEGTTAIENIINLCRFEKMKDLEVNKSGYIHNIAEKKNFFRKGKIGDWKNYFSPSMIEKLSTIIEEKLSRSGLSFKVHS >Vigun08g199400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36440646:36442468:1 gene:Vigun08g199400.v1.2 transcript:Vigun08g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYGLGRKHSGIWNSLRDGDFDEEEIWDVISNKSDYGSSGVRKFKEKDQSSAVPVPVPGRPSAARMVPRSSNSSNNSSGNSSNEAMVLQQSAPVKIPDWSKIYTSNPPKNSASRFDADYDGEDDGAGNYGGDSDEDGEENDEPDSKLPPHEFIARRLARSQISSFSVLEGAGRTLKGRDLSKVRNAVLSKTGFLESL >Vigun08g199400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36440646:36442468:1 gene:Vigun08g199400.v1.2 transcript:Vigun08g199400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYGLGRKHSGIWNSLRDGDFDEEEIWDVISNKSDYGSSGVRKFKEKDQSSAVPVPVPGRPSAARMVPRSSNSSNNSSGNSSNEAMVLQQSAPVKIPDWSKIYTSNPPKNSASRFDADYDGEDDGAGNYGGDSDEDGEENDEPDSKLPPHEFIARRLARSQISSFSVLEGAGRTLKGRDLSKVRNAVLSKTGFLESL >Vigun08g199400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36440646:36442468:1 gene:Vigun08g199400.v1.2 transcript:Vigun08g199400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYGLGRKHSGIWNSLRDGDFDEEEIWDVISNKSDYGSSGVRKFKEKDQSSAVPVPVPGRPSAARMVPRSSNSSNNSSGNSSNEAMVLQQSAPVKIPDWSKIYTSNPPKNSASRFDADYDGEDDGAGNYGGDSDEDGEENDEPDSKLPPHEFIARRLARSQISSFSVLEGAGRTLKGRDLSKVRNAVLSKTGFLESL >Vigun07g267000.1.v1.2 pep primary_assembly:ASM411807v1:7:38252214:38256268:1 gene:Vigun07g267000.v1.2 transcript:Vigun07g267000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPLYHMKMKMYDVYVILLFCHLTVLHLSAGNANEHTSDCPDSFDCGSLGNISFPFTTSEHPNCGALAIQGCNNPNQTALKQVRLSSGGKLLQVTNIVGGWRWKISIIDKDYRNLLENSSCNALSYNITVPPSSPFGCFYLENNITALNCSHHKNLNLSNDFINYTGCSPFDFYFAPSSSDQNYLRSLTSSCSMVQLPVRQDSQFFKDPFGFLNPQITFQFQFSSACRRCREKWGNCRLDSNAKLYCAMRKSGAWNRKLPLMLGIGLGPWVIFGLFFTLRYFKQKYVQSRNTYGDSFQNPDTESDMIFFGVPIFSYKELQEATNNFDSTRKLGDGGFGIVYHGTLRDGREVAIKHLFEHNYKRVEQFMNEIEILTRLRHRNLVSLYGCTSRHGQELLLVYEYIPNGTVASHLHGELARVGLLTWPIRMQIAIDTATALSYLHSSNIIHRDVKTNNVLLDINFSVKVADFGLSRLFPSDVSHVSTAPQGSPGYLDPEYFQCYRLTDKSDVYSFGVVLMELISSMPAVDAARERDEVNLAIFFMKRIQKGKLSDLVDQSFGFETDQVVKRMVTSVAELAFRCVQGDNELRPSMDQVLEALQKIQNGNYESENPEKGDHAGNVISSISREEVQPPPSASRSSGQIEILMSNKLSSPRSFIEKWERESTTPNVSS >Vigun01g070600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19050922:19051675:1 gene:Vigun01g070600.v1.2 transcript:Vigun01g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSFAKHPLLLLTLLCFVFSSCSARTVKIGDICSKHNNPSICNAIILSVPGANQGVDIDSVSLYLINMAHVNAFDTITLISEIIGNSSDPQTNQRYSSCTMDYTDVLLALTQAKESYSSGNYGDMNSNGAVVTKDVKDCDTKAYDSSQIRNNNQYLADFSMIIMILADFLAGKY >Vigun03g412100.1.v1.2 pep primary_assembly:ASM411807v1:3:61922646:61933388:1 gene:Vigun03g412100.v1.2 transcript:Vigun03g412100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHNTRRSPSGFRSGAAPNHRAFDSPPRRSPGRGGGFRPMGGEGPGEFGFNGQQPPPPLVGQKRGFPVAGRGGGSPDRLDGGNFAKLFVGSVPRTAVEDDIRPLFEEHGNVIEVALIKDRKTGQHQGCCFIKYSTAEEADQAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQASVKEVEEIFSKYGRVEDVYLMRDEKKQSRGCGFVKYSYRDMALAAINALDGLYTMRGCEQALIVRFADPKRPRQGDSRGQAFGGPGFGPRFDTPGSRLPSNVSDPMGDRMPPPNPWRPMQPPNMGPSTNAGLHGMGPPMLPRSGEMALSTNAGGPMTGLGGPIDGRFQVQSMPSMSQQNFNQPMPQIPPHNQQISPLQKPIQSSQELPPSHQLYPQAPVPYPQTSVRQHGQPHLPPSAGPLQSQQIHGVSGQFPTSQPQTQPNALSAAFPQAPLDTSMQSNTLLATNQQQVPPSVPQQAIQQSPSPTVQMLSQQTQTLQASFHSSQQAFSQLQQQLQMMQPSSQALSLQQNAEATKKQSQWVGTVAQTVASTHATAPAADAPSSKLATSALPAINHNSALAKCNWTEHISPEGFKYYYNSVTGESRWEKPEELTLFEQQQQRVSVQQSQTQSQPLVLPVHQIPQFQQVQPQSHLQGQVLHQQQAQQPPLSSSFQAYGVTGPQNVQEVGYKQLQPTIISAGDPGRYSQGVHSTQELMWKNKPSGV >Vigun03g412100.2.v1.2 pep primary_assembly:ASM411807v1:3:61922646:61933393:1 gene:Vigun03g412100.v1.2 transcript:Vigun03g412100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHNTRRSPSGFRSGAAPNHRAFDSPPRRSPGRGGGFRPMGGEGPGEFGFNGQQPPPPLVGQKRGFPVAGRGGGSPDRLDGGNFAKLFVGSVPRTAVEDDIRPLFEEHGNVIEVALIKDRKTGQHQGCCFIKYSTAEEADQAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQASVKEVEEIFSKYGRVEDVYLMRDEKKQSRGCGFVKYSYRDMALAAINALDGLYTMRGCEQALIVRFADPKRPRQGDSRGQAFGGPGFGPRFDTPGSRLPSNVSDPMGDRMPPPNPWRPMQPPNMGPSTNAGLHGMGPPMLPRSGEMALSTNAGGPMTGLGGPIDGRFQVQSMPSMSQQNFNQPMPQIPPHNQQISPLQKPIQSSQELPPSHQLYPQAPVPYPQTSVRQHGQPHLPPSAGPLQSQQIHGQVPPSVPQQAIQQSPSPTVQMLSQQTQTLQASFHSSQQAFSQLQQQLQMMQPSSQALSLQQNAEATKKQSQWVGTVAQTVASTHATAPAADAPSSKLATSALPAINHNSALAKCNWTEHISPEGFKYYYNSVTGESRWEKPEELTLFEQQQQRVSVQQSQTQSQPLVLPVHQIPQFQQVQPQSHLQGQVLHQQQAQQPPLSSSFQAYGVTGPQNVQEVGYKQLQPTIISAGDPGRYSQGVHSTQELMWKNKPSGV >Vigun05g048600.1.v1.2 pep primary_assembly:ASM411807v1:5:4175735:4179587:-1 gene:Vigun05g048600.v1.2 transcript:Vigun05g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKILSQLACFCQILYLASTATSVTIVDETVDVAENSEANALLKWKQSLDTTSQDILSTWKVVTPCKWEGIHCNNSNSISTIDLANYGLIGTLHTLNFSAFPNLLILNIYNNSFYGTIPPQIGNMSTLNVLNLSTNYFNGSIPQQVWTLRSLQGLDLFQCELSGEIPNSIANLSNLSYLDLGFNSFSGHIPSEIGKLHKLEYLAITNNQIFGSIPQEIGMLTNLGFMDLSENSLSGTIPETIGNMSNLSQLYISNNSHLHGPIPSSLWNMSKLTVLNLYGNNHSGSIPASIENLANLDELGLDYNQLSGPIPSTIGKLTKLTYLLLSFNNFSGSIPPSIGNLINLEMLGLESNNLSGTIPATIGNLKRLTYLALSVNKLNGSISQILNNNNKWYFVLLAENDFTGHLPPQICSAGSLSSLSAHHNRFTGPVPISLKNCASLSRLRLEGNQLEGDISQDFGVYPNLEYIDLSDNKFYGQISPNWGKCPDLDTLKISNNNISGGIPVELAEATKLGKLHLSSNHLKGNIPKELGNTKSLIELKISHNHLSGDIPKEIGSLQILEQLDLGDNQLSGTIPVEVVELPKLRNLTLSNNKINGNIPLEFRQLQPLEYLDLSGNLLTGTIPRQLGAVIKLNCLNLSRNNLSGSIPSSFDGMSNLVSVNISYNRLEGPLPKNRAFLRSSIESLKNNTGLCGNVTGLMLCPTNRNEKKRKGVVLILILMLGALALVLCGVGVSMYILCRKARKKEAEAKRKEASENALSEEVFSVWSRDGKIMFETIIEATNNFNDKYLIGVGGQANVYRVEFPSGEVYAVKKLHLEADGEKPNFKAFENEIQALTEIRHRNIVKLCGFCSHPRFTFLVYKFMEGGSLDQMLSNEKKAFAFDWEKRVNVVKGVANALSYMHHDCSPPIIHRDISSKNVLLDSQYEEARVTDFGTAKILKPGCSWTTFEGTLGYAAPEFAQTMEVTEKCDVFSFGVLCLEIIMGKHPGDLISSLLSSSSAAITHNLLLVDVIDQRPSHPHESVVGDVILVSNLAFSCLSENPSSRPTMDQVSKTLMMGKSSLPAELPMIRLGQLL >Vigun03g365300.1.v1.2 pep primary_assembly:ASM411807v1:3:56885173:56888647:1 gene:Vigun03g365300.v1.2 transcript:Vigun03g365300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQEGEQVGEDTEKNVDNRRVSWPLHCDLLHAQMENREKDSSFRTPTDQITVANSFPLESICEDTETVDKKQNLMNFVPTLRSGEWSDIGERSSMEDTHICIGDLAKKFGNNVLSEEAISFYGVFDGHGGKSAALFVRDHLPRVIVEDADFPLELEKVVTRSFLETDAEFARSCSIESSLSSGTTALTAIIFGRSLLVANAGDCRAVLSRGGRAVEMSKDHRPLCMKERMRVESVGGYIDDGYLNGQLGVTRALGDWHLEGMKEMNGKHGPLSAEPELKLMTLSKEDEFLIIGSDGIWDVFRSQNAVDFARRRLQEHNDVKQCCKEIIGEAMKREATDNLTVVMVCFHSEPPPPVVVERPRVRRSISAEGLQNLKCLLEG >Vigun05g088800.2.v1.2 pep primary_assembly:ASM411807v1:5:8508990:8512805:-1 gene:Vigun05g088800.v1.2 transcript:Vigun05g088800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMALLFLFVLAATWACDARELAKRGQLSKLSRKPDVCALCEEYTSKALDYLNENNTQQEIIDILHNTCHQLPPFNQKCITLVDYYAPLFFLEIASIQPQEFCQKIHICHLISYISSHVQEDSCGFCNDAVSTLLAKLKDSDTKPEIIETALKVCNSVEKYANKCTRMVLQYGPLVFDSAEKFLENTDMCTAIYALQIFNDGWPTSLSSLRLLWKQRSNRVVVYSLQKIAKYIATH >Vigun05g088800.1.v1.2 pep primary_assembly:ASM411807v1:5:8508990:8512805:-1 gene:Vigun05g088800.v1.2 transcript:Vigun05g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMALLFLFVLAATWACDARELAKRGQLSKLSRKPDVCALCEEYTSKALDYLNENNTQQEIIDILHNTCHQLPPFNQKCITLVDYYAPLFFLEIASIQPQEFCQKIHICHLISYISSHVQEDSCGFCNDAVSTLLAKLKDSDTKPEIIETALKVCNSVEKYANKCTRMVLQYGPLVFDSAEKFLENTDMCTAIYALQIFNDGWPTSLSSLRLLWKQRSNRVVVYSLQKIAKYIATH >Vigun05g088800.3.v1.2 pep primary_assembly:ASM411807v1:5:8508990:8512805:-1 gene:Vigun05g088800.v1.2 transcript:Vigun05g088800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMALLFLFVLAATWACDARELAKRGQLSKLSRKPDVCALCEEYTSKALDYLNENNTQQEIIDILHNTCHQLPPFNQKCITLVDYYAPLFFLEIASIQPQEFCQKIHICHLISYISSHVQEDSCGFCNDAVSTLLAKLKDSDTKPEIIETALKVCNSVEKYANKCTRMVLQYGPLVFDSAEKFLENTDMCTAIYALQIFNDGWPTSLSSLRLLWKQRSNRVVVYSLQKIAKYIATH >Vigun05g088800.4.v1.2 pep primary_assembly:ASM411807v1:5:8508990:8512805:-1 gene:Vigun05g088800.v1.2 transcript:Vigun05g088800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMALLFLFVLAATWACDARELAKRGQLSKLSRKPDVCALCEEYTSKALDYLNENNTQQEIIDILHNTCHQLPPFNQKCITLVDYYAPLFFLEIASIQPQEFCQKIHICHLISYISSHVQEDSCGFCNDAVSTLLAKLKDSDTKPEIIETALKVCNSVEKYANKCTRMVLQYGPLVFDSAEKFLENTDMCTAIYALQIFNDGWPTSLSSLRLLWKQRSNRVVVYSLQKIAKYIATH >Vigun09g106250.1.v1.2 pep primary_assembly:ASM411807v1:9:20097287:20098451:1 gene:Vigun09g106250.v1.2 transcript:Vigun09g106250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAIYKYRGALNMMFQLVITICIFVANVLNYIFAKMENGEGWKCSLGCAIVHAVMIMFGAIILPNSPSSLIEHGLDEKAKKELLKIRGTTNIDQEFEDLMAASESSKVVKHPWVSWLKRQYRPQLAFAIAIPFFQQLTSMNVIMFYAPILFKIIGFGATASLMSAMIIDACNAIATLASIFIVDKFGRCTLFLKGGAQMLIYQILIAMAIGFKFGFGGNPRVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLFPSEIFLLEVRSATQSVNVFFNMNFTFVIAKIFTTMLCHMKFGLFVFFACFVFTMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKEVCYSKRFSRICVRG >Vigun08g091050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21249514:21249831:1 gene:Vigun08g091050.v1.2 transcript:Vigun08g091050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVYVMYNLKFKSTQIREIVALPFDEIQSNDEWITKEADDVVEIEQVEGEIDVQNVSLDGPTTYPILNTLDLDNITFDVDDAHVSSREELDEDDDGDNDIIRG >Vigun05g137600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16698732:16703482:1 gene:Vigun05g137600.v1.2 transcript:Vigun05g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPRELVSNVFSRLPAKVLLLCKCVCKSWLDLITDSHFVSNYPLIYNNLQGQEEHLLVIRRPFFSGLKTYISVLSWNVNDPKELVSSNVLNPPYEHNSDYKYWTEIMGPCNGIYFLEGNPNVLMNPSLGQFKALPESHFTSPHGTYSFTDYAGFGFDPTTNDYKVVVIKDLWLKETDERQLGYWTAELYSLNSNSWGKLDDAALPLPIEVWGSSRVYTYANNCCHWWGFVEDSGVSEDVVLAFDMVNDSFRKIKVPRIRDSSDDKFATLVPFEESASIGVVVYPVRGTEKCFDVWVMRDYWNEGSWVKLYSVGPVQVIYKLVGFYGGNRFLWKDNNERLVLYDSENTRDLQVYGKYDSIRAAKYTESLVSLHRGNEFSHKCLSCTLVPDPLLHDSEYLISF >Vigun05g137600.2.v1.2 pep primary_assembly:ASM411807v1:5:16698732:16703482:1 gene:Vigun05g137600.v1.2 transcript:Vigun05g137600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKARLHFVLVTVYLHIEYVLGENIEEKLRFRIPIAFASGDGAFATRIGVERVLKVTSEGVAAMQVRLQILFKALPESHFTSPHGTYSFTDYAGFGFDPTTNDYKVVVIKDLWLKETDERQLGYWTAELYSLNSNSWGKLDDAALPLPIEVWGSSRVYTYANNCCHWWGFVEDSGVSEDVVLAFDMVNDSFRKIKVPRIRDSSDDKFATLVPFEESASIGVVVYPVRGTEKCFDVWVMRDYWNEGSWVKLYSVGPVQVIYKLVGFYGGNRFLWKDNNERLVLYDSENTRDLQVYGKYDSIRAAKYTESLVSLHRGNEFSHKCLSCTLVPDPLLHDSEYLISF >Vigun03g280700.1.v1.2 pep primary_assembly:ASM411807v1:3:45892927:45895592:-1 gene:Vigun03g280700.v1.2 transcript:Vigun03g280700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPYSLPLFNRYFCALSPPLMAQTPPSLDAEQPHDDHHHHHLGFPARSLCGESRAERAWAHWSKLGRPRFIVAPMVDNSELPFRMLCRKYGAQGAYTPMLHSRIFSETEKYRNEEFTTCKEDRPLFVQFCANDPDVLLEAARKVEPYCDYVDINLGCPQRIAKRGNYGAFLMDNLPVVKSLVEKLAENLQVPVSCKIRLFPNLEDTLKYARMLEEAGCMLLAVHGRTRDEKDGKKFRADWKAIRAVKNAVRIPVLANGNIRHMDDVRDCLEETEVEGVLSAETLLENPALFAGFRTAEWVSESEGTNVDGKLDQADLLIEYLKLCEKYPVPWRMIRSHVHKLLGDWFSLQPHIREELNKQSKLTFEFLYDMVDRLRDTGTRIPLYKETRVELTSDSYSDRLGCTPTFEAQS >Vigun11g026350.1.v1.2 pep primary_assembly:ASM411807v1:11:3405521:3406041:1 gene:Vigun11g026350.v1.2 transcript:Vigun11g026350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGLRKRAWVQISLLTFFIFSFLYFLWTFTDINKIIIFFFLYILNTSYTLSLD >Vigun03g273300.1.v1.2 pep primary_assembly:ASM411807v1:3:44884998:44890777:1 gene:Vigun03g273300.v1.2 transcript:Vigun03g273300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTTQRVVLPALFQERLRMVSEIVEKGQSSESNKQILRSTLKDMNPVVQEIKHYNEHLNPPREEIKTLISEKDAKEELVCKCLSKKICLDKCLSWFLCRFGHKRDGSFAGGDKQALVAKDIEEKLYKVREILELLSKENFEPKLGGVGGPIKLPFGVPENPDFTVGLDVPLSKLKMEVLRDGMSVIMVTGLGGMGKTTLATKLCWDEQVKGKFGGNILFVTVSKTANLKIIVERLFQHCGYQVPHFLSDEDAANQLGLLLRQIGRSSMLLVLDDVWPGSEALVEKFKVQIPDYKILVISRVALLKSDMQIILKPLGHDDAETLFRHYTHLEESGASIPDEVIQKIVRNCKGLPLAIKVIGRSLCNQRSELWLKMMEELSQGRSILDSNVELLTCLQKILDVLEDNIVIKGCFMDLGLFPEDQRIPVAALIDMWAESYRHDDDGKEAMAIINKLDSMNLVNVMVARKNATNTDNYHYNNHFIVLHDLLRELAIYQCSQEPMEQRKRLIIEINQNKHGEKTKFLSWCEQKPQQVTAHTLSISTDENCPSDWPQMQLAQVEVLIFNLRTKQYSFPGFMKDMNKLKVLTVTNYSYYPSEINNFELLGSLSNLRRIRLERISVPSFVAMKNLTKLSLYFCNMKQAFENNNLLISYAFPNLEDLNIDYCKDMVGFPKGLCDIISLKKLSITNCHKLSALPQDIGKLENLELLRLSSCTDLEGIPDSIGRLSNLRLLDISNCIILPNLPDDFGNLSNLQNLYMASCARCELPFSVTNLGNLKVVICDEETAASWENFKPMLPNLRIDVPQVDVNLNWLHPTSS >Vigun03g273300.3.v1.2 pep primary_assembly:ASM411807v1:3:44885073:44890277:1 gene:Vigun03g273300.v1.2 transcript:Vigun03g273300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTTQRVVLPALFQERLRMVSEIVEKGQSSESNKQILRSTLKDMNPVVQEIKHYNEHLNPPREEIKTLISEKDAKEELVCKCLSKKICLDKCLSWFLCRFGHKRDGSFAGGDKQALVAKDIEEKLYKVREILELLSKENFEPKLGGVGGPIKLPFGVPENPDFTVGLDVPLSKLKMEVLRDGMSVIMVTGLGGMGKTTLATKLCWDEQVKGKFGGNILFVTVSKTANLKIIVERLFQHCGYQVPHFLSDEDAANQLGLLLRQIGRSSMLLVLDDVWPGSEALVEKFKVQIPDYKILVISRVALLKSDMQIILKPLGHDDAETLFRHYTHLEESGASIPDEVIQKIVRNCKGLPLAIKVIGRSLCNQRSELWLKMMEELSQGRSILDSNVELLTCLQKILDVLEDNIVIKGCFMDLGLFPEDQRIPVAALIDMWAESYRHDDDGKEAMAIINKLDSMNLVNVMVARKNATNTDNYHYNNHFIVLHDLLRELAIYQCSQEPMEQRKRLIIEINQNKHGEKTKFLSWCEQKPQQVTAHTLSISTDENCPSDWPQMQLAQVEVLIFNLRTKQYSFPGFMKDMNKLKVLTVTNYSYYPSEINNFELLGSLSNLRRIRLERISVPSFVAMKNLTKLSLYFCNMKQAFENNNLLISYAFPNLEDLNIDYCKDMVGFPKGLCDIISLKKLSITNCHKLSALPQDIGKLENLELLRLSSCTDLEGIPDSIGRLSNLRLLDISNCIILPNLPDDFGNLSNLQNLYMASCARCELPFSVTNLGNLKVVICDEETAASWENFKPMLPNLRIDVPQVDVNLNWLHPTSS >Vigun03g273300.2.v1.2 pep primary_assembly:ASM411807v1:3:44885124:44890752:1 gene:Vigun03g273300.v1.2 transcript:Vigun03g273300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTTQRVVLPALFQERLRMVSEIVEKGQSSESNKQILRSTLKDMNPVVQEIKHYNEHLNPPREEIKTLISEKDAKEELVCKCLSKKICLDKCLSWFLCRFGHKRDGSFAGGDKQALVAKDIEEKLYKVREILELLSKENFEPKLGGVGGPIKLPFGVPENPDFTVGLDVPLSKLKMEVLRDGMSVIMVTGLGGMGKTTLATKLCWDEQVKGKFGGNILFVTVSKTANLKIIVERLFQHCGYQVPHFLSDEDAANQLGLLLRQIGRSSMLLVLDDVWPGSEALVEKFKVQIPDYKILVISRVALLKSDMQIILKPLGHDDAETLFRHYTHLEESGASIPDEVIQKIVRNCKGLPLAIKVIGRSLCNQRSELWLKMMEELSQGRSILDSNVELLTCLQKILDVLEDNIVIKGCFMDLGLFPEDQRIPVAALIDMWAESYRHDDDGKEAMAIINKLDSMNLVNVMVARKNATNTDNYHYNNHFIVLHDLLRELAIYQCSQEPMEQRKRLIIEINQNKHGEKTKFLSWCEQKPQQVTAHTLSISTDENCPSDWPQMQLAQVEVLIFNLRTKQYSFPGFMKDMNKLKVLTVTNYSYYPSEINNFELLGSLSNLRRIRLERISVPSFVAMKNLTKLSLYFCNMKQAFENNNLLISYAFPNLEDLNIDYCKDMVGFPKGLCDIISLKKLSITNCHKLSALPQDIGKLENLELLRLSSCTDLEGIPDSIGRLSNLRLLDISNCIILPNLPDDFGNLSNLQNLYMASCARCELPFSVTNLGNLKVVICDEETAASWENFKPMLPNLRIDVPQVDVNLNWLHPTSS >Vigun01g088200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24654257:24655745:-1 gene:Vigun01g088200.v1.2 transcript:Vigun01g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFQQPNLQHQKSGIAVSRGGKLKGRSRNNNTNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSLDSPLASRIRNLLNNKKGIKKQEDANANANNAAAPRLSSTSTASTSSTSTTTTTDSNPNNGSGNNEKSLSTMTPQSSNLFDDAYKPDLSNCREDFGSGHQSNTSWGFGPVFDRFPIAQILDVPKIDDCITDVADLELSEFERMKVERQISASLYAINGVQEYMETVQDSSETLWDLPPLCSLFC >VigunL024825.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:66212:67264:1 gene:VigunL024825.v1.2 transcript:VigunL024825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun09g085400.1.v1.2 pep primary_assembly:ASM411807v1:9:11050063:11058531:1 gene:Vigun09g085400.v1.2 transcript:Vigun09g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGLSPAISVSISTATTTPSCFHFRTTKPSSSFLGLLHSPQPNLSISARRNRYNTTHCCRCSLNSDDTYVNWDWNRWCRYFSEIEQAENFASVLKFQLDDAIEKEDFEEAIKLKRALLEATSKDTVAEIMDQLKSAIDDERYHDASRLCKCTGSGLVGWWVGYSKTSDDPFGRIIHISPGMGRFIGKSYSPRQLMTASSGTPIFEIYVVKNADDTYHMQVVYLRQAKGKSKRNSLSISAKGPSKPEVDNVSSSVEVQEDEEKVERNDEKNNNIEAVTEEGIKSVINFLKEKIPGLKVKVMNVNVEEEAAENNDSIQQLMEEDNNKTGSGENHEDEVDNLDEPDGVTLDRDGDATEEEKDLEMKLFIGGVVHNNEDTPVKDDFVRLPAVIKNMEKDSFVFNFASKNLDYGIKEDKAPNIKVAALAAQGVSELMPPDVANAFWSSDKVSSKISKSMRDIVRVAMSQAHKRTRLSGDTYFNRITCSRGDSDPFDGLYVGAFGPYGMEVVHLRRKFGHWNEGDSENNTSDVGFFEYVEAVKLTGDLNVPAGQVTFRAKIGRGNRNTNRGMYPDDLGVVASYKGQGRIADYGFRNPKWVEGELLQLNGKGMGPYMKGADLGFLYVVPEQSFLVLFHRLKLPM >Vigun08g005000.1.v1.2 pep primary_assembly:ASM411807v1:8:427074:429577:1 gene:Vigun08g005000.v1.2 transcript:Vigun08g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERITFSLFSFSLSFYLSLSGVASTTFTLVNKCDYTVWPGILSNAGVPPLSTTGFVLQTGASSTIAAPASWGGRFWGRTLCSEDSSGKFSCVTGDCGSGKLECAGSGATPPATLAEFTLDGAGGLDFFDVSLVDGYNVPMLVVPQGGSGEKCISTGCVGDLNGACPSELKVMSADGKEGVACKSACEAFNSPQYCCSGAYGTPDTCKPSSYSEIFKNACPRAYSYAYDDKTSTFTCASADYTITFCPSPTTSQKASQEQQDGSSTTPLLNNGTMVYEGGFDQSEISWATRSHVFQSQAVAGIVGVAMAMWRLTQLL >Vigun07g090100.1.v1.2 pep primary_assembly:ASM411807v1:7:14172610:14175861:-1 gene:Vigun07g090100.v1.2 transcript:Vigun07g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITHPKIRMAVFSCMLIIFLCFPFLKFISVATAQKHVCDNGKGNYTANSTYSTNLNNLLSTLYSNTQINYGFYNFSYAHNTDTVNAIGLCRGDVESDKCRSCLKNSTLDIKQRCPNQKKAIMWSDLCMLRYSNETMFHKMETFPGYYWWNLENVTEPDQFSQVLGNLMKTLRGIAASGDSRRKYAAAENSTNFQNVYGAVQCTPDLSHQQCNQCLEGAISEIPTCCNGKVGGRVLRPSCNIRFETYSFYGTPTTLDPVPESPPPSTNTISSQESGNTTIIVIAVVVPTVVVVVVLLICLFLCLRRRKARKNLAGEVKEQDDEDEDEIKIADSLHFSFDTIRVATEDFSDSKKLGQGGFGAVYRGRLPNGQIIAVKRLSRDSGQGDTEFKNEVLLVVKLQHRNLVRLLGFCLEGRERLLIYEFVPNKSLDYFIFDPAVKAQLDWEKRYKIIRGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKIADFGMARLVLMDQTQVNTNRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLILEIVSGQKNSGISNGESMEDLLSFAWRNWKEGKAVNIVDPSLNSSSRNEMLRCLHIGLLCVQENLVDRPTMASIILMLNSHSLSLPIPAEPAFYFNNRTRSFPEMQSWEYNSRGTGSREAILKSAQESENEASITELYPR >Vigun07g090100.2.v1.2 pep primary_assembly:ASM411807v1:7:14172610:14175861:-1 gene:Vigun07g090100.v1.2 transcript:Vigun07g090100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITHPKIRMAVFSCMLIIFLCFPFLKFISVATAQKHVCDNGKGNYTANSTYSTNLNNLLSTLYSNTQINYGFYNFSYAHNTDTVNAIGLCRGDVESDKCRSCLKNSTLDIKQRCPNQKKAIMWSDLCMLRYSNETMFHKMETFPGYYWWNLENVTEPDQFSQVLGNLMKTLRGIAASGDSRRKYAAAENSTNFQNVYGAVQCTPDLSHQQCNQCLEGAISEIPTCCNGKVGGRVLRPSCNIRFETYSFYGTPTTLDPVPESPPPSTNTISSQGEVKEQDDEDEDEIKIADSLHFSFDTIRVATEDFSDSKKLGQGGFGAVYRGRLPNGQIIAVKRLSRDSGQGDTEFKNEVLLVVKLQHRNLVRLLGFCLEGRERLLIYEFVPNKSLDYFIFDPAVKAQLDWEKRYKIIRGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKIADFGMARLVLMDQTQVNTNRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLILEIVSGQKNSGISNGESMEDLLSFAWRNWKEGKAVNIVDPSLNSSSRNEMLRCLHIGLLCVQENLVDRPTMASIILMLNSHSLSLPIPAEPAFYFNNRTRSFPEMQSWEYNSRGTGSREAILKSAQESENEASITELYPR >Vigun03g378600.1.v1.2 pep primary_assembly:ASM411807v1:3:58257409:58259850:-1 gene:Vigun03g378600.v1.2 transcript:Vigun03g378600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNAVLTYLPFNVSIHIVPDVVEPSQVYRTHHSILKLNTTESDVVVGDMTILAYRSKIVDFTVPYIGSGVKMVVPVKHGRDQNMWTFVKPFSWDLWLSIIIISTFIGIAILIMERNVNALPNQEASANQKKLRPATILWFPISQAILPERQVVVKSCSRFVLMVWLLLALVLMQSYTANLTSILTLDQLRPSFLNLNDIRREGYYVGYQFGSFVYDVLIDQFKFDPSRLRPYNNIGEYHDALKLGSKNGGVAAIFDEVPYLKLYLQEYESNYIMAGPEYRNAGFGFAFPLKSNLTAYFSRGILNVMESGLMNEIEDKYFGKSSIGEDSSAETSSSEPLSLSFHSFSGLFFISGISTLLALLVSERFIWQRLVLKHCLRGMSLIPLFKKETRTHPTHDSTHGTEA >Vigun03g378600.2.v1.2 pep primary_assembly:ASM411807v1:3:58257409:58259850:-1 gene:Vigun03g378600.v1.2 transcript:Vigun03g378600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAYRSKIVDFTVPYIGSGVKMVVPVKHGRDQNMWTFVKPFSWDLWLSIIIISTFIGIAILIMERNVNALPNQEASANQKKLRPATILWFPISQAILPERQVVVKSCSRFVLMVWLLLALVLMQSYTANLTSILTLDQLRPSFLNLNDIRREGYYVGYQFGSFVYDVLIDQFKFDPSRLRPYNNIGEYHDALKLGSKNGGVAAIFDEVPYLKLYLQEYESNYIMAGPEYRNAGFGFAFPLKSNLTAYFSRGILNVMESGLMNEIEDKYFGKSSIGEDSSAETSSSEPLSLSFHSFSGLFFISGISTLLALLVSERFIWQRLVLKHCLRGMSLIPLFKKETRTHPTHDSTHGTEA >Vigun01g231300.1.v1.2 pep primary_assembly:ASM411807v1:1:40370171:40373094:1 gene:Vigun01g231300.v1.2 transcript:Vigun01g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNSITTVYNDTSTGNLVVCLNSDRTIASLGMWIGDNPLDFVIPITLCQVIILVSLSKLLHFLLKAIHTPKFVCSIIAGVLMGPTCFGHNEAFLGTLFPLKQALIMNTLAKIGTIYCVFLTSLKMDVLTTLKAAKRCWRFGVFPFFASFFVTSTMLYLYSPHSANREENKVSIYNFPNVFTLSSFAVISETLMELNILATELGQIALSAAMISEILQWSTMELQFNSNLSAEFIVVLLAGVCGFGVVCVFIVRPLVNIVVEKTQPGESMKEAYVVMLLLGPLVMAAISDSLGLFFIVGPLLFGFILPNGPPVATTIIERVELIVSELFMPFFYMYVGKRTDLSGIHDHWDVALTVQGILFLGCVVKVLTCALISPSYKIKPKHGVVLGLILNVKGVVELIFYSRMNKLGVIDLEVYSVAVMYVVLITIFCVPSIKFLYRHCRVCITPTVHEGRVRTIQNFKADTDFNIVSCVHTDQHVHSMIALTESCNPTRESPICLFVIHLIELSGKSTPILLPMNKNNRKSLSVNYPNTNRILRAFENYSENSSGPVTVLSYVNVAPYKSMYEAVCNLAEDNSVHLLIIPFHQNDQSLGIHVCTIFRELNANFATYSKGTLGILVDRLSVLSMSNYNLSFHVGVFFVGGEDDREALALGIRMLERANTRVTLIRFVLPRKGDSGFVIFGEVQNEEDALESTLDESLIDEFLAKRDYSFDVVNVVYHEVAVEDCIQVLEAIREMENDYDLVMVGKQHNMGDFREEEMSNLMDNSDQLGILGDMLASNEFCEGKTPVLVMKCGVKRVKQMRNSGRHVFRSGLSF >Vigun04g081550.1.v1.2 pep primary_assembly:ASM411807v1:4:12283193:12284234:-1 gene:Vigun04g081550.v1.2 transcript:Vigun04g081550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYGWIWKIGIFYVSGAGLWCLILLLLFVIFGIFFGFCNYLILCLSRMAGKGQSDEKCRLRTFCYTKYIVQINGLLLDSHRARLQDTPFQWCLQIVKPFQICNPLMLEMLKRWLPAQESFRVMQRCIPLSCGDISMFLGLGGVGVDVEFEKNIYGVVGSVMKDKLPTVENVINVIKSLLEGEFVHVDNVCRLYILVCFAVLYFPRNSRTICNIPFSVLDNIDRLSTYNWGKAVHTYLVKSLSRAFLALGQTKICLSGSTIVLQLWAIKRLRLCASDAEIVFPRILG >Vigun05g156100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25343429:25344964:1 gene:Vigun05g156100.v1.2 transcript:Vigun05g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQNNCVLSQDILMEILSRLPIKDIGRLKCVSKGLNRLVSDSTFVKLHLQRSSKNTHMLLTFEDYHINRTRNYAVVCPVQELLDHPSSTLKTLHHKHLPFNRKYNVLGACNGLVCLQDSCIDDEFEEYWFRIGNPTTRVMTKDSPHIRLNRRDYWLMFGFGYDEWSDSYQVVLLDNNRNQSQKLEVSVCCLGDTCWRNTLTCDAVTPMIGLQSRGTCGAFVSGTLNWLVYPRSHCDDKRGPKMNELEIFCYDLRKETRSFFSMPDGILEVRAFEPVLKVLNGCLCLSHHHEDNFVVWLKREFSDEKSWSKLLNINYQDDIDQCPSYMNVICMREKDGAVLVANTVFDANFIWYNSRDDRREGREYYGQDIWSLFSYDYVQSLVFPCRK >Vigun04g025900.1.v1.2 pep primary_assembly:ASM411807v1:4:2000058:2001273:-1 gene:Vigun04g025900.v1.2 transcript:Vigun04g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEGALPAMETTQVFQKGDIQWKQFKVDESTASSSSPPKPLLIFTPTVPGAYPVILFCHGFFVPNTFYSDLLTHIVSHGFILVAPQLFCKGLPMLEPSEVKFAGKVADWVAEGLQPLLPENVEANLEKVVVSGHSKGGKTAFCVALGYAKTKLKISALVGIDPVAGTSKYCETHPHILKGVAGSFNLNMPVAVIGSGLGPEKANCCTPPCAPDGVNHKEFFKECKAPCANFVVAKYGHMDMLDDETEGVIGTLVAKCVCKNGSGPRDLMRRTIGGLVVAFLRAQLNDQWKDFDAVLGNHNLAPTQLDNVVYIPA >Vigun07g089400.1.v1.2 pep primary_assembly:ASM411807v1:7:13920903:13924849:-1 gene:Vigun07g089400.v1.2 transcript:Vigun07g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMEKGSEPLTESEKADLEAIAALKESAAFEFKEKGNQYVKMGKKHYSDAIDCYTRAIDQKALGDSETSILFSNRAHVNLLLGNLRRALVDSQEALKLCPSNIKAIYRAVKASLSLNMLAEAREYCLKGLQLDPNNEDLKKLDRQIGLKISEKEKHEAQVSKAVAEAKELVSAIEYRGLKIGKAMYRDLIGLRKPVLDKSNILHWPVVLLYAEVMSSDFIEDFCETDMFSAHLDLIFSEDQPLSWDVENNYKREFIELYYEAGSGHCLSKEKLLCCLLEGTAAAHSDSVGDEEKDAVEDYKQQTGSPKWIKVNERRTLNDVLKEPDFIIPGIPVFYVISKRSSFYSKFKAGKWAPPNV >Vigun07g089400.2.v1.2 pep primary_assembly:ASM411807v1:7:13923194:13924849:-1 gene:Vigun07g089400.v1.2 transcript:Vigun07g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMEKGSEPLTESEKADLEAIAALKESAAFEFKEKGNQYVKMGKKHYSDAIDCYTRAIDQKALGDSETSILFSNRAHVNLLLGNLRRALVDSQEALKLCPSNIKAIYRAVKASLSLNMLAEAREYCLKGLQLDPNNEDLKKLDRQIGLKISEKEKHEAQVSKAVAEAKELVSAIEYRGLKIGKAMYRDLIGLRKPVLDKSNILHWPVVLLYAEVMSSDFIEDFCETDMFSAHLDLIFSEDQPLSWDVENNYKREFIELYYEGMPYFMESTLGDFGHATFVVIYINTQVCVLDLKKKKNKCRFSLHI >Vigun08g158700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33125329:33127752:1 gene:Vigun08g158700.v1.2 transcript:Vigun08g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILFMFLLSVVSQSLVYMMPPTVGLSLSSESDKLALLALKHKLTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLQNQNWGGTLGPSLGNLTFLTTLILSNINLYGEIPAQIGRLKRLQVLDLSHNSLNGQIPVHLSNCSKLEVINLLYNKLNGKLPSWFGLGSMTRLNKLLLGANDLVGTVPPSLGNLSSLQNITVARNHLVGSIPHVLGRLSNLKELNLGLNNLSGVVPDSLYNLSNIQTFVLGVNRLSGTLPSKMQLAFPNLRAFFVGDNQLNGAFPSSISNITGLQAFDISLNGFSGPIPPTLGSLNKLETFSIFDNRFGSGSAQDLDFLSSLTNCTQLKILLLGWNEFGGVLPDLIGNFSTNLTSLSMECNQITGMIPEGIGRLIGLTNLVLINNYLEGSVPDSIGTLKILVRLALQNNKLSGHIPTAIGNLTMLSELYLHTNKFEGSIPLSLKHCTRMQSFGVSTNNLSGDIPDQIFGNLKGLINLDLSNNSFTGSIPLEIGNLTHLSVLYLNENKLSGEIPAKLAACSALTELMLQINFFRGSIPSFFGSLGSLEILDLSNNNFSSVIPAELQNLTFLYTLNLSFNHLYGEVPIGGVFNNVTVISLIGNKDLCGGIPQLNLPACSKLPSKKRKWTCRKKLILIIAIEVGVYLVALTVFISIYLFRKRPKTPSTSCSPKNEYVKVSYGDLHKATNGFSSSNLVGSGGFGSVYNGYLLPFETPVAVKVLNLETVGASKSFAAECKALGRIMHRNLVNILTCCSSIDYNGKDFKAIVLEFMPNGSLEKILSYRASV >Vigun02g017800.1.v1.2 pep primary_assembly:ASM411807v1:2:6314156:6322447:-1 gene:Vigun02g017800.v1.2 transcript:Vigun02g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVGGSSRRSWSMNLIRHRGDGTYLGSGWVITPCVQTLQSLGTVAGASHQEIRCRLHNPDIESRVMYMCCGGLYDSVSYSSLFCSFFLWGVHDHFIVHTNIPKMGKTIELYGFPNYVKACDVKIFVENYSGKGSIAVMKLRHGKGRSGRAFAIIQFITEDCATHMMGMAKNLSGGLRYGKAYLKAREMESDIDRKLRMDLPGLEGVKLYFGCQISKGGFSVLETIQDVSLNFGSGKRKVELKFSYNLVQYKLQLSYENIWKVELLRPRNKTACYLLLQLLGAPRIFEYDVHMSADVSDSVFDKSSYNYKKYILDEEWTRTIDFTKDSCIGQSSAICLEFPSGQNLPNFKDIFAYYEQSERQYTLHPGVPFSQNWGLVPIVAPQGVEIPFEILFKVNSLVQHVCLAGRALNDDFYRLVDPRTMPLDFIENALEKMYYSKEFCYDPTKWFNDKYKRYLKSNSKNGPRWPAISLDKGLVYVRRVQITPCKVYFCGPEVNVSNRVLRHFHEHLDNFIRVSFVDEELDKLFSTELSSRAQNKKTDLYTRILDILKNGIVIGDKKFEFLAFSSSQLRENSLWMFAPTGRHTAASIRSWMGNFNNIRNVAKYAARLGQSFGSSTETLSVPRHEIEIIEDVRRTCDGKEYVFSDGIGKISLKFARRVARKCGYHGTPSAFQIRYGGYKGVVAVDPTSRFKLSLRNSMRKYDSDNTKLDVLGRSKFQPCYLNRQLITLLSTLGINDGVFEKKQREAMYQLNTILKDSLKAQEVLDLMPAGEITNVLKKLLICGYKPSEEPFLSMMLQIFRASKLSELRLKSKIFIPKGRAMMGCLDETSTLEYGQVFVQISNNRLRGLFDDSLPYNLPKKYSVTGKVVVAKNPCLHPGDVRVLEAVDVPDLHHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDPELIPSLQIPPMDYTPSPTVELDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADNQPRKAMSEECLKLAKLFSAAVDFPKTGVPAVIPRVLYVKEYPDFMEKSDKETYISPNVIGKLYREVIEAISSSDGGSISSFTREVARTSYDFDMEVDGFLDYVDDAFYHKTNYDYKLGNLMDYYGIKTEAEILSGNIIKLSKSFNKRRDAEAINKAVTSLRKDARSWFNEGNNGDDEYAKASAWYHVTYHPSYWGCYNQGMGRDHYLSFPWCVFPQLLQIKKKVFNRRYS >Vigun04g143900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35757819:35759827:1 gene:Vigun04g143900.v1.2 transcript:Vigun04g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKDSIVLYSALGRGHLVSMVELGKFILSHHPSLSINILFLTPPPNQDTPTSPTAFTCAATAKYIAAVTAATPSITFHRIPQISLPTVLPPLALNFELCRATTHHLRRILNSIALSSNLKAVVLDFMNHSAARVTHTLQIPTYFYYTSGASTLAILLQQLVLHENYSESIKDLNMHLLIPGLPKIHTDDFPDTVQDRASEAYKVFIDIATCMRDSDGVIVNTSEAMEWRAIEAFNEGLMEGTTPPVFCIGPVISSAPCRADDDGCLSWLDSQPSQSVVFLSFGSMGRFSRTQLREIATGLEESEQRFLWVVRSEFEDGDSGEPTSLEELLPEGFLERTKGKGMVVRDWAPQAAILSHDSVGGFVTHCGWNSVLESVCEGVPMVAWPLYAEQKLNKVILVEEMKVGVAVKGNKEGLVSSSELGNRVKEVMDSDKGKEIRQNIFKMKISATEAVGEGGSSIITLNRLVEQWKQH >Vigun05g203600.1.v1.2 pep primary_assembly:ASM411807v1:5:39201880:39203886:1 gene:Vigun05g203600.v1.2 transcript:Vigun05g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEKLKKSKVIRIDSQKSWEHYISHVTDQKYPVVVHFSAFWCVPSIVMNPVFEELASNYQDVLFLTLDVDEVKEIASKMEIKAMPTFVLLNGGTPVGKIVGANPDEIRKRIDDFVQSSLSYKSE >Vigun07g074900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9759673:9760690:1 gene:Vigun07g074900.v1.2 transcript:Vigun07g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQVVLQNFPHSETKPPTTTTTDSQPTAPSLAVTKRSSAAATRSTKDRHTKVNGRGRRVRMPPLCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGSGTVPAAPISSVATSLPSSESSVSVPVVGGQGMFSMAPPSCRLDLSPPPGLDFAASNGYRHMPFTALLLQPATADDNQNDDSLGEQ >Vigun10g054100.2.v1.2 pep primary_assembly:ASM411807v1:10:9036490:9038200:-1 gene:Vigun10g054100.v1.2 transcript:Vigun10g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFERRIMVGVPSAENSEKFFRTLLRKEKVDKKFDFKELATMTEGYNGSNLKNLCTTAAYRPVRELIQQERIKMMEKRQKGVEEQNNNAGNT >VigunL059815.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:52478:53737:1 gene:VigunL059815.v1.2 transcript:VigunL059815.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun02g072100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22345465:22348492:1 gene:Vigun02g072100.v1.2 transcript:Vigun02g072100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLKAVLGSLTSLVATELGSFLAFSGEKEKLESMFTAIKATLEDAEEKQFSDKAIKDWVGKLKDAAYELDDILDEFVYKQLWLEQKDEEEVKCCESEMVLCSSLSSLHPTNLYFRHNIVRRMKSVSERLDRIAREKDQLRLTSTVEVETRGVPEWRQTISLVTEPRVYGREKDIRKIVEFLAGAASRAENLPVYPIVGQGGIGKTTLPKLIFNHKDLIDFQLKIWICVSEDFGLERMLKAILHAASEECKDLDLESLQRKVRNLLPRKKYLLVLDDVWDVTRHNWEENWHMLRSVLDCGEKGGSVLVTTRFWNVAEIMGTVKHPHRLSELSEYYCWELFKHQAFGADEAEPDELVVIGRKIVKKCGGVPLAAKAVGGLLRFHRNKDKWLNIMESNILMLSSNEKSVMPVLRLSYVNLPVQLRQCFAYCAIFLKDDLIKKQYLIELWIANGFISSDGRLDAEDVGDEVWNELYRRSLFQDIETDQFGKVTSFKMHDLVHDLAQFVADEEVCCIKDDYVAPVLFERRRIHHLSDHQWNLHSTQLHQLKSLKTYVKSRTTDELSSDLLKCYSLRLLQVSLVKELSSSIGDLKHLRYLNLSHGGFKTLPESLSKLLNLQILKLDYCYNLQKLPDSLVRLKVLQQLSLKGCVSLSRLAPHMRKLTSLRSLTMYLVGKGRGFLLEELGPLKLKGSLEIKHLEKVKSVNDAKETNMPSKELNKLTLTWDRFREEKFVGNDEEVLEALQPNTDTLRCLRVEGYQGSRFPQWMSTPSFRYLTYLELLFCENCVKLSELGKLPLLKRLDIVGAKYVKYVDEECYNGGVTFMALEHLLLCWLRRLTRC >Vigun02g091900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24707274:24709788:1 gene:Vigun02g091900.v1.2 transcript:Vigun02g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGNPKSWVPYMNNKDCSQGFCSLYCPQWCYVIYPPPPPFEFPADDSSPNFSPLVIAVIGVLASAFLLVSYYTLISKYCGSRESSQSEDHEENAELEDDHNPSLHEPWHAPTIGLDEALIKSITVCKYKKGDGLVEVTDCSVCLSEFEDNESVRLLPKCSHAFHLPCIDTWLKSHSTCPLCRASIFTFNAAALHVATPVIELGIGTSENQHADEHVAVTTRDSDLDAVEGEASHGEAVSKTALRALSDLGNLRGRHSVIEIRDEEYDSIRRTVSMDHSFQRGSGLSVADVLHLNQEREGCSNGAGPSKCSRGESSKSSHRRRVLHYVLSPITMKRSFSSGRFSLSRNGRGRQWVLPL >Vigun06g199700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31507678:31509943:-1 gene:Vigun06g199700.v1.2 transcript:Vigun06g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGEGGDMNKLPQDSRMKEMQQGDAQPQVQAPQQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRAKSSNPSSSSENSSSRSLPQQQQSQDVVVQTQPPPLTTVPRAKDPSSVLASPFYQSGGYLSSLAAIHSLNPSSHPFDQSLNSDALRSSNLGLLSAFNVQRPTGSIGTIRPPQLYQMGSGQREVVSLYDQGLVNPSSSSMANTNSSNVSHHDWPQSFMSNNTNASNRASDASLWSTISTTIGGNSERTSAAAGTVSGSSLVQNQWPDIPGYGPPP >Vigun02g012700.2.v1.2 pep primary_assembly:ASM411807v1:2:4812107:4816057:-1 gene:Vigun02g012700.v1.2 transcript:Vigun02g012700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAMTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKHTEELVAVKYIERGEKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICKAGRFGEDEARFFFQQLISGVSFCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPANFRKTIQRILKVQYSIPDYVHISPECRHLISRIFVADPAQVSL >Vigun02g012700.1.v1.2 pep primary_assembly:ASM411807v1:2:4810868:4816057:-1 gene:Vigun02g012700.v1.2 transcript:Vigun02g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAMTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKHTEELVAVKYIERGEKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICKAGRFGEDEARFFFQQLISGVSFCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPANFRKTIQRILKVQYSIPDYVHISPECRHLISRIFVADPAQRITMPDIRSHEWFLTNLPADLMVDNTMSNQFEEAHQPMQSIEEIMQIIREATIPGAKTQSLNQYLTGSLDMDDDDMDEDPETDSDLDIDSSGEIVYAM >Vigun03g090700.6.v1.2 pep primary_assembly:ASM411807v1:3:7660399:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYD >Vigun03g090700.2.v1.2 pep primary_assembly:ASM411807v1:3:7660399:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDSAMCTVADEHGLRHVLLCRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYDL >Vigun03g090700.1.v1.2 pep primary_assembly:ASM411807v1:3:7660399:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDSAMCTVADEHGLRHVLLCRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYDVHIL >Vigun03g090700.5.v1.2 pep primary_assembly:ASM411807v1:3:7660399:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYDQL >Vigun03g090700.4.v1.2 pep primary_assembly:ASM411807v1:3:7660391:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDSAMCTVADEHGLRHVLLCRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYDQL >Vigun03g090700.3.v1.2 pep primary_assembly:ASM411807v1:3:7660391:7662094:1 gene:Vigun03g090700.v1.2 transcript:Vigun03g090700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIENWVGKGLTKVENESEEYESIKNGFLKGMGFMGHATTIMAIHKNDVSSSLARQARWDSFKIFSKAVAIKSGGDANVRYAWYGASLDDLLEIVSEGFNGCKNHDDDDDESHGVGIPLFSVDFSIDSAMCTVADEHGLRHVLLCRVILGKVEAVACGSKQSQPSSKQYDSGVDDILAPTKHIIWTAFMNSHIHPSYVLSFKYNYTKDSVIHGALKPQSPYVLFPNLVARVSNNLKPAQMTMLLRSYRIYQQRKISRQVWIKKVRLIVGDTLLHSVITNSNYD >Vigun01g128800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30676222:30678741:1 gene:Vigun01g128800.v1.2 transcript:Vigun01g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFYFSLSFIFSLSFILPPPSLAANETVPCPLNITVLRVVGGGARPSFDSGTQCHYILQALHLLQADYLRRSNLFVPPLNASESCWASFQSFINEFDPSIDIRSSCGLKTEWISQGCMNITTKQQFEDTAPTAAIQAVQGNCNQSLENNAPCALCTTKLSNLLSYLTGPTDGNVSDCRAYTSIYAASLSDQYGATDPGTAKCLFGLDFSSPGSSGKRRTIIIVVSVFCFLALLVFAGVWTYIRWKKRNEFAGGKGVGTSELGLGLGSGLDSMNQSTTLIRFTFDEIRKATRNFSRDNMIGSGGYGNVYKGILLDGSPVAFKRFKNCSVAGDASFTHEVEVIASVRHVNLVTLRGYCTATTNLEGHQRIIVTDLMENGSLYDHLFGSSKKNLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDHKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSYGVVLLELLSGKKALQTNDDGQPSALTDFAWSLVRNGSALDVVEDGIPEAGPPEVLEKYVLIAVLCSHPQLYARPTMDQVVKMLETDESVPSLTDRPIPFIAGRIDIEKSALSNSGQLCSPTGYQAYTLQGRRRSISKEEEESSVADTVTTE >Vigun05g102400.1.v1.2 pep primary_assembly:ASM411807v1:5:10245519:10252160:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVGATSVSVLRSVWQTFWLSENWDSDVNICDQIANSLMELEERFEDFIQKLKDAEWDTQQLNLKVPKNLFIDDGNTNPL >Vigun05g102400.7.v1.2 pep primary_assembly:ASM411807v1:5:10245556:10252043:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVCFKFPCEN >Vigun05g102400.10.v1.2 pep primary_assembly:ASM411807v1:5:10245647:10252161:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNCKLFLLTMEGLLGQTGFRIPIPLMKDYRGFQTQSKILSYQQAFQAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVGATSVSVLRSVWQTFWLSENWDSDVNICDQIANSLMELEERFEDFIQKLKDAEWDTQQLNLKVPKNLFIDDGNTNPL >Vigun05g102400.3.v1.2 pep primary_assembly:ASM411807v1:5:10245647:10252161:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMV >Vigun05g102400.6.v1.2 pep primary_assembly:ASM411807v1:5:10245658:10252043:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVGATSVSVLRSVWQTFWLSENWDSDVNICDQIANSLMELEERFEDFIQKLKDAEWDTQQLNLKVPKNLFIDDEWRHK >Vigun05g102400.5.v1.2 pep primary_assembly:ASM411807v1:5:10245519:10252084:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMV >Vigun05g102400.9.v1.2 pep primary_assembly:ASM411807v1:5:10245647:10252161:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNCKLFLLTMEGLLGQTGFRIPIPLMKDYRGFQTQSKILSYQQAFQAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVGATSVSVLRSVWQTFWLSENWDSDVNICDQIANSLMELEERFEDFIQKLKDAEWDTQQLNLKVPKNLFIDDGNTNPL >Vigun05g102400.8.v1.2 pep primary_assembly:ASM411807v1:5:10245556:10252043:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMV >Vigun05g102400.12.v1.2 pep primary_assembly:ASM411807v1:5:10245647:10250688:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNCKLFLLTMEGLLGQTGFRIPIPLMKDYRGFQTQSKILSYQQAFQAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMV >Vigun05g102400.11.v1.2 pep primary_assembly:ASM411807v1:5:10245647:10252161:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNCKLFLLTMEGLLGQTGFRIPIPLMKDYRGFQTQSKILSYQQAFQAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMVEALLKLYASEKYILMVNQQPEDLRFYVSFKVGATSVSVLRSVWQTFWLSENWDSDVNICDQIANSLMELEERFEDFIQKLKDAEWDTQQLNLKVPKNLFIDDGNTNPL >Vigun05g102400.4.v1.2 pep primary_assembly:ASM411807v1:5:10245518:10252085:1 gene:Vigun05g102400.v1.2 transcript:Vigun05g102400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTLQFSFSSSAFNSSMKVEKMKIKRLRIRCFRVLCSSERSSFEDNADNAGGQVSSRVILVERYSNGTAKRYVLGDDSQLQTFLVDDGRSTRPNRFQDSHSSDERLSWLPDTIKDFILPAGFPGSVSDDYLHYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFIGGRFGSLFDDDPKQWRMYADFIGSAGSIFDLTTQLYPGYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAISGNVGEVAAKEEVWEVVAQLVGLALGILILDTPGLVKSYGVLSLTWLSMRVLHLWLRYESLSVLQFNTINLKRARILIKSYMLHSTVPGCTDCNREENILIWPQFMKPKIIFGLPLEKMDGVERSHFMNRWRHF >Vigun08g190500.1.v1.2 pep primary_assembly:ASM411807v1:8:35823091:35829689:1 gene:Vigun08g190500.v1.2 transcript:Vigun08g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGFRYDVFISFRGADTRSNFVGHLRKELGRKGIKSFNDDRDMAIGESLSPALKKAIEESRVFIVVFSENYASSTWCLDELVRIMELKEKKQKQVVLPVFYHVDPSDIVQERNSFGKHMTKHENRFGKEEMKAWRSALSQVLNLPSKHITTGCENSFIEEIVGEVYKNIAPKPLYTGQNPVGLEPHIEEVMSLLDMNPDDNTVRMLGIYGLGGIGKTELAKALYDNIVQHFDAASFLAGVREKSNTINGMEDLQKTLLSEMLEESETKLGSSSKGIYQIKQKLRRKKVLLVLDDVDDKKELEKLAGGCDWFGPGSRIVITTREKDVLIAHHVENIYEMKELDEQHSLKLFCWNAFGQGYPKPGFQDVSVRAVDYAKGLPLALKVIGSDLATLYGASLEAWEDALEEYEKTPPNKKIQDVLKISYDRLDNDAKQVFLDIACFFKGERVEYVNKILEEFRSAHKMKELVNKSLITIENGCLKMHDLIQDMGREIVRQEAPNNPGERSRIWDYEDVLEILNEDYGSEKIQGIMLDPPQEEKVNWSGTEFEKMKWLRILIVRNTSFSSELQHLPNHLKLLDWENYPSKSFPPKFHPKKIVVFNLPRSCLTFQDPFKKFPCLTNMDFSYNQCITEIPDVSELQNLREMRLDHCRNLIAVHESVGVLKRLAHLNLSECRKLQNFMSRMFLPSLEIFNLNFCESLGHFPEIMKEMTKPLKIYMTNTGIQELPESVSKLTGLVSLDISNNRELKYLPSSLFMLPNVDSFIIKACSKLGESFRSLVQHPSKANVHPKLRILNLENGNLSDEDLLAILCHFRKLEELIVSENNFVCIPSCIKECGDLTSLDLNGCKKLKKIPELTGLRILDVHDCIYLEEISELPSTVQKVDARFCFNLTRETSDMLWSQVKKAEGGIEMVMPFISEIPEWFNYVGVERIPRFWVRGKFPNIVVAMIFHFKNERERYIFGGRGLVDLRLLINGRYAPRKGYQNFQIEEEHILVCDVGALCSEKEWVGDAVMEHEWNLVQVAYDATSSLMISGWGAFVYEEKEGRSMEDILFACPNNLIVNGDSTCVVKGSVEEDENYVPVPEGVAWELLFEGIKDGIVEAWKMFPSLDIAEVFGAVMKKNGRIEWTAEGMEGIPSAENRTYFTGLYGALLEANRRFPDLDVGATLSTVANRKGIKGDFKTPLQEKMRIPHLDWTTVTLPPSHDPLMQIYMMMMKQQSSSESELKTKTLWKLKESHQVLRNGLALRENAAQNAPSCSKNRYDELIQKFHIQYDAFVGKRVDRVYGVAKYEKDSVVLKERVREIERVFNGVVERLQNSEEFEDVMTAMFLNGLRDGVLEARAILLALCTHTQAHERVTDEATNNQNIS >Vigun07g168100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28196078:28198471:-1 gene:Vigun07g168100.v1.2 transcript:Vigun07g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHLKTLCLVCFLLLSFEVSLWSCASLPNLASCLLNHNIKNFTTLPYKEHDQPSSYNYFKILNFSIQNLRFAEPVIPKPVAIVLPESLEQLQKSVACSRESSMEIRVRCGGHSYEGTSYVSDDGTPFVIIDMMNLNHVWVDMETETAWVEGGATLGETYYAISEASNEHGFSAGSCPTVGVGGHIGGGGFGMLSRKYGLAADNVVDALLVDADQNLLDRETMGEDVFWAIRGGGGGVWGIIYAWKIQLLKVPQVVTSFTVSRTGTKSHVANLVHKWQHVAPNLEDDYYLSCFVGAGLPQAKTKGLSVTLNGFYLGPRTNAVSILNQSFPELGVTEEECKEMSWIESIVFFSGLSDGASVSDLKNRYLQEKEYFKAKSDYVRKHVPLVGIETALEILEKEPKGYVILDAYGGKMHNISIDAIAFPHRRGNLFTIQYLIYWKEGENEKSSDYVDWIRGFYDAMTPFVSSGPRAAYINYMDFDLGVRKRISNGGDEKDGVESARDWGEKYFLSNYERLVRAKTMIDPNNVFTNEQGIPPMSLVSHNFRAQNKEMMLYL >Vigun07g172700.11.v1.2 pep primary_assembly:ASM411807v1:7:28793892:28808727:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFISTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.5.v1.2 pep primary_assembly:ASM411807v1:7:28794123:28808732:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.6.v1.2 pep primary_assembly:ASM411807v1:7:28793897:28808732:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.8.v1.2 pep primary_assembly:ASM411807v1:7:28794467:28808682:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.10.v1.2 pep primary_assembly:ASM411807v1:7:28794117:28808694:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.2.v1.2 pep primary_assembly:ASM411807v1:7:28793893:28808749:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.12.v1.2 pep primary_assembly:ASM411807v1:7:28793892:28808727:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFISTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.1.v1.2 pep primary_assembly:ASM411807v1:7:28794117:28808729:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.7.v1.2 pep primary_assembly:ASM411807v1:7:28793902:28808694:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.3.v1.2 pep primary_assembly:ASM411807v1:7:28794117:28808694:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.9.v1.2 pep primary_assembly:ASM411807v1:7:28793902:28808694:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun07g172700.4.v1.2 pep primary_assembly:ASM411807v1:7:28794117:28808731:1 gene:Vigun07g172700.v1.2 transcript:Vigun07g172700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIRTKSRKTVSVQVHYVIHVQQIKPWPPSQSLRSVQTVSLQWENGDKTSGSLASTTAGVANIEFNESFGLSVVMWREASRKSKHRESFQKNYLEFNLYDKTTKSQLLGSASINFADFGIIKETKALRLLLNCKKSSKHSSPPFLYVSIQPLDTECSSSSPSSSYSKGLSLDKEGGESVTQSLKDDDDVEIASFTDDDDNDDFPSNTAQTNKSASKTTGGSIKISEGGAEGSHGKTAMEAPTQFNGIKPPLSSTILGSNMGNAADGSSSLSKISEETVKRSDPISGIQESNQQSSASCISSSIQPKFERPFNSQATQANSVIKEDDTRDQRLNKKVVEKVTSISDVGVMEAKEKMEEQRKEKKQFGERNELLKNELNKFRTDDSTKKGNFSSITLLNEEPHGKGQKQLDEKNEPLQNELNNFSNDDSTKKGNFIRSTLLNEKPHGKAKKQSIEQNEPLQNELNNFSNDNSTKKENLISATILNEKPHGKGQGQFTKKSELSENELNNFSNDDSMQKGNLSSTTLLNEKPRGKEQSQFPERNEPIINEHNKFSNDESTKKGSTTLLNEKSHAKGQRQFTEKNEPLVNELNSFPHDDSMKKGDLNSTTILNEKPHGKGQRQFTEGNEPLDYKLNNFSNDDSMKKGNLNSTILLNEKPHANEQRQFTERNETFQNEHNNFSSDDSRKKANLITTNLLNEKPHGKGQNQSTENSEPLRNELINFSNDDSTRKGDLISTTLLNEKAHGKEQNQSTEKNEPLQNELNNVSKDDSTKKGNLISIDLLNEKPHGKGQNQSIEKNGPIQNEFNNTNVDSTKKGNLISTALLNEKPHGTRQNQSTEKIEPLQNELTNLSNDDSIKKGNLISTTLLNEKPYVKGKNHSTEKNEPLQNELNNFSNDDSTKKGNLISTTLLNEKPHEKGQKQSPKKNEPLQNELNNLSIDDSTKKGNLISTTLLNEKPHGKGQNQSTEKNEPLLNEIKIFSTDDSSKKENLISTTLLNEKPHEKGQKQSTEKNEPLENELNNFSNDDSTKKRNLISTTLLNEKQHGKGQNESIEKNEPLQNELNNISNGDSTKKENLISTTPLSEKPREKGQNQCNEKNEPLQNELNNVSNDDSTKKGNLISTTLLSKKPHEKGQKQSTEKNEPLQNELNNVSNDDSMKKGNLISTTLLNEKPHGKAQKQSTETNEPVQKELNNFSNDDSTKKGNLISTSLLNVKPHGKGQRQFIEKNQPSKNELNNLSTDDSKKNVNLNSTTLVNEKPLGRGQRQFTEGNETLQNELNNFSIDDSTKKGNLSSTTLLNEKGQRQFTEGNEPLENELNNVSNDDSMKKRNLKSIALLNKKPHGRGQREFIERIEHFENKLNNFSNDDSTKKENLNSTTLQNVKPHGRGQRQFTMRNELIENELNKFCNEDSTKKVDSSSSSLLSKEPHGKGQKQLIEKNEPLENELNNFSDDDCAKKGNLNGITLLDEKPLGKGQKDFTKSNEPFDNGLNKFSNDDSLKRRNLISTTLLNEKHEKGQRQFTERNELLENELNNFSNDDSMKKGNLNSSTLLNEKPHGKVQRQFTERNELLENELNKFCNDDSTMKGNLSSTILLNEEPHGKGQKQLTENNKPLENEFNNFSNDDSMKKGNLSSTILNEKPRKGQGQVMERNEPLENALNHFPSDDSTKKGNLNSITLLNKIPHEHPRLMSDKIEDRENLKVLPQSAENYEQISNQTHNQSQEINTLNDFPIGTACHEDINTSDRFLSNKTEMKAEVDRLREELREAAALEVSMYSVIAEHGSSSNKVHAPARRLSRFYFHACRVGSPATMASAAQSAVSGFVLVSKACGNDVPRLTFWFSNILLLRAIVSKEVENIHFGDGSCINSEWDAVGNTLHKEENDNIEKQFHSWENPKTFLIALEKVEAWIFSRIVESVWWQTLTPYMQSGVAKSTNFKKANERRSRVGDQDQGSFSIYLWKRAFKDACERLCPLRTGGHECGCLAVIARLVMEQLVSRLDFAMFNAILRESAEEMPMDPVSDPISDSKVLPIPAGKSGFAAGAQLKNAVGDWSRWLSDLFSIDDSDSYEVTNENDEPKYESSFKPFLLLNALSDLMMLPLDMLADGSTRKEVCPRFGISLIKRAVNNFVPDEFSPGPIPDAVFDALNSEDIEDDVGAITSLPCSAGPAFYAPLPASSIVGMIQEAGTKTSLKCGSFALKKLYTSDDELDELDSPLSALGMDEPSVSSKEKPALVKGGRKVVRYELLREAWKNSE >Vigun03g084200.1.v1.2 pep primary_assembly:ASM411807v1:3:6991228:7000467:-1 gene:Vigun03g084200.v1.2 transcript:Vigun03g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRGQIAQALAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTALSHTIKFGSKSHAECACFSPDGQFLVSCSVDGFIEVWDYISGKLKKDLQYQADEVFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVASVSFSRDGSQLLSTSFDSTARIHGLKSGKMLKEFRGHTSYVNDAIFTNDGSRVITASSDCTIKVWDVKTTDCIQTFKPPPPLRGGDASVNSVHIFPKNTDHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDRNMYCFSYQSGKLEHLMKVHEKEVIGVTHHPHRNLVATFSEDCTMKLWKP >Vigun05g170700.1.v1.2 pep primary_assembly:ASM411807v1:5:30318208:30320142:-1 gene:Vigun05g170700.v1.2 transcript:Vigun05g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSSIIPIVDLSKPDAKTLIVNACEEFGFFKVINHGVPMEAISQLETEALKFFSLPLNEKEKVGPPNPFGYGSKRIGPNGDVGWVEYLLLNTNQEHDFSLYGKNPEKFRCLLNSYMCSVKKMACDILELMAEGLKIQQKNAFSKLLRDKESDSVFRVNHYPACPELAVNGQNMIGFGEHTDPQIISLLRSNNTSGLQIYLRDGSWISVPPDHNSFFINVGDSLQVMTNGRFRSVRHRVFANGFKSRLSMIYFGGPPLSEKITPLPSLMKGKESLYKEFTWFEYKNSTFGSRLADNRLGHFERIAAS >Vigun02g162000.2.v1.2 pep primary_assembly:ASM411807v1:2:30731094:30733570:1 gene:Vigun02g162000.v1.2 transcript:Vigun02g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSEDGRELRAFNFKQEDESQEVRAVERRVLLETLAGQLPKETIKFSSQLAKIEATSDGDTLLELVDGSKLLAKTVIGCDGIRSPIAKWMGFPEPKYVGHCAFRGLASYSDGQPFRPRVNYIYGRGLRAGFVPVSPTKVYWFICFNSPSPGPKITDSLVLKNQAKELVKDWPSELLNIVDSTPDDTVIKTPLVDRWLWPAISPGASAGRVVVVGDAWHPMTPNLGQGACCALEDSVVLAKKLAGAIKSEDPSVEEAFRSYGAERWPRVFPLTIRANLVGSALQWENPVVCSVRNNIVIPKLVRLGPLLEHTNFTCESL >Vigun02g162000.1.v1.2 pep primary_assembly:ASM411807v1:2:30731094:30733570:1 gene:Vigun02g162000.v1.2 transcript:Vigun02g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAYLPQHITTSMATTTSSFVFVKSHTSPFCLTKTLFTNIDGFGHGIGTRRSYRVIKAQSQSGVRKEQVVIVGAGIAGLATALSLHRLGVQSLVLEQAESLRTGGTSLTLFKNGWRVLDAIGVANDLRTQFLEIQGMVVNSEDGRELRAFNFKQEDESQEVRAVERRVLLETLAGQLPKETIKFSSQLAKIEATSDGDTLLELVDGSKLLAKTVIGCDGIRSPIAKWMGFPEPKYVGHCAFRGLASYSDGQPFRPRVNYIYGRGLRAGFVPVSPTKVYWFICFNSPSPGPKITDSLVLKNQAKELVKDWPSELLNIVDSTPDDTVIKTPLVDRWLWPAISPGASAGRVVVVGDAWHPMTPNLGQGACCALEDSVVLAKKLAGAIKSEDPSVEEAFRSYGAERWPRVFPLTIRANLVGSALQWENPVVCSVRNNIVIPKLVRLGPLLEHTNFTCESL >Vigun05g163025.1.v1.2 pep primary_assembly:ASM411807v1:5:26201365:26202603:1 gene:Vigun05g163025.v1.2 transcript:Vigun05g163025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWPYKWMNHYWVKESSRTFEIPTGFPKPHKFVKFFTCFSVDLSVQKEFIIFHNVFSHFWGQTFNSNNVDTYMKVEMIDPSGKHHEVYIQGSYTRRIFVNNGIPQIISYYHIHEDHVMYLNYMGDYKSPFRIFSLIGDEISYNERPMVNDGPGNVENDDENPFYYSMEKTLTNYDVQCSSFYLDAQFVIVALVRNRKNHYLRNFFCWSLKCILRWTKRRSSECYLTCGWKYFCWENGFKARDVICLGVN >Vigun05g163025.2.v1.2 pep primary_assembly:ASM411807v1:5:26201365:26202603:1 gene:Vigun05g163025.v1.2 transcript:Vigun05g163025.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWPYKWMNHYWVKESSRTFEIPTGFPKPHKFVKFFTCFSVDLSVQKEFIIFHNVFSHFWGQTFNSNNVDTYMKVEMIDPSGKHHEVYIQGSYTRRIFVNNGIPQIISYYHIHEDHVMYLNYMGDYKSPFRIFSLIGDEISYNERPMVNDGPGNVENDDENPFYYSMEKTLTNYDVQCSSFVLFGCPVCYSCLGQEQEKPLPSKLLLLVVEMYIKMDQKKIIRVLFNLWMEVLLLGKWIQS >Vigun01g245900.1.v1.2 pep primary_assembly:ASM411807v1:1:41434209:41437150:1 gene:Vigun01g245900.v1.2 transcript:Vigun01g245900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVILLGICACTYFKMQFPAILDQKTGFRGFFWKAARIGERLSPWVAVGCFMMGVSIIFF >Vigun01g238500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40957637:40959025:-1 gene:Vigun01g238500.v1.2 transcript:Vigun01g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIGFEGYEKRLEISFSEDGVLAGGSGLRALSRDQLDEFLNPAECTIVSSLSNDCLDSYVLSESSLFIYPYKLIIKTCGTTKLLLSIPSILKLADSIDLSVKSVRYTRGSFIFPRAQSYPHRSFSEEVSVLDSYFGKLGNGSKAYAMGDPSKSQLWHIYSASAQAKESLESVYGLEMCMTGLDKECASVFFKENTSSAALMTENSGIRNILPLSDICDFEFDPCGYSMNGIEGNAISTIHVTPEDGFSYASFEAVGYDFDDMALCELVDRVLACFRPAEFSVALHIDMHGEKLDKFPLDISGYYCGERTNEELGVGGAIMYQKFVLCDGSASPRSILRCCWSEDENEDEAREI >Vigun07g021000.1.v1.2 pep primary_assembly:ASM411807v1:7:1787583:1789031:-1 gene:Vigun07g021000.v1.2 transcript:Vigun07g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHENFILFEKFTWTITNFSLLDSKIYWKKFSLDCHTWKILIFPKGDEGNYLSIYLEYPGAALMSQGWQKFANFKFILVNQLDHTKNIINETGHSFNLGQDGFTSHISLGDRYGFIVNGVCIIEVHMQVDESGRKIDDDKVVESIENVSPEEMISTSSFGEVVDFRDIGKVEKDFVPLLEQACSRYPSLIDIKKRKSRRFSEWGFTALGRVLHFLNTKKVRDMDDDTCNHLQALWEELEAFGFDLAWLKPHVEYALGMKNRVEKVLEVKKLEESVNVLKKNVNTLKENVTTLEERTKASRTKMIDAEIKLEKTKRDLEKAKKGFEECDLDAEMGYGKS >Vigun05g253600.1.v1.2 pep primary_assembly:ASM411807v1:5:44833906:44836455:1 gene:Vigun05g253600.v1.2 transcript:Vigun05g253600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQSSTASPIPSPSSSSYLFPHLTQFDTLPQLNNSHTSYCYNNYGGYSSNCSSGYSSYGASPTSVPTFMQRSVSSNSFNYTNNATHHPLSAFFAELLDSRDAPVRRVCSTGDLQGINGTQHNHLSDSPLSSESSMIIEGMNRACRYSPEEKKVRIERYRSKRNQRNFNKKIKYACRKTLADSRPRIRGRFARNDEIDKNSSIQWSQSGGDEEDEEDENWFTILDSLVAANFAQESQGSCSSYGVFY >Vigun07g244400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36557490:36559234:-1 gene:Vigun07g244400.v1.2 transcript:Vigun07g244400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun07g244400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36557490:36559718:-1 gene:Vigun07g244400.v1.2 transcript:Vigun07g244400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun10g004500.1.v1.2 pep primary_assembly:ASM411807v1:10:439057:442475:-1 gene:Vigun10g004500.v1.2 transcript:Vigun10g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNPSSIMLTGNEETAAGASDQTHRQIFDHYASHSLIPDHFLHAPTHSAVTTAAAATVEFTNHHHHFNPQPHHHQVNNHHHHHPFFDPRAFHGASSASYPPPPSMLSLDPLPHVNAANCGPGPGPGPGGLLLVPKSEDVGRPMDFVGSRIGLNLGGRTYFSSSEDDFVSRLYRRSRPAESGSAASSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQQPSQEIQKSQPSLDNVARSPPDSGAQSSSVTVAVSPPDYFRQRPYQSANPSTNSSSLFFSSG >Vigun04g149450.2.v1.2 pep primary_assembly:ASM411807v1:4:36534818:36535638:-1 gene:Vigun04g149450.v1.2 transcript:Vigun04g149450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQLLLLFVAFLFFDNPIFCKENCNGKINTHFSLFSARPEGRPCPTQILVSDTASYALPTGVRVRCAFDSGKRGSNAVSELHRLQHHV >Vigun04g149450.1.v1.2 pep primary_assembly:ASM411807v1:4:36534823:36535638:-1 gene:Vigun04g149450.v1.2 transcript:Vigun04g149450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQLLLLFVAFLFFDNPIFCKENCNGKINTHFSLFSARPEGRPCPTQILVSDTASYALPTGVRVRCAFDSGKRGSNAVSELHRLQHHLSNKQFRLSLIICISPNLIIRVKLTMMLCHMFTIRRHN >Vigun03g278700.1.v1.2 pep primary_assembly:ASM411807v1:3:45625072:45632153:-1 gene:Vigun03g278700.v1.2 transcript:Vigun03g278700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPEGDGSVGEANENNKNEEGLHEEGLAETEVNLPEEGDNNNNNNDVPLHETLNAVALDSNMSASSFHVGSSSNTVNIPRKRNFGSSGHFYQGESSNMGQQQVTGEAKRLATESEGGANNNVSPHNAGTPIPPIPPPPNAGANRNENTDTGRRASYATLPGQASLYFNGASTHPAQHPLQRIGSVQPLSFQGSAFMRSATSTVNSVTVRTTPPTRCPHPRVDIIIPFYEHGSSSTRPPPQATPRINHSAAGNSSATQNNQNSALPPNFISPELRGRLPSEVQSILDSLSNGRGLIFEIENSEPSTAGLSLQTIMQHMERETLVDVDGDDPVEHQKRCTICLEEFENGSEIGKMQLCDHKFHFDCIKQWLVQKNLCPVCRRVALNRHLNGPNREYGYFFI >Vigun10g072000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:17854919:17855239:1 gene:Vigun10g072000.v1.2 transcript:Vigun10g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTAATVDGASGARHRLDLTSSFLLQRARDGPDPGAPPSHTMPLQPRVRQLRPSRLRMPPRRHCRSQRDGPRCRRLPRSPAQCSNPPAASPPTIPTLLCFSVLV >Vigun07g105900.3.v1.2 pep primary_assembly:ASM411807v1:7:19395368:19399505:-1 gene:Vigun07g105900.v1.2 transcript:Vigun07g105900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETESSADEEAVAVPAAGAGGTDKRGRHRILAELKRLDQDSKFLQEELEELEKTENVSTICTDAACYKAWRVGLIHYSQKSMDL >Vigun07g105900.2.v1.2 pep primary_assembly:ASM411807v1:7:19395368:19399505:-1 gene:Vigun07g105900.v1.2 transcript:Vigun07g105900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETESSADEEAVAVPAAGAGGTDKRGRHRILAELKRLDQDSKFLQEELEELEKTENVSTICTEVHGPVNLLWDRWFEGPQDTQACRCWIL >Vigun07g105900.1.v1.2 pep primary_assembly:ASM411807v1:7:19395368:19399505:-1 gene:Vigun07g105900.v1.2 transcript:Vigun07g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETESSADEEAVAVPAAGAGGTDKRGRHRILAELKRLDQDSKFLQEELEELEKTENVSTICTDLLQSMESRPDPLLPEVHGPVNLLWDRWFEGPQDTQACRCWIL >Vigun09g006000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:435698:437938:-1 gene:Vigun09g006000.v1.2 transcript:Vigun09g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSIDMDRERLTAEMDFKDSSSAVIRIRRRLPDFLQSVKLKYVKLGLGYGYSCNAASIVMLAVTLPLCFSLTGLKLSRLCSHQLDAETVAVASAALLSLAALRRWKRSGAVYLVDFACYKPEKERKISVESFLKMTEESGGFEEESLQFQRKISTRAGLGDETYLPRGITSRPPNLCMSEARLEAEAVMFGALDALFAKTGVEPKDIDILVVNCSLFNPTPSLSAMIVNHYKLRSNIKSYNLGGMGCSAGLISVDLAKDLLKANPNSYALVLSMENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNKPSDRSRSKYQLLHTVRTHKGADDKHYNCVYQKEDDTGKIGVCLARELMAVAGDALKTNITTLGPMVLPYSEQLMFFLSLVRRKVLKMSGVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLQLSEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVAKGDRVWQIAFGSGFKCNSAVWKAMRDMPNLTEWRGNPWDDSVHNYPVNLSSTS >Vigun03g320300.1.v1.2 pep primary_assembly:ASM411807v1:3:51455034:51457833:-1 gene:Vigun03g320300.v1.2 transcript:Vigun03g320300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTMDPHPGSFPVLSYVMSRLPSFGPRTPASASASAASASASASASTPASASASTSHSHQVDIEQPPPPDASSSSIVGQMPNLANPEMLASMTRAISDVAQARSVFKVMGPRPTHEEVDEAKAKLADLEAHLSRQLEEIVGLPRPPEIDEARWTAHISEKEKVIKESTEKEKRILKSIIQLDNMHDAYGKLLKDAEKRLVKIYENDGADYDNVNNENDEDDEDSVQVKEQVEGILKEAYGKGVERIALSGKRLRYLPEAFGHIPALVVLDVSTNQLSAIPDSICGLESLEELNLSSNALESLPESIGLLQKLKILNVSGNKLTALPDSISKCSSLVELDASFNSLSYLPTNIGFEMHNLQKLMIQLNKIRSLPSSVCEMKSLRYLDAHFNELRGLPVAIGKLSNLQVINLSSNFSDLKELPETFGDLINLRELDLSNNQIHALPDSFGRLHNLTKLNLEQNPVEVPPMYVVEEGLQAIKCFMSQRWIEILEEEEKKTTQEVQEHGENGWLTRSTSWLKNVSENVSEMIMSPKTPREAYLNQQL >VigunL004833.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:57046:57348:-1 gene:VigunL004833.v1.2 transcript:VigunL004833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERK >Vigun05g061000.2.v1.2 pep primary_assembly:ASM411807v1:5:5250182:5261962:1 gene:Vigun05g061000.v1.2 transcript:Vigun05g061000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNNPASLPLVVTLNCIDDCSLELQSLAGVAVIEHVPLSRLSEGKIESAAAVLLHSLAYLPRAAQRRLRSYHLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGISASARSLATRSLAFKMSVLYFDTQAGKGKAKFPPAARRMDTLNDLLAASDLVSLHCALTNETMQIINAECLQHVKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKNAMSDVEEESEVDNESEQSDQQYKENALQIIVREQTDDVHLSPDSSQKKGSTQVKESSSQLQVSSLSQSTSARSEGRRSRSGKKAKKRHTRQKSQQKPEDPSTLEKEGTSQRDDTAMSGTDQALSSSSEDSRSRKTPIEMQEPTSSQVIKSNVRLSGNCTELLRDGYVIALYARDRPALHVSRQRVKGGGWIMDSMSNVSKRDPAAQFLIIFRSKDTIGLRSLAAGGKLLQINRRMEFVFASHSFDVWENWTLEGSLQECRLVNCRNPSAVLDVRVEILATVGEDGVTRWIE >Vigun05g061000.1.v1.2 pep primary_assembly:ASM411807v1:5:5250182:5261962:1 gene:Vigun05g061000.v1.2 transcript:Vigun05g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNNPASLPLVVTLNCIDDCSLELQSLAGVAVIEHVPLSRLSEGKIESAAAVLLHSLAYLPRAAQRRLRSYHLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGISASARSLATRSLAFKMSVLYFDTQAGKGKAKFPPAARRMDTLNDLLAASDLVSLHCALTNETMQIINAECLQHVKPGAFLVNTGSSQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKNAMSDVEEESEVDNESEQSDQQYKENALQIIVREQTDDVHLSPDSSQKKGSTQVKESSSQLQVSSLSQSTSARSEGRRSRSGKKAKKRHTRQKSQQKPEDPSTLEKEGTSQRDDTAMSGTDQALSSSSEDSRSRKTPIEMQEPTSSQVIKSNVRLSGNCTELLRDGYVIALYARDRPALHVSRQRVKGGGWIMDSMSNVSKRDPAAQFLIIFRSKDTIGLRSLAAGGKLLQINRRMEFVFASHSFDVWENWTLEGSLQECRLVNCRNPSAVLDVRVEILATVGEDGVTRWIE >Vigun03g108900.1.v1.2 pep primary_assembly:ASM411807v1:3:9738674:9740793:-1 gene:Vigun03g108900.v1.2 transcript:Vigun03g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKSGSRKRGSESELTKTDKNNRSTKDNFDLDLDFDLSDDIKGIVSALHLIRDKAQKDGQKKNEETISSVGFEVKSMIEGLRSKIEKDRQSITKALSKNSKEYESSLKSETTKFQALHENFCKEKATSLQALKDIISKFEEEKEKLFVRYEQLRKKERIMISEQEKACNDKISQLEESLKKKKQDDKTFSILRKTLGSFLESTSDDDFPPDD >Vigun02g010233.1.v1.2 pep primary_assembly:ASM411807v1:2:4068098:4069056:1 gene:Vigun02g010233.v1.2 transcript:Vigun02g010233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALFRIREGYHHGCCDLRLGFHVERSRSVLLQMQALVDSNIELHFIAGALLLASFLLIAMAVLYMIMSSASSVAARSDGGTGFLVVLWLQVAWWLGFKICRLCWEIEDDDMP >Vigun02g000084.1.v1.2 pep primary_assembly:ASM411807v1:2:47251:47952:1 gene:Vigun02g000084.v1.2 transcript:Vigun02g000084.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPLVLYGCLLEVKRDLKKKSIEARAFIFFCAHQTSIFFIWYPWRRVQWNYCYSCTKRKLKQLYAWIDGRLCRGGKGKQPPLPTLLPLWTHRS >Vigun06g006533.1.v1.2 pep primary_assembly:ASM411807v1:6:3407262:3408387:-1 gene:Vigun06g006533.v1.2 transcript:Vigun06g006533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TSNVAIILTQAKIKPASGPWPVSLSNSWNGSKLIIGDDIPELINFKKQFAETCGSETFEEGSQYSGSSQINHVDRFMYKTVVKSVSEIMTVLEEIFCVTIARTLKFNLGNDGWNYLVCNFCAKRTHEVGSFKCLSCDAFNDSPRIRYKLEIQVTDGKKVANFMLWDQDCMNLIGVSAADLRKKMIKHGEDDPKCFPEDLDVILGCICAFKVKLQGKNRPASVMRVSTDVEIIDHVKALLEQEE >Vigun10g029300.1.v1.2 pep primary_assembly:ASM411807v1:10:3690134:3695282:-1 gene:Vigun10g029300.v1.2 transcript:Vigun10g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCCSMCLCLVLLHIFLCFVPTQSAPESAIVAQVPGFSGTLPSKHYAGYVTVGESRSKNLFYYFVESQGDPSVDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKTKGGLPTLHLNPYSWSKVSNIIYLDSPAGVGWSYSKNETDYITGDTQTASDSHEFLLKWFELYPEFLTNPFFIAGESYAGVYVPTLTAEVVKGIEAGVEPILNLKGYMVGNGVTDEEFDGNALVPFVHGMGLISDDLFKEVNRECNGNFYNPTSEICSGKLAKVDELVDGINIYNILEPCYHASEAVKITESYIRLPSSFRKLGETEKPFPVRKRMFGRAWPLRAPVRAGIVPTWPQLTSLQLSPPCTDDEVANAWLNNEEVRTAIHVAQKSVVSSWEICTDRIFFDHDAGSMIDYHKYLTSKGYRALIFSGDHDMCVPYTGSEAWTRSIGYKIVDEWRPWSSNGQVAGYTQGYDKNLTFLTIKGSGHTVPEYKPREALDFYKRFLAGLPI >Vigun01g202900.1.v1.2 pep primary_assembly:ASM411807v1:1:37900452:37901797:1 gene:Vigun01g202900.v1.2 transcript:Vigun01g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFFPILFFSFGLLFSAPFGAEAWLKPKIPISSLITKTFFDSFFLHKDDTACPAKDFYTYDSFILASKSFPAFGSTGCLATRKREIAAFLAQISHETTGGWATAPDGPFAWGLCFKEEISPQSNYCDSTNTQWPCFPGKSYKGRGPIQLSWNYNYGPAGKALGFDGLRNPEIVANNSVIAFKTGLWFWMTEQKPKPSCHDVMVGKYVPTEADIAANRTCGYGLVTNIINGALECGIPGDARVNDRIGFFQRYTKLFNVDTGPNLDCAYQEPF >Vigun08g155200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32765661:32766308:1 gene:Vigun08g155200.v1.2 transcript:Vigun08g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPNIFGSRRSNVYDPLFEDIFDPWGGFPLASLGGEGNAMVNTRVDWKESAEAHVFSVDLPGLKKEEVKVEVEDGRVLQISGERSREKEEKDDKWHRVERSSGKFVRRFRLPENAKMEEIKAAMENGVLTVTVPKEEENKPQMKSIQISG >VigunL008401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:93178:93378:-1 gene:VigunL008401.v1.2 transcript:VigunL008401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun05g219900.1.v1.2 pep primary_assembly:ASM411807v1:5:41125993:41128840:1 gene:Vigun05g219900.v1.2 transcript:Vigun05g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSLSFSAVTHCPERKKLTFSPTRFLATSSDFFGLRTHFSYHHVGVRASNSTSNMVVQCMSSVADAPTVSETKLNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKRSYRYDPVFALGFVTVYDKLMEGYPSDEDRDAIFQAYINALKEDPQQYRIDARKLEEWARVQNPSSLLEFSSKEGEVEGILKDIAERAAGKGEFSYNRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKRDERAETQKANEAITKCLGEQLPGL >Vigun10g034700.7.v1.2 pep primary_assembly:ASM411807v1:10:4661611:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFRTVILEKPSKQLHTKHSRNNNWSMACPGGATHLPKLHISMDGMRAITVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVQYICFSYLFL >Vigun10g034700.5.v1.2 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFHYYKTNIKASATLNAFVSVPRSSLPIPSMDFASSTSELPKTYDVLIHFTGEDIRRKFVSHLDSALSAVGFSTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTETYSESAWCLHQLQQIIQWHQTYCRPVLPVYYESQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVQYICFSYLFL >Vigun10g034700.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVQYICFSYLFL >Vigun10g034700.4.v1.2 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFHYYKTNIKASATLNAFVSVPRSSLPIPSMDFASSTSELPKTYDVLIHFTGEDIRRKFVSHLDSALSAVGFSTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTETYSESAWCLHQLQQIIQWHQTYCRPVLPVYYESQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRDTSRMLKLARHSEYLSKKLRCISLQGFSSEYLPNDFYLHEAIVIDFKTQSSSTYLERTPGICQQ >Vigun10g034700.2.v1.2 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFRTVILEKPSKQLHTKHSRNNNWSMACPGGATHLPKLHISMDGMRAITVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRDTSRMLKLARHSEYLSKKLRCISLQGFSSEYLPNDFYLHEAIVIDFKTQSSSTYLERTPGICQQ >Vigun10g034700.1.v1.2 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFHYYKTNIKASATLNAFVSVPRSSLPIPSMDFASSTSELPKTYDVLIHFTGEDIRRKFVSHLDSALSAVGFSTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTETYSESAWCLHQLQQIIQWHQTYCRPVLPVYYESQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVRDTSRMLKLARHSEYLSKKLRCISLQGFSSEYLPNDFYLHEAIVIDFKTQSSSTYLERTPGICQQ >Vigun10g034700.3.v1.2 pep primary_assembly:ASM411807v1:10:4659436:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFRTVILEKPSKQLHTKHSRNNNWSMACPGGATHLPKLHISMDGMRAITVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVRDTSRMLKLARHSEYLSKKLRCISLQGFSSEYLPNDFYLHEAIVIDFKTQSSSTYLERTPGICQQ >Vigun10g034700.6.v1.2 pep primary_assembly:ASM411807v1:10:4661449:4663925:-1 gene:Vigun10g034700.v1.2 transcript:Vigun10g034700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFHYYKTNIKASATLNAFVSVPRSSLPIPSMDFASSTSELPKTYDVLIHFTGEDIRRKFVSHLDSALSAVGFSTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTETYSESAWCLHQLQQIIQWHQTYCRPVLPVYYESQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVEDVIRTIENKSTGVCMIGIYGMEGSGKTTVAKAVYNQIHDRFVEKSFIEDNAEVSRTKGHVYLPEKLLSDLLKTKVEIHSVEMGRSMIWGRLYGKRVLIVLDNVNEYEYGALSDLWECRGWSGKGSVIIITSTHESVLRTRQVDAVFRINPMNANESLELLSWHAFKEAKPKEEYCDLAKALVTHCEGLPLALEVIGSYLYERTKKEWHGVLSKLVRIPQLAVLQKLKISFNGLHNQMEKDLFLDICCFFVGKGRAYVTKILNGGGIDADCGIRVLIERSLIKVKKNNKFGMHPLLGDMGREIIREISKKEPENSRRWFDEGMKHAMPKTTVRTFLIYGFETSFWKGLLSQVQYICFSYLFL >Vigun10g150301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36933736:36935712:1 gene:Vigun10g150301.v1.2 transcript:Vigun10g150301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIISPSSSSSLVTLPQQNPTPPIQQKLQFLLQTQPDWWVYAIFWQASHDDNGNLYLSFGEGHFQGTKETSPKFSNPVPTKKFTKTPTTENINDAEWFYVMSLTRTFPVNNSSSSASTAPSSLLGKSFALGSVLWLNSKHELQYYKCDRSNDAQLHGIQTLISIPTQNGVVEMGSYDSIKQNWNLVQHVKSLFQPLPDPLPVQILNDHTISFADIGVAAGIQETKKRKQTQSPPPNNNQKDSYVDSEHSDSDCPTLPTTSTPTASEPKRRGRKPVLGRETPMNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVAYINELKAKIEYLESHQQREGNKRVKTEMMDTMDNQSTATTSTIVDQGRPGPGGPGPFGLEIDVKIVGPDAMVRVQSENANHPGARLMGALRDLEFQVHHASMSCVNDLMLQDVVINVPDGMRNEEGLKSAILMRLDQ >Vigun04g102750.1.v1.2 pep primary_assembly:ASM411807v1:4:23735925:23736741:1 gene:Vigun04g102750.v1.2 transcript:Vigun04g102750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKERGSDLGKQENATNGTNEISYAPIKTKSKGQGKHQKDDFNWDSLRIEAQAKAGKREKTANTMDSLDWDVVRCVDVNEIAQTIKERGMNNRLAERIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESL >Vigun05g035600.1.v1.2 pep primary_assembly:ASM411807v1:5:2895693:2897770:1 gene:Vigun05g035600.v1.2 transcript:Vigun05g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHFLVIPYPILGHMNPLLQFSQVLAKHGCKITFLITEFNQNRMKNAIENLGTQINFVALPDGLDPEDDRSDQPKVILSLRNTLPSKLHNLIQNINASDGDDSKITCLVISKNMGWALEFGHNLGIKGALMWPASATSLASFESIPTLIHDRIIDPETGLPTRKQEIQLLPNSPMMDTANLPWCSLGKNFFLHMVEDTQSLKFGEWWLCNTTCELEPGALNRWPRFLPIGPLIQSDTTKSSFWREDTTCLDWLDQHPPQSVVYVSFGSLAIVEPNQFKELALGLELLNKPFLWVVRPSTDSNNENNAYPDGFVGSKGKIVEWAPQKKILNHPAIACFVTHCGWNSIIEGLCGGIPFLCWPFFSDQFINRSYICDVWRVGVGLDKDENGVISKGEIRKKVEQVVGNEDMKARSLKLKELTLDNSAEGGQSSKNLQKFINWAKD >VigunL056950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000430.1:48744:50201:1 gene:VigunL056950.v1.2 transcript:VigunL056950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun04g183300.1.v1.2 pep primary_assembly:ASM411807v1:4:40799393:40803167:-1 gene:Vigun04g183300.v1.2 transcript:Vigun04g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVITDPIRNLFANVLHHFIHKDFHEAVAKMTIIDAFLFIIVHSIDKLGIWPRLPVFLGLLYLAIRRHLHQEYNLFNVGTTPTGVRFNPSDFPYRTADGKYNDPFNEVVGSEGTFFGRNMLPVDQKKKLLKPDPMVVATKLLARRTYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVASQCPLKSFKFLKTKEIPTGFFEIKSGSSNIRTPWWDASAVYGSNGEVLQKVRTFKDGKVKISKNGNLLHNENGTAIAGDIRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPHLNDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRANWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVSYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLTEIGVERQLVSMGHQACGALELWNYPQFLRDLVPQNVDGTERSEHVDLAALEIYRDRERNVARYNQFRRALLLIPITKWEDLTDDNEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun05g022700.1.v1.2 pep primary_assembly:ASM411807v1:5:1909976:1911603:-1 gene:Vigun05g022700.v1.2 transcript:Vigun05g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNGNGKHAPGKLVKSVVILLPFTLFILMLPLPLTKNSIFIPPNNSTFSSPNKVYVNRSSYDSNVTEMKRCNVFSGEWVPYSKGPYYDNETCNLILDQQNCMKFGRPDREFLKWRWKPDECELPLFDATLFLEIVRGKSMAFVGDSVARNQMNSLLCLLNSVARPEDISSRYSTDPIYFRRWFYADYNFTVVTLWSPFLVRSSDVHSSLITNLYLDKAEEWWSGEVKNFDFVIISAGQWFFRPALFYEKGQVVGCHKCEQSNITDLSHYYGYRKAFRTAFRTILNMEGFKGVTFLRTFSPAHFENAEWDKGGSCERTKPYGKEEMKFDGYIFETYKVQVEEFRAAKKQGRKRGLKFLMLNTTEIMLRRPDGHPNIHVWGHEMKNTHSDCVHWCLPGPIDTWNELLFYKLKTQKSFVSSLQGFA >Vigun07g228300.1.v1.2 pep primary_assembly:ASM411807v1:7:35003462:35005706:1 gene:Vigun07g228300.v1.2 transcript:Vigun07g228300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQTQTTSVWTDEKHLHFLNSMEASFVRTMLHHYAVLSSHPPLRLDRYLPDTSESTLDSKPNRRPKKHASAPPDSMGPTPRGRRSKRRSSHSHSHLHTSLVKQAVEELETGRESGGPVVAGGDDEKPRNNV >Vigun01g044300.1.v1.2 pep primary_assembly:ASM411807v1:1:6349794:6370596:1 gene:Vigun01g044300.v1.2 transcript:Vigun01g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPATATTTASRPVSPLPQSHHHYPPQQQTLPIRAPANPLLAKPHDPHFAYPFAHKGVRGLAAVDHSVSGGAFAPPAMVYGGVVRGMHLDYLSHALHLARPPPHVPFGHVGNAAATPTASPPVKKAASRPAVSDINGGKDSSTREKIREDTYIVVRDRKVRVTEDASLYALCRSWLRNGVNEESQPQQKDVIKALPKPLPAYMVAGYMSNKKEDEKNEDEQEENEQSVENLSPQDLLKRHIKRAKKVKLRLREERLHRITKYRSRLRLLLPATEQCRTDTAAGN >Vigun10g166000.2.v1.2 pep primary_assembly:ASM411807v1:10:38502492:38509781:-1 gene:Vigun10g166000.v1.2 transcript:Vigun10g166000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVADTGQSLECISIERSSSGKLRLECSEGSTEADLGKLNAKLQYIEQILQKVKEEEKQRISCGSPVHSHVNVDSQCSADKCLVMDGKVQSEIPYQEIPSIASSLNYTHSNQSGSMDQCSRPSEGVIESGSSASAVHSNLKPDLSMSDGEICLDKLSIRELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFVIKDNKIVKKFVEESSGNMNVASLISSENMTEEEDINFKDLSAVNACGIDDNQVVSEIRLRNQNTEYGLDDMTNQTEQRAAKRVRRPTKRYIEELSENESREHNPRLPISYKTMELGHVSSTSHVRPARNTFSEVRTCGMRFDSLGGSDVEIPCVSWIRRSRPRKDMASLMKFHPAATSETAKLSNKLIEHSSDALGEIPDKVFKPRMPAKFHQPSSSEPEQEKQSPVIGTIEPRQELRPKRADPPGHTSDDNIVTVPTAKGGMRRKHHRAWTLVEVIKLVEGVSRCGAGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAMTPAPADEGVRLYDSNFNLILWRWVNYGFFFNLISYAYACVELYQTRLSIGFFGAVLCSALKIH >Vigun10g166000.3.v1.2 pep primary_assembly:ASM411807v1:10:38502492:38509781:-1 gene:Vigun10g166000.v1.2 transcript:Vigun10g166000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVADTGQSLECISIERSSSGKLRLECSEGSTEADLGKLNAKLQILQKVKEEEKQRISCGSPVHSHVNVDSQCSADKCLVMDGKVQSEIPYQEIPSIASSLNYTHSNQSGSMDQCSRPSEGVIESGSSASAVHSNLKPDLSMSDGEICLDKLSIRELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFVIKDNKIVKKFVEESSGNMNVASLISSENMTEEEDINFKDLSAVNACGIDDNQVVSEIRLRNQNTEYGLDDMTNQTEQRAAKRVRRPTKRYIEELSENESREHNPRLPISYKTMELGHVSSTSHVRPARNTFSEVRTCGMRFDSLGGSDVEIPCVSWIRRSRPRKDMASLMKFHPAATSETAKLSNKLIEHSSDALGEIPDKVFKPRMPAKFHQPSSSEPEQEKQSPVIGTIEPRQELRPKRADPPGHTSDDNIVTVPTAKGGMRRKHHRAWTLVEVIKLVEGVSRCGAGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAMTPAPADEGINSRKHGTAPIPEKILLRVRELAEMNSQVPPNISSNKVAAGAGSVHEDRSGYL >Vigun10g166000.4.v1.2 pep primary_assembly:ASM411807v1:10:38502492:38509781:-1 gene:Vigun10g166000.v1.2 transcript:Vigun10g166000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVADTGQSLECISIERSSSGKLRLECSEGSTEADLGKLNAKLQILQKVKEEEKQRISCGSPVHSHVNVDSQCSADKCLVMDGKVQSEIPYQEIPSIASSLNYTHSNQSGSMDQCSRPSEGVIESGSSASAVHSNLKPDLSMSDGEICLDKLSIRELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFVIKDNKIVKKFVEESSGNMNVASLISSENMTEEEDINFKDLSAVNACGIDDNQVVSEIRLRNQNTEYGLDDMTNQTEQRAAKRVRRPTKRYIEELSENESREHNPRLPISYKTMELGHVSSTSHVRPARNTFSEVRTCGMRFDSLGGSDVEIPCVSWIRRSRPRKDMASLMKFHPAATSETAKLSNKLIEHSSDALGEIPDKVFKPRMPAKFHQPSSSEPEQEKQSPVIGTIEPRQELRPKRADPPGHTSDDNIVTVPTAKGGMRRKHHRAWTLVEVIKLVEGVSRCGAGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAMTPAPADEGINSRKHGTAPIPEKILLRVRELAEMNSQVPPNISSNKVAAGAGSVHEDRSGYL >Vigun10g166000.1.v1.2 pep primary_assembly:ASM411807v1:10:38502492:38509781:-1 gene:Vigun10g166000.v1.2 transcript:Vigun10g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVADTGQSLECISIERSSSGKLRLECSEGSTEADLGKLNAKLQYIEQILQKVKEEEKQRISCGSPVHSHVNVDSQCSADKCLVMDGKVQSEIPYQEIPSIASSLNYTHSNQSGSMDQCSRPSEGVIESGSSASAVHSNLKPDLSMSDGEICLDKLSIRELHELFKVTFGRETTVKDKQWLKRRIAMSLTNSCDVSATTFVIKDNKIVKKFVEESSGNMNVASLISSENMTEEEDINFKDLSAVNACGIDDNQVVSEIRLRNQNTEYGLDDMTNQTEQRAAKRVRRPTKRYIEELSENESREHNPRLPISYKTMELGHVSSTSHVRPARNTFSEVRTCGMRFDSLGGSDVEIPCVSWIRRSRPRKDMASLMKFHPAATSETAKLSNKLIEHSSDALGEIPDKVFKPRMPAKFHQPSSSEPEQEKQSPVIGTIEPRQELRPKRADPPGHTSDDNIVTVPTAKGGMRRKHHRAWTLVEVIKLVEGVSRCGAGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAMTPAPADEGINSRKHGTAPIPEKILLRVRELAEMNSQVPPNISSNKVAAGAGSVHEDRSGYL >Vigun03g217900.1.v1.2 pep primary_assembly:ASM411807v1:3:36308054:36314550:1 gene:Vigun03g217900.v1.2 transcript:Vigun03g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKGCEEVANSKPLFLTIYTVVIVGIVVSSFYVFSAIYSSNPSAVQSSAWLSSISSEDTRVTDQTLNISRSAMVPIVPTPFSGAQNERPRSIWDVPPTNKRMPPLSDFRLTKALVQQRVKDNVVIVTFGNYAFMDFILTWVKQLRNLEVSNFLVGAMDTKLLEALYWKGIPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSILPYGFELLMCDTDMVWLKNPLPYLARYPEADILTSSDQVIPTVVDDSLEVWQEVSGAYNIGIFHWRPTESAKKLAKQWKEMLLADDKIWDQNGFNDILHKQLGPSVDDESRLVYAFDGKLKMGILPASIFCSGHTYFVQAMYQQLRLDPYAVHTTFQYGGTEGKRHRLREAMLFLDPPEYYNPPGGLLSFKPYIPKSLMLSGEHNVESHFTLVNYQIKQIRTALAIASLLNRTLVMPPLWCRIDRLWYGHPGILEGSMTRQPFLCPLDHVFEVNVMLKKLSEEEFGPQIDFREYSILDNPSLPSEVKKSWLDVQLCKQGTQGCDVSNDTTSVGGVLKFPKHSNEETFMKVFSSFKDIKVIKFSSVQDAFRGFTDKEREDKFRNRVKRYVGIWCCVPDQSLGHIYYDMYWDEKPGWKAIPPQTSQDDHPPW >Vigun03g217900.2.v1.2 pep primary_assembly:ASM411807v1:3:36308744:36314550:1 gene:Vigun03g217900.v1.2 transcript:Vigun03g217900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIVPTPFSGAQNERPRSIWDVPPTNKRMPPLSDFRLTKALVQQRVKDNVVIVTFGNYAFMDFILTWVKQLRNLEVSNFLVGAMDTKLLEALYWKGIPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSILPYGFELLMCDTDMVWLKNPLPYLARYPEADILTSSDQVIPTVVDDSLEVWQEVSGAYNIGIFHWRPTESAKKLAKQWKEMLLADDKIWDQNGFNDILHKQLGPSVDDESRLVYAFDGKLKMGILPASIFCSGHTYFVQAMYQQLRLDPYAVHTTFQYGGTEGKRHRLREAMLFLDPPEYYNPPGGLLSFKPYIPKSLMLSGEHNVESHFTLVNYQIKQIRTALAIASLLNRTLVMPPLWCRIDRLWYGHPGILEGSMTRQPFLCPLDHVFEVNVMLKKLSEEEFGPQIDFREYSILDNPSLPSEVKKSWLDVQLCKQGTQGCDVSNDTTSVGGVLKFPKHSNEETFMKVFSSFKDIKVIKFSSVQDAFRGFTDKEREDKFRNRVKRYVGIWCCVPDQSLGHIYYDMYWDEKPGWKAIPPQTSQDDHPPW >Vigun07g007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:600059:604711:-1 gene:Vigun07g007100.v1.2 transcript:Vigun07g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILSLRTALVQHRSEKLGFGLRQFSTQGASTPTSPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVTKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLYCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKNICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWTIMERMKVGNAKLEEIDMLQELTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRENAERELLQATG >Vigun04g154433.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37382965:37383699:-1 gene:Vigun04g154433.v1.2 transcript:Vigun04g154433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSNLILSIVFVHLLWVYYWSCCPVYDEIVYIPNERELLLKLKYDLKDSSNRLSSWNSNCCEWNKIAASGGSELHLTIQFPSSGESLGYPFNKQVFEEASEERYGGEINPCLVDLKHLSCSDFRDNLFRTIPIPSFIATITSLTHLNLSIAGIIGSLPSPMGNVSDSVYLDLESDETWVTDNIDWVLSLSKLRYLGSSTIWAVGGGMPIPSFLGTMTALVHLARKKRYANSLSIGQSLQLHIP >Vigun06g115900.1.v1.2 pep primary_assembly:ASM411807v1:6:24381781:24386352:-1 gene:Vigun06g115900.v1.2 transcript:Vigun06g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGIIHESNLGAGRRDKREVGEKSMEDLSRYAHSPAHLAVARRDHAALRRIVAALPRLAKAGEVNTEAESLAAELHSDEVSAVIDRRDVAGRETPLHLAVRLRDPVSAEILMAAGADWSLQNEHGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASASRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGLRIQRSDQTFLFLGEGYVAEEGNLTLPPGSLIALSHKEKEITNALEGAGTQPTESEVAHEVSLMSQTNMYRPGIDVTQAELVPHLNWRRQEKTEMIGSWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVEDGESMINGENNDEYDDVLTAEERMQLDSALHMGNSDGTYEDEENGAFDGQENGSAASFENSEANGTVKEKKSWFGWNKKSLKNSDDPEDSKSVKKNSKFGSEGSNQRSVDQQKLASESLKEDSGDLKKGKDKINKKKKKKGTNTESKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAHFHDAKSKESEGSSSWISWMKGSRGGQSSDSDSHRYKDEVDPFNIPADYKWVDANEKKRRMKAKKAKSKKHKKLQTAGKGGDEVHQRTEEVEEE >VigunL060201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:20213:31431:-1 gene:VigunL060201.v1.2 transcript:VigunL060201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGGTTEGGGDEGESSRIMVSGYHNHSIGTTFQQDQTSFPNPSFDDAHFRNESNIEHNSYENTQEEEFVNSFFVEDNYVNNEESTNTYFNTFTQSESLRKVYDTDAEAVSEQGDNIMDIPTVFTRYLNLDEYHSSKGFDSELSNVDVHDGVCMPSPIHEKKQEKKKKKKSKFSFF >Vigun08g218600.1.v1.2 pep primary_assembly:ASM411807v1:8:37814404:37820786:1 gene:Vigun08g218600.v1.2 transcript:Vigun08g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDVGGISDSFVLLHTCKRRRVYKGCIAPSVDIMTEAEAFLPVLNSSGYYTKPSLKELVARELVEPGYCGRVSDFTVGRFGYGYVRYLNETDVRGLHIDEIVNFRRHEIVVYSDENDKPAVGQGLNKAAEVVLVLDSEILKSMKGKEDVIVKKLKQITERQEAQFISFDLVTGEWKFLVEHFSRFGFGDDDEEDIVMDDAEVYDVGKESPSNTNEVELSHSLPSHLRLDPVKMREMRLLMFPDDEEVEDLSHKSSSDKQYVRPLQSSAHVINHRFTPPVPRKTPFPLLEYKHGNFDSNSPGGILMVQQHKAVPLRTVKSQGFNLDLKHETPVSGNCAHNIVDAGLFMGKSFRVGWGPNGILVHSGAPVGSSGDHRLLSSVINLEKVAFDNVVRDENKKVSEELIEHALVSPLKFHKGINHVMKEVEIGPCRLKLQKLEANRTILSEISHQYCDLIERQLSVPGLSSSTRLGLTHQVMTWELIRVLFSDREEKGQVESLGADNEEDMMQDIKEISQDVDQEALPLIRRAEFSYWLRESVSYHVQNQISSLNDSDYLQHIFVLLTGRQLDEAVQLAVSKGDVRLACLLSQAGGSTVNRADIARQLDIWRNKGLDFSFIEEDRLRLYELLAGNIHDALHDVKIDWRRFLGLLMWYKLPPNSSLPIAFKTYKHFLDEGTAPYPVPLFIDEGTLEEVIGWNSDKHFDISFYLMLLHANEETKFSFLKAMFSAFSSSPDPLDYNMIWHQRAVLEAVGVISSNDLHILDMSFVSQLLCLGKCHWAIYVVLHLPLREDYPYLHVNLIREILFQYCETWSSDESQQQFIEDLGIPTDWMHEALATYYNYNQDHSKALEQFLQCAYWQKAHTIFITSVAHRLFLQSKHAEIWSIATSMEDHKSETENWELGAGIYISFYLMRNSLQGDPNSMTELDSLESKNAACQDFVSQLNESLAVWGGRLPVDARVVYSRMASEICDLLLASVGEGATRDEQFNCFDTAFSAPIPEDQRSGHLQDAVYLFTSYLLEISN >Vigun08g218600.3.v1.2 pep primary_assembly:ASM411807v1:8:37814580:37820751:1 gene:Vigun08g218600.v1.2 transcript:Vigun08g218600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDVGGISDSFVLLHTCKRRRVYKGCIAPSVDIMTEAEAFLPVLNSSGYYTKPSLKELVARELVEPGYCGRVSDFTVGRFGYGYVRYLNETDVRGLHIDEIVNFRRHEIVVYSDENDKPAVGQGLNKAAEVVLVLDSEILKSMKGKEDVIVKKLKQITERQEAQFISFDLVTGEWKFLVEHFSRFGFGDDDEEDIVMDDAEVYDVGKESPSNTNEVELSHSLPSHLRLDPVKMREMRLLMFPDDEEVEDLSHKSSSDKQYVRPLQSSAHVINHRFTPPVPRKTPFPLLEYKHGNFDSNSPGGILMVQQHKAVPLRTVKSQGFNLDLKHETPVSGNCAHNIVDAGLFMGKSFRVGWGPNGILVHSGAPVGSSGDHRLLSSVINLEKVAFDNVVRDENKKVSEELIEHALVSPLKFHKGINHVMKEVEIGPCRLKLQKLEANRTILSEISHQYCDLIERQLSVPGLSSSTRLGLTHQVMTWELIRVLFSDREEKGQVESLGADNEEDMMQDIKEISQDVDQEALPLIRRAEFSYWLRESVSYHVQNQISSLNDSDYLQHIFVLLTGRQLDEAVQLAVSKGDVRLACLLSQAGGSTVNRADIARQLDIWRNKGLDFSFIEEDRLRLYELLAGNIHDALHDVKIDWRRFLGLLMWYKLPPNSSLPIAFKTYKHFLDEGTAPYPVPLFIDEGTLEEVIGWNSDKHFDISFYLMLLHANEETKFSFLKAMFSAFSSSPDPLDYNMIWHQRAVLEAVGVISSNDLHILDMSFVSQLLCLGKCHWAIYVVLHLPLREDYPYLHVNLIREILFQYCETWSSDESQQQFIEDLGIPTDWMHEALATYYNYNQDHSKALEQFLQCAYWQKAHTIFITSVAHRLFLQSKHAEIWSIATSMEDHKSETENWELGAGIYISFYLMRNSLQGDPNSMTELDSLESKNAACQDFVSQLNESLAVWGGRLPVDARVVYSRMASEICDLLLASVGEGATRDEQFNCFDTAFSAPIPEDQRSGHLQDAVYLFTSYLLEISN >Vigun08g218600.2.v1.2 pep primary_assembly:ASM411807v1:8:37814575:37820751:1 gene:Vigun08g218600.v1.2 transcript:Vigun08g218600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECDVGGISDSFVLLHTCKRRRVYKGCIAPSVDIMTEAEAFLPVLNSSGYYTKPSLKELVARELVEPGYCGRVSDFTVGRFGYGYVRYLNETDVRGLHIDEIVNFRRHEIVVYSDENDKPAVGQGLNKAAEVVLVLDSEILKSMKGKEDVIVKKLKQITERQEAQFISFDLVTGEWKFLVEHFSRFGFGDDDEEDIVMDDAEVYDVGKESPSNTNEVELSHSLPSHLRLDPVKMREMRLLMFPDDEEVEDLSHKSSSDKQYVRPLQSSAHVINHRFTPPVPRKTPFPLLEYKHGNFDSNSPGGILMVQQHKAVPLRTVKSQGFNLDLKHETPVSGNCAHNIVDAGLFMGKSFRVGWGPNGILVHSGAPVGSSGDHRLLSSVINLEKVAFDNVVRDENKKVSEELIEHALVSPLKFHKGINHVMKEVEIGPCRLKLQKLEANRTILSEISHQYCDLIERQLSVPGLSSSTRLGLTHQVMTWELIRVLFSDREEKGQVESLGADNEEDMMQDIKEISQDVDQEALPLIRRAEFSYWLRESVSYHVQNQISSLNDSDYLQHIFVLLTGRQLDEAVQLAVSKGDVRLACLLSQAGGSTVNRADIARQLDIWRNKGLDFSFIEEDRLRLYELLAGNIHDALHDVKIDWRRFLGLLMWYKLPPNSSLPIAFKTYKHFLDEGTAPYPVPLFIDEGTLEEVIGWNSDKHFDISFYLMLLHANEETKFSFLKAMFSAFSSSPDPLDYNMIWHQRAVLEAVGVISSNDLHILDMSFVSQLLCLGKCHWAIYVVLHLPLREDYPYLHVNLIREILFQYCETWSSDESQQQFIEDLGIPTDWMHEALATYYNYNQDHSKALEQFLQCAYWQKAHTIFITSVAHRLFLQSKHAEIWSIATSMEDHKSETENWELGAGIYISFYLMRNSLQGDPNSMTELDSLESKNAACQDFVSQLNESLAVWGGRLPVDARVVYSRMASEICDLLLASVGEGATRDEQFNCFDTAFSAPIPEDQRSGHLQDAVYLFTSYLLEISN >Vigun07g158600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27043921:27046885:1 gene:Vigun07g158600.v1.2 transcript:Vigun07g158600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGALQNNILSSHSLNGLLGCNKGESCILGASSALDHSSSKEPPTLHRLYFGDYFCSTNHSFPWKGLRCKSFQGSVLIDRVVEVDHGSRGLGSDYVGVRRNSRELKDSNNARNSPSLAPEEAPFVENDETTNNKILQRLCSLGKLTVAARLIDIMARKSQIPHFPSCTNLIRGFIRKGVVGEACKIMNKMVMSGGIPDTITYNMVIGGLCKKGHLRSALDLVEDMSLSGCSPDAVTYNTVIRCLFDKGDYHQAISFWRDQLRKGCPPYLITYSVLIELVCKYCGAAKALEVLEDMAMEGCYPDIITYNSLINLTSKQGKYKDTSLVISNLLSHGMQPNVVTYNTLIHSLINHRYWDEVDDVVRIMNETSYPPTQVTYNILLNGFCKSGLLDRALSLYSTMVIKNCPPDIITYNTLLSGLCKEGFVDEGIQLLNLLAGTSCSPGLVTYNILIDGFARMGFMESAKKLYDEMVEKGIIPDEITHSSLTWGFCGADQFEEATELLKEMRKKEQRIKSTAYKCVILGLCRQKKVDIAVQVLDLMVKSQCNPDKSIYSALIKAVADGGMQREANDLHQTLIKWNILKEISLD >Vigun07g158600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27043834:27048630:1 gene:Vigun07g158600.v1.2 transcript:Vigun07g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGALQNNILSSHSLNGLLGCNKGESCILGASSALDHSSSKEPPTLHRLYFGDYFCSTNHSFPWKGLRCKSFQGSVLIDRVVEVDHGSRGLGSDYVGVRRNSRELKDSNNARNSPSLAPEEAPFVENDETTNNKILQRLCSLGKLTVAARLIDIMARKSQIPHFPSCTNLIRGFIRKGVVGEACKIMNKMVMSGGIPDTITYNMVIGGLCKKGHLRSALDLVEDMSLSGCSPDAVTYNTVIRCLFDKGDYHQAISFWRDQLRKGCPPYLITYSVLIELVCKYCGAAKALEVLEDMAMEGCYPDIITYNSLINLTSKQGKYKDTSLVISNLLSHGMQPNVVTYNTLIHSLINHRYWDEVDDVVRIMNETSYPPTQVTYNILLNGFCKSGLLDRALSLYSTMVIKNCPPDIITYNTLLSGLCKEGFVDEGIQLLNLLAGTSCSPGLVTYNILIDGFARMGFMESAKKLYDEMVEKGIIPDEITHSSLTWGFCGADQFEEATELLKEMRKKEQRIKSTAYKCVILGLCRQKKVDIAVQVLDLMVKSQCNPDKSIYSALIKAVADGGMQREANDLHQTLIKWNILKEISLD >Vigun07g158600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27043834:27048630:1 gene:Vigun07g158600.v1.2 transcript:Vigun07g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIGALQNNILSSHSLNGLLGCNKGESCILGASSALDHSSSKEPPTLHRLYFGDYFCSTNHSFPWKGLRCKSFQGSVLIDRVVEVDHGSRGLGSDYVGVRRNSRELKDSNNARNSPSLAPEEAPFVENDETTNNKILQRLCSLGKLTVAARLIDIMARKSQIPHFPSCTNLIRGFIRKGVVGEACKIMNKMVMSGGIPDTITYNMVIGGLCKKGHLRSALDLVEDMSLSGCSPDAVTYNTVIRCLFDKGDYHQAISFWRDQLRKGCPPYLITYSVLIELVCKYCGAAKALEVLEDMAMEGCYPDIITYNSLINLTSKQGKYKDTSLVISNLLSHGMQPNVVTYNTLIHSLINHRYWDEVDDVVRIMNETSYPPTQVTYNILLNGFCKSGLLDRALSLYSTMVIKNCPPDIITYNTLLSGLCKEGFVDEGIQLLNLLAGTSCSPGLVTYNILIDGFARMGFMESAKKLYDEMVEKGIIPDEITHSSLTWGFCGADQFEEATELLKEMRKKEQRIKSTAYKCVILGLCRQKKVDIAVQVLDLMVKSQCNPDKSIYSALIKAVADGGMQREANDLHQTLIKWNILKEISLD >Vigun07g015901.1.v1.2 pep primary_assembly:ASM411807v1:7:1431308:1432263:1 gene:Vigun07g015901.v1.2 transcript:Vigun07g015901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFFYTKSAKPFISIYNSWLHAFRTNTIHSHPKTFKIQKLSFKNFSKPFCSHISHTVNTTMGFRLPGIRKTLSARNQASSKVLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNG >Vigun05g048100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4124860:4126167:-1 gene:Vigun05g048100.v1.2 transcript:Vigun05g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHHAPTLLRPHCTTQLQSTQTVQKMDKMMNANMPQLRSSSSVSPPLTSLTNKVGKRWKEYQGVNNWNGLLDPLDENLRAEILRYGHFVEAAYKSFQFDPSSPNYATCKFTKTTHLERCGLHKTGYKVTKHLRATSGIKLPSWVDKAPSWVATQSSYIGYVAVCHNKEEIKRLGRRDIVVAFRGTTTCLEWLENLRATLTNVVPSTATGIAAAEPCSVEENGAMVESGFLSLYTSKVSDDPSLMSLQDMVRKEIARILKTYEGENLSLTITGHSLGAALATLAAYDIRKSFLRPPHVTVISFGGPRVGNRSFRRRLEEQGTKVLRIVNSDDVITKIPGFVFDEVEKKEGDVEGNGGEHVASFQRWMRKRVEEVQWLLYSEIGEELRLCSRDSPYLRGINIATCHDLNIYLHLVDGFVSSTCPFRATAKRFLHH >Vigun09g111800.1.v1.2 pep primary_assembly:ASM411807v1:9:24326429:24334350:1 gene:Vigun09g111800.v1.2 transcript:Vigun09g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGSARPKTMANNDMSKGKSISESQPSIDQLTQSVADTMLNSGQDDGEWEVYAKKSKNRGGSSAAKPWGAPAHNSNPKAWVNAEMAQKPGIWNHGGVGRSSGNSWQTQNANFRRPAGRGNGRPQSATSGYEGNYVPPNPLIRPPLEHGWNWQSRPGAIRPNVRDEIAPEDLEQNNDVDDDDDEEEDSDALEDTDDDLMSDDYDSDVSQKSHETRKKNRWFRKFFENLDGLTVEQINEPERQWHCPACQGGPGAIDWYRGLQPLVTHAKTKGSKRVKIHRELAILLDEELRRRGTSVIPAGEAFGKWKGLKQEEKDHEIVWPPMVIIQNTKLEQDENDKWIGMGNQELLDYFSTYAAVKARHSYGPQGHRGMSVLIFEASASGYLEAERLDKHFTDQGTDKDSWFNRPNLYLPGGKRQLYGYMATKEDLEFFNRHSQGKTRLKFDMRSYQEMVVHQIRQMNEDNQQLLYFKNKVVKKTKHTKALEESIGKMSEKLRKTMEENRIVRRRTKMQHEETKEEMYMQEQFFKDQIKIIHDSRDEKEEDFERMQQEEREKVKQSSTTGHANTEEYRLKVEEYLKFVEVQDKEMENFVAEKEKLLHAHQVGYAAMKRRHWEEEVQHEQKFDEELSKLMEKYSPS >Vigun09g111800.2.v1.2 pep primary_assembly:ASM411807v1:9:24326408:24334375:1 gene:Vigun09g111800.v1.2 transcript:Vigun09g111800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGSARPKTMANNDMSKGKSISESQPSIDQLTQSVADTMLNSGQDDGEWEVYAKKSKNRGGSSAAKPWGAPAHNSNPKAWVNAEMAQKPGIWNHGGVGRSSGNSWQTQNANFRRPAGRGNGRPQSATSGYEGNYVPPNPLIRPPLEHGWNWQSRPGAIRPNVRDEIAPEDLEQNNDVDDDDDEEEDSDALEDTDDDLMSDDYDSDVSQKSHETRKKNRWFRKFFENLDGLTVEQINEPERQWHCPACQGGPGAIDWYRGLQPLVTHAKTKGSKRVKIHRELAILLDEELRRRGTSVIPAGEAFGKWKGLKQEEKDHEIVWPPMVIIQNTKLEQDENDKWIGMGNQELLDYFSTYAAVKARHSYGPQGHRGMSVLIFEASASGYLEAERLDKHFTDQGTDKDSWFNRPNLYLPGGKRQLYGYMATKEDLEFFNRHSQGKTRLKFDMRSYQEMVVHQIRQMNEDNQQLLYFKNKVVKKTKHTKALEESIGKMSEKLRKTMEENRIVRRRTKMQHEETKEEMYMQEQFFKDQIKIIHDSRDEKEEDFERMQQEEREKVKQSSTTGHANTEEYRLKVEEYLKFVEVQDKEMENFVAEKEKLLHAHQVGYAAMKRRHWEEEVQHEQKFDEELSKLMEKYSPS >Vigun05g301900.2.v1.2 pep primary_assembly:ASM411807v1:5:48491022:48492010:-1 gene:Vigun05g301900.v1.2 transcript:Vigun05g301900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RRIIAVPLRQRRRKNRKRKRKRRIIHKTPNPNKLMDIWRNMIFPVRRVWLALSARLKTRKNGAGLLKLQDDVQTCGYEDVQVMWEMLQRTESDVTENQHKRKQLPFWRIFVWSNHSEVSSESANHT >VigunL021600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:4366:4485:-1 gene:VigunL021600.v1.2 transcript:VigunL021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >Vigun03g150200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15661179:15661586:-1 gene:Vigun03g150200.v1.2 transcript:Vigun03g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKMWPLSSEKNSSMKMQNESGTSTSAAAATTTTTTVAAKSMVSACYCCCLVTKLMRKLKRRGRTLRPRSASRQGSFQCRYDPLSYSLNFDSTGCGSLMDEDYYKFYAFSSRFVGTPRTTSSCPVLHLQSGNSH >Vigun07g045400.1.v1.2 pep primary_assembly:ASM411807v1:7:4584543:4586479:1 gene:Vigun07g045400.v1.2 transcript:Vigun07g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTFFSSAKTLTLPYQPKTAISNLSSSSSSFFSTSVKFSLTSSAPIRRRFNVIAMAPPKPGGKAKKVVGVIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKPGYVIPVEITVYDDKSFTFILKTPPASVLLLKAAGVEKGSKDPKTEKVGKVTIDQLRTIAAEKLPDLNCSTIESAMRIIAGTAANMGIVVDPPVLEPKQKEFV >Vigun03g037700.1.v1.2 pep primary_assembly:ASM411807v1:3:2878614:2881892:-1 gene:Vigun03g037700.v1.2 transcript:Vigun03g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDYLKQNLPFPHRNIFLKLLLTLFFVGLAFRILFFHSLSSQISPVLESPFPQKDILSQPHDPPRTEALSQPVSEPPPVVEHVPEPPPDLGRVPEPPPVLEFVSETEDQLSPTESEQCDYFNGDWVRNPSGPAYTNESCDLIEPPQNCLKNGRPDREFLYWRWAPRHCDLPQLDPKKFLNIMRNKAWALIGDSISRNHVQSLLCILSQVEQPVLVYHDEEYKSKSWNFPSYNFRISVIWSPFLVEAAIFEDINGVSSSEVELHLDKLDSKWTDQYQDFDYIIISTGKWFLKSAIYYENDTILGCHSCPKRNLTELGFNFAYRKALKSVMNFVVSSDHKGMVFFRTFTADHFENGEWFSGGTCNRTAPVKEGEMERKYLNQMLRDIELDEVGKAASEASKTGVKFKVVDFSALSQLRPDGHPGPYRQFQPFAKNKKAQVQNDCLHWCLPGPIDTWNDIIMEMIVKG >VigunL032676.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:232310:232924:1 gene:VigunL032676.v1.2 transcript:VigunL032676.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun03g200050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29022195:29027530:1 gene:Vigun03g200050.v1.2 transcript:Vigun03g200050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCLNSEPFFDVSDDVDVEGSSVAEHGLESLNSQPKNPPVPTVGLEFDSFEEVYNFYNIHAKEQGFGIRVSNSWFRSKKKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMIVIRMVESRRWRIVEVELQHNHQVSPQSKQFYKSHKKMVLEASKSQPPPEPVTEVHTIKLYRTTVMDVDYNGYSNSEESHDTNFDKFKYLELGEGDASAIYNYFCRMKLTDPNFFYLFDIDDDGHLKNVFWADSRSRIAYNYFNDTVTIDTTCLANKYEIPLISFVGVNHHGHSVLLGCGFLGHESVDYFVWLFKAWLKCMLGRPPHVVITDQCKPLQIAVAQVFPHARHCYSLQYIIQRVPEKLGGLQGYEAIRRQMYNAIYESLKIIEFESSWADMIKCHGLGDNKWLQTLYKDRHLWVPVYLKDVFLIGLVSTKENEGLTAFFEGYVHKHTSFKEFLDKYDLALHRKHMKEAMADLETRKARFEYKTRCNFEAQLSKVYTEEIFKKFQAEVEGMYSCFNTRQVNANGSIITYIVKERVEVEGNEKGVKSFEVLYETTKLDIRCICSLLNYKGYLCRHALNVLNYNGVEEIPSRYILRRWTRDFKQSFNQFHAPSNTDSYNPVHLYTHLFNSALPVLEVGAQSQEHYMVALKELEELLDKFDIEDSNSM >Vigun03g200050.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29022195:29027484:1 gene:Vigun03g200050.v1.2 transcript:Vigun03g200050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCLNSEPFFDVSDDVDVEGSSVAEHGLESLNSQPKNPPVPTVGLEFDSFEEVYNFYNIHAKEQGFGIRVSNSWFRSKKKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMIVIRMVESRRWRIVEVELQHNHQVSPQSKQFYKSHKKMVLEASKSQPPPEPVTEVHTIKLYRTTVMDVDYNGYSNSEESHDTNFDKFKYLELGEGDASAIYNYFCRMKLTDPNFFYLFDIDDDGHLKNVFWADSRSRIAYNYFNDTVTIDTTCLANKYEIPLISFVGVNHHGHSVLLGCGFLGHESVDYFVWLFKAWLKCMLGRPPHVVITDQCKPLQIAVAQVFPHARHCYSLQYIIQRVPEKLGGLQGYEAIRRQMYNAIYESLKIIEFESSWADMIKCHGLGDNKWLQTLYKDRHLWVPVYLKDVFLIGLVSTKENEGLTAFFEGYVHKHTSFKEFLDKYDLALHRKHMKEAMADLETRKARFEYKTRCNFEAQLSKVYTEEIFKKFQAEVEGMYSCFNTRQVNANGSIITYIVKERVEVEGNEKGVKSFEVLYETTKLDIRCICSLLNYKGYLCRHALNVLNYNGVEEIPSRYILRRWTRDFKQSFNQFHAPSNTDSYNPVHLYTHLFNSALPVLEVGAQSQEHYMVALKELEELLDKFDIEDSNSM >Vigun02g062900.1.v1.2 pep primary_assembly:ASM411807v1:2:21042787:21048778:1 gene:Vigun02g062900.v1.2 transcript:Vigun02g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCNGCSFSIVSSFGVFLSAIFVVSLILVRLLYVIYHSRRPLTKRGLKPVSTLIILGSGGHTAEMINLLAVLQKDRFYPRFYIAAATDIMSLQKAQMLENSLTSENASGVTDTAQFMKIYRSREVGQSYITSVWTTLVALVHALWLMVKIRPEVILCNGPGTCIPLCAIAFIFKVLGIRWSSIFYVESIARVRRLSLSALLLYKLRMADQLFVQWPQLQRQYPRVTYVGRLM >Vigun02g062900.2.v1.2 pep primary_assembly:ASM411807v1:2:21042834:21048656:1 gene:Vigun02g062900.v1.2 transcript:Vigun02g062900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCNGCSFSIVSSFGVFLSAIFVVSLILVRLLYVIYHSRRPLTKRGLKPVSTLIILGSGGHTAEMINLLAVLQKDRFYPRFYIAAATDIMSLQKAQMLENSLTSENASGVTDTAQFMKIYRSREVGQSYITSVWTTLVALVHALWLMVKIRPEVILCNGPGTCIPLCAIAFIFKVLGIRWSSIFYVESIARVRRLSLSALLLYKLRMADQLFVQWPQLQRQYPRVTYVGRLM >Vigun02g062900.3.v1.2 pep primary_assembly:ASM411807v1:2:21042977:21048532:1 gene:Vigun02g062900.v1.2 transcript:Vigun02g062900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCNGCSFSIVSSFGVFLSAIFVVSLILVRLLYVIYHSRRPLTKRGLKPVSTLIILGSGGHTAEMINLLAVLQKDRFYPRFYIAAATDIMSLQKAQMLENSLTSENASGVTDTAQFMKIYRSREVGQSYITSVWTTLVALVHALWLMVKIRPEVILCNGPGTCIPLCAIAFIFKVLGIRWSSIFYVESIARVRRLSLSALLLYKLRMADQLFVQWPQLQRQYPRVTYVGRLIY >Vigun09g058300.1.v1.2 pep primary_assembly:ASM411807v1:9:5896439:5901712:-1 gene:Vigun09g058300.v1.2 transcript:Vigun09g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDGVGLTVDGDLFVACNECGFPVCRPCYEYERREGGQLCPQCKTRYKRLKGSPRVEGDDEEEDVDDIEHEFNIDDEKNKHGHAAEAMLHGKMSYGRGPEDDENSQFPIPVISGGRSRPVSGEFPISSNGYAEQMSSSLHKRVHPYPVSEPGSARWEEKKEDGWKERMDDWKLQQGNLGPEPDEDADAAMLDEARQPLSRKVPIASSKINPYRMVIVARLVILAFFLRYRLMNPVHDALGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSIRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMCSFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPQGGWIMQDGTPWPGNNTKDHPGMIQVFLGSNGGLDTEGNQLPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQIGKKVCYVQFPQRFDGIDTHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYDPPKGPKRPKMVSCDCCPCFGSRKKYKEKSDPNGEAAASLKGMDDDKEILMSQMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRAAFKGTAPINLSDRLNQVLRWALGSIEIFFSHHCPLWYGFKEKKLKWLERFAYANTTVYPFTSIPLVAYCILPAVCLLTDKFIMPPISTFAGLYFVALFSSIIATGILELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATDDEEFGELYTFKWTTLLIPPTTILIINIVGVVAGVSDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTKLCGINC >Vigun11g006000.1.v1.2 pep primary_assembly:ASM411807v1:11:634616:642149:-1 gene:Vigun11g006000.v1.2 transcript:Vigun11g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGSAAVNDGKTEPQQNEHHVLNNHNDNNDVNNKDNSSDPESFCCLVQPVTADRDPEYIGIRRILLLRKAEAGLIGRRDWRCNGKTYVAYRNYLSRPRNWERDSSRAPSLHSTPGNSGRFFPSPGPHSRWSEVDSLGSGRDLQNANPVHNLRSSFGSSVSDSDHPRQRGAESAYSFVGMHCIFDKSKASVSVLKFGHMSSDLLAYGAADGTLTVCNVSENPSVIKQLEGHSKDVTDFDFTSNNQYIASSSWDKTVRVWEIAKGICIRVIYGVCPQLCIRFHPVNNNFLSVGNANKEINVFNFSTGRVINKSLFDSGVTSLDHDHTGLLLFCGDAQGCIYSVNMNSHTGVLSRSHRYRSNSKHKSPVTTVQYRSFSLLARGPVLLSCTQDGNLSFFSVALEINGYLTLRCSLKLAPRVHKFRASFCPLLSLEKGEFIVAGSEDSNVYFYDLTRPKNTCVNKLQGHGFPVLGIAWNHGENLLASSDFFGVVIVWKRERTNQNKHHTQHQ >Vigun03g043800.1.v1.2 pep primary_assembly:ASM411807v1:3:3518253:3519928:-1 gene:Vigun03g043800.v1.2 transcript:Vigun03g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEEDVKVGANKFSERQPLGTAAQGDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVNRSSSKCSSVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIIMQCLGAICGAGVVKGFEGNGRYELFKGGANFVNSGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDDQWIFWVGPFIGAALAALYHQIVIRAIPFKARA >Vigun01g196800.1.v1.2 pep primary_assembly:ASM411807v1:1:37359265:37364704:-1 gene:Vigun01g196800.v1.2 transcript:Vigun01g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MTTKDLDFNADIALAKDFLSNFADATGEAKYLNILQDVANHKTKAVQIDLEDLINYKDLDEEFLRRVTENTRRYIGIFSNAVDELMPESTEAFTDDDHDILMTQRTDEGGEGVDGSDPLQKMPPEIKRYYELYIKASSKGRPFTIREVKASNIGQLVRISGIVTRCSDVKPLMKVAVYTCEDCGFEIYQEVTARVFMPLFECPSKRCDTNRRKGNVILQLRASKFLRFQEAKIQELAEHVPKGHIPRTMTVHLRGELTRKVAPGDVVEFSGIFLPIPYTGFRAMRAGLVADTYLEAMSVTHFKRKYEEYELRGDEEEQIARLAEDGDIYNKLSRSLAPEIFGHDDIKKALLLLLVGAPHRTLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPHALLSRFDLLWLILDRADMDNDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPSVPRELEEYIATAYSSIRQEEARSNAPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSIVRDEAARGNRVDVSYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >Vigun07g018100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1498062:1498709:-1 gene:Vigun07g018100.v1.2 transcript:Vigun07g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNMAKKTLKKTKGRQKIEIKKMSNEHNLRVTFSKRRTGIFKKASELATLCGVDIAVIMFSPSNQVFSFGSPNVDPVIQRYMAQGPPPLLTLDLNEAHSTVDEGELHAQLNNLSDQMAAEKKHEEGLKRVMKDVEEHSWWAMPMDCMNDAQLEKYKKMLEDLKERVNEKREKLLLQTTFAYNSPSQFLSAGSNNSFSSSVDNNSETIHPPLSL >Vigun11g008800.1.v1.2 pep primary_assembly:ASM411807v1:11:1018652:1019650:-1 gene:Vigun11g008800.v1.2 transcript:Vigun11g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSQNCCRRTLFSETVRRDARNAAVNQMNDSISFTAKTLRYHQRQENMATSSVNLVDVSKAGGGKLAWNSSQKPIE >Vigun05g127500.1.v1.2 pep primary_assembly:ASM411807v1:5:14463628:14466602:1 gene:Vigun05g127500.v1.2 transcript:Vigun05g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSSSKAAKHSNNGKKVHQPASHSPKESAHSQKENHNSKAKTNHQTEPKINKEVNKDNGNHNIAAQTFTFRELANITKNFRQECLIGEGGFGRVYKGKLEKTNQEVAVKQLDRNGLQGNREFLVEVLMLSLLHHKNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLDLDPRQKPLEWFHRMKIALDAAKGLEYLHDKANPPVIYRDLKSSNILLDREFNAKLSDFGLAKLGPTGDKSHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVLLELITGRRAIDNTRKAQEQNLVNWAHPIFKEANRYSELADPVLEGKVPVRSLNQAVAVAAMCLNEEPSVRPLISDVVTALSFLGTPPKGEEVPGASPVPMPSPSHDQQQQPTNASSNLLDQDIAAIERQRAVAEAIEWGNNSRNKAPHRQTPPSL >Vigun07g177750.1.v1.2 pep primary_assembly:ASM411807v1:7:29332257:29335511:-1 gene:Vigun07g177750.v1.2 transcript:Vigun07g177750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSASGGKKERRRRSFLRRIWKNRRLFSLSRQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTPAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRGIRRAIATGRAVRLVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun05g041800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3388826:3390538:1 gene:Vigun05g041800.v1.2 transcript:Vigun05g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPKLNTQFLSKKHQCLFLLNLCGSMEQMRQIQAQIHLSGLYQDTHTLSELVYFCSLSPSKNLRHARALVHHGATSSPISWNILIRGYAASDSPLEAFWVFRKMRERGAMPNKLTFPFLIKSCAAASALGEGKQVHADAFKCGLDSDVYVGNNLINFYGCCKRIVDARKVFDEMPDRTVVSWNSVITACVESLWLGEGIEYFFRMWGCGFEPDETSMVLLLSVCAELGYLSLGRWAHSQLVLRGMVLSVQLGTALVDMYGKSGALGYARFVFERMEKKNVWTWSAMILGLAQHGFAKEALALFAMMSSNNNHDICPNYVTYLGVLCACSHAGMVDEGYQYFHDMECVHGIKPLMMHYGVMVDVFGRAGLLEEAYEFIQRMPIEPDPVVWRTLLSACTVHDVHDHAGIGERVRKRLLRMEPRRGGNLVIVANMYAEVGMWEKAANVRRVMRDGGMKKMAGESCVDLGGSMHRFFAGYDPCPDLTPVYYLLHGLNLHLKMVY >Vigun07g245700.1.v1.2 pep primary_assembly:ASM411807v1:7:36646413:36650312:1 gene:Vigun07g245700.v1.2 transcript:Vigun07g245700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLKYVIPFIFSITFNFTATSAQGLSYQYQVCSTNKFTPNSTFQSHLTTLFSSLTSEASSNVEFFNNTITGTNSSDTVYGLFMCRGDIPSDLCNHCVGNATQRLSTHADCTLSKAAVVYYDECIVRYSNRSFFSTLTMQAGYALWNPTNMTNQESFKSLLYDTMNKTADEAADFPTGAKKFGTREISIDIFQNLYCLAQCTQDLSPGDCRSCLDSLINSDLPECCAGSQGGRVYYPNCIIRFEIYPFFRSLSTAPTPSPAGLVPPPNSGENKRSRSRTITLIVVPIVSLATFLSIFYYILRRRARKSRKILLRKNFGEESSTLEGLQFDLATIKVATNNFSLENKIGKGGFGEVYKGILLDGRYIAVKRLSTSSRQGSVEFKNEILLIAKLQHKNLVAFIGFCLEEEEKILIYEYVSNGSLDYFLFADQQEKLSWYQRYKIIGGTALGILYLHEYSRLKIIHRDLKPSNILLDENMNPKISDFGLARIVDIDQNRAETNRIVGTYGYMSPEYAMFGQFSEKSDVFSFGIIILEIITGKKNGNSYGPNQGEEGLMSNVWRNWTNRTPLTILESNMKENYFQTEVIRCIEIGLLCVQENPDVRPTIAEVVSYLNDLTLELPSPEEPAFFSHAIDPKTVMQHGSSSNSSVTASIPFSINEMSISDFYPR >Vigun10g197400.1.v1.2 pep primary_assembly:ASM411807v1:10:41033292:41035577:-1 gene:Vigun10g197400.v1.2 transcript:Vigun10g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPISTVQLECVALFILCTTVFASATTSPQQESQSQSRQHKGASYLKMVVNASELPPEDYYDYIIVGGGTAGCPLAATLSQSFRVLVLERGGAGSENPNLMNQEGFLSNLLNAETEDSPAQAFISEEGVPNARGRVLGGSSAINAGFYSRAHRDFFLKSGLPWDLALVNRSYQWVEKEIVFRPNLKTWQSAVRDGLLEAGVTPYNGFTLDHAKGTKIGGSTFDTSGTRHTSADLLRHARSSNIKVAVHATVERLLLAGSTAIGALYRDQMGGHHHAFLREHGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAHHLPYVGHFLYDNPRNGITILPSLPLDHSLIQVVGITDSGAYIEAASNVIPFTSPRHTTFVRSPLYLTVATIISKISGPLSAGFLRLASTDVDANPRVRFNYFINPLDLERCVNGTRKIAQILRSRALKDFKFSNWFGEPDFRFIGPALPLHQTDYTRMADFCRRTVSTIWHYHGGCVVGRVVDPTLKVIGVDSLRIVDGSVFSVSPGTNPQATLMMLGRYFGLKIIRERNAS >Vigun04g096800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:20659721:20661022:-1 gene:Vigun04g096800.v1.2 transcript:Vigun04g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIASAVLLLLFLVSSSTAEIKTITITSDSRHLILFETFRFTYPGHVSIAVSSVFVAASSPPPDPSSQGFFLITKESLLHVLTGIKHNPNFCFLDSPYTQNLFTFRDLSPPPAASFNRTFPVTISNSYSLYFANCAAGSSVTMAVHTEFYNLNRNGSPNYLSFGQTHLPAVFFLFSIAYFSFLAFWLYLCHVTKHYLHRIHLFIALLLLMKALSLLFSAVDMYVVQVSGISRGWDVLFFIFHTIRVVLFFIVVVLVGTRWTFLHPFARGRGKKVIFVVIPLQVMASVALAVVHKTGPYIKDWFIWNLVFLLLDFGSCFLIFFLVVWSLRTLGEITPIAQGKAAMELHEFSVIMMRWFYKVVIGYLLLTRVVLVPLRIIVAYDDKYEWVSYLVEETFSFVFCGVMFYVFRLLHNNAISDEKEEECEIDIKQQE >Vigun05g182000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34839854:34840857:-1 gene:Vigun05g182000.v1.2 transcript:Vigun05g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPSSMQPSNSTMEISITITQRRGISVLQPKTKLSGGRSPHPQTFQTTTPKPNHMLTKSDQKGDKGKGPQTT >Vigun07g071400.2.v1.2 pep primary_assembly:ASM411807v1:7:8909442:8911069:-1 gene:Vigun07g071400.v1.2 transcript:Vigun07g071400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENREVIRKCYSHNQCSTQSFGGDKVKGTVESISPNQVEDTIPKTKLHQLLYYFPTERTSGGYSWKLVTDTMKREMAW >Vigun04g005900.1.v1.2 pep primary_assembly:ASM411807v1:4:393449:401451:1 gene:Vigun04g005900.v1.2 transcript:Vigun04g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIELDCPASVKKQLAKVFEVSLRTTVPEESDVVPSVDACAAKSGVKFADYQCNNAMGIFAKLKGKQSGFRGPPGVGQAIVKNLPQSEMIESCSVAGPGFVNIVLSKKWIAERLERLLIDGIDDWAPRLPVKTVLVDFSSPNVAKEMHVGHLRSTIIGDTLSRMLEFCRAETLIRRNHLGDWGTQFGMLIAHLFDTFPNPEDFNETAIGDLQAFYKASKVRFDNEPEFKRRAHLSVVQLQSGEEVYRKAWERICDISKAEFEKVYQRLGVRLEARGESYYNDLIPPTLDKLDKLGMIQEDDGARVIFVEGVNIPIIAVKRDGGYNYSSTDIAALWYRLNEEKVNWNIYVTDVGQWQHFDMLFKAFRRAGWLPKGENEFPKCTHVGFGLVLGEDGKRFRTRSSDTIRLVELLDEAKKRCKASLLERDAVKDWTDEEIEKTAEAVGYGAVKYADLRINRLTNYTFSFDQMLNDKGNTAVYLQYAHARICSIMRKSGKDIEEIKKNGKIVLNHEDERALGLHLIQFPEVFEESLTNLLPNVLCEYLYNLTEIFTKKFYTNCQVVGSPDETSRLLLCEATLIVMRQCFNLLGIVPVYRL >Vigun11g070501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20553754:20554086:-1 gene:Vigun11g070501.v1.2 transcript:Vigun11g070501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun08g062850.1.v1.2 pep primary_assembly:ASM411807v1:8:8572226:8573525:1 gene:Vigun08g062850.v1.2 transcript:Vigun08g062850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLDMIKDIDGNRETLKLTVIIIDLWVMGNKDSFGSKGNMEMIIIDQKGDVKPTMTQKEDIEIW >Vigun08g062850.2.v1.2 pep primary_assembly:ASM411807v1:8:8572224:8573525:1 gene:Vigun08g062850.v1.2 transcript:Vigun08g062850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLDMIKDIDGNRETLKLTVIIIDLWVMGNKDSFGSKGNMEMIIIDQKGDVKPTMTQKEDIEIW >Vigun06g012950.1.v1.2 pep primary_assembly:ASM411807v1:6:5970770:5972395:1 gene:Vigun06g012950.v1.2 transcript:Vigun06g012950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLVWLERKGGEQNGKAKVEENPRRVKEEGRNTYRRNYTRCESK >Vigun02g126000.1.v1.2 pep primary_assembly:ASM411807v1:2:27823705:27829964:1 gene:Vigun02g126000.v1.2 transcript:Vigun02g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMIEVCVVIGMLLMSWWILLYGKNDEKTVGKGKVPKGNSGWPLLGETLDFIASGYSSTPLTFFEKRKSLYGNVFKTCILGSNVIISTDPDVNKVVLQNHQANFVPAYPKSIRELMGEQSILKMNGTMHKKVHTLIAGFLRSPQLKARITRDIEHTVKQCLASWTSHQPIYVQDQVKKITFPVLVKVLMSVGPGEELDFLYREFAEFIKGLICLPLKFPGTRLYKSLKSKDRMVKMVRKIVEERKKVMIDNDADDEGDAVNDVLDALLRDKGDSSSSARLSPEMISQNIIEMMIPGEETLPTAMTMALKFLSDSPLALSKLQEENMELKRLKTNCSEDYAWTDYMSLPFTQNVISETLRMANIVNGIWRKSVNDIEIKGYLIPKHWCVMASLTSVHMDEKNYENPFKFDPCRWEKIGAVAGCNSFAPFGGGNRLCPGLELSRLELSIFLHHLVTTYRWVAERDEIIYFPTVKMKKKLPISVQAIST >Vigun05g139801.1.v1.2 pep primary_assembly:ASM411807v1:5:17073506:17074030:-1 gene:Vigun05g139801.v1.2 transcript:Vigun05g139801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVWSCAAYGVCGFTHYTGMCFTNSSKHYNYWRRGSCKEADVEKKLQRVYWPWLRIFTYAHIPFLCRYEKEEIVKSRVKRKKIEGMSYRSTREVGSSITSNKGYSQASSNRIDSATEI >Vigun03g190400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26096249:26097688:-1 gene:Vigun03g190400.v1.2 transcript:Vigun03g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEHHPLHIFFFPFLAPGHMIPTVDMAKSFAEKGVKATIITTPLNAPFIFKAIGKSKTNGNGVHIQTIHFPSAEAGLPDACENLDSIPSPDLIEAFFFATALLQEQLEQLLLKQRPNCIVADFFFPWTADSAAKFGIPRLVFHGSGFFFLCASTCLKLYEPYKDVSSDSELFLVPNLPGEIKISRMELPPFVTSKEKTAFAKLLEEAKESEERSYGVVVNSFYELEKVYADHFRKVFGRKAWHIGPLSLCNKDAEEKAHRGKEACIDEHECLKWLEIKKPNSVVYVCFGSISMFSDSQLREIAIGLEASGQPFMWVVRKSKEDGVEWLPDGFEKRMKGKGLIIRGWAPQVLILEHEAIGAFVTHCGWNSTLEAVSAGVPMVTWPIAAEQFFNEKLVIEVLKIGVPVGAKKWSQLEVDSIRCDAVEEAVKRILTGEEAIDMKNKAQRLSHLAKQAVLEGGSSYSDLNALIGELSSLSL >Vigun09g103700.1.v1.2 pep primary_assembly:ASM411807v1:9:18288965:18294832:1 gene:Vigun09g103700.v1.2 transcript:Vigun09g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLEDNQPPLHDDDDLAKPQPNPTIPDKDASEDAAKSEDANVEDKDRTDYPGVEEEEAPEKKEEIDEDEEDEEEEEDDEAEEKEETVKAKGRSKETPNKSKKSKKDAPSEKKDPVTPTSERPMRERKTVERFSVPSPAKSGRSSASKGFTIEKGRGTQLKDIPNVAFKLSKRKPDDNLHMLHTLLFGKKTKAHNLKRNIGQFSGYVWTENEDKQRAKIKERIDKFVKEKLLYFCDVLNIQINKANAKKEELSAKLLEFLESPHATTDILLADIEQKGKKRSRKSAPSKSPGEASTEIPAKKQKQTSQSGKKQKESSDEDEDDKAEISDAKDDSQEDEEVPAPNNESEEESKSEEEEEKPKSRKRASNKAVKESSVGKTVDKTSSVKKTSVKDAKSIEKTLKKTSKKTVAEHDSASASISKPKQPASKKPKTVSEKQDAKGKAASKKQTDKSSKALVKDQGKSKSNKKAKTEPTKQDMHAVVVDILKEVDFNTATLSDILRQLGTHFGMDLMHRKAEVKDIITDVINNMSDEEDEEEAENDDDADKDDDGEDDA >Vigun05g254500.1.v1.2 pep primary_assembly:ASM411807v1:5:44915361:44917652:-1 gene:Vigun05g254500.v1.2 transcript:Vigun05g254500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRNKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKYIPLDLRPKKTRAIRRRLTKHQASLKTERETKKELYFPMRKYAIKV >Vigun05g028700.1.v1.2 pep primary_assembly:ASM411807v1:5:2292305:2294946:-1 gene:Vigun05g028700.v1.2 transcript:Vigun05g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKCFGESSSSGRQYPTVIEELCRHFSLADIQKSTNNFDDNRVIRDGACGKVFKGCLRHNDGSYYAVAVKRFHVQGSEGFKREVELLCQLHHPNCVSIVGFCKHKTESIVVYEYMSNGSLDQHLGSDVREALPWKKRLEICVGAARGLHHLHAGLKRTIIHHDIKPSKILLDDNMHPKLSGFSFSILGAHFKEKPKPIKTDFAGTYGYLPIESFTSDTITDKWDVYAFGMTLLEVVGVRRIFERVYFGIEKELLEKCVEENIDPKIKGEIAAECWQVFIDIALRCIKNEADERPAMGEVEVELELALLLQQQADATNIDSDYTLLSKTVIIPTSGGGFDYVIGQFKEQETEYSDSEDMFNR >Vigun06g100300.2.v1.2 pep primary_assembly:ASM411807v1:6:23055161:23059399:-1 gene:Vigun06g100300.v1.2 transcript:Vigun06g100300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLSDNALKTFARCITCLARIGNELAIQASSSQLLFHTINSSRSAYECIAFNPDFFDAYTVSGNSVQCSVLLKAVCAVLRTPITNIDHLTVILPDPDAPKVRWILDCYNGMRKSYWITCNVEPDIQHLSLDRQKFPSNFVVRPRDLNRLLANFQSSLQEITIIATEPSALPLDGANEIGGKAVELRSYMDPTKDNDTLLHTQLWIDPKAEFLQYVHTGDPIDVTFSVKELKAFLSFCEGCEVDIHLHFEKAGEPVLLAPKFGLEDGSHSNFDATLVLATMLISQLHEGAVSEPPAGATRAHSHTEERNASYMQQENCRANASSELPSDHTRICKCV >Vigun06g100300.1.v1.2 pep primary_assembly:ASM411807v1:6:23055161:23059399:-1 gene:Vigun06g100300.v1.2 transcript:Vigun06g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLSDNALKTFARCITCLARIGNELAIQASSSQLLFHTINSSRSAYECIAFNPDFFDAYTVSGNSVQCSVLLKAVCAVLRTPITNIDHLTVILPDPDAPKVRWILDCYNGMRKSYWITCNVEPDIQHLSLDRQKFPSNFVVRPRDLNRLLANFQSSLQEITIIATEPSALPLDGANEIGGKAVELRSYMDPTKDNDTLLHTQLWIDPKAEFLQYVHTGDPIDVTFSVKELKAFLSFCEGCEVDIHLHFEKAGEPVLLAPKFGLEDGSHSNFDATLVLATMLISQLHEGAVSEPPAGATRAHSHTEERNASYMQQENCRANASSELPSDHTRIWSDLSASVFKSISPLEERQAQGETVFNDDGGREIQRIRTMQISRVASVAGNNPIDSNLPTELNHGPETQDVLRDNVQAISQHHRSNWIEAEEDDEDEEEENEQCIQSTPPYYEEH >Vigun06g100300.5.v1.2 pep primary_assembly:ASM411807v1:6:23055161:23059399:-1 gene:Vigun06g100300.v1.2 transcript:Vigun06g100300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMKLVERLLNFEVIWTQPKYVHTGDPIDVTFSVKELKAFLSFCEGCEVDIHLHFEKAGEPVLLAPKFGLEDGSHSNFDATLVLATMLISQLHEGAVSEPPAGATRAHSHTEERNASYMQQENCRANASSELPSDHTRIWSDLSASVFKSISPLEERQAQGETVFNDDGGREIQRIRTMQISRVASVAGNNPIDSNLPTELNHGPETQDVLRDNVQAISQHHRSNWIEAEEDDEDEEEENEQCIQSTPPYYEEH >Vigun06g100300.4.v1.2 pep primary_assembly:ASM411807v1:6:23055161:23057936:-1 gene:Vigun06g100300.v1.2 transcript:Vigun06g100300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKDNDTLLHTQLWIDPKAEFLQYVHTGDPIDVTFSVKELKAFLSFCEGCEVDIHLHFEKAGEPVLLAPKFGLEDGSHSNFDATLVLATMLISQLHEGAVSEPPAGATRAHSHTEERNASYMQQENCRANASSELPSDHTRIWSDLSASVFKSISPLEERQAQGETVFNDDGGREIQRIRTMQISRVASVAGNNPIDSNLPTELNHGPETQDVLRDNVQAISQHHRSNWIEAEEDDEDEEEENEQCIQSTPPYYEEH >Vigun06g100300.3.v1.2 pep primary_assembly:ASM411807v1:6:23055161:23059399:-1 gene:Vigun06g100300.v1.2 transcript:Vigun06g100300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYWITCNVEPDIQHLSLDRQKFPSNFVVRPRDLNRLLANFQSSLQEITIIATEPSALPLDGANEIGGKAVELRSYMDPTKDNDTLLHTQLWIDPKAEFLQYVHTGDPIDVTFSVKELKAFLSFCEGCEVDIHLHFEKAGEPVLLAPKFGLEDGSHSNFDATLVLATMLISQLHEGAVSEPPAGATRAHSHTEERNASYMQQENCRANASSELPSDHTRIWSDLSASVFKSISPLEERQAQGETVFNDDGGREIQRIRTMQISRVASVAGNNPIDSNLPTELNHGPETQDVLRDNVQAISQHHRSNWIEAEEDDEDEEEENEQCIQSTPPYYEEH >Vigun06g176700.1.v1.2 pep primary_assembly:ASM411807v1:6:29676550:29678462:1 gene:Vigun06g176700.v1.2 transcript:Vigun06g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGENKEIVSDNPYYGTFQGVTNYYPPQYPSSSSSSHHQQQGYHGFPGVTNYYPPQYPSSSSSSHHQQQEYHVVPVYALPERKHRLPFCGLGVGWVLFIIGWFLGGVPWYLGAFVLVFVQMDHREKPGLIACAVATLVTMMVVALGVTQADLQKLT >Vigun05g105300.1.v1.2 pep primary_assembly:ASM411807v1:5:10588904:10589870:1 gene:Vigun05g105300.v1.2 transcript:Vigun05g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFIHPSTPTNIKYNIHSSRIHNTKGYTVCLCKSNESDSQAPQPGDIRKQEILAQIAMLQTQKVRLTNYLDERSAYLAKFGEEAKAEFDKIGEDALQGLDEASARITANIESQKVEFEESAELFRQEIEESEKELDEFEVQMEDGRNEGLFFKNLRKKAPVDKAKAKEEAEKIKNVTREKAGSRTRKGIYLFFIGLLTFLIVDSIASSSTDWRKEAVLGAIVLALVSQLIYEQSISSETGKTRKANTEEKKD >Vigun07g251700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37101008:37101274:1 gene:Vigun07g251700.v1.2 transcript:Vigun07g251700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYQRALVGLFVLILAHFFVCSIVAITGETRSNLIRNCPPRKLLARASSCSAIEKLKIEYEATQRPVTTSLRKNPPSNSNPTHNKRL >Vigun11g026650.1.v1.2 pep primary_assembly:ASM411807v1:11:3458374:3459684:1 gene:Vigun11g026650.v1.2 transcript:Vigun11g026650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVESVGKIPLQDPPEEEFSAADLTWTKFGTGEHHDVVALIPYDRVDAFIIGECSNVECPTRFHTERGRKRTIGSLKDYKDDEYLEYRLM >Vigun04g115400.1.v1.2 pep primary_assembly:ASM411807v1:4:28890130:28891145:-1 gene:Vigun04g115400.v1.2 transcript:Vigun04g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSNDETRSYFSWNLEMERVLAEVLRDQRNMGNKSDGAWKRVAYNAAVVVLSNSFKVQVTWENVKNRIKLWRSWYGVVSDILGQSGFDWDGTKHMITIGDENVWNEYVTSHKEARHFRFKAIPNWDDIVDLCAKDRATGHGAETAMDDDEVMSKEITEVNFVGLEDLNATIDLEEPNSNLKRKAQSTSSSTSTQSQRRNISEKELMAASMKDVAESFKRLTHVYGEKVDENEIKEVLDEVRLMPNLTKEQWAKAVKWLADMPKQLAIVKALPIEQKEDYVLIHISTT >Vigun08g125800.1.v1.2 pep primary_assembly:ASM411807v1:8:29600824:29604591:1 gene:Vigun08g125800.v1.2 transcript:Vigun08g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGIHPYHQQWPPAAAAPPPPPPAAAAAPPHAGDEVRTIFITGLPEDVKERELQNLLRWLPGFEASQLNFKAEKPMGFALFTAPHQALAAKDILQDMLFDPDTKSVLHTEMAKKNLFVKRGIGADAGAFDQSKRLRTAGDYTHTAYTSPSPFHPPPPPVWGPHGYMAPPPPPPYDPYAGYPVAPVPMPTPAPIAAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSVGMRIQYSKNPFGKRKDNIPIAVPTANGAPPTMTYQ >Vigun08g103200.1.v1.2 pep primary_assembly:ASM411807v1:8:25623539:25626171:1 gene:Vigun08g103200.v1.2 transcript:Vigun08g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPREEFVYMAKLAEQAERYEEMVEFMEKVSAAAENEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAVIRDYRSKIESELSNICDGILKLLDSRLVPSAASGDSKVFYLKMKGDYHRYLAEFKTGADRKEAAESTLSAYKSAQDIANSELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKGDGEQN >Vigun08g072100.1.v1.2 pep primary_assembly:ASM411807v1:8:11856939:11857741:-1 gene:Vigun08g072100.v1.2 transcript:Vigun08g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEILFSRYVLNSQTIAPLILSMLEEMLSSYNTTCRVRAFDLILNLGVHAHLLEPIVANDASTIEEEYSQESYYDSDNTVRMRHFFSELKI >Vigun05g196100.1.v1.2 pep primary_assembly:ASM411807v1:5:37932301:37934289:1 gene:Vigun05g196100.v1.2 transcript:Vigun05g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPEELNSPASYKGSKKPKVPEETLKDFLAHHPQNTCSMSFGHAAVLAYVRPDQPFSRNQRLFCGIDDIYCIFLGSLNNLSLLIKQYGLSKNTDEAMLVIEAYKTLRDRGPYPADQVVKELDGSFAFVVYDSKVGSVFAALGSDGGVKLYWGLAADGSVVISDDLEVIKEGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKLKAMPRVDSEGAMCGANFKVDKFSRVNSIPRVGSQSNWMEWDQH >Vigun07g124632.1.v1.2 pep primary_assembly:ASM411807v1:7:23079575:23085955:-1 gene:Vigun07g124632.v1.2 transcript:Vigun07g124632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLVVRIVDMWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIATWEDKVKEGESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSYKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRDTAYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKSVSQILTVIEEISCVTVAHTLKFNLGNHGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMEIVEAKENCSDQSELRKGKSIAIEVGKRRSASESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPRKNLSTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun03g301500.1.v1.2 pep primary_assembly:ASM411807v1:3:49102721:49110798:1 gene:Vigun03g301500.v1.2 transcript:Vigun03g301500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEEMLIPKNTSVLIRRVPGRPRLPIVTEIEQKVESKVVESESGNRSLPAEDVSAMKYPEDSDWDEFGNDLYTIPDQVPVQPSNIIPEAPPPNKADEDSKIKAFVDTPALDWQRQGSDFGTGRSFGRGSGGRMGGGRGFGMERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDMRKVKQPTGIPRSMLMVNPQGSYALPNGSVAVLKPNEAAFEKEIEGLPSTRSIGDLPPELHCPLCNNVMKDAVLTSKCCFKSFCDRCIRDYIISKSVCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESARCPQPKIPSPTSSAASKGELKVSPINEKTTNILETADDKKAVTAPQQTSEQVRNLRVADVSEATHESMSVKEPASQGSTQQVEEEVQQKLVPADAGKKKKKKKVRLPPNDLQWKTPHDFGVENYMMPMGPPPGYNSYWNGMQPMDGFMAPYGGPMQMMGYGLGPLDMPFAGGMPQDPFGMQGYMMPVVPPHRDLADFGMGMNVPPPVMSREEFEARKADMRRKRENERRAERDFSKDRDFGREVSSVGDVSSMKSKTKSIPPSSGSDYHHHRFRSERLSPERSPRELEPPRPAKRKSDHPDREREDRDRDYEHDRHHHHRHHRSESSSRRSSEPVTKAPSNAALAAADRKQKASVFSRISFPAEEEPPKKRKVSSSSTAEPAAAAAAPGGSSAHLKAVSNGYYEGRKSSAAADYESSDDERHFKRRPSRYEPSPPPQAMEWEEEGRHSRGSRERKHR >Vigun01g183000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36359826:36361694:-1 gene:Vigun01g183000.v1.2 transcript:Vigun01g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSGSNSILKMLRLKNPKLVLLESCCSVEDLKIVHAHLLRTHLFFDVFVASRLVAFCIDSTTNLLHYALRVVSQIKSPNLFIYNALIRGCSTSQYPQNSFHYYIKALRFGVIPDNITHPFLVKACTQLESAPMGMQAHGQIVKHGFELDFFVQNSLLHMYATVGDIKAARSIFQRIGRFDVVSWTSMIAGYHRCGDVESACQLFDRMPERNLVTWSTMISGYARNNYFDKAVEMFEVLQAEGVVANETVMVGVISSCAHLGALAMGEKAHDYVMRNNLSLNLILGTAVVDMYARCGDLGKAVKVFEQLPEKDVLCWTALIAGLAMHGYAEKVLWYFSEMLKTRVVPRDITFTAVLSVCSHAGMVEKGLEIFESMKEDHGVEPRLEHYGCMVDLLGRAGKLGEAEKFILEMPVKPNAPIWRALLGACRIHKNVEVGERVGKILLEMQPEHSGHYVLLSNIYARANKWKDVTIMRQMMKDKGVRKPPGYSLIEVDGKIHEFTIGDKTHPEIEKIERMWEDIILKKIKLAGYVGNTAESLFDIDEEEKESALHRHSEKLAIAYGIMKIRAPLPIRIVKNLRVCEDCHTATKLISKVFQVELIVRDRNRFHHFKEGTCSCKDYW >Vigun06g164000.1.v1.2 pep primary_assembly:ASM411807v1:6:28625138:28628463:1 gene:Vigun06g164000.v1.2 transcript:Vigun06g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTCSPSPLSAIPSISAPSSSAPFDDSFEDSCSICLESFTIHEPSTVTNCKHEYHLHCILEWSQRSQECPICWQSLALKDPASQELLAAVEVEKRLRSRKMTTFRTRLEQYAYEDDFFSDDSDSDDQIMRRLVAAANRSRLVQRQERNRSPGAGPSDVLDINSSIHVSGVQTISPSSGPASGVTSTFNSQPPVSNTTSESANRPNTYEMFSFPESFKSKFSAASTRYKESISKGTRGLKEKLLAHNVSVKELSKGVQREMNAGIAGVARMIERLDLSKRSNSPIIPIHSEGTSDFPKEGKKYVGENGIGGSPSKESEGAVHDVSSDVPFVTGVQSFGPGWK >Vigun06g164000.2.v1.2 pep primary_assembly:ASM411807v1:6:28625138:28628463:1 gene:Vigun06g164000.v1.2 transcript:Vigun06g164000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTCSPSPLSAIPSISAPSSSAPFDDSFEDSCSICLESFTIHEPSTVTNCKHEYHLHCILEWSQRSQECPICWQSLALKDPASQELLAAVEVEKRLRSRKMTTFRTRLEQYAYEDDFFSDDSDSDDQIMRRLVAAANRSRLVQRQERNRSPGAGPSDVLDINSSIHVSGVQTISPSSGPASGVTSTFNSQPPVSNTTSESANRPNTYEMFSFPESFKSKFSAASTRYKESISKGTRGLKEKLLAHNVSVKELSKGVQREMNAGIAGVARMIERLDLSKRSNSPIIPIHSEGTSDFPKEGKKYVGENGIGGSPSKESEGAVHDVSSDVPFVTGVQSFGPGYF >Vigun10g183100.2.v1.2 pep primary_assembly:ASM411807v1:10:40025768:40028922:-1 gene:Vigun10g183100.v1.2 transcript:Vigun10g183100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAAAVFGEQKRLEPMPPERKARWRKEIDWLLSVTDYIVEMVPVQQKNKDGTVMEVMTTRQRTDLHMNIPALRKLDTMLIDSLDNFKDQNEFYYVSRDADDPDNRKNDNKWWLPTPKVPPEGLSESARRFVQYQKDCVNQVLKAAMAINAQTLSEMEIPESYIESLPKNGRSSLGDMIYRSITEEFFDPDQLLASMDMSSEHKILDLKDRIEASIVIWRRKMNQKDCKSSWGSAVSIEKRELFEERAETILLLLKHRFPGIPQSALDISKIQYNGDVGQAVLESYSRILESLAFTVLSRIEDVLHADYLTQNPPNSSRRSVSRNTTSKSDKSPTPKEEVVEKGSTETMTLSDFMGWSSDQGESDLKRDPSDDFYNDMDNGKPQKLPEIVTDKKVSYLETLGGMRSPTARH >Vigun10g183100.1.v1.2 pep primary_assembly:ASM411807v1:10:40025768:40028922:-1 gene:Vigun10g183100.v1.2 transcript:Vigun10g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMEQEQEGNRSKLFNFKGMFDNTGRHAKSLSVESATALDPAASEEDPVSSKSQGSKTQNDQDKVPKPKVNKEEIIAKEKLLQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAAAVFGEQKRLEPMPPERKARWRKEIDWLLSVTDYIVEMVPVQQKNKDGTVMEVMTTRQRTDLHMNIPALRKLDTMLIDSLDNFKDQNEFYYVSRDADDPDNRKNDNKWWLPTPKVPPEGLSESARRFVQYQKDCVNQVLKAAMAINAQTLSEMEIPESYIESLPKNGRSSLGDMIYRSITEEFFDPDQLLASMDMSSEHKILDLKDRIEASIVIWRRKMNQKDCKSSWGSAVSIEKRELFEERAETILLLLKHRFPGIPQSALDISKIQYNGDVGQAVLESYSRILESLAFTVLSRIEDVLHADYLTQNPPNSSRRSVSRNTTSKSDKSPTPKEEVVEKGSTETMTLSDFMGWSSDQGESDLKRDPSDDFYNDMDNGKPQKLPEIVTDKKVSYLETLGGMRSPTARH >Vigun09g164600.1.v1.2 pep primary_assembly:ASM411807v1:9:33271074:33274220:1 gene:Vigun09g164600.v1.2 transcript:Vigun09g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSRGISLFLLLSSIFSTSFSDTDQILNSKKPTPSTSAHSLASSFAFQIKGNVYPIGYYTVNLAIGNPPKLYDLDIDTGSDLTWLQCDAPCKGCTVPQNRLYKPRGNVVKCVDPLCTGVQSAPPCGVANEQCDYEVDYADHGSSLGVLVRDYIPVKFTNGSLARPILGFGCGYDQTHPGHNPPPSTAGVLGLGKGKTSIVSQLHSLGLIRNVVGHCLSGRGGGFLFFGDQFIPQSGVVWTPILQSSSVQQHYKTGPADLLFNGKPTSVKGLELIFDSGSSYTYFNSIAHKALVDLITNDIKGKPLTRATADSSLPICWKGPKAFKSLHDVTTNFKPLLLSFTKSKNSVLQVPPEAYLIVTKHGNVCLGILDGTEIGLGNINIIGDISLQDKIVIYDNEKQQIGWASAKCDGPSKS >Vigun01g150800.1.v1.2 pep primary_assembly:ASM411807v1:1:33325951:33330524:1 gene:Vigun01g150800.v1.2 transcript:Vigun01g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNITSIKTSSNGVWQGDNPLDYAFPLLIVQITLVLIVTRSLALLLKPLRQPKVIAEILGGVLLGPSVLGRSKSYLHRIFPSWSTPTLESVASIGLLYFLFLVGLELDLHSIRRSGKRAFSIAAVGISLPFVCGIGVAVILRKTVEGADEPGFAQFLVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDVVAWILLALAVALAGNGSGSHKSPLISVWVLLSGLAFVVLMMVAVRPAMEVVARRGENDAGDEVYVCLTLAGVLVSGFMTDLIGIHSIFGAFVFGLTIPKEGNFAKKLTERIEDFVLGLLLPLYFASSGLKTDVTTIRGASAWGLLVLVIATACAGKILGTFAVAMFCMIPARESLTLGVLMNTKGLVELIVLNIGKEKKVLNDEMFAILVLMALFTTFITTPIVMAIYKPARGNSTKTRRKLGDSSWGSGKEMVEKFRVLACLHGPSNIPSIINLIESTRSTKKSLIKLFMMHLVELTERSSSIIMVHRARRNGFPFFSRSNRDQWHDRIAGAFQAYSQLGRVMVRSTTTVSSLSTMHEDICHVAEEKMVTMIILPFHKQWRTEVNEENEKYQVEENAGHEWRVVNQKVLKNAPCSVVVVVDRGYGNLPQTQTQTPTPDSIVSQRVCIIFFGGPDDREALELGNKMLEHPTVKVSVVRFMEKGVLDDNNIVLSFSPDKNNDDSYSFSTAKMNRQKEKELDEEAIKKFQSKLNDMVEYIEKFSEDVVEDVLVIGKSGDYDLIIVGKGRFPSNMVAGLAERKPEHAELGPIGDVLSSSEHEVLSSILVIQQHDVTLVDDAPICKVHDEYGMVNRGESSHGVGISIGNDNAV >Vigun05g022366.1.v1.2 pep primary_assembly:ASM411807v1:5:1876626:1885697:-1 gene:Vigun05g022366.v1.2 transcript:Vigun05g022366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMEEKPLVLSNEFDGKKFDKNVKTMWDLLREDKVFIIGIHGMGGVGKTFLATYMESEIKRTKTFKDVVWVTVSHDFTIFKLQQHIAEILKIKLYGDDERERALILASELEKRENIVLILDDVWKYIDMEKVGIPLRMKGNKLIITSRLRHVCQQMDCLPSNMIEVMPFQNGIIFDDDYYVDGDGDDMKLFLLKLGDHGTPSTLPSQVLDIARSMVGKCDGLPLGISVMARTMKGETRIHWWRHALNKLDKLEMGVEMQEEVLTVLRRSYDNLTEKDVQKCFLYSALLPNPVRRYLLIMNHVDMVLLNGKRRLEEIFDEANVIVDKLINHSLLLEDNSELTMHALVRKMALNIIKENGSNLMVKCDESMEKIPDIEEWTIDLEVVSLANDKIQEIPDGTSPNCPRLSTLLLFDNEIRHIPECFFMHMNALTTLDLSRNDRLTRLPHSLSNLRTLTSLMLNGCSQLKYIPPLGDLQALLRLDISGCFIHVPPEGLENLVNLKWLDMSSNVDLELVPGSFLPSLTNIQYLDLYGCSGIKVEDIEEMNFLECFAGAFVDRENLNRYVQQTRDSAYGPQNYSIHYQDRSYKGHWEKFWNREFLSEKYKCRTMCIKDCEELSYVLPRDLQQLSVKDNAQWVCLCAALSNGPSSLKEINIQHCTKLKSLFCLSFSLCASMRSLQSLTLFHLESLTAICKEDIANLMQPLHSISMFSYLKTFSISECHKIKTLMTSNLVPQLQNVESIIVEFCNSIEQIFAMTYSNKITLPKLTNLEVKSLPQLKAI >Vigun07g200600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32123906:32124934:1 gene:Vigun07g200600.v1.2 transcript:Vigun07g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMMKTKTKLLKCCIREFSKLRKVRVHFPKCETLEHMRMLLSCCTKSHSYVPKDVPKGHLVVYVGEDCKRFVIKVGILSHPLFQALLDHAEDVFGFTNASKLHIPCNENIFLHVLHIVQDQALSNCF >Vigun03g039800.1.v1.2 pep primary_assembly:ASM411807v1:3:3044420:3049439:1 gene:Vigun03g039800.v1.2 transcript:Vigun03g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSSYSAFSRSFLPIKGFPRPVALRPFHRILESHAKSPKNRLSIQCFRHDHLSPETPQPEVIEHYFHEELVQSEFSDSSAVTKRDWKSSLQKAANEVFKVIGYPWVVPWTAMTILQVMLLWTTAFWFIGSWMIPFAAHITGFSKESLTFRGQALFSLVTDVTEGLAGVAILLRCLSRFRPLPPDWFRFSLKGNWQLDVIMGCLMFPLVNRLSQFNLDLLPLLPSTPVTLSSVEQSIRARDPVAMLLYATVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSIVFALAHFNIQRMLPLIFLGMVMGVIYTRSRNLLPPMLLHSLWNGFVFLDLMK >Vigun03g039800.2.v1.2 pep primary_assembly:ASM411807v1:3:3048046:3049439:1 gene:Vigun03g039800.v1.2 transcript:Vigun03g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFKCYLMSIGLPKYLFYFQAANEVFKVIGYPWVVPWTAMTILQVMLLWTTAFWFIGSWMIPFAAHITGFSKESLTFRGQALFSLVTDVTEGLAGVAILLRCLSRFRPLPPDWFRFSLKGNWQLDVIMGCLMFPLVNRLSQFNLDLLPLLPSTPVTLSSVEQSIRARDPVAMLLYATVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSIVFALAHFNIQRMLPLIFLGMVMGVIYTRSRNLLPPMLLHSLWNGFVFLDLMK >Vigun11g214600.2.v1.2 pep primary_assembly:ASM411807v1:11:40905704:40917432:1 gene:Vigun11g214600.v1.2 transcript:Vigun11g214600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTTSPIAGFGCSGKIFPREIAADSYKKAVESAIRKFRNQEDVGGGDNGSNSKTDSPSTPLELAIDFILHDFEMAEAVPLCTFRDLGESEFSVADERGFDYLLYKMAEDFLFTSEGKILDSRLKLNQVVREILHWERGVRVITEDGCVYEADYVIVSVSIGVLQSDIVAFTPLLPGWKLEAIQKCEIMVYTKIFLKFPYQFWPTGPGKEFFVYAHERRGYYTFWQQMENIYPGSNILSVTLTNGESKRVEAQADEDTLREAMEVLRDMFGPNIPDAIHILVPRWWNNRFQRGSYSNHPIISNTQLVHDIKAPVGGIFFTGEHTSDRFSGYVHGAYLAGIDSSKELLEEMRKRQIPLF >Vigun11g214600.3.v1.2 pep primary_assembly:ASM411807v1:11:40905724:40917432:1 gene:Vigun11g214600.v1.2 transcript:Vigun11g214600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSHSSVIVVGAGISGISAAKVLAENGVKDLVILDASDRIGGRIRKEKFGGVSVELGAGWIVGVGGKESNPIWELATEFGLRTCFADYTNVRYNISDRSGKIFPREIAADSYKKAVESAIRKFRNQEDVGGGDNGSNSKTDSPSTPLELAIDFILHDFEMAVVDAEAVPLCTFRDLGESEFSVADERGFDYLLYKMAEDFLFTSEGKILDSRLKLNQVVREILHWERGVRVITEDGCVYEADYVIVSVSIGVLQSDIVAFTPLLPGWKLEAIQKCEIMVYTKIFLKFPYQFWPTGPGKEFFVYAHERRGYYTFWQQMENIYPGSNILSVTLTNGESKRVEAQADEDTLREAMEVLRDMFGPNIPDAIHILVPRWWNNRFQRGSYSNHPIISNTQLVHDIKAPVGGIFFTGEHTSDRFSGYVHGAYLAGIDSSKELLEEMRKRQIPLF >Vigun11g214600.1.v1.2 pep primary_assembly:ASM411807v1:11:40905704:40917432:1 gene:Vigun11g214600.v1.2 transcript:Vigun11g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSHSSVIVVGAGISGISAAKVLAENGVKDLVILDASDRIGGRIRKEKFGGVSVELGAGWIVGVGGKESNPIWELATEFGLRTCFADYTNVRYNISDRSGKIFPREIAADSYKKAVESAIRKFRNQEDVGGGDNGSNSKTDSPSTPLELAIDFILHDFEMAEAVPLCTFRDLGESEFSVADERGFDYLLYKMAEDFLFTSEGKILDSRLKLNQVVREILHWERGVRVITEDGCVYEADYVIVSVSIGVLQSDIVAFTPLLPGWKLEAIQKCEIMVYTKIFLKFPYQFWPTGPGKEFFVYAHERRGYYTFWQQMENIYPGSNILSVTLTNGESKRVEAQADEDTLREAMEVLRDMFGPNIPDAIHILVPRWWNNRFQRGSYSNHPIISNTQLVHDIKAPVGGIFFTGEHTSDRFSGYVHGAYLAGIDSSKELLEEMRKRQIPLF >Vigun06g103400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23304059:23304565:-1 gene:Vigun06g103400.v1.2 transcript:Vigun06g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTECSSKSGEKTHDVWPCRMKLTTDCMRWDSLKISPMEFEESMLGHMNESSRKALESWIPVKILIYDVDNGETYDAKLFKKESFWFDPMPDSGEKSSMKEPPCYNLEKAREEFAYSIEPFRQIIRKRDLKYDQEIGLRYCGGNVVVAFEFSVLHSLPLDIRSLRL >Vigun10g189200.1.v1.2 pep primary_assembly:ASM411807v1:10:40432740:40434462:-1 gene:Vigun10g189200.v1.2 transcript:Vigun10g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNGVENPLRLQSVNHISLICRSVEESMDFYQNVLGFFPIRRPGSFDFDGAWLFGYGIGIHLLEAENPEKLPKKKEINPKDNHISFQCESMGAVEKKLKEMEIACVRATVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVIPLVGEMARPCSLVNLEKMQNQQQIQNMLQQL >Vigun03g287100.1.v1.2 pep primary_assembly:ASM411807v1:3:46899224:46903690:-1 gene:Vigun03g287100.v1.2 transcript:Vigun03g287100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNLYRKPTTLRCNAGSRCYSASAVIWSLLGCLLLFHLYSNIHHKHGEGREVQLHVTNHPQFRELQQVEEEIIQLPPQKGKRSPRAAKRRHKRVTPLVDEFLDEDSRLRHVFFPGNKSAIDPVKVTGNDSYYYYPGRIWLDTDRNPIQAHGGGILFDERSNTYYWYGEYKDGLTYRAHKRGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEMNGTHDLYKTNVLERPKVIFNEKTRKYVMWMHIDDGNYTKAAVGIAISDTPDGPFEYVGSQRPHGYESRDMTVFKDEDGVAYLIYSSEENNVLHIGPMTEDYLNLMPVMRRVFVGQRREAPAVFKHQGTYYMITSGCTGWAPNEALAHAAESILGPWETLGNPCVGGNKMFRIATFLAQSTFVLPLPGFSGSFIFMADRWNPSDLRDSRYVWLPLMVEGPTHHSPEYSSRLPLWSRVSIYWHRKWRLPQGWSTF >Vigun03g287100.2.v1.2 pep primary_assembly:ASM411807v1:3:46899224:46903690:-1 gene:Vigun03g287100.v1.2 transcript:Vigun03g287100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHFATSIVRFVPGSRCYSASAVIWSLLGCLLLFHLYSNIHHKHGEGREVQLHVTNHPQFRELQQVEEEIIQLPPQKGKRSPRAAKRRHKRVTPLVDEFLDEDSRLRHVFFPGNKSAIDPVKVTGNDSYYYYPGRIWLDTDRNPIQAHGGGILFDERSNTYYWYGEYKDGLTYRAHKRGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEMNGTHDLYKTNVLERPKVIFNEKTRKYVMWMHIDDGNYTKAAVGIAISDTPDGPFEYVGSQRPHGYESRDMTVFKDEDGVAYLIYSSEENNVLHIGPMTEDYLNLMPVMRRVFVGQRREAPAVFKHQGTYYMITSGCTGWAPNEALAHAAESILGPWETLGNPCVGGNKMFRIATFLAQSTFVLPLPGFSGSFIFMADRWNPSDLRDSRYVWLPLMVEGPTHHSPEYSSRLPLWSRVSIYWHRKWRLPQGWSTF >Vigun01g065701.1.v1.2 pep primary_assembly:ASM411807v1:1:17376769:17377198:-1 gene:Vigun01g065701.v1.2 transcript:Vigun01g065701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRKSKRTSPSQREEDEKRSKRQRTVEDEEKKERKREKKEKREDKKSRKHSKEKADKKGQWALYLLFLTFA >VigunL044200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:459629:460590:1 gene:VigunL044200.v1.2 transcript:VigunL044200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRPVPGQKYNEITFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAAGIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun08g200000.1.v1.2 pep primary_assembly:ASM411807v1:8:36469879:36475712:-1 gene:Vigun08g200000.v1.2 transcript:Vigun08g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQIVRRKKKGRPSKTDLARRSAQSPANSQPDLRRSRRRRNVRYNIDYDDYLDEEDEDEEEDERRREKKKLKLVVKLNNHEEEDDDEDDDAPSHVGARGVHAQEEKGEEEEEEEGEEEEEEEEEEEGNEEAEEQEEEREAKGRKVDSKGLHSVSVLGTPSKLPPGIPLPDKRTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIEHPMDFATVRKKLANGSYPTLEQFESDVFLICSNAMQYNAAETIYHKQARSIQELARKKFEKLRFDFDRSQSELKSEQKTRSNSLVKKPAKKPLGHTSQEPIGSDFSSGATLATIGDVLPTSHPMQGVVCERPGNIDGLVEGNAFIIDANQEKAEDYISGRGLLSKLGRKPSMQDVERRATYNMPNPPVTRSDSVFSTFEGEVKQLVTVGLQAEHSYARSLARFAATLGPTAWKIASQRIQQALPPGCKFGRGWVGEYEPLPTPVLKLDNLAQQQPILGTKMQSTAELIKGDKNCRNVESTMEHPVNGPIHEGKQPSVCSSSGLTSDGISSLFGSPGSRPNSHDNLFYQQPNVQTRNLNKSENKGLKQVELNSLPSSDQNNASLVAKLTSNTPAAVPKPREMIPSNLTILPSMPFKQPDTNGVVGGELPNGKVRNTSLNRRMPGASSESTSNQTARSAPFVSHGQEQTLSDPVQLMRMLAEKTQKQQTSSSSNHSPADTPPVTPSVPSGRREDSGNASAAAARAWMSVGAAGFKQGPEISSSPKSQISAESLYNPAREFHQPFSRIRGEFSPGGTPFQSEKNNFPFQGLVPQSQPIQPVGASQFPNRPMAFPQVAASDLSRFQIPPWRGIRPHSQPRQRQETLPPDLNIGFQPPGSPAKQSSGVLVDSQQPDLALQL >Vigun03g170800.3.v1.2 pep primary_assembly:ASM411807v1:3:20420680:20427513:-1 gene:Vigun03g170800.v1.2 transcript:Vigun03g170800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDAVLGTLNVAFLYAILIWVLVDSLRQSKRTRVPDGSFKRGPMLLPAFTLLSCAVLSLMNMVLVFHQYTSTRVIGFYSVSLTLTWVLATVVSFYSVRTRVRENKRFPLVLILWWIFSSLVDVLSLSVRVVKDLEALDLWFFLSEDNLVASFSLPLLVVLCFNVCRRERSDMEEGLLQIEEECSMEEHDEEAFTNASVWNKLIFRWLNPIFKTGRVKKLELSHIPPVPCSESAESASSMLEGSLRKQKLGEGSLAKAIADSIWKSLALNAVLAGVNTGASYIGPLLITNFVNFLLGNNGDSSVRYGLVLAFVFFLAKTVESLSQRQWYFGAQRIGIRVRAALMSLIYSHSLTMKCAGATQGKIINLINVDVERIGDFCWYIHGVWLLPVQVILALIILYINLGYTPSFAAFGVTILVMVCNTPLANRQESLHSKIMEAKDSRIKMTSETMKNIRILKLHSWETSFLQKLLQLRETERGWLQKYLYTCSAVATLFWTSPTLVSVVTFGACMLVKTELTAATVLSALATFRILQEPIYNLPELISMIIQTKVSLDRIQDFLDEEDQTQFINRHTSKNSSVAIEINPGEYAWETHDQTHKKPTIQITRKLVIKKGQKVAVCGSVGSGKSSLLCCILGEIPLVSGALTKVYGTRSYVPQSPWIQSGTVRENILFGKQMNKEFYEDVLDGCALKQDINMWGDGDLNLVEERGINLSGGQKQRVQLARAVYNNSDIYFLDDPFSAVDAHTGTHLFKKCLMKLLYDKTVVYATHQLEFLESADLILVMKDGKIVESGRYKDLIACPNSELVQQMAAHQETVHQINPSQEDDFASYRPCPKNQIEVTRENIQDIMENWKRNKEEERETGRVKWSVYSTFVTSAYKGALVPVILLCQILFQVMQIGSNYWMSWATEQKGKVDNKKLMKIFILLSSGGTIFILGRTVLMAAVAVETAQRFFHGMITSVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQVAWQVILLFFVVLAISIWYQSYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEQLFLTKVNVLIDDYSRVAFYNFGTMEWLSVRINFLFNLVFYFVLVILVTLPRSTIDPSLAGLVATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLIIKDCRPEQDWPKEGNVELHNLHIRYDPAAPMVLKGVTCVFPGQKKIGIVGRTGSGKSTLLQALFRVVEPLEGSILIDGTVRTNLDPLEQHADQELWEVLRKCHLAEIVRRDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRRILVLDEATASIDTATDNLIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLDEGTIAEYDDPTKLLQNSSSSFSKLVSEFLRRSSQK >Vigun03g170800.2.v1.2 pep primary_assembly:ASM411807v1:3:20420680:20427513:-1 gene:Vigun03g170800.v1.2 transcript:Vigun03g170800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDAVLGTLNVAFLYAILIWVLVDSLRQSKRTRVPDGSFKRGPMLLPAFTLLSCAVLSLMNMVLVFHQYTSTRVIGFYSVSLTLTWVLATVVSFYSVRTRVRENKRFPLVLILWWIFSSLVDVLSLSVRVVKDLEALDLWFFLSEDNLVASFSLPLLVVLCFNVCRRERSDMEEGLLQIEEECSMEEHDEEAFTNASVWNKLIFRWLNPIFKTGRVKKLELSHIPPVPCSESAESASSMLEGSLRKQKLGEGSLAKAIADSIWKSLALNAVLAGVNTGASYIGPLLITNFVNFLLGNNGDSSVRYGLVLAFVFFLAKTVESLSQRQWYFGAQRIGIRVRAALMSLIYSHSLTMKCAGATQGKIINLINVDVERIGDFCWYIHGVWLLPVQVILALIILYINLGYTPSFAAFGVTILVMVCNTPLANRQESLHSKIMEAKDSRIKMTSETMKNIRILKLHSWETSFLQKLLQLRETERGWLQKYLYTCSAVATLFWTSPTLVSVVTFGACMLVKTELTAATVLSALATFRILQEPIYNLPELISMIIQTKVSLDRIQDFLDEEDQTQFINRHTSKNSSVAIEINPGEYAWETHDQTHKKPTIQITRKLVIKKGQKVAVCGSVGSGKSSLLCCILGEIPLVSGALTKVYGTRSYVPQSPWIQSGTVRENILFGKQMNKEFYEDVLDGCALKQDINMWGDGDLNLVEERGINLSGGQKQRVQLARAVYNNSDIYFLDDPFSAVDAHTGTHLFKKCLMKLLYDKTVVYATHQLEFLESADLILVMKDGKIVESGRYKDLIACPNSELVQQMAAHQETVHQINPSQEDDFASYRPCPKNQIEVTRENIQDIMENWKRNKEEERETGRVKWSVYSTFVTSAYKGALVPVILLCQILFQVMQIGSNYWMSWATEQKGKVDNKKLMKIFILLSSGGTIFILGRTVLMAAVAVETAQRFFHGMITSVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQVAWQVILLFFVVLAISIWYQSYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEQLFLTKVNVLIDDYSRVAFYNFGTMEWLSVRINFLFNLVFYFVLVILVTLPRSTIDPSLAGLVATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLIIKDCRPEQDWPKEGNVELHNLHIRYDPAAPMVLKGVTCVFPGQKKIGIVGRTGSGKSTLLQALFRVVEPLEGSILIDGVDISKIGLQDLRSKLGIIPQDPTLFLGTVRTNLDPLEQHADQELWEVLRKCHLAEIVRRDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRRILVLDEATASIDTATDNLIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLDEGTIAEYDDPTKLLQNSSSSFSKLVSEFLRRSSQK >Vigun03g170800.1.v1.2 pep primary_assembly:ASM411807v1:3:20420680:20427566:-1 gene:Vigun03g170800.v1.2 transcript:Vigun03g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDAVLGTLNVAFLYAILIWVLVDSLRQSKRTRVPDGSFKRGPMLLPAFTLLSCAVLSLMNMVLVFHQYTSTRVIGFYSVSLTLTWVLATVVSFYSVRTRVRENKRFPLVLILWWIFSSLVDVLSLSVRVVKDLEALDLWFFLSEDNLVASFSLPLLVVLCFNVCRRERSDMEEGLLQIEEECSMEEHDEEAFTNASVWNKLIFRWLNPIFKTGRVKKLELSHIPPVPCSESAESASSMLEGSLRKQKLGEGSLAKAIADSIWKSLALNAVLAGVNTGASYIGPLLITNFVNFLLGNNGDSSVRYGLVLAFVFFLAKTVESLSQRQWYFGAQRIGIRVRAALMSLIYSHSLTMKCAGATQGKIINLINVDVERIGDFCWYIHGVWLLPVQVILALIILYINLGYTPSFAAFGVTILVMVCNTPLANRQESLHSKIMEAKDSRIKMTSETMKNIRILKLHSWETSFLQKLLQLRETERGWLQKYLYTCSAVATLFWTSPTLVSVVTFGACMLVKTELTAATVLSALATFRILQEPIYNLPELISMIIQTKVSLDRIQDFLDEEDQTQFINRHTSKNSSVAIEINPGEYAWETHDQTHKKPTIQITRKLVIKKGQKVAVCGSVGSGKSSLLCCILGEIPLVSGALTKVYGTRSYVPQSPWIQSGTVRENILFGKQMNKEFYEDVLDGCALKQDINMWGDGDLNLVEERGINLSGGQKQRVQLARAVYNNSDIYFLDDPFSAVDAHTGTHLFKKCLMKLLYDKTVVYATHQLEFLESADLILVMKDGKIVESGRYKDLIACPNSELVQQMAAHQETVHQINPSQEDDFASYRPCPKNQIEVTRENIQDIMENWKRNKEEERETGRVKWSVYSTFVTSAYKGALVPVILLCQILFQVMQIGSNYWMSWATEQKGKVDNKKLMKIFILLSSGGTIFILGRTVLMAAVAVETAQRFFHGMITSVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQVAWQVILLFFVVLAISIWYQSYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEQLFLTKVNVLIDDYSRVAFYNFGTMEWLSVRINFLFNLVFYFVLVILVTLPRSTIDPSLAGLVATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLIIKDCRPEQDWPKEGNVELHNLHIRYDPAAPMVLKGVTCVFPGQKKIGIVGRTGSGKSTLLQALFRVVEPLEGSILIDGVDISKIGLQDLRSKLGIIPQDPTLFLGTVRTNLDPLEQHADQELWEVLRKCHLAEIVRRDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRRILVLDEATASIDTATDNLIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLDEGTIAEYDDPTKLLQNSSSSFSKLVSEFLRRSSQK >Vigun05g031100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2469858:2470463:1 gene:Vigun05g031100.v1.2 transcript:Vigun05g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGSTRFLMWVMICVHVYLVIAAVSLSESNDSTSVCNGSVEDCHHLDSQLPTVSSSHFRRILAAGPNGQKTAGTPNPNEAAVMCPSVTNYQSCLPPITGQRVNCGQFNRGC >Vigun10g011000.1.v1.2 pep primary_assembly:ASM411807v1:10:1132915:1145121:1 gene:Vigun10g011000.v1.2 transcript:Vigun10g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEGCSCSSWGSQQQSVSSPGGWNRGLGERPMCYCGEVVVLRVVKTIRNVGKEFWGCPNFKRSGGNDVFKGCNYFKWLNEDNGDEKDATIARQRRKIYHLEKSLVISGKWVRFLSGIIICLGLINVILVWKFIQIP >Vigun01g129100.1.v1.2 pep primary_assembly:ASM411807v1:1:30704413:30708656:1 gene:Vigun01g129100.v1.2 transcript:Vigun01g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEDVEDGTQRGIREPLVEATKGHPWMVYFSTFVAVWGSYEFGTCVGYSSPTQNAITRDLNLSLAEYSLFGSILSFGAMVGAITSGPIADYIGRKGAMRVSSVFCVGGWLMIYFAEGPVPLDIARIATGYGMGVFSYVVPVFIAEIAPKELRGTLTTLNQFMIVSGVSASFIIGNVLPWRILALTGIVPAAILLLGLFFIPESPRWLAKRGRQKDFMSALQVLRGKDTDISEEAEEIQDYITTLEQLPKSNLLELFHRRYLRSLTIGIGLMICQQLGGINGVSFYVSSIFEQAGFSPAIGTISYACLQVVVTGLGAAFIDKAGRKPLLLLSGSGLVAGCIFAAVAFYLKVHEVGVAAVPALAVTGVLVYIGSFSIGMGAIPWVLMSEIFPVNIKGVAGSVATLTNWFGSWLCSYTFNFLISWSSYGTFILFAAINVLAILFIIVVVPETKGKSLEQLQAAINS >Vigun11g182600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38589219:38589533:-1 gene:Vigun11g182600.v1.2 transcript:Vigun11g182600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLPQHAVLKQILKRCSSLGKKNGYEDDGHPDDVPKGHFAVYVGENRTRYIVPISFLAHPEFQSLLRQAEEEFGFDHEMGLTIPCEEVVFRSLTSSLR >Vigun03g287500.5.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929570:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.20.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929498:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.3.v1.2 pep primary_assembly:ASM411807v1:3:46921335:46929498:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.16.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929535:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHI >Vigun03g287500.7.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929441:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHI >Vigun03g287500.14.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929430:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.1.v1.2 pep primary_assembly:ASM411807v1:3:46921335:46929498:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.18.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929570:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.17.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929570:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.8.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929441:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.15.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929430:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.2.v1.2 pep primary_assembly:ASM411807v1:3:46921335:46929494:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHI >Vigun03g287500.19.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929498:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.12.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929535:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.6.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929570:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.13.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929535:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g287500.4.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929567:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHI >Vigun03g287500.9.v1.2 pep primary_assembly:ASM411807v1:3:46921439:46929432:-1 gene:Vigun03g287500.v1.2 transcript:Vigun03g287500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILTDPEFSAILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSLPAPKIDNNHMALSTVVRHDEVLDTKPLAVSGGPLQSPNLKEQISRLVDNHILEAIVNMKEQKGSDKGAIISYMEEKYRCPPNISKLLSTKLKHMVASGKIIKEKHKYRIASSSAVSEKRRFSSLEGRSKDPSEANKNDDVNILSKSQIDEEISKVKGLTAQEAAAAAAKAVAEAESAIAQAEAAAREAEAAEAEAEAAQVFAKAAMKALKCKMLHIWLLN >Vigun03g195500.1.v1.2 pep primary_assembly:ASM411807v1:3:27541246:27542212:-1 gene:Vigun03g195500.v1.2 transcript:Vigun03g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISNDETRSYFSQNLEMECVLAEVLRDQRNMGNKSDEAWKRVAYNVAVVVLSNNFKVQVTWENVKNRIKLWKSWYGVVSDILGHSGFDWDGTKHMITVGDENVWNEYVNSHKEARHFRFKAIPNWDDIVDLCAKDRAIGHGAETTTDADEVMSKEVNEVNFVGLEDLNATIDLEEPNSNLKRKAQFTSSSTSTQSQRRKINEKELMAASMKDMAESFKRLTHVYGEKVDENEIKEVLDEVCLLPNLIKEQWAKAVKWLADMPKQLAIVKALPIEQKEDYVLIHISTT >Vigun05g097300.1.v1.2 pep primary_assembly:ASM411807v1:5:9465481:9469890:1 gene:Vigun05g097300.v1.2 transcript:Vigun05g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLAYQEHLPAAPEWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLVCYRMAFGEELLPFWGKGAPALGQKFLTKRAIVMETTHRFDNGTVETPAEEPLYPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGISGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANVASSIAVLNTNISAATSLLVWTTLDVIVFGKPSVIGAVQGMMTGLACITPGAGLVQSWAAIVMGILSGSIPWVTMMILHKKSTLLQKVDDTLGVFHTHAVAGLLGGLLTGLFAEPSLCRLLLPVTNSRGAFYGGEGGMQFVKQLVAAMFVISWNLVSTTVILLFIQLFIPLRMPDEQLEIGDDAVHGEEAYALWGDGERFDPTRHGLHTSNTAVSPYVTGARGVTINL >Vigun02g161700.9.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTLLTSKGENGKEIF >Vigun02g161700.8.v1.2 pep primary_assembly:ASM411807v1:2:30718464:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGKYSKKKI >Vigun02g161700.2.v1.2 pep primary_assembly:ASM411807v1:2:30716585:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTLLTSKGENGKEIF >Vigun02g161700.7.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVIISNFPQTPSLNQKQVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTLLTSKGENGKEIF >Vigun02g161700.6.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTFPISRGKQNGSEKE >Vigun02g161700.1.v1.2 pep primary_assembly:ASM411807v1:2:30716585:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVIISNFPQTPSLNQKQVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTLLTSKGENGKEIF >Vigun02g161700.5.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVGLMESENKLLQTVIFVCSQQVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTLLTSKGENGKEIF >Vigun02g161700.4.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721224:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICMHSICVDTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVIISNFPQTPSLNQKQVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTFPISRGKQNGSEKE >Vigun02g161700.3.v1.2 pep primary_assembly:ASM411807v1:2:30716628:30721341:-1 gene:Vigun02g161700.v1.2 transcript:Vigun02g161700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRFFNPKTHQNPNTMSMLDQVMNMTKGATDGISRVSKQVNTSFRMLGTSSIQGAVGYGIGFRHGFGLGISMRSGMDKLQSSVVEPMTKMTGLIPGLPSGQGALPMPASSKNVPSKVPTDKVCAENMMQSVTKSTDKISAGSMMQQATKPFDQISQESFMKFANKSVNQLSQGLVGSQPTKNDSAFDCQTEKVIISNFPQTPSLNQKQVGLMESENKLLQTVMKQREMIDELREENEKLCQILQVKDLKIPCTKLQPSSSDPNFQTFPSPEVIDNNNGGSRPSGDAYGTFPISRGKQNGSEKE >Vigun05g198800.2.v1.2 pep primary_assembly:ASM411807v1:5:38467570:38478567:1 gene:Vigun05g198800.v1.2 transcript:Vigun05g198800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSATMSALSRSSLEEMLESLRKRDEEERPKDLPPALPSRPQSRARMPPARRSLPNSFKVDGDNDDKGHKRKGSFGSKKVKLRAESPYAVIAEEKVSKKPSPSPVPTAKDSSTDCEAPPQSEELEDDNVAYFIKKKLHVWCRQPRGMWELGTIQSTFGEEASVSLSNGNVMKVARSDLLPANPDILEGIEDLIQLSYLNEPSVLHNLHSRYAQDMIYSKAGPILIALNPFKKVEVYGNDYVLAYRQKLTDSPHVYAMTDAAYNEMMTDEVNQSIIISGESGAGKTETAKVAMQYLAALGGGCSGIENEILQTNFILEAFGNAKTSRNDNSSRFGKLIEIHFSAMGKICGAKVQTFLLEKSRVVQLTLGERSYHIFYQLCAGSSSKLKERLNLRTASEYKYLKQSDCTTIDGVDDAKNFKRLMKALDVIRMSKEDQELVFKMVAAILWLGNISFQDTDNESHIEVVNDEAVTNASVLMGCSSQELMAVLSTHKIQAGKDTITKKLTSRQAIDARDAIAKFIYASLFDWLLEQVNKSLQVGKRCTGRSISILDIYGFESFQNNSFEQFCINYANERLQQHFNRHLFKLEQEDYELDGIDWTKVEFEDNQVCLDLFEKKPLGLFSLLDEESNFPKATDLTLANKLKQHLHANPCFKGDRGKAFSVSHYAGEVLYDTGGFLEKNRDPLPSVSIQLLSSCSCELLQLFCKKLNQPQKQSNSSHGGAQDSQKQSVGTKFKGQLFKLMHQLESTTPHFIRCIKPNTKQLPGMYEQDLVLQQLKCCGVLEVVRISRAGYPTRMTHQEFAKRYGFLLFEANTSLDPLSISVAVLQQFNIPPEMYQVGFTKLYLRTGQIGALEDRRKYLLQGLLGIQKTFRGYRARCHYNELKKGVTALQSFVRGEMGRREYGVMVKSSLTISSKNLKEMQAATILQSVIRGWLVRRKASNFNKPKKSRENARTRRRSRVKMPEEKQDVSSDQIRNLPSALAELQRRVLKAEATIEQKEGENAELKDQLNQFENRWIQYEKRMKTMEDMWQKQMASLQTNLATARKSLASENANNQHGARRDVSSPLPYESEDAISMGSRTPSASTPLKYSNSISESALGREGHGAMASVGNLAREFDNRRQTFDYDARNLVEARAGQSANSNSVEELRKLKHRFEVWKKDYKVRLKETKARLQKVGNTEMDKRRKWWGKLSSRTQQ >Vigun05g198800.1.v1.2 pep primary_assembly:ASM411807v1:5:38467570:38478567:1 gene:Vigun05g198800.v1.2 transcript:Vigun05g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSATMSALSRSSLEEMLESLRKRDEEERPKDLPPALPSRPQSRARMPPARRSLPNSFKVDGDNDDKGHKRKGSFGSKKVKLRAESPYAVIAEEKVSKKPSPSPVPTAKDSSTDCEAPPQSEELEDDNVAYFIKKKLHVWCRQPRGMWELGTIQSTFGEEASVSLSNGNVMKVARSDLLPANPDILEGIEDLIQLSYLNEPSVLHNLHSRYAQDMIYSKAGPILIALNPFKKVEVYGNDYVLAYRQKLTDSPHVYAMTDAAYNEMMTDEVNQSIIISGESGAGKTETAKVAMQYLAALGGGCSGIENEILQTNFILEAFGNAKTSRNDNSSRFGKLIEIHFSAMGKICGAKVQTFLLEKSRVVQLTLGERSYHIFYQLCAGSSSKLKERLNLRTASEYKYLKQSDCTTIDGVDDAKNFKRLMKALDVIRMSKEDQELVFKMVAAILWLGNISFQDTDNESHIEVVNDEAVTNASVLMGCSSQELMAVLSTHKIQAGKDTITKKLTSRQAIDARDAIAKFIYASLFDWLLEQVNKSLQVGKRCTGRSISILDIYGFESFQNNSFEQFCINYANERLQQHFNRHLFKLEQEDYELDGIDWTKVEFEDNQVCLDLFEKKPLGLFSLLDEESNFPKATDLTLANKLKQHLHANPCFKGDRGKAFSVSHYAGEVLYDTGGFLEKNRDPLPSVSIQLLSSCSCELLQLFCKKLNQPQKQSNSSHGGAQDSQKQSVGTKFKGQLFKLMHQLESTTPHFIRCIKPNTKQLPGMYEQDLVLQQLKCCGVLEVVRISRAGYPTRMTHQEFAKRYGFLLFEANTSLDPLSISVAVLQQFNIPPEMYQVGFTKLYLRTGQIGALEDRRKYLLQGLLGIQKTFRGYRARCHYNELKKGVTALQSFVRGEMGRREYGVMVKSSLTISSKNLKEMQAATILQSVIRGWLVRRKASNFNKPKKSRENARTRRRSRVKMPEEKDVSSDQIRNLPSALAELQRRVLKAEATIEQKEGENAELKDQLNQFENRWIQYEKRMKTMEDMWQKQMASLQTNLATARKSLASENANNQHGARRDVSSPLPYESEDAISMGSRTPSASTPLKYSNSISESALGREGHGAMASVGNLAREFDNRRQTFDYDARNLVEARAGQSANSNSVEELRKLKHRFEVWKKDYKVRLKETKARLQKVGNTEMDKRRKWWGKLSSRTQQ >Vigun03g241600.1.v1.2 pep primary_assembly:ASM411807v1:3:40402166:40408167:1 gene:Vigun03g241600.v1.2 transcript:Vigun03g241600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKDSERRRFASELQTSAEGSESPRRRPRLFALMAGQSRKWMILVATIWIQAFTGTNFDFSQYSSSLKSALNISQVQLNYLATANDMGKVFGWSSGIALMHLPLSVVLFIAAAMGFFGYGLQWLLLTGVIDLPYFLVFLLCLLGGCSICWFNTVCFVLCIRNFPVNRALALALTVSFNGVSAALYTLAANSIDPSSSALYLLLNALVPLLICIAALVPILRQPTLDPLPPDAVNRDSVIFLILNILALLTGLYLLLFGSSTSGVASARLCFGGAILLLIFPLCIPGIVYARAWFQNTIHSSFRMESSSFILVHDDDLEMHKELHSRHSSLVSNGDTYNLLSDNGYMYGSQKAKESDVCCERVIGQDQLAMLGEEHPAAVIVRRLDFWLYYITYFCGGTLGLVYSNNLGQIAQSLGQSSNTSTLVTLYASFSFFGRLLSAGPDYIRNKLYFARTGWLSLALIPTPVAFFLLAASDSLLVLQTGTALVGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPVGSLLYGFLAALVYDANAHSIPGNLIVPDSVVCLGRECYFWTFVWWGCISVLGLASSILLFLRTKHAYEHFEKHRKSTQSIVS >Vigun05g096300.1.v1.2 pep primary_assembly:ASM411807v1:5:9354830:9356121:-1 gene:Vigun05g096300.v1.2 transcript:Vigun05g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWKKGWRYFKRMSIYPIKSILLKVTSNFMFKSKGHRNGLLNLYKDMESCGEYSDIQVMWKMIESSSPQNTSNKKRINMSSYCCVVI >VigunL059737.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:9919:10080:1 gene:VigunL059737.v1.2 transcript:VigunL059737.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun08g106600.2.v1.2 pep primary_assembly:ASM411807v1:8:26475157:26477191:-1 gene:Vigun08g106600.v1.2 transcript:Vigun08g106600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGTSLSEPNTECCMCGDLGFSDQLFQCKVCQFRSQHRYCSNLYPEAESVGTCNWCLSQREDTKEKSTNSSNSSSSIRNNDGESRIKRIKNGGTTNNSNNNGAKNIGSKKGVKGSGILHLQIQKPIKKPKSPESSRSPSASTSPQKSSPVLVSTRKRIVTTGALEERLRRTRSEDIANSSGATKQVFRNKVRSFRFRSWL >Vigun08g106600.1.v1.2 pep primary_assembly:ASM411807v1:8:26475157:26477191:-1 gene:Vigun08g106600.v1.2 transcript:Vigun08g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGTSLSEPNTECCMCGDLGFSDQLFQCKVCQFRSQHRYCSNLYPEAESVGTCNWCLSQREDTKEKSTNSSNSSSSIRNNDGESRIKRIKNGGTTNNSNNNGAKNIGSKKGVKGSGILHLQIQKPIKKPKSPESSRSPSASTSPQKSSPVLVSTRKRIVTTGALEERLRRTRSEDIANSSGATKQVFRNKVRRYKLLEEVSS >VigunL059055.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000406.1:22792:22992:1 gene:VigunL059055.v1.2 transcript:VigunL059055.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun05g074900.1.v1.2 pep primary_assembly:ASM411807v1:5:6675025:6691657:-1 gene:Vigun05g074900.v1.2 transcript:Vigun05g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVSTATENALQIATRVVKRQFSYFFNYNDKFEEVKCYIEMLDNTRKRIQHQVNNAEMNAEEIEDDVQHCLKQLDEKIEKYELFINDEYHSKTRCSIGFFPNNLSLRYRLGRNATKMVEEMKADELWNKKFDEVSYRVLPSINAALTNTSYESFASREKTINVCMQALEDSTINMIGLYGVGGVGKTTLVKEVAKKAQEKKLFNVVVIANITRNPNILKIQGQIAEMLGMRLEEESEIVRADRIRKRLKNEKENTLIILDDLWDGLDLNRLGIPISDENNGSQQDVNDISDSSFDKMEKEELSSDFNNMTEENISEDPKRCKILLTSRRKQVLCNQMDVKERSTFSVGVLNETEGKTLLKKLAGIHSQNLVYDEKAVEIARMCDGLPIALVSIGRALKNKSSFVWEDIYQQMKKKSFMEGQEPIEFSIKLSYDHLKNEQLKCIFLHCARMGNDALVMDLVKFCIGLGLIQGVHTIREARNKVNMLIEELKESSLVGASYSGDRFNMHDIVRDVALSISSKEKQMFFMKNGILDEWPHKYQLERYTAIFLHYCYINDDLPGSIYCPRLEVLHIDNKDQFLKIPDDFFKDMIELRVLILTGFNLPCLPSSIICLSKLRMLCLEKCTLGQNLSIIGELKKLRILTLSGSNIESLPFEFGQLDKLQLLDLSNCSKLSVIPSNVISRMNILEEFYMRDTLILWEAKEMIQNKNASLSELRHLNQLRNLDLHIQNVAHVPQNLFFDKLDSYKIIIGEFNMLIEGEFKIPDKYEVVKLLVLNLKEGIDIHSETWVKMLFKNVEYLLLGELIDVHDVFYELNVEGFQNLKHLSIVNNIGLQYIINSVDRFHPLLAFPKLESLYLYKLYSLEKLCNNQLLEASFCRLKTIKIKSCDKLENLFPLFMVRLLTVLENIEVCDCDSLKDIVAIERQPHNDSDDNIEFPQLRLLTLKSLPAFTCLYYNYKMPCSAQLLEEKGRSMNRDIIIEVEKDGTNSCLSLFNEKISIPKLESLELSSINIQKIWSDQCQHCFQNLLTLNVTDCGNLKYLLSFSMAVSLVNLQSLSVSDCEMMEDIFRPEDDEGNIDNVFPKLKKMEITCMEKLNSIWQPHIGLHSFHSLDSLVIKECHKLVTIFPSFMGQKFQSLQSLTITNCKLVENIFDFEMIPQTSDINETQLHKIVLQNLSNLVSIWKDDTCEILKYNNLQNITVSGSPNLSYLFPLSITNDLENLEVLDVRSCRAMKEIVAVDKGTNENVITFKFPRLNYLSLQSLFELMSFYGRAHTLEWPSLKRLLILRCGKLEGINTDISNSQVKPIVLATEKVIYNLEYMAMSFREVEWLQNYIYNVHRMQNLQTVVLHGLKNVEVLFWILHRLPNLKRLTLGFCHLKRIWAPASLISREKIGVVMQLQALELKNIWPLEEIGFEHEVLLQRVERLIIQRCTKLKFLASSSVSFSFLTYLEVTNCMLKNLMTCSTAKTLIQLKTMKVSSCPMIAEIISENEGEKVQEIEFKLLRSLELISLQNLTSFMSVDKCDLKFPVLENLVVSECPKMTKFSKVQSAPNLQKIQVVATEKDKWYWEGDLNATLKKHFTHQVSFEYSKHMKLKDYPEMKEICQGKPVFQDNFFGSLKKLEFDATSKREIVLPSYVLPYLKNLEELNVESCKSARVIFDIDDCEIKETVFRLKKLTLKDLSNMKWIWNKNPQGIVNFPNLEEVLVNNCGTLVTLFPSTLARNLSKLKTLTIHNCCKLVEIVEKKEEREEEITEMFEFPCLSKLFLWNLPMLVCFYPRQHNLKCPTLERLHVAYCRKLKLFTSQTQHSEQHHMFLIEEVVPKLKEVILNEENMTLLNDGHSPDLLHRVNYLDLSSEDYENKKDSLPFDFLKKVPNLEYLVVRQCFGLKEIFPSEKLDGDDHDGILLAGLNKLSLNKLLELESIGLDHPWIKPYTEKLQGLAVIKCPRLDKLVNCVTSFINLKQLIVKNCKRMKYLFTFSTAKSLGKLETLRIENCELMKEIIEKEDENGCHEIIFGRLTKLWLYSLPRLVSFYSGNGTLKFSSLQIMRLFKCPNMKSFSQRDTNAPMFYGIKSSTDSDITFHSDLNMTVESLFHEQGFFEYSKQMILGDYLETRGFFPPKFFGSLKKLEFDGSSKGDTVIPSNVLPHLKSLEELTVHSSDEVQVIFGMDDSRAKSKHIVFHLKKLILKDLSNLKCILNKNLEGSVSFPNLQELFVDACGSLVTLFARNLETLEMHKCDKLVEIVGNEEATENGTTEILMFEFPCLSSLTLYNLTQLSCFYSGKHHLECPQLEILHVAYCPKLNLFSSKIHGSHKETAAEAPINCLQQPLFMVEKVVPKLRGLTLNEKNMMLLSDEHVPENYLTKLNLLRLCFEDDKNEKDSLPLDFLHKVPNLEHFRMQRCFGVKEIFPSQKLQVYDGIPASLKGLTLFELNELESIGLEHPWVSPYSEKLQILRVVNCPLLQNLGCGAMSFINLKELYVKDCDRMEYLFTFSTAKCLGQLQTLIIKKCESIKEIAKIEDADGCDDKIIFEKLTALKLYSLPRLQSFLSGNATLQFSCLKYANVIDCPNMKTFAEGVLNAPRILRIETQFEDSDSFLGSNDLPKVASKTAKSIVGRLASFYKQRNRL >Vigun09g131400.1.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28994985:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKLGYTFLVYSVILFFFASSVSASARVAPLLQSYGNPIFGSNFHSVYDTSMYGVFQLSNGLAKTPQMGWNSWNFFACNISEKVIKETADALVSTGLADLGYVYVNIDDCWSAVTRNLKGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGMFTCQVRPGSIFHETDDADLFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGVQGRKVQASGVDGCRQVWAGPLSGNRLVVALWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAGVDIHDCKLYIFTPFTVSHSAEMVWSLLIHHVYTISVLKVPLNGFYIFQKL >Vigun09g131400.5.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28993048:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMFEAHPPLINIKHGEPTEGLGPAKSADPYNGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGVQGRKVQASGVDGCRQVWAGPLSGNRLVVALWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAGVDIHDCKLYIFTPFTVSHSAE >Vigun09g131400.3.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28995018:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKLGYTFLVYSVILFFFASSVSASARVAPLLQSYGNPIFGSNFHSVYDTSMYGVFQLSNGLAKTPQMGWNSWNFFACNISEKVIKETADALVSTGLADLGYVYVNIDDCWSAVTRNLKGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGMFTCQVRPGSIFHETDDADLFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGVQGRKVQASGVDGCRQVWAGPLSGNRLVVALWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAGVDIHDCKLYIFTPFTVSHSAE >Vigun09g131400.6.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28994985:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKLGYTFLVYSVILFFFASSVSASARVAPLLQSYGNPIFGSNFHSVYDTSMYGVFQLSNGLAKTPQMGWNSWNFFACNISEKVIKETADALVSTGLADLGYVYVNIDDCWSAVTRNLKGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGMFTCQVRPGSIFHETDDADLFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGVQGRKVQASGVDGCRQVWAGPLSGNRLVVALWNRCSKVATITASWEALGLESGIHVSVRDLWQVS >Vigun09g131400.7.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28995019:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKLGYTFLVYSVILFFFASSVSASARVAPLLQSYGNPIFGSNFHSVYDTSMYGVFQLSNGLAKTPQMGWNSWNFFACNISEKVIKETADALVSTGLADLGYVYVNIDDCWSAVTRNLKGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGMFTCQVRPGSIFHETDDADLFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQAQVSS >Vigun09g131400.2.v1.2 pep primary_assembly:ASM411807v1:9:28988840:28994985:-1 gene:Vigun09g131400.v1.2 transcript:Vigun09g131400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKRLRWDGIAGISLHAISVKRSLRKRGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGMFTCQVRPGSIFHETDDADLFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNATGRKIFYSLCEWGVDNPALWADKVGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALAKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGVQGRKVQASGVDGCRQVWAGPLSGNRLVVALWNRCSKVATITASWEALGLESGIHVSVRDLWQHKLVAEDAVSSFSAGVDIHDCKLYIFTPFTVSHSAE >Vigun09g015600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1147814:1149245:-1 gene:Vigun09g015600.v1.2 transcript:Vigun09g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRTLELNIISAKDIKNVNLFSKMDVYVVMSLTGDPYHLQTATTHVDKDGGCNPKWNYPVKFSVNEALAKENRLTLEAKLVSDRTLGDTIIGTVHVPLRELVDNPGEDDGFRDVSYKVVKSSGKSKGSFNFSYKFAEKVSNSFSAGRLSAAAAASKTETEPVMAYPPQTSAGTSAIPFGTSHPPPPPNPAPQYGYPPQQPSYTGYPPAQPGYGYPPQQSYGGYPPQQNGYGGYPQQNGYGYGYQGYPQQGGYGYPPAQKPKKNNFGMGLGAGLLGGALGGMLIGDMVSDAADYDAGYDAGFDDAGGFDF >Vigun03g039200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2989743:2990706:1 gene:Vigun03g039200.v1.2 transcript:Vigun03g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVSFIILFMLLIIASCSGKRKQYTPCKSLVLFFHDIIYNGNNAGNATSAIVAAPEGGNLTKLASQFHFGNIAVFDDPITFDNNLHSKPVGRAQGFYIYDTKNTYTSWLGFTFVLNTTQHQGTITFAGADPIMQKTRDISVTGGTGDFFMHRGIATIMTDAFEGEVYFRLRVDIKFYECW >Vigun11g212066.1.v1.2 pep primary_assembly:ASM411807v1:11:40706589:40708864:-1 gene:Vigun11g212066.v1.2 transcript:Vigun11g212066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIDSHFLAFTALVTIFFGFYDPCHLPKNPGWPLRNFLALISVRWNLNSIQFFCYRENRGFADMKLSLVGEALITVPQGWKDTVPSAVGWELNKGRKASRCISLAQSMDPTRLAISAADLNLKLMRWRALPSLNLDALSSMKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGRVAMSNPLRQSLYTLDNCLNGGEFKATAAVESLKRIFPAVDAEGIVMAIPMPGHPIQSQEHDSVLDDCKRLHDLIEAHDSVFLLTDTRESRWLPTLLCANTIKITITAALGFDSFLVMRHGAGPLSQDHKLETSPIHSMTLRSKRSYPSSHKESAVPIAALRYCFGGGFFWHLHLYWRVLNGW >Vigun03g207650.1.v1.2 pep primary_assembly:ASM411807v1:3:33977703:33978049:1 gene:Vigun03g207650.v1.2 transcript:Vigun03g207650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIIFANIVDSWDIIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun07g182300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29873613:29874077:1 gene:Vigun07g182300.v1.2 transcript:Vigun07g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQVFMVFFLTLFPHLTNAASCQSNGAHSPAIDPSGTQAILTVNDFGPDGDGGGPSECDGNYHPLPQRVVALSTGWYNGGSRCGKTIRITARNGRSAVAKVVDECDSTQGCDEEHANQSPCKTNIVDASESVWNDLGLNTDDGEVAITWTMA >Vigun08g215800.1.v1.2 pep primary_assembly:ASM411807v1:8:37655707:37657398:-1 gene:Vigun08g215800.v1.2 transcript:Vigun08g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRCLAKKSKPKMGPVAVKTPPEQRQTITRTLFDIIKEHGPITVANTWERVKEVGLKDLTSKNHMKIMLRWMRERQKVRLVCNHVGAHKQFLYTTWFTKPATPPKNPS >Vigun08g215800.2.v1.2 pep primary_assembly:ASM411807v1:8:37655707:37657248:-1 gene:Vigun08g215800.v1.2 transcript:Vigun08g215800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRCLAKKSKPKMGPVAVKTPPEQRQTITRTLFDIIKEHGPITVANTWERVKEVGLKDLTSKNHMKIMLRWMRERQKVRLVCNHVGAHKQFLYTTWFTKPATPPKNPS >Vigun08g024600.1.v1.2 pep primary_assembly:ASM411807v1:8:2125875:2129379:-1 gene:Vigun08g024600.v1.2 transcript:Vigun08g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPHDTCKSADNCIPFLFTITAPFSPLIHSFLHLLIPIANENITHKYSYKMKNNFRVTTTPEEAPTTSSTFLNSINNPIQGIREIEPTMAAEWTDEKHSMYIKSIEASFVNQLYDSKQMKASFSLKGTSGDPATTSGQFKVLRGGCWQKINFERVNPQTSRSNQCHDLAENPWIQHYRSSSKQRSTVAPSLQESVSTSSKVVDLGQRKGTPSGSGHLHLCESRVCHKDMLSSDTEMSGQNFVDDEVKGKKQNKKCKGKRQRSLTDAKDNDQMVPNRKSSSGGDFTKDFVCA >Vigun08g024600.2.v1.2 pep primary_assembly:ASM411807v1:8:2125875:2129379:-1 gene:Vigun08g024600.v1.2 transcript:Vigun08g024600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPHDTCKSADNCIPFLFTITAPFSPLIHSFLHLLIPIANENITHKYSYKMKNNFRVTTTPEEAPTTSSTFLNSINNPIQGIREIEPTMAAEWTDEKHSMYIKSIEASFVNQLYDSKQMKASFSLKGTSGDPATTSGQFKVLRGGCWQKINFERVNPQTSRSNQCHDLAENPWIQHYRSSSKQRSTVAPSLQESVSTSSKVVDLGQRKGTPSGSGHLHLCESRVCHKDMLSSDTEMSGQNFVDDEVKGKKQNKKCKGKRQRSLTDAKDNDQGAK >Vigun08g024600.3.v1.2 pep primary_assembly:ASM411807v1:8:2125875:2129379:-1 gene:Vigun08g024600.v1.2 transcript:Vigun08g024600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPHDTCKSADNCIPFLFTITAPFSPLIHSFLHLLIPIANENITHKYSYKMKNNFRVTTTPEEAPTTSSTFLNSINNPIQGIREIEPTMAAEWTDEKHSMYIKSIEASFVNQLYDSKQMKASFSLKGTSGDPATTSGQFKVLRGGCWQKINFERVNPQTSRSNQCHDLAENPWIQHYRSSSKQRSTVAPSLQESVSTSSKVVDLGQRKGTPSGSGHLHLCESRVCHKDMLSSDTEMSGQNFVDDEVKGKKQNKKCKGKRQRSLTDAKDNDQVIYNFIL >Vigun06g101500.2.v1.2 pep primary_assembly:ASM411807v1:6:23179314:23184245:1 gene:Vigun06g101500.v1.2 transcript:Vigun06g101500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDLVVSRQRISVFLSTHTAYDLLPESGKIIALDINLPVKQAFHVLYEQGVSMAPLWDFSRSQFVGVLSAMDFILILKELGNHGSNLTQEQLETHTIAAWKEGKLQLRRTVDSNGGSHPWRFVHAGPHECLKDVAFKILQNKVSTIPIIHSSSEDGSFPQLLHLASLSGILKGICRHFKHSLSSLPILQHPVGSIPLGVWMPKVGEPNGRPLAMLRPSASLGAALSMFVQAEVSSIPIVDDNDSLLDIYSRSDITALAKDKAYARTSLEEISIHQALLLGQDASSPYGLYTGHRCHMCLRSDSLHKVMERLSIPGVRRLVVVEAGSKRVEGIISLSDVFRFLLG >Vigun06g101500.1.v1.2 pep primary_assembly:ASM411807v1:6:23176779:23184245:1 gene:Vigun06g101500.v1.2 transcript:Vigun06g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPVPDGACEGSGVSGSISIPQRFVWPYGGRRVFLSGSFTRWSDHIAMSPMEGCPAVFQVVCNLMPGFHQYKFNVDGEWRHDEQQPSVSGSCGVVNTIYLVREPDILPSILSNEAPGRSQMEIDNMEANSRMPVSDLVVSRQRISVFLSTHTAYDLLPESGKIIALDINLPVKQAFHVLYEQGVSMAPLWDFSRSQFVGVLSAMDFILILKELGNHGSNLTQEQLETHTIAAWKEGKLQLRRTVDSNGGSHPWRFVHAGPHECLKDVAFKILQNKVSTIPIIHSSSEDGSFPQLLHLASLSGILKGICRHFKHSLSSLPILQHPVGSIPLGVWMPKVGEPNGRPLAMLRPSASLGAALSMFVQAEVSSIPIVDDNDSLLDIYSRSDITALAKDKAYARTSLEEISIHQALLLGQDASSPYGLYTGHRCHMCLRSDSLHKVMERLSIPGVRRLVVVEAGSKRVEGIISLSDVFRFLLG >Vigun10g111200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31155939:31158292:-1 gene:Vigun10g111200.v1.2 transcript:Vigun10g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLSSSSHQPWASPIPTLSKCTTAEHVNQLHARFITTGLIKNPSFTTRLVLSFISSPHEPLVEFARYVFFKHHAFCHPHDDPFLWNAVIRSHSNGCDPRRALVLLRLMLENGVCLDAYTFSLAMKACGKVGLEREGMQVCGLLLKMNFGSDVFLQNCLIGLFVRCGCVELARQVFDRMPERDIVSYNSMIGGYVKYGAVERARELFDGMEERNLITWNSMIAGYVRWEEGLGFAWSLFVKMLERDLVSWNTMIGGCVKHGRVEDARKLFDEMPERDLVSWVTMIDGYAKSGDVLAARRLFDEMPRRNVISCNSMMAGYVQNGCCVEALKIFHDMKRAANVLPDDTTLLIVLTAFAQLGHVEDGVVIHHYLMKKGYSLNGKLGVALIDMYSKCGSIENAVSVFENVERKCVDHWNALIGGLAIHGMGEMAFGFLMEMGRVSVIPDDITFIGVLSACRHAGMLKEGMICFEIMQKVYKLEPKVQHYGCMVDMLSRAGHVEEARKLIEEMPVEPNDVIWKALLSACQIYENFSIGKPIAQQLTQQYSCSPSSYVLLSNIYASLGMWDNVKRVRTEMKERHLKKIPGCSWIELGGTVHQFSVQDRTHPHVTEIYSLLSSL >Vigun06g207900.1.v1.2 pep primary_assembly:ASM411807v1:6:32134273:32140096:1 gene:Vigun06g207900.v1.2 transcript:Vigun06g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMETSSGAARGKRGLDSGSTEEEQPEKKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSQEVECALAKLVPAKLSGRTSPKSIEGPNDSSLQLQFRNRLSLPLFTGGKVDGEHGSAIHIVLIDTITGHVVTSGPASCVKLDVIVLEGDFNNEDDDNWSEEDFDSHVVKEREGKRPLLAGDLQVTLKEGLGTLGDLTFTDNSSWIRSRKFRLGLKVSSDCYEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGVYTVEDVVRLVVRDPQRLRNILGSGMSNKMWDVLVEHAKTCVLSGKLYVYYPDGARNVGVVFNNIYELSGLITNEQYYSADSLSDSQKVYVDTLVKKAYENWMHVIEYDGKSLLNANQNKTLGTSQPLAAGGSHDYSISNSLDQQTCIPSLPVPVTTGQPSMDPAIAVGGYHNVATTRSSMQQQNDNLHSSIQFDNTVLPLQNQLLNASHQSQLPRNETEMTLGSRQPATPGFQSVGISNPGYRGFEDYFPEEEIRTRSHEMLENEDMQHLLRIFNMGGGQSHASFNTPEDAYPYPSSTYMPETSMSYQLDDERNRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDP >Vigun06g020433.1.v1.2 pep primary_assembly:ASM411807v1:6:9763453:9764867:1 gene:Vigun06g020433.v1.2 transcript:Vigun06g020433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNDNKMSLTKGYTFNNFFQSSVMFRVQLELSKPYILLPEEFEKFCGDQLKLDRKVQLYDPIYKKFELYLDTNIDGIIILFSMTELIKHYGFIQDVYLHLNYVGNNVFLYKIYFVDGVEIFYERHSVAGLNNGSGGILNNVGAVNRHDFLNSLDGLVKKLTKYDVQTSSLYLHSNFARQFLEKGRKRYFITISTSKFWPCRIRWTGRTSFECYVTCGWKRFCKENQLAVGDEVKFVIDNQQKNVIHVIKV >Vigun06g161300.2.v1.2 pep primary_assembly:ASM411807v1:6:28355639:28359705:1 gene:Vigun06g161300.v1.2 transcript:Vigun06g161300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATQSNAELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECLGMKLLRKRDVPEEKFANAFLGFGPEQSHFVVELTYNYGVTSYDIGTGFGHFGIATPDVYKLVENIRAKGGVITREPGPVKGGKSVIAFVKDPDGYIFELIQRPSTPEPLCQVMLRVGDLERSIKFYEKALGLRVVKRADRPEQKYTVVMLGYADEQETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNIVTQELGGKITRQPGPIPGINTKITSFLDPDGWKTVLVDNEDFLKELE >Vigun06g161300.1.v1.2 pep primary_assembly:ASM411807v1:6:28355639:28359663:1 gene:Vigun06g161300.v1.2 transcript:Vigun06g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATQSNAELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECLGMKLLRKRDVPEEKFANAFLGFGPEQSHFVVELTYNYGVTSYDIGTGFGHFGIATPDVYKLVENIRAKGGVITREPGPVKGGKSVIAFVKDPDGYIFELIQRPSTPEPLCQVMLRVGDLERSIKFYEKALGLRVVKRADRPEQKYTVVMLGYADEQETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNIVTQELGGKITRQPGPIPGINTKITSFLDPDGWKTVLVDNEDFLKELE >Vigun03g261300.2.v1.2 pep primary_assembly:ASM411807v1:3:42805985:42811949:1 gene:Vigun03g261300.v1.2 transcript:Vigun03g261300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLESTESKREKLREYEHQCREKFAIDEVQPHGEEVDDHLEVQEVMDIAPLVDSKEPEHGSVNWKIDERPIEEVMMLSDQRKVTVLYELLSGCLSNLGEDIGQRRKGYDARHRVALRLLATWLDVKWTKMEAIETMVACSAMAFIQAQESKKEETQSKETKWTKLKRGGIIGAAAITGGALLAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFEFKTIGENHNQGRLGVEILVSGFVFEKEDFVRPWEGHNDNLEGYALQWESKNLIAVSTAIQDWLTSKLAMELMKRGAMMTVLSSLLTALAWPAALLSATDFIDSKWTIAIDRSNKAGKLLADVLLRGLQGNRPVTLIGYSLGARVIFKCLQFLAKTENSAELVERVILLGAPIPIMDENWEAARKMVSGRFVNAYSRNDWMLGVAFRASLLTKGLAGIQPVDIPGIQNVDVTDQIEGHSSYLWATPRILDQLQLETYYPVYNSISCI >Vigun03g261300.1.v1.2 pep primary_assembly:ASM411807v1:3:42805985:42811948:1 gene:Vigun03g261300.v1.2 transcript:Vigun03g261300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTTPPSLLSPTQRYAAGALFGLALHEAQLNQTNPLPLPVSEDSISEERTSTSSSSDSVSEDPDLWVNNNSGLLRPVFKFLEIDSASWLGLEETAGSSSALNHVGPFLRLLSQESDGGSSQTSDQELALSVAVNGITREMEKKLESTESKREKLREYEHQCREKFAIDEVQPHGEEVDDHLEVQEVMDIAPLVDSKEPEHGSVNWKIDERPIEEVMMLSDQRKVTVLYELLSGCLSNLGEDIGQRRKGYDARHRVALRLLATWLDVKWTKMEAIETMVACSAMAFIQAQESKKEETQSKETKWTKLKRGGIIGAAAITGGALLAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFEFKTIGENHNQGRLGVEILVSGFVFEKEDFVRPWEGHNDNLEGYALQWESKNLIAVSTAIQDWLTSKLAMELMKRGAMMTVLSSLLTALAWPAALLSATDFIDSKWTIAIDRSNKAGKLLADVLLRGLQGNRPVTLIGYSLGARVIFKCLQFLAKTENSAELVERVILLGAPIPIMDENWEAARKMVSGRFVNAYSRNDWMLGVAFRASLLTKGLAGIQPVDIPGIQNVDVTDQIEGHSSYLWATPRILDQLQLETYYPVYNSISCI >Vigun03g261300.3.v1.2 pep primary_assembly:ASM411807v1:3:42805985:42811948:1 gene:Vigun03g261300.v1.2 transcript:Vigun03g261300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTTPPSLLSPTQRYAAGALFGLALHEAQLNQTNPLPLPVSEDSISEERTSTSSSSDSVSEDPDLWVNNNSGLLRPVFKFLEIDSASWLGLEETAGSSSALNHVGPFLRLLSQESDGGSSQTSDQELALSVAVNGITREMEKKLESTESKREKLREYEHQCREKFAIDEVQPHGEEVDDHLEVQEVMDIAPLVDSKEPEHGSVNWKIDERPIEEVMMLSDQRKVTVLYELLSGCLSNLGEDIGQRRKGYDARHRVALRLLATWLDVKWTKMEAIETMVACSAMAFIQAQESKKEETQSKETKWTKLKRGGIIGAAAITGGALLAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFEFKTIGENHNQGRLGVEILVSGFVFEKEDFVRPWEGHNDNLEGYALQWESKNLIAVSTAIQDWLTSKLAMELMKRGAMMTVLSSLLTALAWPAALLSATDFIDSKWTIAIDRSNKAGKLLADVLLRGLQGNRPVTLIGYSLGARVIFKCLQFLAKTENSAELVERVILLGAPIPIMDENWEAARKEDL >Vigun09g108700.3.v1.2 pep primary_assembly:ASM411807v1:9:22922824:22925351:-1 gene:Vigun09g108700.v1.2 transcript:Vigun09g108700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIEEARDNLVFLLRWFNKFPQYRSRDLFLTGESYAGHYVPQLAKLMIEMNIRKKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYRDSISPLCSKVMGQVSRETSKFVDKYDVTLDVCISSVLSQSKVISPKTQEASESIDVCVDDKVTNYLNRRDVQEALHAKLVGVRKWDVCSNVLNYDMLNLEVPTLPVVGSLIKAGVRVLVYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVHGNILSFATVRGASHEVPFSQPQRSLVLFKSFLRGVPLPEVF >Vigun09g108700.1.v1.2 pep primary_assembly:ASM411807v1:9:22922824:22926484:-1 gene:Vigun09g108700.v1.2 transcript:Vigun09g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPTWKYSTMAMGVVFFCLSFSSEVFCDRSHADRIAELPGQPYVGFQQFSGYVTVDEKEHKSLFYYFAESETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLIKNYYSWNKEANMLYLETPVGVGFSYAKGSSSYMTVNDEATARDNLVFLLRWFNKFPQYRSRDLFLTGESYAGHYVPQLAKLMIEMNIRKKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYRDSISPLCSKVMGQVSRETSKFVDKYDVTLDVCISSVLSQSKVISPKTQEASESIDVCVDDKVTNYLNRRDVQEALHAKLVGVRKWDVCSNVLNYDMLNLEVPTLPVVGSLIKAGVRVLVYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVHGNILSFATVRGASHEVPFSQPQRSLVLFKSFLRGVPLPEVF >Vigun09g108700.2.v1.2 pep primary_assembly:ASM411807v1:9:22922824:22926484:-1 gene:Vigun09g108700.v1.2 transcript:Vigun09g108700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPTWKYSTMAMGVVFFCLSFSSEVFCDRSHADRIAELPGQPYVGFQQFSGYVTVDEKEHKSLFYYFAESETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLIKNYYSWNKEANMLYLETPVGVGFSYAKGSSSYMTVNDEATARDNLVFLLRWFNKFPQYRSRDLFLTGESYAGHYVPQLAKLMIEMNIRKKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYRDSISPLCSKVMGQVSRETSKFVDKYDVTLDVCISSVLSQSKVISPKTQEASESIDVCVDDKVTNYLNRRDVQEALHAKLVGVRKWDVCSNVLNYDMLNLEVPTLPVVGSLIKAGVRVLVYSAT >Vigun06g125700.1.v1.2 pep primary_assembly:ASM411807v1:6:25291031:25295940:1 gene:Vigun06g125700.v1.2 transcript:Vigun06g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLEAPVRSVPSSQPETGVGDGDLYTQLWKLCAGPLVDVPCEGERVFYFPQGHMEQLQASTNQGLNQELSQQIPHFNLPSKILCRVVHIQLLAEQETDEVYARITLLPESNQEEPTSPDPSPPETQKQSFHSFSKILTASDTSTHGGFSVLRRHATECLPPLDMTQTIPTQELAAKDLHGFEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGENGELRVGVRRVARQQSPMPSSVISSQSMHLGVLATASHAFLTSTMFVVYYKPRTSQFIIGLNKYLEAVNKFSVGMRFKMRFEVEDSPERRFSGTIVGVGDVSPGWRNSQWRSLKVQWDEPAIIPRPERVSSWEIEPFVASTALNVTQQVVKSKRSRPPEVSSSEIGPNSPGAGLWYHGASLSHDPTALGGSSEVESNERAVIWCTRMKEINANPMNGNKSSSSRVGVEGMWPSSPHLSVSSNLFPDPKNSKAVTAQSTVSGYAPVSSRTNDDLAHDPVECGKRSENPMECWVFGVNLTNNFTNVALPDKELGCPPIIIPSGPKDSIPVAACETQPSQNHNYSLSNKLHNQIISDGSPSERHAKHPTLPSTRTRTKVQMQGVAVGRAVDLTVLKDYEALIDELEKIFDIKGELRMQTKWVITFTDDENDMMLAGDDPWPEFCCMAKRIFICSREDVKKLKSKDSGCSSEGEETLLSQDSQNREETQQISCDLTA >Vigun06g125700.2.v1.2 pep primary_assembly:ASM411807v1:6:25291031:25295940:1 gene:Vigun06g125700.v1.2 transcript:Vigun06g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLEAPVRSVPSSQPETGVGDGDLYTQLWKLCAGPLVDVPCEGERVFYFPQGHMEQLQASTNQGLNQELSQQIPHFNLPSKILCRVVHIQLLAEQETDEVYARITLLPESNQEEPTSPDPSPPETQKQSFHSFSKILTASDTSTHGGFSVLRRHATECLPPLDMTQTIPTQELAAKDLHGFEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGENGELRVGVRRVARQQSPMPSSVISSQSMHLGVLATASHAFLTSTMFVVYYKPRTSQFIIGLNKYLEAVNKFSVGMRFKMRFEVEDSPERRFSGTIVGVGDVSPGWRNSQWRSLKVQWDEPAIIPRPERVSSWEIEPFVASTALNVTQQVVKSKRSRPPEVSSSEIGPNSPGAGLWYHGASLSHDPTALGGSSEVESNERAVIWCTRMKEINANPMNGNKSSSSRVGVEGMWPSSPHLSVSSNLFPDPKNSKAVTAQSTVSGYAPVSSRTNDDLAHDPVECGKRSENPMECWVFGVNLTNNFTNVALPDKELGCPPIIIPSGPKDSIPVAACETQPSQNHNYSLSNKLHNQIISDGSPSERHAKHPTLPSTRTRTKVQMQGVAVGRAVDLTVLKDYEALIDELEKIFDIKGELRMQTKWVITFTDDENDMMLAGDDPWPEFCCMAKRIFICSREDVKKLKSKDSGCSSEGEETLLSQDSQNREETQQISCDLTA >Vigun09g073200.1.v1.2 pep primary_assembly:ASM411807v1:9:8112629:8117477:1 gene:Vigun09g073200.v1.2 transcript:Vigun09g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKEENVIKNLFFSLFVWVLIFRSKQMASSIGMMDSAYFVGRNEILTWINNRLQLNLTRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKHIEVGRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRNSKGGNRNVKGLKGSKSLQTNTMNHPGSGDSLSMNRTFGSKQLRSSGGADGANASADIQALSKQVCDLKQSVDHLEKERDFYFAKLRDIEILCQASALEDDPMSLAIKKILYAADAKGSALDEAQEYLNDVINGVEDEGEGEGEGEGEDEGEGEVEDEIKT >Vigun04g126600.1.v1.2 pep primary_assembly:ASM411807v1:4:31951264:31955077:-1 gene:Vigun04g126600.v1.2 transcript:Vigun04g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRSPMNLSFIVTLMFVVCASNMIVGRGEISWSKDAALEAEAVAAIECSGHGRAYLDGIVLKGHQQVCECNECYTGSDCSKFISDCPANSGSGDPYFLEPFWMKHAASSAVLVSGWHRMSYYYSDGSYISELLVEHIKKLHTLVGNAVTEGKYFVFGGGSTQLLNAAVYALSPDVTSHSPAKVVATPPHYPMYRTQTEYFNARNFSFEGSTSSWINKTDSKSTFIEFVTSPNNPDGKLTKAVLSGANVKHINDRAYYWPHYTPIASPVDDDLMIFTVSKLTGHAGSRFGWAIIKDKEVYERMVEFLDQNTMGVAREAQLRVLKLFDVILQADDPKEIFKFAYSTMRYRWTKLKEIVSKSKRFSVQKLSSEYCTFFQRERELSPAYVWVKCERAEDKNCYKVLEAAGIIGRAGSLYDADDRYMRLTLLRSKDDFDILVNNFKALVAKE >Vigun01g209900.2.v1.2 pep primary_assembly:ASM411807v1:1:38488781:38490494:-1 gene:Vigun01g209900.v1.2 transcript:Vigun01g209900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLQFWWINRWRSLISGCLFSPDVNATIEKYSKVVADCVLQKSKKMCKDLENVKVETQIESGDPKDVICEMSRKLNVDLLVMGCHSHGDIRRAVLGSVSNHCSQNVKCPVLIVKKPKPHAGAGD >Vigun01g209900.1.v1.2 pep primary_assembly:ASM411807v1:1:38488781:38490494:-1 gene:Vigun01g209900.v1.2 transcript:Vigun01g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESRKERRVLVAVDETAESMYALSWSLKNIIFQNSSDTLILLYVKPHQPAFAPLDSTGIMDDPETPGCLFSPDVNATIEKYSKVVADCVLQKSKKMCKDLENVKVETQIESGDPKDVICEMSRKLNVDLLVMGCHSHGDIRRAVLGSVSNHCSQNVKCPVLIVKKPKPHAGAGD >Vigun07g035700.1.v1.2 pep primary_assembly:ASM411807v1:7:3419926:3425642:-1 gene:Vigun07g035700.v1.2 transcript:Vigun07g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIMEVVSNEYSNGSVQACNGSEEKLDDLRSLVGKADGDPLRIVSVGAGAWGSVFAALLQDSFGQFRDKVQIRIWRRPGKTVDRATAKHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLLADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEMSKYWKERITVPVIISLAKGIEAALEPVPHIITPTKMINQATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEGPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSISGKGMIQGVSAVEAFFELLSHSSLNVLHPEEKKPVAPVELCPILKTLYKILISREHSSSEAILQALRDENQNDPRERIEIAQSHAFYMPSLLGQP >VigunL031480.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:197559:198611:-1 gene:VigunL031480.v1.2 transcript:VigunL031480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun08g216200.1.v1.2 pep primary_assembly:ASM411807v1:8:37679288:37684141:1 gene:Vigun08g216200.v1.2 transcript:Vigun08g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFQQSAFSSQTALKQVNEFVRKTGGSGKGRTNMRRTVKSAPPSIWPTLHSSRTFPRNRRLVVKASSSSSSADDISRSRRRFLAETAAISVSLPHFPARAEDTLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWAPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTADAGESWERIPLSAELPGDMVYIKATGEKSAEMVTDEGAIYVTANRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEEFEEVPVQSRGFGILDVGYRSTDEAWAAGGSGILLRTTNGGKSWIRDKAADNIAANLYSVKFIDEKKGFVLGNDGVLLRFLG >Vigun11g128600.1.v1.2 pep primary_assembly:ASM411807v1:11:33610637:33614464:-1 gene:Vigun11g128600.v1.2 transcript:Vigun11g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSMEFGSVHNFLDGKTILVTGATGFLAKLLVEKILRVQPNIKKLYLLLRAADSEEATKRLHDEVLSKELFRVLRGMWGADFVSFISQKVLAVGCDVSVENLGIKDTKLREMLLAETDIIVNAAATTNFYERLDVAMGINTMGALNIVNFAKNCSKLQMLLHVSTAYICGERKGLVAEEPFAMGETLNGSSKLDINIEKLLTEEKLKELEAENASEKTITSVMKNLGTIRSNLHGWPNVYAFTKAMGEMILLKMKGDIPLIIARPTIILSTHSEPFPGWIEGVRTVDLFVVMYGKGKLGRSVGRRSTIIDAIPADMVINSMIVALEACSKSCSKTLIYHIGSSLRNPFRISDLEDLAHQYFTKHPLTDMFGKPVACSKKVSWMSSVSSFHRYINIRYMLPLKGLNVMNKVCCYSHEAFYSERKLKVKKMMGIMRLYKPYLLFEGIFDDKNAENLRMTKMKAKDDDDVGRFNSDPTTIDWTHYVLNAHIPGLVKYGVK >Vigun03g086900.2.v1.2 pep primary_assembly:ASM411807v1:3:7207838:7212129:1 gene:Vigun03g086900.v1.2 transcript:Vigun03g086900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSFSFRRSVSRRRTKKTTAPPPRRSPPQPPYAAGITTSPDGAANNRLVAGAGAGTLTKVKKKTGSARLWMRFDRSGGSELVEWEKNTIIHHAAIPARDLRILGPVFSHSSNILAREKAMVVNLEFIKAIVTAEEVLLLDPLRQEVLPFVEQLRQQLPGKSPPKLLGAVEEQEGELQGSNGRQWLPTPETAEGLQSELPFEFQVLEIALEAVCTYLDSSVADLERGAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWLLNQQFEEAQLGATTSNNLPNSARSVRRLGSTRSESLAASHYGDDNDVEDLEMLLDAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNHRNELIQLQLTLTIASFAIAIDTLVAGTFD >Vigun03g086900.1.v1.2 pep primary_assembly:ASM411807v1:3:7207838:7212129:1 gene:Vigun03g086900.v1.2 transcript:Vigun03g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSFSFRRSVSRRRTKKTTAPPPRRSPPQPPYAAGITTSPDGAANNRLVAGAGAGTLTKVKKKTGSARLWMRFDRSGGSELVEWEKNTIIHHAAIPARDLRILGPVFSHSSNILAREKAMVVNLEFIKAIVTAEEVLLLDPLRQEVLPFVEQLRQQLPGKSPPKLLGAVEEQEGELQGSNGRQWLPTPETAEGLQSELPFEFQVLEIALEAVCTYLDSSVADLERGAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWLLNQQFEEAQLGATTSNNLPNSARSVRRLGSTRSESLAASHYGDDNDVEDLEMLLDAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNHRNELIQLQLTLTIASFAIAIDTLVAGTFGMNIPCKLYNIDGIFWPFVWISSAASVFLFLLILAYARWKKLLGS >Vigun05g139300.1.v1.2 pep primary_assembly:ASM411807v1:5:17000578:17001616:-1 gene:Vigun05g139300.v1.2 transcript:Vigun05g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFSHKPMFLIFLCIWGAKAADPLGKFCNRNTNISSGGKLSANIDKLLEELALKTPSTGFVATTYGKDQDKVYALAQCRGDVSTEDCSTCIQDATKQIRQRCPNQADARIWYDYCFLRFNNKSFFELGALMNRIRAQAVVPKEEGLGKGKSVLSPFVTLYALVQCTRDLSELFCAQCLAVAVNNFPKFCSNRKGCRVLYSSCYVRYELYPFFFPLDSNKTGTSNAVKVSVYT >Vigun06g031200.2.v1.2 pep primary_assembly:ASM411807v1:6:13620116:13626072:-1 gene:Vigun06g031200.v1.2 transcript:Vigun06g031200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHISSGCFSVMCHSRVHRIRAAAAASEGSSTLNSVVEEKVNLGNSDLKVTRLGIGAWSWGDRTFWNDLEWNDRNEKAARDAFNSSIDGGITFFDTAEVYGSGLALGAVSSEVLLGRYIKERKQKDPDVEVAVATKFAALPWRFGRESVLKALKDSLCRLGMTSVDLYQLHWPGVWGNEGYIDGLGDAVEKGLVKAVGVSNYNEKRLREAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKSACDELGITIIAYSPIAQGALSGKYTPDNPPSGPRGRIYTPEFLTRLQPLLNKITEIGEKYDKTRTQVSLNWLVAQGNVVPIPGAKTKEQVKEFVGALGWRLTEEEVAELRSLASEIKPVIGFPVENL >Vigun06g031200.4.v1.2 pep primary_assembly:ASM411807v1:6:13620117:13626060:-1 gene:Vigun06g031200.v1.2 transcript:Vigun06g031200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNEKAARDAFNSSIDGGITFFDTAEVYGSGLALGAVSSEVLLGRYIKERKQKDPDVEVAVATKFAALPWRFGRESVLKALKDSLCRLGMTSVDLYQLHWPGVWGNEGYIDGLGDAVEKGLVKAVGVSNYNEKRLREAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKSACDELGITIIAYSPIAQGALSGKYTPDNPPSGPRGRIYTPEFLTRLQPLLNKITEIGEKYDKTRTQVSLNWLVAQGNVVPIPGAKTKEQVKEFVGALGWRLTEEEVAELRSLASEIKPVIGFPVENL >Vigun06g031200.1.v1.2 pep primary_assembly:ASM411807v1:6:13620117:13626055:-1 gene:Vigun06g031200.v1.2 transcript:Vigun06g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHISSGCFSVMCHSRVHRIRAAAAASEGSSTLNSVVEEKVNLGNSDLKVTRLGIGAWSWGDRTFWNDLEWNDRNEKAARDAFNSSIDGGITFFDTAEVYGSGLALGAVSSEVLLGRYIKERKQKDPDVEVAVATKFAALPWRFGRESVLKALKDSLCRLGMTSVDLYQLHWPGVWGNEGSQWYIDGLGDAVEKGLVKAVGVSNYNEKRLREAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKSACDELGITIIAYSPIAQGALSGKYTPDNPPSGPRGRIYTPEFLTRLQPLLNKITEIGEKYDKTRTQVSLNWLVAQGNVVPIPGAKTKEQVKEFVGALGWRLTEEEVAELRSLASEIKPVIGFPVENL >Vigun06g031200.3.v1.2 pep primary_assembly:ASM411807v1:6:13620117:13626055:-1 gene:Vigun06g031200.v1.2 transcript:Vigun06g031200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHISSGCFSVMCHSRVHRIRAAAAASEGSSTLNSVVEEKVNLGNSDLKVTRLGIGAWSWGDRTFWNDLEWNDRNEKAARDAFNSSIDGGITFFDTAEVYGSGLALGAVSSEVLLGRYIKERKQKDPDVEVAVATKFAALPWRFGRESVLKALKDSLCRLGMTSVDLYQLHWPGVWGNEGSQWYIDGLGDAVEKGLVKAVGVSNYNEKRLREAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKSACDELGITIIAYSPIAQGALSGKYTPDNPPSGPRGRIYTPEFLTRVVLF >Vigun09g054500.1.v1.2 pep primary_assembly:ASM411807v1:9:5448157:5451706:-1 gene:Vigun09g054500.v1.2 transcript:Vigun09g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSLIIHAFCYIFVHLISLFALKLLLSTNMFVSASGNHTDHLALLKFKESISSDPYEVLLSWNSSTHFCNWHGITCNSMHKRVTKLDLAGYKLKGSISPHLGNLSYMTIFYLDNNNLYGDIPQELGKLSQMEELSVGNNSLVGEIPTNLTYCTNLKVLYLFGNNLIGRIPTEISYLHRLQNLNVGSNKLTGRIPSFLGNLSALHRLSVGINNLEGEVPHELCHLKDLVQIFVPVNNLIGTFPSCLYNISSLTVISATANQFTGSLPSNMFHTLPNLQQFYIALNQVSGTIPPSISNASTLSLLEIAANQFTGQVPDLEKLQDLFYLGLSQNNLGDNSTNDLKFLKSLTNCSSLQMLDISYNNFGGQLPNSLANFSTQLNQLYLTSNKISGDIPTTMGNLIGLTQLMMGYNRFSGSIPSTFGKFQNMQKLVLRANQLSGHIGDFVGNLSQLYFLDMTRNMLEGNIPSSIRNCQKLQYLCLSQNNLTGSIPLEIFNLSSLSILLKLSQNSLSGNIPKEVGKLKNVNHLDVSENLLSGHIPETIGECITLEYLYLQGNSLQGSIPSSLASLKGLQRLDLSRNNLSGSIPTGLQNISFLEYFNVSFNMLDGEVPTEGVFQNASGFVVNGNNNLCGGISKLHLPPCPFKGKKLQKHHKSRLITVTVSVVVFLLILSIILTIYWMRKNKNKPSLESTTIDQLAKVSYQSLHNGTDGFSATNLIGSGNFSSVYKGTLEFEDKVVAIKVLNLQKKGSHKTFIAECNALKSIKHRNLAKILTCCSSTDYKGQEFKALIFEYMKNGSLEQWLHPRTQGLEQHQRLLNLGQRLNIITDVASAIHYLHHECEQSIIHCDLKPSNVLLDDDMVAHVSDFGIARILTTINGTDKQTSTIEFKGTIGYAPPEYGMGSEVSMNGDMYSFGILLLEMFTGRRPTEEMFTNGQTLHKFVENSLFENLLQILDPSLIPKHEGETTEEENSQNLSADDENYLVSVFKIGLACSMESPKERMDIMDVTKELSKIRKAWSKGMEYESRIHDQRQQ >VigunL087201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:130257:131167:-1 gene:VigunL087201.v1.2 transcript:VigunL087201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVDRQTGFHRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSHQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSLAPPSRGLGPGPPLRTLLQTTIRTPKAIDSHDGLFPVRSPLLGESLLVSFPPLIDMLKLSGQACPQPNGFRRNLRSKTRWFTGFCNSHQVSHFATFFIDARAEISVAESHFMTLHGDSKGKFP >Vigun10g033666.1.v1.2 pep primary_assembly:ASM411807v1:10:4505970:4506251:-1 gene:Vigun10g033666.v1.2 transcript:Vigun10g033666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSVVSSKAFLEASFDWNWKLPRILQYISEEIPCCFLLGFFIEFAAKHHFLHLSHPSMSGV >Vigun08g012700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1097917:1098042:-1 gene:Vigun08g012700.v1.2 transcript:Vigun08g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSEILRSGFMINSSLRRRTHLVQSFSVLFLYWFYVFS >Vigun09g027325.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2187704:2188489:1 gene:Vigun09g027325.v1.2 transcript:Vigun09g027325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTPAAESRIQFSPLPQDIEEHHVEINDGNIVMVEPCEEEHLDWDGVVIFLRLIMTSMLLIGLALHQRKLYQPTFDPIPPKFFLDSFEVPHLEVSEGEVSSTWLMNVTIWNVMNNSNINLINLEARISYEENETLAVITPIMPQYVLSKEVSLLENGATKKVHLNLSTTGWEENQPIVDDTVVQAIDEDMQRGTTRFSLYMIIVGEVLLGDGWVQTFTMHPKCTDLVVKVVPGNTTTITHHRPKECVGLVQWEHIENTF >Vigun08g136400.1.v1.2 pep primary_assembly:ASM411807v1:8:30812854:30820948:1 gene:Vigun08g136400.v1.2 transcript:Vigun08g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKKDERSVIDPTTTVHTVSSQLLTTGAFDGTVYMIPHIS >VigunL060927.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:38874:39347:-1 gene:VigunL060927.v1.2 transcript:VigunL060927.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun11g161500.1.v1.2 pep primary_assembly:ASM411807v1:11:36886270:36888936:1 gene:Vigun11g161500.v1.2 transcript:Vigun11g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSYRSNDLNFWLDLNSPCLLSSPSSSSPPITPSLYFPSNYQTYTTSPQTKLFPFLHHQQQEQEQEEDEEEEEEYLESSFSGMEVDKKKKEPSTSSKCLDEEDAVTVALHLGLPTTAASTDLASSLYSSEVSDKGEKTSDASGLLLSDRINRGQYWIPTSAQILIGPTQFACPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGTKPFVCRKCCKTFAVRGDWRTHEKNCGKRWYCSCGSDFKHKRSLKDHMKAFGYGHTAHGNDCMNLDQDDDQAGSEIEQEN >Vigun07g102100.4.v1.2 pep primary_assembly:ASM411807v1:7:18327490:18332120:-1 gene:Vigun07g102100.v1.2 transcript:Vigun07g102100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKETSFVKAKRMKGLISHLTSAACEWFLIFLLLLDALLSYMLTKFASYCRLQLPCLLCSRLDHILGRQRPEFYDNLFCSNHKSEISSLVLCHIHGKVANGHKMCDDCLLSVNAKTKANGKSHRLLVGKFGLALGDAGFKSPSLSRDLFAGSKGSRLCTRQCTCCGKLWKSDPNSSRSVQLNSPGSRAVLKPYIPLPCAPRQSRLNHRDNMRKMRDKFSGLEGKNSFQPLSHVGYCELRLTSDSENEFPFSDDDDFSNSSVFQENVEASNDPMAQITLPPPTKYIPSNSNPENGSSAKPLPLSSDQCVEPNVSKHQGVNANSAVEIDLQQTNQESLGSELPELISLDEVSPSPIVRNVSNRESEPEDSKITSLSQDSLPAPLSELMTANGTNAHAGASSEKSSDVAQASDTGMASEKNGEVSEKIGTEEKTSTETDPVVCDAAPTNPSQENSSNTDKFSVVTTERKETEFVITQPTMEEVDTIKEEVEQSPLDNSAPNGSTLSSPFPINHVDLPETHYWDSSSNGVQVLKKSSSVESGLESLDESSIAEIEGECYADRLRRHVHYYRQCLDSLHKELEAERNASAVATNEAMSMITRLQEEKAALQMEALQYLRMMEEQAEYDKDQLDKVNDLLTEKEKELQDLEAELEFYRSSIPNVEPMGHNMHKESWDLRGENATTQNTGVTNILRKFASSKNLEVSRVGDAAKTGGTFILEFEEEKEYISQCLKNLEQRVYQICLHAPNDRHEKLEVSSKSNQQGSSNVEGHQLDGHEETDLSTQKNSKMLNGNHVDKDGSAVENNHSTSPGPTISTPRRDFELVVLENEISDLNDRLEALELNHELLEHLTNSLNTNDGKLFIQDIARRLRELRKIGIR >Vigun07g102100.5.v1.2 pep primary_assembly:ASM411807v1:7:18327490:18331581:-1 gene:Vigun07g102100.v1.2 transcript:Vigun07g102100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKETSFVKAKRMKGLISHLTSAACEWFLIFLLLLDALLSYMLTKFASYCRLQLPCLLCSRLDHILGRQRPEFYDNLFCSNHKSEISSLVLCHIHGKVANGHKMCDDCLLSVNAKTKANGKSHRLLVGKFGLALGDAGFKSPSLSRDLFAGSKGSRLCTRQCTCCGKLWKSDPNSSRSVQLNSPGSRAVLKPYIPLPCAPRQSRLNHRDNMRKMRDKFSGLEGKNSFQPLSHVGYCELRLTSDSENEFPFSDDDDFSNSSVFQENVEASNDPMAQITLPPPTKYIPSNSNPENGSSAKPLPLSSDQCVEPNVSKHQGVNANSAVEIDLQQTNQESLGSELPELISLDEVSPSPIVRNVSNRESEPEDSKITSLSQDSLPAPLSELMTANGTNAHAGASSEKSSDVAQASDTGMASEKNGEVSEKIGTEEKTSTETDPVVCDAAPTNPSQENSSNTDKFSVVTTERKETEFVITQPTMEEVDTIKEEVEQSPLDNSAPNGSTLSSPFPINHVDLPETHYWDSSSNGVQVLKKSSSVESGLESLDESSIAEIEGECYADRLRRHVHYYRQCLDSLHKELEAERNASAVATNEAMSMITRLQEEKAALQMEALQYLRMMEEQAEYDKDQLDKVNDLLTEKEKELQDLEAELEFYRSSIPNVEPMGHNMHKESWDLRGENATTQNTGVTNILRKFASSKNLEVSRVGDAAKTGGTFILEFEEEKEYISQCLKNLEQRVYQICLHAPNDRHEKLEVSSKSNQQGSSNVEGHQLDGHEETDLSTQKNSKMLNGNHVDKDGSAVENNHSTSPGPTISTPRRDFELVVLENEISDLNDRLEALELNHELLEHLTNSLNTNDGKLFIQDIARRLRELRKIGIR >Vigun07g102100.3.v1.2 pep primary_assembly:ASM411807v1:7:18327490:18331771:-1 gene:Vigun07g102100.v1.2 transcript:Vigun07g102100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKETSFVKAKRMKGLISHLTSAACEWFLIFLLLLDALLSYMLTKFASYCRLQLPCLLCSRLDHILGRQRPEFYDNLFCSNHKSEISSLVLCHIHGKVANGHKMCDDCLLSVNAKTKANGKSHRLLVGKFGLALGDAGFKSPSLSRDLFAGSKGSRLCTRQCTCCGKLWKSDPNSSRSVQLNSPGSRAVLKPYIPLPCAPRQSRLNHRDNMRKMRDKFSGLEGKNSFQPLSHVGYCELRLTSDSENEFPFSDDDDFSNSSVFQENVEASNDPMAQITLPPPTKYIPSNSNPENGSSAKPLPLSSDQCVEPNVSKHQGVNANSAVEIDLQQTNQESLGSELPELISLDEVSPSPIVRNVSNRESEPEDSKITSLSQDSLPAPLSELMTANGTNAHAGASSEKSSDVAQASDTGMASEKNGEVSEKIGTEEKTSTETDPVVCDAAPTNPSQENSSNTDKFSVVTTERKETEFVITQPTMEEVDTIKEEVEQSPLDNSAPNGSTLSSPFPINHVDLPETHYWDSSSNGVQVLKKSSSVESGLESLDESSIAEIEGECYADRLRRHVHYYRQCLDSLHKELEAERNASAVATNEAMSMITRLQEEKAALQMEALQYLRMMEEQAEYDKDQLDKVNDLLTEKEKELQDLEAELEFYRSSIPNVEPMGHNMHKESWDLRGENATTQNTGVTNILRKFASSKNLEVSRVGDAAKTGGTFILEFEEEKEYISQCLKNLEQRVYQICLHAPNDRHEKLEVSSKSNQQGSSNVEGHQLDGHEETDLSTQKNSKMLNGNHVDKDGSAVENNHSTSPGPTISTPRRDFELVVLENEISDLNDRLEALELNHELLEHLTNSLNTNDGKLFIQDIARRLRELRKIGIR >Vigun07g102100.2.v1.2 pep primary_assembly:ASM411807v1:7:18327378:18332120:-1 gene:Vigun07g102100.v1.2 transcript:Vigun07g102100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKETSFVKAKRMKGLISHLTSAACEWFLIFLLLLDALLSYMLTKFASYCRLQLPCLLCSRLDHILGRQRPEFYDNLFCSNHKSEISSLVLCHIHGKVANGHKMCDDCLLSVNAKTKANGKSHRLLVGKFGLALGDAGFKSPSLSRDLFAGSKGSRLCTRQCTCCGKLWKSDPNSSRSVQLNSPGSRAVLKPYIPLPCAPRQSRLNHRDNMRKMRDKFSGLEGKNSFQPLSHVGYCELRLTSDSENEFPFSDDDDFSNSSVFQENVEASNDPMAQITLPPPTKYIPSNSNPENGSSAKPLPLSSDQCVEPNVSKHQGVNANSAVEIDLQQTNQESLGSELPELISLDEVSPSPIVRNVSNRESEPEDSKITSLSQDSLPAPLSELMTANGTNAHAGASSEKSSDVAQASDTGMASEKNGEVSEKIGTEEKTSTETDPVVCDAAPTNPSQENSSNTDKFSVVTTERKETEFVITQPTMEEVDTIKEEVEQSPLDNSAPNGSTLSSPFPINHVDLPETHYWDSSSNGVQVLKKSSSVESGLESLDESSIAEIEGECYADRLRRHVHYYRQCLDSLHKELEAERNASAVATNEAMSMITRLQEEKAALQMEALQYLRMMEEQAEYDKDQLDKVNDLLTEKEKELQDLEAELEFYRSSIPNVEPMGHNMHKESWDLRGENATTQNTGVTNILRKFASSKNLEVSRVGDAAKTGGTFILEFEEEKEYISQCLKNLEQRVYQICLHAPNDRHEKLEVSSKSNQQGSSNVEGHQLDGHEETDLSTQKNSKMLNGNHVDKDGSAVENNHSTSPGPTISTPRRDFELVVLENEISDLNDRLEALELNHELLEHLTNSLNTNDGKLFIQDIARRLRELRKIGIR >Vigun07g102100.1.v1.2 pep primary_assembly:ASM411807v1:7:18327378:18331942:-1 gene:Vigun07g102100.v1.2 transcript:Vigun07g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKETSFVKAKRMKGLISHLTSAACEWFLIFLLLLDALLSYMLTKFASYCRLQLPCLLCSRLDHILGRQRPEFYDNLFCSNHKSEISSLVLCHIHGKVANGHKMCDDCLLSVNAKTKANGKSHRLLVGKFGLALGDAGFKSPSLSRDLFAGSKGSRLCTRQCTCCGKLWKSDPNSSRSVQLNSPGSRAVLKPYIPLPCAPRQSRLNHRDNMRKMRDKFSGLEGKNSFQPLSHVGYCELRLTSDSENEFPFSDDDDFSNSSVFQENVEASNDPMAQITLPPPTKYIPSNSNPENGSSAKPLPLSSDQCVEPNVSKHQGVNANSAVEIDLQQTNQESLGSELPELISLDEVSPSPIVRNVSNRESEPEDSKITSLSQDSLPAPLSELMTANGTNAHAGASSEKSSDVAQASDTGMASEKNGEVSEKIGTEEKTSTETDPVVCDAAPTNPSQENSSNTDKFSVVTTERKETEFVITQPTMEEVDTIKEEVEQSPLDNSAPNGSTLSSPFPINHVDLPETHYWDSSSNGVQVLKKSSSVESGLESLDESSIAEIEGECYADRLRRHVHYYRQCLDSLHKELEAERNASAVATNEAMSMITRLQEEKAALQMEALQYLRMMEEQAEYDKDQLDKVNDLLTEKEKELQDLEAELEFYRSSIPNVEPMGHNMHKESWDLRGENATTQNTGVTNILRKFASSKNLEVSRVGDAAKTGGTFILEFEEEKEYISQCLKNLEQRVYQICLHAPNDRHEKLEVSSKSNQQGSSNVEGHQLDGHEETDLSTQKNSKMLNGNHVDKDGSAVENNHSTSPGPTISTPRRDFELVVLENEISDLNDRLEALELNHELLEHLTNSLNTNDGKLFIQDIARRLRELRKIGIR >Vigun11g101300.1.v1.2 pep primary_assembly:ASM411807v1:11:29670582:29682475:-1 gene:Vigun11g101300.v1.2 transcript:Vigun11g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGVDMVEVDFQRLELEKREVRILLRISCGWLVFLLFLSPVAGLRPLRDRTNSWGDEWVFTRKDESDLGPFSQWNITGTYRGTWKFLDTTNGSTRFPDIRKINGNSVIELVSVPTKITGVHYVQGVVIFHDVFDNEYNVGGAQIRVEGVYIWPYRQLRMVANSGKDGGLNPEGDYILSNPYHLLGVFSSQVFQESSRQKMWRRKHSPLHGMEKHCNVEIAAQVSRLSLSKHEGERDSFQLVGLMESPSVDDDGDCFSPLQLNATSINIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIVDAYLCLIHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSNGEGWEAMRRELSVLYSRFYGILLGGILLMYEFHNHLRLILLLVYSFWIPQIITNVVRDSRKPLHPHYILGITVTRLAIPLYIFGCPNNFLRIEPDHSWCVCLAIFVGLQAAILLLQHYFGSMWFIPRQFLPEKYCYYRRFTQDTNRSTDCVICMTAIDLSPRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Vigun03g272400.1.v1.2 pep primary_assembly:ASM411807v1:3:44743050:44745940:-1 gene:Vigun03g272400.v1.2 transcript:Vigun03g272400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKVVLILIFCLSMMSTGHCKNRKHFVLVHGACHGAWSWYKVKPMLESAGHKVTALDLAASGINMHNIDDVDSFAHYTQPLLQLMATIPPNEKVILVGHSLGGLSLALASDKFPQNVAANAYVTAFLPDTEHSPSYVLEKYGEITPASAWMDTKFEPSGNKTSMFFGPMLLANKLYTRSPVEDLELAKSLVRPSSLFVEDLSRQKKFSREGYGSVPRSYIVCTEDIAITAEYQEWMIKNAAINDVQKIKGADHMVMNSKPRQLVDALLKIATKYA >Vigun02g112900.2.v1.2 pep primary_assembly:ASM411807v1:2:26688687:26691392:1 gene:Vigun02g112900.v1.2 transcript:Vigun02g112900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVALKIQKSAAQFVQAALHEIDILSSIADRDPSNSKFVIQLIDNFKHNGPNGQHLCMVLEFLGDSLLRLIKYNRYKGLPLNKVREICKCVLVGLDYLHTDLGIIHSDLKPENILLCSTIDPAKDPLRSGLSPILERPEGNTNGGVSSLIEKRLKRRARSAVAKISGRRGTMGEDVANTDRNINGIDVRCKIVDFGNACWADKQFAEEIQTRQYRAPEVILISGYSFPVDMWSFACIVFELATGDMLFTPKGGQGFSEDEDHLALMMELLGKMPRKIAIGGAQSKDYFDRHGDLKRIRRLKFCPLDKLLTDKYKFSANDAREFSEFLLPLFDFAPEKRPTARQCLEHPWLNPPNQTAQEKVHAGMSNLQISQSKA >Vigun02g112900.1.v1.2 pep primary_assembly:ASM411807v1:2:26687317:26691392:1 gene:Vigun02g112900.v1.2 transcript:Vigun02g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEEDDEGFDSYRKGGYHAVRVGDQFGSGRYIAQRKLGWGQFSTVWLAYDTKTSSFVALKIQKSAAQFVQAALHEIDILSSIADRDPSNSKFVIQLIDNFKHNGPNGQHLCMVLEFLGDSLLRLIKYNRYKGLPLNKVREICKCVLVGLDYLHTDLGIIHSDLKPENILLCSTIDPAKDPLRSGLSPILERPEGNTNGGVSSLIEKRLKRRARSAVAKISGRRGTMGEDVANTDRNINGIDVRCKIVDFGNACWADKQFAEEIQTRQYRAPEVILISGYSFPVDMWSFACIVFELATGDMLFTPKGGQGFSEDEDHLALMMELLGKMPRKIAIGGAQSKDYFDRHGDLKRIRRLKFCPLDKLLTDKYKFSANDAREFSEFLLPLFDFAPEKRPTARQCLEHPWLNPPNQTAQEKVHAGMSNLQISQSKA >Vigun11g071800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20586906:20587892:1 gene:Vigun11g071800.v1.2 transcript:Vigun11g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGYLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFLFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASMGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun07g122200.1.v1.2 pep primary_assembly:ASM411807v1:7:22543465:22548952:-1 gene:Vigun07g122200.v1.2 transcript:Vigun07g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAVSRRLSAGSNSTTLLALRFASSSRSYSSSFREERDTFGPIQVPSDKLWGAQTQRSLQNFDIGGPRERMPEPIIRAFGVLKKCAAKVNMDYGLDPNVGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLIPSLKTLHGTLNSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVIGTLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVADETNLPFVTAENKFEALAAHDAFVETSGALNTIAASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPMIASCLLHSLRLLGDSSASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKTAHKEGTTLKEAALKLGVLSSEDFDKLVVPEKMLGPSD >Vigun07g064150.1.v1.2 pep primary_assembly:ASM411807v1:7:7444416:7444987:-1 gene:Vigun07g064150.v1.2 transcript:Vigun07g064150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFELLFLRCAQVGSDWTSTFKKRQHFRVAFSEFVAKTVANLTDKQMMSISSEYGIEISRVQGVVDNSNQILNVRKLKSRVHS >Vigun03g316000.1.v1.2 pep primary_assembly:ASM411807v1:3:51007877:51009096:-1 gene:Vigun03g316000.v1.2 transcript:Vigun03g316000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRIRASTSSLETLEGSPSKILKDDTFSIYEATLMKLKNGARVDTSEVIDDSSVSSPCAKEASQPDLNPESTSCSEKTMMETESDNSSPRVCDIVSSGDSEQRRHVNLTILDFYRVVDTGHACVSSCAKTTTSTENGSSESISSTSAEYNWKSEVVGVQIFQDCEMSD >Vigun01g069100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18573936:18575875:-1 gene:Vigun01g069100.v1.2 transcript:Vigun01g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHQIADSILDSYSALQPHPFFIINRNHLVSVTTHPYDSSSQPNTNRKSETTRGRWWQLASRTVLFVSGCGAVAAVQAAAVGDDEHEARPAWCATAELQDLVLSCAREETTMKAIWGAEFPPAMLSVEAGVDGSASRQPWDLRQWHMRLESCGSGEEKKSCRG >Vigun11g046000.1.v1.2 pep primary_assembly:ASM411807v1:11:6955264:6956628:-1 gene:Vigun11g046000.v1.2 transcript:Vigun11g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLAFIITFLLTLSTFSSSRADNGGIAVYWGQNLNEGDLTTACNTGNYEIVLLAFLTQFGAGRTPAWNFAGHCDNGAVKHCTELETEIKYCQGLGIKVLLSIGGDSPNYSLSSPEDAKEVANYLYTNFLSGQYGPLGSVTLDGVDFDIERTEDYWDNLARELDYFRQTTGRYFYLSAAPQCPTDPIYYLGKAIATELFDYIFVQFYNNPSCSVVSGIPALLSSWDRWVSLVASNNSLFVGLPAAPSAGNGYISPADLNTQVLPYVKQAPNYGGVMLWDRYRDLQNGFSNSILPNVIKSKLKVSVVSVSDAIYRCVSKALNRVLDY >Vigun03g074400.1.v1.2 pep primary_assembly:ASM411807v1:3:6131741:6138699:-1 gene:Vigun03g074400.v1.2 transcript:Vigun03g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPLTNNNNIYLPSPLQSFQTKMQIPNSKPNSDSEPVSEAERRIRRLALHLSPVACVAAEQLEMAACGGRGKLSVDTPSLSSYMRGKHRDIQEKVFDYFNANPHLQTPVEISKDDHRELCMKQLTGLVREAGIRPLRYVVNDPAKYFAILEAVGGVDMSLGIKMGVQYSLWGGSVLNLGTQKHKDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTLATFDVMTDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLKLPTYDTKGVTDMGVHAFIVPIRDMETHQPLPGIEIHDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSREGKYTSTLPTVNKRFAATLGELVGGRVGLAYSSVSVLKVAGTIAIRYSLLRQQFGPPNQPEVSILDYQSQQHKLMPMLASTYAFHFATTTLVEKYSQMKKTHDEELVADVHALSAGLKAYITSYTAKSLSICREACGGHGYAAVNRFGILRNDHDIFQTFEGDNTVLLQQVAMDLLKQYQGKFKGGTLAVTWNYLRESMNTYLSQPNPVTVRWEGEDHLRDPNFQLDAFRYRTSRLLQSVVVRLRKHSKTLGDFGAWNRCLNHLLTLAESHIESVILAKFIEAVQSCPDPSSQAALKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNVARELVDAFDLPDYVTRAPIAMRSGAYSQYTQYVGF >Vigun07g170200.1.v1.2 pep primary_assembly:ASM411807v1:7:28449147:28454148:-1 gene:Vigun07g170200.v1.2 transcript:Vigun07g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLELVPIGTILTLVTSQILKTAQAASDVLIGKESFKALSKHLFDIEPVLKELQLQELNDSQAARVALESLEADVKKANNLVEKYKNRGRFYLLIKCRSIVEEVEQVTRDIGKSLAALSIANTEVLSRISDQVNRLQDEMQRVEFEASQSQIQIVDKLNQGLREQKLDQAFANDMLEEIARAVGVPVEPSEISKELASIRKEKEEASEKKERAECVLLDQIIQLLSRADAARDYEEVERQYFERVKVIEKYDSREKYIPPLNSFHCSITGAVMEDPVSLCTGTTCERHAIEAWFYDGNRKDPETNDVLEDTTLRSNIPLRQSIEEWRELNYCLIIRSIRENLLSYSDLQESLTQMQALVRKNSINKDWISIGELTDIVISILGSSDDKEVKRKILITLKDAVEGNTRNKEKVAESRGWDHVLSCLGSDSSISKEAIDLLYELLQEQSGWNQYLCKKLSENRTAVGSLVAILKNLSGAEVAEKILVKLFELNEETITSAANFGWYKPLVDRMIEGPDSRISMTKAIVNLELNASNLKLLGSEGVIPPLLEMLSGSAESKDLSLSALIKLAGSHANKGIIAASGGVPLILDLMFSPRTRAFIITKCSEIIEKLSSNGDGIDFFVDGEGKQLELDSIITKVLALQQSSSLGPSIRKPALRALLGICKFETDLVKKAILAANGVSLILPLLDDPDSEIRETSIILLYLFSQHEPQGVVEYLFKPRRLEALIGFLESEENDNVQMAAAGLLANLPKSERELTMKLIELGGLDAIISILKTGKMEAKENALSALFRFTDPTNIESQKDLVKRGIYPLLVDFLNTGSVTAKARAAAFIGDLSMSTPKLIVTPKPSGCCLFRSSRGPLCPAHVSECSVNSTFCLLEAKALPGLIKLLHGEVHATAYEAIQTLSTLVLEDFPQRGARVLHENNTIRSLLDILNWGTDSLKAEALGLLEKVFVSKEMVEYYGTTARSRLICLTAMNIYGDGHLRRKAAKVLSLLERYSKSSSSAISGVLE >Vigun07g170200.2.v1.2 pep primary_assembly:ASM411807v1:7:28449116:28453866:-1 gene:Vigun07g170200.v1.2 transcript:Vigun07g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLELVPIGTILTLVTSQILKTAQAASDVLIGKESFKALSKHLFDIEPVLKELQLQELNDSQAARVALESLEADVKKANNLVEKYKNRGRFYLLIKCRSIVEEVEQVTRDIGKSLAALSIANTEVLSRISDQVNRLQDEMQRVEFEASQSQIQIVDKLNQGLREQKLDQAFANDMLEEIARAVGVPVEPSEISKELASIRKEKEEASEKKERAECVLLDQIIQLLSRADAARDYEEVERQYFERVKVIEKYDSREKYIPPLNSFHCSITGAVMEDPVSLCTGTTCERHAIEAWFYDGNRKDPETNDVLEDTTLRSNIPLRQSIEEWRELNYCLIIRSIRENLLSYSDLQESLTQMQALVRKNSINKDWISIGELTDIVISILGSSDDKEVKRKILITLKDAVEGNTRNKEKVAESRGWDHVLSCLGSDSSISKEAIDLLYELLQEQSGWNQYLCKKLSENRTAVGSLVAILKNLSGAEVAEKILVKLFELNEETITSAANFGWYKPLVDRMIEGPDSRISMTKAIVNLELNASNLKLLGSEGVIPPLLEMLSGSAESKDLSLSALIKLAGSHANKGIIAASGGVPLILDLMFSPRTRAFIITKCSEIIEKLSSNGDGIDFFVDGEGKQLELDSIITKVLALQQSSSLGPSIRKPALRALLGICKFETDLVKKAILAANGVSLILPLLDDPDSEIRETSIILLYLFSQHEPQGVVEYLFKPRRLEALIGFLESEENDNVQMAAAGLLANLPKSERELTMKLIELGGLDAIISILKTGKMEAKENALSALFRFTDPTNIESQKDLVKRGIYPLLVDFLNTGSVTAKARAAAFIGDLSMSTPKLIVTPKPSGCCLFRSSRGPLCPAHVSECSVNSTFCLLEAKALPGLIKLLHGEVHATAYEAIQTLSTLVLEDFPQRGARVLHENNTIRSLLDILNWGTDSLKAEALGLLEKVFVSKEMVEYYGTTARSRLICLTAMNIYGDGHLRRKAAKVLSLLERYSKSSSSAISGVLE >Vigun07g170200.3.v1.2 pep primary_assembly:ASM411807v1:7:28448481:28454265:-1 gene:Vigun07g170200.v1.2 transcript:Vigun07g170200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLELVPIGTILTLVTSQILKTAQAASDVLIGKESFKALSKHLFDIEPVLKELQLQELNDSQAARVALESLEADVKKANNLVEKYKNRGRFYLLIKCRSIVEEVEQVTRDIGKSLAALSIANTEVLSRISDQVNRLQDEMQRVEFEASQSQIQIVDKLNQGLREQKLDQAFANDMLEEIARAVGVPVEPSEISKELASIRKEKEEASEKKERAECVLLDQIIQLLSRADAARDYEEVERQYFERVKVIEKYDSREKYIPPLNSFHCSITGAVMEDPVSLCTGTTCERHAIEAWFYDGNRKDPETNDVLEDTTLRSNIPLRQSIEEWRELNYCLIIRSIRENLLSYSDLQESLTQMQALVRKNSINKDWISIGELTDIVISILGSSDDKEVKRKILITLKDAVEGNTRNKEKVAESRGWDHVLSCLGSDSSISKEAIDLLYELLQEQSGWNQYLCKKLSENRTAVGSLVAILKNLSGAEVAEKILVKLFELNEETITSAANFGWYKPLVDRMIEGPDSRISMTKAIVNLELNASNLKLLGSEGVIPPLLEMLSGSAESKDLSLSALIKLAGSHANKGIIAASGGVPLILDLMFSPRTRAFIITKCSEIIEKLSSNGDGIDFFVDGEGKQLELDSIITKVLALQQSSSLGPSIRKPALRALLGICKFETDLVKKAILAANGVSLILPLLDDPDSEIRETSIILLYLFSQHEPQGVVEYLFKPRRLEALIGFLESEENDNVQMAAAGLLANLPKSERELTMKLIELGGLDAIISILKTGKMEAKENALSALFRFTDPTNIESQKDLVKRGIYPLLVDFLNTGSVTAKARAAAFIGDLSMSTPKLIVTPKPSGCCLFRSSRGPLCPAHVSECSVNSTFCLLEAKALPGLIKLLHGEVHATAYEAIQTLSTLVLEDFPQRGARVLHENNTIRSLLDILNWGTDSLKAEALGLLEKVFVSKEMVEYYGTTARSRLICLTAMNIYGDGHLRRKAAKVLSLLERYSKSSSSAISGVLE >VigunL074201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:131394:133224:-1 gene:VigunL074201.v1.2 transcript:VigunL074201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSHASNQLAWFTGAAAFLNEVYPNRISTSQIRLGLSSSAGRRGPGCASDRHIGGGCPGFSAWYPAPRVHDIYIQLCPGDTVEARPVCFFHDMLWSRVSSSGLLALEEVVSRQEHFPARPYYNQPHRSHSIFYTDVSYSLDQVIQRKDCEIFPKGSLLQAPPNLYKVLLAFSQPPVAYVVVGIPTCSSLPPTYLRIQRSPLAVVLTLWLRESPGSRFMDGFSQRNTPPVLIRSGPWSSVSGLPADKISEIDQPAGWAGRTCDGSQRSNMTTEVYVATILFYGSGRAVISSARFFLVGVVGEGLTFLTHIQSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFALPNSLLDNKAETPDPTFISFE >Vigun05g075500.1.v1.2 pep primary_assembly:ASM411807v1:5:6833689:6844362:-1 gene:Vigun05g075500.v1.2 transcript:Vigun05g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVTATTESALQIVGGAVKRHVGYIFKYSDKFKEVKHYIKMLRNAKSRVQNEVTKAKMNAEEIEDDVQEWLKQVDEKIEKYSSFIDDERHEKTRCSFGFFPNNFQLRYRLGRNAAKMIEEIKADELWNKKFDKVSYRVRPSNDAALANIGYESFASRTKTMKMIMQALKDSTINLIGLYGVGGVGKTTLVKEVAKQAKEKKLFNTVVMANVTRNLDLKKVQGQIAEMLGMKLEEEAEIVRADRIRKRIIEEKENTLIILDDLWDGLDMNRLGIPCRDDENAIQQLGYYKKMERQEFSNNFKRMEENMNRLGIPCRDDENDIQQLGCYKKMERQEFSNNFKRMEENMLTTNFTKTKKEKLLGDHKRCKILLTSRSKEVICNQMNVPETSTFLIGVIDGKESETLLKKMAGIHLRNSMFDGKANEIAKMCGGLPIALVSIGRALKNKSSFVWNDVCRQIKKQSFTEAHESIEFSVKLSYDHLKNEELKCIFLQCARMGSDALIIDLVKFCLGLGVLQGVQTISETKYRVNVLIEELKESSLLVECYSTECFNMHDIVRDVALSISAKERNVLFMKNDILDEWPHEDEQAKYSAIFLHYCDINDELPESIYCPRLEVLHIDNKNECLEIPNNFFKDMIRLRVLILTGVNLSCLPSSIKSLKKLRMLCLERCILGENLEIIGELKKLRILTLSGSNFECLPLEFGQLDKLQLFDISNCSKLRVIPSNIISRMKNLEEYYKRDNLITWDFENTIQSGNASFSDLRHLNRLRSVEIHIPSIDDFPQNVFFNKLDSYKIVIGKFNILTQGEFKMPDKYEVVKFLALDLKEDVDIHSKKWVKMLFKSVENLLLGELNDVHDILNELNVEGFPNLKHLFIVNNSGIQHIINSKEELHLLCAFPILESMCLYKLENMEKICDTQLAEASFCRLKIIKIKTCDKLENVFTFSMVRSLTMLEQVEVCACDSLKEIVSAERQTSTTSDNKIEFPQLRFLTLQCLPTFSSLYSNDKLTCSAQLLQNQVPDKNKDITTDACFALFNEKVSIPKLESLQLSMINIHKIWSDQSLQCSENLTTLNVTDCSNLKYLLSISMAGCLVNLQNLLVSESEKMENIFCPEDPKKNIDVFPKLKNMQIICMEKLETIWQPHIGLNSFHNLDSLIIRECHKLVTVFPSYMMQILQSLQTLNIINCELVEIIFDFGNIPQTYDRNETNLHSVYIKMLPNLVHVWKDNTRETKYKNLKRVDVRDNPNLKYLFPLSIVNDLEKLEVLNIWNCGAMKEIVAGDKGSNENAITFKFPHLDVLLFQQSPELENFYRGAHTVEWPLLKKLAITNCFKLEGIRTKIENSQGKSIGLATEKVIYNLEWMLMNLGEGGMFQKYAVSVPRMHKLQSLVTWGLKNTKILFQMLHRLPNLEKLTLAGSSMKRIWTPESLISHEKIGVMQLKELELLQLWCLEEIGFEHDSLLQSIERLVIVECLKLINLASTSISFTSLTYLEVSDCSGLRNLMTSSSAKSLIQLTTMKISFCPMMVEIIEENEEEKINVT >Vigun09g214700.3.v1.2 pep primary_assembly:ASM411807v1:9:38901458:38910762:1 gene:Vigun09g214700.v1.2 transcript:Vigun09g214700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADKQLKEQLLEAGNKLADPPSSVEELLSLLEQVESCLSRVEQSPTDSMQNALSPSLKAMVGDQLLRHSDNDVKVAVASCISEITRISAPDAPYDDDQMKEVFHLIVSSFENLHDKLSRSYAKRISILETVAKVRSCVVMLDLECDALISDMFQHFLKGVREHHPENVVLSMETIMTVVIEESEDISLALLSPLLDSVKKDNEEVFPIAQKLGERVLENCATKLKPYLVQAVRSLGVSVGDYSAVLASICQDTSDDLEKNDTCVTSEHAEDKSDSEKQSLEKSTHVVKKDSREVTSSQRGNPDVNKSPNSGMSYGIACVGEDTALAYSNPIKKKEDADCSNHSEGLNKSGHEVGSDLDTKKVVNSKQKAGKATKRPRKKSSSSTKLAKPSKDQVAANEKETEKMLDDESNSKIVPSSPHKEHFVEAAGPSESNKEIDTKISSPVAVNDESEVVASPPSESLNDENHSKKLGQSTKEDDPVKEGTAYDVSKVSGGASDSEAKPVTRSVKKALGQKSYLRKTSVVDSVKKESGAKNNADAKTYSAKKLEENKKGSGGSSSRQAEDRKKGGRGKAKTETDVAKSSAMNVDKEIVSSPRSGTKSAKGACSEETPRANVKRKLTSGKENEYDTKEHGENLVGLRVKVWWPKDREFYKGIIESFDSAKKKHKVSYDDGDEEILNLGKERWKVIEKDSDADEEDRSDSASLDASIDMQPKKKGKTNDGETTKQGKMDVSSSGIKTNSRSKSVSLKSSQRFKDDTKLKDSKTNSKSENGVNKKSKDDIPKSSSSKSIVATKKLSKKSKNTDTSETGESNDDDSSVPKPSSKSKLEILKSGKSKKSTPKTAISKEKPLKSGGKDDVNGSGKVKSGLLKKKNFENGKSDVSEGKIEDAKGKTSISSKVQGSEVKSGKKRRRS >Vigun03g201080.1.v1.2 pep primary_assembly:ASM411807v1:3:30436868:30437749:-1 gene:Vigun03g201080.v1.2 transcript:Vigun03g201080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVVVVVVAMVVLVVVVVMVVVVVLVVVVVMGLLLLVVVVVWVVVAVASWSRRWWWLSCYGGGYCEFGDGGDDSVGGSGDSGGGGGGGFSGGGDRVVMVIVVVVVVGGVVDVLMVEFVVVVVVVVVVVVVVLAGVLVVGGGHCGRGGGGGGRGRGNGGGGCGNGYCGGARGSRSRGGGGCSVCDGGSGGGGCGGCDSGCGRGGGWRGGGGYCGCGGGERIVDVVVMVVVDGFFLSPLKLA >Vigun02g183200.1.v1.2 pep primary_assembly:ASM411807v1:2:32330713:32337307:1 gene:Vigun02g183200.v1.2 transcript:Vigun02g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIEEGGREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAITPANQDLATSDAIKISREVDPTGERTFGVLTKIDLMDKGTDAVDMLEGRAYRLKYPWVGVVNRSQADINKNVDMIAARRREREYFSNTPEYKHLAHRMGSEHLAKMLSKQLEAVIKSKIPAIQSLISKTIAELEAELSRLGKPIAADEGGKLYSIMEICRSFDQIFKEHLDGVRPGGDRIYNVFDNQLPAALKRLQFDKQLSMENIRKRITEADGYQPHLIAPEQGYRHLIESSLITIRGPAEAAVDAVHSLLKDLVHKAISETLDLKQYPGLRVEVGNAAIDSLEKTREESKKAALQLVDMECGYLTVDFFRKIPQDVDKGGNPTHSIFDRYNDSYLRRIGTNVLSYVNMVCASLRHSIPKSIVYCQVREAKRGLLDHFFTELGKFEPKRLSSLLNEDPAIMERRSALAKRLELYRSAQAEIDAVAWSK >Vigun05g146000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19963840:19964692:1 gene:Vigun05g146000.v1.2 transcript:Vigun05g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFALFLFSALSFYPPSTTAQPVLDANGNSVRNGGTYYILPRFWGGGGGGIKRAITGDETSPLSVVQSPFTADPGQAWRLQSLFASAFIPEGRIYISYDYVQQPGVPSNYWTAVEGEAEKTVVKVGYPNSLPGFFTIHRTSSVNSYKFQFCSNDDATSCSNVGIVRDDAGNRLLATNQETPFEFVLAPGSSVASK >Vigun09g133300.1.v1.2 pep primary_assembly:ASM411807v1:9:29207899:29212834:-1 gene:Vigun09g133300.v1.2 transcript:Vigun09g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFSSMRGFLFLVWLLEVVFVAVTAVNPSLNDDVLGLIVFKADIRDPKGKLASWSEDDESACGGGWVGVKCNPRSNRVVEVNLDGFSLSGRIGRGLQRLQFLRKLSLANNNLTGGINSNIARIDSLRVIDLSGNSLSGEVSEDVFRQCGSLRAVSLARNRFSGSIPSTLGECSALAAIDLSNNQFSGSVPSGIWSLSALRSLDLSDNLLEGEISKGVEAMKNLRSVSLARNRLTGNVPAGFGSCSLLRSIDLGNNSFSGSIPGDFKELTLCGYLSLRGNAFSGELPEWIGEMRGLETLDLSNNALTGRIPNSVGNLQSLKMLNFSGNGITGSLPESMVNCTKLLVLDVSRNSMSGGLPLWIFKSDLGKVLLSENGASGSKKSPLISLAEVAVQSLEVLDLSHNAFSGEITSAIGGLSSLHVLNLSYNSLTGPIPAAIGELKTCSSLDLSYNNLNGSIPWQIGGAVSLKELVLKKNFLSGKIPTSIENCSLLTTLTLSQNWLSGPIPAAVAKLTNLQTVDLSYNNLTGNLPKQLANLANLLSFNLSHNNLQGELPACSFFNTISPTSVSGNPSLCGAAVNKSCPAVLPKPIVLNPNTSTDVSPGALPQTSGHKRIILSISALIAIGAAAVIVIGVISITVLNLRVRSSTSRDAAALTFSAGDEYSRSPTTDANSGKLVMFSGEPDFSSGAHALLNKDCELGRGGFGAVYQTVLRDGHSVAIKKLTVSSLVKSQEDFEREVKKLGKIRHQNLVELEGYYWTPSLQLLIYEYVSGGSLYKHLHEGSGGNFLSWNERFNVILGTAKALAHLHHSNIIHYNIKSTNVLLDSYGEPKIGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGILVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECIDERLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEELG >Vigun09g133300.2.v1.2 pep primary_assembly:ASM411807v1:9:29207899:29212834:-1 gene:Vigun09g133300.v1.2 transcript:Vigun09g133300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFSSMRGFLFLVWLLEVVFVAVTAVNPSLNDDVLGLIVFKADIRDPKGKLASWSEDDESACGGGWVGVKCNPRSNRVVEVNLDGFSLSGRIGRGLQRLQFLRKLSLANNNLTGGINSNIARIDSLRVIDLSGNSLSGEVSEDVFRQCGSLRAVSLARNRFSGSIPSTLGECSALAAIDLSNNQFSGSVPSGIWSLSALRSLDLSDNLLEGEISKGVEAMKNLRSVSLARNRLTGNVPAGFGSCSLLRSIDLGNNSFSGSIPGDFKELTLCGYLSLRGNAFSGELPEWIGEMRGLETLDLSNNALTGRIPNSVGNLQSLKMLNFSGNGITGSLPESMVNCTKLLVLDVSRNSMSGGLPLWIFKSDLGKVLLSENGASGSKKSPLISLAEVAVQSLEVLDLSHNAFSGEITSAIGGLSSLHVLNLSYNSLTGPIPAAIGGAVSLKELVLKKNFLSGKIPTSIENCSLLTTLTLSQNWLSGPIPAAVAKLTNLQTVDLSYNNLTGNLPKQLANLANLLSFNLSHNNLQGELPACSFFNTISPTSVSGNPSLCGAAVNKSCPAVLPKPIVLNPNTSTDVSPGALPQTSGHKRIILSISALIAIGAAAVIVIGVISITVLNLRVRSSTSRDAAALTFSAGDEYSRSPTTDANSGKLVMFSGEPDFSSGAHALLNKDCELGRGGFGAVYQTVLRDGHSVAIKKLTVSSLVKSQEDFEREVKKLGKIRHQNLVELEGYYWTPSLQLLIYEYVSGGSLYKHLHEGSGGNFLSWNERFNVILGTAKALAHLHHSNIIHYNIKSTNVLLDSYGEPKIGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGILVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECIDERLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEELG >Vigun06g025300.1.v1.2 pep primary_assembly:ASM411807v1:6:11730361:11764218:1 gene:Vigun06g025300.v1.2 transcript:Vigun06g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYGSVGFVALVLFPFMASAASVVKNLPGYEGDLPFKLETGYIGVGEEGVNIFHLFVESQRNPFIDPVLLWFVGGPGCSALSAFFFENGPLIMNGDNSGNLPKLELNPYGWSHTLNMLYIDMPVGTGFSYSQTQQGYYSNDTLWVDHTYEFLQKWFIDHPKFNSNPFYIGGGSYSGITTGPLVQKVYEGYKARHKPVINIKGYVLASPGVDRYQEENMKVLYAYQRSLISEALYKSMKENCNGDYVSIDPQNTKCVSDYEAYSELVRYINEQQIMEPLCATTPGLNREMLQELQDPPTFWCRSYYHIFVDKWANDENVRRALHIREGTKEEFLRCNRTMAYAQTLQNSVQYYRNLTKANLEALVYCSDLDMSVPHLGTQHWINSFNMTIRDKWRAWFVDGQVAGYTELYKMKEDHFFTYAIVKGAGHVAQTFKPKEVYNLINRWFSFSLI >Vigun03g094600.1.v1.2 pep primary_assembly:ASM411807v1:3:8000945:8003687:1 gene:Vigun03g094600.v1.2 transcript:Vigun03g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFQGPNHQNDDVFLDALPHCPFNHCPATADTSPESSAEASILSDLNPPSPTPATTVRRRPTRRHSPARESKKNGSDDSSPTVPGASLWSDRNLETPKENEDSPEKCDSNQKKLRSSPSPSVASEEGNEESTLTSAENDDRATDSADSAVEIGNSPINLLDYVTGLVIRAIVFQINAFFVLVKCPVWLTVHAFMFFIDPFGAIRMGKGLLVDILGRVLCGVCGCIGSSAQGWLKEHKSLWNVAFRCGWGLLWSVYVCCILCALLVCSLVVSGILVKSLVEKPFQMSQGFNFDYTKQSPFALVPVMSCDNVGMGHDSGTNVAVGKRMGRRVIPANQKVEVTVSLVVPESEYNTNLGIFQIKVDFLSFDGKTIWTANQPCMLKFISEPIRLMMTFLKIVPLVTGFVSETQTLNVNLRGFVEGNVPTSCLKVTLEHRAEYPPGAGIPQIYDSSVIIESELPLIKRILWRWKLGIFLWIAMMAFMMELLFVLVCCLPIIIPRTRQGSDSARVTGGN >Vigun08g201400.6.v1.2 pep primary_assembly:ASM411807v1:8:36564326:36570992:-1 gene:Vigun08g201400.v1.2 transcript:Vigun08g201400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKREREVDRKVEDEGLDNESKRQKLDENSSPSPPPVSIANPLSGLANNYADIDEEEEYVRRERGSVNESRNDRSQHNGHRYGEADGSDEEDDSQEQLFSGRNSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFTGKEVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKEIPNKGTIDKKENNEDQNNAEKLLDGVNERYAFVKETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMKYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKENEKLRSKYDLIANVVHDGKPGEGLYRVFVQRKSEELWYEMQDLHVSETLPHLVALSEAYMQIYEQQG >Vigun08g201400.3.v1.2 pep primary_assembly:ASM411807v1:8:36564127:36571001:-1 gene:Vigun08g201400.v1.2 transcript:Vigun08g201400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKREREVDRKVEDEGLDNESKRQKLDENSSPSPPPVSIANPLSGLANNYADIDEEEEYVRRERGSVNESRNDRSQHNGHRYGEADGSDEEDDSQEQLFSGRNSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFTGKEVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKEIPNKGTIDKKENNEDQNNAEKLLDGVNERYAFVKETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMKYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKENEKLRSKYDLIANVVHDGKPGEGLYRVFVQRKSEELWYEMQDLHVSETLPHLVALSEAYMQIYEQQG >Vigun08g201400.5.v1.2 pep primary_assembly:ASM411807v1:8:36564308:36571026:-1 gene:Vigun08g201400.v1.2 transcript:Vigun08g201400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKREREVDRKVEDEGLDNESKRQKLDENSSPSPPPVSIANPLSGLANNYADIDEEEEYVRRERGSVNESRNDRSQHNGHRYGEADGSDEEDDSQEQLFSGRNSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFTGKEVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKEIPNKGTIDKKENNEDQNNAEKLLDGVNERYAFVKETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMKYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKENEKLRSKYDLIANVVHDGKPGEGLYRVFVQRKSEELWYEMQDLHVSETLPHLVALSEAYMQIYEQQG >Vigun08g201400.4.v1.2 pep primary_assembly:ASM411807v1:8:36564309:36571077:-1 gene:Vigun08g201400.v1.2 transcript:Vigun08g201400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKREREVDRKVEDEGLDNESKRQKLDENSSPSPPPVSIANPLSGLANNYADIDEEEEYVRRERGSVNESRNDRSQHNGHRYGEADGSDEEDDSQEQLFSGRNSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFTGKEVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLKTSKKNTSIIYECFQGELEVVKEIPNKGTIDKKENNEDQNNAEKLLDGVNERYAFVKETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMKYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKENEKLRSKYDLIANVVHDGKPGEGLYRVFVQRKSEELWYEMQDLHVSETLPHLVALSEAYMQIYEQQG >VigunL059241.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:23616:23777:1 gene:VigunL059241.v1.2 transcript:VigunL059241.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGHRGRTGHHATCGALPTAGPYLRLSRFQGGQAVKQKR >Vigun07g130100.1.v1.2 pep primary_assembly:ASM411807v1:7:23916644:23919257:-1 gene:Vigun07g130100.v1.2 transcript:Vigun07g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLIVLFMNVLVFSASVVSTEQSHISAVGDPGMQRDGLRVAFEAWNFCNEVGEEAPHMGSPRAADCFDLSDSLTHKVTEADNRLGVGESFPGLKLQNINNTDLYAAEKELYLGSLCEVADTPRPWQFWMVMLKNGNYDTTSGLCPKDGKKEPPFSPGRFPCFGKGCMNQPILCHERTQLKDGRMSGGFRGTYDLDAGCGGEQESASYYEVIWEKKVNVGSWEFKHKLKTSKKYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVKLRMDIKQGGGSKSQFYLLDMGSCWKNNGAPCDGDLLSDVTRYSEMIINPETPAWCSPKGLGNCPPYHITPDNKKIHRNDTANFPYSAYHFYCAPGNAEHLEQPVSTCDPYSNPHAQEILQLLPHPIWGEYGYPTKKGDGWVGDARTWELDVGGLSSRLYFYQDPGTPPARRVWTSLDSGTEIFISDKDEVAEWTLSEFDVILTEPGSNVTDKAERK >Vigun08g186300.1.v1.2 pep primary_assembly:ASM411807v1:8:35559139:35562905:-1 gene:Vigun08g186300.v1.2 transcript:Vigun08g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRIISIFCPKSSLTPSIPMVKQPFSLNFAPLDSLSSYPFLRSQTFGFPTEALHATSFVHKEVYSSSWRILGSKSSSTVELEEDRGVRARVTVRRKKLAVFVSGGGSNFRSIHEASKRGSLHGDVTVLVTDKSECGGAEYAKNNGIPVILFPKAKDEPKRLSPSDLVDTLRKFEVDFVLLAGYLKLIPVELIRAYERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLKEEHQLYVEVVEALCEDRIIWREDGVPLIQSRENPNEFH >Vigun01g003000.1.v1.2 pep primary_assembly:ASM411807v1:1:346951:349971:-1 gene:Vigun01g003000.v1.2 transcript:Vigun01g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTEVEDWEFLHPNDDVPVLFAAPTLSAIHLDHFALLPEDHHPNSNSDSNSNSNSNSTSNSDVDRNFDDSYVANQIFSNTTAPWTADSDSDTATAVTVPEAEPAVVESLKAEEEQEEIREEEEVKEEGEEKRLVWWKVPFEVLRCWVNPLPLPLPVWSLSVAAAATFLGLLFLGRRLYRMKRKTQTLKLNLALDDKKVSQLMGRVARLNEAFSVVRRVPIVRPPSLPASSVTLRPVMSLR >Vigun03g231100.1.v1.2 pep primary_assembly:ASM411807v1:3:38514144:38517858:1 gene:Vigun03g231100.v1.2 transcript:Vigun03g231100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTAESVRTISSEVSSDIIIQVKGTRYLLHKFPLLSKCLRLQRLCSESSDSPQHQIVQLPEFPGGVEAFEVCAKFCYGITITLSAYNIVSARCAAEYLQMIEDVEKGNLIYKLDVFFNSCILNGWKDSIVTLQTTKALPLWSEDLAITSRCIEAIASKVLSHPSKVSLSHSHSRRVRDDVSSCNGTESLRHKSSSKGWWAEDLADLSIDLYWRTMIAIKSGGKTPSNLIGDALKIYASRWLPNIRKNVHVKRETESDSDADSDSASEVTSKHRLLLESIVSLLPAEKGAVSCSFLLKLLKAANILKASASSKVELATRVGLQLEEASVNDLLIRSVSKTNNMMYEVDLVMTILEQFMLQGQSPPTSPPRSRLTIERRRSRSAENINFEFQESRRSSSASHSSKLKVAKLVDRYLQEVARDVNLPLSKFIALAETIPDFARHDHDDLYRAIDIYLKAHPELNKNERKRLCRILDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAAAGGKVTDMPSNIKALLTAHGIDPSKHTAPLSTTTSIHADDNWSVSGFKSPKSTKNTTLRMKLAEDDLDANVVPRDEIGRTSRFKGLLALPAQPKRMFSKLWPTNRTATEKN >Vigun03g231100.2.v1.2 pep primary_assembly:ASM411807v1:3:38514144:38517858:1 gene:Vigun03g231100.v1.2 transcript:Vigun03g231100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDVEKGNLIYKLDVFFNSCILNGWKDSIVTLQTTKALPLWSEDLAITSRCIEAIASKVLSHPSKVSLSHSHSRRVRDDVSSCNGTESLRHKSSSKGWWAEDLADLSIDLYWRTMIAIKSGGKTPSNLIGDALKIYASRWLPNIRKNVHVKRETESDSDADSDSASEVTSKHRLLLESIVSLLPAEKGAVSCSFLLKLLKAANILKASASSKVELATRVGLQLEEASVNDLLIRSVSKTNNMMYEVDLVMTILEQFMLQGQSPPTSPPRSRLTIERRRSRSAENINFEFQESRRSSSASHSSKLKVAKLVDRYLQEVARDVNLPLSKFIALAETIPDFARHDHDDLYRAIDIYLKAHPELNKNERKRLCRILDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAAAGGKVTDMPSNIKALLTAHGIDPSKHTAPLSTTTSIHADDNWSVSGFKSPKSTKNTTLRMKLAEDDLDANVVPRDEIGRTSRFKGLLALPAQPKRMFSKLWPTNRTATEKN >Vigun01g072366.1.v1.2 pep primary_assembly:ASM411807v1:1:20017934:20019276:-1 gene:Vigun01g072366.v1.2 transcript:Vigun01g072366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTQIHNHLHLSRRIRAFQEIQALIYLKSPSFKRIYIHILVVRDYAYSRPITSVVFQLIMTVGHLFIGMGWLTGQLFQPLHHSCLVSNFGALYNFITQENLEATLVFSSLIVSTKYGITAVAFAKCCY >Vigun03g202226.1.v1.2 pep primary_assembly:ASM411807v1:3:31454017:31456272:1 gene:Vigun03g202226.v1.2 transcript:Vigun03g202226.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFESANEVKNYYREYAIKSGFGIRIRTSKKDVDNQMCYLKLVCSREGKCVSSIPPEMKTLPTQRKQCPARMTAVRKQDMWMISSVVDEHNHDVSPTKSRLIRGNRKLNMQVKRTLDLNDQAGVRINNLQFVERDARNYIGKQRRALGKEGDGQALLNHFSAMRELNKDFFFEIDMDPDNRISNVFWADASSRPAFMEFGDVVDFYLQKTVVHLCGYFDVGCDAWEINPLKALSLISARLCKMQSKWSSPTHDIVEDFESGWNNFIELYDLQLNEWLHTLFEERHRWVPCYLKCDFWAGMSITQRSEGMNVFFDGFINSTTTLQQFVVQYDNALRSKAEKEYEADFSSLNTTIPCGSQSFIERQFQEEYTHAKFREVQNKFRCKMNYNVKNVAFDGIRTKYFVKEALIWKGESADKMHEVLFDPSTKDIECSCRLFEFRGILCRHSLMVLAQEDVRCVSQKYILGRWSKRIRRRHTLIRASYNTKKDEPNVKRYDFLCKKFYDIAEVTCESQSGTDFLVDQLESLSKNASIRDAGATSLGAQKDMSSTPNTAVEHNNILSPAHVKRKGRPRGLRMQSTVEKIGKKKICKAARNKACRDGISVHHGDLGTSTKQSTGAEMHGRDINNMCTGFVSSLTSVESTQLCHESQHIFR >Vigun05g138400.1.v1.2 pep primary_assembly:ASM411807v1:5:16809827:16813674:-1 gene:Vigun05g138400.v1.2 transcript:Vigun05g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLEDFSSVAKQADLLRKHGNNYFKKHHFGAAIDAYTQAIALCPDVPVYWTNRALCHLKRSNWTRVEEDSREAIKLDSNLVKAHYMLGLALVKRQEFAKGILELKKALDLDRGSHPSGEMVEEIWQELAKAKYLEWEHSYVKRSWELQNLKEACEDALKQKHFLEVPHTEGSVEDDTTHLEQLEALGKVFVKVAEHDMPTEVPDYLCCRISLDIFCDPVITPSGLTYEKTVIIDHLEKVGKFDPVTREPLDPSQLIPNLAIKQAVEAFLEKHGWAYKDILTKTF >Vigun01g111600.1.v1.2 pep primary_assembly:ASM411807v1:1:28555333:28558548:1 gene:Vigun01g111600.v1.2 transcript:Vigun01g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQTTPSPSMPCLPNQHSTLSSSFLIQSNCFIKIKTMVSKHGGFYEQGSFPSNKILILLLLNSILHKANSGCNNNSCSNSLVPFPPHEILSSLQTLPLDGYFSLSNNEDAAKDFGNIHHFPPLAVLHPKTVSDISNTIKHVFEMGFASQLKVAARGHGHSLQGQAQANGGLVINMESLQGPEMKVHIGDFPYVDVSGGELWINILHETLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNIFQLEVITGKGEVVTCSGNRNADLFHGVLGGLGQFGIITRARISLETAPKMVKWIRVLYSEFSIFTRDQEHLISLKNTFDYIEGFVIINKTGILNNWRSSFDPKNPLQASQFNSDGRTFYCLEMAKYFNPDEAELMNQSIDHLLSKLSYIQPTLFRSEVSYVEFLDRVHVSEKNLRAKGLWEVPHPWLNLLIPRSEIHDFAEEVFGKILKDTSNGPILIYPVNQTRWNSKTSLVTPEEDVFYLVAFLSSAVPNSTGANSLEQIVAQNKRIIEFYTSAELKVKQYLPHYSTEEEWKAHFGSQWEAFVERKKAYDPLALLAPGHRIFQKAVSASC >Vigun03g439800.1.v1.2 pep primary_assembly:ASM411807v1:3:64287708:64291194:-1 gene:Vigun03g439800.v1.2 transcript:Vigun03g439800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPSLMMVIASFLLLLFWLARIYKQKIKAEKLPPGPWKLPLIGNLHQLAVAGTLPHHTLQNLSNKYGPLMHLQLGQISAVIVSSPDMAKEIMKTNDLNFVQRPALLAPKILAYGSADIAFSPYGDYWRHMRKICTLELLSAKRVQSFSFIREDEVNKLIESIGACACRGSQVNVSKSVSSLVSSIVMRTAFGKKCEYEERLLCLLKEGAELAGGFDVADLFPSMKPLHLITGLKAKLEKMHEELDKILDNIISEHRSKHREGDAEENLVDVLLRIQQSATLDIPVTMDNIKAIIWADIFGAGTDTSSVVLEWSMSELLKNPRVMKKAQTEIREALNGKKRVSESEVQEVRYLKWVIKETLRLHPPGPLLPRECREACMIGGYEIPVKTKVIVNAWAIGRDPKHWYDAEKFIPERFDGTDFDFKGNNFEYIPFGGGRRMCPGILLGLANVQLPLAALLYHFDWELPNGIKPEKLDMTESFGASVGRKNNLYLLPTPYQYSLHHRLIVDSPS >Vigun01g066800.3.v1.2 pep primary_assembly:ASM411807v1:1:17920220:17927006:1 gene:Vigun01g066800.v1.2 transcript:Vigun01g066800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNRRSGGGVHMEYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERASGSTALVSPSLSPGSVAGEGKLEFNESFKLPVTLSRDMSIRNSTAEVFQKNCLEFHLYETRRDKTVKGQLLGTAIIDLADCGVLRETLSIRTPLNCQRNYRNTDQPLLFVQIEPVEKSHPRSSLKDSLSKVEPKDNNGNESVSSLMNGEYAEEAEVASFTDDDVSSHSSAAAVTTASDVSSHSSVAAVTTSTESTACMPPEQENGPNESQQNSGTNDKGYHPLASEARVEKLNVTAQDAHERLERSSSYVSSVDVISEVGSPANGHTSNTSTPHYRSVTTPKQVSSFNADSSSPSLEEDSKIRFRSSEHENLDQEGSEKVANCREMGTGVQINSNESNESDFDICSGNTASVGRNYLDKNPRFGLETKNNLSERSEEVGKSLVQEGGSDTYYSSIGDKDGNETLHFEELYLVEDESVVQYAKDQALLSSNLYSLGGSDNGMKDSFMKNERLKHVKSVRSSADSIRSIGSLGNNHLTEVKENGVNGDVQNNGGVQNNGGNIQSSDRKDAKVYPREARNAILDNKIEQMENKIKMLEGELREAAAIEAALFSVVAEHGSSMSKVHAPARRLSRLYLHACKENLQARRAGAARSAVSGLVLVAKACGNDVPRLTFWLSNSIVLRTIISKTTKDITPSNTSGSRTRRKNGEVKVGKLTQPLIWRGFSPRKNDNTAFENRGIGNWDDPNVFTSALEKVEAWIFSRIVESIWWQSLTPCMQNSDAKVTRKDSSKDYKNVSGSCDQDQGNLSLDIWKNAFREACERLCPIRAGGHECGCLSVLPRLIMEQCVARLDVAMFNALLRESDDDIPTDPVSDPIGDPKVLPIPPGKLSFGSGAQLKTAIGNWSRWLTDLFGLDDDDSHDRDDDELDSNDGSQNSSFKSFHLLNALSDLLMLPKDMLLNASIRKEVCPMFTAPLIMRILDNFLPDEFCPDPIPDDVFEALDSQDDLDDENESINNFPCNAAPIAYSPPPPTTITSITGEIGSESQLRRSKSSIVRKSYTSDDELDELNYPLSLILNSGSSSPASKNNCKWKDGRDESAIRFELLRDVWMNSE >Vigun01g066800.1.v1.2 pep primary_assembly:ASM411807v1:1:17920129:17927051:1 gene:Vigun01g066800.v1.2 transcript:Vigun01g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNRRSGGGVHMEYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERASGSTALVSPSLSPGSVAGEGKLEFNESFKLPVTLSRDMSIRNSTAEVFQKNCLEFHLYETRRDKTVKGQLLGTAIIDLADCGVLRETLSIRTPLNCQRNYRNTDQPLLFVQIEPVEKSHPRSSLKDSLSKVEPKDNNGNESVSSLMNGEYAEEAEVASFTDDDVSSHSSAAAVTTASDVSSHSSVAAVTTSTESTACMPPEQENGPNESQQNSGTNDKGYHPLASEARVEKLNVTAQDAHERLERSSSYVSSVDVISEVGSPANGHTSNTSTPHYRSVTTPKQVSSFNADSSSPSLEEDSKIRFRSSEHENLDQEGSEKVANCREMGTGVQINSNESNESDFDICSGNTASVGRNYLDKNPRFGLETKNNLSERSEEVGKSLVQEGGSDTYYSSIGDKDGNETLHFEELYLVEDESVVQYAKDQALLSSNLYSLGGSDNGMKDSFMKNERLKHVKSVRSSADSIRSIGSLGNNHLTEVKENGVNGDVQNNGGVQNNGGNIQSSDRKDAKVYPREARNAILDNKIEQMENKIKMLEGELREAAAIEAALFSVVAEHGSSMSKVHAPARRLSRLYLHACKENLQARRAGAARSAVSGLVLVAKACGNDVPRLTFWLSNSIVLRTIISKTTKDITPSNTSGSRTRRKNGEVKVGKLTQPLIWRGFSPRKNDNTAFENRGIGNWDDPNVFTSALEKVEAWIFSRIVESIWWQSLTPCMQNSDAKVTRKDSSKDYKNVSGSCDQDQGNLSLDIWKNAFREACERLCPIRAGGHECGCLSVLPRLIMEQCVARLDVAMFNALLRESDDDIPTDPVSDPIGDPKVLPIPPGKLSFGSGAQLKTAIGNWSRWLTDLFGLDDDDSHDRDDDELDSNDGSQNSSFKSFHLLNALSDLLMLPKDMLLNASIRKEVCPMFTAPLIMRILDNFLPDEFCPDPIPDDVFEALDSQDDLDDENESINNFPCNAAPIAYSPPPPTTITSITGEIGSESQLRRSKSSIVRKSYTSDDELDELNYPLSLILNSGSSSPASKNNCKWKDGRDESAIRFELLRDVWMNSE >Vigun01g066800.2.v1.2 pep primary_assembly:ASM411807v1:1:17920129:17927042:1 gene:Vigun01g066800.v1.2 transcript:Vigun01g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNRRSGGGVHMEYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERASGSTALVSPSLSPGSVAGEGKLEFNESFKLPVTLSRDMSIRNSTAEVFQKNCLEFHLYETRRDKTVKGQLLGTAIIDLADCGVLRETLSIRTPLNCQRNYRNTDQPLLFVQIEPVEKSHPRSSLKDSLSKVEPKDNNGNESVSSLMNGEYAEEAEVASFTDDDVSSHSSAAAVTTASDVSSHSSVAAVTTSTESTACMPPEQENGPNESQQNSGTNDKGYHPLASEARVEKLNVTAQDAHERLERSSSYVSSVDVISEVGSPANGHTSNTSTPHYRSVTTPKQVSSFNADSSSPSLEEDSKIRFRSSEHENLDQEGSEKVANCREMGTGVQINSNESNESDFDICSGNTASVGRNYLDKNPRFGLETKNNLSERSEEVGKSLVQEGGSDTYYSSIGDKDGNETLHFEELYLVEDESVVQYAKDQALLSSNLYSLGGSDNGMKDSFMKNERLKHVKSVRSSADSIRSIGSLGNNHLTEVKENGVNGDVQNNGGVQNNGGNIQSSDRKDAKVYPREARNAILDNKIEQMENKIKMLEGELREAAAIEAALFSVVAEHGSSMSKVHAPARRLSRLYLHACKENLQARRAGAARSAVSGLVLVAKACGNDVPRLTFWLSNSIVLRTIISKTTKDITPSNTSGSRTRRKNGEVKVGKLTQPLIWRGFSPRKNDNTAFENRGIGNWDDPNVFTSALEKVEAWIFSRIVESIWWQSLTPCMQNSDAKVTRKDSSKDYKNVSGSCDQDQGNLSLDIWKNAFREACERLCPIRAGGHECGCLSVLPRLIMEQCVARLDVAMFNALLRESDDDIPTDPVSDPIGDPKVLPIPPGKLSFGSGAQLKTAIGNWSRWLTDLFGLDDDDSHDRDDDELDSNDGSQNSSFKSFHLLNALSDLLMLPKDMLLNASIRKEVCPMFTAPLIMRILDNFLPDEFCPDPIPDDVFEALDSQDDLDDENESINNFPCNAAPIAYSPPPPTTITSITGEIGSESQLRRSKSSIVRKSYTSDDELDELNYPLSLILNSGSSSPASKNNCKWKDGRDESAIRFELLRDVWMNSE >Vigun11g201100.1.v1.2 pep primary_assembly:ASM411807v1:11:39920628:39922896:1 gene:Vigun11g201100.v1.2 transcript:Vigun11g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLLLLLAVLSSTAVLLTGAQSIGVCYGANGDNLPPRQEVVDLYKSNGIGKIRLYYPDEGALQALGGSNIEVILGVPNDQLQSVTDAGGASDWVNKYVTPYSNVNFKYIAVGNEVPSGDALAGSVLPALQSIQTAISSANLQEQIKVSTAIDTSLLGNSYPPNDGVFRDDATSYITPIVNFLAQNGAPLLANVYPYFAYVQNQQSITLDYALFTQQGNNEVGYQNLFDASLDSLYAALEKIGQSNVEVVVSESGWPSEGDVGASVDNAGTYYRNLITHAKGGTPKRPNGPIETYLFAMFDENQKDGAETERHFGLFNPDKSPKYQLGFN >Vigun08g202200.1.v1.2 pep primary_assembly:ASM411807v1:8:36635377:36639015:1 gene:Vigun08g202200.v1.2 transcript:Vigun08g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAFSFFFLLISFFSSSEAGSVGVNYGRLANDLPTPAKVVELLKSQGLNRAKLYDTDATVLTAFSNSGIKVTVAMPNELLSNAAADQSFTDSWVNDNISKYYPATQIEAIAVGNEVFVDPNNTTEFLVPAMKNVLASLTKNNLNTNIKISSPIALSALQSSFPASSGSFKTELVEPVIKPMLDLLRQTGSPLMVNAYPFFAYSANADKISLDYALFRENAGVVDSGNGLKYTNLFDAQIDAVFAAMSALQYNDVKITVSETGWPSAGDSNEIGAGPENAAAYNGNLVRRVLGQNGTPLKPNESVDVFLFALFNENQKTGPTSEKNYGLFYPSEKKVYDIPLTAEGVKNVPSSGVGKNQVPASGDVATTSHQGQTWCVANGGSSEEKLQNGLNYACGEGGADCTPIQPGATCYNPDTLEAHASYAFNSYYQKKARAAGTCDFGGTAYVVTQPPKYGTCEFPTGY >Vigun02g163300.1.v1.2 pep primary_assembly:ASM411807v1:2:30810191:30815353:1 gene:Vigun02g163300.v1.2 transcript:Vigun02g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSISFFFIFTSFIFSVSHSIPFIVIHGIGDQCSNRGLKRFTEELSSFSGVEGYCVEIGNGSWDSWFVSLKEQADIVCEKVKKMKELKEGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFVSLGGPHAGTASVPLCGSGIFCILADQLIKGEVYSSYIQEHLAPSGYLKLPNAIPEYLENCRFLPVLNNEIPDKRNSTYKERFSSLQNLVLIMFEHDTVLIPKETSWFGYYPDGYFNPILPPQSTQLYIEDWIGLRTLDEAGKVHFVSVPGKHLGISEPDMKKYVVPYLKDQTSQEHSINEVRFRMRGVNQKVVQSNTMEVEPSTTPLLDGSLSYSWPAPFKAFVGGLIGMNEEKST >Vigun06g154300.1.v1.2 pep primary_assembly:ASM411807v1:6:27817713:27822772:-1 gene:Vigun06g154300.v1.2 transcript:Vigun06g154300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRHTRDLLLVVDRNSESRESKREEKQMSELFKNMRELKQILYGNSDSEPVAEACAQLTQEFFKEDTLRLFIKCLPKLNLEARKDATQVVANLQRQQVQFKLIASDYLEKNLDLMDVLILGYENTDMALHYGAMLRECIRHQIVAKYVLNSPLMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNTKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQHKPADIVSIFVANKSKMLRLLEDFKIDKEDEQFEADKAQVMKEIEAL >Vigun08g062300.1.v1.2 pep primary_assembly:ASM411807v1:8:8457585:8461018:1 gene:Vigun08g062300.v1.2 transcript:Vigun08g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPHDQPQWEFSCDMEMDFGSEENASIVYATLAVDKELQPDKVKRGMSVSDGKLSVHFEATEARFLRASFSAFVDVLTLATKTIEQFGQEMKL >Vigun06g231800.1.v1.2 pep primary_assembly:ASM411807v1:6:33829157:33832662:1 gene:Vigun06g231800.v1.2 transcript:Vigun06g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSLFSTLNTTSSLPHFHAPKLSSSSHFPTKPSTTHLPLSHKWRAKVSFFPSFLKKRKDAKIIKEELLQAIEPLDRGADATLQDQETVDQIASELEAVSPIKEPLKSNLLGGKWELIYTTSQSILQTKRPKFFRSVANYQAINVDTLRAQNMESWPFFNQVTADLTPLNPRKVAVKFDTFKIGGIIPIKAPGRARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRVPV >Vigun05g216400.1.v1.2 pep primary_assembly:ASM411807v1:5:40826262:40828954:-1 gene:Vigun05g216400.v1.2 transcript:Vigun05g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQQHGNRELGLSAIALGSKNEYKRMHSELPEGDDDVLQQEARRNSTRKYVIACATFASLNNVLLGYDVGVMSGAVIFIKEDLKISEVKEEFLVGILSVISLLGSLGGGRTSDIIGRKWTMAIAAVIFQMGSLIMTLAPSFSMLMVGRFLAGVGIGFGGLIAPIYIAEISPNTSRGFLTTFPEIFINLGILLGYVSNYAFSGFSAHINWRIMLAVGILPSVFIGFALFIIPESPRWLVMQNRIEEARSVLLKTNESDSEVEERLAEIQQAAGLANGENYEEKPVWYELMFPSPSLRRMMITGIGIQCFQQISGIDATVYYSPEIFKAAGIEDNSKLLAATVAVGVTKTVFILVAIVLIDKKGRRPLLFVSTIGMTICLFGIGVSLSLFSQGSFVIALAIVLVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASSLGAVGNRVCSGLVAMSFLSVSRAITVGGAFFVFAAISSLAIVFVYVLVPETKGKSLEQIEHMFKNEHERQGSEMELGDVEVQQLVQDKTILTD >Vigun03g053000.4.v1.2 pep primary_assembly:ASM411807v1:3:4358942:4371857:-1 gene:Vigun03g053000.v1.2 transcript:Vigun03g053000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVMRVREDVRVEDDTTQTELTKIRLLRAMVEARDPSAKEEDDFTIRRFLRARDLDLEKASTMFLKYLKWRHSFVPNGSVTVSEVRNDLAQEKVFMQGHDKIGRPIAVVVGRKHFQNKDGGDEFKRFVVYVFDKICASIPPGQEKFVAIAELKGWGYSNSDVRGYLGALTVLQDYYPERLGKLIIVNAPYIFMKVWQLIYPFIDNKTKKKIVFVDKNKVKSALLEDIDESNMPEIFGGPLPLVRIQDI >Vigun03g053000.2.v1.2 pep primary_assembly:ASM411807v1:3:4358813:4372065:-1 gene:Vigun03g053000.v1.2 transcript:Vigun03g053000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVMRVREDVRVEDDTTQTELTKIRLLRAMVEARDPSAKEEDDFTIRRFLRARDLDLEKASTMFLKYLKWRHSFVPNGSVTVSEVRNDLAQEKVFMQGHDKIGRPIAVVVGRKHFQNKDGGDEFKRFVVYVFDKICASIPPGQEKFVAIAELKGWGYSNSDVRGYLGALTVLQDYYPERLGKLIIVNAPYIFMKVWQLIYPFIDNKTKKKIVFVDKNKVKSALLEDIDESNMPEIFGGPLPLVRIQDI >Vigun03g053000.3.v1.2 pep primary_assembly:ASM411807v1:3:4358836:4372016:-1 gene:Vigun03g053000.v1.2 transcript:Vigun03g053000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVMRVREDVRVEDDTTQTELTKIRLLRAMVEARDPSAKEEDDFTIRRFLRARDLDLEKASTMFLKYLKWRHSFVPNGSVTVSEVRNDLAQEKVFMQGHDKIGRPIAVVVGRKHFQNKDGGDEFKRFVVYVFDKICASIPPGQEKFVAIAELKGWGYSNSDVRGYLGALTVLQDYYPERLGKLIIVNAPYIFMKVWQLIYPFIDNKTKKKIVFVDKNKVKSALLEDIDESNMPEIFGGPLPLVRIQDI >Vigun03g053000.5.v1.2 pep primary_assembly:ASM411807v1:3:4358813:4362557:-1 gene:Vigun03g053000.v1.2 transcript:Vigun03g053000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVMRVREDVRVEDDTTQTELTKIRLLRAMVEARDPSAKEEDDFTIRRFLRARDLDLEKASTMFLKYLKWRHSFVPNGSVTVSEVRNDLAQEKVFMQGHDKIGRPIAVVVGRKHFQNKDGGDEFKRFVVYVFDKICASIPPGQEKFVAIAELKGWGYSNSDVRGYLGALTVLQDYYPERLGKLIIVNAPYIFMKVWQLIYPFIDNKTKKKIVFVDKNKVKSALLEDIDESNMPEIFGGPLPLVRIQDI >Vigun03g053000.1.v1.2 pep primary_assembly:ASM411807v1:3:4358837:4372065:-1 gene:Vigun03g053000.v1.2 transcript:Vigun03g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVMRVREDVRVEDDTTQTELTKIRLLRAMVEARDPSAKEEDDFTIRRFLRARDLDLEKASTMFLKYLKWRHSFVPNGSVTVSEVRNDLAQEKVFMQGHDKIGRPIAVVVGRKHFQNKDGGDEFKRFVVYVFDKICASIPPGQEKFVAIAELKGWGYSNSDVRGYLGALTVLQDYYPERLGKLIIVNAPYIFMKVWQLIYPFIDNKTKKKIVFVDKNKVKSALLEDIDESNMPEIFGGPLPLVRIQDI >Vigun04g162300.1.v1.2 pep primary_assembly:ASM411807v1:4:38572495:38574707:-1 gene:Vigun04g162300.v1.2 transcript:Vigun04g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKINSITSPVLCKLCTEKPKHRGRNTILFSFSSSGKQQKSISTSTRIENPASEAYTVSFKTEKGCKLAISRYPDFEYDAEGGMGTGVAAKVTESGSANNDLHVSFDLESLYIPPLTSSTAKFLGLPLPPFLKIDVVPEALHGSINQESGKVDLDFKAKFLFSAGSFYKAPPLLVKTVLTSEETKGSMKSGRGMRLDKEGKCRLVGVATVDPINDFFMNSFLGLPTECLAELKAVISISSSS >Vigun03g354700.1.v1.2 pep primary_assembly:ASM411807v1:3:55622426:55624352:-1 gene:Vigun03g354700.v1.2 transcript:Vigun03g354700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRLQVQGVELGNKDLIFSSGIKFEWDSLEGKVRPTSFFASVGQAGFGFGISPNPPAATTRESGTKPSMVYSSSKYVLMPEAGLRSSGSEGLLSGGAVEVDEEGHGTRKEKKRKAFKLKFKIGNPSLRRLMSGAIAGAVSRTAVAPLETIRTHLMVGSCGHTSLQVFQSIMETDGWKGLFRGNFVNIIRVAPSKAIELFAYDTVKKQLSPKPGEQPKIPFPPSSIAGAVAGVSSTLCTYPLELLKTRLTVQRGVYKNMVDAFVRIIGEEGPAELYRGLTPSLIGVIPYAATNYFAYDTLRKGYKKIFGKEEIGNVMTLVIGSAAGAFSSSATFPLEVARKHMQAGALNGRHYGNVLHALISILEKEGAAGLYRGLGPSCLKLVPAAGISFMCYEACKRILVEDEQD >Vigun07g248300.1.v1.2 pep primary_assembly:ASM411807v1:7:36801268:36802888:1 gene:Vigun07g248300.v1.2 transcript:Vigun07g248300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLRSFFCVCCLLLITIFMSGVSADKNTKNFHYFCDHTNNRGNYTANSTYETNLNTLLSTITSNTEIEYGFYNLTEGENTDQVYAVGLCRGDVKPDECRNCLGHSRGNLSEVCPNRKEAIGWYEDEKCMLRYSNRKILGLMETGPAYFAWNLIEATQAEEFNKVVKDLLDGLRSKAASGDSRSKYATANATGPDNKIIYGLVQCTPDLSGPDCDNCLIQSIKEITNCCDSKIGTRIVRPSCNLRYETSSPFFGSQAYTPSPSSSSSPPSMVTTNTSAEDKRDASGSTCGDLVSILLFCCFVASFSVFA >Vigun05g197600.1.v1.2 pep primary_assembly:ASM411807v1:5:38206077:38209546:-1 gene:Vigun05g197600.v1.2 transcript:Vigun05g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHSTVAPRAFLPSISKPKLKAPHTKSFAGAGAHDFPRFSRSRIFSGNNRAVAASAAGEEFDVISVQSNDITDQQEGVLVSRVEIDGADGELVTQVNGFGANDGLLSLEGFSSSSAPSSSALVGSESEEDEEKLIDRTINATIVLAAGTFAVTKLLTIDSDYWHGWTLYEILRYAPQHNWSAYEEALKTNPVLAKMMISGIVYSVGDWIAQCFEGKPLFEFDRARMFRSGIVGFTLHGSLSHYYYHFCEDLFPYKEWWVVPAKVVFDQTAWSAVWNSIYYTVVAILRLDPPISILNELKATFFPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDTVELVWVTILSTFSNEKSEAKSSESMIPTEVISTTSVHPPEE >Vigun06g150600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27533897:27534591:-1 gene:Vigun06g150600.v1.2 transcript:Vigun06g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVPSIALFLSLNVLLFSMVSCNTPAPAKCPDMKICKSAFAPPFKPDPNCCPLLGGLTDNDAAVCICSFVNLTFGSTIYQDILVNALLRACARKETTNHCKFE >Vigun08g144100.1.v1.2 pep primary_assembly:ASM411807v1:8:31657410:31660396:1 gene:Vigun08g144100.v1.2 transcript:Vigun08g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFYMLSRRLKKTNMSMSRLVFAACIISMAAIALAATPVTYIFGDSLTDVGNNNFLQYSLAKSNYPWYGIDYSGGQATGRFCNGRTIGDFISAKLGIPSPPPYLSVTQNVDTLLKGVNYASGGAGILNDTGLYFIQRLSFDDQIKNFKKTKEVITANIREVAANKHFNEATFFIGIGSNDYVNNFLQPFLPDGQQYTHEEFTELLISTLDQQLQSLYQLGARKIVFHGLGPLGCIPSQRVKSKSGQCLKRVNEWILQFNSNVQKLINRLNHRLPNAKFIFADTYPLVLDLINNPSTYGFKVSNTSCCNVDTSIGGLCLPNSKVCRNRHEFVFWDAFHPSDAANAVLAEKFFSLLFTSFKSAAPTAAPTPSPTP >Vigun04g071200.1.v1.2 pep primary_assembly:ASM411807v1:4:8267069:8267717:1 gene:Vigun04g071200.v1.2 transcript:Vigun04g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNAGQAKGQAQEKASNMMDKASDAAHSAQDSMQQGGQQMKEKAQGVVDGIKNALNSNN >Vigun09g199900.2.v1.2 pep primary_assembly:ASM411807v1:9:37430774:37435096:1 gene:Vigun09g199900.v1.2 transcript:Vigun09g199900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLGCFWIKYRKVKPKINGDPFKSDDVEGSASNYPMVLVQIPMCNEREVYDQSISAVCGIDWPRDRLLIQVLDDSDDESIQWLIKEEVSKWSQKGINIIYRHRLIRTGYKAGNLNSAMSCDYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLSFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVSCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKVSAWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPLWVICYVPVFMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLAAAEREAKSIEQQKIHRGASDSDLMESQKLLEHKEAAPTTVKKTNKIYKKELTLAFLLLTASIRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >Vigun09g199900.1.v1.2 pep primary_assembly:ASM411807v1:9:37430774:37435096:1 gene:Vigun09g199900.v1.2 transcript:Vigun09g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSNWWTKDTQKGTPVVVKMENPAFSVVEINGADAAFRPVEKSRGKNAKQVTWVLLLRAHRAVGCVTWFATVLWALLGAIKKRLIHGQGVAMESESDKLEKGKLLFRVIRVFLVTSLAVLAFEVVAYLQGWHFGNPTLHIPRTSDFEGLLHLAYVAWLTFRAEYIAPPIQALSKFCVVLFLIQSVDRMVLCLGCFWIKYRKVKPKINGDPFKSDDVEGSASNYPMVLVQIPMCNEREVYDQSISAVCGIDWPRDRLLIQVLDDSDDESIQWLIKEEVSKWSQKGINIIYRHRLIRTGYKAGNLNSAMSCDYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLSFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVSCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKVSAWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPLWVICYVPVFMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLAAAEREAKSIEQQKIHRGASDSDLMESQKLLEHKEAAPTTVKKTNKIYKKELTLAFLLLTASIRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >Vigun06g097000.1.v1.2 pep primary_assembly:ASM411807v1:6:22826409:22828413:-1 gene:Vigun06g097000.v1.2 transcript:Vigun06g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGEARAACPQRVMLCLLEKGIDFELVPVHLQQGQHKTPQFLLLQPFGQVPVVEDGDFRLFESRAIIRYYATKYAERGSDLLGKTLEERALVEQWLEVEAHNFNNLCFTIMFQLVILPKMGKVGDLALAEKSEKDLEKVLDVYETRLSQSTYLAGHFFSLADLSHLPGLAHLIEEAKLGHLVTQRKNVNTWWQKISSRPAWNKLKDLTH >VigunL059139.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000279.1:23630:24176:1 gene:VigunL059139.v1.2 transcript:VigunL059139.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g174300.3.v1.2 pep primary_assembly:ASM411807v1:5:31862653:31864216:-1 gene:Vigun05g174300.v1.2 transcript:Vigun05g174300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGGNLIMGNEQKTWCVAKPSSDQATLLSNLNFACSQVDCRILQKGCPCFYPDNLMNHASIAMNLYYQSKGRNHWNCDFRASALVSLTDPSYGNCIYA >Vigun05g174300.1.v1.2 pep primary_assembly:ASM411807v1:5:31862653:31864216:-1 gene:Vigun05g174300.v1.2 transcript:Vigun05g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVPLLLFLVFLSLSPGGNLIMGNEQKTWCVAKPSSDQATLLSNLNFACSQVDCRILQKGCPCFYPDNLMNHASIAMNLYYQSKGRNHWNCDFRASALVSLTDPSMLHHNTTKFTCIF >Vigun05g174300.2.v1.2 pep primary_assembly:ASM411807v1:5:31862653:31864216:-1 gene:Vigun05g174300.v1.2 transcript:Vigun05g174300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVPLLLFLVFLSLSPGGNLIMGNEQKTWCVAKPSSDQATLLSNLNFACSQVDCRILQKGCPCFYPDNLMNHASIAMNLYYQSKGRNHWNCDFRASALVSLTDPSYGNCIYA >VigunL041500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:399461:400540:-1 gene:VigunL041500.v1.2 transcript:VigunL041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun03g444800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64694152:64695420:-1 gene:Vigun03g444800.v1.2 transcript:Vigun03g444800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQTTPLLSSSLTKINASIRLPNPSPLSSSLPKLPSTTTLTIHQLNGSKHTIPLENNVVTTHIYQHLFTPTTNKSNNSTVQLYAILEEVADRIQMHANIGEQRNNWNTLLLNSVNIITLTATAMAGVAAVTTADAGAPLLALKLSSTLLFSAATGMSLIMNKIQPSQLAEEQRNATRLFKQLHTQIQTLIAIGNPTEKDVKSSMEKVLALDRAYPLPLLGAMLEKFPAKYEPAVWWPSSQSQRRSKTQESKRGNNGWSEELEKELREVMKVIKKKDSEDYERLGNIALKVSKSLAIAGPLLSGIAAVGSSFLGNGSLAALVPLLAGSLASAVNAFEHGGQVGMVFEMYRNCGGFFTLLEETIKETLEEKDSEKRENGEVFEMKVAIKLGRSVSELRRLASKSASCGMEGIAVDEFASKLF >Vigun05g090300.2.v1.2 pep primary_assembly:ASM411807v1:5:8696885:8713324:1 gene:Vigun05g090300.v1.2 transcript:Vigun05g090300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALESIGKTLSSQYERWQPKARYKCQLDPTVDEVKKLCTTCRRYAKSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPINELDSWLKTPSIYVFDCSAAGMIVNSFIELHEWSASNSTVSQRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSHPMLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEFQPSTFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFIKFLDSMEAYPEQRAMAAFVLAVIVDGHRRGQEACMEAGLIHVCLKHLQSSCPNDSQTEPLFLQWLCLCLGKLWEDFSEAQTIGLQEDATTIFVPLLSAPQPEVRASAVFALGTLLDVGFDTCRSVGGDEECDDDEKFRAEVSIVKSMLCVASDGSPLVRAEVAVALARFAFGHNKHLKSIAAAYWKPQPNSLINSLPSLANIKGSVGGYPKQNQHIPHGSIVSPQIGPIRVGSDNSPVVRDGRVSSSSPLAGSGIMHGSPLSDDSSHHSDSGILNDGFSNGVVNHTGPKPLDNALYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVAKPLKSSGVRTAESTASTTLAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYITGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGASGTSDRSFLPQSTIYSWSCGHFSKPLLTAADDSEEVSARREEKEKLALEHIAKCQHSDVSRLTNPIAKWDIKGTQTALLQPFSPIVIAADENERIRIWNHEEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYTLKGKQKLVTAFSSIHGHKPGVRSLNAVVDWQQQCGYLYASGEISSTLLWDLDKEQLVNTIPSSSDCSVSALAASQVHGGHFAAGFVDGSVRLYDVRIPEMLVCELRPHTQRVEKVVGIGFQPGLDQGKIISASQAGDIQFLDIRNIRSTYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGDQLGTIRYYPTLMAQKIGSVSCLNFHPYQVLLAAGAADACVCIYADDNTQAR >Vigun05g090300.1.v1.2 pep primary_assembly:ASM411807v1:5:8694949:8713324:1 gene:Vigun05g090300.v1.2 transcript:Vigun05g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQSTVLVVPNQNHHDDSTASSSSSSVAVVTASNNTDDADFANRGDSEAAIASSSGNYTGNGATSMAYLPQTVVLCELRHEAFEGAVPAGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALESIGKTLSSQYERWQPKARYKCQLDPTVDEVKKLCTTCRRYAKSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPINELDSWLKTPSIYVFDCSAAGMIVNSFIELHEWSASNSTVSQRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSHPMLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEFQPSTFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFIKFLDSMEAYPEQRAMAAFVLAVIVDGHRRGQEACMEAGLIHVCLKHLQSSCPNDSQTEPLFLQWLCLCLGKLWEDFSEAQTIGLQEDATTIFVPLLSAPQPEVRASAVFALGTLLDVGFDTCRSVGGDEECDDDEKFRAEVSIVKSMLCVASDGSPLVRAEVAVALARFAFGHNKHLKSIAAAYWKPQPNSLINSLPSLANIKGSVGGYPKQNQHIPHGSIVSPQIGPIRVGSDNSPVVRDGRVSSSSPLAGSGIMHGSPLSDDSSHHSDSGILNDGFSNGVVNHTGPKPLDNALYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVAKPLKSSGVRTAESTASTTLAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYITGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGASGTSDRSFLPQSTIYSWSCGHFSKPLLTAADDSEEVSARREEKEKLALEHIAKCQHSDVSRLTNPIAKWDIKGTQTALLQPFSPIVIAADENERIRIWNHEEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYTLKGKQKLVTAFSSIHGHKPGVRSLNAVVDWQQQCGYLYASGEISSTLLWDLDKEQLVNTIPSSSDCSVSALAASQVHGGHFAAGFVDGSVRLYDVRIPEMLVCELRPHTQRVEKVVGIGFQPGLDQGKIISASQAGDIQFLDIRNIRSTYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGDQLGTIRYYPTLMAQKIGSVSCLNFHPYQVLLAAGAADACVCIYADDNTQAR >Vigun10g089200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25674551:25675344:1 gene:Vigun10g089200.v1.2 transcript:Vigun10g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQFLILSLLVSCHVLTSTLADETGFVGTLNRKELGLHKKHKVISHFRFFFHERFTGSNATSVSVVPPLPNYNTTSFGLVGVSDIALRVGPEPNSTVVGKVESLYAGTSQTEFDLLLVANFVLTEGKYNGSTIVVLGRNRLSLKVREMPVIGGSGVFKFATGYAESNTLYLDAERSSIEYNIYVSHY >Vigun08g023200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1995996:1997596:1 gene:Vigun08g023200.v1.2 transcript:Vigun08g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHQHQIEAAPAQLSWQQENREEEEEEEASSSPQSSTPSSPSQEFSFTISLHHTFPQDNNSKAQPSSIALDLSPADDIFFHGHLLPLHLLSHLPSSPRSSTNSMESFTLPITGLLEHQNHPIIKDSSSSSSNSTTLDSTSNNNNMGKNKVQGKSKFTFSLFGLAKGHKGCRDSKEDKVKQKKKVRFDVIHAIKKYLRMVQPKMLFKGEREKKIRPSCGQSYSYSGNVTPRNYKQSWREQYSAPASMRTSPTNSGLLIATTTPLPSSARDSTMEELQAAIQAAIAHCKNSISKEEKLKC >Vigun06g058900.1.v1.2 pep primary_assembly:ASM411807v1:6:18549989:18554243:1 gene:Vigun06g058900.v1.2 transcript:Vigun06g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFASQHVLPKLLETVKMLRDLPKEVEEVTDELKSFEVYINIDANRVAEAEKDRDTSERIRKRLMRLREAAFRMENVIDDYVMICDANQPEEGPRCAALLCEAVEFIKTQIHRLQIAYQIQDVKSLVRVERDGFKKDFPTEPISNGSSGNENFTWHKLRMDPLFFKQDEVVGFEGPIQTLKKWLTEGRKERTVISIVGMAGLGKTTLGKQVFDTVDKHFECHAVVTVSRPYDVLRFLRELTKKLYRESKEEPPRDVATMDRMSLIEEIRNRLCNKRYVVFFDDVWEEKFWDDIESALIDNKNGSRILITTRVEKVVDFCKKCLFFEVHKIKPLSKEKSLELLWRKAFGYDFDERCTKDYKEVGLDIVRKCECLPLAIVAIGSLLSTKPKSPSEWGRFSKNLESNPELDSVKKILSLSYDDLPQNLRSCLLYFGMYPEDCTVKSGRLIQQWIAEGFIRYENGKTLKEVAEKYLMELINRSLVQVSSFSTGDRAKECRVHDSIHEMIREKMKNTGFCEHSDDEHNQSESSGIIRRLTIAKSSYDLSGPSEGSQYVRSILILTKEVLSEQFSRALLAKYTRLKVLDFGYARLTEIPENLGSLIHLKYLSFQSTSISSLPKSIGNLQNLETLDIRTGMRIELPKEITKLRKLRCLLGKPLSSISVKDSLGSITSLEKMHVLLIDPDGVVVRELAKLTKLSDLRVSDVKGDHANTLCASINEMPLLERLHISLNYGAREIDLHISSSLSELKKLHLCANLKEFPNWILSLENLLKLSLVDSRLTNIPLISLGNMPNLLILSFGPRSFEGETLHFQNGGFRKLKELKLKRLYQLSSIFIDSGALQSLEKLEIFSIPQLKTVPSGIQHLEKLQVLDILRMPTEFQQSIDPNGGEDHWMIKHVPHLHFVTKNRGLLKIQELANILASQRKMKQIM >Vigun07g271800.1.v1.2 pep primary_assembly:ASM411807v1:7:38749576:38759064:1 gene:Vigun07g271800.v1.2 transcript:Vigun07g271800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRRELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHASVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPETAKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEYAHLKADVMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELSIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEMSSNPHYLYRMTILRAISLLAPVMGPEITCSKLLPVVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELSEDPDVDVRFFSNQALQAIDHVMMSS >Vigun09g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:26230951:26232794:1 gene:Vigun09g119000.v1.2 transcript:Vigun09g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCCSNARNDMLTQPPHLRTPSIYPCHLHTFFFLQQEPPNKPFHPSSSSPIIISKAHHRLSHHCHAPFHHQLTSFLLFLDHSPAHKYKTETQESIHVQIPSIDVLTSTSNSFSTPIPWHPIPTPRAPNLPRCFKSTIIIFLG >Vigun03g292700.1.v1.2 pep primary_assembly:ASM411807v1:3:47782024:47783409:-1 gene:Vigun03g292700.v1.2 transcript:Vigun03g292700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVGATSVCCAVCNAVTAVPPPVNRFNMLQLQNADRIEATPTSILSLTHNISKHSR >Vigun06g080700.1.v1.2 pep primary_assembly:ASM411807v1:6:21262697:21264619:1 gene:Vigun06g080700.v1.2 transcript:Vigun06g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVSQRKNTFYKATYGTSTTSTNRITTTYSFLSLFCVGSCSYTSMAELVLYFSALFFCVFTTLILRKLGKKADDTPTPSNMPHGPRKLPIIGNIHNLLSSQPHRKLRDLALKYGPLMHLQLGEVSTIVISSPECAKEVMKTHDINFATRPKVLAVDVLSYNNTGIAFAPYANYWRQVRKICMLELLSLKRVNSYQSIREEELFNLVKWIDSLKGSPINLSQAVLSSIFTIVSRSAFGNRCKDHENFISLIKRMMKVVAGFDIVDFFPSATWLQHVTRHRLERLHQQADEIIESIIDDHKEAKDYQREEQDLVDVLMQYEDGSQQDFCLTRNNIKAIILDIFGAGGETSATTIDWAMAEMMKNSRVMIKAQAEVREVFNMRGKVDESCINELKYLKLVVKETLRLHPPLPLLLPRECGEACEIHGYHIPANSKVIINAWSIGRDPNYWTESERFYPERFIDNNIDYKGSNFEYIPFGSGRRICPGSTFASRVVELTLAMLLYHFDWKLPSGMISEDLIMIEQFGVTVRRKHDLFLVPVPYLPLSVS >Vigun01g209700.1.v1.2 pep primary_assembly:ASM411807v1:1:38484358:38486143:-1 gene:Vigun01g209700.v1.2 transcript:Vigun01g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEIAGIKRATIKVDGEFAFGYAKAEIGVHRLVRISPFDSNKRRHTSFAAVAVIPILGDGSTHVQINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGVTATCQNERSQHQNKASAMAVLQSRLDQLEMARQAQLNAQHTQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFILSYLSSSLDKNNDA >Vigun07g091700.1.v1.2 pep primary_assembly:ASM411807v1:7:14308999:14312238:-1 gene:Vigun07g091700.v1.2 transcript:Vigun07g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDTEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun04g097901.1.v1.2 pep primary_assembly:ASM411807v1:4:22214796:22221744:1 gene:Vigun04g097901.v1.2 transcript:Vigun04g097901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFISVYFHWNEILSRLLLVQRTSLQSTRFLYRNVKEYGFVQTCRECGATLRVLGSVWKGLKDLKATRCLEFKGYCARITSLLSFLLLPSTQHSTREFLSPTPSGREIIFYSE >Vigun08g148400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32097405:32098988:-1 gene:Vigun08g148400.v1.2 transcript:Vigun08g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLSEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHSAKMTDLDGNIVDVPNPGGRGPGYRYFGAAKKLPGVKELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLERLEGPAEEAMRREAAEEWRRLDEVRREARKGVKSGEVAEVSAVAREMLREEEEEVVEEERQREKEEEREKREFIVHVPLPDEKEIERRVLEKKKMELLSKYVSEGLMEEQTEAKDMLNIQR >Vigun06g032450.1.v1.2 pep primary_assembly:ASM411807v1:6:13946563:13950979:1 gene:Vigun06g032450.v1.2 transcript:Vigun06g032450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPAICNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFHYKILYISYGQFLFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMELCVSGP >Vigun01g092700.1.v1.2 pep primary_assembly:ASM411807v1:1:25421626:25428908:1 gene:Vigun01g092700.v1.2 transcript:Vigun01g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIGSQSQNGSRGSFKFLTRRKQVDSQSRNYSQPSLARELTVSHLIAVGVGSTIGAGVYVLVGAVAREHAGPALPISFLIAGLAAGLSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWSLILEYTIGSAAVARGITPNLAALFGKENLPVFISRYHISGMNVVVDPCAAIFVLLVTGLLCLGIKESTVVQGIVTTVNICALLFVIVAGGYMGFKSGWLGYDLPMGVFPFGFNGILAGSATVFFAYIGFDAVASIAEEVKNPQRDIPLGIAGALFVCCGMYMTVSLVVVGLVPYYAIDPDTPISSAFAEHGMQWAAYIINVGAFTALCSALLGGILPQPRILMSMARDGLLPPFCADINKKTHVPVKGTIVTGAVAAGLAFSMEVSELAGMVSVGTLLAFTMVAMSVLILRYVPPNEVPVPPSLKDSIASVLERYSLSSSDTNVGDVDADTSDHTKPLIVKENVSVDFTKHFSGGNHIVEAERRKFVGWIISLILLGAFVLTYAASDFTLPSSVRFALCGVGGAILLCGFVFLIRIDQDDARHDFGHTGGFICPFVPQLPIACILINSYLLVNLGYSTWLRVSIWMGTGLLVYVFYGRTNSFLKDAIYVPAKQVDEIYHTYRSSAA >Vigun01g013700.1.v1.2 pep primary_assembly:ASM411807v1:1:1543064:1546936:1 gene:Vigun01g013700.v1.2 transcript:Vigun01g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVKHEPHFISTLFSHPRSNQFAFEALPRRRVGTLLMPWYFPVLKNNSRLCLSINGRRLCSSYSNDSTPELSDAYSHEGSSESVENKKGKSMTSNEILKKLRRYGVSGILSYGLLNTAYYLTTFLIVWFYIAPAPAKMGYAAAVQRFLKVMAMVWAGSQVTKLIRAGGALALAPFVDRGLSWFTDKFKFQSQGKAFMAIVGFCLTLAIIVFFVITLLWA >VigunL022400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:9439:10731:-1 gene:VigunL022400.v1.2 transcript:VigunL022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun03g393500.1.v1.2 pep primary_assembly:ASM411807v1:3:59968304:59973502:1 gene:Vigun03g393500.v1.2 transcript:Vigun03g393500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPTRAIGPSAGTSSGMPPAVTNADRHTGGEEGRPPAVVSVDSSRRRMSGPILNTLSSANVLGQSSGSSRRVAVSGSRDAFVGTESDIRTRTAEASPGVAHRGLSGQRSSPIGSSDPKRVVASGRNVKNYDSVLRGMEGLQLENEERTHY >Vigun04g193200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41727180:41730962:-1 gene:Vigun04g193200.v1.2 transcript:Vigun04g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFVNVAFDRLASFEVLDFFRRRKLDENLLSNLNIMLHSINSLADDAEQKQFRDPHVKAWLFAVNEAVFDAEDLLDEIDYQLIKCKVEAESAHQNLTYKVSDFFDSTISSFNKKINSGMKEVTKNLEYLEKQKDALGLKKCTYSGHGSGSKMPQKLQSSSLVAESVIYGRDADKEMMLNWLGSEIDNHIHPSILSIVGMGGLGKTILAQHVYNDPRIDDAMFDIKLWVCVSDDFDVLTVTKAILEEITDEKDDSRDLQKIHKKLKEKLSGRKFLLVLDDVWNERQEEWEAVRTPLGYGAPGSRILVTTRGEKVASNMRSKVHRLKQLEKDECWKVFVKQALKDDDLELNDEKKEIGRRIVEKCKGLPLALKTIGCLLRTKSSISYWKNVLESDIWDLPKEVEIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFEKKELILLWMAEGFLHHSPHIKNVQEIGEQYFDDLLTRSLFLQSSCKMRFVMHDLMNDLAKYVCGDLCFSLKFDKGNCIPKTTRHFSFAFEDVRYFDGFGSLTDAKRLRSFLPITKPRTSYSGFSCQFKIMIHKLCSKLKFLRILSLCGYSDLREIPESIGDLKHLLSLDLSFTCIQKLPDSICLLYNLLILKLNSCLYLEEFPSNLHKLTKLCCLEFESTKVTKMPMRFGELKNLQLLNMFFVDKDSELSTKQLGGLNLHGKLSINEVQNIENPLDALETNLKNKHLMELQLKWNSNHIPDDPGKEKKVFENLQPSKNVEHLSIENYGGTEFPSWVFDISLSNLVFLKLEDCKYCLCLPPLGLLSSLKTLEIGGLDGIVSIGAEFYGKNSSSFKSLERLRFYDMKEWEEWECKTTSFPHLHHLSIERCPKLKGMLNQLLHLKELVICDCYQLIIRGNNIITSSLQLLTIMTSPHVNIPIAHYDFLEEMKIDGGRCDSLAIFQLDLFPKLRCLRLTDCQNLQRISQEHTHNHLKEMTIYGCPQFESFPNEGLSAPWLQRIEIDGAQNLKLLPKGMHTLLPSLNDLKIIDCPQVEMFPDGGLPSNVNKVSLSSSKLIASLRENLDANTSLFWLCIKKVDVESFPLEVLPKHTFLQIFDCPNLKKMEYKGLCHISCLSLEHCPNLQCLPEEGLPKSISHLFIKGCPLLKKRYQNPKGEDRKKISHIKNLRIWY >Vigun11g039400.1.v1.2 pep primary_assembly:ASM411807v1:11:5531508:5533134:-1 gene:Vigun11g039400.v1.2 transcript:Vigun11g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCVDQSTYPDYYFRITNSEHMTNLKEKFQRMCDKSMIKKRYMHLTEEILKENPNICAYMAPSLDARQDIVVVEVPKLGKDAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPHIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALYEAFNPLNISDYNSIFWIAHPGGPAILDQVEQKLDLKAEKMKATRDVLSEYGNMSSACVLFILDEMRRKSIENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun11g062100.1.v1.2 pep primary_assembly:ASM411807v1:11:13919566:13920242:1 gene:Vigun11g062100.v1.2 transcript:Vigun11g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILLATLLFVLVSQGYSQCSLSNIVVTQSAIGRKVLGKTEWTVTITNRCACYQKNVKLNCKGFQTVEAVEASYLKVLGDFCLVSDGQPLFNGAIEFNYAWDTQFPFNPISSDIEC >Vigun09g060500.2.v1.2 pep primary_assembly:ASM411807v1:9:6199100:6204431:1 gene:Vigun09g060500.v1.2 transcript:Vigun09g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNDLHMGGSTSTEDVNLSQKRKAPKRKKEEFNSTKQNEKTKRKKTTHDPIEGNNSGCGTSENIFSPKASVPALDMLSGHPIQCFSTDPITSQSCEEVGFCQGDHEEKEQKMSNTTAAKASTPAGDMLSGHPIQGLSTDPITSQSIEGVGFCQADHEEKEQKMINTTAAKASSPALDMLSGHPVQSFSTDPITCQACEEVGFCQGDHEEKEQKTVDTTAEKQHNVPEVSCYHISVAASPEPFAKECVPEISIEHSINDNISNPEDKNSFLNGTSPMKEDVYDPTDKNICYKACARRQMVNADCRRSSGDHSPENPNKEPTFQDHDKDCSHQLSDGSLRVGMIDEETKLSFDQVTSHNGAAAEEIKLSTDIIDERRFMTTVVDIPVEHLEAGGRQLDDTEITRSNLCCAGEQIELTTDNINEQTSMTASSAVGIHVEQVETNGRQLDHSEITRNDLCCADRSGLGTPKKKLLILDVNGLLADFVSIDVTSTRYRREPEPDFILKGRKVYKRPFCKDFLQFCFDRFHVGVWSSRAKFNVEEAIKFLMGKSASKLLFCWNQSHCTTTEFATVENIHKPLVLKELRKLWEKEDPNLPWEKGEFNESNTLLLDDSPYKALMNPMHSAIFPYSYRYRNTRDSELGPGGDLRIYLEGLALAENVRNYVSENPFGQRPIRESNLSWGYYRRVIESVQKKRWRR >Vigun09g060500.1.v1.2 pep primary_assembly:ASM411807v1:9:6199100:6204431:1 gene:Vigun09g060500.v1.2 transcript:Vigun09g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNDLHMGGSTSTEDVNLSQKRKAPKRKKEEFNSTKQNEKTKRKKTTHDPIEGNNSGCGTSENIFSPKASVPALDMLSGHPIQCFSTDPITSQSCEEVGFCQGDHEEKEQKMSNTTAAKASTPAGDMLSGHPIQGLSTDPITSQSIEGVGFCQADHEEKEQKMINTTAAKASSPALDMLSGHPVQSFSTDPITCQACEEVGFCQGDHEEKEQKTVDTTAEKQHNVPEVSCYHISVAASPEPFAKECVPEISIEHSINDNISNPEDKNSFLNGTSPMKEDVYDPTDKNICYKACARRQMVNADCRRSSGDHSPENPNKEPTFQDHDKDCSHQLSDGSLRVGMIDEETKLSFDQVTSHNGAAAEEIKLSTDIIDERRFMTTVVDIPVEHLEAGGRQLDDTEITRSNLCCAGEQIELTTDNINEQTSMTASSAVGIHVEQVETNGRQLDHSEITRNDLCCAGDVSDLILDTVRDGHLKISQFSLDRSGLGTPKKKLLILDVNGLLADFVSIDVTSTRYRREPEPDFILKGRKVYKRPFCKDFLQFCFDRFHVGVWSSRAKFNVEEAIKFLMGKSASKLLFCWNQSHCTTTEFATVENIHKPLVLKELRKLWEKEDPNLPWEKGEFNESNTLLLDDSPYKALMNPMHSAIFPYSYRYRNTRDSELGPGGDLRIYLEGLALAENVRNYVSENPFGQRPIRESNLSWGYYRRVIESVQKKRWRR >Vigun10g146500.1.v1.2 pep primary_assembly:ASM411807v1:10:36459614:36463000:1 gene:Vigun10g146500.v1.2 transcript:Vigun10g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTILNPVPRELLLSSNGYHTPLHLSLDHEFIFIFLSHSGSLTPMRVLPYDTIESVKLKIQKAEGLPSLTNKQKLVCDGRELARSNSLLKDYGVTEGNVLHLVIRLSDLQTISVRTSSGKDFTFQVERCRDVGYVKQQIAKKEKRFADPEHQEVVCNGELLEDQRVIDDICSKYNDAVIHLFVRVKYAEVRTGQDELSVNVKELNGTEDYDVSENNCRRERDVSKEEEGREDAAIEPSVPRKALDRDLLEPVIVNKKIELASEVWNMINMTYEGLNSGNYPIRSAEGTGGAYFMLDSTGQNYVSVFKPIDEEPMAVNNPRGLPFSADGEGLKKGTRVGQGAFREVAAYILDHPMSGHRSLFGDQKGFAGVPPTVMVKCLHEGFNHPGELTTKLGSLQMFMENNGSCEDMGPGAFPVKEVHKITVLDMRLANADRHAGNILIGKEEENGQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSPEIIDYIRSLNADEDIALLKFHGWNLPVECARTLQISTMLLKKGVERGMTPFGIGSLMCRESLNKESVIEGIVKAALDSVLPGTSEETFLDAVSEIMDQHLDEILS >Vigun03g065400.4.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.11.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.7.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421398:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.15.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421399:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGQCITYNSFLLCNNCF >Vigun03g065400.9.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421399:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGIWSLLILTVLKLIQLAPTSSYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.14.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.6.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.3.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421426:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMLIIWFLLVINKLL >Vigun03g065400.2.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421426:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMLIIWFLLVINKLL >Vigun03g065400.1.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.10.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.8.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421398:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.16.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421399:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTESSEDGAGYLEPPDPDCVEIDPTCTYIKYKEVIGRGAFKTVYKAFDEVNGIEVAWSQVQIDEVLKSPGDLDRLYSELHLLRSLKHNNIVRFYNSWIDDRRKTVNMITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGQCITYNSFLLCNNCF >Vigun03g065400.13.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.12.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMVEQLELADQNVKFIAELIDLLLMNLIADWKPCVAVDHLVSPSNKQTLVSQQGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun03g065400.5.v1.2 pep primary_assembly:ASM411807v1:3:5417907:5421381:-1 gene:Vigun03g065400.v1.2 transcript:Vigun03g065400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITELFTSGSLKQFRKRHKKVDLKAVKGWARQILMGLSYLHGHNPPVIHRDLKCDNIFINGHQGEVKIGDLGLATFLDRSNAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPAALSKVKDPEMKSFIEKCLVPASQRLSAKELLMDPFLQMNASTKKGPFPLPHIVLPKLGASESRCMVSEGPSSARNGDISMDLGDTCELPPITIFDKSTDDASCSTSVEIRRQKRGDIFFLKGEENDKNSVSLVLRIADQGGRARNIHFIFYLDSDTAVSVSSEMGELKLAKCTGSSKDSTEDLGPSTSSATLATKGNLDNMDIDGALCDECSGLQKATKADDLCSEKSHASATIDFNDNKFSTVSYMSAKSEFGRGSQTSSASEIGALSDCKSKFLDMEGFSNSLNIASSSFEPVGELKLELQMIEQKYQEAMNDLSQRRYQAILDIRRRMSQKMVS >Vigun06g080450.4.v1.2 pep primary_assembly:ASM411807v1:6:21247122:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDSCYAILSGSVLFNSKNKISFLTCFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGVTLFLGACMKPPRLSMVTEYMELGSLYYLIHLSGQKK >Vigun06g080450.7.v1.2 pep primary_assembly:ASM411807v1:6:21247122:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDSCYAILSGSVLFNSKNKISFLTCFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGASTVVNGYRIYGIGIVVLLDTFKWSKEIA >Vigun06g080450.5.v1.2 pep primary_assembly:ASM411807v1:6:21247163:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLSATAVAMAAFVFVDCLGGARASEILSFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGASTVVNGYRIYGIGIVVLLDTFKWSKEIA >Vigun06g080450.3.v1.2 pep primary_assembly:ASM411807v1:6:21246918:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDSCYAILSGSVLFNSKNKISFLTCFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGVTLFLGACMKPPRLSMVTEYMELGSLYYLIHLSGQKK >Vigun06g080450.2.v1.2 pep primary_assembly:ASM411807v1:6:21246642:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDSCYAILSGSVLFNSKNKISFLTCFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGVTLFLGACMKPPRLSMVTEYMELGSLYYLIHLSGQKK >Vigun06g080450.6.v1.2 pep primary_assembly:ASM411807v1:6:21246918:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLDSCYAILSGSVLFNSKNKISFLTCFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGASTVVNGYRIYGIGIVVLLDTFKWSKEIA >Vigun06g080450.1.v1.2 pep primary_assembly:ASM411807v1:6:21247163:21249016:-1 gene:Vigun06g080450.v1.2 transcript:Vigun06g080450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLSATAVAMAAFVFVDCLGGARASEILSFFPEVFRGIWNGTDVAIKVFLEQDLTPENMEDFCNEISIFGVTLFLGACMKPPRLSMVTEYMELGSLYYLIHLSGQKK >Vigun05g151250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22911236:22911559:1 gene:Vigun05g151250.v1.2 transcript:Vigun05g151250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSILGNIGFHSCPFLKYSNKVVEKQYQDSEKAPSGNKGDGNAKVNRKKLKGKRVVVRWLKFFRFKKKKEYERMTTEEKILYKLLKVSSIFYFFTCISTLSIVFK >Vigun06g036100.2.v1.2 pep primary_assembly:ASM411807v1:6:15017540:15019301:-1 gene:Vigun06g036100.v1.2 transcript:Vigun06g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPTFASPKRVVVCLIEKDIEFEAVHVDLFKGENKEPEFLKLQPFGSVPVIQDGDYTLYEKYKDQGTDLLGKTIEEKGLVEQWLEVEAHNFHPPLYNLVINLLFAPLRGAASDQKVIEESDKKLEKVLDVYEERLSKSKYLAGEFFSLADLSHLPFGHYLVNQTGRGNLVRERKHVSAWWDDISNRPSWKKVLELYQYPV >Vigun06g036100.1.v1.2 pep primary_assembly:ASM411807v1:6:15017540:15019301:-1 gene:Vigun06g036100.v1.2 transcript:Vigun06g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPTFASPKRVVVCLIEKDIEFEAVHVDLFKGENKEPEFLKLQPFGSVPVIQDGDYTLYESRAIIRYFAEKYKDQGTDLLGKTIEEKGLVEQWLEVEAHNFHPPLYNLVINLLFAPLRGAASDQKVIEESDKKLEKVLDVYEERLSKSKYLAGEFFSLADLSHLPFGHYLVNQTGRGNLVRERKHVSAWWDDISNRPSWKKVLELYQYPV >Vigun01g032100.1.v1.2 pep primary_assembly:ASM411807v1:1:4058678:4063044:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTKQNHPTTKHFPSTKSLKRERRRSACASVFVYKMEMVEKRKFSKLLSELIVLADEVASLAKNSEIEVHIFAEFAMLVEKFSPIFIDLRDKNTVLDKPPIRKSLESLENELRRAKALTKSSNLRQPIKQIEDITHDIGRSFGLLLVASLEISVDFREKIGTLQRQLMNVRFDGSLSVASSPKSEASSSEVKLTAEIEEEIVNVSIDDVVLQLKNGNDEEFAVSLLRLKEFINSEGFDGGLINEEATLAILFNRLGSCKADNRLAIMRLLRSISSGNDEKKEKMVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun01g032100.5.v1.2 pep primary_assembly:ASM411807v1:1:4058602:4063088:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEKRKFSKLLSELIVLADEVASLAKNSEIEVHIFAEFAMLVEKFSPIFIDLRDKNTVLDKPPIRKSLESLENELRRAKALTKSSNLRQPIKQIEDITHDIGRSFGLLLVASLEISVDFREKIGTLQRQLMNVRFDGSLSVASSPKSEASSSEVKLTAEIEEEIVNVSIDDVVLQLKNGNDEEFAVSLLRLKEFINSEGFDGGLINEEATLAILFNRLGSCKADNRLAIMRLLRSISSGNDEKKMVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun01g032100.4.v1.2 pep primary_assembly:ASM411807v1:1:4058636:4063044:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEKRKFSKLLSELIVLADEVASLAKNSEIEVHIFAEFAMLVEKFSPIFIDLRDKNTVLDKPPIRKSLESLENELRRAKALTKSSNLRQPIKQIEDITHDIGRSFGLLLVASLEISVDFREKIGTLQRQLMNVRFDGSLSVASSPKSEASSSEVKLTAEIEEEIVNVSIDDVVLQLKNGNDEEFAVSLLRLKEFINSEGFDGGLINEEATLAILFNRLGSCKADNRLAIMRLLRSISSGNDEKKEKMVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun01g032100.2.v1.2 pep primary_assembly:ASM411807v1:1:4058582:4063119:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEKRKFSKLLSELIVLADEVASLAKNSEIEVHIFAEFAMLVEKFSPIFIDLRDKNTVLDKPPIRKSLESLENELRRAKALTKSSNLRQPIKQIEDITHDIGRSFGLLLVASLEISVDFREKIGTLQRQLMNVRFDGSLSVASSPKSEASSSEVKLTAEIEEEIVNVSIDDVVLQLKNGNDEEFAVSLLRLKEFINSEGFDGGLINEEATLAILFNRLGSCKADNRLAIMRLLRSISSGNDEKKEKMVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun01g032100.6.v1.2 pep primary_assembly:ASM411807v1:1:4058602:4063088:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun01g032100.3.v1.2 pep primary_assembly:ASM411807v1:1:4058588:4063120:1 gene:Vigun01g032100.v1.2 transcript:Vigun01g032100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEKRKFSKLLSELIVLADEVASLAKNSEIEVHIFAEFAMLVEKFSPIFIDLRDKNTVLDKPPIRKSLESLENELRRAKALTKSSNLRQPIKQIEDITHDIGRSFGLLLVASLEISVDFREKIGTLQRQLMNVRFDGSLSVASSPKSEASSSEVKLTAEIEEEIVNVSIDDVVLQLKNGNDEEFAVSLLRLKEFINSEGFDGGLINEEATLAILFNRLGSCKADNRLAIMRLLRSISSGNDEKKEKMVDIEFLSAVVKSLTRDSEERKEAVGLLLELSDIQAVRRRIGRIQGCIVMLVAILNGDDPDASHDASKLLDILSSNSQNALHMAEAGYFRPLVQYLKEGSDMNKILMATALSRLELPDHSKLSLGEDGAIEPLVNMFSTGKLESKLSALNALQNLSTVAENVQRLIRSGIAGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNNIASHPGASRVRSKMKEKGALQLLLPFLKENTTKIRSKVLHLLYTLSKDLTDELTEHLDETHLLNIVNIVSTSTSDSERAAAVGILSNLPASNKKVTDTLQRANLLPILISIMYTITGSNSSTTNSLAESIASVMIRFTNSSDKKLQLLSAEQGVIPLLVKLLSSGSPITKARAALSLAQLSQNSLSLRKSRKSRWLCVPPSVNAFCEVHDGFCFVNSTFCLVKAGAVSPLIQLLEDTEREAVEAALHALSTLLQDEIWEGGVNCIAKLSGVQAIIKSLEVGDAKVQEKAIWMLERIFKVAEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQSQSSYF >Vigun08g197100.1.v1.2 pep primary_assembly:ASM411807v1:8:36283737:36285923:1 gene:Vigun08g197100.v1.2 transcript:Vigun08g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQCYDVYLNLRGEIHYGFGGNLCKALRDKGFKTMMSDERMQSGTQDSPFLLRAIEQSRIAIVVFSKDYPRYTWCLEELVKIVECMETKNQLVFPIFYKVKPYYVREQKKYYCDAFAKHDKKFGENSEKVQKWRSALSHVAKLHGLIARSGYEYEHVEKVVEMVTRSLFRYDIFINFRGLDTRYSFTGFLYRALSRERFKTFMDDEELEDGDKISSSLIKAIESSRLSIVVLSENYAYSSWCLAELDTIMECKKTKNQLVWPIFYKVEPNTVRYQKNSYEEAMAKHEQRYGSECSKVLKWRQNLSEVAGLKGVSLQPNQYEFKVVEEIVKKAIENDDGSYSRITGLDYSQIMNNDDENELANQTVDNRDCISICSPSWNEHTTNNDDEDCFSASSVG >Vigun09g219100.1.v1.2 pep primary_assembly:ASM411807v1:9:39292522:39317350:-1 gene:Vigun09g219100.v1.2 transcript:Vigun09g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHDSSYHNHNQSKKRKQPHVDNYTSLELSTSNMNPRSYQKEVFEVAKRRNTIAVLDTGSGKTLIAVMIMKEVGGTIKSLGVKRLIVFLAPTVHLVNQQFSNIKYLTDFQVEEYYGAKGVDTWTLKTWEQEISNNDVLVMTPQILLDALRKAFLRMEMICLIVIDECHRATGNHPYTKIMKEFYHPANEKPKIFGMTASPVGKKGVSSTTDCEDQISELESILDSQRYTVEDRKEMNRYILSAKESCRYHDPARFSALSLKPKIEALWSKFDAFLSGNKRNYRNVENKVKTLSQRMFNELGKILHCLEDLGILCAYEAVKICHENFSNKTEGMCEIYRTGYLQCITFVEEVIKLIEESLNCDDKNIFGVDFDYSKAEDMGYISPKLLELIKIFQSLGESSQVLCLIFVDRIITAKVIERFAKKVPRISHFSVSYLTGNNTSVDAVAPKRQKQILESFRSGKVNLLFTTDVLEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRSRQANSQFVVMLERGNLKQRNQLFDIIRSERSMTDASIHKHHESSVKIFTLEKKDAYYVESTGASVTLDSSVNLIHRYCGTLPRDQYSSAKPSYVFLPVEGGFQCKLTLPSNSVLQPIIGPLGKDIRLARHLACFEACKKLHQMGALNEHLVPFIEDLSDNDHITKNKDSTLRAGTTKRKELHGTANICALSGAWGDKLDVAARFYAYKLEFTCSIVNEIYSGFVLLIESKLDDDVGNIESDLYLVSKVVKASVSSCGQVDLDAKQMMKAKCFHELFFNGLFGRLVFRSKSAGEEAEFLLQKDTKSLWREKHLYLLLPLEKLSGICEGALQINWHGIDSCASAIEFMRRRFSLFTGDCDDNGIITSPQDTSSMEMDYVGTNKIPFANCVLDADNIKNTLVLAIHTGKIYCVIDIDSNLSAESPFFGNNEKSKEQLTYSDYFSKRYGITLRHPRQHLLLLKQNHNPHNLLFNFHEEDARDKSSKNGSTTSKMPAHVHVPPELLYVLEFKRNVLRSLYLLPSLMYRIESLMLSSQLREEIDGQTTKSNINSSLILEAITTMRCSESFSMERLELLGDSVLKYVVSCHLFLKYPKKHEGQLSARRSLAVRNSTLHKFALERKLQGYIRDSAFEPRRWVAPGQRSIHPVCCDCGLETLEVPLDVKYYTEDPKVVVGKFCDRGHRWMCSKTIADCVEALIGAYYVGGGLFASLNVMKWLGIGAELELSLVDEAITAASLHACVPKESEIAGLENKIGYEFSVKGLLLEAITHLSEKELGIGCCYERLEFLGDSVLDLLITLHLYKSHTDIDPGVLTDLRSASVNNDNFAQVVVRHNLHQHLLHGSGLLLSQISDYVKAISESDPGSFPSIRAPKVLGDLLESIVGAMLIDTKLSLDRVWEVFCPLLSPIVTPENLELPPFRELNELCDSLGYFVKISDNCEKKGSMVHVEVSVQLPNDLLVREGKGANKKTAKGEASFHLLKDLEKRGISYCNFMSKGKRDNAVHTNDSPHLKMDSSTCFTLIEENSSELVHKRNRLDGTNPTESILPLNDSSIDDSIPVKLSINMKKGGPRNTLYELCRKLQWPVPAFDSTEYKDRSLFESCEALQGTKGLNCFVSKITLCIPKYGNIECQGEARSDKKASFDSAAVKMLLELQKLGKVEIDPPLSS >Vigun03g151900.1.v1.2 pep primary_assembly:ASM411807v1:3:15996576:16003983:-1 gene:Vigun03g151900.v1.2 transcript:Vigun03g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSTDCILPIGFVRGQDSSCATTSILHFHNAPKTLSPLSFSVSLRASEIKPRVAVQSKGGPSSTRTDAVRNLTGSVTRTQGLRFAVVVARFNEIITKQLLEGALGTFKNYSVQDEDIDVIWVPGSFEIGVVAARLGKSGKYHAILCIGAVIRGDTTHYDAVANSAASGVLSASLNSGVPCIFGVLTCDNMDQALNRAGGKSGNKGAEAALTAIEMASLFEHHLK >Vigun01g141100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32195936:32198000:1 gene:Vigun01g141100.v1.2 transcript:Vigun01g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLILSRYPFNTFNALFSCAKFLSLAQQLHAQVIINGLYREVFYGSNITNAYVQSGSLPLATKAFDQITAKNPHSWNTIIAGYSKGCLYDYVLKLFRDLRSDGNAVDSFNLVFAIKASQRLMFFHNGRLLHCLAIKSGLEGDLFIVPALLNMYAELGSLNDAHKLFERYSYRSSVMWGFMIKGYLKSSQEPRVFELLSNMTNYFDFRCDVFTMEGLVRACANVLAGREGKASHGVCIKNNLLVNACLLTSVIDMYMKCGFTRYAFLLFEKAHDRWDVVLWSALINGCAKKGKFCEALSVFKRMLENSTAPNPVTLSGVILACSGVGSLKQGKSVHGFVIRNMVELDVVNYTSLLDMYSKCGCVRTAYKIFCMMPVKNVVSWTAMINGYAMHGLYLEALSTFYQMTQNECIVFGKQVPNSVTFTSVLSACGHSGMVQEGLRIFNSMKDNGISPTEQHYACMVGILAKAGQFDAALSFLSDMPIKPGLNAWGTLLSACRFHKRVELAEEIAKRLSLVEPNDDFSCQASLANMYIDGIGKMTVAEEGLNKCLGFSSIEVRNKLRVFSSNDTLAFTSPDISHTWNS >Vigun01g141100.2.v1.2 pep primary_assembly:ASM411807v1:1:32195961:32198002:1 gene:Vigun01g141100.v1.2 transcript:Vigun01g141100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLILSRYPFNTFNALFSCAKFLSLAQQLHAQVIINGLYREVFYGSNITNAYVQSGSLPLATKAFDQITAKNPHSWNTIIAGYSKGCLYDYVLKLFRDLRSDGNAVDSFNLVFAIKASQRLMFFHNGRLLHCLAIKSGLEGDLFIVPALLNMYAELGSLNDAHKLFERYSYRSSVMWGFMIKGYLKSSQEPRVFELLSNMTNYFDFRCDVFTMEGLVRACANVLAGREGKASHGVCIKNNLLVNACLLTSVIDMYMKCGFTRYAFLLFEKAHDRWDVVLWSALINGCAKKGKFCEALSVFKRMLENSTAPNPVTLSGVILACSGVGSLKQGKSVHGFVIRNMVELDVVNYTSLLDMYSKCGCVRTAYKIFCMMPVKNVVSWTAMINGYAMHGLYLEALSTFYQMTQNECIVFGKQVPNSVTFTSVLSACGHSGMVQEGLRIFNSMKDNGISPTEQHYACMCM >Vigun11g146700.8.v1.2 pep primary_assembly:ASM411807v1:11:35556999:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTVHS >Vigun11g146700.2.v1.2 pep primary_assembly:ASM411807v1:11:35556987:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.4.v1.2 pep primary_assembly:ASM411807v1:11:35556989:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.6.v1.2 pep primary_assembly:ASM411807v1:11:35556999:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.1.v1.2 pep primary_assembly:ASM411807v1:11:35556987:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.3.v1.2 pep primary_assembly:ASM411807v1:11:35556989:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.5.v1.2 pep primary_assembly:ASM411807v1:11:35556999:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTASLLLGMNDVRTDRPSRQRSDSPFSFPRQRRRLYLSIDSDSE >Vigun11g146700.10.v1.2 pep primary_assembly:ASM411807v1:11:35556999:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTVHS >Vigun11g146700.11.v1.2 pep primary_assembly:ASM411807v1:11:35558349:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTVHS >Vigun11g146700.12.v1.2 pep primary_assembly:ASM411807v1:11:35558349:35568310:-1 gene:Vigun11g146700.v1.2 transcript:Vigun11g146700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPSVKEKLNYFRIKELKDVLTQLHLSKQGKKQDLVDRILSVLSDEQVSKMWAKKNAGGKEQVAKLVDDTYRKMQISGATDLASKGQGASDSSSIKVKGEIDDSFQPDTKIRCLCGSRLETEDLVKCDDPRCQVWQHISCVIIPDKPTEGIPPVPDKFYCELCRLTRADPFWVAVAHPLLPVKLTTTSNPTDGTNPVQSVERTFQLTRADKDLVSKPEFDVEAWCMLLNDKVPFRMQWPQYTDLQVNGVPVRATNRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARIFCLGVRIVRRRSIQQILNLIPKESDGELFEDALARVCRCVGGGNADGDADSDSDLEVVSDTFSVNLRCPMSGSRMKIAGRFKPCIHMGCFDLEVFVEMNQRSRKWQCPVCLKNYALENIIIDPYFNRITSMMLNCGEETTEVEVKPDGSWRVKTKGESERQELGSLAQWHLPDGSPCVSADGDLKRVDTLALKQVKQEGVFDTPTGLKLGIRKNRNGDWEVSKPQGTNTSSGNKLKAIFGNPEQVVIPMSSSATGSGRDGDDPSVNQGGGGHIDYSTANGVEMDSLCLNNVDLTYDYTGHGTSAQVGGAEVIVLSDSEEDNDLLVSPPIAYRNNQNDATDGYSVQPPVMVDSYADDHNLGGNSCLGLFPNDDDFGMSSLWSMPSGRQAGPGFQLFGSDADVSDALVHLQHGPVNCSSSLNGYALAPDTALGSGGILQDSSAGRLDADLNGGLVDNPLAFGGDDPSLQIFLPTRPADSSMQNELRDQANVANGVCTEEDWISLSLGGGAGGNNGDASTQNGLNSRHQIPTREVGTNTLDDTVHS >Vigun06g202600.2.v1.2 pep primary_assembly:ASM411807v1:6:31720910:31726407:-1 gene:Vigun06g202600.v1.2 transcript:Vigun06g202600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFLWRVLPLLTFLISFLVFFYLHDSYMAQLHPLGKLTQNKCSLLHHRHFWITSKRIVTPQGIISGSVEIKEGKIISVTEGYGKQGNSKHEEVIDYGDAVVMPGLIDVHVHLDEPGRTAWEGFDTGTRAAAAGGVTTVVDMPLNNHPTTVSKETLKLKLEAAENRIYVDVGFWGGLIPENALNTSILEGLLSAGVLGLKSFMCPSGISDFPMTTIHHIKEGLSVLAKYKRPLYVHSEIQQHSTKHSDLNDKGGPRTYLTYLHSRPPSWEEAAIKELVSATKDTRAGGPLEGAHVHIAHLSDSSASLDLIKEAKIRGDSISVETCPHYLAFSSEEIPNGDTRFKCSPPIRDAYNREKLWKAVLEGHIDLLSSDHSPTVPQLKLLEEGDFLKAWGGISSLQFELPVTWSYGKKHGLTLEHLSLLWSKKPATFAGIESKGSIAVGNDADIVVWKPEVEFELNEHYPVFLKHPPLCLYGKKVIRKSFGDFCERKPCL >Vigun06g202600.1.v1.2 pep primary_assembly:ASM411807v1:6:31720910:31726407:-1 gene:Vigun06g202600.v1.2 transcript:Vigun06g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFLWRVLPLLTFLISFLVFFYLHDSYMAQLHPLGKLTQNKCSLLHHRHFWITSKRIVTPQGIISGSVEIKEGKIISVTEGYGKQGNSKHEEVIDYGDAVVMPGLIDVHVHLDEPGRTAWEGFDTGTRAAAAGGVTTVVDMPLNNHPTTVSKETLKLKLEAAENRIYVDVGFWGGLIPENALNTSILEGLLSAGVLGLKSFMCPSGISDFPMTTIHHIKEGLSVLAKYKRPLYVHSEIQQHSTKHSDLNDKGGPRTYLTYLHSRPPSWEEAAIKELVSATKDTRAGGPLEGAHVHIAHLSDSSASLDLIKEAKIRGDSISVETCPHYLAFSSEEIPNGDTRFKCSPPIRDAYNREKLWKAVLEGHIDLLSSDHSPTVPQLKLLEEGDFLKAWGGISSLQFELPVTWSYGKKHGLTLEHLSLLWSKKPATFAGIESKGSIAVGNDADIVVWKPEVEFELNEHYPVFLKHPSLSAYMGRRLSGKVLETFVRGNLVFKKGKHAPAACGVPILAKRIT >Vigun02g000300.12.v1.2 pep primary_assembly:ASM411807v1:2:97519:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDEILDKPLPTHWAPSMQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.1.v1.2 pep primary_assembly:ASM411807v1:2:97518:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDQEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.6.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDQEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.5.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.10.v1.2 pep primary_assembly:ASM411807v1:2:97519:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDQEILDKPLPTHWAPSMQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.11.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDEILDKPLPTHWAPSMQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.4.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDQEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.7.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.9.v1.2 pep primary_assembly:ASM411807v1:2:97470:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDQEILDKPLPTHWAPSMQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun02g000300.8.v1.2 pep primary_assembly:ASM411807v1:2:97518:104578:1 gene:Vigun02g000300.v1.2 transcript:Vigun02g000300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKSNMFDTAYNYLVPEDEILDKPLPTHWAPSMQAYNNSASTPIPFLYQHAAGYMPLYMWPNQANCTLLQSDVLQDPMKLSSKPKVSEDAVLAFSEIVHRTFGEQNLNSIKKCARNLQPKSLIPKESRGDGSNSSILKNKDRVLCSEAYGNKGSLHEDHDTANDFPSTKEQYCNLMLENASSTQPNQGLETGLDAIGTNTELVKLEKDEIRRERKRQSNRESARRSRMRKERECEELHKKMEILKDENSVLTQRLKSLSEECLEICNENDAIEEELVKMYGTESISYLLAMKPR >Vigun07g097500.2.v1.2 pep primary_assembly:ASM411807v1:7:16867484:16870277:-1 gene:Vigun07g097500.v1.2 transcript:Vigun07g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNISWSITPKPKDKKRSHFDTAGSRQDSKVMQRHIKLLKNTITAKCNRLWHCHKATLARLDALKNDNAGFEIEDANNDDDEASLDEQDQLSSSTDLENKSKSHN >Vigun07g030000.5.v1.2 pep primary_assembly:ASM411807v1:7:2735100:2743101:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.3.v1.2 pep primary_assembly:ASM411807v1:7:2735130:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.6.v1.2 pep primary_assembly:ASM411807v1:7:2736513:2743077:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.8.v1.2 pep primary_assembly:ASM411807v1:7:2739186:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.13.v1.2 pep primary_assembly:ASM411807v1:7:2735130:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWRRAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.11.v1.2 pep primary_assembly:ASM411807v1:7:2735130:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.10.v1.2 pep primary_assembly:ASM411807v1:7:2735169:2743063:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.1.v1.2 pep primary_assembly:ASM411807v1:7:2735072:2743084:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.7.v1.2 pep primary_assembly:ASM411807v1:7:2739186:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.9.v1.2 pep primary_assembly:ASM411807v1:7:2735017:2743077:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRIFLFCFGLKSMLIHYSFAFQLQFLSHFFTMKISCLWYRRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.12.v1.2 pep primary_assembly:ASM411807v1:7:2736447:2743063:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.2.v1.2 pep primary_assembly:ASM411807v1:7:2735019:2743084:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEGNDNHVSIDEITSKGILESVHDEQEFTAEHFPESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun07g030000.4.v1.2 pep primary_assembly:ASM411807v1:7:2735130:2743088:1 gene:Vigun07g030000.v1.2 transcript:Vigun07g030000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKMEDYEVIQQIGRGALGATFLVLHKTEKKRYVLKKIRLSKQADKSKVTAQQEMDLIAKLNYPYIVEYKDAWVEKEDCICIITGYCEGGDMAANIKKARGSYFSEEKVCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGEFGLAKLLNPEDLTSPAFGTLNYMCPEAFAGMPYGYKSDMWSLGCCMFEIVAHQLAFRAPDRAGLINKINRCSISPLPIVYSSTLKQLIKSMLRKNPEHRPTASELLRHPHLQPYVLRCRNASSIYLPVHLINSNSPKDKTKNKSSGSKDHWDKEAELAGLVNRLDRIYPFQGNADVQTRNQHNEGKVAVPTKDNVETKMVDSTSYTQEFSTSISGSKDGSTTSESTVCSVSKEGDFKNRFARDTADSEITSKSTIDCVHEEQGLTTQKSCAIDINTVIKNVEDILSKEDLNTDEALSEDAKPRDYSKSTLSSEGSESNDKVESIDEIMSKSTLDSVHEEHRFTVDHFQKLDVIDLSTVSTEVEDNFSSEGFDKKETDMENDKPEDSRKSIVFIENIEESDVIDTNSVATKFEDNFRTEAFDQTETETKNGEQEESRISVVSNENMDGDDNDRFIDEIGLKSTLDSVHEEQWLVAEPAQKLDLVDIKATASKDVDKVSNEGSGGAEAQREDAKLECSSKLIISHDNSNGNDEDGSGGEITSKSVLESVGEEQGLTAEHFQTSDAIDLNAVTTEFEDKLSSEGFDKAETQEKDAKPEDSFKSLSSEGSSSSDKEGSIDEEIASSPMAQPVKVEHDTETGSSLKEDENPEAFEEGSLMNSLTSDTKDTYPVKDENREDTHTISCSTCNEDNNAVVTQKQANGISSMKRISRGSNASCQQRADALESLLELCAQLLQQGNLEELAAVLRPFGEDAVSVSSRETAILLTKSLMATQKLNPET >Vigun01g124700.1.v1.2 pep primary_assembly:ASM411807v1:1:30154790:30158475:-1 gene:Vigun01g124700.v1.2 transcript:Vigun01g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun01g124700.3.v1.2 pep primary_assembly:ASM411807v1:1:30154828:30158256:-1 gene:Vigun01g124700.v1.2 transcript:Vigun01g124700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun01g124700.2.v1.2 pep primary_assembly:ASM411807v1:1:30154828:30158256:-1 gene:Vigun01g124700.v1.2 transcript:Vigun01g124700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun11g089200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26855249:26856159:1 gene:Vigun11g089200.v1.2 transcript:Vigun11g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFVSSSPKPHSTLSLHIGHDQHTHIHPFPNSAIHLRLPLARDPKAKSTHDHSHSHRQHTHLNLLLLHISFFFIFLLCFKGAFTHHYSHHFPAPKSLSLHATIIQNHSHWTKTPILAPNIHVSPSRIPHIQHQTSK >Vigun09g184900.1.v1.2 pep primary_assembly:ASM411807v1:9:35844209:35852665:1 gene:Vigun09g184900.v1.2 transcript:Vigun09g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTGDLGFSSLVLLVVCLVVPVIGLVISRRWQVSEAKKEEIRRLLVLAAEETARAEKEAFYGYGTAATTAPSNQCAVCYFPATARCAQCKSVRYCSFECQTVHWRQGHKLECNPSSTSHQSYDVTSDLGSKVIEQGYSGIYGEKSESESTECKSSSEKPSISDICFSPKVSSQKDENIRFESLTEGNITDSNSELSSNSFSGFSASTGSSDSSDDSSVCESVISNEHDRSEGHIFVDSTLDNPDKTNDNSEGVTMSSSPKFATLVDSIDGFSTMHKLNHIGPGFSKEERKFASNGNSGSDVYKEKTLDPSTVFSGFWDKALDGIKDDSKNDTHTSYSDESTGKRTVSESSFHFSFSTIPPAHVRDIRTKGSESDNAFPNCIGSENDNMNSSKERKFLFSNSKVSNDRNYVTLSGSESDHLESKDSSRPPLSSFSHQSSSAGKGSVCADALSIHNFQATGTKVTNHVVENRRSTFKSTAIKHDRADSNIASETKENSHTGTKHGNNDDEYGTQAVTSSRVPSCSANSRSGIKTSVLKVVDQFRGSNMSKHFPFSVGSEVGERHHDKVFFPYELFVKLYNSNKVELCPFGLINCGNSCYANAILQCLAFTPPLTAYLLQGLHSKSCVNKKWCFTCEFERLILKSKDTKSSVSPMCIISHLQNIGSQLSNGREEDAHEFLRHVIDTMQSVCLMEAGVNASGSLEEDTTLMGLTFGGYLRSKIKCMKCGGKSERQERMMDLTVEIEGDITTLVEALQRFTSTETLDGENKYHCVRCKSYEKAKKKLTVSEAPNVLTVALKRFQSGKFGKLNKPIQFPEILNLAPFMSGTSDKSPIYRLYGVVVHLDTMNAAFSGHYVCYVKNIQNKWFKVDDSVVTAVELERVLTTGAYMLLYARCSPRAPRLIRNRILSSDSKSKVSGKTLATKARYTSTNSGVSEHVNSSISPDGSPAFDSFYSKFHHLKKILEEDSSSDNSSLISSNSDEGSCSTDSTCDSASTDDFTDYLFGDSGNGWSSVWRNSDSDTSSSSSSSPLNSRHSPLSDMDRYDSVSPTAIPTGSRVEKDSHVYRNGAVSVDRRGVGVSCLHSNTSSQHRGLGSGRISSKISSRETHSFVKVGSNLCNDTECGVLCRKYRTD >Vigun07g071600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8953135:8955791:1 gene:Vigun07g071600.v1.2 transcript:Vigun07g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKAVLASCKTFHQALQIQAQAVVTGRHHDPFLMTPLISFFATNHLHHSHLLFSQIPNPDLFLFNFLIRAFSLSQTPHHALSLFRSMLSSSPPISPDSFTFPFLLKSCAKLSLPKLALQLYSHVIRSGFESSVFVVNALLQLYFVLRDAPNACKVFDESPVRDCVSYNTMINGLVRAGRADSSLKVFGEMRGNLVEVDEYTLVALLSACSSLEDSGTGRVVHGLVYRIFSCVNENELLMNALVDMYAKCGCLEVAERVVSCGNAKSGVAAWTSLVSAYAMRGEVEVARRLFDQMGERDVVSWTAMISGYCHCGCFQEALELFVELEGLGMEPDEVAVVAALAACARLGALELGRRIHHKYDGGSWQCGTHRGFTCAVVDMYAKCGSIDTALDVFRRTSDDMRTTFLYNSILSGLAHHGLGEHAMAVFEEMRLQGLEPDEVTFVSLLCACGHNGLVDDGKRLFESMLSVYGVSPQMEHYGCMVDLLGRAGRLDEAYCLIQNMPFKANAVIWRSLLSACKVHGDVALARLASHELLAMEHDHGARYVMLSNMLTLVEKHDEAATVRKAIDDVGIQKPPGWSYVEINGALHKFLAGDKSHPKAKATELMLRNIDMGLKTIGHVISA >Vigun06g151300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27587635:27588907:-1 gene:Vigun06g151300.v1.2 transcript:Vigun06g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDNDSGGHAGNTSGGNEFSGCREQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEEYVEPLKVYLHKYREMEGEKTAMIGRPQGGGGGGGGGGSGGGDQRDEAYGHGHAHGGMVPNSMMVMMGHQGHVYGSGSAGSASSARTR >Vigun01g188650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36797615:36797740:1 gene:Vigun01g188650.v1.2 transcript:Vigun01g188650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGVEQYSLLILLIETKQKIKKERCTARIFFLKWQAFYY >Vigun05g291820.1.v1.2 pep primary_assembly:ASM411807v1:5:47802700:47804265:-1 gene:Vigun05g291820.v1.2 transcript:Vigun05g291820.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPDSVKGLGVFIQDACCTWSRSEEQALNMERLVQVNRPCYIQSLEKCSLFVALFIPMNPLLMYHRSLGFYLERCATTYYSGKVMILKDVDISLMIGGDMAYIGEKGVNLSSGQRARLALARALYHDSAVVMLDDVLSAEDVQVAQCILYNAILGPLMQRKTRLLCTLNIQATA >Vigun01g120200.1.v1.2 pep primary_assembly:ASM411807v1:1:29678651:29683337:-1 gene:Vigun01g120200.v1.2 transcript:Vigun01g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFLLFLLLTQSLLATSSPLNPIPINLSVADFGATGDGSCYDTAPIQSAINSCPEGGPCHVIFPAPGKYLTATVFLKSGVVLVVEPGATILGGARLEDYPEEFWRWYVVVAENATNVGIRGGGVVDGQAAKFVVREDPRKNVMVSWNQTGACLGDECRPRLIGFIGCNNVEVSNITLNHPAYWCLHLVRSNNISIKDIAINGDFNIPNNDGIDIEDSNNTVITRCHIDTGDDAICPKSSTGPVYNLTVTDCWIRSKSSAIKLGSASWFDFKHFVFDNITIVDSHRGIGFQIRDGGNASDIVFSNINISTRYYDPLWWGRAEPIYVTSCPRDSTSKEASISDVTFINITANSENGIFLSGSKRGLLRNLRFINMDITYRRFTNYTGGLLDYRPGCQELVEHRTAGIMMEYIEGLEVKNVEIRWSNNELEQWNNPLEFRPSTVNNISFLNFSSGLYTDSKLNY >Vigun04g187500.1.v1.2 pep primary_assembly:ASM411807v1:4:41205227:41211309:1 gene:Vigun04g187500.v1.2 transcript:Vigun04g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERCLQSLLSVSGDTMSEVSEKETNPPATEAAPPCPTVTQRDQWMVESQVFQIYHLFATIPPNAQTLMLELQRDNHVQYLSKGLRHLSSAFSVLDSNRPWLCYWIFHSIALLGESVEDELEDNTIEFLNRCQDPEGGYAGGPGQMPHIATTYAAVNTLITLGGQKSLASINRDKLHGFLRRMKQPNGGFRMHDDGEIDVRACYTAISVASIVNILDDELIQNVGDYILSCQTYEGGIGGEPGSEAHGGYTFCGLAAMILIGEASRLDLPRLIDWVVCRQGKECGFQGRTNKLVDGCYSFWQGGAVALLQRLYSIIDKQMEETSQIFNISNVSKDKESLDGTSTHATCYGTTESGSTDYRNIAYKFINEWRAQEPLFHSIALQQYILLCAQEQEGGLRDKPGKRRDHYHTCYCLSGLSLCQYSWSKHPDSPPLPKLVLGPYSNLLEPIHPLFNVVLERYREAHEFFFTES >Vigun02g041200.1.v1.2 pep primary_assembly:ASM411807v1:2:16858378:16863461:1 gene:Vigun02g041200.v1.2 transcript:Vigun02g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNHLAAISLSSLLLALLLCSAGASSSSKSATKIGQGYRLVSIEETSDGGLVGILQVKQKTKTYGPDIPLLRFYVKHEADNRFRVHITDAQKQRWEVPYNLLPREQPPPLTQSIGKFRKNPITGSEYSGSEFLFSYTSDPFSFVVKRKSNGETLFDTSSGDSDPFSSLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPNDPYTLYTTDISAINLNADLYGSHPVYMDLRNSGGKASAHAVLLLNSNGMDVFYTGTSLTYKIIGGVFDFYFFSGPSPLNVVDQYTTLIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYKKAQIPLDVIWNDDDHMDGKKDFSLNPENYPRPKLLNFLDKIHNIGMKYVVIIDPGIAINTSYGVYQRGLANDVFIKYDGEPFVAQVWPGAVNFPDFLNPKTVSWWVDEIRRFHELVPVDGLWIDMNEVSNFCSGKCKVPKGKCPTGTGPGWICCLECKNITSTRWDDPPYKINASGIKAPIGFKTIATSANHYNGVLEYDAHSLYGFSQSIATHKGLQGLQGKRPFILSRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWQSVAESARNALGIRYKLLPFLYTLNYEAHVSGAPIARPLFFSFPTYTECYGLSTQFLLGSSLMVSPVLEQGKTQVKALFPPGSWYSLLDWTHTITSKDGVYVTLDAPLHVVNVHLYQNTILPMQQGGMVSKEARMTPFTLIVTFPSGAAQGEAKGNLFLDNDELPDMNLGNGYSTYVDLYATVNQGAVKVWSDVQEGKFALDKGWIIDSVSVLGLDGSGAVSSLEIDGKPLIGASNVNVSTSQHEHLNSEGEGEKKTVMVALKGLNIPVGKNFAMTWKMG >Vigun03g085900.2.v1.2 pep primary_assembly:ASM411807v1:3:7120095:7122641:-1 gene:Vigun03g085900.v1.2 transcript:Vigun03g085900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLTPKKAEALFEGDGGGYYTWTTSEVPLLAQQNLGAGRLLLQPRGFALPHYADSSKVGYVIQGSDGVVGMVLPNSKEEVVLKLEQGDVIPVPIGAVSWWFNDGDTDLIIVFLGETSKALIPGKFTYFFLTGAIGLIGGFSTDFTSKVYGLDKDEAEKLTRSQTGILIIKLDKTQPMPKPQLHNTKKLVYNIDGAPPENAVEKAGLVKTLIEKEFSFIGDSGLSLIRVKLEPNAIKAPSYPVSATTQLIYIARGSGKIEIVDFNGKSVLNTEVEAGHLIVVPQFFVVAEVAGEEGLESYSTVTTTKPLFEELAGKTSIWSILSSTLQEVALNVDSDFQKLFISKIKESTNLFPPTT >Vigun03g085900.1.v1.2 pep primary_assembly:ASM411807v1:3:7120095:7122531:-1 gene:Vigun03g085900.v1.2 transcript:Vigun03g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLTPKKAEALFEGDGGGYYTWTTSEVPLLAQQNLGAGRLLLQPRGFALPHYADSSKVGYVIQGSDGVVGMVLPNSKEEVVLKLEQGDVIPVPIGAVSWWFNDGDTDLIIVFLGETSKALIPGKFTYFFLTGAIGLIGGFSTDFTSKVYGLDKDEAEKLTRSQTGILIIKLDKTQPMPKPQLHNTKKLVYNIDGAPPENAVEKAGLVKTLIEKEFSFIGDSGLSLIRVKLEPNAIKAPSYPVSATTQLIYIARGSGKIEIVDFNGKSVLNTEVEAGHLIVVPQFFVVAEVAGEEGLESYSTVTTTKPLFEELAGKTSIWSILSSTLQEVALNVDSDFQKLFISKIKESTNLFPPTT >Vigun03g085900.3.v1.2 pep primary_assembly:ASM411807v1:3:7120095:7122531:-1 gene:Vigun03g085900.v1.2 transcript:Vigun03g085900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLTPKKAEALFEGDGGGYYTWTTSEVPLLAQQNLGAGRLLLQPRGFALPHYADSSKVGYVIQGSDGVVGMVLPNSKEEVVLKLEQGDVIPVPIGAVSWWFNDGDTDLIIVFLGETSKALIPGKFTYFFLTGAIGLIGGFSTDFTSKVYGLDKDEAEKLTRSQTGILIIKLDKTQPMPKPQLHNTKKLVYNIDGAPPENAVEKAGLVKTLIEKEFSFIGDSGLSLIRVKLEPNAIKAPSYPVSATTQLIYIARGSGKIEIVDFNGKSVLNTEVEAGHLIVVPQFFVVAEVAGEEGLESYSTVTTTKPLFEELAGKTSIWSILSSTLQEVALNVDSDFQKLFISKIKESTNLFPPTT >Vigun05g199800.1.v1.2 pep primary_assembly:ASM411807v1:5:38611444:38613348:1 gene:Vigun05g199800.v1.2 transcript:Vigun05g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSTEKNGLKKGPWTPEEDQKLIDYIQKHGHGKWRTLPKNAGLRRCGKSCRLRWANYLRPDIKRGRFSFEEEEAIIQLHSVLGNKWSTIAANLPGRTDNEIKNYWNTHIKKKLFKMGIDPVTHAPRLDVLQLASILNTSLFNSSPQFNYPSGRSVINPSQLLSLLSTLLSCQTRNNVNPDNVFNHSNFHQNDNQLLQNQPQSSQMQLHDTLQTFQPNQVSLQENLISKSNPLNMELHQLMKSKLENQTSPIPTSFSHQNTLPNLWHCNNGDNISDLSVAQSSSAMQCFSSPKFNTIFNSLLENQNLCNNEGVPNFNLSSLLSSTTSSSSPSALNSSSSTTFVNGTTEDERDTYGSNMLMYNISNGLNDSGLL >Vigun03g260300.2.v1.2 pep primary_assembly:ASM411807v1:3:42677380:42690100:1 gene:Vigun03g260300.v1.2 transcript:Vigun03g260300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADPASKSATPKKTPPPRKSPAAKRTPATKTRSTKKKEVSAAPDSKTDQSPVEQKPVASGSSTKTAKMKAPGRPRVKAIDTPNLKEQRQAVADEILIEDKTEAAEKVEDVEVVDADNIEGEKEGDQGIEFSEDKEQKSMEVDEPVVEKGSDIMEVEVTASQDVSVSFQRLGRPIMDLNISADNEDCTAEKEGVKGKEVFKDEERGKGKEKLKEQEGDGGKEEVKVHEGDKGQEELKELEGDKGMEELKELDGEKEKKELKAPDGEKGKEELKEHEGEKGKEELIEWEGEKVKEETIEQEGHKVKFDLKEQEVEEGKLKEHYPEKAEGEETNLFGHEENTKSLGLEVKAQMQTEDANPIKDGGEGPMSGEKIDLRGQGKVELAEEDPEEDPEEDPEEPLDEIGALEEEHRELEAIANQRKIKKEHEIFVGGLDRDATEEDLRKVFQRIGEILEVRLHKNSSTNKNKGYAFVKFANKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGIEGAENITLVPDVQHEGLSRGFAFVEFSCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPMREPDPEIMAQVKSVFINGLPPHWDEDHVRELFKSYGEIVRIVLARNMSTAKRKDYGFVDFFTHEAAVACVDGVNKSEMGDTASKIKVRARLSNPLPKTQAVKGGMCGGFRIGHARSAAFSRPVPTRGRGFGRGRQPFNNRGNFNRDRSFYHGGNSQIGRMGFRDDLDFNIHPDFRQRQFGPQGAVRGGHYAGSRGASFAGPSRPYQYRAWYGMPDGGSSEPVPPRRTYSPGGQFDMPFMGRHFDDPYLYDDNIHGMKRPFYMTDPEPDYMGPNRLRPRLDYADPPIFHGTHHHDSFGSGSRQYPPDYYASDYGRGSYSSYYGGDGSHGHGYYY >Vigun03g260300.4.v1.2 pep primary_assembly:ASM411807v1:3:42677380:42690100:1 gene:Vigun03g260300.v1.2 transcript:Vigun03g260300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADPASKSATPKKTPPPRKSPAAKRTPATKTRSTKKKEVSAAPDSKTDQSPVEQKPVASGSSTKTAKMKAPGRPRVKAIDTPNLKEQRQAVADEILIEDKTEAAEKVEDVEVVDADNIEGEKEGDQGIEFSEDKEQKSMEVDEPVVEKGSDIMEVEVTASQDVSVSFQRLGRPIMDLNISADNEDCTAEKEGVKGKEVFKDEERGKGKEKLKEQEGDGGKEEVKVHEGDKGQEELKELEGDKGMEELKELDGEKEKKELKAPDGEKGKEELKEHEGEKGKEELIEWEGEKVKEETIEQEGHKVKFDLKEQEVEEGKLKEHYPEKAEGEETNLFGHEENTKSLGLEVKAQMQTEDANPIKDGGEGPMSGEKIDLRGQGKVELAEEDPEEDPEEDPEEPLDEIGALEEEHRELEAIANQRKIKKEHEIFVGGLDRDATEEDLRKVFQRIGEILEVRLHKNSSTNKNKGYAFVKFANKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGIEGAENITLVPDVQHEGLSRGFAFVEFSCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPMREPDPEIMAQVKSVFINGLPPHWDEDHVRELFKSYGEIVRIVLARNMSTAKRKDYGFVDFFTHEAAVACVDGVNKSEMGDTASKIKVRARLSNPLPKTQAVKGGMCGGFRIGHARSAAFSRPGAVRGGHYAGSRGASFAGPSRPYQYRAWYGMPDGGSSEPVPPRRTYSPGGQFDMPFMGRHFDDPYLYDDNIHGMKRPFYMTDPEPDYMGPNRLRPRLDYADPPIFHGTHHHDSFGSGSRQYPPDYYASDYGRGSYSSYYGGDGSHGHGYYY >Vigun03g260300.3.v1.2 pep primary_assembly:ASM411807v1:3:42677380:42690100:1 gene:Vigun03g260300.v1.2 transcript:Vigun03g260300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADPASKSATPKKTPPPRKSPAAKRTPATKTRSTKKKEVSAAPDSKTDQSPVEQKPVASGSSTKTAKMKAPGRPRVKAIDTPNLKEQRQAVADEILIEDKTEAAEKVEDVEVVDADNIEGEKEGDQGIEFSEDKEQKSMEVDEPVVEKGSDIMEVEVTASQDVSVSFQRLGRPIMDLNISADNEDCTAEKEGVKGKEVFKDEERGKGKEKLKEQEGDGGKEEVKVHEGDKGQEELKELEGDKGMEELKELDGEKEKKELKAPDGEKGKEELKEHEGEKGKEELIEWEGEKVKEETIEQEGHKVKFDLKEQEVEEGKLKEHYPEKAEGEETNLFGHEENTKSLGLEVKAQMQTEDANPIKDGGEGPMSGEKIDLRGQGKVELAEEDPEEDPEEDPEEPLDEIGALEEEHRELEAIANQRKIKKEHEIFVGGLDRDATEEDLRKVFQRIGEILEVRLHKNSSTNKNKGYAFVKFANKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGIEGAENITLVPDVQHEGLSRGFAFVEFSCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPMREPDPEIMAQVKSVFINGLPPHWDEDHVRELFKSYGEIVRIVLARNMSTAKRKDYGFVDFFTHEAAVACVDGVNKSEMGDTASKIKVRARLSNPLPKTQAVKGGMCGGFRIGHARSAAFSRPGAVRGGHYAGSRGASFAGPSRPYQYRAWYGMPDGGSSEPVPPRRTYSPGGQFDMPFMGRHFDDPYLYDDNIHGMKRPFYMTDPEPDYMGPNRLRPRLDYADPPIFHGTHHHDSFGSGSRQYPPDYYASDYGRGSYSSYYGGDGSHGHGYYY >Vigun03g260300.1.v1.2 pep primary_assembly:ASM411807v1:3:42677344:42690140:1 gene:Vigun03g260300.v1.2 transcript:Vigun03g260300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNADPASKSATPKKTPPPRKSPAAKRTPATKTRSTKKKEVSAAPDSKTDQSPVEQKPVASGSSTKTAKMKAPGRPRVKAIDTPNLKEQRQAVADEILIEDKTEAAEKVEDVEVVDADNIEGEKEGDQGIEFSEDKEQKSMEVDEPVVEKGSDIMEVEVTASQDVSVSFQRLGRPIMDLNISADNEDCTAEKEGVKGKEVFKDEERGKGKEKLKEQEGDGGKEEVKVHEGDKGQEELKELEGDKGMEELKELDGEKEKKELKAPDGEKGKEELKEHEGEKGKEELIEWEGEKVKEETIEQEGHKVKFDLKEQEVEEGKLKEHYPEKAEGEETNLFGHEENTKSLGLEVKAQMQTEDANPIKDGGEGPMSGEKIDLRGQGKVELAEEDPEEDPEEDPEEPLDEIGALEEEHRELEAIANQRKIKKEHEIFVGGLDRDATEEDLRKVFQRIGEILEVRLHKNSSTNKNKGYAFVKFANKEHAKKALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGIEGAENITLVPDVQHEGLSRGFAFVEFSCHADAMLAYKRLQKPDVIFGHAERTAKVAFAEPMREPDPEIMAQVKSVFINGLPPHWDEDHVRELFKSYGEIVRIVLARNMSTAKRKDYGFVDFFTHEAAVACVDGVNKSEMGDTASKIKVRARLSNPLPKTQAVKGGMCGGFRIGHARSAAFSRPVPTRGRGFGRGRQPFNNRGNFNRDRSFYHGGNSQIGRMGFRDDLDFNIHPDFRQRQFGPQGAVRGGHYAGSRGASFAGPSRPYQYRAWYGMPDGGSSEPVPPRRTYSPGGQFDMPFMGRHFDDPYLYDDNIHGMKRPFYMTDPEPDYMGPNRLRPRLDYADPPIFHGTHHHDSFGSGSRQYPPDYYASDYGRGSYSSYYGGDGSHGHGYYY >Vigun11g158000.1.v1.2 pep primary_assembly:ASM411807v1:11:36646741:36653261:1 gene:Vigun11g158000.v1.2 transcript:Vigun11g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLMKSQKFAPELEHLVDMTKVNMEVIKPWVTRRVTELLGFEDEVLINFIHSLLDAKEVNGKEVQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELLKKKAENDRITSEIQRKKDKEGREILEERFKKLDGGFDSKDNDTASAPNSKLKDSGYDRDGKEPEKRNGVRARSRLSRSPHSPAVSTSPHRGSPSRSMSKSFSNSRSYSGGRHRSKSMSRSPAARGRSLSHEKIHHSPRRRSISPHRHSPRRSPHRRSLYLRRRSRSRSSYRSPSPIRRRIHSPVHRSSPSYRRRRSPSPMRRRRSPSPVRRRRSPSPMRRRWSPSPVRRRRSPSPARRHRSPLVRRRRSPSPGRRYRSPSPGRRHRSPSLGHKHRSPSPLGMRRSPGHRHRSPSPLGPRRSPSPRRRRSPITRHRSPSPVRRVQPISARNRSESPMQSPSPIQRRYGSRTPPHSPSPLQRRSPIPSKNRSPSISPQKSPRDEWSSQSPIQHVSTSPNRKSSQRQQRSPMQSSIKRVRSSPVGSVRRGKALNYKSQESMSTPEKSPNRSVSAEARSETSSEGRSPHGNTMKQREKLSNKRSLSPLKKQRTQKPSHESPQTRDEAEETYYSRERRDPKVNLSQKIVRHSPASTRKGSPAKYGHEDEFSPERDAGHPASEHNNDWNKKGREIKRDKSSGKGNEFLSQQKPSVNKETFSREKPRESYAVDIKKSDDKDQSRSNYSKSSDRHHKSEATPELGGRDDIGNQSASHDSVSEESGKHRREGKDRKRHKRSEKKYASSDEDYSYDSEVEDRKEAKKRRKEEKKLRKEDKRRRREEKRRRREERRAEKLKLKSKTDNISDDEEAERDYHQSDGEETPSEQKKLEIELRNKALESLKAKRGMNN >Vigun09g038100.1.v1.2 pep primary_assembly:ASM411807v1:9:3392381:3397925:1 gene:Vigun09g038100.v1.2 transcript:Vigun09g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKTTNPSKPDAIHPLTPAQTREPSSLSASPPPPRRSRRLASLSDVSPAGRVSTASNRQAFLNLRSGKRVLKSDMTNDAEEQGNDANNEDDDVAVAVDVNVTTRETTSAVNVNVVADDSGVRVSTRSRKRKSDSAGSEHEEFLYLRSGKKTLRRTINFDPKEQVNNANDDDADNSNVDDDGDVAVAVDGDVTTPESRTENSGVNNAPARVRERSRNSNARERRSGLRRNDHMERFHDIARENASRFAFFAPEEEDGDRSPPVPEAAHEEIEDWPGPFSTAMKIIRDRGMNLQNAQTSSQTNLCESIKWVPKAKKGDVGVLSVPSLQDMCFKILVKNVDAIASLESVPDALRHRLSQLLCDSRRINGHFLELLVRGTPTEIRLRDCSWLTEEQFTECFRTCNTENLLVLQLDQCGRCLPDFVIVATLARSPRNLDRLTTLSLRGACRLSDGGLRALVSSAPALRSINLSQCSLLTSASIYILAESLRYLLKELFLDDCHGIDAALIVPALIELEHLEVLSVAGIETVCDEFVKNYIVARGQNMKELVLKDCINLTDSSIKVIVEHCPGLRVLDLMNLNRLTDLSIGYLTNSCRVLHTLKLCRNPFSDEAIAAFVETTGGSLKELSLNNIKKVGYHTTLSLANHAKNLHSLDLSWCRNLTDNALGLIVDSCLALRLLKLFGCTQVTDAFLNGHSNLQIQIIGLKMSPVLEHVKVPDPHQGALNYSSVSSDLV >Vigun09g038100.2.v1.2 pep primary_assembly:ASM411807v1:9:3392381:3397925:1 gene:Vigun09g038100.v1.2 transcript:Vigun09g038100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKTTNPSKPDAIHPLTPAQTREPSSLSASPPPPRRSRRLASLSDVSPAGRVSTASNRQAFLNLRSGKRVLKSDMTNDAEEQGNDANNEDDDVAVAVDVNVTTRETTSAVNVNVVADDSGVRVSTRSRKRKSDSAGSEHEEFLYLRSGKKTLRRTINFDPKEQVNNANDDDADNSNVDDDGDVAVAVDGDVTTPESRTENSGVNNAPARVRERSRNSNARERRSGLRRNDHMERFHDIARENASRFAFFAPEEEDGDRSPPVPEAAHEEIEDWPGPFSTAMKIIRDRGMNLQNAQTSSQTNLCESIKWVPKAKKGDVGVLSVPSLQDMCFKILVKNVDAIASLESVPDALRHRLSQLLCDSRRINGHFLELLVRGTPTEIRLRDCSWLTEEQFTECFRTCNTENLLVLQLDQCGRCLPDFVIVATLARSPRNLDRLTTLSLRGACRLSDGGLRALVSSAPALRSINLSQCSLLTSASIYILAESLRYLLKELFLDDCHGIDAALIVPALIELEHLEVLSVAGIETVCDEFVKNYIVARGQNMKELVLKDCINLTDSSIKVIVEHCPGLRVLDLMNLNRLTDLSIGYLTNSCRVLHTLKLCRNPFSDEAIAAFVETTGGSLKELSLNNIKKIYLGAEI >Vigun04g099051.1.v1.2 pep primary_assembly:ASM411807v1:4:22718033:22718507:1 gene:Vigun04g099051.v1.2 transcript:Vigun04g099051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCYCGEKAVLRTARTPKNREKQFWGYPKYKRGSEQLVGCNYFSWFSGDEEIVGSVTKNEERDLSVLNMEEMYGQRMKILSLEKPIMNLENRIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun01g037900.1.v1.2 pep primary_assembly:ASM411807v1:1:5045160:5052286:-1 gene:Vigun01g037900.v1.2 transcript:Vigun01g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAGKVACVTGASGYIASWLVKFLLQRGYTVKATVRDPSNPKKVDHLVNLDGAKERLQLFKADLLEEGSFDSAVQGCHAVFHTASPFFFGAKDPEAELLDPAVKGTLNVLKSCLKSATLKRVVVTSSVAAVACNDRPKTPDVVLDETWFSDPEFCIRNEGWYDLSKTLAEEAAWKFAKENNIDLVTINPALVSGPLLQPELNTSAAAVLNFINGSPTFKNITLGWIDVRDVANAHILAYENASANGRYILVERVIHHSQAVQILRDLYPTLPLPDKCVDDKPYPPAYQFSKERARSLGLEFTPLEVSLKDTVESLREKGFAKF >VigunL054600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000391.1:46397:46783:1 gene:VigunL054600.v1.2 transcript:VigunL054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun06g186200.3.v1.2 pep primary_assembly:ASM411807v1:6:30541428:30543467:1 gene:Vigun06g186200.v1.2 transcript:Vigun06g186200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVRYSPLATDDNDVAINRSFDPRFDYTPKALDKVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPGFYETRIAYYAWRGAQGYRFSAIPDY >Vigun06g186200.4.v1.2 pep primary_assembly:ASM411807v1:6:30541424:30543467:1 gene:Vigun06g186200.v1.2 transcript:Vigun06g186200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVRYSPLATDDNDVAINRSFDPRFDYTPKALDKVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPDINISATWIFCWKHNFS >Vigun06g186200.2.v1.2 pep primary_assembly:ASM411807v1:6:30541423:30543467:1 gene:Vigun06g186200.v1.2 transcript:Vigun06g186200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVRYSPLATDDNDVAINRSFDPRFDYTPKALDKVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPGFYETRIAYYAWRGAQGYRFSAIPDY >Vigun06g186200.1.v1.2 pep primary_assembly:ASM411807v1:6:30541428:30543467:1 gene:Vigun06g186200.v1.2 transcript:Vigun06g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVRYSPLATDDNDVAINRSFDPRFDYTPKALDKVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPGFYETRIAYYAWRGAQGYRFSAIPDY >Vigun05g294800.1.v1.2 pep primary_assembly:ASM411807v1:5:48022987:48025033:1 gene:Vigun05g294800.v1.2 transcript:Vigun05g294800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTCTVPTPTSWLHHSKDSSSERHNAEKKICMSREWKNQSMKMKQSGEVGEEEKQKFLKKRGTPVSVRELFSGSGLDEHEEGGLGLQTSVMGGGMGSGGGRICGGFNGSGRGSHGRDWTDAYYQNMIEANPNNALLLGNYAKFLKEVRGDYLKAGEYLERAILVNPGDANVLSLYADLIWQTEKNADRAEGYFDQAVKSAPDDCYVLASYAKFLWDVEEDEEEDKECEQKTDLGHAYPLDLFKETKDSPHGSQVFQS >Vigun05g294800.2.v1.2 pep primary_assembly:ASM411807v1:5:48022987:48025016:1 gene:Vigun05g294800.v1.2 transcript:Vigun05g294800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTCTVPTPTSWLHHSKDSSSERHNAEKKICMSREWKNQSMKMKQSGEVGEEEKQKFLKKRGTPVSVRELFSGSGLDEHEEGGLGLQTSVMGGGMGSGGGRICGGFNGSGRGSHGRDWTDAYYQNMIEANPNNALLLGNYAKFLKEVRGDYLKAGEYLERAILVNPGDANVLSLYADLIWQTEKNADRAEGYFDQAVKSAPDDCYVLASYAKFLWDVEEDEEEDKECEQKTDLGHAYPLDLFKETKDSPHGSQVFQS >Vigun01g042100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5954564:5956155:1 gene:Vigun01g042100.v1.2 transcript:Vigun01g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDWVDQNHKNHCSQIIMGKLAIMLQGKCKNRKESSSWPLTKCFYHTNRRDTGARIEEEWNVDFSDLFIGHRFSHGAHSKIYHGIYKQQHVAIKIFEVRECEEEGNLRSLLETQFLREVTHLPRMQHQNVVSFVAACRDSESYYILTEYQQKGSLRAHLNMLESKPISLRKIIRFALDIARGMEYVHAQGIIHRDLKPENVLVDKNCRLKIADFGIACVASKCDSLRGTYRWMAPEMIKGKCYGRKVDVYSFGLILWELVSGTLPFADMNPVQVAVAVIDKNSRPVIPTHCPHGLRDLINQCWALKPQKRLEFCQIVRVLEQMIKDIVL >Vigun04g107100.2.v1.2 pep primary_assembly:ASM411807v1:4:25761092:25763460:1 gene:Vigun04g107100.v1.2 transcript:Vigun04g107100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSLLLELLLQNKKLLEPSSGARLLPHNRKPPPDETTTPPHLPRPFSETWQSFLSLVRFALRGCPFLARGSAGVLHQKRHRLGEPASFPRREIHRLQLHHLRSVALRRPLAQPPSNRVARGSLNAPFELLHGNPKGRDHEARAKVCSRLAQRLGKVELKSRMVSGKRYYGEDCDVSNAEEARQFRGIIKELVALGGANNAGDFLPLLRWFNFDDLEKKLKKISKRTDAFLQGLVDEHRNRKQSANTMIDHLLTQQQSQPEYYTDEIIKGLVLVMLLAGTDTSAVTLEWEMSNLLNQPEILKKAKREIDTHIGQNRLVDEVDIPKLPYIQNIVYETHRLHPAVPMLAPHFSSEDCTIGEYNLPQNTILLVNAWAIHRDPNLWSDPTHFKPERFENENEANKLLPFGLGRRACPGSNLAQRTVSLTLALLIQCFEWKRSTNEEIDLIEGKGITVGRKFPLEAMCQVWQSSPIRDIF >Vigun08g191400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35901240:35901509:1 gene:Vigun08g191400.v1.2 transcript:Vigun08g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESEQKSEKQRTMEEVRKGYVPVLVGKEEKLMEKIWVPIKLIQHPTIVELLNKSADEYGYQHQHGVLRIIYDPDSFKALIQEASKNCI >Vigun01g005400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:659169:662477:1 gene:Vigun01g005400.v1.2 transcript:Vigun01g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEREDNNKGTDSTHAAISSTLKIKIVSCSKSGESLDNSNLPSPNINNGIESSPYGSPLVSPPSSAFVSALQSPYISPRAIIPDPPNGSPLENQPELLTIATSTTNPSTPEDVPSSSYTPPSDQYEFSDDAADTRLKYVTCVPEPAPPRISFSFPVPRISFAKGPISPATNAKLRSCDVYIGFHGQNPNLVRFCRWLKSELELQGIDCMLADRAKYSDSQSHEIADGVICSVAFGVVVVTSSSFLNHFSMEEVRFFAQKKNLIPLLFDTGPAEIMALLNCKSIDKECKEAIDGLMKCNEFNLEANDGNWRSCIAKAAGILRARLGRKNAEQKDNVQGLENLPFPRNTYFVGREKEIMEIEGLFFGRGNGMEQVQDHCKAFTKGEASGSGQSEGLADEESEPVIARCGRYISLEMGRSKEPTLEAWVEPIMGNNSLKRLKNKKSKSGNYKSVCSSVICINGVSGIGKSELALEFAHRYHQRYKMVLWVGGEARYLRQNLLNLSLNLGLDVGADSEMERGRIRSFEDQEFEAFKRVKRELFGETPYLLIIDNLETEEEWWEGKDLYDLIPRNTGGTHVIVTTRLSKVMSYDTIQLPPLPLSDAMILMIGRKRKEYSADEIDLLEKINEKLGRLSFGLWMIGSLLAELSIGPSCLYEAINQVPLDEDSNSCYMSIAEGQWCKSNPFLMKTLLFCLETLEKTKAKGNLLAIRMLLVSGWFSPSPISASLLANAAKSIPTVENRLKKWTKSLSLTSCLSPRTWKNEEESAMILVKMGLARRANHHDGCWLHFHPITQAFAKRKGGLQYAKAAIQGVRKMGSQVNSDHLWTSAFLVFGFKSEPPLVQLKAIDMVLYIKRTALPLAIQAFTSFSRCNSSLELLRVCTNALEEVEKSFVSQIQDWSSHGSVCWKRRLQRGQKVDEYVWQDVTLLKATLLETRAKLLARGGHLDSAKELCRTCISIRTVMLGHNHAQTLAAQDTLARLVRMRSKI >Vigun03g017600.2.v1.2 pep primary_assembly:ASM411807v1:3:1243057:1247306:-1 gene:Vigun03g017600.v1.2 transcript:Vigun03g017600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEKFQEEVKTANDEEIARLMKEQEHKNSEISALKQELEATKTAYEVQRSQMEKDAKAELEQKCEEYENQLKELRNKVKELKVSSDSKDQKWNMKMNRFLTVITFQFSSLQKMKLSLESTKQSVMKEQTVYAGELDLLGVKLKPLLQAAENYHVVIAENRKLFNEIQELKGNIRVYCRIRPSAIGKLEKESIVEHAGENDLVVANPTKDGKDAFRSFKFNRVFSPAATQADVYSDIQDFTRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGATSETIGVNYRALNDLFRISTSRQNVVEYEIGVQMVEIYNEQVRDLLTTYGSPKRLGILTQSRPNGLVVPDASLFQVKLPSDVIKLMEIGLKNRAIAATAMNERSSRSHSVVSVHVTGKDLKTGCTMAGNLHLVDLAGSERVDRSEAVGDRLKEAQHINKSLAALGDVIFSLSQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQINSDVSSYSETVSTLKFAERVSGVELGAARSSKEGKDLKEMMEQVSCLKSTILAKDEEIERLQALKGLSGSRVKRNLIPRSRSSIQLQSANPPPIDDPAPQSDFVATTAENSGCTDSDFDGRSSDFSDSGFAAGTETDGSESSSLAEVPKQPSEKIPKGLRRTVQAMRKFGRSSSNTTPSASPVKEPVKEPVKESAKKSSSGIKKSMSISSLKPPKLWK >Vigun03g017600.3.v1.2 pep primary_assembly:ASM411807v1:3:1243507:1251502:-1 gene:Vigun03g017600.v1.2 transcript:Vigun03g017600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPSEATELGSPVDSSFENGSFQSYNAELEAKNRLLLVQWLTSLAPSLKFPSSVTDGELRACLSNGTILCLILNKLRPGIVNVVSEPDNVLPSQSENVKSFLKVLDLTGLPRFEISDLEKGPMKAVVECLLTLREKSLQHAFGDNISPQNFITVSPRVNSPFHYHCSTTFGGDQKKFSVLSKLQRVMSSPSVPEPSASLIHHAGHNFHEVFQLKPGSYIDLPPEKISEMMKSNNIDNAPTQSLLSVVNGILEESVERRNGEIPHRVACLLRKVAQEIERRMSTQAEHLRTQNNLFKAREEKYQSRIRVLESLASETKDGNEGERIRVEEKKVDEREVGRLIKEQQDKILEISALKIELETAKRTYIIQFARLEAEAEGAKAELTQMVQEYKQQIEEVRNKAENIKDELVGLMKEQEDKKMEIISALKSDLETAKRTYETQFFQLEEEAKGAKAWTQKAQEYDYQLKELQNKVEKFQEEVKTANDEEIARLMKEQEHKNSEISALKQELEATKTAYEVQRSQMEKDAKAELEQKCEEYENQLKELRNKVKELKVSSDSKDQKWNMKMNRFLTVITFQFSSLQKMKLSLESTKQSVMKEQTVYAGELDLLGVKLKPLLQAAENYHVVIAENRKLFNEIQELKGNIRVYCRIRPSAIGKLEKESIVEHAGENDLVVANPTKDGKDAFRSFKFNRVFSPAATQADVYSDIQDFTRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGATSETIGVNYRALNDLFRISTSRQNVVEYEIGVQMVEIYNEQVRDLLTTYGSPKRLGILTQSRPNGLVVPDASLFQVKLPSDVIKLMEIGLKNRAIAATAMNERSSRSHSVVSVHVTGKDLKTGCTMAGNLHLVDLAGSERVDRSEAVGDRLKEAQHINKSLAALGDVIFSLSQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQINSDVSSYSETVSTLKFAERVSGVELGAARSSKEGKDLKEMMEQVSCLKSTILAKDEEIERLQALKGLSGSRVKRNLIPRSRSSIQLQSANPPPIDDPAPQSDFVATTAENSGCTDSDFDGRSSDFSDSGFAAGTETDGSESSSLAEVPKQPSEKIPKGLRRTVQAMRKFGRSSSNTTPSASPVKEPVKEPVKESAKKSSSGIKKSMSISSLKPPKLWK >Vigun03g017600.1.v1.2 pep primary_assembly:ASM411807v1:3:1243057:1249224:-1 gene:Vigun03g017600.v1.2 transcript:Vigun03g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSNNIDNAPTQSLLSVVNGILEESVERRNGEIPHRVACLLRKVAQEIERRMSTQAEHLRTQNNLFKAREEKYQSRIRVLESLASETKDGNEGERIRVEEKKVDEREVGRLIKEQQDKILEISALKIELETAKRTYIIQFARLEAEAEGAKAELTQMVQEYKQQIEEVRNKAENIKDELVGLMKEQEDKKMEIISALKSDLETAKRTYETQFFQLEEEAKGAKAWTQKAQEYDYQLKELQNKVEKFQEEVKTANDEEIARLMKEQEHKNSEISALKQELEATKTAYEVQRSQMEKDAKAELEQKCEEYENQLKELRNKVKELKVSSDSKDQKWNMKMNRFLTVITFQFSSLQKMKLSLESTKQSVMKEQTVYAGELDLLGVKLKPLLQAAENYHVVIAENRKLFNEIQELKGNIRVYCRIRPSAIGKLEKESIVEHAGENDLVVANPTKDGKDAFRSFKFNRVFSPAATQADVYSDIQDFTRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGATSETIGVNYRALNDLFRISTSRQNVVEYEIGVQMVEIYNEQVRDLLTTYGSPKRLGILTQSRPNGLVVPDASLFQVKLPSDVIKLMEIGLKNRAIAATAMNERSSRSHSVVSVHVTGKDLKTGCTMAGNLHLVDLAGSERVDRSEAVGDRLKEAQHINKSLAALGDVIFSLSQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQINSDVSSYSETVSTLKFAERVSGVELGAARSSKEGKDLKEMMEQVSCLKSTILAKDEEIERLQALKGLSGSRVKRNLIPRSRSSIQLQSANPPPIDDPAPQSDFVATTAENSGCTDSDFDGRSSDFSDSGFAAGTETDGSESSSLAEVPKQPSEKIPKGLRRTVQAMRKFGRSSSNTTPSASPVKEPVKEPVKESAKKSSSGIKKSMSISSLKPPKLWK >Vigun03g211800.1.v1.2 pep primary_assembly:ASM411807v1:3:35129172:35133627:1 gene:Vigun03g211800.v1.2 transcript:Vigun03g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRVCFTYLFPAIILLLLTPSSVAQLSTSENRILFQVQKLLEYPQALQGWTRWTNVCFLPPSSSLKIVCANGHVTELTIIGNKTSPSSHTPQAGAWTSLQTLSGKFSIDSFFTVMTKLSNLKVLSLVSLGLWGPLPSKINRFWSLQVLNISSNFIYGEIPPSISSMTNLRSLVLVNNLFNGSVPDLQRLTSLEELNLGGNKLGPEFPSVGNNLVTIILRNNSLRSRIPPEIEHFDKLQVFDVSSNDVFGNIPSFLMSMPSLHSLNLAANHLSGNLSVNMACSSSLTFVDISHNLLVGKLPACLGSMSSKATVLYSGNCLSTKRLNNQHPSSFCKREGALAVKPPAKKQQNESNSGAKLGLVIGIIGGAVVIAGLLVFLIVFIFRKTNGERTHHKMDKSVANKYSASASPGPNGTRHIPQAMKQAALGLPPYRIFTSEEIEDATNNFDPSNLIEEGSQGQLYKGWLRDGSVVLVNCVKIRQKGLPHSIMQQIEVLPNLRHRHMVSVLGHCIITEQDHPQTTSTVFIVFEHISNVSLRDQLEDGRKREMLKWPQRMAMSIGIARGVQFLHTGVAPGIYGNNLKIENILLDDSLNAKVSRYSIPLPFKSAHNEHTATNHIGSTNNAEKEDIYQLGVILLEVITGKQIASPSEIEEMKNELENGSSEATSVLKSVIDPSLRGTYAYESMKTAVQITINCLSKVSSQRPSIEDVLWNLQYSMQVQESWTSSGNLSTKF >Vigun03g307600.1.v1.2 pep primary_assembly:ASM411807v1:3:49886485:49891222:1 gene:Vigun03g307600.v1.2 transcript:Vigun03g307600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGVSSGGVTVVGSDAPSDYHVAPRTDNPAPASGSTTQIPATAGSAPPAQPQLPHTVAMEALPATTMPAKKKRGRPRKYAPDGSVTMALSPKPISSSAPLPPVIDFSSEKRGKIKPTSSVSKAKFELENLGEWVACSVGANFTPHIITVNSGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSESGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPRKQKHEVISSVTAAAVVPISTLDPIPILSSATSIRGETWSAMPAAEAKNKPADINVSLPAG >Vigun10g125500.1.v1.2 pep primary_assembly:ASM411807v1:10:33380608:33382668:1 gene:Vigun10g125500.v1.2 transcript:Vigun10g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLVFLFFLVVISTSHSNAYPLSTQNRWIIDEATGQRAKLVCANWAGHLQPMIPEGLDKRPLKDIVGELVKHKFNCVRLTYAIYMWTRYAHENVSANLASLDVPEVVEGIAKNNPSVLSMTHIQTFHAVVHELGVQNVKVLLDNHVSEPMWCCNDDDENGFFHDRHFNPQEWVHGLTLAAKHFNGNPVVVAMSLRNELHGPRQNLKDWYKYMSQGALAIHEANPNVLVLISGLNYDTELQFLKKKPLNIDLGKKMVFETHLYSWSGIGTLKLREIWTKQPLNRICANNVKAIDHRAGFLTIGKNATPLIFTEFGFNEAGSSVEDNRFLTCLQTYLLGNDMDWGFWAFQGTYYLKKDQVQVEESFGVMDATWHNLRYPNFTDKFQLLQRKNIEPNSKAPIVNILYHPLSGQCVQVNDKNEVELGRCETKTRWVRAENETKIILHGTKKCLTTTGEGLPVIVSDCERNNSSWRSASLSKLHLATMNQQQEQLCLQKDSNSSTIVTSKCICIKDDSLCLDDPQSQWFQLVQTNV >Vigun11g013501.1.v1.2 pep primary_assembly:ASM411807v1:11:1636077:1640606:1 gene:Vigun11g013501.v1.2 transcript:Vigun11g013501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDLTDVYVSTGNDSNLEQLLIARYSRLDGKPSSGNDTSLGQFCKRSDLRDNICMFSGNDSNSGHSLISRCSRFDGKNPSGNDLNLGHSIINSKLRDGSKICMFPGNDSNLWHLTIFKHLR >Vigun03g398600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60527023:60529259:-1 gene:Vigun03g398600.v1.2 transcript:Vigun03g398600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSETQSSSSLKIVSQVEVECVKCDSCGFTEECTPAYISRVRQRYQGRWLCGLCVEAVKHEVVRSESVITTEEALDRHITFCREFRSSTVLNETEHPIFAMGRLLRRSLDSPKPLRSNSTGALGVKTLQLIRSESCFSSISG >Vigun03g398600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60527023:60529315:-1 gene:Vigun03g398600.v1.2 transcript:Vigun03g398600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSETQSSSSLKIVSQVEVECVKCDSCGFTEECTPAYISRVRQRYQGRWLCGLCVEAVKHEVVRSESVITTEEALDRHITFCREFRSSTVLNETEHPIFAMGRLLRRSLDSPKPLRSNSTGALGVKTLQLIRSESCFSSISG >Vigun03g398600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60526993:60529083:-1 gene:Vigun03g398600.v1.2 transcript:Vigun03g398600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSETQSSSSLKIVSQVEVECVKCDSCGFTEECTPAYISRVRQRYQGRWLCGLCVEAVKHEVVRSESVITTEEALDRHITFCREFRSSTVLNETEHPIFAMGRLLRRSLDSPKPLRSNSTGALGVKTLQLIRSESCFSSISG >Vigun05g166201.1.v1.2 pep primary_assembly:ASM411807v1:5:27587640:27588420:-1 gene:Vigun05g166201.v1.2 transcript:Vigun05g166201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLQDKEGVLIHASVRRTLIYKFQSEIKEDKVYTIQSFSVSCNGGSYRTTNHAYKINFQFGTKVNMVESTLVPKTTTSYTPFSTIIAAGFDTDYLVNVIGMFTGVGTERELEKAGKKTKMNVILIEADG >Vigun03g155101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16498942:16502550:-1 gene:Vigun03g155101.v1.2 transcript:Vigun03g155101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVRNIGGKASLRVSSFYSSSLQNPFPLFSSPSFPSSENSIFSRPMIWFTSFLCVMRCPFVSKSSFDDIASESMRSFLQQDGPHMFDSALAPIWVSMVLVKLKGDPKSALKFFKEAGSRAGFRHAAESYCVLGHILFCGRFYLDARNVIREWILLGREFPGVDFFDMLWSTRNVCRPGFGVFDTLFSVLVDLRMLDEARQCFWKMNKFKVLPKVRSCNELLHRLSKSDKGNLALSFFKDMVAAGLEPSVFTYNTVIGCLAREGDLEIAGSLFEEMKCLGLVPDIVTYNSLIDGYGKVGLLTKAVIVLEEMKDAGCEPDVITYNSLINCFCRFERIPQAFNYLHEMKQRQLQPNVVTYSTLIDAFCKAGMILEANKFFVDMIRVGLRPNEFTYTSLIDANCKIGNLNEAFKLASEMQQAGVNLNIVTYTALLDGLCEDGRMREAEELFGALLKAGWTLNQQIYTSLFHGYIKAAMMDNAMYILEEMNKKNLKPDVLLYGTKIWGLCKQNNIQDSEAVILEMMDYGLTANSYIYTTLMDAYFKVGKTTEAVNLFKKMLELGIKTTVVTYGVLIDGLCKKGLVQQALNYFEQMTLTGLQPNIMIYTSLIDGLCKSDCVEEAKDLFNEMLDKGISPDKLVYTCLIDANMKHGNPEEALNLRNKMVEIGMVLDLHAYTSLIWGFSRYGQVQQAKSFLDEMLSKGIIPDQVLCIYLLRKYHELGDINEALTLHDDMMRRGLISGTIDITVPSVQS >Vigun04g179000.1.v1.2 pep primary_assembly:ASM411807v1:4:40251054:40254496:1 gene:Vigun04g179000.v1.2 transcript:Vigun04g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRVSYSVWCFVFGLVGLLMIAAKGEDDDDKHKEYSAIYNFGDSNSDTGTFSAAFAMVFPPNGQNFPGKIPTRNCDGRLIIDFISEELKMPYLSAYLDSIGSNFSYGANFAAGGSSIQKTGFSPITFGLQISQFIQFKSRTMALYNQTSQSRVDAPFKNRLPKSINFSNALYTIDIGQNDLSYGFMSSDIKSIRSTIPDILNQFSLGLQQLLNEGARFFWIHNTGPIGCLSRKNSMNKFTSEDLDSAGCRKNENEIAQEFNKQLKDIVFELRKNFTNVIFTYVDVYSAKYELIKNARNQGFVNAKKFCCGTNSVIYIDCGRKKMKNGEEEYYKCKHPWKYLSWDGVHYSEAANKWFSTLILNGTFSDPPLPIGMLASQLQKQILGF >Vigun09g126466.1.v1.2 pep primary_assembly:ASM411807v1:9:28027179:28029900:1 gene:Vigun09g126466.v1.2 transcript:Vigun09g126466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGTGNYELGNFKAMNKFESPTRKEADGVCFSPFSSPVKYSLIGRTFGRIAETYKTRPSFFRWIRSWWPIWKSNAKSDDLAAHQNKVVSHLEDSKSEMNQTVSHFEEPKLSELDQNVLHSRKPQLFSSGSFWNDLKSFIFSPKGSLLISQSKTREDMAHKLQNDGPLVLRSLTQKDIIQLVKLLTAEKKWLVEIPSHGANGLRSVFMIRASQFKFSNSFEDDVKKQKQSFPRTRIDATSTEMKYTERSRNDILEDLHTLVSEILRDHSQGYNISSFRGLFVDRFGYPLEIQKLGHKKLSSLLQVIPGVKLESTYIFPCGPAVSASNGETSIRKTQMINASHAVLSSDGELSVTLQKDNNRHWRN >Vigun08g163200.1.v1.2 pep primary_assembly:ASM411807v1:8:33578735:33582807:-1 gene:Vigun08g163200.v1.2 transcript:Vigun08g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRKLTRLQDLCIVSRLVALFFLFQNLGLCCSLNEEGNALLKFRQRIVSDPFGALTDWVDDDASFDPCNWFGVECSDERVVALNLKDLCLEGTLAPELVKLNHIKSIVLRNNSFSGTIPEGFVELKELEVLDLGYNNFSGYLPADLGSNISLAILLLDNNEFLVGFSPEINELRMLSECQVDENQLTNAAKMPACIERATTWHIGEGKSTSRGLLALQLPKPLHRDHEDARNRAPQQKPSPSSPPSPVPPKPFDRDHEDPRNRAPQEKPSPLSPPSPVPKLTPPEKKAASGSGPLSKTEKRTSPKVHILVGVIGGTVFLLFSTIGLYVCKTKVVNVRPWVTGLSGQLEKAFVTGAQKLRRLNLEVACEDFSNVIGTSPIGTVYKGTLSSGVEIAVTSVPVTSSKNWSKTLEVQFRNKIDTLSKVNHKNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKEAEHLDWVTRLRVATGMAYCLQHMHQLDPPMALIKLNSQTVYLTDDYAAKLSDLSFSYDITSSETKAIDMPKASLESNVYSFGVLLFEMVTGRLPYSVEQRNSLENWASHYLQGDQPLKNMVDPILESYQEDQLEQVAALIKSCVHQDPKQRPTIKDVSERLREITKITPESAVPKLSPLWWAELEIASTEMC >Vigun08g163200.2.v1.2 pep primary_assembly:ASM411807v1:8:33578797:33582777:-1 gene:Vigun08g163200.v1.2 transcript:Vigun08g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRKLTRLQDLCIVSRLVALFFLFQNLGLCCSLNEEGNALLKFRQRIVSDPFGALTDWVDDDASFDPCNWFGVECSDERVVAFLSDFLLRNLKDLCLEGTLAPELVKLNHIKSIVLRNNSFSGTIPEGFVELKELEVLDLGYNNFSGYLPADLGSNISLAILLLDNNEFLVGFSPEINELRMLSECQVDENQLTNAAKMPACIERATTWHIGEGKSTSRGLLALQLPKPLHRDHEDARNRAPQQKPSPSSPPSPVPPKPFDRDHEDPRNRAPQEKPSPLSPPSPVPKLTPPEKKAASGSGPLSKTEKRTSPKVHILVGVIGGTVFLLFSTIGLYVCKTKVVNVRPWVTGLSGQLEKAFVTGAQKLRRLNLEVACEDFSNVIGTSPIGTVYKGTLSSGVEIAVTSVPVTSSKNWSKTLEVQFRNKIDTLSKVNHKNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKEAEHLDWVTRLRVATGMAYCLQHMHQLDPPMALIKLNSQTVYLTDDYAAKLSDLSFSYDITSSETKAIDMPKASLESNVYSFGVLLFEMVTGRLPYSVEQRNSLENWASHYLQGDQPLKNMVDPILESYQEDQLEQVAALIKSCVHQDPKQRPTIKDVSERLREITKITPESAVPKLSPLWWAELEIASTEMC >Vigun08g163200.3.v1.2 pep primary_assembly:ASM411807v1:8:33578797:33581861:-1 gene:Vigun08g163200.v1.2 transcript:Vigun08g163200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSECQVDENQLTNAAKMPACIERATTWHIGEGKSTSRGLLALQLPKPLHRDHEDARNRAPQQKPSPSSPPSPVPPKPFDRDHEDPRNRAPQEKPSPLSPPSPVPKLTPPEKKAASGSGPLSKTEKRTSPKVHILVGVIGGTVFLLFSTIGLYVCKTKVVNVRPWVTGLSGQLEKAFVTGAQKLRRLNLEVACEDFSNVIGTSPIGTVYKGTLSSGVEIAVTSVPVTSSKNWSKTLEVQFRNKIDTLSKVNHKNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKEAEHLDWVTRLRVATGMAYCLQHMHQLDPPMALIKLNSQTVYLTDDYAAKLSDLSFSYDITSSETKAIDMPKASLESNVYSFGVLLFEMVTGRLPYSVEQRNSLENWASHYLQGDQPLKNMVDPILESYQEDQLEQVAALIKSCVHQDPKQRPTIKDVSERLREITKITPESAVPKLSPLWWAELEIASTEMC >Vigun03g292000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47680975:47681838:1 gene:Vigun03g292000.v1.2 transcript:Vigun03g292000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGLDLGSASRFVPNLHRPDLHLQQLHHDSEDQETPPQNRGGLPFDDDDRSQGLELASTGSGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGSDIFDCVTTYARRRQRGICILSGSGTVTNVSLRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYVAGGQGQVVGGNVVGELTAAGPVIVIAASFTNVAYERLPLEEEEQLQIQAPATTSSHGVGNNNGNNSNNNSFPDPSSGLPFFNLPLNMQNVQLPGEGWGGNAASRPPPF >Vigun07g088200.2.v1.2 pep primary_assembly:ASM411807v1:7:13678459:13680341:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWVSFAEEKSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.6.v1.2 pep primary_assembly:ASM411807v1:7:13678729:13680342:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.5.v1.2 pep primary_assembly:ASM411807v1:7:13678551:13680237:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWVSFAEEKSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.8.v1.2 pep primary_assembly:ASM411807v1:7:13678551:13680237:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.4.v1.2 pep primary_assembly:ASM411807v1:7:13678545:13680366:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWVSFAEEKSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.7.v1.2 pep primary_assembly:ASM411807v1:7:13679176:13680342:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.3.v1.2 pep primary_assembly:ASM411807v1:7:13678545:13680367:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun07g088200.1.v1.2 pep primary_assembly:ASM411807v1:7:13678547:13680312:1 gene:Vigun07g088200.v1.2 transcript:Vigun07g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKLLGVWPSPYVYRIIWALELKGIKYEHVQGEFHNPEFRDLLLKYNPVYKKVPVLVVDGKPIAESTVILEYIEETWPQPHLLPQDPYERAVARFWVSFAEEKSTSFMSFFVAVGEEFQKATKEVREVLKVLEETIGDKKYFGGEEIGVLDITLGWIPLIFGVIEDMVGVKLLVVNDFPRLSTWIRNFREHPSIRTNFPSHHELFGYFKQKRETIIPPNTA >Vigun03g434400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63841436:63844618:-1 gene:Vigun03g434400.v1.2 transcript:Vigun03g434400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSQEGEIPIPINSTTYGGGHGNGHGHGLMIHHDPPHHSHNHIISSTAPTNGTPTMQQEDHGYKKVVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTIEALNCSACHCHRNFHRKEVEGEPSSCDYHPFNRRRLILGPHKNLLPPEALGYPTGNILPSRSVPPHQMIMPYSIGHLPSESDEQEDGGGMVQPRPSQLVKKRFRTKFTQEQKEKMLNFAERVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPPTAAA >Vigun03g434400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63841435:63844618:-1 gene:Vigun03g434400.v1.2 transcript:Vigun03g434400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSQEGEIPIPINSTTYGGGHGNGHGHGLMIHHDPPHHSHNHIISSTAPTNGTPTMQQEDHGYKKVVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTIEALNCSACHCHRNFHRKEVEGEPSSCDYHPFNRRRLILGPHKNLLPPEALGYPTGNILPSRSVPPHQMIMPYSIGHLPSESDEQEDGGGMVQPRPSQLVKKRFRTKFTQEQKEKMLNFAERVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPPTAAA >Vigun03g434400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63841436:63844618:-1 gene:Vigun03g434400.v1.2 transcript:Vigun03g434400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSQEGEIPIPINSTTYGGGHGNGHGHGLMIHHDPPHHSHNHIISSTAPTNGTPTMQQEDHGYKKVVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTIEALNCSACHCHRNFHRKEVEGEPSSCDYHPFNRRRLILGPHKNLLPPEALGYPTGNILPSRSVPPHQMIMPYSIGHLPSESDEQEDGGGMVQPRPSQLVKKRFRTKFTQEQKEKMLNFAERVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPPTAAA >Vigun04g109700.1.v1.2 pep primary_assembly:ASM411807v1:4:27288323:27293809:1 gene:Vigun04g109700.v1.2 transcript:Vigun04g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVKAAIGDLVLTFLWVVFSSMLGLATDVITKALDLHNVSYNGFHYADVIVITSLIFILVTIFTSIANALGGASFNPTGNASLYAAGVGDDTLFSMALRFPAQVLGSVGGVLVVMEYMPEEYRHFIAGPSLRVSLHTGALAEGVLTFVITFAVLLIMIKGPRSGTAKTLLLAISTVVLITVGASYTGPAMNPAFAFGWAYVEKWHDKWDHFYVYWICPFIGSILAAWLFRIVFPPPPPAEKQKKA >Vigun05g190900.1.v1.2 pep primary_assembly:ASM411807v1:5:37114253:37114950:-1 gene:Vigun05g190900.v1.2 transcript:Vigun05g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKNTILNISKSIRFYVFPRLSTASLSTAYERAEGSNAVAEESGEKKREENEEEVAKDDPRKGVEGAEMVRESGKESMDGAWMAAQETPHKVRDNHNKA >Vigun04g091500.1.v1.2 pep primary_assembly:ASM411807v1:4:16845589:16845997:1 gene:Vigun04g091500.v1.2 transcript:Vigun04g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSLIFLKLILAVMFIILFSTGVSSKPVCQTRCIEFLDCVNNCRNLGYTRGICNDGLCCCSK >Vigun03g150100.1.v1.2 pep primary_assembly:ASM411807v1:3:15623796:15643411:1 gene:Vigun03g150100.v1.2 transcript:Vigun03g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENKSSAPKMLNRNWVLKRKRRKLPFGLDQSSGKDQSNSKEENSLTSESSRNASAKRSLKTEVPTGQISSKKKGNDGYYYECVICDVGGNLLCCDSCPRTYHLQCLDPPLKRIPNGKWQCPSCFEGKDQLKPINHLDPISKRARTKTVPAKSKGQVNSLNLEKVSGLFGSKLISKKRSSSKGKSISTVGVKFFGKKLLSSSVDETGSDKPIDASLGSPMEGTSSCVDADEKKSSMSPTDSPVDRKSTSPAKVVLSPSKITDLEANDEQLEGKTSSPCNKIPLRKPLVLAIAASGEDVRKRKNKVNDNTSQKKQKTEKGKKIVNPSSTKSKSGNNKVHKKQKSITHSISASIPKEDVGNKNSQAQQKDEKFSRVMKDTSNDLDKAQNLVDETLMHEDSAVVESLQVDRVLGCRIHGENTNSLHNLSLNVEGGSPSGDLVISENQSRLLEDNSTCANDLDAESTENHVDDHQNVAKSSDEEGMLINTNRVETIHVYRRSVTKESKKGNPIDSSSKATDDLGSCARDGIDQDDSAVSAEQLQKPNDKLETEESINVALESKDNSELPKNCERHVSLETDQKEMNVEKGMSGNIDDKAQDANAIDCACPNREEVFYEFFVKWVGKSHIHNSWISESQLKVLAKRKLENYKAKYGMAIINICEERWKQPQRVLALQTSKHGTSEAFVKWSGLPYDECTWESLDEPVLQNSSHLITLFNKLETLTLEKDSSKENSTRRNNDHQNDIFNLTEQPKDLKGGSLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSAIAFLSSLYFEFKVSLPCLVLVPLSTMPNWLAEFALWAPDVNVVEYHGCAKARAMIRQYEWHANDPSGLSKKTEAYKFNVLLTTYEMVLADYSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSVEFLHEMRIKASAKLTLLHSMLKILHREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILKWGTEELFNDSPGLNGKDMSENNNSSKDEPVADVEHKHRKRTGGLGDVYKDKCTDSNSKILWDENAILKLLDRSNLQDGSTDNAEGDSENDMLGSVKALEWNDEPTEEHVVGESPPDGTDDTCPQNSEKREDNTVNVNEENEWDKLLRVRWEKYQNEEEAALGRGKRQRKAVSYREVYAPHPSETMSESGGEEEKEPEPEPEREYTPAGRAHKTKYVKLRARQKDLLARRKAIKEANPEGLLSNELISHSPAIAKGGDLAAGPTHSVQELPSINLEDSKYTQLSEAQNSNTDLSRIDKLSKHKMSSHFDASVSNLSRSLPDIFLPSHPKGGLTMTNNMSTNNLLPVLGLCAPNAKQIESSEANTSKLNWRQNRHGSRQEFPFSLASMDAEVRSKEMAANTKLADASSENLHPSFKNSIPDNSLPFVPFPPSVQGKESDAFENSGARFSHFQEKMALPNLPFDERLLARFPLTTKNIPNSHLDLLPNLSIGGRLESLNGSMQDLPSMPALPNFKIPPEDLFRYNQQDRDVPPTLGLGQRSTTFSSFPENHRKVLENIMMRTGSASSNLLKKKSKSDGWSEDELDSLWIGVRRHGRGNWDAMLRDPKLKFSKYKTSEDLSVRWEEEQVKVFQGPPFPTQRSSKMTKSTKSAHFPISDGMMERALHGSKFLLPPKFHNHLTDMKLGIGDSASSLSHFSALDRPSMQNDHFASLPSWSYDKNRSKFPEGASAETSDRPGTSSSVLTERPFLFNSFGTSTLGSLGLNCSGSIDAQQKEDDQGNTKRGKLPILLDGSPNDMRDNHVNIGNGESTSSGLLSNPIRSDLLHSKVEEVGGSSSSKDKLPHWLREAVSSPAKLPNPELPPTVSAIAHSVRLLYGEDKPTIPPFVIPGPPPSVPKDPRCSVKKKKKRRSHKFNRGLPDFAGNSRDLHSSHHVDNGASSSIPSGPPLPLLSQTGPLGPQQIESDLNLPPLNLKVANSSHSSKKAISGMSPSPEVLQLVASCVAPGPHLPPITTGASNFLDSKHPLPRPVGRAKFKDSEGAFRNKNPRQVSPKIWCPPQEQEVHDLDSGDSSKTQSDPSRVERPDEVEVSSEGTVSDHAVRDQET >VigunL085200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:16975:25619:-1 gene:VigunL085200.v1.2 transcript:VigunL085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >Vigun11g224800.1.v1.2 pep primary_assembly:ASM411807v1:11:41534393:41538042:1 gene:Vigun11g224800.v1.2 transcript:Vigun11g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSAARLSSFRSTPASWLESVLLKEEDEEEEVEEEESQEAEEEPLSFTQLLSTIAAPSPSQRYDTQDYPPTPMPVPMSIPNSIATKELYASASDIKMDTMFTFEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVAYVKFLQKQIEELTEHQRRCRCMVQE >Vigun11g224800.2.v1.2 pep primary_assembly:ASM411807v1:11:41534498:41537886:1 gene:Vigun11g224800.v1.2 transcript:Vigun11g224800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSAARLSSFRSTPASWLESVLLKEEDEEEEVEEEESQEAEEEPLSFTQLLSTIAAPSPSQRYDTQDYPPTPMPVPMSIPNSIATKELYASASDIKMDTMFTFEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVAYVKFLQKQIETLETLQELTEHQRRCRCMVQE >Vigun08g084000.1.v1.2 pep primary_assembly:ASM411807v1:8:18207214:18208014:-1 gene:Vigun08g084000.v1.2 transcript:Vigun08g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFSSWHCGGNCFLAVGCSILQICIICSFSDYDSGEPHTLKQR >Vigun09g021500.1.v1.2 pep primary_assembly:ASM411807v1:9:1675753:1680661:1 gene:Vigun09g021500.v1.2 transcript:Vigun09g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERDMMMMGTYSSSSSRGDMNEKPAWLESLMAETFFGGCGVHQDRRKNEKNVFCLHCCLSICPHCLSSHRSHPLLQVRRYVYHDVIRLDDLEKLIDCSNIQPYTINSAKVIFLNQRPQSRTCKGPANACFTCDRILQEPFHFCSLSCKVDYMVYAGQSLSNILHRFDESDFAISQFEGLRVDGSEVTDEDTQIAPSSSYSNTEATSNSVISREPNNNLKKGKGNNTFLPGIVLSLGSRRKGAPHRAPLS >VigunL059087.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000548.1:33966:34256:-1 gene:VigunL059087.v1.2 transcript:VigunL059087.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRQGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSA >Vigun03g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14342601:14344235:1 gene:Vigun03g142200.v1.2 transcript:Vigun03g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIAYTKMKHLCSYFCAITITALLFSLTPASADSHENFLQCLYNYPENTTSISSVVYTQTNSSYTSVLDVSIQNLRFFNATSKPVVIVTPLVVSHIQATIICAQRHGLQIRTRSGGHDYEGLSYVAGVPFVVVDLIKIREIEVDVENSTAWVQAGATLGELYYTISQKSKTLGFPGGVCPTVGVGGHISGGGYGFMIRKYGLAADNVIDAKIVDVNGNLLDKETMGEDLFWAIRGGGGASFGIVVAWKIKLVPVPSTVTVFRVRRTLEENATGIIQKWQRGANKFNESLTIRIKMERVNSSKSGNLTVEAQFESLYLGRVDDLIPYMQKSFPELGLVREDCTEMSWIGSILFMAGFPNGQSTDVLLNRTQSDGLFFFKAKSDYVRDPIPDVGLEGLWPFLYEDEAKDAYIQFTPYGGRMNEISESETPFAHRSGNIFHIQYIVFWREKGDVAAQRRVNWIRRLYNYTEAYVSKSPRSAYLNYRDLDIGVNNDGYTSFSQASIWGFKYFGNNFNRLARVKTRVDPRNFFRNEQSIPPLISQGRK >VigunL085500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:51024:63608:-1 gene:VigunL085500.v1.2 transcript:VigunL085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDNFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRFFIIATAFSHPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFVNMLLAQPIFFSPNFPTLIKKTNLRASLQPHNNFPPLRVSQMPKPLGVRARPKTLLAPLQKKSGVQICHSFKKESEVGGNDERDWTTSFLLFLLWAALIYYVFFLTPNQTPSRDLYFLKKLLNLKGDDGFRMNEVLVSLWYIMGLWPLVYNMLLLPTGRSSKNSIPVWPFLILSCFGGAYVLLPYFVLWKPPAPPVEETQLKTWPLNFLESKVTALISLVAGVAIIIYAGLAGQDVWKEFYQYFRESKFIHITSIDFIVLSTFAPFWVYNDMTARKWFDKGSWLLPISLIPYLGPGLYLLLRPSLSAVSISQTPVEPE >Vigun02g140000.1.v1.2 pep primary_assembly:ASM411807v1:2:28898707:28900073:-1 gene:Vigun02g140000.v1.2 transcript:Vigun02g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENESKKKHFVLVHGLGHGAWCWYKLKPLLAYDGHKVTVLDLAASGIDTQKIEDITFSQYSKPLLDLLASLPPTETVVLVGHSFGGITIALAMDKFPEKVSLGVFVAAFAPDTHHKPSYVLEKSKEPVTGWMDCEISKNGSKTTLLFGPKFLSAAMYQFCSAEDLELAKTLVRKGSLFVEELSEAKNFSQEGYGSVSHAYIVFSEDFGIPKEYQQWMIQNAGIHTVREINGADHMAMLGKPEDLCSTLIEIADIKHT >Vigun08g123400.1.v1.2 pep primary_assembly:ASM411807v1:8:29352764:29356004:-1 gene:Vigun08g123400.v1.2 transcript:Vigun08g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVIFLKVSLLKRLSHRLNLTLSFASETWPASTEFIEDGFPYTDVPKRRRRNSERKAYVTPMKVLIERAKAERESRKAQPCRVLEEPPANGLLVPELVEVAHRVYQARGSLLFGLSQLVRVIPVLRCRLCNEVHIGYLGHEIRTCTGPDSFSRNATHIWTRGGVRDVVGFPKCFHLYDRVGKPRVGHDERSSIPRIPAIVELCIQAGLDLEKYPTKRRTKPVYCIEGRIVDFESVVKEDETAGRCSFVNDKPSSVNSSTLLNQPVEKVQNLLENNINRLDQLSDEERCKLRDLSNHTLNSWIEMSSGAKKIMEKYAVNTCGYCPEIQVGPKGHKLRMCKASKHQSRNGLHAWQEATLDDLVDPNYVWHVEDLNGPALNNNLKRYYGKAPAVVELCVHGGAPVPDQYKSMMRLDVVSPDRDEVDLVA >Vigun05g106700.1.v1.2 pep primary_assembly:ASM411807v1:5:10787160:10790946:-1 gene:Vigun05g106700.v1.2 transcript:Vigun05g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSSNSDISFAGIFASSAFAACFAEVCTIPLDTAKVRLQLQKQPVIGDVVALPKYRGMLGTVATIAREEGLSALWKGIVPGLQRQCVNGGLRIALYDPVKSFYVGPDHVGDVPLSKKILAGFTTGAMAIAVANPTDLVKVRLQSEGKLPPGVPRRYTGSLNAYSTIVRQEGVGALWTGIGPNITRNGIINAAELASYDQVKQTILKIPGFTDNVLTHLLAGLGAGFFAVCVGSPVDVVKSRMMGDSSYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKIYVKRLESA >Vigun05g106700.2.v1.2 pep primary_assembly:ASM411807v1:5:10787160:10790946:-1 gene:Vigun05g106700.v1.2 transcript:Vigun05g106700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVATIAREEGLSALWKGIVPGLQRQCVNGGLRIALYDPVKSFYVGPDHVGDVPLSKKILAGFTTGAMAIAVANPTDLVKVRLQSEGKLPPGVPRRYTGSLNAYSTIVRQEGVGALWTGIGPNITRNGIINAAELASYDQVKQTILKIPGFTDNVLTHLLAGLGAGFFAVCVGSPVDVVKSRMMGDSSYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKIYVKRLESA >Vigun09g017800.1.v1.2 pep primary_assembly:ASM411807v1:9:1339233:1342347:1 gene:Vigun09g017800.v1.2 transcript:Vigun09g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSRYGFSIAQNALTLLYLFCLLTNPPASTALNFTALLSTVPDLSQFTALLASATPITADLSDRSSLSILAVPNAYLAADDHLARHHLSPAALADVLRYHVLLQFLSWSDLRALPPAGKLVTTLLQTTGRATDNFGSVNLTRDPQSGLVSIRSPAPYSPSNVTVLSLVKTLPYNVTIFAVNSLLIPYGLDLMASETRPNIVLNITKALIDGHNFNVAASMLAASGVVQEFEADEGGAGITLFVPVDDAFADLPPSVALQSLPADKKGVVLKFHVLHSYYPLGSLESVVNPFQPTLATEAMGAGSFTLNISRVNGSVAINTGIVQASVTQTVFDQNPVAIFGVSKVLLPREIFGRNPIVTAKPLEGAPPPDEDALSPENSPGFGGQPSHLSSPPGFREDVRSHGGGGGGSLSFAVVLCCIVLYLVV >Vigun05g222900.1.v1.2 pep primary_assembly:ASM411807v1:5:41489488:41493609:1 gene:Vigun05g222900.v1.2 transcript:Vigun05g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGVVLSFSLLLLWLPLCFQICLGGDTLKVNQNITQDSSEGNLVSSNATFELGFFSPSGMENGEKRYLGIWYHGLEPQTVVWVANRDDPVADSSGVFRIAEDGNLVVEDAFKTRWSSELGASSSSNRTLQLLDSGNLVLKEDDSETTYLWESFQNPTDTFLPGMKMDASLSLTCWRDSANPAPGNFTFKLTQQAETRSFVVQSRSQIYWTLAELDLDNTEAASQVVFNLLNNDIWNTKTYNYSNKTLFVSQPYTYNKSRLLMNYSGEIVFLKWDEKELQWNKKWSGPESKCDMYDYCGSFSLCNKDNSIPCRCFPGFSSIHVSRSDGEWKSKGCVRKSASCTNNDVMFLNFTNIKVDDPDQEIDTQTEAECQSWCINMCPQSQCQAYSFNTSTFRDSNSYSCNIWTRPLTSLVENYPRGRDLSILVKTSDIAPTAKSCEPCGIYAIPYPLSTGANCGDPTYNNFNCDKSTGKVSFMIPGGRSYPVTWIDEDTRMFLIKTDYFYLFNSSFSSQNRTDFPFNIAEYIEDSVIKMNWLPAPEPPCSKLTDCMNWPNSTCRATGEGGSRCRCDSNYNWNDTLISCTQVLFHSVTEELSGNHSTRLELILLPTLGTLAAVTCIIAFGIVWRKKKARKLDGASTRIQESLYESERHVKGLIGLGSLEEKDIEGIEVPFYTFASILAATDNFSDSNKLGRGGYGPVYKGTFPGGQEIAVKRLSSVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDENILLYEYMPNKSLDSFIFDRSRTILLDWPMRFEIIVGIARGMLYLHQDSRLRVIHRDLKTSNVLLDQEMNPKISDFGLAKIFGGKETEASTERVMGTYGYMAPEYALDGLFSVKSDVFSFGVVLLEILSGKRNTGFYESKQISSLLGYAWKLWSEKKLLDIMDPSLGETCNENQFFKCAVVGLLCIQDEPSDRPTMSNVLYMLDIETTSMPIPTQPTFFMNKRYSGSASSSSKPETSLKFDSSYEQGR >Vigun03g244301.1.v1.2 pep primary_assembly:ASM411807v1:3:40703650:40705251:1 gene:Vigun03g244301.v1.2 transcript:Vigun03g244301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTYNMDSDNPRITEVFKGGCTRTSWTTFMNRITHQNTRSIYSVKLSKYQSKASHLDLPSKFANFIREVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQGWRLFCSENELKEGDIVVFQVDNDFIESNVEVFVNGCCCD >Vigun03g244301.2.v1.2 pep primary_assembly:ASM411807v1:3:40704376:40705251:1 gene:Vigun03g244301.v1.2 transcript:Vigun03g244301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTYNMDSDNPRITEVFKGGCTRTSWTTFMNRITHQNTRSIYSVKLSKYQSKASHLDLPSKFANFIREVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQGWRLFCSENELKEGDIVVFQVDNDFIESNVEVFVNGCCCD >Vigun10g030100.1.v1.2 pep primary_assembly:ASM411807v1:10:3814451:3817123:-1 gene:Vigun10g030100.v1.2 transcript:Vigun10g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSEEAPVSLDQLKQIMSDFAKERNWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDMCGVDLGKAALRKVELNAIKYPKKLSEKPPSITTKEDADLEGV >Vigun07g037500.1.v1.2 pep primary_assembly:ASM411807v1:7:3605046:3606469:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.7.v1.2 pep primary_assembly:ASM411807v1:7:3599546:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFRDTLSMQLIHTRLPAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.6.v1.2 pep primary_assembly:ASM411807v1:7:3602002:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.4.v1.2 pep primary_assembly:ASM411807v1:7:3599545:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.3.v1.2 pep primary_assembly:ASM411807v1:7:3605228:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCDVVESALAVSSLSEPIAINTVQHFSDSAMATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.2.v1.2 pep primary_assembly:ASM411807v1:7:3605045:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun07g037500.5.v1.2 pep primary_assembly:ASM411807v1:7:3599546:3606378:1 gene:Vigun07g037500.v1.2 transcript:Vigun07g037500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLTIILFCLLHMLLLFKETRAKILVATTESPTPAPAPAPAPAPQSNKHGTTEGSLQPQDCGPRCADRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKEVCPCYNNWKTKRGGPKCP >Vigun03g151400.1.v1.2 pep primary_assembly:ASM411807v1:3:15925008:15926496:-1 gene:Vigun03g151400.v1.2 transcript:Vigun03g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITALHRGGGHKRLYQYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTKVPIKMGNALPLKSTSTDMPLGTTIHNIEITLGNGGQLARTAGAIAKLIAKERKSATLKLPSGEVRLISKNCSATKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPTTPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun06g089000.2.v1.2 pep primary_assembly:ASM411807v1:6:22115500:22116866:-1 gene:Vigun06g089000.v1.2 transcript:Vigun06g089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVPPRNPALQRGPSSRRSSGHMSLGVHDRRMSSTLNDSDSSIDHLDNGRYHPYWFAFCAWSCFILFFFIIVILFACITYLALLKAGMPKVYVRAFNVSQFEVDEGSQKMNDVIGLRLLFSNKNDKLKLLYGPLSIVTTSEDILLGKNNVDGFYQMPLNDTTLDMIMTANNVDVNTDAADDLKADIKSNEMVFDVYAGGNIGFKVGSLEINNVPFLASCNQIKLMDVDFGKRPPCDVKLFSSRAAT >Vigun06g089000.1.v1.2 pep primary_assembly:ASM411807v1:6:22115500:22116866:-1 gene:Vigun06g089000.v1.2 transcript:Vigun06g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVPPRNPALQRGPSSRRSSGHMSLGVHDRRMSSTLNDSDSSIDHLDNGRYHPYWFAFCAWSCFILFFFIIVILFACITYLALLKAGMPKVYVRAFNVSQFEVDEGSQKMNDVIGLRLLFSNKNDKLKLLYGPLSIVTTSEDILLGKNNVDGFYQMPLNDTTLDMIMTANNVDVNTDAADDLKADIKSNEMVFDVYAGGNIGFKVGSLEINNVPFLASCNQIKLMDVDFGKRPPCDVKLFSSSNLKKAATNKFS >Vigun02g162700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30774905:30777800:1 gene:Vigun02g162700.v1.2 transcript:Vigun02g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLLLPALICLQFHLLSLAVADVNIGSRISTDDNGVWRSPSGHFAFGFRALNNNTDPNTKLFMVAIWYDMIPDRTVVWSLKTDNKLATAAAGSQVRITSAGLTLAGPEGNSIWESNLASVVSVGSMLDTGNFVLLNGNSEMVWQSFENPTDTLLPTQSLQPEATLTSRLTDTNYTTGRFQLYFKDGNVLLSPLSWPSSLRYTSYYVLNASASASTLVFNESGDIYVNTTNGAMIQPQGSQWNISDLDPEVNYYRATLDFAGVFTQYSHPRNNTAQPGWRIMRYVPDNICTAISYEYGSGSCGYNSYCAMENQRPTCKCPYGYSMLDPSNQFGGCQPNFTLACGADVQTPPEELYEMHASQDFNFPEGDYERIQPYSKQECQQFCLQDCMCAMAVSGGDTCWLKRFPLGNGRQETVSDQHVVYIKTRVTRDFYTGVNGEPSPAPDSKKEFVLGPLIGSLVLNGILVSTVALFFLKKQKVNRVSKAASGPSETNLYSFTYESLKKATQNFQEELGRGSYGIVYKGQLEEGSCHVVAVKRLDRLVDEREKEFRSELSSIGRTCHKNLVRLIGFCDEGMNRILVYEFMSNGSLADMLFGQSKPRWSVRVGFAMGIARGLVYLHEECESPIIHCDIKPQNILIDEYLNPKISDFGLAKLLLSDQSRTTTMIRGTRGYVAPEWFKNVGVTVKVDVYSFGVMLLEIICSRKVC >Vigun01g136200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31599957:31604184:1 gene:Vigun01g136200.v1.2 transcript:Vigun01g136200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRKLKTGPDIPLVLSVMVAKCNYRTAQVSSDKSVRYIVKSAVSCLAKSSSPAFLLGSENNFALQGLYFSSVAERILIHAQDPAKVSLEIQNAIDLNQLDYSWKLLEQHMHMEGFPRKSVISKLVTSYVDSLDTKLLGKAYELVERAIEKGKQDLLEKDVLIYLSFGLAKARLPVPASTILRKMIDMEHFPPATAWSAVLAHMSQTAEGSYLAAELILEIGYLFQNNRVEPRKKSNAPLIAMKPDSAAFSIALAGCLLFESSRKAEQLLDMMPRIGVKADAHLLIIMARVYERNGRREELKKLQRHVEEAPNLTDLQFRHFYNCLLTCHLKFGDLDSASNMVLEMLRKAKEARNSLAAAKFMMNAHGIDHNRSPGLASVHSLNNSKDLDSLQNNRSNSSAVLSYEEFSKDRNFSKLEAESKAILGSLLSKLQMRVDLITTKHGILQPTETIYVKLVKAMLEAGKTKDLAVFLLKAEREDSPFSNDNSALVHVINACISLGWLDQAHDLLDEMRLAGVRTGSSVYSSLLKAYCRANRVADVTSLLRDARIAGIQFDSSSYEAMIQSRVLQQDTQGALQLFKERKEARIPRVTEQNSGMMAKDGTGTEEAGLMTKLLQEIKEGQRVDCGVHDWNNVIHFFCKKKLMQDAEKALKKMRSLGHLPNAQTFHSMVTGYAATGGKYQEVTELWGEMKGLASSVSMTFDQELLDSVLYTFVRGGFFVRANEVVIMMEKGNMFIDKYKYRMLFLKYHKSLYKGKAPKFQTESQLSKREAALSFKRWIGLT >Vigun11g051300.2.v1.2 pep primary_assembly:ASM411807v1:11:8706148:8709453:1 gene:Vigun11g051300.v1.2 transcript:Vigun11g051300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPIHLETFNHDCSSGLTVDIAELNKIRPQNLDYFLVLDLEGRVEILEFPVLMISAKTLQLEDTFHRFVRPSKMSERRINEYIANKYGKFGVHKVWHDTAIPFTEVIQQFGVWLMRNQLWRGAELNRAAFVTCGNWDLKTKVLQQCEVSKIKLPPYFMEWVNLKDVYLNFYNRRATGMVTMMKELQIPLMGSHHLGIDDSMNIARVLQRMLLDGALIQVTARRNPNSLQKVSFLFKNRIV >Vigun11g051300.3.v1.2 pep primary_assembly:ASM411807v1:11:8706350:8709453:1 gene:Vigun11g051300.v1.2 transcript:Vigun11g051300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPIHLETFNHDCSSGLTVDIAELNKIRPQNLDYFLVLDLEGRVEILEFPVLMISAKTLQLEDTFHRFVRPSKMSERRINEYIANKYGKFGVHKVWHDTAIPFTEVIQQFGVWLMRNQLWRGAELNRAAFVTCGNWDLKTKVLQQCEVSKIKLPPYFMEWVNLKDVYLNFYNRRATGMVTMMKELQIPLMGSHHLGIDDSMNIARVLQRMLLDGALIQVTARRNPNSLQKVSFLFKNRIV >Vigun11g051300.1.v1.2 pep primary_assembly:ASM411807v1:11:8705195:8709453:1 gene:Vigun11g051300.v1.2 transcript:Vigun11g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGCLAKMLSHRNPMFFSLSPLTLQPANLALHPAHSLAPSASLSNSETPSFHEPHFRWKPLCLYHCQEKCTKMDDPIHLETFNHDCSSGLTVDIAELNKIRPQNLDYFLVLDLEGRVEILEFPVLMISAKTLQLEDTFHRFVRPSKMSERRINEYIANKYGKFGVHKVWHDTAIPFTEVIQQFGVWLMRNQLWRGAELNRAAFVTCGNWDLKTKVLQQCEVSKIKLPPYFMEWVNLKDVYLNFYNRRATGMVTMMKELQIPLMGSHHLGIDDSMNIARVLQRMLLDGALIQVTARRNPNSLQKVSFLFKNRIV >Vigun02g146900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29481949:29483820:-1 gene:Vigun02g146900.v1.2 transcript:Vigun02g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHANLAHFQVVARVPHAPPPLSSMPCLCNKSFNTSPPLPAHISMGQSPSTAAAPTPDLNRQQISQLHSSSDPDFDADHTIRLSDDCLAVIFHFLNNTDRKECSQVCRRWRRVDGERRHRLSLVARPELQELISPLFDRFESVTKLTLRIDRKYASLNDDTLIMISMRCRNLTRLKLRGCRDVTEIGMAGVGDNCKALKKLSCASCMFGTKGIAALLDRCVTLEDLTLKRLRGVHQITEVEVGAAASLKSVCLKELVNGQSFAPLLIGSKKLRTLKVIGCTGDWDDSLVRVGCLNGGLIEIHLEKVQVSDVGLVGVARCLRLETLHVVKTAECSNVGLSMVAERCKLLRKVHIDGWRTNRIGDDGLAAIAKHCPDLQELVLIGVYPTFSSLAAIASNCRNLERLALCGIGTVGDAEIECIASKCVALKKLCIKGCPVSNAGIGALASGCPNLVKVKVKKCRKITGRGVEWVREQRVSLAFNYDDSEVEALDGSGSDGVGATQDGVAEAEAEVEAEAPSSNNNTRLTMLRTRLSLLAGRNLLSCAFRRLSNIDNVPSSNFL >Vigun03g234900.5.v1.2 pep primary_assembly:ASM411807v1:3:39097339:39098654:1 gene:Vigun03g234900.v1.2 transcript:Vigun03g234900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRYPLPCLYCHPHSYIRMVQNLIERCMLFHMSQEQCIRALAEHAGIKPLVTVTVWKELQKENREFFRAYLQIDIFKGHQDWLEENTGNDKVN >Vigun03g234900.4.v1.2 pep primary_assembly:ASM411807v1:3:39096433:39098475:1 gene:Vigun03g234900.v1.2 transcript:Vigun03g234900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQQVASMHEKRTTYFKIVGPSNVAATNEVQNLIERCMLFHMSQEQCIRALAEHAGIKPLVTVTVWKELQKENREFFRAYLQVVTPRPFINRYFQRASRLARRKHWKR >Vigun03g234900.3.v1.2 pep primary_assembly:ASM411807v1:3:39097520:39098634:1 gene:Vigun03g234900.v1.2 transcript:Vigun03g234900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQNLIERCMLFHMSQEQCIRALAEHAGIKPLVTVTVWKELQKENREFFRAYLQVVTPRPFINRYFQRASRLARRKHWKR >Vigun03g234900.1.v1.2 pep primary_assembly:ASM411807v1:3:39097339:39098654:1 gene:Vigun03g234900.v1.2 transcript:Vigun03g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRYPLPCLYCHPHSYIRMVQNLIERCMLFHMSQEQCIRALAEHAGIKPLVTVTVWKELQKENREFFRAYLQVVTPRPFINRYFQRASRLARRKHWKR >Vigun03g234900.2.v1.2 pep primary_assembly:ASM411807v1:3:39096720:39098635:1 gene:Vigun03g234900.v1.2 transcript:Vigun03g234900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKRTTYFKIVGPSNVAATNEVQNLIERCMLFHMSQEQCIRALAEHAGIKPLVTVTVWKELQKENREFFRAYLQVVTPRPFINRYFQRASRLARRKHWKR >Vigun11g166400.1.v1.2 pep primary_assembly:ASM411807v1:11:37368076:37371991:1 gene:Vigun11g166400.v1.2 transcript:Vigun11g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFRTIRRASSALKFSYAASFSVSPRSVGRSKMCVCSPLWHRVSLGEQGYWWGLRNLSHGRVNLVITGGKTKFETHEVEPPKKDKWKTKKRLKMQRKREKEKRKAANRKDPRRLGVKGKKKKQRFASADERIKYKIENARIKEALLIERLKRYEVPKLQGPVVKPDSLTGEERFYLKKMAQKRSNYLQIGRRGLFGGVVLNMHMHWKKHETVKVLCKPCKPGQVHEYAQELARLSGGIPLQIIGDDTIIFYRGKNYEQPDIMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPNNRNPLSVLDSPSENSKEKGNHGIQDKKIPDLNNDYFSASLSETEADSTEMELSETEDSFEDESLSMNESDSEEDSMSDSNGNQEKKGKHFFVDTGHTVLQSGQSKTNLYDCIMQFPLTKRVKHLNIVKSISFKSRTNLNSTAIGT >Vigun11g166400.3.v1.2 pep primary_assembly:ASM411807v1:11:37368076:37371991:1 gene:Vigun11g166400.v1.2 transcript:Vigun11g166400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFRTIRRASSALKFSYAASFSVSPRSVGRSKMCVCSPLWHRVSLGEQGYWWGLRNLSHGRVNLVITGGKTKFETHEVEPPKKDKWKTKKRLKMQRKREKEKRKAANRKDPRRLGVKGKKKKQRFASADERIKYKIENARIKEALLIERLKRYEVPKLQGPVVKPDSLTGEERFYLKKMAQKRSNYLQIGRRGLFGGVVLNMHMHWKKHETVKVLCKPCKPGQVHEYAQELARLSGGIPLQIIGDDTIIFYRGKNYEQPDIMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPNNRNPLSVLDSPSENSKEKGNHGIQDKKIPDLNNDYFSASLSETEADSTEMELSETEDSFEDESLSMNESDSEEDSMSDSNGNQEKKATQYFKVDNQKLIFMIA >Vigun11g166400.2.v1.2 pep primary_assembly:ASM411807v1:11:37368076:37372649:1 gene:Vigun11g166400.v1.2 transcript:Vigun11g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFRTIRRASSALKFSYAASFSVSPRSVGRSKMCVCSPLWHRVSLGEQGYWWGLRNLSHGRVNLVITGGKTKFETHEVEPPKKDKWKTKKRLKMQRKREKEKRKAANRKDPRRLGVKGKKKKQRFASADERIKYKIENARIKEALLIERLKRYEVPKLQGPVVKPDSLTGEERFYLKKMAQKRSNYLQIGRRGLFGGVVLNMHMHWKKHETVKVLCKPCKPGQVHEYAQELARLSGGIPLQIIGDDTIIFYRGKNYEQPDIMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPNNRNPLSVLDSPSENSKEKGNHGIQDKKIPDLNNDYFSASLSETEADSTEMELSETEDSFEDESLSMNESDSEEDSMSDSNGNQEKKVYFTKLQYENVSSTTGSSSMAKRSHYYNNTNNTNNNRCL >Vigun11g023480.1.v1.2 pep primary_assembly:ASM411807v1:11:2934367:2937305:-1 gene:Vigun11g023480.v1.2 transcript:Vigun11g023480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISDIKLIRTDTTLDLSQKAEKATAIDPFPLKINLISSEPMVHISDIKLIRTDTTLDLSQKAEKGMILTVQMLSFYILTFNLLSKPLPMWD >Vigun03g283300.1.v1.2 pep primary_assembly:ASM411807v1:3:46384796:46389503:1 gene:Vigun03g283300.v1.2 transcript:Vigun03g283300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPEEETLLPNSFQEEEEQQETAYESSEKIVVVGIDESDNEDNWGRVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQLLSARLGVATGKHLAELCREEYPAWARIVLWIMAELALIGSDIQEVIGSAIAIRILSRGVVPLWAGVVITALDCFIFLFLENYGVRTLEAFFAVLIGVMAISFAWMFGEAKPSGKELLLGVLIPKLSSRTIQQAVGVVGCLIMPHNVFLHSALVQSRQVDRSKKGRVQEALNYYSIESTLALVVSFIINIFVTAVFAKGFYGSELANSIGLVNAGQYLEETYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRALITRSCAIIPTMIVALLFDTSEGSLDILNEWLNVLQSVQIPFALIPLLYLVSKEQIMGTFRIGSVLKITSWLVAALVIVINGYLLTEFFSSEVNGPLFGAVVGAITAAYVAFLLYLIWRAVAFYLGKA >Vigun05g059800.1.v1.2 pep primary_assembly:ASM411807v1:5:5126875:5128558:-1 gene:Vigun05g059800.v1.2 transcript:Vigun05g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFLLHMTGGQGERSYANNSSLQRTMMLKAKTMLEEAIRRLYRHSSPKCMKVADLGCSVGPNTLLVISNIIDIVHAACVGLNHEPPTFQLYLNDLYGNDFNTIFKSLPEFYTKLVQDKGHKSGSCFINATPGSFYGRLFPSNSINLFHSANSVHWLSQDPFLGLSKEALNKGNCHIVTTSPPSVYKIYLKQFQKDFELFLKSRSEELVPGGAMILLLLVKTETPRRSIFETISLTLSDMLLEGLIEEEKLDSFNIPTYEATMEEIREVIQEEGSFFIEESEIDMVPWDGRKIEDGEDFSVDGDIRAEFITRYIRAVMEPLLVANFGTKVMNELFIRFQKKVVELMKVERLEYANLMISLTKTCS >Vigun05g100600.2.v1.2 pep primary_assembly:ASM411807v1:5:9916039:9925629:1 gene:Vigun05g100600.v1.2 transcript:Vigun05g100600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSFSNADHHGKRGSGAEAVKQKAPITVLAGVPKENIEDRYLVDRELGRGEFGVTYLCIDRDTRELLACKSISKRKLRTAVDVEDVRREVAIMRHLPESPSIVSLREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKDMFKKMDNDNDGIVSIEELKAGFRNFGSQLAESEIQLLIEAVGSNGKGTLDYGEFVAVSLHLKRMANDDHLRKAFSYFDKDGNGYIEPDELRNALMEDGADDCTDVANDIFQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSLNLGNE >Vigun05g100600.1.v1.2 pep primary_assembly:ASM411807v1:5:9916039:9925629:1 gene:Vigun05g100600.v1.2 transcript:Vigun05g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSFSNADHHGKRGSGAEAVKQKAPITVLAGVPKENIEDRYLVDRELGRGEFGVTYLCIDRDTRELLACKSISKRKLRTAVDVEDVRREVAIMRHLPESPSIVSLREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKDMFKKMDNDNDGIVSIEELKAGFRNFGSQLAESEIQLLIEAVGSNGKGTLDYGEFVAVSLHLKRMANDDHLRKAFSYFDKDGNGYIEPDELRNALMEDGADDCTDVANDIFQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSLNLGNE >Vigun03g128700.1.v1.2 pep primary_assembly:ASM411807v1:3:12443584:12448957:-1 gene:Vigun03g128700.v1.2 transcript:Vigun03g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLSTPFYRPSVCKQLKLFTGLHKFSLNGPGSDLSFLQLNASVSSATFRIKATLTSGRGVWVSYPNSNGVGTEGQRDVAAFGTPGIDTAVPAGSGFSDDDDDEYDFDTPTEGFASVPEAIEDVRNGKMVVVVDDEDRENEGDVIMAAELVTPEAMAFIVKHGTGIVCISMKEEDLERLELPLMVNSQYNDEKLRTAFTVTVDAKHGTTTGVSARDRATTVLALASKDSKPSDFNRPGHIFPLMYREGGVLKRAGHTEASVDLAILAGLNPAAVLCEIVDDDGSMARLPKLRQFAERENLKIVSIADLIRYRRKRDKLVEPAGSAVIPTMWGPFTANCYRSLLDGIEHITMVKGDIGDGHDVLVRVHSECLTGDIFGSARCDCGHQLSIAMQQIETAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLTISGRIPLLSLITKENKRYLETKRAKMGHVYGSEFNSILNNPDSGNGKAGSGDDSNAAPGL >Vigun03g002000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:148843:153099:1 gene:Vigun03g002000.v1.2 transcript:Vigun03g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGEIKTEGGVFSCGSTCGKKLGCGNHVCIDTCHPGSCGECGLLPSHIKTCCCGKTRLKQERQSCLDPIPTCSQVCGKTLPCGIHHCEEACHAGDCSPCLVLVSQKCRCGSTSRTVECCKTKAEAVKFTCEKPCGQKRNCGRHRCSERCCPLSNPNNIQIADWDPHFCSLPCGKKLRCGQHACESLCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPPPSCQLPCSVPQPCLHPASHSCHFGDCPPCSVPVAKECIGGHVILRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHLPPCDNPSTVPGSRASCGQTCGAPRRDCRHTCTAPCHPSTPCPDTRCEFPVTISCSCGRITANVPCDAGGSCANYNADAVHEASIIQKLPVLLQPVAANGKKVPLGQRKLMCNDDCAKLERKRVLADAFEITAPNLDSLHFGDNPVASELLTDILRRDPKWVLSVEERCKVLVLGKNRGNTQGPKIHIFSPMLKDKRDAVRVIAERWKLAVYVAGREPKRFVVVHVTPKSRAPARVLGVKGTTTVNAPLPPAFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGTVYQGAVVMIVPNVGASAASSATNAWGGSGTMKGGGSLAALKGNPWKKDVQEPGWKDSWGDEEWATASANVHLPIQKKDSLISTSVNPWSVLNQESSSSSSAAAVKVDVSREHSESSAVTNLEPHNGGSNLGQHAGNLDTLEDSEVVDDWEKACE >Vigun11g096100.2.v1.2 pep primary_assembly:ASM411807v1:11:27937717:27943653:1 gene:Vigun11g096100.v1.2 transcript:Vigun11g096100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSYLAKITTGNFCRNPYNVTGVCNRSSCPLANSRYATIREDNGVFYLYMKTIERAHMPKDLWERVKLPRNYEKALEVIDKHLMYWPKLLIHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAALLEKSIEKELLERLQKGVYQQSDIYNYPLEEYNKVLDMENLQPVDEEEDEEEPEIEYVEGYDELEEEEDMEDFGGFVTHKSQAESSDDEEDEEEDDETVDQSRAKRKMILSSKKHEKNGLDSKSKKTRVLVEVEQENGDERQRVVQ >Vigun11g096100.1.v1.2 pep primary_assembly:ASM411807v1:11:27937694:27943693:1 gene:Vigun11g096100.v1.2 transcript:Vigun11g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSYLAKITTGNFCRNPYNVTGVCNRSSCPLANSRYATIREDNGVFYLYMKTIERAHMPKDLWERVKLPRNYEKALEVIDKHLMYWPKLLIHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAALLEKSIEKELLERLQKGVYQQSDIYNYPLEEYNKVLDMENLQPVDEEEDEEEPEIEYVEGYDELEEEEDMEDFGGFVTHKSQAESSDDEEDEEEDDETVDQSRAKRKMILSSKKHEKNGLDSKSKKTRVLVEVEQENGDERQRVVQ >Vigun09g114300.1.v1.2 pep primary_assembly:ASM411807v1:9:25088183:25094800:1 gene:Vigun09g114300.v1.2 transcript:Vigun09g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIHAPSSSASSSSCSSSHVLHPPPCLTHASRNLKYPLLLPQTICTSQKKYRCFGAHIEASFNTNPLKNYKSFRSSPWWSETQSLVSNQSLNKQLFSVGSLATSTSQDVSDTTRIGDDKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRIFSFLQKPLAQFVSVVRAPKSKEGYASIGGGSPLRRMTDAQAEELRKSLWGKNVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLENIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELKSFDSPDEVMIFFSAHGVPLAYVEEAGDPYKVEMEECVDLIMEELETRKITNPYTLAYQSRVGPVEWLRPYTDETIIELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPTFISDLADAVIESLPYVGALAASDLEARQSLVPLGSVEELLAAYDTQRKELPPPVIVWEWGWTKSAETWNGRVAMLAVLLLLFFEVTTDKGLLHQFGIWPSLR >Vigun05g004700.1.v1.2 pep primary_assembly:ASM411807v1:5:391600:396666:-1 gene:Vigun05g004700.v1.2 transcript:Vigun05g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHLLRVRAIATTVSSSPKISSQLPIPLAFTFAFSLSSSTMAATDDSLRKSLADKQAAVDAQGNAVRALKAAGAPKPDIDAAIETLNALKLEKTSIERSLIGGSDTREAFRQAVVNTLERRLFYIPSFKIYRGVAGLFDYGPPGCAVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQRDLALSSDKVSELKHVLATLDDLSAEELGAKIKEYEIVAPETKNALSDPYPFNLMFQTSIGPSGLSPGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPQDKSHPKYAEVADLEFLMFPREQQMSAQSAKRIPLRDAVSKGIVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVALVAQEKFSEPKEVEKLVITPVKKELGLAFKGNQRMVVEALEAMPEKEALDMKAALESKGEVEFEVCTLGKNVTIKKNMVTIQKEIKKEHQRVFTPSVIEPSFGIGRIIYCLFEHTFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQKYEEVAKLISKSLTAAGISHKIDTTGTSIGKRYARTDELGVPFAITVDSTSSVTIRERDSKDQVRVDVEKAAIVVKEVTDGHRTWEDVWSTFPHHSSTSADD >Vigun08g203100.1.v1.2 pep primary_assembly:ASM411807v1:8:36689813:36691833:-1 gene:Vigun08g203100.v1.2 transcript:Vigun08g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRIMNFLPILLISCFSCLGKATDCGGNNVRETIIVGKEGNVAFSTVQEAIDSVKINNDQWVKIHIKAGLYIESVAIPVNKPCILLEGEGSSITIITHWDHMSLNNNATFTSSPPNVIASGLTFKNSYNVGTDNLGSKIEPANAARLYGDKYFFHNCSFIGYQDTLFDRSGRHVFKDCYIQGEVDFIYGDGQSYYQNCLINAMGKFRKLPGFVTAQSRNSPDDPTGFVFEGGLVTGNGKVHLGRAWRPYSRVIFHKTFFSDIVTPQGWNAWTAVGNESRTTFVEVDCKGPGAETSDRVAWMKKPGSLDLNEFSFKSFINSDGWVDNLPKYLSNLL >Vigun07g224000.1.v1.2 pep primary_assembly:ASM411807v1:7:34600497:34604956:-1 gene:Vigun07g224000.v1.2 transcript:Vigun07g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSRKGASGFSSSSTAEQVTEGLDGTGLTAIVTGASSGIGTETTRVLALRGVHVIMGVRNMIAAKDVKETILKEIPSAKVDAMELDLSSMDSVNKFASDFKSSGLPLNILVNNAGIMACPFKLSADKIELQFATNHLGHFLLTNLLLDTMKKTSRETKKEGRIVNVSSEAHKFAYSEGIRFDKINDESSYSNWRAYGQSKLANILHANELSRRLKEDGVEISANSLHPGAITTNLFRHTGINGIVNGIGRLVFKNVQQGAATTCYVALHPQVKGISGKYFSDSNVARTTSLGTDADLAKKLWDFSLNLTK >Vigun07g224000.2.v1.2 pep primary_assembly:ASM411807v1:7:34600497:34604956:-1 gene:Vigun07g224000.v1.2 transcript:Vigun07g224000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSRKGASGFSSSSTAEQVTEGLDGTGLTAIVTGASSGIGTETTRVLALRGVHVIMGVRNMIAAKDVKETILKEIPSAKVDAMELDLSSMDSVNKFASDFKSSGLPLNILVNNAGIMACPFKLSADKIELQFATNHLGHFLLTNLLLDTMKKTSRETKKEGRIVNVSSEAHKFAYSEGIRFDKINDESSYSNWRAYGQSKLANILHANELSRRLKEDGVEISANSLHPGAITTNLFRHTGINGSSNNMLCSIAPTSEGN >Vigun11g163300.1.v1.2 pep primary_assembly:ASM411807v1:11:37077177:37084157:-1 gene:Vigun11g163300.v1.2 transcript:Vigun11g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIPIEDQFSKLHPSLAENTRIGIVGAGPSGLSAAYALARLGYKNITVLEKHHTVGGMCESVEIEGKVYDLGGQVLAANSAPVIFHLARETASELEELDSHKLAVIDHSTGKYQDIEVADDYVSVMSLTLEIQEKVKNCNRIGVHAVSDIASDLTPEYLKHHGLKSVPKSVAYGYTASGYGFTQDMPYAYLHEFTRTSMAGKIRRFKNGYTSLWQKIAESLPLKLCCNTEVLAIRRNSDGVTVKTKSLNKVETLEFDKIIISGSFPLKYGRTYRSFPSTCIERETEVMDASDLEKELFSKVETNDYYTTVIKINGLEHLPVGFYYFGEYMEDPSTIGHPVAMQKFYAGSNIFLFWSYGNSVDIKGQAVTELAIKTIEAMGGEVENVILQRRFMYFPHVGSQDMKDGFYEKLESKLQGSRNTYYVGGLMAFELTERNSSYAMALICKNFAHSNDLPIFPYTKNLFPLQTECQKKNPKELGELEEVQFPNLPTLNSYLKHWGTHPITQNRILYSWINEEGAPVSQRTYRELCFNSSCIAHKLVTSQKPVIKPGDKVLLVYVPGLDFIDAFFGCLRAKVIPVPILPPDPMQRNGQSLKQIENIAKSCSIVAILSTVAYHSAVRAGSLKNLISFVGKNEKSLARWPNLPWLHTDTWVKKSKSMVLEHLDEQGEPEPGDICFLQFTSGSTSDAKGVMITHGGLIHNVKLMRRRYKSTSRTMLVSWLPQYHDMGLIGGLFTSLVSGGSAVLFSPMTFIKKPLLWLEVMSKYRATHSAGPNFAFELMIRRLESDKDKLHNLDLSSLTFLMVAAEPVRQKTLKRFVELTSPFGLSEKVMAPGYGLAENCVFVCCAYGEGKPIILDWQGRVCCGYVNHEDADIDIRIVDPETLEELQEDGKEGEIWISSPSAGIGYWGREELSLKTFRNELQNLPGRSYTRTGDLGRIIDQKLFITGRIKDLIIVAGRNIYSADVEKTVETSSDILRPGCCAVVGVPEEILSAKGISTPDGSDQVGLVVIAEVRDGKPVTKDVIEKIKTRVMEEHGVNVASVKLIKPRTISKTTSGKIKRFECVKQFSDETLNLIPIGPTPVLRKKSLLSSFTTGMLREGKTPGPLAPRKRISKNEIVEFLKGLISEQTGISVNNISITDNLTSYGIDSIGVVKATQKLSDFLATPISAIDVFTASCILELANFCEDLLSKSQPQLTSNSSNVPEAQNGSTEFNVEVSKSWWFGIRVLQFLSLIYISIILVSPAYLSVTTYLNFTLSASKWINGFPWLHYLISLTFAPVAWILCMASTCICISLFGSSFLGPNYDPTSEISIYSMDFVKWWTLYKAQEISSRVLAVHLRGTVFLKCWFEMLGARIGSSVLLDTVDITDPSLVSIGDEVTIAEGVLVQSHEVKNGILRFHPIRIGKCSSIGPYAVIQKGSVIEEGAEIQALQKVGPDQHVFKPAKLDNGIKKTELSVNIRKTQYDIINHFMGIYLVGFLSSLAAIISYFLYTRFSNQPLSPQHFSFVCIGGAFHWIPFTIVAYATMFSEVSSNPITFAISFTSAYLLHGFILIILTTAFTRLLKTSQNQTQFKTWLRCQVTTSCHLRCAKLLSGTEAFCIYLRLLGAKIGKHCSIRAINSVSCPELMSIGSGVHLGDFSRIITGFHSSSGFVSGKIEIQDNSVIGSQSVVLPGSLVQKNVILGALSVAQMNSTLQEGGVYIGSKSQAVIRNSVKNACDEWIKDIDNNISKKKVVDLAAGLYKNDSQKLTLTRTWFQTFSALFTQPLLQTVLPHMVLGLAVFGPLNCVLYLKSAKKLQIYWLLPLFWVQSGALASLACVIAKWVLVGRKKAGERVGIWSERITLDSTWQAIRTLVGEYFMDMTSGSFWFVVWMKMMGADVDMEDAVYVDSMGALLNPEMVKIERRGCVGREALLFGHVYEGEGGMVKFGEIKIGEDGFVGSRAVAMPGVQLENGSNLCSLSLAMKEEIIRSC >Vigun03g355000.1.v1.2 pep primary_assembly:ASM411807v1:3:55644744:55646167:-1 gene:Vigun03g355000.v1.2 transcript:Vigun03g355000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHDSRPCISQYGIFFLYSLTNDFIFNFVSYYVGSPSFVTLFLLTPLLWYVLKSATTGWNGICLDIIMIKPKMA >Vigun08g145000.1.v1.2 pep primary_assembly:ASM411807v1:8:31808843:31810512:-1 gene:Vigun08g145000.v1.2 transcript:Vigun08g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVNKPQLLPPYPELILKALEALSEPSGSSKSAISKYIESTYGELPDSTVLGNELNKMKESGELVFNKNNYMKADPSAPPKRGRGRPPKPKAPVPPGTVVSPPRPRGRPPKDPNAPPKSPKPKPTPGSGRPRGRPKKIPRSPAAAYPAAVSSGRPRGRPPKVKPQLTEVSVES >Vigun01g209000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38426573:38428148:-1 gene:Vigun01g209000.v1.2 transcript:Vigun01g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPNLHSVTSSQQSNHKNRRKLAHHASLAPAPWTSQTEHRIYATNLLHALRRNSPAAASDVRAAADRALAATAKGRTRWSRAILANPFGRWKRRHHKKARKSGAGLMKKRTPEIRRTVPPLQKKARALGRLIPGCRKVPFPKLLEEAGDYISALEMQVRAMTALADLLAGGPTPPAPLRLS >Vigun07g046100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4666974:4667991:1 gene:Vigun07g046100.v1.2 transcript:Vigun07g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEETSNLDLIRQHLLGENISTSFFSNFSNLNPVKLEAPSSPSSPHFDFDFSDNTTFFTFLEGYDLVADMEFLDHKTIINSTSTPPLNKCNSPQPLVSSSESEEKAKKVEHDEAKRYRGVRRRPWGKFAAEIRDPTRKGTRVWLGTFDTEIDAAKAYDSAAFKMRGHKAILNFPLEAGVSDPKPNTCGRKRRRDTLELELPQVHLHHGVS >Vigun04g006900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:493670:495834:-1 gene:Vigun04g006900.v1.2 transcript:Vigun04g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQLQSASSALATGSQKNGTVTAATGAETKPKKKICCACPDTKRLRDECIVEHGEAACAKWIEAHRLCLRAEGFNV >Vigun11g178900.1.v1.2 pep primary_assembly:ASM411807v1:11:38300640:38302166:-1 gene:Vigun11g178900.v1.2 transcript:Vigun11g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFAEELYAESLELSKLELTSTSVADEKDKLNDRGGDDDLSFWDDSDDKLDSSLDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLDGYSWGVVRGVTSAFSHLPHELKERLIPPQEKRNEFQGLYESVHSLSTPDALGLFGEEIKAGEALEHSEHSEQSCHGSQLRNYRGQLESLISDSPAIDIHLPEPK >Vigun11g178900.2.v1.2 pep primary_assembly:ASM411807v1:11:38300747:38302737:-1 gene:Vigun11g178900.v1.2 transcript:Vigun11g178900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFAEELYAESLELSKLELTSTSVADEKDKLNDRGGDDDLSFWDDSDDKLDSSLDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLDGYSWGVVRGVTSAFSHLPHELKERLIPPQEKRNEFQGLYESVHSLSTPDALGLFGEEIKAGEALEHSEHSEQSCHGSQLRNYRGQLESLISDSPAIDIHLPEPK >Vigun09g043600.1.v1.2 pep primary_assembly:ASM411807v1:9:4087060:4090894:1 gene:Vigun09g043600.v1.2 transcript:Vigun09g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVTVKSEVSLLESSPSPVEKPEGVCSNMDEHHLVQPNMNDSSTKKSVPASGLNAVIPSTNQLTIFYNGSVCVYDGIPAEKVHEIMLIAAAAAKSTEMKKIGTQSTLISPVPTRPSSPHGITNNVAASQKNSICRLQEFPIARRHSLQRFLEKRRDRLGSKAPYPSSSTTKVADNIENNFCADNAPELISLNRAEEEFHPTVSAS >Vigun09g043600.2.v1.2 pep primary_assembly:ASM411807v1:9:4087060:4090890:1 gene:Vigun09g043600.v1.2 transcript:Vigun09g043600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVTVKSEVSLLESSPSPVEKPEGVCSNMDEHHLVQPNMNDSSTKKSVPASGLNAVIPSTNQLTIFYNGSVCVYDGIPAEKVHEIMLIAAAAAKSTEMKKIGTQSTLISPVPTRPSSPHGITNNVAASQKNSICRLQEFPIARRHSLQRFLEKRRDRLGSKAPYPSSSTTKVADNIENNFCADNAPELISLNRAEEEFHPTVSAS >Vigun09g092450.1.v1.2 pep primary_assembly:ASM411807v1:9:13051636:13052719:-1 gene:Vigun09g092450.v1.2 transcript:Vigun09g092450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMNLEFEEDLIRKGIFRIPPYFEEFYDLRDLHYVCTVFCGDRYFRLRIFDLQWTEIEYRGIADSYIAEEDLVWSRFLSSFRILLTPKEPTISLDPYFHLFWERKIVFDQEMEFVDPNSKTFKFKFHVTPNATTIFRGPIRKMFKYYNLKDEVYLHMSYVSLNVFLIKLFSVEGIEIAYTLNAASCSGTAKNLEDVPEDDSDNCLIKCLTAYDVGASSLYLNASFVANAFSTSKKECLLSNGNGMYWQCSIRWAQRARTECYLGCGWRRFVTENKLCAGDRIKLEVRKNEDNLIVVQKI >Vigun09g230700.2.v1.2 pep primary_assembly:ASM411807v1:9:40247698:40249065:-1 gene:Vigun09g230700.v1.2 transcript:Vigun09g230700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNNIKASKSPMVADFNSVQHLSELIGKADLNKIRLELLKCLPSLPNMHETLKLNDLSTSLYSLDFSSVSGWTVVELLRKCLPEQFSLNGVKGDMNMVSPLVVQPITRWPFYAFLGGAMFCLLASSTCHLLACHSQRLSYIMLRIDYAGISALIATSFYPPVYYTFMCNPFSCYLYLGLITLMGIASMIFSLLPFFQKSEFRKYRATIFFLMGFSGVAPIIHKLILHKHQPEALQTTGYEILMGVLYALGAVIYVARIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYVDGLIYLRWRDSQGC >Vigun09g230700.1.v1.2 pep primary_assembly:ASM411807v1:9:40247556:40249436:-1 gene:Vigun09g230700.v1.2 transcript:Vigun09g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGASDGFIAAPEKFNELLDGEDERTSSKLRKGVRLWRKLKYQLVEYNSLPAYMRDNEFILGYYRSEWPLKQIFLSIFSIHNETLNVWTHLIGFFLFLFLTIYTASKSPMVADFNSVQHLSELIGKADLNKIRLELLKCLPSLPNMHETLKLNDLSTSLYSLDFSSVSGWTVVELLRKCLPEQFSLNGVKGDMNMVSPLVVQPITRWPFYAFLGGAMFCLLASSTCHLLACHSQRLSYIMLRIDYAGISALIATSFYPPVYYTFMCNPFSCYLYLGLITLMGIASMIFSLLPFFQKSEFRKYRATIFFLMGFSGVAPIIHKLILHKHQPEALQTTGYEILMGVLYALGAVIYVARIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYVDGLIYLRWRDSQGC >Vigun04g078901.2.v1.2 pep primary_assembly:ASM411807v1:4:11012601:11013784:1 gene:Vigun04g078901.v1.2 transcript:Vigun04g078901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSGMSTPRGTPTRSSRQGSPRIVKDIESLDISRIYQTIQCSMRSQGLSFVAIELVPESSVSTVEEREAMG >Vigun04g078901.1.v1.2 pep primary_assembly:ASM411807v1:4:11012988:11015852:1 gene:Vigun04g078901.v1.2 transcript:Vigun04g078901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSGMSTPRGTPTRSSRQGSPRIVKDIESLDISRIYQTIQCSMRSQGLSFVAIELVPESSVSTVEEREAMVHYTTLQGCNRV >Vigun09g076850.1.v1.2 pep primary_assembly:ASM411807v1:9:8798283:8799543:1 gene:Vigun09g076850.v1.2 transcript:Vigun09g076850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLVVVVAWWCGGGGGDCGGVWWWWWWFSVVWWWWWFGSCTAYGGGEEEGFGGGGVCGLVECGGGGFSSCTAGGRGDGGSNSDYCGGGRLGSHSVVIVDVWWFYVDCGGGRGVMGVMVVVMVWWWWWWWYCFGSDGICRDASGMGGYFCGGGGVVVVVVLWGHGGGGLVVLVVGSYGGIGGGLVVLVVGSYGGIGGGCVVVVVIMVDVLVVVVAMLAQGQPLVGPNTMSSLLTFHLSPFQKQLLPLLLISLARCSARAHYSYVSKLKIPHFYLRVS >Vigun01g067800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18186967:18188927:-1 gene:Vigun01g067800.v1.2 transcript:Vigun01g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSILYRAIIVGDSRYICYQSRPLCSSLGTRHFSHEKQCGEELSRYLDILTVKLGKGSSEEETLHTLLNDETCDAIPLSQNLVHLLLQRYKDDWQSALAVFRWAGSQSSFRHSPESYDMMVDILGRMKVMKKLRDLLDEMRKSGLVTMNTVAKVMRRFVGAGQWVDAVKIFDDLQALGLEKNTESMNLLLDTLCKERFVQQAREIFLELKQHIAPNAHTFNIFIHGWCKICRVDEAHWTIEEMKGYGCRPCVISYSTIIQCYCQEGNFIKVYELLDEMKAQGCPANVITYTTIMSALAKAGKFEEALKVPERMRSSGCRADTLFFNSFLYTLGRAGRLDDAAYVFNVEMPKAGVSPNTSTYNSLISMFCYHAQEKRAFEILKEMENSNYCRPDAQTYHPLIKSCFRTGKIDSVLNDILNDMINKYHLSLDLSTYTLLIHGLCRGDRCNWAFSLFEEMIDQDIIPRFKTCRLLLDEVKQKNMFQTAEKIEDLMKKL >Vigun10g029100.1.v1.2 pep primary_assembly:ASM411807v1:10:3670758:3672655:1 gene:Vigun10g029100.v1.2 transcript:Vigun10g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINMSFSLMVKMRTISKVALILLSMMVLLSDTTHSVVLESDENRIKSATFLSEKFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSHYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGGESRGTCSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTHDRKGCTECRCKLMNLPTNFYNVTTGINGQLLSKNYKGGLFCCQDNVQCKLRNDFRGPTRNLSLRYKIRWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDLPHVKKANIPMTKGGYLIYGTAHMHTGVVNTTLYGQDGRILCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGLIKIEDGEVLILESIYENKFRTGAMGHFYIYLAEEIPNKYLKEI >Vigun09g027375.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2201133:2201918:1 gene:Vigun09g027375.v1.2 transcript:Vigun09g027375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTPALESRTQFSPLPQDIEEHHVEINDGNIVRVEPCEEEHLDWDGAVIFLRLIMTSMLLIGLALHQRKLYQPTFDPIPPKFFLDSFEVPHLEVSEGEVSSTWVMNVTIWNVMNNSDINLINLEARISYEENQTLAVITPIMPQYVLSKEVSLLENGATKKVHLNLSTTGWEENQPIVDDTVVQAIDEDMQQGTTRFSLHMIIVGEVLLDNGWVQTFTMHPKCTDLLVKVIPGNTRTITHHKPEECVGLVQWGHIKNIF >Vigun10g152700.1.v1.2 pep primary_assembly:ASM411807v1:10:37260128:37262827:1 gene:Vigun10g152700.v1.2 transcript:Vigun10g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSALTISSSSTLFDGKAPRNSPPLSPQCVTLPLPNFHSQNRSWKTSAYNGKIARNVMAMATGEAPAEASSTEVPEIVKTLQETWDKVDDKYAVSSLALIGVIALWGSVGLISAIDRLPLIPGILEIVGIGYTGWFVYKNIVFKPDREKLTQKVKETYNEILGSNELP >Vigun08g146400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31933041:31937051:1 gene:Vigun08g146400.v1.2 transcript:Vigun08g146400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRRRLRLSPKLLLYSFPKSSFFFFSTTTSDSVSFTISYLTNTCGFTREAALKLSKRLRFNTAQKPDSVISFFQTHGFSAAQIHRILSLSPELMVCNPTRRLLPKFHFLASKGFSASDVVSIVAKNPRFLCQSLENRIFPTFQLIRRFFPSDLRALAVYIACPSVIGHCRVASNVERLLDAGVSHAGIRHLLSSRPLVLCFNLRTPLEEVKLLGFDPLKVTFAVALVAKRTVSKPLWDAKVAVLKKWGWSKDEVLVAFRKQPTMMLCSLEKLDAVAGLWAGRLGWDRSALIAYPSLFLYSLEKRLVPRALVLQHLLSRGLVKKDANFVTPFCRSDEGFLEKYVTSFEEETPRLLELYQKGQGAC >Vigun08g146400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31933034:31937051:1 gene:Vigun08g146400.v1.2 transcript:Vigun08g146400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRRRLRLSPKLLLYSFPKSSFFFFSTTTSDSVSFTISYLTNTCGFTREAALKLSKRLRFNTAQKPDSVISFFQTHGFSAAQIHRILSLSPELMVCNPTRRLLPKFHFLASKGFSASDVVSIVAKNPRFLCQSLENRIFPTFQLIRRFFPSDLRALAVYIACPSVIGHCRVASNVERLLDAGVSHAGIRHLLSSRPLVLCFNLRTPLEEVKLLGFDPLKVTFAVALVAKRTVSKPLWDAKVAVLKKWGWSKDEVLVAFRKQPTMMLCSLEKLDAVAGLWAGRLGWDRSALIAYPSLFLYSLEKRLVPRALVLQHLLSRGLVKKDANFVTPFCRSDEGFLEKYVTSFEEETPRLLELYQKGQGAC >Vigun08g146400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31933041:31937051:1 gene:Vigun08g146400.v1.2 transcript:Vigun08g146400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRRRLRLSPKLLLYSFPKSSFFFFSTTTSDSVSFTISYLTNTCGFTREAALKLSKRLRFNTAQKPDSVISFFQTHGFSAAQIHRILSLSPELMVCNPTRRLLPKFHFLASKGFSASDVVSIVAKNPRFLCQSLENRIFPTFQLIRRFFPSDLRALAVYIACPSVIGHCRVASNVERLLDAGVSHAGIRHLLSSRPLVLCFNLRTPLEEVKLLGFDPLKVTFAVALVAKRTVSKPLWDAKVAVLKKWGWSKDEVLVAFRKQPTMMLCSLEKLDAVAGLWAGRLGWDRSALIAYPSLFLYSLEKRLVPRALVLQHLLSRGLVKKDANFVTPFCRSDEGFLEKYVTSFEEETPRLLELYQKGQGAC >Vigun08g146400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31933041:31937051:1 gene:Vigun08g146400.v1.2 transcript:Vigun08g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRRRLRLSPKLLLYSFPKSSFFFFSTTTSDSVSFTISYLTNTCGFTREAALKLSKRLRFNTAQKPDSVISFFQTHGFSAAQIHRILSLSPELMVCNPTRRLLPKFHFLASKGFSASDVVSIVAKNPRFLCQSLENRIFPTFQLIRRFFPSDLRALAVYIACPSVIGHCRVASNVERLLDAGVSHAGIRHLLSSRPLVLCFNLRTPLEEVKLLGFDPLKVTFAVALVAKRTVSKPLWDAKVAVLKKWGWSKDEVLVAFRKQPTMMLCSLEKLDAVAGLWAGRLGWDRSALIAYPSLFLYSLEKRLVPRALVLQHLLSRGLVKKDANFVTPFCRSDEGFLEKYVTSFEEETPRLLELYQKGQGAC >Vigun08g146400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31933041:31937051:1 gene:Vigun08g146400.v1.2 transcript:Vigun08g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRRRLRLSPKLLLYSFPKSSFFFFSTTTSDSVSFTISYLTNTCGFTREAALKLSKRLRFNTAQKPDSVISFFQTHGFSAAQIHRILSLSPELMVCNPTRRLLPKFHFLASKGFSASDVVSIVAKNPRFLCQSLENRIFPTFQLIRRFFPSDLRALAVYIACPSVIGHCRVASNVERLLDAGVSHAGIRHLLSSRPLVLCFNLRTPLEEVKLLGFDPLKVTFAVALVAKRTVSKPLWDAKVAVLKKWGWSKDEVLVAFRKQPTMMLCSLEKLDAVAGLWAGRLGWDRSALIAYPSLFLYSLEKRLVPRALVLQHLLSRGLVKKDANFVTPFCRSDEGFLEKYVTSFEEETPRLLELYQKGQGAC >Vigun05g187900.2.v1.2 pep primary_assembly:ASM411807v1:5:36390824:36397600:-1 gene:Vigun05g187900.v1.2 transcript:Vigun05g187900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNISKTPYSILILLLLATTIRVEGRYLTELVSDGVHKAQHNETSYLILKGIDDESCEEQCKQMYGFLPCTDNVFGHLFLILVYEYLLFHGESYLAKGGEQIFKILGPGIFGASAFHILAALPESLILLGYGITTDLETSYTARIMVCSVVPFAIMQIPKLFHFSSELRNVTLLIALIITTVFLFLYFVYQIFEPWVQKRRLEYVKHDHLILRILQDVQKNTLQRIFTRNGTPNVSAIRRLYKEIDQDGSSGISASEVRDLLLKNKVTETNFDEEKEVEQVLQVFDLDGDKRISKEEFVSGFTKWLHQTQHALEKQYFSRKSMKNIYQVFGPWIENKRKEREGKKQLICEILKHVQSDVVGSLLTVDGKPDEQAIRGLFVKIDRNRDNFISQSELKELIMNIKFVKASMEVEEAVALVIEELDIDNDRIINEEEFVAGFQKWLSSTSAPAPVSHSESQEDMYQTWEEVDMVVEEKQSEAVVEKSTWAWFKAISHVVLGIAMLSILAEPLTESVHNFSNSIGLHPFFMSFILVPLATNAREATSAIKEASHKKPRTTSLAISEIYGGVFMNNILGFFAISVLICVGQVTWQYSAELLVVAIVCSIAGLTAGFRSIFPLWSSFFAILLYPLSLVLVFVLDQVLHYK >Vigun05g187900.1.v1.2 pep primary_assembly:ASM411807v1:5:36390824:36397600:-1 gene:Vigun05g187900.v1.2 transcript:Vigun05g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNISKTPYSILILLLLATTIRVEGRYLTELVSDGVHKAQHNETSYLILKGIDDESCEEQCKQMYGFLPCTDNVFGHLFLILVYEYLLFHGESYLAKGGEQIFKILGPGIFGASAFHILAALPESLILLVCGVVSNREIAEEYAMTGIGLLAGSSILLLTVVWGSCVIVGRQEFEHHSPAANSHHKTLKAFFTGYGITTDLETSYTARIMVCSVVPFAIMQIPKLFHFSSELRNVTLLIALIITTVFLFLYFVYQIFEPWVQKRRLEYVKHDHLILRILQDVQKNTLQRIFTRNGTPNVSAIRRLYKEIDQDGSSGISASEVRDLLLKNKVTETNFDEEKEVEQVLQVFDLDGDKRISKEEFVSGFTKWLHQTQHALEKQYFSRKSMKNIYQVFGPWIENKRKEREGKKQLICEILKHVQSDVVGSLLTVDGKPDEQAIRGLFVKIDRNRDNFISQSELKELIMNIKFVKASMEVEEAVALVIEELDIDNDRIINEEEFVAGFQKWLSSTSAPAPVSHSESQEDMYQTWEEVDMVVEEKQSEAVVEKSTWAWFKAISHVVLGIAMLSILAEPLTESVHNFSNSIGLHPFFMSFILVPLATNAREATSAIKEASHKKPRTTSLAISEIYGGVFMNNILGFFAISVLICVGQVTWQYSAELLVVAIVCSIAGLTAGFRSIFPLWSSFFAILLYPLSLVLVFVLDQVLHYK >Vigun07g027601.4.v1.2 pep primary_assembly:ASM411807v1:7:2503676:2510002:1 gene:Vigun07g027601.v1.2 transcript:Vigun07g027601.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNYVCVNLAFVVVSATLISRCFGFTDPADVTALQDIYRTLNNPLALKGWNGSDPCEESWTGITCSASSVIHIQIQRLNLTGHLGSLLNNLQNLNQLDVSFNSILGEIPVALPPNATHINMACNYFSQNIPHSLSTMTKLAYLNLSHNILYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLTTLERLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGLLPQHFQSIPNLWIGGNKFQTVDGSPPWAFPLDNVPLEKNTSRPPITQANAIENYAPIRVRKQKKKHIGPGGIAFMVGTGTLLATGLALLIAIRLNKLYTKRLENYESDHSALPSCPISASKEDSTTAIVESQQIPPYNAASLLGPRRLTSQTHKRTGETSRKSFSGRDRFNGRIKVYTVAEVQLVTNSFHEDNLLGEGSLGPVYRAEFSDNKVLAVKNINMAGMSFSEEEKFLDVVSTASRLKHPNIVSLKGYCLEHGQHLLVFEYVRNLTLDDALHSTAYKPLSWGTRLRIALGVGQALNYLHSTFSPPVAHGKLKATNVLLDENLMPRVTDCGLAILRPLTSNKAKNRASEIDIRDTGYSSPDHGQPGIGSTKSDIFAFGVLLLELLTGRKPFDGSRPREEHYLAKWASSRLHDSDSLEQMVDPAIKRTFSSKALSRYADIISLCIQ >Vigun07g027601.5.v1.2 pep primary_assembly:ASM411807v1:7:2503676:2511725:1 gene:Vigun07g027601.v1.2 transcript:Vigun07g027601.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNYVCVNLAFVVVSATLISRCFGFTDPADVTALQDIYRTLNNPLALKGWNGSDPCEESWTGITCSASSVIHIQIQRLNLTGHLGSLLNNLQNLNQLDVSFNSILGEIPVALPPNATHINMACNYFSQNIPHSLSTMTKLAYLNLSHNILYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLTTLERLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGLLPQHFQSIPNLWIGGNKFQTVDGSPPWAFPLDNVPLEKNTSRPPITQANAIENYAPIRVRKQKKKHIGPGGIAFMVGTGTLLATGLALLIAIRLNKLYTKRLENYESDHSALPSCPISASKEDSTTAIVESQQIPPYNAASLLGPRRLTSQTHKRTGETSRKSFSGRDRFNGRIKVYTVAEVQLVTNSFHEDNLLGEGSLGPVYRAEFSDNKVLAVKNINMAGMSFSEEEKFLDVVSTASRLKHPNIVSLKGYCLEHGQHLLVFEYVRNLTLDDALHSTAYKPLSWGTRLRIALGVGQALNYLHSTFSPPVAHGKLKATNVLLDENLMPRVTDCGLAILRPLTSNKAKNRASEIDIRDTGYSSPDHGQPGIGSTKSDIFAFGVLLLELLTGRKPFDGYTCFSLTLFHFICLRVVE >Vigun07g027601.1.v1.2 pep primary_assembly:ASM411807v1:7:2503676:2511725:1 gene:Vigun07g027601.v1.2 transcript:Vigun07g027601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNYVCVNLAFVVVSATLISRCFGFTDPADVTALQDIYRTLNNPLALKGWNGSDPCEESWTGITCSASSVIHIQIQRLNLTGHLGSLLNNLQNLNQLDVSFNSILGEIPVALPPNATHINMACNYFSQNIPHSLSTMTKLAYLNLSHNILYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLTTLERLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGLLPQHFQSIPNLWIGGNKFQTVDGSPPWAFPLDNVPLEKNTSRPPITQANAIENYAPIRVRKQKKKHIGPGGIAFMVGTGTLLATGLALLIAIRLNKLYTKRLENYESDHSALPSCPISASKEDSTTAIVESQQIPPYNAASLLGPRRLTSQTHKRTGETSRKSFSGRDRFNGRIKVYTVAEVQLVTNSFHEDNLLGEGSLGPVYRAEFSDNKVLAVKNINMAGMSFSEEEKFLDVVSTASRLKHPNIVSLKGYCLEHGQHLLVFEYVRNLTLDDALHSTAYKPLSWGTRLRIALGVGQALNYLHSTFSPPVAHGKLKATNVLLDENLMPRVTDCGLAILRPLTSNKAKNRASEIDIRDTGYSSPDHGQPGIGSTKSDIFAFGVLLLELLTGRKPFDGSRPREEHYLAKWASSRLHDSDSLEQMVDPAIKRTFSSKALSRYADIISLCIQPVKQFRPPMSEIVDNLVSFSQKLVSESGEADETELDPLNMSFHTTISRFNGSPALSYVSA >Vigun07g027601.2.v1.2 pep primary_assembly:ASM411807v1:7:2503676:2510002:1 gene:Vigun07g027601.v1.2 transcript:Vigun07g027601.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNYVCVNLAFVVVSATLISRCFGFTDPADVTALQDIYRTLNNPLALKGWNGSDPCEESWTGITCSASSVIHIQIQRLNLTGHLGSLLNNLQNLNQLDVSFNSILGEIPVALPPNATHINMACNYFSQNIPHSLSTMTKLAYLNLSHNILYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLTTLERLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGLLPQHFQSIPNLWIGGNKFQTVDGSPPWAFPLDNVPLEKNTSRPPITQANAIENYAPIRVRKQKKKHIGPGGIAFMVGTGTLLATGLALLIAIRLNKLYTKRLENYESDHSALPSCPISASKEDSTTAIVESQQIPPYNAASLLGPRRLTSQTHKRTGETSRKSFSGRDRFNGRIKVYTVAEVQLVTNSFHEDNLLGEGSLGPVYRAEFSDNKVLAVKNINMAGMSFSEEEKFLDVVSTASRLKHPNIVSLKGYCLEHGQHLLVFEYVRNLTLDDALHSTAYKPLSWGTRLRIALGVGQALNYLHSTFSPPVAHGKLKATNVLLDENLMPRVTDCGLAILRPLTSNKAKNRASEIDIRDTGYSSPDHGQPGIGSTKSDIFAFGVLLLELLTGRKPFDGSRPREEHYLAKWASSRLHDSDSLEQMVDPAIKRTFSSKALSRYADIISLCIQPVKQFRPPMSEIVDNLVSFSQKLVSESGEADETELDPLNMSFHTTISRFNGSPALSYVSA >Vigun07g027601.3.v1.2 pep primary_assembly:ASM411807v1:7:2503676:2511725:1 gene:Vigun07g027601.v1.2 transcript:Vigun07g027601.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNYVCVNLAFVVVSATLISRCFGFTDPADVTALQDIYRTLNNPLALKGWNGSDPCEESWTGITCSASSVIHIQIQRLNLTGHLGSLLNNLQNLNQLDVSFNSILGEIPVALPPNATHINMACNYFSQNIPHSLSTMTKLAYLNLSHNILYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLTTLERLFLQNNRFTGSVTYLAELPLIDLNIQDNLFSGLLPQHFQSIPNLWIGGNKFQTVDGSPPWAFPLDNVPLEKNTSRPPITQANAIENYAPIRVRKQKKKHIGPGGIAFMVGTGTLLATGLALLIAIRLNKLYTKRLENYESDHSALPSCPISASKEDSTTAIVESQQIPPYNAASLLGPRRLTSQTHKRTGETSRKSFSGRDRFNGRIKVYTVAEVQLVTNSFHEDNLLGEGSLGPVYRAEFSDNKVLAVKNINMAGMSFSEEEKFLDVVSTASRLKHPNIVSLKGYCLEHGQHLLVFEYVRNLTLDDALHSTAYKPLSWGTRLRIALGVGQALNYLHSTFSPPVAHGKLKATNVLLDENLMPRVTDCGLAILRPLTSNKAKNRASEIDIRDTGYSSPDHGQPGIGSTKSDIFAFGVLLLELLTGRKPFDGSRPREEHYLAKWASSRLHDSDSLEQMVDPAIKRTFSSKALSRYADIISLCIQ >Vigun03g383300.1.v1.2 pep primary_assembly:ASM411807v1:3:58787035:58789026:1 gene:Vigun03g383300.v1.2 transcript:Vigun03g383300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAAEE >Vigun02g073200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22465883:22467174:-1 gene:Vigun02g073200.v1.2 transcript:Vigun02g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTIKPLLEDAEEKQFSHTVISNWMQKINDAANMVDDIIDECDYEALRSEYRGVKGSLSDKVQCSCLSSFQPKHIVFGYKIAKKMKRISKKLVEILEEVEKFHLIGTDRIAIGGRRVEVIEGPRTTSFCEPRVYGRERHTNMYLIDLTSNHDEFMDVLSIYRIEGPKGFGKTTFAKLIFNHPPMVVYHFELRIWVCRFIDFNFNFERIIEAILEAAIGCDCEDLDLDTVQKTLQNLLHGKRYLIVLDFDWHRNSLDVDWQRLKSVLACGRKGASILVTAPTSATIAKSCYMYREVYQIVQIINKVYKFRYQFTLFRKTMIIY >Vigun04g202700.1.v1.2 pep primary_assembly:ASM411807v1:4:42557778:42563101:1 gene:Vigun04g202700.v1.2 transcript:Vigun04g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYRWCGSLPSTATTTTTTAATMIRRLCCLQSVSPSLPFSSAPTATKKPLVLLGAPQVSAIVLDTLLNASASPHSSFEVAAIVTQPPARRDRGKKLSLSPLATHALDRGFSPDLIFTPLKAGDDDFLSNLKALQPHLCITAAYGNILPTKFLDIPPLGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPIIATETMQVDDQIKAPDLLEILFHKGSELLIRELPSILDGSARLKAQPQDDSKATLAPKITSDESWLSFDEEAYVLHNKVRAFSGWPGTRARVLVIEKNGEQKTLDIKIITTRLSSHESVQFNEADDVAFVEGALVFPCGRGTTIEVLELQLPGKKAVNAAAFWNGLRGQKLKKL >Vigun03g392600.1.v1.2 pep primary_assembly:ASM411807v1:3:59888212:59891265:-1 gene:Vigun03g392600.v1.2 transcript:Vigun03g392600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPSFLQQKVIIQNKYGYKLVGILHDSGTEEIVLLCHGGRASKENFIMTNLAAALENAGIGSFRFDFTGNGESEGSFEIGGFWREADDIHAVAQHFLKANRKVIAIVGHSKGASAAILYASKYHDVKTIVNLSGTHDLKVGLVSRYGKEILERIRKEGFVELKEAPGGINYRITEESLKDRLNISMLEECLHIDKECRVFTVHGGADILVPVVAALEFDKILPNHKFRIIEGADHVYSDHQDELASLVVNFIKETLNKDKGSSS >Vigun03g392600.2.v1.2 pep primary_assembly:ASM411807v1:3:59888212:59891265:-1 gene:Vigun03g392600.v1.2 transcript:Vigun03g392600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEPKRLFSYAMGVELPSYFFYLQENFIMTNLAAALENAGIGSFRFDFTGNGESEGSFEIGGFWREADDIHAVAQHFLKANRKVIAIVGHSKGASAAILYASKYHDVKTIVNLSGTHDLKVGLVSRYGKEILERIRKEGFVELKEAPGGINYRITEESLKDRLNISMLEECLHIDKECRVFTVHGGADILVPVVAALEFDKILPNHKFRIIEGADHVYSDHQDELASLVVNFIKETLNKDKGSSS >Vigun03g392600.3.v1.2 pep primary_assembly:ASM411807v1:3:59888212:59890898:-1 gene:Vigun03g392600.v1.2 transcript:Vigun03g392600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEPKRLFSYAMGVELPSYFFYLQENFIMTNLAAALENAGIGSFRFDFTGNGESEGSFEIGGFWREADDIHAVAQHFLKANRKVIAIVGHSKGASAAILYASKYHDVKTIVNLSGTHDLKVGLVSRYGKEILERIRKEGFVELKEAPGGINYRITEESLKDRLNISMLEECLHIDKECRVFTVHGGADILVPVVAALEFDKILPNHKFRIIEGADHVYSDHQDELASLVVNFIKETLNKDKGSSS >Vigun02g150300.1.v1.2 pep primary_assembly:ASM411807v1:2:29720406:29722410:-1 gene:Vigun02g150300.v1.2 transcript:Vigun02g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEHSNIRSFVNFIKEAEQISMEKLIRPCDKEYMRMTMLKHQDTFNEQVYELHRLYGIQKILMKNTEANRGTEVNERGWNLTNVISLTQHGYHKGALKNPKLKFDLEIPTTEDNAQSDSNGVLEIINETEIELTLGPSCYNRKKVETPLTSGSAHSLSSSSTRSSFINKTRLKTRHSSHSTIEELKRRYNWSCPGATFNQRVSKLN >Vigun08g096500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23162000:23165408:-1 gene:Vigun08g096500.v1.2 transcript:Vigun08g096500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSMTNTKSNQSEPKEISCNETCKRQRMSAATAEECPRLIPNLPDELSIQIIARLPRVCYYNIRLVSRKWKATIMSSELYKVRKEIGTTEEWLYLLVRDMENKLLWHALDPRSGIWQRLPVMPSVVDVENSRKGSSRFWMWNMVEGIRIAEIIRGLLGRKDALDDMPFCGCSFGAVDGCLYVLGGFSKASTMKSVWRYDPIQNVWKKIDSMSTGRAYCKTGILNNKLYVVGGVSQGQAGLIPLRSAEVFDPFTDTWSDVPSMPFSRAGVLPTVFLADMLRPIATGLTSYKGRLYVPQSLYSWPFFVDVGGEIYDPETNSWIEMPNGMGEGWPIKQAGTKLSVVVNDELYAFDPSNSVDNGRIKVYDEGEDAWKVVIGKVPVYDFSNSESSYLLAGFHGKLHFIAKDSKRDIAVLQAVPCSNLDYSASASTSTPPSPKFMEDDELFIDSAAETDTVVWVEVANKSFGQAELINCQVIDI >Vigun08g096500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23162028:23165404:-1 gene:Vigun08g096500.v1.2 transcript:Vigun08g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSMTNTKSNQSEPKEISCNETCKRQRMSAATAEECPRLIPNLPDELSIQIIARLPRVCYYNIRLVSRKWKATIMSSELYKVRKEIGTTEEWLYLLVRDMENKLLWHALDPRSGIWQRLPVMPSVVDVENSRKGSSRFWMWNMVEGIRIAEIIRGLLGRKDALDDMPFCGCSFGAVDGCLYVLGGFSKASTMKSVWRYDPIQNVWKKIDSMSTGRAYCKTGILNNKLYVVGGVSQGQAGLIPLRSAEVFDPFTDTWSDVPSMPFSRAGVLPTVFLADMLRPIATGLTSYKGRLYVPQSLYSWPFFVDVGGEIYDPETNSWIEMPNGMGEGWPIKQAGTKLSVVVNDELYAFDPSNSVDNGRIKVYDEGEDAWKVVIGKVPVYDFSNSESSYLLAGFHGKLHFIAKDSKRDIAVLQAVPCSNLDYSASASTSTPPSPKFMEDDELFIDSAAETDTVVWVEVANKSFGQAELINCQVIDI >Vigun08g096500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23162000:23165408:-1 gene:Vigun08g096500.v1.2 transcript:Vigun08g096500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKSNQSEPKEISCNETCKRQRMSAATAEECPRLIPNLPDELSIQIIARLPRVCYYNIRLVSRKWKATIMSSELYKVRKEIGTTEEWLYLLVRDMENKLLWHALDPRSGIWQRLPVMPSVVDVENSRKGSSRFWMWNMVEGIRIAEIIRGLLGRKDALDDMPFCGCSFGAVDGCLYVLGGFSKASTMKSVWRYDPIQNVWKKIDSMSTGRAYCKTGILNNKLYVVGGVSQGQAGLIPLRSAEVFDPFTDTWSDVPSMPFSRAGVLPTVFLADMLRPIATGLTSYKGRLYVPQSLYSWPFFVDVGGEIYDPETNSWIEMPNGMGEGWPIKQAGTKLSVVVNDELYAFDPSNSVDNGRIKVYDEGEDAWKVVIGKVPVYDFSNSESSYLLAGFHGKLHFIAKDSKRDIAVLQAVPCSNLDYSASASTSTPPSPKFMEDDELFIDSAAETDTVVWVEVANKSFGQAELINCQVIDI >Vigun01g128500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30641788:30643819:-1 gene:Vigun01g128500.v1.2 transcript:Vigun01g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASYSLLFMCFLQSVRAILDPNDFLALQSIRKSLHDVPGSNFFASWDFTADPCNFAGVYCVDDKVVALNLGDPRAGSPGLTGKLDHSISKLSALADLTVVPGRIYGPLPQSISQLKNLRFLGVSRNFISGEIPAGLGQLRNIRTIDLSYNQLTGTIPPSIGTLPQLTNLILCHNRLSGSVPSFASAFSLTRLELKHNALSGSLGPYSLPPSLHYLSLSWNKLTGPVDRLLTRLNRLNYLDLGLNQFTGPIPTQIFSFPLTNLQLERNQFSGPVQPVNEVTIQTVDLSYNRLSGEVSPMLANVQNLYLNNNWFTGQVPGSFVERLLAAGIQILYLQHNFLTGIAISPTAEIPVSSTLCLQYNCMVPPVQTACPFNAGKRKIRPAQQCNRR >Vigun04g078000.1.v1.2 pep primary_assembly:ASM411807v1:4:10707124:10709237:-1 gene:Vigun04g078000.v1.2 transcript:Vigun04g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHSFELDCKRIHDSMGDLSHMIKSLSCRTLLEKQKLKETFKAMYGEELVSYLQRYEDVFSASINCSGLSWWMLDPHDRDAVVVRESLQQDETDFKALVEIFVCRKSSHVLLITQAYQRMFRRQLNQDIINLDPPHPFQKILVALAASHKAHQVDVNRHISKCDARRLYETGEGNLGTADEAVVLEILSKRSIPQLKQTFLSYKHIYGHDYTKSVNRGNYGQFGKALVLVVKCICNPAHYYAKKLYRSIKGETKSFARALVSRAEVDIDEIRKVFKEKYDKELADVICENLPSGYFRDFLLALATSPTFV >Vigun03g000200.1.v1.2 pep primary_assembly:ASM411807v1:3:32958:37042:-1 gene:Vigun03g000200.v1.2 transcript:Vigun03g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASISKELNAKHAKILEELLKLPENRECADCRNRAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQCMGNVKSNKHWEAEMPLNFDRSKLAMEKFIRMKYVEKKWASKDEVHSTSNGGIPKNNRRLSLEESILANHVAQILPPITRPRGDFIDTQKKNSPPFKRPSSSVDFDKSTKSIATGDIFNLLCIYDDNQNFSTVPPSTWATFD >Vigun03g000200.2.v1.2 pep primary_assembly:ASM411807v1:3:32958:37042:-1 gene:Vigun03g000200.v1.2 transcript:Vigun03g000200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASISKELNAKHAKILEELLKLPENRECADCRNRAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSFMQCMGNVKSNKHWEAEMPLNFDRSKLAMEKFIRMKYVEKKWASKDEVHSTSNGGIPKNNRRLSLEESILANHVAQILPPITRPRGDFIDTQKKNSPPFKRPSSSVDFDKSTKSIATGDIFNLLCIYDDNQNFSTVPPSTWATFD >Vigun01g203200.1.v1.2 pep primary_assembly:ASM411807v1:1:37922810:37927194:-1 gene:Vigun01g203200.v1.2 transcript:Vigun01g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISNVNGASVRDFIAKEVADWDDDVIAVARFKAFSGQRCDWEPSFIFWKQLIIKIATHFRLLLIRPSQVKNDWFNRGGLTPLCLDNVLSLMYNEGDITRTVDLADPSSGRFFQLVRRVSNLITRPATPDIMAEQRVIVTAVLKDKAAEVVKHLSESHWNPSCVVTMKKFQDICGGQEEASVILRYLSGCRTAQYLSVNKKDFVEGVKVSLSAGALSSITNLDYDVLHLIWTTEKLQQQLDVTDRRYELLRKSALASLQSGNKKLALSYARELKLVTQSREKCSSLLNRVEEVLGVIADAESTKKVAEAMQIGARAIKENKISVEDVDLCLRDIQETIDSHKEVEKILEQTPSYVDIEDEDIEEEFKKLELAVGKEAQVPTPEKTINAEGRTASEAADFIVDAFSNLKLSGHPAEKPGITQAVSDGDKITKKLEMEAV >Vigun01g203200.2.v1.2 pep primary_assembly:ASM411807v1:1:37922810:37927194:-1 gene:Vigun01g203200.v1.2 transcript:Vigun01g203200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRVIVTAVLKDKAAEVVKHLSESHWNPSCVVTMKKFQDICGGQEEASVILRYLSGCRTAQYLSVNKKDFVEGVKVSLSAGALSSITNLDYDVLHLIWTTEKLQQQLDVTDRRYELLRKSALASLQSGNKKLALSYARELKLVTQSREKCSSLLNRVEEVLGVIADAESTKKVAEAMQIGARAIKENKISVEDVDLCLRDIQETIDSHKEVEKILEQTPSYVDIEDEDIEEEFKKLELAVGKEAQVPTPEKTINAEGRTASEAADFIVDAFSNLKLSGHPAEKPGITQAVSDGDKITKKLEMEAV >Vigun01g028300.1.v1.2 pep primary_assembly:ASM411807v1:1:3353883:3356377:-1 gene:Vigun01g028300.v1.2 transcript:Vigun01g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGLRDLVLIAQTPSLHQPQPISENQTPNLPLPSSAALSVGFGIFPLLTATPCVPHPHQTNEIQDCAGGAAGNTTTTTTTNYWNLKMCPEVNPPKKGVINMVDDDEEDDEDDERHHHHHNHHNHHKGVMESEENGIYGPDFRVCQDCGNRAKKDCIFRRCRTCCKGRGYDCSTHVKSTWVPSIRRRERDTVLPSAGGSKRPRAIVGSSQNATATSHSSNSNNATTPKSLATISSHQDASFKQSLPGHVRAPAVFKCHRVSAIGNGEDEFAYLATVHISGHVFKGFLYDHGVEGKIANAVPCVSELQLGNNCSAKNRECSSAIGLHNNNNAYPASAT >Vigun05g192000.4.v1.2 pep primary_assembly:ASM411807v1:5:37297777:37299921:1 gene:Vigun05g192000.v1.2 transcript:Vigun05g192000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIRVFCLFLFLSTLLSVSQAYTFYVGGKDGWVLYPSENYNHWAERMRFQVSDTLVFKYKKGSDSVLVVNKEDYEKCNKKNPIKKLEDGDSQFQFDRSGPFYFISGKDDYCEKGQKLIIVVLAVREPPPSPPKTPYPPQTPSPPIVPPKTPSPTYAPSPNNHPPHVIPSPPQTPSPTYTPSPGHQPFVPIPPTTPSPISQPPYTPTPPKTPSPTHQPPFVPVTPSPFSQAPYVPPPPKTPSPTSQPPYIPTPPETPSSSPISQPPYISRPPSTTPSPISQPPYTPPPSNTPSPTSQPPYPYPNSPIAQPPLSASPYPSTIPPPSYPPSTFAPATTPSTASPPSPSPPTATSPRGSLPAATSPSPSSSSGSSSNETTPSRPNGASSISESRFGLYSITILVGAALSTILG >Vigun05g192000.3.v1.2 pep primary_assembly:ASM411807v1:5:37297777:37299966:1 gene:Vigun05g192000.v1.2 transcript:Vigun05g192000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIRVFCLFLFLSTLLSVSQAYTFYVGGKDGWVLYPSENYNHWAERMRFQVSDTLVFKYKKGSDSVLVVNKEDYEKCNKKNPIKKLEDGDSQFQFDRSGPFYFISGKDDYCEKGQKLIIVVLAVREPPPSPPKTPYPPQTPSPPIVPPKTPSPTYAPSPNNHPPHVIPSPPQTPSPTYTPSPGHQPFVPIPPTTPSPISQPPYTPTPPKTPSPTHQPPFVPVTPSPFSQAPYVPPPPKTPSPTSQPPYIPTPPETPSSSPISQPPYISRPPSTTPSPISQPPYTPPPSNTPSPTSQPPYPYPNSPIAQPPLSASPYPSTIPPPSYPPSTFAPATTPSTASPPSPSPPTATSPRGSLPAATSPSPSSSSGSSSNETTPSRPNGASSISESRFGLYSITILVGAALSTILG >Vigun11g212500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40738412:40741494:1 gene:Vigun11g212500.v1.2 transcript:Vigun11g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLSQLVAREANLLYGVEDRIQSLQHELQMMKELLSSTRSKKGMEHTVLNQIRDVSHLAEDLIDTFVAKVSIYKRRTILGRMLRGFHQARLLHDVAEKIDKIKTTLNEIRENKDKYDAFKETSNQSAAEEEEEEKRAQSVQKLRRNVEEEDVVGFVQDSKDVINRLLEGGSNRKVVSIIGMGGLGKTTLARKVYNSTQVKQHFMCHAWVYVSNECRLRELLLDLLKRLMPDFEQQCRGKKKGKKNTLDINSLSEEELKKHVWNCLERKRYLVVVDDLWKRQDWDEVQDAFPDNNRGSRILITSRLKEVALHAAHDVPHYLQFLNEEESWELFRRKVFRGEDYPSDLESLGKQMVQSCRGLPLSIIVLGGLLASKEKSHREWSKVVGHVNWYLTQDETQVKDIVLNLSYDNLPRKLKPCFLYLGIFLEDSEIPVRPLLQKWVAEGFIQDTGSRDPDDVAEDYLYELIDRSLVQVAKVETNGCVETCQVHDLLRDLCISESKEDKVFEVCTDNNILIPTKPRRLSIQSDMGHYISSSNNDHSCIRSLFFFGPLYDVHGREWKWLLDDFKLVRVLEFGPNNFLKIPSKLGNFIHLRYLRMDATYIRFVPDSILNLWNLQTIDFGPWVYKIPISFPIQMWKLKYLRHLSTLGPIRLRGSCSGSDEKMWNLQTISALLLNRQATSLIKKETFPNLKKIGLKVAHAYEGELPKLLQTLQQSSHLNEFEIHFEDRYERRNGCTPQELFQSLGHFSSITVLEIQNHSELLTSVVTFPPNVTELTLSCIMCITDEGMIGLENHTKLKILRLWGYAFRFGDSFDLNCTRGGFPQLEVFQMTYMRVGKWKLENGAMLKLQSLIINKCKMLDDLPKELWCLSGLKKVHVTNPSNQLGCMLRNLELNNGVQLVIDEPQIVIC >Vigun07g156200.1.v1.2 pep primary_assembly:ASM411807v1:7:26716032:26717141:1 gene:Vigun07g156200.v1.2 transcript:Vigun07g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKVKKTAAAAKKPFSHPTFAVMIAEAITSLKERTGSSQYAITKFIEGKHKELPPTYKKLVLIQLKKSVAAGKLVKVKNSFKLAPTKPAAAPAKAAVAAPKKPKSVTKTTTKAASTAAKKKPAAKPKSKTAVAAAKPKAKPAKKVVAKPAKKTPVKAVKKPKSVVKKPKSVKSPAKKAKK >Vigun11g075400.1.v1.2 pep primary_assembly:ASM411807v1:11:22012300:22012782:-1 gene:Vigun11g075400.v1.2 transcript:Vigun11g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKVALIAVVFALIACNGFVLCLEPQCKNDNDCKGKVPFCRVKAICVGTTCECSMSKQQKPAKCKTTADCPFYCIPPCEKRFCDVSTGSCKCLCNKH >Vigun01g063300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:15350446:15350550:-1 gene:Vigun01g063300.v1.2 transcript:Vigun01g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun11g140300.1.v1.2 pep primary_assembly:ASM411807v1:11:34955019:34955690:-1 gene:Vigun11g140300.v1.2 transcript:Vigun11g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEMKNIGVVVVIMIMLCFSEAKLTCPAQCGISCILANLAYLICFAICVAKCPRMSNEATQCMSHCGVNKSINIKIDGSGAVSEVIDSCLQKCPTN >Vigun05g291300.1.v1.2 pep primary_assembly:ASM411807v1:5:47752955:47756484:1 gene:Vigun05g291300.v1.2 transcript:Vigun05g291300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRYCFSWAFVIIFIHVNVLVTPYLTRAEGFDSVIQYPRSRWRRTRTRSKWVLYVGDYGAKGDGIHNDTEAFLGAWGIACSLAGFVNLVFPSGETFLIYPVDIGGPCRSKIILTISGTIVAPQDPEVWLGLNQRKWLYFHGVNHLTVDGGGSVNGMGEEWWARSCKINSTNPCHPGPTALTFHRCKDLKIRNLMLINSQQMHLSFTNCMRVVASHLKVLAPASSPNTDGIHISATKGVQVRDSVIRTGDDCISIVRNSSRVWIKNIYCGPGHGISIGSLGKSNKWESVQNVIVEGAYLYNTDNGLRIKTWQGGTGLASKITFQNILMENVSNPIIIDQYYCDSQHPCENQTSAVSVENISFIDIQGTSASEEAIKFACSDVSPCEDLYLENIFLVSCFGENTNSFCWQAHGSARGFLYPPTCFSTSDDFIRQNIFVESNHAIHSV >Vigun05g291300.3.v1.2 pep primary_assembly:ASM411807v1:5:47753694:47756484:1 gene:Vigun05g291300.v1.2 transcript:Vigun05g291300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLKTEVFRFKSCGQAFLGAWGIACSLAGFVNLVFPSGETFLIYPVDIGGPCRSKIILTISGTIVAPQDPEVWLGLNQRKWLYFHGVNHLTVDGGGSVNGMGEEWWARSCKINSTNPCHPGPTALTFHRCKDLKIRNLMLINSQQMHLSFTNCMRVVASHLKVLAPASSPNTDGIHISATKGVQVRDSVIRTGDDCISIVRNSSRVWIKNIYCGPGHGISIGSLGKSNKWESVQNVIVEGAYLYNTDNGLRIKTWQGGTGLASKITFQNILMENVSNPIIIDQYYCDSQHPCENQTSAVSVENISFIDIQGTSASEEAIKFACSDVSPCEDLYLENIFLVSCFGENTNSFCWQAHGSARGFLYPPTCFSTSDDFIRQNIFVESNHAIHSV >Vigun05g291300.2.v1.2 pep primary_assembly:ASM411807v1:5:47752955:47756484:1 gene:Vigun05g291300.v1.2 transcript:Vigun05g291300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLKTEVFRFKSCGQAFLGAWGIACSLAGFVNLVFPSGETFLIYPVDIGGPCRSKIILTISGTIVAPQDPEVWLGLNQRKWLYFHGVNHLTVDGGGSVNGMGEEWWARSCKINSTNPCHPGPTALTFHRCKDLKIRNLMLINSQQMHLSFTNCMRVVASHLKVLAPASSPNTDGIHISATKGVQVRDSVIRTGDDCISIVRNSSRVWIKNIYCGPGHGISIGSLGKSNKWESVQNVIVEGAYLYNTDNGLRIKTWQGGTGLASKITFQNILMENVSNPIIIDQYYCDSQHPCENQTSAVSVENISFIDIQGTSASEEAIKFACSDVSPCEDLYLENIFLVSCFGENTNSFCWQAHGSARGFLYPPTCFSTSDDFIRQNIFVESNHAIHSV >Vigun10g183800.1.v1.2 pep primary_assembly:ASM411807v1:10:40062960:40067418:1 gene:Vigun10g183800.v1.2 transcript:Vigun10g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISGPKQMDTNSKLIPPKGRTINSGHSRQSSVENTSIHVDKNGSSSVTSYKDNESVTSYKDDENIHIYGHLSDSESSTSYTSEDDESSTVTGLDSPVTKVWDGRSNRKQAVSHVHHPLENFDNHRAKKRNKSHSRYSGLSRAQSGNKRSWSGVHKMQTWQEVERTSFLSGDGQDILNASKSHVDSEESSDDGDIESLGRLYSGAAASSSAHSISKTEPSSFPVTPLKSSSGVDSFYKLRCEVLGANIVKSGSKTFAVYSISVTDINNNSWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFLSTGLDVPVIQERCELLDKYLKKLMQLPIVSESIEVWDFLSVDSQTYIFSNSFSIMETLSAGLDAKPFEKTKNTSHFSAPANDPVSFRRENCSAESKESVMKGKNNVEADELRSKVNSMPLSLPKKNTHQRIKSFDNSGGNTDILAQKSAPSPNNLQKTVKGRDSSDEVSEVHRDTSDAFPTEWVPPNLSVPILDLVDVILQVQDGGWIRRKAFWVAKQVLQLGMGDAFDDWLIEKIQLLRKGSVIASGVKRVEQILWPDGIFITKHPNRRPPPPPRSPPQNSPGGNQTTQVSSPRLEDEQKREADRRAKFVYELMIDHAPPAIVGLVGRKEYEQCAGDLYFFLQSSVCLKQLAYDILELLLTSAFPELDHIFKQLHDEKHKFGEFRTQ >Vigun10g183800.2.v1.2 pep primary_assembly:ASM411807v1:10:40062960:40067393:1 gene:Vigun10g183800.v1.2 transcript:Vigun10g183800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISGPKQMDTNSKLIPPKGRTINSGHSRQSSVENTSIHVDKNGSSSVTSYKDNESVTSYKDDENIHIYGHLSDSESSTSYTSEDDESSTVTGLDSPVTKVWDGRSNRKQAVSHVHHPLENFDNHRAKKRNKSHSRYSGLSRAQSGNKRSWSGVHKMQTWQEVERTSFLSGDGQDILNASKSHVDSEESSDDGDIESLGRLYSGAAASSSAHSISKTEPSSFPVTPLKSSSGVDSFYKLRCEVLGANIVKSGSKTFAVYSISVTDINNNSWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFLSTGLDVPVIQERCELLDKYLKKLMQLPIVSESIEVWDFLSVDSQTYIFSNSFSIMETLSAGLDAKPFEKTKNTSHFSAPANDPVSFRRENCSAESKESVMKGKNNVEADELRSKVNSMPLSLPKKNTHQRIKSFDNSGGNTDILAQKSAPSPNNLQKTVKGRDSSDEVSEVHRDTSDAFPTEWVPPNLSVPILDLVDVILQVQDGGWIRRKAFWVAKQVLQLGMGDAFDDWLIEKIQLLRKGSVIASGVKRVEQILWPDGIFITKHPNRRPPPPPRSPPQNSPGGNQTTQVSSPRLEDEQKREADRRAKFVYELMIATHPC >Vigun02g034600.1.v1.2 pep primary_assembly:ASM411807v1:2:14494520:14497083:-1 gene:Vigun02g034600.v1.2 transcript:Vigun02g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPCNIRFCKIIPGTSLAHGLLKLPGKFTAKCGDGMSNPVFLNLPDCTEWKIQWTKHDGEIWFQEGWKEFATRYSLDHGHMLFFEYVGISRFDVHICDKSAVEIDYRSHFTHDNPDNSVETLDEQFHDETDNIVQISDDSEQILDEQCIRKSGVKNTQSPSQPCKKMKNSITTDAGRSPNGVNLHQHDQSRSAGLQKQKFTKQKLEEEKGKSIFHGECPKVEQLTSSVLNRATSTSKSMHPSFKLVMRPSFINADYLVIPSEFSEQYLKKKTKAVVLEVMDGRSWPVILSGPRITAGWPNFASENNLKVDDVCVFELITKIQSLAFRVSIIPSAGKPSTPILHDHSKSRKVHPATTSRGGSSSVRTLVGKREEAREFSSENPFFMSTLSWQGNTTRCPRVPRNFARKYFLGMNHDSIMMQFRNILWPVTIVLTKSDLSGTLSAGWPTFSRANKLRAGDVCVFELVNRDVMTLDVHVFRDHSEVMH >Vigun05g105800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10713873:10715804:1 gene:Vigun05g105800.v1.2 transcript:Vigun05g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSLEDFWAFYVNQHSKPSTRRWHFVGTLFSIFFLLCSFFFSWWFLFFVPFSGYGCAWYSHFFVEGNVPATFGHPFWSLLCDFKMFGLMLTGKMDREIKRLGKRPVLQVF >Vigun09g099300.1.v1.2 pep primary_assembly:ASM411807v1:9:15936091:15938980:-1 gene:Vigun09g099300.v1.2 transcript:Vigun09g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLMLLKSSFTPIFLVQTAKSKPQKLLHHAFTKTFFTYSTPPVRHNACLNLSTPPPASSAYIHLPFCRKRCHYCDFPVVALGSASTQTHHDPRVSNYIHWLCREITATKVDQPASTTPLQTVYFGGGTPSLVPPAMVSSVLETLRVKFGLCEDAEISMEMDPGTFDAEKMREMVALGVNRVSLGVQAFQEELLRSCGRAHGVQEVHEAISVVKSCGVENWSIDLIASLPHQSWEMWEESLRLTIESQPSHVSVYDLQIEQGTKFGRLYSPGEFPMPSETQSAEFYKMASRMLSDANYNHYEISSYCKSGYECKHNFIYWKNEPFYAFGLGSASFVGGLRFSRPRKVNDYISFVQNLENGLVDSSGDGNIHVKDTAMDVVMLSLRTAQGLDLKSFQESFGSSVVLSLLEAYKPYVDSGLVVCLDEHRRTIIVDDLNSSFLYKTNTEKRVAYIRLSDPEGFLLSNELIALAFGVIDSWKDCPQYQEAKAT >Vigun03g007700.1.v1.2 pep primary_assembly:ASM411807v1:3:518308:519108:-1 gene:Vigun03g007700.v1.2 transcript:Vigun03g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDVHIFVVINICVSMDHFLYIWVLKTKMGMHSVFYYILILLALIASFSSTQVIAEFEIKRKLGPLPVPPPPPKFARGPASGCTGCIENGN >Vigun03g199700.1.v1.2 pep primary_assembly:ASM411807v1:3:28850392:28852443:1 gene:Vigun03g199700.v1.2 transcript:Vigun03g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSNGRVGSGRDALENEVPLPPLPDHTTNLQWGEVKPLSGKPYFYSILANSNLYPRYYMWPARNFRLELPSCEVPTILTYMGKSWDMVYHGKRKLPVFSPAGWKKFAVENCLRVEDACIFELMESSDKRVIFEVQILRCDIPSEILENEMMMGQSREMPIVLY >Vigun03g199700.2.v1.2 pep primary_assembly:ASM411807v1:3:28850392:28852443:1 gene:Vigun03g199700.v1.2 transcript:Vigun03g199700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSNGRGSGRDALENEVPLPPLPDHTTNLQWGEVKPLSGKPYFYSILANSNLYPRYYMWPARNFRLELPSCEVPTILTYMGKSWDMVYHGKRKLPVFSPAGWKKFAVENCLRVEDACIFELMESSDKRVIFEVQILRCDIPSEILENEMMMGQSREMPIVLY >Vigun03g007200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:490007:492292:-1 gene:Vigun03g007200.v1.2 transcript:Vigun03g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSKLLRLRRIHRLLPSTFSSLRRCHSDSPSPSRKDHELTVTQVVEHLQNPPNHWDYDTLRPFLFESSHYLLLITLRLNSIPKALDFINYLRGRTEHHQALSCVFEGALELASQHPNSQKELLMLHSYRKSNGDNIALTSRSAFLLLKCLGEAQMVDDSLLLFKELNSSSKSSGVCNELLKGLFKSGRIDDALHVLDEMLERDSDFPPDDFTGEVVFGVLGKQEQHGRSFADKEIVGLVTKLCEHGVFPDAFKLTQMITKMCRHRKNGVAWEVLHAVMRLGGAVAVKAASCNALLAGLGRERDTQRMNKLMAEMEEMGIKPSVVTFGILVNHLCKARRIDEALEVFDKLRGKGEGNNRFDVEPDVVLFNTLIDGLCKVGREEHGLSLLEKMKTTKQSRPNAITYNCLIDGFCKAGNIGKARMLYSQMIEEGVQPSVVTLNTLVNGLCKHGKVHKAVEFFSEMKGKGLQGNVVTYTALISAFCGVNNIDKAMQYFDEMLTSGCSPDAIVYYSLINGLSIAGRMDDASVVVSRLKRAGFGLDVACYNVLINGFCKKKKLERVYEMLNEMEETGVKPDIVTYNTLVSYLGKIGDFATASKVMKRMRKEGLQPSVVTYGAIIHAYCLKENVDEAMKIFEEMCSKSKVPPNTVIYSILIDALCKNSNVERAVSLMDDMKIKGVRPNTTTYNAIFKGVRDKKMLHKAFELMDRMIEDACSPDYITMEILTEWFNAVGEIEKLKCFVEGYPVSSSSASPPTSNCML >Vigun07g187100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30411355:30413012:1 gene:Vigun07g187100.v1.2 transcript:Vigun07g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKGKFLKKLKSIKPIGYLKQDRILQLKASDGYVDFLPKIPSFNLHAPFVFRENKPDKTVRSSEEVKMQEEPEVIDVAELMKDLEEEEEMDLEDYSDNKENIGPCPVKPQQHNKGVLQSGNRAKTESKQRGVLEEKKSSPAIVDSNSNRKTKTKTPLLDSDIPSFRRPDLNSGSLFDPNLLAAFEQAVKEHARMAEEQRKLRVEEESSQKVEDDDLDADPNPLMLFEEKCPPGGDGTVIFYTTTLRGIRKTFEDCNKVRFLLQSFKVLYFERDISMHKEFRDELWCSLDGKLVPPRLFVKGRYIGGAEEVLSLHEQGKLKKILEGVPMDYSNGPCEACGGIRFVLCFKCNGSHKVMEENGENNQCSQCNENGLILCPHCC >Vigun08g008300.1.v1.2 pep primary_assembly:ASM411807v1:8:707038:720237:-1 gene:Vigun08g008300.v1.2 transcript:Vigun08g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVIVDAIEEEAVVIDGIQIPVDASKENPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFECMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEARLREEFEKEGRKLSPKAESQTFDSNVITPGTEFMAVLSIALQYYVHLRLNNDPGWQNIKVILSDANVPGEGEHKIMSYIRLQRNLNGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQDKCFLCGQMGHLAANCEGKEKRKAGEFDEKGEAVVAKKPYQFLNIWTLREYLEYEMRIPNPPFEFDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFRELGGYLTNGSTINLSRVEHFIQAVGSYEDKIFQKRARLHQRQVERIKREKAQARRGDDTEPQFQPESLVAVSRFHGSRLASAPTPSPFQQSGHYNSPVSSSVKKYHKETSERPHKVARLSSGATLAAAIVEAESSVEIEEKDNKDELKTKLKEILREKSDVFNSKDAEEDKIKLGEPGWKERYYEEKFHAKTPEELEAIRKDVVLKYTEGLCWVMHYYYEGVCSWNWFYPYHYAPFASDLKDLGELDISFNLGTPFKPFDQLLGVFPAASSHALPEPYRRLMTEPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEGRLLAEVQKIENFLTPEEKRRNAIMYDLLFVNSCHPLSACISTLDNKCKNMSNSERALVKEKINPIESGGMNGYLSLCGGEPCPPVFRSPVASMEDIMDNQVICAIYRLPDAHKHITRPPQGVKFPNKSVTIGDLKPEPVLWHEDSGRRHHTENGRRNHPPGSSGRELGDAAHRLVVNSLQMKVDSNGYRHPHNGPPISYPAPMGHRPPVSSYGYESHPGYVAMPPVPAPSLHGRPHLAPYNAQQYGYNQQYLPPPVPYNPHQPSNSYERNDYQHPGSHHYERNQHQGSGGRYNQHQSSGYNQNARFINNTQGAYGSHHHDVSHHHQVIHHNPNFQPSRTHQSWTPRNNQSGNREYGHHSSNQFSLLDRRGNRNPMPPPGYDRQ >Vigun06g069600.3.v1.2 pep primary_assembly:ASM411807v1:6:19919391:19923970:-1 gene:Vigun06g069600.v1.2 transcript:Vigun06g069600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSETANRLRSDPHSFQPGSVYSEPWWRGIGYNPLAQTMAGANASNSSSLECPNGDSESNEEGQSLSNSGMNEEDDDATKDSQPAAPNEPGNYGQEQQGTQHTASSAPSMREECLTQTPQLELVGHSIACATNPYQDPYYGGMMAAYGHQQLGYAPFIGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKSRKPYLHESRHQHAMRRARGTGGRFAKKSDAEGSNNSGKEKDNDARAAKVQNRFDAAGYRNGSGSYNHHGLQSSVYHSSSGERVEEGDCSGQQLNHN >Vigun06g069600.2.v1.2 pep primary_assembly:ASM411807v1:6:19919379:19923966:-1 gene:Vigun06g069600.v1.2 transcript:Vigun06g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSETANRLRSDPHSFQPGSVYSEPWWRGIGYNPLAQTMAGANASNSSSLECPNGDSESNEEGQSLSNSGMNEEDDDATKDSQPAAPNEPGNYGQEQQGTQHTASSAPSMREECLTQTPQLELVGHSIACATNPYQDPYYGGMMAAYGHQQLGYAPFIGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKSRKPYLHESRHQHAMRRARGTGGRFAKKSDAEGSNNSGKEKDNDARAAKVQNRFDAAGYRNGSGSYNHHGLQSSVYHSSSGERVEEGDCSVL >Vigun06g069600.1.v1.2 pep primary_assembly:ASM411807v1:6:19919393:19923751:-1 gene:Vigun06g069600.v1.2 transcript:Vigun06g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSETANRLRSDPHSFQPGSVYSEPWWRGIGYNPLAQTMAGANASNSSSLECPNGDSESNEEGQSLSNSGMNEEDDDATKDSQPAAPNEPGNYGQEQQGTQHTASSAPSMREECLTQTPQLELVGHSIACATNPYQDPYYGGMMAAYGHQQLGYAPFIGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKSRKPYLHESRHQHAMRRARGTGGRFAKKSDAEGSNNSGKEKDNGTDSVLSSQSISSSGSEPLHSDSAETWNSPNMQQDARAAKVQNRFDAAGYRNGSGSYNHHGLQSSVYHSSSGERVEEGDCSGQQLNHN >Vigun03g131900.1.v1.2 pep primary_assembly:ASM411807v1:3:12866897:12867774:-1 gene:Vigun03g131900.v1.2 transcript:Vigun03g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVELKVEMVCIHEKRLRKCLSKLKGIEKVEVDTNCQKVVVTGYTHKNKILKAIRRGGLKADFWSAQNELLNAYVSANYTNMRFNPFSFF >Vigun08g081300.3.v1.2 pep primary_assembly:ASM411807v1:8:17035367:17039107:-1 gene:Vigun08g081300.v1.2 transcript:Vigun08g081300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHPTLKLRVTYINYQSQIIYLEDPENCLPQKFLNLNNFTIPPYEFDSSDGRLTYYLNFFDCSSLGLPHLRNRDQISTSSQDMIRCPTYVSNSYESVLELDLTSCSKMSNIFSQVSASVLRVNQLNLKWSKPNCGECEAKGKRCQWKNNSTTEIECVSSPKRRIHISKQSIFIATGSIVLGLVVIAVFKMVHHFRKKEEDQARVDKFLEDYRADKPARFTYADVKRITGGFKEKLGEGAHGAVFRGKLSNEILVAVKILNNTEGDGNDFINEVGIMGKIHHINVVRLLGFCAEGLHRALVYNLFPNGSLQSFIFPPEDNDHFLGWEKLQHIALGIAKGIEYLHQGCDRPIIHFDINPHNVLLDENFTPKISDFGLAKLCSKNPSLVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDISSAQNFNVLYPDWIHNLVDGDIHIHVEDEGEVNIAKKLAIVGLWCIQWQPVNRPSIKSVIQMLESAEENQLTVPPNPFHSTSSTSTGRLISTRRPMELDVIQE >Vigun08g081300.2.v1.2 pep primary_assembly:ASM411807v1:8:17035367:17039107:-1 gene:Vigun08g081300.v1.2 transcript:Vigun08g081300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCPTYVSNSYESVLELDLTSCSKMSNIFSQVSASVLRVNQLNLKWSKPNCGECEAKGKRCQWKNNSTTEIECVSSPKRRIHISKQSIFIATGSIVLGLVVIAVFKMVHHFRKKEEDQARVDKFLEDYRADKPARFTYADVKRITGGFKEKLGEGAHGAVFRGKLSNEILVAVKILNNTEGDGNDFINEVGIMGKIHHINVVRLLGFCAEGLHRALVYNLFPNGSLQSFIFPPEDNDHFLGWEKLQHIALGIAKGIEYLHQGCDRPIIHFDINPHNVLLDENFTPKISDFGLAKLCSKNPSLVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDISSAQNFNVLYPDWIHNLVDGDIHIHVEDEGEVNIAKKLAIVGLWCIQWQPVNRPSIKSVIQMLESAEENQLTVPPNPFHSTSSTSTGRLISTRRPMELDVIQE >Vigun08g081300.1.v1.2 pep primary_assembly:ASM411807v1:8:17035367:17039107:-1 gene:Vigun08g081300.v1.2 transcript:Vigun08g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSVLPLQGDSLLITLLLMFLLIVSSSSQNCGFQQPPIRFPFQLVHGMKNACNSPGLCVYCSENNETMLVHPTLKLRVTYINYQSQIIYLEDPENCLPQKFLNLNNFTIPPYEFDSSDGRLTYYLNFFDCSSLGLPHLRNRDQISTSSQDMIRCPTYVSNSYESVLELDLTSCSKMSNIFSQVSASVLRVNQLNLKWSKPNCGECEAKGKRCQWKNNSTTEIECVSSPKRRIHISKQSIFIATGSIVLGLVVIAVFKMVHHFRKKEEDQARVDKFLEDYRADKPARFTYADVKRITGGFKEKLGEGAHGAVFRGKLSNEILVAVKILNNTEGDGNDFINEVGIMGKIHHINVVRLLGFCAEGLHRALVYNLFPNGSLQSFIFPPEDNDHFLGWEKLQHIALGIAKGIEYLHQGCDRPIIHFDINPHNVLLDENFTPKISDFGLAKLCSKNPSLVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDISSAQNFNVLYPDWIHNLVDGDIHIHVEDEGEVNIAKKLAIVGLWCIQWQPVNRPSIKSVIQMLESAEENQLTVPPNPFHSTSSTSTGRLISTRRPMELDVIQE >Vigun08g222400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38033233:38033754:-1 gene:Vigun08g222400.v1.2 transcript:Vigun08g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPIPNDQWLHFYQQAQFSGGQELLPSSVSEATAVTTTVAPAQLSPEGRVSKPIRRRYRASRRTPTTLLNTDTTNFRAMVQRFTGAPSSPDLFGPTRPLPVNPNGLMLAPSHSLQQQQQQQLYQHYPTAYAEGGENGLFQRLSNATASTAANDGGGVLMEHARRFLPNRNEG >Vigun03g085800.2.v1.2 pep primary_assembly:ASM411807v1:3:7113891:7115927:-1 gene:Vigun03g085800.v1.2 transcript:Vigun03g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLTPKLAQPLFEGDGGGYYTWSSSEMPILARTNVGAGRLVLHPRGFALPHYADSSKMSYVIQGSDGVVGMVLPDSEEEVVVKLKQGDVLPVPIGSVSWWFNNGDSDLTIVFLGETSKALIPGQFTYFLLTGALGVIGGFSTELTSKVYNLDRDEVQKLTKTQAGVLIVKLDKNQSLPKPQIHITKKLVYNIDAACAENVVENAGLVKTLTEKEFPFVGEVGLSVIGVKLEPGAVKAPSYSTTAIQLIYIARGSGKIEMVDFNGKPALDTQVKAGDLFVVPQFFLVAKIAGEEGMESYSITTTTKPLFEELAGKGSIWRKLSSSMQEVALNVDSEFEKLFISKIKKSSDLIPPSY >Vigun03g085800.1.v1.2 pep primary_assembly:ASM411807v1:3:7113891:7115927:-1 gene:Vigun03g085800.v1.2 transcript:Vigun03g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLTPKLAQPLFEGDGGGYYTWSSSEMPILARTNVGAGRLVLHPRGFALPHYADSSKMSYVIQGSDGVVGMVLPDSEEEVVVKLKQGDVLPVPIGSVSWWFNNGDSDLTIVFLGETSKALIPGQFTYFLLTGALGVIGGFSTELTSKVYNLDRDEVQKLTKTQAGVLIVKLDKNQSLPKPQIHITKKLVYNIDAACAENVVENAGLVKTLTEKEFPFVGEVGLSVIGVKLEPGAVKAPSYSTTAIQLIYIARGSGKIEMVDFNGKPALDTQVKAGDLFVVPQFFLVAKIAGEEGMESYSITTTTKPLFEELAGKGSIWRKLSSSMQEVALNVDSEFEKLFISKIKKSSDLIPPSY >Vigun11g030033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3903737:3903985:1 gene:Vigun11g030033.v1.2 transcript:Vigun11g030033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIGSSPGTSFRVSDTEIFLYTGIENVSDASADHGNGGVSSSSSEHIPYDPNAVENRASTTSKRPSSVNYAAARLKTHTHR >Vigun03g043900.1.v1.2 pep primary_assembly:ASM411807v1:3:3529065:3533303:-1 gene:Vigun03g043900.v1.2 transcript:Vigun03g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPIEKKILKPKETPSALSRVPLPLMALSFLRVSHLLSPTPTPATATTATTATTTTSTVVKFQHFRRPSNFALFFRSSILCPLVRAIGPDGRFYPSPGDDDPPEAAEDSSHGFSTFQQIQNQAERARQIEEEDYKNNQATYLAAIADVEDAPDNVDFDTSGDDLFGDIDKAIALKRKEFVSQGLLEPNPPKQDQLAAVDELQAEELGDLEEIERLQGLTGNGNGNGSLSESPFELDFDSYGKSKVRIVDGKFKMSLAELLDESKVVPVSVSGDLEVEITGIQHDSRIVNSGDLFVCCVGRKTDGHLFLSEADKRGAVAVVASKEVDIEDTLGCKALVIVEDTNAVLPALAASFYKHPSTKMAVIGITGTYGKTTATCLIKSLYESMGLRTGMLNSVASFVHGDNKLELGSAALDAVLVQNLMAKMIHNGTEAVVMEAGSHGLGEGKYDEVDFDIAVFTNLSQDKEGDRDAQAKLFSRMVDPERHRKVVNIDDPNAPFFVSQGSQEVPVVTFAMENKDADVHPLKFELSLFETQVLVNTPTGILEISSGLLGKHNIYNILAAVAVGIAVGAPLEDIVKGIEEVDAVPGRCELIDEEQAFGVIVDHASTPDSLSRLLDSVRELGPRRIITVIGCCGEGDRGKRPAMTKIATDKSEVTMLTSDNPKNEDPLDILDDMLSGVGWSMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEEGDVVVVTGKGHEAYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >Vigun04g120400.1.v1.2 pep primary_assembly:ASM411807v1:4:30911229:30914417:-1 gene:Vigun04g120400.v1.2 transcript:Vigun04g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKNYVAHCLVLPYPAQGHINPMLEFSKRLIQRGVKITLVTFVSNWKVVSRKNFTSIDVESISDGYDEGGLAAAESLEVYVETLNRVGAQTLAELLRKLAGSTHPPDCVIYDGFMTWPLDVAKSFGLLAATFFTQSCTTNTIYLHTYKKLLELPLTQTEYLLPGLPKFAAEDLPSFLNRYGTYPGYFDTVVNQFLNIDKADWVLSNTFYELEQGVVDWLVKIWPLKTIGPTLPSMYLDKRLQDDKNYGIEMYVPKSEVCMKWLDDKPKGSVVYVSFGSFAGPNEEQIEELACSLRDSGSYFMWVIRDSEQGRLPKGFLDTAEKGLIVNWCPQLQVLTHEALGCFITHCGWNSTLEALSLGVPVIAMPLWTDQITNAKLIRDEWKIGVKAVADEKDIVRKETITHCIKEILETEKGKEIKKNCIKWKNLAKSSVDEGGNSDKNIAEFVDELVQRRAALN >Vigun08g038200.1.v1.2 pep primary_assembly:ASM411807v1:8:3694676:3695444:-1 gene:Vigun08g038200.v1.2 transcript:Vigun08g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGVKKHLTPFLPSHPVISTGGIPAPENPQPLGTIYVAPWGSTLILPISYTYIAMMGSQGLTDASKIAILNANYMAK >VigunL041600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:401202:402533:-1 gene:VigunL041600.v1.2 transcript:VigunL041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFFERIFFYEKIKHLVEVSTKNCSYTFFFFKDTFIHYVRYQGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVRIYLKKLSSEKLLQEFFTEEDLFSLIFPRTSFTLRRFYRGRIWYLDILLRNDFVNYL >Vigun06g005166.1.v1.2 pep primary_assembly:ASM411807v1:6:2715622:2715993:-1 gene:Vigun06g005166.v1.2 transcript:Vigun06g005166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRMEKHTALKSSLLLQQRHKPFSKETSHFGLSLQSYLTV >Vigun04g165500.2.v1.2 pep primary_assembly:ASM411807v1:4:39013727:39017082:1 gene:Vigun04g165500.v1.2 transcript:Vigun04g165500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYRTVFRNLASRVTSQTSIFQPSSRICQSEGASFKGFSSFCSISQRLGARAVYGVNKNLRNPFLFGAKRFYYVDPYKVQHFRPRGPRRWFQNPRHVFIVVVVGSGVLVTVYFGNLETVPYTKRTHLILLSKSMERKLGESEFENMKAGFKGKILPPIHPESVRVKMIAKDIIGALQRGLRKEQVWSDLGYASEHSTLSEEHGRETLNALAAESGDKIEGNWNKEDEILDDKWIQQSRKKGQEKGSEAATSHLDGFNWEILVVNEPVVNAFCLPGGKIVVFTGLLEHFRSDTEIATILGHEIGHAVARHSAEGITKNLWFAILQLILYQFMTPDIVNTMSSLFLHLPFSRRMEMEADYIGLLLIASAGYDPRVAPKVYEKLGKISGGDSALRNYLSTHPSGKKRAELLAQAKIMEEAVSIYRDAIAGRGVQGFL >Vigun04g165500.1.v1.2 pep primary_assembly:ASM411807v1:4:39013711:39017100:1 gene:Vigun04g165500.v1.2 transcript:Vigun04g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYRTVFRNLASRVTSQTSIFQPSSRICQSEGASFKGFSSFCSISQRLGARAVYGVNKNLRNPFLFGAKRFYYVDPYKVQHFRPRGPRRWFQNPRHVFIVVVVGSGVLVTVYFGNLETVPYTKRTHLILLSKSMERKLGESEFENMKAGFKGKILPPIHPESVRVKMIAKDIIGALQRGLRKEQVWSDLGYASEHSTLSEEHGRETLNALAAESGDKIEGNWNKEDEILDDKWIQQSRKKGQEKGSEAATSHLDGFNWEILVVNEPVVNAFCLPGGKIVVFTGLLEHFRSDTEIATILGHEIGHAVARHSAEGITKNLWFAILQLILYQFMTPDIVNTMSSLFLHLPFSRRMEMEADYIGLLLIASAGYDPRVAPKVYEKLGKISGGDSALRNYLSTHPSGKKRAELLAQAKIMEEAVSIYRDAIAGRGVQGFL >Vigun04g165500.3.v1.2 pep primary_assembly:ASM411807v1:4:39013727:39015426:1 gene:Vigun04g165500.v1.2 transcript:Vigun04g165500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYRTVFRNLASRVTSQTSIFQPSSRICQSEGASFKGFSSFCSISQRLGARAVYGVNKNLRNPFLFGAKRFYYVDPYKVQHFRPRGPRRWFQNPRHVFIVVVVGSGVLVTVYFGNLETVPYTKRTHLILLSKSMERKLGESEFENMKAGFKGKILPPIHPESVRVKMIAKDIIGALQRGLRKEQVWSDLGYASEHSTLSEEHGRETLNALAAESGDKIEGNWNKEDEILDDKWIQQSRKKGQEKGSEAATSHLDGFNWEILVVNEPVVNAFCLPGGKIVVFTGLLEHFRSDTEIATILGHEIGHAVARHSAEGITKNLWFAILQLILYQFMTPDIVNTMSSLFLHLPFSRRYALFTISLSNQLIFSVTFNNIRFI >Vigun11g015000.1.v1.2 pep primary_assembly:ASM411807v1:11:1852445:1856016:-1 gene:Vigun11g015000.v1.2 transcript:Vigun11g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSSFWCSAQSVIARVAIIIVLFQQTHGAQDHPFCAPSSCGKIRNITYPFRLKGDSPGCGLARYELDCVKNVTVFTLFSGKYHVQNIDYETYKIQLTDAGVVEDTVCSIPRYFLFSKSFTYIGGPDNYATDPLTFWNGDGVSSFPPYVAFLNCSDPVTDDTRYVEVNGTLCDSGGHVYAVLYSNHGEFKTMDIKVGCRLMVATFANWNNGNNVSVSYVDIHEWLHNGFWLSWALVKCIDQCGKGVGCFINQTTHRIGCYQNYCHVMQFDFGKGKCGIQHRIFGYVVGIPIYTARFLEFAIMGLIYRITRLHVFSKWQVDGDLILVAPIPIFVVARFLFGVALLLGLFIQMWRRRHCSMYENIETFLLQNNLNPIRYGYREIKKMSRDFKVKLGEGGFGSVYKGKLQNGPEIAIKMLKKSKADGEEFINEVASIGRIHHVNVVHLIGYCAEGENRALVYEYMRNGSLDKYIFSKDESIPLSYEKTYEISLGIARGIAYLHQGCDVQILHFDIKPHNILLDDNFVPKVSDFGLAKLYRVKDTSIALTKARGTLGYMAPELFYNNIGGVSNKADVYSFGMLLMEMVSRRRNSNPHAEHSSKQYFPFWVYDQIKDEKDTQIEDASEEDKILVKKMYVVALWCIQLKPNDRPSIKRVVDMLEERAESLEMPPKPVYYPHETIGHDGGENSNQTSSSGSTSSSKSLGATNTNHPWQSNA >Vigun11g015000.3.v1.2 pep primary_assembly:ASM411807v1:11:1852445:1856016:-1 gene:Vigun11g015000.v1.2 transcript:Vigun11g015000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSSFWCSAQSVIARVAIIIVLFQQTHGAQDHPFCAPSSCGKIRNITYPFRLKGDSPGCGLARYELDCVKNVTVFTLFSGKYHVQNIDYETYKIQLTDAGVVEDTVCSIPRYFLFSKSFTYIGGPDNYATDPLTFWNGDGVSSFPPYVAFLNCSDPVTDDTRYVEVNGTLCDSGGHVYAVLYSNHGEFKTMDIKVGCRLMVATFANWNNGNNVSVSYVDIHEWLHNGFWLSWALVKCIDQCGKGVGCFINQTTHRIGCYQNYCHVMQFDFGKGKCGIQHRIFGYVVGIPIYTARFLEFAIMVDGDLILVAPIPIFVVARFLFGVALLLGLFIQMWRRRHCSMYENIETFLLQNNLNPIRYGYREIKKMSRDFKVKLGEGGFGSVYKGKLQNGPEIAIKMLKKSKADGEEFINEVASIGRIHHVNVVHLIGYCAEGENRALVYEYMRNGSLDKYIFSKDESIPLSYEKTYEISLGIARGIAYLHQGCDVQILHFDIKPHNILLDDNFVPKVSDFGLAKLYRVKDTSIALTKARGTLGYMAPELFYNNIGGVSNKADVYSFGMLLMEMVSRRRNSNPHAEHSSKQYFPFWVYDQIKDEKDTQIEDASEEDKILVKKMYVVALWCIQLKPNDRPSIKRVVDMLEERAESLEMPPKPVYYPHETIGHDGGENSNQTSSSGSTSSSKSLGATNTNHPWQSNA >Vigun11g015000.4.v1.2 pep primary_assembly:ASM411807v1:11:1852445:1856016:-1 gene:Vigun11g015000.v1.2 transcript:Vigun11g015000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVGCRLMVATFANWNNGNNVSVSYVDIHEWLHNGFWLSWALVKCIDQCGKGVGCFINQTTHRIGCYQNYCHVMQFDFGKGKCGIQHRIFGYVVGIPIYTARFLEFAIMVDGDLILVAPIPIFVVARFLFGVALLLGLFIQMWRRRHCSMYENIETFLLQNNLNPIRYGYREIKKMSRDFKVKLGEGGFGSVYKGKLQNGPEIAIKMLKKSKADGEEFINEVASIGRIHHVNVVHLIGYCAEGENRALVYEYMRNGSLDKYIFSKDESIPLSYEKTYEISLGIARGIAYLHQGCDVQILHFDIKPHNILLDDNFVPKVSDFGLAKLYRVKDTSIALTKARGTLGYMAPELFYNNIGGVSNKADVYSFGMLLMEMVSRRRNSNPHAEHSSKQYFPFWVYDQIKDEKDTQIEDASEEDKILVKKMYVVALWCIQLKPNDRPSIKRVVDMLEERAESLEMPPKPVYYPHETIGHDGGENSNQTSSSGSTSSSKSLGATNTNHPWQSNA >Vigun11g015000.2.v1.2 pep primary_assembly:ASM411807v1:11:1852445:1856016:-1 gene:Vigun11g015000.v1.2 transcript:Vigun11g015000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVGCRLMVATFANWNNGNNVSVSYVDIHEWLHNGFWLSWALVKCIDQCGKGVGCFINQTTHRIGCYQNYCHVMQFDFGKGKCGIQHRIFGYVVGIPIYTARFLEFAIMGLIYRITRLHVFSKWQVDGDLILVAPIPIFVVARFLFGVALLLGLFIQMWRRRHCSMYENIETFLLQNNLNPIRYGYREIKKMSRDFKVKLGEGGFGSVYKGKLQNGPEIAIKMLKKSKADGEEFINEVASIGRIHHVNVVHLIGYCAEGENRALVYEYMRNGSLDKYIFSKDESIPLSYEKTYEISLGIARGIAYLHQGCDVQILHFDIKPHNILLDDNFVPKVSDFGLAKLYRVKDTSIALTKARGTLGYMAPELFYNNIGGVSNKADVYSFGMLLMEMVSRRRNSNPHAEHSSKQYFPFWVYDQIKDEKDTQIEDASEEDKILVKKMYVVALWCIQLKPNDRPSIKRVVDMLEERAESLEMPPKPVYYPHETIGHDGGENSNQTSSSGSTSSSKSLGATNTNHPWQSNA >Vigun08g183400.1.v1.2 pep primary_assembly:ASM411807v1:8:35301822:35303856:-1 gene:Vigun08g183400.v1.2 transcript:Vigun08g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLERCEDSMVFTMESQKSVPAPFLTKTYQLVDDPRTDHIVSWGDDETTFVVRRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFKKVAADRWEFANEYFRKGSKHLLCEIHRRKAPHPYQQHYHMHDQPPQLLQPDENLCWIDTPPLPSPKPGNDILTALSEDNQRLRRKNFMLLSELTHMKNLYNDIIYFIQNHVSPASYEQRSSSAILKLVELDSSPHQSPSFIRPVKSHIMEKSLVSSSSDEPNSSVKLFGVPLCGKKRLHPGNLDQQE >Vigun03g078100.1.v1.2 pep primary_assembly:ASM411807v1:3:6445961:6452042:-1 gene:Vigun03g078100.v1.2 transcript:Vigun03g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEGEMEGDVDMSAADDDAAVKELDEMKRRLKEMEEEAAALREMQAKVEKEIGSVQDPANAAASQANKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEALLLNESELHGRQLKVLPKRTNVPGMKQHRPRRFNPYMAYGFRRPYTPPYFYSPYGYGKVPRFRRPNRYMPYY >Vigun06g144500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27017300:27020774:1 gene:Vigun06g144500.v1.2 transcript:Vigun06g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEAHDQQYSKKLQAFAHSQKHKLKPIMLLILTNLATIYIFTGPFSFLYHSYTSPGDSNSILQELNSTKAQLAATHSLLSELHHRLNSSNLLVQALLIDLTRQQEKQSNSADQNQVSRKLWNDELSFALGPHKLPFGYSPMIGSDEIHTPVAAFCMQLHEELNRYMKYEIGGECPMDYELAQRLMLKGCEPLPRRRCHPKSPANYVEPTPLPESLWSTPPDTSIVWEAYACKSYQCLVDRKNKPGSYNCKSCFDLQGEERSRWIFDDGGLGFGIDQVLATKAAGTIRIGLDIGGGTGTFAARMRERNVIIITSTLDLDGPFNNVIASRGLVPMHISISQRFPFSDNTLDIVHSMDVLSNWIPDTILEFVLFDIYRVLRPGGLFWLDHFFCFASQLNKTYVPMLDRIGFNRLRWHVGTKLHGGAHKNVLYISSLMEKPMT >Vigun09g106450.1.v1.2 pep primary_assembly:ASM411807v1:9:20145990:20148449:1 gene:Vigun09g106450.v1.2 transcript:Vigun09g106450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAFISRGPSESKNYLGKLTIRVFVACFVAAFGGLIFVYDLGIFGGVTSMDPFLKKFFPEVYAKENNIKPYDNQYCKFDSQTLTLFTSSLYLAALVASVLASTITRLTRRRAIICTNQSVPIYVSEVAIYKYRGALNMMFQLEITIGIFVANVLNYIFAKMENGEGWKYSLGCAIVHAVMIMFGAIILPNSPSSLIEHGLDEKAKKELIKIRGTTDIDQEFEDLMTASESFKVVKHPWVSWLKRQYRPQLAFAIAIPFFQQLTSMNVIMFYAPILFKTIDFGATASLMSAMIIGACNAIATLASIFTVDKFGRCTLFLEGGAQMLICQILITMAIGFKFGFDGNPKVLPKWYAIMVVCGICIYVTGFAWSWGPLGWLFMSEIFSLDVRSTAQSVNVSVNMIFTFVIAKIFTTMLCHMKFGLFVFFACFVFMMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTLNASPESVLEPNNEQIKYRFLKTNIYP >Vigun11g046701.1.v1.2 pep primary_assembly:ASM411807v1:11:7219762:7220247:-1 gene:Vigun11g046701.v1.2 transcript:Vigun11g046701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSISFTAKILRYHQRQENMSTSSVNLVDVSKAGGGKLVETQTSLHGIQVKSQ >Vigun10g089300.2.v1.2 pep primary_assembly:ASM411807v1:10:25723423:25751107:1 gene:Vigun10g089300.v1.2 transcript:Vigun10g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGPFRKAVEGVINFIWKHGVRHVTYIVHYKQNVLELNDSVRNLVSEKERIKHQSDEAEKNLNKIEGKVTEWVRKVGEIETIVKEFENDNGHKRARHKLGRKAKKMEAGVKKLIDESPKLDEVSYRQNVTSNDATLSNFDFEEFGSTKSTMEKVMRQLEDSTVRMIGLYGPGGVGKSTLVKEIARKAKEKKLFDVVVKVEITANPNLQNIQEEIAYVLGLRLEEEGENVRADCLRRRLKAEKRNILLILDDLWDKLDLNKLGIPVDLNRKKMKKEKFLGSHKGCKILLTSRNKRVLCDEMDIKSTFCVQKLDDKDALMLFQKLVGIKNEMSDSKQEIVKKYCAGLPLAIVTVARALRSKSESVWETTLEKLKKQELVGVQTSMDISVKMSYDHLENEELNILEGISSLWEARDRINTSIQKLKDSGLVLDGSSNNHFNMHDMVRDSTLSIAKKHRNVFTLRNGKLDDWPELESCASISICNCDITDGLPEVINCSQLKFFQIDTNDASLIIPETFFAGMKNLKVLVLTGFRLLRLPCSIKCLLKLRMLCLERCTLDDNLSIGELKKLRILSFSGSQLKSLPIELGCLHKLQLLDISDCSIMEMNIPHDLLSRLTHLEELYIRKSLIKMFGETNQGQNLFLSELRNLHQLKVVDLSIPHVSLLPNHLFFDRLKDYKIVVGNLEMFGGFRMSDKYETFRVLALQLNDDTNIHSQEDIKLLFKTVQSLLLGNMDGVQNFVGELNIDGFPDLKHLSIINNNHIKYVNSTELSNCVDVLPNLESLCLYNLGSLEMISYGPITDVSFAKLKAIKVEMCYRLENLYSFYRVKSPTSAKTCDIYEYNSFMDTFCANVEIIEVCECGSLKEILQIPVDYGKVEFLKLHTLTLQSLPSFTCFYTKVEDSCWPHLREAQSTNRCDREITNEGDGESDKTFPIFGELVEIPNLESLNISSLNIRKIWSNQPSSSFCFQNLIKLVVKGCHKLTYLCSLSMASSLKKLKTLVISECSIMENIFEAKGSSANKVCVFPKLEEIHLSKMNKLTNIWQTKMSVDSFSSLISVNIEECNELDKIFPRHMEGWFENLDNLKVSKCQLVEVIFEINDSQTMDTFGGIDTDLQVILLEELPKLKQLWSIDPNGILNFKKLRTIEVGDCNELRNLFPTSIGKDVPKLERMSVLHCWKIEEIVASQDASEANKDPLVFPELTYIRLYDLPNIKYFYKWGHAIKCPKLKELNVKLKMFLKETCKTTDEEEEFVFSSKEVFPNLEYMELDFEEAQELLPKYQMHRLKELSLISVKVDLLSQFPYRIPNLEKLKLIFSNIEVLVPKENFAQQKRLGITLELKELVLLHSTIKDLGLGRVPILRKLKLLSLESCSDLSNLGPPSVSLTYLTYLEVKFCRELSSLMASSTAKSMVQLKTMKVIYCPKVEQIVSNEGSKEDEVIKIVFNKLISIELVGLKNMRSFCSYKDCEFEFPSLEILIVRECPKMEKFSERRSITPKLKDVFGVEGEEKAKWQWEGDLNATIQKIFNDKESISNLSERDIQELCLGSWPIPNSSFGLLESLIVDGCKFLSDVFLPFSLLPFLTNLRKLDVANCEFVKTIFDITYATQEKDVTSTISTLLFSLENLTLSKLPNLENVWNKDPRSILSMRYLKEVHVKKCKDLTSVFPASIAKDLVELENLMVEDCEGLMTIIAEDNTDLSGTHQQLLCLPIRSLVLRALPKLKYFYYCSLPGDNFAPLESHNDNQVCTQKCLSLGEKGMEMVLRGEFQKKLLNNIKFLTLYLQKDVFRYEILKELPNIEKLVVREGSLQEMFCCESLNNVDYNGLLLQLKVLDLESIKKLISIGSNLVACKVSFFGLTYLKVQSCDNLLYLFTSSTTKSLGQLKRMEIKCCDSIEETVFKEGEESNEDEIIFPKLNYLNFERLENLSWFCSGSLSLPSLEELSVTDCHRLISLCTGTLEADKLSQVTMNCEETIPLKTDLNSIMMKTFLTEKFDYDHRNSKGSRRTSDERMKKSYPKLQKLWHGPVHIPDLCFTMLSSLIVDECQFLSDAVLPFHLLPLLPKLETLKVRKCNHVKAIFDVKCAQDKVTFPLKNLVLWRLPNLENVWNQDSYGINVSMHHLQEVYVTECDGLKSLFPTSVAKDLEELEDLRVYDCKKLMTIVAEDNTDPSLEVTIPCPYPCVRSLNLRNLPNFKYFYYCSLKSDIYTHPESHTEDQFGIEKCMSLGKKGAEMILQRKLQKNLLDSLKVLTLCFQLDVFGYEILEQVPHIEKLVVCNGSFKEIFCCQSSKNVDHSGVLLQLKVLHLESLVDSVSTGLENSWTHPFARNLETIEVIRCSSLKSLVACRVCFSNLTCLKVQSCNSLSYLFTSSTAKSLLLLKRMEIKQCESIEELVFKEREESDEDKIVFSQLSCLNLEYLENLRMFYAGSLSFPSLKELSIIKCDQMPSLCAGTIEADNLSEVIIDISQAIPLETDLNFTMRKKFLITVRNQANELISSAP >Vigun03g100050.1.v1.2 pep primary_assembly:ASM411807v1:3:8554364:8555090:1 gene:Vigun03g100050.v1.2 transcript:Vigun03g100050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVKDSINILAYPATHMSHDDDPNPHGIGLFRGIITVSFAFPARENAKYDNAQTTWQVSFQLMCRKNVRKVFGIGSEVRYQSYVYNCKCNQNLFNARSPKEP >Vigun11g039200.1.v1.2 pep primary_assembly:ASM411807v1:11:5486256:5489693:1 gene:Vigun11g039200.v1.2 transcript:Vigun11g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILHKLLLAFMHLWLCWSSSTHVHAADDSLKPGETLKYSSPSLYSKSRKYFLEFFSVSEALTYLAIRSEDEIVWEGNREQPVNQSDVVLSLNFSGFLKIESGSLKKPIILYSPPQPINNTVATLLDTGNFVLQHLHPNGTNILLWQSFDYPTETLVPTMKLGVNHKTGHRWLLISQITIELATPGAFSLEWEPTEQELIIRRRAKVCWKSGKLRNNRFENIPGDAQVVLIYTIVSNEEEDSFSFTSTNENLTRWWSLSDTGMLSYNNKKRYVARADLCYGYDTEAGCQRWQDIPKCRSPGDVFTKKTLRLNYQKQTYDDNQNISHSDCEAACWSDCNCNAFAEYFDDDTGCTFYIWNSSKDIIVEDSVYGQEVYILENKGNITLLHHGTKRWIWKSTIIATTLLITCASVLFLAIKKRKQVLQEKRRKEMAMKSSLLDDFRNELKKGHGLKVFDYTLVMAATNGFSSENKLGQGGFGPVYKGTLPAGEEVAIKRLSRSSAQGIVEFKNELTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCTRSKLLDWNKRFNIIQRIAQGLLYLHKYSRLKVIHRDLKASNVLLDENMNPKISDFGMARICSQEDSISNTNRVVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIVSGRKNTSFYDDDHPINLIGHVWELWKDGKCFESVDPSLEESFDYDEVQRCIHVGLICVEHYANDRPTMSEVISMLTNKSEKVSSPKRPAFYVQREMFNKNLSSTELCTTSTMETTTSSEIG >Vigun02g129800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28124355:28126051:1 gene:Vigun02g129800.v1.2 transcript:Vigun02g129800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVELKKQAQKDREGDLDIEAGKLNPREDPNLSQFFQEVEAIKVEMEEITNLLFDLQQLNEEAKCTHSAKVLRGLRDRMESDMVAVLRKARIIKTKLEVLDKSNIVNHGLSEYYKEGSPIYRTRMSVTNGLRVKLRVMMNDFQSLRDQILSDHKEDLKRRYYTATGEVPTEEVMEKMVSGSLKVEFFAGKTDAELDTQVRHEAVMDIQRSLNKLHQVFLDMAVLVETQGESVDNIEENVANAGNFIHGGTNSLYYASQMKKKNRKWVCWVFAVGLIILLVCIVAMLSS >Vigun04g035400.2.v1.2 pep primary_assembly:ASM411807v1:4:2945018:2948185:-1 gene:Vigun04g035400.v1.2 transcript:Vigun04g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKYMFDDLRKKDSELYKRNGILTMLKRNASVKLAPQRWQENAVDGVFDVVLTFEEKVFDMVIEDLHNRDQVLLKAVLVINLEVKDNHDEAAVGAKHTLDLCQEIEKAESWEESIDEIITGFENKHRRKLLYSVSFY >Vigun04g035400.1.v1.2 pep primary_assembly:ASM411807v1:4:2944845:2948185:-1 gene:Vigun04g035400.v1.2 transcript:Vigun04g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKYMFDDLRKKDSELYKRNGILTMLKRNASVKLAPQRWQENAVDGVFDVVLTFEEKVFDMVIEDLHNRDQVLLKAVLVINLEVKDNHDEAAVGAKHTLDLCQEIEKAESWEESIDEIITGFENKHRRKLLYSVSFY >Vigun04g023700.4.v1.2 pep primary_assembly:ASM411807v1:4:1802832:1805453:-1 gene:Vigun04g023700.v1.2 transcript:Vigun04g023700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPERSKPLHNFSLPCLKWGTQRLLRCVNADLDRRSSRFDAPQNGVVRVPNKRKMNDGDAVFLGGLREEASGVDNHAMMPWNLRTRRAACKAPQNEEERRFFDMGCSMPPVKEKKKMVVTGNERVKFSVSLSKEEVEQDFWALVGTRPPRRPKKRPRIVQRQLNTLFPGLWLAEVSAESYKVADVPE >Vigun04g023700.5.v1.2 pep primary_assembly:ASM411807v1:4:1803125:1805453:-1 gene:Vigun04g023700.v1.2 transcript:Vigun04g023700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPERSKPLHNFSLPCLKWGTQRLLRCVNADLDRRSSRFDAPQNGVVRVPNKRKMNDGDAVFLGGLREEASGVDNHAMMPWNLRTRRAACKAPQNEEERRFFDMGCSMPPVKEKKKMVVTGNERVKFSVSLSKEEVEQDFWALVGTRPPRRPKKRPRIVQRQLNTLFPGLWLAEVSAESYKVADVPE >Vigun04g023700.3.v1.2 pep primary_assembly:ASM411807v1:4:1802819:1805568:-1 gene:Vigun04g023700.v1.2 transcript:Vigun04g023700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPERSKPLHNFSLPCLKWGTQRLLRCVNADLDRRSSRFDAPQNGVVRVPNKRKMNDGDAVFLGGLREEASGVDNHAMMPWNLRTRRAACKAPQNEEERRFFDMGCSMPPVKEKKKMVVTGNERVKFSVSLSKEEVEQDFWALVGTRPPRRPKKRPRIVQRQLNTLFPGLWLAEVSAESYKVADVPE >Vigun04g023700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1803125:1805569:-1 gene:Vigun04g023700.v1.2 transcript:Vigun04g023700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPERSKPLHNFSLPCLKWGTQRLLRCVNADLDRRSSRFDAPQNGVVRVPNKRKMNDGDAVFLGGLREEASGVDNHAMMPWNLRTRRAACKAPQNEEERRFFDMGCSMPPVKEKKKMVVTGNERVKFSVSLSKEEVEQDFWALVGTRPPRRPKKRPRIVQRQLNVS >Vigun04g023700.2.v1.2 pep primary_assembly:ASM411807v1:4:1803125:1805453:-1 gene:Vigun04g023700.v1.2 transcript:Vigun04g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPERSKPLHNFSLPCLKWGTQRLLRCVNADLDRRSSRFDAPQNGVVRVPNKRKMNDGDAVFLGGLREEASGVDNHAMMPWNLRTRRAACKAPQNEEERRFFDMGCSMPPVKEKKKMVVTGNERVKFSVSLSKEEVEQDFWALVGTRPPRRPKKRPRIVQRQLNTLFPGLWLAEGLKNEGLNQVLILNG >Vigun02g105900.1.v1.2 pep primary_assembly:ASM411807v1:2:26005897:26009931:1 gene:Vigun02g105900.v1.2 transcript:Vigun02g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFSHFLLSLHVYLFSLFALNSVVPFTPNIIAYALPNNSDHFSLLKFKEAISTDPFGILLSWNTSIHFCHWYGITCNSKLQRVTELNLEGHQLKGFLSPHIGNLSYMTNFSIMNNNFYGEIPQEVGRLSQLQKLYLGNNSFVGEIPTNLTGCAHLTILYLFGNNLIGKIPIQIGSLQKLQSLNVGKNRLTGGISPFIGNVSSLIYLSVIINNLEGDIPLQICSLKSLAFVYVSLNNLAGPFPYCLYNMSCLTEIDASINQFNGSLPPSMFHTLPNLRTFTIGGNEVSGPIPVSITNASMLSRLQISRNYFTGQVPSLGKLQYLSFLSLEKNNLGNNSINDLEFLKSLTNCSKLQILGLSANNFGGHLPNSMGNLSTQLTQLYLGGNRISGEIPASLGNLIGLNLLMIEQNSISGIIPTTFGKFQKMQKLTLGSNYLSGQIGAFIGNLSQLFFLGLEKNMLQGNIPPNIGNCQKLQELDLYQNNLTGTIPIEIFNLSSLTNFLDLTENSLSGSIPAEVGNLKHVDFLSLSNNYLSGHIPSTIGECIMLEYLYLEGNSLQGIIPSSLASLKSLRVLDMSQNHLSGSIPNSLQNIHFLEYFNVSFNMLDGEIPTEGVFRNASALGVAGNSKLCGGISELHLPPCPVKAKKLEKHHMFRLYAIIVSAVVFLLILSVMLTICRMRKGNKKPSLDSPRIDQLAKVSYQSLHNGTNGFSTTNLIGSGNFSTVYRGTLDLEDKVVAIKVLNLQRKGAHKSFIVECNALKNVRHRNLVKILTCCSSTDYKGQDFKALVFEYMRNGSLEQWLHPRTLNTEHSRTLSLDQRLNIMIDIASALHYLHHEYEQSIIHCDLKPSNVLLDDDMVAHVGDFGIARLLNINSTTSKQATSTGIKGTVGYAPPEYGVGSEVSTHGDMYSFGILMLEMFTGRRPTDETFEGGQNLHTFVKNSFPNNVLQILDPSLVAKPEQATIEEENTQNLTTTIEKHFISVIRIGLACSLESPNERMNIVDVTRELNKIKRLFLSGVNT >Vigun11g190900.2.v1.2 pep primary_assembly:ASM411807v1:11:39032598:39038070:1 gene:Vigun11g190900.v1.2 transcript:Vigun11g190900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPGKQAPSNGSVYVCNLPYGTDENMLAEYFGTIGLVKKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAAIAAVEWFNNKDFHGNIIGVFIAESKNKDDQTYNSAAVEPVVAGNVVGLEETTKDVNGGSGRGRGQNDPSGKAWQQDGDWLCPNTSCSNVNFAFRGACNRCGTARPAGAAGISGAGGRGKGRAAGQEPGGVGRPVGGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETRRRRREAEDDGELYDEFGNLKKKFRAKTQQAEAARGLPGSGRAGWEVEELGIDKDGRESRDRGRERNDGESRSRERSDKERQSSWNRDRDRDRGRDRDRDWDYVDRDRDYGRDRDRSRHRY >Vigun11g190900.1.v1.2 pep primary_assembly:ASM411807v1:11:39032799:39038016:1 gene:Vigun11g190900.v1.2 transcript:Vigun11g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPGKQAPSNGSVYVCNLPYGTDENMLAEYFGTIGLVKKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAAIAAVEWFNNKDFHGNIIGVFIAESKNKDDQTYNSAAVEPVVAGNVVGLEETTKDVNGGSGRGRGQNDPSGKAWQQDGDWLCPNTSCSNVNFAFRGACNRCGTARPAGAAGISGAGGRGKGRAAGQEPGGVGRPVGGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETRRRRREAEDDGELYDEFGNLKKKFRAKTQQAEAARGLPGSGRAGWEVEELGIDKDGRESRDRGRERNDGESRSRERSDKERQSSWNRDRDRDRGRDRDRDWDYVDRDRDYGRDRDRSRHRY >Vigun02g036400.1.v1.2 pep primary_assembly:ASM411807v1:2:15038026:15041667:-1 gene:Vigun02g036400.v1.2 transcript:Vigun02g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAICGRILSPNHVFKPGEAPSFCKGPYSNICVPVAVLVTGAGKGAGLLEKPVIEKVTPGRESEFDLKKSRKTAPPYRVILHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICAQSDAEDHCMQLRGNGLLSSIEPADGGC >VigunL075600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:26757:27209:-1 gene:VigunL075600.v1.2 transcript:VigunL075600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCFGSGVITSDRAKIGRTKERAMPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSL >Vigun11g017850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2236145:2236528:1 gene:Vigun11g017850.v1.2 transcript:Vigun11g017850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHDFLQNQNCPKSGSIWRVPLSCVDLRRHVLHPTRPLAHCLQSPNAALFRCQLPTSPLASGGGRHSCARREHVPRPYGGLHVLRGAEAGQPVEQGDELLQGETLPQGGRLATLLDVARNCRGMRL >Vigun06g107200.1.v1.2 pep primary_assembly:ASM411807v1:6:23660784:23663263:1 gene:Vigun06g107200.v1.2 transcript:Vigun06g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTLAGTSLSMRSLTPQTQAFTSLSGRRSGLISSFGRKNVSFNLQPRQIRLQISCAAKPETVEKVCDIVKKQLAVSEGQTVTAESTFQKLGADSLDTVEIVMALEEAFGIAIEEESAQTITTVQEAADLIEDIIGKKSS >Vigun11g188300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38821876:38824548:-1 gene:Vigun11g188300.v1.2 transcript:Vigun11g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVLKLTLPQLSFSVLFFFIFFSSVCSDQPSKTFIFRVDSHSKPSVFTTHYHWYTSEFAQQDTILHVYDTVFHGFSAFLTPQQVASISTHPSVLAVFEDRRRHLHTTRSPQFLGLRNQRGLWSESDYGSDVIIGVFDTGVWPEHRSFSDLNLGPIPRRWKGACETGARFSPKNCNRKLIGARFFSKGHEAGAASGPLNPINDTVEFRSPRDADGHGTHTASTAAGRYAFQANMSGYASGIAKGVAPKARLAVYKVCWKNAGCFDSDILAAFDNAVADGVDVISISIGGGDGIASPYYLDPIAIGSYGAVSRGVFVSSSAGNDGPSGMSVTNLAPWLTTVGAGTIDRDFPAQVILGNGRKLSGVSLYSGAALSGKMYQLVYPGKSGILGDSLCMENSLDPNLVKGKIVVCDRGSSPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGANEGDAIKKYISTSANPTATLDFKGTILGIKPAPVIASFSARGPNGLNPQLLKPDLIAPGVNIIAAWTDAVGPTGLDSDTRRTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSALMTTATVLDNRNQVMTDEATGNSSTPYDFGAGHLNLGRALDPGLVYDITNNDYVNFLCGIGYGPKVIQVITRTPATCPVRKPSPENLNYPSFVAMFPVSSKGVASKTFIRTVTNVGSANAVYRVSVEAPASGVTVTVKPSRLVFSEAVKKRSYVVTVAGDTRNLKMGQSGAVFGSLTWTDGKHVVRSPIVVTQIEPL >Vigun05g111800.1.v1.2 pep primary_assembly:ASM411807v1:5:11705600:11706708:-1 gene:Vigun05g111800.v1.2 transcript:Vigun05g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQEEVTLLGATGSPFVSRVEIALHLKGVEYKYVEENLSIKSEQLLKYNPVHKKVPVFVHGDKSLAESLVIVEYIDETWNNNPILPSDPYKRALARFWSKFIDDKIVGVSWKSVFTVDEKEREKNVAETYEGLQFLENEIKEKKFFGGEELGLVDIAAVYVAYWIPLVQEIAGLELLTTEKFPNLYRWTQEFVNHPIVKESLPPRDPVFAFFKGRYEALLASK >Vigun04g163600.1.v1.2 pep primary_assembly:ASM411807v1:4:38737148:38745823:-1 gene:Vigun04g163600.v1.2 transcript:Vigun04g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVVLERIENKINRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSSRGKLFQYSSTDLNKIVERYRQCRYSKLQTGDSELESQSSYHEFLKLRAKYESLERTQRHFQGEELEPLSFKELQSLEKQLDITLALTRQQQTKKLMARADELREKVRKLEDLNKQLESKEKDEFSSLMLDNNNFFQLHATQADQFESGTTINTWFQHQEVGSKERAIEMRTEGNQSTHTKSNGWL >Vigun07g150800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26112665:26115782:-1 gene:Vigun07g150800.v1.2 transcript:Vigun07g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVRARQMAEVKMQSGEFVEALRLATKAKRLYADVENITQILTICEVHNAANKKVSATDMDWYAILQIERLADEAIIKKQYRRLALLLHPDKNKYAGAEAAFKLIGQANGVLSDQTKRSLYDSRFGISVRGAAQKSTAKRGAEKCQKRSESQHNSHGNVFTAKRDETATNYQKNSYPNPADSNNQAGPMTFWTTCPHCSFKFEYCIQYAYANLLCMQCLKSFKAQVFIFGAPPPQPKFTSVNLQKEAPNPGPPKPDSESTDQKPFDKERTARSVRSDTTSMKKCAAGVGAHCEKSKDGSVPASKVMEPQSSKNVGSKRVRQSAPDSGKSSKDSNSGKVRDANVRENDLDHSSAGARRSSRKKQRVLYTETSEDDDHEILSESPRQNEPLEADDVENKTEPASNGSSNSDNPATSTAGVADQNTENESESEEYNCSSPSSNIPSSPKILSCFDAEFNDFEMDKEEGRFAAKQIWAVYDNSDAMPRFYAIVKKVLSPFELQITWLEADPDDEEELDWQDADLPIACGKFRLGSSQKTTDRTMFSHQVRCIKQTGKRSYLAYPRKGETWAIFRDWNIKWSSNPNKHVVPHDLEYVEILSDFSENVGIAVAYLDKVKGFVSLFQETLATKVVKFYVRPNELYRFSHQVPSYKMSGNEREGVPRGSFEFDPAALPSNLFEDGDSGNLKMNS >Vigun07g204200.1.v1.2 pep primary_assembly:ASM411807v1:7:32582055:32584736:-1 gene:Vigun07g204200.v1.2 transcript:Vigun07g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDNINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKK >Vigun11g210832.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40603959:40604822:1 gene:Vigun11g210832.v1.2 transcript:Vigun11g210832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGSCSESTEKMWNLQSIPALLLNRQAISLIKKGTFPNLKRIGLKVASRNKGEFPILLQSLLQLSNLNNLEIVLRDRHDKGIEDSVEESVERNNGCKPQELLQSLEQFSSLTVLSIDNCLDLLTCAVTLPPNVTELTLSEIRCINDDGMNGLGNHTKLKILRLCGDNVPYENSFDLNCVEGNFPHLEVFEMEFLAVGKWKLGNGAMSRLNSLLIYRCGRLDDLPSELWCLSELKKVQVTEPSEQLTHILRNLELNNGIQLVMEDDLDRIYSYENLFWRNLLGRTL >Vigun02g075300.9.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAEVTTVMVVSLFLTVGLNTLVKANLSKGMSNYVFVAYSHLFGFSLLLIATTFHYRNRSPTPLNNFILFRIFLVGFLSVSIQTLSYAGLGHSSPTLTSAMEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYS >Vigun02g075300.7.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.4.v1.2 pep primary_assembly:ASM411807v1:2:22691417:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.5.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.2.v1.2 pep primary_assembly:ASM411807v1:2:22691395:22696939:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.8.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.6.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun02g075300.1.v1.2 pep primary_assembly:ASM411807v1:2:22691427:22696901:-1 gene:Vigun02g075300.v1.2 transcript:Vigun02g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAEVTTVMVVSLFLTVGLNTLVKANLSKGMSNYVFVAYSHLFGFSLLLIATTFHYRNRSPTPLNNFILFRIFLVGFLSVSIQTLSYAGLGHSSPTLTSAMEDLLPAFTFIIAVVFRMEKLDLNLRSCQAKTMGTVVSIAGALIITLYKGFAVTSSVMPNNLFLPSQQSQWLLGGFFLATSTFCGSLSLVIQTWTIKDYPEELMLITIATSFSVILSFVVAFVAEENPKAWILKPDSELVCILYSAILVLSTRSVVYAWACRKKGPVYVAMFSPLGIVIALAMGIVFLGDTLYLGSVIGAATIAVGFYAVIWGQAQEENICEKHGTCSIISSSSSCSETTLLLNKSKDTMVA >Vigun08g202600.1.v1.2 pep primary_assembly:ASM411807v1:8:36664662:36669772:1 gene:Vigun08g202600.v1.2 transcript:Vigun08g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHKVLSRVSTTVSRTSFLSASKTSQHFSNHLHSLIHQSPNKLIPVQVNLSLLRINSSSTPRCGFFSSASSETNDKEKGKNINIEDSTNDNPDKANEKANNFEQAGQAKSADKTEESSSISDSQSQTVKGRRRGFKRTAFSDSDSDSDSDSDSESEYLSKDDLIKLVAEKEELLKLKHKEIEQMQDKVLRTYADMENTMERTRREADNSKKFAIQNFAKSLLDVADNLERASSVVKESFSKIDTPSDSAEAVKLLKTLLQGVEMTEKQLSEVLKKFGVEKFDPTNEPFDPHRHNAVFQIPDGSKPPGTVAAVLKAGYMLYDRVIRPAEVGVTQAVKDDDNKDS >Vigun02g170800.1.v1.2 pep primary_assembly:ASM411807v1:2:31389927:31394335:1 gene:Vigun02g170800.v1.2 transcript:Vigun02g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRAIHLSHALLCTVFCCLVTASAVTEKEILLEFKGNITDDPRASLSSWVSSGNPCNDYKGVSCNSEGFVERIVLWNTSLGGVLSSSLSGLKRLRILTLFGNRLSGGIPEGYGDLHSLWKINLSSNALSGSIPEFIGDLPSIRFLDLSKNGFTGEIPSALFRYCYKTKFVSLSHNNLAGSIPASLVNCFNLEGFDFSFNNLSGVVPSGLCGIPRLSYVSLRNNALSGSVQELVSSCQSLEHLDFGSNRFTDLAPFSVLGMQNLTYLNLSYNGFGGHIPEISACSGRLQILDASGNFLDGEIPSGITKCKSLKLLALELNRLEGNIPVDIQELRGLIVIKLGNNSIGGMIPKGFGSVELLELLDLHNLNLAGQIPEDISNCKFLLGLDLSGNKLQGEIPQTLYNLTNLESLNLHHNQLDGSIPPSLGNLLRIQYLDLSHNSLSGPIPTSLGNLNNLTHFDLSFNNLSGFIPEIANIQRFGASAFSNNPFLCGRPLDTPCLVNGATSPSSPGKAKVLSTSAIVAIVAAAVILTGVCLVTIMNMAARGRKKEDDQIMIVESTPLGSTESNLIIGKLVLFSKSLPSKYEDWEAGTKALLDKESLIGGGSIGTVYRTDFEGGISIAVKKLETMGRIRNQEEFEHEIGRLGNLQHPNLVSFQGYYWSSSMHLILSEFVPNGNLYDNLHGLGYAGTSTSRGNRELYWSRRFQIAVGTARALAYLHHDCRPPILHLNIKSSNILLDDKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQGLRQSEKCDVYSFGVILLELVTGRKPVESPTTNEVVVLCEYVRGSLETSSASDCFDRNLLGFTENELIQVMRLGLICTSEDPLRRPSMAEVVQVLESIRNGLDSH >Vigun04g043000.5.v1.2 pep primary_assembly:ASM411807v1:4:3726393:3732249:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHGNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun04g043000.2.v1.2 pep primary_assembly:ASM411807v1:4:3726214:3732284:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLTYDVFLSFRGEDALHGFTGNLYKALCDRGIHTFIDDDDLESGEEISPALLKVIEESRIAIVVLSQNYASSCFCLDELAVILNCKNKGLHVIPVFYKVDPSDVRHQKGSYGEALTKHQIRFKDMEKVQKWKMALRQVANLSGYHFEDGVGYEYKFIKRIAEDVKHKIIRDSFTHVSDYPIGLDSQVLEVRKVLEFGTEDGVHMIGIHGMGGIGKSTLARAVYNDLIGENFDGLCFLENVRENTNKHGLKHLQSILLSQILGDKDIYFTSMQQGISTIKCRLKMKKVLLILDDVDKPEQLEAVAGGCDWFGPGSRIIITTRNKQLLENHRVTMTYEVKELNNNDALQLLKWKAFRNVKVDPEYELVLNSLLTYASGLPLALEVIGGSLVGKSIEGWKTTVKQYEMIPKMEILSILKVNFDALEEEEKSVFLDISRFLNVYAPTEVEDILNACYGRSMKHHIGMLVEKSLLKYDYFDNRLTMHDLVRDMCISIAWEELRKEPGKNSGLWLHKDVIHVSNNTRIKGLNASSFKRMKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHGNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun04g043000.4.v1.2 pep primary_assembly:ASM411807v1:4:3727021:3732248:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIHGMGGIGKSTLARAVYNDLIGENFDGLCFLENVRENTNKHGLKHLQSILLSQILGDKDIYFTSMQQGISTIKCRLKMKKVLLILDDVDKPEQLEAVAGGCDWFGPGSRIIITTRNKQLLENHRVTMTYEVKELNNNDALQLLKWKAFRNVKVDPEYELVLNSLLTYASGLPLALEVIGGSLVGKSIEGWKTTVKQYEMIPKMEILSILKVNFDALEEEEKSVFLDISRFLNVYAPTEVEDILNACYGRSMKHHIGMLVEKSLLKYDYFDNRLTMHDLVRDMCISIAWEELRKEPGKNSGLWLHKDVIHVSNNTRIKGLNASSFKRMKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHGNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun04g043000.6.v1.2 pep primary_assembly:ASM411807v1:4:3726393:3732249:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHGNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun04g043000.3.v1.2 pep primary_assembly:ASM411807v1:4:3726381:3732284:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLTYDVFLSFRGEDALHGFTGNLYKALCDRGIHTFIDDDDLESGEEISPALLKVIEESRIAIVVLSQNYASSCFCLDELAVILNCKNKGLHVIPVFYKVDPSDVRHQKGSYGEALTKHQIRFKDMEKVQKWKMALRQVANLSGYHFEDGVGYEYKFIKRIAEDVKHKIIRDSFTHVSDYPIGLDSQVLEVRKVLEFGTEDGVHMIGIHGMGGIGKSTLARAVYNDLIGENFDGLCFLENVRENTNKHGLKHLQSILLSQILGDKDIYFTSMQQGISTIKCRLKMKKVLLILDDVDKPEQLEAVAGGCDWFGPGSRIIITTRNKQLLENHRVTMTYEVKELNNNDALQLLKWKAFRNVKVDPEYELVLNSLLTYASGLPLALEVIGGSLVGKSIEGWKTTVKQYEMIPKMEILSILKVNFDALEEEEKSVFLDISRFLNVYAPTEVEDILNACYGRSMKHHIGMLVEKSLLKYDYFDNRLTMHDLVRDMCISIAWEELRKEPGKNSGLWLHKDVIHVSNNTRIKGLNASSFKRMKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun04g043000.1.v1.2 pep primary_assembly:ASM411807v1:4:3726381:3732248:1 gene:Vigun04g043000.v1.2 transcript:Vigun04g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLTYDVFLSFRGEDALHGFTGNLYKALCDRGIHTFIDDDDLESGEEISPALLKVIEESRIAIVVLSQNYASSCFCLDELAVILNCKNKGLHVIPVFYKVDPSDVRHQKGSYGEALTKHQIRFKDMEKVQKWKMALRQVANLSGYHFEDGVGYEYKFIKRIAEDVKHKIIRDSFTHVSDYPIGLDSQVLEVRKVLEFGTEDGVHMIGIHGMGGIGKSTLARAVYNDLIGENFDGLCFLENVRENTNKHGLKHLQSILLSQILGDKDIYFTSMQQGISTIKCRLKMKKVLLILDDVDKPEQLEAVAGGCDWFGPGSRIIITTRNKQLLENHRVTMTYEVKELNNNDALQLLKWKAFRNVKVDPEYELVLNSLLTYASGLPLALEVIGGSLVGKSIEGWKTTVKQYEMIPKMEILSILKVNFDALEEEEKSVFLDISRFLNVYAPTEVEDILNACYGRSMKHHIGMLVEKSLLKYDYFDNRLTMHDLVRDMCISIAWEELRKEPGKNSGLWLHKDVIHVSNNTRIKGLNASSFKRMKTLQKLHKKFQFFNHFLRSSRALIWFRFSSNCLPSALDNLLKKFENLTVMSFDDCKILTHIPDVSDLPNLKELSFKECENLITVHDSVGFLTKLKILRVVGCSKLISFPPLNLNSLERLELSNCSSLENFQRFTTEEWESALNQYDSVGLESRSDAFSYDVFLSFRGLDTRYGFTGYLYKALHDSGIHTFIADENLQRGEEITPTTVKAIKESRIAITVLSVNYASSTCCFDELATILDCLKRKRLLVLPVFYYVDPTLVQLQKDSFREALTKHEKRLKHDMEKLLKWKMLLHQVAKLFFFHIDHGNGYEYEFIGKIVEWVSKKINPGHYPVGIESKVQEVMKLLDVGCDGGVHMIGIHGIGGVGKSTLAKEVYNDLISYKFDASCFIENVREKSNKHGLQYLQSIILLNLLGEKDMKLTSVQQGISVIQRRLQKKKVLLILDDVDKEEQLQAVVGRADWFGPGSRVIITTRDEQLLASHNVQTTYEVKGLNNDEALQLLKWKAFKKHYFDPSYEELLNHVVTFAAGIPLALEVIGSNLYGKSVEEWKPVIHKLKKCPNNPVETILKASFDSLEEKERSVFLDFACCFKGYELAEVEDILQAQYGQNMKCYIDILVDKSLVKLIHGTKPCYDRVTLHDLIEDMGKDIVQQESLLEPGQRSRLWLLEDVREVLENNRGTNKIEIICLDFPIFDQEEGVEWNGKAFQNMQNLRTLIIRNGSFSKGPEYLPDNLRVLEWWRYPSNSLPSDFHPKELAICKLPCSSISTIELTNLLKKSVNLRVFKFSNANV >Vigun09g037800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3379741:3380778:1 gene:Vigun09g037800.v1.2 transcript:Vigun09g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLSIIFLSLFSLSHASVVDFCVADFTAPNGPAGYSCKNPAHVTANDFAYSGLATAGNTSNIIKAAVTPAFDAQFPGVNGLGISAARLDLAPDGVIPLHTHPGASELLVVVEGQICAGFVDSGNNVYLKTLEKGDIMVFPQGLLHFQINSGNSQALAFVSFSSANPGLQILDFALFKSDFPTELITQTTFIDAAVVKKLKAVLGGSG >Vigun08g086132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:19064712:19071900:-1 gene:Vigun08g086132.v1.2 transcript:Vigun08g086132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVKMGQPTQTQPLIFLKESETLKGLFLFLSHAAPPLQSPQPSHHHATTPPSAGLPATPIVVDDKSPDSNYIRASTQGRCHRQQQSELESSLAATSLAQFLSSSRGANAGIKVAAHFCSIV >Vigun08g188400.5.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASGTQSQSPPMQALATHCQQQSFLEPIRNHISGSDVSPIQSLLGTFSQDGASQLLNLSGSNSVISSSILPKQMTVEPQLPSAAAQCVLPQVENLGTSQSNVSELAALPPFPGREHSAYHGAADPQSNLLFGINIDPSSLMLQNGMSNLRNMGNVNDALSLPFSASNCGGTTGTDFPLSSNMTTSSCVDESGFLQSSENVDQANTPTGTFVKVIANVFFVCRWYAFVYIFYMQPFFIIIYQN >Vigun08g188400.4.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSGFNQPGEEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASGTQSQSPPMQALATHCQQQSFLEPIRNHISGSDVSPIQSLLGTFSQDGASQLLNLSGSNSVISSSILPKQMTVEPQLPSAAAQCVLPQVENLGTSQSNVSELAALPPFPGREHSAYHGAADPQSNLLFGINIDPSSLMLQNGMSNLRNMGNVNDALSLPFSASNCGGTTGTDFPLSSNMTTSSCVDESGFLQSSENVDQANTPTGTFVKVIANVFFVCRWYAFVYIFYMQPFFIIIYQN >Vigun08g188400.3.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASGTQSQSPPMQALATHCQQQSFLEPIRNHISGSDVSPIQSLLGTFSQDGASQLLNLSGSNSVISSSILPKQMTVEPQLPSAAAQCVLPQVENLGTSQSNVSELAALPPFPGREHSAYHGAADPQSNLLFGINIDPSSLMLQNGMSNLRNMGNVNDALSLPFSASNCGGTTGTDFPLSSNMTTSSCVDESGFLQSSENVDQANTPTGTFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLGDPKTQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKGGSPSTSAPGHKLSTSGNSCDNYASQQELRSSRNGMASMGSFHY >Vigun08g188400.1.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSGFNQPGEEEGREKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASGTQSQSPPMQALATHCQQQSFLEPIRNHISGSDVSPIQSLLGTFSQDGASQLLNLSGSNSVISSSILPKQMTVEPQLPSAAAQCVLPQVENLGTSQSNVSELAALPPFPGREHSAYHGAADPQSNLLFGINIDPSSLMLQNGMSNLRNMGNVNDALSLPFSASNCGGTTGTDFPLSSNMTTSSCVDESGFLQSSENVDQANTPTGTFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLGDPKTQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKGGSPSTSAPGHKLSTSGNSCDNYASQQELRSSRNGMASMGSFHY >Vigun08g188400.6.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSGFNQPGEEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASESTWNVLPGWSIPVT >Vigun08g188400.2.v1.2 pep primary_assembly:ASM411807v1:8:35674142:35682658:1 gene:Vigun08g188400.v1.2 transcript:Vigun08g188400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSGFNQPGEEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQEQKEVYLMPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLRRPWPTGLPSLYGLKDGDMGIGSPFMWLQGGLGDQGMQSLNFQGLGVTPWMQPKLDSSMPGLQPELYQAMASSAFQEMRTMDPSKSSQSLLQFQQTANVPSAHTSEVQRQVLPQSQPQSTLLQNFQENQVPAQSQLLQQQLHRYHPYGDQRQQQQQLKNLPVQQQLPNVISPLSNFASGTQSQSPPMQALATHCQQQSFLEPIRNHISGSDVSPIQSLLGTFSQDGASQLLNLSGSNSVISSSILPKQMTVEPQLPSAAAQCVLPQVENLGTSQSNVSELAALPPFPGREHSAYHGAADPQSNLLFGINIDPSSLMLQNGMSNLRNMGNVNDALSLPFSASNCGGTTGTDFPLSSNMTTSSCVDESGFLQSSENVDQANTPTGTFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLGDPKTQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKGGSPSTSAPGHKLSTSGNSCDNYASQQELRSSRNGMASMGSFHY >Vigun11g020900.1.v1.2 pep primary_assembly:ASM411807v1:11:2591289:2594418:1 gene:Vigun11g020900.v1.2 transcript:Vigun11g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTQACFGFFLLLSWGTLVRKAQTQIFVGSNCQNTSQEPLSSAYQANLDKILAWMPSDAATSRGYNHTRIGINTPVYGQYDCRGDVAESFCHFCVSLAAREAPKRCPNRVSAVVWYEYCMLRYSNESFFGKILTHPTWHGFGPKNVSNMEEVRRGEGFVKSMISKATKETNQLYYLGGFNLSSTQKRYGMVHCGRDLSNEGCRQCLEFLLAQIHKCCEQKIGWFIWSGTCMIRYDDQMFYRLSNQTSSLSPSNDEKDKQRAYRRSKILIISSSIMGSVLILCLSVYCIWYRRRVRKDGFRLSSFHKIQTEDSWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGVLADGTQIAVKRLSKFSGQGSEEFNNEVLFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFDDEKRKQFDWKLRLRIINGIARGILYLHEDSRVTVIHRDLKASNVLLDHDMNPKISDFGLARAFEIGQKQANTKRVMGTYGYMAPEYVMQGVFSVKSDVFSFGVLVLEIIYGRKNSGLSEHGQTLLLYAWRTWSAGKCLEMIDPMLENSFIGSEVERCIHIGLLCVQEDAKDRPTMSHVVLMLASDTMVLPKPKHPAFSVGIIASEEVYTSKSSKDVSKNDLTVSISLPR >Vigun11g020900.4.v1.2 pep primary_assembly:ASM411807v1:11:2591289:2594418:1 gene:Vigun11g020900.v1.2 transcript:Vigun11g020900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTQACFGFFLLLSWGTLVRKAQTQIFVGSNCQNTSQEPLSSAYQANLDKILAWMPSDAATSRGYNHTRIGINTPVYGQYDCRGDVAESFCHFCVSLAAREAPKRCPNRVSAVVWYEYCMLRYSNESFFGKILTHPTWHGFGPKNVSNMEEVRRGEGFVKSMISKATKETNQLYYLGGFNLSSTQKRYGMVHCGRDLSNEGCRQCLEFLLAQIHKCCEQKIGWFIWSGTCMIRYDDQMFYRLSNQTSSLSPSNDEKDKQRAYRRSKILIISSSIMGSVLILCLSVYCIWYRRRVRKDGFRLSSFHKIQTEDSWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGVLADGTQIAVKRLSKFSGQGSEEFNNEVLFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFVDTWLQSMLCKECFR >Vigun11g020900.2.v1.2 pep primary_assembly:ASM411807v1:11:2591289:2594418:1 gene:Vigun11g020900.v1.2 transcript:Vigun11g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTQACFGFFLLLSWGTLVRKAQTQIFVGSNCQNTSQEPLSSAYQANLDKILAWMPSDAATSRGYNHTRIGINTPVYGQYDCRGDVAESFCHFCVSLAAREAPKRCPNRVSAVVWYEYCMLRYSNESFFGKILTHPTWHGFGPKNVSNMEEVRRGEGFVKSMISKATKETNQLYYLGGFNLSSTQKRYGMVHCGRDLSNEGCRQCLEFLLAQIHKCCEQKIGWFIWSGTCMIRYDDQMFYRLSNQTSSLSPSNDEKDKQRAYRRSKILIISSSIMGSVLILCLSVYCIWYRRRVRKDGFRLSSFHKIQTEDSWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGVLADGTQIAVKRLSKFSGQGSEEFNNEVLFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFDDEKRKQFDWKLRLRIINGIARDFGLARAFEIGQKQANTKRVMGTYGYMAPEYVMQGVFSVKSDVFSFGVLVLEIIYGRKNSGLSEHGQTLLLYAWRTWSAGKCLEMIDPMLENSFIGSEVERCIHIGLLCVQEDAKDRPTMSHVVLMLASDTMVLPKPKHPAFSVGIIASEEVYTSKSSKDVSKNDLTVSISLPR >Vigun11g020900.3.v1.2 pep primary_assembly:ASM411807v1:11:2591289:2594418:1 gene:Vigun11g020900.v1.2 transcript:Vigun11g020900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTQACFGFFLLLSWGTLVRKAQTQIFVGSNCQNTSQEPLSSAYQANLDKILAWMPSDAATSRGYNHTRIGINTPVYGQYDCRGDVAESFCHFCVSLAAREAPKRCPNRVSAVVWYEYCMLRYSNESFFGKILTHPTWHGFGPKNVSNMEEVRRGEGFVKSMISKATKETNQLYYLGGFNLSSTQKRYGMVHCGRDLSNEGCRQCLEFLLAQIHKCCEQKIGWFIWSGTCMIRYDDQMFYRLSNQTSSLSPSNDEKDKQRAYRRSKILIISSSIMGSVLILCLSVYCIWYRRRVRKDGFRLSSFHKIQTEDSWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGVLADGTQIAVKRLSKFSGQGSEEFNNEVLFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFGMFSHDFSAQLFFCNF >Vigun10g189900.2.v1.2 pep primary_assembly:ASM411807v1:10:40490088:40491080:-1 gene:Vigun10g189900.v1.2 transcript:Vigun10g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLREQGRHHFLFIIYTSLPSTVNTSPLCSSSFSPKHNLFLCSPNMASISQGLVFTSAMLFSTTLLYLAFSKHKTFQIPSDSNKQILRSCMYSEEKKRGRKKKKKKVKFAKNVMVKEVEREVEEENREEQGMQNRVSRSECERENLGNAEIPANRIALYNGILRDRVQRMACCH >Vigun07g145600.1.v1.2 pep primary_assembly:ASM411807v1:7:25633069:25634924:-1 gene:Vigun07g145600.v1.2 transcript:Vigun07g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVQANPILMPRCSGNRNDGVNGGPSPSEEFAPLATKFQRRLLVGTGSASLVALGANFGGITSFLLGLSPQSGRNLKLDVLYPIGGYSRCVDTKEGFEFIYPANWVGDQTVLYRAAKKREMERSLDPPPLNVRRRSNINEPVVAFGPPGSTGELNVSVIVSPVAPDFSIEAFGGPDEVGEAVVRSITGSGQRPEVKGTLIKSSLREDSVRNAKYYELEFRVESPSFRRHNVSVCCARGGRLFTLNAQAPESEWPGLKSDFYRIANSFSLTI >Vigun10g039500.1.v1.2 pep primary_assembly:ASM411807v1:10:5381424:5386939:1 gene:Vigun10g039500.v1.2 transcript:Vigun10g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMQIQEHSLDLCRVAIVIFTKTYSQSAWSLRQLQQIIKWHEAYYRHVLPVYNEIQPSDVRLQKCDFGKSFKATAHQTFPAQQLEHGISRWSHALTKAADFFGWDESNCRSDAELVDKIVKSVLNLPVLSATKFPVGLQSYVEDVIRTIEDKSSQVCTIVICGMEGSGKSTIAKAIYNRINGTFTEKSFIEDVAQISQTRGYVHLQERLLSDVLKTKVKIRSVEMGRRMIRERLFKKRMLIVLDEYSPFLDLRKSRAWFGKGTVIIITTRNEDLPGIREVDSVLQINLMNPDESLELLSWHAFREPKPKEEYYDLAKAVAAYCGGLPLVLEVIGSTLFESTKEEWKSVLLELEKLHKNDVHHKLKISFDSLRSEKEKDLFLDVCCFPIGLCCFPIGKGTTYVMNILNVFGLDADSGIRVLIQRNLIKVKNNNKFVIHPLLQKMGRKISQQEFWREKRLQFDDAECLFTYNRGTKSAQSLPMKLRSANREPSTLLKLAGNSEYLPKKLRWINLREFSSPYLLNDFYLDDAIAIDLKHSLLRVVWKKTQVFLMKRVTTKI >Vigun08g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28646952:28648682:1 gene:Vigun08g119000.v1.2 transcript:Vigun08g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNAELIFIPSPGIGHLTSSLEFAQLLINRDNHLSVTILCIKIPLTPFADSYIKSALASQPQIKLIDLPLVELPPQESIHKSPEHYICTFMESLKPHVKAAIQNILSSYPRSGSQPVVGLVLDFFCLSMVDVGNELGIPSYMFMTSNVAFSAFILSLLNRGIEDVFNVSEPDLLIPAFPDPVPLSVLPDAAFNKDGGYAAYYKLAQRFLDTKGIIVNSFSDLEKYAIDALSDGQSHAPPIYTVGPLIDLKGRPNPNLDQAQHDKILKWLDEQPVSSVVFLCFGSMGGFAPSQTREIAVALQGSGVRFLWAMNSPPTADNVDRTLPEGFLEWMEGRGMICGWAPQVEVLAHKAIGGFVSHCGWNSILESLWFGVPILAWPIYAEQQLNAFWMVRQYGLAVELRLDYRRSSDLVTAKEIEEGLKQLMDRDNVVHKNVIEMKEKARKAVLTSGSSFISVGKLIDNILGRN >Vigun04g191400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41578244:41582492:-1 gene:Vigun04g191400.v1.2 transcript:Vigun04g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEHKQFTDPHVKAWLLSVKEAVLDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDNKVSQKLPSSSLVVESIIYGRDADKEMIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLAHHVYCDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHRLKQLEDDESWNVFKKQALKDDDLELDNEKEEIGRRIVEKCKGLPLALKTIGSLLRTKSSISYWKSVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDREFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFETYFCMHDLLNDLAKYVCADFCFRLKFDKGNCIPKKTRHFSFAFDDVECFDGFGSFTDAKRLRSFFPYEEFWGRNIHYYPLQFKILVHELFSNFKFLRLLSLDGYSELKEVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCLSLEELPLNLHKLTKLHCIEFENTKVTKMPMHFGELKNLQVLSPVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELELKWESDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLWLEDCKYCLCMPPLGLLSSLKNLAIIGFDGIVSIGDEFYGNSSSSFTSLESLKFSKMKELEECERKTAAFPRLQTVSVYECPKLKGLPDQLVNVKYLYIRKASCLERCEHTVSHNSLEALTFFVFPIMNISMSRSFDLLEQIRIFGICDSLTTFPLDFFPNLKDLSLFSCRNLQMISQDHTHTSLEILSIRSCSRFDSFPSEGLSAPQLKRIDIDGAENLKLLPKRMQILLPSLIILMTIDCPKVEMFPDGGLPPYVELVSLSSFKLIASLKETLGTNTCLQSLRIENIDVEFFPDEVLLPHSITSLDICCCPNLKKMHYKGLCHLSYLKLYDCPNLQCLPEDGLPKSISSLRIWSCPLLERRCQNPEGQDWNKIAHIEDLSVRSKV >Vigun10g015200.5.v1.2 pep primary_assembly:ASM411807v1:10:1670977:1678524:-1 gene:Vigun10g015200.v1.2 transcript:Vigun10g015200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKRGSVSSASKRGGRGGRGISKVVQSQQQHDAAEEVLKVEEKQPIVVAEEEQPKAMEEEHPVVEEKQLVVEEKESVVVVEDKAIDMNQMAPEAVEEASHLANGLTAVKNNEEEVKESIDEYEKDERLDLEDNDPEYEAEEYGAVDYDEKEIEQDEGHEVGNEVEEEEAEDNLGEEEGDTGEEEVEDVHDELEGEEEHEHTGEEHEHPDFADVDEEEHREVVKERRKRKEFEVFVGGLDKDATESDLRKVFGEVGVVTEVRLMMNPQTKKNKGFAFLRFETVEQAKRAVAELRNPVINGKQCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDLTLVEDTNDEGKNRGFAFLEFSSRADAMDAFKRLQKRDVVFGVDKPAKVSFADSFIDPGDEIMAQVKTVFIDALPPSWDEDYVRDLLKKYGEIEKVELARNMPAARRKDYGFVTFGTHDAAVKCADSITGTELGEGDKKAKVRARLSRPLQRGRGKHISRGDYRSGRGSGMMTRPSWSRPAPRTFTRGARGVGSRAPPARPVSVRDRRPIMSIPARSRPIPPPSRSYDRRPVAPAYPKSSMKRDYSRREDIPPPRSRVPVDYGSRVASERRPSYRDYPARGPGPGYSELPRSTSRAAPRRGYVDDGYSQRFERPPPPPPPHLSYREGRPRDYDTLSGSKRSYAAIDDVPPRYADTGARQSRARLDYDYGGSASQYGDAYGDRLGRSSMGYGGSSRSSMSSQDSHGMYSSRQGMSYGGSFTGGDVGGLYSSSYGSDYISRGSDVGGSSYSSVYSSRGVGGGSSYMGGGGSGSYY >Vigun10g015200.1.v1.2 pep primary_assembly:ASM411807v1:10:1670977:1678523:-1 gene:Vigun10g015200.v1.2 transcript:Vigun10g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKRGSVSSASKRGGRGGRGISKVVQSQQQHDAAEEVLKVEEKQPIVVAEEEQPKAMEEEHPVVEEKQLVVEEKESVVVVEDKAIDMNQMAPEAVEEASHLANGLTAVKNNEEEVKESIDEYEKDERLDLEDNDPEYEAEEYGAVDYDEKEIEQDEGHEVGNEVEEEEAEDNLGEEEGDTGEEEVEDVHDELEGEEEHEHTGEEHEHPDFADVDEEEHREVVKERRKRKEFEVFVGGLDKDATESDLRKVFGEVGVVTEVRLMMNPQTKKNKGFAFLRFETVEQAKRAVAELRNPVINGKQCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDLTLVEDTNDEGKNRGFAFLEFSSRADAMDAFKRLQKRDVVFGVDKPAKVSFADSFIDPGDEIMAQVKTVFIDALPPSWDEDYVRDLLKKYGEIEKVELARNMPAARRKDYGFVTFGTHDAAVKCADSITGTELGEGDKKAKVRARLSRPLQRGRGKHISRGDYRSGRGSGMMTRPSWSRPAPRTFTRGARGVGSRAPPARPVSVRDRRPIMSIPARSRPIPPPSRSYDRRPVAPAYPKSSMKRDYSRREDIPPPRSRVPVDYGSRVASERRPSYRDYPARGPGPGYSELPRSTSRAAPRRGYVDDGYSQRFERPPPPPPPHLSYREGRPRDYDTLSGSKRSYAAIDDVPPRYADTGARQSRARLDYDYGGSASQYGDAYGDRLGRSSMGYGGSSRSSMSSQDSHGMYSSRQGMSYGGSFTGGDVGGLYSSSYGSDYISRGSDVGGSSYSSVYSSRGVGGGSSYMGGGGSGSYY >Vigun10g015200.4.v1.2 pep primary_assembly:ASM411807v1:10:1670977:1678523:-1 gene:Vigun10g015200.v1.2 transcript:Vigun10g015200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKRGSVSSASKRGGRGGRGISKVVQSQQQHDAAEEVLKVEEKQPIVVAEEEQPKAMEEEHPVVEEKQLVVEEKESVVVVEDKAIDMNQMAPEAVEEASHLANGLTAVKNNEEEVKESIDEYEKDERLDLEDNDPEYEAEEYGAVDYDEKEIEQDEGHEVGNEVEEEEAEDNLGEEEGDTGEEEVEDVHDELEGEEEHEHTGEEHEHPDFADVDEEEHREVVKERRKRKEFEVFVGGLDKDATESDLRKVFGEVGVVTEVRLMMNPQTKKNKGFAFLRFETVEQAKRAVAELRNPVINGKQCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDLTLVEDTNDEGKNRGFAFLEFSSRADAMDAFKRLQKRDVVFGVDKPAKVSFADSFIDPGDEIMAQVKTVFIDALPPSWDEDYVRDLLKKYGEIEKVELARNMPAARRKDYGFVTFGTHDAAVKCADSITGTELGEGDKKAKVRARLSRPLQRGRGKHISRGDYRSGRGSGMMTRPSWSRPAPRTFTRGARGVGSRAPPARPVSVRDRRPIMSIPARSRPIPPPSRSYDRRPVAPAYPKSSMKRDYSRREDIPPPRSRVPVDYGSRVASERRPSYRDYPARGPGPGYSELPRSTSRAAPRRGYVDDGYSQRFERPPPPPPPHLSYREGRPRDYDTLSGSKRSYAAIDDVPPRYADTGARQSRARLDYDYGGSASQYGDAYGDRLGRSSMGYGGSSRSSMSSQDSHGMYSSRQGMSYGGSFTGGDVGGLYSSSYGSDYISRGSDVGGSSYSSVYSSRGVGGGSSYMGGGGSGSYY >Vigun09g108525.1.v1.2 pep primary_assembly:ASM411807v1:9:22781554:22786779:-1 gene:Vigun09g108525.v1.2 transcript:Vigun09g108525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTNIPCIWNGDQVMAFLDATECLYKTQKLAGKPAGIISSTRYQGGQEETTITLFTDCDGIIPLVHRGMVFVPFGIRFTYNHEFENMEEVKANRFEVITSSSYAPLFVNANDRRRWTPDAVVFDSHPRMELPAI >Vigun01g041874.1.v1.2 pep primary_assembly:ASM411807v1:1:5900324:5900737:1 gene:Vigun01g041874.v1.2 transcript:Vigun01g041874.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVQSESRRSATLLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFFFSLQLSFFRVPYCW >Vigun06g218150.2.v1.2 pep primary_assembly:ASM411807v1:6:32878670:32882078:1 gene:Vigun06g218150.v1.2 transcript:Vigun06g218150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQERSISVENLLGIRNHPRGSCGCVHRTTAACIVVNIIYMLVLYVCFKQLHSPLRQRVFLLDSTVFHSPEEAFHLDYERMEEEFKVFVYPDGDPETYFHTPRKLTGKYASEGYFFKNIRESRFVTDDPRRAHLFFLPISCHKMRGRGLTNERMTDEVKKYVQYVKFKYPYWNRTLGADHFFVTCHDIGVKATKGVPHLMKNSIRVVCSSRYDDDDYIPHKDVTLPQVQLPFYNPPGGNDFKNRNTLAFWAGLSDSRLKDNLRAMWNNDTELDIQNNRIDFRSTGRVVFMDRLYKSKFCLCPQGPIGSSRISDSIHFGCVPVIMSNYYDLPFNDILDWRKFSVILKERDVYRLKDILKSMSDKNFMILNQNLVKCYRKQGIVSVLKS >Vigun06g218150.1.v1.2 pep primary_assembly:ASM411807v1:6:32878670:32882078:1 gene:Vigun06g218150.v1.2 transcript:Vigun06g218150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQERSISVENLLGIRNHPRGSCGCVHRTTAACIVVNIIYMLVLYVCFKQLHSPLRQRVFLLDSTVFHSPEEAFHLDYERMEEEFKVFVYPDGDPETYFHTPRKLTGKYASEGYFFKNIRESRFVTDDPRRAHLFFLPISCHKMRGRGLTNERMTDEVKKYVQYVKFKYPYWNRTLGADHFFVTCHDIGVKATKGVPHLMKNSIRVVCSSRYDDDDYIPHKDVTLPQVQLPFYNPPGGNDFKNRNTLAFWAGLSDSRLKDNLRAMWNNDTELDIQNNRIDFRSTGRVVFMDRLYKSKFCLCPQGPIGSSRISDSIHFGCVPVIMSNYYDLPFNDILDWRKFSVILKERDVYRLKDILKSMSDKNFMILNQNLVKIQKHFTWNTPPVRLDAFHMVMYELWLRRHLIRY >Vigun06g218150.3.v1.2 pep primary_assembly:ASM411807v1:6:32878670:32881252:1 gene:Vigun06g218150.v1.2 transcript:Vigun06g218150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQERSISVENLLGIRNHPRGSCGCVHRTTAACIVVNIIYMLVLYVCFKQLHSPLRQRVFLLDSTVFHSPEEAFHLDYERMEEEFKVFVYPDGDPETYFHTPRKLTGKYASEGYFFKNIRESRFVTDDPRRAHLFFLPISCHKMRGRGLTNERMTDEVKKYVQYVKFKYPYWNRTLGADHFFVTCHDIGVKATKGVPHLMKNSIRVVCSSRYDDDDYIPHKDVTLPQVQLPFYNPPGGNDFKNRNTLAFWAGLSDSRLKDNLRAMWNNDTELDIQNNRIDFRSTGRVVFMDRLYKSKFCLCPQGPIGSSRISDSIHFGCVPVIMSNYYDLPFNDILDWRKFSVILKERDVYRLKDILKSMSDKNFMILNQNLVKVLSI >Vigun01g186700.1.v1.2 pep primary_assembly:ASM411807v1:1:36677821:36683399:1 gene:Vigun01g186700.v1.2 transcript:Vigun01g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMAYTFSFPEEVLEHVFSFIESQRDRNAISLVCKSWYEIERWCRRKVFVGNCYAVSPVMVIKRFPELRSIALKGKPHFADFNLVPEGWGGYVCPWIAAMACALPCLEEIRLKRMVITDESLELIAKSFKNFKVLVLTSCEGFTTDGLAAIAANCRNLKELDLQESEVDDLSGHWLSHFPDSYTSLVSLNISCLGNEVSLSALERLLGRCPNLRTLRLNRAVPLDRLPNLLRRCPQLVELGTGVYSTEMRPEVFSNLEAAFSECKQLKTLSGFWDVLPSYLPAVYPICSRLTSLNLSYAIIQSPDLIKLISQCPNLLRLWVLDYIEDAGLYNLAASCKDLRELRVFPSDPFGLEPNVSLTEKGLVSVSEGCPRLQSVLYFCRQMSNAALHTIARNRPNMTRFRLCIIEPRTPDYLTLEPLDSGFGAIVEHCKDLQRLSLSGLLTDRVFEYIGTYGKKLEMLSVAFAGESDLGLHYVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKMPRLNVEVIDERGPPDSRPDDCPVEKLYIYRTIAGPRLDMPGFVWTMEDDSSLRLE >Vigun01g218600.1.v1.2 pep primary_assembly:ASM411807v1:1:39258520:39262300:1 gene:Vigun01g218600.v1.2 transcript:Vigun01g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIDLTGDGGVIKTILRKSKADAVGPTENFPLVDVHYEGTLADTGEVFDTTHEDNTIFSFEIGKGSVIKAWEVAVKTMKVGEVAKITCKPEYAYGSAGSPPDIPPEATLVFEVELVACRPRKGSSLGSVSEERARLDELKKQRELAAAAKEEEKKKREEAKAAAAARVQAKLEAKKGQGKGKGKAK >Vigun01g218600.3.v1.2 pep primary_assembly:ASM411807v1:1:39258655:39262263:1 gene:Vigun01g218600.v1.2 transcript:Vigun01g218600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIDLTGDGGVIKTILRKSKADAVGPTENFPLVDVHYEGTLADTGEVFDTTHEDNTIFSFEIGKGSVIKAWEVAVKTMKVGEVAKITCKPEYAYGSAGSPPDIPPEATLVFEVELVACRPRKGSSLGSVSEERARLDELKKQRELAAAAKEEEKKKREEAKAAAAARVQAKLEAKKGQGKGKGKAK >Vigun01g146200.1.v1.2 pep primary_assembly:ASM411807v1:1:32786713:32787791:-1 gene:Vigun01g146200.v1.2 transcript:Vigun01g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Vigun07g011100.2.v1.2 pep primary_assembly:ASM411807v1:7:957221:959118:-1 gene:Vigun07g011100.v1.2 transcript:Vigun07g011100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVELHNIRDFQVVPEECIEYIGKYVTSTQYKVDSQRATEECLVYLSTSCNLKKDGLDAWIFDIDDTLLSTVPYYKDNLYGGRKLNETSLEDWMKKGNAPALDHSLKLYDELKSRGVQILLVTSRKEHLRSATIDNLVKVGYYGWTKIIFRDAANELVSVQKYKSDVRKQIINDGYRIWGILGDQYSSIEGIPNPRRAFKLPNPMYYVA >Vigun07g011100.1.v1.2 pep primary_assembly:ASM411807v1:7:957221:959100:-1 gene:Vigun07g011100.v1.2 transcript:Vigun07g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVWWCLVLTCVLVPLAGAAADWNILKLKTHDGLKISLKNYCESWRMNVELHNIRDFQVVPEECIEYIGKYVTSTQYKVDSQRATEECLVYLSTSCNLKKDGLDAWIFDIDDTLLSTVPYYKDNLYGGRKLNETSLEDWMKKGNAPALDHSLKLYDELKSRGVQILLVTSRKEHLRSATIDNLVKVGYYGWTKIIFRDAANELVSVQKYKSDVRKQIINDGYRIWGILGDQYSSIEGIPNPRRAFKLPNPMYYVA >Vigun07g011100.3.v1.2 pep primary_assembly:ASM411807v1:7:957221:959118:-1 gene:Vigun07g011100.v1.2 transcript:Vigun07g011100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVELHNIRDFQVVPEECIEYIGKYVTSTQYKVDSQRATEECLVYLSTSCNLKKDGLDAWIFDIDDTLLSTVPYYKDNLYGGRKLNETSLEDWMKKGNAPALDHSLKLYDELKSRGVQILLVTSRKEHLRSATIDNLVKVGYYGWTKIIFRDAANELVSVQKYKSDVRKQIINDGYRIWGILGDQYSSIEGIPNPRRAFKLPNPMYYVA >Vigun05g078400.1.v1.2 pep primary_assembly:ASM411807v1:5:7316440:7320634:1 gene:Vigun05g078400.v1.2 transcript:Vigun05g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYSKLYDKYTKLKSKKLSDLDHLNKEQEVKFVNYLSAAEELIEHLKSEKEELLGQVNELRTELASLRAAKDKEITNCQMLLMEEREKNEALSEEVEKFLKLHQERTSDLNNEKANSNNRSSIRMTRKRKRQNALEKEARFISFENEVNSVETCKETASGKLLERCTKANDHQSGIDLQESGHDNWLIHVLFEYALGMKLSIIDQSGQMCLSALHQSSGYSFSISCISKSPSNEDAELLYHVISLGTFERVAPEWMREDIMFSPSMCPIFFERVSRVTKLNH >Vigun03g304300.1.v1.2 pep primary_assembly:ASM411807v1:3:49399432:49401804:1 gene:Vigun03g304300.v1.2 transcript:Vigun03g304300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSASGSTLGPGGLDLTQAFFKPIANADPPSTTKQHNKISVIGVGNVGMAIAQTILTQDLTDELVLVDTNADKLRGEMLDLQHAAAFLPRTKIHASTDSSITAGSDLCIVTAGARQIAGESRLNLLQRNLSLFRAIIPPLVRHSPATTLLIVSNPVDILTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFWRISRLHTRKRHWRIYTSQ >Vigun02g042600.1.v1.2 pep primary_assembly:ASM411807v1:2:17262881:17265524:1 gene:Vigun02g042600.v1.2 transcript:Vigun02g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKNTAACVVFVFLCAAWFSTARLNPKGVAVDEIPDIKASVATRVTKSTRVKSFAKLDMNKYGKVKCSAIPEEKRNGRRRLPYEVNGGPLAFTAAFTADYYRPVHHPPKNNK >Vigun07g157800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26956185:26957351:-1 gene:Vigun07g157800.v1.2 transcript:Vigun07g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLAAPFQLLEINIISAQDLAPVAKSIKAYAVAWLDPERKLTTQIDPDGHNNPTWNEKFVFRIDEEFLYDQDSVVMIEIYASAWLRDVLIGTVGVLVSSLLPRSTNRKSKIRFIALQVRRPSGRPQGILNIGVNLVDPTRRSMPMYSELGSSTGDWDTDPKKQKPQHKQTHSNEFNSSNCKLLTLQRSASEKNDSTINDYAYTSYPRGYEDGDEDGSELGMPTTKKGMIMNLNGSLCSDVGPSPSVVATAIAKGLYPLPMMAPRKQGNLVLDGWPGKEQESEDVNKRIDRWQSMDRGGVPVYDHLGHNEKKVKFPKGKGQNHRRGGSNGGLFSCFGTAMGCEFSITCGGGHASRKKRHNGGGGGGGGGGSKAHLTPASELTYDESYI >Vigun01g239750.1.v1.2 pep primary_assembly:ASM411807v1:1:41018538:41019590:1 gene:Vigun01g239750.v1.2 transcript:Vigun01g239750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun02g029400.1.v1.2 pep primary_assembly:ASM411807v1:2:12223851:12225516:1 gene:Vigun02g029400.v1.2 transcript:Vigun02g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPVSLYTRNPEALSDPPLGPNSGYLVIWDQPSSYTCLGLCEDPEIKNLPFPQNKNLIITDTYTSHAAQQNHPPNQTITYWDKVLFIPVLNQPLSSNRYYAIRRDGNYQGEASTSSKEGEKATCFCFRFVKDVEPRPLVPSDDYQKVEISNKHNGFHAKCVAPDGIPPDFLRSKGWRVKASSPRNYHLEEALGSNDSLRSKLPDFNFPLCNDSSEAVVVGKWYCPFMFLKERMRLKEQMERSMFYEVTLEQRWEKIFSKKIASSEDSVLVDVVIQTEVVKVEGRDAVWDESGVVEKVLWFKSVDEVGREMSVGLSLEVVERMKWEQKRVGWKASSGRQESVMRVEEFRGMNKWEKFGCYMLVESFVFKRMDGTLVLTYDFRHPHQIMCKWE >VigunL059128.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000234.1:9149:9508:-1 gene:VigunL059128.v1.2 transcript:VigunL059128.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g297600.2.v1.2 pep primary_assembly:ASM411807v1:7:40774497:40779706:1 gene:Vigun07g297600.v1.2 transcript:Vigun07g297600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSREDEKLNPNPHQENHHHLHHHHHDHDLNPPIPSRMSRLPSASAGADKLRSSSNGNANNGDSKRELAALKDGPPGQIAAQIFTFRELAAATKNFRPQSFLGEGGFGRVYKGRLETTGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEDYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFPKLADPELHGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSFLANQAYDHKGGGDDKRNRDDQGGRILKTEQGGGSGRRWDLEGCEKDESPRETARMLNNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDASNA >Vigun07g297600.1.v1.2 pep primary_assembly:ASM411807v1:7:40774449:40779821:1 gene:Vigun07g297600.v1.2 transcript:Vigun07g297600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSREDEKLNPNPHQENHHHLHHHHHDHDLNPPIPSRMSRLPSASAGADKLRSSSNGNANNGDSKRELAALKDGPPGQIAAQIFTFRELAAATKNFRPQSFLGEGGFGRVYKGRLETTGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEDYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFPKLADPELHGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSFLANQAYDHKGGGDDKRNRDDQGGRILKTEQGGGSGRRWDLEGCEKDESPRETARMLNNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDASNA >Vigun04g050500.1.v1.2 pep primary_assembly:ASM411807v1:4:4467338:4470243:1 gene:Vigun04g050500.v1.2 transcript:Vigun04g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFVLSCVLFLAASGFVHGSHEKKKKDDIKLFELKKGDFSIKVTNWGATLVSVILPDKNGVLGDIVLGYDSPKAYTNDSSYFGATVGRVGNRIGGAQFTLNGVHYKLVANEGNNTLHSGPKAFSDVLWNVEKYIKEGDRPRITFSYHSFDGEGGFPGDLLVTVSYILGKNSLSIIMKAKALNKPTPVNLLNHAYWNLGNHNSGNILNEVVQIFGSQVTLLDDHLIPTGKFASVKGTPYDFLEPHRVGERINQLPKTNGYDINYVLDGAKGKEIIKLGAIVVDKKSGRVMKLFTNAPGLQFYTANFVENDKGKGGFVYQPRSALCLESQAFPDSVNHPNFPSTIVTPQKPYKHVLLLKFSTKIPHAFSHF >Vigun01g010200.1.v1.2 pep primary_assembly:ASM411807v1:1:1162166:1164355:-1 gene:Vigun01g010200.v1.2 transcript:Vigun01g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSIESENVQSNGKITLYVVLSCMMAGMGGLLFGYDIGVAGGVTSMDSFLKKFFHKVYIQKEEAKVSNYCVFDSQLLTSFTSSLYVSGLVTTFFASYVTKAFGRKASIVAGGAAFLAGTALGGAAFNVLMLIFGRLLLGVGVGFANQAVPLYLSEMALPRLRGAISNGFQLSLCIGQLLATLVNYGSEKIEGGWGWRVSLSVAGVPASVLILGALFLPETPNSLIQRGHDPQKAKLLLQRIRGTEDVQAELDDLIKASSSKANNKQSYKIIFKRRYRPQLVMAVAMPFFQQMTGINVIGFYAPLLFRTIGLGESVSLLSAVMTVLIGTVATFLSMFIVDKLGRRALFMIGGIQMFASEVIIGGIMAFHLKDHGELSKGYALVVMVMVCIYCAAFSWSWGPLGWLVPSEIFPLEIRSVGQSITVAVSFIFTFAVGQTFLAMLCHLKSGIFFFFGGWVVVMTLFVYYLLPETKQVPLEQMEKVWQEHWFWKRIVGETSDDKEHKLEI >Vigun11g093850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27653409:27655926:-1 gene:Vigun11g093850.v1.2 transcript:Vigun11g093850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWIDMPRYTTEYFDWLNLDDYLSYVLKQEGSERFFAWEIEKGVAKCSGFRYVPFKTERELRFMVIALSVIFFLKMQKVPLVMPLEHCAYQALGVLTIRVCAEDNKLMT >Vigun02g145300.1.v1.2 pep primary_assembly:ASM411807v1:2:29342244:29352356:-1 gene:Vigun02g145300.v1.2 transcript:Vigun02g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MASKPSPSPSSSRSELIIDPSGEKVDAVSQTVKDVLPVIDSLKKQVAVERIVYVKNRIEENRQKLVGVTNHVCKMAIERRNGSVADSNKSLDLLTKRQKDAIDMHNGVHASNGDVESNGYHEDSHGSTAVLLGSNVAVKNAVRPIKLPEIKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIMEDEEEKREFIESEDYILRMTVKEFGLTDIVMESLAQCFSRNTNEIKARYEALSIQDNADGSSKAVDSGENSQSGNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQPIWIPPDSENAPCGPNCFRSVLKSERFAKTSSAQADGEDKCSGGVLSRKKSSAKKRLKGSQSESASSNAKNISESSDSENGPGRDAASASHSAPPKSKPVGKAGIGKRNSKRVAERVLVCMQKRQKKPIVSDSDSISEALERSSNDMVADQHAMSSEDNTRKEDSVDDNVCKQEITDNKSWKALEKGLLEKGMEIFGRNSCLIARNLLNGLRTCWDVFQYINCEEGKMSGPPGDVANSLLEGYSKGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCGCQSACGKQCPCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERICAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSSGRAKKLA >Vigun01g055450.1.v1.2 pep primary_assembly:ASM411807v1:1:10787994:10791476:-1 gene:Vigun01g055450.v1.2 transcript:Vigun01g055450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGEHIWRKEKEQIFLETSRKDDHMPWPPRSLETSRRSIHLALAKKASRMCPCAPLSKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEVEEEEEEEEEEEEEEEEYQNFKSKTKQSHTFCFHSS >Vigun04g007001.1.v1.2 pep primary_assembly:ASM411807v1:4:496751:499296:-1 gene:Vigun04g007001.v1.2 transcript:Vigun04g007001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFCFRAVMALWFPFPHLLCFGAVVSMSALIGVVLVLSLSRCCVIPLLLVLLLCCSYILRLLSLSRSIAALSSCSLSQSHYRSTTSLKHLVELHDKLSNDVVERIKATPFGWLLKLDNSSSYQTSSTILGMLVQRWDKDSRSFNINNNSIIPSNLLLKIWG >Vigun04g007001.3.v1.2 pep primary_assembly:ASM411807v1:4:496590:499397:-1 gene:Vigun04g007001.v1.2 transcript:Vigun04g007001.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFCFRAVMALWFPFPHLLCFGAVVSMSALIGVVLVLSLSRCCVIPLLLVLLLCCSYILRLLSLSRSIAALSSCSLSQSHYRHGPKNCQTTLQIYNKSEASS >Vigun04g007001.2.v1.2 pep primary_assembly:ASM411807v1:4:496590:499397:-1 gene:Vigun04g007001.v1.2 transcript:Vigun04g007001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFCFRAVMALWFPFPHLLCFGAVVSMSALIGVVLVLSLSRCCVIPLLLVLLLCCSYILRLLSLSRSIAALSSCSLSQSHYRHGPKNCQTTLQIYNKSEASS >Vigun01g133300.2.v1.2 pep primary_assembly:ASM411807v1:1:31127352:31130037:1 gene:Vigun01g133300.v1.2 transcript:Vigun01g133300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFKILKNQGQFRVCEHPYKLLFIGATTIKQQPISSIPLNVYNFKSIEDIVDGNYSADLVYDIIGVVDNVRCNPQSKNVVFHIRDMSSAVIGCTLWDSYYFKFMSNWRGEPDSYLLVVLLTQAKIKPCSGRWPVSISNSWNGSKLFMGEECAELVRFREQWIEYVFQLSSPSQYSEHEKFMYKAVVRTISEITCMKEEQYCVTVANTVKFNLGNDGWCYPVCNSCRKKTDEVGPFKCILCGFDNEKHGIRYKLELQVTDGNSYTNFVMWDQDCTNLIGVSALELMNKMIEDGEDDPKCFPEDLDALLGCTLAFKVRVQPSNRSSSVMKASTNPETIASIRSKLDPKMLTLSGSADHDPYIDFCVTPTKELLFDFEVDCDHLDDIPSAEFSRSKTKKRMKQEKL >Vigun03g132900.1.v1.2 pep primary_assembly:ASM411807v1:3:13003129:13004500:1 gene:Vigun03g132900.v1.2 transcript:Vigun03g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCALSNTFISPSFPVSHPHRSKFSSLRFSISRSQPLATQLRKTISATRFTTFCSLDAANAPKQDTPIEFRYPAFPTSLDINKILAILPHRFPFLLVDRMIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEVNTVLIPGPTLSYSIYVTCLLACIHFIMRWPK >Vigun03g154200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16364449:16364801:1 gene:Vigun03g154200.v1.2 transcript:Vigun03g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKKWMIFAFVIAISFSLVMEGALAARHLIQLPCVTPITSGTILTWICSSPPPPGSATNAP >Vigun05g264900.2.v1.2 pep primary_assembly:ASM411807v1:5:45698913:45705710:-1 gene:Vigun05g264900.v1.2 transcript:Vigun05g264900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVPQIAILGAGIFVKTVYIPKLAEISHLFHLKAIWSRSQGSATAAVEIAGEHFAGVECKWGDSGLEEIIQDGSIDAVAIVLAGQNQVDFSLRLLKAGKHVIQEKPASSSTIELETALSSYQSISAASPGPLIWSVAENYRFESGLLEGKKLIADIGKIITIHLTMEASMTTSNPYYSTSWRHSFTGGYVLDMGVHFVAALRLIVGSEVASVSARTSHVDLNLPPPDTISSVFQLENGCSGVFAVVVNSKTPKFLWRFVGLNGTLEIEQIFEGKQGYLVSLHGADGQVKRSTFPSDGVIEELKAFLSDVSENTLKQKGSEFVAEPRLSIVEGARDVAVLEAIFESGAKEGEVVHVKKF >Vigun05g264900.1.v1.2 pep primary_assembly:ASM411807v1:5:45698913:45705710:-1 gene:Vigun05g264900.v1.2 transcript:Vigun05g264900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVPQIAILGAGIFVKTVYIPKLAEISHLFHLKAIWSRSQGSATAAVEIAGEHFAGVECKWGDSGLEEIIQDGSIDAVAIVLAGQNQVDFSLRLLKAGKHVIQEKPASSSTIELETALSSYQSISAASPGPLIWSVAENYRFESGLLEGKKLIADIGKIITIHLTMEASMTTSNPYYSTSWRHSFTGGYVLDMGVHFVAALRLIVGSEVASVSARTSHVDLNLPPPDTISSVFQLENGCSGVFAVVVNSKTPKFLWRFVGLNGTLEIEQIFEGKQGYLVSLHGADGQVKRSTFPSDGVIEELKAFLSDVSENTLKKGSEFVAEPRLSIVEGARDVAVLEAIFESGAKEGEVVHVKKF >Vigun11g137600.1.v1.2 pep primary_assembly:ASM411807v1:11:34679903:34682732:-1 gene:Vigun11g137600.v1.2 transcript:Vigun11g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSHSENHDEATVVGFEVPKSPESSYNNVYPGNEDEARDPPMVPSHLQHTLLNYHSTRDTAGTVPLPQNVILNHLYIENRESPRSVVALGFTHRFRSKYVTVVLYKPVQRRGNTGV >Vigun03g094400.5.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARICRMQSAPTGGVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKEFRISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLFLTHNMLSGNIPKSVLMDGSSVDLSYNNFTWKGPDEHACREDLNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun03g094400.2.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRILFAFLLFTLSCFRWLEYAECKVPQQEVDALKEITSTMGATNWEFDSDDDCPKILRSTPDPPKESERTIACDCSIENNTCHVVEIVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKELISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLFLTHNMLSGNIPKSVLMDGSSVDLSYNNFTWKGPDEHACREDLNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun03g094400.1.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRILFAFLLFTLSCFRWLEYAECKVPQQEVDALKEITSTMGATNWEFDSDDDCPKILRSTPDPPKESERTIACDCSIENNTCHVVEIVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKEFRISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLFLTHNMLSGNIPKSVLMDGSSVDLSYNNFTWKGPDEHACREDLNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun03g094400.4.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRILFAFLLFTLSCFRWLEYAECKVPQQEVDALKEITSTMGATNWEFDSDDDCPKILRSTPDPPKESERTIACDCSIENNTCHVVEIVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKEFRISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLDLSYNNFTWKGPDEHACREDLNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun03g094400.3.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRILFAFLLFTLSCFRWLEYAECKVPQQEVDALKEITSTMGATNWEFDSDDDCPKILRSTPDPPKESERTIACDCSIENNTCHVVEIVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKEFRISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLFLTHNMLSGNIPKSVLMDGSSVNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun03g094400.6.v1.2 pep primary_assembly:ASM411807v1:3:7979631:7990740:-1 gene:Vigun03g094400.v1.2 transcript:Vigun03g094400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARICRMQSAPTGGVFKKVNLPGKLPPQLVKLFPYLRKVDFAFNYLSGTIPKEWGSEYLTSISVYANNLSGEIPIELGGIINLTYLNLEANQFSGVVPPDLGNLTKLEALILSSNKLSGKLPVTLAKLQNMKEFRISDNNFSGEIPSFFTQNWTSLQRLDMLASGMEGPIPSNISLLSTLHQLRISDINGPSQDFPNLSNMRGMKTLILRNCHITGELPSYLWSMDLEMLDVSFNKLVGKIPDTRHTGHLKFLDLSYNNFTWKGPDEHACREDLNLNLNLFRSFFGTKLRQILPCKEISNCPAYSRCFHLNCGGKDVKVMENDENVLYVGDGDVLGSEAAKYCINYEGHWGYSSTGDFMDDGIYLNTRFTRTLTSSNLPELYQTARVTPLSLTYFLYCLENGKYTVKLHFAEIQFTNDKTYSSLGKRLFDIYIQEKLVRKDFNIEDEIHGAQKPLIFPYNVSVTDNILEIRFYWAGKGTTRIPGGGAYGPLISALSIVSHSKPCSEPKSARRRIIVGVGFGVTALCLAIIIVGILWWNGCFKGMIREVKGTERHDSKMGTFTLEQIREATEDFSPVNKIGEGGFGPVYKGQLSDGTLVAVKQLSSKSRQGNREFLNEIGLISCLQHPNLVKLHGCCIEGDELMLVYEYMENNSLAQALFGSKDELKLDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDDEEKTHITTRIAGTIGYMAPEYALWGHLSYKADVYSYGVVVLEVVSGKSNKNYIPSDNHVCLLDKACHLQRRESLIELVDERLGSEVKPTEAIILMKVALLCTDVSPSLRPTMSEVVNMLEGRASIPDAIPQSSDFSEDIRFKSMRDIYQQRENHSLSSSQLDNSTGVLTLSSS >Vigun09g277800.4.v1.2 pep primary_assembly:ASM411807v1:9:43763787:43767047:1 gene:Vigun09g277800.v1.2 transcript:Vigun09g277800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARARKSAAETERKEKETRQKEDQYWQEAEGSKSRAAKKKEEEAEKRAEAAARRAEARRLAEQEEKELEKAMKKVDKKANRVSIPVPKVTEVELRQRREQEQAEAERKAEEVKKRQSRTAAEEEYERMVLVSNTNRDDSIIEARSLDDAIAQMTVVDNLPPDRHPERRLKASFKAFEEAELPKLKEEKPGLTHNQYKDLIWKLWKKSPDNPLNQIAE >Vigun09g277800.5.v1.2 pep primary_assembly:ASM411807v1:9:43763808:43767047:1 gene:Vigun09g277800.v1.2 transcript:Vigun09g277800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARARKSAAETERKEKETRQKEDQYWQEAEGSKSRAAKKKEEEAEKRAEAAARRAEARRLAEQEEKELEKAMKKVDKKANRVSIPVPKVTEVELRQRREQEQAEAERKAEEVKKRQSRTAAEEEYERMVLVSNTNRDDSIIEARSLDDAIAQMTVVDNLPPDRHPERRLKASFKAFEEAELPKLKEEKPGLTHNQYKDLIWKLWKKSPDNPLNQIAE >Vigun09g277800.3.v1.2 pep primary_assembly:ASM411807v1:9:43763787:43767047:1 gene:Vigun09g277800.v1.2 transcript:Vigun09g277800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARARKSAAETERKEKETRQKEDQYWQEAEGSKSRAAKKKEEEAEKRAEAAARRAEARRLAEQEEKELEKAMKKVDKKANRVSIPVPKVTEVELRQRREQEQAEAERKAEEVKKRQSRTAAEEEYERMVLVSNTNRDDSIIEARSLDDAIAQMTVVDNLPPDRHPERRLKASFKAFEEAELPKLKEEKPGLTHNQYKDLIWKLWKKSPDNPLNQIAE >Vigun03g269950.1.v1.2 pep primary_assembly:ASM411807v1:3:44304260:44304483:1 gene:Vigun03g269950.v1.2 transcript:Vigun03g269950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEIWLLLETKSHSGAKKAKATQPMVWYCALLGFKKFNHQTQRGGSCSFKLSQSSAALAART >Vigun09g208000.1.v1.2 pep primary_assembly:ASM411807v1:9:38231640:38233710:-1 gene:Vigun09g208000.v1.2 transcript:Vigun09g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKSSKWTDKVSSIAARILFLLIIFQIPLFRVSCRSGMCTNPLHVTSSQLIASEIFPAVVVKGLLYPGAAVNGLIHNMTIPSWDSLLDLYNLTNVKEASAVTDLQRLEVLAGSYFTVAGALVGVLKPGRMSVFGNLLIIWGLVKEGILGKPVNSDPSKAVYVYPTMVIALLCAFSSVKYDVKKVVRSAPVRSSAKPLQNSSKSKLK >Vigun10g083600.2.v1.2 pep primary_assembly:ASM411807v1:10:23588620:23593412:-1 gene:Vigun10g083600.v1.2 transcript:Vigun10g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILFLMSCIVHKLFLPSETVFIAAETSSLTQSQSLSNRETLVSPGGIFELGFFNLGNPKKNYLGIWYKNIPVQNIVWVANSAISIKDSSAILKLNSSGNLVLTQNNTVVWCTSSAEKAQNPVAELLDSGNLVIRDENETKAETYLWQSFDHPSNTLVPGMKLGWDLKRNLNIFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRPLNTIHRYEFVSNQEEVYFRWSLKETNSVTKVVLNQTAQVRQLYVWSEKSWIWSSTMPDDHCDQYGVCGSNTYCTTSASATCGCLKGFKPKSPEKWNSMEWSQGCVLTHPLSCKDKLGDSFFFMDGLKVPDTEYTFVDETIDLKQCRAKCMNNCSCMAYTNLNISGAGSGCVMWFGDLFDIKLYSNPEMGQRLYIRSSPTKSESISNKNPAIIYVTSIAATCGVMLAIYFVYRRKTSDKSKTKEDIERQLRVLDVTLFDLSSIRTATNNFSLNNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGITEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQKLNPKISDFGMAKAFGGDQIEGNTNRVVGTYGYMAPEYAVDGLYSIKSDVFSFGILLLEIICGNKNRALCHGNQTLNLVGYVSTQQTYYYLCWGFSCHEYWFHQSLISIKKLIVIFGMDTLERAKCFRID >Vigun10g083600.1.v1.2 pep primary_assembly:ASM411807v1:10:23588620:23593412:-1 gene:Vigun10g083600.v1.2 transcript:Vigun10g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILFLMSCIVHKLFLPSETVFIAAETSSLTQSQSLSNRETLVSPGGIFELGFFNLGNPKKNYLGIWYKNIPVQNIVWVANSAISIKDSSAILKLNSSGNLVLTQNNTVVWCTSSAEKAQNPVAELLDSGNLVIRDENETKAETYLWQSFDHPSNTLVPGMKLGWDLKRNLNIFLKAWKSDDDPTPGDLYWGVVLHPYPEMYMMKGPKKHWRLGPWNGLRFSGRPLNTIHRYEFVSNQEEVYFRWSLKETNSVTKVVLNQTAQVRQLYVWSEKSWIWSSTMPDDHCDQYGVCGSNTYCTTSASATCGCLKGFKPKSPEKWNSMEWSQGCVLTHPLSCKDKLGDSFFFMDGLKVPDTEYTFVDETIDLKQCRAKCMNNCSCMAYTNLNISGAGSGCVMWFGDLFDIKLYSNPEMGQRLYIRSSPTKSESISNKNPAIIYVTSIAATCGVMLAIYFVYRRKTSDKSKTKEDIERQLRVLDVTLFDLSSIRTATNNFSLNNKIGQGGFGPVYKGKLVDDREIAVKRLSQSSGQGITEFMTEVNVIAKLQHRNLVKLLGCCFQGKEKLLVYEYMVNGSLDTFLFDKTKGELLEWPQRLHIIFGIARGLLYLHQDSQLRIIHRDLKASNVLLDQKLNPKISDFGMAKAFGGDQIEGNTNRVVGTYGYMAPEYAVDGLYSIKSDVFSFGILLLEIICGNKNRALCHGNQTLNLVGYAWTLWKEQNALELIDSSIKSSCVVSEVLRCIHVSLLCVQQYPDDRPTMTSVIQMLGSEMKLVNPKEPGFFPTKISDQGKLSSNLKQETSNDELTITSLKGR >Vigun11g171500.1.v1.2 pep primary_assembly:ASM411807v1:11:37706098:37707222:-1 gene:Vigun11g171500.v1.2 transcript:Vigun11g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVFTEEQEALVLKSWEAMKKNSGELSVKFFKKILEIAPPAKNLFSFLRDSNVPLEENPKLKPHAISVFVMTCDSAAQLRKAGKVTVRESSLKKLGASHFKAGVIAEHFEVTKLALLETVKEAVPEMWSPAMENAWAEAHDQLADAIISEMKPSS >Vigun11g130300.2.v1.2 pep primary_assembly:ASM411807v1:11:33802305:33807883:-1 gene:Vigun11g130300.v1.2 transcript:Vigun11g130300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMALSLWRSRTASSTLNLLANTLRRFSSEVTNTSAQRTRESMMNQMMYSDINSRIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTSAKILKEPTSAYCLIQMPSGVKKLIDSRCRATIGVVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKWGKGSRTPWGKPTKSGYKTGPLKRRR >Vigun11g130300.1.v1.2 pep primary_assembly:ASM411807v1:11:33802285:33805969:-1 gene:Vigun11g130300.v1.2 transcript:Vigun11g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMALSLWRSRTASSTLNLLANTLRRFSSEVTNTSAQRTRESMMNQMMYSDINSRIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTSAKILKEPTSAYCLIQMPSGVKKLIDSRCRATIGVVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKWGKGSRTPWGKPTKSGYKTGPLKRRR >Vigun08g084800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:18565087:18566280:1 gene:Vigun08g084800.v1.2 transcript:Vigun08g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKNQNWTFCFGYSCNRGRKLIKIIKKYGLNTFITLHPNRSHFSLSNSFQHVCAAHQRRSSHRTRTSSTMEATIFLLAGETQQPWKLRICNPPPSPFTVAAATAAEAQHQICTATIFSRNHRTPLQQHSPRRTSRTCNKQHRHNNATPDLHCHHLLTEPPCAIAAAFSASHITHLQQAAPTQQRSTRSTPPLSSHGTTARHCSSILCVAHHAPATSSTGTTMRWTRTAAILAAAVDAHNSGGGRAQQRLR >Vigun11g105150.1.v1.2 pep primary_assembly:ASM411807v1:11:30334326:30337230:1 gene:Vigun11g105150.v1.2 transcript:Vigun11g105150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDHVQIPIDSDDASWKERCSRRMKELQKRQFQSDDAASQLLHKDLVGKKKVMVSELFNAVAENGNVDNFVDVLQRVCEGRKVPLNGVFDQVTCAGDSLLHVAADKGRERIVELICCHFPELLIKRNVRGDTALHVAVRSKNSTTVRFILSHYAIEKAKHDGMRDNKEITREKNKYENTPLHEAVYSGDVGVVKEILTADKDVVHFLNKSRRSPLYLAAVNGNVEILYLLLEVPFPTHQPLPLCLGNSPLHAAILERNSALIEVILKKKPELVYLRDEDEGTPLHYAAYIGYAEGFRILLENSFLKSDQTVLEGNKKGHLPIHLACKRGHLKVVKKFLQHKCVTNLYVLLNQKGQNILHVAAKNGKSKVVEYLLENSKIDESIINQKDNNGNTPLHLASLNLFPKVLYLFTQDKRTDVKLLNNNDLTAQDIIGVALKSKTTIRKFLARRVLKEAGVPSKVNDMLHFRQQQILKMDLSLKDLLNTFLVVATLMVTVTFAAAFTVPGGVYSSDDPNPKKRGMAVLGDKALFWVFTTFNMTAMYSSVIACGLMLMALIFDRKLATRATILAMGCLVFAFLTVPVAFLAAVRLVVANNSALAYLITAIGVMYTSIILSALFAFFPIGIRLLLFRQVGRIVLQILIALIDYDEKPRDSSSPKENNRDNDD >Vigun10g132100.6.v1.2 pep primary_assembly:ASM411807v1:10:34139668:34146729:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRMNCEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun10g132100.11.v1.2 pep primary_assembly:ASM411807v1:10:34139703:34146732:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun10g132100.10.v1.2 pep primary_assembly:ASM411807v1:10:34139703:34146732:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun10g132100.7.v1.2 pep primary_assembly:ASM411807v1:10:34139793:34146733:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRMNCEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun10g132100.9.v1.2 pep primary_assembly:ASM411807v1:10:34139703:34146724:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRMNCEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun10g132100.8.v1.2 pep primary_assembly:ASM411807v1:10:34139793:34146733:1 gene:Vigun10g132100.v1.2 transcript:Vigun10g132100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRMNCEASSSWSNHVFLSFRGEDTRRGFTDHLFASLERRGIKTFKDDHDLERGKVISVELMKAIEDSMFALIILSPNYASSTWCLDELQKIVDCKKEAFPIFHGVDPSDVRHQRGSFAKAFEEHEEKFREDKEKVERWRHALRQVASYSGWDSKDQSEATLIETIVGHIEKKIIPRLPCFTDNLVGIDSRMKEVISLMGIGLNDIRFIGIWGMGGIGKTTLARLVYEKIKEKFKVCCFLENIRELSKTNGLVHIQKEILSHLNVRSNDFYNLYDGKKIIANSLSNKKVLLVLDDVSDISQLENLTGKREWFGPGSRLIITTRDKHLLKTYGVDVTYKARGLAQNEALQLFCLKAFKQDQPKKEYLNLCKGVVEYARGLPLALEVLGSHLYGRTFEVWHSALEQIRSFPHSKIQDTLKISYDSLEPLEKKMFLDIACFFVGMDIDEVMNILENCGDHPRIGIDILTERSLVTLDRVSNKLGMHDLLQEMGRNIVYQESPNDPGKRSRLWSQKDIDYVLTKNKGTDEIRGIVLNLVQPYDCEARWNTESFSKISQLRFLKLCDVQLPRGLSCLPSALNVVHWRGCPLKTLPLSNQLDEVVDLKLPHSRIEQLWHGTKLLDKLKFINLSFSKNLKQSPDFVGVPNLESLVLEGCTSLTEVHPSLVRHKKLVSLNLKDCKKLKSLPSKMETSSLNDLNLSGCSQFKCLPEFAESMEHLSVLSLEGTAITKLPTSLGCLTGLSHLDMKNCKNLVCIPDTIHKLRSLIVLNVSGCSKLSSLPEGLKEIKCLEELNASETAIQELPSFVFYLENLNDISFAGCKGPVSKSANGFFLPFKWLFGNHQTSIGFRLPPSALSLPSLKRINLSYCNLSEESFPDDFYCLSSLVILDLTGNNFVSLPSSISKLARLERLILNYCKKLQRLPELPSNMRGLDASNCTSFEISKFNPSKPCSLFASPAKWHLPRQLKGVLEKLRLPRERFDMLIRGSEIPPWFAPNKCVSFAKISVPHNCPINEWVGFALCFLLVSYVVPPDVCHHEVDCYFFGPHGKVCISSRCLPPMEPCDPHLYIIYLSFDELRDIICKGGDCREIEFVLKTYCCHSLQIERCGSRLVCKQDVEDIYGNGL >Vigun05g125500.2.v1.2 pep primary_assembly:ASM411807v1:5:14056707:14060745:1 gene:Vigun05g125500.v1.2 transcript:Vigun05g125500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSMRKHSSSQNSQEMHMLAIKTLKTTEGILASITKAHPQWKQLVSAVDHRVDRALAILRPQAIAEHRALLTSLGWPPPLSAFTSSNSDARTAKQVSNPLLSMQADLKLRYSENFFALCNLQELQRKRKVRQLDGHDREVALQQPLWVIEELVNPLSLASQRHFSKWIDKPEFIFTLVYKITRDFVDSMDELLQPLVDEAMLLGYSCREEWISAMVTSLTTYMAKEIFPSYISQLDEESATGTQSSARISWLHLIDLMIAFDKRIKSLVENSGVLLSFDDDDIMQKVSSLSIFCDRPDWLDLWAEIELDDALVKLKLDIQNENYWIKKVEGAVLSSYTDDYKSPLISNSFLRHLASVIDRCRSLPRVSLRSKFLRLVGLPIIRNFFDSILIRCQEAEGLTALTDDDAVLKVTISVNAAHYFESVLKEWSEDVFFLEMGMGENDEAGMESNANIYEGLPESSRRVIFDDEIKKLEEFRTEWVEKISLVILRGFDALSRDYVKNKKQWQKGEEGWAVSKALVEALNYLQSKTSLVEVGLNSRDFVGVWRSLAAGIDRLLFNGILMSNVKFHRSGVDRFGNDLDVLFGVFGSWCLRPGGFFPKTSEGQKLLKLDENEVEECKTGGKKWLRENGFKHLSVTEAEKILKNRVFTS >Vigun05g125500.1.v1.2 pep primary_assembly:ASM411807v1:5:14056707:14060745:1 gene:Vigun05g125500.v1.2 transcript:Vigun05g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQTLPPPSHLSPAALSFLDHRFHTQCALADAPNFVSELQTQCAELDRALDELTRRLGEGLAAYASFSGEIHGVFGHVTDRLTALSSTVVADGGRGKADGKGFKEELAALAKEVARLETVRVYAETALKLDTLVGDIEDAVSFTMSKSMRKHSSSQNSQEMHMLAIKTLKTTEGILASITKAHPQWKQLVSAVDHRVDRALAILRPQAIAEHRALLTSLGWPPPLSAFTSSNSDARTAKQVSNPLLSMQADLKLRYSENFFALCNLQELQRKRKVRQLDGHDREVALQQPLWVIEELVNPLSLASQRHFSKWIDKPEFIFTLVYKITRDFVDSMDELLQPLVDEAMLLGYSCREEWISAMVTSLTTYMAKEIFPSYISQLDEESATGTQSSARISWLHLIDLMIAFDKRIKSLVENSGVLLSFDDDDIMQKVSSLSIFCDRPDWLDLWAEIELDDALVKLKLDIQNENYWIKKVEGAVLSSYTDDYKSPLISNSFLRHLASVIDRCRSLPRVSLRSKFLRLVGLPIIRNFFDSILIRCQEAEGLTALTDDDAVLKVTISVNAAHYFESVLKEWSEDVFFLEMGMGENDEAGMESNANIYEGLPESSRRVIFDDEIKKLEEFRTEWVEKISLVILRGFDALSRDYVKNKKQWQKGEEGWAVSKALVEALNYLQSKTSLVEVGLNSRDFVGVWRSLAAGIDRLLFNGILMSNVKFHRSGVDRFGNDLDVLFGVFGSWCLRPGGFFPKTSEGQKLLKLDENEVEECKTGGKKWLRENGFKHLSVTEAEKILKNRVFTS >Vigun05g125500.3.v1.2 pep primary_assembly:ASM411807v1:5:14056707:14060745:1 gene:Vigun05g125500.v1.2 transcript:Vigun05g125500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQTLPPPSHLSPAALSFLDHRFHTQCALADAPNFVSELQTQCAELDRALDELTRRLGEGLAAYASFSGEIHGVFGHVTDRLTALSSTVVADGGRGKADGKGFKEELAALAKEVARLETVRVYAETALKLDTLVGDIEDAVSFTMSKSMRKHSSSQNSQEMHMLAIKTLKTTEGILASITKAHPQWKQLVSAVDHRVDRALAILRPQAIAEHRALLTSLGWPPPLSAFTSSNSDARTAKQVSNPLLSMQADLKLRYSENFFALCNLQELQRKRKVRQLDGHDREVALQQPLWVIEELVNPLSLASQRHFSKWIDKPEFIFTLVYKITRDFVDSMDELLQPLVDEAMLLGYSCREEWISAMVTSLTTYMAKEIFPSYISQLDEESATGTQSSARISWLHLIDLMIAFDKRIKSLVENSGVLLSFDDDDIMQKVSSLSIFCDRPDWLDLWAEIELDDALVKLKLDIQNENYWIKKVEGAVLSSYTDDYKSPLISNSFLRHLASVIDRCRSLPRVSLRSKFLRLQFLSMLLITSNLF >Vigun03g079600.3.v1.2 pep primary_assembly:ASM411807v1:3:6638249:6643083:-1 gene:Vigun03g079600.v1.2 transcript:Vigun03g079600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGNDQKMVTATRSFAVKKPNESMRQIVLLFVGGFLGLIIGLSLPPLSITKLNLPYGFLHFSCVQEKYIDSSTSSQDQFQSDPTKIWVPTNPRGAERLPPGIVKAESDLFLRRLSGTPSEDLTFIPKYLVTFTVGYEQKKNIDAAVKKFSKDFTILLFHYDGRTTEWDEFEWSKQAIHVSVPKQTKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYLKLVRKYGLEISQPALEPSKSTKGVCWNMTRKRENSEVHKEAVEAGRCKYPLLPPCAAFVEIMAPVFSRNAWRCVWYMIQNEFVHGWGLDFSFRKCVEPAHEKIGVVDAQWIVHQGIPSLGNQGEAQTNGKPAWRAVKERCGMEWRMFQGRLTNAERGYYKSKGIDFSNLLVHN >Vigun03g079600.2.v1.2 pep primary_assembly:ASM411807v1:3:6638249:6642708:-1 gene:Vigun03g079600.v1.2 transcript:Vigun03g079600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGNDQKMVTATRSFAVKKPNESMRQIVLLFVGGFLGLIIGLSLPPLSITKLNLPYGFLHFSCVQEKYIDSSTSSQDQFQSDPTKIWVPTNPRGAERLPPGIVKAESDLFLRRLSGTPSEDLTFIPKYLVTFTVGYEQKKNIDAAVKKFSKDFTILLFHYDGRTTEWDEFEWSKQAIHVSVPKQTKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYLKLVRKYGLEISQPALEPSKSTKGVCWNMTRKRENSEVHKEAVEAGRCKYPLLPPCAAFVEIMAPVFSRNAWRCVWYMIQNEFVHGWGLDFSFRKCVEPAHEKIGVVDAQWIVHQGIPSLGNQGEAQTNGKPAWRAVKERCGMEWRMFQGRLTNAERGYYKSKGIDFSNLLVHN >Vigun03g079600.4.v1.2 pep primary_assembly:ASM411807v1:3:6638249:6643117:-1 gene:Vigun03g079600.v1.2 transcript:Vigun03g079600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGNDQKMVTATRSFAVKKPNESMRQIVLLFVGGFLGLIIGLSLPPLSITKLNLPYGFLHFSCVQEKYIDSSTSSQDQFQSDPTKIWVPTNPRGAERLPPGIVKAESDLFLRRLSGTPSEDLTFIPKYLVTFTVGYEQKKNIDAAVKKFSKDFTILLFHYDGRTTEWDEFEWSKQAIHVSVPKQTKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYLKLVRKYGLEISQPALEPSKSTKGVCWNMTRKRENSEVHKEAVEAGRCKYPLLPPCAAFVEIMAPVFSRNAWRCVWYMIQNEFVHGWGLDFSFRKCVEPAHEKIGVVDAQWIVHQGIPSLGNQGEAQTNGKPAWRAVKERCGMEWRMFQGRLTNAERGYYKSKGIDFSNLLVHN >Vigun10g003900.1.v1.2 pep primary_assembly:ASM411807v1:10:356765:357873:1 gene:Vigun10g003900.v1.2 transcript:Vigun10g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCSKCRHRFQHSYCSNYYREMVEIEICDWCQSERRNYTRHHGNSKKSVAGSHSGGTSRSEYSGEKIKQHEESGSSLEKGKGPAPSPRPSTRRYKLLKDVMC >Vigun10g003900.2.v1.2 pep primary_assembly:ASM411807v1:10:356765:357873:1 gene:Vigun10g003900.v1.2 transcript:Vigun10g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCSKCRHRFQHSNYYREMVEIEICDWCQSERRNYTRHHGNSKKSVAGSHSGGTSRSEYSGEKIKQHEESGSSLEKGKGPAPSPRPSTRRYKLLKDVMC >Vigun10g094700.2.v1.2 pep primary_assembly:ASM411807v1:10:27299412:27302750:1 gene:Vigun10g094700.v1.2 transcript:Vigun10g094700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAPLFVNANDKRWTPDVVVFDSHQRYGTLSYWIQHLFSTSSGSTLLYSTVEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTISILFSTLCIFFFNIYMVIVNTSPNHQ >Vigun10g094700.1.v1.2 pep primary_assembly:ASM411807v1:10:27299412:27302750:1 gene:Vigun10g094700.v1.2 transcript:Vigun10g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAPLFVNANDKRWTPDVVVFDSHQRYGTLSYWIQHLFSTSSGSTLLYSTVEIVASTIEYRNPVDKNKYLRIKVLNFDNDPHDFRFSISFIFRTISILFSTLCIFFFNIYMVIVNTSPNHQ >VigunL053301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:78250:79215:1 gene:VigunL053301.v1.2 transcript:VigunL053301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTWSIICRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVLK >VigunL083800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000629.1:16881:21655:-1 gene:VigunL083800.v1.2 transcript:VigunL083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDKIPQYERDILTTIKPELLQSLKGGLTSERKIELEKLLKEKAKNYI >VigunL055800.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000401.1:5928:12322:1 gene:VigunL055800.v1.2 transcript:VigunL055800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >VigunL055800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000401.1:6733:12322:1 gene:VigunL055800.v1.2 transcript:VigunL055800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >VigunL055800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000401.1:4813:12322:1 gene:VigunL055800.v1.2 transcript:VigunL055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >Vigun03g044600.8.v1.2 pep primary_assembly:ASM411807v1:3:3585231:3589501:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTYVED >Vigun03g044600.7.v1.2 pep primary_assembly:ASM411807v1:3:3585231:3589501:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTYVED >Vigun03g044600.4.v1.2 pep primary_assembly:ASM411807v1:3:3585240:3589498:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTLVCGGLAGSTAALFTTPFDVIKTRLQTQVPGSSNQYDSVLHALYEISKVEGLKGLYRGLIPRLIMYMTQGSLFFASYEFFKRAFSLEVSHGRDVEREEPTK >Vigun03g044600.3.v1.2 pep primary_assembly:ASM411807v1:3:3585253:3589460:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTVS >Vigun03g044600.6.v1.2 pep primary_assembly:ASM411807v1:3:3585253:3589454:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTLVCGGLAGSTAALFTTPFDVIKTRLQTQVPGSSNQYDSVLHALYEISKVEGLKGLYRGLIPRLIMYMTQGSLFFASYEFFKRAFSLEVSHGRDVEREEPTK >Vigun03g044600.1.v1.2 pep primary_assembly:ASM411807v1:3:3585205:3589504:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTLVCGGLAGSTAALFTTPFDVIKTRLQTQVPGSSNQYDSVLHALYEISKVEGLKGLYRGLIPRLIMYMTQGSLFFASYEFFKRAFSLEVSHGRDVEREEPTK >Vigun03g044600.5.v1.2 pep primary_assembly:ASM411807v1:3:3585037:3589504:1 gene:Vigun03g044600.v1.2 transcript:Vigun03g044600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKSPKNDQQSIKYWRIQHGGASSQKDLSCADYSPSLSNDENKQRYGSFQPKSPQILSTSQLISAIGQVCYSASKSLSVLLPKENLNQDDNGLSREKILDNIGERKNDLVYTSNGTKFYPLTADAAKIVRERLDFPTVTKKISVFESPNESQEYIHSLLQRFSKASDKITNKDCKRMKLAREEMLFKSGNVYQWAGRNAVEMLNCQMNVPQPENLETKSSVSGSDISLDTSAPALANEERDVCSHDSITHQSQSLSNAEADVGDCHINSLALYTSASEQCQHDIDDNESLEVQRKQLLDITNDEPKLQTFSATHLKPRKSQAKQEHAFSGALAGVCVSLCLHPVDTIKTVIQSCRAEHQSIFYIGKSIVSDRGLLGLYRGITTNIASSAPISAVYIFSYESVKAALLPYLPKEYYSIAHCVGGGCASIATSFIFTPSERIKQQLQVRSQYRNCWDALVGIIRNGGFTSLYAGWRAVLCRNIPHSIIKFYTYESLKEVMPSSVQPYTLQTLVCGGLAGSTAALFTTPFDVIKTRLQTQVPGSSNQYDSVLHALYEISKVEGLKGLYRGLIPRLIMYMTQGSLFFASYEFFKRAFSLEVSHGRDVEREEPTK >Vigun10g178950.1.v1.2 pep primary_assembly:ASM411807v1:10:39715020:39716064:1 gene:Vigun10g178950.v1.2 transcript:Vigun10g178950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTPDMSAMHHDSSADLNVVGSKLKNIFEISDTSLEVRKVVVEICNIIATRGSRLAAAGILGILKKMGKDSVSDVKGEKNVIAMDGGLYEHYTEYSKCLENTLKELVGDDASESIIIELSKDGSGIGAALLAASLSTQ >Vigun05g000020.1.v1.2 pep primary_assembly:ASM411807v1:5:3126:4067:1 gene:Vigun05g000020.v1.2 transcript:Vigun05g000020.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSYTRNLKPKPQTPNPKTRNPKPYKGNPKPYIRNLKPYTPETLNPNPKPQIPKPETPNPTHETQNPTPETRNPTPKTRNHKPETLNLKPNPQTPNPETLHPTPYTRNPTLETPNMKP >Vigun01g125400.1.v1.2 pep primary_assembly:ASM411807v1:1:30215142:30217868:-1 gene:Vigun01g125400.v1.2 transcript:Vigun01g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPVLFPSSVSPLPLSDPHLISRSPVVVQLSEAASTAAKHSSSAVLHRHAASWSLDRCQPSDQSLPSIGRPSNGWDDPSGIGESGAHRQHNKQDPLEEVVVVGREEPGEDSGEKGNHTRKGRNLGLETLAGVASPLSPSFTPQDRWYEEEKAIPLKKRRGAFEENNSAATHSKKTKAKMKTKMNKKCSSRNEDSTEEEDEEERKVDDVNVSVSKKRVRGSALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSIASTAPKKVHIHHHHSSSSSSSLEKQNLSVAEPFVEEEEEEEKPEIITKKRMKLGVVKARSISSLLGQTNTQIAAVAHENSK >Vigun05g156200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25356234:25357979:1 gene:Vigun05g156200.v1.2 transcript:Vigun05g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQSSCLLPEDMLMEILSWLPVKDVVRLKCISKGWNHLVSDSAFVKLHLIRSPKNTHILLTFDTRGGGYAIAPVQDLLDNPSSTVETLLRNDFPYNPFNRSYTVLGVLNGLVCLQFSWVDDEFEHHWFHMWNPATRAMSIDSPHISFDHSDYKDVFWFMFGFGYDEWSDTYQVLFLDNNKNESQELKVRVWSVGDTCWRNTSICDAFSTIICPVSRVSRGSVSRGIFVSGTLNWLAFPKSYSYYDNVKMNQLEIFSYHQKEETCRYFPMPDGILEINVCEPELEVLKGCLCLSHHHEGNFTVWLKREFNHEKSWSKLLTLRYEDHYKYLSRDFLLELWIIWMCEDDDDFVLLANRYIDKPDLIRYNTRDNRIDRRELYKHESSNIFSYDYAPSLVFPHVELPLGYFI >Vigun03g318000.2.v1.2 pep primary_assembly:ASM411807v1:3:51244948:51248843:1 gene:Vigun03g318000.v1.2 transcript:Vigun03g318000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGRGTLNMEQGQEKGTQKDDSGLATAHTIDSDSWKQVGLMLVSAFNCGWILSFSNLIMWPLGWTWGIICLLLVGIYTAYANWLLAAFHFVDNRRFIRYRDLMGYVYGKGMYHITWVFQFLTLLLGNMGFILLGGKALKEINSEFSDSPLRLQYYIVITGAAYFFYSFSIPTMSAMKNWLGISAVLTFTYIIFLLFVLVKDGKSNSDRDFDISGSQVSKVFNSFGAISAIVVSNTSGLLPEIQSTLRKPAVKNMRKALYLQYTVGVVFYYGVTVMGYWAYGSAVSAYLPENLSGPRWINVLINAIVFLQSIVSQHMFVAPILEALDTKFLEIDKAMHSGENLKRLFLLRAFFFTGNTFVAAAFPFMGDFVNFLGSFSLIPLTFMFPSMVFIKVKGRTASIQKKAWHWFNVVFSFLLTIATTISAIRLIVDNIQKYHFFADA >Vigun03g318000.1.v1.2 pep primary_assembly:ASM411807v1:3:51245062:51248897:1 gene:Vigun03g318000.v1.2 transcript:Vigun03g318000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGRGTLNMEQGQEKGTQKDDSGLATAHTIDSDSWKQVGLMLVSAFNCGWILSFSNLIMWPLGWTWGIICLLLVGIYTAYANWLLAAFHFVDNRRFIRYRDLMGYVYGKGMYHITWVFQFLTLLLGNMGFILLGGKALKEINSEFSDSPLRLQYYIVITGAAYFFYSFSIPTMSAMKNWLGISAVLTFTYIIFLLFVLVKDGKSNSDRDFDISGSQVSKVFNSFGAISAIVVSNTSGLLPEIQSTLRKPAVKNMRKALYLQYTVGVVFYYGVTVMGYWAYGSAVSAYLPENLSGPRWINVLINAIVFLQSIVSQHMFVAPILEALDTKFLEIDKAMHSGENLKRLFLLRAFFFTGNTFVAAAFPFMGDFVNFLGSFSLIPLTFMFPSMVFIKVKGRTASIQKKAWHWFNVVFSFLLTIATTISAIRLIVDNIQKYHFFADA >Vigun07g153750.1.v1.2 pep primary_assembly:ASM411807v1:7:26483421:26486511:1 gene:Vigun07g153750.v1.2 transcript:Vigun07g153750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKEPSYQLDAALDSTIEFLNESQSWAHRPKSIHRIEKV >VigunL035450.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:292359:294052:1 gene:VigunL035450.v1.2 transcript:VigunL035450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVGTKKKAADSVARAAIRARCHYVNKKWLGGMLTNWYTTKTRLQKFRDLRMQQKTGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICRQIKRERRCLNKNNSLFSTSFFRGQDNMNVLLCSINTLKRLYDISAVEVGQHFYWQIGGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLAYFSKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESIEGHH >Vigun03g450400.1.v1.2 pep primary_assembly:ASM411807v1:3:65141904:65182973:-1 gene:Vigun03g450400.v1.2 transcript:Vigun03g450400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNNKLKAKAQSGPKLQISAENENRLRRLLLNSARPNSAAVTATATATATATATATEGTLTKAQKAKKLKAVYDKLSCEGFTNRQIELALSALREAATFESALDWLCLNLPGNELPLKFSTGTYNYSEGGSVGVISNQPNNSTPAVDPSITTKEDAPESPLLIKRQWKDDTLDSCLPSQADWIRQYVELQEEDESETWEDDIFMGNSATKKIYEPRPYDVIAKEYLAARLEATKAKEEGKKKRQEQAGHIIRKLKQELAALGLSDDSLSLEHGHEISSNFKSERASTGHEPVDCFKEKTPCDTEGLASDKTAVDGSDLESHSMVEHLVKSGSPVVLAEKNSAQGEVGDVELGGLFLEDDSSSEMLPPDIVKVHKQEKIRRLSEKNLDKLEGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFDKIVSRGKSFSYTVSILRKASGRGKNRKAGGLVTLQLPDQNETVESAEDAQNKVAAYALYKLFPEVPVHLPVTEPYASFILKWMEGESSSNLEDSEKDHRSGFVDSLLNDSISGATAFVDVTDYKCPEYFDGVYENNCSTIAHHQQFAQRETDIKEMESANLRQMQHIKMRTPRYQDMLNLRATLPIAALKGDILQLMKEHDVLVVCGETGSGKTTQVPQFILDDMIESGHGGYCNIICTQPRRIAAISVAERVADERCEPSPGSHGSLIGYQVRLDSARNEKTRLLFCTTGIVLRKLMGDRSLSGITHIIVDEVHERSLLGDFLLIVLKNLIEKQSSKGFRKLKIILMSATVDSSLFSRYFSNCPVVTAEGRTHPVTTYFLEDIYDKIEYRLTSDSAASLTNGAFPGRQILQRDVVTKSRGRKNLVLSAWGDESLLSEGQVNPYFVPSCYEFYSEQTQQNMKRLNEDVIDYELLEDLICFVDETCSEGAILVFLPGMSEINYLHDKLVASRQFGGPSSEWIIPLHSTVASSEQKRVFLRPPGNIRKVVIATNIAETSITIDDVIYVIDCGKHKENRYNPQKKLSSMVEDWISQANAMQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSFGYIKPFLLEALEPPKVEAMDSAISLLYEVGALEGDEELTPLGHHLAKLPVDVLIGKMLLYGAIFGCLSPILSVAAFLSYKSPFVYPKDERQNVERAKLTLLNDKIDGTGNTNDIDRQSDHLLMMTAYKRWQRIFTEKGAKAAQKFCSSVFLSSSVMFMIREMRTQFGTLLADIGLITRPKDYQKHGKKIGSLDDWLSDASQPFNIYAHHSSVLKAILCAGLYPNVAAGEHGIVAAALSSLKQSSSSANSRHTVWFDGRREVHIHPSSINSNSKAFQYPFLVFLEKVETNKVFLRDTSVISPYSILLFGGSINVQHQTGQVIIDGWLKLTAPAQVAVLFKELRLALDSIMKELIRKPENATGLNNEIIKSIITLLLEEGSTHQ >Vigun03g450400.3.v1.2 pep primary_assembly:ASM411807v1:3:65158049:65182973:-1 gene:Vigun03g450400.v1.2 transcript:Vigun03g450400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNNKLKAKAQSGPKLQISAENENRLRRLLLNSARPNSAAVTATATATATATATATEGTLTKAQKAKKLKAVYDKLSCEGFTNRQIELALSALREAATFESALDWLCLNLPGNELPLKFSTGTYNYSEGGSVGVISNQPNNSTPAVDPSITTKEDAPESPLLIKRQWKDDTLDSCLPSQADWIRQYVELQEEDESETWEDDIFMGNSATKKIYEPRPYDVIAKEYLAARLEATKAKEEGKKKRQEQAGHIIRKLKQELAALGLSDDSLSLEHGHEISSNFKSERASTGHEPVDCFKEKTPCDTEGLASDKTAVDGSDLESHSMVEHLVKSGSPVVLAEKNSAQGEVGDVELGGLFLEDDSSSEMLPPDIVKVHKQEKIRRLSEKNLDKLEGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFDKIVSRGKSFSYTVSILRKASGRGKNRKAGGLVTLQLPDQNETVESAEDAQNKVAAYALYKLFPEVPVHLPVTEPYASFILKWMEGESSSNLEDSEKDHRSGFVDSLLNDSISGATAFVDVTDYKCPEYFDGVYENNCSTIAHHQQFAQRETDIKEMESANLRQMQHIKMRTPRYQDMLNLRATLPIAALKGDILQLMKEHDVLVVCGETGSGKTTQVPQFILDDMIESGHGGYCNIICTQPRRIAAISVAERVADERCEPSPGSHGSLIGYQVRLDSARNEKTRLLFCTTGIVLRKLMGDRSLSGITHIIVDEVHERSLLGDFLLIVLKNLIEKQSSKGFRKLKIILMSATVDSSLFSRYFSNCPVVTAEGRTHPVTTYFLEDIYDKIEYRLTSDSAASLTNGAFPGRQILQRDVVTKSRGRKNLVLSAWGDESLLSEGQVNPYFVPSCYEFYSEQTQQNMKRLNEDVIDYELLEDLICFVDETCSEGAILVFLPGMSEINYLHDKLVASRQFGGPSSEWIIPLHSTVASSEQKRVFLRPPGNIRKVVIATNIAETSITIDDVIYVIDCGKHKENRYNPQKKLSSMVEDWISQANAMQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVMYIELPISWSLISSLAFVCVCVEDVLLMMSKTILRYKKRREEKLAQVMYIELQFRGP >Vigun03g450400.2.v1.2 pep primary_assembly:ASM411807v1:3:65141904:65182973:-1 gene:Vigun03g450400.v1.2 transcript:Vigun03g450400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNNKLKAKAQSGPKLQISAENENRLRRLLLNSARPNSAAVTATATATATATATATEGTLTKAQKAKKLKAVYDKLSCEGFTNRQIELALSALREAATFESALDWLCLNLPGNELPLKFSTGTYNYSEGGSVGVISNQPNNSTPAVDPSITTKEDAPESPLLIKRQWKDDTLDSCLPSQADWIRQYVELQEEDESETWEDDIFMGNSATKKIYEPRPYDVIAKEYLAARLEATKAKEEGKKKRQEQAGHIIRKLKQELAALGLSDDSLSLEHGHEISSNFKSERASTGHEPVDCFKEKTPCDTEGLASDKTAVDGSDLESHSMVEHLVKSGSPVVLAEKNSAQGEVGDVELGGLFLEDDSSSEMLPPDIVKVHKQEKIRRLSEKNLDKLEGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFDKIVSRGKSFSYTVSILRKASGRGKNRKAGGLVTLQLPDQNETVESAEDAQNKVAAYALYKLFPEVPVHLPVTEPYASFILKWMEGESSSNLEDSEKDHRSGFVDSLLNDSISGATAFVDVTDYKCPEYFDGVYENNCSTIAHHQQFAQRETDIKEMESANLRQMQHIKMRTPRYQDMLNLRATLPIAALKGDILQLMKEHDVLVVCGETGSGKTTQVPQFILDDMIESGHGGYCNIICTQPRRIAAISVAERVADERCEPSPGSHGSLIGYQVRLDSARNEKTRLLFCTTGIVLRKLMGDRSLSGITHIIVDEVHERSLLGDFLLIVLKNLIEKQSSKGFRKLKIILMSATVDSSLFSRYFSNCPVVTAEGRTHPVTTYFLEDIYDKIEYRLTSDSAASLTNGAFPGRQRDVVTKSRGRKNLVLSAWGDESLLSEGQVNPYFVPSCYEFYSEQTQQNMKRLNEDVIDYELLEDLICFVDETCSEGAILVFLPGMSEINYLHDKLVASRQFGGPSSEWIIPLHSTVASSEQKRVFLRPPGNIRKVVIATNIAETSITIDDVIYVIDCGKHKENRYNPQKKLSSMVEDWISQANAMQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSFGYIKPFLLEALEPPKVEAMDSAISLLYEVGALEGDEELTPLGHHLAKLPVDVLIGKMLLYGAIFGCLSPILSVAAFLSYKSPFVYPKDERQNVERAKLTLLNDKIDGTGNTNDIDRQSDHLLMMTAYKRWQRIFTEKGAKAAQKFCSSVFLSSSVMFMIREMRTQFGTLLADIGLITRPKDYQKHGKKIGSLDDWLSDASQPFNIYAHHSSVLKAILCAGLYPNVAAGEHGIVAAALSSLKQSSSSANSRHTVWFDGRREVHIHPSSINSNSKAFQYPFLVFLEKVETNKVFLRDTSVISPYSILLFGGSINVQHQTGQVIIDGWLKLTAPAQVAVLFKELRLALDSIMKELIRKPENATGLNNEIIKSIITLLLEEGSTHQ >Vigun09g090100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12503631:12503969:1 gene:Vigun09g090100.v1.2 transcript:Vigun09g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFMLMSRQGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSA >Vigun07g117300.1.v1.2 pep primary_assembly:ASM411807v1:7:21715713:21722824:1 gene:Vigun07g117300.v1.2 transcript:Vigun07g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLRNLNPLYRTCISRLSSSNFPPPVLESLNCHPLQSCLTENPAFKIPKRWHLGHSHHDEHDRRHKEGENIFRLGLAADICLATGKAVTGYLSGSTAIIADAAHSISDVVLSGIALVSFKVAKAPRDKEHPYGHGKFETIGALGISCMLLATGGGIAWHAVDLLMGLFSSGPEMVSEGLAHRHSHEHGGHHHGIDMDHPILALNMTIVSICVKEGLYWVTKQASEKQGSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGAESGYQSVLELVDAAIPAQQLDPIKQTILQVDGVKGCHRLRGRRAGSYLYLDVHIEVDPFSSVSAAHDIGENVRHQIHKSHPTVVEIFIHIDPAMSHASPCTIDQQDSWSEDMDQHSIVPAEDGNIKGIVSDIISSKFPQMVVEHITRHTFQSKIVLQIEVSMPHDILIRHAMEMAQQAEKEILKAVSNTIHVCIQLRLGQPFPQTNHT >Vigun03g023200.3.v1.2 pep primary_assembly:ASM411807v1:3:1719148:1725840:1 gene:Vigun03g023200.v1.2 transcript:Vigun03g023200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICQKKVSTPIEVLCRNQPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREDYEFDYVFDWTILKYQQSQKSAVLPPLSPVPGASNSRAIPMDTDNHQGHVKERNRAGDATGSGVKIQFKSPGGKNVSYENPHDKNIYGEANIPAASSSPAGTSKRNSLKQSLSAEASNPGHGQGSKIGPSSSWMSSLQHMSSAK >Vigun03g023200.1.v1.2 pep primary_assembly:ASM411807v1:3:1719148:1725840:1 gene:Vigun03g023200.v1.2 transcript:Vigun03g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPNIKWSGIDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICQKKVSTPIEVLCRNQPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREDYEFDYVFDWTILKYQQSQKSAVLPPLSPVPGASNSRAIPMDTDNHQGHVKERNRAGDATGSGVKIQFKSPGGKNVSYENPHDKNIYGEANIPAASSSPAGTSKRNSLKQSLSAEASNPGHGQGSKIGPSSSWMSSLQHMSSAK >Vigun03g023200.2.v1.2 pep primary_assembly:ASM411807v1:3:1719148:1725839:1 gene:Vigun03g023200.v1.2 transcript:Vigun03g023200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPNIKWSGIDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICQKKVSTPIEVLCRNQPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREDYEFDYVFDWTILKYQQSQKSAVLPPLSPVPGASNSRAIPMDTDNHQGHVKERNRAGDATGSGVKIQFKSPGGKNVSYENPHDKNIYGEANIPAASSSPAGTSKRNSLKQSLSAEASNPGHGQGSKIGPSSSWMSSLQHMSSAK >Vigun07g156300.1.v1.2 pep primary_assembly:ASM411807v1:7:26717827:26722016:-1 gene:Vigun07g156300.v1.2 transcript:Vigun07g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGMQVVDKKPNGVLPASYGSSNDNVCISPKIAAVKAQAKDHEVKECTKGDSFVEKSHEKKDVLSAKTTNCNTDLPEEEIESSEVLKIGDSIKFSSPAGKEHTGHFVTHPTDLVTEKHESHTQIVDTEADANGLNLSSNTNNMHSPISSKNSQPNSPFSSSKPLQHDKKNYDDEDNWSIASSAMSMRTARSKVTLGSAPTFRCSERAEKRREFYLKLEEKHRALREEKNQYEARIKEEQEAAIKQLRKNLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPKLSRRRSFGDTVNSSPEACSRARHSTGGGGHIKSGSNAPFPHKNNIRRNSNSSNNNGVCKPKERSKVDKETKTAPPNITDRANADISVQS >Vigun07g156300.2.v1.2 pep primary_assembly:ASM411807v1:7:26717811:26722017:-1 gene:Vigun07g156300.v1.2 transcript:Vigun07g156300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGMQVVDKKPNGVLPASYGSSNDNVCISPKIAAVKAQAKDHEVKECTKGDSFVEKSHEKKDVLSAKTTNCNTDLPEEEIESSEVLKIGDSIKFSSPAGKEHTGHFVTHPTDLVTEKHESHTQIVDTEADANGLNLSSNTNNMHSPISSKNSQPNSPFSSSKPLQHDKKNYDDEDNWSIASSAMSMRTARSKVTLGSAPTFRCSERAEKRREFYLKLEEKHRALREEKNQYEARIKEEQEAAIKQLRKNLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPKLSRRRSFGDTVNSSPEACSRARHSTGGGGHIKSGSNAPFPHKNNIRRNSNSSNNNGVCKPKERSKVDKETKTAPPNITDRANADISVQS >Vigun07g156300.3.v1.2 pep primary_assembly:ASM411807v1:7:26717839:26721827:-1 gene:Vigun07g156300.v1.2 transcript:Vigun07g156300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGMQVVDKKPNGVLPASYGSSNDNVCISPKIAAVKAQAKDHEVKECTKGDSFVEKSHEKKDVLSAKTTNCNTDLPEEEIESSEVLKIGDSIKFSSPAGKEHTGHFVTHPTDLVTEKHESHTQIVDTEADANGLNLSSNTNNMHSPISSKNSQPNSPFSSSKPLQHDKKNYDDEDNWSIASSAMSMRTARSKVTLGSAPTFRCSERAEKRREFYLKLEEKHRALREEKNQYEARIKEEQEAAIKQLRKNLVIKANPVPSFYYEGPPPKTELKKLPLTRPKSPKLSRRRSFGDTVNSSPEACSRARHSTGGGGHIKSGSNAPFPHKNNIRRNSNSSNNNGVCKPKERSKVDKETKTAPPNITDRANADISVQS >Vigun05g068800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5941231:5944600:1 gene:Vigun05g068800.v1.2 transcript:Vigun05g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTNKMKAVPVLLKQLMTTRRKFHERGRDESLDKKLEKLRLDLNRIKDVFVRVKKNEEELLDTLAEVYGHLRRLDRGKLDEDMDGICKRIRDCSRKLLPKDGFDESYKEEDEKGVKISHSSQELVQPHLNKSWTLEDYYRLSLPSRFCLLSLQIFPENAVIRKRNAINFWIGEGLITNTEKKTAEEMGEDVIDDLLKCKVIVRYGNEKSPLVKKFQILSGDLRHLEPNLDGDFDYIRPSSLQLDSKKVTVGGVHSKNVTIRNIFNIGASYLNFRPQWTTELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLKELKYLSLRGISRIFEVPSSIAELEKLLILDVKACHNLERLPDDISSMKSLTHLIMSDCCLLEGMPKGIEKLRNLEVVKGFLISTSEKTPCRISDLVNLEKLRRLSILIGSEAEIRDGEFESLKDFLALENLKISWSVSDPKYAKIKVFLPLRLKKLHLECFPGKSLQEYFTFMPSAWFGSTSTELNITGGKLERMVDIQWWRVDILRLKYLKQMNIEVDDLKAMFPLLKYVEIKQISNHSYIKHEWRI >Vigun07g124400.2.v1.2 pep primary_assembly:ASM411807v1:7:23048192:23052736:-1 gene:Vigun07g124400.v1.2 transcript:Vigun07g124400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSPLTTIFFLIVLWGGEAREPFACDPKNAATRGLPFCKASAAIAERVKDLIGRLTVEEKVSLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGGQFPAATSFPQVITTAASFNASLWEAIGQVASDEARAMYNGGTGGLTYWSPNVNIFRDPRWGRGQETPGEDPLLAGKYAASYVRGLQGNDGNRLKVAASCKHFTAYDLDNWNGVDRFHFNAEVSKQDMKDTFNVPFRMCVKEGNVASVMCSYNQVNGVPTCADPVLLKTTVRGLWGLNGQHYTSTPEEAAADAIKAGLDLDCGPFLAQHTNNAVKKGLLTEAHVNGALANTLTVQMRLGMYDGEPSGHPYGNLGPRDVCTPSHQHLALQAATQGIVLLKNKGPSLPLSTRRYRTLALIGPNSNATVTMIGNYAGIACGYTSPLQGIGKYTKTIHEPGCANVACRDNKQFGKAINAAQQADATVLVMGLDQSMEAETVDRAGLLLPGHQQDLVSQVASASKGPTILVIMSGGPVDITFAKNDPRIQGILWAGYPGQAGGAAIADILFGTSNPGGKLPMTWYPEGYVEKLAMTNMAMRSSKRKGYPGRTYRFYSGPVVYPFGYGLSYTHFVHTLASAPKVVSIPVDGHRHRNSSSNIANKAIKVTHARCGKLSVSLHVDVKNVGSRDGTHTLLVFSAPPAGKGHWAPLKQLVGFHKVHLPPKAQQRVRINIHVCKLLSVVDTSGTRRIPMGSHTLHIADIKHSLALHPQTLGIIKT >Vigun07g124400.1.v1.2 pep primary_assembly:ASM411807v1:7:23048192:23052736:-1 gene:Vigun07g124400.v1.2 transcript:Vigun07g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSPLTTIFFLIVLWGGEAREPFACDPKNAATRGLPFCKASAAIAERVKDLIGRLTVEEKVSLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGGQFPAATSFPQVITTAASFNASLWEAIGQVASDEARAMYNGGTGGLTYWSPNVNIFRDPRWGRGQETPGEDPLLAGKYAASYVRGLQGNDGNRLKVAASCKHFTAYDLDNWNGVDRFHFNAEVSKQDMKDTFNVPFRMCVKEGNVASVMCSYNQVNGVPTCADPVLLKTTVRGLWGLNGYIVSDCDSVGVFYNSQHYTSTPEEAAADAIKAGLDLDCGPFLAQHTNNAVKKGLLTEAHVNGALANTLTVQMRLGMYDGEPSGHPYGNLGPRDVCTPSHQHLALQAATQGIVLLKNKGPSLPLSTRRYRTLALIGPNSNATVTMIGNYAGIACGYTSPLQGIGKYTKTIHEPGCANVACRDNKQFGKAINAAQQADATVLVMGLDQSMEAETVDRAGLLLPGHQQDLVSQVASASKGPTILVIMSGGPVDITFAKNDPRIQGILWAGYPGQAGGAAIADILFGTSNPGGKLPMTWYPEGYVEKLAMTNMAMRSSKRKGYPGRTYRFYSGPVVYPFGYGLSYTHFVHTLASAPKVVSIPVDGHRHRNSSSNIANKAIKVTHARCGKLSVSLHVDVKNVGSRDGTHTLLVFSAPPAGKGHWAPLKQLVGFHKVHLPPKAQQRVRINIHVCKLLSVVDTSGTRRIPMGSHTLHIADIKHSLALHPQTLGIIKT >Vigun07g264100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37972709:37989158:1 gene:Vigun07g264100.v1.2 transcript:Vigun07g264100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSELNDVSSGSIPLLVLAQIAMCVNYLRSMLFALLQSLGLARFHTHQIVDDRFLAAVGSGLAGLIMLSDQLTLNNQLFFYEGPAHDHPCVVCQATFQDGDQLRMLPCRHVFHRRCFDGWLHHYKFNCPLCRSPLLSEERVALTERRLGQQLISWFSLH >Vigun07g264100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37987353:37989273:1 gene:Vigun07g264100.v1.2 transcript:Vigun07g264100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSELNDVSSGSIPLLVLAQIAMCVNYLRSMLFALLQSLGLARFHTHQIVDDRFLAAVGSGLAGLIMLSDQLTLNNQLFFYEGPAHDHPCVVCQATFQDGDQLRMLPCRHVFHRRCFDGWLHHYKFNCPLCRSPLLSEERVALTERRLGQQLISWFSLH >Vigun08g083600.1.v1.2 pep primary_assembly:ASM411807v1:8:17993555:17999076:1 gene:Vigun08g083600.v1.2 transcript:Vigun08g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKLGGGGGGGVMAATFDEFKWISFMFDHDINDDVKPCKLDPFTGASGHLNDAFENLDAVTAFSSCGGVTDGTLLHSQNLTPIQSTISATIDSQSSICVGSPVSANKPNGRDNEVKGATTTSGSSRDPSDEDDEAGLCEQSTNAVDTKRLRRKDSNRESARRSRRRKQAHLADLEWQVERLRQENSHLFKQLRDASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVRRGTIPPFNNQILPNLSELSTPSPLNSNMNNLRRMAHVSPTITVHGNDGASYGAGITHNSPLELGNLDIPCTDFNSDNAVSSITTMWP >Vigun08g083600.4.v1.2 pep primary_assembly:ASM411807v1:8:17997021:17999076:1 gene:Vigun08g083600.v1.2 transcript:Vigun08g083600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGLYLLQDAVTAFSSCGGVTDGTLLHSQNLTPIQSTISATIDSQSSICVGSPVSANKPNGRDNEVKGATTTSGSSRDPSDEDDEAGLCEQSTNAVDTKRLRRKDSNRESARRSRRRKQAHLADLEWQVERLRQENSHLFKQLRDASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVRRGTIPPFNNQILPNLSELSTPSPLNSNMNNLRRMAHVSPTITVHGNDGASYGAGITHNSPLELGNLDIPCTDFNSDNAVSSITTMWP >Vigun08g083600.2.v1.2 pep primary_assembly:ASM411807v1:8:17993536:17999076:1 gene:Vigun08g083600.v1.2 transcript:Vigun08g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTAFSSCGGVTDGTLLHSQNLTPIQSTISATIDSQSSICVGSPVSANKPNGRDNEVKGATTTSGSSRDPSDEDDEAGLCEQSTNAVDTKRLRRKDSNRESARRSRRRKQAHLADLEWQVERLRQENSHLFKQLRDASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVRRGTIPPFNNQILPNLSELSTPSPLNSNMNNLRRMAHVSPTITVHGNDGASYGAGITHNSPLELGNLDIPCTDFNSDNAVSSITTMWP >Vigun08g083600.3.v1.2 pep primary_assembly:ASM411807v1:8:17995703:17999076:1 gene:Vigun08g083600.v1.2 transcript:Vigun08g083600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTAFSSCGGVTDGTLLHSQNLTPIQSTISATIDSQSSICVGSPVSANKPNGRDNEVKGATTTSGSSRDPSDEDDEAGLCEQSTNAVDTKRLRRKDSNRESARRSRRRKQAHLADLEWQVERLRQENSHLFKQLRDASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVRRGTIPPFNNQILPNLSELSTPSPLNSNMNNLRRMAHVSPTITVHGNDGASYGAGITHNSPLELGNLDIPCTDFNSDNAVSSITTMWP >VigunL059727.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:27164:27550:-1 gene:VigunL059727.v1.2 transcript:VigunL059727.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVGRRCNPQGDPTNQLPCALRVYSPVDSHTCQTPWSVFQDGPNGEPTSRCPEHARAETRHEARTAVHNRNDDVSTSISTARAWATVTIRIDQCLESIGRPAFTVPHPTETHRRPPSASLPTISSTL >Vigun10g197100.1.v1.2 pep primary_assembly:ASM411807v1:10:41022759:41025616:1 gene:Vigun10g197100.v1.2 transcript:Vigun10g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTMVRPAEEEERRVVWNSNVDLVVPNFHTPSVYFYRANGASNFFDGKVLKEALSKVLVPFYPMAGRLRRDEDGRVEIDCDGQGVLFVEADTGAVIDDFGDFAPTLELRQLIPAVDYSQGIETYPLLVLQVTHFKCGGASLGVGMQHHVADGASGLHFINTWSDVARGLDVSIPPFIDRTILRARDPPRPVFDHIEYKPPPAMKTKPPTESDTAAVSIFKLTRDQLSTLKAKSKEDGNTITYSSYEMLAGHVWRSVCKARALPEDQETKLYIATDGRSRLQPSPPPGYFGNVIFTTTPIAVAGDLMSKPTWYAASRIHNALLRMDNEYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWTRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPNSINDGSLSVAIALQPDHMKVFKEYLYDI >Vigun10g197100.2.v1.2 pep primary_assembly:ASM411807v1:10:41022569:41025617:1 gene:Vigun10g197100.v1.2 transcript:Vigun10g197100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTMVRPAEEEERRVVWNSNVDLVVPNFHTPSVYFYRANGASNFFDGKVLKEALSKVLVPFYPMAGRLRRDEDGRVEIDCDGQGVLFVEADTGAVIDDFGDFAPTLELRQLIPAVDYSQGIETYPLLVLQVTHFKCGGASLGVGMQHHVADGASGLHFINTWSDVARGLDVSIPPFIDRTILRARDPPRPVFDHIEYKPPPAMKTKPPTESDTAAVSIFKLTRDQLSTLKAKSKEDGNTITYSSYEMLAGHVWRSVCKARALPEDQETKLYIATDGRSRLQPSPPPGYFGNVIFTTTPIAVAGDLMSKPTWYAASRIHNALLRMDNEYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWTRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPNSINDGSLSVAIALQPDHMKVFKEYLYDI >Vigun11g075750.1.v1.2 pep primary_assembly:ASM411807v1:11:22074896:22076257:1 gene:Vigun11g075750.v1.2 transcript:Vigun11g075750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLMSNLNSIVVIVYNLLTKNLEGHKIVKLTEITLMANAIQSWLPCPLNVEITTFDVVGLVCRFLRNRKGCMWNSIIHEPCVGVWQSNWKFSVTCCSLDQWESCAIFRWCNQK >Vigun09g006600.1.v1.2 pep primary_assembly:ASM411807v1:9:487126:488746:1 gene:Vigun09g006600.v1.2 transcript:Vigun09g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFFTTKMFSFSILMLLLQLFTNLRSADAQIGVCYGTMGDNLPPANEVVSLYASNSITRMRTYYPNQDALNALRNTNIELILGVANPDLQALATSFANAVQWVQSNILNYWPSVKIKYVAVGNEVNPVGANSQYAQYVLPAIQNIYQAILAQGLQNQIKVTTAIDMSMLGNSYPPSQGSFRADVRSYIDPIIGYLLYASAPLLVNVYPYFSYSNNPTDISLSYALFTSPNVVVWDGQYGYQNLFDAMLDAVHAAIDNTGIGYVEVVVSESGWPSDGGFAATYDNANTYLGNLILRAKNGTPRRPSKPTEIYIFAMFDENQKSPDIEKHFGLFFPNKQKKYAFGFTA >Vigun10g082701.1.v1.2 pep primary_assembly:ASM411807v1:10:23379749:23381512:1 gene:Vigun10g082701.v1.2 transcript:Vigun10g082701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGSHKYEKRENEKRTKLIGSREKISKYIQSNKRKKNFLAQRSSNRCSSQKKNRKWQNNTWKEKANEQKRGKNRLSREATDREDCERPNRGGWHGGDELRVVAHLPFLRKGIVEHRWQRHSRRWS >Vigun10g082701.2.v1.2 pep primary_assembly:ASM411807v1:10:23379717:23383418:1 gene:Vigun10g082701.v1.2 transcript:Vigun10g082701.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGSHKYEKRENEKRTKLIGSREKISKYIQSNKRKKNFLAQRSSNRCSSQKKNRKWQNNTWKEKANEQKRGKNRLSREATDREDCERWRLRQDTWRC >Vigun10g082701.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23379928:23380197:1 gene:Vigun10g082701.v1.2 transcript:Vigun10g082701.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGSHKYEKRENEKRTKLIGSREKISKYIQSNKRKKNFLAQRSSNRCSSQKKNRKWQNNTWKEKANEQKRGKNRLSREATDREDCER >Vigun10g082701.3.v1.2 pep primary_assembly:ASM411807v1:10:23379717:23390483:1 gene:Vigun10g082701.v1.2 transcript:Vigun10g082701.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGSHKYEKRENEKRTKLIGSREKISKYIQSNKRKKNFLAQRSSNRCSSQKKNRKWQNNTWKEKANEQKRGKNRLSREATDREDCERCGNP >Vigun07g212200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33344644:33345689:1 gene:Vigun07g212200.v1.2 transcript:Vigun07g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRWRFSLFYTFFRTRHPFRQSSISPLTSSTHLACTSKFHSFSSIPSTHYAMRHNPRSFSGGSGELDHTKEVDTINLKFAEAREEIEMALESKDTVYFDEEAECARDAVNEVLGMFENLLAKLPEKERGALQRSMGLKIEQLKAEIAQLDE >Vigun10g191100.2.v1.2 pep primary_assembly:ASM411807v1:10:40543643:40546435:1 gene:Vigun10g191100.v1.2 transcript:Vigun10g191100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTVNVCRYKLPSWAQFEIGRAPVYWKTMNGLPPTSGEKLKLLYNPAATQLVPSEEFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADAPIYTIQICIPKHALNLIFSFTNGVDWDGPYRLQFEVPKALKNKPIEFFNRGLADELSKEGACEQAIFPDTNTVIASCAMIGNLTKEGGDRCDLNLVLGCTDPSSHLYNPLANVDDGTCPIELDSESED >Vigun10g191100.1.v1.2 pep primary_assembly:ASM411807v1:10:40543643:40546435:1 gene:Vigun10g191100.v1.2 transcript:Vigun10g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNASMFSSPTQPCSVPPTISNTLSSPFLNVSLPKSYPVKKNHVKISRKISAAAVATPTPTTEEIQEYKLPSWAQFEIGRAPVYWKTMNGLPPTSGEKLKLLYNPAATQLVPSEEFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADAPIYTIQICIPKHALNLIFSFTNGVDWDGPYRLQFEVPKALKNKPIEFFNRGLADELSKEGACEQAIFPDTNTVIASCAMIGNLTKEGGDRCDLNLVLGCTDPSSHLYNPLANVDDGTCPIELDSESED >Vigun10g191100.3.v1.2 pep primary_assembly:ASM411807v1:10:40543643:40546435:1 gene:Vigun10g191100.v1.2 transcript:Vigun10g191100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNASMFSSPTQPCSVPPTISNTLSSPFLNVSLPKSYPVKKNHVKISRKISAAAVATPTPTTEEIQEYKLPSWAQFEIGRAPVYWKTMNGLPPTSGEKLKLLYNPAATQLVPSEEFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADAPIYTIQICIPKHALNLIFSFTNGVDWDGPYRLQFEVPKALKNKPIEFFNRGLADELSKEGACEQAIFPDTNTVIASCAMIGNLTKEGDAQILVLICTIH >Vigun11g084100.1.v1.2 pep primary_assembly:ASM411807v1:11:25158876:25161210:1 gene:Vigun11g084100.v1.2 transcript:Vigun11g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQAI >Vigun11g159200.1.v1.2 pep primary_assembly:ASM411807v1:11:36699614:36702346:-1 gene:Vigun11g159200.v1.2 transcript:Vigun11g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSGDDGQNLAEREGLSFLETSALEATNIEKAFQTILTEIYHIVSKKALAAQEAAGGTTLPGQGTTINVGEASGNTKKGCCST >Vigun05g208800.1.v1.2 pep primary_assembly:ASM411807v1:5:39956539:39962308:1 gene:Vigun05g208800.v1.2 transcript:Vigun05g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFGADMSCCCELQGCNEGAKVLGVIFDLDGTLLDSERATRGVLNEFLARYGKELDREKEDKKRLGMTQKDTAAIMVTDYELPLTPDQFISEITPIYRERWAKAKALPGANRLIKHLQKNGVPMALASNSLREYIDAKISYHKGWKESFSVILGSDQVKAGKPSPYLFEEAAKKMGVDAINCLVIEDSLVGVKAANAAKMKIVAVPSRREADCNGLANVVLHSLLEFQPELWGLPPFDDWLDDTLPIEPIHLSGLYVTGCLEEATDNATLALPDQAVGLYLGWANIDTDRKFKILASINLDFSCVAYKKIRVYLIDSNSDLKQKQNMQISLVGYIRTWDNKELSSIAFDKLEEYKSIARASLDLPSFTCL >Vigun10g044000.1.v1.2 pep primary_assembly:ASM411807v1:10:6204182:6207344:1 gene:Vigun10g044000.v1.2 transcript:Vigun10g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKRENGVRMCDGKECFENESSTTSIEDVVKVLLMGLGEDINREGIRKTPFRVAKALREGTRGYVQSVKEIVEGALFPEAGLDHTEFAGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFSDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIEKDKIHDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLINFKCSSIERALNCWLGIRTNGALNTNEGLGFDEKLHSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKTLLQSIVHFYGIKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLESIPNDKYISLR >Vigun10g158500.1.v1.2 pep primary_assembly:ASM411807v1:10:37767669:37768794:1 gene:Vigun10g158500.v1.2 transcript:Vigun10g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSSSSTNFPSTAEDPHSVVDDFYFSALHDAEEMFPISDEKYAEELQLQEALYSSTTLSARVEKQVVIVDVDLDDDDDDDDLPLRTLKGKQKEIGECSSSSHGYCGICMDAKPGEEMFRNQNCSHIFCEDCIGRHVAAKIQENISMVKCPEPKCKAVIEPEFCRSIIPEEVFDRWENALCENVVLESQKFYCPFKDCSAMMICDDEEEVVTISECPHCNRLFCARCKVSWHAGLDCREFNALNDDERGREDLQVLDLAKNKSWRRCPKCRFYVEKNEGCSHISCRCGKEFCYACGSSWSQNHAC >Vigun05g109000.2.v1.2 pep primary_assembly:ASM411807v1:5:11171440:11174838:-1 gene:Vigun05g109000.v1.2 transcript:Vigun05g109000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLDNNGVPISSVNATPARVSTISVVDHCLPYNTHNLYDVTFNTHTIYTLLTSDPSLVHSWISTNVRSHQTGLMVGLDIEWRPNTQRNMQNPVATLQLCLGQHCLVFQILRSPSIPPSLVSFLADPNVTFFGVGIQEDAKKLLGDYNIRVVNIRDLRSLAAEKLRDTTLNRAGIKSLGLRVLDLDVEKPKRITRSWWDNPRLTPQQVQYATVDAFLSYEIGRSLSVLTPTPDASSQPYEMCGIAMTPRPPISSQPSEMCGIAMAPKPPFSSQPSEMCGIAMTPRPPATFQPSEMCGIARAIETMAAAIQHQSAVIVETHRTFMQQWEAARVTTAASVPCVGEFSPLEERAEMVKRSEVVPNGVVCQQKGESSGEMAKRNQHKPGDRSPYQRTGASSSQPLQSESMSMKCYRCKRSHLQGNCSKQSAEKKCFVCQKEGHYARVCPDRKGQVATGEVQQRGENGGRPQVVEKRCRRCDGPHLVKDCHADKKSDKKCYVCQSGGHLARDCPTRGEQLDTKDCHQLTDKKCYVCQRGGHLARDCPNRGEQLDTGRVQQGRVEGESVECI >Vigun05g109000.1.v1.2 pep primary_assembly:ASM411807v1:5:11172375:11174838:-1 gene:Vigun05g109000.v1.2 transcript:Vigun05g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLDNNGVPISSVNATPARVSTISVVDHCLPYNTHNLYDVTFNTHTIYTLLTSDPSLVHSWISTNVRSHQTGLMVGLDIEWRPNTQRNMQNPVATLQLCLGQHCLVFQILRSPSIPPSLVSFLADPNVTFFGVGIQEDAKKLLGDYNIRVVNIRDLRSLAAEKLRDTTLNRAGIKSLGLRVLDLDVEKPKRITRSWWDNPRLTPQQVQYATVDAFLSYEIGRSLSVLTPTPDASSQPYEMCGIAMTPRPPISSQPSEMCGIAMAPKPPFSSQPSEMCGIAMTPRPPATFQPSEMCGIARAIETMAAAIQHQSAVIVETHRTFMQQWEAARVTTAASVPCVGEFSPLEERAEMVKRSEVVPNGVVCQQKGESSGEMAKRNQHKPGDRSPYQRTGASSSQPLQSESMSMKCYRCKRSHLQGNCSKQSAEKKCFVCQKEGHYARVCPDRKGQVATGEVQQRGENGGRPQVVEKRCRRCDGPHLVKDCHADKKSDKKCYVCQSGGHLARDCPTRGEQLDTKDCHQLTDKKCYVCQRGGHLARDCPNRGEQLDTGRVQQGRVEGESVECI >Vigun05g109000.3.v1.2 pep primary_assembly:ASM411807v1:5:11171048:11174838:-1 gene:Vigun05g109000.v1.2 transcript:Vigun05g109000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLDNNGVPISSVNATPARVSTISVVDHCLPYNTHNLYDVTFNTHTIYTLLTSDPSLVHSWISTNVRSHQTGLMVGLDIEWRPNTQRNMQNPVATLQLCLGQHCLVFQILRSPSIPPSLVSFLADPNVTFFGVGIQEDAKKLLGDYNIRVVNIRDLRSLAAEKLRDTTLNRAGIKSLGLRVLDLDVEKPKRITRSWWDNPRLTPQQVQYATVDAFLSYEIGRSLSVLTPTPDASSQPYEMCGIAMTPRPPISSQPSEMCGIAMAPKPPFSSQPSEMCGIAMTPRPPATFQPSEMCGIARAIETMAAAIQHQSAVIVETHRTFMQQWEAARVTTAASVPCVGEFSPLEERAEMVKRSEVVPNGVVCQQKGESSGEMAKRNQHKPGDRSPYQRTGASSSQPLQSESMSMKCYRCKRSHLQGNCSKQSAEKKCFVCQKEGHYARVCPDRKGQVATGEVQQRGENGGRPQVVEKRCRRCDGPHLVKDCHADKKSDKKCYVCQSGGHLARDCPTRGEQLDTKDCHQLTDKKCYVCQRGGHLARDCPNRGEQLDTGRVQQGRVEGESVECI >Vigun10g093750.1.v1.2 pep primary_assembly:ASM411807v1:10:27161823:27162315:1 gene:Vigun10g093750.v1.2 transcript:Vigun10g093750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENHFPFLLFTNQIHRFLFSCSELVLHVPLFPIMTAAPCVAFGNHEIWLLILAPPLSSLSFQLKKK >Vigun03g282350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46261424:46266782:-1 gene:Vigun03g282350.v1.2 transcript:Vigun03g282350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKLQIIQHSRCIPLLFLLQLLSLQFSSLGYNVPDNYFINCGSGNSVTDSGKAYVAESTLQTSFNSSNTETNQSSVPSPLYQTARIFRSDSWYKFNIDTNGTYLVRLHFFPFSSPSNLSSARFNVSVPGFWLLQNFNGRNDSNNNSALVKEFFMEISTPSIKITFRPLESSFAFVNAIELFVLPLHLISNKVARFAPIGGTSPLNGGLYSRVLETKHRLNVGGETTKDILLRNWIPDDIYLTYPENAKNRSPYQGQIVPRVGDDADGPNATSYTAPSEVYGTAKETRNISSTDGDLGLFNITWALPVDNSTDHFLRLHFCDYVSSQVGLTYFDLSIYDAHVIQVNNDGNVSNELPAPYYYDFVVKSDNSGHIKVSLTPNGTADIKDAFLNGLEIMKVIESSNSVPPDLVEPGSKHNRLPVLLGSVLGGLVLVFVVVLLGFLWRSKMRKEKPVENSDWLPIPVNAGGSSHSRLTDITSHGSPLPNINLGLKIPLIDLQLATKNFHASQLIGKGGFGNVYKGVLKNGMTVAVKRSQPGSGQGLPEFQTEIMVLSKIRHKHLVSLIGYCDERFEMILVYEYMEKGTLRDHLYNTKLPSLSWKVRLQICIDSARGLHYLHKGAAGGIIHRDVKSTNILLDENHVAKVADFGLSRSGPPDTQPHVTTGVKGTFGYLDPEYFRSQQLTEKSDVYSFGVVLLEVLCARAVIDPTLPRDQINLAEWGLLCKTKGILQEIIDPSIKYQIDQNSLRKFSETIEKCLQEDGSDRPTMGDVLWDLEYALQLQKGANAIQTDPYEDSSSSVSASLQLPNVRRLPSLSTLSEADDTIVRGDESDSVVDSVFSQLKIDDAR >Vigun08g070900.1.v1.2 pep primary_assembly:ASM411807v1:8:11375795:11382897:-1 gene:Vigun08g070900.v1.2 transcript:Vigun08g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLWGCLVWQKDCINLGAYRWGASLLQGLNPLMFMFVVLGNTAYVAMY >Vigun02g048275.1.v1.2 pep primary_assembly:ASM411807v1:2:18816471:18818215:1 gene:Vigun02g048275.v1.2 transcript:Vigun02g048275.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVYTFFKATIVLQDKVSCHIEKHGCVPKSPPEKVSQFQGKVKNPSTVSISSSLARPATTNLSLSKKSSPKRWVRSFSPPRVAARLLASRLAARFVSALKSKKNASQSGGIVNGVNQRPAATKNKFSSYLSLRKQKLC >Vigun03g196600.2.v1.2 pep primary_assembly:ASM411807v1:3:27826673:27830603:1 gene:Vigun03g196600.v1.2 transcript:Vigun03g196600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRRSPYGAYLCAVISALLLLLSVSLLYSRLSRSHPHSDHLPRPSFSHSADLSAADDPIDEVDFVDETLDPPTLRLNPSPRYYFDPLTASIRRSFLSPPSLLQSRTDNDLPFRSLPDHDPSKVSFASDDVPVDIAVRNMATRVTTIEDALLLKHSPLRDGWGDWFDKKSVFLRKDRMFRSNLDVLNPLNNPLLQDPDAVGTTGLTRGDRTVQKWWIHEFKKLPFPTNKKVPLNINVLPTPVTKVGAERRTLNHNTISNNNNNEQEIAQEVLNSGTNGGESSIQNDVNVIGAGVQSRKNHIYADGDTWGYYPGLPLRLPFNDFMGAFFRVGKCVTRVFMVWNSPPWMYTVRLQRGLESLLFHHPAACVVVFSETVELDFFKDSFVKDGYKVAVAMPNLDELLKDTPAHIFASVWFEWKKTEFYSTHYSMVGSI >Vigun03g196600.1.v1.2 pep primary_assembly:ASM411807v1:3:27826673:27830603:1 gene:Vigun03g196600.v1.2 transcript:Vigun03g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRRSPYGAYLCAVISALLLLLSVSLLYSRLSRSHPHSDHLPRPSFSHSADLSAADDPIDEVDFVDETLDPPTLRLNPSPRYYFDPLTASIRRSFLSPPSLLQSRTDNDLPFRSLPDHDPSKVSFASDDVPVDIAVRNMATRVTTIEDALLLKHSPLRDGWGDWFDKKSVFLRKDRMFRSNLDVLNPLNNPLLQDPDAVGTTGLTRGDRTVQKWWIHEFKKLPFPTNKKVPLNINVLPTPVTKVGAERRTLNHNTISNNNNNEQEIAQEVLNSGTNGGESSIQNDVNVIGAGVQSRKNHIYADGDTWGYYPGLPLRLPFNDFMGAFFRVGKCVTRVFMVWNSPPWMYTVRLQRGLESLLFHHPAACVVVFSETVELDFFKDSFVKDGYKVAVAMPNLDELLKDTPAHIFASVWFEWKKTEFYSTHYSELIRLAALYKYGGIYLDSDIIVLKPISLLNNCVGMEDRGNGSALNGAVMAFQRHSLFIKECLEEFYMTYDDTSLRGNGADLLTRVAQKYLIEENKSVKDLKLKVEPSNIFFPVSSQNISRCFIAPITETDKAEQDVLLENILHNSLTFHFWNSLTFSLIPEPDSLVSRLFNHACIRCQELL >Vigun07g099700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17589390:17590405:1 gene:Vigun07g099700.v1.2 transcript:Vigun07g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSSLFQYQSLVNSSLCAEPFHWEEFFVFNEENSSNIPLTLNEQSQMKESSSSDYSNCSGCLMSSDQSQVEVSSNVSDAGEGKVVKEVEVPKEKRPFRGVRRRPWGKFAAEIRDSTRNGVRVWIGTFDTAEAAALAYDQAAFSTRGSMAVLNFPEEVVRESLKDMPNNPWEDGSSPVLALKKKHTMRRNSKPTNKKPKRDHHHGNVLSQNVLVLEDLGSDYLEQLLSLTSSSSADHVFC >Vigun11g035200.5.v1.2 pep primary_assembly:ASM411807v1:11:4745476:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQLLVLKKEKESISDAEKKAYQVIHSLYKRLNHLQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.3.v1.2 pep primary_assembly:ASM411807v1:11:4741385:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQIIHWRSRHKYECHESKVATDKTETSDNERSSKVVQNSEMESASNASTVEDGVLWSPESDMAVEVSSNNHVNNLHGCKVCDKPSTTRCSRCKAVQYCSVKCLIMDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQLLVLKKEKESISDAEKKAYQVIHSLYKRLNHLQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.7.v1.2 pep primary_assembly:ASM411807v1:11:4745476:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.4.v1.2 pep primary_assembly:ASM411807v1:11:4741385:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQIIHWRSRHKYECHESKVATDKTETSDNERSSKVVQNSEMESASNASTVEDGVLWSPESDMAVEVSSNNHVNNLHGCKVCDKPSTTRCSRCKAVQYCSVKCLIMDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.1.v1.2 pep primary_assembly:ASM411807v1:11:4741385:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGASLDLNWLLGLLGLGEKGASISDRSIKTWLQILFFTLVIGFWFLLYHLAEDTESNHSVNEVDEFDEFDNVYELDQYFHEEDCHRTTVSGGGDGRRHGGCAFCGNFATTRCSRCKSARYCSMKCQIIHWRSRHKYECHESKVATDKTETSDNERSSKVVQNSEMESASNASTVEDGVLWSPESDMAVEVSSNNHVNNLHGCKVCDKPSTTRCSRCKAVQYCSVKCLIMDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQLLVLKKEKESISDAEKKAYQVIHSLYKRLNHLQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.6.v1.2 pep primary_assembly:ASM411807v1:11:4746332:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQLLVLKKEKESISDAEKKAYQVIHSLYKRLNHLQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun11g035200.2.v1.2 pep primary_assembly:ASM411807v1:11:4741384:4750583:1 gene:Vigun11g035200.v1.2 transcript:Vigun11g035200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGASLDLNWLLGLLGLGEKGASISDRSIKTWLQILFFTLVIGFWFLLYHLAEDTESNHSVNEVDEFDEFDNVYELDQYFHEEDCHRTTVSGGGDGRRHGGCAFCGNFATTRCSRCKSARYCSMKCQIIHWRSRHKYECHESKVATDKTETSDNERSSKVVQNSEMESASNASTVEDGVLWSPESDMAVEVSSNNHVNNLHGCKVCDKPSTTRCSRCKAVQYCSVKCLIMDWKWHKVDCIARVDSAPTQTPHRDGGMLQNSYEEEDNVQSPGPLSLECHPAGSTSFKSPTEVSEDPSNKALLYVEDEVAKSRNETLLLQSELDEWKNLAKFEREKFQSLKRQSNYQNAVQESNAEKRQLEEHVQCLESECADLKKVLQEEHKRAQRLTVESGKSHEAAKIAMKEVEAVRQEIQEEREHSQHLKENFRRDLIFAESRAAIAEEKLSDLYRKIRTSDYKICSVCLSNDKDLAFGCGHMTCRDCGSKLSRCPICREQITNYIKLFPG >Vigun10g018900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2122881:2123594:1 gene:Vigun10g018900.v1.2 transcript:Vigun10g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSSSCSLLFTLTIIFCSLHSLGFANRLIQQTCKNCSKTDPNISYNFCVASFESNHRSQHAKNLEELGLISIKITRHNVSDTNAHINDLLEKNKSLGPFMKECLDDCLEVYSDTISTFREAIRDYKAKRYSDCNVKLSSIIDASTTCEDGFKQKNNGVVSPLTKRNKDTFQLSAIALSIVNMLINSDL >Vigun01g121900.1.v1.2 pep primary_assembly:ASM411807v1:1:29860833:29862491:1 gene:Vigun01g121900.v1.2 transcript:Vigun01g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAMKPTKPGLEESQEQIHKIRITLSSKHVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >Vigun09g080400.2.v1.2 pep primary_assembly:ASM411807v1:9:9489816:9492895:-1 gene:Vigun09g080400.v1.2 transcript:Vigun09g080400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSATAPHSASSAEIMLFGVRVVVDSMRKSVSMNNLSQYDHHRDTAAAAKDDAAGYASADDAAPNNSGKNRDRKRGIPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRTNFNRRRRRSSLFDITTDSVSTTPMEEGEIQHEDNVSLVHPMYPVTGEGSNMNGFSMLPMYSKDIAEAGNPMKILTLGQGNNVEQNGPCTKLVCTTPPIVPASDITATSLSSVDPPTLSLGLSLSSTQRQTSSIHSAFHSLSRFNNGDSVITVA >Vigun09g080400.1.v1.2 pep primary_assembly:ASM411807v1:9:9489816:9492895:-1 gene:Vigun09g080400.v1.2 transcript:Vigun09g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSATAPHSASSAEIMLFGVRVVVDSMRKSVSMNNLSQYDHHRDTAAAAKDDAAGYASADDAAPNNSGKNRDRKRGIPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRTNFNRRRRRSSLFDITTDSVSSVQVSTTPMEEGEIQHEDNVSLVHPMYPVTGEGSNMNGFSMLPMYSKDIAEAGNPMKILTLGQGNNVEQNGPCTKLVCTTPPIVPASDITATSLSSVDPPTLSLGLSLSSTQRQTSSIHSAFHSLSRFNNGDSVITVA >Vigun08g088900.1.v1.2 pep primary_assembly:ASM411807v1:8:20325665:20328719:-1 gene:Vigun08g088900.v1.2 transcript:Vigun08g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVFKRDGHFNRTYSYQSCSSQRDVRYSCGTCGYELNLSSSNRNTSSIGSKYGKSIKRGIISFFNIDLSRFTQVDEIQCVPHFDKHSWGLFRRRTKLLCRKCGNHIGNACNGYTTSFPLVSDEAESSPSSKVPSHTKYDIRIRALQPSSSEGSGTPVFA >Vigun02g098000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25341743:25342588:1 gene:Vigun02g098000.v1.2 transcript:Vigun02g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSELVKKTQCKKRQRDESNESAHSVPLEELVQRDNKRQHTKHDKSTLIPIKSLPIDLLINVVARVSSESCIDHYNMKVCCKDFFHASKDNYMWQQVSLKNVPLNLWFCKERVSMFDSFLQSSKEGGNIEVLYREGLQEIVRYAGNIEKGIGDLKIAAEKGHLEAKYVYGLILLCSKDDDLRKEGVEYMRFLRNAKCVVSCKNNVIALLGNIWRRPYETLVRNPIPLCYNRRCNGWNMKKCRSWKMVDNEDDEDGIKNSCENCRWDVELDFFYNVLFHPV >Vigun05g256300.1.v1.2 pep primary_assembly:ASM411807v1:5:45066473:45067288:1 gene:Vigun05g256300.v1.2 transcript:Vigun05g256300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGKIKKGAGGRKGGGPKKKPVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKASKEPKSPSKATKSPKKA >Vigun09g002600.1.v1.2 pep primary_assembly:ASM411807v1:9:184943:192310:-1 gene:Vigun09g002600.v1.2 transcript:Vigun09g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRRLLLLLCITSCILCWMPNGATSATDPNDAAALRFLFQNLNSPAQLGWPANGDDPCGQPWKGISCSGNRVTEIKLSNLGLTGSLPYGLQVLTSLSALDMSSNSLGGSIPYQLPPYVQRLNLAHNNITGTVPYSISNLTALTDLNLGHNQLQQGLAVNFLNLSTLSTLDLSFNSLTGDLPQTMSSLSRITTMNLQNNQFTGTIDVLANLPLDNLNVENNNFTGWIPEQLKNINLQSGGNGWSSGSAPPPPPGTPPIPKRNKHHQSGGGSTTPDVGGSSSSSVDGGKKSSIGGGAIAGIVVSVIVVGAIVVFFLAKRKSKKTSSDLEKLDNQSFAPLPSNGVHEEKSEQASSVPDMKTLDTSASINLKPPPIDRHKSFDDEEFSKKPTIVKKTVTAPANVKSYSIADLQIATGSFNVDHLVGEGSFGRVYRAQFDDGEVLAVKKIDSSVLSSDLSDDFIEIISNISSLHHPNVTELVGYCSEYGQHLLVYEFHKNGSLHDFLHLPDEYSKPLIWNSRVKIALGTARALEYLHEVSSPSVVHKNIKSANILLDTELNPHLSDSGLASYIPNADQILSHNVGSGYDAPEVALSGQYTLQSDVYSFGVVMLELLSGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPTLKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTFSNSDHGGSLRGSDEAALREI >Vigun09g002600.2.v1.2 pep primary_assembly:ASM411807v1:9:184943:192310:-1 gene:Vigun09g002600.v1.2 transcript:Vigun09g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRRLLLLLCITSCILCWMPNGATSATDPNDAAALRFLFQNLNSPAQLGWPANGDDPCGQPWKGISCSGNRVTEIKLSNLGLTGSLPYGLQVLTSLSALDMSSNSLGGSIPYQLPPYVQRLNLAHNNITGTVPYSISNLTALTDLNLGHNQLQQGLAVNFLNLSTLSTLDLSFNSLTGDLPQTMSSLSRITTMNLQNNQFTGTIDVLANLPLDNLNVENNNFTGWIPEQLKNINLHGGNGWSSGSAPPPPPGTPPIPKRNKHHQSGGGSTTPDVGGSSSSSVDGGKKSSIGGGAIAGIVVSVIVVGAIVVFFLAKRKSKKTSSDLEKLDNQSFAPLPSNGVHEEKSEQASSVPDMKTLDTSASINLKPPPIDRHKSFDDEEFSKKPTIVKKTVTAPANVKSYSIADLQIATGSFNVDHLVGEGSFGRVYRAQFDDGEVLAVKKIDSSVLSSDLSDDFIEIISNISSLHHPNVTELVGYCSEYGQHLLVYEFHKNGSLHDFLHLPDEYSKPLIWNSRVKIALGTARALEYLHEVSSPSVVHKNIKSANILLDTELNPHLSDSGLASYIPNADQILSHNVGSGYDAPEVALSGQYTLQSDVYSFGVVMLELLSGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPTLKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTFSNSDHGGSLRGSDEAALREI >Vigun01g153200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33580824:33582686:-1 gene:Vigun01g153200.v1.2 transcript:Vigun01g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALKRQRGSEGSESLDLAKCLMLFSCPQESNKAEEKGFGSLEFECKTCNRKFSSFQALGGHRASHKRQKVEGEELKEQGKSLSLWSKPKMHECSICGQEFSLGQALGGHMRKHRGITNEAFVPIPSIDQVIAKFPVLKRSNSTRVMCLDLELHL >Vigun01g128300.1.v1.2 pep primary_assembly:ASM411807v1:1:30612761:30617182:1 gene:Vigun01g128300.v1.2 transcript:Vigun01g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQVNLNESRSVVPLNTWVLISNFKLSYKLLRRDDGTFNRELAEFLDRKVPANAIPVHGVFSIDHVDRNVGLFYRVYLPASGDEAQWGIRDLERPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRHLVSICKAAVVSVNYRRSPEHRYPCAYDDGWAALEWVKSRTWLQSGRESKVHVYLAGDSSGGNIVHHVAVKAAEEEIEVLGNILLHPLFGGEKRTESELRLDGKYFVRLKDRDWYWRAFLPEGENRDHPACNPFGPRGRSLAGLSFPKSLVCVAGLDLLQDWQLAYTKGLEDSGQEVKLLYLKDATIGFYFLPNNEHFYCLMREINNFVNSDC >Vigun06g057600.1.v1.2 pep primary_assembly:ASM411807v1:6:18415103:18417981:-1 gene:Vigun06g057600.v1.2 transcript:Vigun06g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKIAASTKKEGEDGEAATEEVKKSNHVMRKLEKRKQTRVLDSHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >Vigun08g128000.5.v1.2 pep primary_assembly:ASM411807v1:8:29821194:29825773:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQGDEYSFRRNFPKAVLWLLILLFVMGFIAGGFILGAVRNAILLIVVVVLFGLVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun08g128000.4.v1.2 pep primary_assembly:ASM411807v1:8:29821194:29825815:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun08g128000.2.v1.2 pep primary_assembly:ASM411807v1:8:29821192:29826600:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun08g128000.1.v1.2 pep primary_assembly:ASM411807v1:8:29821194:29826584:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQGDEYSFRRNFPKAVLWLLILLFVMGFIAGGFILGAVRNAILLIVVVVLFGLVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun08g128000.3.v1.2 pep primary_assembly:ASM411807v1:8:29821194:29826584:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQVRNAILLIVVVVLFGLVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun08g128000.6.v1.2 pep primary_assembly:ASM411807v1:8:29821194:29825773:-1 gene:Vigun08g128000.v1.2 transcript:Vigun08g128000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPSHQLSNGLYVSGRPEQPKERTPTMTSTAVPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAGSHSGPIQANAAARAAYTTSGPMTSGGSSSMKKSNSGPLNKHGEPVKKSSGPQSGGVTPIGRQNSGPLTPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKMQGSAAVHNQAVTVLSQVRNAILLIVVVVLFGLVAASFSWNTYWGRRSIMGFVANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRLLERRVVDFYISDFQSGLRALVKTGHGSRVTPYVDDSVLINVNPTKEEISPEFLRWLGERNLSSDDRIMRLEEGYIKEGSTVSVMGVVQRNENVLMIVPPPDPITTGCQWTKCIFPASLEGIVLRCEDASKNDVIPV >Vigun10g196400.5.v1.2 pep primary_assembly:ASM411807v1:10:40978953:40982658:-1 gene:Vigun10g196400.v1.2 transcript:Vigun10g196400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGYKSQYIGGQKEKFVRLDDLDSNLSYQSSSSGMKKLRFNLDSLPLPGRGRKRASKSFRLGVKKGSDGLKTFGRSLKSGVTTWAVFPEDLKVSEKKVFDPQDKNLLYWNKFFEILCIFSVACDPFFFYLPYFNHKSFCLAIDNELASFAVTLRTICDAIYLIRISFQFNTAYIAPSSRVFGRGELVIDPTKIAKRYLRRYFLIDFFSVLPIPQIVVWKYLYRSGRVEVLETKTLLLRIVILQYFPRFIRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSCWYLLSIERNDTCWKNACRAVEGCNTHFLYCANSNKHMPGYDSWRNVSETVLKSQCYVEDGSSEFSYGIFSQAIESDIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPAEVMFSIVIAIMGLILFALLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRRYDQYKWLNTRGVDEESIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEGTYIVREGDPVNEMHFIIRGRLESVTTDGGRSGFFNRGLLKENDFCGEELLTWALDPKSGSNLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRRHCRRKIAEQRRREEEELYDSDDYDNSDDSAKALVKHSDAAAFPSSNLGLATTVYASRFAANLRGHRRRDSSSKEILTLQKPPEPDFSGFDEK >Vigun10g196400.2.v1.2 pep primary_assembly:ASM411807v1:10:40978953:40982178:-1 gene:Vigun10g196400.v1.2 transcript:Vigun10g196400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRFNLDSLPLPGRGRKRASKSFRLGVKKGSDGLKTFGRSLKSGVTTWAVFPEDLKVSEKKVFDPQDKNLLYWNKFFEILCIFSVACDPFFFYLPYFNHKSFCLAIDNELASFAVTLRTICDAIYLIRISFQFNTAYIAPSSRVFGRGELVIDPTKIAKRYLRRYFLIDFFSVLPIPQIVVWKYLYRSGRVEVLETKTLLLRIVILQYFPRFIRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSCWYLLSIERNDTCWKNACRAVEGCNTHFLYCANSNKHMPGYDSWRNVSETVLKSQCYVEDGSSEFSYGIFSQAIESDIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPAEVMFSIVIAIMGLILFALLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRRYDQYKWLNTRGVDEESIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEGTYIVREGDPVNEMHFIIRGRLESVTTDGGRSGFFNRGLLKENDFCGEELLTWALDPKSGSNLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRRHCRRKIAEQRRREEEELYDSDDYDNSDDSAKALVKHSDAAAFPSSNLGLATTVYASRFAANLRGHRRRDSSSKEILTLQKPPEPDFSGFDEK >Vigun10g196400.3.v1.2 pep primary_assembly:ASM411807v1:10:40978953:40982658:-1 gene:Vigun10g196400.v1.2 transcript:Vigun10g196400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPFFPLISSLLIPPQSPIYHNIVQSKPSKLDDLDSNLSYQSSSSGMKKLRFNLDSLPLPGRGRKRASKSFRLGVKKGSDGLKTFGRSLKSGVTTWAVFPEDLKVSEKKVFDPQDKNLLYWNKFFEILCIFSVACDPFFFYLPYFNHKSFCLAIDNELASFAVTLRTICDAIYLIRISFQFNTAYIAPSSRVFGRGELVIDPTKIAKRYLRRYFLIDFFSVLPIPQIVVWKYLYRSGRVEVLETKTLLLRIVILQYFPRFIRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSCWYLLSIERNDTCWKNACRAVEGCNTHFLYCANSNKHMPGYDSWRNVSETVLKSQCYVEDGSSEFSYGIFSQAIESDIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPAEVMFSIVIAIMGLILFALLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRRYDQYKWLNTRGVDEESIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEGTYIVREGDPVNEMHFIIRGRLESVTTDGGRSGFFNRGLLKENDFCGEELLTWALDPKSGSNLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRRHCRRKIAEQRRREEEELYDSDDYDNSDDSAKALVKHSDAAAFPSSNLGLATTVYASRFAANLRGHRRRDSSSKEILTLQKPPEPDFSGFDEK >Vigun10g196400.4.v1.2 pep primary_assembly:ASM411807v1:10:40978953:40982658:-1 gene:Vigun10g196400.v1.2 transcript:Vigun10g196400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGYKSQYIGGQKEKFVRLDDLDSNLSYQSSSSGMKKLRFNLDSLPLPGRGRKRASKSFRLGVKKGSDGLKTFGRSLKSGVTTWAVFPEDLKVSEKKVFDPQDKNLLYWNKFFEILCIFSVACDPFFFYLPYFNHKSFCLAIDNELASFAVTLRTICDAIYLIRISFQFNTAYIAPSSRVFGRGELVIDPTKIAKRYLRRYFLIDFFSVLPIPQIVVWKYLYRSGRVEVLETKTLLLRIVILQYFPRFIRFLPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSCWYLLSIERNDTCWKNACRAVEGCNTHFLYCANSNKHMPGYDSWRNVSETVLKSQCYVEDGSSEFSYGIFSQAIESDIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPAEVMFSIVIAIMGLILFALLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPELRERVRRYDQYKWLNTRGVDEESIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEGTYIVREGDPVNEMHFIIRGRLESVTTDGGRSGFFNRGLLKENDFCGEELLTWALDPKSGSNLPSSTRTVKAINEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRRHCRRKIAEQRRREEEELYDSDDYDNSDDSAKALVKHSDAAAFPSSNLGLATTVYASRFAANLRGHRRRDSSSKEILTLQKPPEPDFSGFDEK >Vigun10g170400.1.v1.2 pep primary_assembly:ASM411807v1:10:38957348:38959842:-1 gene:Vigun10g170400.v1.2 transcript:Vigun10g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQAKVDNTRISSNRYTTFFVVLFISFPVAVIVSSMYRNSSFFVFEGFFQAREAGKSFQNITTTGSQLGERVHNISDDNLRPVGEGKVKRGTAPNVTSELLTSEPNVTTNGTVQNVTHHILEGNNNNSVSGLKNASLTGSTNESFPRVSLDNKEELLGGLLTGGFDEASCISRVQSHLFRKVSPHKASPFLISKLRNYEEIHRRCGPNTRAYDGSMRKIARSKDNGTATMCKYLIWTPVNGLGNQMISIVATFLYAILTDRVLLVKFGEDKHDLFCEPFLNSTWILPQKSPFWKENHIQTQLQKDKVNNSTSVLFINLQYTHSSHEKIFHCDQTQDLIRNIPLLILMSDQYFVPSLFMNQLFNMEISKMFPEKDTIFHHLGRYLFHPSNEAWELISRYYQAHLAKADERIGIQIRVFNAISTPKQAIMDLVLSCTLNHKILPKVDLQTSMSSVGKNETVKAVLVASLYKEFGDNLRRMYEKKATASGEFIEVYQPSHEGKQMFNDKKHNVKAWMEMYLLSLCDVLVTTSLSTFGYVAQGLGNLKPWFLYRLVKNETHFPPCEQDFSLEPCYHDPPKHYCDGKPLKDFVSSFSSLKKCKDFSFGVKLVNDTTTFFHK >Vigun10g170400.2.v1.2 pep primary_assembly:ASM411807v1:10:38957348:38959842:-1 gene:Vigun10g170400.v1.2 transcript:Vigun10g170400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQAKVDNTRISSNRYTTFFVVLFISFPVAVIVSSMYRNSSFFVFEGFFQAREAGKSFQNITTTGSQLGERVHNISDDNLRPVGGKVKRGTAPNVTSELLTSEPNVTTNGTVQNVTHHILEGNNNNSVSGLKNASLTGSTNESFPRVSLDNKEELLGGLLTGGFDEASCISRVQSHLFRKVSPHKASPFLISKLRNYEEIHRRCGPNTRAYDGSMRKIARSKDNGTATMCKYLIWTPVNGLGNQMISIVATFLYAILTDRVLLVKFGEDKHDLFCEPFLNSTWILPQKSPFWKENHIQTQLQKDKVNNSTSVLFINLQYTHSSHEKIFHCDQTQDLIRNIPLLILMSDQYFVPSLFMNQLFNMEISKMFPEKDTIFHHLGRYLFHPSNEAWELISRYYQAHLAKADERIGIQIRVFNAISTPKQAIMDLVLSCTLNHKILPKVDLQTSMSSVGKNETVKAVLVASLYKEFGDNLRRMYEKKATASGEFIEVYQPSHEGKQMFNDKKHNVKAWMEMYLLSLCDVLVTTSLSTFGYVAQGLGNLKPWFLYRLVKNETHFPPCEQDFSLEPCYHDPPKHYCDGKPLKDFVSSFSSLKKCKDFSFGVKLVNDTTTFFHK >Vigun10g170400.3.v1.2 pep primary_assembly:ASM411807v1:10:38957348:38959454:-1 gene:Vigun10g170400.v1.2 transcript:Vigun10g170400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHKTELQHDMFFDDICTMRIIACYSCMHEFSNYFYSEGKVKRGTAPNVTSELLTSEPNVTTNGTVQNVTHHILEGNNNNSVSGLKNASLTGSTNESFPRVSLDNKEELLGGLLTGGFDEASCISRVQSHLFRKVSPHKASPFLISKLRNYEEIHRRCGPNTRAYDGSMRKIARSKDNGTATMCKYLIWTPVNGLGNQMISIVATFLYAILTDRVLLVKFGEDKHDLFCEPFLNSTWILPQKSPFWKENHIQTQLQKDKVNNSTSVLFINLQYTHSSHEKIFHCDQTQDLIRNIPLLILMSDQYFVPSLFMNQLFNMEISKMFPEKDTIFHHLGRYLFHPSNEAWELISRYYQAHLAKADERIGIQIRVFNAISTPKQAIMDLVLSCTLNHKILPKVDLQTSMSSVGKNETVKAVLVASLYKEFGDNLRRMYEKKATASGEFIEVYQPSHEGKQMFNDKKHNVKAWMEMYLLSLCDVLVTTSLSTFGYVAQGLGNLKPWFLYRLVKNETHFPPCEQDFSLEPCYHDPPKHYCDGKPLKDFVSSFSSLKKCKDFSFGVKLVNDTTTFFHK >Vigun10g170400.4.v1.2 pep primary_assembly:ASM411807v1:10:38957348:38959842:-1 gene:Vigun10g170400.v1.2 transcript:Vigun10g170400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDDICTMRIIACYSCMHEFSNYFYSEGKVKRGTAPNVTSELLTSEPNVTTNGTVQNVTHHILEGNNNNSVSGLKNASLTGSTNESFPRVSLDNKEELLGGLLTGGFDEASCISRVQSHLFRKVSPHKASPFLISKLRNYEEIHRRCGPNTRAYDGSMRKIARSKDNGTATMCKYLIWTPVNGLGNQMISIVATFLYAILTDRVLLVKFGEDKHDLFCEPFLNSTWILPQKSPFWKENHIQTQLQKDKVNNSTSVLFINLQYTHSSHEKIFHCDQTQDLIRNIPLLILMSDQYFVPSLFMNQLFNMEISKMFPEKDTIFHHLGRYLFHPSNEAWELISRYYQAHLAKADERIGIQIRVFNAISTPKQAIMDLVLSCTLNHKILPKVDLQTSMSSVGKNETVKAVLVASLYKEFGDNLRRMYEKKATASGEFIEVYQPSHEGKQMFNDKKHNVKAWMEMYLLSLCDVLVTTSLSTFGYVAQGLGNLKPWFLYRLVKNETHFPPCEQDFSLEPCYHDPPKHYCDGKPLKDFVSSFSSLKKCKDFSFGVKLVNDTTTFFHK >Vigun05g074300.28.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.29.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.15.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.23.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.20.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.17.v1.2 pep primary_assembly:ASM411807v1:5:6564702:6590962:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.19.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.22.v1.2 pep primary_assembly:ASM411807v1:5:6564702:6590962:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.12.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.1.v1.2 pep primary_assembly:ASM411807v1:5:6564702:6590962:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.16.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.30.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.24.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.2.v1.2 pep primary_assembly:ASM411807v1:5:6564701:6590963:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.21.v1.2 pep primary_assembly:ASM411807v1:5:6564702:6590962:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.27.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.13.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.14.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.26.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.25.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun05g074300.18.v1.2 pep primary_assembly:ASM411807v1:5:6564710:6590944:-1 gene:Vigun05g074300.v1.2 transcript:Vigun05g074300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSTTTEGGLKIVGHVVKRQLGYFFSYKDKFKELEFYIEKLEHNKERLQHRVDNALRNAEEIENDIQHCLKEMDEKIKEYKSYINDKCHEKTICSISFFPNNFRSRYQLGRKATKMVDEIIRDELWKTSKIENVSYRESPSTNVVFSNTGYESFASRTRTMEMIMKALEDSTVDMIGVYGPGGVGKTTLVLEIAKKTREKKLFKTVVMANVTRNPDTKKIQGQIADVLGMRLEEESEIARADHIRKRLKNEKENVLIILDDLWDGLDLNKLGIPCNDDNLDDVSQNEVNDIFDGGYNDNISNFGYNKTKPKKLPEVYLNKMKREKLSSSYKGGKILLTSRNKQVLCNQMDVQLSSTFSVGVLEEKEAETLLKKVADVKNSEFDRNATEIAKWSAGFPIALVSIGRTLKHKSLSTWDDVCQQIKRQSFTEKWGFTNFSIKLSYDYLENEELKCIFLHCARMGNDALIMDLVKFCIGLNLLPGVDTITEARKRVKEMIHELEESSLLVRSYSIDRFNMHDIVRDVALLISSKEKHVFFMKDAILDEWPHEDDVKRYTAIFLLYCDINELPESIHCPRLEVLHIDNKNESLEIPDHFFESMIRLRVLVLTNVNLSCLPSSIKCLKKLRMLCLERCTLEKNLSIIGELKNLRILSLLGSNIENLPPGFGQLDKLQLFDISNCLKLREIRSNIIPRINTLEEFYTRDSPILWAEENIKSENASLSELRHLNQLQNLDIRIQSSSHFLQLFFDNLNSYKIVIGEFDFLNLLKVGEFKIPDKYEEVKFLALDLKEGIDIHSETWVKMLFKSVECLLLGELNDVQDIYYELNVEGFPNLKHLSIVNNFGIKYIINPVDQFQLLFVFPKLESIWLYKMDTLEKICGNWLAETSFRSLKVIKIKTCVKLVNLFPFSIVRLLIALETIEVYDCDSFKGIVSEERQTHTVIDDKIEFPQLRLLTLNSLPIFTCLYVIDKMFGSPQSLKDLQDINKDIVTDVENKVTNSCLPLFNEKVSFPKLEWLELSSLNIKKIWSDQFDHCFQNLLTLNVINCGNLKYLLSCSMAGSLVNLQSLFVSKCEVMEDIFHSEDVEYIDVFPKLKKMEIICMEKLATIWKSHIGLHSFCSLDSLIIRECHKLVTIFPSYMGQRFQSLHSLIITNCNLVENIFDFANIPQTCDLIETNLDNIILEMLPNLVNVWKDDICKILKYNNLRSIRVFMSPNLKYIFPLSVALDLEKLEVLEVHICWAVKEIIVWDKHSSENVINFKFPRLNTLSLMDLYGLRSFYSRTHTLEWPQLKKLDIVDCSRLEGLTSKITNSQVQPIVLATKKVIYNLEYMSFSLKEAEWLQKYIVNVHIMHKLEGLSLYGLKNNQILFWFLHRLPNLKRLKLGLSNLKRIWTPQSLISREKIGVVMQLKELELKCIWPLEEIGFEHNVLLQRVECLIIQQCTKLRNLASSPISFSYLRYLEVTNCMMRNLMTTSIAKTLVQLNTMKVISCPMIVEIVADNVEEKVEEIEFKQLKSLELVSLQNLISFSNVKNCDIKFPLLEKLIVSECFQMTKFCELQRTPKLQKIHVVVEEKEKWYWEGDLNTTLLKHFKVALEYLKHVRLIDYPETKGVRYGKPIFQDNLFNCLQKLEVDAAFKRDILIPRHVLPYLKNVKELLVHDSDTVHVIFEIDETDVKTNGMVFRLEKLTLTNLPNLKCVWNENPKEIVNFPYLQEVYVKGCGSLVTLFSLSLAKNLEKLKKLEVQECEKLVEIVEKGDAMKHGTTVIFIFPCLSILILSIMPLLSCFYLGKHHLECPLLDTLYISYCPQLKLFISNLDDGQKGAIEAPISPAQQPLFSIEILASSKLKNLQLNVKNIMLLSDAQLPPDLLYKLKVLWLCFEDYNNEKGTLPFDFFHRVPNLEHFILQTCSGVKEIFPAQKPQVHDTIFKGLKQLFLNVVPDLDWVGLEHTWVQPYSEKLELLQLYHCRRVEKIVYCAVSFINLKELQVVHCERMVYLFTFSTLKTLVKLETLIVGECESIKEIVKNEDEDGCDEIVFERLTLIQLNCLPRLVSFYSGNATLQCSCLNNVLVTECPNMTTFSLGIINVTSFKNIHTSIEDSDLLLENDLNTVIEKSFHTKDFFDYSKRMILDDYLERTGVQHKNPVISINFFGSFKKLEFDATCKRVIVIPSHILPYLRNLEELNVHSSDVVQVIFDTDETEVETRGIIFGLKKLTLKHLSNLKCVWKKNLEGIVSFSNLEEVNVDGCGSLVTLFPLSLAKNLGKLWSLELKRCEKMVEIVGREDNGTTIMFEFPRLSFLYLKNMPLLSCFYPRKHYLECPLLGSLLVECCPKLKLFTSDSDDSHKDEVIEAPIKPLQQPLFSIEKVSPKLMGLTLNEENIKLMSDARWPQDLSKLFILILSFEDDNNGKDSLPFDFFHKVPNLFYLTVQKWFGMKEIFPSQKLQVHDNVLAGLKQLSLWELSELESIGLEHTWVQPYSTKLEWLKLGTCPRVEKIVSCAVSFINLKKLSVKHCEKMEYLFTFATLKSLVKLETLSIKECESIKEIAKEEDEDGCDEMVFGRLRSIKLNFLPKLICFYSGNATLQFSYLKTVMVAKCPNMKTFSEGVTKVPIFLEIKTSKDSDLTFHDDLNTTIQR >Vigun08g117500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28498663:28502110:1 gene:Vigun08g117500.v1.2 transcript:Vigun08g117500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSHRLSACHRHPTAPITGFCALCLRERLAGIPSDHSPPSPSLRRSKSCSRPTASDAAAVADPRRRSCEVRPRSSLSDLFNRDDLRKSRSRKHASEVTVSNDGDGDADEESKTVKEFIDLELQLRKRNGARDSRTFWNAAAEKFKKWKWKHRAKKNADADSNVKRNAEKRRARGLRETQSEVGECNLGRRSCDVDSRFSIEAGRISFDCPRASWDGCLIGKSCSRLSPMLSVDEDSNSINSNSNSNNNSVGLGSSGDGRRSFGFDRSSSRRRQSIAGLDELKLTSNSNVNARVSPKVSPATFYGAKLLITEKELMDSYVRSSADAVESGCVMESDSKDVSGAANGPKGVKKLQKWRDVWSKLGLVQRTERRDDRSGEEECDSSVVVDKPLAESLQRLRRVVNVQASEPVGQKLMRSYSVSCRSPCRTDGFADDSESKGSNGRHEFTFQRNRSVRYSPNNPDTGLLRFYLTPSKSYKRSKAGKSSVKDLHSATRSGL >Vigun08g117500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28498608:28502790:1 gene:Vigun08g117500.v1.2 transcript:Vigun08g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSHRLSACHRHPTAPITGFCALCLRERLAGIPSDHSPPSPSLRRSKSCSRPTASDAAAVADPRRRSCEVRPRSSLSDLFNRDDLRKSRSRKHASEVTVSNDGDGDADEESKTVKEFIDLELQLRKRNGARDSRTFWNAAAEKFKKWKWKHRAKKNADADSNVKRNAEKRRARGLRETQSEVGECNLGRRSCDVDSRFSIEAGRISFDCPRASWDGCLIGKSCSRLSPMLSVDEDSNSINSNSNSNNNSVGLGSSGDGRRSFGFDRSSSRRRQSIAGLDELKLTSNSNVNARVSPKVSPATFYGAKLLITEKELMDSYVRSSADAVESGCVMESDSKDVSGAANGPKGVKKLQKWRDVWSKLGLVQRTERRDDRSGEEECDSSVVVDKPLAESLQRLRRVVNVQASEPVGQKLMRSYSVSCRSPCRTDGFADDSESKGSNGRHEFTFQRNRSVRYSPNNPDTGLLRFYLTPSKSYKRSKAGKSSVKDLHSATRSGL >Vigun08g117500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28498608:28502204:1 gene:Vigun08g117500.v1.2 transcript:Vigun08g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSHRLSACHRHPTAPITGFCALCLRERLAGIPSDHSPPSPSLRRSKSCSRPTASDAAAVADPRRRSCEVRPRSSLSDLFNRDDLRKSRSRKHASEVTVSNDGDGDADEESKTVKEFIDLELQLRKRNGARDSRTFWNAAAEKFKKWKWKHRAKKNADADSNVKRNAEKRRARGLRETQSEVGECNLGRRSCDVDSRFSIEAGRISFDCPRASWDGCLIGKSCSRLSPMLSVDEDSNSINSNSNSNNNSVGLGSSGDGRRSFGFDRSSSRRRQSIAGLDELKLTSNSNVNARVSPKVSPATFYGAKLLITEKELMDSYVRSSADAVESGCVMESDSKDVSGAANGPKGVKKLQKWRDVWSKLGLVQRTERRDDRSGEEECDSSVVVDKPLAESLQRLRRVVNVQASEPVGQKLMRSYSVSCRSPCRTDGFADDSESKGSNGRHEFTFQRNRSVRYSPNNPDTGLLRFYLTPSKSYKRSKAGKSSVKDLHSATRSGL >Vigun08g117500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28498663:28502784:1 gene:Vigun08g117500.v1.2 transcript:Vigun08g117500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSHRLSACHRHPTAPITGFCALCLRERLAGIPSDHSPPSPSLRRSKSCSRPTASDAAAVADPRRRSCEVRPRSSLSDLFNRDDLRKSRSRKHASEVTVSNDGDGDADEESKTVKEFIDLELQLRKRNGARDSRTFWNAAAEKFKKWKWKHRAKKNADADSNVKRNAEKRRARGLRETQSEVGECNLGRRSCDVDSRFSIEAGRISFDCPRASWDGCLIGKSCSRLSPMLSVDEDSNSINSNSNSNNNSVGLGSSGDGRRSFGFDRSSSRRRQSIAGLDELKLTSNSNVNARVSPKVSPATFYGAKLLITEKELMDSYVRSSADAVESGCVMESDSKDVSGAANGPKGVKKLQKWRDVWSKLGLVQRTERRDDRSGEEECDSSVVVDKPLAESLQRLRRVVNVQASEPVGQKLMRSYSVSCRSPCRTDGFADDSESKGSNGRHEFTFQRNRSVRYSPNNPDTGLLRFYLTPSKSYKRSKAGKSSVKDLHSATRSGL >Vigun03g168100.1.v1.2 pep primary_assembly:ASM411807v1:3:19653308:19655700:-1 gene:Vigun03g168100.v1.2 transcript:Vigun03g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTTFFSFQTSILVSLALALTLTPTTTTKFQFHNTLSFSPLATLFVTLFALSLNYWLVPGGFAWRNYRFQHHSNNEKPNAKLSGPMGWPILGSLPLMGSLAHAKLAALAASLNAKRIMAFSLGPTPVVISSHPETARQILFGSSFSDRPIKESARALMFERAIGFAPSGTYWRQLRRIAAFHMFSPRRIQGLEGVRQRVADDMVKSAWKEMEEKGVVEIRRMLQEGSLLNILESVFGNNDKSEELGDMVKEGYELIAKFNLEDYFPLKFLDFHGVKRRCHKLAAKVVSVVGQIVEERKRDGSFVGKNDFLSTLLSLPKEERLDDSDMVAILWEMVFRGTDTVAILLEWVMARMVLHQDVQKKAREEINTCVSQNRHVRDSDIANLPYLQSIVKEVLRLHPPGPLLSWARLAVHDVHVDKVLVPAGTTAMVNMWAISHDSSIWEDPWAFKPERFLAEDVSIMGSDLRLAPFGAGRRVCPGRALGLVTAHLWLAQLLRHFTWLPAEHVDLSECLRLSMEMKTPLRCVVVRR >Vigun03g199050.1.v1.2 pep primary_assembly:ASM411807v1:3:28594018:28598558:1 gene:Vigun03g199050.v1.2 transcript:Vigun03g199050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRTAILHSSSSLQQRFHTHKPTPELPSPFSLFLANPLRLPSSTFASKADQQHYFPAKATGTRAVLNPISKGLSCTGAFCGDSSCDDDDDDGKGFLDNYEMIFRDCDKLIESFMLHETDWRKFLILNKKWSNIRPHFFRHCQDKADAIDNPVLKNKLLWLRKKLKEIDEELQRHNELILMIKENPSEISEIVSRSRRDFTREFFTHLHTIAESSVNNLETQNDFAKLRNMCLAAVKDYDSAMESIEALNAAELNFQDVIKSPSDTSCWKIDNLGEKNQCFNPELVAHWLQLCYNVEEVRRVHAIVLKCFRHSNTYIDNNLICSYLRLVELDRARCVFDGMPRKNTVTWTAIIDGYLKCNLDDEAFKLFQDSVKHGVPANSKMFVCIMNLSGKRVDLKLGKQIHARILKSRWRNIIVDNAVVHFYAKCGDISSAFRAFDCMTERDVICWTTMITACSQQGFGYEAMLMLSQMLGEGFFPNEYTICSALKACGENKALKFGTQLHGAIIKNICKSDVFIGTSLVDMYAKCGLMVDSKGVFDRMKIRNTATWTSIISGYARNGFGEEAINLFRLMESKRIHVNKLTVLSVLMACGTTKALLIGREVHAQIIKRIFHTNMYIGSTLVWFYCKCKEYSYAFKVLQHMPLRDVVSWTAIISGCARLGLELEALEFLQEMMEEGVLPNSYTYSSALKACAELEAPMLGKLIHSYASKSPASSNVFVNSALIYMYSKCGYVADAFQVFDNMPEKNLVSWESMILAYAWNGHAREALKLVHRMQAEGFVVDDYIHTTVVSACGGVEHVDIHQNSESSSYYLHS >Vigun03g199050.2.v1.2 pep primary_assembly:ASM411807v1:3:28594018:28598558:1 gene:Vigun03g199050.v1.2 transcript:Vigun03g199050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRTAILHSSSSLQQRFHTHKPTPELPSPFSLFLANPLRLPSSTFASKDQQHYFPAKATGTRAVLNPISKGLSCTGAFCGDSSCDDDDDDGKGFLDNYEMIFRDCDKLIESFMLHETDWRKFLILNKKWSNIRPHFFRHCQDKADAIDNPVLKNKLLWLRKKLKEIDEELQRHNELILMIKENPSEISEIVSRSRRDFTREFFTHLHTIAESSVNNLETQNDFAKLRNMCLAAVKDYDSAMESIEALNAAELNFQDVIKSPSDTSCWKIDNLGEKNQCFNPELVAHWLQLCYNVEEVRRVHAIVLKCFRHSNTYIDNNLICSYLRLVELDRARCVFDGMPRKNTVTWTAIIDGYLKCNLDDEAFKLFQDSVKHGVPANSKMFVCIMNLSGKRVDLKLGKQIHARILKSRWRNIIVDNAVVHFYAKCGDISSAFRAFDCMTERDVICWTTMITACSQQGFGYEAMLMLSQMLGEGFFPNEYTICSALKACGENKALKFGTQLHGAIIKNICKSDVFIGTSLVDMYAKCGLMVDSKGVFDRMKIRNTATWTSIISGYARNGFGEEAINLFRLMESKRIHVNKLTVLSVLMACGTTKALLIGREVHAQIIKRIFHTNMYIGSTLVWFYCKCKEYSYAFKVLQHMPLRDVVSWTAIISGCARLGLELEALEFLQEMMEEGVLPNSYTYSSALKACAELEAPMLGKLIHSYASKSPASSNVFVNSALIYMYSKCGYVADAFQVFDNMPEKNLVSWESMILAYAWNGHAREALKLVHRMQAEGFVVDDYIHTTVVSACGGVEHVDIHQNSESSSYYLHS >Vigun11g178300.1.v1.2 pep primary_assembly:ASM411807v1:11:38258366:38262836:1 gene:Vigun11g178300.v1.2 transcript:Vigun11g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWKDFSSADTARQVVKLKAFSKFENTSEALEAATLLIDGKASKGLRKFLRVHCENETLGVADSKLGNVIKEKLKIDCIHNNAVMELMRGVRNQLTELISGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIQYARAVKLMGDRVNAAELDFSEILSEEVEAELKEASVISMGTEIGELDLANIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTAMVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAAKFKGKISRSLAAKTALAIRCDALGDGQDNTMGLENRAKLEARLRNLEGKELGRFAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNTAADSVIGQINSAMDEDTQEASVADKKKEKKEKKKKKGNKNEEDATAQADGNVAEEAEPEVVKKEKKKKRKEASESAEVQNGNEESNAGEKKKKRKKQAEQEESAEMPSKKKGKKRKLRTKV >Vigun09g231000.1.v1.2 pep primary_assembly:ASM411807v1:9:40265570:40267820:-1 gene:Vigun09g231000.v1.2 transcript:Vigun09g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCKPHFDQLFKRTGSLDKSFEGTPKIAKPDKTGEEKPAATKVLNMFGGTRDKCAGCQKTVYPTEKVTVNGTPYHKSCFKCTHGGCVISPSNYIAHDGKLYCKHHHIQLIKEKGNLSQLEGDHEKSAIQEKTNGEVVAAET >Vigun04g046000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3984886:3986099:1 gene:Vigun04g046000.v1.2 transcript:Vigun04g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLLAFVLLFALNSQPLLGAAEASPDKVVDTSGKLLRAGVNYNILLSMPYSNCRSPQGLGLSKIGKSCPLDVVVVDRYHSLPLRFIPVNPKKGVIRVSTDLNIMFPPNITCPHHSTVWKLDNFQVSKGRFVSTGGVKGNPGRETIGNWFKIEKYGGAYKLVYCPSLCPSCKDVVCENVGMFVDEKGNHRLALSAVPFQVKFLKA >Vigun06g095200.5.v1.2 pep primary_assembly:ASM411807v1:6:22636340:22638347:1 gene:Vigun06g095200.v1.2 transcript:Vigun06g095200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHKHPMCAEIIEQFQKCHVEHPIAKFFGECTDLKIKLDRCFRAEKALKRKANFEESKKLKEQLRILRKENAASSSQ >Vigun06g095200.6.v1.2 pep primary_assembly:ASM411807v1:6:22636768:22637682:1 gene:Vigun06g095200.v1.2 transcript:Vigun06g095200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHKHPMCAEIIEQFQKCHVEHPIAKFFGECTDLKIKLDRCFRAEKALKRKANFEESKKLKEQLRILRKENAASSSQ >Vigun10g187400.1.v1.2 pep primary_assembly:ASM411807v1:10:40341822:40345916:-1 gene:Vigun10g187400.v1.2 transcript:Vigun10g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVQTKGLLSLPTNPKSRASLSRHLNPSHGLKNRFLSLNPKPICAPSLSVAKFQPLGSKPKNLFICRAEAAAAADGPAQPAFEEVEAEKPKLLGIEITTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTARGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKQALFYSVILPFIGFFGAFGFVLYPLSNYIHPEAFADKLLNILGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKAMMSIVVGMGFAICFLYWWVNTYVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLVSQFIFDKYGWGVAATITPTVLLLTGVGFFSLLLFGGPLAPSLAQFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGVLLLIVSAWLAAAKSLDTQFTALRKEEELEKELERAAAVKIPVVAENDGGNGSLATGAPLNPASGDSSSSPSEASSPRNI >Vigun03g318600.1.v1.2 pep primary_assembly:ASM411807v1:3:51310967:51313243:1 gene:Vigun03g318600.v1.2 transcript:Vigun03g318600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQWPLELMEGVFSSTFYLSLSFFVSVLLFFKLARRSKPKTILNLPPSPPKLPFIGNLHQFGTLPHRALRDLSLKYGDIMMLQLGQMQTPTLVVSSVDLVTEIIKTHDLAFSDRPQNTSCKILLYGCTDVGFSLYGENWRLKKKMCVLELLSAKRVESFRVIREEEAGELVKKLREASSNDASYVNLSEMFMATSNNIVCKCAFGRKFAGDGYSRVKELAREVMIHITAFTVRDYFPWLGWVDVVTGKIQKYKATAAALDALFEELIAERLRQRSEGEHSKMKDLLDILLQHHQDNTLGYEFTKNDIKSLITDMFLGGTDTTAIILDWTISELMRNPTTMKKVQEEVKRIVGHKSKVEENDVNEMRYLKCVVKETIRLHPPAPLLAPRVTMSDVKLNGYDIPAKTMVYINAWAMQMDPKLWERPEQFLPERFENSEVDFKNQENFHFIPFGFGRRGCPGMSFGISSVEYLLANLLYWFDWTLPETATATPNIDMSEKFGLVVSKKVPLLLKPKVFSS >Vigun01g142800.2.v1.2 pep primary_assembly:ASM411807v1:1:32403277:32407241:1 gene:Vigun01g142800.v1.2 transcript:Vigun01g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFYPSGYPYSVNEGYLRYTQFRALQHVTRATLSVLSTQSLLFAAGLRPSPAQATAVSWILKDGIQHVGKLICSNWGARMDSEPKRWRLLADVLYDIGIGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAFSTLFSVIGIGVGIQLASTICASMQGKLVAGPLLSIIHLYSVSEEMRAAPINTLNPRRTAMVVDDFLKAGVVSSPSDLRYRENLLFNLQLKEDTGNVRVGKAVHKAIKPSRLLELKQVFHEEKFLLNLGNKYVDMVLEQDASGEDALRGWLVAAYAAQVVSSSRHELSDSVMHEAYQKMNGVFPLFLKELQNKGWHTDRFLDGTGTRFAL >Vigun01g142800.1.v1.2 pep primary_assembly:ASM411807v1:1:32403277:32407241:1 gene:Vigun01g142800.v1.2 transcript:Vigun01g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKKEGEASPLWFETSDSVCRRHQFEPDGRLSVVIVGDSRPFYQRVVGSFMNKFYPSGYPYSVNEGYLRYTQFRALQHVTRATLSVLSTQSLLFAAGLRPSPAQATAVSWILKDGIQHVGKLICSNWGARMDSEPKRWRLLADVLYDIGIGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAFSTLFSVIGIGVGIQLASTICASMQGKLVAGPLLSIIHLYSVSEEMRAAPINTLNPRRTAMVVDDFLKAGVVSSPSDLRYRENLLFNLQLKEDTGNVRVGKAVHKAIKPSRLLELKQVFHEEKFLLNLGNKYVDMVLEQDASGEDALRGWLVAAYAAQVVSSSRHELSDSVMHEAYQKMNGVFPLFLKELQNKGWHTDRFLDGTGTRFAL >Vigun09g054250.1.v1.2 pep primary_assembly:ASM411807v1:9:5426164:5428847:-1 gene:Vigun09g054250.v1.2 transcript:Vigun09g054250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVNRMTLLCVRMKKPMSVQPNPIRDNYISGLINTAGNYWDVPFPLAIDQASVTIRDSSSAYHLSRQCS >Vigun11g161133.1.v1.2 pep primary_assembly:ASM411807v1:11:36854626:36854849:-1 gene:Vigun11g161133.v1.2 transcript:Vigun11g161133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIK >Vigun09g247100.1.v1.2 pep primary_assembly:ASM411807v1:9:41506141:41510288:1 gene:Vigun09g247100.v1.2 transcript:Vigun09g247100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQAIDRLGSRLQGGYFFQEQLSRHRTLMNIFDKAPVVDKDVFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSIRVGSGTNIQDNSLVHVAKSNLSGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFVGMGAVLLDGVVVEKNAMVAAGALVRQNTRIPSGEVWAGNPAKFLRKLSSEEISFISQSATNYTNLAQVHAAENSKSYDEIEFEKVLRKKYARKDEEYDSMLGVVREIPPELILPDNVLPDKAEKALTK >Vigun04g001600.1.v1.2 pep primary_assembly:ASM411807v1:4:155225:157854:1 gene:Vigun04g001600.v1.2 transcript:Vigun04g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLLHLHNLNMLSILLLYNGFHVDDNIHTKLRYSAYFVVLSESTIMNNKLILFLSTLFPRTLRFLPFHFHPFFFHLFYFLVLSVVGFLGLKVSKPRTPSNPNDLDLFYTSVSASTTSSMVALEMELFSDSQLILLTLLMFLGGEVFTSVLDLLLARNKLIHNKLLSINPSSPSDESPTHATHIELGLVSVPYSQSQNQTQTLSDNVVSVRLKYNCLRYLTYVVLGYLVVVQFVGFSLVSLYMTVVTSAKQVLQKKGINVVTFSLFTVVSTFASCGFVPTNENMMVFKNNSGLLLLILPHVFLGNTLYPPCLTLVLMVLEKVTGKEEFSQLRKNPNNLGYRHLLSVYRCCLLVGTVFGLNVIQYVMFWSVEWNSTIMEGMNLYEKVVASLFQVSNARHAGESVFDLSSISSAILVLFIVMMYLPPYTSFLPVREEKNDGKRKRKSAVECVVFSQVSYLAIFVILVCITESRSLKEDPLNFNVLNITLEVISAYGNVGFSTGYSCARRLKDDGTCRDSWVGFSGRWSNKGKFILILVMFFGRLKKFNMKGGKAWHLS >Vigun02g065900.1.v1.2 pep primary_assembly:ASM411807v1:2:21535639:21540395:-1 gene:Vigun02g065900.v1.2 transcript:Vigun02g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MRHCSLLPLPTHSFLHPFLFLPFSSRAHHSYSLYCLLTVRRNAPSMHFLTAPSLSLFSPHLIPSLVAPLYFPGSTGRARRFEVEPGSVLHSSWRGTCYKGLCWSCTGFLRRCKDWGGEFSSLESEILEFMQSSDKPEAFPTKEELVAAGRVDLVNAIVNEGGWLAFGWELNGRSSGIGGLEDNCSHGIDGYATQVSGVSSPSSSSSQTDDSVEIEADNESGIAGILNRLEKQRSRSFGLGVDNISPENNEDGDEWDHRTAVDAIATGLENNSRAPSFSPTSSHPSGSQIKPDQHGSQLGTENSENSVKPESWRSWIVQRNGFPDADFEDAEIIPSETQKGGMRREFSSELINNETGLYSLDGNASHNDIKSRIQHLQSELSSTLHSLRSSSDKITKQIVHKSSSDDLAKLSDAWEFQENEIMNAQDKLRSIQAKLAVLEGKMSLAIMDAHKLVEEKQMKISKAQKALHMLKTTCVVWPNNASEVFLTGSFDGWSNKRKMERLSSGIFSVSLQLYPGRYEMKFIVDGEWKIDPLRPIVTNDGYENNLFIVSE >Vigun09g197900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37279944:37282411:-1 gene:Vigun09g197900.v1.2 transcript:Vigun09g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENEVNPTISKSTGLPRKRFYRARAHSNPLSDSHFPVSISPSHVDYSRHYPQLFPSAGQADSSKRIQFADVGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERISSLRVANPGQYQNVSVVRTNSMKYIPNYFGKGQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVLEVGGIIYTITDVEELGEWMKSCLENHPMFEALTEKELEADPVVKLLSSATEEGQKVARNGGQTFQAVFRRIVPSDQTS >Vigun04g138000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34390356:34395593:1 gene:Vigun04g138000.v1.2 transcript:Vigun04g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPISVHCFPCKIFNICKISVSLNLSRNYLSGEIPSEIGNLSSLESLDLSRNHISGRIPFSLSQIDFLCKLNLSHNYLSGRIPSGRHFETFDISSFEGNIGLCGGQLNRTCPRDGNQTAIKPEEHGTVNDDEESVFYEALYMSMGIGYFTGFWGLVGPILLSRSWRNAYLRFLNRLKNCIYE >Vigun08g074850.1.v1.2 pep primary_assembly:ASM411807v1:8:12921121:12923382:-1 gene:Vigun08g074850.v1.2 transcript:Vigun08g074850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGSGKTTIAKAIYGRIYRPSFIKSFVENIKELWVESVEMRRIMTENGLCLRKLLIVLDDGNDFSQIQNLCGSHERFGQGTVIIIITRDVNVLNRFKVNYVYKMDGMNENDSLELLIVYYCGLPLTLKVFGSSLRDRAMEEWESVLSKLKIIPIDQVQEKLKINFDERGYVTEIIHADIGIKVLIEHGLIICPNEPWKRSQDDVKEVLKKKYWIVLKLHSTSRDCFKARVFKEMARLKLLQLDNVQLVGHYVYLSIQLRWICWKEFPSERIPDNFHMENITAINFKKKNRAPSTRLETTPDSKVN >Vigun07g141700.7.v1.2 pep primary_assembly:ASM411807v1:7:25182329:25212182:1 gene:Vigun07g141700.v1.2 transcript:Vigun07g141700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLNQSEPIGLRRMLPPTHGSFQDREDLIKHVRDFGANQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKATSRLINCPFEAIGKKEEEAWVLTIKNGDHNHEPLKDISEHPYSRRFTEEEVRQIKQMTEAGIKPRQVLKALKQSNPDMQSTPRHLYNLKAKIRQGNLSEKTFKSWRPNRSILVDTNNSPSGGSLNQNFQLLKVPNFIGGNFVDSLGSVIIDVINPATQEVVSQVHLTTYEEFKAAVSAAKQAFPSWKNTPITTRIRIMFKLQELIRRDIDKLAMNITIEQGKPSKGAERDVLHGIEVVEHACGMVNLQMGEFVPNAYNGIDTYCIREPLGVCAGICPLNFSATMPLWMFPIAVTCGNTYILNSCEKNPGVSMILAALAKEAGLPDGVLNIIHGTNDIVNYVCDDEDIKAVLFLGPITAGIYATAVGRGKRVQANAGCTNHILVMPDASLDATLDALVPACFDATGERCIAIFVGGSMQWEEKLVQRAKLLKVNAGTDPCADIGPVISKEAKERISGLVQRSVENGARLVLDGRDIVIPGYESGNFVGPTILCDVTTSIECYREEIFGPVLLCMQADNIDEAIAVINKNRYGNGASIFTTSSIAARRFQTEVEAGLVGINVPVPLPFSSNGSKASLAGKAGIQFYTQIKTVAHRWNDYPGIGVFPTTSPSKRDFPRQLSQAMPMESESDSPTYEVQVTITDADIPNTTMSSASTSTEKDHTSQDVSLVLPSTSKRDMSLALSSALQRDLPSQGVSVATPQASERMYVSETSQWNEISPETSQRSEIVPPTCERSHVSVSQMNGNLFTPNRTDSVAALKPEGIYLSTFGSTDPMFPTSEKLYTPSIL >Vigun07g125200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23181192:23182338:1 gene:Vigun07g125200.v1.2 transcript:Vigun07g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQRPASSGSSEGGDPAVDERKRKRMESNRESARRSRMRKQKQLENLTEEASRLQSENVRLAQNIKAKEEAYVEMDAANDILKAQTMELADRLRFLNSILEIAEDVGDLSVEIPQIPDPLFNPWQIPHPMMASPDMFLHGIQGLFAS >Vigun05g149500.1.v1.2 pep primary_assembly:ASM411807v1:5:21829743:21835083:1 gene:Vigun05g149500.v1.2 transcript:Vigun05g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPIHNTPTYIPPPIRPSPPLHQTPSFTPPTKPRAISISTPNTPVAIPIPQTIEPDDSIAAYYDYQFLFMSQRSEATRPVTLSTVEGVIPTDFPAGTYYLTGPGLLTDDHGSTVHPLDGHGYLRAFTFDNVTKNVKYMAKYIKTEAHVEEHDPKTKKWKFTHRGPFSVLKGGKKVGNTKVMKNVANTSVLKWGGKLLCMWEGGEPYEIQAGTLDTIGQYNIMDGLDFEDHHDESRGGDVWEVAANLLKPILYGVFKMPPRRLLSHYKVDSRRDRLLTVSCNAEDMLLPRSNFTFTEYDSNFNVVQKQNFRIPDHLMIHDWAFTDTHYIVFANRIKLDVLGSMAAVYGISPMISALRVNPSKSTSPIYLIPRFPEKQKGKERDWRVAVEAPSQLWLLHVGNAFEVRHQHGNLDIQIQAAACSYQWFNFSKLFGYDWQKRKLDPAIMNVKGGTELLPHLVQVSIKLDSDNNCQECDVKPMKKWKKSSDFPATNPAFSGKKNKYLYAATTLGSRKTLPCFPFDTVVKLDLDTDSAQTWTAGRRRFIGEPIFVPKGDGEDDGYLLVVEYAVSMNRCYLVILNPKRIGSDNALVARIEIPSHLNFPLGFHGFWAAN >Vigun09g161100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32849884:32851506:-1 gene:Vigun09g161100.v1.2 transcript:Vigun09g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMGACGRSATVNDPSGGSVIEQHLCSEVAEQAVSVQESCSEVACNVVDSNADLSTVADGYVGEDLVGSARCLDEGSCDALGLASECENADLLSLEKPIEDDCLNCLGVSYGGIEVPCASSGSEGNFPGEENIDLRSRPLTTGDSPRLCAQQEGPKDDNSHMSPAAGDESVVEGGKNEDTGLFGDVFNHVLDFRDSEMSLELESVADLLVDCNQQNEQQEIMRNADPLLNVVEKCDNPIGTEADACRQISPTADMKVPSGALCADTEVESTSDPKDGEVQNSTCEEKVKAFVDEKVIVINSCVKILSSPGCHGTVESSSVYSPCEPALLDPGCEIKNDVLQVEDAFCKLKDCSSEEASNSTFRKPFSPESGLPSVASIANCSSKDVSDHHRKGDDVSTPTATNNTVDDAGQMVNDGKEAVNVDCITESIPLLSQRNSRRSKVGRKTQTKKASRRGKNKTKVTCPNGDYMKLYSEAARKKRSCISKPARSSIWGLIGNIEHFLSKIMSTQLLKLCVKNWGRLEASVKVGKQLRIMQVLAH >Vigun11g217100.1.v1.2 pep primary_assembly:ASM411807v1:11:41074636:41080336:1 gene:Vigun11g217100.v1.2 transcript:Vigun11g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVDVGSKLLKAGFAIPDQTPAMIIPTKMKQLLDDGSVTDNSLAENVTVDPVVRGFIRDWDAIEDLLQHVLYTGLGWEIGNEGQILFTDPLCTPKTNKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVHHIASRRFEFGGIDLTNFLAQELGKSNPKVNISLSDVEKIKEQYSCCAVDELAYQKTQYFCPVEEHTLPDGQVITIGRERYTVGEALFQPCLLGLEAHGIVDQLVRAISTVSSDNQRQLLENTVVCGGTSSMAGFEERFQKESSLSSSAVQPTLVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHITKADYDETGPSIIHRKCF >Vigun11g217100.2.v1.2 pep primary_assembly:ASM411807v1:11:41075580:41080336:1 gene:Vigun11g217100.v1.2 transcript:Vigun11g217100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLDDGSVTDNSLAENVTVDPVVRGFIRDWDAIEDLLQHVLYTGLGWEIGNEGQILFTDPLCTPKTNKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVHHIASRRFEFGGIDLTNFLAQELGKSNPKVNISLSDVEKIKEQYSCCAVDELAYQKTQYFCPVEEHTLPDGQVITIGRERYTVGEALFQPCLLGLEAHGIVDQLVRAISTVSSDNQRQLLENTVVCGGTSSMAGFEERFQKESSLSSSAVQPTLVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHITKADYDETGPSIIHRKCF >Vigun02g081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23434114:23435081:-1 gene:Vigun02g081500.v1.2 transcript:Vigun02g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPIVNIDKLWSLLPQEAKEKALKSKDTAPLIDVTQHGYFKVLGKGVLPENQPFVVKAKLISKIAEKKIKEAGGAVVLTA >Vigun09g033900.1.v1.2 pep primary_assembly:ASM411807v1:9:2970135:2973414:-1 gene:Vigun09g033900.v1.2 transcript:Vigun09g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNDNITMTDLTSALNEDNRADLVSALKSKIQSLAGQHSDVLESLSPIVRKRVESLREIQGKHDELEAEFFKEREALEAKYQKLYQPLYTKRYEIVNGVTEVEEAANETTDRSEEDSEKGVPSFWLNAMKNNDVLAEEISERDEGALKFLKDIKWSRIDDPKGFKLEFFFDTNPYFSNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPKKGAKNAKPITKTENCESFFNFFKPPEVPEDDDDIDEDLAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDEFEDLEDDEDEDDDEEDEEEDEDEDDDDDEEEEEDSKTKKKKSGRAQIGDGQQGERPPECKQQ >Vigun05g247500.1.v1.2 pep primary_assembly:ASM411807v1:5:44076255:44083928:1 gene:Vigun05g247500.v1.2 transcript:Vigun05g247500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGTFKRANESTRILVTAIMGIAFGFFVGISISSVHLRKISLVSSPVVNSFDVPVAEDEIERFSAEVERSPAVIDESSGTKNLEVLGSIKLPKIFVASNPRGAESLPPGIVVSESDFYLRRLWGEPSEDLKKKPKYLVTFTVGYEQRQNINAAVKKFSDDFAILLFHYDGRTSGWDRFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNADRYIDLVKKYGLEISQPGLEPNKGLTWEMTKRRGDKEVHMVTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVIDSEWIVHQGIPSLGRQGEADKGKNPGDLVRARCKSEWAQFQVRLTNSDKAYLEGLKRNGKN >Vigun05g247500.2.v1.2 pep primary_assembly:ASM411807v1:5:44077303:44083880:1 gene:Vigun05g247500.v1.2 transcript:Vigun05g247500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGTFKRANESTRILVTAIMGIAFGFFVGISISSVHLRKISLVSSPVVNSFDVPVAEDEIERFSAEVERSPAVIDESSGTKNLEVLGSIKLPKIFVASNPRGAESLPPGIVVSESDFYLRRLWGEPSEDLKKKPKYLVTFTVGYEQRQNINAAVKKFSDDFAILLFHYDGRTSGWDRFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNADRYIDLVKKYGLEISQPGLEPNKGLTWEMTKRRGDKEVHMVTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVIDSEWIVHQGIPSLGRQGEADKGKNPGDLVRARCKSEWAQFQVRLTNSDKAYLEGLKRNGKN >Vigun09g117700.1.v1.2 pep primary_assembly:ASM411807v1:9:25907848:25909566:1 gene:Vigun09g117700.v1.2 transcript:Vigun09g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDLNSAEMQKFYSEEQQRAMVNEMVAKLTSECWDKCITGTPGNKFSSSESNCLSNCAHRYLEMSMLIMKRFQSMQ >Vigun04g016750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1225391:1225678:1 gene:Vigun04g016750.v1.2 transcript:Vigun04g016750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMDLLTHYFSIHFQLYACVLIFVNAENQERAKMMMKWAEVIDENAEEIATPDAIDAGKLYHTIRLRLMKFPQQKIPYVTVLVLVLLMKFMEKY >Vigun06g216800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32780901:32782844:1 gene:Vigun06g216800.v1.2 transcript:Vigun06g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGFDTLTLMLCYAMATVSFLPRSNTLSCSYLKFWENDARLQLQGDAEVLGSEIQVTSNREGKNNSYSVGRVTPLLTMHLWDKSSGKLADFTTHFSFSVYSDGVHFGDGLAFFLADPGLPLLNNITEGGGLGLVDGDRVLNSTQQYSFVAVEFDTFQNSWDPEDADPHVGMNFNSMKSNKTASWFADMSQDVVYNCSIEYNSTTLSLTASFTGYNYDKYGKIENSLSYQVDLRKHLPERVMVGFSAAAGYYFEDHILKSWSFYSSDLEIEIGHASGDDNTVVEWLGIWMGLVVFLGLVCFVVWRRIKGKREVLELDLKMDDEFQKGIGPKRFRYNELESATSKFSESEKLGQGGFGGVYKGFLKDLNSYVAIKRVSRESKQGVKEYATEVKVISQLRHKNLVQLLGWCHREKDLLLVYEFMPNGSLDFHLYGNGFLTWPVRYNIALGLASALLYLQEEWEQCVLHRDIKSSNIMLDSSFNAKLGDFGLARLVDHEKGSQTTFIAGTRGYIAPEYVTTGKAYKESDIYSFGVVLLEIASGRKPIDLKAQEGKVTIVEWVWEMYELGEILKVADPKLCGAFDEEQMKRLVGIGLWCAHPNYRLRPSVMQVIQMLKFEAELPALPKWLPVQNFYPSTVRINFSSSSNTL >Vigun06g216800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32780949:32782868:1 gene:Vigun06g216800.v1.2 transcript:Vigun06g216800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSFLPRSNTLSCSYLKFWENDARLQLQGDAEVLGSEIQVTSNREGKNNSYSVGRVTPLLTMHLWDKSSGKLADFTTHFSFSVYSDGVHFGDGLAFFLADPGLPLLNNITEGGGLGLVDGDRVLNSTQQYSFVAVEFDTFQNSWDPEDADPHVGMNFNSMKSNKTASWFADMSQDVVYNCSIEYNSTTLSLTASFTGYNYDKYGKIENSLSYQVDLRKHLPERVMVGFSAAAGYYFEDHILKSWSFYSSDLEIEIGHASGDDNTVVEWLGIWMGLVVFLGLVCFVVWRRIKGKREVLELDLKMDDEFQKGIGPKRFRYNELESATSKFSESEKLGQGGFGGVYKGFLKDLNSYVAIKRVSRESKQGVKEYATEVKVISQLRHKNLVQLLGWCHREKDLLLVYEFMPNGSLDFHLYGNGFLTWPVRYNIALGLASALLYLQEEWEQCVLHRDIKSSNIMLDSSFNAKLGDFGLARLVDHEKGSQTTFIAGTRGYIAPEYVTTGKAYKESDIYSFGVVLLEIASGRKPIDLKAQEGKVTIVEWVWEMYELGEILKVADPKLCGAFDEEQMKRLVGIGLWCAHPNYRLRPSVMQVIQMLKFEAELPALPKWLPVQNFYPSTVRINFSSSSNTLPITQEIL >Vigun09g239800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40996786:40998228:1 gene:Vigun09g239800.v1.2 transcript:Vigun09g239800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVDVPPYLRVHKDGTVERMAGIQVVPAGLDPNTNIVSKDILVIPEAAVTARLYRPNFTPQNAKLPLVIYFHGGAFCISSPSDPLYHNSLNKLVAESNVVALSLNYRLAPEHPLPTAYQDSWAAIQWVASQAKAQEDWFGDYVDFERVFLAGDSAGANIGHYMALKLNGVSKNDFDLKVKGLVMVNPYFWGKEAIGAEITDPERKKMVDVWWGFVCPSEKGNDDPLINPFVEEAPSVEGVACEKVLVIVAEKDILRERGKLYHKMLSNSGWKGKAEFYETLGEDHVFHIFNPDCDKAKSLIKRIADFINEQTVNV >Vigun10g041700.2.v1.2 pep primary_assembly:ASM411807v1:10:5794544:5799176:-1 gene:Vigun10g041700.v1.2 transcript:Vigun10g041700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNDSSRSLVKVKKNNKFGMHPLLQEMGITIIREISVKEPWPNRRLLFDKDTKYETKAMQWLPLKLHQVEIAINSEYLLQKLRWISSNGYSSEYFHSKFCVDDAIAIDLKRSLLGRLWKAPQVLKSLKVLNLSHSKYLTTTPDFNGLPSLEQLILKCCPRLRKVHPSIGCLCNLLLLNLKDCTSLSNLPRRIYKLKSLRTLILSGCSEIDIMDKDVAHLESLITLITENTAVKQVPFSIVSSKGIGYISLRPFESNFFSSIIRSWMSPTMNPLSYIHSFCMDIDDNRWDDIGPLLSHLRNLRSVVVQCNTEFQLSKQVKDILVEYFANITESGTSKHHIRSSLIGVGRCNEFLNTVGYSISKVLARNESCDVSLPAINDPYCLPHMGEGHSVSFTVPSDSDMKGMTLCVVYLSNPKIIEPEFTTVLIVNYTKCTCHIHNHGTVISFNDDDWHGIVSNLESGDKVEIFVNFGDGLVVKNTAVYLICGESKNLEETSEPKKFSLIRFIKKVVM >Vigun05g119750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13084922:13085113:1 gene:Vigun05g119750.v1.2 transcript:Vigun05g119750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPIYLLIVIVIVIVEACKYSSLSLCGDARSYAVETCFLLSKMSNSMSLDLSCLYLLLQFE >Vigun03g450500.1.v1.2 pep primary_assembly:ASM411807v1:3:65183958:65188910:-1 gene:Vigun03g450500.v1.2 transcript:Vigun03g450500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATKEPCKKEACDIQACLSKNNFLPQRCLKVIELLDSCCAKCNYNSTHCASLSGLLKQKPKGYNATQPIH >Vigun01g080200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22579425:22580221:-1 gene:Vigun01g080200.v1.2 transcript:Vigun01g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRIIESPPDSPKSCVTVELNQEDNNLGNEINNDDVDSFVVLGCSHCLMYIMVSENDLRCPQCKSVALIHFPIVTTKRE >Vigun05g248200.1.v1.2 pep primary_assembly:ASM411807v1:5:44177902:44180811:-1 gene:Vigun05g248200.v1.2 transcript:Vigun05g248200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPHYCSTLRQAEHSAAEVALNSLSHRGPSHSLAAKILDETGVYKNLLQEIAQRVGAPLPHYTTYRSGLGHLPVFTGIVELAGISFTGEPAKNKKQAEKNAAMAAWSALKQLAKETASSSTEPENNDELEQITIARALLNYRLKEKMTMSNPNTPIPFHKRFQIQNPRPISSQPPPATSSKILPLICQRTAPRSKPSMATANESPRNRHPQAAVTSDNSTLPPQSCALESRGTRPLKFPAVGAAPYVPIRQMRSSCHGIAPAVTIRTVVPAFAAPPCPPPASVPPHPVIRASPMRVASAVNIRHAVPVYAAPPPRRDEPAPIQKDLPTATASCQQDKQPVKIQDMDKAENIETVRILEQLKI >Vigun11g032300.1.v1.2 pep primary_assembly:ASM411807v1:11:4264071:4266591:1 gene:Vigun11g032300.v1.2 transcript:Vigun11g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKITIEIGVHATAETWFNLFSTQLHHVQNLSDRIHGTKLHHGEDWHHPESIKHWTFVIDGKVTTCHESIESVDEANKTITFKLFNGDIDHQFKLFRFIFQAIDKNSGGAILKWTIEYEMVSEEIDPPYGYVEYLDKCTRDGDAHLLKA >Vigun05g089500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8582511:8583890:-1 gene:Vigun05g089500.v1.2 transcript:Vigun05g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTSSHSHMKAELKGTSISFQNPTTLFNTPHNPLSGALKGCLGSLDGACIEKLLLHCASALESNDITLAQQVMWVLNNVASPVGDTNQRLTSWFLRALISRASRICPTAMSFKGSNTIQRRLMSVTELAGYVDLIPWHRFGYCASNNEIYKAITGYQRVHILDFSTTHCMQWPTFIDALAKNPEGPPSLRITVPFCRPHVPPMVNVSIHEVGLRLGNFAKFRDVPFEFNIIGNTGPTPAELSDESYSFHFEAMLSLLNPTTLNLREDEALVINCQNWLRYLSDDRKGSRQSHSLRDAFLNIIKGFNPRIVLLVDEDCDLSASSLTSRITTCFNHLWIPFDALETFLPKDNGQRAEFESDIGQKIENIISFEGHQRIERLECGVQMSQRMKNVGYLSVPFCDETVREVKGLLDEHASGWGMKREEGMLVLTWKGNSCVFATAWVPCEIRDHVGMDASLP >Vigun05g119500.4.v1.2 pep primary_assembly:ASM411807v1:5:13010950:13014996:-1 gene:Vigun05g119500.v1.2 transcript:Vigun05g119500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNFKTQLKKHSDNISALERNMQYSGSINMLMKNLSVKIESSHAQHSEAIGALGQEDEELLSDVKSMKTEREMIRGEMKEINKTLEKLKTDKMEGERLLGEAYDRISMLDAEMETSKKSTTDTIAGMDYDKFKTQQKEHNNHINALEDNLRYDRRVMNILLKDIKEEIEMFHCKRSTDLEALMQENEELLLLLLSEVESGKTKKQMCENIKHG >Vigun03g152200.1.v1.2 pep primary_assembly:ASM411807v1:3:16069508:16075272:1 gene:Vigun03g152200.v1.2 transcript:Vigun03g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMLAPSFSGFAKPSASVKPSRLTLRASSLRHDYPLASKIVVKNLPYSTGETTLQKEFSDFGKIAEVKMVKDMKTKRSKGIAFIQYTCQDDAMLALETMDQKDFYGRRIYVEIARLGWDYFGATPRASGPPKKWDLPQQEEVVDCWY >Vigun11g137800.1.v1.2 pep primary_assembly:ASM411807v1:11:34691761:34692147:1 gene:Vigun11g137800.v1.2 transcript:Vigun11g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGVVVLMMIMLGFVQIIEIGALSCEDKCAIECIPSLEAYPLCYISCVVLCETATTCARSCGKKKSITVNIDAAGKVANVVDSCLHGCRKSQ >Vigun06g115300.2.v1.2 pep primary_assembly:ASM411807v1:6:24335833:24337083:-1 gene:Vigun06g115300.v1.2 transcript:Vigun06g115300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEPYQLLEINVISAQDLPQVSKPVRAYAVGWVHPDRRLTTQVDHEGNTNPMWNEKFVFRVDDHFLNSDNAIIMMEIYAQTWLSPVLIGTVAVHVTNLLHKKRKSKTRFVELQILRPSGRPRGNLNIGITLLDSAMQNMPLYSELSFSGNDDQLETRKNKKVITEEDREKHSPLETASVFTLQRCQSEENDSTINDCAYRGTAQRYGYDEQDLDVGVRKGGVFNENSLISDVGPSPSVVAAAIAKGLYPMPPPRTAESSMIDGWSMNSGNEAVVKKMEKWRAELSPAFEDYGEEQRNPRQTPHRVGQTPHRTPRRGVRRGEPFSCFGTVFGCEITITCTKGNRQKKNGDEKSRLTEASSSELTYDESFI >Vigun10g130500.1.v1.2 pep primary_assembly:ASM411807v1:10:33869501:33878716:1 gene:Vigun10g130500.v1.2 transcript:Vigun10g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGAVHSAVYVLLLSVTLVLGGDIVHHDDVAPIRPGCDNNFVLVKVPAWIDGVEKNEYVGVGARFGPTLESKEKRANLTRVVMADPPDCCTKPKNKLTSEIILVHRGKCSFTTKANIADEAGASAILIINYRTELFKMVCEENETDVDIGIPAVMLPQDAGLTLERHIKNNSNVSIQLYSPLRPLVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQEKLLKDASDDYTNTENVGSTGYVEISTIAAILFVVIASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVALLSCFRWFRQPAQTFVKIPFFGAISYLTLAVTPFCIVFAVVWAVCRHDSWAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIILPGLIVAFSLRYDWLAKTNLRAGYFLWAMTAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELKILWTRGEPERPCCHIQEDNQSTNSHH >Vigun10g130500.2.v1.2 pep primary_assembly:ASM411807v1:10:33869980:33878717:1 gene:Vigun10g130500.v1.2 transcript:Vigun10g130500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPFVFGELKIGELGWVKVPAWIDGVEKNEYVGVGARFGPTLESKEKRANLTRVVMADPPDCCTKPKNKLTSEIILVHRGKCSFTTKANIADEAGASAILIINYRTELFKMVCEENETDVDIGIPAVMLPQDAGLTLERHIKNNSNVSIQLYSPLRPLVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQEKLLKDASDDYTNTENVGSTGYVEISTIAAILFVVIASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVALLSCFRWFRQPAQTFVKIPFFGAISYLTLAVTPFCIVFAVVWAVCRHDSWAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIILPGLIVAFSLRYDWLAKTNLRAGYFLWAMTAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELKILWTRGEPERPCCHIQEDNQSTNSHH >Vigun06g114900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24316575:24318843:-1 gene:Vigun06g114900.v1.2 transcript:Vigun06g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKRINVNGSALNCDDILHEILLRIPPSTITKLIVVSKIWLRVICSSSFRQGYTKRWGQSFRLVGFFVCNFLYLGRPRDGYRRPRWEPALPFLSTCREGDDLKHSGILKRLGYFIDSCKGIVLSGFHPKTYYVYNAVSKQKHQLPEPQQFYKVLCMALIVEESLDGDTCYKVIRARCECKLKERNSVSIETYSSNTGKWKQSTLMCSTSFALRPRTAAMVVGGVVHWFAMWGKLAIYDPRLGDRYIALIRLPTAVLTREHEESVLGESSNGVLQYGQSNNLGVEIWMLEKEPEDKDSSVYCNCTRMKYKWVLRWRLNFKVLWKQMPSLSTHSKETQLLSFLPRNSTSVFVRSGWNILLCDLQTKTVEIVNYQGRGGSISWESSKIVPYFLPSWPHASCAS >Vigun07g253800.1.v1.2 pep primary_assembly:ASM411807v1:7:37232106:37233955:-1 gene:Vigun07g253800.v1.2 transcript:Vigun07g253800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKSKSSKGSQLETNKKAMNIQCKVCMQTFICTTSEVKCREHAEAKHPKADLYACFPHLRK >Vigun03g047700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3801140:3801817:-1 gene:Vigun03g047700.v1.2 transcript:Vigun03g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRFFFLMALSFTFTTAVHAARFDITNRCPNTVWVASVPVGGGRQLNTGDSWSVDVPEGTKGARIWARTGCNFDGSGRGECQTGDCGGVLECQGYGRAPNTLAEYGLNGFNNLDFFDISLVDGFNVPMEFSPTSNGCSRGISCSADINGQCPAELKTDGGCNNPCTVYKSNEYCCNSGSCSATPLSKFFKDRCPDAYSYPQDDPTSTFTCPGGTNYKVVFCP >Vigun09g030000.1.v1.2 pep primary_assembly:ASM411807v1:9:2472339:2480843:1 gene:Vigun09g030000.v1.2 transcript:Vigun09g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQPLQNGSAGNLLSPDNVSATQPPAEAEADTTAAAPPPERRWLGWPGDCVFRLIVPVGKVGSIIGRKGELIKKMCEETRSRIRVLDAPIGTPDRIVLVSGREETEAALSPAMDAVIRIFKRVFGLSEIDAENKESAAGLAFCSIRLLVASTQAISLIGKQGSLIKSIQENTSASVRVLSGDEVQFYATADERIVEIQGETLKVLKALEAVVGHLRKFLVDHSVLPLFERNFNAPISQDRQAETWSDKSLLNSTSRTSVFPDMPLPSKRDSILAERESQLDSLLPSSTMSLYGQDSSLSGVRSALGRVGAPIVTTVIQTMQIPLSYAEDIIGIHGTNIDYIRRTSGAVLTVQESRVPDEIIVEIKGTSSEVQTAQQLIQDFISTHKEPLTINYGRLDAGSRSSYSQLGTASRLPSSLSSQPYTGYGSSGLGDYSTFRL >Vigun01g211800.1.v1.2 pep primary_assembly:ASM411807v1:1:38602582:38605373:-1 gene:Vigun01g211800.v1.2 transcript:Vigun01g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTIYIYNVRVDEVKSKLKGHQKRITGFAFSTCLNILVSSGADAQLCVWNIDTWEKRTWIALQLPAGKAPVGDTRVQFHLDQIRLLVAHKSQLAIYDASKMDRIRQWVPQDVLAAPISYAAYSCNSQLIYATFCDGNTGVFDADSLRLRCRIALSTYFSPAALSVNQSVYPVVVAAHPAEPNQFAVGLTDGSVKVIEPSESEGKWGSSPPIDNGIVNGRTASSSTTSNHTPDQAQR >Vigun11g088900.1.v1.2 pep primary_assembly:ASM411807v1:11:26812272:26816214:-1 gene:Vigun11g088900.v1.2 transcript:Vigun11g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNHLCVRCAATPTSPESPSSAPLTDPKQTKVILPKKKAVKWSTGMAPGEYGGPPTTTKLRKYWGGDDEDPLASDDYMWNKEFVGRFKKLIQDPQAPPPPAKEEPSGFLSLNRVMSLDSLEIDLSKELAAPLNHNVHKQVEAEINETGINRVKYRSAPTRREQEKWNRATKAATGGSDVMFREIKQSREDPKVLAAKAQEQYDKLKEKLQFLTLGIGGVGLVSAYVSYTPEIAASFGAGFLGSLVYIRMLGSSVDSLKTDGAKALVKGAIGQPRLLVPVVLVMVYNRWNAILVPEFGYMHLELIPMLVGFFTYKIATFVQAIEEAITVATRKT >VigunL060400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:39336:40546:-1 gene:VigunL060400.v1.2 transcript:VigunL060400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVGFGFRPTEEELVDYYLRHRLLGDDPQVHVIPDIDLCQGEPWDVPMLFGESNVQFDFPEWFFFSPVDFKYSNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGGDEGESSRIMVSGYHNHSIGTTFQQDQTSFPNPSFYDAHFRNESNIKHNSYDDTQEEEFVNSFFVQDNYVNNEQGTNTYFNTFTQSESLRKVYDTDAEAVSEQGDNIMDIPTVLLDILT >Vigun05g271200.1.v1.2 pep primary_assembly:ASM411807v1:5:46258289:46264206:1 gene:Vigun05g271200.v1.2 transcript:Vigun05g271200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDDNNNNKAERSKDAAVGIPDSRFNQTLRNVQGLLKGRNIPGKILLSQRVSSPDYSSLSSPTYTRSFSYSETGTSGLTSERIEKQAQSSRKSFGSLNDNKLKVSASNVQSPSEEVQKSSFGARATDSARILKFTKVLSGTMVALEKLRELSWSGVPDYMRPTVWRLLLGYAPTNSDRREGVLRRKRLEYLDYISQYYDIPDAERSDDEINMLRQIAVDCPRTVPEVSFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGAIDNWSMSGLSSDQISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDDPVSSHMEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSEQLQRLDFQELVMFLQHLPTKNWTHQELEMVLSRAFMWHSMFNSCPSHLAN >Vigun03g295500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48128118:48128672:-1 gene:Vigun03g295500.v1.2 transcript:Vigun03g295500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSYLLIFFIFLFLFQSTNSSNLISQSCKEASKNDPNLKYDFCVASLEDASSNLKPPPTTLEGLVDMSIQLTKSNGTNMVSIISKLLKDKDFDQYAKACLRDCFDLYSDSLSDLDDAVAAFKRKDLDTAAIKLSASLDTSDTCEDQFKEKKGETSPLTKENQVYFELNVISLAFLQMFRQHY >Vigun04g166000.9.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.4.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEVQQ >Vigun04g166000.16.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun04g166000.10.v1.2 pep primary_assembly:ASM411807v1:4:39049807:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.19.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEVQQ >Vigun04g166000.14.v1.2 pep primary_assembly:ASM411807v1:4:39049807:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.13.v1.2 pep primary_assembly:ASM411807v1:4:39049807:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.18.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun04g166000.22.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055151:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.1.v1.2 pep primary_assembly:ASM411807v1:4:39049807:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.21.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055151:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.8.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.15.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun04g166000.17.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun04g166000.12.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055916:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun04g166000.23.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055151:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.2.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEVQQ >Vigun04g166000.20.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055915:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESISRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEVQQ >Vigun04g166000.24.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055151:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLIGAR >Vigun04g166000.11.v1.2 pep primary_assembly:ASM411807v1:4:39049831:39055916:-1 gene:Vigun04g166000.v1.2 transcript:Vigun04g166000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFEKIEVEYAPLSEGQEIDIEYEFDAPQFFAFTRRETAWDASEAEQWFEYATSYPPSPFLLKTKRGNCVSSTEKEHVSDVDDDNSTDTSSGKTKPLRKSSPCKGKDFSFMKPTASNLAKKKNVLEVRSPESIRFQRPNSSPTDSALTKRQKLEAGYLRKVARLKHQIRFTHKTEEVDHPVPNSASKTNVTIAKEPNLVTALRAHRQNKCKTSGESGGPTQSSSQESKAKPLNKKILEGPAQTSSKMKTSRPTQCQEFHLRTSERAMQNTSNNGRRSLKCNSISNTETGNLRRTHSGTVGSVQEKCRTSNKLRGSSDVKKLSSKSERGVFRNIKVYPLELNDQDSTNEPPSELFSKLTLASEVEQTAKSSSKKQTMSKGSKENRHGSFQDNERMKVVKEGMQRSCVKQYQRVNEMRSLISKQTCMLEI >Vigun10g191400.1.v1.2 pep primary_assembly:ASM411807v1:10:40565779:40570273:1 gene:Vigun10g191400.v1.2 transcript:Vigun10g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGSKRSFFEELPPSPPLSKRLRCSSSPIRFPPLSLIDQLRPLFPHMDDLVLERALQECGNDIDAAIKRLNELCLGNADGNGNSEGSDVVNLDAGKLEDNGNASVPEDQPTLNNHLPADGAEWIDFFVREMMVATSVDDARARAGRMLEVLEKSISERARAEATDALLKENLMLKEQIEALIKEKNSFKNAFKIQHERSADYEVKNQELQHLKQLVSQYQEQIRTLEVNNYALAMHLKQAQQSNPFTGHFPPDVF >Vigun09g072300.1.v1.2 pep primary_assembly:ASM411807v1:9:7959696:7960573:-1 gene:Vigun09g072300.v1.2 transcript:Vigun09g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQTGTSRNITDDQINDLVSKLQQLLPEIRERRSDKVSASKVLQETCNYIRSLHREVDDLSERLSELLATTDTAQAAIIRNLLMQ >Vigun03g154800.1.v1.2 pep primary_assembly:ASM411807v1:3:16471022:16475219:1 gene:Vigun03g154800.v1.2 transcript:Vigun03g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVQIPSNNSALIAMIADEDTVVGFLLAGVGNVDIRRKTNYLIVDAKTTVKQIEDAFKEFTTREDIAIVMISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFNTESVASGRR >Vigun05g114100.3.v1.2 pep primary_assembly:ASM411807v1:5:12055639:12059466:-1 gene:Vigun05g114100.v1.2 transcript:Vigun05g114100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSTFMDQPNIITMLMFTTMALLLRAQAMRKCGNCGPNPVPYPLSTGPDCGDPWYRIRCTAGTLWLDALAGSSYAIRSIDPFTRRIITRPATLAPKTCVSTDFHSEGMHLNETLPFSVASGNTVFLFNCTAHAPSMNCSPSSACHSYIKEHADFGACGSVAVGTCCEYRTGGARKEFAIRLHGGECAAYESFVDFNGTAATVGKRWPESGVGIEWVAPQEPVCKVPIDCKELLNSKCGVGPRDGVQRCFCNAGFGWDPINGSCQPQTQTHNRTCTGHGKDSHCKVRKKKKMLVPVVVSIGGIVFVLAIIGVIFYKKHNQAKGKTKEAKISKRKEVSSVKASALSSRIFTGREIRKATNNFSRENLIGTGGFGEVFKGTFDDGTVFAIKRAKLGSTKGIDQMQNEVRILCQVNHRSLVRLLGCCLELEHPLLIYEYVSNGTLFDYLHRHSCGTREPLKLHQRLKIAHQTAEGLSYLHSSAVPPIYHRDVKSSNILLDDKLDAKVSDFGLSRLVELAEENKSHIFTSAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLMELLTAQKAIDFNREEESVNLAMYGKRKMVEEKLMDVVDPLLKEGASDLELETMKSLGYLAVSCLDDQRQKRPSMKEVADEIEYLIKAVKGQVSKP >Vigun05g114100.4.v1.2 pep primary_assembly:ASM411807v1:5:12055639:12059416:-1 gene:Vigun05g114100.v1.2 transcript:Vigun05g114100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSTFMDQPNIITMLMFTTMALLLRAQAMRKCGNCGPNPVPYPLSTGPDCGDPWYRIRCTAGTLWLDALAGSSYAIRSIDPFTRRIITRPATLAPKTCVSTDFHSEGMHLNETLPFSVASGNTVFLFNCTAHAPSMNCSPSSACHSYIKEHADFGACGSVAVGTCCEYRTGGARKEFAIRLHGGECAAYESFVDFNGTAATVGKRWPESGVGIEWVAPQEPVCKVPIDCKELLNSKCGVGPRDGVQRCFCNAGFGWDPINDRTCTGHGKDSHCKVRKKKKMLVPVVVSIGGIVFVLAIIGVIFYKKHNQAKGKTKEAKISKRKEVSSVKASALSSRIFTGREIRKATNNFSRENLIGTGGFGEVFKGTFDDGTVFAIKRAKLGSTKGIDQMQNEVRILCQVNHRSLVRLLGCCLELEHPLLIYEYVSNGTLFDYLHRHSCGTREPLKLHQRLKIAHQTAEGLSYLHSSAVPPIYHRDVKSSNILLDDKLDAKVSDFGLSRLVELAEENKSHIFTSAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLMELLTAQKAIDFNREEESVNLAMYGKRKMVEEKLMDVVDPLLKEGASDLELETMKSLGYLAVSCLDDQRQKRPSMKEVADEIEYLIKAVKGQVSKP >Vigun05g114100.2.v1.2 pep primary_assembly:ASM411807v1:5:12055639:12059416:-1 gene:Vigun05g114100.v1.2 transcript:Vigun05g114100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSTFMDQPNIITMLMFTTMALLLRAQAMRKCGNCGPNPVPYPLSTGPDCGDPWYRIRCTAGTLWLDALAGSSYAIRSIDPFTRRIITRPATLAPKTCVSTDFHSEGMHLNETLPFSVASGNTVFLFNCTAHAPSMNCSPSSACHSYIKEHADFGACGSVAVGTCCEYRTGGARKEFAIRLHGGECAAYESFVDFNGTAATVGKRWPESGVGIEWVAPQEPVCKVPIDCKELLNSKCGVGPRDGVQRCFCNAGFGWDPINDRTCTGHGKDSHCKVRKKKKMLVPVVVSIGGIVFVLAIIGVIFYKKHNQAKGKTKEAKISKRKEVSSVKASALSSRIFTGREIRKATNNFSRENLIGTGGFGEVFKGTFDDGTVFAIKRAKLGSTKGIDQMQNEVRILCQVNHRSLVRLLGCCLELEHPLLIYEYVSNGTLFDYLHRHSCGTREPLKLHQRLKIAHQTAEGLSYLHSSAVPPIYHRDVKSSNILLDDKLDAKVSDFGLSRLVELAEENKSHIFTSAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLMELLTAQKAIDFNREEESVNLAMYGKRKMVEEKLMDVVDPLLKEGASDLELETMKSLGYLAVSCLDDQRQKRPSMKEVADEIEYLIKAVKDTSMTSS >Vigun05g114100.1.v1.2 pep primary_assembly:ASM411807v1:5:12055639:12059416:-1 gene:Vigun05g114100.v1.2 transcript:Vigun05g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSTFMDQPNIITMLMFTTMALLLRAQAMRKCGNCGPNPVPYPLSTGPDCGDPWYRIRCTAGTLWLDALAGSSYAIRSIDPFTRRIITRPATLAPKTCVSTDFHSEGMHLNETLPFSVASGNTVFLFNCTAHAPSMNCSPSSACHSYIKEHADFGACGSVAVGTCCEYRTGGARKEFAIRLHGGECAAYESFVDFNGTAATVGKRWPESGVGIEWVAPQEPVCKVPIDCKELLNSKCGVGPRDGVQRCFCNAGFGWDPINGSCQPQTQTHNRTCTGHGKDSHCKVRKKKKMLVPVVVSIGGIVFVLAIIGVIFYKKHNQAKGKTKEAKISKRKEVSSVKASALSSRIFTGREIRKATNNFSRENLIGTGGFGEVFKGTFDDGTVFAIKRAKLGSTKGIDQMQNEVRILCQVNHRSLVRLLGCCLELEHPLLIYEYVSNGTLFDYLHRHSCGTREPLKLHQRLKIAHQTAEGLSYLHSSAVPPIYHRDVKSSNILLDDKLDAKVSDFGLSRLVELAEENKSHIFTSAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLMELLTAQKAIDFNREEESVNLAMYGKRKMVEEKLMDVVDPLLKEGASDLELETMKSLGYLAVSCLDDQRQKRPSMKEVADEIEYLIKAVKDTSMTSS >Vigun09g114700.1.v1.2 pep primary_assembly:ASM411807v1:9:25164756:25171935:1 gene:Vigun09g114700.v1.2 transcript:Vigun09g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFRGSQNRNKHAPIPRQPGSTTDDKPMDPPAGPPALRFRSLSNCGHSRPSASRVVQRSASARMTRQHTTTRGEVMLSDVVGNGISGILHKWVNYGRGWRPRWFVLHDGVLSYYKIHGPDKLVLNHDVEDGSMVIGEESLRRINSHRHCPSRHRKPVSEIHLMVCSVQENSSDKRRFSVCTGTKKRLHLRAESKEDRTMWVEAMMAVKDMYPRLPNAEVLSPVASVAISTEKLRERLLEEGLSDATIGECEEIMKFEFSQLKKQMVALKHKHLLLVDTLRQLESEKVDLENTLIEDQRQSKDGADTHPLMLEKYSEGSGEDSSDEHDRMDPSDDDDDAFFDTCEILSTGSDHLRSCHDSEQDETGPNEICDEKSPIGSVGFNYPHIKRRKKLPDPVEKESSVSLWSIIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDVEYSYLLDQAYEWGKMGDGLKRMIHVAGFAASAYASTKGRSCKPFNPLLGETYEADYPDKGIHFISEKVSHHPMIVACHCEGRGWKFWGDVNLKSKFWGRSIQLDPIGVLTVEFDDGETIHWSKVTTSIYNLILGKLYCDHYGTMRLEGNSNYVCKIKFKEQSIIDRNPHQIHGIVEDKKGKTVATLIGKWDESLHYVIGGSFGKGKGSNESSKPHFLWKKNPPPEHQTRYNFTQFAITLNEITPGLKEKLPPTDSRLRPDQRCLENGQYEMANSEKLRLEQRQRQARKMQEKGWKPRWFAKEKGSISYRYIGGYWESREKGNWESCPDIFGQFSTDTDATPTP >Vigun09g114700.4.v1.2 pep primary_assembly:ASM411807v1:9:25166994:25171935:1 gene:Vigun09g114700.v1.2 transcript:Vigun09g114700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEAMMAVKDMYPRLPNAEVLSPVASVAISTEKLRERLLEEGLSDATIGECEEIMKFEFSQLKKQMVALKHKHLLLVDTLRQLESEKVDLENTLIEDQRQSKDGADTHPLMLEKYSEGSGEDSSDEHDRMDPSDDDDDAFFDTCEILSTGSDHLRSCHDSEQDETGPNEICDEKSPIGSVGFNYPHIKRRKKLPDPVEKESSVSLWSIIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDVEYSYLLDQAYEWGKMGDGLKRMIHVAGFAASAYASTKGRSCKPFNPLLGETYEADYPDKGIHFISEKVSHHPMIVACHCEGRGWKFWGDVNLKSKFWGRSIQLDPIGVLTVEFDDGETIHWSKVTTSIYNLILGKLYCDHYGTMRLEGNSNYVCKIKFKEQSIIDRNPHQIHGIVEDKKGKTVATLIGKWDESLHYVIGGSFGKGKGSNESSKPHFLWKKNPPPEHQTRYNFTQFAITLNEITPGLKEKLPPTDSRLRPDQRCLENGQYEMANSEKLRLEQRQRQARKMQEKGWKPRWFAKEKGSISYRYIGGYWESREKGNWESCPDIFGQFSTDTDATPTP >Vigun09g114700.3.v1.2 pep primary_assembly:ASM411807v1:9:25164756:25171935:1 gene:Vigun09g114700.v1.2 transcript:Vigun09g114700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFRGSQNRNKHAPIPRQPGSTTDDKPMDPPAGPPALRFRSLSNCGHSRPSASRVVQRSASARMTRQHTTTRGEVMLSDVVGNGISGILHKWVNYGRGWRPRWFVLHDGVLSYYKIHGPDKLVLNHDVEDGSMVIGEESLRRINSHRHCPSRHRKPVSEIHLMVCSVQENSSDKRRFSVCTGTKKRLHLRAESKEDRTMWVEAMMAVKDMYPRLPNAEVLSPVASVAISTEKLRERLLEEGLSDATIGECEEIMKFEFSQLKKQMVALKHKHLLLVDTLRQLESEKVDLENTLIEDQRQSKDGADTHPLMLEKYSEGSGEDSSDEHDRMDPSDDDDDAFFDTCEILSTGSDHLRSCHDSEQDETGPNEICDEKSPIGSVGFNYPHIKRRKKLPDPVEKESSVSLWSIIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDVEYSYLLDQAYEWGKMGDGLKRMIHVAGFAASAYASTKGRSCKPFNPLLGETYEADYPDKGIHFISEKVSHHPMIVACHCEGRGWKFWGDVNLKSKFWGRSIQLDPIGVLTVEFDDGETIHWSKVTTSIYNLILGKLYCDHYGTMRLEGNSNYVCKIKFKEQSIIDRNPHQFCCRFTA >Vigun09g114700.5.v1.2 pep primary_assembly:ASM411807v1:9:25166994:25171935:1 gene:Vigun09g114700.v1.2 transcript:Vigun09g114700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEAMMAVKDMYPRLPNAEVLSPVASVAISTEKLRERLLEEGLSDATIGECEEIMKFEFSQLKKQMVALKHKHLLLVDTLRQLESEKVDLENTLIEDQRQSKDGADTHPLMLEKYSEGSGEDSSDEHDRMDPSDDDDDAFFDTCEILSTGSDHLRSCHDSEQDETGPNEICDEKSPIGSVGFNYPHIKRRKKLPDPVEKESSVSLWSIIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDVEYSYLLDQAYEWGKMGDGLKRMIHVAGFAASAYASTKGRSCKPFNPLLGETYEADYPDKGIHFISEKVSHHPMIVACHCEGRGWKFWGDVNLKSKFWGRSIQLDPIGVLTVEFDDGETIHWSKVTTSIYNLILGKLYCDHYGTMRLEGNSNYVCKIKFKEQSIIDRNPHQFCCRFTA >Vigun02g105100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25961093:25962601:1 gene:Vigun02g105100.v1.2 transcript:Vigun02g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWFYLVACVVVICVKVFLKIKHNKRLPPSPPTIPILGNIFWLLKSSKNFADLEPVLRSLRSKYGNIVTIHIGSNPSIFITCQEAAHRALVKNGTVFAGRPLALQTTQVFFPNQYTVTTSPFGHNWRMMRQNLMQVIQPSQLKSYSRCRKWALNILKRHITEEIESGNKAVPMEEHFNYALYALFSYICFGEKFDERTVRNIQRVQHGFLHNFIKFNVLNFAPFLSKIVFRGLWREILKIREDQVNVFLPIIKARQEKIKGEVGVEEFKAYVDTLYDMKLPSNGSKLRDEELVSMCAEFMIGGTDTTVTTWVWCMANLVKYQEVQEKLFDEIKDVVEGGEEIEEEHLKRMPYLKAVVLETLRRHPPGHFILPRAVTEDTVMDGYEIPKNAMVNFLVAEFGWDSNVWEDPMEFKPERFLRDDDGKFDLKGTMEIKMMPFGAGRRVCPAISMATLHLEYFVANLVRDFKWSLEDGCEVDMTEKQAFTIVMKNPLKPMVSPRIT >Vigun05g107800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11063567:11064178:1 gene:Vigun05g107800.v1.2 transcript:Vigun05g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNRTSSLNISHHNLYNVTILTLLTCSPSEVHSWISDILHRHRRRSTLLVGLDIEWRRNFRRDMNNPVATLQLCVGRRCLVFQILHAPFIPLSLVSFLEDANHTFVGVGIQDDVQKLLEDRSFRVPNVIELRSLAVEKLGDPELNRVGLKKLGLRVLGLEVDKPKKITKSRWDNGWLSDEQVQYAAIDAFVSFEIGRRLSS >Vigun04g161900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38472134:38473816:-1 gene:Vigun04g161900.v1.2 transcript:Vigun04g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPTLDVNCKCCTTSSSSDYQISIKDSQHNHPTMLINPLISKLQNNTTTTTPPPQPQPIKVVTQTTKSHVPDTVKEVISISKIAIPMILTGLLLYCRSMISMLFLGHLGELALAGGSLAVGFANITGYSILSGLAVGMEPICGQAFGAKRFTLLGLCLQRTILLLLFTSLPISLLWLHMKKILLLCGQDEAIATQAQSYLVYSIPDLIAQSFLHPMRIYLRSQSITLPLTLCASLSILLHIPINYFLVSHLGLGIKGVALGGVWTNFNLVASLILYVVFSGTYKKTWGGFSFECFTQWGSLLNLAIPSCVSVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTSLLYIFPSSLSFSVSTRVGNKLGAQKPSKARLSAIVGLSCSFVSGVLALIFAFMVRNTWATMFTKDKEIITLTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVSVWLAFFTGYDFQGLWLGLLAAQGSCAVTMLVILCRTDWEFEAQRAKKLTGMGGASGVGDDHDREVDPEKPLKFESKEDSLALLADSDENEQ >Vigun07g032700.1.v1.2 pep primary_assembly:ASM411807v1:7:3083216:3087813:1 gene:Vigun07g032700.v1.2 transcript:Vigun07g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVSDCKQIDFLPFTCDRCHQVYCLEHRGYIKHQCPKADKKDVTVVVCPLCAKGVRLVPDQDANITWENHVNTDCDPSNYDKVTKKKKCPVPGCREILGFSNTIKCRDCNVDHCLKHRFGPDHKCPGPRKMETSFSFRNLLNVSKKQESKPQPSSSASSRWSSGFLNAVSNIRSSAEAGVSKLSEANQGWWKASGGVGASQGSGQVEQCPQCGAKFSSVTALVDHVQKVHERSGNRSGPKVTIDACPKCSRGFQDPVALVEHVERDHGGNSRS >VigunL059278.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000503.1:17604:17970:-1 gene:VigunL059278.v1.2 transcript:VigunL059278.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun03g110000.1.v1.2 pep primary_assembly:ASM411807v1:3:9852830:9867910:-1 gene:Vigun03g110000.v1.2 transcript:Vigun03g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQGQGQGGGSHSLAFRVMRLCRPSFNVDPPLRLDLTDIFVGEDLFDDPSAAPAPSLYANDNSDPNYRDRFLLHHFSDAMGLSGLLVLPQSFGAIYLGETFCSYISINNSSNFEVREVIIKAEIQTERQRILLLDTSKSPVESIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDGERKYLPQFFKFIVANPLSVRTKVRVIKETTFLEACIENHTKSNLFMDQVDFEPAQHYSATILKGDGHHSEKDSPTRETFKPPILIRSGGGIYNYLYQLTTPSDGLAQTKAEGSNVLGKLQITWRTNLGEPGRLQTQQILGTPATKKEIELQVVEVPSIINLQKPFGIKLNLTNHTDKELGPFEISLSQNGSYGERVVTINGLQSMVLSQIQALGSTDFHLNLIATKPGIQRISGVTVFDTREMKSYEPLPDLEIFVDTD >VigunL074900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:213570:215379:-1 gene:VigunL074900.v1.2 transcript:VigunL074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDKIPQYERDILTTIKPELLQSLKGGLTSERKIELEKLLKEKAKNYI >Vigun11g149200.1.v1.2 pep primary_assembly:ASM411807v1:11:35932720:35936376:1 gene:Vigun11g149200.v1.2 transcript:Vigun11g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCCAGKSCTNSDTEDYGHNFDQKPSNFTAAESVKVDFKVNGRKEDGSKGDQLALDVKSLNLKEEGSHDGRDNGNRAQSFSFNELEAATGNFTADCFLGEGGFGKVYKGHLERINQVVAIKQLDPNGLQGIREFAVEVLTLSLADHPNLVKLIGFCAEGEQRLLVYEFMPLGSLENHLLDLRPGSKPLDWNTRMKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDHMKPAKEQNLVAWARPLFRDRKKFPQMVDPLLEGRYPVRGLYQALAIAAMCVQEQPNMRPVIVDVVTALNYLASQKYDPQLHPAQSSRRSPPSQVMQRDDDDERRLILSTEHHVTDRS >Vigun09g032300.1.v1.2 pep primary_assembly:ASM411807v1:9:2757365:2759743:1 gene:Vigun09g032300.v1.2 transcript:Vigun09g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYARLTAAALVLIALAPTFLQSSVSASSVGKFVDETINSHKIVIFSKTYCPYCKRAKGFFKQLNQVPHVVELDERDDGAKIQDVLINIVGRRTVPQVFVNAQHLGGSDDVAASYESGHLHRLLGIKSEGHDDL >Vigun11g212800.1.v1.2 pep primary_assembly:ASM411807v1:11:40762401:40763527:-1 gene:Vigun11g212800.v1.2 transcript:Vigun11g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESCALCKKRAAMVCDSDEAKLCWECDDKVHSANFLVAKHSRVLLCRSCHSPTPWKASGTKLTPTVSFCQPCVADRHTRLHRLVNDVRQQQKEEENEALPPSSASATSPPRAAALPFKLLRNNSFSIDSIDSHDGTACSSSEMLPRAVGKDEKMVKGCVSEYK >Vigun04g191700.1.v1.2 pep primary_assembly:ASM411807v1:4:41598166:41604933:-1 gene:Vigun04g191700.v1.2 transcript:Vigun04g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDSKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNHNHPSIFSIVGMGGLGKTTLAQHVYHDPKMEEAKFDIRAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTTRSKKVASNMRSRVHLLEELREDKSWNVFEKHALKDDEIELNDDLKEIGRRIVVKCKGLPLALKTIGRLLHTKSSISDWENILESDMWNLPKEASEIIPALLLSYHYLPTPLKRCFAYCALFPKDYEFAKKELILLWMAENFLHCPQEIRHPEEVGEQYFNDLLSRSFFQQYEGNFVMHDLLNDLAKYVYGDICFRLKFDKGKYIPKTTRHFLFTPGDVKCFNGLESLSDAKRLRSFIAIARLRGRYLGNYPWQFMISLHDLFSKIKFLRVLSFYGISDLKKVPDSIGNLKHLQSIDLEYTNIQKLPDSIGLLYNLLILKLSNCYDLEELPSNLHKLTKLRCLEFERTKVTKMPKHFGELKNLQVLSTFLVDRNNECNIKQLGGLNLHGSLSIKEVQNIVNPLDALEANLKNKHLVNLELEWKRKHIPDDPLKEKKVLENLQPSKHLERLSIENYGGTEFPSWVFNNSLSTLVFLCLENCKYCLCLPPLGLLSSLKTLKIRGFHGIVSIGAEFCGSNSTSFTSLESLAIDNLKEWEEWECKTTFPCLRYLFINRCPKLKGTSEQLLNLKELFVSLRGKLIIYSCPLVNIPITHYDFLEEVIIDGGCDSLTIFPLDFFPKLHLLHITGSRNLRGISNEHTHNHLKEMKIDECPQFESFPSEGLSAPQLWKIEIKGARNLKLLPKRMQILLPSLTELRITDCPQVEMFEEGSLPSNLKEVSLSCFRLIASLREALGADTCLETLTIIEVDVQCFPDEGLLPPSLTSLEIYNCPTLKKLNYKGLSHLSSLTLFGCPNLECLPEEGLPKSISYLKIWLCPLISKRCRNPEGEDWKKIAHIKKRIIEPVALQKVFPLP >Vigun02g042700.2.v1.2 pep primary_assembly:ASM411807v1:2:17298450:17301726:1 gene:Vigun02g042700.v1.2 transcript:Vigun02g042700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLSIYRAKEVTQQNTMDVPIKYPGKLTLRVVLTCIMAATGGLIFGYDHGVSGGVTSMDSFLKKFFPSVYEKESNLKPSSNQYCKFNSQILTLFTSSLYLSALVAGLAASSITRMFGRRTTMITGGIFFVAGALLNGFAVSIWMLILGRLLLGFGIGCANQSVPIYVSEMAPFKYRGALNMCFQLSITIGIFIANLFNYYFAKILNGQGWRLSLGLGAVPAIIFVIGSICLPDSPNSLVARGCHEDAKRELIKIRGTTEIDAEFKDMLAASEASQNVKHPWRTLMERKYRPQLVFAICIPFFQQFTGLNVITFYAPILFRTIGFGGTASLMSAVIIGSFKPVSTVVSILVVDKFGRRSLFLEGGVQMLICQITMTIAIAVAFGTNGNPGTLPKWYAIVVVGIICVYVSGYAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMISTFFIAQFFTLMLCHMKFGLFIFFGCFVVIMTIFIYKLLPETKGIPLEEMSAVWEKHPIWGKFLESDSTIQNDKANSRC >Vigun02g042700.3.v1.2 pep primary_assembly:ASM411807v1:2:17298360:17301726:1 gene:Vigun02g042700.v1.2 transcript:Vigun02g042700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAKEVTQQNTMDVPIKYPGKLTLRVVLTCIMAATGGLIFGYDHGVSGGVTSMDSFLKKFFPSVYEKESNLKPSSNQYCKFNSQILTLFTSSLYLSALVAGLAASSITRMFGRRTTMITGGIFFVAGALLNGFAVSIWMLILGRLLLGFGIGCANQSVPIYVSEMAPFKYRGALNMCFQLSITIGIFIANLFNYYFAKILNGQGWRLSLGLGAVPAIIFVIGSICLPDSPNSLVARGCHEDAKRELIKIRGTTEIDAEFKDMLAASEASQNVKHPWRTLMERKYRPQLVFAICIPFFQQFTGLNVITFYAPILFRTIGFGGTASLMSAVIIGSFKPVSTVVSILVVDKFGRRSLFLEGGVQMLICQITMTIAIAVAFGTNGNPGTLPKWYAIVVVGIICVYVSGYAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMISTFFIAQFFTLMLCHMKFGLFIFFGCFVVIMTIFIYKLLPETKGIPLEEMSAVWEKHPIWGKFLESDSTIQNDKANSRC >Vigun02g042700.1.v1.2 pep primary_assembly:ASM411807v1:2:17298450:17301726:1 gene:Vigun02g042700.v1.2 transcript:Vigun02g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPIKYPGKLTLRVVLTCIMAATGGLIFGYDHGVSGGVTSMDSFLKKFFPSVYEKESNLKPSSNQYCKFNSQILTLFTSSLYLSALVAGLAASSITRMFGRRTTMITGGIFFVAGALLNGFAVSIWMLILGRLLLGFGIGCANQSVPIYVSEMAPFKYRGALNMCFQLSITIGIFIANLFNYYFAKILNGQGWRLSLGLGAVPAIIFVIGSICLPDSPNSLVARGCHEDAKRELIKIRGTTEIDAEFKDMLAASEASQNVKHPWRTLMERKYRPQLVFAICIPFFQQFTGLNVITFYAPILFRTIGFGGTASLMSAVIIGSFKPVSTVVSILVVDKFGRRSLFLEGGVQMLICQITMTIAIAVAFGTNGNPGTLPKWYAIVVVGIICVYVSGYAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMISTFFIAQFFTLMLCHMKFGLFIFFGCFVVIMTIFIYKLLPETKGIPLEEMSAVWEKHPIWGKFLESDSTIQNDKANSRC >Vigun06g208300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32164548:32166140:1 gene:Vigun06g208300.v1.2 transcript:Vigun06g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSKFLLQIKFIVNFLCTQILSFLSVHSSQPLFSCILTFCFLILLYLPHLFWKIVLSPVLILSGLLLLLLLRLGAIQRSQNEENKFPVEREPIAIEENRGDRDEKQGNPIEPVEADSLDHLYRWVTGQSQLEPKSQAGLRSSSRFDESFMEWNVKAPLEVIYEGEGEETEQDQNANHGEGIFRYPSLSRYYPETDSDSSSESGFPATDNMCFRWDEEDREGLIEIALDGCKKREVGFQFEEENLIEIDISPTRHGEFSGEDDPFSGEICCN >Vigun08g205400.3.v1.2 pep primary_assembly:ASM411807v1:8:36863395:36872857:-1 gene:Vigun08g205400.v1.2 transcript:Vigun08g205400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRSSYSDCEEEYESEIQSISVSNYHLVDDEDNPVSFAVLPIQWNDSENSSACEEKVFLHGDADSGLLKTFMQVTAWRFDLSKARPEVFLLAKDGRWVKLQKPRKSFQEKVRTVLITLHFLHSVKGHDQKSVGSFWQDLSKDKELISYGSKPSKNDLSDHMLLIGEASKRDAVLAKSKLFLVVLEKLKSQKLLNEEVNDFELPGFPVVGTDSDMIDKSNEESEETDVLDVCALCDNGGNVTCCDGVCMRSFHATVAAGRENCCVSLGFTQKAVDEIDNFYCKNCEYRQHQCFACGKLGSSDKLNSAEVFKCTAANCDRFYHPRCVAKLLPMVVKHTTEEFERNIADGIPFTCPLHYCCVCKGLENMMDPELQFAVCRRCPKAYHRKCLPTEINAENNDILRAWEGLLPKNRILIYCLNHEIDPELGTPVRDHIKFPKMKATAKKNDTTIEQKKPSTKKMVKLKKNIDSDNSPGKSTSTGSKLTAKLSSDKVGTKKSSNKRISSSNMSKNPKKPKPKSKEASRCLTENKMSILKKFEILDTEQTYNQLTVGKNDGSKQIERGNQINKVNTNFPSVEPSGELSIELPPLDTGLEKSLLALFKEASSSITLETVLEKHTHSHSLRNVLEKTTTTEKLEDSVNSVSGGSIQDAKAVCDPDVLKQIFKWKIADKLHWYVQNGDTIVNLSCGADNFSILMKKRLQETGKRCSYRNYGLHPTKNDFSFERKNWMTVQQNELPTGSQLIIGLSPPFGHNAALANKFIDKALEFKPKLLILTVAPEIQRLDKKLSRYDLIWEDENFLSDRPINLPGSVDANDRQTDQRNVRPPVLSLWSRSDWTTKHKVIAQQNAHELSTTEKLKSDTLAAAVHAPSGNCADNSKLTDEQQEDQVSVIDDVQNRNCRSSCNNVEEHKKGKPEKSRKKRKRVDESKPAKRQAVNKIPNGVPDHTEQPNPIKKTGSSDSDHTEQPNPTKKTGSSDSEPRTFIKSDSSEHSRGSQPGYVGRLHGFAVVPNYVYASQHSCGWLEE >Vigun08g205400.2.v1.2 pep primary_assembly:ASM411807v1:8:36863395:36872856:-1 gene:Vigun08g205400.v1.2 transcript:Vigun08g205400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRSSYSDCEEEYESEIQSISVSNYHLVDDEDNPVSFAVLPIQWNDSENSSACEEKVFLHGDADSGLLKTFMQVTAWRFDLSKARPEVFLLAKDGRWVKLQKPRKSFQEKVRTVLITLHFLHSVKGHDQKSVGSFWQDLSKDKELISYGSKPSKNDLSDHMLLIGEASKRDAVLAKSKLFLVVLEKLKSQKLLNEEVNDFELPGFPVVGTDSDMIDKSNEESEETDVLDVCALCDNGGNVTCCDGVCMRSFHATVAAGRENCCVSLGFTQKAVDEIDNFYCKNCEYRQHQCFACGKLGSSDKLNSAEVFKCTAANCDRFYHPRCVAKLLPMVVKHTTEEFERNIADGIPFTCPLHYCCVCKGLENMMDPELQFAVCRRCPKAYHRKCLPTEINAENNDILRAWEGLLPKNRILIYCLNHEIDPELGTPVRDHIKFPKMKATAKKNDTTIEQKKPSTKKMVKLKKNIDSDNSPGKSTSTGSKLTAKLSSDKVGTKKSSNKRISSSNMSKNPKKPKPKSKEASRCLTENKMSILKKFEILDTEQTYNQLTVGKNDGSKQIERGNQINKVNTNFPSVEPSGELSIELPPLDTGLEKSLLALFKEASSSITLETVLEKHTHSHSLRNVLEKTTTTEKLEDSSVSGGSIQDAKAVCDPDVLKQIFKWKIADKLHWYVQNGDTIVNLSCGADNFSILMKKRLQETGKRCSYRNYGLHPTKNDFSFERKNWMTVQQNELPTGSQLIIGLSPPFGHNAALANKFIDKALEFKPKLLILTVAPEIQRLDKKLSRYDLIWEDENFLSDRPINLPGSVDANDRQTDQRNVRPPVLSLWSRSDWTTKHKVIAQQNAHELSTTEKLKSDTLAAAVHAPSGNCADNSKLTDEQQEDQVSVIDDVQNRNCRSSCNNVEEHKKGKPEKSRKKRKRVDESKPAKRQAVNKIPNGVPDHTEQPNPIKKTGSSDSDHTEQPNPTKKTGSSDSEPRTFIKSDSSEHSRGSQPGYVGRLHGFAVVPNYVYASQHSCGWLEE >Vigun08g205400.1.v1.2 pep primary_assembly:ASM411807v1:8:36863395:36872856:-1 gene:Vigun08g205400.v1.2 transcript:Vigun08g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRSSYSDCEEEYESEIQSISVSNYHLVDDEDNPVSFAVLPIQWNDSENSSACEEKVFLHGDADSGLLKTFMQVTAWRFDLSKARPEVFLLAKDGRWVKLQKPRKSFQEKVRTVLITLHFLHSVKGHDQKSVGSFWQDLSKDKELISYGSKPSKNDLSDHMLLIGEASKRDAVLAKSKLFLVVLEKLKSQKLLNEEVNDFELPGFPVVGTDSDMIDKSNEESEETDVLDVCALCDNGGNVTCCDGVCMRSFHATVAAGRENCCVSLGFTQKAVDEIDNFYCKNCEYRQHQCFACGKLGSSDKLNSAEVFKCTAANCDRFYHPRCVAKLLPMVVKHTTEEFERNIADGIPFTCPLHYCCVCKGLENMMDPELQFAVCRRCPKAYHRKCLPTEINAENNDILRAWEGLLPKNRILIYCLNHEIDPELGTPVRDHIKFPKMKATAKKNDTTIEQKKPSTKKMVKLKKNIDSDNSPGKSTSTGSKLTAKLSSDKVGTKKSSNKRISSSNMSKNPKKPKPKSKEASRCLTENKMSILKKFEILDTEQTYNQLTVGKNDGSKQIERGNQINKVNTNFPSVEPSGELSIELPPLDTGLEKSLLALFKEASSSITLETVLEKHTHSHSLRNVLEKTTTTEKLEDSVNSVSGGSIQDAKAVCDPDVLKQIFKWKIADKLHWYVQNGDTIVNLSCGADNFSILMKKRLQETGKRCSYRNYGLHPTKNDFSFERKNWMTVQQNELPTGSQLIIGLSPPFGHNAALANKFIDKALEFKPKLLILTVAPEIQRLDKKLSRYDLIWEDENFLSDRPINLPGSVDANDRQTDQRNVRPPVLSLWSRSDWTTKHKVIAQQNAHELSTTEKLKSDTLAAAVHAPSGNCADNSKLTDEQQEDQVSVIDDVQNRNCRSSCNNVEEHKKGKPEKSRKKRKRVDESKPAKRQAVNKIPNGVPDHTEQPNPIKKTGSSDSDHTEQPNPTKKTGSSDSEPRTFIKSDSSEHSRGSQPGYVGRLHGFAVVPNYVYASQHSCGWLEE >Vigun08g205400.4.v1.2 pep primary_assembly:ASM411807v1:8:36863395:36872857:-1 gene:Vigun08g205400.v1.2 transcript:Vigun08g205400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRSSYSDCEEEYESEIQSISVSNYHLVDDEDNPVSFAVLPIQWNDSENSSACEEKVFLHGDADSGLLKTFMQVTAWRFDLSKARPEVFLLAKDGRWVKLQKPRKSFQEKVRTVLITLHFLHSVKGHDQKSVGSFWQDLSKDKELISYGSKPSKNDLSDHMLLIGEASKRDAVLAKSKLFLVVLEKLKSQKLLNEEVNDFELPGFPVVGTDSDMIDKSNEESEETDVLDVCALCDNGGNVTCCDGVCMRSFHATVAAGRENCCVSLGFTQKAVDEIDNFYCKNCEYRQHQCFACGKLGSSDKLNSAEVFKCTAANCDRFYHPRCVAKLLPMVVKHTTEEFERNIADGIPFTCPLHYCCVCKGLENMMDPELQFAVCRRCPKAYHRKCLPTEINAENNDILRAWEGLLPKNRILIYCLNHEIDPELGTPVRDHIKFPKMKATAKKNDTTIEQKKPSTKKMVKLKKNIDSDNSPGKSTSTGSKLTAKLSSDKVGTKKSSNKRISSSNMSKNPKKPKPKSKEASRCLTENKMSILKKFEILDTEQTYNQLTVGKNDGSKQIERGNQINKVNTNFPSVEPSGELSIELPPLDTGLEKSLLALFKEASSSITLETVLEKHTHSHSLRNVLEKTTTTEKLEDSSVSGGSIQDAKAVCDPDVLKQIFKWKIADKLHWYVQNGDTIVNLSCGADNFSILMKKRLQETGKRCSYRNYGLHPTKNDFSFERKNWMTVQQNELPTGSQLIIGLSPPFGHNAALANKFIDKALEFKPKLLILTVAPEIQRLDKKLSRYDLIWEDENFLSDRPINLPGSVDANDRQTDQRNVRPPVLSLWSRSDWTTKHKVIAQQNAHELSTTEKLKSDTLAAAVHAPSGNCADNSKLTDEQQEDQVSVIDDVQNRNCRSSCNNVEEHKKGKPEKSRKKRKRVDESKPAKRQAVNKIPNGVPDHTEQPNPIKKTGSSDSDHTEQPNPTKKTGSSDSEPRTFIKSDSSEHSRGSQPGYVGRLHGFAVVPNYVYASQHSCGWLEE >Vigun08g205400.5.v1.2 pep primary_assembly:ASM411807v1:8:36863395:36872856:-1 gene:Vigun08g205400.v1.2 transcript:Vigun08g205400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRSSYSDCEEEYESEIQSISVSNYHLVDDEDNPVSFAVLPIQWNDSENSSACEEKVFLHGDADSGLLKTFMQVTAWRFDLSKARPEVFLLAKDGRWVKLQKPRKSFQEKVRTVLITLHFLHSVKGHDQKSVGSFWQDLSKDKELISYGSKPSKNDLSDHMLLIGEASKRDAVLAKSKLFLVVLEKLKSQKLLNEEVNDFELPGFPVVGTDSDMIDKSNEESEETDVLDVCALCDNGGNVTCCDGVCMRSFHATVAAGRENCCVSLGFTQKAVDEIDNFYCKNCEYRQHQCFACGKLGSSDKLNSAEVFKCTAANCDRFYHPRCVAKLLPMVVKHTTEEFERNIADGIPFTCPLHYCCVCKGLENMMDPELQFAVCRRCPKAYHRKCLPTEINAENNDILRAWEGLLPKNRILIYCFLLALFKEASSSITLETVLEKHTHSHSLRNVLEKTTTTEKLEDSSVSGGSIQDAKAVCDPDVLKQIFKWKIADKLHWYVQNGDTIVNLSCGADNFSILMKKRLQETGKRCSYRNYGLHPTKNDFSFERKNWMTVQQNELPTGSQLIIGLSPPFGHNAALANKFIDKALEFKPKLLILTVAPEIQRLDKKLSRYDLIWEDENFLSDRPINLPGSVDANDRQTDQRNVRPPVLSLWSRSDWTTKHKVIAQQNAHELSTTEKLKSDTLAAAVHAPSGNCADNSKLTDEQQEDQVSVIDDVQNRNCRSSCNNVEEHKKGKPEKSRKKRKRVDESKPAKRQAVNKIPNGVPDHTEQPNPIKKTGSSDSDHTEQPNPTKKTGSSDSEPRTFIKSDSSEHSRGSQPGYVGRLHGFAVVPNYVYASQHSCGWLEE >Vigun08g131700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30249259:30251175:-1 gene:Vigun08g131700.v1.2 transcript:Vigun08g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKKNIAGKVADLHQLRQLHAQLVLHSHHHRNHWVALLLTECTRLLAPSNYTYHIFHLATYPNAHVFTCMLRYYSQISATTEVTSFFKHIRYYDIKPDASFYLVLIKSAGKASALLHAHLLKLGHCRDHHVRNAIMVTYAKSGHIEVARKLFDEMLDRTVADWNVMISGYWNCGNGDEASRLFRTMGESERNVITWTAMVTGHAKMGNLKVARRYFEKMPERSVVSWNAMLSGYTRSGAAQETVRLFNEMLSSGNEPDETTWVIVLSSCSLLGDPCLAESIVEKLGKMKFRSNYFVKTALLDMHAKCGNLEEAQKIFDQLGLCKNSVTWNVMICAYARVGNLSMARELFNKMPERNTVSWNSMIGGYAQNGESLKAIELFKEMIWSKDSKPDEVTMVIVFSACGHLGRLGLGNWAVSILNENHIKLSISGYNSLIFMYLRCGDLEDARIVFQKMENRDLVSYNTLISGLAAHGHGTESIKLMSKMKEDGIEPDRITYIGVLTACSHAGLLEEGWEVFESIKVPDVDHYACMIDMLGRVGKLEEAMKLIQRMPMEPHAGIYGSLLNATSIHKQVELGELAADKLFKVEPHNSGNYVLLSNIYALAGRWKDVDKVRDNMRKQGVKKTTARSWVEHSS >Vigun04g181200.1.v1.2 pep primary_assembly:ASM411807v1:4:40519323:40520962:-1 gene:Vigun04g181200.v1.2 transcript:Vigun04g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTEAFPDLGKHCQHTGCHQLDFLPFTCHGCQKVFCLEHRSYKSHACKNSDHNSRKVVVCETCSMSIETTGYVGQDEEAILEKHLKSGSCDPTKKKKPICPVKRCKEVLTFSNTSTCKTCHIKVCLKHRFPADHACSRGVSASSSSSSVADGGWKNRFLAALGSRAGQDCAKTGSSRSTSPPSTPSVKAY >Vigun09g087800.2.v1.2 pep primary_assembly:ASM411807v1:9:11936535:11939367:-1 gene:Vigun09g087800.v1.2 transcript:Vigun09g087800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQSLFSPFTLPLPSPYSNLNFQRHRFLAHPISPLLQTTSIASSNATVSGNAVTSIQTLPQLIETLISGVNLSEDEAEACLDSLLHDPNEALISAVLVLLRAKGETYEEVVGLARAMLRHATKVEGLNDVVDIVGTGGDGANTVNISTGASVLAAACGARVAKQGNRSSSSACGSADVLEALGVVIDLGPEGVKKCVSEAGIGFMMSPKYHPSMKIVRPIRKKLKIKTVFNILGPMLNPARAPFAVVGVYTEDLVSKMAKALNRFGMKRALVVHSEGLDEMSPLGKISSLFLHQKQFFRVMNILSLL >Vigun09g087800.1.v1.2 pep primary_assembly:ASM411807v1:9:11935142:11939367:-1 gene:Vigun09g087800.v1.2 transcript:Vigun09g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQSLFSPFTLPLPSPYSNLNFQRHRFLAHPISPLLQTTSIASSNATVSGNAVTSIQTLPQLIETLISGVNLSEDEAEACLDSLLHDPNEALISAVLVLLRAKGETYEEVVGLARAMLRHATKVEGLNDVVDIVGTGGDGANTVNISTGASVLAAACGARVAKQGNRSSSSACGSADVLEALGVVIDLGPEGVKKCVSEAGIGFMMSPKYHPSMKIVRPIRKKLKIKTVFNILGPMLNPARAPFAVVGVYTEDLVSKMAKALNRFGMKRALVVHSEGLDEMSPLGPGLVLDVTADRVDKFSFDPLDFDIPRCTIEDLKGGDPEYNAEVLKRVLGGERGPIANALILNAAAALLVCGRVRNLAEGVAVARETQQSGKALKTLNLFKDISNKFKDDVGMDV >Vigun05g173100.1.v1.2 pep primary_assembly:ASM411807v1:5:31516967:31520537:1 gene:Vigun05g173100.v1.2 transcript:Vigun05g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWAITMSLIVILVLILVLIWAWKILNWLWLKPKRLEKLLREQGFQGNPYRILFGDSKDLLKTRKKALSKPMNLSDDIVPRASSYTHHTVNTHGKNSFIWLGPTPRVTIFDPEQIKDVLNKMSDFPKPNSNPLVKLLATGLADHEGEKWSKHRRLINPVFNLEKLKTMLPLFFESCNDMVSKWEGMLSSDGSCEIDAWPFLQNLASDVIARLAFGCSFEEGRRIFQLQREQAKLALQLILKIQIPGWRFLPTKSHRRMKEIDRDIKASLKDMIYKRERALKAGEASNNDLLGILLESNQKEIQEHGNGDNKNVGMSLEDVIQECKLFYFAGQETTSVLLVWTMVLLSRYPNWQQRAREEVLQVFGNEKPNFDGLNRLKIVTMILNEVLRLYPPVLGLTRFVKKDMKLGNITLPAGVQVLLPTALVHHESEFWGEDAKQFNPERFSEGVLKATNGRVSFFPFGWGPRICIGQNFSLLEAKMALSMILQHFSFELSPAYAHAPAMLITLHPQYGAHIILHKVKM >Vigun04g007900.1.v1.2 pep primary_assembly:ASM411807v1:4:557641:561208:1 gene:Vigun04g007900.v1.2 transcript:Vigun04g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQASKEKPQFENSMHHAGFQELQKPKTPTQELVLQIAGCKVHLVDEGEAIELGQGHFTIMRVMEQNVALATVVKVGNSVQWPLTKDEPVVKVDGLHYLFSLPVKDGGEPLSYGVTFPEECYGKMGMVDSFLKEHCCFSGLEKSRKSDLDWEDFAPRVEDYNHFLARAIAGGTGQIVKGIFMCSNAYTNQVHKGGEAILSGAAEKSSGNKTMNKKNDATKNSGMNENLKRVRKLTNVTEKLTKSLLDGVGIMSGSVMTPVLKSQPGQAFLNMLPGEVLLASLDAVNRVFEAAEAAEKQTFSATSKAATRMVSNRFGEEAGEATEHVFASAGHAVNTAWNVSKIRKSINPASSANAARALTNYAKK >Vigun03g304900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49471751:49472866:-1 gene:Vigun03g304900.v1.2 transcript:Vigun03g304900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSVICSETIYPTCTPKRYINHHSLPITHIPTNTLLFCSQVVFQQLLDFNMASKTTSSLALFFTFNILFFALVSACGTCPGPHPRPKHKPVKPSPSGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGSGTTCPRDALKLGVCANVLNGLVNVTLGQPPVTPCCTLLNGLVDLEAAVCLCTALKANILGINLNLPISLSLLLNVCSIQPPRNFQCS >Vigun07g116200.4.v1.2 pep primary_assembly:ASM411807v1:7:21429828:21433988:-1 gene:Vigun07g116200.v1.2 transcript:Vigun07g116200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQPSQWWWLESHSNTKLSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMYYKKRPQLVSLVEDFYRTHRSLAERYDQVAGIRQQKTGGSPFSPLKHHQPEKLMSFANDSYDSYSESCDVEESGESEIDDPEQEEEEDSKIDNCVELDGVQFVAANDVMEIERLGEENKDHKDLNKQKYNIYEAVMLWEEIEQLRKESRVQKDELNRKSIVFGELMILKEEIEQLSRENRAQKDELNQKAIICGEAMMLREEIEQLSKENRVLKDELSQKSIICDEVMMLREEIEQIRKENRAQKEELNQKDVICGEAIMSREEIEKLSKENRVLKDELNQKSILCGEVMMLREEIAQLRKENRAQKDELNQKDNSCSEIMMLREEIEQLGKENGGLKDELKKKDNICGEIMMLREEIEQLGKENGALRDELKKDNICDEIMMLREEIEQMGKENGALKNELNKKDNICGEIMMLREEIEQLGKENGALKVELNKKYIICGEIMMLREEIEQLRKENRGQKNELDQKGIKCEVTMFRGEIEEYTKENRVKNDELSPEDSVCGEEVMLRKEIERLGKDERAQSGTLCGEVKKLRQENSAQKDRLKQKDQEKIEVIRNLSLAIDMLKQENVKMRSFIAKESANKWKNPFGFKNFMGSLSEQLFNGITKNQPSLVAL >Vigun07g116200.7.v1.2 pep primary_assembly:ASM411807v1:7:21429828:21433879:-1 gene:Vigun07g116200.v1.2 transcript:Vigun07g116200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQPSQWWWLESHSNTKLSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMYYKKRPQLVSLVEDFYRTHRSLAERYDQVAGIRQQKTGGSPFSPLKHHQPEKLMSFANDSYDSYSESCDVEESGESEIDDPEQEEEEDSKIDNCVELDGVQFVAANDVMEIERLGEENKDHKDLNKQKYNIYEAVMLWEEIEQLRKESRVQKDELNRKSIVFGELMILKEEIEQLSRENRAQKDELNQKAIICGEAMMLREEIEQLSKENRVLKDELSQKSIICDEVMMLREEIEQIRKENRAQKEELNQKDVICGEAIMSREEIEKLSKENRVLKDELNQKSILCGEVMMLREEIAQLRKENRAQKDELNQKDNSCSEIMMLREEIEQLGKENGGLKDELKKKDNICGEIMMLREEIEQLGKENGALRDELKKDNICDEIMMLREEIEQMGKENGALKNELNKKDNICGEIMMLREEIEQLGKENGALKVELNKKYIICGEIMMLREEIEQLRKENRGQKNELDQKGIKCEVTMFRGEIEEYTKENRVKNDELSPEDSVCGEEVMLRKEIERLGKDERAQSGTLCGEVKKLRQENSAQKDRLKQKDQEKIEVIRNLSLAIDMLKQENVKMRSFIAKESANKWKNPFGFKNFMGSLSEQLFNGITKNQPSLVAL >Vigun07g116200.6.v1.2 pep primary_assembly:ASM411807v1:7:21429828:21433879:-1 gene:Vigun07g116200.v1.2 transcript:Vigun07g116200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQPSQWWWLESHSNTKLSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMYYKKRPQLVSLVEDFYRTHRSLAERYDQVAGIRQQKTGGSPFSPLKHHQPEKLMSFANDSYDSYSESCDVEESGESEIDDPEQEEEEDSKIDNCVELDGVQFVAANDVMEIERLGEENKDHKDLNKQKYNIYEAVMLWEEIEQLRKESRVQKDELNRKSIVFGELMILKEEIEQLSRENRAQKDELNQKAIICGEAMMLREEIEQLSKENRVLKDELSQKSIICDEVMMLREEIEQIRKENRAQKEELNQKDVICGEAIMSREEIEKLSKENRVLKDELNQKSILCGEVMMLREEIAQLRKENRAQKDELNQKDNSCSEIMMLREEIEQLGKENGGLKDELKKKDNICGEIMMLREEIEQLGKENGALRDELKKDNICDEIMMLREEIEQMGKENGALKNELNKKDNICGEIMMLREEIEQLGKENGALKVELNKKYIICGEIMMLREEIEQLRKENRGQKNELDQKGIKCEVTMFRGEIEEYTKENRVKNDELSPEDSVCGEEVMLRKEIERLGKDERAQSGTLCGEVKKLRQENSAQKDRLKQKDQEKIEVIRNLSLAIDMLKQENVKMRSFIAKESANKWKNPFGFKNFMGSLSEQLFNGITKNQPSLVAL >Vigun07g116200.5.v1.2 pep primary_assembly:ASM411807v1:7:21429828:21433990:-1 gene:Vigun07g116200.v1.2 transcript:Vigun07g116200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQPSQWWWLESHSNTKLSPWLQSTLTELNEKTKAMLKLIEEDADSFAQRAEMYYKKRPQLVSLVEDFYRTHRSLAERYDQVAGIRQQKTGGSPFSPLKHHQPEKLMSFANDSYDSYSESCDVEESGESEIDDPEQEEEEDSKIDNCVELDGVQFVAANDVMEIERLGEENKDHKDLNKQKYNIYEAVMLWEEIEQLRKESRVQKDELNRKSIVFGELMILKEEIEQLSRENRAQKDELNQKAIICGEAMMLREEIEQLSKENRVLKDELSQKSIICDEVMMLREEIEQIRKENRAQKEELNQKDVICGEAIMSREEIEKLSKENRVLKDELNQKSILCGEVMMLREEIAQLRKENRAQKDELNQKDNSCSEIMMLREEIEQLGKENGGLKDELKKKDNICGEIMMLREEIEQLGKENGALRDELKKDNICDEIMMLREEIEQMGKENGALKNELNKKDNICGEIMMLREEIEQLGKENGALKVELNKKYIICGEIMMLREEIEQLRKENRGQKNELDQKGIKCEVTMFRGEIEEYTKENRVKNDELSPEDSVCGEEVMLRKEIERLGKDERAQSGTLCGEVKKLRQENSAQKDRLKQKDQEKIEVIRNLSLAIDMLKQENVKMRSFIAKESANKWKNPFGFKNFMGSLSEQLFNGITKNQPSLVAL >Vigun04g148800.1.v1.2 pep primary_assembly:ASM411807v1:4:36450959:36451777:1 gene:Vigun04g148800.v1.2 transcript:Vigun04g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCSLLAAAASATVASLSSSSSHQDDERRIRDNASSRKSTLTEKFAPKFDGLRFIETLVTAHR >Vigun05g153100.1.v1.2 pep primary_assembly:ASM411807v1:5:24263156:24266638:-1 gene:Vigun05g153100.v1.2 transcript:Vigun05g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHKLFHHLNYSAQMKRLSTWIAFATFLFLLNTTTCVEYEVKRTLIQFLAQVSGKDGQQNSTLLWKQDADPCNGPWQGVHCDPQNMSIKKLLLDNLNFSGTLDLAMLCNLQPLAASLTYLTLDGNKISGVIASEVGNCKQLTRLHLSGNQLAGHLPSSLAMLNNLKRLDISNNQFSGYLPDLPRISGLSVFLAHNNYLGGTIPAFEFSNFDQFNVSFNNFHGHIPNVHGYFSAESFLGNPELCGDPLPKNCSDQHMPITEAPTSEKPKGASKEQILMYSGYAALGVIIVLFVVLKLCRRKKGEKKVEALNNRVGGGGGGVEKPRNVSSEYKSEVSRSEFSVPSESGVVSQSLVVLSSHAAMELRLEELLGAPAELIGRGKNGSLYKVMLDNGIMVVVKRIKDWTISSHDFKQRMRILSQAKHPHVLPPLAFYCSRQEKLLVYEYQQNGSLFKLLHGSTKALDWSSRLGIAATIAEALAFLHQEVGHHGIVHGNLKSSNILLNKNMEACISECGIMDMDDQRGSPSGTSTDAGALDILKGDVYGFGVIVLELLTGKLVKGNGIDLTDWVQSVVREEWTGEVFDKSLISEYASEERMVNLLQVAIRCINPSPQARPTMNQVALMVNTIKEEEEKSLIYEV >Vigun07g137900.1.v1.2 pep primary_assembly:ASM411807v1:7:24776760:24781292:1 gene:Vigun07g137900.v1.2 transcript:Vigun07g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTIALIRNRAMKSIRRILTSSRNSSPFLSTPANYGHAPSIASNSPKPRFNQHHPLQTHRIKGIAQEIFGLPSSNFAPLSMPFILITSNRDVSTFKVRNCSTSVENRGHDNNFERIYVQGGMNNVKPLVVESSHEDVTDERNLSGEVNVSVGKSKWEESEVEKEAWKLLQGAVVTYCGNPVGTVAANDPGDKLPLNYDQVFLRDFIPSALAFLLRGESEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDEDKREEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDCSLQERADVQTGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVTEGTDHLIRAINNRLSALSFHIREYYWVDMKKMNEIYRYKTEEYSMDAINKFNIYPEQIPLWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPRQNQATLNLIEAKWDDLVGHMPLKICYPALDNEEWRITTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRTELAQKAVALAEKRLPVDSWPEYYDTRTGKFIGKQARMYQTWTIAGFLTSKMLLKDPETASRLFWEEDYELLDICVCGLSKNGRKRCSRGAARSQILV >Vigun05g193700.2.v1.2 pep primary_assembly:ASM411807v1:5:37550182:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEKAAERGGYGGERYEKLEFQKKVADCYKVLHDVSWKVVDGCQSMEDVEKQLQEIVLDCVIECGKGKLLSTLWSK >Vigun05g193700.1.v1.2 pep primary_assembly:ASM411807v1:5:37550182:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCVTASKSQIFRALVLQKSLNSRVKFFSKCFPSKIRMENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEKAAERGGYGGERYEKLEFQKKVADCYKVLHDVSWKVVDGCQSMEDVEKQLQEIVLDCVIECGKGKLLSTLWSK >Vigun05g193700.8.v1.2 pep primary_assembly:ASM411807v1:5:37550272:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCVTASKSQIFRALVLQKSLNSRVKFFSKCFPSKIRMENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEVNNSYTRK >Vigun05g193700.4.v1.2 pep primary_assembly:ASM411807v1:5:37550257:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEVNNSYTRK >Vigun05g193700.3.v1.2 pep primary_assembly:ASM411807v1:5:37550257:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCVTASKSQIFRALVLQKSLNSRVKFFSKCFPSKIRMENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEVNNSYTRK >Vigun05g193700.10.v1.2 pep primary_assembly:ASM411807v1:5:37550272:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCVTASKSQIFRALVLQKSLNSRVKFFSKCFPSKIRMENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPELRKEEGMEVRDMKNWSFRRKLLIVTKFFMMYPGRLLMVANPWKMWRNSCRRLYLIV >Vigun05g193700.9.v1.2 pep primary_assembly:ASM411807v1:5:37550272:37553744:1 gene:Vigun05g193700.v1.2 transcript:Vigun05g193700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGTCVTASKSQIFRALVLQKSLNSRVKFFSKCFPSKIRMENNLNSSTGGKMESRGALVVLEGLDRSGKSSQCSRLVSLLEGQGISAELWRFPDRTTNVGQMISAYLSNTSQLDDHTIHLLFSANRWEKRSLMETKLKTGKTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDVVVYLDISPEKAAERGGYGGERYEKLEFQKKVADCYKVLHDVSWKVVDGCQSMEDVEKQLQEIVLDCVIECGKGKLLSTLWSK >VigunL018400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:40526:41095:-1 gene:VigunL018400.v1.2 transcript:VigunL018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTAPLIRLVPSQTCLSYDLKSATDGWPLVFLFERLALLFDRSFASSVVNTTLGTNVFEVPFVKRALSQVSFVTGQPLGYYGYWPLFAFTHHVLVWWAAEQVRPGILFDRYAILGDDVLITDPLVAEQYRLGLQRLGVKISTHKSLISSTGAVEFAKQFLVKDMRVNLSPVSMKALCGFHHPHGYYL >Vigun05g198400.3.v1.2 pep primary_assembly:ASM411807v1:5:38393238:38396975:-1 gene:Vigun05g198400.v1.2 transcript:Vigun05g198400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMTTLVQFSIKCSLLKPKFFHDGIYKRPTFPFSRMEAKFGSFCGNSLKLREGRESSCFLGGAVFQNGVLSKENGCKREKRMVVVKNNQGFGFNGGGGGRDDGATARLLGNIALAVGLTYLSVTGQLGWILDAIVSIWLIAVLIPIVGVGAFLWWAGRDIMQGTCPNCGNDFQVFKSSLNDDLQLCPFCGQPFSGISEVFKPIYNVWTSIQ >Vigun05g198400.1.v1.2 pep primary_assembly:ASM411807v1:5:38393238:38396975:-1 gene:Vigun05g198400.v1.2 transcript:Vigun05g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMTTLVQFSIKCSLLKPKFFHDGIYKRPTFPFSRMEAKFGSFCGNSLKLREGRESSCFLGGAVFQNGVLSKENGCKREKRMVVVKNNQGFGFNGGGGGRDDGATARLLGNIALAVGLTYLSVTGQLGWILDAIVSIWLIAVLIPIVGVGAFLWWAGRDIMQGTCPNCGNDFQVFKSSLNDDLQLCPFCGQPFSVVENEFVKESVKFSNQSTTFGQAFNNFTRSKNEKDSGRAIDVEAEIKDVD >Vigun05g198400.2.v1.2 pep primary_assembly:ASM411807v1:5:38393238:38396975:-1 gene:Vigun05g198400.v1.2 transcript:Vigun05g198400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMTTLVQFSIKCSLLKPKFFHDGIYKRPTFPFSRMEAKFGSFCGNSLKLREGRESSCFLGGAVFQNGVLSKENGCKREKRMVVVKNNQGFGFNGGGGGRDDGATARLLGNIALAVGLTYLSVTGQLGWILDAIVSIWLIAVLIPIVGVGAFLWWAGRDIMQGTCPNCGNDFQVFKSSLNDDLQLCPFCGQPFSVVENEFVKESVKFSNQSTTFGQAFNNFTRSKNEKDSGRAIDVEAEIKDVD >Vigun05g198400.4.v1.2 pep primary_assembly:ASM411807v1:5:38393238:38396975:-1 gene:Vigun05g198400.v1.2 transcript:Vigun05g198400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMTTLVQFSIKCSLLKPKFFHDGIYKRPTFPFSRMEAKFGSFCGNSLKLREGRESSCFLGGAVFQNGVLSKENGCKREKRMVVVKNNQGFGFNGGGGGRDDGATARLLGNIALAVGLTYLSVTGQLGWILDAIVSIWLIAVLIPIVGVGAFLWWAGRDIMQGTCPNCGNDFQVFKSSLNDDLQLCPFCGQPFSVYCDISC >Vigun05g203100.2.v1.2 pep primary_assembly:ASM411807v1:5:39174303:39178779:-1 gene:Vigun05g203100.v1.2 transcript:Vigun05g203100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHACYPQLKENVLKCFRKNNFPIHALGEEDSSKIWHATYMVSPSSRRYLGQVSPQHLSETANKEHEIHISIKDQADAKGSGGGMAIPLVGAVVAVVLVILCCYLFCGSGQVSQNDERPLLSMSMNDSLGSSSNHIQFKNSMKEGEIGIDSSSIELGDEKRNSISVNNEDESGEVGSSSVRPSFELKPPPGRVVSSNGLPPLKPPPGRPDLLPPPPGRTDLLPPPPGRTDLLPPPPGRTDLLPPPPGRPDLAPPPPEPDKPSDILPLKPPPGRPDLAPPPAAPAAPAPPPPPPPPLPPSSSTSNSGPPPPPPPRAPPKPGGAGPPPPPLPGGPRGPPPPPGNGPRAPPPPPMRGKGPPGPPGAGPRPPPPPGAGGGHARAASNPKVVEEASFDGEPYVPKTKLKPFFWDKVQANDQAMVWNQLKAGSFQFNEEMIETLFGFNAVSTDKGKAPKKEPAQATPQLIQIVNAKKAQNLSILLKALNVTADEVCDALLEGNELPVEFLQTLLKMAPTQDEELKLRVFSGNPAQLTPADRFLKAIVDIPCSFKRMEALIYMGTLQEDVTSTRDSFAILEVACKKLRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIVRTEGIRAARMAKENHSFSSIKTEDLLDEVSNDSDEHYRELGLQVISYLSTELESVKKAAALDADGLVGTTSRVGHGLIKTRDFVNKEMSNVKGDKGFIETVKSFIDRAEADVKSLLEEEKKIMGLVKSTGDYFHGDTGKDEGLRLFNIVREFLVMLDKVCKEIQLAPPKKPAAPAAPKGAKQETPNNSTAKQETPNSSAKQETPNSGAKQETPNSSAKQETPNPPLPPAHDLIRQRISPVIASRRPDDFSSDDDDSP >Vigun05g203100.1.v1.2 pep primary_assembly:ASM411807v1:5:39174303:39178779:-1 gene:Vigun05g203100.v1.2 transcript:Vigun05g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAIQQYMGTIKVIFCSILVFHILVVVSSEEKKTQEELISQLFDPTSGLLTEDTAKVLWIICWEDLIRLKKEVDDFSLCLPKESCRNSNEVNVEIGSVARENIQQMIHACYPQLKENVLKCFRKNNFPIHALGEEDSSKIWHATYMVSPSSRRYLGQVSPQHLSETANKEHEIHISIKDQADAKGSGGGMAIPLVGAVVAVVLVILCCYLFCGSGQVSQNDERPLLSMSMNDSLGSSSNHIQFKNSMKEGEIGIDSSSIELGDEKRNSISVNNEDESGEVGSSSVRPSFELKPPPGRVVSSNGLPPLKPPPGRPDLLPPPPGRTDLLPPPPGRTDLLPPPPGRTDLLPPPPGRPDLAPPPPEPDKPSDILPLKPPPGRPDLAPPPAAPAAPAPPPPPPPPLPPSSSTSNSGPPPPPPPRAPPKPGGAGPPPPPLPGGPRGPPPPPGNGPRAPPPPPMRGKGPPGPPGAGPRPPPPPGAGGGHARAASNPKVVEEASFDGEPYVPKTKLKPFFWDKVQANDQAMVWNQLKAGSFQFNEEMIETLFGFNAVSTDKGKAPKKEPAQATPQLIQIVNAKKAQNLSILLKALNVTADEVCDALLEGNELPVEFLQTLLKMAPTQDEELKLRVFSGNPAQLTPADRFLKAIVDIPCSFKRMEALIYMGTLQEDVTSTRDSFAILEVACKKLRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIVRTEGIRAARMAKENHSFSSIKTEDLLDEVSNDSDEHYRELGLQVISYLSTELESVKKAAALDADGLVGTTSRVGHGLIKTRDFVNKEMSNVKGDKGFIETVKSFIDRAEADVKSLLEEEKKIMGLVKSTGDYFHGDTGKDEGLRLFNIVREFLVMLDKVCKEIQLAPPKKPAAPAAPKGAKQETPNNSTAKQETPNSSAKQETPNSGAKQETPNSSAKQETPNPPLPPAHDLIRQRISPVIASRRPDDFSSDDDDSP >Vigun08g169000.1.v1.2 pep primary_assembly:ASM411807v1:8:34007473:34008320:-1 gene:Vigun08g169000.v1.2 transcript:Vigun08g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKMAILILGLLAMFLMVSSDVASTNSKQVKNVVGAAKYPGGGYGGGYPGYGGGYPGRGGGYPGRGGGYPGRGGGYPGRGGGYPGRGGGGGGYGRCRYGCCGGRTYNGGCRRCC >Vigun03g174400.1.v1.2 pep primary_assembly:ASM411807v1:3:21473625:21477123:1 gene:Vigun03g174400.v1.2 transcript:Vigun03g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPSFSLLFTSLFVFFVLMSGSFCLGTHANTTRTPRQLSLNYYAKSCPQLEQLVGSVTSQQFKQSPVSAPATIRLLFHDCFVGGCDASILIASKGGSKELAEKDAEDNRDLKVEGFETVRKAKEVVEKKCPGVVSCADILVIAARDYVHLAGGPYYPVKKGRWDGKISTASRVASNIPRANSTVDQLIKLFNSKGLTTRDLVALSGAHTIGFAHCKNFVARLYSYRGKGQPDPDMDPKLLHALRMYCPNFGGNSDIVAPFDATTPFLFDHAYYGNLQQKMGLLASDQALAFDPRTKPIVLEFAKDNRNFFQAFVAAMDKLSLIKVVRGARHGEKRRDCSMHI >Vigun03g174400.2.v1.2 pep primary_assembly:ASM411807v1:3:21473518:21477123:1 gene:Vigun03g174400.v1.2 transcript:Vigun03g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPSFSLLFTSLFVFFVLMSGSFCLGTHANTTRTPRQLSLNYYAKSCPQLEQLVGSVTSQQFKQSPVSAPATIRLLFHDCFVGGCDASILIASKGGSKELAEKDAEDNRDLKVEGFETVRKAKEVVEKKCPGVVSCADILVIAARDYVHLAGGPYYPVKKGRWDGKISTASRVASNIPRANSTVDQLIKLFNSKGLTTRDLVALSGAHTIGFAHCKNFVARLYSYRGKGQPDPDMDPKLLHALRMYCPNFGGNSDIVAPFDATTPFLFDHAYYGNLQQKMGLLASDQALAFDPRTKPIVLEFAKDNRNFFQAFVAAMDKLSLIKVVRGARHGEKRRDCSMHI >Vigun08g208666.1.v1.2 pep primary_assembly:ASM411807v1:8:37163738:37164850:1 gene:Vigun08g208666.v1.2 transcript:Vigun08g208666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRASIYRQKMSPTTNYFFVKVDEAVPLKSSGFSFDAETLPSQAIATERFHLSFVAHSSGIVASPVAMVVKKTGQSVSQLNVIKAIPDVAFVPGTTILALIAIKLTKRMAFKNIPSIDPKENTL >Vigun06g172400.1.v1.2 pep primary_assembly:ASM411807v1:6:29336332:29339580:1 gene:Vigun06g172400.v1.2 transcript:Vigun06g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVESSGSRVDLPEELLQVLPSDPYEQLDVARKITSVALSTRVNALQSESSTLRAELADRERLIAELQAQVDSFDAALSEAADKLARADQDKESLVKENASLSNSVRKLTRDVSKLESFRRTLMKSLHEDGDTSGGAADIAAKLQSQASISSSSQFGDEDASAPSSRSSSMRLNTSDSPNYSAEDRESDGSRSGASHNVVLASQSSTPRITPPGSPPSKSALVSPTRTSSKPVSPRRHAMSFSTSRGMFDDRSSSQTARTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLQNANEIFGTENKDLYTIFEGLITRNVH >Vigun10g134000.2.v1.2 pep primary_assembly:ASM411807v1:10:34390578:34394157:-1 gene:Vigun10g134000.v1.2 transcript:Vigun10g134000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEEEPIIAKTTPLKTFPSLTHSSCLEIRLFYVRISPCLVDSLPDHLALCHARRHAGVSLVVNAAPVPASSPATIHLHRGRVDRHAAEVTYVATDTVSLSGSADFEVYENDALFLCGSLERLDSEWRNGSGSGWGMDCHVAAGSVGSGCSAFFRPRLGVSAPSIEVYVAGCCSGVPVILNKTIQMSPRRRVPRHATLDAIPEDEEMMMMMMEKNRVNGFAPNRKLQIPESEVDDYDCDEKMGNGFYSQEMYPGEDGQLSWFNAGVRVGVGIGLGMCVGIGIGVGLLMRSYQTTTRNFRRRFF >Vigun10g134000.5.v1.2 pep primary_assembly:ASM411807v1:10:34390578:34394240:-1 gene:Vigun10g134000.v1.2 transcript:Vigun10g134000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEEEPIIAKTTPLKTFPSLTHSSCLEIRLFYVRISPCLVDSLPDHLALCHARRHAGVSLVVNAAPVPASSPATIHLHRGRVDRHAAEVTYVATDTVSLSGSADFEVYENDALFLCGSLERLDSEWRNGSGSGWGMDCHVAAGSVGSGCSAFFRPRLGVSAPSIEVYVAGCCSGVPVILNKTIQMSPRRRVPRHATLDAIPEDEEMMMMMMEKNRVNGFAPNRKLQIPESEVDDYDCDEKMGNGFYSQEMYPGEDGQLSWFNAGVRVGVGIGLGMCVGIGIGVGLLMRSYQTTTRNFRRRFF >Vigun10g134000.4.v1.2 pep primary_assembly:ASM411807v1:10:34390578:34394157:-1 gene:Vigun10g134000.v1.2 transcript:Vigun10g134000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEEEPIIAKTTPLKTFPSLTHSSCLEIRLFYVRISPCLVDSLPDHLALCHARRHAGVSLVVNAAPVPASSPATIHLHRGRVDRHAAEVTYVATDTVSLSGSADFEVYENDALFLCGSLERLDSEWRNGSGSGWGMDCHVAAGSVGSGCSAFFRPRLGVSAPSIEVYVAGCCSGVPVILNKTIQMSPRRRVPRHATLDAIPEDEEMMMMMMEKNRVNGFAPNRKLQMMMNSPGI >Vigun10g134000.3.v1.2 pep primary_assembly:ASM411807v1:10:34390578:34394157:-1 gene:Vigun10g134000.v1.2 transcript:Vigun10g134000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEEEPIIAKTTPLKTFPSLTHSSCLEIRLFYVRISPCLVDSLPDHLALCHARRHAGVSLVVNAAPVPASSPATIHLHRGRVDRHAAEVTYVATDTVSLSGSADFEVYENDALFLCGSLERLDSEWRNGSGSGWGMDCHVAAGSVGSGCSAFFRPRLGVSAPSIEVYVAGCCSGVPVILNKTIQMSPRRRVPRHATLDAIPEDEEMMMMMMEKNRVNGFAPNRKLQIPESEVDDYDCDEKMGNGFYSQEMYPGEDGQLSWFNAGVRVGVGIGLGMCVGIGIGVGLLMRSYQTTTRNFRRRFF >Vigun10g018800.1.v1.2 pep primary_assembly:ASM411807v1:10:2112167:2117797:-1 gene:Vigun10g018800.v1.2 transcript:Vigun10g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRTGIESAFWDQNVASPQCHDGWAKSVPGDPFPVEASVASKVLRPQQFSFLQNELRLPIVVPFLSPTSSKDLGSFGLQALLLKLSSHRWWLTMTGQFRPRKLIVGVKNEISNAEEFDLSTVKDVAKHFIDKALLSFGLTFQYAFSPLTSVLFGLEGHGEKARLRSKGMLYRKLPQHDLTVEVALPQLFLDHKGKYWDVPVSLSVDLASLLSPSGLRWRVGLHKNGGNPQQVNATDGNPPLSLQPGLCLKGATSYEKIIYFWRDKSTAEEGNEEVVPYDVRLNEPHSAVFGVIGGTFTLWLRNGRSFSSSNSTEDLGVSTSKRFEYFGDLFGSVGYSFQHGKFTKKYGDLTRLDARVDISSASEYAKKILNSSRADASASPRLNLILQQQVVGPIVFRADTRIALECFALKNGLAVEDFICSLSYSLKSFESGKVVAWCSPKRKEGMVELRMFEF >Vigun07g252300.1.v1.2 pep primary_assembly:ASM411807v1:7:37131702:37133599:-1 gene:Vigun07g252300.v1.2 transcript:Vigun07g252300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEQISEEWSSLSGFCTAEEADFMSQLLGNCSLPENLCGNFHLGIPSAAWPGHESTIVTVTSINDSPYFPATADYSNSNYLCFSQGSCSTSDSSNIFPATSGNKHCLNDPVANIGYMSMGLAKFSPYNVQGSDTHQMNENTDQDLGPEVIADKNSQDHQECEVLVSEPATEDITTNLEKSGKRSRGSMQVRKSKKNAKSVKKPKSDSISNSEEGRSPDLQGCCSEDDDSNASQELNGGGSSNLSLEDSTSLKLKGKKSTASRGSATDPQSVYARRRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMNIGLDLNITPTKQP >Vigun09g005400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:378700:379861:1 gene:Vigun09g005400.v1.2 transcript:Vigun09g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAFRGITTSEGVSEKDKMVKVVTPNGGIMELYTPITADCITNEFSGHGIFRSRRDLFSEPLHRDEELHAGELYYLLPLDPSCRLSSTKNIARQLSNSAAASATLTPYRMCTYDNNNNSKMWSETGEVFSRKGVWKVKLAISPEQLAEILSQESQTEAFVESLRTVAKCGNGVLSAPNSDHSTTCD >VigunL060237.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:18090:19067:1 gene:VigunL060237.v1.2 transcript:VigunL060237.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun11g045700.4.v1.2 pep primary_assembly:ASM411807v1:11:6854535:6887255:1 gene:Vigun11g045700.v1.2 transcript:Vigun11g045700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGAAAPTSLVRSWRTAFLTLRDETLTIPPRNSNVQLLDNLIFSHSNALVSAAVELPSHEVLSDLLFMMELVAAISSDEEDCTRIYAQTSRLIHDICRCVSFDLNFNSYTSVFNCFGKVLNLLLTNVATNGDISGICSTTTIIPAIECLQAVRFIIISHRRWLQSEDIILVKFLLDVIDCSHGVSFWMPHSTCKEKTTGINMRFSTERSSSELQTVAFEMLSEAISRAGSFFPVDIWRSILEVFRKTMDVLALKSPVVEDSVMSRFYESFLCCLHLILIDPKCAVSDHVSVFVAVLRMFLVYGLSGRTPGTCLLVGYKEKELSSMSPKASRELLKKSDHGTYRPPHLRKRDSLNVKHGSVRHSQYMSDSESSTINVMSSDSEFSDGDGSANDSGRVQNSRVRVAAITCIQDLCQADSKSLSMQWSLLLPTSDTLQARMHDATLMTSLLFDPCLKARMASATTLVTMMDGLSSIFLQVAEYKESNKFGSFMALSSSLGKILLELHRGLLYLIEHEAHTKLLALLFRIIKLLISSTPYSRMPPNLLPIAVTSIRTRIEEGFWLKSERSGLLAAAIGCLTLALATSPSSAQLRSMLCDEVSSGHIETQKKSGVLSTLFEYSMQSSCPTICLEALQGLKAAFHNYPNIVTACWEQVSAIVYHLISTVCVETPSRQSSEHVGSPTTFIYEKVTIAAIKVLDEALRAVSGFQGTEDLSDDKLMDIPFASDCIRTKKVSSAPSYDVEGKDDVIVNFEASDSGIQQWCEAIEKHMPLILCHSSAMVRAASITCFAGMTSSVFMCFTEEKQDFILSSLVHAAVRDSVPSVRSSACRAVGIISCFPQVCQSAEVLEKFIHAVEINTRDALISVRITASWALANICDAICHSDRIPPFGQQMGSISNPQLIVLLTECALHLTKDGDKVKSNAVRALGYISRILKCSTSDFQDTPMNHHNHLNEAFLNTTNLMVCQQHCASDCLQDLNRQERIVHAFISCITTGNVKMESLSLGPVECLSCSWQPIPQRDIKAARYGLDETLPFITWNKG >Vigun11g045700.1.v1.2 pep primary_assembly:ASM411807v1:11:6854485:6895892:1 gene:Vigun11g045700.v1.2 transcript:Vigun11g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGAAAPTSLVRSWRTAFLTLRDETLTIPPRNSNVQLLDNLIFSHSNALVSAAVELPSHEVLSDLLFMMELVAAISSDEEDCTRIYAQTSRLIHDICRCVSFDLNFNSYTSVFNCFGKVLNLLLTNVATNGDISGICSTTTIIPAIECLQAVRFIIISHRRWLQSEDIILVKFLLDVIDCSHGVSFWMPHSTCKEKTTGINMRFSTERSSSELQTVAFEMLSEAISRAGSFFPVDIWRSILEVFRKTMDVLALKSPVVEDSVMSRFYESFLCCLHLILIDPKCAVSDHVSVFVAVLRMFLVYGLSGRTPGTCLLVGYKEKELSSMSPKASRELLKKSDHGTYRPPHLRKRDSLNVKHGSVRHSQYMSDSESSTINVMSSDSEFSDGDGSANDSGRVQNSRVRVAAITCIQDLCQADSKSLSMQWSLLLPTSDTLQARMHDATLMTSLLFDPCLKARMASATTLVTMMDGLSSIFLQVAEYKESNKFGSFMALSSSLGKILLELHRGLLYLIEHEAHTKLLALLFRIIKLLISSTPYSRMPPNLLPIAVTSIRTRIEEGFWLKSERSGLLAAAIGCLTLALATSPSSAQLRSMLCDEVSSGHIETQKKSGVLSTLFEYSMQSSCPTICLEALQGLKAAFHNYPNIVTACWEQVSAIVYHLISTVCVETPSRQSSEHVGSPTTFIYEKVTIAAIKVLDEALRAVSGFQGTEDLSDDKLMDIPFASDCIRTKKVSSAPSYDVEGKDDVIVNFEASDSGIQQWCEAIEKHMPLILCHSSAMVRAASITCFAGMTSSVFMCFTEEKQDFILSSLVHAAVRDSVPSVRSSACRAVGIISCFPQVCQSAEVLEKFIHAVEINTRDALISVRITASWALANICDAICHSDRIPPFGQQMGSISNPQLIVLLTECALHLTKDGDKVKSNAVRALGYISRILKCSTSDFQDTPMNHHNHLNEAFLNTTNLMVCQQHCASDCLQDLNRQERIVHAFISCITTGNVKVQWNVCHALGNLFLNETLRLQDMDWSPVVFGILLQLLRDSSNFKIRIQAAAALAVPVSVLDYGQSFSKIVQSVEHLLENIDDDQISGPSNFKYRVSLKKQLTLTMLHILSFVSSSNDQQLKDFLVMKALILEDWFKGLCSSGEGMIDAQDKSIADRKRLMVCSALQSLIELYRDKQQDAIAEKFEKLRNNM >Vigun11g045700.3.v1.2 pep primary_assembly:ASM411807v1:11:6854535:6893415:1 gene:Vigun11g045700.v1.2 transcript:Vigun11g045700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGAAAPTSLVRSWRTAFLTLRDETLTIPPRNSNVQLLDNLIFSHSNALVSAAVELPSHEVLSDLLFMMELVAAISSDEEDCTRIYAQTSRLIHDICRCVSFDLNFNSYTSVFNCFGKVLNLLLTNVATNGDISGICSTTTIIPAIECLQAVRFIIISHRRWLQSEDIILVKFLLDVIDCSHGVSFWMPHSTCKEKTTGINMRFSTERSSSELQTVAFEMLSEAISRAGSFFPVDIWRSILEVFRKTMDVLALKSPVVEDSVMSRFYESFLCCLHLILIDPKCAVSDHVSVFVAVLRMFLVYGLSGRTPGTCLLVGYKEKELSSMSPKASRELLKKSDHGTYRPPHLRKRDSLNVKHGSVRHSQYMSDSESSTINVMSSDSEFSDGDGSANDSGRVQNSRVRVAAITCIQDLCQADSKSLSMQWSLLLPTSDTLQARMHDATLMTSLLFDPCLKARMASATTLVTMMDGLSSIFLQVAEYKESNKFGSFMALSSSLGKILLELHRGLLYLIEHEAHTKLLALLFRIIKLLISSTPYSRMPPNLLPIAVTSIRTRIEEGFWLKSERSGLLAAAIGCLTLALATSPSSAQLRSMLCDEVSSGHIETQKKSGVLSTLFEYSMQSSCPTICLEALQGLKAAFHNYPNIVTACWEQVSAIVYHLISTVCVETPSRQSSEHVGSPTTFIYEKVTIAAIKVLDEALRAVSGFQGTEDLSDDKLMDIPFASDCIRTKKVSSAPSYDVEGKDDVIVNFEASDSGIQQWCEAIEKHMPLILCHSSAMVRAASITCFAGMTSSVFMCFTEEKQDFILSSLVHAAVRDSVPSVRSSACRAVGIISCFPQVCQSAEVLEKFIHAVEINTRDALISVRITASWALANICDAICHSDRIPPFGQQMGSISNPQLIVLLTECALHLTKDGDKVKSNAVRALGYISRILKCSTSDFQDTPMNHHNHLNEAFLNTTNLMVCQQHCASDCLQDLNRQERIVHAFISCITTGNVKMESLSLGPVECLSCSWQPIPQRDIKAARYGLVSSCVWYSSATTT >Vigun11g045700.2.v1.2 pep primary_assembly:ASM411807v1:11:6854547:6895863:1 gene:Vigun11g045700.v1.2 transcript:Vigun11g045700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGAAAPTSLVRSWRTAFLTLRDETLTIPPRNSNVQLLDNLIFSHSNALVSAAVELPSHEVLSDLLFMMELVAAISSDEEDCTRIYAQTSRLIHDICRCVSFDLNFNSYTSVFNCFGKVLNLLLTNVATNGDISGICSTTTIIPAIECLQAVRFIIISHRRWLQSEDIILVKFLLDVIDCSHGVSFWMPHSTCKEKTTGINMRFSTERSSSELQTVAFEMLSEAISRAGSFFPVDIWRSILEVFRKTMDVLALKSPVVEDSVMSRFYESFLCCLHLILIDPKCAVSDHVSVFVAVLRMFLVYGLSGRTPGTCLLVGYKEKELSSMSPKASRELLKKSDHGTYRPPHLRKRDSLNVKHGSVRHSQYMSDSESSTINVMSSDSEFSDGDGSANDSGRVQNSRVRVAAITCIQDLCQADSKSLSMQWSLLLPTSDTLQARMHDATLMTSLLFDPCLKARMASATTLVTMMDGLSSIFLQVAEYKESNKFGSFMALSSSLGKILLELHRGLLYLIEHEAHTKLLALLFRIIKLLISSTPYSRMPPNLLPIAVTSIRTRIEEGFWLKSERSGLLAAAIGCLTLALATSPSSAQLRSMLCDEVSSGHIETQKKSGVLSTLFEYSMQSSCPTICLEALQGLKAAFHNYPNIVTACWEQVSAIVYHLISTVCVETPSRQSSEHVGSPTTFIYEKVTIAAIKVLDEALRAVSGFQGTEDLSDDKLMDIPFASDCIRTKKVSSAPSYDVEGKDDVIVNFEASDSGIQQWCEAIEKHMPLILCHSSAMVRAASITCFAGMTSSVFMCFTEEKQDFILSSLVHAAVRDSVPSVRSSACRAVGIISCFPQVCQSAEVLEKFIHAVEINTRDALISVRITASWALANICDAICHSDRIPPFGQQMGSISNPQLIVLLTECALHLTKDGDKVKSNAVRALGYISRILKCSTSDFQDTPMNHHNHLNEAFLNTTNLMVCQQHCASDCLQDLNRQERIVHAFISCITTGNVKVQWNVCHALGNLFLNETLRLQDMDWSPVVFGILLQLLRDSSNFKIRIQAAAALAVPVSVLDYGQSFSKIVQSVEHLLENIDDDQISGPSNFKYRVSLKKQLTLTMLHILSFVSSSNDQQLKDFLVMKALILEDWFKGLCSSGEGMIDAQDKSIADRKRLMVCSALQSLIELYRDKQQDAIAEKFEKLRNNM >Vigun10g035900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4825090:4825314:-1 gene:Vigun10g035900.v1.2 transcript:Vigun10g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >Vigun01g015000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1639929:1642382:-1 gene:Vigun01g015000.v1.2 transcript:Vigun01g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFITLRPPPVPNITNPNTRKRPTLRPSAPLYQRIFIPSHVYRHPSAVLLELCTSLKELHQILPLVIKNGFYDEHFFQTKLISLFCKFSSITEAARVFETVEHKLDVLYHTMLKGYAKNSALRDALKFYGRMRYDEVSPVVYDFTYLLQLCGDSLDLRRGREVHGMVITNGFQSNLFAMTAVVNLYAKCRQVEDAYKMFERMPQRDLVSWNTVVAGFAQNGLARRAVQLVLQMQEAGQKPDSITLVTVLPALADVKALRIGKSAHGYAFRAGFESMVNVGTAMLDMYFKCGSVKNARLVFKGMSSRNVVSWNTMINGYEQNGESEEAFSTFLKMLDEGVEPTNVSMMGALHACANLGDLERGRFVHRLLEEKKLGSDVSVMNSLISMYSKCKRVDIAASLFGNLKQKTVVTWNAMILGYAQNGCINEALNLFCEMQSHDIKPDSFTLVSVITALADLSVTRQAKWIHGLAIRTLMDKNVFVCTALVDTYAKCGAIQTARSLFDTMEERHVITWNAMIDGYGTHGHGKEALDLFDEMQKGSVKPNEITFLSVIAACSHSGLVEEGLYYFESMKENYGLKPAMDHYGAMVDLLGRAGRLNDAWEFIQDMPVKPGITVLGAMLGACKIHKNVELGEKVADELFELDPDEGGYHVLLANMYASASMWEKVAKVRTAMEKKGIQKTPGCSLVELRNEVHTFYSGSTNHPESKRIYAYLETLGDEIKEAGYVPDTDSIHDVEEDVKEQLVSSHSERLAIAFGLLNTSRGTTIHIRKNLRVCGDCHEATKYISLVTGREIIVRDLRRFHHFKNGRCSCKDYW >Vigun02g074700.1.v1.2 pep primary_assembly:ASM411807v1:2:22635009:22637417:-1 gene:Vigun02g074700.v1.2 transcript:Vigun02g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGNGSAKLLPFVGMMVAMLTQSGSMVVIKFAMIDDINKYVMVVYSFALSTILLLPFAFFLHRSERPPLTFSLLCKFFLLAFFGSAATTMGYVGIELSSPTLGSDLLSLVPAFTFILALIFRMEEVHWRNSSSQAKVLGTITSIAGAFVVVLYKGPIIFKTRSSNFSNQILQFSQQLNWILGGIFFIAYSLFNSMWYIYQAYVAKKYPDVTVTVFFQLLFSTVQCGVFALIAVRDPTEWKLNFDIGLVGVLYQAIVATMISYLLFQWCVLKAGPLFCSMFSPVAMIFTVFMGAIFLGDDLSLGSLIGAVIIVVGFYGVLWGKSKEENEIAEGVEDFDSSFHDVPLLQDRNRLKLRRFYHPYIWESFGY >Vigun06g105700.1.v1.2 pep primary_assembly:ASM411807v1:6:23528316:23530427:1 gene:Vigun06g105700.v1.2 transcript:Vigun06g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKVREPKEENVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIRLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >Vigun08g055900.2.v1.2 pep primary_assembly:ASM411807v1:8:6933843:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLLSKSKQLAAFSSSASKFRVTAFRSYCDQSNRQNLPGPLVQYKSLVDQGKLQYDPYQESVASELESLLARLEQYEKDMEEYHVNLANWEKQRENERRRLLMEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLERINTRY >Vigun08g055900.5.v1.2 pep primary_assembly:ASM411807v1:8:6934648:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLESFQFETEIDGGKLRRNVLAEGRVSSAGAPSSITSILSGQEELFAFHRAVSRLIEMQTPLYLDGVSNFHPYFQRIYKQSQQL >Vigun08g055900.4.v1.2 pep primary_assembly:ASM411807v1:8:6934648:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLLSKSKQLAAFSSSASKFRVTAFRSYCDQSNRQNLPGPLVQYKSLVDQGKLQYDPYQESVASELESLLARLEQYEKDMEEYHVNLANWEKQRENERRRLLMEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLERINTRY >Vigun08g055900.6.v1.2 pep primary_assembly:ASM411807v1:8:6934648:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLERINTRY >Vigun08g055900.1.v1.2 pep primary_assembly:ASM411807v1:8:6933843:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLLSKSKQLAAFSSSASKFRVTAFRSYCDQSNRQNLPGPLVQYKSLVDQGKLQYDPYQESVASELESLLARLEQYEKDMEEYHVNLANWEKQRENERRRLLMEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLESFQFETEIDGGKLRRNVLAEGRVSSAGAPSSITSILSGQEELFAFHRAVSRLIEMQTPLYLDGVSNFHPYFQRIYKQSQQL >Vigun08g055900.3.v1.2 pep primary_assembly:ASM411807v1:8:6934648:6953458:1 gene:Vigun08g055900.v1.2 transcript:Vigun08g055900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLLSKSKQLAAFSSSASKFRVTAFRSYCDQSNRQNLPGPLVQYKSLVDQGKLQYDPYQESVASELESLLARLEQYEKDMEEYHVNLANWEKQRENERRRLLMEEVELHQKDEDWWKRLNNKLTERWASRKRPANMESGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSSTKGIVKHRKRYHFHEAMLRINEHMHKIWKSQMEEKPLQSTVAGWIMSLPFDMKAKEWLAAEERYKQDMQIKNILPAVADKFFLDGEENEKGASILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPSDLNEAGMQKEIFQKLVSKLEDHCEKVLIGSEIDYRRFIAQKSENQVHFLWPIEKEAINEFEKKWHDVTSRLGGRIVSNTTISVMFGRTLEVPESVDGVARFTFEYLCGRPLGAADYIAVAENFHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLCCLASSSIDELFQGTEEGTLFDLESFQFETEIDGGKLRRNVLAEGRVSSAGAPSSITSILSGQEELFAFHRAVSRLIEMQTPLYLDGVSNFHPYFQRIYKQSQQL >Vigun11g220400.2.v1.2 pep primary_assembly:ASM411807v1:11:41273643:41279691:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun11g220400.5.v1.2 pep primary_assembly:ASM411807v1:11:41273669:41279484:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun11g220400.4.v1.2 pep primary_assembly:ASM411807v1:11:41273669:41279484:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun11g220400.3.v1.2 pep primary_assembly:ASM411807v1:11:41273406:41279484:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun11g220400.1.v1.2 pep primary_assembly:ASM411807v1:11:41274935:41279691:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun11g220400.6.v1.2 pep primary_assembly:ASM411807v1:11:41273669:41279484:1 gene:Vigun11g220400.v1.2 transcript:Vigun11g220400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTPVLTDSAPVTKSRLCVHSSLLPYSHSGATFTHGMLLTIPRKKTGILEDVRSSGWLDAMKSSSPPARKITKDFSHGFASSDSDTAAAYFSWLLKYPSALTCFDQITNYAKGKRIALFMDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVYQFVGLTELYYAGSHGMDIIGPVRQSESDNHPNCIRSTDKRGKEVNLFQPAAEFLPMINEVLKSLDECTKDIKGAKVENNKFCVSVHYRNVDEKYWDVVGQRVHDVLKGYPRLRLTHGRKVLEIRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSSPKESNAVYSLRDPSEVMEFLKSLVLWKSNSLKSLI >Vigun03g044500.1.v1.2 pep primary_assembly:ASM411807v1:3:3574196:3583198:1 gene:Vigun03g044500.v1.2 transcript:Vigun03g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWEQEGWGKGGINHGNGNGFPRAGSQQLPSLVKWINAVLPNFNLPLDTSEDELRARLRDGSVLCSILDNLVPGSVKGSGSLNELIGVKRFLVALDELGLSGFELSDLEQGSMVPVLQCLETLKTHFSYNAARENIQSYSRKRWDQSNLMSSEESDSCLKDASKFQHAFDGSLGSDGIASVDHTGIKSNELFHLKKGLHVDYSDANLNEVLKLNNLDSVSTQLLFNIGKSILSDIFERKNGDVPQAHRAACLLRKILQVIELRFSDQVESMKNQNYRFKVREGKYQTRIQALETLAVGTTEENEVLSSWVQQLKYDLQAEQTKFEEKKRLEEQDFSHLKKEKVRSEIEISELKQDLEIAKRTHEKHVSELELLVSESKVEYEKRIEELKLHLADARKQVKELEAFSESRFLNWKNKEHSYQTIVNFQFGAFQELRASMKSVKDDVIKTKRSYLEEFKYFGTKLKGLAEAAENYHVVLAENRKLYNEVQDLKGNIRVYCRIRPFLPGQNQSHTTIEFVGEDGELVVSNPLKQGKENRKLFKFNKVFGQATSQEEVFKDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPGLLSKSDWGVNYRALHDLFHISQTRRSSIVYEVGVQMVEIYNEQVRDLLSSNGPQKRLGIWNTAQPNGLAVPDASMHSVNSMADVLELMNTGLMNRATSATALNERSSRSHSVLSVHVRGTDLKTNTLLRGCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVASYSETVSTLKFAERVSGVELGAARSNKEGRDVRELMEQLASLKDVIGRKDEEIEQLQWLKAYQNGAKHGMISVRHGSSSPRRRSVGTPRNSTRRSGASSLRVNGKAASDMDNCSEYSDKHSEAGSHQSMDDFRNKSSSLRLKLASDDISQNFNEDTDLLRFGDADSEERLSDISDGGLSMGTETEGSISSIVEYTLFPELEKAAEATPVKDSNTIINLPAESTEKPIMPSKIPKAGQTSQKMRTIPSRLSLSKTSSKAPSSVRKPTASGSSSAKPLKRWQ >Vigun03g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19792650:19794063:1 gene:Vigun03g168600.v1.2 transcript:Vigun03g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQIMLLAFAVIAFGSGYVMASFQMMILIYAGGVVFTTLLTVPNWPFFNRHPLIWLDPSEAEKHPKPQPSANVTHKKKSVKK >Vigun03g168600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19792824:19793956:1 gene:Vigun03g168600.v1.2 transcript:Vigun03g168600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQIMLLAFAVIAFGSGYVMASFQMMILIYAGGVVFTTLLTVPNWPFFNRHPLIWLDPSEAEKHPKPQPSANVTHKKKSVKK >Vigun05g217900.2.v1.2 pep primary_assembly:ASM411807v1:5:40993448:40998135:1 gene:Vigun05g217900.v1.2 transcript:Vigun05g217900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNTMHTNYAYGMRHSRYLSTNCFRSKRRSRSSKSSSITTNSVKVKDPDILTWNKVISSHMRNGRCDSALRVFNSMPRRSSVSYNAMISGYLRNAKFSLARDLFDKMPERDLVSWNVMLTGYVRNRRLGEAHRLFDLMPEKDVCSWNAMLSGYAQNGFVDEAREIFSRMPHRNSISWNGLLAAYVQNGRLEEARQLFESQSDWELISWNCLMGGYVKRNMLGDARLIFDQMPIRDAISWNTMISGYAQVGDLSQAKRLFHESPVRDVFTWTAMVSGYVQNGMVGEARKYFDEMPVKNEISYNAMLAGYVQDKKMDIARELFEAMPCRNISSWNTMITGYCHNGGITQARKLFDVMPQRDCVSWAAIIAGYAQNEHFEEALNMFVEMKRDGENSNRSTFSCALSTCADIAALELGKQVHGQVVKAGFETGCFVGNALLGMYFKCGSTDEANNVFEGIEEKDVVSWNTMIAGYARHGFGGQALILFESMKKAGVKPDEITMVGVLSACSHSGLIDRGTEYFYSMNRDYNVTPSSKHYTCMIDLLGRAGRLEEAENLMRKMPFEPGAASWGALLGASRIHGNTELGEKAAEMVFKMEPHNSGMYVLLSNLYAASGRWVDVGKMRSRMKKVGVQKVTGYSWVEVQNKIHTFAVGDCFHPEKDRIYAFLEELDLKMRRDGYVSSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILTIPSGRPIRVMKNLRVCQDCHNAIKHISKIVGRLIILRDSNRFHHFNEGVCSCGDYW >Vigun05g217900.1.v1.2 pep primary_assembly:ASM411807v1:5:40993448:40997713:1 gene:Vigun05g217900.v1.2 transcript:Vigun05g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNTMHTNYAYGMRHSRYLSTNCFRSKRRSRSSKSSSITTNSVKVKDPDILTWNKVISSHMRNGRCDSALRVFNSMPRRSSVSYNAMISGYLRNAKFSLARDLFDKMPERDLVSWNVMLTGYVRNRRLGEAHRLFDLMPEKDVCSWNAMLSGYAQNGFVDEAREIFSRMPHRNSISWNGLLAAYVQNGRLEEARQLFESQSDWELISWNCLMGGYVKRNMLGDARLIFDQMPIRDAISWNTMISGYAQVGDLSQAKRLFHESPVRDVFTWTAMVSGYVQNGMVGEARKYFDEMPVKNEISYNAMLAGYVQDKKMDIARELFEAMPCRNISSWNTMITGYCHNGGITQARKLFDVMPQRDCVSWAAIIAGYAQNEHFEEALNMFVEMKRDGENSNRSTFSCALSTCADIAALELGKQVHGQVVKAGFETGCFVGNALLGMYFKCGSTDEANNVFEGIEEKDVVSWNTMIAGYARHGFGGQALILFESMKKAGVKPDEITMVGVLSACSHSGLIDRGTEYFYSMNRDYNVTPSSKHYTCMIDLLGRAGRLEEAENLMRKMPFEPGAASWGALLGASRIHGNTELGEKAAEMVFKMEPHNSGMYVLLSNLYAASGRWVDVGKMRSRMKKVGVQKVTGYSWVEVQNKIHTFAVGDCFHPEKDRIYAFLEELDLKMRRDGYVSSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILTIPSGRPIRVMKNLRVCQDCHNAIKHISKIVGRLIILRDSNRFHHFNEGVCSCGDYW >Vigun05g217900.3.v1.2 pep primary_assembly:ASM411807v1:5:40993448:40998135:1 gene:Vigun05g217900.v1.2 transcript:Vigun05g217900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRCDSALRVFNSMPRRSSVSYNAMISGYLRNAKFSLARDLFDKMPERDLVSWNVMLTGYVRNRRLGEAHRLFDLMPEKDVCSWNAMLSGYAQNGFVDEAREIFSRMPHRNSISWNGLLAAYVQNGRLEEARQLFESQSDWELISWNCLMGGYVKRNMLGDARLIFDQMPIRDAISWNTMISGYAQVGDLSQAKRLFHESPVRDVFTWTAMVSGYVQNGMVGEARKYFDEMPVKNEISYNAMLAGYVQDKKMDIARELFEAMPCRNISSWNTMITGYCHNGGITQARKLFDVMPQRDCVSWAAIIAGYAQNEHFEEALNMFVEMKRDGENSNRSTFSCALSTCADIAALELGKQVHGQVVKAGFETGCFVGNALLGMYFKCGSTDEANNVFEGIEEKDVVSWNTMIAGYARHGFGGQALILFESMKKAGVKPDEITMVGVLSACSHSGLIDRGTEYFYSMNRDYNVTPSSKHYTCMIDLLGRAGRLEEAENLMRKMPFEPGAASWGALLGASRIHGNTELGEKAAEMVFKMEPHNSGMYVLLSNLYAASGRWVDVGKMRSRMKKVGVQKVTGYSWVEVQNKIHTFAVGDCFHPEKDRIYAFLEELDLKMRRDGYVSSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILTIPSGRPIRVMKNLRVCQDCHNAIKHISKIVGRLIILRDSNRFHHFNEGVCSCGDYW >Vigun08g073800.1.v1.2 pep primary_assembly:ASM411807v1:8:12634072:12642739:1 gene:Vigun08g073800.v1.2 transcript:Vigun08g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICVKNLPKHVAEDELREFFSEKGIITDVKLMRTKDGKSRQFAFIGYRTENEAHEAIRYFNNSFLRTSKITCEVARKLGDENLPRPWSRHSKKKDDKGTAPDVGKPARAKGRKENLKNGVDVDDPQLQDFLQVMQPRVNSKMWANDTSGLTSVGNNQAVSNKDNESASVSTDDSGSLEDGFLDISQPSSKSLEPERDEVISDMDYFKSRVTKEWSDSESSDEDNDDSDDNDDSSVDNDDKDDNGGVNEDGKSSDPRNDAREVDVEEGKEDTGGENVTHEKSQVDVTEHGGQLSKTEDVKGVFESCRLFVRNLPYTTTEEELEEHFSHIGSISQVHLVVDKDTKRSKGIAYILYTAPEFAARALKEFDNSIFQGRLLHVMPALQRHSENQENNVLKDQSSKTLKKQREEKRKANEASGDTRAWNSLFMRPDTIVENIARKYGVDKSDLLDGEADDLAVRIALGETQVISETKKAFKNAGVNVEVLEELANNKIDGLKRSNHVLLVKNLPYGSTENELAKMFGKFGSLDKIILPPTKTLALVVFLEPAEARAAFRGLAYKRYKDAPLYLEWAPNNILSQSSTSKNDDMNGAIGENDAKRQILEQNVERITDVDIDPDRVEARSLFVKNLNFRTTDENLRKHFSELMKEGRILSVKVKKHLKNGKNVSMGFGFVEFDSPETATNICRDLQGTVLDSHALILQPCHVKNDAQKQKSIKKDKSSTKLLVRNVAFEATDKDLRRLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTQQEAQNALKALSSTHLYGRHLVIERAKEGESLEELRARTASQFSDEHNGFQGAIKLSKKRKDVDILDEGKMKFGRFDD >Vigun04g008600.1.v1.2 pep primary_assembly:ASM411807v1:4:614377:620001:-1 gene:Vigun04g008600.v1.2 transcript:Vigun04g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHHHHHNNNHVLLPTAVEALLDTICREQNQLPPDFTLRRRLAAVGEQQALQILQVIAGQQIRCSLSAFIVYMLKNPVTVTPSPPRALSPTRPLQPSLALHALGELEFRKAFLLLSYIGSETLENVTDADYIRSLKDLPMATFEKRVWEAFGKDHIYSQSDRQVYLDWDSGRTHVYQCYVSSDGSLRFKGPILQNTQTHLQRSLGDDNVLLVKFAENGNVRNLRTSVEEANSLYGKFGKEGINVGLRLYRFFVFKDGGKEEKKKDPTSSSVKCYFVRMQSCCSADESANYILSNRTVSEARALFMHAHLLPSLDKYMARFSLILSKTFKLSIDLATVNVQTIEDVFCQDKKGNIIYDNEKPRILTDGTGFISSDLALLCPNSVYKGNNLENNYIQEIKNLVELEDMCKAMGETEQKLGTHQPPLLIQCRLFHMGCAIKGTLLVNRKLPPRTIQVSD >Vigun11g150300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36049538:36053154:-1 gene:Vigun11g150300.v1.2 transcript:Vigun11g150300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCREIWLFFCGLTILPLLCFSANFVPLDNYLIDCGASTDTSIDNRNFRADSFYKNFISTQQDVLASTSLKSITSSTDSPLYATARIFTSPSKYTFPIKKRGRHWIRLYFFPFAYEKYDLSAANFAVSTQDYNLLSDFTVQKNPVMKEYSLDVNSDTLVITFSPSANSIAFVNAIEVVSVPDGLIVDNGFSLYPAGSYSGLLTKALETVLRVNMGGPSVSSGNDTLQRTWVSDEKFLIQPNLATNFSNIGAVKYVNGGPTANTAPPSVYGTLTEMNSAGDPRSNFNVTWQFDVEPQFQYLVRFHFCDILSKSLNELYFNVFINSLLAAKDLDLSSENSNVLATPFVKDLVTAPSASAKMLVSIGPSAVNNNYPNAILNGLEIMKMNNSASSFSSSTAPQTGSSGSGSKKVGLIVGVSVGALFAVVMVLVLFLLCRKRRRLEKEKQGHSKTWIPLSVNDGTSHTMGSKYSNATTGSAASNFGYRFPFVTVLEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEKGTLKSHLYGSGLPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDDNLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWTMKWQKRGQLEQIIDPTLAGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQVNNFDHEVSVSAAQFEASSLDDLSGVSMSRVFSQLVKSEGR >Vigun11g150300.3.v1.2 pep primary_assembly:ASM411807v1:11:36049538:36053154:-1 gene:Vigun11g150300.v1.2 transcript:Vigun11g150300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGTTKGYSDSSSALWFWWSCVAFEGSYSGLLTKALETVLRVNMGGPSVSSGNDTLQRTWVSDEKFLIQPNLATNFSNIGAVKYVNGGPTANTAPPSVYGTLTEMNSAGDPRSNFNVTWQFDVEPQFQYLVRFHFCDILSKSLNELYFNVFINSLLAAKDLDLSSENSNVLATPFVKDLVTAPSASAKMLVSIGPSAVNNNYPNAILNGLEIMKMNNSASSFSSSTAPQTGSSGSGSKKVGLIVGVSVGALFAVVMVLVLFLLCRKRRRLEKEKQGHSKTWIPLSVNDGTSHTMGSKYSNATTGSAASNFGYRFPFVTVLEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEKGTLKSHLYGSGLPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDDNLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWTMKWQKRGQLEQIIDPTLAGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQVNNFDHEVSVSAAQFEASSLDDLSGVSMSRVFSQLVKSEGR >Vigun11g150300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36049535:36053248:-1 gene:Vigun11g150300.v1.2 transcript:Vigun11g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCREIWLFFCGLTILPLLCFSANFVPLDNYLIDCGASTDTSIDNRNFRADSFYKNFISTQQDVLASTSLKSITSSTDSPLYATARIFTSPSKYTFPIKKRGRHWIRLYFFPFAYEKYDLSAANFAVSTQDYNLLSDFTVQKNPVMKEYSLDVNSDTLVITFSPSANSIAFVNAIEVVSVPDGLIVDNGFSLYPAGSYSGLLTKALETVLRVNMGGPSVSSGNDTLQRTWVSDEKFLIQPNLATNFSNIGAVKYVNGGPTANTAPPSVYGTLTEMNSAGDPRSNFNVTWQFDVEPQFQYLVRFHFCDILSKSLNELYFNVFINSLLAAKDLDLSSENSNVLATPFVKDLVTAPSASAKMLVSIGPSAVNNNYPNAILNGLEIMKMNNSASSFSSSTAPQTGSSGSGSKKVGLIVGVSVGALFAVVMVLVLFLLCRKRRRLEKEKQGHSKTWIPLSVNDGTSHTMGSKYSNATTGSAASNFGYRFPFVTVLEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEKGTLKSHLYGSGLPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDDNLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWTMKWQKRGQLEQIIDPTLAGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQVNNFDHEVSVSAAQFEASSLDDLSGVSMSRVFSQLVKSEGR >Vigun05g301800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48487845:48489745:1 gene:Vigun05g301800.v1.2 transcript:Vigun05g301800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRKKKTTMMMMKMKNMSNARCSYWTMLILSLAMLEPCTVAAFDITKLLSEYPAYSSFSGYLTKTQLADAINARQSVTVFAVDNTAMAPLSGKPIHHIKTILSHHVALANLDLHQNETINATTLSQDEGVLLKINKGDVTLVSTAKPNGAARAKLIKPLLAECNISVVQVNSLMMTTNRRMMTTNPETIIPVKSPVPVISPVPVYTPVPVKSPVPVISPVPVISLVPTPTPVLTPISPDIISPVPVISPVPVKTPVPVITPVPVISPVPVISPVPVISPVPIITPVLVNPPGVSPANLIKPPAPVGPPETSPVPAASPVREPVPVPVPVPVMAPAAGDEDGSPGSAGPDDGSFGPDDGSDITADDKEKSGVALFGVNWSLAILLAFSASLFMNYEY >Vigun01g067000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17979146:17980099:1 gene:Vigun01g067000.v1.2 transcript:Vigun01g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKLRQYERVFKHFDENGDGKISALELKQCVEAMGEELSAEDAAASVIMLDADGDGLVGFDDFVRFVEGGKEEEKENDLREAFKMYEMDESGCITPRSLKRMLSRLGESRTIDECQVMIARFDLDGDGVLTFDEFKVMMF >Vigun01g163900.1.v1.2 pep primary_assembly:ASM411807v1:1:34555590:34559425:1 gene:Vigun01g163900.v1.2 transcript:Vigun01g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSLASQLYIGLRRPCPKLDSFNSPSFSAFDPNLRLSLSPPKPSRAVVAMAGSGKFFVGGNWKCNGTKDSISKLVADLNSAKLEPDVDVVVAPPFLYIDQVKSSLTDRIEISAQNSWVGKGGAFTGEISAEQLKDIGCKWVVLGHSERRHIIGEKDEFIGKKAAYALGQGLGVIACIGELLEEREAGKTFDVCFQQLKAYADAVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHVALRDWLKKNVSEEVASKTRIIYGGSVNGGNSAELAKQEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >Vigun03g194901.4.v1.2 pep primary_assembly:ASM411807v1:3:27333570:27335769:1 gene:Vigun03g194901.v1.2 transcript:Vigun03g194901.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSISFCFFFPFFRIPVQYQWRFPPHSTSVSTRWTVLESNASLFSRPRRSRKPTSPELWLRSSQTSGPQNRGAPGSITKSRLRISSFSLSNVKSRTSKPSTIHFHCNSGRCRTRWRSSRNCATRERDFTRPRG >Vigun03g194901.2.v1.2 pep primary_assembly:ASM411807v1:3:27333570:27335592:1 gene:Vigun03g194901.v1.2 transcript:Vigun03g194901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSISFCFFFPFFRIPVQYQWRFPPHSTSVSTRWTVLESNASLFSRPRRSRKPTSPELWLRSSQTSGPQNRGAPGSITKSRLRISSFSLSNVKSRTSKPSTIHFHCNSGSHVRIQNLKSLINWPRFRSLQNEVEELEELRDTRERFYEAKRIEMRKFKEIAERFVVNCRMEVESLRNRVNLVITCYV >Vigun03g194901.3.v1.2 pep primary_assembly:ASM411807v1:3:27333570:27335592:1 gene:Vigun03g194901.v1.2 transcript:Vigun03g194901.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSISFCFFFPFFRIPVQYQWRFPPHSTSVSTRWTVLESNASLFSRPRRSRKPTSPELWLRSSQTSGPQNRGAPGSITKSRLRISSFSLSNVKSRTSKPSTIHFHCNSGSHVRIQNLKSLINWPRFRSLQNEVEELEELRDTRERFYEAKRIEMRKFKEIAES >Vigun03g194901.1.v1.2 pep primary_assembly:ASM411807v1:3:27333570:27335220:1 gene:Vigun03g194901.v1.2 transcript:Vigun03g194901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSISFCFFFPFFRIPVQYQWRFPPHSTSVSTRWTVLESNASLFSRPRRSRKPTSPELWLRSSQTSGPQNRGAPGSITKSRLRISSFSLSNVKSRTSKPSTIHFHCNSGSHVRIQNLKSLINWPRFRSLQNEVEELEELRDTRERFYEAKRIEMRKFKEIAERFVVNCRMEVESLRNRVNLLKSSFMELKSNSGNSCNSEITAAEMRRLELQAEKDNVCRIIDCNHQIKAQLQKQLQIILMTQTQDKGSELKSATGNYSST >Vigun05g010900.1.v1.2 pep primary_assembly:ASM411807v1:5:874867:877763:1 gene:Vigun05g010900.v1.2 transcript:Vigun05g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSSTSCIPISSKLKHKHVLFHSQFPTSTASTKTRKTKPLFIVNASRPTLSSNWLVSPDDLSASTASPWLPRIEELDTTNMLLRQRIIFLGSQVDDMTADFIISQLLLLDAEDSKKDIKLFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFILASGTKGKRYCMPNSRVMIHQPLGTAGGKATDMSIRIREMAYHKIKINKILSRITGKPEEQIDLDTDRDNFMNPWEAKEYGLVDGVIDDGKPGLVAPVVDATPPPKTRVWDLWKIEGSRKAKKNLPSEHKLLQKVSKGSDGDKGSGKEGEAPVAV >Vigun02g115300.2.v1.2 pep primary_assembly:ASM411807v1:2:26885566:26886487:1 gene:Vigun02g115300.v1.2 transcript:Vigun02g115300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPPFPEMPRYCYSITTIGSHQRFSYYASMLGATETTTLRPAAIFRFTIFVSSEYDRISELNTPSTLIPAETFFREGSNFLQTLLSPLSSHRLFGTHIFETIVNAVAHEVRQIFRVDEAAPSSSESEPPEVPVWIVVGVNDNTLFESTNLVPASQEAIDTLLKKSRVVQGESCCCICLDEFNVNAECYTLPCQHFFHHKCILRWLQTDHTCPMCRHPLLTLKD >Vigun03g200701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29725428:29725865:-1 gene:Vigun03g200701.v1.2 transcript:Vigun03g200701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SGTLSWIFIFHFSHGSRNTFIVVQHGGVNSRTRCRWHKKKKEKASVLSMLVTSPARLLAGREEELWRWSHKGKWLPWMRVKEKTLAPRVHDRRNEKGGDFGGRFLVGDASDGCWRQRRRGVAVWWHGAVVRRLWIVEGRRQRVSV >Vigun03g200450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29314173:29315299:1 gene:Vigun03g200450.v1.2 transcript:Vigun03g200450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKNLSSNSLLLPKRPTLPFQLHLQPHACCQLRYLSHSLPSCRRRGQQQRQPQSSFFQQRRFRLSQMRGEPQHGLHVCVPPPPGQHRATLMLSNHCRSCAVVTFKRHRPPLRVPPLFSFVLG >Vigun01g125700.2.v1.2 pep primary_assembly:ASM411807v1:1:30287335:30289266:1 gene:Vigun01g125700.v1.2 transcript:Vigun01g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGHTNNPSMGFSMALPHETRASSDPPLQLHHLLPSTPVLPSPRLPIPWLSHACMEEIPVRVLDDTDDGAAVSSPNNSAVSSYFCRNSRKFCEGDSEEDENGSTRKKLRLSKTQSAFLEDSFKEHTTLNPKQKLVLAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLSEENKRLQKELQELRALKTCEPFFMQLPATTLTMCPSCERVATTTSTSAAAASATNNRSVSLNKPRILSGPHAAGPPGPSECFF >Vigun01g125700.1.v1.2 pep primary_assembly:ASM411807v1:1:30287335:30289266:1 gene:Vigun01g125700.v1.2 transcript:Vigun01g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGHTNNPSMGFSMALPHETRASSDPPLQLHHLLPSTPVLPSPRLPIPWLSHALGMEEIPVRVLDDTDDGAAVSSPNNSAVSSYFCRNSRKFCEGDSEEDENGSTRKKLRLSKTQSAFLEDSFKEHTTLNPKQKLVLAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLSEENKRLQKELQELRALKTCEPFFMQLPATTLTMCPSCERVATTTSTSAAAASATNNRSVSLNKPRILSGPHAAGPPGPSECFF >Vigun04g076175.1.v1.2 pep primary_assembly:ASM411807v1:4:9842661:9853073:-1 gene:Vigun04g076175.v1.2 transcript:Vigun04g076175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLQKVARMERMVRADGGAWCGGAFAICGGARKKMAEQGSWWRHCCSRGGAAAEMTARRLCARRDAGVGAVEMAMVVACRCRIVVAGNGGGCRGG >Vigun01g087450.1.v1.2 pep primary_assembly:ASM411807v1:1:24561804:24562313:-1 gene:Vigun01g087450.v1.2 transcript:Vigun01g087450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKEGCSCSSWGSQQHSVSSPGGWNKGLGERPMCYCGEVAVLRVTKTIRNARKEFWGCPNFKRSGGNDVFKGCNYFKWLNEDNGDEKDSTIARQRRKIYHLEKSLVISGKWVRFLSGIIICLGLINVMLVWKFIQIP >Vigun10g191800.1.v1.2 pep primary_assembly:ASM411807v1:10:40598922:40603481:1 gene:Vigun10g191800.v1.2 transcript:Vigun10g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAMFSPTLNLAISSTTYCCKRRITPTRSVTVRASSTEKGESEDKEASAFNPFGFVTDNASSRSAIQLPESPAEDGNVGQMLYRTEDKGKEYGSYVKSGKLRWFVRETGSASSRRGTIVFLHGAPTQSFSYRVVMSQLSDSGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHDALDKLLEVLEVKSPFFLVVQGFLVGSYGLTWALKNSSKISKLAILNSPLTDSSSIPGLFQKLRIPLYGEFTSQNAIIAERFIEGGSPYVLKNEKADVYRLPYLKSSGPGFALLEAARKANFKGTFNQIAEGFATERWDKPILVAWGLSDKYLPQSVAEQFKNGNPSQIQLKLIEGAGHMPQEDWPEKVVEAFRMFFF >Vigun07g130800.1.v1.2 pep primary_assembly:ASM411807v1:7:24047348:24052688:-1 gene:Vigun07g130800.v1.2 transcript:Vigun07g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPKLISDKNEMRNWSRSMRAQGKLIGFVPTMGFLHAGHLSLVSQARSLCDVVAVSIYVNPGQFAPSEDLSTYPSDFQGDLRKLAALPGGVDVVFHPRNLYDYGNNSGDVAGQGGGAAASCVGGDGSGHETWVRAEKLEVGLCGKSRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQLRVIQRMVRDLDFFIKVIGAEITRESDGLAMSSRNVHLSPEERGKAISINKSLLKAKSAAEDGEVHCEKLRNLVIQCISEAGGRVDYAEIVDQNNLEKVEKIKGPVVFCVAAWFGKVRLIDNMEINLSIGV >Vigun04g001500.1.v1.2 pep primary_assembly:ASM411807v1:4:147267:148679:1 gene:Vigun04g001500.v1.2 transcript:Vigun04g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNKFIEDWGTARENLEFNFRWTRRNLALVGIFGIAIPVLVYKGIVREFHMQDEDNGRPYRKFM >Vigun08g140600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31282975:31284786:1 gene:Vigun08g140600.v1.2 transcript:Vigun08g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVQLGLLAACVVLFVPMGMAGWHLSRNKVLFFSGALFITLAVGVHLTPYFPSVSDFVTSVSSSSVDVVVDNRDSCVSLLHEIVWEVRPRVFDFDPTNNNSVNYEKSWSWKRSGSVESCEFQRLNRHDVSVLLNGSWVVVAGDSQARIFALSLLSLVLDSEGMESVKGSLFKRHSDYHTVVDEMGMVLDFMWAPYVTNLTSLVAGFKRNRLYPDLLVMGSGLWHMLHITNASDYGVSLGDLRSSVTSLLPVSSEFGNDESVAVRSPHLFWLGMPSLVNSMLNTEEKREKMSDLVRGEYEREVKRSGMLRQFEGPLQLLDIGSLSWNCGIRCTDDGMHYDGVVYEAGVHVMLNALLIESHQKI >Vigun07g102600.2.v1.2 pep primary_assembly:ASM411807v1:7:18458842:18460606:1 gene:Vigun07g102600.v1.2 transcript:Vigun07g102600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATGQRVKLVCANWAGHLQPMIPEGLDKRAMKDIVGELVKHKFNCVRLTYAIYMWTRYADENVNATFASLDVPEVVEGIAKNNPSVLSMTHVQAFDAVVHELGVQNMKVLLDNHVSEPMWCCDDDDENGFFHDRHFNPQEWLHGLTLAAKHFSENHVVVAMSLRNELHGPRQNLKDWYRYMSQGAVAIHKTNPNVLVLISGLNYDTELQFLRRKPLKIDLGKKMVFETHLYSWSGIGTLKLKEIWTKQPLNRICANNVKAIDYRAGFLTTGKNATPLIFTEFGFNEEGSSVEDNRFLTCLQTYLLGKDLDWGFWAFQGTYYIKKDQVQVDESFGVMDETWHHLRYPNFTDKFQLLQRKNLVNDKNEVELGSCKTKTDGFVERMRLKSFYMALRSA >Vigun11g078300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23095706:23095951:1 gene:Vigun11g078300.v1.2 transcript:Vigun11g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun11g153500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36287870:36289372:-1 gene:Vigun11g153500.v1.2 transcript:Vigun11g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRFSLKSPLNCALPSTVIPHCFSSSNGNDDVQKVFGILSSTSTPEHLKQSLKSSGVFLSNELIDQVLKRVRFSHGNPSQTLEFFRYTGRRKGFYHTAFSLDTMLYILGRSRMFGHVWDLLIECRRKDQTAITARTVMVVLGRVAKVCSVRQTVDSFRKFKKLVAEFDTNCFNALLRTLCQEKSMTDARNVYHSLKHQFRPNLQTFNILLSGWKTPEDAEGFFKEMKEMGVTPDVVTYNSLVDVYCKGREIEKAYKVLDEMRDRDLSPDVITYTCIIGGLGLIGQPDKARDVLKEMKEYGCYPDAAAYNAAIRNFCIAKRLGDAYGLVEEMVSKGLSPNATTYNLFFRVFYWSNDLHNSWIMYNRMMVEGCLPNTQSCMFLIRLFRKYEKVDMALQLWGNMVEKGFGSYTLVSDVLFDLLCDMGKLEEAEKCFLEMIEKGQKPSNVSFRRIKVLMELANRHEALENLTQKMSIFGRPLQLHQSLVSQTETPDSLFTNS >Vigun11g058200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12237537:12238300:-1 gene:Vigun11g058200.v1.2 transcript:Vigun11g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVKPRHVIMLIVLTLFIVSSEARNVPQLTTIEKKIHNKFGLREVINNVRNGKWHGKRSMLGGRLERVSPAGPDPDHH >Vigun10g152300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37224610:37229553:1 gene:Vigun10g152300.v1.2 transcript:Vigun10g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSQQTQVIEISGDLPDGARKICGEAPCGFADAGSISKDSEERSTSMRKLLMAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIDRIIAGPQSVDGFLMFLVSAFGLVVNIIMALLLGHDHGHGHDGHGHGHGHGHGHGHEGHGHGHSHSHGHGFTVSAHHDAKHAKDEHHHTHDHTDRHGEKHSKYDIHTHEDHLHHHDHQELTQSLLDESKTKKKKQWNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLVTTINMLRNILEVLMESTPREIDATKLERGLLDIEDVVAVHELHIWAITVGKVLLACHVKIRREADADVVLDNVIDYIKRVYNISHVTIQIER >Vigun10g152300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37224616:37229396:1 gene:Vigun10g152300.v1.2 transcript:Vigun10g152300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSQQTQVIEISGDLPDGARKICGEAPCGFADAGSISKDSEERSTSMRKLLMAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIDRIIAGPQSVDGFLMFLVSAFGLVVNIIMALLLGHDHGHGHDGHGHGHGHGHGHGHEGHGHGHSHSHGHGFTVSAHHDAKHAKDEHHHTHDHTDRHGEKHSKYDIHTHEDHLHHHDHQELTQSLLDESKTKKKKQWNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLVTTINMLRNILEVLMESTPREIDATKLERGLLDIEDVVAVHELHIWAITVGKVLLACHVKIRREADADVVLDNVIDYIKRVYNISHVTIQIER >Vigun10g152300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37224770:37229396:1 gene:Vigun10g152300.v1.2 transcript:Vigun10g152300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSQQTQVIEISGDLPDGARKICGEAPCGFADAGSISKDSEERSTSMRKLLMAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIDRIIAGPQSVDGFLMFLVSAFGLVVNIIMALLLGHDHGHGHDGHGHGHGHGHGHGHEGHGHGHSHSHGHGFTVSAHHDAKHAKDEHHHTHDHTDRHGEKHSKYDIHTHEDHLHHHDHQELTQSLLDESKTKKKKQWNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLVTTINMLRNILEVLMESTPREIDATKLERGLLDIEDVVAVHELHIWAITVGKVLLACHVKIRREADADVVLDNVIDYIKRVYNISHVTIQIER >Vigun10g152300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37224749:37229554:1 gene:Vigun10g152300.v1.2 transcript:Vigun10g152300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSQQTQVIEISGDLPDGARKICGEAPCGFADAGSISKDSEERSTSMRKLLMAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIDRIIAGPQSVDGFLMFLVSAFGLVVNIIMALLLGHDHGHGHDGHGHGHGHGHGHGHEGHGHGHSHSHGHGFTVSAHHDAKHAKDEHHHTHDHTDRHGEKHSKYDIHTHEDHLHHHDHQELTQSLLDESKTKKKKQWNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWQIVDLICTLIFSVIVLVTTINMLRNILEVLMESTPREIDATKLERGLLDIEDVVAVHELHIWAITVGKVLLACHVKIRREADADVVLDNVIDYIKRVYNISHVTIQIER >Vigun02g117500.3.v1.2 pep primary_assembly:ASM411807v1:2:27036623:27041092:-1 gene:Vigun02g117500.v1.2 transcript:Vigun02g117500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFAFAAPSLSSSSRAFVRRAPIPYHRSPNHLRLPPLKCSSSEPETAMSPADWTHKLIARAAGLGFLETSYLTYLKLTGGDAFCPVGGGTCSDVLNSDYAIVFGIPLPLIGMAAYGLVAALGLQLASNKLRFGISKSTAQAVLLGATTSMAAASAYFLYTLITRFSDSSCSYCLLSAFLSFTLFFVTLKDLGLQEVSKTLGLQLLVASIVILTLNTSYNSSKSGSSSLAEIELPYYATEITTPSSPFALSLARHLHSIGAKMYGAFWCSHCQEQKQMFGREAAKELDYVECFPEGYRTGTKMIKACIDAKLDGFPTWIINGQVLSGEQELSELAQVSGYNESVQPS >Vigun02g117500.4.v1.2 pep primary_assembly:ASM411807v1:2:27036623:27041092:-1 gene:Vigun02g117500.v1.2 transcript:Vigun02g117500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFAFAAPSLSSSSRAFVRRAPIPYHRSPNHLRLPPLKCSSSEPETAMSPADWTHKLIARAAGLGFLETSYLTYLKLTGGDAFCPVGGGTCSDVLNSDYAIVFGIPLPLIGMAAYGLVAALGLQLASNKLRFGISKSTAQAVLLGATTSMAAASAYFLYTLITRFSDSSCSYCLLSAFLSFTLFFVTLKDLGLQEVSKTLGLQLLVASIVILTLNTSYNSSKSGSSSLAEIELPYYATEITTPSSPFALSLARHLHSIGAKMYGAFWCSHCQEQKQMFGREAAKELDYVECFPEGYRTGTKMIKACIDAKLDGFPTWIINGQVKRP >Vigun02g117500.1.v1.2 pep primary_assembly:ASM411807v1:2:27036608:27041137:-1 gene:Vigun02g117500.v1.2 transcript:Vigun02g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFAFAAPSLSSSSRAFVRRAPIPYHRSPNHLRLPPLKCSSSEPETAMSPADWTHKLIARAAGLGFLETSYLTYLKLTGGDAFCPVGGGTCSDVLNSDYAIVFGIPLPLIGMAAYGLVAALGLQLASNKLRFGISKSTAQAVLLGATTSMAAASAYFLYTLITRFSDSSCSYCLLSAFLSFTLFFVTLKDLGLQEVSKTLGLQLLVASIVILTLNTSYNSSKSGSSSLAEIELPYYATEITTPSSPFALSLARHLHSIGAKMYGAFWCSHCQEQKQMFGREAAKELDYVECFPEGYRTGTKMIKACIDAKLDGFPTWIINGQVLSGEQELSELAQVSGYNESVQPS >Vigun02g117500.2.v1.2 pep primary_assembly:ASM411807v1:2:27036552:27041137:-1 gene:Vigun02g117500.v1.2 transcript:Vigun02g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFAFAAPSLSSSSRAFVRRAPIPYHRSPNHLRLPPLKCSSSEPETAMSPADWTHKLIARAAGLGFLETSYLTYLKLTGGDAFCPVGGGTCSDVLNSDYAIVFGIPLPLIGMAAYGLVAALGLQLASNKLRFGISKSTAQAVLLGATTSMAAASAYFLYTLITRFSDSSCSYCLLSAFLSFTLFFVTLKDLGLQEVSKTLGLQLLVASIVILTLNTSYNSSKSGSSSLAEIELPYYATEITTPSSPFALSLARHLHSIGAKMYGAFWCSHCQEQKQMFGREAAKELDYVECFPEGYRTGTKMIKACIDAKLDGFPTWIINGQVLSGEQELSELAQVSGYNESVQPS >Vigun10g070601.1.v1.2 pep primary_assembly:ASM411807v1:10:16986157:17014819:1 gene:Vigun10g070601.v1.2 transcript:Vigun10g070601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVCASSADSACSSSSKSKTHWRYDVFLNFRGGDTRSTFVSHLHSGLSNAGVHTFIDLLRAIEGSQIAIVVFSKNYAESSWCLEELAKISECHRTHGQVVVPIFYDVDPSVVRRQTGDFGKAMEAVAVRTFSGKTLLDSLVRWSDSLNQVANITGWDMRQCRDEAEQVKKIVKGVLTELDNTSLSITQFPVGLESRVKEVIRFIENQSSKGCKIGIWGMGGSGKTTTAKAIYNQIRRTYLYRSFIENIRQVCEQDSKEYIHLQEQLLLDVLKTEVKVHSTAAGTTLIEERLRGKSTLVVLDDVTKFEQVNALCGNRKWISSGSVFIVTSRDIRLLHLLEVGYIYKVEEMNENESLELFSWHAFREVTPTDDFIELSRNVVSYCGGLPLALEVLGSYLQKRTKQEWKSVLSKLRIIPNDQVQKILRISFDGLEDQMERDIFLHICCFFIGKERGYVTEILNGCGLHADIGITVLIERSLVRVEENNKLGMHDLVRDMGREIIRHSSPNNPGKRSRLWFHEDVLDVLTNNTGTEAIEGLALKSHGIGGDCFETNALTLLKLLKLDSVQLTGSFRHLSKQLRWICWQGFPLKYIPVNFYQGSVVVINLKHSNLTLVWKETQLLEWLKILNLSHSKNLTTTPDFSKLPNLEKLILKDCPRLCKLHQSIGDLCKLLLLNLKDCTSLRNLPRRTYKLKSVKTLILSGCLKMAKLDEDIMQMESLTTLIAENIAVKQIPFSIVRSKSIGYISLCGHKGLSRNVFPSIIWSWMSPKINPLSGIYPCWSMSSSLTSIDVQSNNLGDLASTLTSLSKLRSVLLHCDTEFQLSKELRTILGDIYDVSSFKLDTRSNESQITNHSLRPYFIGIGSYHEVFNTLNKSISEGFAINESCDVCLPGDNRPLWLTHTGEGHSVFFKVPEDRNCLMKGMFLCVVYSSPPEKVANKCLLSVLIVNYTKCTIQIYKRDTVISFNEEDWKDITSQLGFGDQVEIFVTFGHGLIVIKMAIYLLYTESTDMQMNASLEPKENSLLRFLKRILICDFW >Vigun11g131900.1.v1.2 pep primary_assembly:ASM411807v1:11:34028248:34032670:-1 gene:Vigun11g131900.v1.2 transcript:Vigun11g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNLSDTDQFLTLGATSDDSQSPKESTPHVSAADNNNNVVVSAVNVATGPGSGSGSGSELVVAVANSEAVGSALVVKRGRGRPRKSEAVGSTASPLKAVVPPPPPGFSDQTKRGRGRPRGSGKLQVIASIGGFMAETAGGSFIPHVLTVQIGENLVGTIMSFFEKGPLSVCILSATGAISNVTIREHAGSNHIMRFEGTFEILSLSGACTFVGGINGPVRTSGSLSISMAKSDGNVLGGILESALVASCPIQLVMATFKQNINSQFKRKQLLEFSNGPLMLESPDSERGKTKVQKLTEGDKNCSSPPPTELGPTTVSNGVADNVFSITPNGIADNVFSATSNGVVDNVFSATLNGVANNVFSITSNGVTDDVFSVTPNGVTNNVFSVTPDRVADITVTPHNVHSACIIGVDMDHQAPQSASDDSDRKTSAEVNANVMEL >Vigun02g034700.1.v1.2 pep primary_assembly:ASM411807v1:2:14515268:14518288:1 gene:Vigun02g034700.v1.2 transcript:Vigun02g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSMLSFHFLLSCFMLLVLVAANWEPQDDCPSSPQPCGYLGKIFFPFTTSSNPKCGLRISGCEDDGAVKQISLGGKKLYKIDAIINPLDLNYLSIFIHYPDLDTVATEQQRIQPSEIENEYFTIANNITFFGCSLNPNEITNSIPSNMTLYRHCQNHSMYYNSSHSFDYPMPNIPFACLLFPVCPCGTFCNCPSFTFLFSILQIKLKIPKNCKHCHGLCKFNFEGQRLNCDGQPPRLSQPPVPPPATAKAKKIALFVGIPILVVVFALVVVIILLLLKGRKKRSGLQSKSRSAYSSSFRNTTSMESGGVYFGISVFSFDELREATNNFVEDRKLGEGGFGIVYFGKLRDGREVAVKRLFERNYRPVESFINEIQILTRLRHRNLVSLYGCTSRHSRDLMLVYEHIPNGTVSSHLHGDQRNSSFLPWHLRMKIAIQTASALAYLHASDIIHRDVKTTNILLDNSFDAKVTDFGLSRLFPDDVTHVSTAPRGTPGYVDPDYRLCYQLTTKSDVYSFGVVLVELISSLKAVDMDRNREDIKLANLAIRKIQKGAFYELVDPSLGFHSDEKLKMMIGSVAELAFRCLQEDKELRPSMGEVLEVLERIHSGRDEPPNQDGIVVNGAIRASQSYVHPPLPNTLINPQQRLFKTN >Vigun11g174800.1.v1.2 pep primary_assembly:ASM411807v1:11:37996025:38004381:1 gene:Vigun11g174800.v1.2 transcript:Vigun11g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEAEYSPFAGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMISKTDMYRANSIRVLCRITDGTLLTQIERYLKQGIVDKNPVVASAALVSGIHLLQTSPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGNVRSPLAQCLLIRYTSQVIREGNITQSGDRPFYDYLESCLRHKSEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGVEGPKTSDPSKYIRYIYNRVHLENATVRASAVSTMAKFGASVDALKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDGGVVETDEDVKDFLFGSFDIPLANLETTLKNYEPSEEAFDIGSVPREVKSQPLAEKKASSKKPTGLGAPPRGPSSTTADSYERLLLSIPEFANFGKLFKSSEPVELTEAETEYAVNVVKHIFDSHVVFQYNCTNTIPEQLLEDVIVIVDASEAEEFSDVCSKPLRSLPYDSPGQTFVAFEKPEGLPVAGKFSNVLKFIVKEVDPATGEAEDDGVEDEYQLEDLEVVTADYILKVGVSNFRSAWESMGPENERVDEYGLGPRESLAEAVNTVINLLGMQPCEGTEVVAPNSRSHTCLLSGVFIGNVKVLVRLSFGLDGPKDVAMKLSVRSEDETVSDTIHEIVASG >Vigun02g026700.3.v1.2 pep primary_assembly:ASM411807v1:2:9184071:9186858:-1 gene:Vigun02g026700.v1.2 transcript:Vigun02g026700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFKPFSHAIIALFIISLSAWCHGQVELDIAPMEKAEKDALFSTIQGFVGHWWNGSDLYPDPCGWTPIQGVSCDLFDGFWYITALNIGPTQENSLSCAKNVEFKPHLFELKHLKSLSLFKCFRSQRRHQVTIPNANWENLAGSLKSLEFRSNTGLIGKIPSSFGVLKKLQSLVLLENGLTGEIPAEIGNLNKLKRLVLAENYLSGHIPDIFSTLSDLLILDLSRNSLSGSLPLTLGCLTSLLKLDVSKNHLEGNLLKEFGYLKNLSLLDLGDNRFSGGLALSIQEMYSLEEMVLSNNAIGGDIRTLNWENLHNLVSLDLSNMGLNGEIPESMLELKRLRFLGLSDNNLTGNLSPKLSTLPSLNALYVSGNNLAGELKFSMDFYGKMGTRFGAWNNPNLCCPLGALATNHVPFGVKPCQQQIKLVESNTNDGDVNNTFHSIASLCYAPKTFPLIIILFLNSYIGL >Vigun02g026700.2.v1.2 pep primary_assembly:ASM411807v1:2:9183861:9186858:-1 gene:Vigun02g026700.v1.2 transcript:Vigun02g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFKPFSHAIIALFIISLSAWCHGQVELDIAPMEKAEKDALFSTIQGFVGHWWNGSDLYPDPCGWTPIQGVSCDLFDGFWYITALNIGPTQENSLSCAKNVEFKPHLFELKHLKSLSLFKCFRSQRRHQVTIPNANWENLAGSLKSLEFRSNTGLIGKIPSSFGVLKKLQSLVLLENGLTGEIPAEIGNLNKLKRLVLAENYLSGHIPDIFSTLSDLLILDLSRNSLSGSLPLTLGCLTSLLKLDVSKNHLEGNLLKEFGYLKNLSLLDLGDNRFSGGLALSIQEMYSLEEMVLSNNAIGGDIRTLNWENLHNLVSLDLSNMGLNGEIPESMLELKRLRFLGLSDNNLTGNLSPKLSTLPSLNALYVSGNNLAGELKFSMDFYGKMGTRFGAWNNPNLCCPLGALATNHVPFGVKPCQQQIKLVESNTNDGDVNNTFHSIASLCYAPKTFPLIIILFLNSYIGL >Vigun02g082400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23570878:23571970:-1 gene:Vigun02g082400.v1.2 transcript:Vigun02g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVQLFLVLGLLATSCIAQAPGGAPTQPPTTTPPPPPASAPAPTPTTPATPPPSAATPTPAPTPATPPPAPVPAPAPASTTPPAGAPGPSSNPSDSPPAPPSSDAPASPPSGGSDSPPPPNAAFSVSKSFVAGSAIAGTLVAMLLA >Vigun05g125600.1.v1.2 pep primary_assembly:ASM411807v1:5:14107395:14111125:1 gene:Vigun05g125600.v1.2 transcript:Vigun05g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRASKFSQNEINDLVSRLQLLLPQINQRDNSRQSATKILKETCCHIRRLQEEVEELSEKLSELMGSVDMNDIDRQILQNILQQC >Vigun07g039932.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3895106:3896608:-1 gene:Vigun07g039932.v1.2 transcript:Vigun07g039932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKLQPFWLLLLMCLSAFPHCDAVHSSPIASEAKALLKWKASLDNKTQVSLSSWIGNTSCNWLGIECDHSNYVSKINLPGIGLRGMLQNLNFSMFTNIHTLNVSNNFLNGSIPPQIGVLSNLVVLDLSTNQLSGPIPTSIGNMLNLESIFFAENNLSGSIPSTIGNLSKLRELFLLKNHFSGKIPIEMNRLTALENFHLADNKFVGQLPHNVCIGGKLTRFTASNNNFTGHIPESLRACSSLIRVRLQQNQLTGNITNSFDVLPNLNYIELSENNFYGHLSPNWGEFRSLTSLKISNNNLSGGIPPELGRATNLQELQLSSNHLTGNIPQDLCNLVLLFDLSLNNNNLSGNIPTQIASMKNLKIIRLGSNNLSGSIPNQLGNLLRLGDMNLSQNKFEGNIPLELSKLTSLSTLDLSENLLSGRLTHMLGALERLETLNLSRNSLSGNVSTIFGDMMSLTTIDISYNEFEGPLPNIPVFRNSTIETLRNNKGLCGNVSGL >Vigun10g098875.1.v1.2 pep primary_assembly:ASM411807v1:10:28986034:28992234:1 gene:Vigun10g098875.v1.2 transcript:Vigun10g098875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSSTWGHVVTPAAHHQSHSRDLKMNFSTSSKSKSKSEEMSTHSSLPSTQPPRLEVQIPTPITSQVNIQQGPTFSFSPPLPSASQASIQQGPKYACPPPPSAPQPRTLKVRISSTSQPPIAPQSNSQPPYQSFHVSEPTIPTTSHSYSENEEEENEETELQVDEQRPATRKRAWFVNVIDDQGKRITKQLRTNDVWHLPPSERIVVQWNNEGQPIADGGALLNRFLGSIARNSNSLPISYSSWKKIPKDYKEDVVKNTIQAKFDVHSDVHISHILKSLNIKWRDHRQELWQLRNDGTRTRDELITMVPAGIDRDHWASFVDYRLNSKTKEHALKNKDNRAKQTIAHTCGSKSIARKRDEMEKECGHKVSRGEVWIATHKHANGAFVSDEAREISVRKNSSI >Vigun04g138233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34443907:34444458:-1 gene:Vigun04g138233.v1.2 transcript:Vigun04g138233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSKPLLYGDRVRFHQKMVAPIVVSSPKSTLLQNPVYLGDSSSSFFGGSLKGLCLHLKPRPQRRDFSNLAVAFASATPSVAKSNSGGRFYFNITGFPLAAAAGSPTAPPKATEEVLLRIPGAILNLVDKDYSVELACGDFFVIRLRQGDNVVAAYARVAEEIQWPRVPLNRGRRGLYGFSS >Vigun03g132666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12981067:12981414:-1 gene:Vigun03g132666.v1.2 transcript:Vigun03g132666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun03g405600.1.v1.2 pep primary_assembly:ASM411807v1:3:61273365:61274832:1 gene:Vigun03g405600.v1.2 transcript:Vigun03g405600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEVVLVDFDLSMFCVRVKIALAEKGVEFESRKEDLVNTKSELLLQMNPVYKMVPVLIHNGKPISESLIIVEYIDEVWRDRTPLLPTDPYQRAQARFWADFVDHKVHEIGKRIWTGKIGEHEEDKKELVENLKQLEEALGDMPYFGGHTFGFVDIALIPFYKWFSSFEKIGNFKLHCPKITAWANRCLVRESVSKYVSDEKDVNDFVLSYRKLIGMD >Vigun05g063200.3.v1.2 pep primary_assembly:ASM411807v1:5:5452239:5457173:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.10.v1.2 pep primary_assembly:ASM411807v1:5:5452565:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLIRVHAVLINSLVGCFGNRSVSTIMGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.6.v1.2 pep primary_assembly:ASM411807v1:5:5452565:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLIRVHAVLINSLVGCFGNRSVSTIMGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.11.v1.2 pep primary_assembly:ASM411807v1:5:5452287:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.4.v1.2 pep primary_assembly:ASM411807v1:5:5452249:5457152:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.8.v1.2 pep primary_assembly:ASM411807v1:5:5453032:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.9.v1.2 pep primary_assembly:ASM411807v1:5:5452565:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLIRVHAVLINSLVGCFGNRSVSTIMGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.1.v1.2 pep primary_assembly:ASM411807v1:5:5452239:5457152:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.2.v1.2 pep primary_assembly:ASM411807v1:5:5452239:5457173:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.7.v1.2 pep primary_assembly:ASM411807v1:5:5452287:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun05g063200.5.v1.2 pep primary_assembly:ASM411807v1:5:5452565:5457103:1 gene:Vigun05g063200.v1.2 transcript:Vigun05g063200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLIRVHAVLINSLVGCFGNRSVSTIMGNGEPLDLYKLFMVVKKKGGYETVCKNRLWDLVGEEYGLGVKVGSAVELVYSKHLSALERCLKNVAGGKFSEYGLEGDRVKFQKHLMEAHTESMLENSGEEVEDELERRECGRPDGRKFSGGNRVKCVKPESNGAENENAYEYINGSKSCGSNSVKDKNSDSNGNEYDNVCDYLEGRKLCGTNRVNGVNPEFNEAKKVRRPGLVDLDMLEDHNKGEPIVVKFCGFNTEMDTPEEFDEGKLLTVDASDAESDMVRLSDGRKSNNKNDDDDSDEVLILDPSSVDKNKFGHKRKRESVSEMLIWINSIAKNPCDPALGSIPEKSKWKSCSSQEIWKQALLFRKAVFLKKDFETANEQLSWQSQKMHPSMYDDRVGALYNFRKRLKCDERSLFGKSTSNGVSSSSKTKRGDLKRTLSSRSEDVVDKKLHDSCSLDKYARVHIPVGPNHQAEVPEWTGMTCESDSKWLGTQIWPTKSVNSKWCLVERDPIGKGRQDSCGCPVQGSVECVRFHVGEKRSKVKMELGEAFFQWRLDMVGEEVRSSWTDEDEKKFKDVVKSNPASLDKCFWDHLFKTFPKKSREDLVCYYFNVFLLQQRAYQNRHTPDNIDSDDDESEFTPLRKVFGHQTPKSRNLTLLSPKKSTGKRANSK >Vigun08g098700.2.v1.2 pep primary_assembly:ASM411807v1:8:23933498:23935331:-1 gene:Vigun08g098700.v1.2 transcript:Vigun08g098700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLKILWVISIIITQQLAFWVDASHSRKRIVPALYVFGDSTVDVGNNNNLNTLAKANAFPYGIDFNNCSTGRFSNGKTFVDLIAIRLALPMPPPYLGVPKSERHQVVTRINYASRSCGILNSTRAKMFYITFIKYMNKTTYIIFKKKYADYLLEQLASRIKRIYMLGARKFVVSRIGQIGCTPAFVIRTPYSQKCNEDINQKVKHYSDKLLGKLQELQTQLSNSLFINMDNYNFSEKIRNSPENFGFKIIFAPCIQGRKPCANRNEYYFFDVAHPTEATNKIYANECFSGTQLCLPYNIQKLIHAH >Vigun05g035200.1.v1.2 pep primary_assembly:ASM411807v1:5:2877742:2879435:-1 gene:Vigun05g035200.v1.2 transcript:Vigun05g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHFLCLPFPVQGHVNPLMQFSLLLAKHGCKVTFVHTEFSLKRANTAGGDNKEEALVEMVTLPDGLEPQDDRSDVAKLLFSMKNTMPALLPKLIQDIDASDVGNKITCIIVTINMGWALEVGLKLGIKGALLSPPSATSLACTACIPNLIEDGVIDSEGLPTKKQQIQLSPEMPMMDTDYLPWRGFKKMFFDHLVQEMQTLKLGEWWLCNTTYDLEPGAFSISPKFLPIGPLMENSNRSSFWEEDRACLEWLDEQAPQSVVYVSFGSLAVMDPKQFKELALALDLLDRPFIWVVRPGNEKKENVNEYPNEFHGSKGKIVGWAPQKKILNHPSLACFISHCGWNSTLEGICAGVPFLCWPCATDQFLNKSYICDVWKIGIGLEKDESGTVSREEIKKKVDEVVADDDIKARSLKLKDMTINNIQEGGQSSKNLSFFMDWAK >Vigun03g113100.2.v1.2 pep primary_assembly:ASM411807v1:3:10376263:10380252:1 gene:Vigun03g113100.v1.2 transcript:Vigun03g113100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYVQFVLFTLSISLRCLYHHQHMVCKAKYEELRQQYSGCMAWFEELKKKRVAELKRDLELSEELIGSLELKLESLKTGMDEKRVDCHVDDGSVGPELHVSLEKLDRVVPSAKEMSKDGLSAGSFTHESQTNWSHECKVPAMSCEDVETKPEVSGSTEQEKVLNVDKSTHTIYEGQGGCLKKRRGKRKRKDCGKNTNEASVRESDLSADVCKESSTSNCDEIEKSSGKDEAKANFKKDEIKDLMELLDSFLSVQGASAFCRRHDSQKRGRYKKTVRQHMDFDTIRSRINNGTIKSMMELIRDLLLLTNNAILFYSKITREYKIALKLRDLAIKTSAEKLKFLSSSNASTSLPVPDPQVKVRSMSTSPVRDPPVKATSITASPVHDPSMKVRSMRPGNRKIVAKVAGGNSSAERVSLRAKKEANKVESPSSVESLPIKKAFGGRTKKVVRESAGQRHATPRKGRKRGKTK >Vigun03g113100.4.v1.2 pep primary_assembly:ASM411807v1:3:10376636:10380111:1 gene:Vigun03g113100.v1.2 transcript:Vigun03g113100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAKYEELRQQYSGCIVLWLHDIIRAWFEELKKKRVAELKRDLELSEELIGSLELKLESLKTGMDEKRVDCHVDDGSVGPELHVSLEKLDRVVPSAKEMSKDGLSAGSFTHESQTNWSHECKVPAMSCEDVETKPEVSGSTEQEKVLNVDKSTHTIYEGQGGCLKKRRGKRKRKDCGKNTNEASVRESDLSADVCKESSTSNCDEIEKSSGKDEAKANFKKDEIKDLMELLDSFLSVQGASAFCRRHDSQKRGRYKKTVRQHMDFDTIRSRINNGTIKSMMELIRDLLLLTNNAILFYSKITREYKIALKLRDLAIKTSAEKLKFLSSSNASTSLPVPDPQVKVRSMSTSPVRDPPVKATSITASPVHDPSMKVRSMRPGNRKIVAKVAGGNSSAERVSLRAKKEANKVESPSSVESLPIKKAFGGRTKKVVRESAGQRHATPRKGRKRGKTK >Vigun03g113100.3.v1.2 pep primary_assembly:ASM411807v1:3:10375617:10380111:1 gene:Vigun03g113100.v1.2 transcript:Vigun03g113100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELVVTWNTWEELLLGGAILRHGTRDWTVVAAELKTRTVSPFSFTPEVCKAKYEELRQQYSGCIVLWLHDIIRAWFEELKKKRVAELKRDLELSEELIGSLELKLESLKTGMDEKRVDCHVDDGSVGPELHVSLEKLDRVVPSAKEMSKDGLSAGSFTHESQTNWSHECKVPAMSCEDVETKPEVSGSTEQEKVLNVDKSTHTIYEGQGGCLKKRRGKRKRKDCGKNTNEASVRESDLSADVCKESSTSNCDEIEKSSGKDEAKANFKKDEIKDLMELLDSFLSVQGASAFCRRHDSQKRGRYKKTVRQHMDFDTIRSRINNGTIKSMMELIRDLLLLTNNAILFYSKITREYKIALKLRDLAIKTSAEKLKFLSSSNASTSLPVPDPQVKVRSMSTSPVRDPPVKATSITASPVHDPSMKVRSMRPGNRKIVAKVAGGNSSAERVSLRAKKEANKVESPSSVESLPIKKAFGGRTKKVVRESAGQRHATPRKGRKRGKTK >Vigun03g113100.5.v1.2 pep primary_assembly:ASM411807v1:3:10377047:10380113:1 gene:Vigun03g113100.v1.2 transcript:Vigun03g113100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFEELKKKRVAELKRDLELSEELIGSLELKLESLKTGMDEKRVDCHVDDGSVGPELHVSLEKLDRVVPSAKEMSKDGLSAGSFTHESQTNWSHECKVPAMSCEDVETKPEVSGSTEQEKVLNVDKSTHTIYEGQGGCLKKRRGKRKRKDCGKNTNEASVRESDLSADVCKESSTSNCDEIEKSSGKDEAKANFKKDEIKDLMELLDSFLSVQGASAFCRRHDSQKRGRYKKTVRQHMDFDTIRSRINNGTIKSMMELIRDLLLLTNNAILFYSKITREYKIALKLRDLAIKTSAEKLKFLSSSNASTSLPVPDPQVKVRSMSTSPVRDPPVKATSITASPVHDPSMKVRSMRPGNRKIVAKVAGGNSSAERVSLRAKKEANKVESPSSVESLPIKKAFGGRTKKVVRESAGQRHATPRKGRKRGKTK >Vigun03g113100.1.v1.2 pep primary_assembly:ASM411807v1:3:10375441:10380300:1 gene:Vigun03g113100.v1.2 transcript:Vigun03g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELVVTWNTWEELLLGGAILRHGTRDWTVVAAELKTRTVSPFSFTPEVCKAKYEELRQQYSGCMAWFEELKKKRVAELKRDLELSEELIGSLELKLESLKTGMDEKRVDCHVDDGSVGPELHVSLEKLDRVVPSAKEMSKDGLSAGSFTHESQTNWSHECKVPAMSCEDVETKPEVSGSTEQEKVLNVDKSTHTIYEGQGGCLKKRRGKRKRKDCGKNTNEASVRESDLSADVCKESSTSNCDEIEKSSGKDEAKANFKKDEIKDLMELLDSFLSVQGASAFCRRHDSQKRGRYKKTVRQHMDFDTIRSRINNGTIKSMMELIRDLLLLTNNAILFYSKITREYKIALKLRDLAIKTSAEKLKFLSSSNASTSLPVPDPQVKVRSMSTSPVRDPPVKATSITASPVHDPSMKVRSMRPGNRKIVAKVAGGNSSAERVSLRAKKEANKVESPSSVESLPIKKAFGGRTKKVVRESAGQRHATPRKGRKRGKTK >VigunL087600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000665.1:18452:22578:1 gene:VigunL087600.v1.2 transcript:VigunL087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLRVAVIGAGVAGLAVARELRREGLDVVVFEKSHHLGGTWHYDPRIDSDPVGSDPNREVVHTSLYRSLRTNLPRQLMGFLDYPFPDRPDGDSRTFPGHEEVLWFLNKFADEFGLRGLTRFGCEVVRVALVAGRSDSWVVESRTYDSALSREIFGAVVVCSGHFTQPRVPTIPGIEKWPGYQIHSHNYRVPEPFRDQVVVVIGFASSAIDISIEIAKVAKEVHIATRSPDVKVVKLANHDNMWQHKMVKCVSEDRMVAFEDGSSVYADVILYCTGYKHHYPFLETNGIVTIDDNRVGPLYKHVFPPALAPWLSFIGIPEKDIIFQMTELQCKWVARVLSGKVLLPTEKEMLAYVEEYYQQIEKDGFPKHMTHYLHFKEIGYCNWLAAEAGLPPIEHWREEMYLESIKPLLLGTLENYRDQWDDAHWNAIIKDASLTQMK >Vigun05g091000.2.v1.2 pep primary_assembly:ASM411807v1:5:8809621:8811034:-1 gene:Vigun05g091000.v1.2 transcript:Vigun05g091000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAHDRWQAFRGESTEAKDLIFSVKRSSFFQFKTKLDVFLANNTRDVCDFKVKGSWLHRSCVVYAGESNNIVAQMHKKHTVLFGKDHFMVTVYPNIDYAFIVALIVILVLIGSTTMQQQNKEMVKACFVLFRLDFL >Vigun10g081800.1.v1.2 pep primary_assembly:ASM411807v1:10:23028736:23030023:1 gene:Vigun10g081800.v1.2 transcript:Vigun10g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQQNKQELDERARQGETVVPGGTGGKSVEAQQHLAEGRSKGGQTRKEQLGTEGYQEMGRKGGLSTMDKSGEERAREEGIEIDESKFRTSANKNNDM >Vigun11g129000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33652178:33653994:1 gene:Vigun11g129000.v1.2 transcript:Vigun11g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEGFLSLQSMSATCGFFFFAFTLLFSLFSFMLFIIRMKPWCNCDICRSYLTVSWASKFANLCDWYTHLLRKSPSGTIHVHVLGNTITSNPDNVEHILKTNFQNYPKGKPFSVLLGDLLGQGIFNVDGDSWLFQRKMASLELGSVAIRSYALELVNEEIHARLLPFVVSTARGEMNKEKVLDLQDILRRFSFDNICKISFGLDPGCLLPTLPVSDLADAFDLASKLSAERAMTASPFIWKAKRLFGIGSEKRLREAIKVVNDVAQDMIKQRREMGFNSHKDILSRFMGSVEDDAYLRDIVVSFLLAGRDTIASALTGFFSLLSKSPEVEARIREEVGPGPEPPTFDQIREMHYLNAAVHESMRLFPPIQFDSKFATEDDVLPDGTFVRKGSRVTYHPYAMGRMENIWGPDCLRFRPERWLRDGVFVQPCPFKYPVFQAGVRVCLGKDLALTEMKSVVVALLRRFDIRVVEPDQELRFVPGLTATLRGGLPVRVLERKC >Vigun11g210500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40582315:40584611:1 gene:Vigun11g210500.v1.2 transcript:Vigun11g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPRVHLSAPMNSKSKLWTNPTSTSTSCSSSSSSSISSENDDSPFCSQRIPIVVPAFFNFNSHRSLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRVFAKFGQGEGSVKALATVGNKVFTAHQDSRIRVWKVSRSSENVFKLVDSLPTTKDYLGKFMKQSNYVQTRRHHKRLWIEHADSISCLTVYNGLIYSGSWDKTLKVWRLSDLKCLESVKAHDDAINGLVACKGVVFSASADGKIKAWGRKEGKGEHCLKGVLEGHKDVSFNAIVVSEDGKWVYGGGSDGFVMGWEGSGGSESWKLVSETKAHEMAVLCMCLMEEFLCSGSADKSIGIWRREAFGKLCKVGVINGHEGPVKCLQASSSSRIGGGFLLYSGSLDKSVRVWWVPRYNDAEVEDNGSTQFGTENKFMLA >Vigun02g188600.2.v1.2 pep primary_assembly:ASM411807v1:2:32674410:32690599:-1 gene:Vigun02g188600.v1.2 transcript:Vigun02g188600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILQNTQSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNFISDVIVQLSRNEVSFRTERLYVNKLNIILVQILKHEWPVRWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELICATLSTLHAFLSWIPLGYIFESPLLEKLLKFFPIPAYQNLTLQCLTEVAALQFRNYFDAHIKMYNIFMVQLQSILPPTTNIPEAYAHGSSEEQAFIQNVALFFTSFFKVHIRILESTQENISALLLGLEYLINISYVDDTEVFKVCLDYWNVLVAELFEPHHGLENSAATAASMIGLQVPGMVDGIGSQLLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNAVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVTTQVGENGPFVSELLTTLPNTIADLEPHQIHSFYESVAYMIQAESDVQKRDEYLQKLMVLPNQRWMEIIGKAHQNVDFLKDQDVIRNVLNILQTNTSVASSLGTYFLPQITLIFLDMLNVYRMYSELISKSIAEGGPFASRTSYVKLLRSVKRETLKLVDTFLDKAEDQPQIAKHFVPPMMDPVLGDYARNVPDARESEVLSLFATIVNKYKAAMVEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALFCLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLFEMLKKFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLADSGSLTEPLWDASTNAYPYPSNAAFVREFTIKLLSTSFRNMTASEVTQFVNGLFESTNDLAIFKTHIRDFLVQSKEFSAQDNKDLYAEEAAAQRHRERQRMLSIPGLVAPSELQDEMVDS >Vigun02g188600.1.v1.2 pep primary_assembly:ASM411807v1:2:32674410:32689011:-1 gene:Vigun02g188600.v1.2 transcript:Vigun02g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEQRTAADQILRDLQNNPDTWLQVMHILQNTQSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNFISDVIVQLSRNEVSFRTERLYVNKLNIILVQILKHEWPVRWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELICATLSTLHAFLSWIPLGYIFESPLLEKLLKFFPIPAYQNLTLQCLTEVAALQFRNYFDAHIKMYNIFMVQLQSILPPTTNIPEAYAHGSSEEQAFIQNVALFFTSFFKVHIRILESTQENISALLLGLEYLINISYVDDTEVFKVCLDYWNVLVAELFEPHHGLENSAATAASMIGLQVPGMVDGIGSQLLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNAVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVTTQVGENGPFVSELLTTLPNTIADLEPHQIHSFYESVAYMIQAESDVQKRDEYLQKLMVLPNQRWMEIIGKAHQNVDFLKDQDVIRNVLNILQTNTSVASSLGTYFLPQITLIFLDMLNVYRMYSELISKSIAEGGPFASRTSYVKLLRSVKRETLKLVDTFLDKAEDQPQIAKHFVPPMMDPVLGDYARNVPDARESEVLSLFATIVNKYKAAMVEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALFCLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLFEMLKKFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLADSGSLTEPLWDASTNAYPYPSNAAFVREFTIKLLSTSFRNMTASEVTQFVNGLFESTNDLAIFKTHIRDFLVQSKEFSAQDNKDLYAEEAAAQRHRERQRMLSIPGLVAPSELQDEMVDS >Vigun02g071900.1.v1.2 pep primary_assembly:ASM411807v1:2:22311017:22320891:1 gene:Vigun02g071900.v1.2 transcript:Vigun02g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSTLSLYSHPQVRLRNQRLQSLALTSVGFAQFASLLTLKCRRTCRVRFGSVVRASSSVEGTRPRAAGSRRVYGQSQVSGPLSIAPVKQIANVVGPFAVLVALTFVIWKLVEKLLLPAPKQLKSSTVESQPPSQGLKWSFAAGTNLLSQLGAKIERQSKQKLNEFARELRSFPSIDMSGRNFGDEGLFFLAESLAFNQTAEEVSFAANGITAAGLRAFDGVLQSNITLKTLDLSGNLVGDEGAKCLCDILVNNSSIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFSSLAGALLENNSIRNIHLNGNYGGPLGANALAKALESNKSLRELHLHGNSIGDEGIRSLMAGLSSHKGKLSLLDIGNNSLTAKGAFHVAEYVKKSRSLLWLNLYMNDIGDEGAGKIAAALKENRSITTLDLGGNNIHAGGVNAVAQVLKDNLVITTLELSYNPIGPDGAKALAEVLKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGAQCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNDDVAVTSLNIASNFLTKFGQGALADARDHVLEMTEKEINIFL >Vigun02g071900.4.v1.2 pep primary_assembly:ASM411807v1:2:22311017:22320891:1 gene:Vigun02g071900.v1.2 transcript:Vigun02g071900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNFGDEGLFFLAESLAFNQTAEEVSFAANGITAAGLRAFDGVLQSNITLKTLDLSGNLVGDEGAKCLCDILVNNSSIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFSSLAGALLENNSIRNIHLNGNYGGPLGANALAKALESNKSLRELHLHGNSIGDEGIRSLMAGLSSHKGKLSLLDIGNNSLTAKGAFHVAEYVKKSRSLLWLNLYMNDIGDEGAGKIAAALKENRSITTLDLGGNNIHAGGVNAVAQVLKDNLVITTLELSYNPIGPDGAKALAEVLKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGAQCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNDDVAVTSLNIASNFLTKFGQGALADARDHVLEMTEKEINIFL >Vigun02g071900.2.v1.2 pep primary_assembly:ASM411807v1:2:22311017:22320891:1 gene:Vigun02g071900.v1.2 transcript:Vigun02g071900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSTLSLYSHPQRLQSLALTSVGFAQFASLLTLKCRRTCRVRFGSVVRASSSVEGTRPRAAGSRRVYGQSQVSGPLSIAPVKQIANVVGPFAVLVALTFVIWKLVEKLLLPAPKQLKSSTVESQPPSQGLKWSFAAGTNLLSQLGAKIERQSKQKLNEFARELRSFPSIDMSGRNFGDEGLFFLAESLAFNQTAEEVSFAANGITAAGLRAFDGVLQSNITLKTLDLSGNLVGDEGAKCLCDILVNNSSIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFSSLAGALLENNSIRNIHLNGNYGGPLGANALAKALESNKSLRELHLHGNSIGDEGIRSLMAGLSSHKGKLSLLDIGNNSLTAKGAFHVAEYVKKSRSLLWLNLYMNDIGDEGAGKIAAALKENRSITTLDLGGNNIHAGGVNAVAQVLKDNLVITTLELSYNPIGPDGAKALAEVLKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGAQCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNDDVAVTSLNIASNFLTKFGQGALADARDHVLEMTEKEINIFL >Vigun02g071900.5.v1.2 pep primary_assembly:ASM411807v1:2:22317189:22320891:1 gene:Vigun02g071900.v1.2 transcript:Vigun02g071900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMDYYKIDIRSQPYLFTELCLCDILVNNSSIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFSSLAGALLENNSIRNIHLNGNYGGPLGANALAKALESNKSLRELHLHGNSIGDEGIRSLMAGLSSHKGKLSLLDIGNNSLTAKGAFHVAEYVKKSRSLLWLNLYMNDIGDEGAGKIAAALKENRSITTLDLGGNNIHAGGVNAVAQVLKDNLVITTLELSYNPIGPDGAKALAEVLKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGAQCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNDDVAVTSLNIASNFLTKFGQGALADARDHVLEMTEKEINIFL >Vigun02g071900.3.v1.2 pep primary_assembly:ASM411807v1:2:22312237:22320898:1 gene:Vigun02g071900.v1.2 transcript:Vigun02g071900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNFGDEGLFFLAESLAFNQTAEEVSFAANGITAAGLRAFDGVLQSNITLKTLDLSGNLVGDEGAKCLCDILVNNSSIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFSSLAGALLENNSIRNIHLNGNYGGPLGANALAKALESNKSLRELHLHGNSIGDEGIRSLMAGLSSHKGKLSLLDIGNNSLTAKGAFHVAEYVKKSRSLLWLNLYMNDIGDEGAGKIAAALKENRSITTLDLGGNNIHAGGVNAVAQVLKDNLVITTLELSYNPIGPDGAKALAEVLKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISILDLRANGLRDEGAQCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNDDVAVTSLNIASNFLTKFGQGALADARDHVLEMTEKEINIFL >Vigun02g030850.1.v1.2 pep primary_assembly:ASM411807v1:2:12970142:12970414:-1 gene:Vigun02g030850.v1.2 transcript:Vigun02g030850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVWSPDAKLIVILLSDERIHIGGRQPSTLCLVIISLVLT >Vigun09g080800.1.v1.2 pep primary_assembly:ASM411807v1:9:9596886:9600062:-1 gene:Vigun09g080800.v1.2 transcript:Vigun09g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTHAIAEAVLATAGAMLLIAAVFVYFFHRFVISRYRQRNKIGTSLLRESGVNLEYINKVGGNVKGLIVEENGVDVLYVVDTERRPLITGFQNSILNPSFEHDEDEKRIDIMVQRSKLFKPEIPQPCQSPSLVVHEDHQVKTESQTPSVSTSLTPRQNLPQSPQLAPSPPPPPPQSSPIILESKKTQPPPPPPPPPTRPPPPPPGPPPLPKASGFISSLKPPPAPKGKTNIRSTKEGVAGESSREKGVAQTRLKPLHWDKVVANVDHSTVWDQINDGSFRFDDELMESLFGYSSSYKTQERNRTLSTLAKSNSSAPTQIFILDPRKSQNTAIVLRSLAISRKGILDAVLDGQGLSVETLERLTKIAPTQEEEAKIIQFSGNPDQLADAESFLHYILKAVPTAFNRLKAMLFRSSYDFEVLQHKEQLQSLEMGCKELRTSGLFLKLLEAILKAGNRMNAGTSRGNAQGFNLSALRKLSDVKSTDGKTSLLHFIVEQVVQSEGKRQALYRKHKLHTSNVEANTVNRGYSYSQIQLEEDKERTMLGLQVLRGLSDELSEAKKAASIEYHNFITMCSTLNAHVSEIRQIVTCCGYTRSGGFINEMKGFLEECEGELEVVKEEQTRIMELVKKTNDYYLAGASKDNMVNPFQLFVIVKNFVDMVDQACTELKRKVEKKNVGGEAVSTTPPLSPSKRAPLRFPNFDMYFLSNVSETSSSSQSEDDF >Vigun11g041700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6020201:6021758:1 gene:Vigun11g041700.v1.2 transcript:Vigun11g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLPSATLQHHSVANPQQNATNNAETEIVYVEESLPNHSSAVENKEKNKEENNDENNDEDEKSTTNKRYMPLLILNYVLLFVGSLSASLLSKYYFVHRGSSKWVSTWVQSGGFPLLLFPIFLPVLLKSTKRKPFSDFNQKMFLFSILVGLMLGFNNLLISWGVAYLPVSTSALLLSTQLVFTLILSSLIVKQKITFTNLNCVILITISSIILALDSSHEKPLGLTNKNYFIGFSCTIGASLLFALYLPVMEKIYQRVNCYQMVMEMQLIMEIAATVLATVGMACDGGFSDMKKEAERVFDKGTAVYWVTILSTVVTWQCCFMGTAGMVFLTSSLNGGICSTALLSINVLGGVVVYKDAFGGFKAVSTVLCIWGFCSYVFGMYIKMKKNKVGRKNNNNGPSTELIPRRNSGGIS >Vigun03g382400.3.v1.2 pep primary_assembly:ASM411807v1:3:58721563:58729874:1 gene:Vigun03g382400.v1.2 transcript:Vigun03g382400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEECSIVLELPESDPFFDKKKKLLQSKGFSPKERIYLRSSSKPGWMSATVQVLVQIARIIQLNELELYFAEEDVCTAKEFYSPRNELEALNSIVLLTDISLSSCTHLHTNILQGLRQTVLDLITDLGDKNSVKGVVEKDHSCDQEERLIEWGESNGVKTQLKIAYTERAGRGAIARKDLNVGDIALEIPVSIIISEELIHETQMYGVLKEIDGISSETILLLWSMKEKYNSDSKFKIYFDTLPEKFNTGLSFSIEAITVLDGTLLLEEIMQARQHLHAQYDELFPALCNTFPDIFPPELYTWEKFLWACELWYSNSMKIMYSDGKIKTCLIPIAGFLNHSLCPHVMHYGQVDSANNSLKFCLSRPCKSGEECCLSYGNFSSSHLITFYGFLPQGDNPYDVIPLDIDGADVDSNEDIPESNWTSHMVRGTWLSRDHKMFYYGLPSPLLDHLRRSRSPMLRPKTFLQENLENELGVLENLKDIFDDMIENMGEMDLDDRENCCRDEKLAMDFKNTQRRIARSVSTSCLAGMDMLKKELCKCMAEDIQG >Vigun03g382400.2.v1.2 pep primary_assembly:ASM411807v1:3:58721563:58731260:1 gene:Vigun03g382400.v1.2 transcript:Vigun03g382400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEECSIVLELPESDPFFDKKKKLLQSKGFSPKERIYLRSSSKPGWMSATVQVLVQIARIIQLNELELYFAEEDVCTAKEFYSPRNELEALNSIVLLTDISLSSCTHLHTNILQGLRQTVLDLITDLGDKNSVKGVVEKDHSCDQEERLIEWGESNGVKTQLKIAYTERAGRGAIARKDLNVGDIALEIPVSIIISEELIHETQMYGVLKEIDGISSETILLLWSMKEKYNSDSKFKIYFDTLPEKFNTGLSFSIEAITVLDGTLLLEEIMQARQHLHAQYDELFPALCNTFPDIFPPELYTWEKFLWACELWYSNSMKIMYSDGKIKTCLIPIAGFLNHSLCPHVMHYGQVDSANNSLKFCLSRPCKSGEECCLSYGNFSSSHLITFYGFLPQGDNPYDVIPLDIDGADVDSNEDIPESNWTSHMVRGTWLSRDHKMFYYGLPSPLLDHLRRSRSPMLRPKTFLQENLENELGVLENLKDIFDDMIENMGEMDLDDRENCCRDEKLAMDFKNTQRRIARSVSTSCLAGMDMLKKELCKCMAEDIQG >Vigun03g382400.1.v1.2 pep primary_assembly:ASM411807v1:3:58721551:58729920:1 gene:Vigun03g382400.v1.2 transcript:Vigun03g382400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEECSIVLELPESDPFFDKKKKLLQSKGFSPKERIYLRSSSKPGWMSATVQVLVQIARIIQLNELELYFAEEDVCTAKEFYSPRNELEALNSIVLLTDISLSSCTHLHTNILQGLRQTVLDLITDLGDKNSVKGVVEKDHSCDQEERLIEWGESNGVKTQLKIAYTERAGRGAIARKDLNVGDIALEIPVSIIISEELIHETQMYGVLKEIDGISSETILLLWSMKEKYNSDSKFKIYFDTLPEKFNTGLSFSIEAITVLDGTLLLEEIMQARQHLHAQYDELFPALCNTFPDIFPPELYTWEKFLWACELWYSNSMKIMYSDGKIKTCLIPIAGFLNHSLCPHVMHYGQVDSANNSLKFCLSRPCKSGEECCLSYGNFSSSHLITFYGFLPQGDNPYDVIPLDIDGADVDSNEDIPESNWTSHMVRGTWLSRDHKMFYYGLPSPLLDHLRRSRSPMLRPKTFLQENLENELGVLENLKDIFDDMIENMGEMDLDDRENCCRDEKLAMDFKNTQRRIARSVSTSCLAGMDMLKKELCKCMAEDIQG >Vigun09g156800.1.v1.2 pep primary_assembly:ASM411807v1:9:32256848:32258708:-1 gene:Vigun09g156800.v1.2 transcript:Vigun09g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKCLGVTTVRTATPQCLSPCFPTSREKLVFPTHRGFKKSMPNTKLSYQSLYSTYRKSRFVCNAREAVNEVKVVTDSSWGTLVIANETPVLVEFWAPWCGPCRMIAPVIDELAKQYGDKIACYKLNTDDSPNIATQYGIRSIPTVLFFKNGEKKESIIGAVPKSTLSATIEKYIDS >Vigun08g094000.2.v1.2 pep primary_assembly:ASM411807v1:8:22233109:22237432:1 gene:Vigun08g094000.v1.2 transcript:Vigun08g094000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQSPPKPRRVDPKIWRACAGAAVHIPKLHSRVYYFPQGHMEHASPSHSLSPPFRSLPFVPCLVSSRDLLADPFSDEVFARLLLTPLPHPFHHSNQNDDSNSQTDDEERECQNGVVSFSKILTPSDANNGGGFSVPRFCADSVFPPLDFRADPPVQLLSVTDVHGVAWRFRHIYRGTPRRHLFTTGWSKFVNHKKLIAGDSVVFVKDSDGNVSVGIRRATRFVTSSSPVEEDQPPTQGEGFSRSATGRLSPKAVVAAVECVARNAPFEVVYYPRAGFADFVVSAEVVEDAMRCAWAGGMRVKIGMETEDSSRMTWFQGTVTSAYASDNGPWRMLQVNWDEPEVLQNAKRVSPWQGARHDLFSASSFSNFLNDNSYLYMGSSSFGNNTVQSLGIVSTELNISSSQSDDLSPHSQSSLHSFDTEFTGTRHCNTKVGSGSILLFGKIIQPAESHLHDAADYIERDGSGGSKETEDS >Vigun08g094000.1.v1.2 pep primary_assembly:ASM411807v1:8:22233109:22237432:1 gene:Vigun08g094000.v1.2 transcript:Vigun08g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQSPPKPRRVDPKIWRACAGAAVHIPKLHSRVYYFPQGHMEHASPSHSLSPPFRSLPFVPCLVSSRDLLADPFSDEVFARLLLTPLPHPFHHSNQNDDSNSQTDDEERECQNGVVSFSKILTPSDANNGGGFSVPRFCADSVFPPLDFRADPPVQLLSVTDVHGVAWRFRHIYRGTPRRHLFTTGWSKFVNHKKLIAGDSVVFVKDSDGNVSVGIRRATRFVTSSSPVEEDQPPTQGEGFSRSATGRLSPKAVVAAVECVARNAPFEVVYYPRAGFADFVVSAEVVEDAMRCAWAGGMRVKIGMETEDSSRMTWFQGTVTSAYASDNGPWRMLQVNWDEPEVLQNAKRVSPWQVELVSPSLALHTAFSPSKRFRADQGSGLLSDREGDPFFPVTGFPNSMGNMDKKLLSYDTFPAGMQGARHDLFSASSFSNFLNDNSYLYMGSSSFGNNTVQSLGIVSTELNISSSQSDDLSPHSQSSLHSFDTEFTGTRHCNTKVGSGSILLFGKIIQPAESHLHDAADYIERDGSGGSKETEDS >Vigun07g006100.1.v1.2 pep primary_assembly:ASM411807v1:7:491695:494537:1 gene:Vigun07g006100.v1.2 transcript:Vigun07g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGFLKGFKYISQIFDEKEEQFQIGMPTDVKHVAHIGSDDPSANAPSWMTEFKGGTKETSSVGNPNPEGEREKSSKNSKSRHNAVPKSRSNQSMEAENNAPRPKGTRRSQRSSDTASETSNQDSSTGGSRHRRNRRGSNPGGESPSSQDMPPRGSRRKSKNLEDGSVKKSGRSSKGDSLSDISISDFGSGSESGPLEPIRV >Vigun07g006100.2.v1.2 pep primary_assembly:ASM411807v1:7:491760:494509:1 gene:Vigun07g006100.v1.2 transcript:Vigun07g006100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGFLKGFKYISQIFDEKEEQFQIGMPTDVKHVAHIGSDDPSANAPSWMTEFKGGTKETSSVGNPNPEGEREKSSKNSKSRHNAVPKSRSNQSMEAENNAPRPKGTRRSQRSSDTASETSNQDSSTGGSRHRRNRRGSNPGGESPSSQDMPPRGSRRKSKNLEDGSVKKSGRSSKGDSLSDISISDFGSGSESGPLEPIRV >Vigun07g044900.1.v1.2 pep primary_assembly:ASM411807v1:7:4536697:4537533:-1 gene:Vigun07g044900.v1.2 transcript:Vigun07g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSKEELVRKKLKNSVLCRLGAQNPSISINSHHASFVEDRIQQVFKSFHTPNHPPYAVMIKRAIEELNEESGSSEETISEFMKREYDDLPWAHVRVLDLQLRKLTLDGILLCNQNRRYMLII >VigunL038801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:326766:328989:-1 gene:VigunL038801.v1.2 transcript:VigunL038801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >VigunL015800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:858730:859134:1 gene:VigunL015800.v1.2 transcript:VigunL015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIANIITYIRNANMNKEGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYSLILTLRYRRNRKESYKSFLNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGKVLCYIW >Vigun04g085050.1.v1.2 pep primary_assembly:ASM411807v1:4:13847004:13847767:-1 gene:Vigun04g085050.v1.2 transcript:Vigun04g085050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRTSYDKNGYDKDDYNKDGYNEDGYDKDDYNKNGYDKDGYNKDGYDKDGYDKDDYDEECYNKDSYDKDGYDKDDYDKDGRYNKDSYDNDGYHEDGYFKDDYDNNSYDKGGYDKDNYNKDGYNKDGYDKDDYDEDGYNKYGYDEGDYDKDNYDKDGYNENGYNKDGYDKDDYDEDDYNKDGCDKDGYNEDSYFKDGYDKNNYNKDGYDKDGYDMSGYDKDGYNDDGYNKDDYDNDGYN >Vigun01g025500.1.v1.2 pep primary_assembly:ASM411807v1:1:2927148:2930395:1 gene:Vigun01g025500.v1.2 transcript:Vigun01g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNSWRFVLLLALVLLLTWLHLPSLPNSKFYSRWYTPNNTFYSSSPTYHHLESETPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAVVKRVSHVLTVDLETGHVTSHENETDLVSGYPMVTLEDQFGVLEAPLRCPEFNNSFTKRGVNLADLVCLALSSGWYEKPEEENRRLMKVQCYSKEGTVNFYMKPIEGVTALVDIDRKEVVAVSDDGQNIPVASGANTDYRYSIQKLSGEMRLLNPISLEQPKGPSFSVDGHMVKWANWEFHLRPDPRAGIIISQVKVRDPDTSMLRNVMYKGFVSELFVPYMDPTEGWYFRTYMDAGEYGLQSKPLNPLNDCPRNAHYMDGVFASYDGTPYLQPNMICIFESYAGDIAWRHAECPITGLKVTEARPKVTLVVRMAAALGNYDYIMDWEFQTDGLIRAKVGLSGILMVKGTTYENMDQVPEKEYLYGTLLSENLIGVIHDHFITYYLDMDVDGSDNSFVQINLKMQETAPGESPRKSYLKAVKKVAKTEKDAQIRLQLYEPSEYHVVNPLKKTRVGNPVGYKLVPGATAGSLLDPEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFVYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILGVPPNFKNDLPVCKGHDSG >Vigun08g041000.1.v1.2 pep primary_assembly:ASM411807v1:8:4126194:4130833:-1 gene:Vigun08g041000.v1.2 transcript:Vigun08g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLEHFVHGNDTESMPNDDVLGVEGSFHFGATAAAGKDFGGMKSVKPLAVIRPAVAADVARAVKAAARTANLTVAARGNGHSINGQAMAENGLVLDMRAMEDRFTLLSLDGSLHVDISGGALWEEVLKRCVSEFRLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGETLVCSETQNSELFFATLGGLGQFGIITRARVPVQPAPDMVRWIRVVYSEFEDFTRDAEWLVTLREGYGFDYVEGFVFVNSDDPCNGWPTVPTGPNQRFELGRLPSTAGPVLYCLELALHYRNEDHPSAVNMEVDKLLGRLRFVEGLKFSLDVTYMEFLLRVKRVEEDARANGIWDAPHPWLNLFVSKSHIAEFDREVFKKILKHGVGGPILVYPLLRSKWDSRHSVVVPDSNIFYIIALLRFIPPPPKGPPTELLVEQNHEIIQLCCNRGFDFKLYLPHYHSQENWMRHYGDKWTRFLERKANFDPFALLAPGHNIFSRIPQPLPIT >Vigun02g048300.2.v1.2 pep primary_assembly:ASM411807v1:2:18820730:18826889:-1 gene:Vigun02g048300.v1.2 transcript:Vigun02g048300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGSVRRSLVFRGSPESDETSLGVGGSLVDKISYCIRTSRVFSKPSPPSPSIPEDAAPPIRWRKGELIGCGAFGQVYVGMNIDSGELLAVKQVLIAASSASKEKAQAHIKELEEEVKLLKDLSHPNIVRYLGTVREEDTLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAALFHIGTTKSHPPIPDHLSAAAKDFLLKCLQKEPVLRSSASELLQHPFVTGEHMNSLSLSSNVTENFIASPSCAPNDESILCSSTVNPLDSGNKQLWGMSNDDDDMCVIDDKEEFSHNDVKYKSLMSTDIENWKIERSILSQMKVTCHLISQGMIRGSQIFPFQVFHLFQRKMMNSQSQKSKPFWMRRLLN >Vigun02g048300.1.v1.2 pep primary_assembly:ASM411807v1:2:18820730:18826889:-1 gene:Vigun02g048300.v1.2 transcript:Vigun02g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGSVRRSLVFRGSPESDETSLGVGGSLVDKISYCIRTSRVFSKPSPPSPSIPEDAAPPIRWRKGELIGCGAFGQVYVGMNIDSGELLAVKQVLIAASSASKEKAQAHIKELEEEVKLLKDLSHPNIVRYLGTVREEDTLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAALFHIGTTKSHPPIPDHLSAAAKDFLLKCLQKEPVLRSSASELLQHPFVTGEHMNSLSLSSNVTENFIASPSCAPNDESILCSSTVNPLDSGNKQLWGMSNDDDDMCVIDDKEEFSHNDVKYKSLMSTDIESFNPMSDPSDDWGCKFDANSELENREVNFVTDESYMPPDQSGDDKGQPDFSFPGVPSLSEEDDELTESKIKAFLDEKALELKKLQTPLYEEFYNSLNTSSSPNVDSTSDDTASRKFLKLPPKSRSPNRVPISTPSKAIDNTGSPGSNGQSSSTVGHVNNHTSHDIPASPLNEWKGVIVDSQQQPSSPSLSFSERQRKWKEELDQELERKREMMRQAGMGGKTSSPKDRAIHRQRERTRFASPSK >Vigun06g083900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21548859:21550235:-1 gene:Vigun06g083900.v1.2 transcript:Vigun06g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKTAFELAMKEVVLIKPSKPTPSSILPLSTLDHTPSFNTLCHTLHVYRSKVDDHDEPSCPNHQLLHPADVIKAALSKALFYYYPLAGRLVDHTDGKLVINCNAKGVPFLEANATCKLSSLHYWDGTDMESAKNLVYDLPSEDESGHQYPLVFKVTNFPCGGFTVGMGLLHSVCDGVGACQFFKAIMEFARGKTEPSVKPVWERDRLKGSITKNPLQIDFLDDASAAVSPFLPTEVLMHQCIKVDSESIKRLKMSLMKESGENENFTTFESLAAYVWRSKSRALKLNYDGKVKLNITVGVRRHLQDPLPEGYYGNVVVDADVILTMRELNERPLHEIVKLIKESKKVSSNSDYVRNFMNTLETELNNFKAEASGAFTALTDWRHLKFFENVNFGVKEISNVVPMPSDMFGCVDLCIFSALGNFDSSMEGGVGIFVSLPAPALLTFKEEMDALTLLI >Vigun06g171600.1.v1.2 pep primary_assembly:ASM411807v1:6:29297457:29299091:1 gene:Vigun06g171600.v1.2 transcript:Vigun06g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVYIYIPLLLLSNGTGLCNLGISPLLASAKKMAAPTPINKTEEEWKVILSPEQFRILRQKGTELKGTGEYNKFYEEGVYNCAGCGTPLYKSSTKFDSGCGWPAFYEGFPGAINRSPDPDGRRTEITCAACGGHLGHVFKGEGFKTPTDERHCVNSISVKFVPGNATASI >Vigun02g050600.1.v1.2 pep primary_assembly:ASM411807v1:2:19159015:19176686:1 gene:Vigun02g050600.v1.2 transcript:Vigun02g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSNVFAMAVIVSVIFQIEKCISEINRQSFPEGFVFGTAASAFQYEGAVKEDGRGPSVWDTFSHSPGKIVDNTNADVAVDEYHRYEEDVQLMKDMGMDAYRFSISWSRIFPYGSGEINQAGIDHYNKLIDALLAQGIEPYVTLYHWDLPQALEDKYKGWLSPFIIKDFARYGELCFEKFGDRVKHWITFNEPHTFAMMGYDLGLEAPGRCSILLHQLCRHGNSSTEPYIVAHNVLNSHAIVVDIYRKKYKKIQGGSIGISLDVIWVEAATNSKEDIEAAQRALDFQLGWFLEPLVFGDYPSIMRSRVGNRLPTFSKSQATLLKASLDFVGINHYTTLYVYNVAVSLHDYIEDSGVLTLPFNGTNVIGERANSLWLYIVPHGMRSTVNYIKQKYRNPLIIITENGMDDPNNPFISIKDALKDEKRIKYHNDYLVNLLASIEEDGCNVKGYFAWSLLDNWEWQSGFTSRFGLYFIDYKDNLKRYPKQSVEWFKNFLKSKNT >Vigun05g302500.1.v1.2 pep primary_assembly:ASM411807v1:5:48519457:48523881:-1 gene:Vigun05g302500.v1.2 transcript:Vigun05g302500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDLNKVWEIKPLKRIGEDDARKILEKVAKQVQPIMRKRRWKVNVLSEFCPANPSLLGLNIGPGAEIKIRLRRPNCEWDFFPYEQILDTMLHELCHNEHGPHNAQFYNLLDEIRRECEELMVKGINSTGNGFDLYGRRLGGSSQQPPLSSLRQTALVAAESRARHRALLPSGPQYLGGDKNIKSSLSPIQAAAMAAERRLHDDKWCGSKSQRSEPQMRENTSSSEASSRSIQTSAIQSATTKEKFEGAKWQCNTCTLLNKPLALICEACGTEMQKGVSKFKIWSCKFCTLENGVELDRCLCCGEWRYSYGPSVTTRGPYIGKAKVINK >Vigun05g124800.1.v1.2 pep primary_assembly:ASM411807v1:5:13938935:13939407:1 gene:Vigun05g124800.v1.2 transcript:Vigun05g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCQSFIFGVLLVALVLTSVPEAVTGITDPGLHCIGQCTGQCNTDCSKKGFKSGFCLKQGSVNVCCCS >Vigun11g214900.1.v1.2 pep primary_assembly:ASM411807v1:11:40927487:40932723:-1 gene:Vigun11g214900.v1.2 transcript:Vigun11g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTTPPSLMLAHPQDLSSSLRFFSHSHRPLSRTFSFCPLVLKSSSKWVRFSFRTEPKSRTSHAVVAALAAEVELPETVDDAADGVDASAPTDAVATVKPKKGKAALPLKRDRSRSKRFLEIQQLRERKKEYDLKTAISLVKQTAKTKFVETVEAHFRLNIDPKYNDQQLRATVNLPKGTGKPVKVAVLTQGEKFDEAKNAGADLVGGEDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVTPNIPQAIAEFKQGKVEYRADKTGIVHLPFGKADFSEEDLLVNFLAAVKSVEANKPSGAKGVYWKSAHICSSMGPSIRLNIRELLDYRPPSE >VigunL023885.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:43971:45023:-1 gene:VigunL023885.v1.2 transcript:VigunL023885.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun06g105200.1.v1.2 pep primary_assembly:ASM411807v1:6:23447104:23449472:-1 gene:Vigun06g105200.v1.2 transcript:Vigun06g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDGHRAVLPKRIILVRHGESQGNNDPAAYDTTPDPKIQLTTQGIAQARLAGARMRHLIAGGDSTNWRVYFYVSPYARTRSTLREIGRSFSKKRVIGVREECRIREQDFGNFQVQEHMNVIKETRQRFGRFFYRFPEGESAADVFDRVSSFLESLWRDVDMNRLNHNPSDDLNLIIVSHGVASRVFMMKWFKWTVEQFELLRNLENGEFRVLQLGSGGEYSLAVHHADEELLEWGLSPDMIADQKWRACANKGEGNDRCSWYLDSFFDPLPDSDDDNVDKLDETNSLTVHS >Vigun05g172250.1.v1.2 pep primary_assembly:ASM411807v1:5:30910794:30913403:-1 gene:Vigun05g172250.v1.2 transcript:Vigun05g172250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYQKDFKHISQKIKKLEDKLEKDSSKIEALMKKGEESTDLIPKLEDNIPKLQKLLLDEEKILHEITKSSKGYQ >Vigun05g114800.1.v1.2 pep primary_assembly:ASM411807v1:5:12148433:12150496:-1 gene:Vigun05g114800.v1.2 transcript:Vigun05g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKLSGFKDQKWSLHGMTALVTGATRGIGYAIAEELAEFGASVHICARNQQDIEKCLEKWKRKGFRVTGSQCDVLFRDQRENLMKNVASIFDGKLNILINNAGTCPPKNLIDYTAEDVSRIMGTNFESSFHLCQLAHPLLKASGYGSIVFISSISGLKALPYISAYASSKGAMNQFTKNVALEWAKDNIRANSVAPGFVRTELLDSITESIDEGKKIVEAMISQTPAGRIGEPKDISAMVVFLCLPVASYITGQILTIDGGFII >Vigun03g020600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1500608:1502384:-1 gene:Vigun03g020600.v1.2 transcript:Vigun03g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSKVIMGATLVMVVTLAVVLVLIFVLLAELYCSLLLRRRHRRNNTIPNVSTQPTTARASASPSQTTSHHHQHSPIRSIYTQGVFQAPISILLPAVSCEEDNKAGPRKQIHYPELHQLLQIQIQPHESNPNASPSPPPSFISITPSKPNLDHPLPRAPGNLCLDDNNKPCSGGEQLVYISNPIYENHGSQVSGANTPFETPDTSPSRLERSGSSEEDEATPCITHSPPSTPPLTPMKKLPSEACSVSLRDARSFATSCSDSRSNIGLSSSSASPSTSPSW >Vigun09g199500.4.v1.2 pep primary_assembly:ASM411807v1:9:37396003:37399601:1 gene:Vigun09g199500.v1.2 transcript:Vigun09g199500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNFEATYTDNHDILKILEAEGVEFLLSSEGKVPVSECNGKVMCLFFSANWCRPCRAFTPHLVELYETLRKRGINIEIIFISFDRDEDGFKEHFKSMTWLAVPFDVNLHRRLIDRYRVDRIPSFIPLCSDAITVEEDLIGCIEDYGADAFPFTRKRHQELKAMDERKLEEANLEELLGHEGRRFLICGDDRKVPISEVAGKTIGLYFCAYWSPPCCAFTVQLRDAYNKLKAAKGDCFEIVVISTDRDLDEFNVNKSSMPWLAVPYEDRTRHDLRRIFNIKGIPALVLIGADGKVISVNGKFMISSYGAEAFPFTESRIRDLEAALRKEGEALPKQVEDVKHEHVLKLDMAKAYVCDSCRKQGKFWTFSCDVCDYDLHPSCLEKAN >Vigun09g199500.2.v1.2 pep primary_assembly:ASM411807v1:9:37396001:37399601:1 gene:Vigun09g199500.v1.2 transcript:Vigun09g199500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNFEATYTDNHDILKILEAEGVEFLLSSEGKVPVSECNGKVMCLFFSANWCRPCRAFTPHLVELYETLRKRGINIEIIFISFDRDEDGFKEHFKSMTWLAVPFDVNLHRRLIDRYRVDRIPSFIPLCSDAITVEEDLIGCIEDYGADAFPFTRKRHQELKAMDERKLEEANLEELLGHEGRRFLICGDDRKVPISEVAGKTIGLYFCAYWSPPCCAFTVQLRDAYNKLKAAKGDCFEIVVISTDRDLDEFNVNKSSMPWLAVPYEDRTRHDLRRIFNIKGIPALVLIGADGKVISVNGKFMISSYGAEAFPFTESRIRDLEAALRKEGEALPKQVEDVKHEHVLKLDMAKAYVCDSCRKQGKFWTFSCDVCDYDLHPSCLEKAN >Vigun09g199500.3.v1.2 pep primary_assembly:ASM411807v1:9:37396003:37399570:1 gene:Vigun09g199500.v1.2 transcript:Vigun09g199500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNFEATYTDNHDILKILEAEGVEFLLSSEGKVPVSECNGKVMCLFFSANWCRPCRAFTPHLVELYETLRKRGINIEIIFISFDRDEDGFKEHFKSMTWLAVPFDVNLHRRLIDRYRVDRIPSFIPLCSDAITVEEDLIGCIEDYGADAFPFTRKRHQELKAMDERKLEEANLEELLGHEGRRFLICGDDRKVPISEVAGKTIGLYFCAYWSPPCCAFTVQLRDAYNKLKAAKGDCFEIVVISTDRDLDEFNVNKSSMPWLAVPYEDRTRHDLRRIFNIKGIPALVLIGADGKVISVNGKFMISSYGAEAFPFTESRIRDLEAALRKEGEALPKQVEDVKHEHVLKLDMAKAYVCDSCRKQGKFWTFSCDVCDYDLHPSCLEKAN >Vigun10g014400.1.v1.2 pep primary_assembly:ASM411807v1:10:1557885:1563543:-1 gene:Vigun10g014400.v1.2 transcript:Vigun10g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERGVNPSYDLQVSFTNTPQAIHEMGFVPFEENQVLSFLTPSAQSQSSQLSLTGGGGGRDNTAAVTTTVTTTTTSGSVGFNHNDLASRTSWNNEQVRTLDPNKAVNDENCTGNTSDGNNTWWRSSGSEKNKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >Vigun10g014400.2.v1.2 pep primary_assembly:ASM411807v1:10:1557885:1563543:-1 gene:Vigun10g014400.v1.2 transcript:Vigun10g014400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERGVNPSYDLQVSFTNTPQAIHEMGFVPFEENQVLSFLTPSAQSQSSQLSLTGGGGGRDNTAAVTTTVTTTTTSGSVGFNHNDLASRTSWNNEQVRTLDPNKAVNDENCTGNTSDGNNTWWRSSGSEKNKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >Vigun01g069200.1.v1.2 pep primary_assembly:ASM411807v1:1:18586602:18593626:-1 gene:Vigun01g069200.v1.2 transcript:Vigun01g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTLHPLVNKVKLSTENEVARNRYHRHECGHNDPYVSNNLNPPPNIYHTHSLLFSFSFSTSSPLRLSNSMASTPFSTLCHFHKPSLRFLALDRSTFCGAPAISFPKVRKNRKMGTLPVCRISSAVAFRDLDADDFRHPLDQQNTVILRAIPGLNELGKALLGTVAEQVMLLENIGTSVLVSKNQLPDLYHLMVEAAEILNVDAPDLYVRQSPVPNAYTLAISGKRPFVVIHTSLVELLTKAELQAVLAHELGHLKCDHGVWLTYANILTLGAYTVPGIGGMIARTLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLNVDAFLEQARSYEKAASSPIGWYIRNAQTRQLSHPLPVLRAREIDEWSRTPAYKSLLKRGIQIKPIEQSV >Vigun08g064500.6.v1.2 pep primary_assembly:ASM411807v1:8:9033050:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQIDDSSCELLTLWQVYQIVQVTLIRSSGDETT >Vigun08g064500.7.v1.2 pep primary_assembly:ASM411807v1:8:9028517:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQINVAIKTLAEIISRWKGISWKSRGGMVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQLDAPVSSMMRSPPPSVTSSTSVGHVVDLILEKRYPMIVIVNYTNSFATPPYNSRAVGVFTPEQLSRFISPVSRVKWTDLCER >Vigun08g064500.4.v1.2 pep primary_assembly:ASM411807v1:8:9028517:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQINVAIKTLAEIISRWKGISWKSRGGMVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQLDAPVSSMMRSPPPSVTSSTSSSWCFYTRTIK >Vigun08g064500.5.v1.2 pep primary_assembly:ASM411807v1:8:9033050:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQINVAIKTLAEIISRWKGISWKSRGGMVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQIDDSSCELLTLWQVYQIVQVTLIRSSGDETT >Vigun08g064500.2.v1.2 pep primary_assembly:ASM411807v1:8:9028516:9044416:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQLDAPVSSMMRSPPPSVTSSTSVGHVVDLILEKRYPMIVIVNYTNSFATPPYNSRAVGVFTPEQLSRFISPVSRVKWTDLCER >Vigun08g064500.3.v1.2 pep primary_assembly:ASM411807v1:8:9028517:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQLDAPVSSMMRSPPPSVTSSTSSSWCFYTRTIK >Vigun08g064500.1.v1.2 pep primary_assembly:ASM411807v1:8:9028517:9044415:-1 gene:Vigun08g064500.v1.2 transcript:Vigun08g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHINIFSPFTATLHSKQLRSSRVCSSSAPARRRVATTTTPGEANLRRLTSRIVQLTRRKQLRQILNEVEVARRQFGKLNTIVMNAVLEACVRCGDIDSAIRIFVEMKKGDGCGVDTVTYATLLKGLGEARRVDEAFEMLETVENGTAMGSPNLSAPLIFGLLNALIKGGDLRRAHGLLARYGFVFQEGGKFSVSVYNILIKGYVNSGFPHTAINMLNEILRQGIMPDRLTYNTLILACVESGKLDTAMQFFEEMKGRAQKFVNDDMFPDIVTYTTLLKGFGMAKDLASVLKIVLEMKSRWELYIDRTAYTAIVDAFLTCGSVKGALCIFGEILKQAGSNPELRPKPHLYLSMMRAFAFLGDYDLVKILHKRIWPDSSGTILLVAQEEADHLLMEAALNAGQINVAIKTLAEIISRWKGISWKSRGGMVAYRIEAMLGFSKSLFSPYLLPQVLPSEPIENYMIRFDATRPLQGTIKLRKVVMRFFDEIVVPVVDEWGSCIGLLHREDCNQLDAPVSSMMRSPPPSVTSSTSVGHVVDLILEKRYPMIVIVNYTNSFATPPYNSRAVGVFTPEQLSRFISPVSRVKWTDLCER >Vigun09g203300.1.v1.2 pep primary_assembly:ASM411807v1:9:37792307:37797734:-1 gene:Vigun09g203300.v1.2 transcript:Vigun09g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMEDILNLPVQDPLCTEFSAAHINWVRLEGGRQGGDDIALIPFARVDDFVKGESSNPECPASFRIESRRKRPEGSIAKPRVDGYLEYTLYWCSYGPEDYRDTDSGVGDGMSSKPASGKGSRPGRRHMMRGCLCHFTIKRLYTRPLLALIIYNQKRHVDKSGSPCHGLLDRDAVGTRAMYAPRISDELRQKVMSLLYVGISLDKIVQHHSEEMQKLGGPQNRDDFLTRNDVRNMERTIRNSSHELHENDERSVKIWVQRHQKHVFYFQDKSVSEPFVLGIQTDWQLEQMLRYGNNSLISLHSGFGLKKLKYPLCSLLVFNSSQNAIPVAWIITSSFVGKSIHKWIVSLSERLRSKDPRWRPNAILLDDPSLQFSIIREAFQCRILLCAWHVRRALVKKLLKKCCNFEVQREMFMHLEWILYCTKCGPNAMDSLEEFMQIFVDQCAFMDYFKNHWQSRIDMWIDAIKSFPVTNPEPHAAIESYHLTLKSMLLKEDYGNFWLRVDWLIHALTTEFHSSYWLTQYSLETGYFENLRDNSFSSNAWNHALRIPDVDVMLDEQNLHLAKVLSQTDRSLVYTVWNPGSEFSLCDCSWSRLGNLCKHVIKVANFCRHRQVAKPSLSAHVYKQTLLTLLQNPPDDPLVLDHTVLHVARLQQDIKALKDLSNSGLLQPISVDLSSQMAENPLLFQRIQ >Vigun11g082400.1.v1.2 pep primary_assembly:ASM411807v1:11:24512240:24517397:-1 gene:Vigun11g082400.v1.2 transcript:Vigun11g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRECHPLLRGGRGGSKYNHGFSAAEMESLASICDVVLPPLPVNALKSRKQDQAGDDDKFLQSFCDISGSRYPIPHEVAELLTKRSLIEAKILVRVVLWLLATRLGTLLLCGFLCLGEKWPYINNFPNMSLEKREKVMQQWMKHRFLTPIRLAFVYVKVLCLFAFFSWVDENGDNPAWKAIGYEVSKDEKLNSVSNNRPLEKGTIEIMHESDSTLQQSLAKKGLNVELDFKSNILKVKCDAVVVGSGCGGGVAAAVLSKAGHKVVVLEKGNYFVPQDYSSLEGPSIKQQYETGGILASADSRILVLAGSTVGGGSAVNWSACIKTPHKVLKEWSEDHKLPFFSSQEYLSAMESVCERIGVTENCTQEGFQNQVLRKGCQNLGLKVNYVPRNSSGNHYCGSCGYGCAKGEKQGTQATWLVDAVDKGAVIITGCKAERFLLESNRSGNGRKKKCLGVLAKALNNRVRMKLQIEAKVTISSGGALLTPPLLISSGLKNKNIGRNLHLHPVLMAWGYFPKSDNSEFMGKSYEGGIITSIHKVPSTDSKSDSRAIIETPSLGPASFAALFPWESGLDFKERMLNYPRTAHLITIIRDMASGKVTSEGRISYKLNEFDRENVRAGLQQALRILIAAGAVEVGTHRSDGQRLRCSGISENEMQEFLDSVCPMEGALSPGEKWNIYTSAHQMGSCRMGVNEKEGAIDENGQTWEAEGLFVCDASVLPTAVGVNPMITIQSTAYCISNRIVDYLGRTQISHVP >Vigun07g228200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35000312:35001662:1 gene:Vigun07g228200.v1.2 transcript:Vigun07g228200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGSISQEASMATEICTQIASVFSKPTHPHPPPLDLLVTELASVASQNGRVFLYGVGREGLMLKALCMRLFHLGLSAHFIFDMTTPPIAAADLLIASAGPGGFSTVDALCAVARSHGGRVLLLTAQPETGSCVKHADVVAYVAAQTMADDADVAVDTKSRPLLPMGSVYEGALFVLFEMVVYKLGEALGESPEAVRSRHTNLE >Vigun01g058300.1.v1.2 pep primary_assembly:ASM411807v1:1:11924802:11925987:1 gene:Vigun01g058300.v1.2 transcript:Vigun01g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDTWASMFGMRIRIALAEKGVKYEYKEEDLRNKSPLLLQMNPVHKKIPVLIHNGKPICESAIILQYIDEVWNHNPQLMPSDPYERAQARFWIDYIDKKVYDTWRKMWLSSGEEHETWKKEFISIFKQLEETLGDKPFFGGDMFGFVDVDLIPFYSWFYTYETYGNFKMDTECPKLVAWAKRCMEREAVSKTLPDEKKVYAVIDGIKKALFSK >Vigun01g082900.1.v1.2 pep primary_assembly:ASM411807v1:1:23445633:23448213:-1 gene:Vigun01g082900.v1.2 transcript:Vigun01g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSVAKPALQANGKGFSEFSGLRSSSNHLPFSRKASEDFHSVIAFQTYAVGSSGGYKKGVVEAKLKVAINGFGRIGRNFLRCWHGRKESPLDVIAINDTGGVKQASHLLKYDSILGTFDADVKPVGNDIISVDGKEIKVVSDRNPANLPWKELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNEFDYSADEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELKGILSVCDEPLVSVDFRCTDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANKWK >Vigun08g159200.2.v1.2 pep primary_assembly:ASM411807v1:8:33190415:33194054:-1 gene:Vigun08g159200.v1.2 transcript:Vigun08g159200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILPSKMQLGFPNLQAFLFGANQFSGTFPSSVFNITGLERFDISSNGFSGSIPPTLGTLNKLHLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGEVPRLIGNFSTNLNILSMGLNQISGTIPEGIWQLIGLADIIMQTNYLVGTVPDSIVRLKNLVRLNLGENKLSGNIPTAIGNLTMLSELYLYRNRFVGDIPLSLKYCMRMQSIGVSTNNLNGDIPNQTFGNLEGLTKLDLSYNSFTGSIPSDFGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVQLQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKKPITPKTPSSSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVYDFMPNGSLEGLLHDNVVLESRNFSVNLDLVVNIALDVANALDYLHHGSEEAIVHCDIKPSNVLLDDDMVAHLGDFGLARLVHVATGHSSRDQVSSSAIRGTIGYVPPEYGTGCGVSTKGDMYSYGILVLEMVTGRRPTDAMFGEGVSLHKFCQMAIPEGITEIADSRLLVPVVEEGRRMMEIKIRECLVGLARIGVECSAELPVDRMDIKDVVLELHSIKQRLCH >Vigun08g159200.1.v1.2 pep primary_assembly:ASM411807v1:8:33190415:33194054:-1 gene:Vigun08g159200.v1.2 transcript:Vigun08g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILFMFLLSVVSQSLVYMMPPTVALSLSSESDKLALLALKLKLTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLENENWGGTLGPSLGNLSFLTTLNLSNINLHGEIPTQIGRLKRLQVLDLSHNGLNGQIPVHLSNCTKLEMINLLYNKLTGKVPSWFGSGSMTRLNKLLLGVNNLVGTIPPSLGNLSSLQNIFLARNHLVGSIPHVLGRLSNLKIVNLALNSLSGVVPDSLYNLSNIQILALANNQLSGILPSKMQLGFPNLQAFLFGANQFSGTFPSSVFNITGLERFDISSNGFSGSIPPTLGTLNKLHLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGEVPRLIGNFSTNLNILSMGLNQISGTIPEGIWQLIGLADIIMQTNYLVGTVPDSIVRLKNLVRLNLGENKLSGNIPTAIGNLTMLSELYLYRNRFVGDIPLSLKYCMRMQSIGVSTNNLNGDIPNQTFGNLEGLTKLDLSYNSFTGSIPSDFGNLKHLFGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVQLQKLSYLNALNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKKPITPKTPSSSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGSFGSVYSGSLLPFETPIAVKVLNLEIGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVYDFMPNGSLEGLLHDNVVLESRNFSVNLDLVVNIALDVANALDYLHHGSEEAIVHCDIKPSNVLLDDDMVAHLGDFGLARLVHVATGHSSRDQVSSSAIRGTIGYVPPEYGTGCGVSTKGDMYSYGILVLEMVTGRRPTDAMFGEGVSLHKFCQMAIPEGITEIADSRLLVPVVEEGRRMMEIKIRECLVGLARIGVECSAELPVDRMDIKDVVLELHSIKQRLCH >Vigun02g137100.2.v1.2 pep primary_assembly:ASM411807v1:2:28691026:28698042:1 gene:Vigun02g137100.v1.2 transcript:Vigun02g137100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFQPGIFSFPNGLERGRASPQQQIRREKVRFEAAPLVGIEEEEPVYESAGMLSEMFNFPPAAAAELMEQQPVTATFRAARQGGEWYGNRQQQGVNMMMGGLGDSKNQNGVNNNQHQPHHHQISSINADSAAAMQLFLMNPQTRSPSPPQSHATPSSTLHMLLPNPSSNSLQGFTGSGVGGSFGQFTWVPESAHHQQGGVMEGQGLSLSLSSSLEAAKAEELRMGDTGFLYYNHQQGGGVGAAGSSSSSTVQFPYKNNSHHQALHLQGVIGHDNNQQGHVGFGSSSLGVVNVLRNTKYVKAAQELLEEFCSVGRGQFKKSKLNRQNSNPNSNPDGGGGGGGSSPSSKDAGIPPPPPPPLSAGDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLMMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKQSCELLGEKEGAGTSGLTKGETPRLKVLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQELKETESTEERENNQSNNSNMSGNQAQTPSTPGAATTSTATTAAPPTITTTKPTGKKSDINATESDSSLVAINRQGFSENQGKHSTTTTTSTTLMASVSATTSEIAPPASQCFDSDLPPQRLMPTDDTCRLVTPDFGTTSAAADIGSTLIRFGTTVGDVSLTLGLRHAGNMPEKTPFSVRDFGAI >Vigun02g137100.1.v1.2 pep primary_assembly:ASM411807v1:2:28690964:28698224:1 gene:Vigun02g137100.v1.2 transcript:Vigun02g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFQPGIFSFPNGLERGRASPQQQIRREKVRFEAAPLVGIEEEEPVYESAGMLSEMFNFPPAAAAELMEQQPVTATFRAARQGGEWYGNRQQQGVNMMMGGLGDSKNQNGVNNNQHQPHHHQISSINADSAAAMQLFLMNPQTRSPSPPQSHATPSSTLHMLLPNPSSNSLQGFTGSGVGGSFGQFTWVPESAHHQQGGVMEGQGLSLSLSSSLEAAKAEELRMGDTGFLYYNHQQGGGVGAAGSSSSSTVQFPYKNNSHHQALHLQGVIGHDNNQQGHVGFGSSSLGVVNVLRNTKYVKAAQELLEEFCSVGRGQFKKSKLNRQNSNPNSNPDGGGGGGGSSPSSKDAGIPPPPPPPLSAGDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLMMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKQSCELLGEKEGAGTSGLTKGETPRLKVLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQELKETESTEERENNQSNNSNMSGNQAQTPSTPGAATTSTATTAAPPTITTTKPTGKKSDINATESDSSLVAINRQGFSENQGKHSTTTTTSTTLMASVSATTSEIAPPASQCFDSDLPPQRLMPTDDTCRLVTPDFGTTSAAADIGSTLIRFGTTVGDVSLTLGLRHAGNMPEKTPFSVRDFGAI >Vigun02g151600.1.v1.2 pep primary_assembly:ASM411807v1:2:29846841:29849959:1 gene:Vigun02g151600.v1.2 transcript:Vigun02g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKQFETIETIYEEEHSFSSPSPSSSSSPPSSLHPIVNAWSLHYTSEPNVLILVQGTCFRLHQDRMISQSSYLKQRLMGVSNVTISPPLNITAETFATVADFCYTHKIHLTPSNIAAIKVAAELLGMTEGENLCEVTESYFERVIGVDASLVIRSCVTMLPEAETTASLVSRCIEAMIWNGDVSFLDDVIEMPSQDFQMVASYLNKRLPNHDALYKIIDFYLKEIKCDKLREEQQIDICNNLDCSKLSHHILVECIQNPRMSLKFIMGAILMEHLKTRDSLVAATTTITHKTERTSLRQILQQDTTPYHTTNIEEVMDSTYYRIQSLEKELIDMKNHLQHHHDFMNGNKNNNVLNQERSMSFHFEPPEDSKIQRGGRGSISSSSFMLDNRITKKHNKLEMFVANKTSLEITRFFPYKFINSLKNAFGCRIQRQTKRS >Vigun02g151600.2.v1.2 pep primary_assembly:ASM411807v1:2:29846841:29849959:1 gene:Vigun02g151600.v1.2 transcript:Vigun02g151600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKQFETIETIYEEEHSFSSPSPSSSSSPPSSLHPIVNAWSLHYTSEPNVLILVQGTCFRLHQRLMGVSNVTISPPLNITAETFATVADFCYTHKIHLTPSNIAAIKVAAELLGMTEGENLCEVTESYFERVIGVDASLVIRSCVTMLPEAETTASLVSRCIEAMIWNGDVSFLDDVIEMPSQDFQMVASYLNKRLPNHDALYKIIDFYLKEIKCDKLREEQQIDICNNLDCSKLSHHILVECIQNPRMSLKFIMGAILMEHLKTRDSLVAATTTITHKTERTSLRQILQQDTTPYHTTNIEEVMDSTYYRIQSLEKELIDMKNHLQHHHDFMNGNKNNNVLNQERSMSFHFEPPEDSKIQRGGRGSISSSSFMLDNRITKKHNKLEMFVANKTSLEITRFFPYKFINSLKNAFGCRIQRQTKRS >Vigun05g133400.1.v1.2 pep primary_assembly:ASM411807v1:5:15706266:15708101:-1 gene:Vigun05g133400.v1.2 transcript:Vigun05g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSMRKTLRKTPESDDDTTDRLSALPGCVLLRILSRFNTKEAAVTSVLSSRWRHLFLSKTSINLNFCMNGDASEVHRLFDLFIRFAIRVLGQRNKAPIRGIRLRVCHFVESFRLGFESLLMFIAAALSTYRILNIEVLVKMDKTTSPCCVTFPPGMFLSEALDGLRLDLSVGWNVPEFVLFSNLKDLHLLSFRLVDEYSVQRLLQGCPSLDSLVLNMGSLNESEEGVEVQALRRVESEFNVFVESDSLETLLLSLDGWHKVTLDSPNMKFLLISGNVLSLHIIQSFFSIEDVVIETKFPFHVQDDDGLFSRAQHASNFFTELQDVRSLSLSEDFMEALYFCPPVISTFNNLKELKLNCQYYGIDEVLLNLFENSPNLEVLVFRQVFVMYLGEDYEFGSILKQTFPLSFVERLKVIEMNNFKDGEQEFKLIEYLLKNEKSLEKIALGRDGWKSVPNHYNRILSFKKYSKDCNIEFRKRGNFVKSSQLRRALNLVPWQ >VigunL082150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:26193:26558:1 gene:VigunL082150.v1.2 transcript:VigunL082150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVWDSEVKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEARQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun04g113700.1.v1.2 pep primary_assembly:ASM411807v1:4:28506386:28509964:-1 gene:Vigun04g113700.v1.2 transcript:Vigun04g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVFRCIDGRYDVSLADSTMMWIVHYAMNKAQEKMKGKTGVLERLNEISKFYELAVMQLEGCLSIVHAETESSFLESNHEEVLDDLRDIKHRLQWRLKETELAIVEKDKELIQRLENELQLRHALELKERQLVALGVSHEVETRSFDAENDQTTRNENKDGGGDEGFCELRAGEDEPQHEVLSKVQNNGVGGKKVEEMGSDIEILKETMDLAFGKMQSALFLCEMRPKEREWKLAIEKDVMSILIGTSIRSFQENIEEQVKKDENHVVNVWKEQWPRLMNEFTSLQHEFSYFYDTYPEDSDCSSLSSPVKPSSPTRPSSPEGSHEMPNRFSQRVEETEKSAEDEENEDRSKYVAKLIKSHESIIRRKSEEMNLSKHGILQERKASYSRRRRELNRVKERIHVVTEKLDNFIYRNVKLSESLFKQRCVRDTEPFSMGQLSEVDESHTSKAAESQRSYEEKLKEICDAETKKLEELEMVSPRDKEFNVNQNLKSENVFGSIDDQAEEFNRKLLNFELEKQIQEHVCKCYLREVMNEWNENIEKQTIERKIREDINLIFLSEAAKQELSLIKEQSREAKGSCLQCLTCSNQVDEKINSTISEDISMFIIRKTVDEFNKKMVDCEVNSVISEQIHQIVFGETLKNLVNVASFVSKEHRENRKKFLDQLQFMSIESFLKEDVNMVVFKVMLEEWRWELDDYYMENYIKEKVDQVVMVETLNDAFFLSMEVNSLVEDNSMEDDCSTSSMMLKQLWKDEGEENLTTILLESLLSCFEAEENLMLSAKCEIKEQCRQLDLGSERGDLHEHEIFEDLITAEEETFSSLTSKVENVLQQLGIGKALLRHLGTSLGHSLRDSNNFQNQMSNNEEGQLRLSSTAFMPLLNLLLTFGEFEPMICQKFEMMALRLEKMKDCLDPLIELIGCFRSKELLYQKAFIKRCQNLQKAEAEVDLLGDQVDALLTLLEKIYATLHQHAPALQQFFEVYNILELIKRELISGSVESVIGVVS >Vigun08g021300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1872465:1872800:-1 gene:Vigun08g021300.v1.2 transcript:Vigun08g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKHVFMITCVLLCLVFLNGIASAKARALKKEHVYEMGAVEDNINLLWRRRMIENAATARTRTLDSPNNAGGSDNWIDDFRPTDPGHSPGAGHSSPTPKQASNNGAPRP >Vigun10g061100.1.v1.2 pep primary_assembly:ASM411807v1:10:12561539:12566417:-1 gene:Vigun10g061100.v1.2 transcript:Vigun10g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPTTTSSNTSPRVAAGPTTTRRRVADNVNNNAVDAEKQLQHQLVSSSFSDFSEVENDENSTHVHAHTHTHSHAHTHAHHLHLHPVLRYILLRARILLFVPESLFMRVEQLALWVAGSVQGLRSGKHVGRKIFMALIGLLMMSVFLKISLLGTGGETHGSRFKSIENGQLILQRFKEDWAYAQRIVTETRNRPSMPKRVLERLPIPDIWKNPNSQNYYQCVSRPKNVIKPAKTNGYLLVHANGGLNQMRTGICDMVAVTKILNATLVIPSLDHDSFWTDPSDFKDIFDWRHFMKVLKDDIDIVEYLPVQYASVKPLEKAPVSWSKASYYRGEILPLLKRHKVVQFTHSDSRLANNGLASSIQKLRCRANYHALKYTAEIEDLGRILVDRLRNNNEPFIALHLRYEKDMLAFTGCSHNLTAEEAEELRIMRYDVRHWKEKEIDSEEKRLQGGCPMSPREAAIFLRAMGYPSTTTIYIVAGPIYGSNSMEAFQSEFPNVFTHSTLATEEELEPFTPYQNRLAALDYIVALKSDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRFNFVRLIDQLDEGGISWEAFSSEVKKLHSNRLGSPYLRQVGESPRTEENFFANPFPGCVCNKSEEQITMQKLDHRFGVEARR >Vigun10g061100.2.v1.2 pep primary_assembly:ASM411807v1:10:12561539:12565796:-1 gene:Vigun10g061100.v1.2 transcript:Vigun10g061100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKQSELLPMRFSTQECNKASEDKWISSCSCQWWIESNENWVTKILNATLVIPSLDHDSFWTDPSDFKDIFDWRHFMKVLKDDIDIVEYLPVQYASVKPLEKAPVSWSKASYYRGEILPLLKRHKVVQFTHSDSRLANNGLASSIQKLRCRANYHALKYTAEIEDLGRILVDRLRNNNEPFIALHLRYEKDMLAFTGCSHNLTAEEAEELRIMRYDVRHWKEKEIDSEEKRLQGGCPMSPREAAIFLRAMGYPSTTTIYIVAGPIYGSNSMEAFQSEFPNVFTHSTLATEEELEPFTPYQNRLAALDYIVALKSDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRFNFVRLIDQLDEGGISWEAFSSEVKKLHSNRLGSPYLRQVGESPRTEENFFANPFPGCVCNKSEEQITMQKLDHRFGVEARR >Vigun10g061100.3.v1.2 pep primary_assembly:ASM411807v1:10:12561539:12564272:-1 gene:Vigun10g061100.v1.2 transcript:Vigun10g061100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGICDMVAVTKILNATLVIPSLDHDSFWTDPSDFKDIFDWRHFMKVLKDDIDIVEYLPVQYASVKPLEKAPVSWSKASYYRGEILPLLKRHKVVQFTHSDSRLANNGLASSIQKLRCRANYHALKYTAEIEDLGRILVDRLRNNNEPFIALHLRYEKDMLAFTGCSHNLTAEEAEELRIMRYDVRHWKEKEIDSEEKRLQGGCPMSPREAAIFLRAMGYPSTTTIYIVAGPIYGSNSMEAFQSEFPNVFTHSTLATEEELEPFTPYQNRLAALDYIVALKSDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRFNFVRLIDQLDEGGISWEAFSSEVKKLHSNRLGSPYLRQVGESPRTEENFFANPFPGCVCNKSEEQITMQKLDHRFGVEARR >Vigun11g041400.1.v1.2 pep primary_assembly:ASM411807v1:11:5952608:5954760:1 gene:Vigun11g041400.v1.2 transcript:Vigun11g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTIACKVSVLLSLFSVWFVCVSSDSLPNVGALPPTCQRIECPSYDLIQVGNGYEIRRYNSPVWISNAPIQDISLVEATRTAFFRLFDYIQGKNNYKQKIEMTAPVISEVSPSDGPFCESSFVVSFYVPKENQANPPTAKGLQVQRWKTVYVAVRQFGGFVKDYDVGEQAAALKASIAGTKWGAAVEKSKSAAHTSVYTVAQYNAPFEYDNRVNEIWFLFDIEDGQQTM >Vigun01g183300.1.v1.2 pep primary_assembly:ASM411807v1:1:36395753:36399177:-1 gene:Vigun01g183300.v1.2 transcript:Vigun01g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGCAFREYLQEEREWFLGEKCAHVEYSRLKKVLKTCKKDTSSDKDLLCQCQSCPLCDQQFFSELMKEASDVVGYFSSRVQHLLHLHISSGLHRYVLRLRQCFRSDRQTLTQEGRILIEYIAMNAIAMRKILKKYDKVHCSVNGENFKSRMHAEHIELLHSPWIIELGAFYLNSTGLDSCELDGVYGHFSCDLNLNRDVMTLVLPDSIKLEYTLTCAICLDLVFNPYALSCGHIFCKSCACSAASVMIFQGLKAASPESKCPICREVGGYSKAVRMVELDLLVKRRCRDYWRERLASERVETLKQSKEYWNLQSTYAIGLR >Vigun01g183300.2.v1.2 pep primary_assembly:ASM411807v1:1:36395753:36399177:-1 gene:Vigun01g183300.v1.2 transcript:Vigun01g183300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGCAFREYLQEEREWFLGEKCAHVEYSRLKKVLKTCKKDTSSDKDLLCQCQSCPLCDQQFFSELMKEASDVVGYFSSRVQHLLHLHISSGLHRYVLRLRQCFRSDRQTLTQEGRILIEYIAMNAIAMRKILKKYDKVHCSVNGENFKSRMHAEHIELLHSPWIIELGAFYLNSTGLDSCELDGVYGHFSCDLNLNRDVMTLVLPDSIKLEYTLTCAICLDLVFNPYALSCGHIFCKSCACSAASVMIFQGLKAASPESKCPICREVGGYSKAVRMVELDLLVKRRCRDYWRERLASERVETLKQSKEYWNLQSTYAIGLR >Vigun07g067400.1.v1.2 pep primary_assembly:ASM411807v1:7:7999107:8001529:-1 gene:Vigun07g067400.v1.2 transcript:Vigun07g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKSKYQDELIANAAYIGTPGKGILAADESTGTIGKRLSSISVENNETNRRVLRELLFTAPGCAECLSGVILFEETLYQKTATGVPFVDVMKKGGILPGIKVDKGTVELAGTNGETTTQGLDDLAQRCQKYYEAGARFAKWRAVLKIGPTEPSPLAIHENAYGLARYAAICQENGLVPIVEPEILSDGPHEIEKCADVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDGKRVGSEVIAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATVNLNAMNMLKGKKPWSLTFSYGRALQQSTLKAWSGKDENIKKAQEALLVRCKANSQATLGSYKGDAKGDGASESLHVKDYKY >Vigun03g439400.2.v1.2 pep primary_assembly:ASM411807v1:3:64274286:64274849:-1 gene:Vigun03g439400.v1.2 transcript:Vigun03g439400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLSFKLLKTTLQFVVSVSVFCFFVWYSSGFFILLLTRTLQRKYMFIICNAILALLAISPFHAELQASATATKTQVSAEAVEDFLTIEEAKTQEDYSEQVSAAEHETEMVPIAEEEGGTVAKDDELVADTRMETTEDQVANTDELNRKFEEFIRKMKEEMRIEAQRQPIEV >Vigun09g245900.2.v1.2 pep primary_assembly:ASM411807v1:9:41440571:41448514:1 gene:Vigun09g245900.v1.2 transcript:Vigun09g245900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHEIGVHDSGLVGSPGSDGRKQPRVWSSPEHGFKTEISKHIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYQGTIKKLVYDLGYPRELLNWCFNWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDCNPGKIQEGVDYARMYRDVRAAVDLCHRDGTLKQMVAKEPKRYINEDSSIVPMLEMLRDSGRATFLVTNSLWDYTNIVMNFLCGSSMEDVSNNFFWLQYFDVVITGSAKPGFFHEENRANLFEVVPETGMLLNTDNGSPMPQVGNTSARLFTEARNHACPVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVKLLWDSRDTRKELQFLRSERDRIEDEIHHLKWSLKFKNPDADSKQRLSSELDTLELERERVRLRHQEAQRKLHQRFHEPWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLGLYSPDKYYRPSEDFMQHEFGIVAYESPDTEVQEFGVV >Vigun09g245900.1.v1.2 pep primary_assembly:ASM411807v1:9:41440571:41448514:1 gene:Vigun09g245900.v1.2 transcript:Vigun09g245900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELHFHSNFELVVAFAFAPQTLTLQCPQHLILSHSLSPPCPRLFNRCTMPIPKRHLTFSLSNFSPQLAPLLSPRLRFASGICAQRPHSVANATSPGVNPLHAQYSMDGNHEIGVHDSGLVGSPGSDGRKQPRVWSSPEHGFKTEISKHIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYQGTIKKLVYDLGYPRELLNWCFNWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDCNPGKIQEGVDYARMYRDVRAAVDLCHRDGTLKQMVAKEPKRYINEDSSIVPMLEMLRDSGRATFLVTNSLWDYTNIVMNFLCGSSMEDVSNNFFWLQYFDVVITGSAKPGFFHEENRANLFEVVPETGMLLNTDNGSPMPQVGNTSARLFTEARNHACPVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVKLLWDSRDTRKELQFLRSERDRIEDEIHHLKWSLKFKNPDADSKQRLSSELDTLELERERVRLRHQEAQRKLHQRFHEPWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLGLYSPDKYYRPSEDFMQHEFGIVAYESPDTEVQEFGVV >Vigun11g044000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6490424:6491339:-1 gene:Vigun11g044000.v1.2 transcript:Vigun11g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLFGSIVLAAWFFMATPSRAQYVIDTNGEPVDNDEQYYIKPAITDNGGRFTLINRNDSCPLNVGLENTDTGLGYPVKFTHFALNVQDEDIGVNRDLKVEFVEVSSTCVQTTEWRVAENDSGSGGRRLVISGRDESAGLYGNYFRIVETERAGIYNFRWCPMELCSTCGFVCGTGGILRENGKILFALDGTALPVVFQKKT >Vigun02g175400.2.v1.2 pep primary_assembly:ASM411807v1:2:31760782:31767858:1 gene:Vigun02g175400.v1.2 transcript:Vigun02g175400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGGWECRSSLQCCGNLWPQARYPSMTAVEAGKTVVEGSETTVVLFSVIGMTCAACAGSVEKTIKRLPGIREAVVDVLNHKAQVLYFPSMVNEERIREAIEDAGFEAKVIEEDSNYTSTQICRIHIRGMTCTSCSSTIESALHSLRGVHKARVALTTEEAEVQYDPKILTHNHLMEAIQDTGFEAILISTGEHMSKIELKIDGIKNDQSLAAIQRSLHALPGVQTIDIYPDINKIAITYIPHMTGPRTFIEVIESTGSGCFKAVIFPNDEGREALRQQEINRYFMLFIWSLAFTIPVFFTSMVLMYIPGVKRVLDIKIVNMLTIGLLLRCNFATPVQFIIGRRFYVGAYKALRKGSANMDVLIALGTNAAYFYSLYVVERAVFSRHFKGNDFFETSSMLISFILLGKYLEVLAKGKTSQAIAKLMNLTPETATLLTQDDNGDVVSEKQIDSRLIQKDDVIKVVPGSKVASDGFVIWGQSHVNESMITGEARPVAKRTGDMVIGGTMNENGVMHVKVTRVGSESALSQIVRLVESAQMAKAPVQKLADHISKYFVPLVIVLSLSTWLSWFIAGKLHAYPKSWIPSSMNYFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESANKVNCIVFDKTGTLTVGKPVVVTTKIFKKMSIKDFYEFTAAAEVNSEHPIAKAIVEHAKKITEEQNHSWPEARNFVSVSGHGVKAIVLNKEILVGNKKMMLDHNITISADAEEILAEAESLAQTGILVSLDGEVAGVLAVSDPLKPGANEVISILNSMKIKSIMVTGDNWGTANSIARQAGIETVIAEAQPETKATKIKELQGCGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLANRTFSRIRLNYFWALGYNILAIPIAAGILYSSTRFRLPPWIAGAAMAASSLSVVCSSLLLKNYKRPSKLNKMEMNGVNIQ >Vigun02g175400.4.v1.2 pep primary_assembly:ASM411807v1:2:31760782:31767831:1 gene:Vigun02g175400.v1.2 transcript:Vigun02g175400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGGWECRSSLQCCGNLWPQARYPSMTAVEAGKTVVEGSETTVVLFSVIGMTCAACAGSVEKTIKRLPGIREAVVDVLNHKAQVLYFPSMVNEERIREAIEDAGFEAKVIEEDSNYTSTQICRIHIRGMTCTSCSSTIESALHSLRGVHKARVALTTEEAEVQYDPKILTHNHLMEAIQDTGFEAILISTGEHMSKIELKIDGIKNDQSLAAIQRSLHALPGVQTIDIYPDINKIAITYIPHMTGPRTFIEVIESTGSGCFKAVIFPNDEGREALRQQEINRYFMLFIWSLAFTIPVFFTSMVLMYIPGVKRVLDIKIVNMLTIGLLLRCNFATPVQFIIGRRFYVGAYKALRKGSANMDVLIALGTNAAYFYSLYVVERAVFSRHFKGNDFFETSSMLISFILLGKYLEVLAKGKTSQAIAKLMNLTPETATLLTQDDNGDVVSEKQIDSRLIQKDDVIKVVPGSKVASDGFVIWGQSHVNESMITGEARPVAKRTGDMVIGGTMNENGVMHVKVTRVGSESALSQIVRLVESAQMAKAPVQKLADHISKYFVPLVIVLSLSTWLSWFIAGKLHAYPKSWIPSSMNYFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESANKVNCIVFDKTGTLTVGKPVVVTTKIFKKMSIKDFYEFTAAAEVNSEHPIAKAIVEHAKKITEEQNHSWPEARNFVSVSGHGVKAIVLNKEILVGNKKMMLDHNITISADAEEILAEAESLAQTGILVSLDGEVAGVLAVSDPLKPGANEVISILNSMKIKSIMVTGDNWGTANSIARQAGIETVIAEAQPETKATKIKELQLRQGCGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLANRTFSRIRLNYFWALGYNILAIPIAAGILYSSTRFRLPPWIAGAAMAASSLSVVCSSLLLKNYKRPSKLNKMEMNGVNIQ >Vigun02g175400.3.v1.2 pep primary_assembly:ASM411807v1:2:31760930:31767831:1 gene:Vigun02g175400.v1.2 transcript:Vigun02g175400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGGWECRSSLQCCGNLWPQARYPSMTAVEAGKTVVEGSETTVVLFSVIGMTCAACAGSVEKTIKRLPGIREAVVDVLNHKAQVLYFPSMVNEERIREAIEDAGFEAKVIEEDSNYTSTQICRIHIRGMTCTSCSSTIESALHSLRGVHKARVALTTEEAEVQYDPKILTHNHLMEAIQDTGFEAILISTGEHMSKIELKIDGIKNDQSLAAIQRSLHALPGVQTIDIYPDINKIAITYIPHMTGPRTFIEVIESTGSGCFKAVIFPNDEGREALRQQEINRYFMLFIWSLAFTIPVFFTSMVLMYIPGVKRVLDIKIVNMLTIGLLLRCNFATPVQFIIGRRFYVGAYKALRKGSANMDVLIALGTNAAYFYSLYVVERAVFSRHFKGNDFFETSSMLISFILLGKYLEVLAKGKTSQAIAKLMNLTPETATLLTQDDNGDVVSEKQIDSRLIQKDDVIKVVPGSKVASDGFVIWGQSHVNESMITGEARPVAKRTGDMVIGGTMNENGVMHVKVTRVGSESALSQIVRLVESAQMAKAPVQKLADHISKYFVPLVIVLSLSTWLSWFIAGKLHAYPKSWIPSSMNYFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESANKVNCIVFDKTGTLTVGKPVVVTTKIFKKMSIKDFYEFTAAAEVNSEHPIAKAIVEHAKKITEEQNHSWPEARNFVSVSGHGVKAIVLNKEILVGNKKMMLDHNITISADAEEILAEAESLAQTGILVSLDGEVAGVLAVSDPLKPGANEVISILNSMKIKSIMVTGDNWGTANSIARQAGIETVIAEAQPETKATKIKELQLRQGCGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLANRTFSRIRLNYFWALGYNILAIPIAAGILYSSTRFRLPPWIAGAAMAASSLSVVCSSLLLKNYKRPSKLNKMEMNGVNIQ >Vigun02g175400.1.v1.2 pep primary_assembly:ASM411807v1:2:31760898:31767887:1 gene:Vigun02g175400.v1.2 transcript:Vigun02g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGGWECRSSLQCCGNLWPQARYPSMTAVEAGKTVVEGSETTVVLFSVIGMTCAACAGSVEKTIKRLPGIREAVVDVLNHKAQVLYFPSMVNEERIREAIEDAGFEAKVIEEDSNYTSTQICRIHIRGMTCTSCSSTIESALHSLRGVHKARVALTTEEAEVQYDPKILTHNHLMEAIQDTGFEAILISTGEHMSKIELKIDGIKNDQSLAAIQRSLHALPGVQTIDIYPDINKIAITYIPHMTGPRTFIEVIESTGSGCFKAVIFPNDEGREALRQQEINRYFMLFIWSLAFTIPVFFTSMVLMYIPGVKRVLDIKIVNMLTIGLLLRCNFATPVQFIIGRRFYVGAYKALRKGSANMDVLIALGTNAAYFYSLYVVERAVFSRHFKGNDFFETSSMLISFILLGKYLEVLAKGKTSQAIAKLMNLTPETATLLTQDDNGDVVSEKQIDSRLIQKDDVIKVVPGSKVASDGFVIWGQSHVNESMITGEARPVAKRTGDMVIGGTMNENGVMHVKVTRVGSESALSQIVRLVESAQMAKAPVQKLADHISKYFVPLVIVLSLSTWLSWFIAGKLHAYPKSWIPSSMNYFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESANKVNCIVFDKTGTLTVGKPVVVTTKIFKKMSIKDFYEFTAAAEVNSEHPIAKAIVEHAKKITEEQNHSWPEARNFVSVSGHGVKAIVLNKEILVGNKKMMLDHNITISADAEEILAEAESLAQTGILVSLDGEVAGVLAVSDPLKPGANEVISILNSMKIKSIMVTGDNWGTANSIARQAGIETVIAEAQPETKATKIKELQGCGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLANRTFSRIRLNYFWALGYNILAIPIAAGILYSSTRFRLPPWIAGAAMAASSLSVVCSSLLLKNYKRPSKLNKMEMNGVNIQ >Vigun07g115300.1.v1.2 pep primary_assembly:ASM411807v1:7:21314198:21315905:-1 gene:Vigun07g115300.v1.2 transcript:Vigun07g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGILLCVALFIGVCEGGSLRKNFYRDSCPQAEEIIKNQTQQHVLANPNLPAKLLRLHFHDCFVRGCDGSVLLNSTANNTAERDAIPNLSLAGFDVIDAIKSAVEEKCPKTVSCADILALSARDAVSVQFNKPMWEVLTGRRDGTVSISNEALANIPSPFFNLTQLRQSFSSKGLTLHDLVVLSGAHTIGVGHCNLFSNRLYNFTGKGDQDPSLNASYAAFLKSKCQSLSDRTTTVEMDPGSSTTFDSDYYPNLLQKKGLFQSDAALLTLDQSADKAGELVKEEKFFTEFAQSMKRMGNIEVLTDSAGQIRNKCSVVNS >Vigun03g359200.1.v1.2 pep primary_assembly:ASM411807v1:3:56165541:56168248:1 gene:Vigun03g359200.v1.2 transcript:Vigun03g359200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIIVCGKRSALFQELPPKRTRCSSSSSPVRLSPSSLLHHLAALFPDMDNHLLEKALEDCGNDIDSAIRSLNQLRLGASTPPLRSTPIASDATLQSQVGEGQYDAEVSASQDPVAGQNYPTNGAEWVELFVKEMMNASNMDDAKARASRMLEALEKSICMRTSVETERNFHQENTALKEQVEALVQENVILKRAICIQHERQKEYEDRDVELQQLKQLVSQYQEQVRALEVNNYALTMHLKQAEQSSSIPGRFHPDVF >Vigun03g359200.3.v1.2 pep primary_assembly:ASM411807v1:3:56166118:56168248:1 gene:Vigun03g359200.v1.2 transcript:Vigun03g359200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFFYIFGSFKAFSSETVGEGQYDAEVSASQDPVAGQNYPTNGAEWVELFVKEMMNASNMDDAKARASRMLEALEKSICMRTSVETERNFHQENTALKEQVEALVQENVILKRAICIQHERQKEYEDRDVELQQLKQLVSQYQEQVRALEVNNYALTMHLKQAEQSSSIPGRFHPDVF >Vigun03g359200.2.v1.2 pep primary_assembly:ASM411807v1:3:56165541:56168248:1 gene:Vigun03g359200.v1.2 transcript:Vigun03g359200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIIVCGKRSALFQELPPKRTRCSSSSSPVRLSPSSLLHHLAALFPDMDNHLLEKALEDCGNDIDSAIRSLNQLRLGASTPPLRSTPIASDATLQSQGEGQYDAEVSASQDPVAGQNYPTNGAEWVELFVKEMMNASNMDDAKARASRMLEALEKSICMRTSVETERNFHQENTALKEQVEALVQENVILKRAICIQHERQKEYEDRDVELQQLKQLVSQYQEQVRALEVNNYALTMHLKQAEQSSSIPGRFHPDVF >VigunL084200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000629.1:26035:26259:-1 gene:VigunL084200.v1.2 transcript:VigunL084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >Vigun09g259500.1.v1.2 pep primary_assembly:ASM411807v1:9:42481062:42485983:1 gene:Vigun09g259500.v1.2 transcript:Vigun09g259500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFTVKPFFVPHFLSSFSSSSTSTSSSSISLASFSSRSLSAISFPRNSKHRGRFGLKVEAYDSSNNDASNPASAGDSKLPNGTLSKSRREILLEYVKNVQPEFMELFVKRAPQQVVDAMRQTVTNMIGTLPPQFFAVTITTVAENLAQLMYSVIMTGYMFRNAQYRLELQESLEQVAALPEVQDKKDVPDYAPGTQKNVSGEVIRWNNVSGAEKIDAKKYIELLEAEIEELNRQVGRQPSNAQNELLEYLKSLEPRNLKELTSSAGEDVVFAMNTFIKRLLAVSDPSQMKTSVTETSAAELAKLLYWLMVVGYSIRNIEVRFDMERVLGTPPKLAELPPGENV >Vigun07g195950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31569439:31569918:-1 gene:Vigun07g195950.v1.2 transcript:Vigun07g195950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSCFNNHMNSPTRFFTHLCVVPFCAKDTEGLSEKTQLAPNQSHFPNFLPQKIIQKILKAINQNWCLKRKQQEESLPISNKMTKKTNKSMRQGWKKIKEEEDGECLWKKTILMGEKCKPLQFSGAIFYDNEGNQISKPLKLPTFTFSSLRRPFSRKG >Vigun11g192400.1.v1.2 pep primary_assembly:ASM411807v1:11:39157560:39161414:1 gene:Vigun11g192400.v1.2 transcript:Vigun11g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAARKLSSSNIKPLFYLSFLCECRNHFHSNVSSTLIPYSKTRLRNSTKFSSQSWVNLFRFCSSHHADSATKQNLPLCREGNYDDVNSQSLRVCPGCGVHMQDSNPKHPGYFIKPSEKDFNYRLYNNLEPVAQEPEFSNSVKKGFVIEPEKLNGDDVGLIKKPEKPVVCARCHSLRHYGKVKDPTVENLLPDFDFDHTVGRKLASTSGTRSVVLMVVDVVDFEGSFPRKVAKLVSKTIEDYSAAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRLEHWIRQRARDGGINKITSLHMVSALRDWGLKNLVDNVVDLAGPRGNVWAVGAQNAGKSTLINSIGKYAGGKITHLTEAPVPGTTLGIVRVEGVLPSQAKLFDTPGLLHPYQITSRLMRDEQKLVHIGKELKPRTYRIKAGHSVHIAGLMRLDIEDTSLDSVYVTVWASPYLPLHMGKIENASKLFQYHFGQQLQPPIGEKRVQELGNWVRTEFHVSGNSWESSSVDIAAAGLGWFAIGLKGDAGLGVWTYEGVDVVLRNSLIPYRSHIFEVAGFTVSKIVSQSDQTLNKSKERNNKKPKNQFVESPLLTSDRVI >VigunL008101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:76143:118981:-1 gene:VigunL008101.v1.2 transcript:VigunL008101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun10g040500.1.v1.2 pep primary_assembly:ASM411807v1:10:5572985:5584773:1 gene:Vigun10g040500.v1.2 transcript:Vigun10g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPNYEFQEWWNKQREKNNNNFDPLNDAKLDHSHSASPPPFTALDVDFSSSSSSSVASKKERSRSARQLSWVCLLKCQQLAASLGWLYGGVFHLARTAHRRIADSASLRGDSSRLYRAIRVFLVVVIVLLGFELVAYFKGWHFRRPDPSDVLGMLAVAYAVWVDVRARYLSPPLQSLANLCTILFIVQTVDRVVLILGCFWIKFRRLKPVASVDYDVVGISAEDFPMVLVQIPMCNEREVYHQSIGAVCILDWPKERMLVQILDDSDEVDTQQLIKAEVHKWQQRGVRIIYRHRLLRTGYKAGNLKSAMGCDYVKDYEFVAIFDADFQPTPDFLKKTVPYFKGRDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFVNDVKCLCELPETYEAYKKQQHRWHSGPMQLFRLCFFDILRSKVSWAKKFNLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYIPGAMSLLSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSETDLVAFEKESEPLMRSNSLHRSNSDSGLEELSKLELSKKTGKTKRNRLFRKELCLAFILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >Vigun07g278600.1.v1.2 pep primary_assembly:ASM411807v1:7:39354644:39360387:-1 gene:Vigun07g278600.v1.2 transcript:Vigun07g278600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWHRIGKAKLRTLCIQFQRSYIHLHHASAPKPCHMDIPRPNSVFHARPTSVFSTVRFFAAPVQFQVKNRNEEEDPSERRMNEKIKAPYVRLVVDDGHHSIVPRLEALERAKALKLDLVEVDKSAHPPVCKIMDYHKEMYKRHEWEKDRAKSKADTTIRKDVKEVRFSEKIEAKDLKNKADMVRKLMEKGYRVKCKVSSSKENLDLTALFSPFIALLEDVCVVESGPFMAKKDAYMIVRHIKFGAAKKSGKKFHNAANTVTQEGNAETSTANPSDSVEYESHAESRFETEEDPSDAAESPFLSRSNDPVSPPVSENRYRRTNPQGENNVQSKAQVPPAETENRYKRVEPRNRFQQTSNNPGMNNYQGPGTRDTFRPPPPPPNWNRPRQAPGNVNVDTRIENNRQAFTPPGSRHSMPSRENIHNHPSNASNTPRPGYGNFRGPNGGYANHAGAPNTSRPSYGNFSASNDGIPKHPNAPNSPKTF >Vigun10g092300.1.v1.2 pep primary_assembly:ASM411807v1:10:26435060:26438446:-1 gene:Vigun10g092300.v1.2 transcript:Vigun10g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFTDLLSNSNNTLTMDDDPFGLEFANTFKQLQPLPPYSEFPPGFSPTTQSLNSPLLFSSQNVPASYTTDSSSMSFDMRNSSTDLGNKEEERNYSDFSFLTKTNDVPLFQSSTSMFQVEPLKKQDTMIFNEAAKQTDFSSERTETKSEYTTTKGFSTEIASIKREIQSNSTSGSVQFNSNNAFKSVREQRRSEDGYNWRKYGEKQVKGSENPRSYYKCTYPNCPTKKKVERSLEGHVTEIVYRGSHNHPKPHNRKNGSQSMHQTSSSCTISGISDQSLGEEDFEQTSQTSCSGGVDDDDLGPEAKRWKGENENDDYSNSSAGNRTVKEPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCVAPGCPVRKHVERAANDMKAVLTTYEGKHNHDVPLGRGNASYNRSSLNNNTSNVTAPAPIRPSAVNNYSNSASFINSLPDTKLSASASQEAVPMDMLLSPGSLGFSANDSFLQSFFSKNF >Vigun07g081600.1.v1.2 pep primary_assembly:ASM411807v1:7:11580519:11584451:-1 gene:Vigun07g081600.v1.2 transcript:Vigun07g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSRVSLLLFISSIFFTCFQGSTLCAVVSLRSIEVFKTHEWLKATTTVYFLCKGESKTVLLDVRKSHVLYAFSGEESWQPLSNFTSKKCKRCGFYEEDSITSDDVFDEWEFCPSDFTAPDGEYIRFKENQFVATFLCSECLSLAAVDEHDDDDGKGMHIVVVVLLSVLVSIILILGVVGAYKLWEKKIREQDQARLLKLFEDDDEIGDELGLGTVI >Vigun07g081600.2.v1.2 pep primary_assembly:ASM411807v1:7:11580519:11584452:-1 gene:Vigun07g081600.v1.2 transcript:Vigun07g081600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSRVSLLLFISSIFFTCFQGSTLCAVVSLRSIEVFKTHEWLKATTTVYFLCKGESKTVLLDVRKSHVLYAFSGEESWQPLSNFTSKKCKRCGFYEEDSITSDDVFDEWEFCPSDFTAPDGEYIRFKENQFVATFLCSECLSLAAVVSCSVVDEHDDDDGKGMHIVVVVLLSVLVSIILILGVVGAYKLWEKKIREQDQARLLKLFEDDDEIGDELGLGTVI >Vigun04g144500.1.v1.2 pep primary_assembly:ASM411807v1:4:35859714:35864334:-1 gene:Vigun04g144500.v1.2 transcript:Vigun04g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLEAKAKEAFVEDHFELAVDLLSQAINLEPNKAELYADRAQGNIKLNNLTEAVADANKAIELNPSLAKAYLRKGTACLKLEEYQTAKAALETGASLSPDDLRFVNLIKECDKLIAEESYTTIPIQEKTIAQDDNPKDVQQQDDLPEKPPVAVNKPKYRHEFYQKPDQVVVTIFAKKIPKESITVEFGEQILSVSINVPGEDAYVFQTRLFGKIVPSNCRYEVLTTKIEIRLEKAEPIHWSSLEFTKNVVVPQRVNASSVTASNRPSYPSSKQTRDWDKIEAEVKKEEKDEKLDGDAALNKFFREIYQDADEDTRRAMKKSFVESNGTVLSTNWKEVGSKKVEGSPPDGMELKKWEY >Vigun02g048700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18873716:18876602:-1 gene:Vigun02g048700.v1.2 transcript:Vigun02g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDYEEYVPVAKRRAIEAQKILQRKGKAPLVTDDDLEKLRVAESKPSLLVKASQMKREQPEISVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYSEPLPTGWKPPLHVRRMSKKECDLIRKQWHIIVDGGEIPPPIKNFKDMRFPDPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMVAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVVEQFLIPLKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAIAAFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNDEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANNRKDYFGSGGYRGEI >Vigun02g048050.1.v1.2 pep primary_assembly:ASM411807v1:2:18766662:18768177:-1 gene:Vigun02g048050.v1.2 transcript:Vigun02g048050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIVHRCESKYIVEVNNVLKDTHRKRIQETPFRWCLEVDNALEINCHLLREVLRRWVPQGEYIRVVYDVCICLGLSMVGKCVEFDVDVSGVVGSLFEKKLITL >Vigun03g220600.1.v1.2 pep primary_assembly:ASM411807v1:3:36683947:36690263:-1 gene:Vigun03g220600.v1.2 transcript:Vigun03g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuAP1 MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYATDSCMEKILERYERYAYAERQLVANDSESQGNWTIEYTRLKAKIDLLQRNHRHYMGEDLGSMSLKELQSLEQQLDTALKQIRTRRNQLMYESISELQKKEKVIQEQNNMLAKKIKEKEKVAAQHAQWEHPNHGVNASFLLPQPLLNMGGNYREEAPEVGRNELDLTLEPLYSCHLGCF >Vigun03g000300.2.v1.2 pep primary_assembly:ASM411807v1:3:37200:43865:1 gene:Vigun03g000300.v1.2 transcript:Vigun03g000300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCREVFSVSGSGLGAHAIALSLAPIVTASFCSKSLVLPFQNSPFKLKFTDSSSLALTHRKQLLPAALPLTQPSTSREDDFDFLVVNFYHFVFIQDPQAEVAKHRSFLEFQDLDINGRVYLNEQGINAQISPSENGHTFPALKLRYKPSLVQFEGGMSHLPLLDPSMRAIPLSPSEWKERLEAVNKTDLNRDFVLLDVRNGYEWDVGHFRGAQRPSVDCFRNTSFGLSQEEITASDPLSKVDKEKANILMYCTGGIRCDVYSTILRRQGFQNLYTLKGGVSHYLKNEGAAEWVGNLFVFDSRLSLPPSVYHTGATTEAELTPVSGDDKFANCHVCNLEVSELRHRNCANLDCNLLFLCCTKCVKDLRGCCCLTCTAAPRLRPVLNGMQRYKKWHNYRDMDLPEQGLIQLKCSND >Vigun03g000300.1.v1.2 pep primary_assembly:ASM411807v1:3:37200:43865:1 gene:Vigun03g000300.v1.2 transcript:Vigun03g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCREVFSVSGSGLGAHAIALSLAPIVTASFCSKSLVLPFQNSPFKLKFTDSSSLALTHRKQLLPAALPLTQPSTSREDDFDFLVVNFYHFVFIQDPQAEVAKHRSFLEFQDLDINGRVYLNEQGINAQYSGPSKDALAYVNWLREDNRFSDILVQISPSENGHTFPALKLRYKPSLVQFEGGMSHLPLLDPSMRAIPLSPSEWKERLEAVNKTDLNRDFVLLDVRNGYEWDVGHFRGAQRPSVDCFRNTSFGLSQEEITASDPLSKVDKEKANILMYCTGGIRCDVYSTILRRQGFQNLYTLKGGVSHYLKNEGAAEWVGNLFVFDSRLSLPPSVYHTGATTEAELTPVSGDDKFANCHVCNLEVSELRHRNCANLDCNLLFLCCTKCVKDLRGCCCLTCTAAPRLRPVLNGMQRYKKWHNYRDMDLPEQGLIQLKCSND >Vigun03g161700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17983794:17985140:-1 gene:Vigun03g161700.v1.2 transcript:Vigun03g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRVCESAITLIHRCKTLAHLLQLHSLFIKTSLDHHPFFISQLLLSASAISLPFATTFFHSLPTFPPLFAWNTLIRAFASTPNQHNSFSLFRLLRTSPLNPDCFTYPFLLKACARSSCLPLGGTLHSLTFKTGFCSDRYVGNALLNMYADCHAVSSARKVFEEMTVKDVVTWSSMIAAYVACNSPLDAFRVFHQMGQTNEKPNSVTLVSLLSACTKMLDVRAGESIHSYVIMSQMEMDVALGTALFNMYAKCGKIDEAVVVFNSMDGKNLQSCTVMMSVLADHGRHKDVISLFNQMEDMGLQPDSLVFAVILSACSHAGLVCEGKRYFDRMVRMYGIKPSVEHYGCMVDLLGRSGLIQEAYDIIKGMPMEPNGVILRSFLAACRNHGWVPSLDVDLLSKLESELGANYVLTANVFSTFASWKDANNIRVAMKQKGLEKVPGCSWVEV >Vigun05g234100.4.v1.2 pep primary_assembly:ASM411807v1:5:42786472:42790098:-1 gene:Vigun05g234100.v1.2 transcript:Vigun05g234100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLIILIITSQFEWKQQLVADVDSNPNVSQKQHQISKAEETVKEKIILVQEKNIQRLNELVRHLQEQLQQCRGRNGTINGTVSPLADRILELERQQILED >Vigun05g234100.1.v1.2 pep primary_assembly:ASM411807v1:5:42786407:42790187:-1 gene:Vigun05g234100.v1.2 transcript:Vigun05g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLIILIITSQFEWKQQLVADVDSNPNVSQKQHQISKAEETVKEKIILVQEKNIQRLNELVRHLQEQLQQCRGRNGTINGTVSPLADRILELERQQILED >Vigun05g234100.5.v1.2 pep primary_assembly:ASM411807v1:5:42786516:42790034:-1 gene:Vigun05g234100.v1.2 transcript:Vigun05g234100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLIILIITSQFEWKQQLVADVDSNPNVSQKQHQISKAEETVKEKIILVQEKNIQRLNELVRHLQEQLQQCRGRNGTINGTVSPLADRILELERQQILED >Vigun05g234100.2.v1.2 pep primary_assembly:ASM411807v1:5:42786472:42790114:-1 gene:Vigun05g234100.v1.2 transcript:Vigun05g234100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLIILIITSQFEWKQQLVADVDSNPNVSQKQHQISKAEETVKEKIILVQEKNIQRLNELVRHLQEQLQQCRGRNGTINGTVSPLADRILELERQQILED >Vigun03g140900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14076514:14078309:1 gene:Vigun03g140900.v1.2 transcript:Vigun03g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTFATAIALFFSYEPPSQDTPEKFVQCLNNYPNISNSISDVLYTQSNSAYSSVLNVTIQNLRYLNTIPKPQVIVTPLDVSHIQATIICAQRHGLQIRTRSGGHDYEGLSYVAESPFVIVDLLNLREVTVDAENKTAWVQSGALLGELYYRIYEKSNTLAFPAGVCYSVGTGGHFSGGGYGVLMRKYGLAADNIVDAQLVDVNGNLLDRQAMGEDLFWAIRGGGGASFGVVVAWKIKLVPVPPTVTVFNVGRTLEENATEIFQKWQLVASKLDERIYIRVDINRVNSREDGKKTVQLNFVSLFLGGVEELLPLMQKSLPELGLERKDCTETSWIGSAVFLNAVLIGTSGHEAPEFLLNRTQIYSGFFKAKSDYVRQPIPVDGLRGLWRFLSNDEIEFTQIELAPYGGRMDEISESELPFPYRSGYIFHIHYVTIWQTAGDEAAQRHMNWIRRLYKYMEPYVSNSPRAAYVNYRDLDIGVNNNGYTSYDQASIWGIKYFGNNFKRLATVKTKVDPYNFFRNKQSIPTLSEEEI >Vigun09g275300.1.v1.2 pep primary_assembly:ASM411807v1:9:43581679:43585959:-1 gene:Vigun09g275300.v1.2 transcript:Vigun09g275300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNNTMARTLFLIVFLSTIVVAAHGRGRHMTAQNVTYDGKSLFIDGRRELLFSGSIHYPRSTPDMWPVLLDNARRGGINVIQTYVFWNAHEPQQGQFNFEGNYDLVKFIKLVQEHGMFVTLRVGPFIQAEWNHGGLPYWLREVPGTIFRCDNEPYKQHMQAFVTKIVQMMKDEKLFAPQGGPIVLAQIENEYNHIQRAYGEKGDSYVQWAANMAVALNVGVPWVMCKQTDAPDPVINACNGRHCGDTFSGPNKPYKPAIWTENWTAQYRAHGDPPSQRSAEDIAFSIARFFAKGGSLVNYYMYHGGTNFGRTSSAFTPTRYYDEAPLDEYGLQREPKWTHLRDVHKAVLLCRKAILAGDTNVEKLNEFHEIRTIEKLGTNLCVAFITNNHTTDAATINFRGTDYFLPPHSISILPDCKTVVFNTQSIVSQHNSRNYERSPIANNFQWEMFNEAIPTTKKMDMYQNAPAELYTLLKDTSDYAWYTTSFELAPGDLPTKPGVLPVVQISSEGHTMVAFVNGDFIGTAHGTHEKKSFKFQRPVPLRVGTNYISILAGTVGLPDSGAYMEHRYAGPDYVNILALNTGTLDLTTYMWGHRVGIKGEGMKVFSDEGSLKAKWKPLSPIPRPLTWYRTRFATPEGTGPVAIRMTGMGKGMMWINGKSIGRHWMSYLSPIGKPTQSEFHIPRSFLNPQDNLLVIFEEEPLAPGQVEILNVNRDTICSFIAESDPPNVNSWVSRRGNFHPIVPYLGPQASLMCPPGKKIMTVEFASFGNPSGYCGEYILGTCNAAATKQIVEQECLGKETCSITLNRAVFNQNGADPCPNILVKTLAIQVRCS >Vigun03g225201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37442301:37443072:1 gene:Vigun03g225201.v1.2 transcript:Vigun03g225201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLVVDDTVFGVEREVRLVERVVVVASLGGLWWWRFRDDVESLVVMVRVSDFVGWWLYYLTLSIGSRRLRVVKCEF >Vigun01g228400.1.v1.2 pep primary_assembly:ASM411807v1:1:40097092:40102548:1 gene:Vigun01g228400.v1.2 transcript:Vigun01g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLTADAARALDEAVSVARRRGHAQTTSLHAVSALLSLPSSSLLRDACSRARNCAYSPRLQFKALDLCLSVSLDRAPSSHSHLSSDHDPPVSNSLMAAIKRSQANQRRHPDNFHFYHHQQTHHNLNINQNQNHQQPFSVSSVKVELQHLILSILDDPVVSRVFAEAGFRSSDIKLAILRPLRPRGPPIFLCNLSEPPRRFPFFFGGDDDGGENFRRIGEVLVRSRGRNPLLLGACAGDALRSFVEAVEKRREGVLPVELSGLKVVCIADEVASGDAEGVGKRVREIGSLAEQCVGPGVVVSFGDLKGFVSDEESGGEGLRGVVGELAKLLQVHYDKFWLMGAAASYESYLKFVGKFPCIEKEWDLQLLPITSVKPSESYQRPRSSLMDSFVPFGGFFSSQSDLKGPPNGSFYCVPHCHQCGERCEHDVLGASKERLSASSAADSHNSSLPPWLQIAEFGTSKGLNVKTKDNDVLLDSSESGPLRKSLDKLSQHLHQQDANTFPTVVGFQCGADKKKEDADNCSRKMTDKSPSDYINLNSHVPVGMQMMPVSHSSSPFPAVFKAKQERHTSKLAEIFQKVEDHESGDLRSCNMSNSSVCDGSQMSPTSVTSVTTDLGLGICSSPTSNKLKKPTVQYTMEPPKEIPSLFSSNLNLADGNILKHPSQSSSCLSFDYCGQVDTKNPKFLFEALSKEVSWQDEALQAIIKTIVCSSTKRVKHRGVNQPGDIWMNFVGPDRHGKKKIAVSLAELLYGSRESFIFVDLGYEEMKGCNAKFRGKTTLDFIVGECCKKPLSVVLLENVDKADILAQKSLSQAIKTGKITDSHGREVSVNNTVFVLSFSDYQNSCISTGEPSNYSEERILKANGAGIKLRVEHVIGDHRSQSIGVTNNSMDTIPNLNFLNKRKLIGDKEFHDQDILSDTAKRAHTASGWQLDLNLPAEENEQKLTNEGNPEHVPTQNQSLWLQNLCDLVDETVVFKPYDFDALADRLLNVIRGNFKKILGSECVLQIQKEVMDQLVAAQYVSDRDSEVEKWVEEVLCGGFTEIQRRYNLTASSIVKLGTYPEQAPGVHLPPRIILD >Vigun03g296000.1.v1.2 pep primary_assembly:ASM411807v1:3:48257507:48264922:-1 gene:Vigun03g296000.v1.2 transcript:Vigun03g296000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNNATPKPGTLGVSVTPTPPKSQRGHNKPKCKQCGNVARSRCPYECCKRCCSRNQNPCHIHVLKANSTFPDKTPSSGTSSHDHQPLEPPQSTSAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAVAINEWRFAKLQEYKERNIEVENEAFDRYMQNVDLLEEVLAVKSLDDDDVSSALDSNPSPMESNETMIPEFKLQLRSNSTRSDGLRTRIQQIVDEGLEKLEKSAVDGDTNESIDDEGNQASKRGKGIDMGIEMERLSAISDLMDKINKARTVEDLKLCLEMKSQIFNFHEGSCSIDLKDNEIIENETAESEEVPDLKDLDFTLPKYVTTAEVDQETLNMIDKHFCTFADVEHL >Vigun03g296000.2.v1.2 pep primary_assembly:ASM411807v1:3:48257507:48267937:-1 gene:Vigun03g296000.v1.2 transcript:Vigun03g296000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMSVLKANSTFPDKTPSSGTSSHDHQPLEPPQSTSAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAVAINEWRFAKLQEYKERNIEVENEAFDRYMQNVDLLEEVLAVKSLDDDDVSSALDSNPSPMESNETMIPEFKLQLRSNSTRSDGLRTRIQQIVDEGLEKLEKSAVDGDTNESIDDEGNQASKRGKGIDMGIEMERLSAISDLMDKINKARTVEDLKLCLEMKSQIFNFHEGSCSIDLKDNEIIENETAESEEVPDLKDLDFTLPKYVTTAEVDQETLNMIDKHFCTFADVEHL >Vigun03g296000.3.v1.2 pep primary_assembly:ASM411807v1:3:48257507:48267937:-1 gene:Vigun03g296000.v1.2 transcript:Vigun03g296000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMSVLKANSTFPDKTPSSGTSSHDHQPLEPPQSTAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAVAINEWRFAKLQEYKERNIEVENEAFDRYMQNVDLLEEVLAVKSLDDDDVSSALDSNPSPMESNETMIPEFKLQLRSNSTRSDGLRTRIQQIVDEGLEKLEKSAVDGDTNESIDDEGNQASKRGKGIDMGIEMERLSAISDLMDKINKARTVEDLKLCLEMKSQIFNFHEGSCSIDLKDNEIIENETAESEEVPDLKDLDFTLPKYVTTAEVDQETLNMIDKHFCTFADVEHL >Vigun03g296000.4.v1.2 pep primary_assembly:ASM411807v1:3:48257507:48264922:-1 gene:Vigun03g296000.v1.2 transcript:Vigun03g296000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNNATPKPGTLGVSVTPTPPKSQRGHNKPKCKQCGNVARSRCPYECCKRCCSRNQNPCHIHVLKANSTFPDKTPSSGTSSHDHQPLEPPQSTAGRVASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAVAINEWRFAKLQEYKERNIEVENEAFDRYMQNVDLLEEVLAVKSLDDDDVSSALDSNPSPMESNETMIPEFKLQLRSNSTRSDGLRTRIQQIVDEGLEKLEKSAVDGDTNESIDDEGNQASKRGKGIDMGIEMERLSAISDLMDKINKARTVEDLKLCLEMKSQIFNFHEGSCSIDLKDNEIIENETAESEEVPDLKDLDFTLPKYVTTAEVDQETLNMIDKHFCTFADVEHL >Vigun10g117800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32406314:32409337:-1 gene:Vigun10g117800.v1.2 transcript:Vigun10g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIITLLIFLLPFCLLNLSTNNIFVTGHCLGHQQSLLLHLRNNLIFNPTKSKKLIHWNQSDDCCEWTGVTCNKGHVIGLDLSQESISRGTDHLSSLFKLQYLQSLNLAHNDFHSEIPPEFKKLKSLRHLNLSNAGFEGQIPIEISFLTKLETLDLSNTVTSKHGLNGVFPENIFQIPSLQVLDVSYNPSLHGSLPNFPSQSSLYNFNLSHTNFSGPLPESIDNLKQLSKLDLSNCQFRGTLPYSMSNLTQLVHLDLSYNNFTGPIPSFSKSKAMVVLSLNHNHFTGTVPSTHFEGLTNLISIDLGDNSFDGRVPSSLSRLQSLQHLMLYYNKFDGVLDEFPNASVSSLEMLDLSGNNFEGPIPMSVFQLKRLRLLYLSKNKFNGTLQLGAIGRLQNLSSLDLAHNNLSVDARIDDASTFPSLKTLWLGSCNLRAFPEFLRNKSSLLYLDLSSNHIEGTIPNWIWKFDSMVILNVSYNFLTDFEGPFQNLTSNLFKLDLHSNSLQGPAPTFLKNAIYLDYSSNNFSSINFVDIANHIPFLYFLSLSNNSFHGKIHESFCNISDLRALDLSHNRFKGLIPMCLTGSSKLRLLNLGGNKLDGYISDTFSTSCSLRFLDLSGNLLRGTIPKSLANCQKLQVLNLGNNQLTDRFPCFLKSISSLRVMILRSNKLHGSIGCSNNIGNWETLQIVDLASNNFSGTLPSSLLLSWKTLMLDEDKGGRFGHLYFNLYDDFNPVNFITAIVDLSSELQIELAKVIASEPTLIIDHIISHVFEEGVGVRTYEDSVTIVNKGRQLNLVKILTAFTSLDFSSNSLEGPIPEELMNLTALHALNLSQNTFSGSIPSSLGNLKHLESLDLSENSLSGEIPTELAELSFLAVMNLSYNHLVGKIPTGTQIQTFEGDSFVGNEGLCGPPLTQNCDGGRKKLSPPASETPDSDTKGSIEWNILSVELGFTFGFGIYIFPLIFLKRWRMWYSNHVENILCKIVPQLDFVYVHRVGQNYRIMRWNPY >Vigun03g311200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50395340:50395882:-1 gene:Vigun03g311200.v1.2 transcript:Vigun03g311200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSKRHPLYHGIRCRGGKWVTEIREPRKTNRIWLGTFLTPEMAAAAYDVAALALKGGEAVLNFPDSVAKYPVPASKSPADIRSAAIAAAELMKSEVSRNNVNAVAQSDNTEGDGYGYDNAVGPSYETEFVDEEAIFSMPSLLVDMAEGMLLTPPRMSSPSDYSTENFVGENLWNF >Vigun01g092000.1.v1.2 pep primary_assembly:ASM411807v1:1:25314174:25319909:1 gene:Vigun01g092000.v1.2 transcript:Vigun01g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFHSSLCFLLFFFFFFFSSSLSDPHNNPLTLKGVDVEKPVLEFSPSVLSGSRGAKDALRCERVRVSGLSRVKLGSFANSFHVTLAPSASIPEKLHGKIQVCFHRNNTLGWCQCEKDEWRSVQKGVWNAVMSPFETRYVDVKINGEILDSVTVALEEDFQQWRLVCLAVGLILLLLAPIVSSWVPFYYSTSMAIGIFLVIIILLFQGMKLMPTGRKNIFYLTIYGSVVGAGSFLLHQFSLILNSIFQSLGMSEEMYNPVAIFVILGIILAGAALGYWIVRRFVISKEDGSVDAGVAQFVKWAMRIIGTTFILQSTLDPLLSIGALISCGIVCKLFSATKWLHGWYETSGYDDYSLQRVKGRRGRAEFLSKSTPKGKLWSSPKRKMWDSPRSLAWTDSPVRGVVTPSSGISSQSPGIHTGRDYYSTFHKTRNRKKFTKQEWDEFTRESTKQALAEWAASPEFTDWIVEHADRIKVLPSESSDETMGSESDSTDVGSGSGFRLFNWQ >Vigun03g442300.1.v1.2 pep primary_assembly:ASM411807v1:3:64477226:64483819:1 gene:Vigun03g442300.v1.2 transcript:Vigun03g442300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMFTVTLLSTSILFLLFFPKKSINPSSPPQPLQRDSSSSAMSRARSFVLWLHGLGDSGPANEPIKTLFTSPEFRDTKWSFPSAPSAPVTCNYGSVMPSWFDILEIPVTAESPNDESSLLKAVQNVHATIDREIAAGVNPKNVFICGFSQGGALTLASVLLYPKTLGGGAIFSGWVPFNSSITEQITPEAKQTPILWCHGMVDRTVLFEAGHAGPPFLEKNGVGCEFKAYPGLAHSINNEELRYLESWIKARLQSSSQ >Vigun04g047800.1.v1.2 pep primary_assembly:ASM411807v1:4:4127555:4129096:1 gene:Vigun04g047800.v1.2 transcript:Vigun04g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKFNVALFFFLICSSIYCGNAEAHQVALHVHNKCPFPIWPATAPNSGQPVIADGGFYLAPGQTERVIAPWTWNGRIWARTGCDFGSNWKPACKTGDCDGRLACNGLIGTPPATLVEVSFQGGKPNFYDVSLVDGYNIPVSVTPKITNPKCLIQGCLKDLRALCPPELEVLNSKGEVVGCKSACLAFDDDRFCCRNAYGTPGKCKPSVYSKIFKDACPNYFSYAFDSPTPLVSCASSEYVITFCPYAWGGAGEHKSE >Vigun08g112400.1.v1.2 pep primary_assembly:ASM411807v1:8:27853942:27857033:1 gene:Vigun08g112400.v1.2 transcript:Vigun08g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLTSLSSQSPTTKFKHWLMQWLDSAKTIDHLKQTHALFLKLLSQQPPHHYHHYMGRLLYRVLQFHGENANLCYAHQLFDTMPNCPNGFLWTSLIRAFLSHRAHVLHCISIYTRMHQNGILPSVLTFSSVLSACGRVPALFEGKQVHARVVQSGFIGNKIVQTALLDMYAKSGCISDARDVFDRMDDRDVVAWTAMICGYAKVGMMVDARWLFDNMGERNCFTWTTMVAGYANCEDMKAAKELYDVMSDKNEITWFSMIAGYGKLGNVEEARGVFDGIPALQSASACAAMLACYAQNGYAKEAIYMYEKMREEGIKITEVAMVGAMSACAQLRDIRMSNTLTDHLEEGCCDRTHVVSNALIHMHSKCGNINLAVKEFSIMRYRDMYAYSTMIAAFAEHGKSRDAINLFFKMQKEGLMPNPVTFIGVLNACSSSGLVEEGCRIFQIMTEVFGIKPLPEHYTCIVDLLGKAGQLERAYDLIKENATSADATTWSSLLAACRLYGNVSLGEITARHLFEIDPDDSGNYVLLANTYASKGKWEHAQVVKKLISEKGMKKPSGYSLIQ >VigunL073966.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:124879:125450:1 gene:VigunL073966.v1.2 transcript:VigunL073966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EISPWSTETAESTIHSLSGLDTSVSLLTQAPLVDYRNLPRLALVRDTPTVRWIDEKSATDRWPLSLKTNHLIRTFFGVQQIYEVPFLRSVRGVVFFRVGQPLGFLSSWPLFTLTHHLVMFYCADKVYPGQRFTR >Vigun02g148000.1.v1.2 pep primary_assembly:ASM411807v1:2:29536439:29547275:1 gene:Vigun02g148000.v1.2 transcript:Vigun02g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITSVELNYIIFRYLHESGFIHTAFAFGNEAGISKSSIDGTLVPVGALIRLVQKGLQYLEMEANLSNSDMNLDEDFSFLQPLDLITKDVHELGKMVNKKRKKLHKDRNKESEKEHEGGRGWVKEKERHERAKECEKDRDQAEDVKELEQKHGNQTGKELVTDQEHMVSVMHEKNKALEGLEPMDISTVSRLQPCEIHSSDVMVLEGHTSEVCACAWSPTGSLIASGSGDSTARMWSIPEGRCKSASLNDPPNVFVLKHVRAKPNEKSNDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSILSKHKGPIFSLKWNKKGDYLLTGSCDQSAIVWDVKAVRWKQQFEFHSGWTLDVDWRNNVSFATSSTDTKIHVCKIGENLPIKTFVGHQSEVNCIKWDPTGSLLASCSDDMTAKIWSMKHDKYLHDFREHSKEIYTIRWSPTGPGTNNPNKNLVLASASFDSTVKLWDVELGKLVYSLNGHRDRVYSIAFSPNGEYLASGSPDKSMLIWSLKEGKIVKTYTGDGGIFEVSWNKEGDKIAACFANNTVCVLDFRM >Vigun02g148000.2.v1.2 pep primary_assembly:ASM411807v1:2:29536951:29547296:1 gene:Vigun02g148000.v1.2 transcript:Vigun02g148000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLSNSDMNLDEDFSFLQPLDLITKDVHELGKMVNKKRKKLHKDRNKESEKEHEGGRGWVKEKERHERAKECEKDRDQAEDVKELEQKHGNQTGKELVTDQEHMVSVMHEKNKALEGLEPMDISTVSRLQPCEIHSSDVMVLEGHTSEVCACAWSPTGSLIASGSGDSTARMWSIPEGRCKSASLNDPPNVFVLKHVRAKPNEKSNDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSILSKHKGPIFSLKWNKKGDYLLTGSCDQSAIVWDVKAVRWKQQFEFHSGWTLDVDWRNNVSFATSSTDTKIHVCKIGENLPIKTFVGHQSEVNCIKWDPTGSLLASCSDDMTAKIWSMKHDKYLHDFREHSKEIYTIRWSPTGPGTNNPNKNLVLASASFDSTVKLWDVELGKLVYSLNGHRDRVYSIAFSPNGEYLASGSPDKSMLIWSLKEGKIVKTYTGDGGIFEVSWNKEGDKIAACFANNTVCVLDFRM >Vigun02g002750.1.v1.2 pep primary_assembly:ASM411807v1:2:1399233:1401327:-1 gene:Vigun02g002750.v1.2 transcript:Vigun02g002750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHFSYLSFLDSQADSQQNCSLMSEFSDFVHDICEQDYTSHFTTDDIFSTREDLIKWVRGVAYNLGFVVIILRSDKYNGQPGRKTHVLLGCERGGKYRKYKCDAEPSLSGTRKCDCPFRLRGRPISQGEGWVLNVKCGYHNHDVSSILVGHPYAGRLKSTEQSLLVDMTKSQVKPANILLTLKEKDDCNVTTLKQVYNARYSTYKTNKYRLPLHEIVGVTSTGLTFSAAFAFLSSEKQNNFIWALERLRGLFMTSEGGPQVIVTDRDLALMNVVGIVFPECYHLLCRFHIQKNVQAKCKMLVNYVDAWDVVLQAWENVMDCEDELKFNECVHRLELVCQPWPVFFEYVNDSWIIPYKKFFVKARTNKVMHLGNTTSNRVESAHWSLKKVLGSSMGDLCSCWDGIHTLLSCNTTKLRRLLKEV >Vigun01g023000.1.v1.2 pep primary_assembly:ASM411807v1:1:2419279:2420525:-1 gene:Vigun01g023000.v1.2 transcript:Vigun01g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSQIQKLESEVSMNASAEKFYDILCNKTHQLPKISPQNLLSVQIHKGQWGTQGSIISWNYLHEGKVSVVKEMVEDIDKEKKKISFRVIEGELLQHYKSFKIMIQLTPKQKGSTVHCVFEYQKQKDHIPDPHAILQITLDINNKINAYLTHNHN >Vigun10g050200.1.v1.2 pep primary_assembly:ASM411807v1:10:7799782:7805129:-1 gene:Vigun10g050200.v1.2 transcript:Vigun10g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDLRFFELNTGAKIPSVGLGTWLAEPGVVAHALATAINVGYRHIDCAQIYGNEKEIGDALKKLFADGVVKREDMFITSKLWCNDHLPEDVPKALERTLRDLKLDYLDLYLIHWPVSAKNGKLTKPDIPSTWRAMEALYNSGKTRAIGVSNFSVKKLQDLLNVANVPPAVNQVELHPSLQQLKLHSFCESKGVHLSGYSPLGKGYSESNILKNPVLLTTAEKLGKTPAQIALRWGLQMGHSVLPKSTNDARLKENFDLFNWSIPADLLANFSEIEQERIVTGSDFVSKTSPGYKTTEELWDVRLFTEGIFF >Vigun10g050200.2.v1.2 pep primary_assembly:ASM411807v1:10:7800083:7805179:-1 gene:Vigun10g050200.v1.2 transcript:Vigun10g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDLRFFELNTGAKIPSVGLGTWLAEPGVVAHALATAINVGYRHIDCAQIYGNEKEIGDALKKLFADGVVKREDMFITSKLWCNDHLPEDVPKALERTLRDLKLDYLDLYLIHWPVSAKNGKLTKPDIPSTWRAMEALYNSGKTRAIGVSNFSVKKLQDLLNVANVPPAVNQVELHPSLQQLKLHSFCESKGVHLSGYSPLGKGYSESNILKNPVLLTTAEKLGKTPAQIALRWGLQMGHSVLPKSTNDARLKENFDLFNWSIPADLLANFSEIEQERIVTGSDFVSKTSPGYKTTEELWDGE >Vigun09g143100.1.v1.2 pep primary_assembly:ASM411807v1:9:30574861:30577493:1 gene:Vigun09g143100.v1.2 transcript:Vigun09g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNADPSLPNVSTLTTEQIQKYLEENKELILAILEYQNLGKFAEIAQCQAKLQHNLTYLAKLADAGSQSPTPSQGQGMRQLQGAMSQPQPDLSTNFPFEIMNDQQQQLQQQHVTMSLHQPDIPSSKLPLQMNEQNYKMPLFLYQQQPIPGAPMVNFPGTNAAIYQASQIRFGNLPDTPSSNQTGSDSVPGWS >Vigun05g194600.1.v1.2 pep primary_assembly:ASM411807v1:5:37663930:37664542:1 gene:Vigun05g194600.v1.2 transcript:Vigun05g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSIAGLCFLFLVLFVAQEVVVQSEAKTCENLADTYRGPCFTTGSCDDHCKNKEHLLSGRCRDDVRCWCTRNC >Vigun11g083232.2.v1.2 pep primary_assembly:ASM411807v1:11:24733562:24734460:1 gene:Vigun11g083232.v1.2 transcript:Vigun11g083232.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKNTTKMNQLFEEYNKSWLFFCHEGRLMIYLKNTTKVIFQMNDVQVSRWMKCLKNIAKVETFVLLSVRSKYFCICFLVY >Vigun11g083232.1.v1.2 pep primary_assembly:ASM411807v1:11:24733570:24734460:1 gene:Vigun11g083232.v1.2 transcript:Vigun11g083232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKNTTKMNQLFEEYNKSWLFFCHEGRLMIYLKNTTKVIFQMNDVQVSRWMKCLKNIAKVETFVLLSVRSKYFCICFLVY >Vigun09g122000.1.v1.2 pep primary_assembly:ASM411807v1:9:27265123:27273663:-1 gene:Vigun09g122000.v1.2 transcript:Vigun09g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEDLSPTIGVDFKVKFLDIEGKRLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEIWSKEIELYSTNSECIKMLVGNKVDKESDRVVTKKEAVDFARECGCLFIECSAKTRVNVQQCFEELVLKILDTPSLIAEGAKSKKNIFKDKPANDATTSCC >Vigun03g150600.1.v1.2 pep primary_assembly:ASM411807v1:3:15757856:15767579:-1 gene:Vigun03g150600.v1.2 transcript:Vigun03g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSSVGFEGNGIVSPPPQALLERLKDFGQEDAFALWYELSPEERDLLVKDIESLDLSRIDRIIRCSLRSQGLPSAAIEPVPESSVSTVEERSQEDRERWWKMGLKAISDGKLAVLILSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCAQRLAAQATNENSASSVQIHWYIMTSPFTDEATRKFFESHKYFGLEAEQVTFFQQGTIPCVSKDGRFIMETPYRVAKAPDGNGGVYSALKSTKLLEDMASKGIKYIDCYGVDNALVRVADPTFVGYFIDKGVAAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYTELESSLASAVNQATGRLRFCWSNICLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFPYAPTTALFEVLREEEFAPVKNANGSNVDTPDSAKLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEPICRGRTFHAPCEITF >Vigun04g014200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1028164:1029691:1 gene:Vigun04g014200.v1.2 transcript:Vigun04g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNVACFQRSRGSLPFPCHLQLGTYATINMTTYITKKWDDGSYVESVEVSYIVEGSKCKLALQRRVRKDGNVNLLSFLLHDNIICSDKENLSLHIHKPSTHFLFPLDSNIPWTKTKNIHPRDGVADTKVYLYGSANRCGLLVWECKKTDNCLRHSCMTVTMAHYFVSSNGSAVVNRTSETTEIGFSVVVKVGVCDGKFDITVDGPEQHSVSALLYMFDQVNRSGIWKPSMCPHCANIQRECSSTPPNAVTIDNGGEFAGHGCGAFVGGNFYVYN >Vigun11g054200.1.v1.2 pep primary_assembly:ASM411807v1:11:9755441:9759191:1 gene:Vigun11g054200.v1.2 transcript:Vigun11g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLILRYLHFLGCCFCSDLLYTFSDVDRSLNFIEHTSHLGWKQEKRTMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTVVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKDQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun11g054200.3.v1.2 pep primary_assembly:ASM411807v1:11:9755441:9759185:1 gene:Vigun11g054200.v1.2 transcript:Vigun11g054200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKANMVTYRGPTMISHTLHACAILLKRTMDWDWFINLSASDYPLVTQDDRSLNFIEHTSHLGWKQEKRTMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTVVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKDQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun11g054200.2.v1.2 pep primary_assembly:ASM411807v1:11:9755441:9759185:1 gene:Vigun11g054200.v1.2 transcript:Vigun11g054200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKANMVTYRGPTMISHTLHACAILLKRTMDWDWFINLSASDYPLVTQDDLLYTFSDVDRSLNFIEHTSHLGWKQEKRTMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTVVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKDQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun05g161200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25663819:25665000:1 gene:Vigun05g161200.v1.2 transcript:Vigun05g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhH MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELPKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >Vigun02g006000.1.v1.2 pep primary_assembly:ASM411807v1:2:2709989:2713634:1 gene:Vigun02g006000.v1.2 transcript:Vigun02g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSITQPATRHGTSKLTKYDLADLEWTNRIPECPTYYPSDHEFEHPLVFLQKIAPEASKYGICKIVSPIAASNPAAFVLMKEKKDFKFETNLQPLRLSKWKEKETITFSMSGRKYTYHEFEALANKTFFSRFHCSEGLSSSCVEKLFWHEMMHGEKGTVEYGVNVEGSAFSCDPDDRLGTSKWNLKNFSRLLQSPLRLVDREIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHSGANKTWYGVPGYAASHFEKTVLQQVYCNKILTKHGEHGAFKFLAQKTTMFPPNVMLQHDVEVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFATDDWFPFGAAASRRYALLRMMPLIPYEELLCKEAMLVYKSSRVRSSKNKFEDTASYKAIVQPFLHLMQFYMTSLLRLKKSSRNLRSYSNTSGLLICRICHRDCYVAYLLCKNCFSHPICLFHDIAPNTCVCGRYYSIFKRNDILELEEAAKSFQQKKECCNETFSLSGLFSYNRNECIKHLEDNPWQQEKSVRGTANSPGTHVTDFWY >Vigun06g151600.1.v1.2 pep primary_assembly:ASM411807v1:6:27607918:27612102:-1 gene:Vigun06g151600.v1.2 transcript:Vigun06g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKEEEKKEETPEEKKEEEKKDEEEPPVIVLKVDMHCQACARKVAKALKGFEGVEEVTADSKASKVVVKGKEADPIKVCERLQKKSGKKVELISPLPKPPDEKKEEVKEPQPEKKKEEPPPVVTVVLKVRMHCEACAQVIEKGIRKIKGVESVETSLGNDQVIVKGVIDPEKLVDYVYKRTKKQASVVKEEEKEEKEEEKKEEEKEEKKEGEESKGDDDGEEEDENKSEIKRSEYLPMRSYVDYLDYLDYPYDSQIFSDENPNACSVM >Vigun03g333300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53117877:53122227:-1 gene:Vigun03g333300.v1.2 transcript:Vigun03g333300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFQQPPQTVRRLVVEVIDARNLLPKDGQGSSSPYVVADFDGQRKRTTTRFKELNPVWNEPLEFIVSDPDNMEFEELEVEVYNDKKFGNGSGRKNHFLGRVKLYGTQFSRRGEEALVYYTLEKRSVFSWIRGEIGLRIYYYDEMLTEEEKPPTQQPEEQGERPEQERNRPPPGMVVVEEGRVFEAPGPMEQCVPLPTGLPHSPHVVVVEESPPPVVHVPQDPPLPEMSEPPLSEMPFHPEVRKMQANRGERVKILKRPNGDYSPKDISSKKTGNESERVHPFDLVEPMQYLFVKIVKARGVAPPSEAPFVKVRTSSHYMRSKPASFRPNEPTESPEWNQVFALGYNKTDANSATLEISVWDSSTENFLGGVCFDLSDVPVRDPPDSPLAPQWYRLEGGTADQNPGRVSGDIQLSVWIGTQSDDAFPEAWISDAPHVAHTRSKVYQSPKLWYLRVTVVEAQDLNIAPNLPPLTAPEVRVKVQLGFQSQRTRRGSMNHRSLSFHWNEDLLFVAGEPLEDSVILLIEDRTSKEVALLGHIVVPLSSIEQRIDERHVAAKWFPLEGGPYCGRVFLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPPVGILELGILGARGLLPMKSKGGGKGSTDAYCVAKYGKKWVRTRTVTDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADVPEDRPDCRIGKVRIRVSTLESNRVYTNSYPLLVLTRTGLKKMGEIELAVRFACPSLLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRGAATKMVAQWLARSEPPLGHEVVRYMLDADSHVWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWKNPVTTVLLHILYLVLVWYPDLIVPTGFLYVVLIGIWYYRFRPKIPAGMDTRLSQAEAVDPDELDEEFDTMPSSKPPDIIRMRYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLAITLTLYAMPPKMVAVALGFYYLRHPMFRNPMPSATLNFFRRLPSLSDRLM >Vigun03g095600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8086357:8086986:-1 gene:Vigun03g095600.v1.2 transcript:Vigun03g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALATAKIFVRQACHLGDKHKLESRKQELSETNMSIVPFDSFLHQKAIISNSTEVSGLVNMPLEEAMEALANPNIDMVGVYGSSTLRTNNLIEKMSRRVKRDNLFDVTVMASVTKKPELKRIQEELGKMLGLRFGEETVGGRAELLCDRIKKEQRILIILNDLCAALNLERVGIPFGVHHKGCKIVFLSGSAHVLINQINTASNYFL >Vigun07g050900.2.v1.2 pep primary_assembly:ASM411807v1:7:5297940:5298516:1 gene:Vigun07g050900.v1.2 transcript:Vigun07g050900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYSLISMILTKVLLAATIVLLVSSGVSSKQFCRGTCTQFPECDAHCKFVGYERGTCVPFPTKGKIRCCCSQDV >Vigun11g049350.1.v1.2 pep primary_assembly:ASM411807v1:11:7980143:7980427:-1 gene:Vigun11g049350.v1.2 transcript:Vigun11g049350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GACEGEEEERREVLGCCLLVCRFVCLFFSAPKFFVPRVQSLQELVTWGFLLLLTLFLYLYHLSRRGNNFCMRFRSFIKATC >Vigun03g027100.1.v1.2 pep primary_assembly:ASM411807v1:3:2026823:2029112:1 gene:Vigun03g027100.v1.2 transcript:Vigun03g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEELDDAECSIDEQEKYERQIVSVEVKRAIVGAGARILFYPTLLYNVLRNKIESEFRWWDRIDEFLLLGAVPFPKDVPLLKNLGVGGVITLNEPYETLVPSSLYRAHGIDHLVIPTRDYLFAPSIVDINQAVQFIHQNATCGKTTYVHCKAGRGRSTTIVLCYLVEYKHMTPAAALEYVRSRRPRVLLAPSQWKAVQNYNKRRPCPLPYSPSGDAVLITKADLEGYHSTCDADMELAIVPKLPRTMPMIARLSCLFASLKVSGSSVPMIRRLPVSESRAC >Vigun03g048200.9.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3840633:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.3.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3841526:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.6.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3841527:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.10.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3841527:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSPKSHLHLHLLPHLRDLVPLPTPHTQSRALSMAATLISPTAETITGAIRSAKFIPKLHKSQSEARNKGSASSVAGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.5.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3841609:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.11.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3841289:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSPKSHLHLHLLPHLRDLVPLPTPHTQSRALSMAATLISPTAETITGAIRSAKFIPKLHKSQSEARNKGSASSVAGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.8.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3840633:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.7.v1.2 pep primary_assembly:ASM411807v1:3:3837137:3840711:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun03g048200.4.v1.2 pep primary_assembly:ASM411807v1:3:3837136:3841609:-1 gene:Vigun03g048200.v1.2 transcript:Vigun03g048200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKAPSWDLSEVDQGNLPNMETVEGSSRYGMFRSKGEFSVDLKLGQVGNSGTESVLTKSKDAVGLSKISSSSSSSASSKRPRALANATHTVSCLVDGCHSDLTNCRDYHRRHKVCEVHSKTAQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLTRSGSFLSNYQGTQLLPFSSSHVYPSTTVMNPTWGGIVASGEVRIHGHNQQHHNQGELFLGTTSPTGYKEGKQLAFMQGDHSLNNQSPHLAGGSVSQAQMFLRTGPCSESSGLRCKMLCDSLTSSVHDSSRALSLLSSPQPHSPGNGLSRMVNPHSPLMQPLGLSLHDGNSLGPVDPVLGLNGSDHSSSMYNIGSNGSQGNEAHPLFPFQWG >Vigun07g123200.1.v1.2 pep primary_assembly:ASM411807v1:7:22793837:22794529:-1 gene:Vigun07g123200.v1.2 transcript:Vigun07g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPENSVIISNDQKAITAHESPKKTDTHSSSKKTKRSKNIFKVALFMMRSGRSRKPKIIVDEESKSVWTKIVGSIRPLHMPTDKSTPHDDNSESTPQSKTTISSTPFANCIDHGDDAFDSASAFTRSSSRCSSRYASAVGLNEMVQEAENEKDGVKEKNINGDSNDAEGDEMIDAKAEEFIAQFYYQMRLQHLDVVDSDYQEISMRSLGL >Vigun01g159000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34089166:34089297:1 gene:Vigun01g159000.v1.2 transcript:Vigun01g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRFSPTQKGKRVPRRVWLSFQKSMGVLVGGNHTSSRFCTR >Vigun03g336500.1.v1.2 pep primary_assembly:ASM411807v1:3:53453903:53456423:-1 gene:Vigun03g336500.v1.2 transcript:Vigun03g336500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIALKTFVGLRQTSPDAPHFAAQPRLPPSHRKLRIVAGKFSPKLQGRNLRVAVVGGGPAGGAAAETLAKGGVETFLFERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDAYLRERAKENGANIINGLFMKMDIPKDNSSPYVLHYSSYDNKTGGAGEKRTLEVDAVIGADGANSKVAKSIDAGEYEYAIAFQERVKIPDDKMAYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQLATRKRAEDKILGGKIIRVEAHPIPEHPRPRRLLGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVEEGDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVVPGNPLEDLKLAINTIGSLVRASAIRREMNKLNA >Vigun01g021001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2209574:2209873:-1 gene:Vigun01g021001.v1.2 transcript:Vigun01g021001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPTSVTTFAVALLLILFFVASSAATAPAASPVHGIGVVSGAGGPVGGLGGSGDAGGVATAETPEAAKATTIMGSVSNSLTLIGISILVSMLAIFNH >Vigun11g172100.5.v1.2 pep primary_assembly:ASM411807v1:11:37747483:37752452:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun11g172100.1.v1.2 pep primary_assembly:ASM411807v1:11:37745631:37752452:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun11g172100.2.v1.2 pep primary_assembly:ASM411807v1:11:37745631:37752452:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun11g172100.3.v1.2 pep primary_assembly:ASM411807v1:11:37745631:37752353:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun11g172100.6.v1.2 pep primary_assembly:ASM411807v1:11:37747483:37752452:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun11g172100.4.v1.2 pep primary_assembly:ASM411807v1:11:37745631:37752353:1 gene:Vigun11g172100.v1.2 transcript:Vigun11g172100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGNQMLEGGGAPPPPGTDMTGICFRDQLWLNSFPLDRNLVFDYFALSPFYDWTCNNEQLRMRSVHPLDLSQLSKMTGTEYMLSEVMEPHLFVIRKQKRDSPDKVTPMLAYYVLDGSIYQAPQLCNVFAARIGRALYYIQKAFTTAASKLEKIGYAGSVDSENETALVESKTAKETIDIKEVKRVDHILASLQRKLPPAPPPPPFPEGYVPPPTGEAEKGTETQEAAETQAPTADPIIDQGPAKRMKF >Vigun09g128600.1.v1.2 pep primary_assembly:ASM411807v1:9:28557321:28562975:-1 gene:Vigun09g128600.v1.2 transcript:Vigun09g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAKLHHLDLTGAVILDARKPSVLRHLPPRVTTRANTNNTNISTNLICTNRFSGPLRPNSASSSGVTTVTVDDDIESLFSETASEEPRRRGKQQSSGASGVSSGVKLENVGKAYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQMRIIAGLEEPDYGNVIKAKPNMKIAFLNQEFEVSLSRTVREEFMSAFKEEMEVAGKLERVQKALEGAVNDLEFMGRLLDEFDLLQRRAQSVDLDEVDAKINKLVPELGFSPEDSDRLVASFSGGWQMRMCLGKILLQEPDLLLLDEPTNHLDLDTIEWLEEYLNQQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVISKAAWIEAQYAAWEKQQKEIEQTKDLIGRLSAGANSGRASSAGKKLERLQEEELVEKPFERKQMKIKFPERGKSGRSVVTIKNLEFGFEDKPLFKKANLTIERGEKIAIIGPNGCGKSSLLKLIMGLEKPTGGEVLLGEHNVLPNYFEQNQAEALDLDKTVLETVEEAAEDWRIDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYEGTVITVSHDRYFIKQIVNRVIEIKDGTIQDYAGDYNYYLEKNLDARDRELEREAELDSKAPKVKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGVKNSKRWN >Vigun10g133700.1.v1.2 pep primary_assembly:ASM411807v1:10:34346092:34350460:-1 gene:Vigun10g133700.v1.2 transcript:Vigun10g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYDAAFVDTELSKRTSIFGLRLWVVIGILVGSIIVIVLFLLSLCLTSRRRHHHKTPLRRRAAAIPTPPISKEILEIVHVAPPPETVNLPPPKAEPRAAAVLYSSGESRGALSLCETASSLGSGSVGPEVSHLGWGRWFTLRELEAATNGLCEENVIGEGGYGIVYRGLLPDGNKVAVKNLLNNKGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGAVSPVTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLSADHSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMEIITGRSPVDYSRPQGEVNLIEWLKSMVGNRKSEEVVDPKLAEKPSSRAIKRALLVALRCVDPDAAKRPKIGHVIHMLEAEDLLFRDERRTEGESSRSHRDYQLEHKGSGLDKRQTGGEINSQSEDDSSSSRYHNHQPNRWR >Vigun01g038800.1.v1.2 pep primary_assembly:ASM411807v1:1:5272054:5284390:1 gene:Vigun01g038800.v1.2 transcript:Vigun01g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHQRSFTAAKNGPSPTTADQIYKRRKTPTNILSLDPDIVCTIFALLDMFDLVRCSLVCKLWNAIIETRSLREFCERNVKNSSSEFTGKPLRVILGEIAMEQHRLALLRGGIYVDQWKGHSTTVAQCRMKMGVLGTGVGDKVIRLWSLDSQKCIEEHSIPDTFPLIDFDFDESKIVGLIGSHLCIWRRNGKRSIFPSLEGKFVKGSCMRYVDPEAVVGCDDGAVRVFDMYSRRCSQIIRVHPLPITCLCLNEDRLIVSGSTSGSIRISDPSSVQQVATLRTSDARGIRTMCFNPSSQLLFAGSAVGRAYCWDLRTRKLLWSNRVSPNVIYSLQHMQNDTSTLVVGGIDGILRLLNQNDGKTVSCFVVGDRLLSTFQSPSGFIQRRKGQRLPEDNIINIEPLVPRTDRPSITCLAVGMKKIVTTHNTNDIRLWKFES >Vigun03g008100.1.v1.2 pep primary_assembly:ASM411807v1:3:535984:540515:-1 gene:Vigun03g008100.v1.2 transcript:Vigun03g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTNRTTTPPTVAEHIKWRRPRSQFNHQHHHPIPESDPNPQIPSIIQSTRGKSTISSLLLSTFSNNTSSSNNDTPIINATAQSKKKSNFSAATFRGLGCTAGASQQVSVPAVIRSSADWQGKKTRKKKHKRTTGGGKNKTFHGGVLEGSNPGCVDFQDVWCGPGIGFSADTAASVDCVVARKNVSARGKIDVDKITHRERSSYVGRRTETFTFLDTDSDIFTPRSASDSYGTATYYRHVRDPSSDGFAEIMMLQGSLLMGGQLNSHDQFRDWRLDVDNMSYEQLLELGERIGHVNTGLKEDEMGRNIRKARLQFWDDTSKHQIDKECSICQEEYEAGDELGRLNCEHSYHFQCIKQWVSQKNFCPVCKQQVAARH >Vigun02g164100.6.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897127:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun02g164100.4.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30902493:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGESSSFVVGIIENRAKEVGLAAFDLRSASLHLSQYIETSSSYQNTKTLLHFYDPIVIILPPNKLVSNCSAAVTELVDRFYGSVKKVVLARGCFDDTKGAILIKNLAAKEPSALGLDTYYKQYYLCLAAAAATLKWTEAEKGIVVTNHSLLVTFNGSFDHMNIDATSVHNLEIIEPFHSTLLGTSKQKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITRREENGS >Vigun02g164100.10.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897127:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKALSLRKERHVSPIMGFC >Vigun02g164100.5.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30902493:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGESSSFVVGIIENRAKEVGLAAFDLRSASLHLSQYIETSSSYQNTKTLLHFYDPIVIILPPNKLVSNCSAAVTELVDRFYGSVKKVVLARGCFDDTKVTFNGSFDHMNIDATSVHNLEIIEPFHSTLLGTSKQKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun02g164100.3.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897127:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun02g164100.1.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30902493:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGESSSFVVGIIENRAKEVGLAAFDLRSASLHLSQYIETSSSYQNTKTLLHFYDPIVIILPPNKLVSNCSAAVTELVDRFYGSVKKVVLARGCFDDTKGAILIKNLAAKEPSALGLDTYYKQYYLCLAAAAATLKWTEAEKGIVVTNHSLLVTFNGSFDHMNIDATSVHNLEIIEPFHSTLLGTSKQKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun02g164100.8.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897126:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun02g164100.9.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897127:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTKTIGGTRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKALSLRKERHVSPIMGFC >Vigun02g164100.11.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897126:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKALSLRKERHVSPIMGFC >Vigun02g164100.12.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897126:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKALSLRKERHVSPIMGFC >Vigun02g164100.7.v1.2 pep primary_assembly:ASM411807v1:2:30890348:30897126:-1 gene:Vigun02g164100.v1.2 transcript:Vigun02g164100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLCQILRKFPKETDRVLCHFCFKPKKVIAEGLAIERAKKSQVLVSSVILLKTALDALPLLSKVLKDAKSSLLSNIYKSVCENEKYDLIKKRIGEVIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRRSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPSKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDNIRENVSVLTLLAEVLCLLDMIVNSFAHMISTKPVDRYTRPEFTENGPLAIDSGRHPILESIHNDFVANNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQVGCYVPARFSTLRVVDRMFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSRRSLIVMDELGRATSSTDGFAMAWSCCEHLLSLKAYTIFASHMENISELATIYPNVKILHFHVDLKNNHLDFKFELKEGTTRIPHYGLLLAEVAGVPSSVIETARTITSRIAEKEEKRMEVNCIQHYSIQRVYHAAQRLLCLKYCNQDEDTIRQALRNLKETCTNH >Vigun09g059500.1.v1.2 pep primary_assembly:ASM411807v1:9:6067898:6072344:-1 gene:Vigun09g059500.v1.2 transcript:Vigun09g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADFRRPVRRRFAYWIWLLLGAFSLAGLVLFFLQHHHREYHVHRPFLERNAKVENSPKRLNFTEEILSPASFSRQLSEQMVLAKAYVIIAKEHNNLHLAWELSSKIRSCQLLLSKAAMTGEAVTMEEAGPVIKSLSSLIFKAQDVHYDIATTIVTMKSYIQALEERANKATIQSTVFAQLSAEALPKSLHCLNVKLMTDWLKMTSWQKFSHESRISPQLTDNNLYHFCIFSDNVLATSVVVNSTVINANNPNRFVFHIVTDGIIYGAMKEWFHSNDLKGATIEVQNIEEFHWLNESYAPIIKQLHSPESRTFYFGPYQGVNVEPKLQNPKFVSLLNHLRFYIPEIYPLLEKIVFLDDDVVVQKDLSPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNSIISSKFDPQACGWAFGLNIFDLGAWRKANVTAKYHYWQEQNADGTLWKLGTLPPALLSFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKLAIGRYKPLWHRYINESHPHLQDCATS >Vigun08g196300.1.v1.2 pep primary_assembly:ASM411807v1:8:36235437:36238605:1 gene:Vigun08g196300.v1.2 transcript:Vigun08g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICTVKQPAISIGASDVLLRKKGSTLASAQSCSLVVPSSHRREKTVRSLLSVQKPLHLSCVGLGNFVRSPVRRERGDLGTCRAYEAERPEVEGAADPSEAAKKVKIGLYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATGIAEAPKTDLEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLIPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKAVSGMNYYACLSMLSLVILTPFAIAVEGPQMWAAGWQTALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAVLGTFLYSQAKQ >Vigun08g074500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12792341:12794134:-1 gene:Vigun08g074500.v1.2 transcript:Vigun08g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTFMLLFTILIVAVAASSSCPMDLTYVKTIPWNASICNKDPVNKDLCCQELSSIFGIGLAEHLKETSMFYLPDLNTSSTCLSDFKHNLAAISVKPNIVSFCFPNPTQFVTNSSACAGITTLQDWNQKVAMDGPVKTSCKGDLKDQTLCSNCIDAALELVSNLKRTDPNANRCFYYTVLYVAAVANPFGTTDLSTTGCILGMTQPSNAGRKGSSNKRRKKIKLVITLLGVVVGVVFGFALALMVVYRKWDKRRKENVRRREVENEVRAGVLPGTGAKWLQISELERGTSKFSESNVIGKGGDGIVYKGALSDGTVVAVKEIFDLESKGDEEFCYEVGIISTIKHRNLLALRGCCVTSDSLKGKRRFLIYEFMPNGSLSDHLCCYGGNRLTWPERKNIILDVAKGLAYLHYEIKPPIYHRDIKATNILLDSKMHAKLADFGLAKQGNDGESHLTTGVAGTYGYVAPEYALYGQLSEKSDVYSFGIVILEIMSGRKVLDALNSSTDSVTDWVWALAESGKMEEIFDESIREGSVKNMVRFVHVGMLCAHLVVAFRPTIVEALKMLEGDIEIPELPERPVPLGHASFQSSLLHGLQRSG >Vigun04g033300.1.v1.2 pep primary_assembly:ASM411807v1:4:2744508:2748045:-1 gene:Vigun04g033300.v1.2 transcript:Vigun04g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSHKLFPTILFLFFFFPSTFSDLSSERAALLALRSAVRGRTLLWNTTFRSPCVWPGVQCDAANATVVELHLPAVALSGELPDGVFPELPNLHTLSLRVNSLSGALPADLASCTTLRNLFLQQNHFTGEVPAFLSGMTGLVRLNLASNNFSGPFPARFGNLTRLRTLLLENNRLTGSLPGLEELGELAQFNVSYNMLNGSVPKKLQTFDKDSFLGNTLCGKPLGICPWDDGGANGSSNSSGVGGGGGSVIGGEKKKGKKLSGGAIAGIVVGCVVALLLVVFALILLCRSGKKTRSVDNVSNVVVLKEEPQQHGEVGIEGGNVESGGGGGDSVAASAAAAVAAVGGGSGGEKKLVFYGNKVKVFDLEDLLRASAEVLGKGTFGTTYKAVLEDGPVVAVKRLRDVTVSEKEFKEKIDGVGVMDHQNLVPLRAYYYSRDEKLLVHDYMPMGSLSAILHGNKGAGRTPLNWEMRSDIALGAARGIEYLHSQGPSVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPSSTPNRVAGGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWSSEVFDIELLRYHNSEEEMVQLLQLAVDCVVPYPDNRPSMSQVRLRMEEFRRSGAMKEGTQDQIQQPHFIDDDIQDVSSSR >Vigun11g065700.1.v1.2 pep primary_assembly:ASM411807v1:11:15863878:15868050:1 gene:Vigun11g065700.v1.2 transcript:Vigun11g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSVGKRRDEACATAPSLFAIPLLLDEHNATAFDSESDDVNCVHRRPEPGPEFVESLDRSICVCCDDKREEGVLVCSERLCPVTVHSNCIGSEPKFNDSGNFYCPYCWYKRALDTCQQLREKSLVAKKALSHFLESGARADSAVARADSAAERAGLVQDGIKCVEETQYEENNDTDEEKVSVSVTVSSVGETNDEEPNVVSAEKRKNQRKDPSARRKGLLQQEEHKHCNTRRKIDGNVKEEEVTSSRRPQRSIVKGMNRTSLPAKRKRLLWTVEEEKVLKEGVLKFSQENKNIPWRKILEFGCRVFDKTRTPIDLKDKWKSIISKKGYTPDPASPLMIF >Vigun11g068001.1.v1.2 pep primary_assembly:ASM411807v1:11:19857292:19860192:-1 gene:Vigun11g068001.v1.2 transcript:Vigun11g068001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDNTESPSQALTQLCASSKVSLEDDFIKLHPRSSIEGLKDFKQESTFVLKATIKHVLDHDDWWYTSCICNKAVYPDSKMFFCEKCNKHVIKVTPRYKLKLRVINATDSTTFVVFDRDASAMLKKSCFDILDLQDKNTAAGDLPKEFEVLIDKTYLFKVECKNDYNTKFEQSFRVKKVCMDEKVIESFSDVEIKSLDLYSTNEEESKLNERTNQISSDSIAEDLLIKFTEESNDVETLSDDLNNIVSSHVPPKESLKNKNVIDVQIHELTRKESSHLDNLDLATQPPLPALKRQSRSMVQENKKIPVKMLKKNIKIEK >Vigun08g206800.8.v1.2 pep primary_assembly:ASM411807v1:8:36993678:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRQQEKVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTGTVMSIQVERSDKDSSLAGLDDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.4.v1.2 pep primary_assembly:ASM411807v1:8:36992071:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTGTVMSIQVERSDKDSSLAGLDDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.1.v1.2 pep primary_assembly:ASM411807v1:8:36992071:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATTSAEPSPSSELCLNGASEDPNRGGSGQNSTARYKLIAPAKLPISRSPCITIPPGLSPTSFLESPVLLSNMKVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTGTVMSIQVERSDKDSSLAGLDDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.5.v1.2 pep primary_assembly:ASM411807v1:8:36993561:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTGTVMSIQVERSDKDSSLAGLDDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.3.v1.2 pep primary_assembly:ASM411807v1:8:36992071:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.6.v1.2 pep primary_assembly:ASM411807v1:8:36993561:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.7.v1.2 pep primary_assembly:ASM411807v1:8:36993678:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRQQEKVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun08g206800.2.v1.2 pep primary_assembly:ASM411807v1:8:36992071:36997833:1 gene:Vigun08g206800.v1.2 transcript:Vigun08g206800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATTSAEPSPSSELCLNGASEDPNRGGSGQNSTARYKLIAPAKLPISRSPCITIPPGLSPTSFLESPVLLSNMKVESSPTTGSLRKLQQTVHGSKASATFALTTACFNTNTVDDRKSSFFEFKPLNRLNMVPVDFNNHVIKQSTQVEGLGKAQSFVSSPLGESEIAVPANELSLSSPVQMVSSSPSAPVDVDLDDVNHKSNTATGLQASHVEVRGNGLSVAAEKTSDDGYNWRKYGQKLVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSCRYSTDKASSMYGQLSHAAEPNSASEPSPVATNDDGLEGVGFASNRISDDADDDDPFSKRRKMELGNVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNSSHDMAVAAAGQTRIKLEESDTISLDLGMGISSAAEHRSNAQGKIMHSEFGNTQTHTSNSNFKFVHTTSAPVYFGVLNNSSNPYGSRDNRTDGPALNHASYPCPQSMGRILMGP >Vigun05g233300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42707770:42708255:1 gene:Vigun05g233300.v1.2 transcript:Vigun05g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKRKRFMRALFKTNGGCGCRNPKSYEVLQPSIHQNTTNPTTSGDNDNNVFSEVHTNHENPNNDNMRKPNSKLIDTVAVEKDSKDPHKDFRESMLQMIFQRQIFTKTDLQDLLECFLRLNAADNYQVIVQAFMEICHETFPKKNINDADANAASFNNKI >Vigun08g045400.1.v1.2 pep primary_assembly:ASM411807v1:8:4795627:4797501:-1 gene:Vigun08g045400.v1.2 transcript:Vigun08g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCLDTSLNLNVHPSSLHTDMVLEEELQRLRRENKRLTEMLTHLCDSYMVLQKQLTQLMNTNLEQEQLESRKRKAESECCTNKFGVVNNNNNNNGECSSITEDSFKRYKDFSSSPKVQKVLVKTEASNNSLYVMDGYQWRKYGQKVTRDNPSPRAYFRCSFAPTCPVKKKVQRSLEDPTILVTTYEGEHNHCNERGEIGSKQSEGGKGSSPTVRLDLVKSGLFESAQKSSIQQFLVQQMATSLTRDPNFTTALATAISGKILEAKW >Vigun01g180200.1.v1.2 pep primary_assembly:ASM411807v1:1:36101520:36111704:1 gene:Vigun01g180200.v1.2 transcript:Vigun01g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHHGNSEIQSGAADGLQTLVLMNPGYIHYPDAPSQPPPSHAAGNLVFLNPAAVAGANSNNNFNPHAPPSHTQQFVGIPLPASQDLNHHSMHGHHDVSALHGFLPRMQYNHWNALDPASAARETPRAQQGLSLGLGSFREGQAPGMSGEDLRVSGSSPSSASGVTNNGASGIQSVLLSSKYLKAAHELLEEVVNVNNGIGTELGKKSGGQTKVIGESSAAGSGDGSVGGEGNGKRSSELSTAERQEIQMKKAKLIAMLDEVEQRYRQYHQQMEIVVSSFEQAAGIGSARTYTALALQTISKQFRCLKDAIAGQVRAANKSLGEEDCFGGKIEGSRLKYVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEMKDHEQNGSEDKSSKSNEDSSTKMAAPPEKGPSGETEAKSFNSKQEVSKSQNTGMVSISRASTSPLGGSVRNQSGFRFMGSSELEGITQGSPKKARNHEMMHSPNSVPSMNIDVKPNEANNEQLSMKFGEERQGRNESSFMGNQTNFIGGFGQYPIGDIGRFDAEQFAPRFSGNGVSLTLGLDSLPGTHQTFLPNQNIQLGRSLDIGEPNEFGSINTSSPHSSAAYESISMQNPKRFAAQLLPDFVT >Vigun07g220900.1.v1.2 pep primary_assembly:ASM411807v1:7:34371018:34373904:-1 gene:Vigun07g220900.v1.2 transcript:Vigun07g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDTDSFVEKMEEKFHDNDSDSESYSDSDDDHSNRPPVKAAGSNVYRLFGREQPVHKVLGGGKPADILLWRNRKSTGIALGAGTAVWVFFEMMEYHLITFICHLLIMFLGGLFLWSNASVFIHKSPLHIPHIVIPEDCVLEAASAIRNEINRAFVVLREIGTGRDLKKFLSVVAVLWVISVIGGWFHALTLFYLFYLSLFTFPLLYEKHEDQVDAIGEKAMIEIKKQYAVFDAKVLSQIPIAGLKKD >Vigun09g041700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3854498:3855426:-1 gene:Vigun09g041700.v1.2 transcript:Vigun09g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGKLTKLKSVLKKWNSFSSKQSQATITSVASDGDSSSLRPVYVGKTRRQYLVSAEVIGHPLFRELVDRSRDSSSEEEEDSINVACEVVLFEHLLWMLHNADPQPESLDELADFYAC >Vigun03g298700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48669252:48670412:-1 gene:Vigun03g298700.v1.2 transcript:Vigun03g298700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRPCLAAKKRQAAASQVGMAAPAEIEGEKVAETSNGTGGDGGRESRGDGVILGCSTLLQFVFWFECRVIKHAGLDLKQFVEGIKEGVAGSKALEIFGERMIEGDFRPGGFAEYQVANGQGKLGTQGVISVIQNINGMNQ >Vigun08g207000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37001351:37004351:-1 gene:Vigun08g207000.v1.2 transcript:Vigun08g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHKMSYEAVQNLGSCCFLQSENLDYYSSSDNSSHATYPSVRTLEQYCTLESSTKNSFPSLNSPSTVSFSPNYSPLSKLQPKSYVLSSHNSLEIANDSLENESCLTHNDNELRHKIRELESAMLGHDADILDMYDTVIPEESHSFLLEAERWKKMMEMISRGDLKEMLCACAIAVAGNDMETTEWLMSELRKMVSVSGNPIQRLGAYMLEALVARLASSGSTIYKALKCKEPTGRELLSHMHLLYEICPYLKFGYMSANGAIAEVMKGESEVHIIDFQINQGIQWVSLIQALAGRYGGPPKIRITGFDDSTSAYAREGGLEIVGERLSALAQSYNVPFEFRALEVSPTEVDIKDLELRPGEAIAVNFAMMLHHLPDESVDGQNHRGRLLRLAKCLSPKIVTLVEQESHTSNLPFFPRFVETMNYYLAIFESIDVALPKENKERINVEQHCLAREVVNLVACEGAERVERHELLKNWRSRFTMAGFSTYPLNSFITSSIKNLQQSYQGHYTLEERDGALCLGWMNQVLITSCAWR >Vigun09g108750.1.v1.2 pep primary_assembly:ASM411807v1:9:22928646:22929322:1 gene:Vigun09g108750.v1.2 transcript:Vigun09g108750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLIKIYAVDSVLLLYLLLKELVKEKKMVPTFTAFTKDFRLSFFYDPGLLCTSHYWCPHFTKRNRTPRE >Vigun10g186300.1.v1.2 pep primary_assembly:ASM411807v1:10:40259986:40264488:1 gene:Vigun10g186300.v1.2 transcript:Vigun10g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSLGGLQEHLKLARDYAVEGLYDTSIIFFDGALAQINKHLSTVEDPLIRAKWMNVKKALSEETEVVKQLDAERRAFKENPIGRRAVSPPIAAKSSSFVFQPLDEYPTSSSGPAPFDDPDVWRPPSRDTSRRPARAGQVGTRKSPQDGAWARGATARSAATGRGGAKGGGATSRVNSGTRASAAGKKGNVSGKSTKTDTAAVTNGQNGDSEDGKSKKGQYEGPDPELAAMLERDVLETSPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVSNSATNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVNIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALVKVQRSVSQADIERHEKWFTEFGSA >Vigun04g027800.1.v1.2 pep primary_assembly:ASM411807v1:4:2137563:2138968:1 gene:Vigun04g027800.v1.2 transcript:Vigun04g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLYINRDWVSAMNSHKLGMARIALSSMSLSFFIIFVMAFTFFMQPIPVTADLKMRKLGVRPSPPPPPRSGPSTNVKPVFHSPPPPISMA >Vigun05g124450.1.v1.2 pep primary_assembly:ASM411807v1:5:13892022:13893819:1 gene:Vigun05g124450.v1.2 transcript:Vigun05g124450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEADVKVSLKLIVNKEIKKVLFAEAKKDFVDILCSFLTLPLGTIARLVENESNMGPLPIGSLNSLYHSVAALDSRCLWAQENKEMVLRPRNTAQDFCKTLKINIDDTHHTKRFFSTGSFFKCSENEFNGFVNDATTFVITDDLILMPKSVDRTRLAQLQDLGIEGPISLKEMTVKVTKKKVLDLLKCSLLSKSCLTVLLQKKPRIQRPTFLSHSVENTSGIKFNLKLFIRKSDGKVLYAQGGNEIANMLLSFLTFPLGGVVRKLEGNSCVGSIDALYNSIVDMNENYFMSKSAKNRLVDPYLLLLMSLISFETSKTNDGNEKEPRMFVVTDDLVVTQSSPTSDLNLDSSSLDLKEKVVAIGLVECLNILKASLSSTSALTIGLAHLLPEFIGCSSDIACSPDIACSPDIACSSEGSDSACSSDGGYSSYDACSSDDADSFVLI >Vigun06g205200.2.v1.2 pep primary_assembly:ASM411807v1:6:31938629:31941894:-1 gene:Vigun06g205200.v1.2 transcript:Vigun06g205200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIICTLVFILILSLKPATVCSRERQWRMRSGENDLMPDLVTDLPGQPHVDFQHYAGYVTVSETSGRALFYWFYEAITKPQEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTDGKSLMFNNFTWNKEANMLFLESPVGVGFSYSNTTTEYAQLGDDFTANDAYTFLHNWFLKFPLYRTRTFYIAGESYAGKYVPELAELIQDRNNKDPSLHIDLKGIMLGNPETSDAEDWSGMVDYAWSHAVISDETYKTIKASCDFNSSDPWSNKDCSEGVDEVLKQYNEIDIYSLYTSTCFASTARSNDPSMQMVMLRSSKMMPRIMGGYDPCLDDYAKTFYNKPEVQKALHASDGHNLRNWSICNDSIFKRWKQSQTSVIPIYKKLISAGLKIWIYR >Vigun06g205200.1.v1.2 pep primary_assembly:ASM411807v1:6:31938629:31941894:-1 gene:Vigun06g205200.v1.2 transcript:Vigun06g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIICTLVFILILSLKPATVCSRERQWRMRSGENDLMPDLVTDLPGQPHVDFQHYAGYVTVSETSGRALFYWFYEAITKPQEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTDGKSLMFNNFTWNKEANMLFLESPVGVGFSYSNTTTEYAQLGDDFTANDAYTFLHNWFLKFPLYRTRTFYIAGESYAGKYVPELAELIQDRNNKDPSLHIDLKGIMLGNPETSDAEDWSGMVDYAWSHAVISDETYKTIKASCDFNSSDPWSNKDCSEGVDEVLKQYNEIDIYSLYTSTCFASTARSNDPSMQMVMLRSSKMMPRIMGGYDPCLDDYAKTFYNKPEVQKALHASDGHNLRNWSICNDSIFKRWKQSQTSVIPIYKKLISAGLKIWIYSGDTDGRVPVLSTRYSLSILDLPITKKWRPWYHEKEVSGWYQEYEGLTFATFRGAGHAVPCFKPSNSLAFFSSFLHEQSPPSTK >Vigun03g193500.1.v1.2 pep primary_assembly:ASM411807v1:3:26985590:26991094:-1 gene:Vigun03g193500.v1.2 transcript:Vigun03g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNSPVETDFVEVTLEEGISGSVKVHKVVPPPYKSSLQKFFAKVKETFFPDDPLRQFKGQPLPRKLILGAQYLFPLLQWAPTYNLNLFKSDLISGLTIASLAIPQGISYAKLANLPAIHGLYSSFVPPLVYVVLGSSMDLAVGPVSIASLVLGSMINEEVSATEEPDLFLKLALTSTFFAGLFQAALGILRLGFIIDFLSKAILIGFMGGSAVIVGLQQLKGLLGIKHFTSKMAIIPVLSSVFHNIDEWSWQTIVMGICFLVFLLGARHVSMKKPELFWVSAGAPLLSVIISTALAFAIKAQRHGISVIGKLPRGVNPPSADKLLFRGDHLGLAIKTGLITGLLSLTEGIAVGRTFATIRNYKVDGNKEMMAIGFMNVVGSTTSCYVTTGSFSRSAINHNAGAKTGMSNVVMSVTVLVTLLFLMPLFQYTPNVILGAIIITAVIGLIDISSAYLIWKIDKFDFIVMLTAFLGVIFISVQVGLAISVALSVLRILLQVTRPKTSMLGNIPATNIYRNIHHYKEAIRVPGFLILRIEAPINFANITYLNERIYRWVEEEQATINENVCLQFLILDMSAVSTIDTSGVSLFKDLKNALTMKGVSIVLVNPLAEVIEKLQKTDDAHHFIRAEFLFLTVGEAVASLSSAMKRQTPTVQDARGDLQL >Vigun05g205100.1.v1.2 pep primary_assembly:ASM411807v1:5:39420212:39427825:1 gene:Vigun05g205100.v1.2 transcript:Vigun05g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLVAVLSMFLVVALIPLYLWKRRQDSQPAPHRDEPPQAPRRETVVRATATRRMRRRPAASGASTSADSPATVEESAGESDDEAAGGGYYEAKASKKKETKRQEREARRQADEAARESKQAKQDRYSEMRRLKDEEREAQERMLEEEAKAQKAKEEEAAALEFEKWKGEFSVDEEGTLEEAQDSAEDLLANFVEYIKKHKCVPLEELAAEFKLRTQECINRITSLESMGRLSGVMDDRGKFIYISQEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKVQYIDDINIGEEITVN >Vigun08g112800.1.v1.2 pep primary_assembly:ASM411807v1:8:27883508:27901542:-1 gene:Vigun08g112800.v1.2 transcript:Vigun08g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKPHRAGGIILDPDASAETELNKQNVVEGGEEAKDSYGDIDKPYFVEVARLDWLSGQHLDISEIVLRDLNLSEGFSGFELAEDFCRDQQYLLRFRVCNVSNVLGRIKLGHWPVLPYTDIHLEFARRVTVDHVETYTVLLSGIFDGPDEGVTGLLHLASLKFVTLRPVLGIRLSEEISSLRVRVEVLKNAFNACESLLDTSRQLWKKSMVNVMSWLRPEIMTSEVRYGFSCMKMDGDPQTEMVDDTCKARKHARFDPAGLYEAIKPSKAEPMLEDDIPELLPKLRPYQRRAAFWMVEREKAVEESQGETERNQFHSPLCIPVDFLDASSQMFFNPFSGNISLYPETSSPYVFGGILADEMGLGKTVELLSCIFTHRRSASGSDILFDLDPQINVDQKVTLKRVKRDRVECICGAVSESLKYEGLWVQCDICDAWQHADCVGYSPKGKYLKSKHGSEGKAYKTTVSVRDGEYVCHMCSELIQATESPIASGATLIVCPAPILPQWHDEIIRHTHQGSLKTCVYEGVRETTFSNTSVMDISDLASADIVLTTYDVLKEDLSHDSDRHEGDRHFLRFQKRYPVIPTLLTRIYWWRVCLDEAQMVESNTTASTEMALRLHSKYRWCITGTPIQRKLDDLYGLLRFLVASPFDKYRWWTDVIRDPYEKGDVGAMEFTHKVFKQIMWRSSKKHVADELDLPSQEECLSWLTLSPVEEHFYQRQHETCVRDAHEVIESLRNDILNRKGPDAISLQSSSDPLITHNEAGKLLNALLKLRQACCHPQVGSSGLRSLQQTPMTMEEILMVLISKTKIEGEEALRKLVIALNALAAIAAIQKDFSQATSLYGEALALAGEHAEDFRLDPLLNIHIHHNLAEILPLASNFALTLASKGKQFSENSEFKMTKRHLIVKVDSCHVKRQRISGCDYINATVPSAEPSNVSLLENDTKEDQEFDNLSASSVKSLIAECEDSKQKFLSVFSSKLSAAQQEFQSSYIQVSNAYRDNRTDQNTFWWLEALHHAEQSKDFSTELIRKIEEAISGTSSNSKSSRITARFRSISSLKYQIQTGLDQLEASRKTLLDRLLEIDQTMEKPKEEDIERVGKCRNCQPNCDGPPCVLCELDELFQDYEARLFVLKNDRGGIISSAEEAVDFQKKNFALNHFLSKLSQSSNSSTTSDIGHEESKKRNVGQRVVVSRSASEMELILGVIKNYCKARLGRDSVSAATKDLHVFEGMRKEFGHARSLALAQAQYLRAHDEIKMAVSRLHLRTSEDDKSLDALGENELTAASSNFSHEKFMSLTMLSQTKGKLRYLKGLVQSKQKMQFESPNGSSISGETTAMSNSTEEKAALIAKTDDETCPVCQEKLGNQKMVFPCGHVTCCKCLFAMTEKRLQNSKLHNWVMCPTCRQHTDFGNIAYAVDSQNESSNLSELHTNDSSEICEASISVKGSYGTKIEAVTRRILWVKANDHNAKVLVFSSWNDVLDVLEHAFTANNITFIRMKGGRKAHVAISQFRGKQNDTKGCEGSTPKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQKNKTLIHRFIVKDTVEESLYKLNRSRSNHSFISGNTKNQDQPVLTLKDVEALLSRAPLTMPESEENHSTNTNLRHLPPSVAAAIAAEKRLNEQRT >Vigun06g056400.1.v1.2 pep primary_assembly:ASM411807v1:6:18282897:18284101:1 gene:Vigun06g056400.v1.2 transcript:Vigun06g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGNVLVQWLLATLLIVMLGGAKAIVLCDTESTKLSACYAAVTGQYPPKPSQKCCDVVKHSNLPCLCRYKSVLPAFGFNPTNALALPSKCGLKTPPECKVV >Vigun07g010600.1.v1.2 pep primary_assembly:ASM411807v1:7:925599:930266:-1 gene:Vigun07g010600.v1.2 transcript:Vigun07g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDANGCIIDKHKPVVVSSLRSEKVEDHVNGDDDSDSNSLLPPRRGGMSRNCDKTRRKVQWNDRNGNKLAEVLEYEPSDVSDSEDEDSDSCICTIM >Vigun07g043200.1.v1.2 pep primary_assembly:ASM411807v1:7:4301121:4305863:-1 gene:Vigun07g043200.v1.2 transcript:Vigun07g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRARRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEVTMKTDPQNSDYIVESGATRNFEPWRAEDEEADKMKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLEALQRTAADKEKRLEEEDEAMIKSVVFHNSGGYVKRIRDEDFEAEEQIDQLSHGHGETSYNMKKQKMSEDRPSKPTDSLTKATLDDYGKQENERNGGKLNSLVRISVIKKSDKSTGEPEQKEEDNRTNAGGLQSLLQNYGSDED >Vigun03g210700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34712062:34715519:-1 gene:Vigun03g210700.v1.2 transcript:Vigun03g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSCVVRLFPSSCQADNKWSFMAYLPDLDTKNGKRPSDDADCEEESQPRKINRRAESVHPGGDTVRVLSQQQRLYDADDSVEEDERKDLEVKNKEEENESGDSSDWSERQMEQEEHQGGDSSDSGSLLPRMNRDSSIACLSRCSRSDYGSLASLNKSFRNTIRSGELYRWRRLKGIVEHWIYFSCALLEWEAYDPIRERWMHLPRMASNECFMCSDKESLAVGTELIVFGRELRSHVIYRYSLLTNSWSSGMRMNGPRCLFGSASLGEIAILAGGCDSEGRILDSAELYNSENQSWEVLPSMNKPRKMCSGVFMDRKFYVIGGIGGKDCKLLTCGEEYNLQSRTWTEIPNMSPGRSATSDMPATAEAPPLVAVVNNELYAADYADMEVKKYDKERRFWRSIGRLPERVVSMNGWGLAFRACGDKLIVIGGPRTHGEGFIELNSCVPGEGPLQWNLLARKRSGNFVYNCAVMGC >Vigun10g112700.1.v1.2 pep primary_assembly:ASM411807v1:10:31348485:31350965:1 gene:Vigun10g112700.v1.2 transcript:Vigun10g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDNRKNAPFSSNLQLYSYCYSSCSWRTRFALCLKGFIKYQIKKSKRLNPLHYVPVLVDDNIVVLDSYAIILHLEEKYTQKPLLQVGPQLRALNLQVASIVHSSIQPLHMLSLLKDMEKKFGAESKPWAQFTINKGFSALEKLLKDFAATYASGNVSADVFLVADIEQSVERFGVDMSKFPTLSRLYETYKALPEFQASSHQRQHHSQPSTT >Vigun05g143533.1.v1.2 pep primary_assembly:ASM411807v1:5:19277708:19282167:-1 gene:Vigun05g143533.v1.2 transcript:Vigun05g143533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKIVKRFNYTLRHMAQSGKKNLALFQALRKEMAAKAKEAGKTDVPNLQESVVEVHVHGSTKRKAELPPRPERAKDVKKVRAAILGSSSGAGSASGEKLPEAGLIELPEISIRKDIAINLPDTVVNSIDNMEVDHIVRTMVEFGSKALVLGRRVGSLYRREVKEVTELQGKVDKLVEEKAALEKEKEGWEAERKRLASWKVRCLDSEEKLNKRVGELEEDYDDLKDKYEGAVGELDDLKNSVIQEHINGFEKGLRQAAFFYKDVNTLDSRFDVDKDVIDGKLVGEDEEVGGEAPEAEKEMDATAEGDGNQTA >Vigun06g091701.1.v1.2 pep primary_assembly:ASM411807v1:6:22371394:22373430:-1 gene:Vigun06g091701.v1.2 transcript:Vigun06g091701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFKKFIVFFNSWSFQGRQPMDHVKRHLNLGRICLHLAGKRSSDCVVWLLKKSCYMICFTGSIHVILFIQTIDLSRIRSLSYHILNTKQLLTILRIDSYVKFLEQSKIKSYFENRILFLYFF >Vigun03g121000.1.v1.2 pep primary_assembly:ASM411807v1:3:11288668:11293762:1 gene:Vigun03g121000.v1.2 transcript:Vigun03g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSVSGNSEPNSESGVDVENCADINWDELGFSPVPTDFMYVMKCAKGDNFSEGSLVPFGNIEINPFATIINYGQGIFEGLKAYRTEDGHINLFRPEENAQRMKIGADRFCMPSPSVDQFVNAVKQTALANKRWVPPLGKGSLYIRPLLMGTGASLNLAPAPEYTLLIYCAPVTNYHKGALNLKVESKFYRAISGTGGTGGIKSITNYAPVYAAATAAKADGFSDVLFLDSATGKNIEEVSACNVFVVKGNSICTPETNGAILPGITRKSIIEIALDLGYQVIERAVSLKEMLEADEVFCTGTAVVVNSVSSVTYKETRAEYKTGAETLSQKLRKTLVGIQTGSIEDTRGWTVRID >Vigun03g121000.5.v1.2 pep primary_assembly:ASM411807v1:3:11290000:11293724:1 gene:Vigun03g121000.v1.2 transcript:Vigun03g121000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSVSGNSEPNSESGVDVENCADINWDELGFSPVPTDFMYVMKCAKGDNFSEGSLVPFGNIEINPFATIINYGQGIFEGLKAYRTEDGHINLFRPEENAQRMKIGADRFCMPSPSVDQFVNAVKQTALANKRWVPPLGKGSLYIRPLLMGTGASLNLAPAPEYTLLIYCAPVTNYHKGALNLKVESKFYRAISGTGGTGGIKSITNYAPVYAAATAAKADGFSDVLFLDSATGKNIEEVSACNVFVVKGNSICTPETNGAILPGITRKSIIEIALDLGYQVIERAVSLKEMLEADEVFCTGTAVVVNSVSSVTYKETRAEYKTGAETLSQKLRKTLVGIQTGSIEDTRGWTVRID >Vigun03g121000.4.v1.2 pep primary_assembly:ASM411807v1:3:11290964:11293748:1 gene:Vigun03g121000.v1.2 transcript:Vigun03g121000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSVSGNSEPNSESGVDVENCADINWDELGFSPVPTDFMYVMKCAKGDNFSEGSLVPFGNIEINPFATIINYGQGIFEGLKAYRTEDGHINLFRPEENAQRMKIGADRFCMPSPSVDQFVNAVKQTALANKRWVPPLGKGSLYIRPLLMGTGASLNLAPAPEYTLLIYCAPVTNYHKGALNLKVESKFYRAISGTGGTGGIKSITNYAPVYAAATAAKADGFSDVLFLDSATGKNIEEVSACNVFVVKGNSICTPETNGAILPGITRKSIIEIALDLGYQVIERAVSLKEMLEADEVFCTGTAVVVNSVSSVTYKETRAEYKTGAETLSQKLRKTLVGIQTGSIEDTRGWTVRID >Vigun03g121000.6.v1.2 pep primary_assembly:ASM411807v1:3:11288871:11293712:1 gene:Vigun03g121000.v1.2 transcript:Vigun03g121000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSVSGNSEPNSESGVDVENCADINWDELGFSPVPTDFMYVMKCAKGDNFSEGSLVPFGNIEINPFATIINYGQGIFEGLKAYRTEDGHINLFRPEENAQRMKIGADRFCMPSPSVDQFVNAVKQTALANKRWVPPLGKGSLYIRPLLMGTGASLNLAPAPEYTLLIYCAPVTNYHKGALNLKVESKFYRAISGTGGTGGIKSITNYAPVYAAATAAKADGFSDVLFLDSATGKNIEEVSACNVFVVKGNSICTPETNGAILPGITRKSIIEIALDLGYQVIERAVSLKEMLEADEVFCTGTAVVVNSVSSVTYKETRAEYKTGAETLSQKLRKTLVGIQTGSIEDTRGWTVRID >Vigun03g121000.2.v1.2 pep primary_assembly:ASM411807v1:3:11288667:11293788:1 gene:Vigun03g121000.v1.2 transcript:Vigun03g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSVSGNSEPNSESGVDVENCADINWDELGFSPVPTDFMYVMKCAKGDNFSEGSLVPFGNIEINPFATIINYGQGIFEGLKAYRTEDGHINLFRPEENAQRMKIGADRFCMPSPSVDQFVNAVKQTALANKRWVPPLGKGSLYIRPLLMGTGASLNLAPAPEYTLLIYCAPVTNYHKGALNLKVESKFYRAISGTGGTGGIKSITNYAPVYAAATAAKADGFSDVLFLDSATGKNIEEVSACNVFVVKGNSICTPETNGAILPGITRKSIIEIALDLGYQVIERAVSLKEMLEADEVFCTGTAVVVNSVSSVTYKETRAEYKTGAETLSQKLRKTLVGIQTGSIEDTRGWTVRID >Vigun05g032900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2642195:2643993:-1 gene:Vigun05g032900.v1.2 transcript:Vigun05g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNLKIHEQCSVAPPSAPQTSLPLVFFDLFWLRFHPVERIFFYSLPVTHSNPSIFYTQVVPNLKTSLSHTLHHFSPLAGNVLWPNGSSKPVVQYTPGDAVSVVLAESEADFDHALDNTPKEASELRCLVPHLESSDSHASVMALQITLFPNRGFAIGISTHHAVLDGKSSTLFVKAWASLCKTSDDDSESSPSSLPSLAPELEPLFDRTVIKSPSELGFNASFDLTKLVINSFPGENREERCLKLLPFPPRLEDQVRGTFVLTVADLERLKNWVLSKWDSVDIVEAEPNSNSTVSSKPTKLSTFVVTCAYAVVCIAKAWHGVEKEKNRFAGGFSVDCRARLEPPIPENYFGNCVWGNFLDAKPSAFIKEEGFVIIAKSIHSKIKEMLDKGVFHDADTFAARFAASAKEGIEIIGIAGSNRFGVYGNDFGWGKPSKVEIASIDRALTIGLAEKRDEKGGVEVGVVLKRSVMDLFATLFRGGLTDE >VigunL070600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:107081:108776:1 gene:VigunL070600.v1.2 transcript:VigunL070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSTAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTMEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun03g314200.1.v1.2 pep primary_assembly:ASM411807v1:3:50715884:50718593:1 gene:Vigun03g314200.v1.2 transcript:Vigun03g314200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSGAIMQNAVSRDYKQRRAKSEESYEEDLINQLPDGIPVTILSKLPITDAARTSILSRKWRYLWTYFSGSLEFDGSPIMKDMKKHIKNAAEKRHLQMAMEIMYNAESQTYTRWIDELLSSLNGSTLQGLKFWFHVGSGCDTDKWVHFAIQKKVQKLELYFGDTIEYVLPLHLFKPESFNSLHVLRLKCITVTEEMLEYLLRCCPSLETLSLVDSVVPKTMKVSGSSLKLKSLELMRCWELLRLEIFAENLVSFKYYGSYLDTEFKSVPSLLEATFGGSFVEFTRESFLPHLEVLTLDITQNIPEVICSLGQLPQLKNIKHLELVSCVDDGITLGACALLLRASPTLWRFTLKMLHTKSPLKTKGKITMKCQHGLKELELVGFCGASCEVELATYLLKNAVELQKITIDTRLPTRPKLRPPGEHFKAWDREENRNRAKELQEKVPPWIEFVCI >Vigun05g186000.1.v1.2 pep primary_assembly:ASM411807v1:5:35884637:35889550:1 gene:Vigun05g186000.v1.2 transcript:Vigun05g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYAIGA >Vigun10g023700.2.v1.2 pep primary_assembly:ASM411807v1:10:2822066:2826272:-1 gene:Vigun10g023700.v1.2 transcript:Vigun10g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLISFVFVLALISPCLRCDETKINGLYNIINYGAKGDGKSDDAQAFLKAWESSCGAKGMATLVIPANYQFLLSPLMLKGPCLASTIQIQLQGKLVAAEKNAWPSYKYAWILISNVNGLTVDGTGGSLDGFGSSWWSCTNCQRPSAITFNSCNGLTVNYLNIINSPKAHININNCVGATFSGITIQSPADTHNTDGIDVYASKNIWIKDSTIACGDDCIAISGGSSYVNVTGCACGPGHGISVGSLGNGKSNTVEQVQVRNCNFTNTQNGARIKTYAGGSGYARGITFQEINLIQTRNPIIINQFYTNTTQSLKNGGVEVSGITFRGFRGTSMTNAAITLNCGPQGCFNIVLDRNNIVSSQQGKPASCSCKNAHGTITSSLPNCSCLLP >VigunL072500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:30726:31298:-1 gene:VigunL072500.v1.2 transcript:VigunL072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFKYSWETLPKKWVQKMERSEHGNRSDTKTDYLFQLLCFLKLHTYTRVQVSIDICGVDYPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSLFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >Vigun06g211200.4.v1.2 pep primary_assembly:ASM411807v1:6:32396534:32399227:-1 gene:Vigun06g211200.v1.2 transcript:Vigun06g211200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSHDSQIQKDWNPKNFSSAGGQGSTVDAFKSMNQEFSLDQQSLNSVVTSTGSLSGGFPVVSASYGYPSTLIQGLYDPEPQPQPQNSLFTNPPMSYSSSTANYGTCSNELSPTWSKISSLLKPSTPKQQLSGLHFSNNTSFWNGSAEALHDIRAGVFASSQAQYQTPKFEEKSNSPNTLLNKLKREESPDATSSAKKSSSEPAFKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVLSTPYIKNGAPIQHQQDCDNLKESEGRKQDLRSRGLCLVPISSTFPVANESSVDFWTPTFGGAVIGR >Vigun06g211200.2.v1.2 pep primary_assembly:ASM411807v1:6:32396534:32399994:-1 gene:Vigun06g211200.v1.2 transcript:Vigun06g211200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQAAICGESWWNINSTRSVFPLMSSTCSVAAADAGNYSTWQSTDFVDLKGTRSCAEDINNFISSDNSLSFLDVEKPQQRDSAGETGSILTDSTLQMIGFGMSSSTSSNWNQSLLGSDFHSVLQGETGMDGGSSHDSQIQKDWNPKNFSSAGGQGSTVDAFKSMNQEFSLDQQSLNSVVTSTGSLSGGFPVVSASYGYPSTLIQGLYDPEPQPQPQNSLFTNPPMSYSSSTANYGTCSNELSPTWSKISSLLKPSTPKQQLSGLHFSNNTSFWNGSAEALHDIRAGVFASSQAQYQTPKFEEKSNSPNTLLNKLKREESPDATSSAKKSSSEPAFKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVLSTPYIKNGAPIQHQQDCDNLKESEGRKQDLRSRGLCLVPISSTFPVANESSVDFWTPTFGGAVIGR >Vigun06g211200.3.v1.2 pep primary_assembly:ASM411807v1:6:32396534:32399227:-1 gene:Vigun06g211200.v1.2 transcript:Vigun06g211200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSHDSQIQKDWNPKNFSSAGGQGSTVDAFKSMNQEFSLDQQSLNSVVTSTGSLSGGFPVVSASYGYPSTLIQGLYDPEPQPQPQNSLFTNPPMSYSSSTANYGTCSNELSPTWSKISSLLKPSTPKQQLSGLHFSNNTSFWNGSAEALHDIRAGVFASSQAQYQTPKFEEKSNSPNTLLNKLKREESPDATSSAKKSSSEPAFKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYIKNGAPIQHQQDCDNLKESEGRKQDLRSRGLCLVPISSTFPVANESSVDFWTPTFGGAVIGR >Vigun06g211200.1.v1.2 pep primary_assembly:ASM411807v1:6:32396534:32399994:-1 gene:Vigun06g211200.v1.2 transcript:Vigun06g211200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQAAICGESWWNINSTRSVFPLMSSTCSVAAADAGNYSTWQSTDFVDLKGTRSCAEDINNFISSDNSLSFLDVEKPQQRDSAGETGSILTDSTLQMIGFGMSSSTSSNWNQSLLGSDFHSVLQGETGMDGGSSHDSQIQKDWNPKNFSSAGGQGSTVDAFKSMNQEFSLDQQSLNSVVTSTGSLSGGFPVVSASYGYPSTLIQGLYDPEPQPQPQNSLFTNPPMSYSSSTANYGTCSNELSPTWSKISSLLKPSTPKQQLSGLHFSNNTSFWNGSAEALHDIRAGVFASSQAQYQTPKFEEKSNSPNTLLNKLKREESPDATSSAKKSSSEPAFKRQRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYIKNGAPIQHQQDCDNLKESEGRKQDLRSRGLCLVPISSTFPVANESSVDFWTPTFGGAVIGR >Vigun02g025300.1.v1.2 pep primary_assembly:ASM411807v1:2:8414369:8419413:1 gene:Vigun02g025300.v1.2 transcript:Vigun02g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHYSPTLRCCLPALHRTSPSRSVLTPSVFFRTPTLRLVSVRSQASGSDAVGSAKKHSHAAVGLSSSSSVIDFLTLCHRLKTTKRKGWVNHGIKGAESIADHMYRMALMALIAVDVPGLNRERCIKIALVHDIAEAIVGDITPSDGVPKAEKSRREQEALNKMCELLGGGMRAEEIKELWEEYENNSSLEANLVKDFDKVELILQALEYEVEHGKVLDEFFLSTAGKFQTEIGKSWAAEIMSRRKSISAKRGKLI >Vigun07g049900.1.v1.2 pep primary_assembly:ASM411807v1:7:5222519:5227024:-1 gene:Vigun07g049900.v1.2 transcript:Vigun07g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAHIRNKPGMVSVKDMPVLQDGPPPGGFAPVRFARRIPNKGPSAVAIFLAAFGTFSWGMYQVGQGNKIRRALKEEKYSARRSILPVLQAEEDERFVKEWHKYLEYEAEVMKDVPGWKVGESVYNSGRWVPPASGELRPDIW >Vigun10g031100.2.v1.2 pep primary_assembly:ASM411807v1:10:4094239:4097860:-1 gene:Vigun10g031100.v1.2 transcript:Vigun10g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLRDMGREIICQECPKEPGKRSRLWFQEDVQEVLKENTGTKAVEGLSLKLHSSSKDCLEAHAFKEMKRLRLLQLDHVQLSGDYGHISKQLRWICWRGFPYKYIPNNFHLENVIAIDFKHSHLQLLWEQPLVLQRLKFLNLSHSKFLRETPDFSGLPSLEQLILKDCACLCKVHPSIGYLSKIVVINLKDCIGLSYLPREIYKLRSLKTLILSGCLKLDPLNKI >Vigun10g031100.3.v1.2 pep primary_assembly:ASM411807v1:10:4094239:4097857:-1 gene:Vigun10g031100.v1.2 transcript:Vigun10g031100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLRDMGREIICQECPKEPGKRSRLWFQEDVQEVLKENTGTKAVEGLSLKLHSSSKDCLEAHAFKEMKRLRLLQLDHVQLSGDYGHISKQLRWICWRGFPYKYIPNNFHLENVIAIDFKHSHLQLLWEQPLVLQRLKFLNLSHSKFLRETPDFSGLPSLEQLILKDCACLCKVHPSIGYLSKIVVINLKDCIGLSYLPREIYKLRSLKTLILSGCLKLDPLNKI >Vigun10g031100.1.v1.2 pep primary_assembly:ASM411807v1:10:4094239:4097857:-1 gene:Vigun10g031100.v1.2 transcript:Vigun10g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIRRMILEKRWKKLHTKATQENRWNMHCPAGPVRSPQLQVSLVGMSEISVERSNKLEMHPLLRDMGREIICQECPKEPGKRSRLWFQEDVQEVLKENTGTKAVEGLSLKLHSSSKDCLEAHAFKEMKRLRLLQLDHVQLSGDYGHISKQLRWICWRGFPYKYIPNNFHLENVIAIDFKHSHLQLLWEQPLVLQRLKFLNLSHSKFLRETPDFSGLPSLEQLILKDCACLCKVHPSIGYLSKIVVINLKDCIGLSYLPREIYKLRSLKTLILSGCLKLDPLNKI >Vigun10g149150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36754880:36755422:1 gene:Vigun10g149150.v1.2 transcript:Vigun10g149150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIPIRPWSRLASIKTLPETQPAELSLKTTNTSSHEGNESATKSRSQTPDFHSPTIQSQKLKLTTPISFPPSKLKGQPQLKEYGETQKQGIGITTSGESKGAHIQNKPFNKIENSCGEEVNGKMKNKSGKLTIPCSSPLRVLYANSNVQCVNNSIVLNTSLTHHDPGVHLFIPKKPFL >Vigun05g117500.2.v1.2 pep primary_assembly:ASM411807v1:5:12567894:12571349:-1 gene:Vigun05g117500.v1.2 transcript:Vigun05g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHFPSVLRVLLFGFWVLPLFPEFVVSITRHYTFNVEYLNVTRLCHTRNILSVNGKFPGPRLVAREGDRVVVKVVNHVSNNVTIHWHGIRQITSGWADGPAYVTQCPIQTNQSYTYNFTIVGQRGTLLWHAHISWLRATIYGPIIILPKHNESYPFQKPYKEIPILFGEWFNVDPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTYTLKVKPGKTYLLRLINAALNEELFFSIANHTLTVVEADAKYTKPFDTDTLLIAPGQTTNVLLKTKPYFPNASYQMAARPYFTGRGTFDNSTTAGILVYTQPLHHSSLKNLTLLQPTLPPINATNFVANFTAKFRSLANAKFPVSVPQKVDRKFFFTVGLGTNPCPKNTTCQGPSNSTKFAASVNNISFALPSSISIMQAYYSGQANGVFKTDFPATPLNPFNYTGTPPNNTMVTNDTKLVVLKFNTSVEVVLQDTSILGAESHPLHLHGYDFFIVGQGFGNYDPNNDPAKFNLVDPVERNTAGVPAGGWIAFRFKADNPVMVETGVWFMHCHLDVHTSWGLRMAWLVLDGAGPNQKLQPPPSDLPKC >Vigun05g117500.1.v1.2 pep primary_assembly:ASM411807v1:5:12567894:12571349:-1 gene:Vigun05g117500.v1.2 transcript:Vigun05g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHFPSVLRVLLFGFWVLPLFPEFVVSITRHYTFNVEYLNVTRLCHTRNILSVNGKFPGPRLVAREGDRVVVKVVNHVSNNVTIHWHGIRQITSGWADGPAYVTQCPIQTNQSYTYNFTIVGQRGTLLWHAHISWLRATIYGPIIILPKHNESYPFQKPYKEIPILFGEWFNVDPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTYTLKVKPGKTYLLRLINAALNEELFFSIANHTLTVVEADAKYTKPFDTDTLLIAPGQTTNVLLKTKPYFPNASYQMAARPYFTGRGTFDNSTTAGILVYTQPLHHSSLKNLTLLQPTLPPINATNFVANFTAKFRSLANAKFPVSVPQKVDRKFFFTVGLGTNPCPKNTTCQGPSNSTKFAASVNNISFALPSSISIMQAYYSGQANGVFKTDFPATPLNPFNYTGTPPNNTMVTNDTKLVVLKFNTSVEVVLQDTSILGAESHPLHLHGYDFFIVGQGFGNYDPNNDPAKFNLVDPVERNTAGVPAGGWIAFRFKADNPGVWFMHCHLDVHTSWGLRMAWLVLDGAGPNQKLQPPPSDLPKC >Vigun02g156400.1.v1.2 pep primary_assembly:ASM411807v1:2:30257310:30262851:-1 gene:Vigun02g156400.v1.2 transcript:Vigun02g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGLCNLFSCFKGASNHGHGHAHGNGHGHNQNQLVFAETEPLDETLGHSFCYVRSSARFLSPSHSDRILSPSNSLRYSPSHRSDFPETAFKAISGASVSANSSVPKAVLQSEDDDTTVNGFIGTASFSALPLQPAPRGGETAASERERKAFFLSGPIESGALSGPLDDAVSSSGPVPFSAPLGSGVYVKKKRKKSIAGLRKAFQRSLSEKKRPWVVPVGRKGKTEATSETENESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRALHKELQGLFWELEEPQSQVQGTNPAPEVENNDAEPQNEVAVEEDSNSLRGSVKRVTFQAEGTESRRRRLWEFLAEEDDAENGLDLSGSDRFAFSVDDALSASKEASGGSRRWLILSKLKHGLSRHKEGHGRRFLPWNLGVGEEKEKVEVENPTTAEEQGEKGHGGRKRKVGPVDHELVLGALSRALEITELAYLDMTDKLIDTNPELALMGSCLLAVLMRDEDVYVMNVGDSRAIVAHYECGEVHATSKETGSGGGTGSSGECIVEESSAPDERGVVLGNEGPARERRLVALQLSTDHSTSIEEEVIRIKNEHPDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKWNDVVLEMFRNEYIGTAPYISCCPSLRHHRLCSRDQFLILSSDGLYQYLSNQEVVSQVESFMEKFPDGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >Vigun01g161800.1.v1.2 pep primary_assembly:ASM411807v1:1:34353119:34354737:-1 gene:Vigun01g161800.v1.2 transcript:Vigun01g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKEKAKNEAGNTPDSGGKQNDVPAPVVLRIEMHCEGCVKKIKRAVRSFNGVEDVRADLLGNKLTVIGKVDSAAVRDKLADKTRKKVEIISPQPKKDSGAASKPPEKKVEEKKTEEKKPADKKTEEKPRNSAVVLKIRLHCDGCIQKIRKIILKIKGVESVNIEGGKDLVTVNGTMEVKELVAYLNEKLKRNVEVVPPKKEGEKKEGKNEEKEKEKEKEKGKKKEGGGGIKKDGGEKEEGAPKVEVNKMEHYGYAYPPPPMYWYDGYAPGQSSTSGGGGGYTVGVHSGYDGNYGNYHEQYHNGYGNQGYMVQQPPPPPFYLNPYHPPPQMFSDENPNACFVM >Vigun05g060400.2.v1.2 pep primary_assembly:ASM411807v1:5:5166830:5170673:-1 gene:Vigun05g060400.v1.2 transcript:Vigun05g060400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGSGIYVAHSVTFRSELQPMAPDHIGSSTSSRQRDLVFIVNPLGANGRTGKEWRKLVPYLRSRLGKDCNICESITSGPCHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWAGKPVVSQVKESTRSTALGLIPLGTGSDFARTFGWKNDPHEAIERVARDVGVITGEDCDHHYFINVADIHLSAKAGFYASRYKRFGNLCYVIGALQAFIGHQNQDLRIRFNDGPWETCSEVTALCIGNAKYFGGGMKITPNADPFSRNLEVVILQNFKWYDFVLKLHRLYSGTHLSVKNVSDRSVLSIEVEDISGKGGIYIQSDGEHLGFLPKKISVLPAAIEMIL >Vigun05g060400.1.v1.2 pep primary_assembly:ASM411807v1:5:5166830:5170673:-1 gene:Vigun05g060400.v1.2 transcript:Vigun05g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGSGIYVAHSVTFRSELQPMAPDHIGSSTSSRQRDLVFIVNPLGANGRTGKEWRKLVPYLRSRLGKDCNICESITSGPCHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWAGKPVVSQVKESTRSTALGLIPLGTGSDFARTFGWKNDPHEAIERVARGLRSRIDVGVITGEDCDHHYFINVADIHLSAKAGFYASRYKRFGNLCYVIGALQAFIGHQNQDLRIRFNDGPWETCSEVTALCIGNAKYFGGGMKITPNADPFSRNLEVVILQNFKWYDFVLKLHRLYSGTHLSVKNVSDRSVLSIEVEDISGKGGIYIQSDGEHLGFLPKKISVLPAAIEMIL >VigunL011900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:32037:38909:1 gene:VigunL011900.v1.2 transcript:VigunL011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKIERRIFLANYQTITYSHTLWGANSSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLYYTKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun01g220700.2.v1.2 pep primary_assembly:ASM411807v1:1:39422964:39431282:1 gene:Vigun01g220700.v1.2 transcript:Vigun01g220700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVPLKYIADMAVSDSSRKRPKLDLNDADTQLQTDQESSGTEVMKKDSMENEEDDDASDTKSGTDDESSEDEECPKYGVTSVCGRRRDMEDSVSLRPFFSPEGFHYFGVFDGHGCSHVATMCKERLHEILNEEINEGDDTLHWRALMESGFARMDEEVFRRSQASQTFNCRCELQTPHCDAVGSTAVIAILTRNKIVVSNCGDSRAVLCRSGVAIPLSSDHKPDRPDELLRVESKGGRVIYWDGPRVLGVLAMSRAIGDYYLKPFVISVPEVTVTDRSDDDECLILASDGLWDVVSNETACGVVKMCLKSQTPPTPPGSPGGYVTADGSDRACSDASILLTKLALARHSADNVSVVVLDLRKEQEQTPTSSVNE >Vigun01g220700.1.v1.2 pep primary_assembly:ASM411807v1:1:39428715:39431358:1 gene:Vigun01g220700.v1.2 transcript:Vigun01g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCRVVGEGDTPTPLEPTSRPSTRRAMDIVPLKYIADMAVSDSSRKRPKLDLNDADTQLQTDQESSGTEVMKKDSMENEEDDDASDTKSGTDDESSEDEECPKYGVTSVCGRRRDMEDSVSLRPFFSPEGFHYFGVFDGHGCSHVATMCKERLHEILNEEINEGDDTLHWRALMESGFARMDEEVFRRSQASQTFNCRCELQTPHCDAVGSTAVIAILTRNKIVVSNCGDSRAVLCRSGVAIPLSSDHKPDRPDELLRVESKGGRVIYWDGPRVLGVLAMSRAIGDYYLKPFVISVPEVTVTDRSDDDECLILASDGLWDVVSNETACGVVKMCLKSQTPPTPPGSPGGYVTADGSDRACSDASILLTKLALARHSADNVSVVVLDLRKEQEQTPTSSVNE >Vigun08g206000.1.v1.2 pep primary_assembly:ASM411807v1:8:36924251:36926652:-1 gene:Vigun08g206000.v1.2 transcript:Vigun08g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKSLKIKTSTCKRLVKELRSYEKEVEREAAKTADMKEKGADPHDLKQQENVLAESRMMIPDCRKRLEASLSDLKKTLTELEDSGEKAGPEIDDARNTMVEVEKVFEIEITEA >Vigun11g056900.1.v1.2 pep primary_assembly:ASM411807v1:11:11345317:11357841:1 gene:Vigun11g056900.v1.2 transcript:Vigun11g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEALVAQIQGLSSTSSDVNRLHSILKQVDDSLRSKSTCLPPLLTQLDPSIHSLGFLYILDAYMTSPITKTQAETAVPIVTRFIGACSDQIRLAPEKFLSVCRRLKDQVMLLEAPIRGVAPLFTALRKVQVSAEHLTPLHSEFLLLCLLSKCYKTGLSILDDDVFEVNHPRDFFLYCYYGGMICIGMKCFQKALDLLHNVVTAPMSVINAIAVEAYKKYILVSLIRNGQFSTSLPKYSSSAAQRNLKNFCQPYVELANTYGNGKIAELEAFVKTNAEKFESDSNLGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMALSRKLTGMDEQISCDQLYLSKTGRERQRYDFDDFDVPQKFNI >Vigun09g166300.1.v1.2 pep primary_assembly:ASM411807v1:9:33412346:33416894:1 gene:Vigun09g166300.v1.2 transcript:Vigun09g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFVFQWSDIRSLLSIIQWWAFNVTVIIVNKWIFQKLDFKFPLSVSCVHFICSAIGGYVVIKVLKLKPLITVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPALLLEGNGILEWFGTHPYPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYLNCVGCAVTLVGCTFYGYVRHMLSQQPAVPGTPRTPRTPRTPRSKMELLPLVNDKLEDKV >Vigun03g302500.3.v1.2 pep primary_assembly:ASM411807v1:3:49218449:49222186:1 gene:Vigun03g302500.v1.2 transcript:Vigun03g302500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGLVEDVAVIGGLIGVQFVYAGNALLLSYLMSLGLESLTIVTFTSFATFLILLPFAFYFERCKWPTKVGFKLLAQLFLLALGGVTLFQSLFLKGINLTSPAMGTAMPNLAPGLIFIMAWIFRLEKVKLSCTYSRVKIIGTLLCVLGALTLSMMQSISAPPKSTKVGTIQSPSPPTHVTFDRHKLIGCLYLLVAILILSSNIVLQAFTLEDFPAPMSLCSITCFFGTFMTIAVQLIEDHQFKTGWPGVSVSDMIGYSLLV >Vigun03g302500.2.v1.2 pep primary_assembly:ASM411807v1:3:49218449:49222186:1 gene:Vigun03g302500.v1.2 transcript:Vigun03g302500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGLVEDVAVIGGLIGVQFVYAGNALLLSYLMSLGLESLTIVTFTSFATFLILLPFAFYFERCKWPTKVGFKLLAQLFLLALGGVTLFQSLFLKGINLTSPAMGTAMPNLAPGLIFIMAWIFRLEKVKLSCTYSRVKIIGTLLCVLGALTLSMMQSISAPPKSTKVGTIQSPSPPTHVTFDRHKLIGCLYLLVAILILSSNIVLQAFTLEDFPAPMSLCSITCFFGTFMTIAVQLIEDHQFKTGWPGAGVVSGICLTVNCWALKKKVQCWCPCSALLAQSAQSFSQLLL >Vigun03g302500.4.v1.2 pep primary_assembly:ASM411807v1:3:49219609:49222186:1 gene:Vigun03g302500.v1.2 transcript:Vigun03g302500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAMPNLAPGLIFIMAWIFRLEKVKLSCTYSRVKIIGTLLCVLGALTLSMMQSISAPPKSTKVGTIQSPSPPTHVTFDRHKLIGCLYLLVAILILSSNIVLQAFTLEDFPAPMSLCSITCFFGTFMTIAVQLIEDHQFKTGWPGVSVSDMIGYSLLAGVVSGICLTVNCWALKKKVQCWCPCSALLAQSAQSFSQLLL >Vigun03g302500.1.v1.2 pep primary_assembly:ASM411807v1:3:49218449:49222186:1 gene:Vigun03g302500.v1.2 transcript:Vigun03g302500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGLVEDVAVIGGLIGVQFVYAGNALLLSYLMSLGLESLTIVTFTSFATFLILLPFAFYFERCKWPTKVGFKLLAQLFLLALGGVTLFQSLFLKGINLTSPAMGTAMPNLAPGLIFIMAWIFRLEKVKLSCTYSRVKIIGTLLCVLGALTLSMMQSISAPPKSTKVGTIQSPSPPTHVTFDRHKLIGCLYLLVAILILSSNIVLQAFTLEDFPAPMSLCSITCFFGTFMTIAVQLIEDHQFKTGWPGVSVSDMIGYSLLAGVVSGICLTVNCWALKKKVQCWCPCSALLAQSAQSFSQLLL >Vigun07g153300.1.v1.2 pep primary_assembly:ASM411807v1:7:26442480:26445211:-1 gene:Vigun07g153300.v1.2 transcript:Vigun07g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMMEGSDCLILAFLYIVLEVKDETISVASAFPGHQEAVQDRDHKFLTKAVEEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLRNTDPTAHAEVTAIREACQKLNQIELADCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKKADGSGAVIAEQVFEKTKEKFTLY >Vigun07g153300.3.v1.2 pep primary_assembly:ASM411807v1:7:26442572:26446203:-1 gene:Vigun07g153300.v1.2 transcript:Vigun07g153300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPEVSEDKGTDYGSSSKDPFTIMDDTTSAANATANVLEVKDETISVASAFPGHQEAVQDRDHKFLTKAVEEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLRNTDPTAHAEVTAIREACQKLNQIELADCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKKADGSGAVIAEQVFEKTKEKFTLY >Vigun07g153300.2.v1.2 pep primary_assembly:ASM411807v1:7:26442480:26445215:-1 gene:Vigun07g153300.v1.2 transcript:Vigun07g153300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTTSAANATANVLEVKDETISVASAFPGHQEAVQDRDHKFLTKAVEEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLRNTDPTAHAEVTAIREACQKLNQIELADCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKKADGSGAVIAEQVFEKTKEKFTLY >Vigun11g069200.1.v1.2 pep primary_assembly:ASM411807v1:11:20167484:20171425:1 gene:Vigun11g069200.v1.2 transcript:Vigun11g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILVTLTSLLLIPFIPSVVSTVQDTSSISQSQSLSYGNTIVSRPLGTFELGFFNLGNPNKIYLGIWYKNIPIQNVVWIANGANPINESSAILKLNSSGNLVISHNNIDVWYTSSANDAQNPVAVLLDSGNLVIRDQSAANTEEYLWQSFDYPSNTMVAGMKIGWDIKRNFSIRIVSWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFCRTGPWNGLRFSGRPNLRPNKVYNYEFVSNKEEVYYTWTLVNTSLTTIVVLNQTSEERPRYVWLEDTKSWSPYLTIPAELCDHYGVCGANAYCAPSASPMCECLKGFEPKSRENWSTTDWSQGCVLKHALNCKNDGFVPVEGLKVPDTEHTSVDQSIDLAQCRSKCLNDCSCMAYTNYNISGSGSGCVMWFGDLIDIIQYSNATYGQALYIRLPKSELDAINRRRKSTIKLVTSIPVASGMLLLGIYFICRFRRKKNEKSENIFESCVDDLDLPLFDLSTITAATDNFSEMNRIGEGGFGPVYWGKLFSGLEIAVKRLSQNSGQGITEFVNEVKLIAKLQHRNLVKLLGCCIQNQEKILVYEYMSNGSLDYFIFDHTKGKLLDWKKRFHIICGLARGLMYLHEDSRLRIVHRDLKGSNVLLDEDFNPKISDFGLAKTVGKEEIEGNTNMIVGTFGYMAPEYAIDGQFSIKSDVFSFGVILLEIICGRKNRGSYHGKQYNLVDHAWTLWKFGKTSEIIDPNIESSCIESELLRCIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFNKKESIEASSSSSSSTNALTITLKAR >Vigun07g168700.5.v1.2 pep primary_assembly:ASM411807v1:7:28267889:28279673:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.6.v1.2 pep primary_assembly:ASM411807v1:7:28272343:28279673:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.9.v1.2 pep primary_assembly:ASM411807v1:7:28269705:28279320:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.1.v1.2 pep primary_assembly:ASM411807v1:7:28272348:28279673:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGIVSPTQTQLLHLLPHCPPSISLYVYTSSLTLSSKPKTIHQSTKTCVHSKLLEEAMGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.7.v1.2 pep primary_assembly:ASM411807v1:7:28271973:28279333:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.10.v1.2 pep primary_assembly:ASM411807v1:7:28269705:28279320:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.11.v1.2 pep primary_assembly:ASM411807v1:7:28271992:28279316:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.8.v1.2 pep primary_assembly:ASM411807v1:7:28267889:28279328:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.2.v1.2 pep primary_assembly:ASM411807v1:7:28272339:28279673:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.12.v1.2 pep primary_assembly:ASM411807v1:7:28265142:28279274:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun07g168700.4.v1.2 pep primary_assembly:ASM411807v1:7:28267889:28279673:-1 gene:Vigun07g168700.v1.2 transcript:Vigun07g168700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGIVSPTQTQLLHLLPHCPPSISLYVYTSSLTLSSKPKTIHQSTKTCVHSKLLEEAMGSTSQLVPLPLLVNEKYTASTIPWRVPSDDPHIPTPTELSWINLLHNTIPTFKKHAESDASVPDAADKAETFAQRYARILEDFKKDPAGQGEPLDIFVLCRIREQVLRELGFTDIFKKIKDVENANAMSLFENVVRLNDAIEDEEKRLENLVRGIFAGNIFDLGATQLAEAFAKDGVSFLSTCQNLVPRPWIFDDLETFKVKWSKKPWKKVIIFVDNSGADIILGILPFARELLRRGSQVILAANELPSLNDVTYSELTEIISRLKDEEGYLMGVSTSNLLVANSGNDLPIIDLTRVSQELAYLTNDVDLVILEGMGRGIETNLYAQFKCDSLKIAMVKHPEVAEFLGSRLYDCVIKYDAV >Vigun01g096000.2.v1.2 pep primary_assembly:ASM411807v1:1:26031880:26033870:-1 gene:Vigun01g096000.v1.2 transcript:Vigun01g096000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun01g096000.3.v1.2 pep primary_assembly:ASM411807v1:1:26031880:26033952:-1 gene:Vigun01g096000.v1.2 transcript:Vigun01g096000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun01g096000.1.v1.2 pep primary_assembly:ASM411807v1:1:26032182:26032457:-1 gene:Vigun01g096000.v1.2 transcript:Vigun01g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun01g096000.4.v1.2 pep primary_assembly:ASM411807v1:1:26031917:26033870:-1 gene:Vigun01g096000.v1.2 transcript:Vigun01g096000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun04g177900.1.v1.2 pep primary_assembly:ASM411807v1:4:40135480:40143844:1 gene:Vigun04g177900.v1.2 transcript:Vigun04g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGGSADNGDADHNNTSISMAAAKNKKSGSFSSIFMHADRLDVFLMIFGLIGAIGDGIGTPLVLFITSKIMNNIGNFSGGIDSTFIHGINKNAVVLLYLAGGSFVACFLEGYCWTRTGERQAARMRVRYLKAVLRQEVAYFDLHVSSTSEVITSVSNDSLVIQDVLSEKVPNFLMNASMFVGSYIVGFALLWRLTLVGFPFVVLLVIPGFMYGRTLMGLASKIREEYNKAGTVAEQAISSIRTVYSFVGESKTIDAFSDALQGSVELGLRQGLAKGLAIGSNGVVFAIWAFISYYGSRLVMYHGAKGGTVFAVGAAIALGGLALGAGLSNVKYFSEASTAGERIMEVIKRVPKIDSDNMGGEILGEVRGEVEFENVSFVYPSRPDSVVLKEFSLRVPAGKTVALVGGSGSGKSTVISLLQRFYDPVEGEIRVDGVAIQRLQLKWLRSQMGLVSQEPALFATSIKENILFGREDASEEEVVEAAKASNAHNFISQLPQGYHTQVGERGIQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQSGKIMEMGSHHELIQNDNGLYTSLVRLQQAKHEKEDTPFHPLPPPPSSSSLLSSSSISNKDNNHSTSSRRLSLVSRSSSANSIPRVVVGGDDDVVEEVVVEDMKLPLPSFRRLLALNVPEWKQACMGCLNAVLFGAIQPVYAFSMGSVISVYFLPDHDEIKEKTRIYSLCFLGLAVFSLVVNILQHYNFAYMGEYLTKRIRERMLSKILTFEVGWFDQDENSTGAVCSRLAKEANVVRSLVGDRMALVVQTISAVVIAFTMGLVIAWRLAIVMIAVQPIIIVCFYTRRVLLKSMSSKAIKAQDESSKIAAEAVSNLRTITAFSSQERILKMLEKAQEGPSHESVRQSWFAGLGLACSQSLTFCTWALDFWYGGKLVFKGLISAKALFETFMILVSTGRVIADAGSMTNDLAKGADAVGSVFAILDRYTKIEPDDEIDGHKAEKLTGKIELHDVHFAYPARPNVMIFQGFSIRIDAGKSTALVGQSGSGKSTIIGLIERFYDPLKGTVTIDGRDIKQYHLRSLRKHIGLVSQEPTLFGGSIRENIAYGACEKVDESEIMEAARAANAHEFIASLKDGYETWCGDRGVQLSGGQKQRIAIARAILKNPIVLLLDEATSALDSQSEKLVQDALDRVMVGRTSVVVAHRLSTIQNCDQIAVLEKGKVVEKGTHSSLLGEGPSGAYYSLVSLQRRPTKHEG >Vigun03g125450.1.v1.2 pep primary_assembly:ASM411807v1:3:11845854:11846047:-1 gene:Vigun03g125450.v1.2 transcript:Vigun03g125450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAEEYKSTFTLPSTTRLRYVREDASKRDSS >Vigun11g107100.2.v1.2 pep primary_assembly:ASM411807v1:11:30558440:30568682:-1 gene:Vigun11g107100.v1.2 transcript:Vigun11g107100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRIFKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGFRMAAECARNALLEKVVDNKADSEKFGSDLLNIAMTTLSSKILSQDKEHFANLDVDVVMRLKGNTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDIDKVKIYGARVRVDSMARVAQIETAGKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIECLALVTGGEIASTFDNPESVKLGHCDLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAK >Vigun03g062300.2.v1.2 pep primary_assembly:ASM411807v1:3:5126597:5132848:1 gene:Vigun03g062300.v1.2 transcript:Vigun03g062300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHKLSSIPSSLGNAFFLVGHRVLDIRTSNDCLFRKNRRWVGASISCSGVRNDLPSIEQLSDARVIYSVAASMGHNQEAHPESHFRVPAIVNALEEMQLSSKFRGSELIELQNFEPASVDDIASVHARAYVSGLEKVMDRAVEKGLIFVEGSGPTYATATTFQESIIAAGAGLALVDSVVAGSKIKSDAPTGFALIRPPGHHAVPQGPMGFCIFSNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFYNDPDVFFLSFHQDGSYPGTGKFDEVGSGDGEGTTLNLPLPGGSGDTAVRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLANLQYTTGTYYMLASSIKQLARDLCGGRCVFFLEGGYNLKSLSYSVADTFRALLGDRSLASEFDDPNILYEEPSTKVKQAIQRIKHIHSL >Vigun03g062300.1.v1.2 pep primary_assembly:ASM411807v1:3:5126597:5132848:1 gene:Vigun03g062300.v1.2 transcript:Vigun03g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHKLSSIPSSLAGNAFFLVGHRVLDIRTSNDCLFRKNRRWVGASISCSGVRNDLPSIEQLSDARVIYSVAASMGHNQEAHPESHFRVPAIVNALEEMQLSSKFRGSELIELQNFEPASVDDIASVHARAYVSGLEKVMDRAVEKGLIFVEGSGPTYATATTFQESIIAAGAGLALVDSVVAGSKIKSDAPTGFALIRPPGHHAVPQGPMGFCIFSNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFYNDPDVFFLSFHQDGSYPGTGKFDEVGSGDGEGTTLNLPLPGGSGDTAVRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLANLQYTTGTYYMLASSIKQLARDLCGGRCVFFLEGGYNLKSLSYSVADTFRALLGDRSLASEFDDPNILYEEPSTKVKQAIQRIKHIHSL >Vigun11g225000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41541533:41545145:1 gene:Vigun11g225000.v1.2 transcript:Vigun11g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVVEVVDASDLMPKDGEGSANPFVEVKFDEQQQATETKHKDLNPYWNQKLLFHIDNPRDLAYKTIEVVVYNRNDRNHNNFLGRVRLSGSSIPLSESQAHVERYPLEKRGLFSNIRGDIALKCYALHDPLPSQPQDAGGDPSAASEQHRAPPPEAEEDQHTPLQEINPNMVAEEESVVGEGEEKKKKKMKKKEKEVRTFHSIPAAAAAAKAQFQAQSQAAAETVRRADFAKAGPPNVMMMQIPRQNPEYGLVETSPPLAARLRYRGGDKISTTYDLVEQMHYLYVNVVKARDLPVMDITGSLDPYVEVKLGNYKGLTKHLDKNQNPVWKQIFAFSKERLQSNLLEVTVKDKDIGKDDFVGRVLFDLTEVPLRVPPDSPLAPQWYRLEDKKGQKIHNNGEIMLAVWMGTQADESFPEAWHSDAHNVSHSNLANTRSKVYFSPKLFYLRVQVIEAQDLVPSDKGRAPDAVVRVQLGNQMRFTRPSQMRSTNPVWNDELMFVAAEPFEDFIIVTVEDKVGPSAEILGREIISVRSVPPRHETSKLPDSRWFNLHRPSAVGEEETEKKKEKFSSKIHLRMCLEAGYHVLDESTHFSSDLQPSSKHLRKKNIGILELGILSARNLVPQKAREGRTTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVYDPCTVITIGVFDNHHINGSSDAKDQRIGKVRIRLSTLETDRVYTHFYPLLVLQPNGLKKNGELHLAVRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRHQAMQIVAARLSRAEPPLRRETVEYMLDVDYHMWSLRRSKANFHRIMSILRGVTAVCKWFDDICTWRNPITTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRPRQPPHMDARLSQAETAHPDELDEEFDTFPSTKPSDIVRMRYDRLRSVAGRVQTVVGDLATQGERAQAILNWRDSRATSIFIIFSLIWAVFIYITPFQVVAILVGLYMLRHPRFRSKMPSVPINFFKRLPSRSDTLI >Vigun10g002200.2.v1.2 pep primary_assembly:ASM411807v1:10:217998:220058:1 gene:Vigun10g002200.v1.2 transcript:Vigun10g002200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITCNCIYSVRDTKSKQNRSVFGMKQSKDPFEAAFEESPPESPTEIEADSIHNHSHNLNHNQNPIPSPSVLPLNPGPPQKASAVVKNKDKDKDKDDEEEEEEDNMDVELSKLPSTGDPHKMAKMQAILSQFSEEQMSRYESFRRAGFQRANMKRLLASITGTQKISVPMTIVVSGIAKMFVGEVVETARIVMKERKESGPIRPCHLREAYRRLKLEGKVFKRSASRLFR >Vigun05g156776.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25540867:25541181:-1 gene:Vigun05g156776.v1.2 transcript:Vigun05g156776.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLFFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGKFQTNNFNEIFQFLILICSTLCIPLSVEYIECT >Vigun10g015700.6.v1.2 pep primary_assembly:ASM411807v1:10:1747128:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMQGYGVYCFANGHCYEGSWHEGKRQGIGMYAFRNGETQSGHWQNGVIDIPSSQSATYPVSPVAVNHSRVLNAVQEARTAAKKAYDVAKVDERVNRAVAAANRAANAARVASVKAVQNQMHHNVNSKSIPITIV >Vigun10g015700.11.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRLAAISSDCNFLLLSWVVLGFIL >Vigun10g015700.10.v1.2 pep primary_assembly:ASM411807v1:10:1747127:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQEKEVLLPKIESVSKKWGYICW >Vigun10g015700.4.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752607:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMQGYGVYCFANGHCYEGSWHEGKRQGIGMYAFRNGETQSGHWQNGVIDIPSSQSATYPVSPVAVNHSRVLNAVQEARTAAKKAYDVAKVDERVNRAVAAANRAANAARVASVKAVQNQMHHNVNSKSIPITIV >Vigun10g015700.3.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQEKEVLLPKIESVSKKWGYICW >Vigun10g015700.8.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQEKEVLLPKIESVSKKWGYICW >Vigun10g015700.7.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQEKEVLLPKIESVSKKWGYICW >Vigun10g015700.12.v1.2 pep primary_assembly:ASM411807v1:10:1746935:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRLAAISSDFYKRKRYCSQR >Vigun10g015700.5.v1.2 pep primary_assembly:ASM411807v1:10:1747011:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMQGYGVYCFANGHCYEGSWHEGKRQGIGMYAFRNGETQSGHWQNGVIDIPSSQSATYPVSPVAVNHSRVLNAVQEARTAAKKAYDVAKVDERVNRAVAAANRAANAARVASVKAVQNQMHHNVNSKSIPITIV >Vigun10g015700.9.v1.2 pep primary_assembly:ASM411807v1:10:1747011:1752402:-1 gene:Vigun10g015700.v1.2 transcript:Vigun10g015700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKKSELQIGKESTGVSSDFNPLRHHHNHRHHHHHPIPNLTPNLNLQTQTSTVPYKRSSLCKSPTLNRFPKPCRATAPSATTATWVSAVVSLRRRLRLFLFLSLPFFYFLVSHPTNSFILDFLAAFFFSAALFFSLSLALPRIPSVRFFLKPEARPALKLPVFWARPAKPEFRFCVVAYPNGDVYEGEFRGGKCCGSGVYYYSMSGRGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWASGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFSLQEKEVLLPKIESVSKKWGYICW >Vigun03g354200.2.v1.2 pep primary_assembly:ASM411807v1:3:55574047:55577649:-1 gene:Vigun03g354200.v1.2 transcript:Vigun03g354200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEKQHKRGFMYIYKGGIGNQKKKELEQDKKSNSRSWLHKISRTRVFNGGSGNRDVDIGGRGRGEEFVEARKSTSCLELGSPAGGFVEGRKSVSFIETAASPAARGFTVEEGRKSVSHIDKHFAVTEMVEARKSVSHIETFSSVAAYLQVKVLVSDMPSFMQLHAFRCARRTFDSLEKFSAKHIAHNVKKEFDKGYGPVWHCIVGSNFGSFVTHSTGCFLYFSMENLYILLFKTKVKKALD >Vigun03g354200.1.v1.2 pep primary_assembly:ASM411807v1:3:55575168:55577671:-1 gene:Vigun03g354200.v1.2 transcript:Vigun03g354200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEKQHKRGFMYIYKGGIGNQKKKELEQDKKSNSRSWLHKISRTRVFNGGSGNRDVDIGGRGRGEEFVEARKSTSCLELGSPAGGFVEGRKSVSFIETAASPAARGFTVEEGRKSVSHIDKHFAVTEMVEARKSVSHIETFSSVAAYLQVKVLVSDMPSFMQLHAFRCARRTFDSLEKFSAKHIAHNVKKEFDKGYGPVWHCIVGSNFGSFVTHSTGCFLYFSMENLYILLFKTKVKKALD >Vigun03g220300.1.v1.2 pep primary_assembly:ASM411807v1:3:36650768:36658396:1 gene:Vigun03g220300.v1.2 transcript:Vigun03g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLQKFSLFMASKPQRLHQVSGTNFQGSAKKVRTMATSTGIEPAMKDAFTGYAEYLNDLNDKRERVVKASRDITMNSKKVIFQVHRMSKFNKVEVLEKAEKDLAAVTDQYVSRLVKELQGTDFWKLRRAYSPGIQEYIEAATFCCFCKNGTLLELDEINKTLLPLNDPSLHPLQINVLDYLLGLADLTGELMRLAIGRISDGELEYAEKICRFVRDIYRELTLVAPLMDDNSDMKTKMDVMLQSVMKIENACFSVHVRGSEYIPLIGTDDPSSFLVGVPDIEL >Vigun03g220300.2.v1.2 pep primary_assembly:ASM411807v1:3:36650842:36659232:1 gene:Vigun03g220300.v1.2 transcript:Vigun03g220300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLQKFSLFMASKPQRLHQVSGTNFQGSAKKVRTMATSTGIEPAMKDAFTGYAEYLNDLNDKRERVVKASRDITMNSKKVIFQVHRMSKFNKVEVLEKAEKDLAAVTDQYVSRLVKELQGTDFWKLRRAYSPGIQEYIEAATFCCFCKNGTLLELDEINKTLLPLNDPSLHPLQINVLDYLLGLADLTGELMRLAIGRISDGELEYAEKICRFVRDIYRELTLVAPLMDDNSDMKTKMDVMLQSVMKIENACFSVHVRGSEYIPLIGTDDPSSFLVGVPDIEL >Vigun06g229400.1.v1.2 pep primary_assembly:ASM411807v1:6:33652846:33654701:-1 gene:Vigun06g229400.v1.2 transcript:Vigun06g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVAVDGIAYKDDWPYTIHLLAHYYVHDITLHRGDVSAASLCLFNNKQALKTLLYLWE >Vigun06g229400.2.v1.2 pep primary_assembly:ASM411807v1:6:33652952:33654694:-1 gene:Vigun06g229400.v1.2 transcript:Vigun06g229400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVAVDGIAYKDDWPYTIHLLAHYYVHDIFVHLYLVFSTMKMLTPINFSRTLHRGDVSAASLCLFNNKQALKTLLYLWE >Vigun05g291400.4.v1.2 pep primary_assembly:ASM411807v1:5:47756778:47758985:-1 gene:Vigun05g291400.v1.2 transcript:Vigun05g291400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLRSSTNTSMSGMAGKRMSSGSGWLMGGALKLPKIVKAGEPVLHERAKEVEASEMKSERVQKIIDDMIRVMRKAPGVGLAAPQIGIPLRIIVLEDKEEYMGYDDGETLKEQDRRPFHLLVILNPKLKKKSNRTALFFEGCLSVSGYAVVERYLDVEVEGFDRHGEPVKINATGWQARILQHECDHLDGTLYVDKMVPRTFRVPENSSKPLARGCPKLGPR >Vigun05g291400.3.v1.2 pep primary_assembly:ASM411807v1:5:47756757:47759517:-1 gene:Vigun05g291400.v1.2 transcript:Vigun05g291400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLRSSTNTSMSGMAGKRMSSGSGWLMGGALKLPKIVKAGEPVLHERAKEVEASEMKSERVQKIIDDMIRVMRKAPGVGLAAPQIGIPLRIIVLEDKEEYMGYDDGETLKEQDRRPFHLLVILNPKLKKKSNRTALFFEGCLSVSGYAVVERYLDVEVEGFDRHGEPVKINATGWQARILQHECDHLDGTLYVDKMVPRTFRVPENSSKPLARGCPKLGPR >Vigun05g291400.2.v1.2 pep primary_assembly:ASM411807v1:5:47756752:47759517:-1 gene:Vigun05g291400.v1.2 transcript:Vigun05g291400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLVALRLRVVPVAAYLLRNAVVMGGDLRSSTNTSMSGMAGKRMSSGSGWLMGGALKLPKIVKAGEPVLHERAKEVEASEMKSERVQKIIDDMIRVMRKAPGVGLAAPQIGIPLRIIVLEDKEEYMGYDDGETLKEQDRRPFHLLVILNPKLKKKSNRTALFFEGCLSVSGYAVVERYLDVEVEGFDRHGEPVKINATGWQARILQHECDHLDGTLYVDKMVPRTFRVPENSSKPLARGCPKLGPR >Vigun05g291400.1.v1.2 pep primary_assembly:ASM411807v1:5:47756858:47759517:-1 gene:Vigun05g291400.v1.2 transcript:Vigun05g291400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLVALRLRVVPVAAYLLRNAVVMGGDLRSSTNTSMSGMAGKRMSSGSGWLMGGALKLPKIVKAGEPVLHERAKEVEASEMKSERVQKIIDDMIRVMRKAPGVGLAAPQIGIPLRVSIIIVLEDKEEYMGYDDGETLKEQDRRPFHLLVILNPKLKKKSNRTALFFEGCLSVSGYAVVERYLDVEVEGFDRHGEPVKINATGWQARILQHECDHLDGTLYVDKMVPRTFRVPENSSKPLARGCPKLGPR >Vigun08g004500.1.v1.2 pep primary_assembly:ASM411807v1:8:384846:388339:-1 gene:Vigun08g004500.v1.2 transcript:Vigun08g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFMKLSPSSSSCSPCSLFRFILLFTITVLIVCGKTRAVVKLPPNVSVPAVLVFGDSIMDTGNNNNNLATTARCNYPPYGKDFKGGIPTGRFSNGKVPSDLIVEELGIKEFLPPFVDSNLEAGELATGVCFASGGAGYDPLTAQSSLTISLSGQLDLFREYVGKLKGVVGEERSKFILKNTLYIVVLGSNDISNTYFLTRVRQLQYPDFSAYADFMLSSASIFLKEIYGEGARRIAVFSVPPLGYLPSQRTIGGGIQRNVVVKINDAVRIFNAKLSKQLDSLNQNFQDSKIVYIDVYNPLLDIIVNYQKYGYKVGDRGCCGTGTIEVVLLCNRFTPLCPNDLEYVFWDSFHPTESVYKKLISPVIAKYLNKFL >Vigun01g192600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37047173:37052518:-1 gene:Vigun01g192600.v1.2 transcript:Vigun01g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKPPRRKIVPANGDESADKLDQLLLSSAICNNEDIGPFIRKAFASGKPETLHHHLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSRLQSVARPLLSSLDAFVETRNVSKNVNLAIDSIRTCVKLMEVCTRANRHLADDNFYMALKCVDAIEREYLDKTESSTLKRMLEKKIPEIRSYIERKVNKEFGDWLVEIRVVSRNLGQLAIGQASSARQREEDLRIKQRQAEEQSRLSVRDCIYALEEEEEDEIIAGGIGEDGGGVAGFDLTSLYRAYHIHQTLGLEDRFKRYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFVVEDRVFRTGGGLISKLEVENLWEIAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPIDALLDVLSKHRDKYHELLLSDCRKQIAEAVAADKFEQMLMKKEYEYSMNVLSFQIQTTDIIPAFPYVAPFSTTVPDCCRIVRSFIEDSVSFMSYGGQLEFYEVVKKYLDRLLNEVLDEALVKLINTSISGVSQAMQMAANMAVLERACDFFFRHAAQLSGVPLRMVERSRRQFPLRKARDAAEEMLSGLLKAKVDGFMTLIENVNWMCDEAPQSGNEYVNEVIIYLEILVSTAQQILPSQVLKRVLQQVFAHISDKIVGTLVSDSVKRFNVNAINGIEVDIRLLESFADNQASLFSDGDVDVLKASLTSSKQLINLLLSNHPENFLNPVIRERSYNTLDHKKVVIVSEKLRDPSDRLFGTFGSRGARQNPKRKSLDTLIKRLKDVS >Vigun03g037900.2.v1.2 pep primary_assembly:ASM411807v1:3:2901649:2907269:-1 gene:Vigun03g037900.v1.2 transcript:Vigun03g037900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHSRKAIKFFGSLIGPENVEDLQRTRSDIEANISGILKFIKNESHNTEDGNLKHSTKWTEFKGLIEDLYKNHQSMYALYDRITEEFEKSVSHKRKVSSSDSESEYFSSEEVDGYKRRSEKEHYYVSDFETPRQEFDKGDGTYDITNVEAQKAEEQLTMLMKEVESLSKQKGDLKQEVEHQTHEVTHLASKNTELNDEILELDLLLKKEKDKVFDLEAQLNDNENQEKPNIADLMAKICELEQEIKSLQTQKYEMEEKIKCEQSESSTQREDLMDKLDMVQQRLNVMESVNKELEAKMESQGEQISQDFFEINNLKDNLTNMRLVEKHMTAEKNRLLYRLKDLELNLESQMSQKSELEEKLRDKNYEIKELTEENKVFQERNHELRTTMTQKGEELSNFLREHEDHENGASMEIMTLKAKLNEMRLELDTMHEQKNKLEQQNERSQKEYVESLARMENLNAKLATQIGDQEKMIEQLNEENKQAKVVFSKLRTVQVTAERKINELAEEFRRKMEDNIKLLHQRIHVAEQLNNENKYTYKITKQRYEEEYKDIRKKIANHKDETTTYIPNGFEFITLNGLDLAIQHVEDYAARVTKMICEIKFVKDRMIEKNEEVKELIDHVNYLRELLDKKEEQELLLRENLWKLEAEVSKEGGDKLNLRKEVSQLEKKVGNLEKSVKKKDEELDNLGEKKREAIRQLCFVIDFHRDRCNYLKDMATKNRVNNIT >Vigun07g148800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25948010:25949113:-1 gene:Vigun07g148800.v1.2 transcript:Vigun07g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSGSRENGGIYLFLLLLIVPLAAAQSPNNQNESYYNRFSPSMAIIIVILIAAVFLMGFFSIYIRHCADTPSASIRNLTGATARPRRGLDQAVIDTFPTLEYSVVKIHKLGKGTLECAVCLNEFEDTETLRLIPKCDHVFHPECIDEWLASHTTCPVCRANLVPEPGDSVRGIPVLNAPNDIEDQSEAQPEAHDDAVEPLPEQQQEPKLPISSEPPQLLSLNQTLNRNRTRGSRSGRPRRFPRSHSTGHSLIQPGEDTERFTLRLPEEVRKQILLNPELHRARSLVMLPREGSSRRGYRTGEGSSRGRSSRRLDQGFKSDRWVFTMAPPFLVRASSVRSPRVANNGGAGTSAAAPFPPPAAVDSV >Vigun07g085700.1.v1.2 pep primary_assembly:ASM411807v1:7:12901327:12906660:-1 gene:Vigun07g085700.v1.2 transcript:Vigun07g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIISLVTGRPGPSGFGSASTAEQVTQGIDASNLTAIITGGASGIGLETARVLALRKVHVIIGARNMESAKEAKQVILHENESARVDILKLDLCSINSVRTFVDNFIALSLPLNILINNAGVMFCPFQKTEDGIEMQFATNHLGHFLLTNLLLDKMKQTAKETGIEGRIINLSSIAHVYTYEEGIRFDNINEEDGYSDKKAYGQSKLANILHAKELSRRLQEEGANITANAVHPGVIMTPLMRHSSLLMNFLKMFTFFIWKNIPQGAATTCFVALHPSLKGVSGKYFLDCNEYEPSAQASNELLGRKLWDFSNKLINSLSKA >Vigun08g137500.3.v1.2 pep primary_assembly:ASM411807v1:8:30960958:30965716:-1 gene:Vigun08g137500.v1.2 transcript:Vigun08g137500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGFDVESQYLTELLAEHQKLGPFMQVLPLCTRLLNQEILRVSGKNGLMQNQGFSDYDRVQFGSPKPNLMPSLDIQPNFTGWNSMSHEGLAGVQGLNVDWQAASGVPSANIVKRILRLDIANDNYPNFNIVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKELDKEELLRGRPGYEHLNEPLHILIEAELPVNIVDIRLRQAQEIIEELLKPMDESQDLYKRQQLRELAMLNSNFREESPQLSGSPSTFTSNEMKRAKTDQ >Vigun08g137500.2.v1.2 pep primary_assembly:ASM411807v1:8:30960928:30965716:-1 gene:Vigun08g137500.v1.2 transcript:Vigun08g137500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNQISSPSTARANSPNINMRSGFDVESQYLTELLAEHQKLGPFMQVLPLCTRLLNQEILRVSGKNGLMQNQGFSDYDRVQFGSPKPNLMPSLDIQPNFTGWNSMSHEGLAGVQGLNVDWQAASGVPSANIVKRILRLDIANDNYPNFNIVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKELDKEELLRGRPGYEHLNEPLHILIEAELPVNIVDIRLRQAQEIIEELLKPMDESQDLYKRQQLRELAMLNSNFREESPQLSGSPSTFTSNEMKRAKTDQ >Vigun08g137500.1.v1.2 pep primary_assembly:ASM411807v1:8:30960960:30965716:-1 gene:Vigun08g137500.v1.2 transcript:Vigun08g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKSTTQQLKHTLRGIDRWIERNPLTLAFTTEQQKISSPSTARANSPNINMRSGFDVESQYLTELLAEHQKLGPFMQVLPLCTRLLNQEILRVSGKNGLMQNQGFSDYDRVQFGSPKPNLMPSLDIQPNFTGWNSMSHEGLAGVQGLNVDWQAASGVPSANIVKRILRLDIANDNYPNFNIVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKELDKEELLRGRPGYEHLNEPLHILIEAELPVNIVDIRLRQAQEIIEELLKPMDESQDLYKRQQLRELAMLNSNFREESPQLSGSPSTFTSNEMKRAKTDQ >Vigun05g105500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10635200:10638147:-1 gene:Vigun05g105500.v1.2 transcript:Vigun05g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTTQPPPPSLPPPSLPPPPPLLQPHRPSTSCDRHPQENFTGFCPSCLCERLAVLDPNSSSSSAAARKPPSSSTAAAALKTIFRSSVASRRPPPSSLPELRRTKSFSASKNEALSGFFEPQRKSCDVRGRSTLFTLFNQEAEVETRHLPSSSVVQKPVLESEEEDDEEEEEEKDNIVVHDVTDNKVPESPLPQPVNAENRVSEIVEEESETVPEPAEADLADEEALKAMKDHMDLDSQTKKTSGRDLKGSFWSAASVFSKKLQKWRQKQKMKKRERNGVVVGSGTLLPVEKPIARQFRETQSEIADYGFGRRSCDTDPRFSLDAARMSFDFEEPRASWDGYLMARTAPTFAVPPRMPTMIEDAPAPVQVLRTDSLIPVEEPEYEETLTLNLNQNLNLSVNHNVNANPNLPGGSTQTKEYYSDSSSRRRKSLDRSSSIRRTAAAVVAEMDELKSVSNASATTNAKVTPAASADYVHNPLRDSNLNSNSLRDDGCEKGASKKSSSRWSKAWSIWGLIHRRGSKEEDGGGNGNGNGNGVERSYSESWQEYRGGERNGDVRGGGGGGGGFNPKMMRSNSSVSWRNGQGLGGGGFGSVSMRKSDVQGNGLGLGLGGGGGGGKKGRDEFVGLERNRSARYSPNSIDNNGLLRLYLGGRRNGSGKGRSNQAHSIARSVLRLY >Vigun01g010100.1.v1.2 pep primary_assembly:ASM411807v1:1:1152948:1155449:-1 gene:Vigun01g010100.v1.2 transcript:Vigun01g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLAIASENVQSNGKITLYVVLSCMMAAMGGFIFGYDIGIAGGVTSMEPFLKKFFHKVYLKKQEAKVSNYCVFDSQLLTSFTSSLYVAGLVTSFFASYVTKAFGRKPSMVAGGAAFLAGTAFGGAAFNVYMLIVGRLLLGVGVGFANQAVPLYLSEMALPRLRGATSNGFQLSLGIGALFATLINYGTEKIEGGWGWRVSLAMAAVPASVLTLGSLFLPETPNSLIQRGHDHQKAKLLLQRIRGTEDVQAELDDLITASSSKANNKQSFKTILKRRYRPQLVMAVAIPFFQQMTGINVIAFYAPLLFRTIGLGESASLLSAVMTAVIGTASTFISMLIVDKLGRRALFIIGGIQMFASQCIVGGTMAFHLKDHGGLSKGYACVVLAMICIYVAGFGWSWGPLGWLVPSEIFPLEIRSVGQSITVAVSFIFTFAVGQTFLAMLCHLKSGIFFFFGGWVVVMTLFVYYLLPETKSLPLEQMEKVWQEHWFWKTIVAETSDKEHKREI >Vigun09g217200.3.v1.2 pep primary_assembly:ASM411807v1:9:39101004:39101250:-1 gene:Vigun09g217200.v1.2 transcript:Vigun09g217200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEHHKPTQPWIQDVLPVLLVLLIAAHVLAMVYWIYKLATQKQTQRRKAH >Vigun11g121400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32793846:32795081:-1 gene:Vigun11g121400.v1.2 transcript:Vigun11g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEIPQYFVCPISFQIMEDPVTTVTGITYDRESIEEWLRKAKDCVCPVTKQSLPRSSQYLTPNHTLRRLIQAWCSANTANGVDPIPTPKTPLSMIQVQKLVKGLDAPHSFGTSLEKLHALAAQSQRNRTCMAEAGVAKAMVKLINNNFKDGNTKTSSVEEALRIVHLLWNNNHSSMKPLVGESLDFINSLTWVLKLHLDDSNIKMVNEAMPLVKLTIEVADSTLLGNLSLDFFKEMVRVLKKRALSQQTIKSALHVLVQTCVLGRNRTRIVEAGAVAEVIELELEKPEKNMTELIFNLLAHLCCCAEGREQFLRHAAGIAVVSKRVLRVSALTDDRAIHVFSVIAKFSASNEVVLEMLRVGAVSKLCMVMQADCAGYLKEKARDILRLHSKVWNNSPCIQLYLFTRHQR >Vigun10g179200.1.v1.2 pep primary_assembly:ASM411807v1:10:39733409:39735790:1 gene:Vigun10g179200.v1.2 transcript:Vigun10g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSEVEDSDLHRLRSDLSSLLHQIDELVVRAIEVNNNNNVIKKKKKQNGISKDDKTQIESFSRVLSDMLSSLKSWVPKFQNALHTEEETDSYDDESRACDSPEETTLSLVSPSPLVSWRANCTVERGRQMFMLTPLPLSSKHHKQPKPQFPPSSLAVKPTPVKHQLPNNQDKGSMLLVMTPCLKMSPPQSCLLLEPISEMKHLGDHKFRKGTPYPVGIRYSDSESSGSDDSSPDLSLKYPELLGICRDSKPGIGNKTVEASPDWFTSPPKTCVLLEPPDDNIDDRLCVHVTANVLNQQAGKCKDGDGDDVCKDHSDRDHFVGSLEHVEHTPMPESSFETGKRPGENTLKRELWTKFEAASTWGCQPNLPTDEKSAHKGFLDLLEEASCDE >Vigun01g245500.1.v1.2 pep primary_assembly:ASM411807v1:1:41398011:41403931:-1 gene:Vigun01g245500.v1.2 transcript:Vigun01g245500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPREIEKLDLHNAGYLAQKRLARGLRLNYVETVALIATQILEFVRDGEKSVAQLMSIGRELLGRKQVLPAVRHLVESVQVEATFRDGTKLVTIHDPIACENGNLELALFGSFLPVPSLDKFTENEEDHRIPGQIVFRGENLILNPGRRAIILRVINNGDRPIQVGSHYHFIEVNPYLTFDRRKAYGMRLNIAAGNATRFEPGECKSVVLVSIGGSKVIRGGNNIADGPVNDSNCIAAMEAVTTRGFGHVEEENAREGVTGEDYSLTTVILREEYANKYGPTSGDKIRLGDTDLFAEIERDFVVYGDECVFGGGKVIRDGMGQSCGHLPKDSLDTVITNAVIIDYTGIIKADIGIKNGLIFSIGKAGNPDIMNDVSHNMIIGANTEVIAGEGLILTPGAIDCHVHFICPQLVDDAVTSGITTLVGGGTGPADGTRATTCTPAPNQMKMMLQSTDDMPLNFGFTGKGNSAKPDELHHIISAGAMGLKLHEDWGTTPAAIDTCLSVAEQYDIQVNIHTDTLNESGFVEHTIAAFKGRTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHHDMLMVCHHLDKDIPEDVAFADSRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVICRTWQTADKMKSHRGPLQPGEQNDNVRIKRYIAKYTINPAIANGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVAYSNMGDPNASIPTPEPVFMRPMFGAFGKAGSAHSIAFVNKVALANGVKALYGLNKRVEAVENVRKLTKLDMKLNNALPEITVDPETYTVTADGEVLTCTAAKTIPLSRNYFLF >Vigun01g245500.2.v1.2 pep primary_assembly:ASM411807v1:1:41397980:41403970:-1 gene:Vigun01g245500.v1.2 transcript:Vigun01g245500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPREIEKLDLHNAGYLAQKRLARGLRLNYVETVALIATQILEFVRDGEKSVAQLMSIGRELLGRKQVLPAVRHLVESVQVEATFRDGTKLVTIHDPIACENGNLELALFGSFLPVPSLDKFTENEEDHRIPGQIVFRGENLILNPGRRAIILRVINNGDRPIQVGSHYHFIEVNPYLTFDRRKAYGMRLNIAAGNATRFEPGECKSVVLVSIGGSKVIRGGNNIADGPVNDSNCIAAMEAVTTRGFGHVEEENAREGVTGEDYSLTTVILREEYANKYGPTSGDKIRLGDTDLFAEIERDFVVYGDECVFGGGKVIRDGMGQSCGHLPKDSLDTVITNAVIIDYTGIIKADIGIKNGLIFSIGKAGNPDIMNDVSHNMIIGANTEVIAGEGLILTPGAIDCHVHFICPQLVDDAVTSGITTLVGGGTGPADGTRATTCTPAPNQMKMMLQSTDDMPLNFGFTGKGNSAKPDELHHIISAGAMGLKLHEDWGTTPAAIDTCLSVAEQYDIQVNIHTDTLNESGFVEHTIAAFKGRTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHHDMLMVCHHLDKDIPEDVAFADSRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVICRTWQTADKMKSHRGPLQPGEQNDNVRIKRYIAKYTINPAIANGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVAYSNMGDPNASIPTPEPVFMRPMFGAFGKAGSAHSIAFVNKVALANGVKALYGLNKRVEAVENVRKLTKLDMKLNNALPEITVDPETYTVTADGEVLTCTAAKTIPLSRNYFLF >Vigun01g245500.3.v1.2 pep primary_assembly:ASM411807v1:1:41398039:41403922:-1 gene:Vigun01g245500.v1.2 transcript:Vigun01g245500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPREIEKLDLHNAGYLAQKRLARGLRLNYVETVALIATQILEFVRDGEKSVAQLMSIGRELLGRKQVLPAVRHLVESVQVEATFRDGTKLVTIHDPIACENGNLELALFGSFLPVPSLDKFTENEEDHRIPGQIVFRGENLILNPGRRAIILRVINNGDRPIQVGSHYHFIEVNPYLTFDRRKAYGMRLNIAAGNATRFEPGECKSVVLVSIGGSKVIRGGNNIADGPVNDSNCIAAMEAVTTRGFGHVEEENAREGVTGEDYSLTTVILREEYANKYGPTSGDKIRLGDTDLFAEIERDFVVYGDECVFGGGKVIRDGMGQSCGHLPKDSLDTVITNAVIIDYTGIIKADIGIKNGLIFSIGKAGNPDIMNDVSHNMIIGANTEVIAGEGLILTPGAIDCHVHFICPQLVDDAVTSGITTLVGGGTGPADGTRATTCTPAPNQMKMMLQSTDDMPLNFGFTGKGNSAKPDELHHIISAGAMGLKLHEDWGTTPAAIDTCLSVAEQYDIQVNIHTDTLNESGFVEHTIAAFKGRTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHHDMLMVCHHLDKDIPEDVAFADSRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVICRTWQTADKMKSHRGPLQPGEQNDNVRIKRYIAKYTINPAIANGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVAYSNMGDPNASIPTPEPVFMRPMFGAFGKAGSAHSIAFVNKVALANGVKALYGLNKRVEAVENVRKLTKLDMKLNNALPEITVDPETYTVTADGEVLTCTAAKTIPLSRNYFLF >Vigun08g093800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:22129085:22129648:-1 gene:Vigun08g093800.v1.2 transcript:Vigun08g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENHHYFYHQNLQIHRRTTFLPMLCARPSSMKDVSLPQWRDQTGSFSNDPLSPRIGCMGQVKRHNKIVGILSTKSSTTTNVISPTVKYSKLKKLFSGKNLGTTNTNTNVTANVSRNHNKQRCTRNENVVPISIENMDLPLPVIKRVQKKPEEDTLWKRRSGGAALKTLQLQQIHRSRHHPQLTSV >Vigun04g145015.1.v1.2 pep primary_assembly:ASM411807v1:4:35986930:35995002:1 gene:Vigun04g145015.v1.2 transcript:Vigun04g145015.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPVGLKFMMFMFMMLMSCLVTQVVYGEAEVRCIEREREALLRFKAAIVDRYGMLSSWTTPDCCQWQGIRCSNLTGHILMLDLHGEVHEEITFNFYIEFESRRFISGEIHKSLMELRQLQYLNLSSNSFPDTNIPQFLGSLTNLRYLDLSSCGFDGKIPTQFGSLSHLKYLNLASNYLEGSIPRQLGNLFQLQHLDLRGNDLEGNIPIQLGNLSQLQYLDLIVNGLQGNIPSQLGNLSDLHEFYIGNDGLKIGDGEGRRYSLLGKERGSSNSLKEVVACVLRGRRCLFRVHCSP >Vigun01g029200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3513351:3513856:-1 gene:Vigun01g029200.v1.2 transcript:Vigun01g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTFLVLLLAALILIPQGFATYYKPIKKHPIYKRPIYKHPIYKPKPFHKPIYKKPPFKKKPPYGKYPPVEDNNNHA >Vigun02g000049.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:12120:12281:-1 gene:Vigun02g000049.v1.2 transcript:Vigun02g000049.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSLCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >Vigun06g098800.1.v1.2 pep primary_assembly:ASM411807v1:6:22939070:22940726:1 gene:Vigun06g098800.v1.2 transcript:Vigun06g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAHRRVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSRGYHAVAPDLRGYGDTEAPASMSSYTCFHIVGDLVALIELLGVDQVFLVAHDWGAVIGWYLCMFRPEKVKAYICLSVPLLHRDPNVRLVDAMRAMYGDDYYVCRFQKPGEIEAQMAEVGTEYVLKNILTTRKPGPPIFPKGEYGSGFNPDMPNSLPSWLTEDDLAYYVSKFEKTGFTGPLNYYRNLNLNWELTAPWSGVKIQVPVKHITTELDMVYTSLNMKEYIHGGAFKQNVPNLEEVIVQKDVAHFNNQETAEEISNYIYEFIKKF >Vigun07g186200.1.v1.2 pep primary_assembly:ASM411807v1:7:30300059:30302338:-1 gene:Vigun07g186200.v1.2 transcript:Vigun07g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEISTLKLMRQYLLGDISDPFLTNLIPVKVEDSSSELDLDSKFSEQSSFCRFLECFDFEADKELSNLTSNHNIQNPFATSPLQNSDLEDPIVPVKKEVTCCYEARRYRGVRRRPWGKFAAEIRDPKRKGSRVWLGTYDSEIDAAKAYDCAAFRMRGQKAVLNFPLEAGKSHPQPNTSGRKRRRDYSATVTTSTHILNKIINYITRCDVK >Vigun07g186200.3.v1.2 pep primary_assembly:ASM411807v1:7:30300078:30302338:-1 gene:Vigun07g186200.v1.2 transcript:Vigun07g186200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEISTLKLMRQYLLGDISDPFLTNLIPVKVEDSSSELDLDSKFSEQSSFCRFLECFDFEADKELSNLTSNHNIQNPFATSPLQNSDLEDPIVPVKKEVTCCYEARRYRGVRRRPWGKFAAEIRDPKRKGSRVWLGTYDSEIDAAKAYDCAAFRMRGQKAVLNFPLEAGKSHPQPNTSGRKRRRDYSATVTTSTHILNKIINYITRCDVK >Vigun07g186200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30300078:30301854:-1 gene:Vigun07g186200.v1.2 transcript:Vigun07g186200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEISTLKLMRQYLLGDISDPFLTNLIPVKVEDSSSELDLDSKFSEQSSFCRFLECFDFEADKELSNLTSNHNIQNPFATSPLQNSDLEDPIVPVKKEVTCCYEARRYRGVRRRPWGKFAAEIRDPKRKGSRVWLGTYDSEIDAAKAYDCAAFRMRGQKAVLNFPLEAGKSHPQPNTSGRKRRRDYSATVTTSTHVCHEK >Vigun10g147200.2.v1.2 pep primary_assembly:ASM411807v1:10:36541815:36560021:-1 gene:Vigun10g147200.v1.2 transcript:Vigun10g147200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFWKRSNKCWEVAWKFKADIPQTLVSATWSIEGPSATAAHPSKEQIEGALTNEENKCVVVCQSNGLSEYSKVKLHHPLPVVMIQWRPSRGKLSNRYGKSSVRHVLLTCSLDGTARLWSEINNGKARRVGKDINDQKNAGFSFFVVAVIEINQTLNGTLGSDIFVRWGAEFEGIFRTGEESKQVFSKRFEYDVRNCDWIVGFGPGMVLSFWAVHCLDDVSPLRFPRVTLWKRHELQNHDIANVYKFNSSDFKNAILLQKVILMRNCLSGPPIICSPLQLLSCNSLVWSNFRILTIHDAVENSIDNANTDNMSPYLTGGVLNLDGHSGKILKVSIHPYTSKVQFAASLDSNGLLLFWSLSNISNCVLGCPTLVPTMELCGKLATQDSCSLYTSLTWAPSILGDKLFFFMGHTRGIDCFIVNICRTEEENIECHYLCTIPFNGHGPYEDGPLDIFTIPLNSTCDKTFCSSKLMLLAVWMGRFQALSWEVNLHSFDMMTNCCECNFDAKSIDDCSVWAFESTFADKKYCVTVNPCSCEFPSSNDLVTSFAVANPGTVSHIQQEFGFANDLCSNYPTYIMVTGSSEGILKLWKSKPGNSLTQHLPWELVGVLVAHDGPIKGICFSDCGEKIATIGHESNSNAINTIHIWDAVPLISAGTFILEDKIKTNSDVIALRWLTLGTGELLLGVCLHNELQIYAPKRCIGTTLSNSVNFSKMNIWVRIAYAHTFIPIYDFLWGPRAAAVVIHRNYFSIFSHWLFHMDIKQGSNFHPCDSKPNAYNCEDKIYEDVLSAVFTEYDIGAFIDKTDGDSQVDFNSVQSIKKINMKDNSSSFFLAKEQLKFELLTKVGLWSILEVTEIISGSLPTYHPDVLLTNISSGNWKRAFVAVRHLVECLSSTYDPKKRHIPKRIGIPNIVLSNYLEGCISKGSQGKGFQWGGDSASITSISQAESSLFPFPYNSGSNAENDSIFSTKSELNGFIESLEKFPDLPPLIGVEKTHILAIIDLLSEVSSAHSSSAYQSLDEPGRRFWVVLRFRQLLFLRKFGRASSFEELLVNSRLFVWAYHSESLDNLFGSVIPNEPSWQEMRALGLGFWYANIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRIHVLAGLFKISKDEKDKPLVGFLSRNFQDEKNKAAALKNAYVLLGRHQLELAVAFFLLGGDHSSAINVCAKNLGDEQLALVICRLVDGHRGPLERHLITKYILPSAIDKGDYWLASLLEWEMGNYYKSFYRMLEYSVHPVPPESTVMFNCGHFLDPTIGFYCQMLATKNSMRNAVGEHNSAILLRWATLMTVASLKRCGNPLEALEYFSSSLSMPGTADQDSELGDSHDVLSDSLMPLPRKGSNWLSANVSMHLEFHIKLNLALCYLSKLIREHPSWLDTFSEYNGEASDSEEYMKYYEKSVESFKQKLYSGLALFEQRFLLAPHCLIGMILLLLCHHGSLCIGYDMTDECTQGELSQKKSDMLDDFNLYHSRITPLFKTAEEVSFFYSRLFCACSMESSQQDPLIDGKPKFSDASYCCIEGVFISLWFLRATLRIQLSSISKDLIKPLLDILDFYEYYLLFSLAWLRKNSEVLLYMVEPFFIAQSNGRNPYDVDMVNLKKLIPKIGQLLAQTSSVPVIQSLQLSEHDIQHSIPDDERWKILGTCLWQHMSRFMISNLNSVLAKLDGNLSGLFRRKYAYGESCIINMDSENISLPEKIRIVSYSLCDLLITSVSHISSYHVKQHAEFLWQKVKNDSNVKTLEWLKHKSEFSQNQNLDVLKLGNRKDYSVHQLLWDHSADPKLIFDCFAQEKLNWPNDLDHMHTKGWNDLSMIMTDLHNTDDTCGSNKKDITSTNFIVFQSPREMYKRNGELLEALCINSTCQQEAAVASNKKGIVFFHLEDETPHSGKSNGLLWATADWPQNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSSAWPSNDLTGGGVLGMLGYADIGASRLGWEIQQDFEDFVDPPATLENISTRALSSHPMRPFFLVGSSNTHIYLWEFNRDKATATYGVLPAANVPPPYALASISALKFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGHASDVTYFSSSGSIIAVAGYSSNGANVVIWDTLAPPTTSRASILCHEGGAQTVSVSDNHVGSGSVSPLIVTGGKGGDVGLHDFRFIATGKAKRHRRADNIAQSSVSSLARDKDQNVEGMLWYIPKAHSGSVTKVVTIPNTSLFLTGSTDGDVKLWDAQSTRLIHHWPKIHEKHTFLQPSSRGFGGVVRAAVTDIQVVSDGFLTCGGDGTVKLVRLHNHLGGH >Vigun10g147200.3.v1.2 pep primary_assembly:ASM411807v1:10:36541817:36559951:-1 gene:Vigun10g147200.v1.2 transcript:Vigun10g147200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFWKRSNKCWEVAWKFKADIPQTLVSATWSIEGPSATAAHPSKEQIEGALTNEENKCVVVCQSNGLSEYSKVKLHHPLPVVMIQWRPSRGKLSNRYGKSSVRHVLLTCSLDGTARLWSEINNGKARRVGKDINDQKNAGFSFFVVAVIEINQTLNGTLGSDIFVRWGAEFEGIFRTGEESKQVFSKRFEYDVRNCDWIVGFGPGMVLSFWAVHCLDDVSPLRFPRVTLWKRHELQNHDIANVYKFNSSDFKNAILLQKVILMRNCLSGPPIICSPLQLLSCNSLVWSNFRILTIHDAVENSIDNANTDNMSPYLTGGVLNLDGHSGKILKVSIHPYTSKVQFAASLDSNGLLLFWSLSNISNCVLGCPTLVPTMELCGKLATQDSCSLYTSLTWAPSILGDKLFFFMGHTRGIDCFIVNICRTEEENIECHYLCTIPFNGHGPYEDGPLDIFTIPLNSTCDKTFCSSKLMLLAVWMGRFQALSWEVNLHSFDMMTNCCECNFDAKSIDDCSVWAFESTFADKKYCVTVNPCSCEFPSSNDLVTSFAVANPGTVSHIQQEFGFANDLCSNYPTYIMVTGSSEGILKLWKSKPGNSLTQHLPWELVGVLVAHDGPIKGICFSDCGEKIATIGHESNSNAINTIHIWDAVPLISAGTFILEDKIKTNSDVIALRWLTLGTGELLLGVCLHNELQIYAPKRCIGTTLSNSVNFSKMNIWVRIAYAHTFIPIYDFLWGPRAAAVVIHRNYFSIFSHWLFHMDIKQGSNFHPCDSKPNAYNCEDKIYEDVLSAVFTEYDIGAFIDKTDGDSQVDFNSVQSIKKINMKDNSSSFFLAKEQLKFELLTKVGLWSILEVTEIISGSLPTYHPDVLLTNISSGNWKRAFVAVRHLVECLSSTYDPKKRHIPKRIGIPNIVLSNYLEGCISKGSQGKGFQWGGDSASITSISQAESSLFPFPYNSGSNAENDSIFSTKSELNGFIESLEKFPDLPPLIGVEKTHILAIIDLLSEVSSAHSSSAYQSLDEPGRRFWVVLRFRQLLFLRKFGRASSFEELLVNSRLFVWAYHSESLDNLFGSVIPNEPSWQEMRALGLGFWYANIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRIHVLAGLFKISKDEKDKPLVGFLSRNFQDEKNKAAALKNAYVLLGRHQLELAVAFFLLGGDHSSAINVCAKNLGDEQLALVICRLVDGHRGPLERHLITKYILPSAIDKGDYWLASLLEWEMGNYYKSFYRMLEYSVHPVPPESTVMFNCGHFLDPTIGFYCQMLATKNSMRNAVGEHNSAILLRWATLMTVASLKRCGNPLEALEYFSSSLSMPGTADQDSELGDSHDVLSDSLMPLPRKGSNWLSANVSMHLEFHIKLNLALCYLSKLIREHPSWLDTFSEYNGEASDSEEYMKYYEKSVESFKQKLYSGLALFEQRFLLAPHCLIGMILLLLCHHGSLCIGYDMTDECTQGELSQKKSDMLDDFNLYHSRITPLFKTAEEVSFFYSRLFCACSMESSQQDPLIDGKPKFSDASYCCIEGVFISLWFLRATLRIQLSSISKDLIKPLLDILDFYEYYLLFSLAWLRKNSEVLLYMVEPFFIAQSNGRNPYDVDMVNLKKLIPKIGQLLAQTSSVPVIQSLQLSEHDIQHSIPDDERWKILGTCLWQHMSRFMISNLNSVLAKLDGNLSGLFRRKYAYGESCIINMDSENISLPEKIRIVSYSLCDLLITSVSHISSYHVKQHAEFLWQKVKNDSNVKTLEWLKHKSEFSQNQNLDVLKLGNRKDYSVHQLLWDHSADPKLIFDCFAQEKLNWPNDLDHMHTKGWNDLSMIMTDLHNTDDTCGDEFNLRSSNHEVGTPVKETSLNGHPSAGSNKKDITSTNFIVFQSPREMYKRNGELLEALCINSTCQQEAAVASNKKGIVFFHLEDETPHSGKSNGLLWATADWPQNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSSAWPSNDLTGGGVLGMLGYADIGASRLGWEIQQDFEDFVDPPATLENISTRALSSHPMRPFFLVGSSNTHIYLWEFNRDKATATYGVLPAANVPPPYALASISALKFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGHASDVTYFSSSGSIIAVAGYSSNGANVVIWDTLAPPTTSRASILCHEGGAQTVSVSDNHVGSGSVSPLIVTGGKGGDVGLHDFRFIATGKAKRHRRADNIAQSSVSSLARDKDQNVEGMLWYIPKAHSGSVTKVVTIPNTSLFLTGSTDGDVKLWDAQSTRLIHHWPKIHEKHTFLQPSSRGFGGVVRAAVTDIQVVSDGFLTCGGDGTVKLVRLHNHLGGH >Vigun10g147200.1.v1.2 pep primary_assembly:ASM411807v1:10:36541817:36559951:-1 gene:Vigun10g147200.v1.2 transcript:Vigun10g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDTSIRNTKMNPIDHLPLCLLRSDMVPPAPTLSESAVDFLPHFAGYSWIAYAASSLLVISHFPSPLSPHQSRIGPIFRQSFQLSADPLAAVAWSPRSPSSGDLAAASDNCICLFHHNSTAAKGSFCWSQNAVLVQHTKVTNVKWTGSGDGIISVGMEVVFWKRSNKCWEVAWKFKADIPQTLVSATWSIEGPSATAAHPSKEQIEGALTNEENKCVVVCQSNGLSEYSKVKLHHPLPVVMIQWRPSRGKLSNRYGKSSVRHVLLTCSLDGTARLWSEINNGKARRVGKDINDQKNAGFSFFVVAVIEINQTLNGTLGSDIFVRWGAEFEGIFRTGEESKQVFSKRFEYDVRNCDWIVGFGPGMVLSFWAVHCLDDVSPLRFPRVTLWKRHELQNHDIANVYKFNSSDFKNAILLQKVILMRNCLSGPPIICSPLQLLSCNSLVWSNFRILTIHDAVENSIDNANTDNMSPYLTGGVLNLDGHSGKILKVSIHPYTSKVQFAASLDSNGLLLFWSLSNISNCVLGCPTLVPTMELCGKLATQDSCSLYTSLTWAPSILGDKLFFFMGHTRGIDCFIVNICRTEEENIECHYLCTIPFNGHGPYEDGPLDIFTIPLNSTCDKTFCSSKLMLLAVWMGRFQALSWEVNLHSFDMMTNCCECNFDAKSIDDCSVWAFESTFADKKYCVTVNPCSCEFPSSNDLVTSFAVANPGTVSHIQQEFGFANDLCSNYPTYIMVTGSSEGILKLWKSKPGNSLTQHLPWELVGVLVAHDGPIKGICFSDCGEKIATIGHESNSNAINTIHIWDAVPLISAGTFILEDKIKTNSDVIALRWLTLGTGELLLGVCLHNELQIYAPKRCIGTTLSNSVNFSKMNIWVRIAYAHTFIPIYDFLWGPRAAAVVIHRNYFSIFSHWLFHMDIKQGSNFHPCDSKPNAYNCEDKIYEDVLSAVFTEYDIGAFIDKTDGDSQVDFNSVQSIKKINMKDNSSSFFLAKEQLKFELLTKVGLWSILEVTEIISGSLPTYHPDVLLTNISSGNWKRAFVAVRHLVECLSSTYDPKKRHIPKRIGIPNIVLSNYLEGCISKGSQGKGFQWGGDSASITSISQAESSLFPFPYNSGSNAENDSIFSTKSELNGFIESLEKFPDLPPLIGVEKTHILAIIDLLSEVSSAHSSSAYQSLDEPGRRFWVVLRFRQLLFLRKFGRASSFEELLVNSRLFVWAYHSESLDNLFGSVIPNEPSWQEMRALGLGFWYANIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRIHVLAGLFKISKDEKDKPLVGFLSRNFQDEKNKAAALKNAYVLLGRHQLELAVAFFLLGGDHSSAINVCAKNLGDEQLALVICRLVDGHRGPLERHLITKYILPSAIDKGDYWLASLLEWEMGNYYKSFYRMLEYSVHPVPPESTVMFNCGHFLDPTIGFYCQMLATKNSMRNAVGEHNSAILLRWATLMTVASLKRCGNPLEALEYFSSSLSMPGTADQDSELGDSHDVLSDSLMPLPRKGSNWLSANVSMHLEFHIKLNLALCYLSKLIREHPSWLDTFSEYNGEASDSEEYMKYYEKSVESFKQKLYSGLALFEQRFLLAPHCLIGMILLLLCHHGSLCIGYDMTDECTQGELSQKKSDMLDDFNLYHSRITPLFKTAEEVSFFYSRLFCACSMESSQQDPLIDGKPKFSDASYCCIEGVFISLWFLRATLRIQLSSISKDLIKPLLDILDFYEYYLLFSLAWLRKNSEVLLYMVEPFFIAQSNGRNPYDVDMVNLKKLIPKIGQLLAQTSSVPVIQSLQLSEHDIQHSIPDDERWKILGTCLWQHMSRFMISNLNSVLAKLDGNLSGLFRRKYAYGESCIINMDSENISLPEKIRIVSYSLCDLLITSVSHISSYHVKQHAEFLWQKVKNDSNVKTLEWLKHKSEFSQNQNLDVLKLGNRKDYSVHQLLWDHSADPKLIFDCFAQEKLNWPNDLDHMHTKGWNDLSMIMTDLHNTDDTCGDEFNLRSSNHEVGTPVKETSLNGHPSAGSNKKDITSTNFIVFQSPREMYKRNGELLEALCINSTCQQEAAVASNKKGIVFFHLEDETPHSGKSNGLLWATADWPQNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSSAWPSNDLTGGGVLGMLGYADIGASRLGWEIQQDFEDFVDPPATLENISTRALSSHPMRPFFLVGSSNTHIYLWEFNRDKATATYGVLPAANVPPPYALASISALKFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGHASDVTYFSSSGSIIAVAGYSSNGANVVIWDTLAPPTTSRASILCHEGGAQTVSVSDNHVGSGSVSPLIVTGGKGGDVGLHDFRFIATGKAKRHRRADNIAQSSVSSLARDKDQNVEGMLWYIPKAHSGSVTKVVTIPNTSLFLTGSTDGDVKLWDAQSTRLIHHWPKIHEKHTFLQPSSRGFGGVVRAAVTDIQVVSDGFLTCGGDGTVKLVRLHNHLGGH >Vigun10g147200.4.v1.2 pep primary_assembly:ASM411807v1:10:36541815:36560021:-1 gene:Vigun10g147200.v1.2 transcript:Vigun10g147200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDTSIRNTKMNPIDHLPLCLLRSDMVPPAPTLSESAVDFLPHFAGYSWIAYAASSLLVISHFPSPLSPHQSRIGPIFRQSFQLSADPLAAVAWSPRSPSSGDLAAASDNCICLFHHNSTAAKGSFCWSQNAVLVQHTKVTNVKWTGSGDGIISVGMEVVFWKRSNKCWEVAWKFKADIPQTLVSATWSIEGPSATAAHPSKEQIEGALTNEENKCVVVCQSNGLSEYSKVKLHHPLPVVMIQWRPSRGKLSNRYGKSSVRHVLLTCSLDGTARLWSEINNGKARRVGKDINDQKNAGFSFFVVAVIEINQTLNGTLGSDIFVRWGAEFEGIFRTGEESKQVFSKRFEYDVRNCDWIVGFGPGMVLSFWAVHCLDDVSPLRFPRVTLWKRHELQNHDIANVYKFNSSDFKNAILLQKVILMRNCLSGPPIICSPLQLLSCNSLVWSNFRILTIHDAVENSIDNANTDNMSPYLTGGVLNLDGHSGKILKVSIHPYTSKVQFAASLDSNGLLLFWSLSNISNCVLGCPTLVPTMELCGKLATQDSCSLYTSLTWAPSILGDKLFFFMGHTRGIDCFIVNICRTEEENIECHYLCTIPFNGHGPYEDGPLDIFTIPLNSTCDKTFCSSKLMLLAVWMGRFQALSWEVNLHSFDMMTNCCECNFDAKSIDDCSVWAFESTFADKKYCVTVNPCSCEFPSSNDLVTSFAVANPGTVSHIQQEFGFANDLCSNYPTYIMVTGSSEGILKLWKSKPGNSLTQHLPWELVGVLVAHDGPIKGICFSDCGEKIATIGHESNSNAINTIHIWDAVPLISAGTFILEDKIKTNSDVIALRWLTLGTGELLLGVCLHNELQIYAPKRCIGTTLSNSVNFSKMNIWVRIAYAHTFIPIYDFLWGPRAAAVVIHRNYFSIFSHWLFHMDIKQGSNFHPCDSKPNAYNCEDKIYEDVLSAVFTEYDIGAFIDKTDGDSQVDFNSVQSIKKINMKDNSSSFFLAKEQLKFELLTKVGLWSILEVTEIISGSLPTYHPDVLLTNISSGNWKRAFVAVRHLVECLSSTYDPKKRHIPKRIGIPNIVLSNYLEGCISKGSQGKGFQWGGDSASITSISQAESSLFPFPYNSGSNAENDSIFSTKSELNGFIESLEKFPDLPPLIGVEKTHILAIIDLLSEVSSAHSSSAYQSLDEPGRRFWVVLRFRQLLFLRKFGRASSFEELLVNSRLFVWAYHSESLDNLFGSVIPNEPSWQEMRALGLGFWYANIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRIHVLAGLFKISKDEKDKPLVGFLSRNFQDEKNKAAALKNAYVLLGRHQLELAVAFFLLGGDHSSAINVCAKNLGDEQLALVICRLVDGHRGPLERHLITKYILPSAIDKGDYWLASLLEWEMGNYYKSFYRMLEYSVHPVPPESTVMFNCGHFLDPTIGFYCQMLATKNSMRNAVGEHNSAILLRWATLMTVASLKRCGNPLEALEYFSSSLSMPGTADQDSELGDSHDVLSDSLMPLPRKGSNWLSANVSMHLEFHIKLNLALCYLSKLIREHPSWLDTFSEYNGEASDSEEYMKYYEKSVESFKQKLYSGLALFEQRFLLAPHCLIGMILLLLCHHGSLCIGYDMTDECTQGELSQKKSDMLDDFNLYHSRITPLFKTAEEVSFFYSRLFCACSMESSQQDPLIDGKPKFSDASYCCIEGVFISLWFLRATLRIQLSSISKDLIKPLLDILDFYEYYLLFSLAWLRKNSEVLLYMVEPFFIAQSNGRNPYDVDMVNLKKLIPKIGQLLAQTSSVPVIQSLQLSEHDIQHSIPDDERWKILGTCLWQHMSRFMISNLNSVLAKLDGNLSGLFRRKYAYGESCIINMDSENISLPEKIRIVSYSLCDLLITSVSHISSYHVKQHAEFLWQKVKNDSNVKTLEWLKHKSEFSQNQNLDVLKLGNRKDYSVHQLLWDHSADPKLIFDCFAQEKLNWPNDLDHMHTKGWNDLSMIMTDLHNTDDTCGSNKKDITSTNFIVFQSPREMYKRNGELLEALCINSTCQQEAAVASNKKGIVFFHLEDETPHSGKSNGLLWATADWPQNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSSAWPSNDLTGGGVLGMLGYADIGASRLGWEIQQDFEDFVDPPATLENISTRALSSHPMRPFFLVGSSNTHIYLWEFNRDKATATYGVLPAANVPPPYALASISALKFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGHASDVTYFSSSGSIIAVAGYSSNGANVVIWDTLAPPTTSRASILCHEGGAQTVSVSDNHVGSGSVSPLIVTGGKGGDVGLHDFRFIATGKAKRHRRADNIAQSSVSSLARDKDQNVEGMLWYIPKAHSGSVTKVVTIPNTSLFLTGSTDGDVKLWDAQSTRLIHHWPKIHEKHTFLQPSSRGFGGVVRAAVTDIQVVSDGFLTCGGDGTVKLVRLHNHLGGH >Vigun02g145700.8.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.13.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.10.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.9.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.6.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377664:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.12.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.11.v1.2 pep primary_assembly:ASM411807v1:2:29371620:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.7.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.15.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.14.v1.2 pep primary_assembly:ASM411807v1:2:29371107:29377642:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun02g145700.3.v1.2 pep primary_assembly:ASM411807v1:2:29371619:29377664:-1 gene:Vigun02g145700.v1.2 transcript:Vigun02g145700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQRKDYESEGCSSLDDDKGRRVGYVSNSSSSAVVLEDGLEEEDSDRGGSRTLERKSSKIFGFSVTHNDSDHPPVTQQFFSVDSDLPVSEAAAVARGSSFPRAHWVDVKFRQSETLSAGKSVKVSEPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSVYDNELNSDSSGVAADHNLDLSLGNLSSRPGNGQISGNHFPNSASDQHLPPASSWRNGGTNPKIHLVNILPKPCDRSNMEAYARDWLGESETLRLLSQTHLQSPTTKEMHRYGPYRSPAEPQLSHNFARLHPPIFHNMQVPSSSNNGGRIGSDLSLSMSDEQQWQAGHPHLLATAAASSGFPPQITPSLSW >Vigun08g040300.1.v1.2 pep primary_assembly:ASM411807v1:8:3977050:3978922:1 gene:Vigun08g040300.v1.2 transcript:Vigun08g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITRAVLLDAPAGGAAEEECSTSSSIGRNSDESSERSVEGGENEVESSYRGPLHAMETLEEVLPIRRSISKFYNGKSKSFSTLADAASSPSVKDIAKPENAYSRRRRSFMALNHVLEKNRSYPLRFSGAGICKRPISLSRSTLALAVAINNSESSSSSITSEDSGSSSNSIQLLPPLHPRNTVVSAAYPSSPSLQNLSSWRSFSVADLQQHCAIAATMKISSASSIGNKTAHPS >VigunL004400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:42499:42828:1 gene:VigunL004400.v1.2 transcript:VigunL004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun05g147800.1.v1.2 pep primary_assembly:ASM411807v1:5:21201323:21204466:1 gene:Vigun05g147800.v1.2 transcript:Vigun05g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSKANRVHCLVLAYPSQGHINPMLQFSKLLQHEGVRVTFVTTVFYCKNMQKLPTGISLEAISDGYDSGRIGEAKSLRVYLDSFWQVGPETLVELLEKLSRSGYPIHCLIYDSFMPWAMEVARKFGIVGVSFLTQNMAVNSIYYHVHLGKLQAPLIENEISLPSLPQLQLADMPSFFFNYAEDPAFLDFLVGQFSNIHKADWILCNSFYELEKEVADWTMKIWPKFRTIGPSIPPMFIHKHNKDDEDHGDAEVTSEECIKWLDEKTKGLVVYVSFGSMAVLDEKQIEEVAHGLRDCGRYFLWVLRASEEMKLPKGFEKKSEKGLVVRWCSQLRVLAHEAVGCFVTHCGWNSTLEALCLGVPMIAMPQEADQSTNAKHIEDVWKVGIRASVDGKHVTREVLKHCIREVMDSERGKEMKRNAMQWKTLAASAVGEGGSSRTNISEFLNTLFHLQ >Vigun08g215500.1.v1.2 pep primary_assembly:ASM411807v1:8:37581987:37593083:1 gene:Vigun08g215500.v1.2 transcript:Vigun08g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLMWKGFNQLQYSLCFNGFVKELEKEEDNLTETRKNVEDRVTHARRQTLKTSEVIDKWLENANIDSEYVNRLLSEANVKKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGMIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENEEMERAQRLCMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTSKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLRSSKPMNIGKGLQDPYKCLHLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTAAKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKAIKCELEKDVTLDHGLMRYLWCVKFPNDIDCSNLEFLSIQTKLEVSDAIFEKMEKLRVLILSNQNDNNGLQLSTMSFKTLQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELETDVGVTQLPNLKLLEFSHCDIERRNFEEIKLIRSLEELYILENYWNGNVEFLNVLPETLKRYGIVLGYNTLYYYSPQLEFCSFHATLALYHFDISNEIIMRMAKSSKELTMGNIEGGAKNIVPDIFQIGASMSELNQLQIHNSEIECLVDTSNHLNKVGNVFSELCSLTITNMWCLRALWHGCVPVDGSFKKLEKLFIENCPKLTFLLTCDMARGLVQLKLLEISDCDILKHIVTNDDNFTKKSEDEFAIGHFEHSKIFQNLEDLEVSKCKELKDVFSAGIIGGLPQLKSLVIQECNMLEQIIGDVIPSVHQDEKEEKDGIIEENEHQLLETNQMIFSSKNSSTPSPPIVNHSSGSFSLSSLAELEIHSCPMLGSLFTTCVAKTLTSLEELTIEECDGLKHIVTPARVKRNKKENMVEDDHEFDSDLSMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLSLAYLPRMTHIWVATNNSFTLQHLNSLTIMRCQKLNVIFPRSMLRSLPELNYLQVIGCNELRHVIEDSSIVAYSPRPCFPKLQSLLIERCHKLKCFASVSASNDLSNLKILIIKGATELKAFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNSSKLSLTSTITPHELPQKFSLLEGLENSGIYSWYIESLMDEIQKLDEVSRSNNSTELPSPQELVNATSINSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSIVEMVGEHKTIETKTLVSKTQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTPASTDPRTRLEKYKKFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDSFDAPTKCFDFL >Vigun08g215500.3.v1.2 pep primary_assembly:ASM411807v1:8:37581987:37593083:1 gene:Vigun08g215500.v1.2 transcript:Vigun08g215500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLMWKGFNQLQYSLCFNGFVKELEKEEDNLTETRKNVEDRVTHARRQTLKTSEVIDKWLENANIDSEYVNRLLSEANVKKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGMIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENEEMERAQRLCMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTSKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLRSSKPMNIGKGLQDPYKCLHLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTAAKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKAIKCELEKDVTLDHGLMRYLWCVKFPNDIDCSNLEFLSIQTKLEVSDAIFEKMEKLRVLILSNQNDNNGLQLSTMSFKTLQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELETDVGVTQLPNLKLLEFSHCDIERRNFEEIKLIRSLEELYILENYWNGNVEFLNVLPETLKRYGIVLGYNTLYYYSPQLEFCSFHATLALYHFDISNEIIMRMAKSSKELTMGNIEGGAKNIVPDIFQIGASMSELNQLQIHNSEIECLVDTSNHLNKVGNVFSELCSLTITNMWCLRALWHGCVPVDGSFKKLEKLFIENCPKLTFLLTCDMARGLVQLKLLEISDCDILKHIVTNDDNFTKKSEDEFAIGHFEHSKIFQNLEDLEVSKCKELKDVFSAGIIGGLPQLKSLVIQECNMLEQIIGDVIPSVHQDEKEEKDGIIEENEHQLLETNQMIFSSKNSSTPSPPIVNHSSGSFSLSSLAELEIHSCPMLGSLFTTCVAKTLTSLEELTIEECDGLKHIVTPARVKRNKKENMVEDDHEFDSDLSMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLSLAYLPRMTHIWVATNNSFTLQHLNSLTIMRCQKLNVIFPRSMLRSLPELNYLQVIGCNELRHVIEDSSIVAYSPRPCFPKLQSLLIERCHKLKCFASVSASNDLSNLKILIIKGATELKAFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNSSKLSLTSTITPHELPQKFSLLEGLENSGIYSWYIESLMDEIQKLDEVSRSNNSTELPSPQELVNATSINSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSIVEMVGEHKTIETKTLVSKTQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTPASTDPRTRLEKYKKFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDSFDAPTKCFDFL >Vigun08g215500.4.v1.2 pep primary_assembly:ASM411807v1:8:37581987:37593083:1 gene:Vigun08g215500.v1.2 transcript:Vigun08g215500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLMWKGFNQLQYSLCFNGFVKELEKEEDNLTETRKNVEDRVTHARRQTLKTSEVIDKWLENANIDSEYVNRLLSEANVKKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGMIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENEEMERAQRLCMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTSKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLRSSKPMNIGKGLQDPYKCLHLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTAAKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKAIKCELEKDVTLDHGLMRYLWCVKFPNDIDCSNLEFLSIQTKLEVSDAIFEKMEKLRVLILSNQNDNNGLQLSTMSFKTLQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELETDVGVTQLPNLKLLEFSHCDIERRNFEEIKLIRSLEELYILENYWNGNVEFLNVLPETLKRYGIVLGYNTLYYYSPQLEFCSFHATLALYHFDISNEIIMRMAKSSKELTMGNIEGGAKNIVPDIFQIGASMSELNQLQIHNSEIECLVDTSNHLNKVGNVFSELCSLTITNMWCLRALWHGCVPVDGSFKKLEKLFIENCPKLTFLLTCDMARGLVQLKLLEISDCDILKHIVTNDDNFTKKSEDEFAIGHFEHSKIFQNLEDLEVSKCKELKDVFSAGIIGGLPQLKSLVIQECNMLEQIIGDVIPSVHQDEKEEKDGIIEENEHQLLETNQMIFSSKNSSTPSPPIVNHSSGSFSLSSLAELEIHSCPMLGSLFTTCVAKTLTSLEELTIEECDGLKHIVTPARVKRNKKENMVEDDHEFDSDLSMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLSLAYLPRMTHIWVATNNSFTLQHLNSLTIMRCQKLNVIFPRSMLRSLPELNYLQVIGCNELRHVIEDSSIVAYSPRPCFPKLQSLLIERCHKLKCFASVSASNDLSNLKILIIKGATELKAFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNSSKLSLTSTITPHELPQKFSLLEGLENSGIYSWYIESLMDEIQKLDEVSRSNNSTELPSPQELVNATSINSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSIVEMVGEHKTIETKTLVSKTQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTPDPRTRLEKYKKFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDSFDAPTKCFDFL >Vigun08g215500.5.v1.2 pep primary_assembly:ASM411807v1:8:37581986:37593084:1 gene:Vigun08g215500.v1.2 transcript:Vigun08g215500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLMWKGFNQLQYSLCFNGFVKELEKEEDNLTETRKNVEDRVTHARRQTLKTSEVIDKWLENANIDSEYVNRLLSEANVKKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGMIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENEEMERAQRLCMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTSKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLRSSKPMNIGKGLQDPYKCLHLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTAAKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKAIKCELEKDVTLDHGLMRYLWCVKFPNDIDCSNLEFLSIQTKLEVSDAIFEKMEKLRVLILSNQNDNNGLQLSTMSFKTLQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELETDVGVTQLPNLKLLEFSHCDIERRNFEEIKLIRSLEELYILENYWNGNVEFLNVLPETLKRYGIVLGYNTLYYYSPQLEFCSFHATLALYHFDISNEIIMRMAKSSKELTMGNIEGGAKNIVPDIFQIGASMSELNQLQIHNSEIECLVDTSNHLNKVGNVFSELCSLTITNMWCLRALWHGCVPVDGSFKKLEKLFIENCPKLTFLLTCDMARGLVQLKLLEISDCDILKHIVTNDDNFTKKSEDEFAIGHFEHSKIFQNLEDLEVSKCKELKDVFSAGIIGGLPQLKSLVIQECNMLEQIIGDVIPSVHQDEKEEKDGIIEENEHQLLETNQMIFSSKNSSTPSPPIVNHSSGSFSLSSLAELEIHSCPMLGSLFTTCVAKTLTSLEELTIEECDGLKHIVTPARVKRNKKENMVEDDHEFDSDLSMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLSLAYLPRMTHIWVATNNSFTLQHLNSLTIMRCQKLNVIFPRSMLRSLPELNYLQVIGCNELRHVIEDSSIVAYSPRPCFPKLQSLLIERCHKLKCFASVSASNDLSNLKILIIKGATELKAFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNSSKLSLTSTITPHELPQKFSLLEGLENSGIYSWYIESLMDEIQKLDEVSRSNNSTELPSPQELVNATSINSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSIVEMVGEHKTIETKTLVSKTQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLELLQILVQDWKSIRSSLISMIHKFLC >Vigun08g215500.2.v1.2 pep primary_assembly:ASM411807v1:8:37581987:37593083:1 gene:Vigun08g215500.v1.2 transcript:Vigun08g215500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLMWKGFNQLQYSLCFNGFVKELEKEEDNLTETRKNVEDRVTHARRQTLKTSEVIDKWLENANIDSEYVNRLLSEANVKKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGMIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVSVSSTVAIPRIQEKIASSLQYTFPENEEMERAQRLCMRLTQEKNILIILDDVWEKIDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKIIYLPILTDEEAWALFQNKALISKDTSKTIRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSALNKLRSSKPMNIGKGLQDPYKCLHLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTAAKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKAIKCELEKDVTLDHGLMRYLWCVKFPNDIDCSNLEFLSIQTKLEVSDAIFEKMEKLRVLILSNQNDNNGLQLSTMSFKTLQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELETDVGVTQLPNLKLLEFSHCDIERRNFEEIKLIRSLEELYILENYWNGNVEFLNVLPETLKRYGIVLGYNTLYYYSPQLEFCSFHATLALYHFDISNEIIMRMAKSSKELTMGNIEGGAKNIVPDIFQIGASMSELNQLQIHNSEIECLVDTSNHLNKVGNVFSELCSLTITNMWCLRALWHGCVPVDGSFKKLEKLFIENCPKLTFLLTCDMARGLVQLKLLEISDCDILKHIVTNDDNFTKKSEDEFAIGHFEHSKIFQNLEDLEVSKCKELKDVFSAGIIGGLPQLKSLVIQECNMLEQIIGDVIPSVHQDEKEEKDGIIEENEHQLLETNQMIFSSKNSSTPSPPIVNHSSGSFSLSSLAELEIHSCPMLGSLFTTCVAKTLTSLEELTIEECDGLKHIVTPARVKRNKKENMVEDDHEFDSDLSMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLSLAYLPRMTHIWVATNNSFTLQHLNSLTIMRCQKLNVIFPRSMLRSLPELNYLQVIGCNELRHVIEDSSIVAYSPRPCFPKLQSLLIERCHKLKCFASVSASNDLSNLKILIIKGATELKAFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNSSKLSLTSTITPHELPQKFSLLEGLENSGIYSWYIESLMDEIQKLDEVSRSNNSTELPSPQELVNATSINSEVDEKSNRVTYSQELVDGKSTTGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMSDSIVEMVGEHKTIETKTLVSKTQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTPDPRTRLEKYKKFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDSFDAPTKCFDFL >Vigun03g332200.7.v1.2 pep primary_assembly:ASM411807v1:3:53023843:53027438:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun03g332200.3.v1.2 pep primary_assembly:ASM411807v1:3:53023220:53027463:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGERMTKRESFDDDQESLLKSDNSGTDDNPKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENITATNIINIFNGSTNFATLIGAFLSDAFFGRYKILAFCTMASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun03g332200.2.v1.2 pep primary_assembly:ASM411807v1:3:53023220:53027463:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGERMTKRESFDDDQESLLKSDNSGTDDNPKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENITATNIINIFNGSTNFATLIGAFLSDAFFGRYKILAFCTMASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun03g332200.6.v1.2 pep primary_assembly:ASM411807v1:3:53023220:53027438:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun03g332200.5.v1.2 pep primary_assembly:ASM411807v1:3:53023220:53027438:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGERMTKRESFDDDQESLLKSDNSGTDDNPKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENITATNIINIFNGSTNFATLIGAFLSDAFFGRYKILAFCTMASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun03g332200.4.v1.2 pep primary_assembly:ASM411807v1:3:53023220:53027438:-1 gene:Vigun03g332200.v1.2 transcript:Vigun03g332200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGERMTKRESFDDDQESLLKSDNSGTDDNPKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENITATNIINIFNGSTNFATLIGAFLSDAFFGRYKILAFCTMASFVGLFAIQLTAAVEKLHPPHCEDSPTCQGPTEGQMTFLKTGLGLLMIGAAGIRPCNLAFGADQFNPNTDSGKKGITSFFNWYFFTFTVAQMISLTIIVYIQSNVSWAVGLGIPAALMFVSSIIFFMGSKMYVKVKPSGSPITSIVQVIVVATKKRRLKLPEYLYPSLFNYVAPKSVNSKLPYTYQFRFLDKAAIVTPQDQINPNGSATDPWNLCSMQQVEEVKCLLRVLPIWVSGIVYFVVIVQQHTILVFQALLSDRRIGQSEFLIPGASYYVFLMITVAIWLPIYDRKVVPLLQRLTGKEGGITLLQRMGIGMFFAILSMLVSARVEQHRRTLALINPLGVTIRKGAISSMSGLCLIPQLILAGLAESFMTVAQVEFYYKQFPENMRSIAGSLYYCGHAGSSYLSSLLISIIHQVTAKSETGNWLPEDLNKGRLDKFYFLIAALEIINLGYFVLCARWFRYKGTSSSSVELEKVTRQSEKSANGLNDSSL >Vigun01g111900.1.v1.2 pep primary_assembly:ASM411807v1:1:28584299:28587593:1 gene:Vigun01g111900.v1.2 transcript:Vigun01g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHTNLNFFSVLFFLFILYLSTFPASSLSHSKTEDAEFGYKEGSSNGPEKWWRLNPKWTVCGKGKRQSPIDLSDKVVNQFPELGQLQKHYKPAPAVLKNIGHAIMVQWNGNAGKLNISGTYYELIQCHWHTPSEHTFNGTNFELELHAVHKNSKGEIAVIGIWYKIGRPDRLLSKLLKDLKSLGDKDIDLGVINPGIMEIGGRNYYRYVGSLTTPPCTEGLVWTIVKKVRTVSREQLRALKEAVHHGYEKNARPTQEPNGRQVWLYSHKRKRT >Vigun05g112100.1.v1.2 pep primary_assembly:ASM411807v1:5:11745745:11746949:-1 gene:Vigun05g112100.v1.2 transcript:Vigun05g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKEELTLLGVMGSPFLHRVQIALKLKGIEYKYVEDDLNNKSDLLLKYNPVYKMIPVLVHNDNPISESVVILEYIDDAWKHNPILPSDPYQRAQARFWAKFIDDKCVAPAWKSAFMVDETEKAKAKEELFEALGFLENELKGRFFGGDEIGFVDIAAVFIPIVQVIAGLPLFSSEKFPKLFQWCQDFHNHPLVNEVLPTKDQLSTYFKARVQSLAPKNKN >Vigun01g205200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38098630:38099424:1 gene:Vigun01g205200.v1.2 transcript:Vigun01g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYIRYSWLKPFHHFMFELKDSYNFISDLFLLIDYATMLKLGWQYLGTKRRRCGYEKLTSSSEKMMRDGRRCWVKSVNGRLRGLRLSRCRKLSLLFSSRIVRLYTEVVNTMNMENIYPAIVLSTQWGLPVLSHSSHVCRSKRTIPLHRKLTFC >Vigun10g100800.1.v1.2 pep primary_assembly:ASM411807v1:10:29376048:29379347:1 gene:Vigun10g100800.v1.2 transcript:Vigun10g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVADSVSYASPKAKSLTEQRPITGDKSASDLNPSHQSEGLWTRNQRKRQNAYLRREAKRQKAIEHFSSMRKDTEEKEKGDIVPPSTKSARLQDKEFESRLPIRDDRHVRHTYQTRSSEYGGRVHGDRHIPSSAPVISNASSPPDISKATSVVQTSSSFSEKTPLPKPALVSPAPLQPPDKTLSEKCKVDYHRPRMLEQDKNDEKHLKNKRVCEELVRMIHGKQSAQVRVSQLKLEDHPKKVQKSKKNRCSTQDIASGFMNDNNTRGIQLHSNVENISEVPAEVPEGKSMKKRGKYMGTNDGSKQPANSVSVRNDLGDVKSESQFVHKSNVAGRNHLSNPRHRRDDHILRREDKHISPSKDKHILRREDKRAVSGKLLRPRRLNRSDINTRSKAKRVKGGGFSCKACRVHNLSKHDYYSHINGSVHFRKVKYCRRRVACLKQAVSDAINNQVQRGDGNSVLFAELLTTVLSKQFTAHTSVDGQSYIPRLLQNQVSNIKACAKIPAPTTMAGPSS >Vigun10g066900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15480538:15483187:1 gene:Vigun10g066900.v1.2 transcript:Vigun10g066900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWKAEEDALLCAYVREYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQSLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREKQEINKAIGPVDNTKYDHILETFAEKLVKEHSSQSSYLMASSTGPFIHTDAPATASASASAPASLLPPWISNSSTTNPSPGIRPHSPSVTLSLSSSTAPPPLWLNVTTPHGDNIVVLELIECCKELEEGHHAMVAHRKEAAWLLRRVEQQLEAEKASRRREKMEEIEAKIKALREEQNAALDRIETEYREQLGELRRDAESKEQKLIEEWNAKHVRVVKCMEQQVGGRIPRIAEPNGR >Vigun10g066900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15480538:15483187:1 gene:Vigun10g066900.v1.2 transcript:Vigun10g066900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWKAEEDALLCAYVREYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQSLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREKQEINKAIGPVDNTKYDHILETFAEKLVKEHSSQSSYLMASSTGPFIHTDAPATASASASAPASLLPPWISNSSTTNPSPGIRPHSPSVTLSLSSSTAPPPLWLNVTTPHGDNIVVLELIECCKELEEGHHAMVAHRKEAAWLLRRVEQQLEAEKASRRREKMEEIEAKIKALREEQNAALDRIETEYREQLGELRRDAESKEQKLIEEWNAKHVRVVKCMEQQVGGRIPRIAEPNGR >Vigun10g066900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15480978:15483187:1 gene:Vigun10g066900.v1.2 transcript:Vigun10g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWKAEEDALLCAYVREYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQSLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREKQEINKAIGPVDNTKYDHILETFAEKLVKEHSSQSSYLMASSTGPFIHTDAPATASASASAPASLLPPWISNSSTTNPSPGIRPHSPSVTLSLSSSTAPPPLWLNVTTPHGDNIVVLELIECCKELEEGHHAMVAHRKEAAWLLRRVEQQLEAEKASRRREKMEEIEAKIKALREEQNAALDRIETEYREQLGELRRDAESKEQKLIEEWNAKHVRVVKCMEQQVGGRIPRIAEPNGR >Vigun10g066900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15480344:15483238:1 gene:Vigun10g066900.v1.2 transcript:Vigun10g066900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWKAEEDALLCAYVREYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQSLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREKQEINKAIGPVDNTKYDHILETFAEKLVKEHSSQSSYLMASSTGPFIHTDAPATASASASAPASLLPPWISNSSTTNPSPGIRPHSPSVTLSLSSSTAPPPLWLNVTTPHGDNIVVLELIECCKELEEGHHAMVAHRKEAAWLLRRVEQQLEAEKASRRREKMEEIEAKIKALREEQNAALDRIETEYREQLGELRRDAESKEQKLIEEWNAKHVRVVKCMEQQVGGRIPRIAEPNGR >Vigun06g147000.2.v1.2 pep primary_assembly:ASM411807v1:6:27219337:27221244:1 gene:Vigun06g147000.v1.2 transcript:Vigun06g147000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAAAGAVAGAVAGAAAGASAGAAAGASAGAAGASAGAAAGAAAGASAGASAGASAGASAGASTATTAGTTAGAAAGAAAGAAAGAAAGATAGAAAGAAVVGVAAGGSVGEDTVVGAPVGADTVVGAPVGADTVVGAPVVGVAEDAEDTVVATGVPTFIGATGVPTFIGATGVPGLDDDDAMDFALGSLARGGRGAGRGVVDLEANPVRVSLPALLVQVGRIFFRRCLMACFQTAGVLITSAPLTLVEVGYYLMLNLRLGEPWIYTWGNIGRYARYLVIMDGSV >Vigun06g147000.1.v1.2 pep primary_assembly:ASM411807v1:6:27219337:27221246:1 gene:Vigun06g147000.v1.2 transcript:Vigun06g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAAAGAVAGAVAGAAAGASAGAAAGASAGAAGASAGAAAGAAAGASAGASAGASAGASAGASTATTAGTTAGAAAGAAAGAAAGAAAGATAGAAAGAAVVGVAAGGSVGEDTVVGAPVGADTVVGAPVGADTVVGAPVVGVAEDAEDTVVATGVPTFIGATGVPTFIGATGVPGLDDDDAMDFALGSLARGGRGAGRGVVDLEANPVRVSLPALLVQVGRIFFRRCLMACFQTAGVLITSAPLTLVEVGYYLMLNLRLGEPWIYTWGNIGRYASDNTEARVLFLELRGHRSGAANQECCNFIFVYYSS >Vigun03g404400.2.v1.2 pep primary_assembly:ASM411807v1:3:61149496:61152214:1 gene:Vigun03g404400.v1.2 transcript:Vigun03g404400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISALPDLKAEDKVGDVEYQADKTPRQGGYRATYFIFAMMLLDNIGFVANMVSLVLYFMNVIHFDYSGSATTTTNLLGTAFLLTVLGGFVSDTYMNRLNTCILFGMIQLLGYSLLVIQSHDQKLQPDPCLKSRCVHGTKALLFYASIYLLALGGGGIRGCVPALGADQFDEKKPKERVQLASFFNWFLFSITVGASIGVIFVVYESTEHHWYIGFIMSLTCSAAGLLCIVSGKKFYRTRVPGESPLLSVLQVLVVTVKNWRVKVPVDSDDLYEIQSHDSNFVKKIIPHTNQFSVLDKAAVLPEGMEARKWKVCTVTQVEEVKILTRMMPILLSTIIMNTCLAQLQTFSIQQGTLMNTYIGKLNIPPASIPIIPLVFMTLLIPVYEFLFVPFVRRITGHPNGITELQRVGVGLVLSAISMVIAGMIEVKRKHEFNDHNGHRISLFWLSFHYAIFGIADMFTLVGLLEFFYKEAPHGMRSLSTSFSFLSLSIGYFLSTAFVELINLVTGIGKNKKGWLEGRDLNQNHVELFYWFLAILSILNFLVYLLCAKWYKYQTSVFFEDKGMSHKDPPPRNHENMSASFVSSLQNTASNEEDTRQRFQ >Vigun03g404400.1.v1.2 pep primary_assembly:ASM411807v1:3:61149496:61152214:1 gene:Vigun03g404400.v1.2 transcript:Vigun03g404400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAEDKVGDVEYQADKTPRQGGYRATYFIFAMMLLDNIGFVANMVSLVLYFMNVIHFDYSGSATTTTNLLGTAFLLTVLGGFVSDTYMNRLNTCILFGMIQLLGYSLLVIQSHDQKLQPDPCLKSRCVHGTKALLFYASIYLLALGGGGIRGCVPALGADQFDEKKPKERVQLASFFNWFLFSITVGASIGVIFVVYESTEHHWYIGFIMSLTCSAAGLLCIVSGKKFYRTRVPGESPLLSVLQVLVVTVKNWRVKVPVDSDDLYEIQSHDSNFVKKIIPHTNQFSVLDKAAVLPEGMEARKWKVCTVTQVEEVKILTRMMPILLSTIIMNTCLAQLQTFSIQQGTLMNTYIGKLNIPPASIPIIPLVFMTLLIPVYEFLFVPFVRRITGHPNGITELQRVGVGLVLSAISMVIAGMIEVKRKHEFNDHNGHRISLFWLSFHYAIFGIADMFTLVGLLEFFYKEAPHGMRSLSTSFSFLSLSIGYFLSTAFVELINLVTGIGKNKKGWLEGRDLNQNHVELFYWFLAILSILNFLVYLLCAKWYKYQTSVFFEDKGMSHKDPPPRNHENMSASFVSSLQNTASNEEDTRQRFQ >Vigun02g048900.1.v1.2 pep primary_assembly:ASM411807v1:2:18935777:18939100:-1 gene:Vigun02g048900.v1.2 transcript:Vigun02g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDYKNRHLQQHQHQQTHLQQQQNRNEDSERMERYPRDLLQGFVRRGITIRDDSMNNVSGSVSQPLHHPGHEQQDQEEDEELELNLGLSLGGRFGVDKNAKKKKLMRSSSVVGTMPLFREDDAVAPPLAAFPALMRTSSLPTETEEEWRRRKEMQTLRRMEAKRRRSEKQKGSREAVAEVEGGSVVAMGLTRFGSATLAPPPLGWSVAAPKQVVLGDVLGKGKGFQGLFGQPSSQGSADSQGGSSSSVSEMDSKTFLGTSSGGGEASPGSNQSAQDGVGLGAKRNENVGRSWKAEIENPCNKSHHSQNMNIMGKQIGRNSMEDMPCVFTKGDGPNGRRIEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVAHPLRHIVVNPSAAPF >Vigun03g362100.1.v1.2 pep primary_assembly:ASM411807v1:3:56553676:56555619:-1 gene:Vigun03g362100.v1.2 transcript:Vigun03g362100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYKFMVKHVQLWNVAFHSTSPRWIHSVYLAAIAAYYAREVEAGLMEYKPHIIISVHPLMQHIPLWVLKWQGLQDKVVFVTVITDLSTCHPTWFHPWVNRCYCPSQEVAKKAALDGLEESQIRVFGLPIRPSFARAVLVKDQLREELELDPILPAVLLMGGGEGMGPVKKTAKALGESLYDKEAEKPIGQLVIICGRNKNLVSTLESLEWKIPVKIRGFETQMAKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETAKMVAEWFTTKSDELKSMSEKALKLAQPEAVFDIVRDIDELARQREPSNFPYLLTSSFTSLI >Vigun06g137500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26300168:26302039:-1 gene:Vigun06g137500.v1.2 transcript:Vigun06g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPCLAYFSAAFLLLLVFTLSSAQTPDSSIYDTFLRCLTQRTNSSTQLSNIVFAQTNPSFPTVLQNYIRNARFNTSQTRKPLLIVTPLRESHVQGAVICAKSVKVQLKIRSGGHDYEGISYISDEPFIILDMFSLRAITVDIKNEVAVVQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSVDNVIDAQIVDVKGNVLDRKSMGEDLFWAIRGGGGGSFGVILSFTIKLVPVPQTVTVFRVEKTLETNVSATDLVLQWQQVAPNTDDRLFLRLLLQPVSSKVVKGTRTVRASVVALFLGGADEVVSILEKEFPLLGLKKENCTEVSWIDSVLWWNDDESLKNGDKPKTLLDRNLNSAGFLKRKSDYVENAISRDGLEWIFKRMIELGKTGFVFNPYGGKMGEIASDATPFPHRKGNLFKIQYSVNWDDPSTGAAQNFTNQAKRLYSYMTPFVSKNPRRAFLNYRDLDIGVNNFGENSFQEGQVYGSKYFNQNLERLVNIKTKVDPENFFRNEQSVPVLMSRA >Vigun02g028360.1.v1.2 pep primary_assembly:ASM411807v1:2:10387180:10388183:-1 gene:Vigun02g028360.v1.2 transcript:Vigun02g028360.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKHVPSSLVGVNPSSEGVVVGLVVVVVLVVVAVVVVVVVMVLVVVVVLVVVVLMVAMVAVVVVAMVVVVITVVVVVLVVPVIVVVVVVVAMVMVVMAVVVVVVFAVVIVGVVVVIIVVLVVVPVVVVVVVCDGGGGGGGCGGGGGGGVAMVLVMGCGSSGDGSGGGGDCGGGGCRGGTMVVGVVVVVVVVVVVVVVVVGAMVVVVNVAVVVMVIVVVAVVVAMVVLLVVMVVVVVMMVSVIVVMVVVVVAGFGGGGGSCSGGDGGGGYGGSCDGDGGGDSGDGRRSGVGSGGRGGGLW >Vigun03g356000.1.v1.2 pep primary_assembly:ASM411807v1:3:55734589:55736314:-1 gene:Vigun03g356000.v1.2 transcript:Vigun03g356000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPITQTPEGDPKSITSEDTPSSTSKDLEEILHAKLRKCGKVPSPSMTCLRLDTENSHIGVWQKRAGRRSDSNWVMTVQLGKNGTNNDTHQADNSTSLSLPSSDHHNHHHHHRESPSGVTGHHHREEVVRGEIDEEERIALQMIEELLNDRNCPSPSFSNIQERDGGDDDTFFL >Vigun03g136800.1.v1.2 pep primary_assembly:ASM411807v1:3:13398805:13400964:1 gene:Vigun03g136800.v1.2 transcript:Vigun03g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLYKVEAIGNVKPVLLMILLQTLYAVVNIMLKIVADDGMSLSILVAYRFIFATAFIVPLALIVERKHLQYVTGQVVFLGFLCGLFGGSLLQGLYVKSLALTSAVYVTAMLNLIPGVTYLMSVSLGLEKSNLRTAGGMAKLVGTLTGIGGAMILTFYKGRKLCLWSSHIGLLHHAPSPHGAPTGSPLWGCILAFGAALTYSVWLIIQTKMSEKFPWHYSIAALTSSTASIQSVLFALSTEKDWSQWKLGWNFRLVTAVSAGILASGVCYTLLAWCVRRKGPLFASAFSPLMLVIVTLIEPLVTDKCPNLGSVTGSVLVVGGLYLLLWGKIKETQMKQSKETQMKQIEVFSEDSVQCETIHFTNPSLACCQRDQDKKIVTSVTSCSPSTISTITK >Vigun04g058900.2.v1.2 pep primary_assembly:ASM411807v1:4:5885484:5888282:-1 gene:Vigun04g058900.v1.2 transcript:Vigun04g058900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALEVGRKLGIKGIFFCTASAAMFALKYNIPKLIQDGIIDSNGFPITKGTFQISPSMPSMETEAIWWSNVYNPTTEKKIFKYLVHCMQNSNLAKWCICNTTYELEPSTLSCVPKLLPVGPLLRSYDNTNVTARSLGQFWEEDHSCIDWLNQQPRSSVLYVAFGSFTLFDQNRFNELALGLDLINRPFLWVVRQDNKMAFPNEFLGSKGKIVGWTPQLKVLNHPSIACFLSHCGWNSIIEGLYSGVPFLCWPYFTDQFRNRNYICNELKVGLGLNSDENGLVSRWEIKKKLDRLTSDEQIRTRSLELKETVMNNIAEGGGSSENVGRLLSWLKS >Vigun04g058900.1.v1.2 pep primary_assembly:ASM411807v1:4:5885484:5888282:-1 gene:Vigun04g058900.v1.2 transcript:Vigun04g058900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNLLVVPFPVQGHVNPFMNFSQKMVEHGCKISFVNTDVSHKRVMSSVGKQESLEESATIKLVSIPDGLEADDDRSDLGKLCDSIVSTMPSMLEKLIEDIHVNGGDRITCIVADVIMGWALEVGRKLGIKGIFFCTASAAMFALKYNIPKLIQDGIIDSNGFPITKGTFQISPSMPSMETEAIWWSNVYNPTTEKKIFKYLVHCMQNSNLAKWCICNTTYELEPSTLSCVPKLLPVGPLLRSYDNTNVTARSLGQFWEEDHSCIDWLNQQPRSSVLYVAFGSFTLFDQNRFNELALGLDLINRPFLWVVRQDNKMAFPNEFLGSKGKIVGWTPQLKVLNHPSIACFLSHCGWNSIIEGLYSGVPFLCWPYFTDQFRNRNYICNELKVGLGLNSDENGLVSRWEIKKKLDRLTSDEQIRTRSLELKETVMNNIAEGGGSSENVGRLLSWLKS >Vigun04g139500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34647954:34652233:-1 gene:Vigun04g139500.v1.2 transcript:Vigun04g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKSFYLLLLLSLLTSGISVTLKNSSESGEAKCIERERQALLSFKESFIDDFGMLSTWTNHHNNTDCCKWKRIHCNHQTGHVQLLDLHGTNDTLYLKSAINVTSLIHLKYIDHLDLSHNFFAGIYMPDFMGFTNLRYLDLSHSHFVGRIPSKLGDLSQLRYLNLRGNILWGEIPIQFGNLKLLQYLDLGELFLSGKIPSQIGNLRKLQYLSIGGYYGEITRLNIPNSLSGTIPFQIGNLPLLHTLRLGGNFDIKAKDAQWLSSLHSLTVLELNSLHNLGSSRRWLQTISKILQNLTELRLVDCNLLDNDIQSLFHAHSFNNFLSLALLDLSSNMLTSSTFQLLFNFSVHLQELYLPHNSISLSPSLCTNFPSLKILDLSYNNLSSSMFLGNFNISSKLQELHLGSSSLIDRNFLISSTSTTNSLSSLLHIDLSNNLLRSYSIFHWLSNFTTNLRTLHLGYNLLEGFIPDEFGKSMNSLEYLYLSNNKLHGKVPSFFGSMCRLQMLDLSNNKLNGEFSSFMQNSSWCSRHIIYGLNLSYNQITGKIPESIRLLSELESLSLEGNSLEGDVSESHFSNFSKLYYLSLSHNSLSLKFVSSWVPPFRLNFLHLASCKLGPNFPTWLQSQNSLIFLDIYDSGINDSVPEWFWNKLQNMYTLNMAHNNLIGSIPDMKLRLLSRPYINLNSNKFEGKVPLFLLQASELLLSSNNFSDLSSFLCGNVTAPNLATLDLSDNQIKGQLPNCWKSVDRLLFLDLSNNKLTGKIPISMGTLVKLEALVLRNNSLMGELPSSLKNCNNLIMLDVSENMLSGLVPSWIGESMQQLMILIMRGNHFSGNLPLHLCYLKSIQLFDLSRNKLWGGIPTCLNNFAALSEDNINRTTTESRVHWYNTTYYEFYTIFDDSYYTLHITWIWKGVERSFTHPELVLRSIDLSCNNLTGKMPREITCMIGLVSLNLSRNNLSGEIPSEIGNLSSLESLDLSRNQFNGRIPSSISQMDFLEKLDLSHNSLSGRIPLGRHLDTFDGSCFEGNIDLCGEQLNKSCAGDQTSVKPQEAAIHREDSVFYEALYMSLGIGFFTGFWGLLGPLLLWQPWRIAYLRFLNTLIDYLLVMVELDIVPKVA >Vigun08g148700.6.v1.2 pep primary_assembly:ASM411807v1:8:32109456:32113709:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.1.v1.2 pep primary_assembly:ASM411807v1:8:32110544:32113734:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFARMDPYVLLQYKGQERKSRVIHEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.4.v1.2 pep primary_assembly:ASM411807v1:8:32110363:32113713:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.3.v1.2 pep primary_assembly:ASM411807v1:8:32109456:32113709:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFARMDPYVLLQYKGQERKSRVIHEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.5.v1.2 pep primary_assembly:ASM411807v1:8:32110597:32113713:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.2.v1.2 pep primary_assembly:ASM411807v1:8:32110297:32113710:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFMEVELVKAKGLHDTDVFARMDPYVLLQYKGQERKSRVIHEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun08g148700.7.v1.2 pep primary_assembly:ASM411807v1:8:32111146:32113709:1 gene:Vigun08g148700.v1.2 transcript:Vigun08g148700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVLLQYKGQERKSRVIHEGGRNPVWNEKFVFRVEYPGSGDQYKLNLVIMDKDVFSADDFVGQAAVHVKDLLAEGTEKGSAVLRPHKYSVVRADQSYCGEIEVGITFTLKEQEYADDDEDVGGWKQSFF >Vigun03g276500.4.v1.2 pep primary_assembly:ASM411807v1:3:45319575:45323343:-1 gene:Vigun03g276500.v1.2 transcript:Vigun03g276500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAALKVAIFIALPALFCLWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRHDNESKKFSHCIVDGTYGTIKGSCTVVRDFADMCYHSYPSFLKELRESPTSDERQRLRLIHVPGCVIVGILGLVVEIPLFTAIAIVKSPYMLFKGWFRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVLASILLAIISSIFFGIYAAVIVCQERSFRRGLAYLIAMVAEFDEYTNDWLYLRDGTFLPKPQYRKRKASQSSEFSVRGSSVGGSRLNTSMDPPAMFMPNLAPSRSVREAIQEVKMVQIWGNMMKYCEMRGKELLDANVLTGADLCEWMRGKNINEASIVGVGLPCYSLLQALIFSIKANSSGVLLLEDFEITYLNRPKDKLLDWFFNPVMVLKEQIRVIKLGEAEVRYLEKVVLFGFNKQRQEAWDNGGLMTPDALKAAQMEGISRRMIGMIRGVSKLPTYRRKFRQIVKALIAHSLEKDVSGKALATHSGDVLDICEKALVTKYLDSEKEPSGRSNRSIVSVASDENV >Vigun03g276500.5.v1.2 pep primary_assembly:ASM411807v1:3:45319575:45323343:-1 gene:Vigun03g276500.v1.2 transcript:Vigun03g276500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAALKVAIFIALPALFCLWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRHDNESKKFSHCIVDGTYGTIKGSCTVVRDFADMCYHSYPSFLKELRESPTSDERQRLRLIHVPGCVIVGILGLVVEIPLFTAIAIVKSPYMLFKGWFRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVLASILLAIISSIFFGIYAAVIVCQERSFRRGLAYLIAMVAEFDEYTNDWLYLRDGTFLPKPQYRKRKASQSSEFSVRGSSVGGSRLNTSMDPPAMFMPNLAPSRSVREAIQEVKMVQIWGNMMKYCEMRGKELLDANVLTGADLCEWMRGKNINEASIVGVGLPCYSLLQALIFSIKANSSGVLLLEDFEITYLNRPKDKLLDWFFNPVMVLKEQIRVIKLGEAEVRYLEKVVLFGFNKQRQEAWDNGGLMTPDALKAAQMEGISRRMIGMIRGVSKLPTYRRKFRQIVKALIAHSLEKDVSGKALATHSGDVLDICEKALVTKYLDSEKEPSGRSNRSIVSVASDENV >Vigun03g276500.2.v1.2 pep primary_assembly:ASM411807v1:3:45319575:45323343:-1 gene:Vigun03g276500.v1.2 transcript:Vigun03g276500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSFMKGWMKASYVVFVFLYAFFLGALKGIVVGPIACLVLILGNVGVILALFPSHVAWTVYTLFKIQMFDAALKVAIFIALPALFCLWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRHDNESKKFSHCIVDGTYGTIKGSCTVVRDFADMCYHSYPSFLKELRESPTSDERQRLRLIHVPGCVIVGILGLVVEIPLFTAIAIVKSPYMLFKGWFRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVLASILLAIISSIFFGIYAAVIERSFRRGLAYLIAMVAEFDEYTNDWLYLRDGTFLPKPQYRKRKASQSSEFSVRGSSVGGSRLNTSMDPPAMFMPNLAPSRSVREAIQEVKMVQIWGNMMKYCEMRGKELLDANVLTGADLCEWMRGKNINEASIVGVGLPCYSLLQALIFSIKANSSGVLLLEDFEITYLNRPKDKLLDWFFNPVMVLKEQIRVIKLGEAEVRYLEKVVLFGFNKQRQEAWDNGGLMTPDALKAAQMEGISRRMIGMIRGVSKLPTYRRKFRQIVKALIAHSLEKDVSGKALATHSGDVLDICEKALVTKYLDSEKEPSGRSNRSIVSVASDENV >Vigun03g276500.1.v1.2 pep primary_assembly:ASM411807v1:3:45319575:45323343:-1 gene:Vigun03g276500.v1.2 transcript:Vigun03g276500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSFMKGWMKASYVVFVFLYAFFLGALKGIVVGPIACLVLILGNVGVILALFPSHVAWTVYTLFKIQMFDAALKVAIFIALPALFCLWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRHDNESKKFSHCIVDGTYGTIKGSCTVVRDFADMCYHSYPSFLKELRESPTSDERQRLRLIHVPGCVIVGILGLVVEIPLFTAIAIVKSPYMLFKGWFRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVLASILLAIISSIFFGIYAAVIVCQERSFRRGLAYLIAMVAEFDEYTNDWLYLRDGTFLPKPQYRKRKASQSSEFSVRGSSVGGSRLNTSMDPPAMFMPNLAPSRSVREAIQEVKMVQIWGNMMKYCEMRGKELLDANVLTGADLCEWMRGKNINEASIVGVGLPCYSLLQALIFSIKANSSGVLLLEDFEITYLNRPKDKLLDWFFNPVMVLKEQIRVIKLGEAEVRYLEKVVLFGFNKQRQEAWDNGGLMTPDALKAAQMEGISRRMIGMIRGVSKLPTYRRKFRQIVKALIAHSLEKDVSGKALATHSGDVLDICEKALVTKYLDSEKEPSGRSNRSIVSVASDENV >Vigun03g276500.3.v1.2 pep primary_assembly:ASM411807v1:3:45319575:45323343:-1 gene:Vigun03g276500.v1.2 transcript:Vigun03g276500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAALKVAIFIALPALFCLWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRHDNESKKFSHCIVDGTYGTIKGSCTVVRDFADMCYHSYPSFLKELRESPTSDERQRLRLIHVPGCVIVGILGLVVEIPLFTAIAIVKSPYMLFKGWFRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVLASILLAIISSIFFGIYAAVIVCQERSFRRGLAYLIAMVAEFDEYTNDWLYLRDGTFLPKPQYRKRKASQSSEFSVRGSSVGGSRLNTSMDPPAMFMPNLAPSRSVREAIQEVKMVQIWGNMMKYCEMRGKELLDANVLTGADLCEWMRGKNINEASIVGVGLPCYSLLQALIFSIKANSSGVLLLEDFEITYLNRPKDKLLDWFFNPVMVLKEQIRVIKLGEAEVRYLEKVVLFGFNKQRQEAWDNGGLMTPDALKAAQMEGISRRMIGMIRGVSKLPTYRRKFRQIVKALIAHSLEKDVSGKALATHSGDVLDICEKALVTKYLDSEKEPSGRSNRSIVSVASDENV >Vigun09g053800.1.v1.2 pep primary_assembly:ASM411807v1:9:5363044:5364790:-1 gene:Vigun09g053800.v1.2 transcript:Vigun09g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHSCFDSNSTSLASHVSTRRSSFGLYASNFSLSTSQQRGMLYQTHPDEDMAGAAREARQRLDDKFRAQRMSDNKRQKSTTCVEGGRTSITELHTEVYGSKKSGGRKFSWSRWSWKASEQEDCAVCLESFRVGETLIHLPCAHKFHDRCLKPWLENNSHCPCCRTTILSLSI >Vigun11g200500.1.v1.2 pep primary_assembly:ASM411807v1:11:39871176:39873002:1 gene:Vigun11g200500.v1.2 transcript:Vigun11g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTIHGNNESAQPNNIASSKQPLPPAKTVDSQSVLRRLQSELMALMMSGDSGISAFPEEDNIFFWKGTITGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETTCFHPNVDVHGNICLDILQDKWSSAYDVRTILISIQSLLGEPNISSPLNPQAAQLWSNQEEYRKMVEKLYKSGN >Vigun01g203750.1.v1.2 pep primary_assembly:ASM411807v1:1:37980526:37982708:-1 gene:Vigun01g203750.v1.2 transcript:Vigun01g203750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSAQFVHCQNSLPRAQGNLSRDVSKVQRPRVVCCVTGSQLGYGKALASRSHYALRFPVRQQSGARSIRYRSMTCVNATDNAIELQAKVTTKCYWWIVLGLFGDDVPKTAENFRALCTGEKGYGYKGSSFHRIIKDFMIQGGDFTEGNGTGGISIYGPSFKDESFALKHTGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDVVRTLESQETSRLDAPRKPCRIVNCGELPIEG >Vigun07g039080.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3795262:3796468:-1 gene:Vigun07g039080.v1.2 transcript:Vigun07g039080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKVTVKGNVQPDEVLQAVSKTGKKTAFWVDEAPPENKPSETAPVASSAENDTKPSETAPVASSAENDTKPSEPAPVASAETENKPSETAAIETVA >Vigun08g060450.2.v1.2 pep primary_assembly:ASM411807v1:8:7997510:7998088:1 gene:Vigun08g060450.v1.2 transcript:Vigun08g060450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TTSTSRIDSPIFSDHMVSIYNIDRSNYSTWALKIKLWLSELGYKSHGLYCLPQLCNVNKSTIHTSIKPIFVPKGRAHQFGGMFVPLI >Vigun08g060450.1.v1.2 pep primary_assembly:ASM411807v1:8:7996545:7998124:1 gene:Vigun08g060450.v1.2 transcript:Vigun08g060450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNTTSTSRIDSPIFSDHMVSIYNIDRSNYSTWALKIKLWLSELGYKSHLPTSLCNVNKSTIHTSIKPIFVPKGRAHQFGGMFVPLIPLTCKACTKIS >Vigun03g309500.4.v1.2 pep primary_assembly:ASM411807v1:3:50150147:50154044:-1 gene:Vigun03g309500.v1.2 transcript:Vigun03g309500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWWSKSEIRAVDLHFVMENFEECCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKQATSQDSSPSPSEHLPQPENNLDQVKETDVVLNSEENSSSSQAENSSGDDSLLLDSICSDDSLLNTLWLDETPLMEALWDTTPKLENNTNSNMGLVPSWEDNCAWLLDCQDFGIHDFGFNCFNEIESNALHTIEMKDEEH >Vigun03g309500.1.v1.2 pep primary_assembly:ASM411807v1:3:50150147:50153761:-1 gene:Vigun03g309500.v1.2 transcript:Vigun03g309500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLHIYVINNLGKKGRGLYIKVGGEWGFWVITHYKLGSVVVCAIGMGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKQATSQDSSPSPSEHLPQPENNLDQVKETDVVLNSEENSSSSQAENSSGDDSLLLDSICSDDSLLNTLWLDETPLMEALWDTTPKLENNTNSNMGLVPSWEDNCAWLLDCQDFGIHDFGFNCFNEIESNALHTIEMKDEEH >Vigun03g309500.3.v1.2 pep primary_assembly:ASM411807v1:3:50150147:50153761:-1 gene:Vigun03g309500.v1.2 transcript:Vigun03g309500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKQATSQDSSPSPSEHLPQPENNLDQVKETDVVLNSEENSSSSQAENSSGDDSLLLDSICSDDSLLNTLWLDETPLMEALWDTTPKLENNTNSNMGLVPSWEDNCAWLLDCQDFGIHDFGFNCFNEIESNALHTIEMKDEEH >Vigun03g309500.2.v1.2 pep primary_assembly:ASM411807v1:3:50150147:50153848:-1 gene:Vigun03g309500.v1.2 transcript:Vigun03g309500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLNKQATSQDSSPSPSEHLPQPENNLDQVKETDVVLNSEENSSSSQAENSSGDDSLLLDSICSDDSLLNTLWLDETPLMEALWDTTPKLENNTNSNMGLVPSWEDNCAWLLDCQDFGIHDFGFNCFNEIESNALHTIEMKDEEH >Vigun05g244100.1.v1.2 pep primary_assembly:ASM411807v1:5:43717166:43719291:-1 gene:Vigun05g244100.v1.2 transcript:Vigun05g244100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQRSPLITWAYYFQGKSMEELRQSVICTTVELEQTRAAVQEELRKRDEQLLNLKELLSKTMRERDEAQEKCRRLLLEKIVFQHQQQAAPVSGISSIEDEPRRGIDSNNGLSSSDCEESIVSSPVIDHHLSQQQQHQHQHQPQLQPQSMIELTPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPQSQSQPHLLHHDSFLNIDGSSTTANCGRVSRKRVFCDGSDSPTETKYQRLLLH >Vigun07g196700.1.v1.2 pep primary_assembly:ASM411807v1:7:31664086:31665042:1 gene:Vigun07g196700.v1.2 transcript:Vigun07g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRNVAAPLLFLNLIMYFIVLGFSSWCLNRFINGQTYHPSFGGNGATMFFLTFSILAAILGIVSKFLGGNHMRTWRSDSLASAGAASVVAWGVTALAFGLACKEINIGGHRGWRLKMVEAFIIILTFTQLLYVLLIHAGLYSSRYGPGYRDNDYPMGATTGDAMHKPAPVSATGTRV >Vigun04g195600.1.v1.2 pep primary_assembly:ASM411807v1:4:42032391:42036261:-1 gene:Vigun04g195600.v1.2 transcript:Vigun04g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTQLSNLVTLFRFCVPSSSSSISRINHIALTTTINYSKLKFASVRTLLKAKNLPLSLSPRAFVAISPLNVAANYLRNFRVSGSYICSGTLLGVSIISAPVIAHAMDAGDALVDDHELQDLAEEEENGQYFWRLARKFWLPIFFFITVLTNLDDSITIFCIKLTLFLLSTKPNPFSVYVFVDQLCQKFMRQDAGFLKLKSLYASKVEVQDYKLLCLAAVEVRDQKFTLVGILGSWWTLPHFQFWEAFSVVRNRIGSILVKTT >Vigun04g195600.3.v1.2 pep primary_assembly:ASM411807v1:4:42032391:42036261:-1 gene:Vigun04g195600.v1.2 transcript:Vigun04g195600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTQLSNLVTLFRFCVPSSSSSISRINHIALTTTINYSKLKFASVRTLLKAKNLPLSLSPRAFVAISPLNVAANYLRNFRVSGSYICSGTLLGVSIISAPVIAHAMDAGDALVDDHELQDLAEEEENGQYFWRLARKFWLPIFFFITVLTNLDDSITIFCIKLTLFLLSTKPNPFSVYVFVDQSLYASKVEVQDYKLLCLAAVEVRDQKFTLVGILGSWWTLPHFQFWEAFSVVRNRIGSILVKTT >Vigun04g195600.4.v1.2 pep primary_assembly:ASM411807v1:4:42032391:42036261:-1 gene:Vigun04g195600.v1.2 transcript:Vigun04g195600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTQLSNLVTLFRFCVPSSSSSISRINHIALTTTINYSKLKFASVRTLLKAKNLPLSLSPRAFVAISPLNVAANYLRNFRVSGSYICSGTLLGVSIISAPVIAHAMDAGDALVDDHELQDLAEEEENGQYFWRLARKFWLPIFFFITVLTNLDDSITIFCIKLTLFLLSTKPNPFSVYVFVDQLCQKFMRQDAGFLKLKSLYASKVEVQDYKLLCLAAVEVRDQKFTLVGILGSWWTLPHFQFWEAFSVVRNRIGSILVKTT >Vigun08g224900.1.v1.2 pep primary_assembly:ASM411807v1:8:38266848:38272424:-1 gene:Vigun08g224900.v1.2 transcript:Vigun08g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSLGGPSRCGRVVGPSLDKIIKNAAWRKHSQIVSSCKSILDKLESLSDSDSSSGDTQSPVPGLSSSDADSVLQPLFLALDSAYPKVVEPALECTFKLFSLGLIRGEINRPSNSNASQSDVVFNMIDAICKSGGLGEETVELWVLRVLLSAVRSPSILIRADSLIQIVRTCYNVYLGGVNGTNQICAKSVLAQIMIIVFTRVEEDSMDVFLKKVSVSELLEFTDKNLNEGNSIHFCQNFINEIMEASEGPPLKPSSISLHVEVQKVQIPLPKVADETGTDKSDNEAGADGSKIREDGFLLFKNLCKLSMKFSSQQNPDDRILLRGKILSLELLKVVTDTGGSVWRVNERFLNAIKQYLCLSLLKNSALSAMAIFQLQCSIFMNLLSKFRSGLKKEIGMFFPMLILRVLENVLQPSFLQKMTVLNLLDKISQDPQIIIDIFVNYDCDVDASNIFERIVNGLLKTALGPPTGSTTTLSPAQDITFRQESVKCLVSIIKSMGAWMDQQIRIGDFDVVKSPESSSNAETYLMSNVEEGNASDHELHPDVNSEFSDAATLEQRRAYKIELQRGISLFNRKPPKGIEFLISNKKLVVLQNKWHCS >Vigun09g256800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42277668:42279870:1 gene:Vigun09g256800.v1.2 transcript:Vigun09g256800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKSCARLRHSLSPLLPCTFKQHCSSASLPEPLLQPDSNDTFQTPILSSEETTLADKLHAAIKEHHRRNPTPNPNAPPPTPNLTIPDLSLDFSALSAAHTLSPAVARRVIEKCGAVRHGIPFLQSLAFFNWATALDGFPTSPEPYNEMLDLAGKLRHFDLAWHVIDLMKTRGVEISVHTFSALVRRYVRAGLPAEAVHAFNRMEDYGCTPDKVAFSIVISSLCKKRRANEAQSFFESLKHKFEPDVLVYTSLVYGWCRAGNISKAEEVFSDMKMAGIKPNVYTYSIVIDSLCRCGQITRAHDVFAEMIDAGCDPNAVTFNNLMRVHVKAGRTEKVLQIYNQMKRLGCPADTISYNFIIESHCRDENLDEAVKILNLMVKKGVAPNASTFNCVFGCIAKLHDVNGAHRMYARMKELKCQPNTLTYNILMRMFAESRSTDMVLKMKKEMDENQVEPNVNTYRILISMFCEMKHWNNAYKLLKEMVEEKCLRPNLSVYETVLELLRNAGQLKKHEELVEKMVSRGFVTRPL >Vigun03g114400.3.v1.2 pep primary_assembly:ASM411807v1:3:10462407:10466735:-1 gene:Vigun03g114400.v1.2 transcript:Vigun03g114400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAAIISDQVNVNGTVTQLSLLADGRLWWPEGGQRNLIIEKEVIGFATDGLNIRLKTVVESGDGCCGCGSRRKVVRDDVVFRPSSEESHRLWCRKLKEFIDSLETKHQLHAKQVVQSLDFSKYDGIVCVSGDGILVEVVNGLLQREDWDTAIKIPLGVVPAGTGNGMAKSLLDSVGDPCTITNAVLAIIRGHKRSLDVATITQGETRFFSVLMLAWGLVADIDIESEKYRWMGSARIDFYALSRIFHLRHYEGCLYFVPAPGFEAYGETISYPGSSASKRIISDQMDEEPVKLKRVIYQGPEIDLENLSWRVLNGPFISVWLHNVPWGAENTKAAPDAEFSDGYLDLIIMKNCPKLPLLSLMSDLNNGGHVKSPHVMYLKVKVLVLEPGPRLGDQEKEGIIDADGEVLARGKGSYQCEQKTLMAYDKLQITVDQGLATLFAPI >Vigun03g114400.4.v1.2 pep primary_assembly:ASM411807v1:3:10462405:10465351:-1 gene:Vigun03g114400.v1.2 transcript:Vigun03g114400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLDSVGDPCTITNAVLAIIRGHKRSLDVATITQGETRFFSVLMLAWGLVADIDIESEKYRWMGSARIDFYALSRIFHLRHYEGCLYFVPAPGFEAYGETISYPGSSASKRIISDQMDEEPVKLKRVIYQGPEIDLENLSWRVLNGPFISVWLHNVPWGAENTKAAPDAEFSDGYLDLIIMKNCPKLPLLSLMSDLNNGGHVKSPHVMYLKVKVLVLEPGPRLGDQEKEGIIDADGEVLARGKGSYQCEQKTLMAYDKLQITVDQGLATLFAPI >Vigun03g114400.1.v1.2 pep primary_assembly:ASM411807v1:3:10462407:10466735:-1 gene:Vigun03g114400.v1.2 transcript:Vigun03g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAAIISDQVNVNGTVTQLSLLADGRLWWPEGGQRNLIIEKEVIGFATDGLNIRLKTVVESGDGCCGCGSRRKVVRDDVVFRPSSEESHRLWCRKLKEFIDSLGRPKRLFVFVNPFGGRKFGANIFRDHVKPLLEDAQVHLTVQETKHQLHAKQVVQSLDFSKYDGIVCVSGDGILVEVVNGLLQREDWDTAIKIPLGVVPAGTGNGMAKSLLDSVGDPCTITNAVLAIIRGHKRSLDVATITQGETRFFSVLMLAWGLVADIDIESEKYRWMGSARIDFYALSRIFHLRHYEGCLYFVPAPGFEAYGETISYPGSSASKRIISDQMDEEPVKLKRVIYQGPEIDLENLSWRVLNGPFISVWLHNVPWGAENTKAAPDAEFSDGYLDLIIMKNCPKLPLLSLMSDLNNGGHVKSPHVMYLKVKVLVLEPGPRLGDQEKEGIIDADGEVLARGKGSYQCEQKTLMAYDKLQITVDQGLATLFAPI >Vigun03g114400.2.v1.2 pep primary_assembly:ASM411807v1:3:10462407:10466735:-1 gene:Vigun03g114400.v1.2 transcript:Vigun03g114400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVAGVEEKLSETTSCFGHRRRNRTGSGAGSSKNSSIPWDALRGSLFLLILLGEGNLVPIFFGIMLNLFWKTHKSILLFKVVNGLLQREDWDTAIKIPLGVVPAGTGNGMAKSLLDSVGDPCTITNAVLAIIRGHKRSLDVATITQGETRFFSVLMLAWGLVADIDIESEKYRWMGSARIDFYALSRIFHLRHYEGCLYFVPAPGFEAYGETISYPGSSASKRIISDQMDEEPVKLKRVIYQGPEIDLENLSWRVLNGPFISVWLHNVPWGAENTKAAPDAEFSDGYLDLIIMKNCPKLPLLSLMSDLNNGGHVKSPHVMYLKVKVLVLEPGPRLGDQEKEGIIDADGEVLARGKGSYQCEQKTLMAYDKLQITVDQGLATLFAPI >Vigun09g154200.1.v1.2 pep primary_assembly:ASM411807v1:9:31955082:31962537:-1 gene:Vigun09g154200.v1.2 transcript:Vigun09g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGSSHRLSSMGNNRSRIPALLISMFATFASIYVAGRLWQDAENRVYLIKELDRITGQGQSAISVDDTLKIIACREQHKKLDALETELSAARQEGFVSKPLIETNGTFSRRRPLVVIGILTKFGRQKNRDAIRKAWMGSGPSLKKIEDGKGIIVRFVIGRSENRGDNLDKDIDRENRLTNDFIILDNHVETNDALPKKAKLFFAHAADKWDAEFYAKVNDDVYVNIDALGATLAAHLDKPRVYLGCMKSGEVFSELNHKWYEPEWWKFGDKKSYFRHASGEMYVISRALAKFISINRSILRTYAHDDVSAGSWFIGLDVKHVHDAKFCCSSWSTGAICAGV >Vigun07g053900.1.v1.2 pep primary_assembly:ASM411807v1:7:5753613:5767745:-1 gene:Vigun07g053900.v1.2 transcript:Vigun07g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVASGDLLSEKASAMRASLERSQTITDDVVSILGSFDHRLSALETAMRPTQIRTHSIRKAHENIDRTLKSAEAILAHFDQYYQAEAKIIKGPHEDVKSYLEAIDQLRRNIRFFNNKKGFKNDDGIAIRANNLIAEAISKLEEEFKRLLSSYSKPVEPERLFSSLPNTMRPSSASPGHDDDSSSKNHSYMHSEPHKNNADTVVYTPPVLIPPRILPLLNNLTEQMVQAGHQQQLLKIYRDTRSKVLEESLQKLGVEKLNKDDVQKLQWEVLEAKIGNWIHFMRIAVKLLFAAERKVCDQIFEGFDSLGDQCFAEVTTNSISMLLSFGEAIAKSKRSPEKLFVLLDMYEILQEIHSEIEILFKGRACSKIREAVMGLTKQLAQTAQETFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYRSTLNQLFQGIEGESDSSQLASVTMRILQALQTNLDGKSKQYKDPALTHLFLMNNIHYIVRSVRRSEAKDLMGDDWIQRHRKIVQQHANQYKRNAWAKILQSLSIQGLISSSGGGSTAGGDGGSSGASRAVVKERFKAFNTMFEELHQKQSQWTVPDTELRESLILAVAEVLLPAYRSFVKRFGPLVENVKSSQRYIKYSAEDLERILGEFFEGKNMNDSKR >Vigun08g213100.2.v1.2 pep primary_assembly:ASM411807v1:8:37427115:37429525:1 gene:Vigun08g213100.v1.2 transcript:Vigun08g213100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDELIKNAKYIATPGKGILAADESTGTIGKRLASINVENIEANRQALRELLFTSPNALQYLSGVILFEETLYQKTTDGKPFVEVLEENNVIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGPHDIAKCAAVTETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEHTVQALRRTVPAAVPGIVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQQSTLKTWGGKEENITKAQEAFLARCKANSEATLGKYGGGSKAGLASESLYEKNYKY >Vigun08g213100.1.v1.2 pep primary_assembly:ASM411807v1:8:37427115:37429525:1 gene:Vigun08g213100.v1.2 transcript:Vigun08g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKNAKYIATPGKGILAADESTGTIGKRLASINVENIEANRQALRELLFTSPNALQYLSGVILFEETLYQKTTDGKPFVEVLEENNVIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGPHDIAKCAAVTETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEHTVQALRRTVPAAVPGIVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQQSTLKTWGGKEENITKAQEAFLARCKANSEATLGKYGGGSKAGLASESLYEKNYKY >Vigun06g026300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11944548:11946441:1 gene:Vigun06g026300.v1.2 transcript:Vigun06g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLLHHYKIWGIKQSWFSKQTIFTTQFICSDILLHNSFASFIVAMVSETTELHFVLFPLMAQGHMIPMMDISRLLAQRGAVVTIFTTPKNASRFNSVLSRAVSSGLQIRLLQQHFPAKEVGLPEGCENLDMMTSMDMINKMYNAINMLQKSAEELFEALTPKPSCIISDLCFPWTAQLAEKHHIPRISFHGFSCFCLHCMHQLHINSEVCESIISESEYFTIPEIPDQIQVTKEQIPLMIPRSNEEKIFSEQVREAEKKSYGVIINTFEELEKAYVRDFKKVKNNKAWFIGPVSLCNKDGLDKIERGNQASISEHRCLKWLDVQQPKSVVYVCFGSICNLIPSQLVELALALEDTKRAFVWVIREGRQFQELENWISEEGFEERTKGRGLIIRGWAPQVIILSHPSIGGFLTHCGWNSTLEAISGGVPLVTWPMFGDQFLNEKLVTHVLKIGVSVGAEVPLKWGEGEEEKRGVMVKKDDIKKGICMVMDEDGEESKERRERLIKMSEMANRAVQEEGSSHLALTSLIQHIMQQSG >Vigun09g022450.1.v1.2 pep primary_assembly:ASM411807v1:9:1770659:1772139:-1 gene:Vigun09g022450.v1.2 transcript:Vigun09g022450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTPRMDLPTDMSVGTKKVVTFQLVKYLWQTILRHKSFSEVIEIISEPYQALFDAAEVGNFEFLSELISAHPSLIWEVDNKNHSIIHTVVSHRHASIFNLIHEIESQKDLIVTYIVNVSNPSPSEPVITNNTLLHLAAKLPPPRQLELVSGAAFQMCLEIIWFEEVKKIMPPSYVIMKNSNDVTAQELFRIEHEELRKKGEEWMKRTAEFCILISTVIATRVFSTAINIPGGIDDESKKPNYLNQTSFLVFAISDEAAFISSSTAILIFLSILMSRYAEDDFYKSLPLKLISGLITPSP >Vigun01g165300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34751876:34754059:1 gene:Vigun01g165300.v1.2 transcript:Vigun01g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKNPHFVLFPFMAQGHIIPMMDIARILAQRGVIITVFTTPENASRFNSVISRAVSSGLKIRFVQLNFSSKEAGLPEGCENLDMVSSNDMSKIFQVIHMLQKPAEEFFQTLTPKPSCIISDFCISWTVQLAEKYHIPRISFHGFSCFCLHCRHLIHTSDFCKSITSQSEYFTIPGIPDKIQVTKEQLPGSLATDLNDFKEQVRDAEKKSYGVIVNTFEELEKAYVREYKKVKNDKAWFIGPVSLCNKDDLDKAQRGNQASINEHHCLKWLDLHQPKSVVYVCFGSICNLIPSQLVELALALEDTKRPFIWVVRNGSQFQELEKWISEEGFEERTKGRGLIIRGWAPQVMILSHPSIGGFLTHCGWNSTLEGISGGVPLVTWPLFGDQFLNEKLVTDVLKIGVRVGAEVPLKWGEEEQKGVTVKKDDIKRAICMVMDEDEEETKERRERVSKLSEMGKRAVEEGGSSHLNVTLLIQDILQQTTTKEDANLTHV >Vigun06g223700.1.v1.2 pep primary_assembly:ASM411807v1:6:33232692:33237193:-1 gene:Vigun06g223700.v1.2 transcript:Vigun06g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSRRNPKRPFVEEDDELSKKPPAKKVRFPKGKKVKPGDEVVDKGNVEEGEVDLMNPQVAAKAAKERANQRNQFTAELFSEDTGGIGIDLSVGEVTYEDNENFVDDGIQIEPFNLDKEREEGYFDAAGNFVEYVRENEIKDAWLDNIEVDPKYSALKSVPTNDVDEGPADLSSKDIGIMKRRIANVLEPGETVLQALRRLKGSSDRKAKMSAETKVVFDQLTEDAMKLMENGEYNVYHEKREVFDREAEGYEKLVRAKEGTSNQHLASVEASGNGEGDYDMFADEDEPDTSKPSTDENNAVSQSSSDAINSGIEGGALQNDYVFDESSGYYYSSSLGYYYDPNTGLYCSAASGQWYSFNEETGTYEEVDEAASNVN >Vigun06g223700.2.v1.2 pep primary_assembly:ASM411807v1:6:33232692:33237193:-1 gene:Vigun06g223700.v1.2 transcript:Vigun06g223700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSRRNPKRPFVEEDDELSKKPPAKKVRFPKGKKVKPGDEVVDKGNVEEGEVDLMNPQVAAKAAKERANQRNQFTAELFSEDTGGIGIDLSVGEVTYEDNENFVDDGIQIEPFNLDKEREEGYFDAAGNFVEYVRENEIKDAWLDNIEVDPKYSALKSVPTNDVDEGPADLSSKDIGIMKRRIANVLEPGETVLQALRRLKGSSDRKAKMSAETKVVFDQLTEDAMKLMENDVYHEKREVFDREAEGYEKLVRAKEGTSNQHLASVEASGNGEGDYDMFADEDEPDTSKPSTDENNAVSQSSSDAINSGIEGGALQNDYVFDESSGYYYSSSLGYYYDPNTGLYCSAASGQWYSFNEETGTYEEVDEAASNVN >Vigun08g034500.1.v1.2 pep primary_assembly:ASM411807v1:8:3239904:3242084:1 gene:Vigun08g034500.v1.2 transcript:Vigun08g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHETPNIGLGLGLGLGLGLVTFHDESENCMKSDGHNKIRRVENPSNGNNTYPSLTLGPPEDDEHDHDHDRDEVKNDQPSSKTESYEYFRPQVSSPSVVSSFSNSSSIKRERDQAMGEEFDVEVEKFQTRVTDADEDGNPRKKLRLTKEQSAILEENFREHSTLNPKQKQELAMKLNLRTRQVEVWFQNRRARTKLKQTESDCDVLKKCCESLTEENKRLQKEVQELKSMQAMAMPLYMQIPAATLSLCPSCERISATKSEDENANNTTSSLLFPSKTHHHHFYKSNYPFSHSSSAAC >VigunL060700.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000454.1:12692:15479:-1 gene:VigunL060700.v1.2 transcript:VigunL060700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKKATADAPPEVVEPSGAADSGGGEGGSDKVQSFEGNEGTQLRNGEDEEPQYGVGGDEEEENAGGAFPGAQGVVLAENFYEVEAIRRKRVRKGQVQYFIKWNGWPETANTWEPPENLVSVPDVVEAFEESLKSGRHRKRKRKHVVHHTQPKKRLERSTTPYSLRRFSSTVGSHSQSALPVLSDASLPVIPAFPQTVLFADELGNGAGNNLESAIPVNVNKSPIVSEQNVERNEENDYDPKLSELKASSAGGNEADRLAIRIPEAMPSGPGFAGNNGQTVAKPKGVRMETSESGRCRGAKRRKSGSVKRFNKELYAGEPANTQNPIGAAVSTDESALLTRNVGSGANHARPASNIVKIIKPIGYSASVASGAQDVLVTFVASNWSVQERRSVNFGCNCALLDNDYFELMKLQ >VigunL060700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000454.1:3971:15865:-1 gene:VigunL060700.v1.2 transcript:VigunL060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKKATADAPPEVVEPSGAADSGGGEGGSDKVQSFEGNEGTQLRNGEDEEPQVGDSDGEGEEGEGEGEEEYGVGGDEEEENAGGAFPGAQGVVLAENFYEVEAIRRKRVRKGQVQYFIKWNGWPETANTWEPPENLVSVPDVVEAFEESLKSGRHRKRKRKHVVHHTQPKKRLERSTTPYSLRRFSSTVGSHSQSALPVLSDASLPVIPAFPQTVLFADELGNGAGNNLESAIPVNVNKSPIVSEQNVERNEENDYDPKLSELKASSAGGNEADRLAIRIPEAMPSGPGFAGNNGQTVAKPKGVRMETSESGRCRGAKRRKSGSVKRFNKELYAGEPANTQNPIGAAVSTDESALLTRNVGSGANHARPASNIVKIIKPIGYSASVASGAQDVLVTFVASKSDGTEVMVNNKYLKAFNPLLLINFYEQHLRYSPTS >VigunL060700.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000454.1:3971:15865:-1 gene:VigunL060700.v1.2 transcript:VigunL060700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRWWSLLVLRIREVERYGVGGDEEEENAGGAFPGAQGVVLAENFYEVEAIRRKRVRKGQVQYFIKWNGWPETANTWEPPENLVSVPDVVEAFEESLKSGRHRKRKRKHVVHHTQPKKRLERSTTPYSLRRFSSTVGSHSQSALPVLSDASLPVIPAFPQTVLFADELGNGAGNNLESAIPVNVNKSPIVSEQNVERNEENDYDPKLSELKASSAGGNEADRLAIRIPEAMPSGPGFAGNNGQTVAKPKGVRMETSESGRCRGAKRRKSGSVKRFNKELYAGEPANTQNPIGAAVSTDESALLTRNVGSGANHARPASNIVKIIKPIGYSASVASGAQDVLVTFVASKSDGTEVMVNNKYLKAFNPLLLINFYEQHLRYSPTS >VigunL060700.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000454.1:3966:15865:-1 gene:VigunL060700.v1.2 transcript:VigunL060700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKKATADAPPEVVEPSGAADSGGGEGGSDKVQSFEGNEGTQLRNGEDEEPQYGVGGDEEEENAGGAFPGAQGVVLAENFYEVEAIRRKRVRKGQVQYFIKWNGWPETANTWEPPENLVSVPDVVEAFEESLKSGRHRKRKRKHVVHHTQPKKRLERSTTPYSLRRFSSTVGSHSQSALPVLSDASLPVIPAFPQTVLFADELGNGAGNNLESAIPVNVNKSPIVSEQNVERNEENDYDPKLSELKASSAGGNEADRLAIRIPEAMPSGPGFAGNNGQTVAKPKGVRMETSESGRCRGAKRRKSGSVKRFNKELYAGEPANTQNPIGAAVSTDESALLTRNVGSGANHARPASNIVKIIKPIGYSASVASGAQDVLVTFVASKSDGTEVMVNNKYLKAFNPLLLINFYEQHLRYSPTS >Vigun10g074900.1.v1.2 pep primary_assembly:ASM411807v1:10:20158874:20164896:-1 gene:Vigun10g074900.v1.2 transcript:Vigun10g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEAIVTNLNETEHEVGSTREEEREQEHSMFSLKSILWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQVFYGIIGSWTAYLISVLYVEYRTRKEKENVNFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAVAAILHGQVENVTHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVYTLTIPSAVAVYWAFGDMLLNHANAFSLLPKSGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPSLAHMLTYTKASARQNAAEKPPFFMPSWTAMYVLNAFIVVWVFVVGFGLGGWASMTNFVRQIDTFGLFAKCYQCPPPAPHLVAAPPPHVHH >VigunL013750.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:121561:122516:-1 gene:VigunL013750.v1.2 transcript:VigunL013750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAHQGYENPREATGRIVCANCHLANKAVDIEVPQAVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGNVLILPEVGPILGQKYNEIMFPILAPDPAIYNATTTGIVKKIIQKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGDVEIVLQDPLRVQAQIFLVLKKKQFEKVQLFEMNF >Vigun01g160700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34262395:34263456:1 gene:Vigun01g160700.v1.2 transcript:Vigun01g160700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLLRRRRRRPLPLRLHPQIPRQRHSSHPLPLFPTSAFSDLLADDGVRVSQVIRSGTTFNTQGLSSPSSRTPRDDDSEEEKRNENAERKEGVDLQFFVKGIEVGRRDAAALFFLVSFLSAAYGWVILVFLVTYSWVLGVVYVSVVNDLLGRFSSVTVLVWDGSRLGLKRLSGFILMRWAVRDALTQLLGLWYFGEIEDQYSFFKLFVRLKLMPFSVMSPWVRGFEREISGFLFTWFLVDTFVAFIFSVDAWVAIVDSRKSGREIVKEGCYLISTMFNQAIQVKCLEAILCGSFVRWGLGRVCGRSFAKMFQSTMEVYFMVTWLLFYFAARCRDADLHGRRFGQRELEGLVEGLR >Vigun02g099200.1.v1.2 pep primary_assembly:ASM411807v1:2:25438044:25440682:1 gene:Vigun02g099200.v1.2 transcript:Vigun02g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSSMILSNSPFASPSLPTSTSAKLETFSLKNDGVIRVRGVHASSVAPRNRKLSTCSASRDGNASVETDAPFPADYSELLEQAKVAVNLAMKDNRQLMEIEFPTAGLGSVPGDGEGGIEMTESMQLIREFCDRLIIPEKVTRTRIFFPEANEVDFARQSVFSGSSLKLDYLTKPSFFEDFGFVEKVKMSDRVKTEDELFLVAYPYFNVNEILVVEELYKEAVLNTERKLIVFNGELDRIRSGYYPPFFYPKLGALTKTFLPMMETVYYIHNFKGRNGGTLFRSYPGPWKVLRRVGPRKYVCLHQQDSMPSLKEVALEILPSA >Vigun01g249800.1.v1.2 pep primary_assembly:ASM411807v1:1:41646280:41652622:-1 gene:Vigun01g249800.v1.2 transcript:Vigun01g249800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGYISAANLNCQLGARHISNPKLGYADARIALSFGGSDSMGVSVRPASVRASKRNHFSPLRVVCADYPRPELENTVNFIEAAYLSSTFRTSPRPLQPLKVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPEILPSPLNGIWAILRNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLSVKEWMIKQGVPDRVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPVVDHIQSLGGEVHLSSRIKKIELNDDGTVKSFLLSNGKVIEGDAYVFATPVDILKLLLPDNWKGIPYFQRLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWISRSDEDIIEATMSELAKLFPDEISADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPVQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDCEVLAGRGQKRMAETSVV >Vigun05g087550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8316988:8317308:-1 gene:Vigun05g087550.v1.2 transcript:Vigun05g087550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAMPPYSSFSICLSIFSFSREGSKATLVLICLIFFFSNIPEASSQGNPEISTDNPSPEFSNQVCSNFNSSCITSKPDALSSSIPLMFGFTNHSLSLFACMIS >Vigun07g283600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39736534:39740756:1 gene:Vigun07g283600.v1.2 transcript:Vigun07g283600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVLLLLSFLLSTSSSHNLSYPHFQQLHVKQTLAQTKLIPNPNPNPNPNPKQQSNQHQTPNTVTDSSSTKWKLKLVHRDKVPTFNTSHDHQTRFNALIKRDARRVAALLRRLDSGKSTLDSEAFGSDVVSGMEQGSGEYFVRIGVGSPPRNQYVVIDSGSDIIWVQCEPCTQCYHQSDPVFNPADSSSYAGVSCESTVCSHVDNAGCHEGRCRYEVSYGDGSYTKGTLALETLTFGRTLIRNVAIGCGHRNQGMFVGAAGLLGLGGGPMSFVGQLGGQTGEAFSYCLVSRGTQSPGILEFGREAMPVGAAWVPLIHNARAPSFYYVGLLGLGVGGLRIPIPEDIFKLSEMGDGGVVMDTGTAVTRLPTAAYEAFRDGFIAQTTNLPRASGASIFDTCYDLFGFVSVRVPTVSFYFSGGPILTLPAKNFLIPVDDVGTFCFAFAPSPSGLSIIGNIQQEGIQISVDGANGFVGFGPNVC >Vigun01g116400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29300474:29304219:1 gene:Vigun01g116400.v1.2 transcript:Vigun01g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAESFLFSIADSLIAKLASQLYEEASRVMGLYQHLQEFTRTLSLVKAVLLDAEQKQEHNHELREWLKQLKRVFSDAQDVLDEVECQTLQNQVVKAHGTTKTKVGRFFSTSNPLVFRYKMAQQIKDINNRLDKVAADRHKFGLQTIDVDTRVVHRREMTHSHVSDSDVIGREHDKEEIIHLLMQKNPIDVDKSLPVIPIVGMGGLGKTTLAKFVFNDARINECFPLKMWVCVSENFDIKQMIVRIINSANDSASHSHAPGFQQNLNILDMEQLQNQLRNKLVGEKFLLVLDDVWNEDRVKWVELRALIQVGAAAGSAVLVTTRSHSIASMMGTVSSHILEGLSLEDSISLFVKWAFKEGEEENYPHLINIGIDIVKKCRGIPLAVRTIGSLLFSKFEANEWEYVRDREIWNLPQKKEDILPTLKLSYDLMPSYLRQCFALFSLYPKDYEFVNFEVANLWGALGLLGSLKKNMTQDVANQYFCELQSRSFIQDFVSYGTVYTFKIHDLVHDLALFVAKDECLLINSHVQSIPESIRHLSFVENDLDDKSISSKSVGVRTILFPKDGVGAKSEAFFTLVSRYKYLHILNLSYSSVETLPYFIGKLKHLRSLCLYNNKKIRGLPDSICKLQSLQVLDLGGCMELEALPKGLRKLISLRDFVITTKQAVLPENDIANLSSLQYLTIQCCDNVESLFSGIELPYLKALTVNSCKRLEALPLDSKHFPALETLCIGNCDQLELSKGHEDQKFILKLKAILFTSMPQIETLPHWLQGSIKTLLSLRLEHCHNLEVLPDWLPMVTCLKALDIKDCSKLHSLPDGINCLTALERLQIIDCPELFRKYTL >Vigun01g116400.2.v1.2 pep primary_assembly:ASM411807v1:1:29300476:29303485:1 gene:Vigun01g116400.v1.2 transcript:Vigun01g116400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAESFLFSIADSLIAKLASQLYEEASRVMGLYQHLQEFTRTLSLVKAVLLDAEQKQEHNHELREWLKQLKRVFSDAQDVLDEVECQTLQNQVVKAHGTTKTKVGRFFSTSNPLVFRYKMAQQIKDINNRLDKVAADRHKFGLQTIDVDTRVVHRREMTHSHVSDSDVIGREHDKEEIIHLLMQKNPIDVDKSLPVIPIVGMGGLGKTTLAKFVFNDARINECFPLKMWVCVSENFDIKQMIVRIINSANDSASHSHAPGFQQNLNILDMEQLQNQLRNKLVGEKFLLVLDDVWNEDRVKWVELRALIQVGAAAGSAVLVTTRSHSIASMMGTVSSHILEGLSLEDSISLFVKWAFKEGEEENYPHLINIGIDIVKKCRGIPLAVRTIGSLLFSKFEANEWEYVRDREIWNLPQKKEDILPTLKLSYDLMPSYLRQCFALFSLYPKDYEFVNFEVANLWGALGLLGSLKKNMTQDVANQYFCELQSRSFIQDFVSYGTVYTFKIHDLVHDLALFVAKDECLLINSHVQSIPESIRHLSFVENDLDDKSISSKSVGVRTILFPKDGVGAKSEAFFTLVSSFKVCKCWTLVDAWSWKHYPKD >Vigun10g136465.1.v1.2 pep primary_assembly:ASM411807v1:10:35086631:35086932:-1 gene:Vigun10g136465.v1.2 transcript:Vigun10g136465.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKAFFLKISFFAAIPSVIHGSPIGKPYPSSAASLRSLDF >Vigun03g274700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45044122:45045069:-1 gene:Vigun03g274700.v1.2 transcript:Vigun03g274700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGPEGEGNHGIILENAKAELAKAKEELNRAKESSMQSWLDSEPLIDELEKQKANLANAQLSENSSKTVTEKLESQLETIHKSIKSKREEQLKTELMIQETNQNLDHMRNEMEMLKLERNKEKQTLAKLRQTLHLRKQTAQTLQLTLRAVLLESDAVEESSAKALQQIKLSENHRDVVQLTNEKYYALTRRAKEKISHTNSRVSVYMEQKHAAQATHDLVLSRLNKIYSSRSWSMNKRKIMGLRYTERSAKGQDTIIEEEVMANVSPKSSAEESLPKFKGGKLQQSRKSVSNHVKIVRKKSSILYKLRKCLYGS >Vigun05g210600.1.v1.2 pep primary_assembly:ASM411807v1:5:40126812:40128663:-1 gene:Vigun05g210600.v1.2 transcript:Vigun05g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDRDIYNILVFHDNGSMHCKQKLMTISVKESIMVQPAEATPRKVLWNSDIDLLVRNYHTPTVYFYKPNGASNFFNANILKQALSKTLVLFYPMAARLRHGEDHRVEIYCDGQGVLFVEAHATVAMDNFIHTFHHRNLILAVDYCAGIETYPIVVLQVTYFKCGGVSLGVGIQHHVADGASSLHFINAWSDVARGIDISVPPFIDRTLLRAREPPQPAFPHHEYQLSRPRINTTTTTNTDVVASILKVSGEQVNILKGKYKEDGNTMKYSSYEMLAAHIWKCVCKARGLSDEEETRLYIPIDGRSRLEPALPPGYLGNVIFSTIPTALVGDLLSKPTCYAANKIHNAIMRMDNQYLRSALDYLQLQPDLSALVRGPHTFGTPNVGINSWAKFPIYDADFGWGRPIFMRPGWIAHEGLTIITPSSANDGTLYLAIALPQHHMKLFQQFFYDI >Vigun06g084900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21721096:21724298:1 gene:Vigun06g084900.v1.2 transcript:Vigun06g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPNILMQRYELGRLLGQGTFGKVYYGRSAITNQGVAIKMIDKDKIMRTGQVEQIRREISVMRLARHPNIIQLFEVMANKSKIYFVMEYAKGGELFNKVARGKLKEDVAHKYFKQLINAVDYCHSRGVFHRDIKPENILLDENGNLKVSDFGLSALVESKRQDGLLHTPCGTPAYVAPEVIKRKGYDGTKADIWSCGIVLFVLLAGYLPFHDPNLIEMYRKISKAELKCPNWFPPEVCKLLGMMLDPNPDTRIPISTIREHCWFKKEPNVKNKRPVVENNIVSSSGTVDLDQDDCCDGLAAEANGDSVAPLSINAFDIISRSVGFDLSRFFDESFRKKEARFSSRLPASVIISKLEDIAKQLRMKIKKKAAGLLKLEGLEEGRKGVLTIDAEIFEVTPCFHLVEVKKSNGDTLEYQKILKEDIRPALQDIVWVWQSDQQLQSQPSEEELQNTDDQQQQQPQS >Vigun02g005900.1.v1.2 pep primary_assembly:ASM411807v1:2:2651791:2652390:1 gene:Vigun02g005900.v1.2 transcript:Vigun02g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTQLAYGGGERSQKILECSEKCNYRCSKSAAMKRCLYYCNLCCKICLCVPSGTYGNKQECPCYNNWKSKDGTPKCP >Vigun05g170300.5.v1.2 pep primary_assembly:ASM411807v1:5:29757789:29760147:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun05g170300.4.v1.2 pep primary_assembly:ASM411807v1:5:29757753:29760490:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun05g170300.1.v1.2 pep primary_assembly:ASM411807v1:5:29757753:29760490:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun05g170300.8.v1.2 pep primary_assembly:ASM411807v1:5:29757789:29763664:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun05g170300.7.v1.2 pep primary_assembly:ASM411807v1:5:29757789:29763664:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun05g170300.6.v1.2 pep primary_assembly:ASM411807v1:5:29757787:29763664:-1 gene:Vigun05g170300.v1.2 transcript:Vigun05g170300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSDLPQRQSPRGPHQLRTSSSDSDPLHHRLIADRSPKLGDRRSPRGTQSEALNQKKLGTRIADLESQLGQAQEELKVLKDQLVSAEAAKKEAQDELVKKAVQSVVPVVEKFQEKCTSKNDQESNKTETKPQDIIIPDDNQHETDVFEVPIEKLTIKFDKPADQSEKETLAFEDSNAPAISMKEKPSVEEHELSLKIGEIALLKSSLEEKEKELESITNEKEDLKKKLDEAVSKVSTAESKEEGMSLVLDRLREELEASKGNAEKLNGKLKCVEAEKEGLEAEMKKLRVQTEQWRKAADAAAAVLAGGVDMTARIPERCGSMDKHFGGTFETPGGRYSGYVGSPGNADDLDDGFGGGKRKGSGIRMFGDLWKKKSQK >Vigun01g230800.2.v1.2 pep primary_assembly:ASM411807v1:1:40300194:40308456:1 gene:Vigun01g230800.v1.2 transcript:Vigun01g230800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHDPPVCADCWDCGGPNQCGTRCNALVANKVGGYHVCTAIGRGPKVDIDIIDKKNPHTGVIFKMSNSGPKFNCSLAVSVICNSNGVQGPQSLERLGACDYVTELKHPSGCAVIINVHGGGLGWFGTLMIIVLCLFAAYLLAGIVYRFFFLGIRGVDIIPNLDFWISLPRRTQSLCSSLVRRFKGPSESYRSSYSPVNF >Vigun01g230800.4.v1.2 pep primary_assembly:ASM411807v1:1:40300194:40308456:1 gene:Vigun01g230800.v1.2 transcript:Vigun01g230800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHDPPVCADCWDCGGPNQCGTRCNALVANKVGGYHVCTAIGRGPKVDIDIIDKKNPHTGVIFKMSNSGPKFNCSLAVSVICNSNGVQGPQSLERLGACDYQVTELKHPSGCAVIINVHGGGLGWFGTLMIIVLCLFAAYLLAGIVYRFFFLGIRGVDIIPNLDFWISLPRRTQSLCSSLVRRFKGPSESYRSSYSPVNF >Vigun01g230800.1.v1.2 pep primary_assembly:ASM411807v1:1:40300194:40308456:1 gene:Vigun01g230800.v1.2 transcript:Vigun01g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPSTFAMSMLHQRIIIIFMLLLPLTSVIASEPCRFSFPDGDRLYNYTLSSPIRNFPHGILSEDGFYKVAVNETTLWFQLCDGMVFNHDPPVCADCWDCGGPNQCGTRCNALVANKVGGYHVCTAIGRGPKVDIDIIDKKNPHTGVIFKMSNSGPKFNCSLAVSVICNSNGVQGPQSLERLGACDYVTELKHPSGCAVIINVHGGGLGWFGTLMIIVLCLFAAYLLAGIVYRFFFLGIRGVDIIPNLDFWISLPRRTQSLCSSLVRRFKGPSESYRSSYSPVNF >Vigun01g230800.3.v1.2 pep primary_assembly:ASM411807v1:1:40300194:40308456:1 gene:Vigun01g230800.v1.2 transcript:Vigun01g230800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPSTFAMSMLHQRIIIIFMLLLPLTSVIASEPCRFSFPDGDRLYNYTLSSPIRNFPHGILSEDGFYKVAVNETTLWFQLCDGMVFNHDPPVCADCWDCGGPNQCGTRCNALVANKVGGYHVCTAIGRGPKVDIDIIDKKNPHTGVIFKMSNSGPKFNCSLAVSVICNSNGVQGPQSLERLGACDYQVTELKHPSGCAVIINVHGGGLGWFGTLMIIVLCLFAAYLLAGIVYRFFFLGIRGVDIIPNLDFWISLPRRTQSLCSSLVRRFKGPSESYRSSYSPVNF >Vigun02g069400.1.v1.2 pep primary_assembly:ASM411807v1:2:21960026:21964666:1 gene:Vigun02g069400.v1.2 transcript:Vigun02g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLTPTLTPRLHTRVPFNTSNSNTLFQPRFTLSSHSPSPLSSSFSASSYRGPTPKRDFLADWVSQNDDVVRTLPIYVGSASLFAVLLNRALSGIAPVADAGSSQSRADLLTLGLAVTNILTGLVWLSIRPKSINVVNPRGVECKRFCTTLPEVAFNELLWVWESLSDATCCRSLVVVYERSCVLQIGFAADSSSGNGEAVSVDANKLMQGSVYQGVMKSGAQSYLANLSLYPGKSELPFLPLNTQAVILQPLGDKGIAIIGGDTIRGYTASDQAWITYIGEKLDSTLAKYVKHHPLASQG >Vigun01g053266.1.v1.2 pep primary_assembly:ASM411807v1:1:9861906:9863514:-1 gene:Vigun01g053266.v1.2 transcript:Vigun01g053266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIRARSEGKKLNVSWNSKGQPIEPSGTNFISYLGSIVRSTIAITCDNWKDSTLSAYKEIIRNDIRLTFNVDTTHRSYVLKVAGKLLRSFRTSLANNYLKDENGKCLDNPPIERASHSKYPYRASRKGTKSRALKIEKLD >Vigun09g103450.1.v1.2 pep primary_assembly:ASM411807v1:9:18099934:18100425:-1 gene:Vigun09g103450.v1.2 transcript:Vigun09g103450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTKLITVKLQAEAASSRQIPYGVAQNEVKEWQDAWDEKENKDSEKQGLDQHDSFWSKLKTRLLGRYSKIYLLLYA >Vigun07g290800.3.v1.2 pep primary_assembly:ASM411807v1:7:40263661:40265203:-1 gene:Vigun07g290800.v1.2 transcript:Vigun07g290800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQQQNQNLPAVPQSLLQQTGFEDAVKPFSLRQYVLASRHRNFFQNWPFHEKHLWLCLKHGLKEKEVLPPFGSQTSLTEPLKDSANLMHYSSSDNNNNSKKEADSCKAEYDYHPQAMKNDCDYKVKEGNEQHCSNISANLSQPSSTHAHKISSLMQKAVKDKCRRRKGRCKKRSMVDILAVARHSTLEEIHMMNKFYYAETKIEEYQQTVPYGSEDAYDGDMAPKGPLLLKFKLNGCNVNGYCRT >Vigun07g290800.2.v1.2 pep primary_assembly:ASM411807v1:7:40263661:40265520:-1 gene:Vigun07g290800.v1.2 transcript:Vigun07g290800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQQQNQNLPAVPQSLLQQTGFEDAVKPFSLRQYVLASRHRNFFQNWPFHEKHLWLCLKHGLKEKEVLPPFGSQTSLTEPLKDSANLMHYSSSDNNNNSKKEADSCKAEYDYHPQAMKNDCDYKVKEGNEQHCSNISANLSQPSSTHAHKISSLMQKAVKDKCRRRKGRCKKRSMVDILAVARHSTLEEIHMMNKFYYAETKIEEYQQTVPYGSEDAYDGDMAPKGPLLLKFKLNGCNVNGYCRT >Vigun07g290800.1.v1.2 pep primary_assembly:ASM411807v1:7:40263569:40265564:-1 gene:Vigun07g290800.v1.2 transcript:Vigun07g290800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQQQNQNLPAVPQSLLQQTGFEDAVKPFSLRQYVLASRHRNFFQNWPFHEKHLWLCLKHGLKEKEVLPPFGSQTSLTEPLKDSANLMHYSSSDNNNNSKKEADSCKAEYDYHPQAMKNDCDYKVKEGNEQHCSNISANLSQPSSTHAHKISSLMQKAVKDKCRRRKGRCKKRSMVDILAVARHSTLEEIHMMNKFYYAETKIEEYQQTVPYGSEDAYDGDMAPKGPLLLKFKLNGCNVNGYCRT >Vigun04g011700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:850428:852804:1 gene:Vigun04g011700.v1.2 transcript:Vigun04g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPSGLRLLGNGKYSYDIKLGKAASISVKTGRIKEKENSFSRSQTWELSYNVDRITTCTLSIVKTTKTVPAEEKLLINIKDYIPEANNRHIKEKHAALPTHPTELFSITLQKDDEEDGVLDVAFHQSCRYLEPDYMKKRRERSTAGTSFYAYGYGSRMGVFVMEKKKRSNEKQPYMVTLAHYYVNSGRGVDIGFSVLVKIGVQNGELDFSVEGPEEHPSLALLYMIEEVVRSGKWKRSACPHCKNLQQWQRRWVSESEDSDTNVPTPAASHGGQQNASNKGRFSGDGIGSMIHANKVNFNKWWT >Vigun03g214500.1.v1.2 pep primary_assembly:ASM411807v1:3:35637393:35640327:-1 gene:Vigun03g214500.v1.2 transcript:Vigun03g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSSDSVFRSGMEFFHRAKVVRLRSHHDKYLLAEDDEESVTQDRNGSSKNSKWTVELIPEFDNLLRLKSCYGKYLTASNQPLLLGVTGRKVVQSMPRRLDSSVEWEPVREGAQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWILWDVDVLEIHVVSPAPPPIPHSDSLDFDSSTPSAISIKSTTFSRQESTDSNVGSPPKLEGRTIYYHVAEDNGDVDDENVQGYSLVFKGNGVEQLTRKFEEETGLQGVIVCTRSPLNGKLYPLRLQLPPNNVTMQVVLVLPSSKVAKDFEEQGLL >Vigun07g196300.1.v1.2 pep primary_assembly:ASM411807v1:7:31617745:31625316:1 gene:Vigun07g196300.v1.2 transcript:Vigun07g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITDDVAEEISFQSFDDDCRLLGNLLNDILQREVGTNLVDKIERIRVLAQSGCNMRQAGILNMAELLEKQLASELSKMTLEEAFTLARAFSHYLTLMGIAETHHRVRKGGNMAQTAKSCDDIFNQLVQGGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQFKHVRIAHLLDYNDRPDLSTEDREMVIEDLVREITSIWQTDELRRQKPTPVDEARAGFNIVDQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYIREVDSLRFELSMNQCSDRLSRLAHDILEAKHDNPRENWNQSVNRSLALPTQLPARAHLPSIAENGESRHPRLDIPGPDHMQSNHKDGGAALSSTASKNVNPNIQFPGTSSANSSASSATASSSFGQKKLYAEPQTGKSTFQKLLEPMLPQLPGIAPYRIVLGNVKDKLEKSRRRLELLLEDVACDYDPLEYYETSDQLLEPLLLCYESLQSCGSGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHAEALDAITEYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPLSIEVPSDVKEVLDTFRIAAELGSDSLGAYVISMASNASDVLAVELLQKDAQLAAIGESGKACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHVIKNHNGHQEVMVGYSDSGKDAGRFTAAWELFKAQEDVVAACNDYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVEAKFGLPQIAVRQLEIYTTAVLLATLRPPIPPREEKWRNVMEEISNISCQCYRNVVYENPEFLAYFHEATPEAELGFLNIGSRPARRKSSRGIGHLRAIPWLFAWTQTRFVLPAWLGVGAGLKGACEKGLTEDLKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSQERQELGGELRSELMTAEKFVLVISGHEKLQQNNRSLRRLIENRLPFLNPLNMLQVEILKRLRRDDDNRKIRDALLITINGIAAGMKNTG >Vigun04g001800.2.v1.2 pep primary_assembly:ASM411807v1:4:182334:187984:-1 gene:Vigun04g001800.v1.2 transcript:Vigun04g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWVRQQFGKGGRDSSVSLLVLFLRSYATRRSKPTPPEIPFQPKLANAVNLIGQLLTPLQFHQSPEGNAWATAVITRQESPSSPLLSIPLLFEGDLAHTAKCHLKLNDFIHIAGNLTTDPHGLHPQHHHHQTNIQVMVQTLNFVQGYPQLNTTTASSTTKILPFSQSEEHDINPSRKDIRAKQSEELDKDNSWKDLLDNPVEWWDLRSTEENPKGAAFERKTNGELLFISSSTPKWVQEKLELVTIDLKPEPKHSISSAKKNPDSSMSSWIDLVDNPKQWWDFRDSKQNGLVHPKHPDFKRKDGSVSLWLSNCTTFVLSKLKGLEFEVPVVKSKKAKDSKGGDVSWNDLVQNPAKWWDNRVDKRNEKAPDFKHKETGEGLWLGSSPSWVLDKLPPVKPKKGVETDRKSTLVS >Vigun04g001800.3.v1.2 pep primary_assembly:ASM411807v1:4:182334:187984:-1 gene:Vigun04g001800.v1.2 transcript:Vigun04g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWVRQQFGKGGRDSSVSLLVLFLRSYATRRSKPTPPEIPFQPKLANAVNLIGQLLTPLQFHQSPEGNAWATAVITRQESPSSPLLSIPLLFEGDLAHTAKCHLKLNDFIHIAGNLTTDPHGLHPQHHHHQTNIQVMVQTLNFVQGYPQLNTTTASSTTKILPFSQSEEHDINPSRKDIRAKQSEELDKDNSWKDLLDNPVEWWDLRSTEENPKGAAFERKTNGELLFISSSTPKWVQEKLELVTIDLKPEPKHSISSAKKNPDSSMSSWIDLVDNPKQWWDFRDSKQNGLYRYIQSTLISSARMAVFPSGLATVQHLF >Vigun04g001800.1.v1.2 pep primary_assembly:ASM411807v1:4:182334:187984:-1 gene:Vigun04g001800.v1.2 transcript:Vigun04g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWVRQQFGKGGRDSSVSLLVLFLRSYATRRSKPTPPEIPFQPKLANAVNLIGQLLTPLQFHQSPEGNAWATAVITRQESPSSPLLSIPLLFEGDLAHTAKCHLKLNDFIHIAGNLTTDPHGLHPQHHHHQTNIQVMVQTLNFVQGYPQLNTTTASSTTKILPFSQSEEHDINPSRKDIRAKQSEELDKDNSWKDLLDNPVEWWDLRSTEENPKGAAFERKTNGELLFISSSTPKWVQEKLELVTIDLKPEPKHSISSAKKNPDSSMSSWIDLVDNPKQWWDFRDSKQNGLVHPKHPDFKRKDGSVSLWLSNCTTFVLSKLKGLEFEVPVVKSKKAKDSKGGDVSWNDLVQNPAKWWDNRVDKRNEKAPDFKHKETGEGLWLGSSPSWVLDKLPPVKPKKGVETDRKSTLVS >Vigun07g127300.2.v1.2 pep primary_assembly:ASM411807v1:7:23434565:23435764:-1 gene:Vigun07g127300.v1.2 transcript:Vigun07g127300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEALYDGKSDRNSRKSTRVIAGLIHTFHLLALNKNAYRQQCVHDESKISGYRPILETMFLIGRSSFQK >Vigun07g127300.1.v1.2 pep primary_assembly:ASM411807v1:7:23435061:23435764:-1 gene:Vigun07g127300.v1.2 transcript:Vigun07g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEALYDGKSDRNSRKSTRVIAGLIHTFHLLALNKNAYRQQCVHDESKISGYRPILETMFLIGRSSFQKCNVVPLNFVLLYL >Vigun05g128100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14665509:14667857:1 gene:Vigun05g128100.v1.2 transcript:Vigun05g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSITLILLPLMYLQRSSSSSSSLRMGSSLSVENPQHILMSPNAMFSAGFLAIGENAYSFAIWFTEPQFHSPSSVTWMANRDQPVNGKSSKFSLTNDGNMFLIDAALKSVWSSNTASSAPVELHLKDNGNLVLHQLQGTVLWQSFDFPTDTLVPGQPLTRYTQLVSSRSESNHSSGFYKFFFDDDNVLGLQYDGPDVSSSYWPQPWLISWDAGRTNFNSSRIGVLNSLGLFSSTDNFSFVTSDYATVLQRRLKVECDGNVRVYSRNNVLQNWYVSWQAISSGCVPHGICGPNSFCVYVHGSGRKCLCLPGHRLKNHSDWSQGCEPLFQLTCHRNESMFLEIPNVEFYGYDNHYVEISNYSACESLCLQDCSCKGFQHSYSDDKRFYRCYTKTQFLNGRRSPVFQGTTYLRLPRSNSFSHKEHDRESLNDHVCSVQLQRAYIKTQANHYVKIFLWFSTALGAFEVICIVLIWCLVRTRQKSNREGYHLAGTGFRKYSYSELKKATKGFSEEIGRGGGGVVYKGILSDERHAAIKRLNEAKQGEGEFLAEVSIIGRLNHMNLIEMWGYCAEGKHRLLVYEYMENGSLAENLSSNTLDWSKRYNIALGTARVLAYLHEQCLEWILHCDIKPQNILLDANYEAKVADFGLSKLLKRDDNLSNSSISMIRGTRGYMAPEWVYKLPITSKVDVYSYGIVVLEMVTGKSTTGRIDNGEERYDGRVVEWVREKRGNSSNSYWVEEIIAPDIGADYDHRKMEILITVALNCVMENRDSRPTMSQVVEMLQ >Vigun03g159200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17501074:17502048:-1 gene:Vigun03g159200.v1.2 transcript:Vigun03g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIPMDLIEKEKARKTTFQKRKNGLIKKVYEFSTLCSVDVGVIIFASKFLDEPEIWPQEPREFKRVIQKYLNTTSDRRSKVYDVEEYFSERMKRIEGEISKVQKGKIQLLYPTWDDSYNVLKEEQLRMFVSILDAKLDVCNQRMNMFKRDSKGKAIAESENDETLVPYLTPTLGFMHNMSQTQVFSTNDNNQVPFYPCHLSQSSLPLFQLGQNFTQLMEKSAAVDWGNQVGASDHKMGTQKEDGLDKNQNFSSCYYNADITMQPYNINNVAFQTLPSQLQCDATFQSLSNRPSPSQRFEPNGYDDSNMLQPHFLNYMHRRK >Vigun03g132300.1.v1.2 pep primary_assembly:ASM411807v1:3:12903381:12908110:1 gene:Vigun03g132300.v1.2 transcript:Vigun03g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMLENVKDDGGLEELEMLLNEIPQATSNNLYHGKSYDVHDGDDHHNHGFFVSNGMCDDDPFSQIQYPCVSSPASGFSLQSDGSSSSLFSSGHALSDTGSPTPPPLEDLKSAMPSGGSSSYPNNRFITPDSTVFNNANGGLVDEFGLCANLSRMHISNQQERPCDFKEHSMAMNRPLFPECSFTGNGPSNVHTNGAHCSFRRGSLDCVGVQAPVPWSPVNHDGEMNLALSGFTRDYRMPNLFGSRQCSERTETMLSQLNDFSGSLKSPCHQTRQLMNNYYSGGSRSQPPEYTPLSRNPVVDALLYVQNNGMHLTEETGMQRWPTSPRCVNLRPYLGVQDLLQYSRSVPLSNARIPQGNIDAIASEGSFIIQGEGVNYVSGRGSDSSMYHSKSALRGTGFDKHCKRSELGMPYQVVGNFDNPRGARIGCSLPLVPKYNSLAEAQGYIYLMAKDQHGCRFLQKMFDEGTPDDVQVIFNEIIDHVVELMVNPFGNYLMQKLLDVCNEEQRMQILLILTEEPGQLVRISLNTHGTRVVQKLIESLKTRQQISLAVSALEPGFLALIKDLNGNHVVQRCLLCLSNEDNKFIFVAAAKYCVDIATHQHGCCVLQRCIGHSNGEYREKLIEEICANALLLAQDQYGNYVVQFILDLKIPSATAYIRSQFEGNYVHLSRQKFGSHVVEKCLAVFIDEIRSRVILELLSTPHFEHLLQDPHANYVVQSALRHSEGRVHNVLVEAIESHKAISRNSPYSKKIFSQKFLKK >Vigun03g132300.2.v1.2 pep primary_assembly:ASM411807v1:3:12903380:12908111:1 gene:Vigun03g132300.v1.2 transcript:Vigun03g132300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMLENVKDDGGLEELEMLLNEIPQATSNNLYHGKSYDVHDGDDHHNHGFFVSNGMCDDDPFSQIQYPCVSSPASGFSLQSDGSSSSLFSSGHALSDTGSPTPPPLEDLKSAMPSGGSSSYPNNRFITPDSTVFNNANGGLVDEFGLCANLSRMHISNQQERPCDFKEHSMAMNRPLFPECSFTGNGPSNVHTNGAHCSFRRGSLDCVGVQAPVPWSPVNHDGEMNLALSGFTRDYRMPNLFGSRQCSERTETMLSQLNDFSGSLKSPCHQTRQLMNNYYSGGSRSQPPEYTPLSRNPVVDALLYVQNNGMHLTEETGMQRWPTSPRCVNLRPYLGVQDLLQYSRSVPLSNARIPQGNIDAIASEGSFIIQGEGVNYVSGRGSDSSMYHSKSALRGTGFDKHCKRSELGMPYQVVGNFDNPRGARIGCSLPLVPKYNSLAEAQGYIYLMAKDQHGCRFLQKMFDEGTPDDVQVIFNEIIDHVVELMVNPFGNYLMQKLLDVCNEEQRMQILLILTEEPGQLVRISLNTHGTRVVQKLIESLKTRQQISLAVSALEPGFLALIKDLNGNHVVQRCLLCLSNEDNKFIFVAAAKYCVDIATHQHGCCVLQRCIGHSNGEYREKLIEEICANALLLAQDQYG >Vigun04g096900.2.v1.2 pep primary_assembly:ASM411807v1:4:20687656:20689032:1 gene:Vigun04g096900.v1.2 transcript:Vigun04g096900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISSPAVTTVNRAGAGAGMVAPFTGLKSLGGFPTRKTNNDITSVANNGGRVQCMQVWPTTGKKKFETLSYLPDLTEEQLLKEIDYLLRNGWIPCLEFTLQDPFPYREQNRYWTMWKLPMFGCTDATQVLQEVVEARTAHPNGFVRIIGFDNVRQVQCISFIAYKAPGF >Vigun04g096900.1.v1.2 pep primary_assembly:ASM411807v1:4:20687656:20689032:1 gene:Vigun04g096900.v1.2 transcript:Vigun04g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISSPAVTTVNRAGAGAGMVAPFTGLKSLGGFPTRKTNNDITSVANNGGRVQCMQVWPTTGKKKFETLSYLPDLTEEQLLKEIDYLLRNGWIPCLEFTLQDPFPYREQNRSPGYYDGRYWTMWKLPMFGCTDATQVLQEVVEARTAHPNGFVRIIGFDNVRQVQCISFIAYKAPGF >Vigun04g096900.3.v1.2 pep primary_assembly:ASM411807v1:4:20687656:20689032:1 gene:Vigun04g096900.v1.2 transcript:Vigun04g096900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISSPAVTTVNRAGAGAGMVAPFTGLKSLGGFPTRKTNNDITSVANNGGRVQCMQVWPTTGKKKFETLSYLPDLTEEQLLKEIDYLLRNGWIPCLEFTLQDPFPYREQNRSPGYYDGRYWTMWKLPMFGCTDATQVLQEVVEARTAHPNGFVRIICNPPPLCFFVCI >Vigun09g032200.1.v1.2 pep primary_assembly:ASM411807v1:9:2752158:2752664:1 gene:Vigun09g032200.v1.2 transcript:Vigun09g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDHAFSISDEDIMMENSYTVNNKPPVKEIALAVSLLVCGLLGIIIGSLMAYNHVGGDTAHGVFFAILGVILFIPGSYYTRIAYYAYKGYKGFSFSNIPPV >Vigun08g033950.1.v1.2 pep primary_assembly:ASM411807v1:8:3162470:3163083:-1 gene:Vigun08g033950.v1.2 transcript:Vigun08g033950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSYFRSFEKEAQRNYKRLPKEYEEDVKRVMGDVEESKRYFKRTRKEYMGDVEESNSYFNRMRKEYMEDVKRAFRTKMMQ >Vigun11g195900.1.v1.2 pep primary_assembly:ASM411807v1:11:39545500:39550723:1 gene:Vigun11g195900.v1.2 transcript:Vigun11g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLISNILLLLLLSFTKQALSVSKLSVTDHNHNYLSREILAEQEADRVYGLPGQPPVKFKQYAGYITVNETHGRALFYWFFEATHKPEEKPVLLWLNGGPGCSSIGYGEAEELGPFFPQDSSQPKLKLNPYSWNNAVNLLFLESPVGVGFSYTNTTSDIDQLGDSITAKDSHIFIINWFRRFPQFRSHKFYIAGESYAGHYVPQLSELIFDNNLNRAEKDYINFKGFLIGNALLDDETDQKGMIDYAWDHAVISDALYHNITAICNFNRPIQNQTTECNTELNKYFDVYKIIDMYSLYTPRCFSNLSSTSQTSSKINRWQRKRAGYDPCASDYTEAYLNIPEVQKALHANVTKIPYPWTHCSDNITFWNDSPQSILPVLKKLVAGGIRIWVYSGDTDGRIPVTATRYTLRKLGLGIVEDWSPWYTSRQVGGWSIVYDGLTFVTIRGAGHQVPTFTPKQALQLLRHFIDNKKLPSQPI >Vigun08g116100.2.v1.2 pep primary_assembly:ASM411807v1:8:28284742:28287447:-1 gene:Vigun08g116100.v1.2 transcript:Vigun08g116100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFKDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRTLRELLFTAPGALQYLSGVILFEETLYQSTASGKPFVELLKEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLGQRCAKYYEAGARFAKWRAVLKIGPNEPSELSIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDINKCAAVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSKSAKVTPEVVAEHTVRALQRTVPAAVPAVVFLSGGQSEEEATLNLNAINQVKGKKPWTLSFSFGRALQQSTLKAWGGKDENVKKAQEALLVRAKANSEATLGTYKGDSALGEGASESLHVENYKY >Vigun08g116100.1.v1.2 pep primary_assembly:ASM411807v1:8:28284742:28287447:-1 gene:Vigun08g116100.v1.2 transcript:Vigun08g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFKGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRTLRELLFTAPGALQYLSGVILFEETLYQSTASGKPFVELLKEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLGQRCAKYYEAGARFAKWRAVLKIGPNEPSELSIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDINKCAAVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSKSAKVTPEVVAEHTVRALQRTVPAAVPAVVFLSGGQSEEEATLNLNAINQVKGKKPWTLSFSFGRALQQSTLKAWGGKDENVKKAQEALLVRAKANSEATLGTYKGDSALGEGASESLHVENYKY >VigunL011701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:29640:30068:-1 gene:VigunL011701.v1.2 transcript:VigunL011701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun07g275150.1.v1.2 pep primary_assembly:ASM411807v1:7:39061551:39063187:1 gene:Vigun07g275150.v1.2 transcript:Vigun07g275150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIDTIVKEEVKEKVKEEVKEEVKEELKEEQAVRIKTEEDEDHFHSQTIKRKRRENEECDPNSTLHLNEVLNVVANLVENEYGWPRDVVSENFSKLECQRFSEILADQHQINLNELEIRELLIQVSKRFRDYCCILKRGIKGLDRNLDDGSVQMRNSDNILHRDVLNSLRCKYGGVMDNFMLLRKIFPHIWNHYKNPPPTRRNRIKVNSK >Vigun03g431300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63562265:63563545:1 gene:Vigun03g431300.v1.2 transcript:Vigun03g431300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSLRKSTEEGKGLGSVLEKEQLCPVLLSSLYIESYAACRTMKESKMKRTNRRGVRRRRTTVLPWALIIQILLRLPVKSLVRFKSVCRSWFSLISDPNFAISHFELAAASTERLLLLESIVPEARSIDFNAPLHDDSASATLNLNSLQPEFYWDQILGSCRGFVLLDCGQSLCLWNPSTGIHKQVCHSPIAWNMDVMFCTFLYGFGYDPSTDDYLVVQASYDVNSEAVTTRVEFFSVRANAWKEIEGIHLSYMNCCDDPRTGSLLNGAIHWLAYSNDASRNVIVVFDLTERSFSEILLPEDLACQFDFCELRVLGDFLCVHVMGYHECPLQIWVMKEYKIHSSWTRSVDVPVDEIPTKCFSLICATKNGDIVGRTDGDSGLVKCNNEGEFLEHRSYYDGRRGSQMIVYTESLLSLPYDSDQAEED >Vigun03g305000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49482410:49483198:1 gene:Vigun03g305000.v1.2 transcript:Vigun03g305000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRSSTLALFFTINLLFFAMASGCFTCTQPKPNPFPYPNPNPTPAAKSCPRDALKLGVCANVLNGPIGAVVGSPPDHPCCSVLEGLLDLEVAVCLCTAIKANILGINLNIPISLSLILNACEKSPPSDFQCS >Vigun01g246600.2.v1.2 pep primary_assembly:ASM411807v1:1:41468932:41473421:1 gene:Vigun01g246600.v1.2 transcript:Vigun01g246600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTPIGMRSSTLLETSCGFLLQELQIIWDEVGEDKFEREKVLLDLEQECLEVYRRKVDRANISRARLHQEVAEAEAEFTHLLLSLGERSLPGRPEKRAGSLKEQLDSITPALQEMRLRKEERLNQFRGVQGQIQKISAEIAGNSDNEPSTIVVNENDLSLKRLEEYQNELQRLYNEKNERLQQVEKYIDMIHSLSTILGKDSSAIIMEVHPSLNDLCGITKNISDTILDKLNITVESLFEEKKNRLDKLHHLGKALSNLWNLMDTPYSERHPFSHVIHLLSLSSAEVTDPGSLTLEIVQQTEAEVKRLDQLKASKMKELFQKKQEELELICKKSHVEIPSREEMNNIIKLINSGEIDHSDLLLSMDEQISRAKEEASSRKAIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARIMVSRIPALVDMLIKMTRSWEEERNKVFLYDQVPLMAILEEYNVLRREKEEDMKRQQVLGKKRGSKAK >Vigun01g246600.1.v1.2 pep primary_assembly:ASM411807v1:1:41468932:41473421:1 gene:Vigun01g246600.v1.2 transcript:Vigun01g246600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTPIGMRSSTLLETSCGFLLQELQIIWDEVGEDKFEREKVLLDLEQECLEVYRRKVDRANISRARLHQEVAEAEAEFTHLLLSLGERSLPGRPEKRAGSLKEQLDSITPALQEMRLRKEERLNQFRGVQGQIQKISAEIAGNSDNEPSTIVVNENDLSLKRLEEYQNELQRLYNEKNERLQQVEKYIDMIHSLSTILGKDSSAIIMEVHPSLNDLCGITKNISDTILDKLNITVESLFEEKKNRLDKLHHLGKALSNLWNLMDTPYSERHPFSHVIHLLSLSSAEVTDPGSLTLEIVQQTEAEVKRLDQLKASKMKELFQKKQEELELICKKSHVEIPSREEMNNIIKLINSGEIDHSDLLLSMDEQISRAKEEASSRKAIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARIMVSRIPALVDMLIKMTRSWEEERNKVFLYDQVPLMAILEEYNVLRREKEEDMKRQQPWEKKRIQSQVVERENTYTSRPSTSSRRLPSRSLNGALDTSVIINRRLSMGIHQLPPNSINSGNQGVSFIKDGSNALRKKIFGDPAFTSHMR >Vigun01g246600.3.v1.2 pep primary_assembly:ASM411807v1:1:41468932:41473421:1 gene:Vigun01g246600.v1.2 transcript:Vigun01g246600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKEERLNQFRGVQGQIQKISAEIAGNSDNEPSTIVVNENDLSLKRLEEYQNELQRLYNEKNERLQQVEKYIDMIHSLSTILGKDSSAIIMEVHPSLNDLCGITKNISDTILDKLNITVESLFEEKKNRLDKLHHLGKALSNLWNLMDTPYSERHPFSHVIHLLSLSSAEVTDPGSLTLEIVQQTEAEVKRLDQLKASKMKELFQKKQEELELICKKSHVEIPSREEMNNIIKLINSGEIDHSDLLLSMDEQISRAKEEASSRKAIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARIMVSRIPALVDMLIKMTRSWEEERNKVFLYDQVPLMAILEEYNVLRREKEEDMKRQQPWEKKRIQSQVVERENTYTSRPSTSSRRLPSRSLNGALDTSVIINRRLSMGIHQLPPNSINSGNQGVSFIKDGSNALRKKIFGDPAFTSHMR >Vigun06g076900.2.v1.2 pep primary_assembly:ASM411807v1:6:20775233:20781262:-1 gene:Vigun06g076900.v1.2 transcript:Vigun06g076900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARALGFDPGDRAEFCNQVKLFKGDPFRSHSVPERRVLVSLERILSNWSVDPVTVTPNWDFEIAEDAAAPVFPFKSFLCPLTKEVMRDPVVVLESSQAYERAAIEYWFERCIQDGRDPTCPVTGTVLKSLELKPNIGLAGAIEEWVGRVIDYQIKSSVQYLSEESLSVDHVELALDHVYKVSEEHPSSRYIIRNAGVVQLIVTLLSNNSKTIGSRLRTKALTTLLSMAKDEESRKIMLERGITRLAVHSLIGSSTKEREYATKLLLEFCNDEDCCARIASEKGALVLLSSIAGNMEYPSLSKLAEEVLRLMERVEDNVQCLAAAGRFGPLISRLHDGSVGVKIDMASLVGRMTLTNSCKEQIARQGARVFVELLSNQEGRGPSLQALYNLSGLDGNASILIESSVLPSLIEVLFDKEDPSHELKSLAASTIANIVSKPGHWELASADKDGNPMHSEIIVLRLLGLLNCLPSQCQVIVLRILCGITLSPQASELVATHITSKGGFGTVIPFLEHPEVEHRVFAFKLTRLLSEWYSQYIGNELRLSNKLTILKEKLLNNHSTSDERSDAAQILANISLSEGEIRTLLGGNFVEWTAVTLKNQLRVSNARSSQTAAGMQEGLIGLLLHFTRNLDQETLNIIRENHLMAIFCEQLDYSSKPKVKRLAAIGMKNLSEFGRSDTAKDSKLPSSSGFCSSLVLVCGRASSQPSTCPIHNRPCHEDSQLCLLKSNCIKPLVSILNDSDTDVQLAAVDALSTLVLLDHTSRSFKRVVDELEHLGAVDALTTLFTEVRSEELREKTIWMIEKILRVENISNRYALNHSLVRALVEAFKHGNTNTRKHAQDALTLLKQLSGVSGKTSSQTRVRR >Vigun06g076900.3.v1.2 pep primary_assembly:ASM411807v1:6:20777432:20782412:-1 gene:Vigun06g076900.v1.2 transcript:Vigun06g076900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHSPPSPPTLQSIRRSLSDISSPPSDHRPFDTPRRFAAFANRLSQLLLPPLPQSPPVHTALKGLATELSKAAETLSVYNNGSKILVLVGCKSLCSSLQERAVAIAAWLALLASALPSGDGDDDLRKKVSDLARDMKLAQFRVSENEERVWCTLEKEGDGRDSSKAVQSGIVMDLARALGFDPGDRAEFCNQVKLFKGDPFRSHSVPERRVLVSLERILSNWSVDPVTVTPNWDFEIAEDAAAPVFPFKSFLCPLTKEVMRDPVVVLESSQAYERAAIEYWFERCIQDGRDPTCPVTGTVLKSLELKPNIGLAGAIEEWVGRVIDYQIKSSVQYLSEESLSVDHVELALDHVYKVSEEHPSSRYIIRNAGVVQLIVTLLSNNSKTIGSRLRTKALTTLLSMAKDEESRKIMLERGITRLAVHSLIGSSTKEREYATKLLLEFCNDEDCCARIASEKGALVLLSSIAGNMEYPSLSKLAEEVLRLMERVEDNVQCLAAAGRFGPLISRLHDGSVGVKIDMASLVGRMTLTNSCKEQIARQGARVFVELLSNQEGRGPSLQALYNLSGLDGNASILIESSVLPSLIEVLFDKEDPSHELKSLAASTIANIVSKPGHWELASADKDGNPMHSEIIVLRLLGLLNCLPSQCQVIVLRILCGITLSPQASELRCTKEFNDLAL >Vigun06g076900.1.v1.2 pep primary_assembly:ASM411807v1:6:20775233:20782416:-1 gene:Vigun06g076900.v1.2 transcript:Vigun06g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHSPPSPPTLQSIRRSLSDISSPPSDHRPFDTPRRFAAFANRLSQLLLPPLPQSPPVHTALKGLATELSKAAETLSVYNNGSKILVLVGCKSLCSSLQERAVAIAAWLALLASALPSGDGDDDLRKKVSDLARDMKLAQFRVSENEERVWCTLEKEGDGRDSSKAVQSGIVMDLARALGFDPGDRAEFCNQVKLFKGDPFRSHSVPERRVLVSLERILSNWSVDPVTVTPNWDFEIAEDAAAPVFPFKSFLCPLTKEVMRDPVVVLESSQAYERAAIEYWFERCIQDGRDPTCPVTGTVLKSLELKPNIGLAGAIEEWVGRVIDYQIKSSVQYLSEESLSVDHVELALDHVYKVSEEHPSSRYIIRNAGVVQLIVTLLSNNSKTIGSRLRTKALTTLLSMAKDEESRKIMLERGITRLAVHSLIGSSTKEREYATKLLLEFCNDEDCCARIASEKGALVLLSSIAGNMEYPSLSKLAEEVLRLMERVEDNVQCLAAAGRFGPLISRLHDGSVGVKIDMASLVGRMTLTNSCKEQIARQGARVFVELLSNQEGRGPSLQALYNLSGLDGNASILIESSVLPSLIEVLFDKEDPSHELKSLAASTIANIVSKPGHWELASADKDGNPMHSEIIVLRLLGLLNCLPSQCQVIVLRILCGITLSPQASELVATHITSKGGFGTVIPFLEHPEVEHRVFAFKLTRLLSEWYSQYIGNELRLSNKLTILKEKLLNNHSTSDERSDAAQILANISLSEGEIRTLLGGNFVEWTAVTLKNQLRVSNARSSQTAAGMQEGLIGLLLHFTRNLDQETLNIIRENHLMAIFCEQLDYSSKPKVKRLAAIGMKNLSEFGRSDTAKDSKLPSSSGFCSSLVLVCGRASSQPSTCPIHNRPCHEDSQLCLLKSNCIKPLVSILNDSDTDVQLAAVDALSTLVLLDHTSRSFKRVVDELEHLGAVDALTTLFTEVRSEELREKTIWMIEKILRVENISNRYALNHSLVRALVEAFKHGNTNTRKHAQDALTLLKQLSGVSGKTSSQTRVRR >Vigun08g166000.1.v1.2 pep primary_assembly:ASM411807v1:8:33807246:33809542:1 gene:Vigun08g166000.v1.2 transcript:Vigun08g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRFLDVFSWIQNTPPITEWKTGSMSMSICSTNSSQPSLNLVIAKHHHQSSSLSLAIVADFSIPISLWTSKPFKPNTKTMRLTDGETISNLLVNFIQDVLNYGSNKKNVSYIKFPKLDYISNIQDIFNLAFLTLLFLVCIYEAPSDLRSSCLSSLKEQLAGCRSRQASKLLMKLLGSNLEEQWMRSVNLAITNWIVELQEAHHNGHITLRTPSPLFSYAFSTAGLWKVQLYCPVINMDVVNANNHPPDERLQFSLKYQQLEGVFQFNYKVIIKDKWVEIMVNIDNIRCDVLKLVDDSLMRERGAGAAEKHFPSRISLQLTPTLQHQVLSVSVGKSSENPVIEFGVEKGIEASFDPPNPYMGLSVSAGESTTVSLKPWKFEESVYGYSANLNWFLHDSTDGKEVFSSKPSKVAMFNPKAWFRDRYSSAYRPFTRQGGVIFARDEYGESVCWKVDKGVVGKTMEWEVRGWIWLTYWPNKHKTFYHETKRFEFRETLYLNI >Vigun07g145500.2.v1.2 pep primary_assembly:ASM411807v1:7:25625887:25633068:1 gene:Vigun07g145500.v1.2 transcript:Vigun07g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARSTNGEDNAAGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSQSLNESDVYLESKSDDFDVPLSAISLSQKKLSKNQFRYTPERDGRRGSYARHAHDEVDMDADADADVDVDVDADADADADADVDADVDADADVDVDGDMDADADADADVALYEEDNWVSYDSPPDSSRKRSRRSLDANATTEYSDGTSGSSEDTGGQTCHQCRRNDRDRVTWCLKCDRRGYCDSCISAWYSDISLDDIQRICPACRGICNCKTCLRSDNSIKVRIREIPVLDKLQYLHVLLSSVLPVVKQIHREQCFEVELEKKLRGAEIDLPRIKFNTDEQMCCNFCRIPITDYHRRCPNCSYDLCLNCCRDLREATADHNKEPQTELAKTCDQNILSKFPHWTSNDNGSIPCPPKEYGGCGHSSLNLSRIFKMNWVAKLVKNVEEMVSGCRISDADGPPETGLNGLRLCQCSHREASDDNYLYCPASDDIKNDGIGKFRKHWKTGEPIIVKKVFDGSSISSWDPMVIWRGVQETTDEKAKDENRMVKAIDCLDGSEIDIELAQFMKGYFEGRVHENGWPQLLKLKDWPSPSASEEFLLYQRPEFISKLPLLQYIHSKWGLLNVAAKLPHYSLQNDVGPKIYIAYGISNELGRGDSVTNLHFNIRDMVYLLVHTNEVKLKDWQRTKIEIIQKAKADEESEAKESHGDPQIFSRGSSLDSSLGTKSSGLDMDSNQNKSIMDQEFEIYSSAEGNMVNCKLPSTQNGDVSEKTHPGVLWDVFRRQDVPILTKYLKIHWKELGKSDDVGNEFVAWPLYGGTIFLDKHHKRKLKEEFGVEPWSFEQNLGEAIFVPAGCPFQARNVQSNVQLGLDFLSPESLGDAVRLTEEIRCLPNEHESKVQVLEVGKISLYAASSAIKEVQKLVLDQKLGAEIGYGDPNLTAMVSENYEKMVKRRQITCA >Vigun07g145500.1.v1.2 pep primary_assembly:ASM411807v1:7:25625887:25633068:1 gene:Vigun07g145500.v1.2 transcript:Vigun07g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARSTNGEDNAAGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSQSLNESDVYLESKSDDFDVPLSAISLSQKKLSKNQFRYTPERDGRRGSYARHAHDEVDMDADADADVDVDVDADADADADADVDADVDADADVDVDGDMDADADADADVALYEEDNWVSYDSPPDSSRKRSRRSLDANATTQEYSDGTSGSSEDTGGQTCHQCRRNDRDRVTWCLKCDRRGYCDSCISAWYSDISLDDIQRICPACRGICNCKTCLRSDNSIKVRIREIPVLDKLQYLHVLLSSVLPVVKQIHREQCFEVELEKKLRGAEIDLPRIKFNTDEQMCCNFCRIPITDYHRRCPNCSYDLCLNCCRDLREATADHNKEPQTELAKTCDQNILSKFPHWTSNDNGSIPCPPKEYGGCGHSSLNLSRIFKMNWVAKLVKNVEEMVSGCRISDADGPPETGLNGLRLCQCSHREASDDNYLYCPASDDIKNDGIGKFRKHWKTGEPIIVKKVFDGSSISSWDPMVIWRGVQETTDEKAKDENRMVKAIDCLDGSEIDIELAQFMKGYFEGRVHENGWPQLLKLKDWPSPSASEEFLLYQRPEFISKLPLLQYIHSKWGLLNVAAKLPHYSLQNDVGPKIYIAYGISNELGRGDSVTNLHFNIRDMVYLLVHTNEVKLKDWQRTKIEIIQKAKADEESEAKESHGDPQIFSRGSSLDSSLGTKSSGLDMDSNQNKSIMDQEFEIYSSAEGNMVNCKLPSTQNGDVSEKTHPGVLWDVFRRQDVPILTKYLKIHWKELGKSDDVGNEFVAWPLYGGTIFLDKHHKRKLKEEFGVEPWSFEQNLGEAIFVPAGCPFQARNVQSNVQLGLDFLSPESLGDAVRLTEEIRCLPNEHESKVQVLEVGKISLYAASSAIKEVQKLVLDQKLGAEIGYGDPNLTAMVSENYEKMVKRRQITCA >Vigun01g112600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28671862:28675201:1 gene:Vigun01g112600.v1.2 transcript:Vigun01g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLIAKLASRAFEEASRVVGLYDDLQDLTNTLSLVKAVLLDAQQKQEHNHQLRQWLTQLKTVFSDAEDLLDEFECQTLRKKVVKAHGSTKDKVSHFFSSSNPLVFRYQMAQRIKDISNRLDKVATNRNKFTLERIEVDTRVVHRRDMTHSRVSDSDVIGRKHDKEKIIELLMQQNPNDDDISLSVIPIVGIGGLGKTTLAKFVFNDSRIQECFPLKMWVCVSDDFDIKQLIIKIINSANDSISDDAPSHQPNWNTLDLEQLQNQLKNKLSGQKFLLVLDDVWNEDRVKWVELRNLIQVSAAGSKIIVTTRSNSIASMMGTIPPHILGGLSEGDSLSLLVKWAFKEGEEEKHPHLVDIIGREIVRKCGGVPLAVRTLGSLLFSKFEASEWEYVRDNEVWNLPQKKDDILPALKLSYDLMPSYLRQCFALFSLYPKDHLFISDEITCLWGALGLIALPKTNRTREDVGNQYLHELLSRSFLQDFQNYGTMYGFRIHDLVHDLALFVAKDECLHMNSNVQNISDNVRHLSFAESSLFSNLVTKKSAAVRTILFPNGVAAANSEAILKTCLEKFKCLRVLVLSGATFETLPRNIAKLRHLRYLDISENPNIKRLPDSICKLQSLQVLLVNGCMELEVLPNGLRKLISLQDFSFSTKQTVFPVNEIAKLRSLEFLIVESCHNVESIFGGVKFPALKTLCVSDCQSLKSLWLDGQNFPKLETLFVDNCINLDLELWNGHDEEESAKLKLKLVGFYGLSQLVALPRWLQEVANSLQSLLVLNCPNIETLPDWLPTLTNLKALTIRNCPKLVSLPDSIRHLSTLENLRIEDCADLSEKYELHVGEFWPNISHIKNVFIDEPEA >Vigun09g111750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24323650:24324502:1 gene:Vigun09g111750.v1.2 transcript:Vigun09g111750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFETWVRFLKWIIICILKTKKQVSHNVVKEAVKIGVSMYCRPLLNILWAKSTLEANSLASTFFYVNFFFIHFHVDKRKKELFNPYRLGVAICGGRLGY >Vigun10g053000.1.v1.2 pep primary_assembly:ASM411807v1:10:8761966:8764462:-1 gene:Vigun10g053000.v1.2 transcript:Vigun10g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLERSSFLSHTLHMYGTDLTQMAKEGKLDPVIGRSKEIERVQQILCKRRKNNPCLLGDPGVGKTVIAEGLAQAIVNKAVPLKLQGNTVFSLEMGRLVAGTTYRGDFEERMVSLINEVKRSDGKIILFIDELHTLIGAGSSSRALDAANILKPALARGEIKCLGATTVYEYRKYIKKDPALQRRFQTVDVPEPTVEEAIEILKGLMLKYESFHGVKYEHDALVAASALSKQYISDGFLPDKAIDVIDEAGAKAQLTQIEDSCKKITATEIHHIISTKTGIPIETVSQVEAEKLLKLEEALQKKVVGQHEAVEVISQAIRRARAGMRDPEKPIACFLFTGPTGVGKTELVKALAVEYFGSMEAMVRIDMSEFMEKHTVSKLIGSPPGYIGHDDGGQLTEAVRRKPHSLILFDEIEKAHRDVFNVFLQIMDDARLTDCKGQVVDFKNTVIIMTSNVGFGNQGNGNLAEELRKNFRDEFLNRLDEIVVFKSLSESELNEIVNIMLCDVCKRVEAKKMKLNMSDRFKRKLVEEGHNSRYGARPLKRAITRLLEDTLSDKILEGFIGEGSHVYVDLDDDGEVVIASS >Vigun09g182900.2.v1.2 pep primary_assembly:ASM411807v1:9:35657037:35666003:1 gene:Vigun09g182900.v1.2 transcript:Vigun09g182900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRRDLQVFDFNEEDDATAPDKELHHQSLSNHDPHDSQVSKVGIKNVASIPCVGVAGAIFNLEKGVSDPSPDTLEDMYDSEEKKSVLEADKQSNLISDENDHLKDVDNHDKLEKMDICGEVSSPETSHIEPSGTSYSNESIDVNSEADESAPTSPASDIPENVSLNGFGLNGTDNSDMDDTNTEVVLHPDYVIYQDNYYLGPTLTFSPFCVKINVSTACIKQEAFDLEWALDDLIDINCQLFQSSGTVIIKLRVISSNANQSNHVTNASGIEELKFAVVDYNWSLRHRQITSLNTKYLASWNIELHADVEGNETDSHASRCYFPNFEENFDDVIYPKGDPDAVSLSKRDVDLLQPDTFINDTIIDFYIQYLKNQIPEEEKPRFHFFNSFFFRKLADMDKNPSSASDGKAAFLRVRKWTRKVNLFAKDYIFIPVNFNLHWSLIVICHPGEVVNFNDKEMHNSLKVPSILHMDSIKGSHSGLKNLVQSYLWEEWKERHKDTLEEDLSSRFFNMRFLPLALPQQENSYDCGLFLLHYLELFLTEAPLNFNPIKLTKFSNFLNVDWFLPAEAYLKRTLIQKLIFELVENLGSHEISSSDCSADNECLENNDNRTGIDHAEVNKESTTSHVGQGIEITLLSGATAGAMLGQCQSFDQRFNGSIYSMEEDTDLGEQFMYLPTDPNFQQVAGIIPQTCSLPYLPRECGDDTCHRPQISLQANHDVVESSLHSSNGALEDSEDVRITENCPSVNEPRSSNEAEQGEKTFSDMVNAEHVRDISTTVVGNSGDSIMTCDDNNGDLHSTGQETLTIPLQQVSHVPDYDETPTITLQQVSQAAVDEDTPAIPLQQISDAVDDGETIDGVAPDMCEEQAPKRRRLMPVECNGEGTVTNSDL >Vigun09g182900.3.v1.2 pep primary_assembly:ASM411807v1:9:35657037:35666003:1 gene:Vigun09g182900.v1.2 transcript:Vigun09g182900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMPLPQTKNSITNPCPTTILTVSKVGIKNVASIPCVGVAGAIFNLEKGVSDPSPDTLEDMYDSEEKKSVLEADKQSNLISDENDHLKDVDNHDKLEKMDICGEVSSPETSHIEPSGTSYSNESIDVNSEADESAPTSPASDIPENVSLNGFGLNGTDNSDMDDTNTEVVLHPDYVIYQDNYYLGPTLTFSPFCVKINVSTACIKQEAFDLEWALDDLIDINCQLFQSSGTVIIKLRVISSNANQSNHVTNASGIEELKFAVVDYNWSLRHRQITSLNTKYLASWNIELHADVEGNETDSHASRCYFPNFEENFDDVIYPKGDPDAVSLSKRDVDLLQPDTFINDTIIDFYIQYLKNQIPEEEKPRFHFFNSFFFRKLADMDKNPSSASDGKAAFLRVRKWTRKVNLFAKDYIFIPVNFNLHWSLIVICHPGEVVNFNDKEMHNSLKVPSILHMDSIKGSHSGLKNLVQSYLWEEWKERHKDTLEEDLSSRFFNMRFLPLALPQQENSYDCGLFLLHYLELFLTEAPLNFNPIKLTKFSNFLNVDWFLPAEAYLKRTLIQKLIFELVENLGSHEISSSDCSADNECLENNDNRTGIDHAEVNKESTTSHVGQGIEITLLSGSSSLDHQSFNSSGLVLKELFEPGATAGAMLGQCQSFDQRFNGSIYSMEEDTDLGEQFMYLPTDPNFQQVAGIIPQTCSLPYLPRECGDDTCHRPQISLQANHDVVESSLHSSNGALEDSEDVRITENCPSVNEPRSSNEAEQGEKTFSDMVNAEHVRDISTTVVGNSGDSIMTCDDNNGDLHSTGQETLTIPLQQVSHVPDYDETPTITLQQVSQAAVDEDTPAIPLQQISDAVDDGETIDGVAPDMCEEQAPKRRRLMPVECNGEGTVTNSDL >Vigun09g182900.1.v1.2 pep primary_assembly:ASM411807v1:9:35657037:35666003:1 gene:Vigun09g182900.v1.2 transcript:Vigun09g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPRRDLQVFDFNEEDDATAPDKELHHQSLSNHDPHDSQVSKVGIKNVASIPCVGVAGAIFNLEKGVSDPSPDTLEDMYDSEEKKSVLEADKQSNLISDENDHLKDVDNHDKLEKMDICGEVSSPETSHIEPSGTSYSNESIDVNSEADESAPTSPASDIPENVSLNGFGLNGTDNSDMDDTNTEVVLHPDYVIYQDNYYLGPTLTFSPFCVKINVSTACIKQEAFDLEWALDDLIDINCQLFQSSGTVIIKLRVISSNANQSNHVTNASGIEELKFAVVDYNWSLRHRQITSLNTKYLASWNIELHADVEGNETDSHASRCYFPNFEENFDDVIYPKGDPDAVSLSKRDVDLLQPDTFINDTIIDFYIQYLKNQIPEEEKPRFHFFNSFFFRKLADMDKNPSSASDGKAAFLRVRKWTRKVNLFAKDYIFIPVNFNLHWSLIVICHPGEVVNFNDKEMHNSLKVPSILHMDSIKGSHSGLKNLVQSYLWEEWKERHKDTLEEDLSSRFFNMRFLPLALPQQENSYDCGLFLLHYLELFLTEAPLNFNPIKLTKFSNFLNVDWFLPAEAYLKRTLIQKLIFELVENLGSHEISSSDCSADNECLENNDNRTGIDHAEVNKESTTSHVGQGIEITLLSGSSSLDHQSFNSSGLVLKELFEPGATAGAMLGQCQSFDQRFNGSIYSMEEDTDLGEQFMYLPTDPNFQQVAGIIPQTCSLPYLPRECGDDTCHRPQISLQANHDVVESSLHSSNGALEDSEDVRITENCPSVNEPRSSNEAEQGEKTFSDMVNAEHVRDISTTVVGNSGDSIMTCDDNNGDLHSTGQETLTIPLQQVSHVPDYDETPTITLQQVSQAAVDEDTPAIPLQQISDAVDDGETIDGVAPDMCEEQAPKRRRLMPVECNGEGTVTNSDL >VigunL030400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:168850:169912:1 gene:VigunL030400.v1.2 transcript:VigunL030400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFFGWYASRNFSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun03g434300.1.v1.2 pep primary_assembly:ASM411807v1:3:63833972:63838419:1 gene:Vigun03g434300.v1.2 transcript:Vigun03g434300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPMKMNTKQSANSSTTSIAASAAASSQEILVRETLRISANLASAPLLQTPSSLTMICCEEIDGRRWKYVAETDASGHFKKNSFRPLSLQTPQAPLDEVLAFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNRATPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATSVVPHLFLPLACAANVVKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSILIAKRNPSLVTTFSLLSCGYILSSYREVKSVVLHTLNSARFSVAVESFLKTGRVPTLQEGNMNENIFSFPWRDRPVVLGSRVKEAFQDPSAYIAIEPLFDREKYIVTYNPLKHKVYAVLKDQAKSDDILKAAFHAHVLFSLLNSNESKASSLKENGDLNSNMTHTITDIEACMADTCKIVTNSYGLFKNKAKEQGWTMSESLLNPGRARLYPADNR >Vigun08g135200.1.v1.2 pep primary_assembly:ASM411807v1:8:30643677:30647152:-1 gene:Vigun08g135200.v1.2 transcript:Vigun08g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGLFLAAVVAIVVSKVRGKRFKLPPGPLPVPIFGNWLQVGDDLNHRNLTQLAKRFGDIFLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGEGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEAEAAAVVDDVRKNPDAAVSGLVIRRRLQLMMYNNMYRIMFDRRFESEEDPLFQRLRALNGERSRLAQSFEYNYGDFIPILRPFLKGYLKICKEVKETRLKLFKDYFVDERKNIGSSKSTNNEGLKCAIDHILDAEKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQQKVRDEIERVLGPGHQVTEPDIQKLPYLQAVVKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPAHWKKPEEFRPERFFEEESHVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSQIDTSEKGGQFSLHILKHSTIVAKPRSF >Vigun11g007800.3.v1.2 pep primary_assembly:ASM411807v1:11:847827:851940:-1 gene:Vigun11g007800.v1.2 transcript:Vigun11g007800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSVPNHTSTLEDAEALHKAVKGWGTDEKTVIAILGHRNVYQRQQIRKVYEEMYQEDLIKRLESELTGDFERSVYRWMLEPADRDAVLANVAIKDGSKGYHVIVEIACVLSSEELLAARRAYHHRYKRSLEEDVATYTSGDLRQLLVGLVTSYRYGGDEINARLAKTESDILHSSIKEKKGNHEEAIRILTTRSKTQLLATFNRYRDDHGASITKKLLDDASDDFRKALHTAIRCINDHKKYYEKVLRNAMKRVGTDEDALTRVVVSRAEKDLRNIAELYYKRNSVHLEDAVAKEISGDYKKFILTLLGKDV >Vigun11g007800.2.v1.2 pep primary_assembly:ASM411807v1:11:847827:851940:-1 gene:Vigun11g007800.v1.2 transcript:Vigun11g007800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSVPNHTSTLEDAEALHKAVKDAEALNKAFKGWGTDEKTVIAILGHRNVYQRQQIRKVYEEMYQEDLIKRLESELTGDFERSVYRWMLEPADRDAVLANVAIKDGSKGYHVIVEIACVLSSEELLAARRAYHHRYKRSLEEDVATYTSGDLRQLLVGLVTSYRYGGDEINARLAKTESDILHSSIKEKKGNHEEAIRILTTRSKTQLLATFNRYRDDHGASITKKLLDDASDDFRKALHTAIRCINDHKKYYEKVLRNAMKRVGTDEDALTRVVVSRAEKDLRNIAELYYKRNSVHLEDAVAKEISGDYKKFILTLLGKDV >Vigun11g007800.1.v1.2 pep primary_assembly:ASM411807v1:11:847827:850485:-1 gene:Vigun11g007800.v1.2 transcript:Vigun11g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIAPITFSPGADAEALNKAFKGWGTDEKTVIAILGHRNVYQRQQIRKVYEEMYQEDLIKRLESELTGDFERSVYRWMLEPADRDAVLANVAIKDGSKGYHVIVEIACVLSSEELLAARRAYHHRYKRSLEEDVATYTSGDLRQLLVGLVTSYRYGGDEINARLAKTESDILHSSIKEKKGNHEEAIRILTTRSKTQLLATFNRYRDDHGASITKKLLDDASDDFRKALHTAIRCINDHKKYYEKVLRNAMKRVGTDEDALTRVVVSRAEKDLRNIAELYYKRNSVHLEDAVAKEISGDYKKFILTLLGKDV >Vigun08g020400.1.v1.2 pep primary_assembly:ASM411807v1:8:1773706:1777688:-1 gene:Vigun08g020400.v1.2 transcript:Vigun08g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDLFTGEIASDLWKMLITISRKALRCKSSAEQLITYVREILPTIEEIKYSGVELPAPRQSQLDRLSEILRSGVELSHQALSSSRWNVYRNFQLAKKMEKLEKHVTRFLQVPMQAHILADVHHARFEMAERFDRVEASNRRMERFLEEMKIGVNGGGWVEEAVKSMQEDETWVEGCNGNNGFSVGLDFGKKKVMEMVFSNNDADWIVGICGIGGSGKTTLARELCRDDQVRCYFKDRILFLTVSQSPNVEQLRARIWGHIMGNQGLNGNYVVPQWMPQFECKGEAQVLVVLDDVWSFSVLEQLVWKIPGCKFLVVSRFRFPTFFSATYHVELLGEEDALSLFCHHAFGEKSIPLGANVSLVKQVVAECGKLPLALKVIGASLRDQNEMFWLSVKSNLSQGHSIGESYEINLIDRMAISTNYLPEKIKECFLDLCSFPEDRKIPLEILINMWVEIHDIREAEAYAIAVELSNKNLLTLVKEARAGGMYSSCFEISVTQHDTLRDLALILSKRGSIHEHRRLVMAQREENGLLPKEWSRFQNRPFEAQIVSINTGEMTEMDWFELDFPKAEVLIINFTSSDYFLPPFISKMPNLRALIIVNYSTSYVRLHNVSVLMNLTNLRSLWLEKVSTPQFSGTVLKNLSKLFIVLCQINNSLDGKQFPNLSELTLDHCNDLTYLPSSICGIKSLRNMSLTDCHNLSQLPVEFGNLKSLEILRLYACPDLETLPPSMCEMKKLKYIDISQCTNLTCFPKEIGRLVNLEKIDMRECPMIRYLPKSAVSLRSLQLVICDEEVYGTWRDVAEMAKSNVHIQVPEQHFDLDWLQE >Vigun03g440500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64328499:64332140:1 gene:Vigun03g440500.v1.2 transcript:Vigun03g440500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHPGSGGVHFYHHQPVKGIYQVLQSDSSSQGTSVSFESCKEQYFTLESCPAPTTGFMECDDSPSYASVSSKSSPFSPQGSHSCGSDHHQSSDNTYGSPISGLSSVDDGHELKHKLRELEISLLGPDESDIEDSFGCCVRGSSSRLDGASKQNWEQIAENIPRFDLKGVLRVCAQAVSDDDVGTARGWMDNVLGKMVSVSGDPIQRLGAYLLEGLRARLESSGNLIYKSLKCEQPTSKELMSYMHILYQICPYWRFAYISANAVITEAMANESRIHIIDFQVAQGTQWYLLIQALAQRPGGPPSLRVTGVDDSQSFHARGGGLEIVGERLSEFARSCGVPFEFHSAAMSGCEVVRGSVEIRPGEALAVNFPFVLHHMADESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFYRFVETLDYYTAMFESIDVACPRDDQKRISAEQHCLARDIVNMIACEGSERVERHELLGKWRSRLSMAGFKQCQLSSSVMVATQNLLNEFSQNYRLEHKDDALYLGWMNRHMATSSAWR >Vigun03g440500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64328640:64332140:1 gene:Vigun03g440500.v1.2 transcript:Vigun03g440500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHPGSGGVHFYHHQPVKGIYQVLQSDSSSQGTSVSFESCKEQYFTLESCPAPTTGFMECDDSPSYASVSSKSSPFSPQGSHSCGSDHHQSSDNTYGSPISGLSSVDDGHELKHKLRELEISLLGPDESDIEDSFGCCVRGSSSRLDGASKQNWEQIAENIPRFDLKGVLRVCAQAVSDDDVGTARGWMDNVLGKMVSVSGDPIQRLGAYLLEGLRARLESSGNLIYKSLKCEQPTSKELMSYMHILYQICPYWRFAYISANAVITEAMANESRIHIIDFQVAQGTQWYLLIQALAQRPGGPPSLRVTGVDDSQSFHARGGGLEIVGERLSEFARSCGVPFEFHSAAMSGCEVVRGSVEIRPGEALAVNFPFVLHHMADESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFYRFVETLDYYTAMFESIDVACPRDDQKRISAEQHCLARDIVNMIACEGSERVERHELLGKWRSRLSMAGFKQCQLSSSVMVATQNLLNEFSQNYRLEHKDDALYLGWMNRHMATSSAWR >Vigun01g216700.1.v1.2 pep primary_assembly:ASM411807v1:1:39031416:39033913:1 gene:Vigun01g216700.v1.2 transcript:Vigun01g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKDQKIPHDSTMSGKVPNCVFSEAVETTSVTVHRVNEYRKSVIEDEDASQTTNGLSNDSGITHSPPLSGCGKGYTYKEKSYQLDEEESLINFKGYTNLLQAGESLLSFQHNRLVPNNCYLKDISQKEYCVWENNLHQGHNHWNKDMRLVQDFNCFQTASGYGSMVDNAKEKQYGESSSGWLYSAPTIPNDRNLHKLGAREMVLQKRPSMGESMKAAKKQCSTESKTPKHKSSPSKDPQSVAAKNRRERISERLKILQELVPNGSKVDMVTMLEKAISYVKFLQLQVKVLAADEFWPAQGGKPPDISQVKEVIDAISSSQRERSLNSK >Vigun11g011950.1.v1.2 pep primary_assembly:ASM411807v1:11:1455051:1455836:1 gene:Vigun11g011950.v1.2 transcript:Vigun11g011950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHSYFIRSTIRRQFSLPLFFFDFVALFHSFCRNPFYLFRCAHGFSIPSCKLSVYKTKMQTSLRVDFGLLDDFRGTTVDTFYIGGSKCAI >Vigun06g006100.4.v1.2 pep primary_assembly:ASM411807v1:6:3354412:3365672:-1 gene:Vigun06g006100.v1.2 transcript:Vigun06g006100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNSSSSWLKCLNNMSGMVMRNDHGGVAVEVGGEEGYAVRNARKKELVEVPWKGAPTMAHLFEQSCGKHHNNPFLGTRKLLQKEFVPSSDGRRFEKVHLGDYEWKTYGEVFACVCNFASGIMKLGHSVDSRVAIFSDTRAEWLIALQGCFRQNVTVVTIYATLGEDALVHSLNETEVSTLICESKLLKKLDAIRSRLVSIQNVIYFEDDNSDEDSFSGSLSNWTIASFSEVEKLGKENPVEPNLPSKNAIAVIMYTSGSTGLPKDVMITHGNMVATTTAVMTVIPNLGSKDVYMAYLPLAHVFEMAAESVMLTAGCAIGYSSILTLTDSSSKIKKGTRGDANVLKPTLLAAVPDIIDRIRDGVVKKVEDRGGLVKNLFHFAYQRRVTAVKGSWLGAWGLEKLVWETIVFKKIRAALGGQLRYMLCGGAPLSKDSQNFINICMGATIGQAYGLTETFAGATFSEWYDHKVGRVGPPLPCSYIKLVSWEEGGYLTSDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVFKVDEHGMRWFYTGDIGQCHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSCDYVDNIMVDADPFYSYCVALVVACNHSLEKWAQQAGIEYKDFPDMCNKHETVTEVLQSISKVAKAKKLVKSEIPAKIKLLPDPWTPESGLVTNALKIKREQLKAKFKDDLQKLYASSL >Vigun06g006100.1.v1.2 pep primary_assembly:ASM411807v1:6:3354398:3365669:-1 gene:Vigun06g006100.v1.2 transcript:Vigun06g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNSSSSWLKCLNNMSGMVMRNDHGGVAVEVGGEEGYAVRNARKKELVEVPWKGAPTMAHLFEQSCGKHHNNPFLGTRKLLQKEFVPSSDGRRFEKVHLGDYEWKTYGEVFACVCNFASGIMKLGHSVDSRVAIFSDTRAEWLIALQGCFRQNVTVVTIYATLGEDALVHSLNETEVSTLICESKLLKKLDAIRSRLVSIQNVIYFEDDNSDEDSFSGSLSNWTIASFSEVEKLGKENPVEPNLPSKNAIAVIMYTSGSTGLPKDVMITHGNMVATTTAVMTVIPNLGSKDVYMAYLPLAHVFEMAAESVMLTAGCAIGYSSILTLTDSSSKIKKGTRGDANVLKPTLLAAVPDIIDRIRDGVVKKVEDRGGLVKNLFHFAYQRRVTAVKGSWLGAWGLEKLVWETIVFKKIRAALGGQLRYMLCGGAPLSKDSQNFINICMGATIGQAYGLTETFAGATFSEWYDHKVGRVGPPLPCSYIKLVSWEEGGYLTSDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVFKVDEHGMRWFYTGDIGQCHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSCDYVDNIMVDADPFYSYCVALVVACNHSLEKWAQQAGIEYKDFPDMCNKHETVTEVLQSISKVAKAKKLVKSEIPAKIKLLPDPWTPESGLVTNALKIKREQLKAKFKDDLQKLYASSL >Vigun06g006100.3.v1.2 pep primary_assembly:ASM411807v1:6:3354329:3365768:-1 gene:Vigun06g006100.v1.2 transcript:Vigun06g006100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNSSSSWLKCLNNMSGMVMRNDHGGVAVEVGGEEGYAVRNARKKELVEVPWKGAPTMAHLFEQSCGKHHNNPFLGTRKLLQKEFVPSSDGRRFEKVHLGDYEWKTYGEVFACVCNFASGIMKLGHSVDSRVAIFSDTRAEWLIALQGCFRQNVTVVTIYATLGEDALVHSLNETEVSTLICESKLLKKLDAIRSRLVSIQNVIYFEDDNSDEDSFSGSLSNWTIASFSEVEKLGKENPVEPNLPSKNAIAVIMYTSGSTGLPKDVMITHGNMVATTTAVMTVIPNLGSKDVYMAYLPLAHVFEMAAESVMLTAGCAIGYSSILTLTDSSSKIKKGTRGDANVLKPTLLAAVPDIIDRIRDGVVKKVEDRGGLVKNLFHFAYQRRVTAVKGSWLGAWGLEKLVWETIVFKKIRAALGGQLRYMLCGGAPLSKDSQNFINICMGATIGQAYGLTETFAGATFSEWYDHKVGRVGPPLPCSYIKLVSWEEGGYLTSDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVFKVDEHGMRWFYTGDIGQCHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSCDYVDNIMVDADPFYSYCVALVVACNHSLEKWAQQAGIEYKDFPDMCNKHETVTEVLQSISKVAKAKKLVKSEIPAKIKLLPDPWTPESGLVTNALKIKREQLKAKFKDDLQKLYASSL >Vigun06g006100.2.v1.2 pep primary_assembly:ASM411807v1:6:3354413:3365590:-1 gene:Vigun06g006100.v1.2 transcript:Vigun06g006100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQNSSSSWLKCLNNMSGMVMRNDHGGVAVEVGGEEGYAVRNARKKELVEVPWKGAPTMAHLFEQSCGKHHNNPFLGTRKLLQKEFVPSSDGRRFEKVHLGDYEWKTYGEVFACVCNFASGIMKLGHSVDSRVAIFSDTRAEWLIALQGCFRQNVTVVTIYATLGEDALVHSLNETEVSTLICESKLLKKLDAIRSRLVSIQNVIYFEDDNSDEDSFSGSLSNWTIASFSEVEKLGKENPVEPNLPSKNAIAVIMYTSGSTGLPKDVMITHGNMVATTTAVMTVIPNLGSKDVYMAYLPLAHVFEMAAESVMLTAGCAIGYSSILTLTDSSSKIKKGTRGDANVLKPTLLAAVPDIIDRIRDGVVKKVEDRGGLVKNLFHFAYQRRVTAVKGSWLGAWGLEKLVWETIVFKKIRAALGGQLRYMLCGGAPLSKDSQNFINICMGATIGQAYGLTETFAGATFSEWYDHKVGRVGPPLPCSYIKLVSWEEGGYLTSDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVFKVDEHGMRWFYTGDIGQCHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSCDYVDNIMVDADPFYSYCVALVVACNHSLEKWAQQAGIEYKDFPDMCNKHETVTEVLQSISKVAKAKKLVKSEIPAKIKLLPDPWTPESGLVTNALKIKREQLKAKFKDDLQKLYASSL >Vigun07g036500.6.v1.2 pep primary_assembly:ASM411807v1:7:3496019:3499564:-1 gene:Vigun07g036500.v1.2 transcript:Vigun07g036500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSWFVWVKRLFTSEPKDNKKANKWGWSFGRIKQRQYPTITAPNITLIEASAEQRKHALTVAIATAAAAEAAVAAAHAAAEVVKLTGASRSHSYLSKGDRSLAAIKIQSAYRAHLARKALRALKGVIRLQAIIRGQAVRRQVSNTIQNFHSSARNQVEILERSSHTAEHTKQNPKQKKKLEDKELKSECDSQRTWDCSLLSREDIEAILYRKQEAMVKRERMKQYSSSQRERKNPQMVEESMQNMKFGRESCRTLGEWLHKETCDLNMMYKPITLPSNLMTAKQEWQEGLSPHISIPRKSFSLVKRSSNGDENSMSNSPVFPTYMAVTESSKAKMRSVSTPKQRTGILDICSNHNEGISFYSSCYGESSSTNENHASYQQRC >Vigun07g036500.2.v1.2 pep primary_assembly:ASM411807v1:7:3495969:3499564:-1 gene:Vigun07g036500.v1.2 transcript:Vigun07g036500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSWFVWVKRLFTSEPKDNKANKWGWSFGRIKQRQYPTITAPNITLIEASAEQRKHALTVAIATAAAAEAAVAAAHAAAEVVKLTGASRSHSYLSKGDRSLAAIKIQSAYRAHLARKALRALKGVIRLQAIIRGQAVRRQVSNTIQNFHSSARNQVEILERSSHTAEHTKQNPKQKKKLEDKELKSECDSQRTWDCSLLSREDIEAILYRKQEAMVKRERMKQYSSSQRERKNPQMVEESMQNMKFGRESCRTLGEWLHKETCDLNMMYKPITLPSNLMTAKQEWQEGLSPHISIPRKSFSLVKRSSNGDENSMSNSPVFPTYMAVTESSKAKMRSVSTPKQRTGILDICSNHNEGISFYSSCYGESSSTNENHASYQQRC >Vigun07g036500.1.v1.2 pep primary_assembly:ASM411807v1:7:3495935:3498905:-1 gene:Vigun07g036500.v1.2 transcript:Vigun07g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSWFVWVKRLFTSEPKDNKKANKWGWSFGRIKQRQYPTITAPNITLIEASAEQRKHALTVAIATAAAAEAAVAAAHAAAEVVKLTGASRSHSYLSKGDRSLAAIKIQSAYRAHLARKALRALKGVIRLQAIIRGQAVRRQVSNTIQNFHSSARNQVEILERSSHTAEHTKQNPKQKKKLEDKELKSECDSQRTWDCSLLSREDIEAILYRKQEAMVKRERMKQYSSSQRERKNPQMVEESMQNMKFGRESCRTLGEWLHKETCDLNMMYKPITLPSNLMTAKQEWQEGLSPHISIPRKSFSLVKRSSNGDENSMSNSPVFPTYMAVTESSKAKMRSVSTPKQRTGILDICSNHNEGISFYSSCYGESSSTNENHASYQQRC >VigunL000300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000101.1:48582:51186:1 gene:VigunL000300.v1.2 transcript:VigunL000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTSEVINQRCTCSGEMEYIRAQCQSRDGKLLAIGHSMGGILLYARLSRSSTDCCWKQIKEPVMKLYTETTDGSTIKDKETTLV >Vigun06g211601.1.v1.2 pep primary_assembly:ASM411807v1:6:32419821:32422700:-1 gene:Vigun06g211601.v1.2 transcript:Vigun06g211601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEDEDWLCITMEENDCSRQKLSRKRNYDDNTKEFKSKNLEVERRRREKLSRRLLMLRSINPIITNMNRGTIIVDAITYIEKLQDEVQNLSQQLHQMEATSEETTETKIDEIEAVEYMKNWGIQEDITVAQIDKNKFWAKIIIEKKRGGFSKLMETLNYFGIELMDTNLTTTKGAFLITSCMQGKNGERLEINQIKDLLQDIINDM >Vigun01g007650.1.v1.2 pep primary_assembly:ASM411807v1:1:918823:920430:-1 gene:Vigun01g007650.v1.2 transcript:Vigun01g007650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVMWRVVSRGTSLIFHSKKRSALSVPVRAFSAPTGLYGFPHLKTAKGFQSFVDEAIQRSGELISYICRK >Vigun04g191900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41612499:41614350:-1 gene:Vigun04g191900.v1.2 transcript:Vigun04g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFDRLASPKVVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFTSTFSSFNKKIELEMKEILEKLEYLAKQKDALGLKEGIYSADGSGSKEPQKLQSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDITAWVCVSDHFNVLTVTKQFLRQSLNLKMIAETCKWFMKD >Vigun08g196100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36223569:36226082:-1 gene:Vigun08g196100.v1.2 transcript:Vigun08g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPHRIVEGYSEEFESSFLEHMKRSHRFSRVAATVVYNEYINDRHHVHMNSTQWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKERMKNKRIKADMADEEKQEKEIRKQIEMAEQMMQQPTPEADQPSDQPSEPPRELNMEDGIKIGFSLGSSLVKQTVTKEKREAPRVVFEEADEEKYGDRNPGNNLKRKESGGGKSTLEEMMRDEEKKKEKINRKDYWLHEGIVVKVMSKVLAEKGYYKQKGVVRKVIDKYVGEIEILESKHVLRVDQAELETVIPQVGGRVKIVNGAYRGSIAKLLGVDTDNFCAKVQIEKGPYDGRVLKAMEYEDICKVA >Vigun09g196200.1.v1.2 pep primary_assembly:ASM411807v1:9:37080649:37084872:-1 gene:Vigun09g196200.v1.2 transcript:Vigun09g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVTPYSPATMPVDVIPPPFPQPAAPAFQSSPSPSMFLTPPAPTSPSPETLLVQGLPPPPSSVTPIPLTVPVLPSPSPESFTPPFPPFAVSQSPPAPITASQPLPFPYATAPSTTSFPPFAVAPSPPSPTADFPPALPDMPLTPEAAAVTTSSFPPPPLPPSFTREPTLATPWSPALPLSAPQEVNLPVAVNFQKTSTFHVASGLIVGLVIGAIVLVFGFSIGLLLCRNRKKNKQKNLCTGRTKESPPSIESKGSHVIRVPSNPTLPPNLTSGSFKSVSVKTIPNHAPRAAFSPGNGSFRYDEILAATNCFSESNLLGEGGFGYVYKGVLPCGKQIAVKQLKLGSQQGEREFQAEVETISRVHHKHLVELVGYCVAGAERMLVYEFVPNNTLEFHLHGEGSIFLGWTTRIKIAVGSAKGLAYLHEDCNPAIIHRDIKASNILLDFKFEPKVSDFGLAKVLPNNDSHISHLTTRVMGTFGYVAPEYASSGKLTDKSDVYSYGVMLLELITGRPPITAAGSRNESLVDWARPLLARALQEDSFDNLVDPRLQKNYEADEMVRMITCAAACVRHSSRLRPRMSQIVGALEGVVSLTDLVGDVTPENTPERNWSNYLDYGDNQYQYELRSFNLALPAQKYSSSGYSETTSACGLYSSGSSSEAHGSFREIL >Vigun11g018100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2263580:2265553:-1 gene:Vigun11g018100.v1.2 transcript:Vigun11g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASTTITTALRLKNKIAIVTGGASGIGEATARLFAEEGARMVVIADIQDELGKEVAASIGGDRCSYFHCDVAEEVEVQGLVQSTVKAYGQLDIMFSNAGIISPSKQTVAELHMSELDRLFTVNVRGMAACVKHAARAMVEGRVRGSIVCTGSVCGSHGALKGTDYIMSKHAVLGLMRSASMQLAEHGIRVNCVSPNGLATPLTCKLRGMSEEETREAYRKYARLQGVVLTPKQVAQAVLFLASDDSSAFVTGLDLRVDGGFSHGH >Vigun06g083100.3.v1.2 pep primary_assembly:ASM411807v1:6:21459422:21462048:-1 gene:Vigun06g083100.v1.2 transcript:Vigun06g083100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEADSRDTSLILLKQGAEARVFESSFVGRKSVVKERFSKKYRHPALDSKLTLKRLNAEARCMTKARRLGVCTPVLYAVDHVLHTLTFEYVTGPSVKDVFLEFGALGTDKEWLDNIASQIGDAIGKLHDGGLIHGDLTTSNMLLKSDTKQLVLIDFGLSFTSTLPEDKAVDLYVLERSIISMHSSCGNVMDQILAAYRKSSKQWSTTLNKLAQVRQRGRKRTMVG >Vigun06g083100.1.v1.2 pep primary_assembly:ASM411807v1:6:21459386:21462057:-1 gene:Vigun06g083100.v1.2 transcript:Vigun06g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEADSRDTSLILLKQGAEARVFESSFVGRKSVVKERFSKKYRHPALDSKLTLKRLNAEARCMTKARRLGVCTPVLYAVDHVLHTLTFEYVTGPSVKDVFLEFGALGTDKEWLDNIASQIGDAIGKLHDGGLIHGDLTTSNMLLKSDTKQLVLIDFGLSFTSTLPEDKAVDLYVLERSIISMHSSCGNVMDQILAAYRKSSKQWSTTLNKLAQVRQRGRKRTMVG >Vigun06g083100.2.v1.2 pep primary_assembly:ASM411807v1:6:21459374:21462244:-1 gene:Vigun06g083100.v1.2 transcript:Vigun06g083100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEADSRDTSLILLKQGAEARVFESSFVGRKSVVKERFSKKYRHPALDSKLTLKRLNAEARCMTKARRLGVCTPVLYAVDHVLHTLTFEYVTGPSVKDVFLEFGALGTDKEWLDNIASQIGDAIGKLHDGGLIHGDLTTSNMLLKSDTKQLVLIDFGLSFTSTLPEDKAVDLYVLERSIISMHSSCGNVMDQILAAYRKSSKQWSTTLNKLAQVRQRGRKRTMVG >Vigun11g138000.1.v1.2 pep primary_assembly:ASM411807v1:11:34721957:34722357:1 gene:Vigun11g138000.v1.2 transcript:Vigun11g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTRVAVLMIIMFAFVQILEIEAIKLPCVISCALECLPATQYPLCFKNCVLKYCEMSISASNCATSCGVNKTITVDIDAAGNVTDVVDSCLQNCLKLQY >Vigun05g113700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12011776:12014420:1 gene:Vigun05g113700.v1.2 transcript:Vigun05g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSRDRNTRDENKSKSGGRLQSRGYQGSTRRIDAGLVSVPDMGSVVTDYGSTAHHHASGYHGCAGGGGGGGCGGGGGGGGCGGGGGGGGGGGGGGCGGGGC >Vigun07g068800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8310665:8311747:1 gene:Vigun07g068800.v1.2 transcript:Vigun07g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASITHSPLHLVNEQNQQMKIQNSAPLQSLLLSHRNSIQEPPIQATRKKKEKKNFEITQERERKIFETTVNNRIDLAGFYFQIPPNEIVILDSDTEHNSYFSIRIEFRIKITKSILRFHSTDTHSCNFKNRERLFVIVFVRFTNKFLGFRRARVSC >Vigun05g085000.4.v1.2 pep primary_assembly:ASM411807v1:5:8050946:8055469:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGGRSLADYMADQSFPSNLESTMFGRVLQGVDSSKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGSATDLRIGKNYVPNLTPLDRDYCPPELYVLPEETLSLPPEPIAAFLSPIMC >Vigun05g085000.2.v1.2 pep primary_assembly:ASM411807v1:5:8052307:8055638:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGGRSLADYMADQSFPSNLESTMFGRVLQGVDSSKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGSATDLRIGKNYVPNLTPLDRDYCPPELYVLPEETLSLPPEPIAAFLSPIMWQLKSPDLFDMYSAGIVLLQIAIPTLRSSAALKNFNLEIRTCGYDLNKWRASTRMRSDFQILDSDSGRGWDLATKLISKRCSQLRGRLSAAAALRHPYFLLGGDQAAAVLSKLSF >Vigun05g085000.5.v1.2 pep primary_assembly:ASM411807v1:5:8052306:8055638:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGCPHHREGDLLLITWLIKASHQT >Vigun05g085000.6.v1.2 pep primary_assembly:ASM411807v1:5:8050311:8055487:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGCPHHREGDLLLITWLIKASHQT >Vigun05g085000.3.v1.2 pep primary_assembly:ASM411807v1:5:8050946:8055469:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGGRSLADYMADQSFPSNLESTMFGRVLQGVDSSKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGSATDLRIGKNYVPNLTPLDRDYCPPELYVLPEETLSLPPEPIAAFLSPIMWQLKSPDLFDMYSAGIVLLQIAIPTLRSSAALKNFNLEIRTCGYDLNKWRASTRMRSDFQILDSDSGRGWDLATKLISKRCSQLRGRLSAAAALRHPYFLLGGDQAAAVLSKLSF >Vigun05g085000.1.v1.2 pep primary_assembly:ASM411807v1:5:8050311:8055487:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGGRSLADYMADQSFPSNLESTMFGRVLQGVDSSKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGSATDLRIGKNYVPNLTPLDRDYCPPELYVLPEETLSLPPEPIAAFLSPIMWQLKSPDLFDMYSAGIVLLQIAIPTLRSSAALKNFNLEIRTCGYDLNKWRASTRMRSDFQILDSDSGRGWDLATKLISKRCSQLRGRLSAAAALRHPYFLLGGDQAAAVLSKLSF >Vigun05g085000.7.v1.2 pep primary_assembly:ASM411807v1:5:8050946:8055487:-1 gene:Vigun05g085000.v1.2 transcript:Vigun05g085000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPTTATTLQHNRTICFSPLKLSIPITISFSTNRCKSTSVKCNAVFGDIPKDLLETTFHLDQFSVFQSVLVKFQNVTEDLSDVQRWGFVVLAGLTWAYLTARPGVLVGAIDAFLLAPIQLLLDSLSGRRNLKRTDFLIGDKLGEGSFGVVYSGVLVPKNVDAQELMQKNGRDKVKKLDAKSKDKVILKKVKVGIEGAEEFGDFEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGCPHHREGDLLLITWLIKASHQT >Vigun09g005300.1.v1.2 pep primary_assembly:ASM411807v1:9:376290:377859:-1 gene:Vigun09g005300.v1.2 transcript:Vigun09g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGETACSYASLILHDEGIAVTADNIATLLKTAKVKVESYWPALFAKLAEKKNLGDLIANAAGGGGAPAAVAAAPVAAAGGGAAAAAPAAEEKKKEEPEEESDDDMGFGLFD >Vigun05g164633.1.v1.2 pep primary_assembly:ASM411807v1:5:26879637:26880345:-1 gene:Vigun05g164633.v1.2 transcript:Vigun05g164633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVKRIERILPLGTSLTIFGENKDEDLKDAQRRKISLLIQKAKIDKTMKFLRLDVDREV >Vigun07g151200.1.v1.2 pep primary_assembly:ASM411807v1:7:26156556:26159400:1 gene:Vigun07g151200.v1.2 transcript:Vigun07g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTFNGGSLSARLQFNQRLTFNAVPSPRFSSISSYGRRNVSFSSKPRSTCIRVSCAAKPETVQKVCKIVKNQLALPDDSTVTGESTFAALGADSLDTVEIVMGLEEEFGISVEEDSAQSISTVQDAADLIEEIISKQSP >Vigun03g207300.1.v1.2 pep primary_assembly:ASM411807v1:3:33830373:33833773:1 gene:Vigun03g207300.v1.2 transcript:Vigun03g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWPASVVYSSQENLTRYASSSSYSSSPPSMGMVYADMDSLSLCSNYGVVSSHQDCYVSNGGGSNWGLPFMRECLGRNFEEHPNSDGAEEGKGSDSSDDGGGEDSDKVNHNANNFNEESPNENSNSGLGHSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLSQSVYRRVEENTSSSNVSRDTTTAGTEPPPPYCLSLPNGGLGNNNMPSFPYAAAPFHGVVPPPAGGVEYGLNGSPHMAASKEAISTTKLAPPHIAMYPQQTPLDFFSGGRSNDMVGEYFGQNHQQASGFYSHYHPQYLMLMQQHQNNHNFYGFSNSTAQILGSEASLSSVAERRDEAMSSDPPDAIPPPFIDFLGVGAT >Vigun07g208400.1.v1.2 pep primary_assembly:ASM411807v1:7:32999612:33003555:-1 gene:Vigun07g208400.v1.2 transcript:Vigun07g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRVSVVVSVLLLIQFWPGEAEKFSVDGKVLILDDSNFDSAIASFDHILVDFYAPWCGHCKRLAPELDAAAPVLATLNKPIVIAKVDADKHTSLAKKYDVDAYPTILLFNHGVPTEYRGPRKADLLVRYLKKFAASDVSILDSDSAVNTFVAEAGTFFPIFIGFGLNNTVIEKFGIKYKKNAWFSVAKDFSEDLMVLYDFDKIPALVSLNPQYNERNTFYGPFEDEFLEDFVKQNLMPLVVPISYETLKLVKADGRKIVLTIVEDEDEERSRELTKLLKGAASANRDLVFGYVGVKQMDEFAENFDISTKLPKMVVWDKSDDYLSVVDSESIEGEDQATQITKFLEGYREGRTINNTFSGPSLMRFIHRSFDIRVVYVVVFFVALLMLIQSFSKGGDEYRAVPNQVQVDDASSSVSEAEIKEYKPGDKED >Vigun05g105900.1.v1.2 pep primary_assembly:ASM411807v1:5:10717165:10721721:-1 gene:Vigun05g105900.v1.2 transcript:Vigun05g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQTGIEDVAWLCSLQESEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIALVLMEHLKSQIKESSLISNTSASVLDACNLLKCNNEVNANIEELNTSLGADIQTFIESPPTFKRKKQKVQSDE >Vigun08g106900.3.v1.2 pep primary_assembly:ASM411807v1:8:26559014:26561868:-1 gene:Vigun08g106900.v1.2 transcript:Vigun08g106900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESELHHLPNGDYVSRLRSGDLDIGSRTEAIDWIEKVREHFGFGPLCAYLSINYLDRFLSVYELPKQRVWTMKLLAVGCISLAAKMEESDVPTSLDLQVGESKYIFEAKTIQRMELLVLNTLKWRMQAITPFSFIDYFLQKINDDDEAPIGASILQSSELILSTVRGIDFIEFRPSEIAAAVAISVVGDGQTVETEKASSDLIQHVEKERVLKCVKMIQELSSKNGPSAKDLIPSVSVPESPIGVLNNACFSYKSDEPNVAPCANSSHSDTPDAKRRKLDKTFGE >Vigun08g106900.1.v1.2 pep primary_assembly:ASM411807v1:8:26558934:26561876:-1 gene:Vigun08g106900.v1.2 transcript:Vigun08g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCFSSLLCAEDNIIFDENDRGGLVEELEDTWEHPIYDRNHSQIQNLDVQYVSFPLPSEECLRLMMESELHHLPNGDYVSRLRSGDLDIGSRTEAIDWIEKVREHFGFGPLCAYLSINYLDRFLSVYELPKQRVWTMKLLAVGCISLAAKMEESDVPTSLDLQVGESKYIFEAKTIQRMELLVLNTLKWRMQAITPFSFIDYFLQKINDDDEAPIGASILQSSELILSTVRGIDFIEFRPSEIAAAVAISVVGDGQTVETEKASSDLIQHVEKERVLKCVKMIQELSSKNGPSAKDLIPSVSVPESPIGVLNNACFSYKSDEPNVAPCANSSHSDTPDAKRRKLDKTFGE >Vigun08g106900.4.v1.2 pep primary_assembly:ASM411807v1:8:26559014:26561868:-1 gene:Vigun08g106900.v1.2 transcript:Vigun08g106900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESELHHLPNGDYVSRLRSGDLDIGSRTEAIDWIEKVREHFGFGPLCAYLSINYLDRFLSVYELPQRVWTMKLLAVGCISLAAKMEESDVPTSLDLQVGESKYIFEAKTIQRMELLVLNTLKWRMQAITPFSFIDYFLQKINDDDEAPIGASILQSSELILSTVRGIDFIEFRPSEIAAAVAISVVGDGQTVETEKASSDLIQHVEKERVLKCVKMIQELSSKNGPSAKDLIPSVSVPESPIGVLNNACFSYKSDEPNVAPCANSSHSDTPDAKRRKLDKTFGE >Vigun08g106900.2.v1.2 pep primary_assembly:ASM411807v1:8:26558934:26561875:-1 gene:Vigun08g106900.v1.2 transcript:Vigun08g106900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCFSSLLCAEDNIIFDENDRGGLVEELEDTWEHPIYDRNHSQIQNLDVQYVSFPLPSEECLRLMMESELHHLPNGDYVSRLRSGDLDIGSRTEAIDWIEKVREHFGFGPLCAYLSINYLDRFLSVYELPQRVWTMKLLAVGCISLAAKMEESDVPTSLDLQVGESKYIFEAKTIQRMELLVLNTLKWRMQAITPFSFIDYFLQKINDDDEAPIGASILQSSELILSTVRGIDFIEFRPSEIAAAVAISVVGDGQTVETEKASSDLIQHVEKERVLKCVKMIQELSSKNGPSAKDLIPSVSVPESPIGVLNNACFSYKSDEPNVAPCANSSHSDTPDAKRRKLDKTFGE >Vigun07g131000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24054543:24060210:-1 gene:Vigun07g131000.v1.2 transcript:Vigun07g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTSNPPINQTMTTLPQSHNPIPNPSMDNQIHRNGDVEPNHRAAKKPKLAHSISDSEIREEFSHHQRGVARINNGSFGSCPRSVLAAQSAWQLRFLQQPDDFFFNVLRGGILESRAIVADLINADHIDRLSLVDNATTAAAIVLQQIGRRFVHGHFRREDSVIMFHCAYQAVKKSIEAYVTPIGGTIVEVQLPFPVRSDEEIVSEFKKGIEKGKLNGGRVRLAIIDHVTSMPSVVLPIRELIKVCREQGVEQVFVDGAHAIGSLPVDVKEIGADFYVSNLYKWFFSPPSVAFLYCKENSNDVHHPIVSQEYGKGLPVESAWVGMRDYSPQLVVPSILEFVNRFEGGIAGIMQRNHDEVVKMGTMLAESWGTILGSPPEMCASMIMVGLPSKLCVMSDDDALRLRSYLRVYHATEVPVYYQVLRNDDRDPRDKNGYITGYVRISHQVYNTVDDYQKLKTAINQLLEDGKICSGLPTE >Vigun06g032500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13963692:13965278:1 gene:Vigun06g032500.v1.2 transcript:Vigun06g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSVHVLNALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRIYYTEGYDKPGSLPANVSAAINGVAFCGSLAGQLFFGWLGDKMGRKRVYGMTLMLMVISSIASGLSFGKDPKAVMATLCFFRFWLGFGIGGDYPLSATIMAEYANKKTRGAFIAAVFAMQGFGILAGGVVAIVVSAIFSALYPSPTFQVNPILSTVPQADYVWRMILMFGAIPAVITYYWRMKMPETARYTALVAKNTKQAAADMSKVLQVEIEAEAEKVEELEGRRERGNEFGLFTKQFLLRHGLHLVGTASTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDRMGRFTIQLMGFFFMTVFMLALAIPYHHWTMSGNQIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMVGAFGFLYAQNAIGLRNTLIILSAINFFGFLFTFLIPESKGKSLEEMSGEAEQNDTPATASPMEAGLEVRTSV >Vigun03g010232.1.v1.2 pep primary_assembly:ASM411807v1:3:707001:707661:-1 gene:Vigun03g010232.v1.2 transcript:Vigun03g010232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLEDEKEGLLNVHTEKHFTAGKVVRDIIIGVSDGLTVPFALAAGLSGTDATSAIVLTAGIAEVVAGAISMGLGGYLAAKSEADHYDRELKREQEEIIAVPETGFFCFFF >Vigun04g053100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4974215:4979087:-1 gene:Vigun04g053100.v1.2 transcript:Vigun04g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISICKSVDQSSSMANSICSADFGSKSRQINHLQKNRRTPNSSSSASSNSLQIPPCDRSRSAMVDVVMFIAVVCACGFLFFPYLEFLVTKCYDGVKVVVFLVKEEVSVAPWIYVSIGLSVVCAALATWGVVACTSRRCGNPNCKGLRKAAEFDIQLETEDCVKNSASSSVNSAKESGGSGGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLVLRARCGCSVGRLEVPGPRKHHRKIKK >Vigun04g053100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4974215:4979087:-1 gene:Vigun04g053100.v1.2 transcript:Vigun04g053100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISICKSVDQSSSMANSICSADFGSKSRQINHLQKNRRTPNSSSSASSNSLQIPPCDRSRSAMVDVVMFIAVVCACGFLFFPYLEFLVTKCYDGVKVVVFLVKEEVSVAPWIYVSIGLSVVCAALATWGVVACTSRRCGNPNCKGLRKAAEFDIQLETEDCVKNSASSSVNSAKESGGSGGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLVLRARCGCSVGRLEVPGPRKHHRKIKK >Vigun04g053100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4974215:4979087:-1 gene:Vigun04g053100.v1.2 transcript:Vigun04g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISICKSVDQSSSMANSICSADFGSKSRQINHLQKNRRTPNSSSSASSNSLQIPPCDRSRSAMVDVVMFIAVVCACGFLFFPYLEFLVTKCYDGVKVVVFLVKEEVSVAPWIYVSIGLSVVCAALATWGVVACTSRRCGNPNCKGLRKAAEFDIQLETEDCVKNSASSSVNSAKESGGSGGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLVLRARCGCSVGRLEVPGPRKHHRKIKK >Vigun04g093800.1.v1.2 pep primary_assembly:ASM411807v1:4:18703857:18706703:1 gene:Vigun04g093800.v1.2 transcript:Vigun04g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSFWSFVECLESWCENMQDETASSSEEKWFVYGPEKKEEVDKYIHSSLLLQMDGRLNFEDAVASSPKRTGKSCHQCRQKKENFAATCKNFKKGKRCPIKFCNKCLLTRYGENAEVVGQVADWTCPKCRGCCNCSICQKRRGEQPTGPLYKNAKECGYMSVAEMLAVKKASKALNPSEATFEEELEVCNNGGDNVGAMCQGEVQRFPTIEEELLLPLAWN >Vigun02g127300.2.v1.2 pep primary_assembly:ASM411807v1:2:27942993:27945449:-1 gene:Vigun02g127300.v1.2 transcript:Vigun02g127300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKHGLYSHNSLSSFKSASSWFEVYAAFSTLTILLRTAINDLIPHQLRSYIVSKLEGFFCKYQPSNKASIKINQFWDETCGERNELFEAALTYLPTKITHTYKSLKVGRRQNQKHLELAVDGGDDVVDEFEGVKYTWKLNEGSREESMNRKNNFVLTFNEKQRQRVLDQYIPHVVKSYEAMKNERRILKLYSWLDDFWNESDLSHPATFDSLALSPELKKDIVDDLDRFLRRKELYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDVYDLELSSIISNSDLMRCMKEASNRCIIVIEDIDCNKEVHARSKPNGSSDDRDSESDSEGSKMKSKRFTLSGLLNYMDGLSTSGGEERIIIFTTNHKEKIDPALLRPGRMDMHIHMSFLKGKAFRMLASNYLGIEGDHPLFQQIDDLLEKVEITPAVVGEQLLRYEDPHRCLEELVQFLQQKVKDSNCDGVGRVVFSRSFDTDSLI >Vigun02g127300.1.v1.2 pep primary_assembly:ASM411807v1:2:27942981:27944910:-1 gene:Vigun02g127300.v1.2 transcript:Vigun02g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKHGLYSHNSLSSFKSASSWFEVYAAFSTLTILLRTAINDLIPHQLRSYIVSKLEGFFCKYQPSNKASIKINQFWDETCGERNELFEAALTYLPTKITHTYKSLKVGRRQNQKHLELAVDGGDDVVDEFEGVKYTWKLNEGSREESMNRKNNFVLTFNEKQRQRVLDQYIPHVVKSYEAMKNERRILKLYSWLDDFWNESDLSHPATFDSLALSPELKKDIVDDLDRFLRRKELYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDVYDLELSSIISNSDLMRCMKEASNRCIIVIEDIDCNKEVHARSKPNGSSDDRDSESDSEGSKMKSKRFTLSGLLNYMDGLSTSGGEERIIIFTTNHKEKIDPALLRPGRMDMHIHMSFLKGKAFRMLASNYLGIEGDHPLFQQIDDLLEKVEITPAVVGEQLLRYEDPHRCLEELVQFLQQKVKDSNCDGVGRVVFSRSFDTDSLI >Vigun05g154600.1.v1.2 pep primary_assembly:ASM411807v1:5:24670577:24672252:1 gene:Vigun05g154600.v1.2 transcript:Vigun05g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFPRLLHCMNVKVSDKVIRSAFDKNMCVVDVVVSDEEHGHDVVKEAFEEFGIAYRKQDLKDKEEVLHLLEYEEGEIVEMKHSISELEEMVAKCKDVVGKDEY >Vigun09g054300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5429567:5433247:-1 gene:Vigun09g054300.v1.2 transcript:Vigun09g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMEKSIYTFLTVHRWESLNCMKYRLASLRPVHGRLALKFLNWVIKQPNLELKHVTHIICTTTHILVRARMYNFAKTTLRQMLQLPIGLNSVFYALMETYPICNSNPAVFDLLIRVCLRDKMVGEAVQTFYLMGFRGLKPSVYTCNMVLGSLVKEEKVDMFWSFFKEMLTKGICPNVATFNILLNALCERGKFKSAGVLLRKMEESGVYPTAVTYNTLLNWYCKKGRYKAASELIDSMASKGIGADVCTYNVLVGNLCKEGRSAKGYLLLKRMRRKMVYPNEITYNTLINGFVKEGKIEVAAKVFDEMSSFNLLPNIVTYNTLIAGHCRMGNNREALRLMDVMVSCGLRPNEVTYGALLNGLSKHAEFGVVSSILERMRMDGVGVGHISYSAMMDGLCKHGRFEEAVQLLDDMLKISVSPDVVTFTVLINGFFRVGKINDAKEIMCKMYRTGLVPNSILCSTLIYNYCKMGYLKEALNAYAIMNRSGYAADHFTCNVLVAAFCRCGRLEEAEYFMEHMNRTGLDPNSITFDCVINSYGNSGDALKAFSMFDKMNWLGHLPSQFTYGGLLKALCRSGHINEAWKLFHRLCSVPNAVDNVIFNTMLTSICRSGNLSDAVALINEMVTNDFLPDNFTYTNIITGLCKKGKIVAALLFSGKAIEKRLLSPNPAVYTSLVYGLLKHGHSRAAFYIFEEMLSNCVEPDTIAFNVIIDQYLRKGKMSEVNDILSTMKSKNVCFNLATYNILLHGYAKRNAMARCFMLYKDMIRNGFLPDKYSWNSLILGYCKSKSFDVAIKILRWITLKGFITDCSTFNMLISKFCERNEMKMVYDLLYQMNQFTIIPNVDTYNALFNGLIRTSEFDKAHHILQALLESGSVPTIKQYITLINGMCRVGNIKGALKLQDEMKTYGVSSHNVAMSATVRGLAHSKKMENAIWVLDLMLERQIIPTVATFTTLMHVYCREANVAKALELRSTMEHCHLKLDVVAYNVLISGLCASGDIEAAFKLYEEMKHRNLWPNTSIYIVLIDSLCAGNYHTESEKLLRDIQARELVSFNSCGGTKRLNELLIIARKKLIHLRNKMRRKFG >Vigun05g216000.1.v1.2 pep primary_assembly:ASM411807v1:5:40797227:40799958:1 gene:Vigun05g216000.v1.2 transcript:Vigun05g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHRVSAVFILSLCFNIALGQTPTHSVLDQHWYPGTATWYGEPEGDGSTGGACGYGTLVDVKPLKARVGAVGSMLFKKGEGCGACYKVKCLDHSICSKRAVTVIVTDECPGCPSDRTHFDLSGSAFGRMAFAGENGQLRNRGEIPVVYRRTPCKYAGKNIAFHVNEGSTPYWLSLLVEFEDGDGDIGSMYIQEGGSSEWVEMSHVWGANWCIVRGPLRGPFSVKVSTSTGKTLTAKDVIPSNWTPKATYTSRLNFLS >Vigun02g181300.1.v1.2 pep primary_assembly:ASM411807v1:2:32202910:32205921:1 gene:Vigun02g181300.v1.2 transcript:Vigun02g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHRLRGFSKSFGGHIDPQKSEALKRTKTDMETELSRIAKLIKNEEQCKKDGKSMKVKEFVWLVEDFYIQSLYPQYSRLTGEYVKSDPSKVDKMSSASSSSSESEYFSAEETDYYSDISDSNQKPFPRETEPSTLELRNPELRVKQLLFTQNADLHRRIFELQVLLNKSKGTVSVLQGKLQTNEDRSASKIAELMARIRELEHEAKTMRTQKGKSEEKIRRNRSEALNQKKDFDDQINAMQHMLDSVRNHSKELEVQLERTRVEASQSCSDLAEEKECFLARIRELELELESRCRKQHHLEDRNNELERAMARRVQEISELRRDHEDFKEGATMHAEALKALVEKLKTSVVDSEETIDKLTECIEQMDAENREARIEYEEKELMLKEMVWKLEAMVSKEGGVKLNLMKEVKQLERKVEKLERIVKEKDYELMGLAEKKKEAIRQLCSLVEFHRNRYFYLKDSMSKSKRFW >Vigun02g181300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32202910:32205921:1 gene:Vigun02g181300.v1.2 transcript:Vigun02g181300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METELSRIAKLIKNEEQCKKDGKSMKVKEFVWLVEDFYIQSLYPQYSRLTGEYVKSDPSKVDKMSSASSSSSESEYFSAEETDYYSDISDSNQKPFPRETEPSTLELRNPELRVKQLLFTQNADLHRRIFELQVLLNKSKGTVSVLQGKLQTNEDRSASKIAELMARIRELEHEAKTMRTQKGKSEEKIRRNRSEALNQKKDFDDQINAMQHMLDSVRNHSKELEVQLERTRVEASQSCSDLAEEKECFLARIRELELELESRCRKQHHLEDRNNELERAMARRVQEISELRRDHEDFKEGATMHAEALKALVEKLKTSVVDSEETIDKLTECIEQMDAENREARIEYEEKELMLKEMVWKLEAMVSKEGGVKLNLMKEVKQLERKVEKLERIVKEKDYELMGLAEKKKEAIRQLCSLVEFHRNRYFYLKDSMSKSKRFW >Vigun10g184900.1.v1.2 pep primary_assembly:ASM411807v1:10:40129903:40130981:1 gene:Vigun10g184900.v1.2 transcript:Vigun10g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKISKSLKDCLTKIKTSQRSMVQLSSKKWVPKGCKHPRTPSIDMDKNKNIISKNTTNAKDDEAMLADIDRFLIENFKNLFLDDHREETTTKEQKSPKLDSIRFDSSARFDESPLNLFTDTTTEAGLSSLTMSEPEGVEEQTTVPSNCVVVLANSGRPTEDFRRSMEGMVEARLKKSEKVDWDFMQELLFCHMNLNQKKWHKFILNAFVDVATTLRQSPEISPTKSQPAQSVRTVRIGREVRKKTKEAITLEFGSP >Vigun03g089800.1.v1.2 pep primary_assembly:ASM411807v1:3:7555632:7561637:-1 gene:Vigun03g089800.v1.2 transcript:Vigun03g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGRPVSSKRRLKDLLLQKDNRFCADCNAPDPQWASANIGVFICLKCCGVHRSLGAHISKVLSVTLDEWSDEEIDAMIEVGGNSSANSIYEAYISQGSVKPGPDANHELRKNFIRSKYERQEFVKPSLRIKSGRMNSKGIMDNLRSTSGSHEDGKGGFIGNLKVKVIKGTDLAIRDMMTSDPYVIFTLGQQTVQTTVVKSNLNPVWNEELMLSVTRPFPLLNLKVFDYDFFSSDDIMGEADIDLQPLISSAMNYGDPSMFEDMQIGIWMKSQDNALIDDSEVKIVGGKVKQEILIKLQNVESGELELALEWMPFDH >Vigun03g154900.1.v1.2 pep primary_assembly:ASM411807v1:3:16476755:16479376:-1 gene:Vigun03g154900.v1.2 transcript:Vigun03g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHTIERFTIDTEMVAGIFQGQQQQFNSYNSGGRDLLNLRYVPPPPNMQSQLFSQQLITNCSKCINRLNNIGRILVPPL >Vigun05g026700.2.v1.2 pep primary_assembly:ASM411807v1:5:2166318:2168165:1 gene:Vigun05g026700.v1.2 transcript:Vigun05g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNSEMKIITVLSTVLLGCLLVITVQGVSSVPTGTVEYKNKLGVAAQEEAFVRSEGGHVRKIGVGGKKFSREEVKYVADGEASKISGATYSDGICEFDEREGDDKIVKCKGRKSVKPRKLKRDAFVAFTVDYQGPRHHLPKHN >Vigun05g026700.1.v1.2 pep primary_assembly:ASM411807v1:5:2166318:2168165:1 gene:Vigun05g026700.v1.2 transcript:Vigun05g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNSEMKIITVLSTVLLGCLLVITVQGVSSVPTGTVEYKNKLGVAAQEEAFVRSEGGHVRKIGVGGKKFSREEVKYVADGEASKISGNDNDGLKPSESSPDQNNEAQKPKQYLKSSKFVIRRSVFSSTNPKSCSQDCNSVAPKSDLGSFSNPEQGISEEAAKSSGEKDETQRFSEAAKEIASLIYKDYKGKPSHRPPINNQEPRN >Vigun03g370450.1.v1.2 pep primary_assembly:ASM411807v1:3:57289257:57293695:-1 gene:Vigun03g370450.v1.2 transcript:Vigun03g370450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAADSNKIHTDVLTKARETCYKARGAFYACLKKESNKNLTEIAYVGLLYPLECKQCRNEYVNRCRFSWVKHFDRQYCRNTRVQTLFDHMTAKSDKIHTDVLTEAREACYKARDAFYACLKKESDKNPTEIASVGLLYPLECKQCRNEYVKQCRSSWVKHFDRQYCQNKRVQTLLDDKGSTRENINPRYPVKGE >Vigun03g370450.2.v1.2 pep primary_assembly:ASM411807v1:3:57289941:57293695:-1 gene:Vigun03g370450.v1.2 transcript:Vigun03g370450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAADSNKIHTDVLTKARETCYKARGAFYACLKKESNKNLTEIAYVGLLYPLECKQCRNEYVNRCRFSWVKHFDRQYCRNTRVQTLFDHMTAKSDKIHTDVLTEAREACYKARDAFYACLKKESDKNPTEIASVGLLYPLECKQCRNEYVKQCRSSWVKHFDRQYCQNKRVQTLLDDKGSTRGPSNIKPNT >Vigun03g370450.3.v1.2 pep primary_assembly:ASM411807v1:3:57288438:57293695:-1 gene:Vigun03g370450.v1.2 transcript:Vigun03g370450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAADSNKIHTDVLTKARETCYKARGAFYACLKKESNKNLTEIAYVGLLYPLECKQCRNEYVNRCRFSWVKHFDRQYCRNTRVQTLFDHMTAKSDKIHTDVLTEAREACYKARDAFYACLKKESDKNPTEIASVGLLYPLECKQCRNEYVKQCRSSWVKHFDRQYCQNKRVQTLLDDKGSTRVYDEITRL >Vigun03g078500.1.v1.2 pep primary_assembly:ASM411807v1:3:6484017:6487971:-1 gene:Vigun03g078500.v1.2 transcript:Vigun03g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGILSVSLTNPPFARQRHSLFLLATLFSSTRDVYHANLKITALSRAGKVDAARKLFDQMPTKDVVTWNSMLSAYSQNGLIQHSKTLFHSMPLRNVVSWNSIIAACVQNDDLDDAFRYFAAAPEKNAASYNAVISGLARCGRVRDAQRLFEEMPHPNVVSYTAMVDAYARVEGGIGRARALFEAMPRRNAVSWTVMINGLLENGLYEEAREVFGRMPQKNDVARTAMITGFCKQGKMEEARALFEEIRCRDRVSWNIIITGYAQNGRGEEALNLFSQMIRTGMRPDDVTFVSVFIACASLASLEEGRQVHVLVIKHGFDSHLSVSNNLITMHSKCGGIVDSELVFGQISHPDIISWNTIIAAFAQHGLYDKARSYFDQMVTLSVQPDGITFLSLLSACCRAGKVDESMNLFSLMVHSYGIPPRSEHYACLVDVMSRTGQLHKACKIIHEMPFKADSSIWGAVLAACSVHSNVKLGELAATRILNLDPNNSGAFVMLSNIYAAAGKWKDVHRIRVLMKEQGVKKQSANSWLQIGNRVHYFVGGDPSHPNINDIHVALRRITSHMKVKSHIEDFFL >VigunL059113.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000653.1:36086:36523:-1 gene:VigunL059113.v1.2 transcript:VigunL059113.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHEELKNLANTLNLNQIILPESTSPKTLLPQLHLLPHAAPTIEATHCQVAGDAANNGAGLHHRSSSDGSSASLKCAEHHSMASMFASLLRLVNIVLLQCYQAIAVDIHHQNVPPKTINSTTPHITVTPFLSIQNLSFPVQFQF >Vigun09g063000.1.v1.2 pep primary_assembly:ASM411807v1:9:6564475:6568205:-1 gene:Vigun09g063000.v1.2 transcript:Vigun09g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSRGWDSGMVMETLRQRGWCLEDTDNLKAIIVIQSALADEPSKFLRSVESELLNSDLRSIGAKSLPQPSLLRNASSFLHGPKVLQINSVRDISKSSVDEFLRNSGDRRVLRLCLTDGHSEITAVEYSHVPSIPDNVVPGTKIRLENKVAVHSGIVCLTPKVLTVLGGVVQSLYDEWEMNKKYSGFSRSSLRKLENRDTGGPPQFVKLQVGSYSGITDYNSSRSRKPVADVGEAEMRPTGIADYNSSRNHKQTAMLSTTEKRPTDIPQGPNQKADILDVNLESNLPQQRAQDKASSSGTRPKEVVESVPVQNQAAAQKLLQKLNQPNQNDRRGRGWKHRGKGKEEDPVVFTLEEYENRKAQTKLTNMDKDLYISHDEDLARQLQNQLNLEDSREGWGVGTHEAKAQDIRMSMFTYERDSESSHQMAQGGRRGRGRGRGRGRGRGRGRYG >Vigun03g077900.1.v1.2 pep primary_assembly:ASM411807v1:3:6437412:6437893:-1 gene:Vigun03g077900.v1.2 transcript:Vigun03g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSYHYYTTTSLLIVLLLHNFLSLVSASNHPHTAISLRELLFEEKNRLGSIPPSCHNKCNDCHPCMAVQVPTLPSHDSNPPDLTQTSAMATFFNPSSPQGNRYSNYKPLGWKCHCGDHFFNP >Vigun03g021700.1.v1.2 pep primary_assembly:ASM411807v1:3:1598128:1600684:-1 gene:Vigun03g021700.v1.2 transcript:Vigun03g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNVKSIADAEVEETMRFDKSLQELRELRSQLHKAAEYCETAFSKSEENNDVVDDTKEYICRSMVTVIDHLGNVSSNLDGLISHTNAFSEAELRIQCLQQRLLSCEQYARKLALPKMQCNENSLRFHSRYLSPSPSLDRSSSKKVTRDSEGEAPLKLDDKHLPETYEDLPLFMYTHKPQIQNLKPTTGTLNSHNNLAMVAPIKDGLSFLSKVPNPKFHFQSTQKVGRQRRSLHGSDILWLLRRSKRVQ >Vigun03g021700.3.v1.2 pep primary_assembly:ASM411807v1:3:1598128:1600684:-1 gene:Vigun03g021700.v1.2 transcript:Vigun03g021700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNVKSIADAEVEETMRFDKSLQELRELRSQLHKAAEYCETAFSKSEENNDVVDDTKEYICRSMVTVIDHLGNVSSNLDGLISHTNAFSEAELRIQCLQQRLLSCEQYARKLALPKMQCNENSLRFHSRYLSPSPSLDRSSSKKVTRDSEGEAPLKLDDKHLPETYEDLPLFMYTHKPQIQNLKPTTGTLNSHNNLAMVAPIKDEYTEGWTPEKILAW >Vigun03g021700.4.v1.2 pep primary_assembly:ASM411807v1:3:1598128:1600684:-1 gene:Vigun03g021700.v1.2 transcript:Vigun03g021700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNVKSIADAEVEETMRFDKSLQELRELRSQLHKAAEYCETAFSKSEENNDVVDDTKEYICRSMVTVIDHLGNVSSNLDGLISHTNAFSEAELRIQCLQQRLLSCEQYARKLALPKMQCNENSLRFHSRYLSPSPSLDRSSSKKVTRDSEGEAPLKLDDKHLPETYEDLPLFMYTHKPQIQNLKPTTGTLNSHNNLAMEYTEGWTPEKILAW >Vigun03g021700.2.v1.2 pep primary_assembly:ASM411807v1:3:1598128:1600684:-1 gene:Vigun03g021700.v1.2 transcript:Vigun03g021700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNVKSIADAEVEETMRFDKSLQELRELRSQLHKAAEYCETAFSKSEENNDVVDDTKEYICRSMVTVIDHLGNVSSNLDGLISHTNAFSEAELRIQCLQQRLLSCEQYARKLALPKMQCNENSLRFHSRYLSPSPSLDRSSSKKVTRDSEGEAPLKLDDKHLPETYEDLPLFMYTHKPQIQNLKPTTGTLNSHNNLAMVAPIKDGLSFLSKVPNPKFHFQVSVSSVPCDK >Vigun09g020600.1.v1.2 pep primary_assembly:ASM411807v1:9:1586249:1589478:-1 gene:Vigun09g020600.v1.2 transcript:Vigun09g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAQVTVFADTNLGTHIAFNAPPDITAASLKRDFEKAHLSCLPDIGEIQVNGLMVKRKSLFYNLPDSFPIKFAFPAMLGTWFLHVEVKHLKRLCTPCSPCDEDALSKHKSLMICKGDNARCNSEEKKTKGFQPGACLVEEHEITNIVSKKPEKNEISHENQDQNAATGMSEGNPCMFGDKPTSMAKSSYVMPEENKLENLVELYPNSMQSSHSKMSTQLISVTGIINKYFTSFNGIDNFSSSSNSDLTSRAVHSEIEVHSKARKRGCLKIKRNSLPRFAPKTCPRVLHTPLVSKKSGSKNRKLKPGKRPLSGSKGRKSRMGTRLLSASRNLGVSITKHDPTIPFRILKDSKLLQGKSQMKGSIFSISGSDDD >Vigun09g020600.5.v1.2 pep primary_assembly:ASM411807v1:9:1586249:1589478:-1 gene:Vigun09g020600.v1.2 transcript:Vigun09g020600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSLFYNLPDSFPIKFAFPAMLGTWFLHVEVKHLKRLCTPCSPCDEDALSKHKSLMICKGDNARCNSEEKKTKGFQPGACLVEEHEITNIVSKKPEKNEISHENQDQNAATGMSEGNPCMFGDKPTSMAKSSYVMPEENKLENLVELYPNSMQSSHSKMSTQLISVTGIINKYFTSFNGIDNFSSSSNSDLTSRAVHSEIEVHSKARKRGCLKIKRNSLPRFAPKTCPRVLHTPLVSKKSGSKNRKLKPGKRPLSGSKGRKSRMGTRLLSASRNLGVSITKHDPTIPFRILKDSKLLQGKSQMKGSIFSISGSDDD >Vigun09g020600.3.v1.2 pep primary_assembly:ASM411807v1:9:1586249:1589195:-1 gene:Vigun09g020600.v1.2 transcript:Vigun09g020600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSLFYNLPDSFPIKFAFPAMLGTWFLHVEVKHLKRLCTPCSPCDEDALSKHKSLMICKGDNARCNSEEKKTKGFQPGACLVEEHEITNIVSKKPEKNEISHENQDQNAATGMSEGNPCMFGDKPTSMAKSSYVMPEENKLENLVELYPNSMQSSHSKMSTQLISVTGIINKYFTSFNGIDNFSSSSNSDLTSRAVHSEIEVHSKARKRGCLKIKRNSLPRFAPKTCPRVLHTPLVSKKSGSKNRKLKPGKRPLSGSKGRKSRMGTRLLSASRNLGVSITKHDPTIPFRILKDSKLLQGKSQMKGSIFSISGSDDD >Vigun09g020600.4.v1.2 pep primary_assembly:ASM411807v1:9:1586249:1589478:-1 gene:Vigun09g020600.v1.2 transcript:Vigun09g020600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSLFYNLPDSFPIKFAFPAMLGTWFLHVEVKHLKRLCTPCSPCDEDALSKHKSLMICKGDNARCNSEEKKTKGFQPGACLVEEHEITNIVSKKPEKNEISHENQDQNAATGMSEGNPCMFGDKPTSMAKSSYVMPEENKLENLVELYPNSMQSSHSKMSTQLISVTGIINKYFTSFNGIDNFSSSSNSDLTSRAVHSEIEVHSKARKRGCLKIKRNSLPRFAPKTCPRVLHTPLVSKKSGSKNRKLKPGKRPLSGSKGRKSRMGTRLLSASRNLGVSITKHDPTIPFRILKDSKLLQGKSQMKGSIFSISGSDDD >Vigun05g158133.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25561454:25561780:-1 gene:Vigun05g158133.v1.2 transcript:Vigun05g158133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KQRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKRRDFRGLWISRINAVIRENKKVSYIYNNLINSLYTKQLLLNRKIVAQIAILKANCLFMIVDNIIKT >Vigun02g120100.1.v1.2 pep primary_assembly:ASM411807v1:2:27251747:27257381:-1 gene:Vigun02g120100.v1.2 transcript:Vigun02g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDQIGDLANVAQLTGVDAVKLIGMIVRAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEMDQREYTLDDDDQKAQTVIFKPEPDIDDTAVLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANLDMNQCQVIQRLLDVTEVAAYSVPEKSSPEKNHKKEEYNYSKDHSDNEHSSDEKHHAKVDKHSQSRYSVAQKDLVSAGGSYQEEDWHTDLLACCSEPSLCMKTFFYPCGTFSKIASVARNRPISSGEACNDLMAYSLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGISGPEKAKTSPPPSQYMEY >Vigun02g120100.2.v1.2 pep primary_assembly:ASM411807v1:2:27251747:27257381:-1 gene:Vigun02g120100.v1.2 transcript:Vigun02g120100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDQIGDLANVAQLTGVDAVKLIGMIVRAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEMDQREYTLDDDDQKAQTVIFKPEPDIDDTAVLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANLDMNQCQVIQRLLDVTEVAAYSVPEKSSPEKNHKKEEYNYSKDHSDNEHSSDEKHHAKVDKHSQSRYSVAQKDLVSAGGSYQEEDWHTDLLACCSEPSLCMKTFFYPCGTFSKIASVARNRPISSGEACNDLMAYSLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGISGPEKAKTSPPPSQYMEY >Vigun02g120100.4.v1.2 pep primary_assembly:ASM411807v1:2:27251747:27257381:-1 gene:Vigun02g120100.v1.2 transcript:Vigun02g120100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDQIGDLANVAQLTGVDAVKLIGMIVRAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEMDQREYTLDDDDQKAQTVIFKPEPDIDDTAVLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANLDMNQCQVIQRLLDVTEVAAYSVPEKSSPEKNHKKEEYNYSKDHSDNEHSSDEKHHAKVDKHSQSRYSVAQKDLVSAGGSYQEEDWHTDLLACCSEPSLCMKTFFYPCGTFSKIASVARNRPISSGEACNDLMAYSLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGISGPEKAKTSPPPSQYMEY >Vigun02g120100.3.v1.2 pep primary_assembly:ASM411807v1:2:27251747:27257381:-1 gene:Vigun02g120100.v1.2 transcript:Vigun02g120100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDQIGDLANVAQLTGVDAVKLIGMIVRAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEMDQREYTLDDDDQKAQTVIFKPEPDIDDTAVLKKTLSCSYPNCSFTEALKKENEKLKLELQRSQANLDMNQCQVIQRLLDVTEVAAYSVPEKSSPEKNHKKEEYNYSKDHSDNEHSSDEKHHAKVDKHSQSRYSVAQKDLVSAGGSYQEEDWHTDLLACCSEPSLCMKTFFYPCGTFSKIASVARNRPISSGEACNDLMAYSLILSCCCYTCCVRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGISGPEKAKTSPPPSQYMEY >Vigun01g095500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g095500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g095500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g095500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g095500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g095500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25975908:25980697:-1 gene:Vigun01g095500.v1.2 transcript:Vigun01g095500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVLECLGVEIIGVMSPVSICMFLVVLLVYALSSPSSSAATITTAANLVYAENPTDSPAEKFEGALLNAVVFVGLIAIVTFLLVLLYYYNCTAFLRHYTRFSAFFVLASMGGSILLSLLQRFSIPLDAVTSLLLLFNFTVVGVLSVFAGGIPIILRQAYMVCLGIIVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVDLASTRNEELPALVYEARPTVATRTPAALGFIVAGVSDSEASRIELQVVSRDNVSNNDDEDDIDVNHGRSDEGESSPLVGGMRFGEDVINEEMSPLVEMSGIGDGEEAERVMREIGGERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >Vigun01g218100.2.v1.2 pep primary_assembly:ASM411807v1:1:39181983:39186453:1 gene:Vigun01g218100.v1.2 transcript:Vigun01g218100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLSNRVLCFIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSSTTTMMLYSVEAESNKSVRGSLLDTGNFVLYEFNLDGSVKRVLWQSFDYPTDTMLPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSLDPKTNQLVSIWRGDIIWSSGEWRNGSFSNLKASSLDREKLNFSFFSNESVTYFEFSSVSGYLIIEPLGKMNASGVSYSCVDGEIVPGCTTVQPPKCRKDSDLYFPSWNSFGAMSRKGYVFDERENLTISDCWMRCLKNCSCEAYTYAFKDATGCEIWSRDTSHFVETSSGVGRLVFFFLSETKTKGKKRRIWIAGTAAGVLFLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDACDEGREQWNEKRTRSDTHKFDFVTILQATDNFSFANKIGEGGFGPVYKGKLANGQKIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFVVICLQNMQ >Vigun01g218100.3.v1.2 pep primary_assembly:ASM411807v1:1:39181983:39186453:1 gene:Vigun01g218100.v1.2 transcript:Vigun01g218100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLSNRVLCFIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSSTTTMMLYSVEAESNKSVRGSLLDTGNFVLYEFNLDGSVKRVLWQSFDYPTDTMLPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSLDPKTNQLVSIWRGDIIWSSGEWRNGSFSNLKASSLDREKLNFSFFSNESVTYFEFSSVSGYLIIEPLGKMNASGVSYSCVDGEIVPGCTTVQPPKCRKDSDLYFPSWNSFGAMSRKGYVFDERENLTISDCWMRCLKNCSCEAYTYAFKDATGCEIWSRDTSHFVETSSGVGRLVFFFLSETKTKGKKRRIWIAGTAAGVLFLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDACDEGREQWNEKRTRSDTHKFDFVTILQATDNFSFANKIGEGGFGPVYKGKLANGQKIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFDANKRTVLDWKRRYKIIEGIAQGLVYLHQYSRLKVIYRYLKASNILLDNELNPKISDFGMARILKWTLLEEKTNRVVGT >Vigun01g218100.1.v1.2 pep primary_assembly:ASM411807v1:1:39181983:39186453:1 gene:Vigun01g218100.v1.2 transcript:Vigun01g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLSNRVLCFIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSSTTTMMLYSVEAESNKSVRGSLLDTGNFVLYEFNLDGSVKRVLWQSFDYPTDTMLPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSLDPKTNQLVSIWRGDIIWSSGEWRNGSFSNLKASSLDREKLNFSFFSNESVTYFEFSSVSGYLIIEPLGKMNASGVSYSCVDGEIVPGCTTVQPPKCRKDSDLYFPSWNSFGAMSRKGYVFDERENLTISDCWMRCLKNCSCEAYTYAFKDATGCEIWSRDTSHFVETSSGVGRLVFFFLSETKTKGKKRRIWIAGTAAGVLFLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDACDEGREQWNEKRTRSDTHKFDFVTILQATDNFSFANKIGEGGFGPVYKGKLANGQKIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFDANKRTVLDWKRRYKIIEGIAQGLVYLHQYSRLKVIYRYLKASNILLDNELNPKISDFGMARILKWTLLEEKTNRVVGTYGYMSPEYAMSGVISTKIDVYSFGVLLLEIVTGKKNISDDFSLNLIGYAWQLWNEGKALKLIDTIVNGSSNHIQVMRCIHIGLLCTQDKAKDRPTMLEVISFLSNENAELPSPIQPPLYTIKGAKEAEQHKYCSNNDITMSITSGR >Vigun01g218100.4.v1.2 pep primary_assembly:ASM411807v1:1:39181982:39186453:1 gene:Vigun01g218100.v1.2 transcript:Vigun01g218100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLSNRVLCFIIIIITFTSFLQLAEPSNTREDTLLQGHPLAATDRLISPSSLYTLRFFQLDDGSEANTKFYLGISAKKYYYYVWLANRDNPIYDDPGVLTIDQYGNLKIVSSTTTMMLYSVEAESNKSVRGSLLDTGNFVLYEFNLDGSVKRVLWQSFDYPTDTMLPGMKLGYDKHSGHKWSLTARRSYKTLWSGSFSLSLDPKTNQLVSIWRGDIIWSSGEWRNGSFSNLKASSLDREKLNFSFFSNESVTYFEFSSVSGYLIIEPLGKMNASGVSYSCVDGEIVPGCTTVQPPKCRKDSDLYFPSWNSFGAMSRKGYVFDERENLTISDCWMRCLKNCSCEAYTYAFKDATGCEIWSRDTSHFVETSSGVGRLVFFFLSETKTKGKKRRIWIAGTAAGVLFLIVSFIASFIMFWRKQKETVENRKKRTNVFSDIGENTEISDACDEGREQWNEKRTRSDTHKFDFVTILQATDNFSFANKIGEGGFGPVYKGKLANGQKIAIKRLSKSSGQGLVEFRNEAMLIVKLQHTNLVRLLGFCIDREERILVYEYMANKSLNLYLFDANKRTVLDWKRRHGNYGMKGKL >Vigun07g236000.1.v1.2 pep primary_assembly:ASM411807v1:7:35764654:35768024:1 gene:Vigun07g236000.v1.2 transcript:Vigun07g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKWLRNLLTGKKDKEKEKEKSTSNLNFASNGTENSATPTSTTPKEKKRWSFRRSSATSTATPTAVSKELNFVEQRVTASQTVQADTDDQNEERKHAMAVAAATAAAADAAVAAAQAVAVVIRLTSASNATSKSIEEAAAIKIQSVFRSHLARKALCALRGLVKLQALVRGHLVRKQAKETLRCMQALVTAQARARIQRIRMGSEGIPNQQQRKATDDDLFRQIYNEMERGLEDNIKIVEMDVAETKSNSRSRSSSVYREGHQEQHDHRFSTHYSTNGSYSKEENYKVSPAPSALTELSPREYSGHFEDCFSTAQSSPQFSAVSRTENSKHPFSFPRTDYAESMCYDYPLFPNYMANTESSRAKVRSHSAPKQRPDSFERQPSRRRASVEGRNVPRPMRMQRSSSHVSAAAQNYQYPWSIKLDRSAVSLKDSECGSTSTVLTNTNYCRSLVAFDPRGDRY >Vigun01g097300.1.v1.2 pep primary_assembly:ASM411807v1:1:26345061:26351172:-1 gene:Vigun01g097300.v1.2 transcript:Vigun01g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIGIKIRKVVVTSIRGGFRSACNHPFLLGFLCFLLLLYRSFPFLFSILVSASPVLVCTAILLGTLLSFGQPNVPEVEKEDKVTHSLSSFQAGFSEGETVFSDRDESYFVKGYSQNMSDVEERGIEEASLVAERDNRTEEDQDLRSELPPDDANLLDIQPEKQKTGEVVGERELHSFELGKNKEIHEENLTSAAVSSDDEAIEKQYVLVQKMDDDTFEFENEKSPRDHVDFSASSSWKQVENDDDGDDNVSVESGSDGAESSSPDASMADIIPILDELHPLLDLDAPQPALVSRDGSDAASEKSQNSDDDSVESDDDTENPGDVEDDGIDEPDDEEEEEATGGKEDESKSAIKWTEDDQKNLMDLGNLELERNTRLENLIARRRQRRLMSEKNLIDLDFADIPSNVGPIATTRRNPFDFPDDSFAAMGLPPIPGSAPSILQPRRNPFDIPYDSSEEKPDLKGDSFQQEFTVFHQKDALFRRHESFSMGPSVLGLSRQERYDWKPVFVSERMTSEGTSYSSFHRQSSEVSDSKLSSVPDTESLSSIDQDDRKFSEQDLSQENELVSNMDNASDVVEHGSHTSEENDSVEMIQVEESNVLHDEVEIVLGGVENPSVAFYPETGEVEIHEQFNAGETHLRREPSDEESDSHNSRSSHSSLSEVIDSTPDHKIESLQEGDDHVSKSGISTQVSVQESNLQHVSSSEVEDNHHVEPVYDSSPQASHDSALEFSERAMPPASVETANVADKELNVHDHRQESNTSDHDKTQAASSEHHVEAQNELRSEKSEDVYVAVNELSSANTSTVAEPQVIPVSVDSNLSSDLGSITSVINSDLVLADHIRADSEILHQDNINSVDSDSERSHLSDNESLEESALPNEISRSFSANVSVLVQDADEMLDSGASDAHHISSDGSFMPAQQDLHLAPAAGPAPVDHPSPPEESGHTEKNSSNNDGICQIQQGNALTSSVEQGDLDKNVVVFTSDSQHESDEKPSSNMENRKSISDKSVVAQSFSDHDESQSSYAIHVESEQSFGKSNDETGELHDATEKVQPSISSVTSEKSQNPEFGSPSGEVDLEVDRHGAVEKEAEVLETAVASEESMSQVTEENINEFDDMKEIDEGFLSELDTVGDFRVNDAGVSPHTDTEHEKTGDSQVFSLHKDVKIEEIEQGIPVLEARSLEDVNLAFKQLQEGVDVKEVMLPSTIKDQHASEESKDHLEANSDLQVVEARSLEDINIALKQASEGNKGELPNSLDLKATSVKVEETGVGSSKVNESSSEETSRTMADKSENVPNSGSSDKAKSHSKKSSSSSSSSSSSSDSD >Vigun03g137200.5.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMHAPITRTGPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQVISAEKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g137200.2.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLRWFVGLNQKNWSTKRLVHVEHQHPGPARPNQLPVLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRWEDGEDSYPGIPARVVQYEAPEVGSDNLCRVWMIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQVISAEKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g137200.3.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLRWFVGLNQKNWSTKRLVHVEHQHPGPARPNQLPVLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRWEDGEDSYPGIPARVVQYEAPEVGSDNLCRVWMIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g137200.4.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLRWFVGLNQKNWSTKRLVHVEHQHPGPARPNQLPVLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRWEDGEDSYPGIPARVVQYEAPEVGSDNLCRVWMIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMHAPITRTGPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g137200.1.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLRWFVGLNQKNWSTKRLVHVEHQHPGPARPNQLPVLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRWEDGEDSYPGIPARVVQYEAPEVGSDNLCRVWMIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMHAPITRTGPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQVISAEKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g137200.6.v1.2 pep primary_assembly:ASM411807v1:3:13433808:13448228:-1 gene:Vigun03g137200.v1.2 transcript:Vigun03g137200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDTDNSFSTPPFHIKYARQDVHLSIMISFYLSFDVCEVQSSSVILKFELMHAPITRTGPELQGSLDPYAASVHEYKIPPKALRGLHSYCPVHFDAFHAVLVDTSIHISLLKASYHTSQQKVSSESRGSEGAYVEDYVGSNKVMLIKALMAAYDILLEDLRRISTGIGKAIDLTEITFESDATEWFAPTPPASVKSVHGEPSLQLSDMAQKSAYCINNLTEKSIQPFSWDDHLLSSFQSLGNQLLCLWNIFLKFHRENRTKILEFLRKSWVIDRRTEWSIWMVYSKLKMPHQNMNYGVEGTSMSHGVHRSSILTRRFTDDPIQSATMRAELHRRGISQMRINNRSLQDMFIFGDPLLIPIIIIERLTNGNHSASVNLDFNPLDDKKRHIVENGSRAPKKLGGGSSQQNELVLRIVVFVHGFQGNHLDLRLIRNQWLLIDPKIQFLMSEANEDKTSGDFREMGSRLAQEVISFLKKKMDKASRSGTLKDIKLSFVGHSIGNLIIRTALTESIMEPYLRYLQTYVSISGPHLGYMYSSNSLFNSGLWILKKLKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLANFRNVLLLSSPQDGYVPYHSARIEPCPASSVDFSRRGKIFMEMLNNCLDQIRAHSDDRVVMRCDINFNTSSYGRNLNTLIGRTAHIEFLESDIFAKFIMWSFPELFC >Vigun03g049000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3923412:3924224:1 gene:Vigun03g049000.v1.2 transcript:Vigun03g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQMKKIQAMKRYKKQQFLNNLYVYFVSALTCSVFCFVTFCMPYLSSLLRVFFLVHISSLVPVLLSSKLLFIIGNLIIFFLVVNSRILSSDPSSTPVVYYDEYIRSSQTPKPRVTTVEVCNQGKALLEKHVVGENLDINSTEKGTETFKEDDDNIDAGDGQRLIPSCLIPSCSDELNKRADDFIARVNRQRRLELSLLNYGGSY >Vigun07g040300.1.v1.2 pep primary_assembly:ASM411807v1:7:3959164:3964732:1 gene:Vigun07g040300.v1.2 transcript:Vigun07g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPQFSSTVNPNPNTHPSPNVFLDPTSDSIPNLLQNPNSTTLSHYLSFSVPKKRRRGRSQRNPASFRLPLALPTDSPSSSSSRTPASDEIIVINKEAKTEALIALTAGFPADSLTEEELDAAVLPVIGGIEQVNYTLIRNHIIAKWRENVSNWVSKKTFLDYIPQHYHVLLDSAYNYLVSHGYINFGVASPIKEKIPVEASKPSVIIVGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRLCAAADLGGSVLTGTLGNPLGIVGRQLGELLHKVRDKCPLYCVDGRPVDPDTDVKVESAFNRMLDKASRLRQLMGEVSVDVSLGAALETFQQVFKDSVSDEELSLFNWHLANLEYANAGLLSNLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALAENVPILYEKTVHTIRYSGDGVQVIAGNQVFEGDMALCTVPLGVLKNGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVLQILKGIYEPKGINVPEPIQTVCTRWGSDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHYNIRTLKVKVDKAPSNAHSCASLLADLFREPDIEFGSFSIIFAHKNTDPKSPAILRVTFGEARKKCNEVAKQDQQHSNKLLFQQLQSHFNQQQQLHVYTLLTRQQVLDLREVRGGDEMRLNYLCEKLGVKLVGRKGLGVNADSVIDFIKSERGNRKPVSTSLALKPGVSSKLKAGITKRKLIRRAKVVRKSNGSIDVGSAAKLSEEVKMTDLVLPDVTVSGTNQSDLSNP >Vigun07g040300.3.v1.2 pep primary_assembly:ASM411807v1:7:3959164:3964760:1 gene:Vigun07g040300.v1.2 transcript:Vigun07g040300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPQFSSTVNPNPNTHPSPNVFLDPTSDSIPNLLQNPNSTTLSHYLSFSVPKKRRRGRSQRNPASFRLPLALPTDSPSSSSSRTPASDEIIVINKEAKTEALIALTAGFPADSLTEEELDAAVLPVIGGIEQVNYTLIRNHIIAKWRENVSNWVSKKTFLDYIPQHYHVLLDSAYNYLVSHGYINFGVASPIKEKIPVEASKPSVIIVGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRLCAAADLGGSVLTGTLGNPLGIVGRQLGELLHKVRDKCPLYCVDGRPVDPDTDVKVESAFNRMLDKASRLRQLMGEVSVDVSLGAALETFQQVFKDSVSDEELSLFNWHLANLEYANAGLLSNLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALAENVPILYEKTVHTIRYSGDGVQVIAGNQVFEGDMALCTVPLGVLKNGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVLQILKGIYEPKGINVPEPIQTVCTRWGSDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHYNIRTLKVKVDKAPSNAHSCASLLADLFREPDIEFGSFSIIFAHKNTDPKSPAILRVTFGEARKKCNEVAKQDQQHSNKLLFQQLQSHFNQQQQLHVYTLLTRQQVLDLREVRGGDEMRLNYLCEKLGVKLVGRKGLGVNADSVIDFIKSERGNRKPVSTSLALKPGE >Vigun07g040300.2.v1.2 pep primary_assembly:ASM411807v1:7:3959164:3964731:1 gene:Vigun07g040300.v1.2 transcript:Vigun07g040300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPQFSSTVNPNPNTHPSPNVFLDPTSDSIPNLLQNPNSTTLSHYLSFSVPKKRRRGRSQRNPASFRLPLALPTDSPSSSSSRTPASDEIIVINKEAKTEALIALTAGFPADSLTEEELDAAVLPVIGGIEQVNYTLIRNHIIAKWRENVSNWVSKKTFLDYIPQHYHVLLDSAYNYLVSHGYINFGVASPIKEKIPVEASKPSVIIVGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRLCAAADLGGSVLTGTLGNPLGIVGRQLGELLHKVRDKCPLYCVDGRPVDPDTDVKVESAFNRMLDKASRLRQLMGEVSVDVSLGAALETFQQVFKDSVSDEELSLFNWHLANLEYANAGLLSNLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALAENVPILYEKTVHTIRYSGDGVQVIAGNQVFEGDMALCTVPLGVLKNGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVLQILKGIYEPKGINVPEPIQTVCTRWGSDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHYNIRTLKVKVDKAPSNAHSCASLLADLFREPDIEFGSFSIIFAHKNTDPKSPAILRVTFGEARKKCNEVAKQDQQHSNKLLFQQLQSHFNQQQQLHVYTLLTRQQVLDLREVRGGDEMRLNYLCEKLGVKLVGRKGLGVNADSVIDFIKSERGNRKPVSTSLALKPGVSSKLKAGITKRKLIRRAKVVRKSNGSIDVGSAAKLSEEVKMTDLVLPDVTVSGTNQSDLSNP >Vigun07g050700.1.v1.2 pep primary_assembly:ASM411807v1:7:5277974:5281355:1 gene:Vigun07g050700.v1.2 transcript:Vigun07g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTSPPPPQPPSSSSSSFSSTFFNLRDPKPKPTLILLYTFLFLSLLSLTLILYLSHSTIHTHSGPDPFLHPTHAHRLVFDPHKPSPPPPPAVAYLISGSRGDAARILRLLDATYHPLNVYLLHLDASAPHAERELVAVTVQSNPIFKAAQNVHVVGKPDFSYPKGSSPVSLRLHAASVLLRLSLDWDWFVSLSADAYPLVTQDDLLHILSFLPKDMNFVNHSSYIGWKEARKLKPIIVDPGLYLSEGTEMFYATQKRELPSAYRVFTGSSFSILSRRFMEFCILGEDNLPRILLMYFANTPSSLSNYFPTVLCNSRQFNRTVINQNLLYGVQDSHRNDLRPLNSTDFDDMIRSGAIFAEKFHKDDPVLDLIDQNLLGRTPRSVVPGGWCLGEAGNNTCLTWGDAKILRPGAGSQRLEKAIVELLANGIFRSRQCIYE >Vigun02g133600.1.v1.2 pep primary_assembly:ASM411807v1:2:28404823:28406488:-1 gene:Vigun02g133600.v1.2 transcript:Vigun02g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKEKNGQCQLPKENGNGENGDNHTFNSYACACVLASTIISGTFGYETGVMAGAVLFIKEELLISDVQVGLLVGILNVFALPGCMVAGRTSDYLGRRYTIILASIIFLSGSILMGYGPSYLILIIGRSIVGFGVGFASMIAPLYSAEISSPSHRGFLTSLPDVSLNFGLLFGYVSNYFLGKLTLKLGWRTMLALPAIPSLVLVILMLKLAESPRWLVMQGRVGEARKVLMLVSNTKEEAEQRLKEIKKAAGIDEKCSQDIVEVPKKSRSGAGALKELLWKPSAPVRRIVIAAIGVHMFQQICGIEGILLYSPRVFERAGMIGKSNLLLATVGMGISQALFTFISAFLLDRVGRRILLLISAGGVVVTLLGLGVCMAMVEHSKEKQLWAIRFIIVFIYICVAFVGLGIGPVTWVYSSEIFPLRLRAQGLGVGVTVNRISNVVVVTSFISVYKKITMSGSFFLYTAITALAGWFYFTLPETKGRSLEDMEAIFEKHSKSETQNL >Vigun01g119500.2.v1.2 pep primary_assembly:ASM411807v1:1:29581701:29584233:-1 gene:Vigun01g119500.v1.2 transcript:Vigun01g119500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKKARVSDDEEMDVQGDETSLYQVLGVERTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGCVDDDDLAGDVRNLQEFFRTMYKKVTEADIEEFEANYRGSDSEKNDLIDLYKKCKGNMNRLFCSMLCSDPKFDSHRFKDILDEAIAAGELKTTKAYQKWAKEISETKPPPNPLKREKSNKQSETDLYAMIAQRQNERKGQFDSMFSSLVSKYGGGDMPEPSEEEFEATRRKLETGRSSKKSKQSKRK >Vigun01g119500.1.v1.2 pep primary_assembly:ASM411807v1:1:29581047:29584138:-1 gene:Vigun01g119500.v1.2 transcript:Vigun01g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKKARVSDDEEMDVQGDETSLYQVLGVERTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGCVDDDDLAGDVRNLQEFFRTMYKKVTEADIEEFEANYRGSDSEKNDLIDLYKKCKGNMNRLFCSMLCSDPKFDSHRFKDILDEAIAAGELKTTKAYQKWAKEISETKPPPNPLKREKSNKQSETDLYAMIAQRQNERKGQFDSMFSSLVSKYGGGDMPEPSEEEFEATRRKLETGRSSKKSKQSKRK >Vigun09g267100.2.v1.2 pep primary_assembly:ASM411807v1:9:43040871:43045685:1 gene:Vigun09g267100.v1.2 transcript:Vigun09g267100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVPSPVDQPVKPGSESEYSDDEKKKRVGSFKKVAMSASLKFRGSLTKKGRRHSRVMSLAIEDDLDAEELQAVDALRQALVLEELLPSRHDDHRMMLRFLRARKFDIEKTKQMWADMLKWRQEFGADTISEDFEFTEQDEVLKYYPQGHHGVDKDGRPVYIEKLGQVDSAKLMQVTTMDRYLKYHVKEFERTFAVKLPACSIAAKKHIDQSTTILDVQGVGLKSLNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPDIIKMIQNGEGKCKRQTLSGIQEKTATENGKAHQNVGNKESFPQTFNVDVPCASPEMVKPVYNYEGFAPVLGKPVNSSWNKLIENDKDCFASKTPMVNTNHFVGGIMAFIMGIVTMIRMTKNMPRKLNEAALFSNPGYYESTMMKAATFSCNDYMTFMKRMAELEEKVTVLNKRSIMAPEKEEVLNNALNRVTTLEHDLDATKKALDEALTRQGELQAKIDKKRKKRKLFRFGCLAA >Vigun09g267100.1.v1.2 pep primary_assembly:ASM411807v1:9:43040703:43045707:1 gene:Vigun09g267100.v1.2 transcript:Vigun09g267100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVPSPVDQPVKPGSESEYSDDEKKKRVGSFKKVAMSASLKFRGSLTKKGRRHSRVMSLAIEDDLDAEELQAVDALRQALVLEELLPSRHDDHRMMLRFLRARKFDIEKTKQMWADMLKWRQEFGADTISEDFEFTEQDEVLKYYPQGHHGVDKDGRPVYIEKLGQVDSAKLMQVTTMDRYLKYHVKEFERTFAVKLPACSIAAKKHIDQSTTILDVQGVGLKSLNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPDIIKMIQNGEGKCKRQTLSGIQEKTATENGKAHQNVGNKESFPQTFNVDVPCASPEMVKPVYNYEGFAPVLGKPVNSSWNKLIENDKGADCFASKTPMVNTNHFVGGIMAFIMGIVTMIRMTKNMPRKLNEAALFSNPGYYESTMMKAATFSCNDYMTFMKRMAELEEKVTVLNKRSIMAPEKEEVLNNALNRVTTLEHDLDATKKALDEALTRQGELQAKIDKKRKKRKLFRFGCLAA >Vigun09g119350.1.v1.2 pep primary_assembly:ASM411807v1:9:26332086:26333767:1 gene:Vigun09g119350.v1.2 transcript:Vigun09g119350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMAMAITEEEEVSLKVVVNKETNKVLFAEAKKDFVDVLFSFLTVPLATIVRLVENESTMGPVTIGSLNSFYRSVAALDFNGLWGQIFKPALLRPQNKAQEFCNSLKINIDNTHPPITFCPELVILRRLEDGFVNDAETFVITDDLMVIPNTVDYSVLAQMQALGIKGPTSLKEITMNFTKKKVLDLLKCSLVSKSCLTDLLLEKKPRIYRPPFFMGSAENNSSITFKLKLVIRKSDGKVLYAHGDKYFANMLFSLLAHPLGEVAGMLGGDTCLGCIDGLYNSIADLNENLYFKSKEAKSMLVDAPTFTPRSNQGVEEELSMFAVTDDLVIAPSYPTSDIYLISRFNVSFLDVKEKMVTIGLAECFNILKAALTSTSVLTIGLAHLLSEAATSVDVSSLLDASSDVESSSDDSLCSSYATSL >Vigun06g219600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32995692:32996268:1 gene:Vigun06g219600.v1.2 transcript:Vigun06g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSFIVLPLLLLTFSSIIITQSRVAEARLTTKILFPPDEDYDSPDLDISVQPPLPDFILHPKLPPLDDIQLDLPFPELPFSELTANKAVKKTP >VigunL058800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000447.1:13233:16383:1 gene:VigunL058800.v1.2 transcript:VigunL058800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAENRTIIQYLPYVTRWDYLATMFTETITVNEPEQLGNIQVPKRASYIRVIMLELSCIASNLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATSMRMMHNFFCIRGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLIMRNPIFLERVKGVGVVDVKEVINWGLSRPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIKSIKIIQQALEGLPGGPYKNLEIRCFDREKEPEWNEFEYRFISKKSSPSFELRKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHSPGFINLHILPQLVKRMKLADIMTILEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQLIGPEYTGPFGVLQALADETKLLFKENLIPSRGDIRLFSFGPSISIISIIISYSIIPFSYNFVLSDLNIGVFLWIAISSIVPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLLLSNSLSTVDIVDAQSKYGFWGWNLWRQPMGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFDLFYVASYLNLFVSSLFVIVLYFGGSNFSILYIFVSNFFK >Vigun07g184500.2.v1.2 pep primary_assembly:ASM411807v1:7:30161150:30164053:-1 gene:Vigun07g184500.v1.2 transcript:Vigun07g184500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKNQTSFRAFGQRTIASTFRNLLPRNPLPEGRTGNEASHKSDTTHSSLSNFLSFKQQKSPHLPQTVPGKSTPFLSPLGLRKCEENGTVNETEKEGNRNNDSKVIFESFKHTEEDKRNFVGEIGVDELENSVVDNNQDSKKRKTPFEGGNESQTARKHVVVLGGESKLKPKRLTENGSNNKRPRPHYNHYANGHGWWDYDMEGVDNEQLGSNEVWEGVGSTTLGGIIDWH >Vigun07g184500.1.v1.2 pep primary_assembly:ASM411807v1:7:30161151:30164053:-1 gene:Vigun07g184500.v1.2 transcript:Vigun07g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKNQTSFRAFGQRTIASTFRNLLPRNPLPEGRTGNEASHKSDTTHSSLSNFLSFKQQKSPHLPQTVPGKSTPFLSPLGLRKCEENGTVNETEKEGNRNNDSKVIFESFKHTEEDKRNFVGEIGVDELENSVVDNNQDSKKRKTPFEEYPLSEGQIRGGNESQTARKHVVVLGGESKLKPKRLTENGSNNKRPRPHYNHYANGHGWWDYDMEGVDNEQLGSNEVWEGVGSTTLGGIIDWH >Vigun10g014300.1.v1.2 pep primary_assembly:ASM411807v1:10:1541602:1552385:-1 gene:Vigun10g014300.v1.2 transcript:Vigun10g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRVCTLTWNPLKHFFHSHAFRRTPRTLVLRACSSSTTTDTRAAGRNRRSSASSSPTSTSDRDAIRAIRLKKVEELRSKGLDPYAYEWDKTHGASQLQDIYIDLANGEEKDSESDHVSVAGRIVARRAFGKLAFLTLRDDSGSIQLYCDKERLIGDQFEQLKAHVDIGDILGVRGTIKRTEKGELSVSVQSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADVFRKRAKVVSEIRRTMDSLGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMSLAEEIVTRCALAVHGKLTVDYQGVEICLERPWRRETMHNLVKEIAGIDFNEFGDDIEVAKRVTLDTLGNNLDKKDKGSIEACQSVGHLLNEVFEIFVEPKLIQPTFVLDYPIEISPLAKPHRRYTGLTERFELFICGRELGNAFSELTDPIDQRGRLEDQIRQHEKKRSAISANDDKKEGKEDEDDSYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVLKLQQ >Vigun05g046140.1.v1.2 pep primary_assembly:ASM411807v1:5:3842510:3846328:1 gene:Vigun05g046140.v1.2 transcript:Vigun05g046140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTMLKHASLNVAFRHVVHVVHMMETTLDEISVEELNDDAQCVMDDQIVDNDNDVDLVPRVHMCFETLDAVKKFYRDFAVRTGFGIRIRSSKKGKDNELKYVKLVCCREGNYVSTIRPELKTLPSQTKQCQAGISVGKKDGKWHIRSVVMEHSHNISPIESRLISGNRKVNIHARQTVDINDEAGVRINKSYRSLVCEAGGYENVTFIERDVRNYIAHKRRQLCKDGDGQALLRHFSHMRELNNDFFFEIDMDEDNRISNVFWADSRSRAACDYFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGQSILLGCGLVSAEDTSTFVWLFRCWLRCMSNRAPEGIITDQCKAMRNAIKIVFPNTNHRWCLWHIMKKVPEKLQGYTQYNVIKSQMKALVYDSSGVDQFEVGWDEFITNNGLVNNEWLCSLYEDRHLWVPCYLRNKFWAGMSTTQRSEGMNAFFDGFINSSTSLQQFVVQYDNALRQKAEKEFEADFAFVNTTIPCGSQSLIERQFQLHYTHAKFGEIQNEFRAKMNCFVMNVVKDGSIWNYNVKENFLWNGKRANKFHDVLFDSTTTTIKCTCLLFEFRGILCRHCFLVLGQEDIDCVPEQYVLRRWSKNVRRRHTLMKAAYTRSSDDPQMQRFQSLRKRFNDIGEVACETESAAQSVYDQLNTIATTLGLPNEPNPFRCGDDHPSACHEDHSLPDHVMSSAHHSNIVRSPIHVKRKGRPRTNRLKSTVEKITKRKKTAAARNKASRNLMDPPAIHSEPNFQDIPVQDHQTLQSYIPHAGFMSLLTSLHSEFNQSAFEADLTPNWVLSDSFGSKFNVTYNMDTANPRIVHGWTDLEKSYVSQIWDAHVQFRYIGNSEFEITVFVGQCSPENKRAFLRRANRVPEGSFFSVNWDISL >Vigun03g274000.4.v1.2 pep primary_assembly:ASM411807v1:3:44982389:44984944:1 gene:Vigun03g274000.v1.2 transcript:Vigun03g274000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLDLSLAFVPGRTVRQILGEVARSEDGSRRMATLQDFLKKLEDEKRKIESFKRELPLCMVLVNDAITKLKEEINGGVRMQEEPVVEEYMPLLKTNSEGSETLNMGKERSNMKNWMNSVRLWNVESKPRTEEDDRCVPDNPNQPENETNKSRGAAPALNGNNCVLKTVMSEDKGVSQVPSLGLRRPVFELNHRKTESGNEHGSSLITTSSLERKGQAQPQQNPRKQRRCWSPELHRRFVDALQQLGGPQGRLKLAFKHN >Vigun03g274000.2.v1.2 pep primary_assembly:ASM411807v1:3:44982389:44984945:1 gene:Vigun03g274000.v1.2 transcript:Vigun03g274000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLDLSLAFVPGRTVRQILGEVARSEDGSRRMATLQDFLKKLEDEKRKIESFKRELPLCMVLVNDAITKLKEEINGGVRMQEEPVVEEYMPLLKTNSEGSETLNMGKERSNMKNWMNSVRLWNVESKPRTEEDDRCVPDNPNQPENETNKSRGAAPALNGNNCVLKTVMSEDKGVSQVPSLGLRRPVFELNHRKTESGNEHGSSLITTSSLERKGQAQPQQNPRKQRRCWSPELHRRFVDALQQLGGPQVATPKQIRELMQVVGLTNDEVKSHLQTSF >Vigun03g274000.1.v1.2 pep primary_assembly:ASM411807v1:3:44982389:44984944:1 gene:Vigun03g274000.v1.2 transcript:Vigun03g274000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLDLSLAFVPGRTVRQILGEVARSEDGSRRMATLQDFLKKLEDEKRKIESFKRELPLCMVLVNDAITKLKEEINGGVRMQEEPVVEEYMPLLKTNSEGSETLNMGKERSNMKNWMNSVRLWNVESKPRTEEDDRCVPDNPNQPENETNKSRGAAPALNGNNCVLKTVMSEDKGVSQVPSLGLRRPVFELNHRKTESGNEHGSSLITTSSLERKGQAQPQQNPRKQRRCWSPELHRRFVDALQQLGGPQVATPKQIRELMQVVGLTNDEVKSHLQKYRLHFRRPQVSSVELANGGLCLVVEEKCGDNNKSKGNLSQSGSPQGPLFLGGSGRNSMETEEDEQSDCHNWKSGFHHQPEAEPL >Vigun03g274000.3.v1.2 pep primary_assembly:ASM411807v1:3:44982389:44984944:1 gene:Vigun03g274000.v1.2 transcript:Vigun03g274000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLDLSLAFVPGRTVRQILGEVARSEDGSRRMATLQDFLKKLEDEKRKIESFKRELPLCMVLVNDAITKLKEEINGGVRMQEEPVVEEYMPLLKTNSEGSETLNMGKERSNMKNWMNSVRLWNVESKPRTEEDDRCVPDNPNQPENETNKSRGAAPALNGNNCVLKTVMSEDKGVSQVPSLGLRRPVFELNHRKTESGNEHGSSLITTSSLERKGQAQPQQNPRKQRRCWSPELHRRFVDALQQLGGPQVATPKQIRELMQVVGLTNDEVKSHLQYRLHFRRPQVSSVELANGGLCLVVEEKCGDNNKSKGNLSQSGSPQGPLFLGGSGRNSMETEEDEQSDCHNWKSGFHHQPEAEPL >Vigun08g154400.1.v1.2 pep primary_assembly:ASM411807v1:8:32679633:32683043:1 gene:Vigun08g154400.v1.2 transcript:Vigun08g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRLSLLLTLIFSLIINQTYSEKDRKTYIVYMGDHPKGMDSTSLPSLHMTMAQKVLGSDFEPKAILHSYKKSFNGFVIKLTQEEAGRMAEMDSVVSVFPNKKSHPHTTRSWDFIGLSQKILRTSFESDIIVGVIDTGVWPESKSFSDEGFGPPPTKWKGSCHNFTCNNKIIGAKYINIEGDYGKDDIISPRDAQGHGSHTASTIAGNLVKSASLLGFASGTARGGVPSARIAIYKTCWLKIGCPAAETLAAFDEAIADGVDIISISTGYNNIEIHPYFQSAYDIGSFHAMKRGILTSNSANNLGPRFSSMTTYPPWILSVAASTIDRKFLTKVQLGNGIVLEGVTINTFDLKNKMFPLIYAGDVPNTADGYNSSESRFCSDGTVDKHLVKGKIVLCDRITSPSDVGVLSGAAGILVGATDPKDGPTTYALPAAFISLRNFNLVHSYMISSRNSTATIFRSDEDNDSQTPFVVSFSSRGPNPITPNTLKPDLAAPGVNILAAWSPLYPISTFKGDKRAVQYNIESGTSMACPHAAASAAYVKSFHPNWSPAMIKSALMTTATPMSPTLNPEAEFAYGAGQVNPIKAVNPGLVYDIGEADYVKFLCGEGYTDKMLRILTTDHSRCSKHAKKEAVYDLNLPSFALYVNVSSFSRVYHRTVTNVGSERSRYRAKVVSPSLLDIQVKPNVLSFTSIGQKKSFSVIIEGSVNADILSASLVWDDGTFQVRTPIVVYTDRKVISLSA >Vigun03g203200.1.v1.2 pep primary_assembly:ASM411807v1:3:32553541:32556997:-1 gene:Vigun03g203200.v1.2 transcript:Vigun03g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKERETQVYIAKLSEQAERYEEMVECMKAIAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNAKMIKNYRQKVEEELSKICSDILSIIDKHLVPSSTSGEATVFYYKMKGDYYRYLAEFKTDQERKEAAEQSLKGYEAALAAANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDEIKPEEIKPAAAPEH >Vigun06g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21410876:21412201:1 gene:Vigun06g082300.v1.2 transcript:Vigun06g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRSITAGEVLKANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPESSLPENKRHAGKGSIGGGDGDVKKKASTRKNNKGDGDDFPSRSLKASHKEKKSLCRDRRKGRIGIWKPHLESISED >Vigun05g166101.1.v1.2 pep primary_assembly:ASM411807v1:5:27557354:27573424:1 gene:Vigun05g166101.v1.2 transcript:Vigun05g166101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHYRLPEGNAAKFVIRSQALKQLQISPPLFRKLCILKGVTPREPKIKFKGTHQTYCHVKDVAFLHHEPLVEIHRPIRVHERKIKKAEAKKNHERANRLQEKTPKPKIDRIIRQRYPRFVDALGELDDCLTMVHLFAALPASVSKKFEVECVHKCRSLIGIFLFSADMFFICAFISCTNKLRKTFVSVKGIYYQAEVEGQTITWLTRHSLQQVVSDDVDITTMLNFLQLYEPLLSFVNFRLYHSINLKYPPILDPHLEALAADICALSRYFGANAISYVVNSEVSQTESEQAETKQEESESGNETAELRLAQLQHQLPSNEPRAQMHLLEEVPRESLLFVIPAFVCIVSWDGEGAPFGESDQGISHQIVDRETQGHRFLSRDYVQPQWVYDCVNARITLRTENYLAGRIPAPHLSPFINYDEEGAYIPDYTKTIKHLQGAARKEVLPFPGVTKEDLEHPQNLLVEGIIDRAEASDAAQRKKKPTIKIPSIRRFYRLAGSKETSGMVHTGELDTNV >VigunL074400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:148815:149444:1 gene:VigunL074400.v1.2 transcript:VigunL074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKLNHANVMEVPGSCEIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTQRGSTGKSFRSNPFLGSNKEKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETKLSQRCRRSEIYEITNADCSRLNMN >Vigun09g160800.1.v1.2 pep primary_assembly:ASM411807v1:9:32819981:32824094:-1 gene:Vigun09g160800.v1.2 transcript:Vigun09g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTGPTGEPTHGGSGGRKVVVGVKMDSPSKELLTWALVKVAHPGDTVVALHVLGNQDTVNGDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSVKKILVREANSYSATHVVVGTTHGLHRIRSSTVVAKYCAKKLSKDCSVLAVNNGKVVFKRDSSPPSVADLQGIDRQHRNGLVGSIHWTLSRNTKVLSDDNSGTDADEKKPGQISDHSLAKFFLDSTETVRKPSCSVCGTTLALPDPSCYQSAEGVSGDDGKENSLAIVPVQVQPTVAAEAELKPGWPLLHRRIISDTQSTDRSLTHPEISVVQWAMRLPSRNISYASDRDEKSETCDRSLDQPAALDRESGALVPVDSEIRTASSPESNSRNIPKELEGLHEKYSSTCRLFGYQDLVSATSNFLPENFIGKGGSSQVFRGCLPDGKELAVKILKPSEDVLKEFILEIEIITTLHHKNIISLLGFCFENGKFFLVYDLLSRGSLEENLHGNKKNSLTFGWSERYKVAVGIAEALNYLHSKDDQPVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRRPINRDYPKGQESLVMWASPILNSGKVLQLLDPSLGENYDHEEMEKMVLAATLCIKRAPRARPQMNIILKLLQGDIETVKWARLEVKNGLDAAETVDDEACPPSDNLQSHLDLALRDLVEESLSMCSVEQGLTLEDYLRGRCSRASSFD >Vigun06g145200.2.v1.2 pep primary_assembly:ASM411807v1:6:27068054:27073148:1 gene:Vigun06g145200.v1.2 transcript:Vigun06g145200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MTEELNHSLEYTPTWIVAVVCSIIVFISLCVERALHKLGNYLKKKGQKALYEVLTKLEEGLISDICISPNLATQMLPCKRPHKSSQGSGHHQIYYDAIINRRRLLSTGSGSDHCTHKGKVPLLSLESLHQLHIFIFVLAVVHAIFCVTTMLLAGAKMQEWKVWENHYGDHSAAIDKHEFFKEKNRGYWRKAAVISWLISFFKQFHGSVTMYDYYALRYGFVKKHYSNNPNYNFHNYMLRTLEVDFKRVVGISWYLWVFVVLFLLLNIEGWHTYFWLAFLPLILLLLVGAKLEHIIARLYQDSMDMLGGDDDKSVKPSDEYFWFTSPPLVLHLLHFILFQNSFEIAFFFWIWCTYGFDSCIMEKITYVIPRLIMGVIVQVLCSYSTLPLYTIVTQMGSKIKPQKLLSSDSLNTPHSNYMIKESTQTDEQAIIMVEDATSAIELVPLEKPNASNS >Vigun06g145200.3.v1.2 pep primary_assembly:ASM411807v1:6:27068054:27073148:1 gene:Vigun06g145200.v1.2 transcript:Vigun06g145200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MTEELNHSLEYTPTWIVAVVCSIIVFISLCVERALHKLGNYLKKKGQKALYEVLTKLEEELMLLGFISLLLTVFQGLISDICISPNLATQMLPCKRPHKSSQGSGHHQIYYDAIINRRRLLSTGSGSDHCTHKGKVPLLSLESLHQLHIFIFVLAVVHAIFCVTTMLLAGAKMQEWKVWENHYGDHSAAIDKHEFFKEKNRGYWRKAAVISWLISFFKQFHGSVTMYDYYALRYGFVKKHYSNNPNYNFHNYMLRTLEVDFKRVVGISWYLWVFVVLFLLLNIEGWHTYFWLAFLPLILLLLVGAKLEHIIARLYQDSMDMLGGDDDKSVKPSDEYFWFTSPPLVLHLLHFILFQNSFEIAFFFWIWCTYGFDSCIMEKITYVIPRLIMGVIVQVLCSYSTLPLYTIVTQVIE >Vigun06g145200.1.v1.2 pep primary_assembly:ASM411807v1:6:27068054:27073148:1 gene:Vigun06g145200.v1.2 transcript:Vigun06g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MTEELNHSLEYTPTWIVAVVCSIIVFISLCVERALHKLGNYLKKKGQKALYEVLTKLEEELMLLGFISLLLTVFQGLISDICISPNLATQMLPCKRPHKSSQGSGHHQIYYDAIINRRRLLSTGSGSDHCTHKGKVPLLSLESLHQLHIFIFVLAVVHAIFCVTTMLLAGAKMQEWKVWENHYGDHSAAIDKHEFFKEKNRGYWRKAAVISWLISFFKQFHGSVTMYDYYALRYGFVKKHYSNNPNYNFHNYMLRTLEVDFKRVVGISWYLWVFVVLFLLLNIEGWHTYFWLAFLPLILLLLVGAKLEHIIARLYQDSMDMLGGDDDKSVKPSDEYFWFTSPPLVLHLLHFILFQNSFEIAFFFWIWCTYGFDSCIMEKITYVIPRLIMGVIVQVLCSYSTLPLYTIVTQMGSKIKPQKLLSSDSLNTPHSNYMIKESTQTDEQAIIMVEDATSAIELVPLEKPNASNS >Vigun02g141500.1.v1.2 pep primary_assembly:ASM411807v1:2:29017263:29018818:1 gene:Vigun02g141500.v1.2 transcript:Vigun02g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVSNKLPFVSLFWLLFLSPLVCSQLYYNFYDSTCPNLTGIVRYNVLSAMAKDPRIAASLLRLHFHDCFVLGCDASVLLDDTDTLKGEKNALPNKNSLRGFELIDTIKANLEKACPSTVSCADILTLAAREAVYLSKGPFWSVPLGRRDGTTASESEANNLPSPFEPLENITAKFISKGLEKKDVAVLSGAHTFGFAQCFTFKPRLFDFGGSGKSDPALDESLLQSLKRVCSNEADSDTNLAPLDAVTTNTFDNTYYKNIVNNSGLLQSDQALLGDTATASLVNYYSKWPLLFFRDFAVSIEKMGRIGALTGQQGQIRANCRAVN >Vigun04g149600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36554215:36554367:1 gene:Vigun04g149600.v1.2 transcript:Vigun04g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKGCGKLGRMRPSHMSAYEFSLMLAPVVSVWDCIVRKMRYSYRPEWV >Vigun09g072100.1.v1.2 pep primary_assembly:ASM411807v1:9:7943302:7944705:1 gene:Vigun09g072100.v1.2 transcript:Vigun09g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVYLTFSFLLAVLLVAQAHGKEKEIGFYQLKRGNLKMNLTNYGATVVSVIVPDKHGNLDDITLGYDDIEQYKNDSWYFGALIGRVANRIGHARFTLHNHTYNLPANDHGNTLHGGFTGFGDVIWTVKAHKEDSYITFTYDSQDNEEGFPGRVEVAVTYMLLGRNKYVVKMIAKPVDKPTPVNLAQHTYWNLGGQKSGDILSHEVQIFGSKITAVDNNLIPTGKLESVKGTPYDFLEPRTVGSRVNEVPGLYDINYVLDGNSSRHLQRVATVRENVSGRKLELWSNQVGVQFYTSGMLNATKGKDGAVYDRYGGIALETQGLPDSVNHPDFPSQIVHPGHTYKHYMVYRFTACC >Vigun09g066500.1.v1.2 pep primary_assembly:ASM411807v1:9:7019431:7024550:1 gene:Vigun09g066500.v1.2 transcript:Vigun09g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHRVLSRSSATLCRSFTLSVSRNSQPFSNHFHSLLHPSPNKLIPVQATLRNPLNSSLTSRFGVSSSASSEPASNDPVKACEEAKVTDQSEEAKAADETKESDVESECDQSRDDLVKLVAEKEQLLKLKHKEIAEMQDKVLRTYAEMENVMGRTRREAENSKKFAIQNFAKSLLDVADNLGRASSVVKESFSKIESPKESSEAAQLLKTLLEGVEMTEKQLAEVLKKHGVEKYDPTNEPFDPHRHNAIFQIPHATKAPGTVGVVLKAGYMLYDRVLRPAEVGVTQEVDDNKATE >Vigun04g110500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27627804:27628501:1 gene:Vigun04g110500.v1.2 transcript:Vigun04g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRFSFGISALYEAMVEPRFSFGISALCEAMVEPKFSFGISALFEVMVEPRFSFGISALCEVIVEPKFSLGISTLCEAMVEPRFSFGISALCEAMVEPRFCFGILAFCEAMVEPRFCFGILAFCEAMVEPKFSFGYIGPLRGNGRVHFFIFETQTKFVFLSLLIFY >Vigun07g019300.1.v1.2 pep primary_assembly:ASM411807v1:7:1590327:1596102:-1 gene:Vigun07g019300.v1.2 transcript:Vigun07g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKAVSVKDAVFKLQMLLLEGIQNEDQLFAAGSLMSRGDYEDIVTERSITNVCGYPLCCNALPSERPRKGRYRISLKEHKVYDLQETYLFCSSNCVISSKAFAGSLQAERCSALDPEKLNNVLKLFENLNLEQTENVRKDGDLGLSNLKIQEKTVTSSGEVSLEQWVGPSNAIEGYVPKPRERESKGSRKSVKKGSKAGHGKSNNDEDLLNNEMNFVSTIIMQDEYSISKASPGQTDTTAVDRQPEKVGLKMVRKDEDSIQDLSSSFKSGLNLSTSEKEKELSKSYEAVVKSSPNLASKKKDAHSVSISERQCDQEKNNSARKSVQGKGETSRVTANGGASTSNFDPDNVKEKFQVEKVGGSCETKLKSSLKSAGEKKPSRTVTWADEKINGAGNKDLCEVKEFGDIRKEYESLGNVDVADDENMLRQASAEACAIALSQASEAVASGDSDVTDAVSEAGIIILPRPDDVVEEGTMEDADILQNDSVTVKWPRKPGVSDIDFFESDDSWFDAPPEGFSLTLSPFATMWNAIFSWMTSSSLAYIYGRDESFHEEYLSVNGREYPCKVVLSDGRSSEIKQTLAGCLARAFPALVAGLRLPIPISTLEQGMACLLETMSFVDALPAFRTKQWQVVALLFVDALSVCKIPALISYMTDRRSLFHKVLSGSQIGIEEYEILKDLVVPLGRAPHISAQSGA >Vigun07g019300.2.v1.2 pep primary_assembly:ASM411807v1:7:1590375:1596011:-1 gene:Vigun07g019300.v1.2 transcript:Vigun07g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKAVSVKDAVFKLQMLLLEGIQNEDQLFAAGSLMSRGDYEDIVTERSITNVCGYPLCCNALPSERPRKGRYRISLKEHKVYDLQETYLFCSSNCVISSKAFAGSLQAERCSALDPEKLNNVLKLFENLNLEQTENVRKDGDLGLSNLKIQEKTVTSSGEVSLEQWVGPSNAIEGYVPKPRERESKGSRKSVKKGSKAGHGKSNNDEDLLNNEMNFVSTIIMQDEYSISKASPGQTDTTAVDRQPEKVGLKMVRKDEDSIQDLSSSFKSGLNLSTSEKEKELSKSYEAVVKSSPNLASKKKDAHSVSISERQCDQEKNNSARKSVQGKGETSRVTANGGASTSNFDPDNVKEKFQVEKVGGSCETKLKSSLKSAGEKKPSRTVTWADEKINGAGNKDLCEVKEFGDIRKEYESLGNVDVADDENMLRQASAEACAIALSQASEAVASGDSDVTDAVSEAGIIILPRPDDVVEEGTMEDADILQNDSVTVKWPRKPGVSDIDFFESDDSWFDAPPEGFSLTLSPFATMWNAIFSWMTSSSLAYIYGRDESFHEEYLSVNGREYPCKVVLSDGRSSEIKQTLAGCLARAFPALVAGLRLPIPISTLEQGMACLLETMSFVDALPAFRTKQWQVVALLFVDALSVCKIPALISYMTDRRSLFHKVLSGSQIGIEEYEILKDLVVPLGRAPHISAQSGA >Vigun02g193000.1.v1.2 pep primary_assembly:ASM411807v1:2:32964860:32968874:-1 gene:Vigun02g193000.v1.2 transcript:Vigun02g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERDAVVKTPKREFEAEGALNSKMKGSGNISSKDMIFRADKIDLKSLDAQLEKHLSRVWSRNAETKRPKEEWEVDLAKLDLRYVVAQGAYGTVYRGTYDSQDVAVKVLDWGEDGVATPAEAAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNSNGEEETLPSRACCVIVEFIAGGTLKQYLIKNRKKKLAYKVVVQLALDLARGLHYLHSKKIVHRDVKSENMLLSPSRNLKIADFGVARVEAMNPSDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPDIPRCCPSALSNIMRRCWDANPNKRPEMDEVVRMLEALDTSKGGGMIPEDQNSGCFCFAPTRGP >Vigun02g193000.2.v1.2 pep primary_assembly:ASM411807v1:2:32964860:32967914:-1 gene:Vigun02g193000.v1.2 transcript:Vigun02g193000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERDAVVKTPKREFEAEGALNSKMKGSGNISSKDMIFRADKIDLKSLDAQLEKHLSRVWSRNAETKRPKEEWEVDLAKLDLRYVVAQGAYGTVYRGTYDSQDVAVKVLDWGEDGVATPAEAAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNSNGEEETLPSRACCVIVEFIAGGTLKQYLIKNRKKKLAYKVVVQLALDLARGLHYLHSKKIVHRDVKSENMLLSPSRNLKIADFGVARVEAMNPSDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPDIPRCCPSALSNIMRRCWDANPNKRPEMDEVVRMLEALDTSKGGGMIPEDQNSGCFCFAPTRGP >Vigun06g063300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19193748:19198704:-1 gene:Vigun06g063300.v1.2 transcript:Vigun06g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGGYGESGDPMDQFHRNEAISAVADDGFMAEEDDDYEDLYNDVNVGEGFLQSLRKNEDSGFRNDDVEEKKPPPPPPPPPVPDAPVVSISGVGDGGEGVGGGGSGIVESRVSGRVDGFQNQGFRGNEVGAKGGIRVELGHQSVKSDIEEQGGNDGAVLPGIEQQSHGGVVGSVGNEGLARQGQGGGGGGSANRVGGNGVGNSVGAVNSVSTAGVGGGGGGGGGSGASGGTILFVGDLHWWTTDAELETELSKYGSVKEVKFFDEKASGKSKGYCQVEFFDPSAATNCKEGMNGHVFNGRPCVVAFASPFTVKKMGEAQINRNQQMNPSAVTQQGRRGPSDSGAKPGGGNISTGGNYQGGDGNRGYGRGGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGIMGHGGNGFGQGIGGTPPLLHPQSMMSQGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILPSFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPTSGMDGPNMGMWSDPNMGGWGGEEPGGGKAGESSYGEEAASDHQYGEVSHDRAGWPMREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKDTGHDPEWSERRHRDDRETGRERSRDRDRERSRDRERDHRERDRHREDRDRYADHHRYRDREAEHDDEWDRGRSSRTHSKSRLSQEEEHHSRPRDADYGKRRRLTSE >Vigun06g063300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19193798:19198704:-1 gene:Vigun06g063300.v1.2 transcript:Vigun06g063300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGGYGESGDPMDQFHRNEAISAVADDGFMAEEDDDYEDLYNDVNVGEGFLQSLRKNEDSGFRNDDVEEKKPPPPPPPPPVPDAPVVSISGVGDGGEGVGGGGSGIVESRVSGRVDGFQNQGFRGNEVGAKGGIRVELGHQSVKSDIEEQGGNDGAVLPGIEQQSHGGVVGSVGNEGLARQGQGGGGGGSANRVGGNGVGNSVGAVNSVSTAGVGGGGGGGGGSGASGGTILFVGDLHWWTTDAELETELSKYGSVKEVKFFDEKASGKSKGYCQVEFFDPSAATNCKEGMNGHVFNGRPCVVAFASPFTVKKMGEAQINRNQQMNPSAVTQQGRRGPSDSGAKPGGGNISTGGNYQGGDGNRGYGRGGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGIMGHGGNGFGQGIGGTPPLLHPQSMMSQGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILPSFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPTSGMDGPNMGMWSDPNMGGWGGEEPGGGKAGESSYGEEAASDHQYGEVSHDRAGWPMREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKDTGHDPEWSERRHRDDRETGRERSRDRDRERSRDRERDHRERDRHREDRDRYADHHRYRDREAEHDDEWDRGRSSRTHSKSRLSQEEEHHSRPRDADYGKRRRLTSE >Vigun06g063300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19193770:19198704:-1 gene:Vigun06g063300.v1.2 transcript:Vigun06g063300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGGYGESGDPMDQFHRNEAISAVADDGFMAEEDDDYEDLYNDVNVGEGFLQSLRKNEDSGFRNDDVEEKKPPPPPPPPPVPDAPVVSISGVGDGGEGVGGGGSGIVESRVSGRVDGFQNQGFRGNEVGAKGGIRVELGHQSVKSDIEEQGGNDGAVLPGIEQQSHGGVVGSVGNEGLARQGQGGGGGGSANRVGGNGVGNSVGAVNSVSTAGVGGGGGGGGGSGASGGTILFVGDLHWWTTDAELETELSKYGSVKEVKFFDEKASGKSKGYCQVEFFDPSAATNCKEGMNGHVFNGRPCVVAFASPFTVKKMGEAQINRNQQMNPSAVTQQGRRGPSDSGAKPGGGNISTGGNYQGGDGNRGYGRGGNWGRGNNPGMGNRGPVNPMRNRGGGMGGRGIMGHGGNGFGQGIGGTPPLLHPQSMMSQGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILPSFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPTSGMDGPNMGMWSDPNMGGWGGEEPGGGKAGESSYGEEAASDHQYGEVSHDRAGWPMREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKDTGHDPEWSERRHRDDRETGRERSRDRDRERSRDRERDHRERDRHREDRDRYADHHRYRDREAEHDDEWDRGRSSRTHSKSRLSQEEEHHSRPRDADYGKRRRLTSE >Vigun02g050100.2.v1.2 pep primary_assembly:ASM411807v1:2:19075452:19081487:1 gene:Vigun02g050100.v1.2 transcript:Vigun02g050100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMAILFRTRSLLFTKPSSLIKTISTFPFLSQEPQLADPTPLPPNPASGSPLYNQNWRTPGSSSHPSAHALAPVGFYNRASADSYDPQALLNLFGDCMASHDWTQVKNTFETWVGALDKTGKPNRPDVNLFNHYLRANLMLGSSPSDLLDLVAQMDDFNVKPNTASFNLVLKAMCQANETVAAEKLLQRMLQTGNDSLPDDESYDLVIGMLFSTDQIDTAFKYIDQILKSGNVLSMKVFMSCVRRCLSKGRFDTLVTIIERCRASDVNKALCPNWDLCNFIVETAIREDNSKLAFYGLEYMARWIVKGERQRAPILLSVDEGLVLSAILTAGRTYDSELLGASWAVLDRSLRKKKAPNPESYLGKIYALASLGNLQKAFSTLNEYELAYGDTGEEAEELFCPFTSLHPLVLACSKKGFETLDNVYFQLENLKNAERPYKSVAAINCVIVGCANIWDLDRAYQTFESIGSTFGLSPDIHSYNGLMYAFGKHKKTHEASKVFEHLVSLGLKPNAKSYSLIIEAHLINRDVKSALAVIDDMMSAGFEPSKLILKMIRRRCMREMDYESDDRVQSLANSLNYRLGSEARRDILFNLDYSGGYA >Vigun02g050100.1.v1.2 pep primary_assembly:ASM411807v1:2:19075452:19082212:1 gene:Vigun02g050100.v1.2 transcript:Vigun02g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMAILFRTRSLLFTKPSSLIKTISTFPFLSQEPQLADPTPLPPNPASGSPLYNQNWRTPGSSSHPSAHALAPVGFYNRASADSYDPQALLNLFGDCMASHDWTQVKNTFETWVGALDKTGKPNRPDVNLFNHYLRANLMLGSSPSDLLDLVAQMDDFNVKPNTASFNLVLKAMCQANETVAAEKLLQRMLQTGNDSLPDDESYDLVIGMLFSTDQIDTAFKYIDQILKSGNVLSMKVFMSCVRRCLSKGRFDTLVTIIERCRASDVNKALCPNWDLCNFIVETAIREDNSKLAFYGLEYMARWIVKGERQRAPILLSVDEGLVLSAILTAGRTYDSELLGASWAVLDRSLRKKKAPNPESYLGKIYALASLGNLQKAFSTLNEYELAYGDTGEEAEELFCPFTSLHPLVLACSKKGFETLDNVYFQLENLKNAERPYKSVAAINCVIVGCANIWDLDRAYQTFESIGSTFGLSPDIHSYNGLMYAFGKHKKTHEASKVFEHLVSLGLKPNAKSYSLIIEAHLINRDVKSALAVIDDMMSAGFEPSKLILKMIRRRCMREMDYESDDRVQSLANSLNYRLGSEARRDILFNLDYSGGYA >Vigun08g048700.1.v1.2 pep primary_assembly:ASM411807v1:8:5427469:5431311:-1 gene:Vigun08g048700.v1.2 transcript:Vigun08g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKAWCVGGEGDGKVATGKKLESMGFLISICTPHQEEQKTKGHKLSDTFCLRPRLSQRSRRWQTTSDTTSAKTTTTAMSSNGDTSTSQLLNVTMVAVDKGKSSGHAFRWTIKNIDNPVIIALHIKHKNITNQSTDVVPPDEEDVANVFNNFRQMCQGNNVQLKEAVIHDGDIVRGIVEYAQRNRVNTIVVGAGSSNKSSFARSLYLRSGSKKLKGPVSTGVMKSAPDHSSVFVISKGKIVGARPAIRPMVNMVQAPSEHAIRSQNAIRSENAISENRTHAIKSGSINGRSERSLLLEMPRSSSVGQSMEHRLIFCRSSDGSDSSTSNKIVSSEGNKQESDLSDSQFTEEMENEMKRLKLKLKQTMDMYGSACKEAMSAESKAKEINQRKLDEERMAEVAKLSKEAALALAEKEKFKAKAALEAAEEAIKMVEKEAQRRFHAEMKARREAHEKDRALNQLACKDIRCRKYSITDVEDATQKFSPALKVGEGGYGPVFKGQLDHTPVAIKILNPEATHGRRQFQQEVEVLCSIRHPNMVLLIGACPEYGCLVYEYLENGSLEDRLLRKNDSPPIPWWKRFEIAAEIATALLFLHQTKPEPIVHRDLKPANILLDRNFVSKISDVGLARLVPASVADSVTQYHLTAAAGTFCYIDPEYQQTGMLTTKSDVYSLGIMLLQIITAKAPMGLAHHVLMAIEDGTFSEMLDPSISDWPLEKTLEFAKLSLGCAELSKKDRPDLATVVVPKLNQLRDFGLASHNKLNPSLPQRPLSPTRTHRSDP >Vigun09g007000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:526816:528456:-1 gene:Vigun09g007000.v1.2 transcript:Vigun09g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCHGSSDYSERFPLGDSSMAVLRRTKNRKGHQVEDTWKADYYSFGKRSCEYELIKTNRNKREEEELSISIRDHVSNNANDDVDFHMDMRVEEGLVLANLTLNGPRYLQQLPERKTPFAQGGVFKSSSFLYGRDTDRKGLIVVLRAKRRDNDEELPYMITVKHYFASAWCSHGVSLQAKIRSDRSDGGLSFEIEKPNLQNKREMLCMIDDVKGKGWCPNPTSSDFPMELWNKSNGNVPAIGFGGKNNNSSDSIPGFYSIIGQQNIKSIISSSGWTNGDYNSSLIFQDCEFYLDAIGTLRRCP >Vigun03g023000.2.v1.2 pep primary_assembly:ASM411807v1:3:1714062:1715484:1 gene:Vigun03g023000.v1.2 transcript:Vigun03g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFCLRISGSAAQSKRSFLFGSIEMQIKLVPGNSAGTVTAYYLSSAGSQQDEIDFEFLGNSTGQPYTVHTNLYTQGKGSREQQFYLWFDPTANFHNYTIHWNPTEIVWYVDDVPIRVFRNHEQEGITYPNKQGMRVYTSLWNADNWATRGGLVKTDWNHAPFTARFHHFRARACKWGGAKSIHQCASNTPANWWTSARYKKLTHTQLEQLNWIRNNYMIYDYCTDTKRFNGQIPPECFKPQF >Vigun03g023000.1.v1.2 pep primary_assembly:ASM411807v1:3:1713402:1715484:1 gene:Vigun03g023000.v1.2 transcript:Vigun03g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLSLAFLPNIIQVDANFSKSMHLTWGVQHASILGEDLHLVLDKTSGSAAQSKRSFLFGSIEMQIKLVPGNSAGTVTAYYLSSAGSQQDEIDFEFLGNSTGQPYTVHTNLYTQGKGSREQQFYLWFDPTANFHNYTIHWNPTEIVWYVDDVPIRVFRNHEQEGITYPNKQGMRVYTSLWNADNWATRGGLVKTDWNHAPFTARFHHFRARACKWGGAKSIHQCASNTPANWWTSARYKKLTHTQLEQLNWIRNNYMIYDYCTDTKRFNGQIPPECFKPQF >Vigun09g208800.1.v1.2 pep primary_assembly:ASM411807v1:9:38327309:38328175:1 gene:Vigun09g208800.v1.2 transcript:Vigun09g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALCLSSPRATPPSGVSIESHANSKHIGVNYVFIGPLTTKYRPVIVKVHFHAAKEDRNTKPNSVICADCDGNGAVQCSQCKGNGVNSVDIFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFVGGFLSTYDQ >Vigun06g016550.1.v1.2 pep primary_assembly:ASM411807v1:6:7755579:7766820:-1 gene:Vigun06g016550.v1.2 transcript:Vigun06g016550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVINAEGSGHQTKADNGDAEPSDSEVNNTEKYGTHAEDGISEPYVGMEFDTVDVAKAFYIEYARHMGFSSKVGPYGHSKIDGENYREFVCGREGLRKGLNESCNAMIRIELKGQNKWVVTKLVKEHTHSLFSSSKAYNNHPSKHFSSVGRTMPETYQGVGLVPSGVMYVSMDGNRVSNQNTRGMKNIHTTPAERSHPVKNSSLVNYTVRPSLPNRTLGRDAHNLLEYFKKMQAENPGFFYAIQLDEDNRMSNVFWADARSRTAYSYYGDTVHLDTTYKVNQYRVPFAPFTGVNHHGQMVLFGCALLLDDSEASYLWLLKTFLTAMNDCQPVSITTDQDRALQTAVSQVLPQTRHCISKWHILREGQEKLAHVCLAHPNFQVELYNCINLTETIDEFESFWNCIIDKYELRRNDWLQSLYNARAHWVPAFFRSSFFAALSPTQGFDGSFFDGYVNQQTTLPLFFRQYERALESWIEKEIEADFETVCTTPVLKTPSPMEKQVANLYTRKIFSKFQDELVETFVYTANRIEGDGPNSIFRVAKFEDDQKAYTVSLNHSELKANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSSAGLDEHTGESHAQESLTARYSNLCKEAIRYAEEGAVTVETYNAAISGIREGGKKVANVKRSVAKVSPPNNVASGTAYDDRKTTTPTSDTTPLLWPRQDEITRRFNLNDAGGPVQSVADLNLPRMAPVSLHRDDGPSENMVVLPCLKSMTWVMENKNSTPGNKVAVINLKLQDYSRVPSTESEVKFHLSRVTLEPMLKSMAYISEQLSTPANKVAVINLKLQDTETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSHADDAHLEPVSKKHKK >Vigun06g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29025664:29028797:1 gene:Vigun06g168600.v1.2 transcript:Vigun06g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MWMSSGIYSECSMLRRLVCTTVPRLPIISPKPKPHIPNSLTQKPPSNAIKSFSSSKMGDARRPLSVPIPTLEKSERADLLRALEASLGSPFSSDPLSANPNPLIIVISGPSGVGKDTLIARLREARRGLHFVVTATTRPRRPTEVDGKDYLFVSKEEFLGMVEREELLEYALVYGDYKGVPKQQIRECLAKGCDAVLRVDIQGAATLRKALGKSAVFVFVAAESEMALVERLVDRKTETAESLLVRIGTAREEMKHMKNFDYVVVNANGKLENAVKLMESIIDAEKARVSQRTPLI >Vigun02g181100.1.v1.2 pep primary_assembly:ASM411807v1:2:32178734:32188313:-1 gene:Vigun02g181100.v1.2 transcript:Vigun02g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELVDEATQLEVAEDSQRQNKDSPHTECRKILQSQEARIPVKHDYSQLPPREYDGVLHGKSVVEGIDHADTSQHPGVSLFMDDGDAMVEELTVKSYNGSSLDIGTSNNRGHMYTWQNHRQNFYQVASNSGIGNSLSDIGTRNSVQATSSAREDIGSSSFPEILARKSLSDGQSNVMEHLAAAENKEGAGDVRQGIRTKIISQSGFAEFFIKNTLKGKGIVYKGPSYDAFCAQSREQNRMKTNIGTEQNQMRTSIGTEQNQMRTSIGTEQNQMRTSIGTEKNQMRSSIDTDQNQTRTSISTDQNQIKISVGTDQNQTKTSIGTDQNQMRNNTGTDQKQVKTGIVTHLNSNQSAGYGSKTTKFSSYCGAMPRSGKSECNGVTLREWLKHGHHKTSKVESLNIFRKIVDLVDNSHSQGVALHNLCPSYIKLSPSNQVMYLGLPVQKRMVDGVVNSEVVHVDSSVIRKRHSEQVAFPSHEMGSKKQRLNENLRVTGGDLALETASDRKLHSGSQDIYNEYEEDTQFSKYNIGRMSTIPHVSNAGQIPLTSCEKFENKWYTSPEGGYTTSSNIYCLGVLLFELLGHFESERTHIAAMSDLRHRILPPIFLSENPKEAGFCLWLLHPEPSSRPTTREILQSELINGLQEFFSEELSSSIDQEDAESELLLHFLVLLKEQKQNNAFKLAEEIKCLESDIGEVERRHDSRNSLVSSGLQNDYSCQKEITPPKKESLSLEMLPSMSPNSNSNEVRLMRNICHLESAYFSMRSKLQLSETDTSTHPDKDVLRNRENWSVTQKGEEQPKRKDTLGAFFDGLCKYARYCKFEVRGVLRNADFNNPANVICSLSFDRDADYFASAGISKKIKIFEFSALCNDSVDIHYPVVEMSNRSKLSCVCWNNYIKNYLASTDYDGIVKLWDASTGQEFSQFTEHEKRAWSVDFSVVCPTKFASGSDDCTVKLWSISERNCLGTIRNVANVCCVQFSAHSSHLLAFGSADYSTYCYDLRNLRSPWCVLAGHRKAVSYVKFLDSETLVSASTDNTLKIWDLNKTSPVGASTNACSLTLSGHTNEKNFVGLSVADGYIACGSETNEVYSYHRSLPMPITSHKFGSIDPISGKDTEDDNGQFVSSVCWRGKSDMLIAANSSGCVKVLQMI >Vigun02g181100.2.v1.2 pep primary_assembly:ASM411807v1:2:32178734:32188313:-1 gene:Vigun02g181100.v1.2 transcript:Vigun02g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELVDEATQLEVAEDSQRQNKDSPHTECRKILQSQEARIPVKHDYSQLPPREYDGVLHGKSVVEGIDHADTSQHPGVSLFMDDGDAMVEELTVKSYNGSSLDIGTSNNRGHMYTWQNHRQNFYQVASNSGIGNSLSDIGTRNSVQATSSAREDIGSSSFPEILARKSLSDGQSNVMEHLAAAENKEGAGDVRQGIRTKIISQSGFAEFFIKNTLKGKGIVYKGPSYDAFCAQSREQNRMKTNIGTEQNQMRTSIGTEQNQMRTSIGTEQNQMRTSIGTEKNQMRSSIDTDQNQTRTSISTDQNQIKISVGTDQNQTKTSIGTDQNQMRNNTGTDQKQVKTGIVTHLNSNQSAGYGSKTTKFSSYCGAMPRSGKSECNGVTLREWLKHGHHKTSKVESLNIFRKIVDLVDNSHSQGVALHNLCPSYIKLSPSNQVMYLGLPVQKRMVDGVVNSEVVHVDSSVIRKRHSEQVAFPSHEMGSKKQRLNENLRVTGGDLALETASDRKLHSGSQDIYNEYEEDTQFSKYNIGRMSTIPHVSNAGQIPLTSCEKFENKWYTSPEGGYTTSSNIYCLGVLLFELLGHFESERTHIAAMSDLRHRILPPIFLSENPKEAGFCLWLLHPEPSSRPTTREILQSELINGLQEFFSEELSSSIDQEDAESELLLHFLVLLKEQKQNNAFKLAEEIKCLESDIGEVERRHDSRNSLVSSGLQNDYSCQKEITPPKKESLSLEMLPSMSPNSNSNEVRLMRNICHLESAYFSMRSKLQLSETDTSTHPDKDVLRNRENWSVTQKGEEQPKRKDTLGAFFDGLCKYARYCKFEVRGVLRNADFNNPANVICSLSFDRDADYFASAGISKKIKIFEFSALCNDSVDIHYPVVEMSNRSKLSCVCWNNYIKNYLASTDYDGIVKLWDASTGQEFSQFTEHEKRAWSVDFSVVCPTKFASGSDDCTVKLWSISERNCLGTIRNVANVCCVQFSAHSSHLLAFGSADYSTYCYDLRNLRSPWCVLAGHRKAVSYVKFLDSETLVSASTDNTLKIWDLNKTSPVGASTNACSLTLSGHTNEKNFVGLSVADGYIACGSETNEVYSYHRSLPMPITSHKFGSIDPISGKDTEDDNGQFVSSVCWRGKSDMLIAANSSGCVKVLQMI >Vigun06g147500.1.v1.2 pep primary_assembly:ASM411807v1:6:27256431:27261270:-1 gene:Vigun06g147500.v1.2 transcript:Vigun06g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLTKTPQLISSPFYTFLAPHQRLLSKGCCRQWRHSMFVNAKTLLKDGILSVDGKDALRGVPENVVVTPFTASSAFIGASCAHASSRLVFKLGVIQNVRLLCLFRFKIWWMIPRVGNSGRDIPVETQMLLLEARERMDSQSSKEQNSYIIFLPVLDGEFRSSLQGNSSNELELCVESGDPAVVTSQSLNAVFINYGDHPFDLVKESIKFLSEHSGTFSQRETKQMPGMLDCFGWCTWDAFYHSVNPQGIRDGLKSLSEGGTPAKFLIIDDGWQDTVNEFQKDGEPFIEGSQFGGRLISIKENNKFRAIGNVAENGAPISLKDFVSEIKSTFGLKYVYVWHALLGYWGGLDPNASGTKKYDPKLRYPVQSPGNLANARDLSIDAMEKYGIGVIDPAKISEFYDDLHSYLVSQNIDGVKVDVQNILETISSDLGGRVFLTRHFQQELEKSISTNFQDNSIICCMGHSTDSFYHSKQSAITRASDDYYPKNPTTQSLHIAAVAFNSIFLGEIVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGQHDFNVLKKLVLPDGSVLRARYPGRPSRDCLFVDPVMDKKSLLKIWNLNKCGGIIGIFNCQGAGSWPGLESEAEEDIIFELSGKVSPSDIEYFEEVSGGPWTQDCAVFRFNTGYLTRLSKEESFDVTLKVLQCEVFTVSPIKVYNQTIQFAPIGLTNMYNSGGAVEAVEFSDSSASKIHLRGRGGGEFGAYSNLRPKSCCVNSEDLEFKFREEDKLFAVTIPAKTTSWNITIYY >VigunL017100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000193.1:1415:1785:1 gene:VigunL017100.v1.2 transcript:VigunL017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAHAKRGRRKISVEDKQPSSFPSLPGPKVVQSFLAKGFGVLRYLTI >Vigun08g156300.1.v1.2 pep primary_assembly:ASM411807v1:8:32870448:32871712:1 gene:Vigun08g156300.v1.2 transcript:Vigun08g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDYFSLHSCVQAIEVGNGKWHGSVGGIVCAPIDKVWSLVSETKRLPQWMPMVETCTALAGDEDEPGYVRLVSGFMFPQQDGERSWIRERLISMDSSSYTYVYVMEASNVGLDGSINSLKLVHYGDQSTLIQWSFQINPLEDVSEDSIVDYLGFLYKSCINKIEGAIVSASRNVSPA >Vigun04g159400.1.v1.2 pep primary_assembly:ASM411807v1:4:38158938:38161974:1 gene:Vigun04g159400.v1.2 transcript:Vigun04g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPVEHNADSTTTASAAADSQRSIPTPFLTKTFQLVDDQSIDDVISWNDDGSTFIVWNPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNECFRRGEKRLLSEIQRRKISLPAPSTSLSPTAPATVAVPSPMPLTAIPIISPSNSGEEQVISSNSSPSLAPAELIDENERLRKENVQLTKELSEMRSLCNNIYALMSNYANANGNGNGSYQTDGGAGGAQGSRESGMTAVKPLDLMPTKRISGEDAAELNPKLFGVAIGAKRAREGGGSGGDCGEEGGRANKDTLLRLHHPGPADVKSEPLDCQNHRENQETPWLSQCHIANQRVCH >Vigun05g226300.7.v1.2 pep primary_assembly:ASM411807v1:5:41882480:41889350:1 gene:Vigun05g226300.v1.2 transcript:Vigun05g226300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARNMPSMIGRNGNVGGFGLSSGLSLGQIENHKAPESQRSVEMFQPNLMEAGQLLPLDMPQNTSESDVPRIREDDFDSATKSGSENQEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQIKTQHERHENTQLRTENDKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSPSVPPRPLELGIGGGFGGQPAGIGGDMYGGAAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDGTTTVLNEDEYIRSFPRGIGPKPAGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHGDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLACAMATNIPTVDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTAHGGSIGDTGHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSGEVA >Vigun05g226300.3.v1.2 pep primary_assembly:ASM411807v1:5:41882594:41889350:1 gene:Vigun05g226300.v1.2 transcript:Vigun05g226300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARNMPSMIGRNGNVGGFGLSSGLSLGQIENHKAPESQRSVEMFQPNLMEAGQLLPLDMPQNTSESDVPRIREDDFDSATKSGSENQEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQIKTQHERHENTQLRTENDKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSPSVPPRPLELGIGGGFGGQPAGIGGDMYGGAAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDGTTTVLNEDEYIRSFPRGIGPKPAGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHGDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLACAMATNIPTVDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTAHGGSIGDTGHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSGEVA >Vigun05g226300.8.v1.2 pep primary_assembly:ASM411807v1:5:41883769:41889351:1 gene:Vigun05g226300.v1.2 transcript:Vigun05g226300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNLMEAGQLLPLDMPQNTSESDVPRIREDDFDSATKSGSENQEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQIKTQHERHENTQLRTENDKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSPSVPPRPLELGIGGGFGGQPAGIGGDMYGGAAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDGTTTVLNEDEYIRSFPRGIGPKPAGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHGDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLACAMATNIPTVDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTAHGGSIGDTGHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSGEVA >Vigun05g226300.6.v1.2 pep primary_assembly:ASM411807v1:5:41882464:41889350:1 gene:Vigun05g226300.v1.2 transcript:Vigun05g226300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARNMPSMIGRNGNVGGFGLSSGLSLGQIENHKAPESQRSVEMFQPNLMEAGQLLPLDMPQNTSESDVPRIREDDFDSATKSGSENQEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQIKTQHERHENTQLRTENDKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSPSVPPRPLELGIGGGFGGQPAGIGGDMYGGAAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDGTTTVLNEDEYIRSFPRGIGPKPAGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHGDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLACAMATNIPTVDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTAHGGSIGDTGHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSGEVA >Vigun05g226300.5.v1.2 pep primary_assembly:ASM411807v1:5:41882464:41889350:1 gene:Vigun05g226300.v1.2 transcript:Vigun05g226300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIMIPARNMPSMIGRNGNVGGFGLSSGLSLGQIENHKAPESQRSVEMFQPNLMEAGQLLPLDMPQNTSESDVPRIREDDFDSATKSGSENQEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQIKTQHERHENTQLRTENDKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSPSVPPRPLELGIGGGFGGQPAGIGGDMYGGAAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDGTTTVLNEDEYIRSFPRGIGPKPAGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHGDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLACAMATNIPTVDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTAHGGSIGDTGHGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSGEVA >Vigun04g177500.3.v1.2 pep primary_assembly:ASM411807v1:4:40064567:40068665:1 gene:Vigun04g177500.v1.2 transcript:Vigun04g177500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAGRVMVSEKRALVLQQELNATKENALCMLMRLKKMMDAKTAEAEKASLQQQRKIEELEAQLNEAEDVVTDLRAELKHVHLVLEKIRNNQVQPLNGQNIKQVATCVSVKPETSISSPHEELECITSCDVVNKSLTMNILDKKCCNPKQQTEQLHISNLEDSSGHDSDFASVITRSKEPELCRNGFTQRIRALEGNLLDEKLLMQDVRNEHYGKKLGVIAKDVHGKVAKYSALIEEMKIHKHLKLLKIPKWKIFFYRSRFLSCKFRFHDSGKLSKDVCSLPSINLSAIIRWKRTRRRHRHLGLKPSAFRSCKPSFVLEQCSSVCGNAKCCEDENDANMKSVPLLTDAEATELVEKAIEKDDKLSNSGESAEQNLTGPSSDMKVEVVVDVSSTNTGMKDEKAFIEKDRSCSQVDECKPLKYTFQRKRKKESLGNIHQNFDDSKKSTVKRRVEDKQNGAWEPQNVA >Vigun04g177500.2.v1.2 pep primary_assembly:ASM411807v1:4:40064483:40068665:1 gene:Vigun04g177500.v1.2 transcript:Vigun04g177500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHPWPQNLIFEVVALKRAYADVILNTMKESAGRVMVSEKRALVLQQELNATKENALCMLMRLKKMMDAKTAEAEKASLQQQRKIEELEAQLNEAEDVVTDLRAELKHVHLVLEKIRNNQVQPLNGQNIKQVATCVSVKPETSISSPHEELECITSCDVVNKSLTMNILDKKCCNPKQQTEQLHISNLEDSSGHDSDFASVITRSKEPELCRNGFTQRIRALEGNLLDEKLLMQDVRNEHYGKKLGVIAKDVHGKVAKYSALIEEMKIHKHLKLLKIPKWKIFFYRSRFLSCKFRFHDSGKLSKDVCSLPSINLSAIIRWKRTRRRHRHLGLKPSAFRSCKPSFVLEQCSSVCGNAKCCEDENDANMKSVPLLTDAEATELVEKAIEKDDKLSNSGESAEQNLTGPSSDMKVEVVVDVSSTNTGMKDEKAFIEKDRSCSQVDECKPLKYTFQRKRKKESLGNIHQNFDDSKKSTVKRRVEDKQNGAWEPQNVA >Vigun04g177500.1.v1.2 pep primary_assembly:ASM411807v1:4:40064289:40068665:1 gene:Vigun04g177500.v1.2 transcript:Vigun04g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERKFSLSSQPEPLHTLHSSFSTPMLQHQSHEVVALKRAYADVILNTMKESAGRVMVSEKRALVLQQELNATKENALCMLMRLKKMMDAKTAEAEKASLQQQRKIEELEAQLNEAEDVVTDLRAELKHVHLVLEKIRNNQVQPLNGQNIKQVATCVSVKPETSISSPHEELECITSCDVVNKSLTMNILDKKCCNPKQQTEQLHISNLEDSSGHDSDFASVITRSKEPELCRNGFTQRIRALEGNLLDEKLLMQDVRNEHYGKKLGVIAKDVHGKVAKYSALIEEMKIHKHLKLLKIPKWKIFFYRSRFLSCKFRFHDSGKLSKDVCSLPSINLSAIIRWKRTRRRHRHLGLKPSAFRSCKPSFVLEQCSSVCGNAKCCEDENDANMKSVPLLTDAEATELVEKAIEKDDKLSNSGESAEQNLTGPSSDMKVEVVVDVSSTNTGMKDEKAFIEKDRSCSQVDECKPLKYTFQRKRKKESLGNIHQNFDDSKKSTVKRRVEDKQNGAWEPQNVA >Vigun04g177500.5.v1.2 pep primary_assembly:ASM411807v1:4:40064288:40068665:1 gene:Vigun04g177500.v1.2 transcript:Vigun04g177500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKKMLFTAEAEKASLQQQRKIEELEAQLNEAEDVVTDLRAELKHVHLVLEKIRNNQVQPLNGQNIKQVATCVSVKPETSISSPHEELECITSCDVVNKSLTMNILDKKCCNPKQQTEQLHISNLEDSSGHDSDFASVITRSKEPELCRNGFTQRIRALEGNLLDEKLLMQDVRNEHYGKKLGVIAKDVHGKVAKYSALIEEMKIHKHLKLLKIPKWKIFFYRSRFLSCKFRFHDSGKLSKDVCSLPSINLSAIIRWKRTRRRHRHLGLKPSAFRSCKPSFVLEQCSSVCGNAKCCEDENDANMKSVPLLTDAEATELVEKAIEKDDKLSNSGESAEQNLTGPSSDMKVEVVVDVSSTNTGMKDEKAFIEKDRSCSQVDECKPLKYTFQRKRKKESLGNIHQNFDDSKKSTVKRRVEDKQNGAWEPQNVA >Vigun04g177500.4.v1.2 pep primary_assembly:ASM411807v1:4:40064483:40068665:1 gene:Vigun04g177500.v1.2 transcript:Vigun04g177500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHPWPQNLIFEVVALKRAYADVILNTMKESAGRTAEAEKASLQQQRKIEELEAQLNEAEDVVTDLRAELKHVHLVLEKIRNNQVQPLNGQNIKQVATCVSVKPETSISSPHEELECITSCDVVNKSLTMNILDKKCCNPKQQTEQLHISNLEDSSGHDSDFASVITRSKEPELCRNGFTQRIRALEGNLLDEKLLMQDVRNEHYGKKLGVIAKDVHGKVAKYSALIEEMKIHKHLKLLKIPKWKIFFYRSRFLSCKFRFHDSGKLSKDVCSLPSINLSAIIRWKRTRRRHRHLGLKPSAFRSCKPSFVLEQCSSVCGNAKCCEDENDANMKSVPLLTDAEATELVEKAIEKDDKLSNSGESAEQNLTGPSSDMKVEVVVDVSSTNTGMKDEKAFIEKDRSCSQVDECKPLKYTFQRKRKKESLGNIHQNFDDSKKSTVKRRVEDKQNGAWEPQNVA >Vigun07g021500.1.v1.2 pep primary_assembly:ASM411807v1:7:1820376:1823607:-1 gene:Vigun07g021500.v1.2 transcript:Vigun07g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVTEAGAVPDPTQLDVKLFNRWSFEDIQVNDISLADYIGVVSSKHATYVPHTSGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLLAVRIIKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Vigun03g316200.1.v1.2 pep primary_assembly:ASM411807v1:3:51024386:51028520:-1 gene:Vigun03g316200.v1.2 transcript:Vigun03g316200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGSQQPQLILADKLFLLRQPDVQDIDKVRYKEDVFTHVKENDMVPLYETLVADSVLDMDRALLDSMRAKTEDELNKIDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEHLKITETKTVAVGQKMDLVFYTLQLGFFDMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAAKLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Vigun03g316200.2.v1.2 pep primary_assembly:ASM411807v1:3:51024386:51028520:-1 gene:Vigun03g316200.v1.2 transcript:Vigun03g316200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGSQQPQLILADKLFLLRQPDVQDIDKVRYKEDVFTHVKENDMVPLYETLVADSVLDMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAAKLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Vigun01g069000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:18560280:18562089:1 gene:Vigun01g069000.v1.2 transcript:Vigun01g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGLILKPNFNSSLPSLHFTNDSFSSLLPTHKIPAAGATAASPTAGETHRRRRQPPPEASPTGTQLPKSSPSSFLLSRKTGPDPGAPPSHTMSVQPRVRQLQPPRPRLPPRRHCHLQRAPSEMPVATTFLLWFHFFDLCWVVMTNRRDGL >Vigun10g028500.1.v1.2 pep primary_assembly:ASM411807v1:10:3497093:3498687:-1 gene:Vigun10g028500.v1.2 transcript:Vigun10g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRELRSKLLRFCSEEGSLDAEVDSLEANIKDLEAEASFFNATASQVAVLDVFFRVPKVEGLCLSKASLVALP >Vigun02g165700.1.v1.2 pep primary_assembly:ASM411807v1:2:31009364:31011802:-1 gene:Vigun02g165700.v1.2 transcript:Vigun02g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNKSSMKKARLNSLLTNLLDDPILSDVPKNPTLADVDTLISLELGSAMRISVLKLDGSTLDVIVMNSATVKDLKLAIKRKVNDMEQSGMGHRHISWKHVWSNYCLSYDNNKLLDDNDALQNFGVRNNSQVQFVPFVMTKQSRRHSKRRKHRFFHGLCKRS >Vigun05g265000.1.v1.2 pep primary_assembly:ASM411807v1:5:45711583:45716395:-1 gene:Vigun05g265000.v1.2 transcript:Vigun05g265000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVPQIAILGAGIFVKAQYLPRLFEIAHLFQLKAIWSRTQESATVAVGLARKRFPAVECKWGDSGLEDIIKDGSIDAVAVVLAGQTQVDISLKLLKAGKHVLQEKPAASSTSELETALSSYKSISADAPGRSIWSVAENYRFESGLLECKKLMAGIGKMMSVQVIIEGSMNSSNPYFSSNWRRNFTGGFILDMGVHFIAGLRMLVGCELVSVSAMTSHVDTTLPAPDNISSVFHLENGCSGVFVMVVSSRSPKTLWRIVGLNGTLQVERGFQGEHGYKVSFYGADGPKQSSFFPFNGVTEELKAFINDVSENTLKKEGQFVAEPRLSFVEGARDVAVLEAMLESGARQGELVQVKKF >Vigun01g237400.2.v1.2 pep primary_assembly:ASM411807v1:1:40868758:40873451:1 gene:Vigun01g237400.v1.2 transcript:Vigun01g237400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFASTSATQWGIRPQIFAGSTGMGKIASFKNNVSSKLSFMSAPNSSFFSRDSSRALFDKVSLQNLHGRRGSRLIVRADADYYSILGVSKNSSKSEIKSAYRKLARSYHPDVNKEPDAEKKFKEISNAYEVLSDDEKRSIYDTYGEAGLKGSGMGMGDFSNPFDLFETLFEGMGGMGGSRSSWNGAVEGEDEYYSLVLNFKEAVFGVEKEIEIRRLENCGTCNGSGAKPGTKSSKCSTCGGQGRVVQSTRTPLGIFQQSMTCSSCNGAGEISTPCNTCSGEGRVRKTKRISIKVPAGVDSGSRLRVRNEGNAGRRGGPSGDLFVVLEVIPDPVLKRDDTNILYTCKISYIDAILGTTVKVPTVDGMVDLKIPAGTQPSSTLVMSKKGVPLLNKKNMRGDQLVRVQVEIPKKLSKDERKLVEDLADLSKGKTAATSGRR >Vigun01g237400.1.v1.2 pep primary_assembly:ASM411807v1:1:40868758:40873466:1 gene:Vigun01g237400.v1.2 transcript:Vigun01g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFASTSATQWGIRPQIFAGSTGMGKIASFKNNVSSKLSFMSAPNSSFFSRDSSRALFDKVSLQNLHGRRGSRLIVRADADYYSILGVSKNSSKSEIKSAYRKLARSYHPDVNKEPDAEKKFKEISNAYEVLSDDEKRSIYDTYGEAGLKGSGMGMGDFSNPFDLFETLFEGMGGMGGSRSSWNGAVEGEDEYYSLVLNFKEAVFGVEKEIEIRRLENCGTCNGSGAKPGTKSSKCSTCGGQGRVVQSTRTPLGIFQQSMTCSSCNGAGEISTPCNTCSGEGRVRKTKRISIKVPAGVDSGSRLRVRNEGNAGRRGGPSGDLFVVLEVIPDPVLKRDDTNILYTCKISYIDAILGTTVKVPTVDGMVDLKIPAGTQPSSTLVMSKKGVPLLNKKNMRGDQLVRVQVEIPKKLSKDERKLVEDLADLSKGKTAATSGRR >Vigun01g253100.3.v1.2 pep primary_assembly:ASM411807v1:1:41884190:41887397:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAIKFSGRIMYSRTFDSVQKAAAELLQALDEKNREIVQFPFGFDIEWKPSFHKDDPPSKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.1.v1.2 pep primary_assembly:ASM411807v1:1:41884177:41887491:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAIKFSGRIMYSRTFDSVQKAAAELLQALDEKNREIVQFPFGFDIEWKPSFHKDDPPSKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.8.v1.2 pep primary_assembly:ASM411807v1:1:41884190:41887397:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.4.v1.2 pep primary_assembly:ASM411807v1:1:41884186:41887397:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAIKFSGRIMYSRTFDSVQKAAAELLQALDEKNREIVQFPFGFDIEWKPSFHKDDPPSKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.2.v1.2 pep primary_assembly:ASM411807v1:1:41884177:41887491:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAIKFSGRIMYSRTFDSVQKAAAELLQALDEKNREIVQFPFGFDIEWKPSFHKDDPPSKVAVMQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.6.v1.2 pep primary_assembly:ASM411807v1:1:41884180:41887484:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.7.v1.2 pep primary_assembly:ASM411807v1:1:41884181:41887484:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICTDSSHCHVLHLIHSGIPPNLQLLQICHCYKLDFLFFFFCLRQLINYVSFNTKFGVRKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun01g253100.5.v1.2 pep primary_assembly:ASM411807v1:1:41884186:41887397:1 gene:Vigun01g253100.v1.2 transcript:Vigun01g253100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAIKFSGRIMYSRTFDSVQKAAAELLQALDEKNREIVQFPFGFDIEWKPSFHKDDPPSKVGVGIDSDATKVFRDYKISVKGVTDLSFHAKQKLGGDRNWGLSSLTEKLLSKQLKKPKKITLGNWETPVLSKEQLEYAATDAFASWYLYQAIKDLPDAQVTDKSRKVDGCVTKFRPWWRRRGRNRF >Vigun11g065300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15514185:15514602:1 gene:Vigun11g065300.v1.2 transcript:Vigun11g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACAALIAAASISATVATVEVSAPAPGPSSEASAIVPLVSSLVGASVLSFFALLH >Vigun05g030800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2442890:2445448:-1 gene:Vigun05g030800.v1.2 transcript:Vigun05g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTKPIALGTILLLLLLSFSHLSKADVIFDPPDLLTINCGSSTNFSTPEGRNWIGDTNTKLLAVSRGSLIATAVTKTTIQGPYDSARLSFSNFTYSTFNLTAGPKFLRLFFFSTSYNNNFNRSKAVFSVKAGPYTLLQHFNASLNADADDDPARSNILFREYCINLQEGQNLNITFIPSSTGSYAFINGIEIVSMPSYLYYTDPNVDIGGLPKLVGGQTYTIENNLALEMKYRLRFGDRSIPASEDTGMLRTWDVHNQYITTQSVESLDFGNKTKLIFSKTPNYTAPDQVYLSLVNMGPNASINMGFNLTWQLPVDPGFTYMLRLHFCQLDPEVIGSGDQIFLIYIRDDLVEDFVDIFSWGGKQRGVPVVRDYAVIINASDNQKKTYLSVKLHPHDKSLIKDAQLNAIELFKISDPTGNLAVPGSDPPPQTVQISKNKNNSTTRIPAAVAGAVSGVLLFSFIVAFFLIKRRKSVSVSKCPNKKDGTSLGSGSSSLPTHLCRHFSVAEIRAATNNFDEQMVVGVGGFGNVYKGYIDDGSTCVAVKRLKRGSRQGINEFMNEIEMLSQLRHLHLVSLIGYCYENNEMILVYDFMERGTLRDHLYGADNQSLPWEQRVEICIGVARGLHYLHTGVKQVIIHRDVKTTNILLDEKWMAKVSDFGLSRIGPTGISMSHVNTQVKGSIGYLDPEYYKRQRLTEKSDVYSFGVVLLEVLCGRQPLIHWEEKQRISVVKWAKHCYEKGCLGEVVDPTVKGQTTAQCLQKFGEIALSCLQEDGTERPSMKDVVGMLQCVLQLHYSGVGEDVSGSGVFSQLDADYSNNTTSDGDSSWTRNESSVLIPDDVFSEIKDPKGR >VigunL059054.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000367.1:619:2406:-1 gene:VigunL059054.v1.2 transcript:VigunL059054.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RTHTRTYTRRREHTHALTYAHTLRHTHTRTHTHTHAHTHPHTHTRTHIHMHTRTHTHMHTPTHQHTHAHTHASTHTRTHTRAHAHTHARTHSHTHTHTRTHTRTHSRAHACTHSHTDADTHTRTHTHTHAHTHTHTPTHTHTHTRTHIRTHAH >Vigun04g188200.1.v1.2 pep primary_assembly:ASM411807v1:4:41249893:41252123:1 gene:Vigun04g188200.v1.2 transcript:Vigun04g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASSTDSTIPTHTKAWYYSEHGRPCDVLKFDPNWPLPQLKHDQVLIKVIAVSLNPVDYKRMHGEFKDTDPYLPIVPGFDVAGTVVKVGGEVRKFKVGDEVYGDINEEGLSNIKILGTLSEYTVAEEKLLAHKPSNLSFIEAASIPLALETALEGFERAQFSAGKSVLVLGGAGGVGSYAIQLAKHVYKASKIAATASTGKLELLREIGVDFPIDYTKYNFEDLPEKYDLVYDAVGQTDRAFKAIKEGGKVVTIVPPGYPQAIFFALTSKGSNLEKLRPYFESGQVKPILDPKTPLPFSQLIEAISYLETSRATGKVVIYPIP >VigunL040098.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:5117:5320:1 gene:VigunL040098.v1.2 transcript:VigunL040098.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDCRALLLIEAWYLPRRPGIGRSL >Vigun07g280000.1.v1.2 pep primary_assembly:ASM411807v1:7:39480405:39486376:-1 gene:Vigun07g280000.v1.2 transcript:Vigun07g280000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPITAVYKAIPDLCHRELGHLPPRNFALRLGASEDLVLRLDVLRKLAKHRGCVNTVSFNTDGDILVSGSDDWRVILWDWETGGIKLSFHSGHTNNVFQAKIMPHSEDRSIVTCAADGQVRHAQILENGGVETKFLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGVATELFTCQPIKDRWNYMPVIHLNAIAIDPRNPNLFAVAGTDEYTRLYDIRKYKWDGSTDFGQPTDFFCPPHLIGDERVGITGLAFSEQRELLVSYNDEFIYLFTQDMGLGPNPDHEFPKSTNCDADELGFSHGSVLSQSNMDGDEKITPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFVWKKKGGQLIRVMEADKHVVNCIESHPHSMVLASSGIEHDIKIWTPKALEKATLPKIIEQKVHVCDRVHWFSIGGGGYDEDDHIMSDDDFEDEMSESDDDEEDREEDDDDDDDDENDYDYDDEEEEEEGEEEKEESDNDDCDEDDW >Vigun07g280000.2.v1.2 pep primary_assembly:ASM411807v1:7:39480405:39486376:-1 gene:Vigun07g280000.v1.2 transcript:Vigun07g280000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPITAVYKAIPDLCHRELGHLPPRNFALRLGASEDLVLRLDVLRKLAKHRGCVNTVSFNTDGDILVSGSDDWRVILWDWETGGIKLSFHSGHTNNVFQAKIMPHSEDRSIVTCAADGQVRHAQILENGGVETKFLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGVATELFTCQPIKDRWNYMPVIHLNAIAIDPRNPNLFAVAGTDEYTRLYDIRKYKWDGSTDFGQPTDFFCPPHLIGDERVGITGLAFSEQRELLVSYNDEFIYLFTQDMGLGPNPDHEFPKSTNCDADELGFSHGSVLSQSNMDGDEKITPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFVWKKKGGQLIRVMEADKHVVNCIESHPHSMVLASSGIEHDIKIWTPKALEKATLPKIIEQKPKARGWMYRIASPEDLMLQLLSLPRRRLRTENNRENSTTDRDLLQLILTFNANSDSSNDDDDDGDDDEDDADTTSQDDLFC >Vigun07g280000.3.v1.2 pep primary_assembly:ASM411807v1:7:39480405:39486376:-1 gene:Vigun07g280000.v1.2 transcript:Vigun07g280000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPITAVYKAIPDLCHRELGHLPPRNFALRLGASEDLVLRLDVLRKLAKHRGCVNTVSFNTDGDILVSGSDDWRVILWDWETGGIKLSFHSGHTNNVFQAKIMPHSEDRSIVTCAADGQVRHAQILENGGVETKFLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGVATELFTCQPIKDRWNYMPVIHLNAIAIDPRNPNLFAVAGTDEYTRLYDIRKYKWDGSTDFGQPTDFFCPPHLIGDERVGITGLAFSEQRELLVSYNDEFIYLFTQDMGLGPNPDHEFPKSTNCDADELGFSHGSVLSQSNMDGDEKITPQVFKGHRNCETVKGVNFFGPKCEYVVSGSDCGRIFVWKKKGGQLIRVMEADKHVVNCIESHPHSMVLASSGIEHDIKIWTPKALEKATLPKIIEQVHVCDRVHWFSIGGGGYDEDDHIMSDDDFEDEMSESDDDEEDREEDDDDDDDDENDYDYDDEEEEEEGEEEKEESDNDDCDEDDW >Vigun11g071900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20588151:20589659:-1 gene:Vigun11g071900.v1.2 transcript:Vigun11g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSDQLGTFSSQDILLFFIMWELKLIPVYLILSMWGGKKRLYSATKFILYTAESSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLLMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTTIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun06g210600.1.v1.2 pep primary_assembly:ASM411807v1:6:32360524:32366071:1 gene:Vigun06g210600.v1.2 transcript:Vigun06g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVFYLFWFFASHITISFSSDTLTPTQTLLTNQTLVSSNQVFALGFFSGTNSSCYLGIWYHNITNGPVVWVANRDNPLHNSTGFLTIGENKNMVLTTPSGEPVWSSNITKANNPILQLLDTGNLVLREENVTDPTNYLWQSFDYPTDTLLPGMKMGWNLDTGVEKHLTSWKSGGKDPSSGNYSFKIDPRGIPEIFLRRDDNIIYRSGPWNGERFSGVPEMQPDTDSITFSFSYDKHGVYYSFSIEDRSILSRLVVTSGGALERLTWVPSSNTWTKFWFAPKDQCDNFKECGSYGLCDTDASPVCTCMRGFSPRNQQAWNLRDGSDGCVRNTNLDCGSDRFLHVKDVKLPETSIVFANRSMNLEECKDLCRRNCSCTAYANIEVTNGGTGCVTWSGELIDMRQYAQGGQDLFVRIAASDAEDTADGSEKSNHIGEIVGITISAAVVIVGLVVIYRKKSKVLSISNVKTNPRGSLQSRELLTSDGVFSTNRETSGERNMDDIELPMFDFNTLAMATNNFSEANKLGQGGFGIVYRGRLMEGRDIAVKRLSKNSTQGVEEFKNEVKLIVRLQHRNLVRLFGCCVEMDEKLLVYEYMENRSLYSVLFDEAKKAMLDWNIRFNIICGIARGLLYLHHDSRLRIIHRDLKASNVLLDSELNPKISDFGMARLFGANQTEGNTLRVVGTYGYMSPEYAMDGTFSVKSDVFSFGVLALEIITGKKNRGFYYSNDDMNLLGHAWRHWRDGSALELVDSSIGDSYSPSEVLRCIHVGLLCVQERAEDRPTMSSVMLMLSSESSLMPQPRNPGFSLGKNPAEIDSSSSKQDESWSVNQVTVTLLDAR >Vigun01g114300.2.v1.2 pep primary_assembly:ASM411807v1:1:28957614:28962416:1 gene:Vigun01g114300.v1.2 transcript:Vigun01g114300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDSAETRLGNWHLRGISTGEKRRLSIGIEILTQPHVMFLDEPTSGLDSAASFYVISSLSNIAHDGRIVICSIHQPSGEIFNLFDDLVLLAAGEAVYFGQANMAVKFFADAGFPCPIRRNPPEHFLRCVSPEFDSVTALIKSKIENAASSSLNSLMNMTTEEIKSELVMNYKNSIHSANARRKIREMKKNLQEEPLVEKIYDTSWQKQLWTLTNRSFLNMTRDFGYYWLRIVFYIIISVSAGCLLFNIGTSNEAIISRGKCDGFIFGLMIFLCLGGVPFYHEELKVFKRERFGKHYGEAIFVLSHFLSSLPFVLAISLSSGTILYHMVNFHSGFSHYCYFCMNIFCFISVTEGCTLLVAALLPELLVAIGTATGVIVFMMVPSNVFRSLADLPKFFWRYPMSYLSYITWSIQGQFKNDLIGLEFEPKVAGETKIKGEHILHAMFGISTDYSKWWDLGVVVCFLICYRVLYYLALKHKERASSLLQIKRTFLHIFLRRPSLKDKYTSSKRHHLHPLALQEGLNSPMS >Vigun01g114300.1.v1.2 pep primary_assembly:ASM411807v1:1:28952377:28962416:1 gene:Vigun01g114300.v1.2 transcript:Vigun01g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSNADTAVENKRRVCLFWEDLTVVASNGSNSAEKELLKGLSGYAEPNRIMALIGPSGSGKSTLLAALAGILPSNVRMSGNVVLNGSTRRMTGCKDVCYVTQEDYFLGTLTVKETLTYAARLRLPSDTTRNEIEKVVTNVLAEMGLEDSAETRLGNWHLRGISTGEKRRLSIGIEILTQPHVMFLDEPTSGLDSAASFYVISSLSNIAHDGRIVICSIHQPSGEIFNLFDDLVLLAAGEAVYFGQANMAVKFFADAGFPCPIRRNPPEHFLRCVSPEFDSVTALIKSKIENAASSSLNSLMNMTTEEIKSELVMNYKNSIHSANARRKIREMKKNLQEEPLVEKIYDTSWQKQLWTLTNRSFLNMTRDFGYYWLRIVFYIIISVSAGCLLFNIGTSNEAIISRGKCDGFIFGLMIFLCLGGVPFYHEELKVFKRERFGKHYGEAIFVLSHFLSSLPFVLAISLSSGTILYHMVNFHSGFSHYCYFCMNIFCFISVTEGCTLLVAALLPELLVAIGTATGVIVFMMVPSNVFRSLADLPKFFWRYPMSYLSYITWSIQGQFKNDLIGLEFEPKVAGETKIKGEHILHAMFGISTDYSKWWDLGVVVCFLICYRVLYYLALKHKERASSLLQIKRTFLHIFLRRPSLKDKYTSSKRHHLHPLALQEGLNSPMS >Vigun01g001900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:271293:271406:1 gene:Vigun01g001900.v1.2 transcript:Vigun01g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGVFIFVLVIAYHFVMADPKFEGN >Vigun06g020100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9659595:9659834:-1 gene:Vigun06g020100.v1.2 transcript:Vigun06g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun03g372200.1.v1.2 pep primary_assembly:ASM411807v1:3:57483305:57487347:-1 gene:Vigun03g372200.v1.2 transcript:Vigun03g372200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCNHFTNALKGSPIDTLIRSCLLEERSGAASFTYDAPGASYSLKWTFHNDLGLVFVAVYQRILHLLYVDDLLAAVKREFSGLYQPQKTEYRDFDEIFRQLQIEAEARAENLKKTNPLPAVAPQRKQPNGTWQGKGKNAGTEKKSDGDGDGKNGRRIENGGFVVAKNNSNANLKSSGNGSVNIGKENESANIGAFDVNRLQKLKGKGPNGNGNGKKKEAVVAAKAEPKKVVKKNRVWDDSPPQTKLDFTDHLDGEGDGDKKVDFLAKEQGESMMDKEEVLSSDSEVEDDDDDTGKDSKPEAKKKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVHTAMEDALVRILTPKRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNTSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPTPRLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Vigun02g102600.1.v1.2 pep primary_assembly:ASM411807v1:2:25744178:25748760:1 gene:Vigun02g102600.v1.2 transcript:Vigun02g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEVVIQNLGSFVQEQLATYWGVDEQIQKLSSNLTAIRAVLRDAEKKQITSHAVKDWLQKLTDAAYVLDDILDECSIHFTKMHSHDGHTSCLSRLHPKDIHFRFHIGKRIKDITERFHDIHTERLTFELRVDLTKKQAVDDDDDWRETSSVITEPILYGRDEDRDKIVKFLLKDAIYSDELTIYAIVGMGGLGKTTLAKEVFHHDGISKHFDLKIWICVSDDFKVKEILQSIIECTLGPNHNLDNLEARRKKVEEALQSKRYLLVLDDVWNDNREKWNELKGMLECARAAKGATVLVTTRLQEVVSVMGAHFSFPLKALSEEDSWSLFQQHAFGPNTQEREELMVIGEEITRKCVGSPLAIKTLASCLRDENEVRQWENVKESEIWDIREESNSATGEENSIMRALKLSYSNLEPYMRRCFSLCAIYPKDFEIEKEELIHLWMANGFIRCERNVEVEDIGNKVWKKLYSRSFFQEAKYDRFGMIRTFKMHDLFHDLAQSIMGEECVVVMKKWLTPSSRIHYLNLKYYGVSVDASSFKFAFKKAESLRTLFYFGRMGLMLSNHCCLRALRTDFTKLSSLKSLSHLRYLSLSGGMHVSLNNSICELTKLQILKLRNWYKLYELPKNLTQLQDLRHVVIDECPSIVEMSPNISKLRHLKTLSIFIVGSKPGYGLAELHSLKLGGTLRIKGLEKVANEWDAKQTNLIDKKDLNILYLSWDGNANSRGSTVSEEIVLEALEPPSTLKSFHIKGYQGRQLSSWMRSPVTLRELVEVKLLDCENCEELPPLGKLPYLKRLEVRGMKNVKCIDGETYDGVEEKAFPSLEELILYNLPNLERMLRDEGVEMLPRLSEITIYGVSNVKFPRLPTVEKLRANMIDEVGFFMEGVVGNTPCLKTLNISSIKGVKTLPDQLGTLDALEVLEIGFWYDLEYFPEHVLEGLTSLRILRICCCEKLKSLSEGVRHLACLERLTIRECPESMVLPSNMSQLTALRDVSILYCSTLPEGLQRVPSLRSLYILYCKSTSLPDWLGDISTLEELSIDRCRELRSLPSSIQRLTNLSHLSIISCPYLKKRCKRETGEDWQYINHIPNIKLRDL >Vigun07g207900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32919646:32920694:-1 gene:Vigun07g207900.v1.2 transcript:Vigun07g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWCGIPYNTCVTTMGISSHPSLVSLSLKDLLRGSEANRNSSYGFLRSKVAEAKKGGRRRRRVLMKRRGSNGIRRRVRTLKTLIPNSHSLGLDRLFAQTANYILSLQTRVRVMQVMVEVLTPSHD >Vigun05g296150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48110648:48115254:1 gene:Vigun05g296150.v1.2 transcript:Vigun05g296150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNILESETHQNRRRKKSTVWEHFTVKTDGPGCARAYCKRCQKSFAYLKDSKQSGTSHLKRHIALGICQKNKKSPCSKTDADPPKKRARAKPYIAAISFDQERCNSKMAKMIILHDYPLHIVEHQGFIDFVRTLQPQFNPLSLNALQGDCVAMYLREKQNLLNLIDGIPGRVNLTLDLWTSNQTSAYVFLRGHFIDGDWNLHHPILNVFMVPFPDSDGSLNQAIVKCLSNWHLKGRLFTLALDKFFSTETLMGNLRSFLSVNNPVILNGQFLSQNCYARVLSRLASDALSAMRETINKVRESVKYVKSSKSNEAIFLKLRHQLQVPSTMDLVIDDQNKWDTIYHMLVAACKLKEAFVSFDAFDPDFRMTLTMDDWKHVETLCRYLNYLYDAANILTVQPYPTANVFFLEVSKLQVVLTCAALSQDHFCRCLIMPLQKKFDQYWRESCFILAAAVAMDPRYKMKFVESTFAKIFGENAEYWTRVVGDGLNELFLEYNIIQVLPFTATNDNEGNETMIKTEPFEEGAFDASLFAGDDGLSDIEFYISDLTCNQQFKSELDEYLEEPLETRVQEFDVLSWWRINELKYPTLSRLASDILCVPVSTLSGDAVFDTEIRKMDNYRSSLDSLTLEALICTKDWFQYNSLPPISVSNALVKVEC >Vigun05g139200.1.v1.2 pep primary_assembly:ASM411807v1:5:16990858:16992645:1 gene:Vigun05g139200.v1.2 transcript:Vigun05g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFATVEYGTQYESKITIFVLVTCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVYKKMKDESIHESQYCKFDNELLTLFTSSLYLAALVASFFASTTTRMMGRKTSMFAGGLFFLVGALLNGFAINIEMLIIGQLLLGFGVGYCNQSVPVYLSEMAPTSIRGALNMGFQMMITIGILIANLINYGTAKLENGWRISLGVGAVPAIMLCVGSLFLGDTPNSLIERGKEEEAKKMLKKIRGMDNVDEEFQDLVNATKVAKGFGNDTSLMSSVITGGVNVVATFVSIFSVDKFGRRILFLEGGIQMLICQVAVGIMIALKFGVSGEGYFTNGEASLLLFFICAYVAAYAWSWGPLGWLVPSEICSLEVRSAGQGINVAVNMLFTFVIAQVFLTMLCHLKFGLFFFFAGFVLIMTIFVALLMPETRNVRIEEMNKVWKSHWFWRKFISDDVVIGGHPHMATI >Vigun08g010900.2.v1.2 pep primary_assembly:ASM411807v1:8:964065:967327:1 gene:Vigun08g010900.v1.2 transcript:Vigun08g010900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSIPHSPLTFPYIYSPSSPVFSSPLLLLLLLLPFIPHTTLLLFWSKPVKPFCSSDHSQMADLGSASSRRMWCSIPERMQLHVAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKKERPAISLNFACQFFLLALVGITANQGFYLLGLENTSPTFASAIQNSVPAITFLMAAIFRIEQVRLNRKDGIAKVAGTLLCVVGATVITLYKGPTIYSPGTRVNSINSNTITTPVFDFGTLSLGDAKGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSFTSYTCFFGLLQFLVIALVLERDAQAWLFHSGGEAFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVAIMATIALGEEFYLGGIIGAVLIVSGLYFVLWGKSEERKFAREQLAIASTTDHSIIRPASHAKASLAQPLLSSSTEHV >Vigun01g168200.4.v1.2 pep primary_assembly:ASM411807v1:1:34981623:34987593:-1 gene:Vigun01g168200.v1.2 transcript:Vigun01g168200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMLSILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKTAIKSTKKLCAVMLDTVGAEMQVVNKSEKSISLQADAQVVLTPDRGQEASSEILPINFDELAKSIKKGDTIFVGQYLFTGSETTSVWLEVSEVTGQDVVCTIKNSATLAGSLFTLHVSQVHIDLPTLTDKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTHIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFFFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSALLLTE >Vigun01g168200.2.v1.2 pep primary_assembly:ASM411807v1:1:34979189:34987593:-1 gene:Vigun01g168200.v1.2 transcript:Vigun01g168200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMLSILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKTAIKSTKKLCAVMLDTVGAEMQVVNKSEKSISLQADAQVVLTPDRGQEASSEILPINFDELAKSIKKGDTIFVGQYLFTGSETTSVWLEVSEVTGQDVVCTIKNSATLAGSLFTLHVSQVHIDLPTLTDKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTHIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFFFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRITTNQLKWSFSGAFEARQSLIVRGLFPILADPRHPAESTSASNESILKVALDHGKAAGVIKSHDRVVICQKVGDSSVVKIIELED >Vigun01g168200.1.v1.2 pep primary_assembly:ASM411807v1:1:34979189:34987593:-1 gene:Vigun01g168200.v1.2 transcript:Vigun01g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMLSILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKTAIKSTKKLCAVMLDTVGAEMQVVNKSEKSISLQADAQVVLTPDRGQEASSEILPINFDELAKSIKKGDTIFVGQYLFTGSETTSVWLEVSEVTGQDVVCTIKNSATLAGSLFTLHVSQVHIDLPTLTDKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTHIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFFFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRITTNQLKWSFSGAFEDELPTHLRESLFTARQSLIVRGLFPILADPRHPAESTSASNESILKVALDHGKAAGVIKSHDRVVICQKVGDSSVVKIIELED >Vigun07g082000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11784428:11786032:-1 gene:Vigun07g082000.v1.2 transcript:Vigun07g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSTSIIMAALSTLTPSQFSDLTHSILSTTLHHHRRLAFLLSSPTLFSLTLHHLHNLSLPQKTLLIARHLLSSLHLLTATSPPPSTTTRQRDLDAALLLLLLCETHNHNPHALEAPFSQWRVNLSTIFSDSLLTLSFAPFGSCTVLIPFVETVTRCWRLVGALDCGGGKESGEVAASATAVVALPSVEVRTGGIECAICREEMTIGRDVCELPCQHLFHWVCILPWLGKRNTCPCCRFRLPSDDVFGEIQRLWEILVKTAAKDS >Vigun04g038700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3256688:3259576:1 gene:Vigun04g038700.v1.2 transcript:Vigun04g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILSYTACRQLSQMFFAIVFFHSSEYFLAAIIHGRSNITLRSLLLSKHYVLAMIFSVLEYSIEIVLVPEMKEHWVISDLGLALVVIGEIIRKMGILTAWKAFTHLIKIYHDNDHKLITHGIYGYIRHPGYCGFLVWSVGTQIMLCNPISTIAFAAVVWRFFAKRIPYEEFFLRQFFGREYERYAQRVGSGVPFVK >Vigun11g023420.1.v1.2 pep primary_assembly:ASM411807v1:11:2881383:2884461:-1 gene:Vigun11g023420.v1.2 transcript:Vigun11g023420.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISDIKLIRTDTTLDLSQKAEKDIKLIRTDTTLDLSQKAEKSMILILQVFSFYILNFICLSRN >Vigun03g159900.1.v1.2 pep primary_assembly:ASM411807v1:3:17645181:17649470:1 gene:Vigun03g159900.v1.2 transcript:Vigun03g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVRSNMSQTLSCIIQHPMDSTLMKSLSHNPKNSNSSTLIKCFAKNSGPSAKIMASATPTAAPITFGFRNLLETFTVDVHRAENRQLNVPLIAPFTIATSRLDKVENVAIRVELSNGAVGWGEAPILPFVTAEDQTTAMAKASEACAFLRRCPALTLGSMLGEIAAILPGHQFASVRAGVEMAIIDAAANSIRVPLWRLFGGASNTITTDITIPIVSPAEAAELASKYYKEGFKTLKLKVGKNLNADIEVLQAIRVAHPECQFILDANEGYNSEEAVEVLEKLHDMGLTPILFEQPVHRDDWDGLRYVSNIARERYGVSVAADESCRSIVDIYKIVEGNVLDVINIKLAKVGVMGALEIIEKAKAAGLDLMIGGMVETRLAMGFAGQLAAGLGCFKFIDLDTPLLLSDDPVLEGYEVSGATYKFSNARGHGGFLHWDNIA >Vigun07g248400.1.v1.2 pep primary_assembly:ASM411807v1:7:36804140:36805796:1 gene:Vigun07g248400.v1.2 transcript:Vigun07g248400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLALRSFSCVCCLLLITVFMSGVSADNNTQNFHYFCDHTNNRGNYTANSTYETNLNTLLSTITSNTEIEYGFYNLTEGENTDQVYAIGLCRGDVKPDECRNCLGHSRGNLSEVCPNRKEVIGWYEDEKCMLRYSDRKILGLMENGPAYFAWSSINATQAEEFNKVVKDLLDGLRSKAASGDSRSKYATANATGPDNKIIYGLVQCTPDLSGPDCDNCLLQSINEVSNCCDSKIGTRIVRPSCNLRYETSSLFYGAQAYTPSPSPSPSPSFSPPSMVTTNTSAEDNRDASGSTYADIVSFILLFCCILFCFCLRAKEKMF >Vigun07g030300.1.v1.2 pep primary_assembly:ASM411807v1:7:2748284:2753756:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCQNFDVRAKIPLKLGKPTWEVLFPRRLWFPTWTCEEKKLKTKCGEREGWIESAGKSAFGFGVSAALLCSVFGHSPAALAESLTVAFPVSRAPEVNAVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun07g030300.4.v1.2 pep primary_assembly:ASM411807v1:7:2746837:2753582:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCQNFDVRAKIPLKLGKPTWEVLFPRRLWFPTWTCEEKKLKTKCGEREGWIESAGKSAFGFGVSAALLCSVFGHSPAALAESLTVAFPVSRAPEVNAVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVHYVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGKKE >Vigun07g030300.2.v1.2 pep primary_assembly:ASM411807v1:7:2746818:2753679:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCQNFDVRAKIPLKLGKPTWEVLFPRRLWFPTWTCEEKKLKTKCGEREGWIESAGKSAFGFGVSAALLCSVFGHSPAALAESLTVAFPVSRAPEVNAVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGKKE >Vigun07g030300.3.v1.2 pep primary_assembly:ASM411807v1:7:2748383:2752156:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun07g030300.5.v1.2 pep primary_assembly:ASM411807v1:7:2748367:2753582:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCQNFDVRAKIPLKLGKPTWEVLFPRRLWFPTWTCEEKKLKTKCGEREGWIESAGKSAFGFGVSAALLCSVFGHSPAALAESLTVAFPVSRAPEVNAVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVHYVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun07g030300.8.v1.2 pep primary_assembly:ASM411807v1:7:2748403:2753673:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun07g030300.6.v1.2 pep primary_assembly:ASM411807v1:7:2748403:2753582:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVHYVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun07g030300.7.v1.2 pep primary_assembly:ASM411807v1:7:2748364:2753679:-1 gene:Vigun07g030300.v1.2 transcript:Vigun07g030300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMFPLNSADAAYTKIRGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLIVLSCIDGSPAARAGIHQGDELIEINGERLDGIDSETAAQRLRGNAGTTVTVKVKDSGRGSYIREVKLPRELIKLSPISSAIIPHRSPDGHLTKTGYVKLSAFSQTAAEDMRNAIQELENQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMLPINMVNGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGRKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSTKEISSSTKDKASVSSLEADSCIMVAEHALDMEESKGTAS >Vigun10g087650.1.v1.2 pep primary_assembly:ASM411807v1:10:25264847:25265334:1 gene:Vigun10g087650.v1.2 transcript:Vigun10g087650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVELVAEEGSGIGDKAMVLLNSLAGIEEGKEAIVKEGGTAALVEAIEDGIC >Vigun04g111166.1.v1.2 pep primary_assembly:ASM411807v1:4:27873205:27874961:1 gene:Vigun04g111166.v1.2 transcript:Vigun04g111166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGNEDSATEMKRRREYRRRWRDEDDATKMARRRWREGAGAMELARRRRRDGDGTTKTARRSWRDEDRCNGGDDTLEKYESFGQRQQKPRSKAARAFIKSSKSNDIERRESED >Vigun06g109500.1.v1.2 pep primary_assembly:ASM411807v1:6:23858516:23868567:-1 gene:Vigun06g109500.v1.2 transcript:Vigun06g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIYRKQASMAEKDPVQVIRQALSQTLVFYYPFAGRLREGPRRKLMVDCTGEGAMFIEPDADVTLDQFGDDLHHPLPCFHRLTPIDKTKG >Vigun10g104000.1.v1.2 pep primary_assembly:ASM411807v1:10:29761888:29770643:1 gene:Vigun10g104000.v1.2 transcript:Vigun10g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKAGASLDSLMSSFNARITQLQELVIARNMYPASSIADLSAVDAAVSAMELQVKAIKDRLREETQAIPKTKKLIDASLRQQRKLQNMSLHVPSQMADRVTVSHLETTRCLFPEFSGQDSGSFEALKLDEEPAAVPKEKKGRGSPPTWYVTGSELDSLSSYMRGRLTLEKVNAAINDMVSYAEANSQLIVVPKKKLAENLWEKALEIRDIATMEGIKGKHFFLEADIKGPSLKLDNTGKAILTVLRHLGRINETRIGHNRVIILQKPH >Vigun10g104000.2.v1.2 pep primary_assembly:ASM411807v1:10:29761888:29770643:1 gene:Vigun10g104000.v1.2 transcript:Vigun10g104000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQVKAIKDRLREETQAIPKTKKLIDASLRQQRKLQNMSLHVPSQMADRVTVSHLETTRCLFPEFSGQDSGSFEALKLDEEPAAVPKEKKGRGSPPTWYVTGSELDSLSSYMRGRLTLEKVNAAINDMVSYAEANSQLIVVPKKKLAENLWEKALEIRDIATMEGIKGKHFFLEADIKGPSLKLDNTGKAILTVLRHLGRINETRIGHNRVIILQKPH >Vigun11g074800.1.v1.2 pep primary_assembly:ASM411807v1:11:21838226:21845777:-1 gene:Vigun11g074800.v1.2 transcript:Vigun11g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKHMVNVKASLGVLLVLLLVAAVSPLEKKETEDDFVRKLLDPAYGLFDEHTAKVLWKYCREDLFHLVKDVGNHDLCLSRELSGGTNIISSEIQPLAREETKNFINAYCSEFKEDILHCLRKDNPPLPVSGKEDDSDIWHVAYMGPPFSRSSAPTRNFGRILLQRISDPPSPGPAPSLTPFPEPSSAPSSEPSPAPSSDPSPVPSPHSPVHHLPGPLQPSSAPSFFPKLTPPAASDISAPPSSETDKQEDSHSNKKTVVLAVVITAVVTFIAASLLFLCWRRHRRTGHVRLNDDRPLLSLSMSDYSVGPSSFSFGNSMKVQKPGFQSSNNSLVDNKYTVQESQSIGAHNAATGSPFELKPPPGRMGIIPSGMPPLKPPPGRLNPLPPEPPSFRPSDAAAPPASTTTVAVISSIPPPQPPMGAKPSPPLPPPPALAGSRPGPPPPPPALAVSIPGPPLPPPPAPAGIKPGPPPPPPPAPGGAKPGPRPPPPPPVNGVAPPRPPPFGSKVPRPLASGSKANVASEADAPKAKLKPFFWDKVQANPDQSMVWNQIKSGSFQFNEEMIETLFGYNTVDKNNGQRQKESSSQDPSPQFVHIIDKKKAQNLLILLRALNVTMEEVRDALCEGHELPPEFLQTLLKMAPTSDEELKLRLFDGDLSQLSPADSFLKTLVDIPFAFKRMEALLFMGSFKEELGTTMESFSILEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRSEGIKAVRKSKDSQSLSSIKSDDLQDNIQETEDHYHEIGLQVVSHLSSELENVKKAAVIDADSLTGTTVKLGEGLVNTRDLINKNMKNVEEDRGFCETVKSFVQNAEADVKKLLEEEKKIMALVKNTGDYFHGNAGKNEGLRLFIVVRDFLLMLDKICKELIDTQKKLTKTVKQVKQEPSSSEARPPPDLRQRLFPAIAERRMIDSSSDDESP >Vigun04g048300.1.v1.2 pep primary_assembly:ASM411807v1:4:4153544:4156470:-1 gene:Vigun04g048300.v1.2 transcript:Vigun04g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDQGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKDVVYESLTYSAELYISAGLIWKSSRDIQKQTIFVGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELDPNGISIDKKARIWARVSRKQKISILVLSSAMENAILEFYRQFACVGGDPVFSESLWKELQKKNFQQRCELGKIGRRNINQKLNLDIPQNNTFLLPRDILTAADHLIGMKFGMALLDDINHLKNKRIRSVADLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDQTNPLTEIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINDWYLGAIESPFFEISERSKRIRMLYLSPNIDEYYRVATGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSESEKCIVGTGLECQVALDSGVSAIAEHEGNIVYTDTDRIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISEHLVYEDIFTSFHIRKYEIQTHMTSYGSERITNKIPHLEAKLLRNLDKNGIVILGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIRISILQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQIFECSLGLSGGMLDRHYRITPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSKIFDGRTGNSFKQPALMGKTYILKLIHIYIYIYIYIYIYIYIYIYISFKFL >Vigun06g189400.1.v1.2 pep primary_assembly:ASM411807v1:6:30743366:30747958:1 gene:Vigun06g189400.v1.2 transcript:Vigun06g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSHKSLRSEFQGWHSKTLLLQGLKSDSSKCNSCRGIYVGKKHIRAIVVMFGLLGCLFLLDSLIISFFEFTNLQPVSAPNNSSLFQGRDSYIYRKQSPVYMYERLLNLASSAFAEKEFKQESSNLWVEPFRQASSWKPCAERKVQSNSGKPVQSNGYILISANGGLNQQRVAICNAVAVASLLNATLVIPEFLYSNVWKDPSQFGDIYQEEYFMNTLKDDINIEKELPPHLKSLDVEAIGSQITDADLTKEATTADYIKIVLPLLLRNGVVHFLGYGNRLGFDPLPSDIQRLRCKCNFHALKFAPKIQQLGSILIQRIRKYGARRSMLDTQLLGKFIHNNQYHEGKRGSAKYLALHLRFEIDMVAYSLCEFGGGEEERKELQAYRERHFPLFLERLKKNSTSISPMNLRKLGRCPLTPEEAALVLAGLGFKPETYIYLAGSHIYGGNSRMEPFTSLYPNVITKENLLTDSELAPFRNFSSQLAALDFIACASADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKTRLAAILRENNTIKWNRFEVRVRKMILEGQKAGIRSYGRSIYRNPRCPQCMCKHH >Vigun06g189400.5.v1.2 pep primary_assembly:ASM411807v1:6:30743154:30747958:1 gene:Vigun06g189400.v1.2 transcript:Vigun06g189400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLSLLWVVSLLSFACQRWNFEVGKLKMRPNTCCLCCVWWEVVVPYTFSFLFHKGRDSYIYRKQSPVYMYERLLNLASSAFAEKEFKQESSNLWVEPFRQASSWKPCAERKVQSNSGKPVQSNGYILISANGGLNQQRVAICNAVAVASLLNATLVIPEFLYSNVWKDPSQFGDIYQEEYFMNTLKDDINIEKELPPHLKSLDVEAIGSQITDADLTKEATTADYIKIVLPLLLRNGVVHFLGYGNRLGFDPLPSDIQRLRCKCNFHALKFAPKIQQLGSILIQRIRKYGARRSMLDTQLLGKFIHNNQYHEGKRGSAKYLALHLRFEIDMVAYSLCEFGGGEEERKELQAYRERHFPLFLERLKKNSTSISPMNLRKLGRCPLTPEEAALVLAGLGFKPETYIYLAGSHIYGGNSRMEPFTSLYPNVITKENLLTDSELAPFRNFSSQLAALDFIACASADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKTRLAAILRENNTIKWNRFEVRVRKMILEGQKAGIRSYGRSIYRNPRCPQCMCKHH >Vigun06g189400.2.v1.2 pep primary_assembly:ASM411807v1:6:30743194:30747995:1 gene:Vigun06g189400.v1.2 transcript:Vigun06g189400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLLGCLFLLDSLIISFFEFTNLQPVSAPNNSSLFQGRDSYIYRKQSPVYMYERLLNLASSAFAEKEFKQESSNLWVEPFRQASSWKPCAERKVQSNSGKPVQSNGYILISANGGLNQQRVAICNAVAVASLLNATLVIPEFLYSNVWKDPSQFGDIYQEEYFMNTLKDDINIEKELPPHLKSLDVEAIGSQITDADLTKEATTADYIKIVLPLLLRNGVVHFLGYGNRLGFDPLPSDIQRLRCKCNFHALKFAPKIQQLGSILIQRIRKYGARRSMLDTQLLGKFIHNNQYHEGKRGSAKYLALHLRFEIDMVAYSLCEFGGGEEERKELQAYRERHFPLFLERLKKNSTSISPMNLRKLGRCPLTPEEAALVLAGLGFKPETYIYLAGSHIYGGNSRMEPFTSLYPNVITKENLLTDSELAPFRNFSSQLAALDFIACASADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKTRLAAILRENNTIKWNRFEVRVRKMILEGQKAGIRSYGRSIYRNPRCPQCMCKHH >Vigun06g189400.4.v1.2 pep primary_assembly:ASM411807v1:6:30743194:30747958:1 gene:Vigun06g189400.v1.2 transcript:Vigun06g189400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSHKSLRSEFQGWHSKTLLLQGLKSDSSKCNSCRGIYVGKKHIRAIVVMFGLLGCLFLLDSLIISFFEFTNLQPVSAPNNSSLFQGRDSYIYRKQSPVYMYERLLNLASSAFAEKEFKQESSNLWVEPFRQASSWKPCAERKVQSNSGKPVQSNGYILISANGGLNQQRVAICNAVAVASLLNATLVIPEFLYSNVWKDPSQFGDIYQEEYFMNTLKDDINIEKELPPHLKSLDVEAIGSQITDADLTKEATTADYIKIVLPLLLRNGVVHFLGYGNRLGFDPLPSDIQRLRCKCNFHALKFAPKIQQLGSILIQRIRKYGARRSMLDTQLLGKFIHNNQYHEGKRGSAKYLALHLRFEIDMVAYSLCEFGGGEEERKELQAYRERHFPLFLERLKKNSTSISPMNLRKLGRCPLTPEEAALVLAGLGFKPETYIYLAGSHIYGGNSRMEPFTSLYPNVITKENLLTDSELAPFRNFSSQLAALDFIACASADVFAMTDSGSQLSSLVSGFRTYYGGDHAPTLRPNKTRLAAILRENNTIKWNRFEVRVRKMILEGQKAGIRSYGRSIYRNPRCPQCMCKHH >Vigun09g064800.2.v1.2 pep primary_assembly:ASM411807v1:9:6849332:6854640:-1 gene:Vigun09g064800.v1.2 transcript:Vigun09g064800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLSFAPISSFKDSTTPVTGNSVAPKVFWINEACHNSKVRNFQSLKVKATDDNKQTKAKSIVCPDCEGNGAISCTQCKGAGVNSVDHFNGQFKAGGLCWLCRGKRDILCGSCNGAGFLGGFMSTFDD >Vigun09g064800.1.v1.2 pep primary_assembly:ASM411807v1:9:6849332:6854640:-1 gene:Vigun09g064800.v1.2 transcript:Vigun09g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLSFAPISSFKDSTTPAVTGNSVAPKVFWINEACHNSKVRNFQSLKVKATDDNKQTKAKSIVCPDCEGNGAISCTQCKGAGVNSVDHFNGQFKAGGLCWLCRGKRDILCGSCNGAGFLGGFMSTFDD >Vigun11g199400.1.v1.2 pep primary_assembly:ASM411807v1:11:39748785:39750713:1 gene:Vigun11g199400.v1.2 transcript:Vigun11g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEDMSMSVETALPGFRFHPTEEELLDFYLKNMVVGKKLRYDVIGFLNIYHHDPWDLPDLAKVGEREWYFFVPRDKKHGSGGRPNRTTEKGFWKATGSDRKIVTLSDPKRIIGLRKTLVFYMGRAPSGRKTDWVMNEYRLPDNCKLPKEIVLCKIYRKATSLKVLEERAEREMKQMVGSPASPPSSTDTMSFGSPQEEANVSLPLPLLQHVLKKESESEEIMGCVVPKQEKTSTQLGLKDNNNNNKGSSCGTSSLQMPFGKDNLPDLQLPMLTDWTQDTFWAQLNSPWLQNLTPSNILNFY >Vigun07g048800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5043904:5045152:-1 gene:Vigun07g048800.v1.2 transcript:Vigun07g048800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPAPTPAPEPATSLPETTPLFTRIRLATLLDVPHIHKLIHQMAVFERLTHLFSATESSLAATLFSATAQPFHSFTVFLLEASPKPFAVSTADSNPFFTPITKFVNLSLPIEDPERDTFKTSDDVTVVGFVLFFPNYSTFLAKPGFYVEDLFVRECYRRKGFGRMLLSAVAKQAVKMGYGRVEWVVLDWNVNAIRFYEEMGADLLNEWRICRLTGEALQAYGGAD >Vigun07g048800.2.v1.2 pep primary_assembly:ASM411807v1:7:5043884:5045063:-1 gene:Vigun07g048800.v1.2 transcript:Vigun07g048800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPAPTPAPEPATSLPETTPLFTRIRLATLLDVPHIHKLIHQMAVFERLTHLFSATESSLAATLFSATAQPFHSFTVFLLEASPKPFAVSTADSNPFFTPITKFVNLSLPIEDPERDTFKTSDDVTVVGFVLFFPNYSTFLAKPGFYVEDLFVRECYRRKGFGRMLLSAVAKQAVKMGYGRVEWVVLDWNVNAIRFYEEMGADLLNEWRICRLTGEALQAYGEFES >Vigun07g048800.1.v1.2 pep primary_assembly:ASM411807v1:7:5042892:5045075:-1 gene:Vigun07g048800.v1.2 transcript:Vigun07g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPAPTPAPEPATSLPETTPLFTRIRLATLLDVPHIHKLIHQMAVFERLTHLFSATESSLAATLFSATAQPFHSFTVFLLEASPKPFAVSTADSNPFFTPITKFVNLSLPIEDPERDTFKTSDDVTVVGFVLFFPNYSTFLAKPGFYVEDLFVRECYRRKGFGRMLLSAVAKQAVKMGYGRVEWVVLDWNVNAIRFYEEMGADLLNEWRICRLTGEALQAYGGN >Vigun02g037000.1.v1.2 pep primary_assembly:ASM411807v1:2:15179941:15191319:1 gene:Vigun02g037000.v1.2 transcript:Vigun02g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQCNCNSLALNKPCYPRRRRRLLLQPFSSSTRLVPRTSICTGYNASTSRWGSVVSAVVSEENAVGSSFSAADVFKLTYLEGNSWLWNVGGTNILVDPILVGNLDFGIPWLYDAAKKMLKQFQLSDLPEISCLLITQSLDDHCHLKTLKPFSQKFPNIKVIATPNAKGLLDPLFRNVTYLEPGQSFDIETNYGSMINIKATAGPVLGPPWQRPENGYLVTSPQGQLSLYYEPHCVYNQSYIEKEKADIVITPVIKQLLPNFTLVSGQEDAVKLAKLLQAKFIVPMKNGDLDSKGLLASLVSGEGTVESFKELLSKELPDAKVVEPTPGVPVEISAN >Vigun02g037000.3.v1.2 pep primary_assembly:ASM411807v1:2:15179941:15191319:1 gene:Vigun02g037000.v1.2 transcript:Vigun02g037000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQFQLSDLPEISCLLITQSLDDHCHLKTLKPFSQKFPNIKVIATPNAKGLLDPLFRNVTYLEPGQSFDIETNYGSMINIKATAGPVLGPPWQRPENGYLVTSPQGQLSLYYEPHCVYNQSYIEKEKADIVITPVIKQLLPNFTLVSGQEDAVKLAKLLQAKFIVPMKNGDLDSKGLLASLVSGEGTVESFKELLSKELPDAKVVEPTPGVPVEISAN >Vigun02g037000.2.v1.2 pep primary_assembly:ASM411807v1:2:15179941:15191319:1 gene:Vigun02g037000.v1.2 transcript:Vigun02g037000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQCNCNSLALNKPCYPRRRRRLLLQPFSSSTRLVPRTSICTGYNASTSRWGSVVSAVVSEENAVGSSFSAADVFKLTYLEGNSWLWNVGGTNILVDPILVGNLDFGIPWLYDAAKKMLKQFQLSDLPEISCLLITQSLDDHCHLKTLKPFSQKFPNIKVIATPNAKGLLDPLFRNVTYLEPGQSFDIETNYGSMINIKATAGPVLGPPWQRPENGYLVTSPQGQLSLYYEPHCVYNQSYIEKEKADIVITPVIKQLLPNFTLVSGQEDAVKLAKLLQAKNFYQKNYQMQRL >Vigun07g295600.1.v1.2 pep primary_assembly:ASM411807v1:7:40597503:40602674:-1 gene:Vigun07g295600.v1.2 transcript:Vigun07g295600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGRDPIRGLLRPQMALAFAIALLSITVVSVNADGYPYYSPPPPYQYSSPPPPPYEYKSPPPPPYEHKSPPYVYKSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPPYEHKAPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPAPTPYYYKSPPPPSPKPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPPPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPKPYYYKSPPPPSPTPYYYTSPPPPKYPPPYYYSSPPPPVVYPPHPYHPHHHPLIVKVVGKVYSYKCYDWEYPEKSHNKKHLKGATVEVKCKAGRNIIKAYGETKSNGKYSITVKDFDYAKYGSVVCKAKLYAPPKDSPFNIPTKLNEGTDLKVKSKDKYEVVLKAKPFAYASKKHFKDCEKPKPSPTPYYYKSPPPPSPVYYYKSPPPPSPTYVYKSPPPPVKSPPYYYQSPPPPSPKPQPPYYYHSPPPPSPKPYYYQSPPPPSPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVPKPPYYYQSPPPPSPKPKPPYYYHSPPPPSPSPPPPYYYHSPPPPSPSPPPPYYYKSPPPPSPKPKPPYYYHSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPKESTHPPYYYHSPPPPSPSPPPPYYYKSPPPHPHLHHLLTTIKVLLHHLPLLILPTTTNPLHHRRRILHLLTTT >Vigun07g295600.2.v1.2 pep primary_assembly:ASM411807v1:7:40597503:40602674:-1 gene:Vigun07g295600.v1.2 transcript:Vigun07g295600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGRDPIRGLLRPQMALAFAIALLSITVVSVNADGYPYYSPPPPYQYSSPPPPPYEYKSPPPPPYEHKSPPYVYKSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPPYEHKAPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPAPTPYYYKSPPPPSPKPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPPPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYYKSPPPPSPTPYYPSPKPYYYKSPPPPSPTPYYYTSPPPPKYPPPYYYSSPPPPVVYPPHPYHPHHHPLIVKVVGKVYSYKCYDWEYPEKSHNKKHLKGATVEVKCKAGRNIIKAYGETKSNGKYSITVKDFDYAKYGSVVCKAKLYAPPKDSPFNIPTKLNEGTDLKVKSKDKYEVVLKAKPFAYASKKHFKDCEKPKPSPTPYYYKSPPPPSPVYYYKSPPPPSPTYVYKSPPPPVKSPPYYYQSPPPPSPKPQPPYYYHSPPPPSPKPYYYQSPPPPSPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPYYYQSPPPPSPKPKPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVPKPPYYYQSPPPPSPKPKPPYYYHSPPPPSPSPPPPYYYHSPPPPSPSPPPPYYYKSPPPPSPKPKPPYYYHSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPKESTHPPYYYHSPPPPSPSPPPPYYYKSPPPPTSYPSPPYHYVSPPPPSPSPPPPYHYSSPPPPSPAPAPKYIYKSPPPPAYVYASPPPPIYK >Vigun06g135800.1.v1.2 pep primary_assembly:ASM411807v1:6:26193255:26202678:1 gene:Vigun06g135800.v1.2 transcript:Vigun06g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYPYTLRPLFFSSKLTAMTPQTLFHSSSFPTLKNTTFCQRHRLLPHRPFFTVFCSKPTSRNPPSPLRTNGYHGVSHASIPRPVQLENTEAKSVELQFNILKQRLEAVGMETGICVPGQYNHLLCPECQGGDQSERSLSLYIAPDGGSAAWVCFRGKCGWKGSTQAFAGSRSAASIVTPVKKKREITEKELQLEPLCDELLAYFSERLISKETLERNAVMQRKYEDQIVIAFTYRRKGSLISCKYRDVNKMFWQEANTEKIFYGLDDIVGQSDVIIVEGEMDKLAMEEAGFLNCVSVPDGAPQSVSSKDLPPLEQDKKYQYLWNCKDELKKATRVILATDGDPPGQALAEELARRIGKEKCWRVRWPKKGKSDNCKDANEVLMYLGPDALKEAIENAELYPIRGLFNFRDYFDEIDAYYHRTLGYDIGISTGWNNLNDLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNELAGWKFALCSMENKVREHARKLLEKHLKKPFFNERYGEKVERMSVEEFERGKLWLSDTFSLIRCEDDSLPNIRWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQMLTLIKRFAQHHGCHVWFVAHPRQLHNWVGDPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPMDQVQVCVRKVRNKVAGTIGEATLLYNRVTGEYTPTDNKRPTDRRK >Vigun11g086500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25926804:25926908:1 gene:Vigun11g086500.v1.2 transcript:Vigun11g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun07g060200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6793328:6795039:-1 gene:Vigun07g060200.v1.2 transcript:Vigun07g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCETPEGHRLCANNCGFFGSSATMNLCSKCYGAIRLKEQDDASTKSAIETALSSSAKTPLSTAPPAAIDVLLEASPPPPLPAEAAVAVPVQVAVATSSSSSGSAQPNRCAACRKRVGLTGFKCRCGVTFCGTHRYPEKHACGFDFKTVGREEIARANPVIKAEKLRRI >Vigun09g254500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42117401:42119159:1 gene:Vigun09g254500.v1.2 transcript:Vigun09g254500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLTPQAPNKNTRIKTPKKKNGGGNFSSYKRSENFDAYAGLLNPPPSRAMSFSYSQPLSASSLFNHHRHAQPLQQQQQPPLLPLPHASGGIRSRDKSLTPKKSKPTKREEAKKRSGTQFLIVASQNPWGPDPKDLPRLLPGMGNVNDVVSAAVFNLAPPPSSLPLPNFSLRSKLGCKAEAAAGGGGVDDGATNNLRRLLRLR >Vigun09g191800.1.v1.2 pep primary_assembly:ASM411807v1:9:36667073:36670125:-1 gene:Vigun09g191800.v1.2 transcript:Vigun09g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSILNSNQPRDKQQQKQKGEWLAVSFKAENFIPGLVIGFIVGLLLDLTKPSRNHLPKKQLSSTKLQEQLSVSSNGDRELKMVLVVRQDLKMKSGKIASQCAHAATGMYAELMQSDRSLLRQWEQCGQPKIVVTCRNQQEMNKLKETAESIGLPTFVVADAGRTQVLAGSKTVLAVGPGPKSSVDAVTGRLPLL >Vigun11g108400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30827397:30828695:-1 gene:Vigun11g108400.v1.2 transcript:Vigun11g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEMDNSVAKIKKNRNHGSTRFFVFVDYIFLLIFLGFLCFIIFKIVNI >Vigun11g193600.1.v1.2 pep primary_assembly:ASM411807v1:11:39267794:39272193:1 gene:Vigun11g193600.v1.2 transcript:Vigun11g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFTFTDSTSLFRPSLHSSRTFPRNRSLVVKASSSSSDDISRSRRRFLAETAAISVSLPHFPARAEDTLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWAARSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTADAGESWERIPLSAELPGDMVYIKATGEKSAEMVTDEGAIYVTANRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEEFEEVPVQSRGFGILDVGYRSTDEAWAAGGSGILLRTTNGGQSWIRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >Vigun11g095800.1.v1.2 pep primary_assembly:ASM411807v1:11:27890535:27892940:1 gene:Vigun11g095800.v1.2 transcript:Vigun11g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPLHIAMYPWLAMGHQTAFLHLCNKLAIRGHRISFITPTKAQAKLEPYNLHPHLITFVTVTVPHVEGLPPDAQTTADVTYPLQPNIMTAMDLTKDDVETLLTDLKPELVFYDFTHWMPTLTKKLGIKAVHYCTASSVMIGYTLPPARYYQGIDLSESDLMEPLKGTQTRPSSFMLTRLVPLRGKERTRSGAMFSSTIANSSH >Vigun04g071001.1.v1.2 pep primary_assembly:ASM411807v1:4:8220193:8221880:-1 gene:Vigun04g071001.v1.2 transcript:Vigun04g071001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEVVDNCHSSAEEIQDIGGHRDLDTGAVTKPCRSKKDKKKRKQEDRNSQDKGEGYNNLKEVYTISSVDDDRSKGMKKWIMEYHQSRPGLEVLQHQIDDFIIAHQEKLEEKEKKKKPLLLKGGGQLLYTIKVERKLLILKLELQWVRLLKQPWRTK >Vigun03g363200.1.v1.2 pep primary_assembly:ASM411807v1:3:56690538:56694119:-1 gene:Vigun03g363200.v1.2 transcript:Vigun03g363200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGKKVLLTSNGDEISQGIAFHLAKQGCRLVLLGDESCLRSIAHKIMDSLSLSDRGTVQVVELNMEDQNESVFQNSVDQACQILGKLDAFVNCYSYEGKMQEHIELAESEFKKIVKINFMAAWFLLKAVGRRMRDFNAGGSVVFLTSILGAERGLYPGAAAYASVLAGVQQLVRASALEIGKYQIRVNAIARGLHLQDEFPLSVGRERAEKLVKEAAPLERWLDAKNDLASTVIYLISDGSRYMTGTTIYVDGAQSITRPRMRSFM >Vigun03g163700.1.v1.2 pep primary_assembly:ASM411807v1:3:18489252:18492585:1 gene:Vigun03g163700.v1.2 transcript:Vigun03g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKSNNNQCSSSKEDTNMSSHLRFEDAEEAVEESSNLDQSMCDIDESDDKTSADYYFDSYSHFGIHEEMLKDTVRTKTYQNVIYQNKFLFKNKVVLDVGAGTGILSLFCAKAGAEHVYAVECSHMADMAKEIVETNGFSNVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNSVLYARDKWLADDGVVLPDRASLHLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAIMEPLVDTVDQNQIATNCQLLKTMDISKMAPGDASFTAPFKLVAERDDYIHALVAYFDVSFTKCHKLMGFSTGPKSRTTHWKQTVLYLEDILTICEGEAIVGSMTVAPNKKNPRDVDIMVKYSLNGKRCNVSRVQYYKMR >VigunL051401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000321.1:48689:50314:1 gene:VigunL051401.v1.2 transcript:VigunL051401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPDLRTLQTVAPDLPYPLIVKPSLGAKPLLQEHVPGQDYCVAVLADRGKLSAFMAYRNLTTFPRKAGAGALRETVDAEPFRAAVQKVLEATHWNGLAELDFRWTGDPTDEPKLIEVNARFWAGIFHSIQTAVDFPWLLYLQTLGAPLDPVGEPNIGGRTKAPGVWLLAADRGGGRLFAAAQRRQRGLEARSGHAWHAQVGEPARDRWPKPAGELSDGDDPLIGLGALFILSSLVKHGRLPEELTYETDPATLARRRIGGRRRRPVIGVTMPERGDRLSWLALKTAIGLAGGTAVRLTARAPRDPRTIDGLVLGGGSDVYPLAFEGRPKPGYRYDLARGDMEASWALAARRHGLPVLGVCRGAQMLNVLAGGSLHMDLAAFEGAKLAPSRWDHATVRKRVRLRGGSRLAQILGPAPLRVNAIHQQAIDRLGVGLTVAAREANGLIQAIEDRSRRFWIGVQYHPELLLYRARHRRLFKALVQDARDRRAERMA >Vigun03g193050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26888717:26889022:-1 gene:Vigun03g193050.v1.2 transcript:Vigun03g193050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKSLSSSKFEEEELLIQMLETAKRDLQQSIEKEVKENLILQASLERRKHALQKRRLEFEDVSSLQEQLQVEKDLRAALEVPDEKWRLEMDEEIEHNNT >Vigun03g166700.1.v1.2 pep primary_assembly:ASM411807v1:3:19299003:19300692:1 gene:Vigun03g166700.v1.2 transcript:Vigun03g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRFADRKVEKFEKNITKRGFVPDTTSKKGKDYPVGPLLLGFFVFVVIGSSLFQIIRTATSGGMA >Vigun03g229600.2.v1.2 pep primary_assembly:ASM411807v1:3:38240811:38247154:-1 gene:Vigun03g229600.v1.2 transcript:Vigun03g229600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSKAMGGGSGKKHDVGAAKVEAFVPKSDHNPKELRSWAKRTGFVSDYSGEAGTSASEKFDSVDDQREGGSSPKIEIDPVLGLARPDRDNEIEPVLRSKDEWNGAMWSQNQKKKNRDEPAVALAGHGDKKVGLRGNGDANGVVNSHGVSAVAPLPEQKKEEGVAEDVKVNLFPEGEEPADRGWQRPSGLKYGITENPGFVPLIYYGLQHYLSLIGSLVLIPLIMVPTMGGTDNDTANVISTMLFLSGVTTILHSYFGTRLPLVQGSSFVYLAPALVIINAEEFRNLTQHKFRHIMRELQGAIIVGSVIQCILGLSGLMSLLLRIINPIVVAPTVAAVGLAFFSYGFPQAGTCMEISIPQIALVLLFTLHLRGISIFGNHSFRIYAVGTYHSACVRINLRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENIHTIDTTKVASRRVVGLGAAFMILFSFMGKVGALLASIPQALAASVLCFIWALIAALGLSTLQSGQCSSFRNMTIVGVSLFLGLSIPAYFQQYKPQTTLILPPYLVPYGAASSGPFHSGIQQLDFAINGLMSLNMVVTLLVAFILDNTVPGSQQERGVYIWSRPQDIASHPSLLSTYSLPRKIARCFGWAKCLGV >Vigun03g229600.1.v1.2 pep primary_assembly:ASM411807v1:3:38240811:38247154:-1 gene:Vigun03g229600.v1.2 transcript:Vigun03g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSKAMGGGSGKKHDVGAAKVEAFVPKSDHNPKELRSWAKRTGFVSDYSGEAGTSASEKFDSVDDQREGGSSPKIEIDPVLGLARPDRDNEIEPVLRSKDEWNGAMWSQNQKKKNRDEPAVALAGHGDKKVGLRGNGDANGVVNSHGVSAVAPLPEQKKEEGVAEDVKVNLFPEGEEPADRGWQRPSGLKYGITENPGFVPLIYYGLQHYLSLIGSLVLIPLIMVPTMGGTDNDTANVISTMLFLSGVTTILHSYFGTRLPLVQGSSFVYLAPALVIINAEEFRNLTQHKFRHIMRELQGAIIVGSVIQCILGLSGLMSLLLRIINPIVVAPTVAAVGLAFFSYGFPQAGTCMEISIPQIALVLLFTLHLRGISIFGNHSFRIYAVPLSVTLTWIYASFLTAGGAYNYKGCNPKIPSSNILTDACRKHAYTMKHCRTDVSNALLTSAWLRIPYPLQWGFPIFHFRTCIIMAIVSLVASVDSVGTYHSACVRINLRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENIHTIDTTKVASRRVVGLGAAFMILFSFMGKVGALLASIPQALAASVLCFIWALIAALGLSTLQSGQCSSFRNMTIVGVSLFLGLSIPAYFQQYKPQTTLILPPYLVPYGAASSGPFHSGIQQLDFAINGLMSLNMVVTLLVAFILDNTVPGSQQERGVYIWSRPQDIASHPSLLSTYSLPRKIARCFGWAKCLGV >Vigun03g229600.3.v1.2 pep primary_assembly:ASM411807v1:3:38240811:38247154:-1 gene:Vigun03g229600.v1.2 transcript:Vigun03g229600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTMGGTDNDTANVISTMLFLSGVTTILHSYFGTRLPLVQGSSFVYLAPALVIINAEEFRNLTQHKFRHIMRELQGAIIVGSVIQCILGLSGLMSLLLRIINPIVVAPTVAAVGLAFFSYGFPQAGTCMEISIPQIALVLLFTLHLRGISIFGNHSFRIYAVPLSVTLTWIYASFLTAGGAYNYKGCNPKIPSSNILTDACRKHAYTMKHCRTDVSNALLTSAWLRIPYPLQWGFPIFHFRTCIIMAIVSLVASVDSVGTYHSACVRINLRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENIHTIDTTKVASRRVVGLGAAFMILFSFMGKVGALLASIPQALAASVLCFIWALIAALGLSTLQSGQCSSFRNMTIVGVSLFLGLSIPAYFQQYKPQTTLILPPYLVPYGAASSGPFHSGIQQLDFAINGLMSLNMVVTLLVAFILDNTVPGSQQERGVYIWSRPQDIASHPSLLSTYSLPRKIARCFGWAKCLGV >Vigun03g375900.2.v1.2 pep primary_assembly:ASM411807v1:3:57848620:57852837:-1 gene:Vigun03g375900.v1.2 transcript:Vigun03g375900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLISRAPVPFLSTAFFKFKSASSCTRVCHMAASPSDDAVRNDTPSASSVIDFLSICQRLKTTKRTGWLRKDVKNPESIADHMYRMGLMALIAPDVSGFDRNKCIKLAIVHDIAEAIVGDITPMDGVSKQEKSHLEQTALDHMCKVLGGGSAATEITELWMEYESNTSLEAKFVKDLDKVEMILQALEYEDGKRFGRILPVNCWEVPD >Vigun03g375900.1.v1.2 pep primary_assembly:ASM411807v1:3:57848620:57852837:-1 gene:Vigun03g375900.v1.2 transcript:Vigun03g375900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLISRAPVPFLSTAFFKFKSASSCTRVCHMAASPSDDAVRNDTPSASSVIDFLSICQRLKTTKRTGWLRKDVKNPESIADHMYRMGLMALIAPDVSGFDRNKCIKLAIVHDIAEAIVGDITPMDGVSKQEKSHLEQTALDHMCKVLGGGSAATEITELWMEYESNTSLEAKFVKDLDKVEMILQALEYEDEQGKDLEEFFRSTAGKFQTETGKAWASEIVSRRKNKFSITDRPFNNGKG >Vigun03g351600.1.v1.2 pep primary_assembly:ASM411807v1:3:55312032:55318606:-1 gene:Vigun03g351600.v1.2 transcript:Vigun03g351600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGQEEIELSRDGSHYSLSTGILPSLGAKSNRRIKLRRFILSPYDRRYRIWETFLIILVFYTAWVSPFEFGFLKKPEPPLSITDNIVNGFFFVDIVLTFFVAYIDKSTYLIVDDRKQIAWKYGRTWLAFDVISIIPSELIQKISPSPLQSYGLFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLISVTLFAVHCAGCFYYLIAARYHDPKKTWIGSTMDNFLQHSLWSRYVMSIYWSITTLTTVGYGDLHPVNSREMTFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASNFAQRNQLPHRLQDQMLAHLCLKYRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLIDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVELVVLKNGVEQVVGEAKTGDLCGEIGVLCYKPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKDLNDPIMEGVLVEIETMLARGRMDLPVSVCFAAARGDDLLLHQLLKRGMDPNESDNNRRTALHIAASQGKENCVLLLLDYGADPNITDLDGNVALWEAMVGEHESVRKVLAENGANLQCGDVGQFACSAVEQNNLKLLKEIKRYGGDITLPSINSGTTALHVAVSEGNVEMVKYLLDHGASIDKPDKHGWTARGLADQQSHTEIKAIFDSTGDPKVHSSLSIPEKQSAFRFLGRFTSEPTMPLPLEGSFHGADASLSQSQRQSQNRPRRRSSNYHNSLLGIMVAAHNGEKDLLLPLDMNNTGSNGMKSSSPARVIISCPERGEVVGKLVLLPGSFQELLEIGAKKFGFYPAKVVCKDGGQIEDLEVIRDGDHLVFLGAGEL >Vigun03g351600.2.v1.2 pep primary_assembly:ASM411807v1:3:55312032:55316794:-1 gene:Vigun03g351600.v1.2 transcript:Vigun03g351600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTFLSRLEKDRNYNYFWVRCAKLISVTLFAVHCAGCFYYLIAARYHDPKKTWIGSTMDNFLQHSLWSRYVMSIYWSITTLTTVGYGDLHPVNSREMTFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASNFAQRNQLPHRLQDQMLAHLCLKYRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLIDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVELVVLKNGVEQVVGEAKTGDLCGEIGVLCYKPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKDLNDPIMEGVLVEIETMLARGRMDLPVSVCFAAARGDDLLLHQLLKRGMDPNESDNNRRTALHIAASQGKENCVLLLLDYGADPNITDLDGNVALWEAMVGEHESVRKVLAENGANLQCGDVGQFACSAVEQNNLKLLKEIKRYGGDITLPSINSGTTALHVAVSEGNVEMVKYLLDHGASIDKPDKHGWTARGLADQQSHTEIKAIFDSTGDPKVHSSLSIPEKQSAFRFLGRFTSEPTMPLPLEGSFHGADASLSQSQRQSQNRPRRRSSNYHNSLLGIMVAAHNGEKDLLLPLDMNNTGSNGMKSSSPARVIISCPERGEVVGKLVLLPGSFQELLEIGAKKFGFYPAKVVCKDGGQIEDLEVIRDGDHLVFLGAGEL >Vigun05g162000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25671681:25672667:-1 gene:Vigun05g162000.v1.2 transcript:Vigun05g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun02g106600.1.v1.2 pep primary_assembly:ASM411807v1:2:26052370:26057280:-1 gene:Vigun02g106600.v1.2 transcript:Vigun02g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAQHTQQVRLDSAKLKLDSYPHHWNFFVGIDMGLPRFGRPKNDGELSSNLYVANCGPAVGISDDNIASVFCKFGELKGVYAADESGTRVIVAYAEEGSAQAALKALHGRPCPELGGRSMHIRYSVLQPTTQDQASDLVPVSISASEVSIPGLYLIQDFISAKEEEELLQAVDCRHWNSLAKRRVQHYGYEFRYDTRNVNTRHCLGELPSFVSPILERISSCPSFKNVKNIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYENGDRLPKVASSSIAKAENTEDQSNFIRRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGNIIRRASRRVSFTFRKVRAGLCKCEFPQYCDSQR >Vigun02g106600.4.v1.2 pep primary_assembly:ASM411807v1:2:26052370:26057243:-1 gene:Vigun02g106600.v1.2 transcript:Vigun02g106600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVYAADESGTRVIVAYAEEGSAQAALKALHGRPCPELGGRSMHIRYSVLQPTTQDQASDLVPVSISASEVSIPGLYLIQDFISAKEEEELLQAVDCRHWNSLAKRRVQHYGYEFRYDTRNVNTRHCLGELPSFVSPILERISSCPSFKNVKNIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYENGDRLPKVASSSIAKAENTEDQSNFIRRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGNIIRRASRRVSFTFRKVRAGLCKCEFPQYCDSQR >Vigun02g106600.3.v1.2 pep primary_assembly:ASM411807v1:2:26052370:26057243:-1 gene:Vigun02g106600.v1.2 transcript:Vigun02g106600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAQHTQQVRLDSAKLKLDSYPHHWNFFVGIDMGLPRFGRPKNDGELSSNLYVANCGPAVGISDDNIASVFCKFGELKGVYAADESGTRVIVAYAEEGSAQAALKALHGRPCPELGGRSMHIRYSVLQPTTQDQASDLVPVSISASEVSIPGLYLIQDFISAKEEEELLQAVDCRHWNSLAKRRVQHYGYEFRYDTRNVNTRHCLGELPSFVSPILERISSCPSFKNVKNIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYENGDRLPKVASSSIAKAENTEDQSNFIRRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGNIIRRASRRVSFTFRKVRAGLCKCEFPQYCDSQR >Vigun02g106600.5.v1.2 pep primary_assembly:ASM411807v1:2:26053137:26057243:-1 gene:Vigun02g106600.v1.2 transcript:Vigun02g106600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVYAADESGTRVIVAYAEEGSAQAALKALHGRPCPELGGRSMHIRYSVLQPTTQDQASDLVPVSISASEVSIPGLYLIQDFISAKEEEELLQAVDCRHWNSLAKRRVQHYGYEFRYDTRNVNTRHCLGELPSFVSPILERISSCPSFKNVKNIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYENGDRLPKVASSSIAKAENTEDQSNFIRRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGNIIRRASRRVSFTFRKVRAGLCKCEFPQYCDSQR >Vigun02g106600.2.v1.2 pep primary_assembly:ASM411807v1:2:26052370:26057243:-1 gene:Vigun02g106600.v1.2 transcript:Vigun02g106600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAQHTQQVRLDSAKLKLDSYPHHWNFFVGIDMGLPRFGRPKNDGELSSNLYVANCGPAVGISDDNIASVFCKFGELKGVYAADESGTRVIVAYAEEGSAQAALKALHGRPCPELGGRSMHIRYSVLQPTTQDQASDLVPVSISASEVSIPGLYLIQDFISAKEEEELLQAVDCRHWNSLAKRRVQHYGYEFRYDTRNVNTRHCLGELPSFVSPILERISSCPSFKNVKNIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLSGPCIMEFRRYENGDRLPKVASSSIAKAENTEDQSNFIRRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVNGNIIRRASRRVSFTFRKVRAGLCKCEFPQYCDSQR >Vigun05g075100.1.v1.2 pep primary_assembly:ASM411807v1:5:6778605:6779839:-1 gene:Vigun05g075100.v1.2 transcript:Vigun05g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHQEFVEYSERTILEDYLGMSRVHHRKPIVSDNFFGRFKKLEFDAACNRPILIPSHVLPYLKNLEELNVHSSDALQVIFNIDESEVKMNGTVFGLKKLTLENLSNLKYVWKENSTGIISFHNLQEVVVNGCGNLITLFSSSLARNLKKLHKLRITECGKLVAIVRKEDGIEHGKKIMFEFPFLYYLHLENMPLLSCFYPEKHHLDCPLLQTLILCYCPKLKPFASDFDDNERSYRGSN >Vigun03g446100.1.v1.2 pep primary_assembly:ASM411807v1:3:64763940:64767108:-1 gene:Vigun03g446100.v1.2 transcript:Vigun03g446100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuATG8c MAKSSFKLEHPLERRQAESARIRDKYPDRIPVIVERAERTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKVSAEKAIFVFINNTLPPTAALMSSIYEENKDDDGFLYMTYSGENTFGSH >Vigun08g096900.2.v1.2 pep primary_assembly:ASM411807v1:8:23317821:23326844:-1 gene:Vigun08g096900.v1.2 transcript:Vigun08g096900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKRIENPVNRQVTFSKRRNGLIKKAYELSILCDIDIAVIMFSPSGRVNHFSGRRRIEDVFTRYINLPDQERDNAVSFPEIPYRRGIQNKEYLLRTLQQLRNENDIALQLANPGDINSEIEELQQEVNRLQQQLQMAEEQIRLYEPDPLKMSSMADLENSEKHLLDVLTRVMQRKEYLMSHHLSSFDPSSIQGIPTSFDNVGWLQDGSQNHAQIFDASAPLDPLSVWFIFSRDKFKCC >Vigun08g096900.3.v1.2 pep primary_assembly:ASM411807v1:8:23324090:23326844:-1 gene:Vigun08g096900.v1.2 transcript:Vigun08g096900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKRIENPVNRQVTFSKRRNGLIKKAYELSILCDIDIAVIMFSPSGRVNHFSGRRRIEDVFTRYINLPDQERDNAVSFPEIPYRRGIQNKEYLLRTLQQLRNENDIALQLANPGDINSEIEELQQEVNRLQQQLQMAEEQIRLYEPDPLKMSSMADLENSEKHLLDVLTRVMQRKVANMLYISLFLVKNEIFIRKKNI >Vigun08g096900.1.v1.2 pep primary_assembly:ASM411807v1:8:23317821:23326844:-1 gene:Vigun08g096900.v1.2 transcript:Vigun08g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKRIENPVNRQVTFSKRRNGLIKKAYELSILCDIDIAVIMFSPSGRVNHFSGRRRIEDVFTRYINLPDQERDNAVSFPEIPYRRGIQNKEYLLRTLQQLRNENDIALQLANPGDINSEIEELQQEVNRLQQQLQMAEEQIRLYEPDPLKMSSMADLENSEKHLLDVLTRVMQRKEYLMSHHLSSFDPSSIQGIPTSFDNVGWLQDGSQNHAQIFDASAPLDPLRDLSSTVYGSFSQGTSSNAADPRGMGECHVSNPSDGTLQAWPQCYTLYPHHHIQHDMVGPDMPDMMPHTQVNIPITASHVEAPKNEPVDFDQSKQQSQTQTQTHPHPHQHQQLNTQ >Vigun01g255300.1.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42043985:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESDPNINRFAVSPLQQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFSSTKFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun01g255300.5.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42043985:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESDPNINRFAVSPLQQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun01g255300.4.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42044007:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSGWFVQEQKHQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFSSTKFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun01g255300.3.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42044007:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSGWFVQEQKHQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFSSTKFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun01g255300.6.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42043985:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESDPNINRFAVSPLQQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun01g255300.2.v1.2 pep primary_assembly:ASM411807v1:1:42020580:42043985:-1 gene:Vigun01g255300.v1.2 transcript:Vigun01g255300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESDPNINRFAVSPLQQNPNSNHRSLHSNIAVMWTNVFKIGSLHQISWFQFHPHEPDLNPLPDKSVKVDQKDAANLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSVVETAQPAVSRLRVVASGLWLAPGDSEEVAAALSQALRSCIERALLGLCYMRFGDVFSKFHQFQSEELFRGQPAVEFAFAATEEAIFIHVIVSSKHIRILTTSDLEKVLKHSMESTCRLPVIVSPHGMRGSLTGCSPSDLVKQSYFSSTKFRVSNGIIGLPYNVSQGVGCQLRGQNCYVEVSLGFSRSGTDNSLLPNKISARNLPTLHVAESPITGRSDHKGSAEHLSDYEKTFLYPAEAVLVPVLQTSLARSSLRRFWLQNWMGPSLPSSSSFIHCAGNEDCCEDPWIEINGARMQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLTCRQSMVSSADQLDSDGPKLGSKRSRTGVTESLSTATNIPVQDTYMSDFGSVEVNNSAITGVGNEPIGSYWDWDDDDRGTEMDIQALLSEFGDFGDFFENDVLPFGEPPGTAESQALMFSSPDYGDVNSSPGGVIDVPDQMLLPVGFPSFESFNPPPSTSVEEFLNKSQDNFNNSMSLGPSNHSQMLYTREFDHIMKAEAMMTFAPEFGAVETPTCELSTTLFRSPYFPKCRKAESSNSSSNNYLYGAAPPSSPCNEGSEGKNGMSVNTKTGAGKHDSSTSLFSKHYYTFVESREEKNDKSLVVCNDDSITKSDGILPLSNIGSNAIVKSSLRKTAEGTHEPEHVLLSAKTLLATDITCVMLQASVCRLRHTLLSSGNLMPGFSRSTGVSFLNQLPSDPNTATDNISAKYDVKKKENIPIRIAGDIDGGMLDGHLNAPVGVWRTLGSSKVIKSSNSPNMEIGPSFSHSSFNEEGILSYGQRKPLQELLDGIALLVQQAISFVDLALDADCGDGPYGLLAMQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSADVHASTVISLLQSDIKTALKSAFSNLEGPLSITDWCKGCNPLVDTGSIVDGVSVESTNNECRDSSEPMSPSQSSVGGSSSIKVSNMMDGAKVDEISQRRPGQDLCSTESEQQTFSRLKPTLIALPFPSILVGYQDDWLKTSANSLQHWEKAPLEPYALQKPITYHVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQGLGNQMEIESSKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRGLKIGSCFSTNPSEGSNSSCLVIYVVCPFPDPTAILQTVIESSVAIGSVVQQLDRERKSCLHSQVVKALSGLAAVDEASPSNVLVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYCKARRISRGISSDFSQSAFSGRSHSVLTQLPSPISGMWKDCVGPRMAGHSLPREGDIDASLRPGTWDNSWQPTRTGGLSCDPSRTGDIFLLDEIRYMFEPLFILAEPGSLESGISVIGSPTSESSKALVDDSSGNYVQSTSTAGSVESASSTDGSGSDPKSPPSLHCCYGWTEDWRWLVCIWTDSRGELLDCNIFPFGGISSRQDTKGLQCLFVQILQQGCLIIQSCDPGLARPRDFVIARIGGFYELEYLEWQKAIYSVGVSEMKRWPLQLRKSMSDGLSATSNGSSLQQQDMSLIPERTLPSSPSPLYSPHTKSTGFMKGNLGQPTARKQLMGGHSMVDNSRGLLHWAQSISFVAVSMDHTLQLVHPADSSTPGYIEGFTPVKSLGSTSSAYILIPSPSMRFLPPTVFQLPTCLTAESPPLAHLLHSKGSALPLSAGFVVSKAVPSMRKDYRSNLKEEWPSILSVSLIDYYGGTNIPQEKVVRGINKQVGRSLSWEAKDFEIETHLVLESIAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELTKQSEKS >Vigun10g085000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24200652:24201359:-1 gene:Vigun10g085000.v1.2 transcript:Vigun10g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKCTFKYSKVVKPLLNRDFDNSSETSHSSNLENEDMELNQTQNKSLSEDNEILRSVIPIGPRFQAQIPKWEDTRNVKCHNNDDLKWLGVQVWPMPNIRENNTEGIREGRPDSYYYKNSGSVECVKLHLREAREVLKLEIGATFSSCRFDEMGEEVSESWTLEEDKKFESLLKSYTSSKARRFWKLAMKHFPSKSLKCLVNYYHNVYIPRCLSMETRSLHEANRDTDQDDNFKK >Vigun05g207500.1.v1.2 pep primary_assembly:ASM411807v1:5:39716881:39722102:-1 gene:Vigun05g207500.v1.2 transcript:Vigun05g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDYDYRRKAKIALIAIMVFASIAVFALFVAFAYYCYILNKVSNRRKSLKKVEDANLNENSDFANLQVIAEKGLQVFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLNSGRKVAIKFMDQAGKQGEEEFKVEVELLSRLHSPYLLALLGYCSDSNHKLLVYEFMANGGLQEHLYPVSNSIITPIKLDWETRLRIALEAARGLEYLHEHVSPPVIHRDFKSSNILLDKKFHAKVSDFGLAKLGPDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVSWALPLLTDREKVVKIMDPSLEGQYSMKEVVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTQRSPSKVGSCSSFHSPKLSPGPTSS >Vigun05g207500.2.v1.2 pep primary_assembly:ASM411807v1:5:39716901:39722102:-1 gene:Vigun05g207500.v1.2 transcript:Vigun05g207500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDYDYRRKAKIALIAIMVFASIAVFALFVAFAYYCYILNKVSNRRKSLKKVEDANLNENSDFANLQVIAEKGLQVFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLNSGRKVAIKFMDQAGKQGEEEFKVEVELLSRLHSPYLLALLGYCSDSNHKLLVYEFMANGGLQEHLYPVSNSIITPIKLDWETRLRIALEAARGLEYLHEHVSPPVIHRDFKSSNILLDKKFHAKVSDFGLAKLGPDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVSWALPLLTDREKVVKIMDPSLEGQYSMKEVVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTQRSPSKVGSCSSFHSPKLSPGPTSS >VigunL024500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:53543:54602:-1 gene:VigunL024500.v1.2 transcript:VigunL024500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHGIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIFVARQSWSMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGNIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun05g291500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47773233:47774064:1 gene:Vigun05g291500.v1.2 transcript:Vigun05g291500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIRKSQLQGSSEKGERSEKKVTESEKTKKPVEDINASADAFIKNFRKQLLIQRLQSIENYEQMLKRGL >Vigun08g092500.1.v1.2 pep primary_assembly:ASM411807v1:8:21611255:21623171:1 gene:Vigun08g092500.v1.2 transcript:Vigun08g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSMEENPNLSLTRDSSPSSPEFFKQVQAALKRHRPLAVNASSASTQLHTLRPRRSMVAHRNIANKSQEEHPSISDTCTGDGCKNEPSLPVESHSVGAHKKVRFSTESNNATSQPHGAASIGFSQHVKHHNMQQAESETSLLSEGGKSSLLPRRRIVTQDHLQQFKNFLSQPATQSSVVGLPCPTTTSVHSTSAPMPNSLTHCANSCMDSDSQVAAEPYDNLNVNPHSITQGGAKSPYDSLKDTNRMSIDQVASAVQEYNSLNDAKLTFKHSDPSKEQQGCLLKETSKYTCCNDMLNNGDEPAAVTNIVPQGLTSSSDTKLESSRLEKQEKNASCKGPSVARKRTYDPELFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKRIKLKGRDYATAYSFCQEIEYLNRLKGKNNIIQLIDYEVTDKALFEGVINGSFSSKDGRVKDDGYIYMVLEYGEIDLAHMLSQKWKELDGNNQTIDANWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDASGNIMKCGRPSDIWSLGCILYQMVYGRTPFSDYKTFWAKFKVITDPNHEIMYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPCDQSLFQDQTFKLLQLISETCKNDPEASQLCLQLQQVLDNPIELTSTHSLNSLDQQRKLLSRISELCIQLQKRFPNSDEE >Vigun09g194800.1.v1.2 pep primary_assembly:ASM411807v1:9:36950246:36955604:1 gene:Vigun09g194800.v1.2 transcript:Vigun09g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSETTSDSVPRKLARQLDFTVVYGDPPHLKLPPPSPPPHSLLELSSHSPLQLQLNLQTPGQRPWLCSEQQEQQPWLHSPRPKLVSPVRRFPQPVQKLPVKVLPVVKSESPISQPRFNVELKDTTPKKQKQCNCKNSRCLKLYCECFAAGIYCDGCNCVNCHNNVDNEAARQEAVGITLERNPNAFRPKIASSPQEQRNSKESEAQVIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSTERRAIFHREYNVVHINQGTNAAVTEVVGSSGYRNHITPKRRKIQEMFSGKSAADQTVNTTAQYLQETDLMASSFSTLSYSFVSDQVNTRISGSSRSMYRSVLADVLQPQNVKNLCSLFVILSRVSAKTKAEARGKIDRQTKAGNFKASVASSAKSLQKTKDVRQPVCDDHVNKAVADAVDIANYNRPLSPETLALMCDEQDNMFFGNTSADGASYQNFQKSLNSDGSSDAYGEQERLIMTKLLDVLRELVTLGSMKETCYYSSTKKGEKSKKEPADHGNIGAETGVGSEKRNNTSCIANYPISSVTKISQTFMP >Vigun09g194800.2.v1.2 pep primary_assembly:ASM411807v1:9:36950246:36954895:1 gene:Vigun09g194800.v1.2 transcript:Vigun09g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSETTSDSVPRKLARQLDFTVVYGDPPHLKLPPPSPPPHSLLELSSHSPLQLQLNLQTPGQRPWLCSEQQEQQPWLHSPRPKLVSPVRRFPQPVQKLPVKVLPVVKSESPISQPRFNVELKDTTPKKQKQCNCKNSRCLKLYCECFAAGIYCDGCNCVNCHNNVDNEAARQEAVGITLERNPNAFRPKIASSPQEQRNSKESEAQVIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSTERRAIFHREYNVVHINQGTNAAVTEVVGSSGYRNHITPKRRKIQEMFSGKSAADQTVNTTAQYLQETDLMASSFSTLSYSFVSDQVNTRISGSSRSMYRSVLADVLQPQNVKNLCSLFVILSRVSAKTKAEARGKIDRQTKAGNFKASVASSAKSLQKTKDVRQPVCDDHVNKAVADAVDIANYNRPLSPETLALMCDEQDNMFFGNTSADGASYQNFQKSLNSDGSSDAYGEQERLIMTKLLDVLRELVTLGSMKG >Vigun09g194800.3.v1.2 pep primary_assembly:ASM411807v1:9:36950246:36955604:1 gene:Vigun09g194800.v1.2 transcript:Vigun09g194800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQKLLAVRKNNEIPRFKIPRTPSKFNSKESEAQVIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSTERRAIFHREYNVVHINQGTNAAVTEVVGSSGYRNHITPKRRKIQEMFSGKSAADQTVNTTAQYLQETDLMASSFSTLSYSFVSDQVNTRISGSSRSMYRSVLADVLQPQNVKNLCSLFVILSRVSAKTKAEARGKIDRQTKAGNFKASVASSAKSLQKTKDVRQPVCDDHVNKAVADAVDIANYNRPLSPETLALMCDEQDNMFFGNTSADGASYQNFQKSLNSDGSSDAYGEQERLIMTKLLDVLRELVTLGSMKETCYYSSTKKGEKSKKEPADHGNIGAETGVGSEKRNNTSCIANYPISSVTKISQTFMP >Vigun06g197400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31282812:31283816:-1 gene:Vigun06g197400.v1.2 transcript:Vigun06g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAEHIAYDMVMITLSVSLVVLGIILFLACKKKPVESEETLPVKLCARAYPLTEVDAATDGFNHRRIIGQGRLGTVYAGTLEKEEFVAVKRIHPVLVLSNAGFGFSSVLKWLSLAQHPNVVPIIGFSEAPGERVILMEFVHMASLDFYLHQNQDGASLLDWNKRFRIAAGAARGLQYLHEVVAPNIVHGCVKSSNILIDVNFCARISDYGLNFLAPVEKRGLVGYVDDEYWSERRGGGATKESDVYGLGVVLLELLTGKGCEGGLLVKWALPLIRETSFSELLDPRLVIPSDTKPLVRLAKVASACVGNSRKCRPSVAQVATILNNLETEVCL >VigunL059746.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:63128:63862:1 gene:VigunL059746.v1.2 transcript:VigunL059746.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVVMVVMVMVVVEVVGVVVVVVVVVVVVMVVVLATVVVVVVGLVVLVVAVVVVMVVVVVVTVVVVVVVVVVVAKMGVVTVVMVVVVGLVVVVLVLVRVLVDVLVMDVVVVVAVVVVVVVVVLAVVVVALVEVVVVVIVVVVGVVFVDVVLSVLVVLVVVVVVVVVVVVVVVVVVLVAVVEFVVVVVVVIVVVVVMMVVVGEVVVAVEVMVGVVVVGVAVVVVGCGSGGDGGRGGGLW >Vigun10g182800.2.v1.2 pep primary_assembly:ASM411807v1:10:40000446:40003466:-1 gene:Vigun10g182800.v1.2 transcript:Vigun10g182800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASLLPPLLLPARNSHPGTFPTFPVSLLSGRRSNVSLVVKASAGSSESSTSLTVFKSVQNVWDQPEDRLALFGLGFAAVAAFWAATNLAVDKLPLFPAVFELIGIFYSVWFTYRYLIFKPDREELFQILNKSATDILGQ >Vigun10g182800.1.v1.2 pep primary_assembly:ASM411807v1:10:40000446:40003466:-1 gene:Vigun10g182800.v1.2 transcript:Vigun10g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASLLPPLLLPARNSHPGTFPTFPVSLLSGRRSNVSLVVKASAGSSESSTSLTVFKSVQNVWDQPEDRLALFGLGFAAVAAFWAATNLVAAVDKLPLFPAVFELIGIFYSVWFTYRYLIFKPDREELFQILNKSATDILGQ >Vigun04g197700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42254519:42256502:1 gene:Vigun04g197700.v1.2 transcript:Vigun04g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLYAPILASFLCSLYLFHRRKHCTHPLLKDYFILGMLPPVIFNLWRIHDFITEVLKLRGGTGEFKGPWFTKMNYLITCDSLNVQHMLCKRFDNYIKGPEFREIFEPFGDGVVTADSDTWKYFRTVLHSLIKQRRFEVFVDQTVQKKVYTTLIPILDHAQQEKRVVDLQDIFNRFTFDNICSTIVGHDPKCLSFDFPEVAIEKAFNESEESIFYRHVVPGSVWKFQKWLQIGQEKKMTEACRTFDEFIYSCIASKREELSKCTEEETNEAPFDLLTALITEERGRVHDDKFLRDAAFNFFVAGRETMTSALTWFFWLVSKHPLVEAKILEEIRDHFKRNEKKNYDTVHYVVRQNHDQENYLKQYLSGEVLGMEEVKKLVYLHGALCEALRLFPPVPIERKQAVKADVLPSGHGVNADTMIMFSLYAMGRSEEIWGKDCLEFKPERWISERGEIAYAPAYKFIAFNAGPRICLGKDLAFVQMKMVAAAILNKYCFKVVEGHVATPTHSIVLLMKNGLEARITKRE >Vigun06g042500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16525076:16526380:-1 gene:Vigun06g042500.v1.2 transcript:Vigun06g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTTKHPVIETNKGKRRRRASSSSPERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQLGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFMRYEVNSRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQLEEPQLDQQAEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYMRLQMEHTHQQNASIHRGQLHLQEYLYQNVRGPYPGMTPPEFFTYLQWPGDNPIFPGGGGPGAGEGPSGAADADGADIEDEIDFGGD >Vigun10g075900.1.v1.2 pep primary_assembly:ASM411807v1:10:20546612:20548012:1 gene:Vigun10g075900.v1.2 transcript:Vigun10g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLASPPPLSTQLHTSTSHLSFSHSQTLFSPLSTPFPSLSASATSTLSPTPSVYCGRGDRKTAKGKRFAHSFGNARPKDKKKGRGPPRIYAPPAPSKKDRFEDNEVVKIEIDESLFSG >Vigun07g241300.1.v1.2 pep primary_assembly:ASM411807v1:7:36273531:36276260:-1 gene:Vigun07g241300.v1.2 transcript:Vigun07g241300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSEPSSSLLKPIPGSYGIPILGAIRDRHDFLYHQGRDKFFATRIQKYHSTVIRTNMPPGPFVSSDPRVIALLDGVSFPILFDNNKVEKLNVFEGTFMPSTAFTGGHRVCAYLDTRESKHALLKRFFLNALAARKDAVVPLFRNCLHESFCEIEDQLNKNHEADFNTVFNNASFNFLFRLLCDNKDPSHTILGSKGPKLISTWLLFQLAPLGTLGLPKIFNYVEDFLIRTFPLPAFFTKSNYQKLYEAFRTSATTVLDEAEKVGLRRDEACHNVVFMACFNSYGGLKNQFPMLMKWVGLGGEKHHADLRSEIRRVVKEEGGVSVGALEKMSLLKSVVYETMRIEPLVPYQYGRAREDLVVQSHDASFKVKKGEMLFGYQPFATKDSRIFEDAEVFVPGRFVGEGEKMLAHVFWSNGRETEEPTPADKQCPGKNLVVLLCRLFLAELFLRYDTFEFEFKESGFGPSITITSLTKASTF >Vigun06g194400.1.v1.2 pep primary_assembly:ASM411807v1:6:31060506:31065064:-1 gene:Vigun06g194400.v1.2 transcript:Vigun06g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDIFPVCFSEGLCYFEISYFTSRMGSGDWFKTIISLRKSKKDRSKKAKGILAQEKLNASKSNNYTGKESSDLANGIKSENLVSPGVSVETIAATRIQTAFRAYKARKALRRVKGFSKLKILTEGFSVKKQASTAITYLHSWSKIQAEIRDRRICMVTEDRIRRKKLESQLKLEAKLHDLEVEWSGGSATMEETLGKIHQREEAAVKRERAMAYAFSHQWRANSSMSQLLGSYELSKANWGWSWKERWVAARPWESRISGVSVIPEKAQHKQPSKVQKDKNTSTPKTPVSVKPPLAIAKGTPPSANAKANSKARRLSYPPTAEKTVIQEVQ >Vigun06g194400.2.v1.2 pep primary_assembly:ASM411807v1:6:31060322:31065087:-1 gene:Vigun06g194400.v1.2 transcript:Vigun06g194400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISLRKSKKDRSKKAKGILAQEKLNASKSNNYTGKESSDLANGIKSENLVSPGVSVETIAATRIQTAFRAYKARKALRRVKGFSKLKILTEGFSVKKQASTAITYLHSWSKIQAEIRDRRICMVTEDRIRRKKLESQLKLEAKLHDLEVEWSGGSATMEETLGKIHQREEAAVKRERAMAYAFSHQWRANSSMSQLLGSYELSKANWGWSWKERWVAARPWESRISGVSVIPEKAQHKQPSKVQKDKNTSTPKTPVSVKPPLAIAKGTPPSANAKANSKARRLSYPPTAEKTVIQEVQ >Vigun06g194400.3.v1.2 pep primary_assembly:ASM411807v1:6:31060506:31065064:-1 gene:Vigun06g194400.v1.2 transcript:Vigun06g194400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLGLCYFEISYFTSRMGSGDWFKTIISLRKSKKDRSKKAKGILAQEKLNASKSNNYTGKESSDLANGIKSENLVSPGVSVETIAATRIQTAFRAYKARKALRRVKGFSKLKILTEGFSVKKQASTAITYLHSWSKIQAEIRDRRICMVTEDRIRRKKLESQLKLEAKLHDLEVEWSGGSATMEETLGKIHQREEAAVKRERAMAYAFSHQWRANSSMSQLLGSYELSKANWGWSWKERWVAARPWESRISGVSVIPEKAQHKQPSKVQKDKNTSTPKTPVSVKPPLAIAKGTPPSANAKANSKARRLSYPPTAEKTVIQEVQ >Vigun06g070100.1.v1.2 pep primary_assembly:ASM411807v1:6:19998945:20006313:-1 gene:Vigun06g070100.v1.2 transcript:Vigun06g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYASSARGLSSSDPILDRLASSDGAVKFRAIREVKNHIIGNRTKKLSYIKLGAVPAVAAALADSDPNLIVQSAAALGSFACGVDAGVRAVLDAGAFPRLIGLLSAPDEKVLDAAARSLRMIYQSKLAPKYDFFQEENMQFLLSLLKSENENLTGLGAGIVIHSCKTIGEQNILCHAGVLEKLTSLLDGSLSQRDASLESLAAIIRDNPAAVSNFVELRCGRALRSVTDLTKDKYPRTRLLACLCLISVKNSSTCYLQDIGIKTKLVYLLLELLDDSGQVGDEASFAFSSLIAEKEDLQKLAFEANAINKFNCLLQKHPIQTKRLEGVLLALADLCSKLECCRSSFLSLQVLNLVIDALTHEDASVRTAACICLRSVSRSIRNLSAGRFMNERVVFPLVQLLSDLSTSVQVAALGAISNIVVDFLPHKSTFVQCGGVKELVQLTKSMDSSLRLNAVWALRNMVFLADTICKEGVFVELTASSMASLICDPEPSVQEQALALVRNFVDGCLYSVEHAFAEDGIILDAVGRQLQKSSKIEIGIQGMYILSNIASGNEFHKDAVMQLLFPQDENGSHSFFEQFLQSPDSRLRTAAVWVVINLTFPASPGAFGRIVNLRSFGIVSRIKKMVNDSCMDVKLRARLALGQIITFGDS >Vigun06g070100.3.v1.2 pep primary_assembly:ASM411807v1:6:19998522:20006313:-1 gene:Vigun06g070100.v1.2 transcript:Vigun06g070100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYASSARGLSSSDPILDRLASSDGAVKFRAIREVKNHIIGNRTKKLSYIKLGAVPAVAAALADSDPNLIVQSAAALGSFACGVDAGVRAVLDAGAFPRLIGLLSAPDEKVLDAAARSLRMIYQSKLAPKYDFFQEENMQFLLSLLKSENENLTGLGAGIVIHSCKTIGEQNILCHAGVLEKLTSLLDGSLSQRDASLESLAAIIRDNPAAVSNFVELRCGRALRSVTDLTKDKYPRTRLLACLCLISVKNSSTCYLQDIGIKTKLVYLLLELLDDSGQVGDEASFAFSSLIAEKEDLQKLAFEANAINKFNCLLQKHPIQTKRLEGVLLALADLCSKLECCRSSFLSLQVLNLVIDALTHEDASVRTAACICLRSVSRSIRNLSAGRFMNERVVFPLVQLLSDLSTSVQVAALGAISNIVVDFLPHKSTFVQCGGVKELVQLTKSMDSSLRLNAVWALRNMVFLADTICKEGVFVELTASSMASLICDPEPSVQEQALALVRNFVDGCLYSVEHAFAEDGIILDAVGRQLQKSSKIEIGIQGMYILSNIASGNEFHKDAVMQLLFPQDENGSHSFFEQFLQSPDSRLRTAAVWVVINLTFPASPGAFGRIVNLRSFGIVSRIKKMVNDSCMDVKLRARLALGQIITFGDS >Vigun06g070100.2.v1.2 pep primary_assembly:ASM411807v1:6:19999874:20006313:-1 gene:Vigun06g070100.v1.2 transcript:Vigun06g070100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYASSARGLSSSDPILDRLASSDGAVKFRAIREVKNHIIGNRTKKLSYIKLGAVPAVAAALADSDPNLIVQSAAALGSFACGVDAGVRAVLDAGAFPRLIGLLSAPDEKVLDAAARSLRMIYQSKLAPKYDFFQEENMQFLLSLLKSENENLTGLGAGIVIHSCKTIGEQNILCHAGVLEKLTSLLDGSLSQRDASLESLAAIIRDNPAAVSNFVELRCGRALRSVTDLTKDKYPRTRLLACLCLISVKNSSTCYLQDIGIKTKLVYLLLELLDDSGQVGDEASFAFSSLIAEKEDLQKLAFEANAINKFNCLLQKHPIQTKRLEGVLLALADLCSKLECCRSSFLSLQVLNLVIDALTHEDASVRTAACICLRSVSRSIRNLSAGRFMNERVVFPLVQLLSDLSTSVQVAALGAISNIVVDFLPHKSTFVQCGGVKELVQLTKSMDSSLRLNAVWALRNMVFLADTICKEGVFVELTASSMASLICDPEPSVQEQALALVRNFVDGCLYSVEHAFAEDGIILDAVGRQLQKSSKIEIGIQGMYILSNIASGNEFHKDAVMQLLFPQDENGSHSFFEQFLQSPDSRLRTAAVWVVINLTFPASPGAFGRIVNLRSFGIVSRIKKMVNDSCMDVKLRARLALGQIITFGDS >Vigun09g194000.1.v1.2 pep primary_assembly:ASM411807v1:9:36872576:36879629:-1 gene:Vigun09g194000.v1.2 transcript:Vigun09g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKFWDPPLCSHTFPLLPRLPLSSLTLRFPSTPPTTRLLAHASVSDTQQTPPSVTVKPTYSPTPPNRDLRTPHSGYHFDGTTRKFFEGWYFKVSIPERRQSFCFMYSVESPSFRKPLTPLEQLQYGSRFTGVGAQILGADDKYICQYSPESQFFWGSRHELMLGNTFVPNQNSKPPNKELPPQEFNDRVLEGFQVTPLWNQGFIQDDGRSDYVETVKTARWEYSTRPVYGWGDVGSTQKSTAGWLAAFPVFEPHWQVCMAGGLSTGWIEWDGERIEFENAPSYSEKNWGGGFPRKWFWVQCNVFEGGSGEIALTSAGGLRQIPGITETYENAALIGIHYDGKFYEFVPWNGVVNWEIATWGYWFISGDNGKYVVELEATAEDPGTTLRAPTAEAGLAPACKDTCFGTLKLQMSERRYDGSKGKIILNVSSNMAALEVGGGPWFDTWKGKTSTPAALKRALELPIDVESIFNLVPLFKPPGL >Vigun02g088100.1.v1.2 pep primary_assembly:ASM411807v1:2:24295157:24296733:-1 gene:Vigun02g088100.v1.2 transcript:Vigun02g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTPMEKDLTVTVQRSSYMPGCMMSPSCLPIHNEFQYSRIHYCSSPRKRVRRWKNILRKFMRESKTLCRSKPISFQYDPVSYSQNFDEGCHLHQPRPGLQDLRRKKEMLCK >Vigun02g088100.2.v1.2 pep primary_assembly:ASM411807v1:2:24295153:24296733:-1 gene:Vigun02g088100.v1.2 transcript:Vigun02g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTPMEKDLTVTVQRSSYMPGCMMSPSCLPIHNEFQYSRIHYCSSPRKRVRRWKNILRKFMRESKTLCRSKPISFQYDPVSYSQNFDEGCHLHQPRPGLQDLRNQTLI >Vigun09g236900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40736012:40737901:1 gene:Vigun09g236900.v1.2 transcript:Vigun09g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFRRISECFVQPHRPTEMSKQIWHLTHWDISMLSSHYIQKGLLFKKPSTLVHPNHFTEKLLQKLTHSLSLTLSHFYPLAGRFVTQKTQDPPSYAVFIDCKNSDGAKFIYATLDMTISHILSPMDVPPIVHSLFDHHKAVNHDGHTLPLLSIQVTELLDGVFIGCSMNHAVGDGTSFWNFFNTWSKIFQAHDQGREYEVPISQQLIPNRWFPNDCSPPINLPFKHQDEFIRRFEAPSLRERIFHFSAESIAKLKAKANSECNTTKISSFQSLSALVWRCITRARSLPSEQRTSCKLAINNRPRMGSVGEEYFGNSIYVVSAETTTGELVEKGLGWAAWKLHLTVAKYNERVVMELVKKWFECPLIYDLGSFFDPYCVMMGSSPRFSVYGNEFGMGKAVAARSGYANKYDGKVTSYPGREGGGSIDLEVCLSPDNMSALESDQDFIAATSQSTVFY >Vigun02g178600.6.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYACNVKWLGLRSNDLPLVPDQSFVPLKPKDLQIARSLMSSGILQDNYKDEVTVMIQRGRKTEIEALYFHGYDYLGKYIAKKIVQSDYI >Vigun02g178600.12.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKVSLLEVVWFIQIPNFAELLHHAILKVETFHTFPRKNNTF >Vigun02g178600.11.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKVSLLEVVWFIQIPNFAELLHHAILKVETFHTFPRKNNTF >Vigun02g178600.17.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYGSCIIPV >Vigun02g178600.14.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKLATSSG >Vigun02g178600.9.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATSQRICKLLEA >Vigun02g178600.10.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRYSAHQFTR >Vigun02g178600.7.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWYNSWFLYQ >Vigun02g178600.13.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATSLQRQVVRTAE >Vigun02g178600.8.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYGSCIIPV >Vigun02g178600.1.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYACNVKWLGLRSNDLPLVPDQSFVPLKPKDLQIARSLMSSGILQDNYKDEVTVMIQRGRKTEIEALYFHGYDYLGKYIAKKIVQSDYI >Vigun02g178600.4.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYGSCIIPV >Vigun02g178600.3.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQVRARIEVSVLNFLKILNASNPAISDLPLIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATSLQRQVVRTAE >Vigun02g178600.16.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYGSCIIPV >Vigun02g178600.18.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKLATSSG >Vigun02g178600.15.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYGSCIIPV >Vigun02g178600.2.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYACNVKWLGLRSNDLPLVPDQSFVPLKPKDLQIARSLMSSGILQDNYKDEVTVMIQRGRKTEIEALYFHGYDYLGKYIAKKIVQSDYI >Vigun02g178600.5.v1.2 pep primary_assembly:ASM411807v1:2:31980998:31984311:-1 gene:Vigun02g178600.v1.2 transcript:Vigun02g178600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQNSALKFFSDQELCNVDIVPPAQIQRKYSNSRVNHGLLTELSRVFLSNSISTRSLMRPNAAKPFVRVWKVMEMCYQILLQETRVTQRELFYKLLCDSPHLFPSQTHVNRTIQDLVALLRCSRYSLGIMASSRGLIAGRLTLQEPGKEVVDCSLCGSSGFAISGDLNLLERLVLHADARYVIIVEKHAIFQRLTEDRFFHQIPSILITAKGYPDMATRFLLYRINRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYACNVKWLGLRSNDLPLVPDQSFVPLKPKDLQIARSLMSSGILQDNYKDEVTVMIQRGRKTEIEALYFHGYDYLGKYIAKKIVQSDYI >Vigun07g166200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28009465:28011421:1 gene:Vigun07g166200.v1.2 transcript:Vigun07g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEEKVENSTDNIEVAPNEENKVVRKEDEVCVCRVPKSLISGHPEAFTPHFVGLGPYHHTRFELTMNDELKLAAAKRILNHGFEIRVSDVEPFYHQETLKSYAHEQDKLLQDITVDGLFLLALLNRSLDTQPHHHTYFLTGKHGMPLVNAFGVELTIDAVIRDVFMLENQIPTHVLHQINEASSHHGIQSQDLDAKMLSFCQNYCPLVNFEKPSENEEHVHLLDLMYHLVAPKPDPNSAPKPKPKPKVHPDPEPDTATTETPPESVVVTVEKPPEPVVATVVEPTESPDEAAESTDTSGGKEETKGCCSILGSICYYFFMFFVVIGVIVWYLLYFIWQIVYGVLRFLYGILKKVASVFVIVVSFFLPMVDDMAVLPEGQQAKFSPLVNTAKQAGVTIRRHFFEEKDKRPAVTIQSVTELNGAGIQFKPAKSIKDIKFDSVKKQLFLPVIQLDQNSEVIMRNLVAYESLTRPTYLIFTRYVEIMRAIIDIPEDVKLLVKNEIIVTELSDKVVTDLFNGMSTSIRPTETPELEEEIKKVKMKFEESQWLQKAVKDYVYSSWKIITVIAALSFFVLTAVQTYCSIYDCASRTSSNFGQLPLISSDNGLITSI >VigunL013700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:120888:121010:1 gene:VigunL013700.v1.2 transcript:VigunL013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGRIPLWIIGIVTGITVIGLIGIFFYGSYSGLGSSL >Vigun07g103000.1.v1.2 pep primary_assembly:ASM411807v1:7:18502396:18504787:1 gene:Vigun07g103000.v1.2 transcript:Vigun07g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVEYGSGSITCPFNCTKGEKNSIIILPCFTCDGKKTPKQLPLIFRGHFWYKKKTEETMDHVRGDMSTTISQL >VigunL045201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:394684:396019:1 gene:VigunL045201.v1.2 transcript:VigunL045201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMVGRCITGRMAEANLHLTFGPFLKPAQNMSERGNWHVHIGRKKDIFKKKGEPVSAEGREGEDGLGEFFSQDHRGQEQQSKKKEKKRRTESTSNKRGTTSNTNRRRLLEHYILVTVQAPIESPQPRFPTPSSTHQNAHSPSSCRKPFSSNTTHTHHRLHLKSARTTQNKRAKNQRTREPEPYPMTPISVPNILVSFSPISQVQSYPIDKQKSRNPRRRRFGAMNGGAWSHDGCRPNHGGWHGDDELWSLRVPLFMRKRMRGEGALAWTSAAAGTVDGGLQQAGLRGREGGSAQPLSRARRRRRRRHRCNSVFAREVE >Vigun03g190700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26175895:26177809:-1 gene:Vigun03g190700.v1.2 transcript:Vigun03g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTKNIFSFFLLCSVLLHLYFSEGVVAQEVAKSESDALGEPEKRETLEIIIGGGSPIIGGGGSPAPAPETPCPPPPPKPLNRLERARRVLLNFKTLIDDPTCYTQNWNENTYVCDFRGIRCATYPKEDQKAVAGLDLNGARVTGKNGCALPLSSLLGVNQIPELTFFHVNSNGFAGTVPTDVIKFPFFFELDLSNNKVKGQFPLDAITSNQLVFLDLRFNELTGPIPYQLFQKDLDVIFINNNHFTQCLPENFGSTPARYLTFANNNLTGSIPKSVGFAPNLTEVLFLGNQFDGCLPVEIGNLKKAVVFDVSKNSITGPIPLSFGCLKSIQYLNLAQNKFYGCVPDNVCQIPSLRNNGNLSLADNYFNEVGPSCWSLIKSKVLDVSKNCIPGLPNQRSPNECYHFYKTKKTCPNPSSFYTVPCKSHWGNPSSETTVPTSAPVTYKTLKPHRLRL >Vigun06g206600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32059030:32059206:1 gene:Vigun06g206600.v1.2 transcript:Vigun06g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMMMKDAKSFPRTFSGRPIPKRGQVKVAIVMGFANSVSAIFSRTASCVAPPHFTH >Vigun08g188900.2.v1.2 pep primary_assembly:ASM411807v1:8:35708646:35712015:1 gene:Vigun08g188900.v1.2 transcript:Vigun08g188900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFSDTLEHQPLLVGLDSQTQIKNLSSEAIEEFLEHRPIALRWWSKLVVWESRLLWLLSGSSIVVSIFNYMLSFVTLMFTGHLGSLELAGASLASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKLGAMSIILQRAVILHIGAAVILSFLYWFSGDFLKAIGQSESIAERGQVFSRGLIPQLYAFTISCPMQRFLQAQNIVNPLAYMSIGVFLVHILLTWVVVYVLDYGLLGAALCLSFSWWLLVLLNAFYIIFSPLCKETWTGFSVKAFRGIWPYFKLTVASAVMLCLEIWYSQGLVLISGLLSNPTISLDSISICMNYLNWDMQFMLGLSTAASIRISNELGASHPRIAKFSVFVVSGTSILVSVIFCSIILIFRVTLSKLFTSDSEVIEAVSHLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLGSYYFVGLTVGCVLGFKTSLGVDGIWWGMILGVLIQTATLIVLTARTNWQAEVEKAVVRIKRSAENDTLDQLVADS >Vigun08g188900.1.v1.2 pep primary_assembly:ASM411807v1:8:35708235:35712015:1 gene:Vigun08g188900.v1.2 transcript:Vigun08g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFSDTLEHQPLLVGLDSQTQIKNLSSEAIEEFLEHRPIALRWWSKLVVWESRLLWLLSGSSIVVSIFNYMLSFVTLMFTGHLGSLELAGASLASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKLGAMSIILQRAVILHIGAAVILSFLYWFSGDFLKAIGQSESIAERGQVFSRGLIPQLYAFTISCPMQRFLQAQNIVNPLAYMSIGVFLVHILLTWVVVYVLDYGLLGAALCLSFSWWLLVLLNAFYIIFSPLCKETWTGFSVKAFRGIWPYFKLTVASAVMLCLEIWYSQGLVLISGLLSNPTISLDSISICMNYLNWDMQFMLGLSTAASIRISNELGASHPRIAKFSVFVVSGTSILVSVIFCSIILIFRVTLSKLFTSDSEVIEAVSHLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLGSYYFVGLTVGCVLGFKTSLGVDGIWWGMILGVLIQTATLIVLTARTNWQAEVEKAVVRIKRSAENDTLDQLVADS >Vigun08g188900.3.v1.2 pep primary_assembly:ASM411807v1:8:35708240:35712006:1 gene:Vigun08g188900.v1.2 transcript:Vigun08g188900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARGHNCNTTCWKCNTITMGSVDFSDTLEHQPLLVGLDSQTQIKNLSSEAIEEFLEHRPIALRWWSKLVVWESRLLWLLSGSSIVVSIFNYMLSFVTLMFTGHLGSLELAGASLASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKLGAMSIILQRAVILHIGAAVILSFLYWFSGDFLKAIGQSESIAERGQVFSRGLIPQLYAFTISCPMQRFLQAQNIVNPLAYMSIGVFLVHILLTWVVVYVLDYGLLGAALCLSFSWWLLVLLNAFYIIFSPLCKETWTGFSVKAFRGIWPYFKLTVASAVMLCLEIWYSQGLVLISGLLSNPTISLDSISICMNYLNWDMQFMLGLSTAASIRISNELGASHPRIAKFSVFVVSGTSILVSVIFCSIILIFRVTLSKLFTSDSEVIEAVSHLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLGSYYFVGLTVGCVLGFKTSLGVDGIWWGMILGVLIQTATLIVLTARTNWQAEVEKAVVRIKRSAENDTLDQLVADS >Vigun08g188900.4.v1.2 pep primary_assembly:ASM411807v1:8:35708232:35712006:1 gene:Vigun08g188900.v1.2 transcript:Vigun08g188900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFSDTLEHQPLLVGLDSQTQIKNLSSEAIEEFLEHRPIALRWWSKLVVWESRLLWLLSGSSIVVSIFNYMLSFVTLMFTGHLGSLELAGASLASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKLGAMSIILQRAVILHIGAAVILSFLYWFSGDFLKAIGQSESIAERGQVFSRGLIPQLYAFTISCPMQRFLQAQNIVNPLAYMSIGVFLVHILLTWVVVYVLDYGLLGAALCLSFSWWLLVLLNAFYIIFSPLCKETWTGFSVKAFRGIWPYFKLTVASAVMLCLEIWYSQGLVLISGLLSNPTISLDSISICMNYLNWDMQFMLGLSTAASIRISNELGASHPRIAKFSVFVVSGTSILVSVIFCSIILIFRVTLSKLFTSDSEVIEAVSHLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLGSYYFVGLTVGCVLGFKTSLGVDGIWWGMILGVLIQTATLIVLTARTNWQAEVEKAVVRIKRSAENDTLDQLVADS >Vigun10g080075.1.v1.2 pep primary_assembly:ASM411807v1:10:21976524:21977203:-1 gene:Vigun10g080075.v1.2 transcript:Vigun10g080075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAYEFMYKQKMLTGKISRVIFNPFYTNAVILDCNKRKVNRRVWCLDDYRHYLTRDLVSVQDILTANFIHLHTNVEGENRLIVTWYKMWNTSFGYS >Vigun11g009200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1059437:1061566:-1 gene:Vigun11g009200.v1.2 transcript:Vigun11g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFGNNANTTSSRFSFFNRSLTTLRKEKPSQKPPQLERSLTKLGGSPRGGGSVVKRLCTFFEPAKPSSPEHQQRHHKLKPSKSIEASESSINSPTKSIDSSPAIRLPGTEDRIVLYFTSLRGIRRTYEDCYAVRMIFRGFRVWVDERDISMDANYRKELMSVLGENGKRKGQVALPQVFIRGRHVGSADIIKYMYEVGELGKVLEGLPRTKGGFVCESCGDVRFAPCGNCSGSRKVFDEDEGVLKRCLECNENGLIRCPNCCSS >Vigun11g192700.1.v1.2 pep primary_assembly:ASM411807v1:11:39200347:39205760:-1 gene:Vigun11g192700.v1.2 transcript:Vigun11g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVKVDAAPAAVPPSKSAKKGGKRQAEEESEKAIAKKQKIEEVVQKQKKEAKVQKKESSSDDSSSEDEKPAAKPAALPKKTPANNGAVKAPAKKGKPASSSSESSEDDSSDEDEVSAKKPQKVAAAKQKKAAPLPNKKESSSDDSSSESEEEKPVPKAVVPSQKAPAKNGAPAKKGKPVSNSESSEDDSSEDEKPAAKNGSVTAAAKKGKPAAAASSSDSSEESSDEDDVPKTKVVPAAGKNIASSNKKTQPSGGSDSDSSSDEDDKKKTSATTKPPASSVAPAKKVESSDDDSSEDSDEDDDVKPSATAVSKPSAVPKKKVDSSDSDDSSSDEDDKEATKKVSNVKSVPVSKQPVKTSKTSDSEEESSESDSEEEKGKKMDIDEDDSSDESEKPQKKKAVKKVKESSDSSDDDSEEESEEEPSKTPQKRARDVEMVDAASSGKKTPNTPITPNAESGSNTLFVGNLAYSVTRADVENFFQDCGEVVDVRLATDPEGNFKGFGHVEFATVEAAQKALELNGEELLNRPLRLDSARVRDKGAFTPNSSNWKDSSQRSGRGQSQTLFVRGFDKSLGEDEIRASLEEHFGSCGEITRVSIPKDYDTGAVKGFAYMDFGDADSFGKALELHESELGGYTLSVDEAKPRDNQGSGGGGRGGGGRGGGGRFGGRGGSGGRFGGSGGGRFGGSGGGRFGGGGRGGGGGGRFGGRGGGGRGRGPPNRSMAEGTGKKTKFNDED >Vigun11g192700.2.v1.2 pep primary_assembly:ASM411807v1:11:39200347:39205760:-1 gene:Vigun11g192700.v1.2 transcript:Vigun11g192700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVKVDAAPAAVPPSKSAKKGGKRQAEEESEKAIAKKQKIEEVVQKQKKEAKVQKKESSSDDSSSEDEKPAAKPAALPKKTPANNGAVKAPAKKGKPASSSSESSEDDSSDEDEVSAKKPQKVAAAKQKKAAPLPNKKESSSDDSSSESEEEKPVPKAVVPSQKAPAKNGAPAKKGKPVSNSESSEDDSSEDEKPAAKNGSVTAAAKKGKPAAAASSSDSSEESSDEDDVPKTKVVPAAGKNIASSNKKTQPSGGSDSDSSSDEDDKKKTSATTKPPASSVAPAKKVESSDDDSSEDSDEDDDVKPSATAVSKPSAVPKKKVDSSDSDDSSSDEDDKEATKKVSNVKSVPVSKQPVKTSKTSDSEEESSESDSEEEKGKKMDIDEDDSSDESEKPQKKAVKKVKESSDSSDDDSEEESEEEPSKTPQKRARDVEMVDAASSGKKTPNTPITPNAESGSNTLFVGNLAYSVTRADVENFFQDCGEVVDVRLATDPEGNFKGFGHVEFATVEAAQKALELNGEELLNRPLRLDSARVRDKGAFTPNSSNWKDSSQRSGRGQSQTLFVRGFDKSLGEDEIRASLEEHFGSCGEITRVSIPKDYDTGAVKGFAYMDFGDADSFGKALELHESELGGYTLSVDEAKPRDNQGSGGGGRGGGGRGGGGRFGGRGGSGGRFGGSGGGRFGGSGGGRFGGGGRGGGGGGRFGGRGGGGRGRGPPNRSMAEGTGKKTKFNDED >Vigun03g171000.1.v1.2 pep primary_assembly:ASM411807v1:3:20507945:20511956:-1 gene:Vigun03g171000.v1.2 transcript:Vigun03g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPIFSEDNLVSSMRMGIPEKSGVDNCYDYGRDRCDRGGGGATHDDIVDLLPSDPFGMDISTTFTAITGWLEDLEVDYGGYRRDELGASDDNYQLFAGLNFIWNNAMRFHACVEEKMGFGECSSLSDDGAAAAASSNFGVGSASDADISGLPATSGSGDVFRRGDELEGGGGRDESCPHPALSYSLGYLGLSDLLVVERVCKSLHSTVHDDPLLWRSIHVDQPLNERITDDVLFRLANRAQGNLQCLSLVECTRITDDGLRRVLESNPKLTKLSVPGCTRLSIEGIVGMLKAYNSVGPQGVKHLYIGGLYGVTQKHFEELRFLLGADSRQQMQQSHKPHFYRRGNLYLSCNDDRAMDIEVCPRCQNLRLVYDCPAESCQGTGHTTQMCRACTLCIPRCSQCGHCINDSEYEETFCLELLCSSCSKQLVKCSDGKAGPAKSVIIHEQS >Vigun03g171000.2.v1.2 pep primary_assembly:ASM411807v1:3:20507945:20511777:-1 gene:Vigun03g171000.v1.2 transcript:Vigun03g171000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPIFSEDNLVSSMRMGIPEKSGVDNCYDYGRDRCDRGGGGATHDDIVDLLPSDPFGMDISTTFTAITGWLEDLEVDYGGYRRDELGASDDNYQLFAGLNFIWNNAMRFHACVEEKMGFGECSSLSDDGAAAAASSNFGVGSASDADISGLPATSGSGDVFRRGDELEGGGGRDESCPHPALSYSLGYLGLSDLLVVERVCKSLHSTVHDDPLLWRSIHVDQPLNERITDDVLFRLANRAQGNLQCLSLVECTRITDDGLRRVLESNPKLTKLSVPGCTRLSIEGIVGMLKAYNSVGPQGVKHLYIGGLYGVTQKHFEELRFLLGADSRQQMQQSHKPHFYRRGNLYLSCNDDRAMDIEVCPRCQNLRLVYDCPAESCQGTGHTTQMCRACTLCIPRCSQCGHCINDSEYEETFCLELLCSSCSKQLVKCSDGKAGPAKSVIIHEQS >Vigun11g161600.1.v1.2 pep primary_assembly:ASM411807v1:11:36895924:36900183:-1 gene:Vigun11g161600.v1.2 transcript:Vigun11g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSQVLLGVALSSVGGFSTSIGALFVILSKAPSLKVLGLLQGFAAGLMLSISFFDLTHNALNSLGFLRGNLWFFAGVIFFAVVAKFIPEPEPAPPISNEKRTKKHEGDKGIMKRRRRQVLLSGVITAIGISLHNFPEGMAVYLGSMKGVRVGLNLALAIALHNIPEGVAVALPVYFATESKWEAFKLASLSGFAEPLGVVIVACLFPTSLNPEILEGILGAVGGVMAFLTLHEMIPLAFEYAGQKQSVKAVFCGMAFMSASLYFLRMSLPEDM >VigunL019000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000199.1:13430:15589:-1 gene:VigunL019000.v1.2 transcript:VigunL019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISRLERAVNKLSRDKYDVADLENLSLYPKVRLPYGFQWPKIEKLWIKRVSSHFPESQSYYSTLAKLMMKQDLDLSADDDILAESEFAAPTITKLIPILFSTSGASVAYNVNPVADQFQRAFQTILNDFLVRSFLRFGYEVSFEALDKGAIEILGPYGISYTFRRLAERISQLQSGFVVRRVRESSLLGAGSPLPSIPLHSIVSYCTVPEDIRGSSEWRFPCREPDEGRPSRPVRRAGISRPYYHYAFAMLLGSTLFVTFSRMWDSLSSWVDNRSSFILIVSSFFNNKSIIINKNRTGGAKSQDGLIEHATRIVFQERVVSSSTFLLLPW >Vigun07g066900.1.v1.2 pep primary_assembly:ASM411807v1:7:7916967:7919859:-1 gene:Vigun07g066900.v1.2 transcript:Vigun07g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKTAKTRKGKRELEKRAPKLVESGKKTLILHGTKTSGVLNAVLAQIYHLKKHDAVKYSRKNENIKPFEAGGETSLEFFSLKTDCSIFVYGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENFKPMESFSYDKKLAPKEGSKPFIVFIGEGFESVDELKHLKEVLLDLLRGEVVENLNLAGVDRAYVCAALSPNRVLFTHCALRLKKSGTVVPRMELVEVGPSMDFVIRRHRPPNESLRKESMKTSREKPKKKEKNVKRDELKGKIGSIYIPDQKVGETALPYKAKGVKRERREAKRKNDKDGENAPKRQKEDS >Vigun11g036566.1.v1.2 pep primary_assembly:ASM411807v1:11:4912531:4914264:1 gene:Vigun11g036566.v1.2 transcript:Vigun11g036566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMIVKGVKVVMWESSVIVPIRNVLGVLCKLWVERRGGFLLNSIIVPFKLLDVCLGLGLRIVGDVVDLDDVVIESVCRNIFNDFCRLYILIGISEFLLPNRNATVFPILFKIVDDLKSLWQYNWGRVVYEYLVGSLCNASLLLKMESHRKHFHVVGCVYLLQLWCFDHFVFVRRKGARIGNEFPRLLRRMNIKVGDGALKSSLEKNVIVADLCVSKEELLHAEVREAYELFGHDVSRSKRATGGVDLGRRKVEVEVLIEKQEREIGELRQCLSLLEGVVHERKTERTKDCNPVTPSTNVQDRGKTFEDQFYSQGGLQSHEAWSPLHSTVKRSVGVKVGEVNMDTPHGSNEELSKNVSHVQEPNPPHSNMYDRMKHHGRVRIKSRALRTPYTGNAPKKHGSQKFIVVRYMKLYFLV >Vigun02g079000.3.v1.2 pep primary_assembly:ASM411807v1:2:23106254:23110727:1 gene:Vigun02g079000.v1.2 transcript:Vigun02g079000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGKELAIKSAQINASTDFTELQEKEPWLSSSKLAVKPDMLFGKRGKSGLVALNLDFAQVVSFVKERLGKEVEMGGCKGPITTFIIEPFVPHDEEFYLNIVSERLGNSISFSECGGIEIEDNWDKVKTVFIPTGVSITSEIVAPLVATLPLEIKGEIEEFLRVVFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQKGLALMRALGDEIGIPIEVYGPEATMTGICKEAIQFITASA >Vigun02g079000.1.v1.2 pep primary_assembly:ASM411807v1:2:23106254:23110727:1 gene:Vigun02g079000.v1.2 transcript:Vigun02g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGKELAIKSAQINASTDFTELQEKEPWLSSSKLAVKPDMLFGKRGKSGLVALNLDFAQVVSFVKERLGKEVEMGGCKGPITTFIIEPFVPHDEEFYLNIVSERLGNSISFSECGGIEIEDNWDKVKTVFIPTGVSITSEIVAPLVATLPLEIKGEIEEFLRVVFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQKGLALMRALGDEIGIPIEVYGPEATMTGICKEAIQFITASA >Vigun02g079000.2.v1.2 pep primary_assembly:ASM411807v1:2:23106249:23110727:1 gene:Vigun02g079000.v1.2 transcript:Vigun02g079000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGKELAIKSAQINASTDFTELQEKEPWLSSSKLAVKPDMLFGKRGKSGLVALNLDFAQVVSFVKERLGKEVEMGGCKGPITTFIIEPFVPHDEEFYLNIVSERLGNSISFSECGGIEIEDNWDKVKTVFIPTGVSITSEIVAPLVATLPLEIKGEIEEFLRVVFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQKGLALMRALGDEIGIPIEVYGPEATMTGICKEAIQFITASA >Vigun05g254600.1.v1.2 pep primary_assembly:ASM411807v1:5:44918382:44920442:1 gene:Vigun05g254600.v1.2 transcript:Vigun05g254600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFCSSTASTAVNSTMDHHHRSTVRKSTKRNSSVRRKIQLRLPCSSMLPINPLPHWDVRRKGSVTDFNFCNSKNFSGSSSSTMYLLGDAPFIDLVSGFDQIPSHKPTLQNHVVLRVSLHCKACEGKVRKHISKMEGVTSFSIDMEAKKVTVIGDVTPLEVLASVSKVKNAQLWQSPKSSLPST >Vigun11g106900.1.v1.2 pep primary_assembly:ASM411807v1:11:30550998:30552839:1 gene:Vigun11g106900.v1.2 transcript:Vigun11g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGTIRSNLHGWPNVYTFTKAMGEMILLKMKGDIPLIIARPTIILNTHSEPFPGWIEGVRTMDLLVVMYGKGKLRRSVGRRSTIIEAIPADMVINSMIVALEACSKSCSKTLIYHIGSSLRNPFRISDLEDLAHQYFTKHPLTDMFGKPVACSKKVSWMSSVSSFHRYINIRYMLPLKRLKVMNKVCCYGHKAYYNESKRKVKKMMGIMRFYEPFLLFEGIFDDKNAENLRMTKMKAKDDDDVGRFNFDPTTIDWTHYVLNVHIPGLVKYAVK >Vigun11g058800.1.v1.2 pep primary_assembly:ASM411807v1:11:12643112:12645634:1 gene:Vigun11g058800.v1.2 transcript:Vigun11g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGYYNHHHLPHLDNTNEPHLPPGFRFHPTDEELITYYLLKKVLDSSFTGRAIVEVDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKNNTGGASTVSAAAATGSSKKTRMSTSNASSNMSLCPEPGSPSSIYLPPLLESSPYAASGSAAATFNDRENYSFESAAATAANQREHVSCFSTMSADAAAFNHLALPPEPPLDPFSRFHRNNIGVSAFPCLRSLHENLNLPFFFPPVVHGGSDVGNFTAVANLPAPEDPRVADGSSGMPVVPSELDCMWGY >Vigun08g123900.1.v1.2 pep primary_assembly:ASM411807v1:8:29395457:29400188:1 gene:Vigun08g123900.v1.2 transcript:Vigun08g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKHAPSSFTRLDETRLAHSSSAAAVRALEDRVDKRHREIQNLIADNQRLAGIHVALKQDLAATQEELRRLSATAAEVKAERDEEVREIYEKSLKVDAEVRAVAAMSAELNRVRADVQELAAAQKGLAAQLQTVESDLERARAEGKFVPAIKADIEAMLHEIQRGRNAIEFEKKTHASNLEHRRAMDNNMIIMSSEVEKLRAELANAEKRARAAMAADAKPSPGYPPDNYDNREMGYGGVRYPPGSYSMHQMQAGVDSHSQNAAGAPLHHSYDHQRTQVPR >Vigun11g196500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39583086:39584307:1 gene:Vigun11g196500.v1.2 transcript:Vigun11g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLTTLTLRSLSYPTPSSYPTHLSAKPSIQFPNIFHRATTATRRARVVVSAVEAPEKITNLGDAIAGLTLEEAKVLVDFLQDKLGVSAASFAPVAAVAAPGGAAADAAPAVEEKTEFDVVIEDVPSNARIAVIKAVRGLTSLALKEAKELIEGLPKKFKEGVSKEEAEDAKKQLEEAGAKIAIV >Vigun11g196500.3.v1.2 pep primary_assembly:ASM411807v1:11:39583108:39583866:1 gene:Vigun11g196500.v1.2 transcript:Vigun11g196500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLTTLTLRSLSYPTPSSYPTHLSAKPSIQFPNIFHRATTATRRARVVVSAVEAPEKITNLGDAIAGLTLEEAKVLVDFLQDKLGVSAASFAPVAAVAAPGGAAADAAPAVEEKTEFDVVIEDVPSNARIAVIKAVRGLTSLALKELKMRRSNLKKLVPRLPLFRSSCFCFDVVEYTAISFHLVWISILSVMRKV >Vigun11g196500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39583087:39584241:1 gene:Vigun11g196500.v1.2 transcript:Vigun11g196500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLTTLTLRSLSYPTPSSYPTHLSAKPSIQFPNIFHRATTATRRARVVVSAVEAPEKITNLGDAIAGLTLEEAKVLVDFLQDKLGVSAASFAPVAAVAAPGGAAADAAPAVEEKTEFDVVIEDVPSNARIAVIKAVRGLTSLALKEAKELIEGLPKKFKEGVSKEEAEDAKKQLEEAGAKIAIV >Vigun03g382200.1.v1.2 pep primary_assembly:ASM411807v1:3:58685103:58689995:1 gene:Vigun03g382200.v1.2 transcript:Vigun03g382200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHPSSQSDIDEIENLINASVHQSVPPARPPSPPRASIPVSVSAAPSPFISSNLPTPPTVPKSSSSTPPPPPPPRSDLSGFGPSPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVIVKVVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >Vigun05g069700.1.v1.2 pep primary_assembly:ASM411807v1:5:6008382:6011275:1 gene:Vigun05g069700.v1.2 transcript:Vigun05g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTAEEDEILASYIKKNGGHGSWRSLPRMAGLLRCGKSCRLRWTNYLRPDIKRGPFTQEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLKSMGLDPRTHEPLVSSPSYPFHKAHASVSTRHMAQWESARLEAEARLSNESTRFSHNTTNPNSDTKTTDSDYFLRIWNSEVGEAFRNVVVHHKADDNKITTTSSCPSPLSAGSSSNKCESVAINADLASIAQETTPCNIVKQELEWRIRGSDSSSSNDMEDSSDTALQLLLDFPINNDMSFLEGNSFMCPL >Vigun01g000500.1.v1.2 pep primary_assembly:ASM411807v1:1:113572:129258:1 gene:Vigun01g000500.v1.2 transcript:Vigun01g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSRLGGAARSPPTSPRFRHGRSKNGSWNSSKESNSIEKLIFILMSAVFRRRGLLLFAPLLYISGMLLYMGSFSFDVVSIKNGVVLVHKRAPPGSVYRSPQVFEKLWPFMEAEAANANNGTGNVLMKAWTSGELREWRPCANRSVPEAELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSELPVDVLERFDNNISNIVNLRVKGWSSSAHYLQKVLLSFGNGVAVRIAPFSNRLAQAVPSKIQRLRCFANFGALRFSEPIQALAESMVDRMIKHSSQSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKHEMDIARERSWRPGANRVDGRCPLTPLEWNLSREKKLRGCREPNGRGIKFWRKFPVFKGKNIRNGTVIEKYLVVIVGLMLRGMGFDNTTSVYVAAGKIYKEQKYMAPLNDGHSTRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYMYGGHAKTVKPDKRKLALLFDNPNIRWKEFKQQMKDMLRHSDIKGTEVKKAGGSVYTFPMPDCMCKQAVLKSENANTT >Vigun04g073200.1.v1.2 pep primary_assembly:ASM411807v1:4:8667435:8670882:1 gene:Vigun04g073200.v1.2 transcript:Vigun04g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSHCVFPVDPPSTASPCFHSKVGKQWSQRFALSVRPMHPKRVSSGCRARATLGDADKSIGIPHQWYNVIADLPIKPPPPLHPQTFEPIKPDDLSPLFPDELIRQEITSDRFIDIPDEVLDVYKLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNSAVPQAWYNLQEGVKNVVTETGAGQWGSALAFACSIFGLGCEVWQVRASYDSKPYRRLMMQTWGAKVHPSPSMITEAGQRMLQEDPSSPGSLGIAISEAVEVAAKNADTKYCLGSVLNHVLLHQSVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFLREKLNKKINPVIRAVEPSACPSLTKGVYAYDYGDTAGFTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVFDLGLMEAISIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREAIRCRESGEAKVILTAMCGHGHFDLPAYEKYLQGNMVDLSFSEDKVKASLAKIPQVIP >Vigun04g073200.2.v1.2 pep primary_assembly:ASM411807v1:4:8667714:8670882:1 gene:Vigun04g073200.v1.2 transcript:Vigun04g073200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKRVSSGCRARATLGDADKSIGIPHQWYNVIADLPIKPPPPLHPQTFEPIKPDDLSPLFPDELIRQEITSDRFIDIPDEVLDVYKLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNSAVPQAWYNLQEGVKNVVTETGAGQWGSALAFACSIFGLGCEVWQVRASYDSKPYRRLMMQTWGAKVHPSPSMITEAGQRMLQEDPSSPGSLGIAISEAVEVAAKNADTKYCLGSVLNHVLLHQSVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFLREKLNKKINPVIRAVEPSACPSLTKGVYAYDYGDTAGFTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVFDLGLMEAISIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREAIRCRESGEAKVILTAMCGHGHFDLPAYEKYLQGNMVDLSFSEDKVKASLAKIPQVIP >Vigun05g190500.2.v1.2 pep primary_assembly:ASM411807v1:5:37073694:37075459:1 gene:Vigun05g190500.v1.2 transcript:Vigun05g190500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNTSSSTSVPSKPSTIPVRPPPLFNWGQRPLSSATSMLSSPNFQQHAARLGFPKDETNMFLIPHASSESARVEDMMGEHENDIKWPNGLSFFNALTGRTDDAKLLFNPDTLGNKPGDQTQHHPLSQNPNSDASNMQNANATHPNEFLSLDNNQDDARKMDKFKRSFTLPARVASSSSSTSMDHHQTQGVQFRNSEGAMYPDVMETFLE >Vigun05g190500.1.v1.2 pep primary_assembly:ASM411807v1:5:37072549:37075459:1 gene:Vigun05g190500.v1.2 transcript:Vigun05g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGASDRSKEAVGMMALHESLKRVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGSVDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNTSSSTSVPSKPSTIPVRPPPLFNWGQRPLSSATSMLSSPNFQQHAARLGFPKDETNMFLIPHASSESARVEDMMGEHENDIKWPNGLSFFNALTGRTDDAKLLFNPDTLGNKPGDQTQHHPLSQNPNSDASNMQNANATHPNEFLSLDNNQDDARKMDKFKRSFTLPARVASSSSSTSMDHHQTQGVQFRNSEGAMYPDVMETFLE >Vigun09g110450.1.v1.2 pep primary_assembly:ASM411807v1:9:23801266:23802727:1 gene:Vigun09g110450.v1.2 transcript:Vigun09g110450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLDMIKDIDDKKETLKLAVRVKDLWFVQNQDNNRHMKLILLDQKGDMIPAMVKKEDLCLWEEKLVEGQTYIMHNFKILKNQGQFRVCEHPYKLLFIGATTIKQQPISSIPLNIYNFKSIEDIVDENYYTDLVYDIIGVVDNVRFVYISVVIGCTLWDSYYFKFMSNWRGEPDSYLLVVMLTQAKIKPCSRTILCYSCKYCDGWCYPVCNSCRKKIDEVGPFKCVLCGFDNEKHGIRFVFIF >Vigun02g174400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31678432:31679587:1 gene:Vigun02g174400.v1.2 transcript:Vigun02g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSPLCSLLFLASLSLATAANFEIVNNCPYTVWAAASPGGGRRLDRGQTWNLWVNPGTAMARIWGRTGCNFDGSGRGRCQTGDCTGGLNCQGWGVPPNTLAEFALNQYNNLDFYDISLVDGFNIPMDFYPVNGGCHRISCTADINGQCPGPLRAQGGCNNPCTVFKTNEYCCTNGQGSCGPTTYSKFFKERCRDAYSYPQDDPTSTFTCPAGSNYRVLFCPLGEPLLPLHMLPNTTANRY >Vigun07g009200.1.v1.2 pep primary_assembly:ASM411807v1:7:788070:790898:1 gene:Vigun07g009200.v1.2 transcript:Vigun07g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLDDSSCWDFLDYSFIDQAPPDFLWSNHSLSTEIDIPDDAVACEENTKKRGRTDSCCKAGSKACREKLRRERLNERFCDLSSVLEPGRPVRTDKPSILDDAIRVLSQLKTEAEELKTTNEKLLEEIKCLKAEKNELREEKLVLKADKERIEKQLKSLPIAPAGFMAPPVAAAAYQAGMNKMAVYPNYGYIPMWQYLPQSATDTSQDHELRPPAA >Vigun09g261200.1.v1.2 pep primary_assembly:ASM411807v1:9:42653846:42658648:1 gene:Vigun09g261200.v1.2 transcript:Vigun09g261200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSKVFQFLVSYFWCLSSSTPSTSAPLLLLQFQLFNHAPTPISLPRQLSVKGFSLILKLTLKISVYMMAASWDGSNDPGSQSDDSFHFERLHIEPLYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRRLVCPLTLQELRSAELNPSMALRNTIEEWTARNEAAQLDIARRSLNMASPESETLQALKYVQYICQRSRSNKHTVRNAGLIPMIVDMLKSGSRKVRCRALETLRVVVEEDDENKELLAEGDTVRTIVKFLSHELSKEREEAVSLLYELSKSCTLCEKIGSINGAILILVGMTSSNSEDLLTVGKAEETLSNLERCEANVRQMAECGRLQPLLTQLLEGPPETKLSMAGFLGELVLNNDVKVLVARTVGSSLINIMKSGNMQSREAALKALNQISSCDPSAKILIEAGILSPLVNDLFAVGPNLLPTRLKEISATILASVVNSGEDFYSISFGPDHQTLVSEDIVRNLLHLISNTGPAIECKLLQVLVGLTTSPTTVLSVVAAIKSSGATISLVQFIEAPQKDLRLASIKLLQNLSPHMGQELADALRGSVGQLGSLIKVISENTGISEEQAAAVGLLADLPERDLGLTRQLLDEGAFVMVISRVIAIRQGEIRGTRFMTPFLEGLVKIVARVTYVLAEEPDAIALCRDHNLAALFIDLLQSNGLDNVQMVSATALENLSVESKNLTKLPEMPPPGFCASVFSCFSKQPVISGLCRLHRGICSLKETFCLYEGQAVLKLVGLLDHTNVNVVEAALAALSTLIDDGVDIEQGVAILVEAEGVKPILDVLLEKRTETLRRRAVWAVERLLRTDDIACEVSADQNLSTALVDAFQHGDYRTRQTAERALKHVDKIPNFSGIFQNIG >Vigun09g261200.2.v1.2 pep primary_assembly:ASM411807v1:9:42653820:42658701:1 gene:Vigun09g261200.v1.2 transcript:Vigun09g261200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASWDGSNDPGSQSDDSFHFERLHIEPLYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRRLVCPLTLQELRSAELNPSMALRNTIEEWTARNEAAQLDIARRSLNMASPESETLQALKYVQYICQRSRSNKHTVRNAGLIPMIVDMLKSGSRKVRCRALETLRVVVEEDDENKELLAEGDTVRTIVKFLSHELSKEREEAVSLLYELSKSCTLCEKIGSINGAILILVGMTSSNSEDLLTVGKAEETLSNLERCEANVRQMAECGRLQPLLTQLLEGPPETKLSMAGFLGELVLNNDVKVLVARTVGSSLINIMKSGNMQSREAALKALNQISSCDPSAKILIEAGILSPLVNDLFAVGPNLLPTRLKEISATILASVVNSGEDFYSISFGPDHQTLVSEDIVRNLLHLISNTGPAIECKLLQVLVGLTTSPTTVLSVVAAIKSSGATISLVQFIEAPQKDLRLASIKLLQNLSPHMGQELADALRGSVGQLGSLIKVISENTGISEEQAAAVGLLADLPERDLGLTRQLLDEGAFVMVISRVIAIRQGEIRGTRFMTPFLEGLVKIVARVTYVLAEEPDAIALCRDHNLAALFIDLLQSNGLDNVQMVSATALENLSVESKNLTKLPEMPPPGFCASVFSCFSKQPVISGLCRLHRGICSLKETFCLYEGQAVLKLVGLLDHTNVNVVEAALAALSTLIDDGVDIEQGVAILVEAEGVKPILDVLLEKRTETLRRRAVWAVERLLRTDDIACEVSADQNLSTALVDAFQHGDYRTRQTAERALKHVDKIPNFSGIFQNIG >Vigun09g261200.3.v1.2 pep primary_assembly:ASM411807v1:9:42653820:42658701:1 gene:Vigun09g261200.v1.2 transcript:Vigun09g261200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASWDGSNDPGSQSDDSFHFERLHIEPLYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRRLVCPLTLQELRSAELNPSMALRNTIEEWTARNEAAQLDIARRSLNMASPESETLQALKYVQYICQRSRSNKHTVRNAGLIPMIVDMLKSGSRKVRCRALETLRVVVEEDDENKELLAEGDTVRTIVKFLSHELSKEREEAVSLLYELSKSCTLCEKIGSINGAILILVGMTSSNSEDLLTVGKAEETLSNLERCEANVRQMAECGRLQPLLTQLLEGPPETKLSMAGFLGELVLNNDVKVLVARTVGSSLINIMKSGNMQSREAALKALNQISSCDPSAKILIEAGILSPLVNDLFAVGPNLLPTRLKEISATILASVVNSGEDFYSISFGPDHQTLVSEDIVRNLLHLISNTGPAIECKLLQVLVGLTTSPTTVLSVVAAIKSSGATISLVQFIEAPQKDLRLASIKLLQNLSPHMGQELADALRGSVGQLGSLIKVISENTGISEEQAAAVGLLADLPERDLGLTRQLLDEGAFVMVISRVIAIRQGEIRGTRFMTPFLEGLVKIVARVTYVLAEEPDAIALCRDHNLAALFIDLLQSNGLDNVQMVSATALENLSVESKNLTKLPEMPPPGFCASVFSCFSKQPVISGLCRLHRGICSLKETFCLYEGQAVLKLVGLLDHTNVNVVEAALAALSTLIDDGVDIEQGVAILVEAEGVKPILDVLLEKRTETLRRRAVWAVERLLRTDDIACEVSADQNLSTALVDAFQHGDYRTRQTAERALKHVDKIPNFSGIFQNIG >Vigun03g332700.2.v1.2 pep primary_assembly:ASM411807v1:3:53055047:53057515:1 gene:Vigun03g332700.v1.2 transcript:Vigun03g332700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMSSGMVGVGNVATNYMLPLLFPSSSSFASFCSNTTIIKRKGVAVLDYWAIGNCNHRDVQKGRKREHGIVASTNVASPSIWDDWKPLKAPSTPSLSDILWPSAGAFAAMAVLGKLDQLLAPKGLSIAFAPFGAVSTVLFATPTAPSARKYSMFMAQIGCAAIGVLALTIFGPGWLARSASIAASVAYMICTNSVHPPAASMPLLFIDGPKFHHLNFWYALYPGAAACILLSLVQEVVIYLKKNFKF >Vigun03g332700.1.v1.2 pep primary_assembly:ASM411807v1:3:53055047:53057515:1 gene:Vigun03g332700.v1.2 transcript:Vigun03g332700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMSSGMVGVGNVATNYMLPLLFPSSSSFASFCSNTTIIKRKGVAVLDYWAIGNCNHRDVQKGRKREHGIVASTNVASPSIWDDWKPLKAPSTPSLSDILWPSAGAFAAMAVLGKLDQLLAPKGLSIAFAPFGAVSTVLFATPTAPSARKYSMFMAQIGCAAIGVLALTIFGPGWLARSASIAASVAYMICTNSVHPPAASMPLLFIDGPKFHHLNFWYALYPGAAACILLSLVVRNLLLLETYIAAK >Vigun03g332700.4.v1.2 pep primary_assembly:ASM411807v1:3:53055047:53057515:1 gene:Vigun03g332700.v1.2 transcript:Vigun03g332700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMSSGMVGVGNVATNYMLPLLFPSSSSFASFCSNTTIIKRKGVAVLDYWAIGNCNHRDVQKGRKREHGIVASTNVASPSIWDDWKPLKAPSTPSLSDILWPSAGAFAAMAVLGKLDQLLAPKGLSIAFAPFGAVSTVLFATPTAPSARN >Vigun03g332700.3.v1.2 pep primary_assembly:ASM411807v1:3:53055047:53057515:1 gene:Vigun03g332700.v1.2 transcript:Vigun03g332700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMSSGMGVAVLDYWAIGNCNHRDVQKGRKREHGIVASTNVASPSIWDDWKPLKAPSTPSLSDILWPSAGAFAAMAVLGKLDQLLAPKGLSIAFAPFGAVSTVLFATPTAPSARKYSMFMAQIGCAAIGVLALTIFGPGWLARSASIAASVAYMICTNSVHPPAASMPLLFIDGPKFHHLNFWYALYPGAAACILLSLVQEVVIYLKKNFKF >Vigun03g058200.7.v1.2 pep primary_assembly:ASM411807v1:3:4734091:4738529:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.3.v1.2 pep primary_assembly:ASM411807v1:3:4732307:4740609:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEVSSSQTAPSTELFTMLSSSSSSAESNFRQLDDAFLQTQTRIWLGEVLQIRLDEQLIISELLADGELLFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.1.v1.2 pep primary_assembly:ASM411807v1:3:4732254:4738771:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEVSSSQTAPSTELFTMLSSSSSSAESNFRQLDDAFLQTQTRIWLGEVLQIRLDEQLIISELLADGELLFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.6.v1.2 pep primary_assembly:ASM411807v1:3:4732253:4740609:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSSRFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.4.v1.2 pep primary_assembly:ASM411807v1:3:4732304:4740731:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEVSSSQTAPSTELFTMLSSSSSSAESNFRQLDDAFLQTQTRIWLGEVLQIRLDEQLIISELLADGELLFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.2.v1.2 pep primary_assembly:ASM411807v1:3:4732254:4738771:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSSRFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun03g058200.5.v1.2 pep primary_assembly:ASM411807v1:3:4732252:4740629:1 gene:Vigun03g058200.v1.2 transcript:Vigun03g058200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEVSSSQTAPSTELFTMLSSSSSSAESNFRQLDDAFLQTQTRIWLGEVLQIRLDEQLIISELLADGELLFQVSKVVWKLLSAKRMELRHIKAYKIQSFTSKKNIATYRPYSNVDSFLKICKILGLTGVDLFSPSDVVEKRNTRKVCMCIRSFSKKSRSMNINVPDFDIVTCMVIMPKDLVGCMRRSLELSHNIPVDSSGDYYLQKHARRRSRQGYPLTASTKDFETYSDQYEDSENKHLVLQFDDLHTDDLYDYTSETDYNIASPMAERICLPEDLDQLDIQNQQRNGIYDDFELFCSMESLQYHCSEDIEHDCELTWSSSPPSGDLRTDLIHMSSHLDTKMEQVQESRRIVDFDYFENLSLSSNGSVNVTPKNEKTPGKRDASSLTKDMKDPDLFHGENSTPNVYQSASSHGSNPTPQTAERGKFFETCDDKKVLLVACMNCYSREALNMGDQVDVENNFRNIESFKVHNDKNDRWDKIKEEHESQGMVKCRDMPYQIISKAGYSCSVKKFEETDPSLYSPDCYFCNTNSPDRVLPHSNVTSSTSMKNFLAYEEKESQVGLNCSDNASCCPSSYEPESCKWDQKGKCAITSYKDNKSSCYVGNGSHEEISPCIHKNSEVLSTIAKLDTDGKELNIHPLPLASNAVVLGDCEKPSTLGADPNDFSRGDAAEDIGDGGQRVLDMIINDVVVPLNCDEVVSLTESLTANLSSKHEFDPVYRSEHVKDEINPEDKKRVHFLETLLGTEEGGEEIPKEKLQKKKLLRSVLGGAAAVGLLFMILQLRRKGGEKAAQPSMASSHNIGKEKIQKKSDRKVKRSTKKGVYPAEKINLK >Vigun05g156257.2.v1.2 pep primary_assembly:ASM411807v1:5:25391902:25393107:1 gene:Vigun05g156257.v1.2 transcript:Vigun05g156257.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGRAIEMRFKNTADDGAQDRNYAVICPIQDLLDNPSSTLETLHRNNRPFNRSYTVLGVCNGLVCLQDSSTEEVFSEYWFRIWNPAIRAMSKDSPHIRLRISDYKDVSWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPVPTGYGSPRTFGIFVSGTLNWLAFPKFYLDNSDEVKMNQLEIFSYHLKDETCRYFPMPDGILEVYVYGPEVEVLKGCLCLFHHYEYNLIIWLKREFNDEKSWSKLLTFSYQEYVNNEFPLELSIIWEDDEVLLLANTYIFRIMIE >Vigun05g156257.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25391861:25393106:1 gene:Vigun05g156257.v1.2 transcript:Vigun05g156257.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQNSCVLPENMLMEILSWLPLKEVVQLRCVSKVRNHLVSDPAFVKLHFQRSPKNTHILLTFVDTADDGAQDRNYAVICPIQDLLDNPSSTLETLHRNNRPFNRSYTVLGVCNGLVCLQDSSTEEVFSEYWFRIWNPAIRAMSKDSPHIRLRISDYKDVSWFRFGFGYDEWSDTYQVVFLDNNKNESQTLEVRVWSLGDTCWRNTLTCDPVPTGYGSPRTFGIFVSGTLNWLAFPKFYLDNSDEVKMNQLEIFSYHLKDETCRYFPMPDGILEVYVYGPEVEVLKGCLCLFHHYEYNLIIWLKREFNDEKSWSKLLTFSYQEYVNNEFPLELSIIWEDDEVLLLANTYIFRIMIE >Vigun01g017500.2.v1.2 pep primary_assembly:ASM411807v1:1:1899813:1900774:-1 gene:Vigun01g017500.v1.2 transcript:Vigun01g017500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMGSSRCFIVSVTLLLAFFIINSDLCIIKAEARGIIYRLPCDNNKQCQDGCKNPNCGCSSICIEHVCQCPHDLTSIDTVKSPHQAPPPHHPQPAHQAPSLHHHSPPHHGPPHHHQHHHQAPAPHHHQHHHQAPAPHHHPHHHQAPPPHHQAPPPHHASSN >Vigun01g017500.1.v1.2 pep primary_assembly:ASM411807v1:1:1899813:1900774:-1 gene:Vigun01g017500.v1.2 transcript:Vigun01g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMGSSRCFIVSVTLLLAFFIINSDLCIIKAEARGIIYRLPCDNNKQCQDGCKNPNCGCSSICIEHVCQCPHDLTSIDTVKSPHQAPPPHHPQPAHQAPSLHHHSPPHHGPPHHHQHHHQAPAPHHHQHHHQAPTPHHHPHHHQHHHQAPAPHHHQHHHQAPAPHHHPHHHQAPPPHHQAPPPHHASSN >Vigun05g222100.1.v1.2 pep primary_assembly:ASM411807v1:5:41398916:41403664:1 gene:Vigun05g222100.v1.2 transcript:Vigun05g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVGTWMRSFYWIGSFCCLGSKSVVKYPKCSIRRQSTFADAKVYSDLSVDYLKMFLLNAPATVVALGFHSLYFVAGSINYKGNCKRLFYFKGVSLGSQTLGVGFLALLNNFIFLGLGVIIGYPIASASVKVLQGLWRNDLVALKGACPNCGEEVFAFVRMDRNIESPHRADCHVCECVLEFRTKVEVAN >Vigun03g440300.1.v1.2 pep primary_assembly:ASM411807v1:3:64315009:64316031:-1 gene:Vigun03g440300.v1.2 transcript:Vigun03g440300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPMLKISIAMLFLTLSFQAYGQFEEWCIADEQTPDEELQRAIDWACENGGADCSKIKVNQPCYLPNTLKNHASYVFNSYYQRFKHKGGSCYFNSAAITTDLDPSHGSCKYELLP >Vigun11g075200.1.v1.2 pep primary_assembly:ASM411807v1:11:21897544:21898052:1 gene:Vigun11g075200.v1.2 transcript:Vigun11g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKVALIAVVFALIACNGFVLCVEPQCKNDNDCKGKVPFCRVKAICVGTTCECSMSKQQKPAKCKTTADCPFYCIPPCEKRFCDVSTGSCKCLCNKH >Vigun08g146300.1.v1.2 pep primary_assembly:ASM411807v1:8:31929957:31930936:-1 gene:Vigun08g146300.v1.2 transcript:Vigun08g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSWLTCSCNGLGVQNRSDSCHRGGSKRLGSMPFCYCGEKAVLRTARTPKNRGKQLWGCPKYKRGSEQLVGCNYFSWFSGDEEIVGSVTKNEERDVSVLNMEEMYGQRMKILSLEKSVMNLENRIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun11g094800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27723370:27724131:1 gene:Vigun11g094800.v1.2 transcript:Vigun11g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHNDHQEHDLPYVPNSDDSDNTSNENKKILYISMVSFTVVLFLVFSLYVYARCMLTRRSRHRASVRQLTLAALHVSDINAANRRIEPANTGLDPGIVASLPTFTVKAKVLRDGGGDNNNSGGGDASSVMECVVCLNALEGEEKVKLLPNCNHFFHVSCIDMWLGSHSTCPLCRAEVKPRIQPHGREDPVSLVLDDAPPPVVDDGESFKGNGSISRLSSFRRMLSRERSSRRVQPNGHDEDGGVDHDLERQ >Vigun11g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37690794:37692301:1 gene:Vigun11g171200.v1.2 transcript:Vigun11g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSEISHFSHPQHKLRFEYSEFPFKCDGCKEVGIGSRYKCSICDFDLHMHCAMITSPTLFHPFYTKCTFQFMAKPPGDTPRYCNACEKDVSGFVYHCKACGFDLHPCCAKLPMVLDDGEVKLYLYRKVSSPCHRCGRKGRSWSYRSKCKNYNLHVACVREMLVENWYEVYVGRCKGRNVDGNIPSLRNTLYTPHSSRRSKGKLKKCCEIAGFAVQIVISAVLGDPTALIAGIVGSFMSRA >Vigun08g164800.1.v1.2 pep primary_assembly:ASM411807v1:8:33683494:33687088:-1 gene:Vigun08g164800.v1.2 transcript:Vigun08g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFE2 MLLRTAAASSLSLFNPNAEPSRSVPVLANNASRLVVRAAKGSTNHRALTGVIFEPFEEVKKELDLVPTVPQASLARQKYVDESEAAVNEQINVEYNVSYVYHALFAYFDRDNVALRGLAKFFKESSEEEREHAEKLMEYQNRRGGKVKLQSIVMPLSEFDHADKGDALHAMELALSLEKLTNEKLLHLHSVATKNGDVQLADFVESEFLGEQVESIKRISEYVAQLRRVGKGHGVWHFDQMLLHEGGHLA >Vigun05g076600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7023172:7023504:-1 gene:Vigun05g076600.v1.2 transcript:Vigun05g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISAETLCMNGKPIRKDTYVVVHTQSCTKFFKTRTEEEGGRKNPSWNEEFLVDGANNITLEVQWKTWLGFKSVGAARIAVSKFVSENSLQFLSYRIWDEKGKRNGC >Vigun07g239600.5.v1.2 pep primary_assembly:ASM411807v1:7:36088804:36101302:-1 gene:Vigun07g239600.v1.2 transcript:Vigun07g239600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNHPKSSLHNKPPPSSTSSASHRKSRWEPNSSTVKSPPDPKPSTAPSPKPKPKPNSNPNHSPKHPSDHPPLLPFPFPDPAPLGPPPPPAYGFHMLERRTIVLADGSVRSYFALPPDYQDFAPRPLDFLPRFPPPLSPGRFRLPDFPPGAGKRKYGEDDGSRDDLARQREQLLRNANGLSRISGGEFSAGPSGGTSLKRELVDPPEMRPSKHSRHDGASFSRHSQVDQDALKKAFVTFAKLINENVSQKRSYLEDGKQGRLHCLACGTGSRGIWYHSSWC >Vigun07g239600.4.v1.2 pep primary_assembly:ASM411807v1:7:36088804:36101302:-1 gene:Vigun07g239600.v1.2 transcript:Vigun07g239600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNHPKSSLHNKPPPSSTSSASHRKSRWEPNSSTVKSPPDPKPSTAPSPKPKPKPNSNPNHSPKHPSDHPPLLPFPFPDPAPLGPPPPPAYGFHMLERRTIVLADGSVRSYFALPPDYQDFAPRPLDFLPRFPPPLSPGRFRLPDFPPGAGKRKYGEDDGSRDDLARQREQLLRNANGLSRISGGEFSAGPSGGTSLKRELVDPPEMRPSKHSRHDGASFSRHSQVDQDALKKAFVTFAKLINENVSQKRSYLEDGKQGRLHCLACGTGRAWIYGWQIKIIIWKRWSFGHNTG >Vigun07g239600.1.v1.2 pep primary_assembly:ASM411807v1:7:36088804:36101302:-1 gene:Vigun07g239600.v1.2 transcript:Vigun07g239600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNHPKSSLHNKPPPSSTSSASHRKSRWEPNSSTVKSPPDPKPSTAPSPKPKPKPNSNPNHSPKHPSDHPPLLPFPFPDPAPLGPPPPPAYGFHMLERRTIVLADGSVRSYFALPPDYQDFAPRPLDFLPRFPPPLSPGRFRLPDFPPGAGKRKYGEDDGSRDDLARQREQLLRNANGLSRISGGEFSAGPSGGTSLKRELVDPPEMRPSKHSRHDGASFSRHSQVDQDALKKAFVTFAKLINENVSQKRSYLEDGKQGRLHCLACGTGRSAKDFPDMHALIMHTYNSDNADSHVEHLGLHKALCVLMGWNYSKPPDNSKAYQFLSADEVAANQDDLIMWPPLVIIHNTNTGKSRDGRMEGLGNKTMDNKIRELGFMGGKSKSLYGRDGHLGITLVKFAGDQSGFKEAIRLAEHFEKENHGRNGWARVQSQTLGKDDENNANLVNVDEKKGEKRRVLYGYLGTAFDLDKVDFDTRKKAVIESRREYKPPM >Vigun07g239600.2.v1.2 pep primary_assembly:ASM411807v1:7:36093139:36101302:-1 gene:Vigun07g239600.v1.2 transcript:Vigun07g239600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNHPKSSLHNKPPPSSTSSASHRKSRWEPNSSTVKSPPDPKPSTAPSPKPKPKPNSNPNHSPKHPSDHPPLLPFPFPDPAPLGPPPPPAYGFHMLERRTIVLADGSVRSYFALPPDYQDFAPRPLDFLPRFPPPLSPGRFRLPDFPPGAGKRKYGEDDGSRDDLARQREQLLRNANGLSRISGGEFSAGPSGGTSLKRELVDPPEMRPSKHSRHDGASFSRHSQVDQDALKKAFVTFAKLINENVSQKRSYLEDGKQGRLHCLACGTGRSAKDFPDMHALIMHTYNSDNADSHVEHLGLHKALCVLMGWNYSKPPDNSKAYQFLSADEVAANQDDLIMWPPLVIIHNTNTGKSRDGRMEGLGNKTMDNKIRGTTKHWSQFIISVVSYMFWATKISFHSTHSLHTFCNCILNYENWKSMVSSSKWVLAQRYCD >Vigun07g239600.3.v1.2 pep primary_assembly:ASM411807v1:7:36088804:36101302:-1 gene:Vigun07g239600.v1.2 transcript:Vigun07g239600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNHPKSSLHNKPPPSSTSSASHRKSRWEPNSSTVKSPPDPKPSTAPSPKPKPKPNSNPNHSPKHPSDHPPLLPFPFPDPAPLGPPPPPAYGFHMLERRTIVLADGSVRSYFALPPDYQDFAPRPLDFLPRFPPPLSPGRFRLPDFPPGAGKRKYGEDDGSRDDLARQREQLLRNANGLSRISGGEFSAGPSGGTSLKRELVDPPEMRPSKHSRHDGASFSRHSQVDQDALKKAFVTFAKLINENVSQKRSYLEDGKQGRLHCLACGTGRSAKDFPDMHALIMHTYNSDNADSHVEHLGLHKALCVLMGWNYSKPPDNSKAYQFLSADEVAANQDDLIMWPPLVIIHNTNTGKSRDGRMEGLGNKTMDNKIRVEEFGTIPVGVDYPGVPFY >Vigun10g002100.3.v1.2 pep primary_assembly:ASM411807v1:10:213689:217422:1 gene:Vigun10g002100.v1.2 transcript:Vigun10g002100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLHKDNLGDGDSDQHVDFVSGNVQLITTKESWDQHLEQANRDSKIVVANFSATWCGPCKMIAPYFCDLSEKHSSMMFLLVDVDEMADFSTSLDIKATPTFFFLKDGKEFDKLVGANKPELEKKIAVITGGVPH >Vigun10g002100.2.v1.2 pep primary_assembly:ASM411807v1:10:214578:217422:1 gene:Vigun10g002100.v1.2 transcript:Vigun10g002100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILISMWILFLGMYNSLLPKNLGTNIWNKQIGIVKFATWCGPCKMIAPYFCDLSEKHSSMMFLLVDVDEMADFSTSLDIKATPTFFFLKDGKEFDKLVGANKPELEKKIAVITGGVPH >Vigun10g002100.1.v1.2 pep primary_assembly:ASM411807v1:10:213689:217422:1 gene:Vigun10g002100.v1.2 transcript:Vigun10g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLHKDNLGDGDSDQHVDFVSGNVQLITTKESWDQHLEQANRDSKIVVANFSATWCGPCKMIAPYFCDLSEKHSSMMFLLVDVDEMADFSTSLDIKATPTFFFLKDGKEFDKLVGANKPELEKKIAVITGGVPH >Vigun03g018800.6.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357058:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNLFRVQQVHGDSMMNPWQLPTTQSFLAL >Vigun03g018800.8.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1354010:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQVSVSFIYSKENVC >Vigun03g018800.1.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357058:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNGSTSTRGFNDEPLATSYHSKFLGTVDYLWYSDGIVPTRVLDTVSISDLLRAGGLPCKKVGSDHLALVSEFTFSVTNNEPTDIMAVGEAAPAIRY >Vigun03g018800.7.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1355347:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGYFFFWMIFK >Vigun03g018800.4.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357058:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNGSTSTRGFNDEPLATSYHSKFLGTVDYLWYSDGIVPTRVLDTVSISDLLRAGGLPCKKVGSDHLALVSEFTFSVTNNEPTDIMAVGEAAPAIRY >Vigun03g018800.3.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357059:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNGSTSTRGFNDEPLATSYHSKFLGTVDYLWYSDGIVPTRVLDTVSISDLLRAGGLPCKVGSDHLALVSEFTFSVTNNEPTDIMAVGEAAPAIRY >Vigun03g018800.5.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357058:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNGSTSTRGFNDEPLATSYHSKFLGTVDYLWYSDGIVPTRVLDTVSISDLLRAGGLPCKKVGSDHLALVSEFTFSVTNNEPTDIMAVGEAAPAIRY >Vigun03g018800.2.v1.2 pep primary_assembly:ASM411807v1:3:1349348:1357058:1 gene:Vigun03g018800.v1.2 transcript:Vigun03g018800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYSSWLVLSSTSTKHKPFFSVPSCFKFNVPIASQIQWHSDSYTQRNLRPPPEIKRHWVEASDKSLDSPERFTVASYNILGDRNASQHSDLYVNIPSRYIKWDRRKRVISDELLGWDPDIVCLQEVDKYLELSDIMVKAGYAGSYQRRTGDSVDGCAMFWKTDKFRLLEGENIQFKDIGLRDNVAQLSVFEMCESYSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSRARCLSEKWGNTPVVLAGDFNSTPQSGIYKFLSSSELNVKLYDKKELSGQKRCRPAQVLGENKETVGPVVTLDGLLCWTDEEIKIATGDSQCHLAVHPLKLNSSYATVNLFRVQQVHGDSMMNPWQLPTTQSFLAL >Vigun02g023000.1.v1.2 pep primary_assembly:ASM411807v1:2:7736783:7740043:-1 gene:Vigun02g023000.v1.2 transcript:Vigun02g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKFATMLHRNTNKITVVLVYAILEWILIILLLLNSLFSYLIMKFVDYFGLKRPCIWCSRIDHIIEPENNKCSCRDLVCEAHAIEISKLGFCLNHRKLAESESMCENCSSSCRPEFVNLSQSFGHFPWMQQKGMVHGSDDNNKVLDNGMEPLRCSCCGDNFVSKFYLFRDKPSSRVFDCTQKENLISESEVETEVDEGHHNSDYGRDDFVALHPPEEAQNTVEKRGSDIVFYVAECSGRGGKEEDDVSICFVRHGDKEIVYGENFNLGLDTEKGKEEPIGEETLNVQPCEETSHQLSCSNEITELVPPKHLEFFIHGDDCLLIPVEYGSSFATERQNQLRYKVGDDGLGGDEDVILDFDLNSSAESEPVIENWHTPLHTVPVSPGKRLESIVDEENLEQNCQVVKLAPITEDSRVNGYVDANMKGRDEELCSVVPQVSEDATQMRDDELREEVSTQNQDFILKSNEEVQEISSCNTTTFTVHDDGENLHQPHKMLLLLGRKESETEESLEVSAICDTEYGELTIEKLKSALKAERKALNSLYDELEEERSASAIAANQTMAMINRLQEEKAAMRMEALQYERMMEERSEYDQEALQLLNELLIKREKEKLELENELEIYRKKVYEYEFRETMIIPRRESNIRSRTSPSCSNARYSDEHELPIDKSRYTSQENGIYSHQEEFRSQNTSEDDVIYLEESLENLEEERLLILEHLKMLQEKLVILNCEEEYFDGIKSVEHLCEENANEYQDNNGYIGQINGLANGKHRDGMQTMCTKGERVLPVYDETDTEAENGVMSNGLDFTSLQNSSDEKVQLEKKTFKVEVKVDHVHERLQALEADKAFLKHCIISLRKGDKGLDLIQEILQHLRDLRNVELRIKNIRDTAVQELN >Vigun02g109000.1.v1.2 pep primary_assembly:ASM411807v1:2:26294473:26297678:-1 gene:Vigun02g109000.v1.2 transcript:Vigun02g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPHNSTVLSHPMQTNEQQQPFPKLIMYPITLKFEELVYKVKLEQKGGCWGSTWTCKEKTILNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNGQPFSGAMKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPNSLSRDEKVQHVERVITELGLTRCRSSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILNTIKRLASGGRTVVTTIHQPSSRLYYMFDKVVLLSEGCPIYYGPASTALDYFSSVGFSTCVTVNPADLLLDLANGIAPDSKQATEQSEGLEQERKQVRESLISAYEKNIATGLKAEICSMEVNNQNISKDACARNSTKPEQWSTSWWHQFKVLLQRGVKERRYEAFNRLRIFQVVSVAFLGGLLWWHTPESHIEDRVALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVFIIYWMGGLKPDPVTFILSLLVVLYSVVVSQSLGLAFGAILMEIKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYNDNDYYECSKGELCKVTDFPPIKSMGLNHLWVDVFIMALMLVGYRLVAYFALHRVR >Vigun05g011700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:944750:946061:1 gene:Vigun05g011700.v1.2 transcript:Vigun05g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKEKRNKNKKQKHQHPNDQTTKHNSDFSFKPSSEVKGIRFGGQFIVKSFTIRRARPLELLKVLSFPPTNNTNKPKDKLPFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFETEAMKASVDRIWPPEIALGDVNKRLIRGLNGCEMARFKFRKGCITFYVYAVRQVGSFGFSCAEDLRTILQSVVELKDFLDHTAMLSMPHQRSISYSESHPQVAMAH >Vigun05g084100.1.v1.2 pep primary_assembly:ASM411807v1:5:7970497:7975149:1 gene:Vigun05g084100.v1.2 transcript:Vigun05g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRNVRESLLGSLNSHRRGHSFNGVANNNNHDDNLDLFSNNRRSLSLASSDESSDVSVKLGRLSVGTAKPVRSGIDDLLSSAEGGKHDYDWLLTPPGTPVFPSEGESQSTLVPPRRSLTRSTSTSKASRLAVSQSENSNPSRPARSSSVTRSSISTSHSQYTSYSSNRNSSSILNTSSASVSSYIRPSSPIARSSSSSRPSTPSSRPTASRSSTPSKPRPVSTNSTAERHRPSSQGSRPSTPSSRPHIPANLHSPSASSTRSLSRASTPTRRSSMPSLSPSPSSTPGSLSSSTRASLNGRSSAPASRPSSPSPRIRHPPQPIVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGGTSLKANGSETQTSSVSNPRRHSSPVVSRGRVTEPLAKSRGYVNGHHADAPEPRKVSHSPELAARKSVKASTTATENNGFGRTISKKSLDMAIKHMDIRNGSGNIRSLSNTTLFPQSIRTSTPRSQSHRVSSAPASVDMNNGSNFDMENGMSNRSMMKAREVDERQYSAKVSEVDIYESSRYDALLFKEDLKNTNWLHGADDKCDQSSIFDNGFEHLPEPFGLL >Vigun07g204801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32643287:32645939:-1 gene:Vigun07g204801.v1.2 transcript:Vigun07g204801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEVVEGVTRILQQKKENGELESEKLQRFIPHLTPTLIDSILSCNTLCSNPTTLLSFFNCLQTHAPPPLRSSPHALLSILPPLLLRRKFSDAKSLLISFISSDRTHALHALLLRPRRSLSKPLLDTALGAYVHTGHTHHAYLLFQKMKRLRFTPNLLTCNTLLNSLVRSLSSHSTLLASGIFHDAVALGVKPNTNTFNILIYGYCRHKKFDHALALVQQMPEFGCFPDNVTYNTILDALCKKGQLSKVRDVLTEMKNVGLVPNRNTYNILVHGYCRLKWLKEAAEVIDLMTKDGVLPDIWTYNTLVRGLCDEGKIDEAIRVRDEMVSLKLIPDVVTYNTLIDGCFKWCGSVEGFRLIEEMKLRGVEMNVVTHNIVVKWLCKEGKIDEASDAVVRMVESGVYPDRFTYNTMINGYCKAGKLGEAFKMMDEMARKGLKPDVFTLNSVLHTLCMEKKLEEAYELTVQAGKRGYILDEVTYGTLIMGYFKAEQEDKALKLWEEMKGRGILPSVVTYNTLIRGLCLSWKTDQAVDKLNELLEKGLVPDESTCNIVIHGYCWEGMVEKAFEFHNKMIGKSFKPDIFTRNILLRGLCREGMLEKAFKLFNSLVSKEASVDVVTYNTLISAVCKEGRLEEAFDLITEMEGKKLEPNRYTYNAIVNALTHAGRTEEAEKFMSKLLEAGQDVKPHETSQELDASGDIMYSEQISDLCTQGKYKEAMKLFQESEEKGIGLNKYTYIKLMDGFLKRRKSVSKVAQPN >Vigun11g017300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2174380:2182898:1 gene:Vigun11g017300.v1.2 transcript:Vigun11g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGLQAYEEEKLLLSLPKEKGWASRHLYLFQHFWCPSTHIEGVINFQNHFQAKDSDVIVASFPKSGTTWLKALTFAILNRQRFSSSHNHPLLTSNSHELVPFLDFIFHGDNIHDKLSDLSNMTEPRVFGTHIPFPSLSNSVKESNCKIVYICRNPFDTFVSSWTFFNKIKSDSLNDVTIEEALENYCKGIIGFGPTWEHMLGYWKESIAKPNKVLFLKYEELKENVSFYVKRVAEFLDCPFTKEEESNGVIENIIKLCSFEKMKNLEVNKCGIVGRNIEKKYLFRKGEIGDWVNYFSPAMIEKLSKTMEEKLSGSGLSFKTSS >Vigun11g064900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15383368:15383780:1 gene:Vigun11g064900.v1.2 transcript:Vigun11g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACAALIAAASISATVATVEVSAPAPGPSSEASAIVPLVSSLVGASVLSFFALLH >Vigun02g194300.1.v1.2 pep primary_assembly:ASM411807v1:2:33045814:33047340:-1 gene:Vigun02g194300.v1.2 transcript:Vigun02g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNCNHCERTETNPTILLQKNIILLLSRWYALQMAIENQWGGSDSLQKSQQLSADLFSLFSKSKALVPIEELENLLYECMLLTFNTEIEDGSIEQVAEQLLAIHEEYLLRQSS >Vigun03g106550.1.v1.2 pep primary_assembly:ASM411807v1:3:9477929:9481939:-1 gene:Vigun03g106550.v1.2 transcript:Vigun03g106550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAERRPRNGHEQPREDVQHAEGVESDSSMGDEDEDESEDEYITIDLSDFKELKCAICLGIIRNTRTVTECLHRFCKECIEKSMRLGINECPICRVHVPSRRSLREDPNFDKLIAALVPDIDKYEEQEALGFVEDHDKEMEASSVENVPCETEASDRTEKARAIAVAFDRMRKSKGKDVKRDRRKLKNNAGDQVQVSIENEALNDSDEKTENGTRKDEGETQIPQESATIDVDREITSSANLHQSNTLLSHQERTKQEISKNMSSLEILTNDYAHIEEENDDVGGVQSVGLGSVHNVTFQSTTKLSESFSNFDSAANPKLKEEVSSLKEKLAIVEENFKTLKSVMLGYIKMKEGHIPSELITLFGDTTNAADKRSG >Vigun07g077500.2.v1.2 pep primary_assembly:ASM411807v1:7:10471553:10477838:-1 gene:Vigun07g077500.v1.2 transcript:Vigun07g077500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSELRKTVEEIEVVDGHSHNIVAFDSNSISSGFAQAFIVGAFADADAIAFAQTSLTFKRGLRDVAELYGTEVSLQSVEEYRNVSGIESITSTCLKAAKISAILMDDGVEMDKKNEIQWHKNFTPFVGRILRTERVAEQILEQGLSNGSSWTIDSFTEAYVSKLRSFAKEIYALKSIVAYYGGLEINLKVTKTEAEESLRQVLINATKPIYLLYHRNLVDYLFLQSLEIALTYDLPMQIHTGFGDRLLDLRKSNPLHFRSVLEDKRYSKCRFVFLHASHPFSKEASYLASVYSQVYLDFGSAIPRLSMHGMISAVKGLLTLAPINKVMFSSDGHTFSETFYLGSKNAREVVFSVLRDACIDGELLILEAVEAAKDILARNAIRFYKISSNITVP >Vigun11g146200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35527538:35527675:1 gene:Vigun11g146200.v1.2 transcript:Vigun11g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLCNCLCLLLLLPLLSTCKWGWNHTLNFLLLFNILLSSFFSYL >Vigun07g232000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35391921:35393177:-1 gene:Vigun07g232000.v1.2 transcript:Vigun07g232000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTVVTAFSIYLILIHASTVANSAMDPYKALNIILNPNGTLTRLSIPPQSPPSPDPSLPTPVLSKDLPINKFNHTWARIYLPHKALHHSPNAKLPLIVFYHGGGFVFDSAASTYFHDFCVRMTNATQSVVVSVDYRLAPEHRLPAAYEDSVEALHWIKASNDAWLRHADYSRCYLMGESAGGNIAYTAGLRAAAEVDKLKPLKIKGLILIQPFFGGRKRSPSEIRLAEDTTIPLPITDLMWNLSLPVGADRDHPYSNPFVNGGDKILDEFKVYGWRVAVFGCEGDPLVDRERELVKLLEQKGVHVVGKFYEGGRHGIFVGDPSMSVQVFRLLKTLH >Vigun07g036200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3477955:3478565:1 gene:Vigun07g036200.v1.2 transcript:Vigun07g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIPFLLLIVVSNIVIANATFESNEANNVVIDSAQQRFISNIKNKRFHVLPKGPVPPSAPSHGCSTPPCQPSNVVNNIKSKGFHVLPKGPVPPSAPSHGCSTPPCPPSNVVNNIKSK >Vigun10g027900.1.v1.2 pep primary_assembly:ASM411807v1:10:3396548:3401045:1 gene:Vigun10g027900.v1.2 transcript:Vigun10g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPIKTIVVLVQENRSFDHMLGWMKSLNPEIDGVTGSESNPISTSEPASNRVYFSDQSDFVEPDPGHTVEDVYEQVFGEPWNESSTTKKVSPTMEGFAQNSVKQKKGSTAETVMNGYKPDLLPVYRELVKEFAVCDRWFASVPGPTQPNRLYVHSATSHGLTTQDTQKLIGGLPQKTIFDSLDENGFSFGIYYQYPPSTLFYRNLRKVKYIDNFHLFDPKFKKHCEEGKLPNYVVIEQRYFDLLSQPANDDHPSHDVAEGQRFVKEVYETLRRSPQWNEILFVITYDEHGGFYDHVPTPVDGVPNPDDIAGPQPFNFQFDRLGVRVPTIIISPWIESGKVLHEPCGPFPTSQYEHSSIPATVKKMFNLPEFLTKRDAWAGTFENLLSLTTPRTDCPVKLPEPMKLREGGAEEDAELSEFQEELIYMTATLNGDHNHNKSAYPKLTHNLTVSEAVKYCETAFQTFLNQCEKAKQSRIDGSQIVHCANPHTPPQSKTFLHKMLSCILCKD >VigunL001300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:19530:21056:1 gene:VigunL001300.v1.2 transcript:VigunL001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLFSIHIMHTTLVAGWAGSMALYELAVFDPSDPVLDPIWRQGMFVIPLMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAPISHWVYWDLEIFCDERTGKPSLDLPKIFGINLFLAGVACFGFGAFHVTRLYGPEIWVSDPYGLIGRIQSVNPAWGVEGFDPFVPGGIASHHIVAGTLGILAGLFHQSVRPPQRLYKGLRVGNIEIVLSSNITAVFFAAFVVAGTMWYGSATTPIELFGPTRYKWDQGYFQQEIYRRVAFYDYIGNNPAKWGLFRADSMDNGDGIAVDWLGHPIFRDKEGHKLFIRRVTVKFYGGELNGVSFSDPATVKKYARRAQLGEIFELDHATLKSDGVFRSSPRGWLTFGHDSFGLLLFFGHIWHGSRTLFRDVFAGINPDLDVQVEFGAFQKLGDPTTRRQVV >Vigun07g095450.1.v1.2 pep primary_assembly:ASM411807v1:7:15544379:15548075:1 gene:Vigun07g095450.v1.2 transcript:Vigun07g095450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIGTGTPLSVPIGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRFAPTFIQLDTKLSIFETGIKVVDLLAPYHRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGRVESGVINEQNIAKSKVALVYGQMNEPPGARMRVGLTALTMAEYFRDFNEQDVLLFIDNIFRFVQAGSEVSTLLGQMPSVVGYQPTLSTEMGSLQERITSTKEGSITSIQVVYVPADDLTDPAPATTFAHLGATIVLSRGLAAKGIYPAVDPLDSTSTMLQPRIIGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAKVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGQKYVLITLEIVETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun09g003300.1.v1.2 pep primary_assembly:ASM411807v1:9:226113:228855:1 gene:Vigun09g003300.v1.2 transcript:Vigun09g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKWVLFPLYCFCLIPICFSAAKEGKPPQQQKLRFGKNGEFKILQIADLHYANGKTTHCLDVLRSQYASCSDLNTTAFIQRIILAEKPNLIVFTGDNIFGHDASDPAKSMDEAFAPAIASNIPWVAVLGNHDQEGSLSRKGVMKYIAGMKNTLSIVNPPDIHIIDGFGNYNLEVGGVKGTDFENKSVLNLYFLDSGDYSKVPFIPGYGWIKPSQQLWFQLTSKKLRKAYMNGPVPQKEPAPGLAYFHIPLPEYAKFDSSNFTGVKQEGISSASVNSGFFTTLVKSGDVKAVFTGHDHVNDFCGKLTGINLCYAGGFGYHAYGKAGWSRRARVVVVSLDKTESGCWEDVKSVKTWKRLDDQNLTGIDGQVLWSKNFRSNRRKKQDGES >Vigun04g117101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29590976:29593680:-1 gene:Vigun04g117101.v1.2 transcript:Vigun04g117101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKTFSSDYGRHGYFINISDFRTYHLYDLNAFLMWKGSEQIFKTTELLLLKGIDLSSNNFSEEIPVEIENLVELISLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIG >Vigun05g242700.1.v1.2 pep primary_assembly:ASM411807v1:5:43592344:43592598:1 gene:Vigun05g242700.v1.2 transcript:Vigun05g242700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIPGIDGPSEGVPRVLSCSNKSPLWLANPREPLCILRMLLKLCLLDDCRFPYP >Vigun04g147000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36246773:36249390:-1 gene:Vigun04g147000.v1.2 transcript:Vigun04g147000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNLESLSIMGNTFEGGIPKSFGNACALRSLDMSDNSLSEEFPRIIHHLSGCARYSLEELNLNINQINGTLPDFSIFTSLKRLDVAGNMLNGWIPRNIQLPPQLEIIDIHSNYLEGVLTDYHFANVSKLKKLHLSDNSLALVFTQNWVPPFQLFSIGLRSCKLGPTFPKWLKTQNKFYYIDISNASISDVVPEWFWANLQLQEMRSVNISCNNLRGIIPNFPPKYILQHVSLGSNQFDGPIPQFLRNSGILDLSKNKFSSSSLFLCLNGTIETYQLDLSNNKLSGQIPDCWNHFKSLTYLDLSYNNFSGKIPISMGSLFDLQALLLRNNNLTSGIPLSLRSCTKLIMLDMAENKLSGIFPAWIGSSLKKLQFLSLRSNNLYGTLPIQICYLRNIQLLDLSINYLFGKIPKCIKNFVAMAKKTSSEGNHDYIFHIGEFGGINSYELNEILMWKGSKQMFMNEELSLLKSIDFSSNYFSKEIPMEIEDLFELVSLNLSRNNLIGKIPSNIGKLASLEFLDLSRNQLVGSIPTSLAQINRLTMLDLSHNYLTGEIPIGTQLQSFNASSYENNLDLCGLPLEKLCIKPAQDKNVIIHHDEYSFLNNDFLISMTFGFVISFSIVFFSILFERSWRHAYFKFLNKLADDICVKVAVFR >Vigun07g016900.1.v1.2 pep primary_assembly:ASM411807v1:7:1448344:1461362:-1 gene:Vigun07g016900.v1.2 transcript:Vigun07g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGSKKTIFAVSEASSKAVNAPKGYLAVYVGEKMKRLMIPVSYLNQPSFQDLLSQAEEEFGYEHSMGGLTIPCREDLFNHIISCLNG >Vigun02g015033.1.v1.2 pep primary_assembly:ASM411807v1:2:5496500:5500578:1 gene:Vigun02g015033.v1.2 transcript:Vigun02g015033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAQRLSFIRNNQKLIHSDILNGLQEAVNKCETDPSSIGKRIVLPASFTGGMRYMFNNCQDAMAICKRYGYPDLFITITCNVNWPKIVDFVKSRGLTALDRPDIVCRVFKMKLDQMMSDFKKNHFFGKVNAGMYTVEFQKRGLPHAHILLWLSDSNKLENAKHIDQVISAELPHPDLYPKLSKAVQTYMIHGPCGAARFNSPCMKEDKENESTEMRIVDEIKRYYDCRYLSACEAVWRIFGFDIHHRWPAVQRLTFHLQDQQPVLFKDDDRIDDVLHRNENMNTMFLAWFEANKKFEEGRNLTYAEFPTKFVWMSQQKQWKPRKQGYSIGRLTYVPPGSGECYYMRILLTKQKGCIDHDSIKTINGKTFSTYQEACQELGLLADDKEFIDAIKEASHLASGNQLRRLFVALLIMNTMSKPNVVWDATWTLLADGILYQKRKDLNIPDFQIEEHDLHNLCLLEVQELLNSNGRALSDYSCLPQPDLSNSFKFQNRFIVDEMNYDKEQMEKLHQSLLQSVTNEQLHVYNRIMTAVNSEVGNFFFLYGYGGTRKTYLWKLLSAAIRAKGMIALNVASSGIASLLLPGGKTAHSTFCIPLPINDESTCNINQGSLRAKLLMEAKLIIWDEAPMMNKLCFQAFDRTLRDIMRATNQHNADKPFGGKVVVLGGDFRQILPVVRKGSRYDIVNSSINYSDLWQYCTVLKLSQNMRLKTATSNESAKDIKEFADWILKIGDGNMNLNESGEANLSIPTNLLIQESETPLLSLVKFVYGGLIENIMTPGFFDDGAILFPTIDSVEQVNDFILSLILGEEKVYLSSDTPCQSDDDQEIQGCKLMIWERMLSLLQ >Vigun07g084300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12468633:12469458:1 gene:Vigun07g084300.v1.2 transcript:Vigun07g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQREESVDLANCVVLLSHPREIKRHKRLGPVEFECRTCNRKFSSFQALGGHRASHKTAKQEVKEEEKIVRLGNKRKKHECSICGQEFSLGQALGGHMKRHRISLNEGFSSINQALVKVPVLKRSNSRRVMCLDLNLTPLQNDLKLLFGDKAPTVDSFV >Vigun10g186000.2.v1.2 pep primary_assembly:ASM411807v1:10:40215826:40229412:1 gene:Vigun10g186000.v1.2 transcript:Vigun10g186000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPNKEANLFKLIVKSYETKQYKKGLKAADTILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFSVAHHLNSNASKAVEILEAYEGTLEEDYPPENERCEHGEMLLYKISLLEECGFLERALEELHKKEFKIVDKLAYKEQEVSLLVKLGHSQEGEALYRALLSMNPDNYRYYEGLQKCVGLYLEDGQYSPDQIDQLDSLYKTLVQQYKWSSAVKRIPLDFLQGDKFREAADNYIRPLLTKGVPSLFSDLSSLYNHPGKADILEQLILELEQSIRTSGQYPGGTNKEPPSTLMWTLFLLAQHYDKRGQFEIALSKIDEAIEHTPTVIDLYSVKSRILKHAGDLVAAAAFADEARCMDLADRYVNSECVKRMLQADQVSLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRTYVEMLKFQDQLHSHSYFHKAAAGAIRCYIKLYDSPPKSTAEEDDNMAKLLPSQKKKMRQKQRKAEARAKKEAEEKNEELSAGGVSKSGKRHVKPVDPDPNGEKLLQVEDPLSEATKYLKLLQKNSPDSLETHLLSFELYTRKQKTLLAFQAVKQLLRLDPEHPDSHRCLIKFFHKVGSMNAPVTDSEKLIWSVLEAERPNISQVHEKSLFEANNSILEKHKDSLMHRAAFVEILHILDSNRKSETVKFIEESTNNIVPRNGALGPIREWKLKDCIAVHHLLETVLADQDAALRWKVQCADFFPYSTYFEGRHSSASPNSAFNQLRKNSENESVNHITSNGKLEAFKDLTI >Vigun10g186000.1.v1.2 pep primary_assembly:ASM411807v1:10:40215826:40229412:1 gene:Vigun10g186000.v1.2 transcript:Vigun10g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPNKEANLFKLIVKSYETKQYKKGLKAADTILKKFPDHGGILFIFAKGHFISPLNCLKTLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFSVAHHLNSNASKAVEILEAYEGTLEEDYPPENERCEHGEMLLYKISLLEECGFLERALEELHKKEFKIVDKLAYKEQEVSLLVKLGHSQEGEALYRALLSMNPDNYRYYEGLQKCVGLYLEDGQYSPDQIDQLDSLYKTLVQQYKWSSAVKRIPLDFLQGDKFREAADNYIRPLLTKGVPSLFSDLSSLYNHPGKADILEQLILELEQSIRTSGQYPGGTNKEPPSTLMWTLFLLAQHYDKRGQFEIALSKIDEAIEHTPTVIDLYSVKSRILKHAGDLVAAAAFADEARCMDLADRYVNSECVKRMLQADQVSLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRTYVEMLKFQDQLHSHSYFHKAAAGAIRCYIKLYDSPPKSTAEEDDNMAKLLPSQKKKMRQKQRKAEARAKKEAEEKNEELSAGGVSKSGKRHVKPVDPDPNGEKLLQVEDPLSEATKYLKLLQKNSPDSLETHLLSFELYTRKQKTLLAFQAVKQLLRLDPEHPDSHRCLIKFFHKVGSMNAPVTDSEKLIWSVLEAERPNISQVHEKSLFEANNSILEKHKDSLMHRAAFVEILHILDSNRKSETVKFIEESTNNIVPRNGALGPIREWKLKDCIAVHHLLETVLADQDAALRWKVQCADFFPYSTYFEGRHSSASPNSAFNQLRKNSENESVNHITSNGKLEAFKDLTI >Vigun10g145500.2.v1.2 pep primary_assembly:ASM411807v1:10:36347301:36357218:1 gene:Vigun10g145500.v1.2 transcript:Vigun10g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMIIVALITAILFGFCIVPVNGRRRRNRAVGFFHPYTNDGGGGERVLWCAVRGIQDEIPDLDCCIYTGDHDATPQSLMARALDRFGVTLLSPPKVIHLYKRKWIEETTYPHFTMIGQSLGSMYLAWEALSKFTPLYYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMLSRVRDRSLMYNNDALITKSVWLSRCKIVYYTFFSWLYGIVGSCAHLAMVNSSWTKSHIESLWRVPDRIKRVYPPCDTSGLQVLPLERSTEIPIIISVAQFRPEKAHTLQLEAFSAAIKRLDPTLPKPKFQIVGSCRNKSDDDRLQMLKKKAIELNISEQVEFHKNVTYRDLVGLLGGAVAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLDEDGQQTGFLACTVEEYADAIVRTVTMSETERLKVAAAARRRARRFSEQRFYDDFKAAVRSILSHVSR >Vigun06g070200.1.v1.2 pep primary_assembly:ASM411807v1:6:20008159:20011695:-1 gene:Vigun06g070200.v1.2 transcript:Vigun06g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLSESRDLTRIERVGAHSHIRGLGLDSSLEPRAVSEGMVGQTAARKAAGVILRMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGIETPFAMIAASEIFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVHIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVSSGDVIAIDKATGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIHKILEIRCQEEEVEMTESAKHLLTKIGVETSLRYAIHLITAAALACQKRKGKAVDLEDINRVYNLFLDVKRSTQYLMEYQSQYMFSDTVEADEDETNAMVS >Vigun02g182100.1.v1.2 pep primary_assembly:ASM411807v1:2:32248779:32251445:-1 gene:Vigun02g182100.v1.2 transcript:Vigun02g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFKDKFTTELISSEMDSTDSSMNKKSKEIERCYDDYPKKRKRPCQVAVVIPTMEESKAAAASSSPATHGSISVIGRRRAMEDAVVVVPGLVAAGQWCGGYDFFAVYDGHGGTLVANACRDRLHLLLADEVKENAKKGLDWYEVMCSCFMKMDKEIGVGGEAHDDGGGNTTGSTAAVVVVGKKEIVVANCGDSRAVLCRGGVAVPLSRDHKPDRPDERERIEAAGGRVINWNGSRVLGVLATSRSIGDHSMKPFVISEPETMVYERTECDEFVVVASDGLWDVVSNKFVCEVVRSCLHGQIKRNLKEESVVVSNATEAASLLAELAMARGSKDNISVIVIQLNNITSS >Vigun05g000060.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12361:12876:1 gene:Vigun05g000060.v1.2 transcript:Vigun05g000060.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTLHTKPKTPHPKHETIHPKPETLNPKSETLNLKPKPQTPNPKTLHPTPYTLHPTPETLNPNPQILNHKPETLNMIPQNLNPKPETLNPNHKPQIPKPETPNPKPYTRNPKPYTRNPKHETLNPKPETLNLKPKPQTPNPKTRNLIPYTLHLKPHTRNPKHKTQNLKP >VigunL059042.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000293.1:965:1087:1 gene:VigunL059042.v1.2 transcript:VigunL059042.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun03g210300.2.v1.2 pep primary_assembly:ASM411807v1:3:34620755:34623183:1 gene:Vigun03g210300.v1.2 transcript:Vigun03g210300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDNNNHFLQVVFNNFDVLALYASIKAIETKSAADDQQWLTYWILYSILTLFELTFAKVLEVLPIWPFAKLIFSCWLVLPHFNGAAVVYRNYIRPFYMNPQIPIPQGSQIWYFPQKKSLFSESDDVLSAAERYMEEHGTEAFERLINKNDRQARARRNGNYMIFDDDYRY >Vigun03g210300.1.v1.2 pep primary_assembly:ASM411807v1:3:34620756:34623183:1 gene:Vigun03g210300.v1.2 transcript:Vigun03g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDNNNHFLQVVFNNFDVLALPLVTLAYPLYASIKAIETKSAADDQQWLTYWILYSILTLFELTFAKVLEVLPIWPFAKLIFSCWLVLPHFNGAAVVYRNYIRPFYMNPQIPIPQGSQIWYFPQKKSLFSESDDVLSAAERYMEEHGTEAFERLINKNDRQARARRNGNYMIFDDDYRY >VigunL059036.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000272.1:23080:23478:1 gene:VigunL059036.v1.2 transcript:VigunL059036.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SCSNSQSYVPKQQQKKNLNSCPTHQPIIAQTVLDKSTVPLPQSAPNNLHFALLIQFLIAPKVGPQLRVIASISHVGILLEITTTASCCHKAGCWLKKWSRRCRTWIVFALKQHTKLNATDRARRICGELKLK >Vigun10g158100.1.v1.2 pep primary_assembly:ASM411807v1:10:37747866:37749025:1 gene:Vigun10g158100.v1.2 transcript:Vigun10g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNISHSFLSTIHPLPFSFLSPLCAPFSFCVSPLTMLPKFINISPFKVEHQQELKHVNKRRKHEKSFESSSVGLRLLPQITTTSNNTSNVLFKSAMRKPNHYSIPHDFCFLKTCNLCNKHLSPDKDIYMYRGDQGFCSVECRNRQIVLDEMKELESSTKKMVASYRQCCGEAREETRLILKDLRMQRLKSRV >Vigun03g293800.2.v1.2 pep primary_assembly:ASM411807v1:3:47921874:47926938:-1 gene:Vigun03g293800.v1.2 transcript:Vigun03g293800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDTLSKHLFHWDKQPLPWEMRVRVAYHVAQALDHCSIENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYANDDATKLVELASKCLQFEARERPEIKFLLTSVTPLQKQKEVASHVLMGLTKNTAVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKDFKNAIEYYSKLVVMMSVPSATVFARRAFSYLMNDQAELALRDAMQAQVCIPDWPTAFYLQALALSKLGMETDAHDMLNDGAAFEAKRSNSWRG >Vigun03g293800.1.v1.2 pep primary_assembly:ASM411807v1:3:47921874:47926938:-1 gene:Vigun03g293800.v1.2 transcript:Vigun03g293800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLHSKTAHLHSPEDPPTALPDSKKPDPGSGGDDVDQEVQVPAFKEYGLSELRRATNEFNTDYIVSESGEKAPNVVYRGKLENNRLVAVKRFSKLSWPDAQQFMAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHVAQALDHCSIENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYANDDATKLVELASKCLQFEARERPEIKFLLTSVTPLQKQKEVASHVLMGLTKNTAVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKDFKNAIEYYSKLVVMMSVPSATVFARRAFSYLMNDQAELALRDAMQAQVCIPDWPTAFYLQALALSKLGMETDAHDMLNDGAAFEAKRSNSWRG >Vigun05g276500.2.v1.2 pep primary_assembly:ASM411807v1:5:46636149:46639380:-1 gene:Vigun05g276500.v1.2 transcript:Vigun05g276500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSKFLIHGTIEATIFNATPYSPLFPFNCVHTKGKPAYVSIRIGKQKVARTTQERERVWNQTFQIQCAHPEDSTITITLKTSCFILGKFHMQAKRLKEESLINGVFPLLMENGKPNPQLKLRFMLWFKPAEMEPSWRKILSNGEFQGLRDATFPQRSNCEVKLYHDAHHSSTFNPPFDLCGAPMKLWEDVYKAIEGAKYLIYIAGWSLNPKMVLVRDPQTEIPHAREINLGELLKKKAEEGVAVRVMIWDDETSLPLIKNKGVMNTHDEEAFAYFKHTKVICRKCPRLHHKFPTLFAHHQKTITVDTRTPCSVHAREIMSFLGGLDLCGGRYDTEKHSLFQTLAEESDYHDFYQTNIAKASLKKGGPREPWHDAHACVIGEAAWDVLSNFEQRWTKQCDPSLLVPATTLENLIPTYSNTPTERNWKVQVYRSIDHVSASQLFRRLTVERSIQEAYVEAIRRADRFVYIENQYFVGGCHLWQEDRHSGCSNLIPVEIALKVVSKIKARERFAVYIVIPMWPEGVPESEPVQDILHWTRETMTMMYRLIGEAITESGEPGHPRDYLNFFCLANREKKEKEEFLPPLSPHPATQYWNAQKNRRFPIYVHSKWTTYTY >Vigun05g276500.1.v1.2 pep primary_assembly:ASM411807v1:5:46636149:46639380:-1 gene:Vigun05g276500.v1.2 transcript:Vigun05g276500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSKFLIHGTIEATIFNATPYSPLFPFNCVHTKGKPAYVSIRIGKQKVARTTQERERVWNQTFQIQCAHPEDSTITITLKTSCFILGKFHMQAKRLKEESLINGVFPLLMENGKPNPQLKLRFMLWFKPAEMEPSWRKILSNGEFQGLRDATFPQRSNCEVKLYHDAHHSSTFNPPFDLCGAPMKLWEDVYKAIEGAKYLIYIAGWSLNPKMVLVRDPQTEIPHAREINLGELLKKKAEEGVAVRVMIWDDETSLPLIKNKGVMNTHDEEAFAYFKHTKVICRKCPRLHHKFPTLFAHHQKTITVDTRTPCSVHAREIMSFLGGLDLCGGRYDTEKHSLFQTLAEESDYHDFYQTNIAKASLKKGGPREPWHDAHACVIGEAAWDVLSNFEQRWTKQCDPSLLVPATTLENLIPTYSNTPTERNWKVQVYRSIDHVSASQLFRRLTVERSIQEAYVEAIRRADRFVYIENQYFVGGCHLWQEDRHSGCSNLIPVEIALKVVSKIKARERFAVYIVIPMWPEGVPESEPVQDILHWTRETMTMMYRLIGEAITESGEPGHPRDYLNFFCLANREKKEKEEFLPPLSPHPATQYWNAQKNRRFPIYVHSKVMIVDDIYILIGSANVNQRSMDGERDTEIAIGAYQFEDGVDHLINRGDIHAYRMSLWYEHTGSAEELFLEPESLACVQRMRSIGDDMWEMYSSEEIVDMEGVHLVRYPVKITQEGYVEDPDDGGDHFPDTKSPVRGKRSKFLNPVFTT >Vigun06g000900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:397210:399408:-1 gene:Vigun06g000900.v1.2 transcript:Vigun06g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLRKAIGAVKDQTSISLAKVTNAANLEVIILKATTHDKSPIEERYVNEILNIVSSNKLCASACAHCIGKRIGKTRNWVVALKCLLIVLRIFQDGDPYFPREVFRAMKRGAKILNLSGFKDDSNSSPWDYTAFVRLFALYLDERLDCFLTGKLQRRFKHHNRFHQMNQVIKTSNEPGIKDMKPIMVLDRISHWQRLLDRAICTKPTGSARTNRLVQISVYAIVQESFDLYRDISDGLGVVLDNFFHLPFWACAETFNACVKSCKQFDDLSAFYSFCVSIGIGRSYDYPRVQKVSEELMETLHDFFRDQAFLPTSKISKHLHSPPPHMDLKGSSSSHDEGSERSCRTLERCDTLESLFETESDFGSQCTSLEDLMTATDVALSPRRSLEEDMNSEQSDNDEKELVCDGYVSANSFASATSFPFHQTSRSSLDAENFDELPRELHQNETNGWEQQNQTNEWEQHNTQAVSNNITKECWELVLAKTVTAPAETSPKFTNGMIPFLTLSDQPLVPQHNYNPFLDDTVNVAPFATTGDKFIDVAPTFKATTTQANLYAQDLIVPTISDQSSSSVANPDLFFGDMYPNETTKDPTSLETNIAVPSILTTPSFQTRCSFMNDSFPTFQVHRSNIDAIMETPILPTLQNPSLCFIPLHFEEQNFYASTMPPTFSAKDYNGTTLATSVDNDLFGTWPGENTNEQTLNVSMQEDTLLRQQQLWLEQQQSIISKHSSSVFK >Vigun06g044100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16805250:16805999:1 gene:Vigun06g044100.v1.2 transcript:Vigun06g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCRIPFVLSVLVITAMVLHASVADSRALSDPSTPLSLALRLKVEGEPSNCWESLWRMQACSGEIITFFLNGETYLGRSCCEAIRVIGHDCWPNVVGSLGFTSEETDVLEGYCDEVVHSPPPPPLSVDP >VigunL026700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:102826:103185:1 gene:VigunL026700.v1.2 transcript:VigunL026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun01g033866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4360473:4361756:1 gene:Vigun01g033866.v1.2 transcript:Vigun01g033866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLEFSVHNQVVMFIGEADQVVNNALLGFYVDTSCLDEVLKVFEVMPQRNVVSWNTLIAGLAGQDRPSETLGAFRVMQGEGMGFSWVTLTTALPVCAQLTALHSGKDTHGFDRMHNRDLTSWNTMLAGYSINGQINEAWALFDEMIRSSIKPDGITFVVLLSGCSHSGLTSQGQRLFNAMEDYGEQPSLEHYACLVDILGRSGKLEEALNVAENIPMRPSGSIWGSLLNSCRLHGNVPLAEIVAKRLFQIEPNNPGNYVMLSNIYANAGMWEDVKRVREMMAMTRIKKDVGCSWIQIKHKIHTFVAGGSTNFRRSAEYIKIWNELSNAIKDLGYIPNTSVVLHDVNEDMKATWVCEHSERLAAVAALINTGAGMPIRITKNLRVCVDCHSWMKAVSKVTKRLIVLRDTNRFHHFQNGTCSCKDYW >Vigun05g239200.2.v1.2 pep primary_assembly:ASM411807v1:5:43239671:43240525:-1 gene:Vigun05g239200.v1.2 transcript:Vigun05g239200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTSVEDALIGSYLQNLLDRNDAMIYKLIAKIAKVNRKRRDVSAKLTKVMKELEKNKKIIVEMERKLAKDTVSAKNDGESVVKVDEEMIALTEQNDEDTLTGLDE >Vigun05g239200.1.v1.2 pep primary_assembly:ASM411807v1:5:43239574:43240552:-1 gene:Vigun05g239200.v1.2 transcript:Vigun05g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTSVEDALIGSYLQNLLDRNDAMIYKLIAKIAKVNRKRRDVSAKLTKVMKELEKNKKIIVEMERKLAKDTVSAKNDGESVVKVDEEMIALTEQNDEDTLTGLDE >Vigun01g030600.1.v1.2 pep primary_assembly:ASM411807v1:1:3793350:3818134:-1 gene:Vigun01g030600.v1.2 transcript:Vigun01g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWGEGGESDDHIVPYPEVNEGVSNKKEFNQEASTTKLTELKRPEAKIDFYERKLRSSSNLDNSVELPVSGYGTHAWPDLSLSSSAKMDQGSLGTAVSKNLRELGKFSSRIEESKQNEKDAEIFRSSNEGKEQGDFVDYEWANIGSFDDLDRIFSNDDPIFGHVSLDNSNDLWSSKDVSNNSAPLPLDTPSSSGALINEADPLEIKEEYVQTNDDKSLDLSFEKIGIPASEVLQNLCTITAIEGNDGVRSKPTEKEQQVFRQKNLLKTRKKSQVKQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQKQIQGAETLFQNIVNPYVASSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPDIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILYQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDELEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQCESKAANLVNFPMSCLPDEDSKNNQQLSHLGLKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun02g072901.1.v1.2 pep primary_assembly:ASM411807v1:2:22443536:22444850:1 gene:Vigun02g072901.v1.2 transcript:Vigun02g072901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGIHTWTKISGVILLHNWHHRLFPMYYILYYSCFMNGFRIVPPMWIPQSTILYFTHQFIKTCNLLKVIQIKELQSSW >Vigun11g102100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29881731:29882845:-1 gene:Vigun11g102100.v1.2 transcript:Vigun11g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIWATAEDLSRNRARVLSLYRQILRSLNSPALPLSFAARLAKKAEVRAMFWVGSDERSVHNIADLIDAAEYSLSFLRKGQLPPRHIN >Vigun11g102100.2.v1.2 pep primary_assembly:ASM411807v1:11:29881304:29882674:-1 gene:Vigun11g102100.v1.2 transcript:Vigun11g102100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIWATAEDLSRNRARVLSLYRQILRSLNSPALPLSFAARLAKKAEVRAMFWVGSDERSVHNIADLIDAAEKMKIKYNQPWKIPINVHIQYVTHENGLTVRTFPQTTKS >Vigun01g168700.1.v1.2 pep primary_assembly:ASM411807v1:1:35038990:35044393:1 gene:Vigun01g168700.v1.2 transcript:Vigun01g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPSSTIIPDAFQGAKDDLTTQLALVWNQIKAPLIVPLLRLAVFLCLIMSVMMFIERVYMGVVITLVKLFGRKPEKRYKWEPMKDDIELGNSSYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQILDDSTDPTIKEMVQLECQRWASKGVNIKYEVRDNRNGYKAGALKEGMKRSYVKQCDCVAIFDADFQPEPDFLWRTVPFLVHNPELALIQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSDLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVLEIINNKKVSLWKKIHVIYSFFFVRKVVAHINTFVFYCIVLPATVLVPEVVVPKWGAVYIPSVITILNAVGTPRSLHLLVFWILFENVMSLHRTKATIIGLLEASRVNEWVVTEKLGDALKAKAGGKAPKKPRFKIGDRIHLLELGVAFYLFFCGCYDVMFGKNHFFIFLFIQSLAFFIMAFGYVGTIVPQS >Vigun06g109100.1.v1.2 pep primary_assembly:ASM411807v1:6:23824768:23826646:1 gene:Vigun06g109100.v1.2 transcript:Vigun06g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLEFTVRRSEPQLVPPASPTPTEVKPLSDIDDQEGLRIHVPFIQIYRKQESMAEKDPAQVIRQALSQALVFYYPLAGRLREGPHRKLSVDCTGEGAMFIEADADVTLDQFGDSLQPPFPCFNELLHGVPAPQQITDTYLLLVQVTRLKCGGFILAFSFSHNMCDGTGFTQFLKTWAEMARGATEPSVPPVWCRELLMARDPPRITCNHREFEHVPETDTGNGNEDDIVLRSFFFGPSQIAAIRGLVPPELQHCTTFDLLTAYFWRCRTKALQMEAEEEIRIMVVVNSRPRFNPPLPAGYYGNAIAYPAAVTTAGKVCENPFGYAVELINKVKGEVTEEYVHSVADLMVSRGRCLFTTVRSLIVSDLRRFGFRDIDFGWGKALYGGEAKGGGAFPAAMYLISHENANGEEGALVPMWLPSKAMERFAHELYDTLPK >Vigun09g091000.7.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589104:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSENRENSDSTFVWDHKSQLYFHASSGFYHDPNAGWYYSSRDGAYYKFEDGNYVLLDSNKDDSADTYMCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADAYSKTYEVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLDKCTDCEILVQNIWLHYQLMILQIQQNIGIFPSYHLLEKPLSSPSPVKALLQFQMISL >Vigun09g091000.3.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589104:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSENRENSDSTFVWDHKSQLYFHASSGFYHDPNAGWYYSSRDGAYYKFEDGNYVLLDSNKDDSADTYMCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLVRVRTGQVYRLRNPSAKYMASLSTYDSSNPTKHWDFPQLSPTRKTTQLSKSSESSASVSDDIPVEKDLSALPSQPSASKQIKHQYRDRAAERRILHGGFGTGPGQKNLSDVYNTPSSPDAGCPQEAASEALEMSFGAGSYARKLLKSMGWKEGEGLGSSTKGLVEPIQPVGNVGTAGLGWSR >Vigun09g091000.6.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589104:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADAYSKTYEVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLDKCTDCEILVQNIWLHYQLMILQIQQNIGIFPSYHLLEKPLSSPSPVKALLQFQMISL >Vigun09g091000.1.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589104:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSENRENSDSTFVWDHKSQLYFHASSGFYHDPNAGWYYSSRDGAYYKFEDGNYVLLDSNKDDSADTYMCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADAYSKTYEVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLVRVRTGQVYRLRNPSAKYMASLSTYDSSNPTKHWDFPQLSPTRKTTQLSKSSESSASVSDDIPVEKDLSALPSQPSASKQIKHQYRDRAAERRILHGGFGTGPGQKNLSDVYNTPSSPDAGCPQEAASEALEMSFGAGSYARKLLKSMGWKEGEGLGSSTKGLVEPIQPVGNVGTAGLGWSR >Vigun09g091000.2.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589105:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSENRENSDSTFVWDHKSQLYFHASSGFYHDPNAGWYYSSRDGAYYKFEDGNYVLLDSNKDDSADTYMCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADAYSKTYEVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLVRVRTAN >Vigun09g091000.4.v1.2 pep primary_assembly:ASM411807v1:9:12583891:12589104:-1 gene:Vigun09g091000.v1.2 transcript:Vigun09g091000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKETTTESPQQFCDGNDNEDYISFLENRSETNQQTRTLANETRDDATNSGSSPTCEKPPPPPSEWLEDTLIDLYLSGYKNIAVSEADGVTVPLETDDRYNSLAADAYSKTYEVEGEWNPNQVGENGLADTKSTVDEGVAYNNSYELEEGEWVPDMEDECDIADKSTIDEGMLLDEEKWRAQYGQVTESGKDLVLEVPIVDLWDWEMVTRSKRDEKDKVARLVGRLVKPSAKQHPSIPSSGGKLRSAPICEAHLDLVRVRTGQVYRLRNPSAKYMASLSTYDSSNPTKHWDFPQLSPTRKTTQLSKSSESSASVSDDIPVEKDLSALPSQPSASKQIKHQYRDRAAERRILHGGFGTGPGQKNLSDVYNTPSSPDAGCPQEAASEALEMSFGAGSYARKLLKSMGWKEGEGLGSSTKGLVEPIQPVGNVGTAGLGWSR >Vigun01g232750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40472326:40472742:1 gene:Vigun01g232750.v1.2 transcript:Vigun01g232750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVIKAVKKLRLWSKKKRKKKRHEHEPHYCCCSCSSSNTQPSAPPLPSSWIHVEEHNYGTFMPETEVAQPQNPSPSSPYHHYMVEPVYGIPVPVIHTVTIPERERSSGQFGRVRRFGSCVFRCLFPCFQSLFPCFQI >Vigun01g006000.1.v1.2 pep primary_assembly:ASM411807v1:1:744799:748835:-1 gene:Vigun01g006000.v1.2 transcript:Vigun01g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSLTGALSNSLGLRDWRNHAPLSTSLCKLSSSSNIVDVKILSRCGCRKVGHGQRGFQLYALFGGKKDNNGKSDDTPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLSGNQQPVRTEITEAAMELGSEKLSLLITEAYKDAHQKSVLAMKERMNDLAQSLGMPPGLSEGLK >Vigun06g236400.2.v1.2 pep primary_assembly:ASM411807v1:6:34170466:34172537:1 gene:Vigun06g236400.v1.2 transcript:Vigun06g236400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEYEEVVKATENFNPRRIVGKGSHGMVYKGIVQFKENNSFRLVAVKKPSQGLQSLHDNSKLENEIRVLSSLPENPHVVNLLGTTSGEGDDDHRHRLIVMEFMPNASLHDLLHTDENPPTWPKRVEIAMQIARAVQFLHQDFGLAVRGVDPVSRPAGTMGYLDPCYTTPDKLSTKNDIFSFGVVLLEIISGRKAIDACKTPASVVEWAIQLMDEQLLKEICDARVAVPGYMVGTITRLLRYASRCVSENEDERPSAGEIVMEMESWLAKRVRFPVWSRVLKGLVWLRKRKPMMSIGWQTQTRIRCIAEEEGEVEGVGVASGKIPITIREVLADATLE >Vigun06g236400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34170466:34172448:1 gene:Vigun06g236400.v1.2 transcript:Vigun06g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEYEEVVKATENFNPRRIVGKGSHGMVYKGIVQFKENNSFRLVAVKKPSQGLQSLHDNSKLENEIRVLSSLPENPHVVNLLGTTSGEGDDDHRHRLIVMEFMPNASLHDLLHTDENPPTWPKRVEIAMQIARAVQFLHQGKPVVIHRDIKPSNILFDSRWNAKLADFGLAVRGVDPVSRPAGTMGYLDPCYTTPDKLSTKNDIFSFGVVLLEIISGRKAIDACKTPASVVEWAIQLMDEQLLKEICDARVAVPGYMVGTITRLLRYASRCVSENEDERPSAGEIVMEMESWLAKRVRFPVWSRVLKGLVWLRKRKPMMSIGWQTQTRIRCIAEEEGEVEGVGVASGKIPITIREVLADATLE >Vigun07g268200.4.v1.2 pep primary_assembly:ASM411807v1:7:38345021:38356945:-1 gene:Vigun07g268200.v1.2 transcript:Vigun07g268200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLALVIESPSKDDEFLLLKQRRPPKFHDEEYDSFVDSDLWDLPSAPLNLLPPESDPPPLVEVAPSHAEFEDFDLRKFDIRSALNEVFGQLGFGGVEGGGWKFLKYVKEAAFGPDSPVNTVFIAGKLVAVEDNNSIDSYRWMSVQSCLNWIQELKPCGDRVGPLVVLGRINESSFSTKWEVPPAINHQEYPPGVIIIPMKSRTLRPFHTTNLVVFAPENVPNNSEENNFVVSGDALIVDPGCLSEFHVELEKVVNALPRRLVVFVTHHHHDHVDGLSVIQKCNPDAILLAHEKTKHRISRDDWSLGYTSVTGDEDIVIGGHRLRVIYAPGHTDGHMALLHANSHSLIVGDHCLGQGSAVLDARAGGNMFEYFQTTYKFLELSPHALIPMHGRVNVWPKQMLCGYLKNRRNREANILKAIEGGAKTLFDIVQYVYSDVDRGAWVAASANVRLHVDHLAQQQKLPKDFSTQKFKNTCGLHFLSRWIWAYTSGSLLHQIGRSSFLIGGVLVCTAALYYRNKFAK >Vigun07g268200.2.v1.2 pep primary_assembly:ASM411807v1:7:38345018:38356956:-1 gene:Vigun07g268200.v1.2 transcript:Vigun07g268200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLALVIESPSKDDEFLLLKQRRPPKFHDEEYDSFVDSDLWDLPSAPLNLLPPESDPPPLVEVAPSHAEFEDFDLRKFDIRSALNEVFGQLGFGGVEGGGWKFLKYVKEAAFGPDSPVNTVFIAGKLVAVEDNNSIDSYRWMSVQSCLNWIQELKPCGDRVGPLVVLGRINESSFSTKWEVPPAINHQEYPPGVIIIPMKSRTLRPFHTTNLVVFAPENVPNNSEENNFVVSGDALIVDPGCLSEFHVELEKVVNALPRRLVVFVTHHHHDHVDGLSVIQKCNPDAILLAHEKTKHRISRDDWSLGYTSVTGDEDIVIGGHRLRVIYAPGHTDGHMALLHANSHSLIVGDHCLGQGSAVLDARAGGNMFEYFQTTYKFLELSPHALIPMHGRVNVWPKQMLCGYLKNRRNREANILKAIEGGAKTLFDIVQYVYSDVDRGAWVAASANVRLHVDHLAQQQKLPKDFSLETYNSSLVTFVDNVGKL >Vigun07g268200.5.v1.2 pep primary_assembly:ASM411807v1:7:38345018:38356945:-1 gene:Vigun07g268200.v1.2 transcript:Vigun07g268200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLALVIESPSKDDEFLLLKQRRPPKFHDEEYDSFVDSDLWDLPSAPLNLLPPESDPPPLVEVAPSHAEFEDFDLRKFDIRSALNEVFGQLGFGGVEGGGWKFLKYVKEAAFGPDSPVNTVFIAGKLVAVEDNNSIDSYRWMSVQSCLNWIQELKPCGDRVGPLVVLGRINESSFSTKWEVPPAINHQEYPPGVIIIPMKSRTLRPFHTTNLVVFAPENVPNNSEENNFVVSGDALIVDPGCLSEFHVELEKVVNALPRRLVVFVTHHHHDHVDGLSVIQKCNPDAILLAHEKTKHRISRDDWSLGYTSVTGDEDIVIGGHRLRVIYAPGHTDGHMALLHANSHSLIVGDHCLGQGSAVLDARAGGNMFEYFQTTYKFLELSPHALIPMHGRVNVWPKQMLCGYLKNRRNREANILKAIEGGAKTLFDIVQYVYSDVDRGAWVAASANVRLHVDHLAQQQKLPKDFSLETYNSSLVTFVDNVGKL >Vigun07g268200.3.v1.2 pep primary_assembly:ASM411807v1:7:38345021:38356956:-1 gene:Vigun07g268200.v1.2 transcript:Vigun07g268200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLALVIESPSKDDEFLLLKQRRPPKFHDEEYDSFVDSDLWDLPSAPLNLLPPESDPPPLVEVAPSHAEFEDFDLRKFDIRSALNEVFGQLGFGGVEGGGWKFLKYVKEAAFGPDSPVNTVFIAGKLVAVEDNNSIDSYRWMSVQSCLNWIQELKPCGDRVGPLVVLGRINESSFSTKWEVPPAINHQEYPPGVIIIPMKSRTLRPFHTTNLVVFAPENVPNNSEENNFVVSGDALIVDPGCLSEFHVELEKVVNALPRRLVVFVTHHHHDHVDGLSVIQKCNPDAILLAHEKTKHRISRDDWSLGYTSVTGDEDIVIGGHRLRVIYAPGHTDGHMALLHANSHSLIVGDHCLGQGSAVLDARAGGNMFEYFQTTYKFLELSPHALIPMHGRVNVWPKQMLCGYLKNRRNREANILKAIEGGAKTLFDIVQYVYSDVDRGAWVAASANVRLHVDHLAQQQKLPKDFSTQKFKNTCGLHFLSRWIWAYTSGSLLHQIGRSSFLIGGVLVCTAALYYRNKFAK >Vigun02g195800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33132354:33134786:-1 gene:Vigun02g195800.v1.2 transcript:Vigun02g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIQHMHACIYYYDTDSVLIALHTSFLPKMAIQVLLFVAFLLCSFASGNDANSIQLGSSIVAGTNSSWRSSSGDYAFGFYNLIGGRYLVGIWFDKIPDRTLVWSANRDNPVEIGSSINLTRSGQFVLQPLNGTSFPIYEGTNTASAVMQNDGNFVLKNSLSNVIWESFDSPTDTLLLGQTLNTSRKLYSNANGSVDYSTGQYSLEIQQSDGNILLKAHRFTDSAYWWSDTVQNKGVRIIFDNKTAFLYAVNATHQIIFNMTTEVEGAIEDYYHRVVVDDKGNFQKWIYLKENGNEWRSVWKAVTEPCTVTALCGVYGFCNTTDSENHTYTCGCLPGYTPLDPTAPSKGCYLSEVMDLCAANSSASNLMVEVKEVQHADIPNHGYVFFDLQVLTSMDQERCKKELLDDCLCMVAVSLGNNCYKKKWPIINAARIFPKTSNSVMLVKVPVVANDKEGSSLAILIVALVSCSLLAALFVATAIYHHPVCRHLMHKQAPPKPKTKPVDINLKVFSFQQLREATNGFKDKLGRGAYGTVYGGILNLEDQEVHVAVKQLEQVDDQGDKEFVTEVQVIALTHHRNLVSLLGFCNEQSHRLLVYEKMEKGTLSNFLFDEGDKPSWESRVRIVVEIARGLLYLHEECDHQIIHCDIKPQNVLLDSNYTAKISDFGLAKLLMKDKTRTNTNARGTVGYMAPEWLKNAPVTAKVDIYSFGVMLLEIIFCRKHIELHQIKDETMGDDLILIDWVLYLAKQNNLRAAMIHHLEVESDIRRFERMTMVGLWCVNPNPTLRPSMKTVVQMLEGNVEVGVPTINS >Vigun10g102733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29521995:29522500:1 gene:Vigun10g102733.v1.2 transcript:Vigun10g102733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLCSINTLKRLYDISAVEVGQHFYWQIGGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWFLLLELCFYLFLFLIGQGLFYLGKSSNYLMGS >Vigun02g038200.1.v1.2 pep primary_assembly:ASM411807v1:2:16010033:16011803:-1 gene:Vigun02g038200.v1.2 transcript:Vigun02g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTRFSIQLTLMVVFLTTQVVLSADTPMPSDKTQLGQWFSNNVKPLNNRKGTLDSQLVAAEQGQTVIKVRQDGKGQFKTITDALKSIPNGNKKRVILHIGPGTYKEKIVVPNNKPFITFYGTPGQMPTLTYGGTAKQYGTVESGTLSVLSDYFVGANIIIRNSAPRPGLNTVKGQAVALRISGDKATFYNCQIYSYQDTLLDDANRHFFKDCYIQGTVDYIFGSGKSLYVNCEIRTLGDSGLTFITAQARKSKKEDNGFSFVHCELTGTGTGAYLGRAWFGYSTVIFSYCNMGNIFNKAGWSNNNHKEYDKTLYFGEYMNTGPGADATGRSHLTRKLKYAEVKHYLGLGMIEGSKWLLPPPKV >Vigun07g009700.2.v1.2 pep primary_assembly:ASM411807v1:7:812231:819012:1 gene:Vigun07g009700.v1.2 transcript:Vigun07g009700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPSITSPLPGTLHKFLSRSGKPVYAAKPLTVVRSTTSNGGARVLAAQPNPNEDNPLQEEGQGETGFDVSYFTALSKRQQQQRQRLEAFLNGTNTKVASKGESLKPMAPMEEKVEEEEEEGDEAESTPPVQPVSIVSEPVRPVQEKTVTDDVEVVEVRKAVEKRNIPNLEDGEFPEEAGWFLLGRKVEVAISTARGVNRLVDNEIVHFNFPLPTYSRKSQLIVRVSTKRSGEVGRMPMEWAKIVIPLMRSGKVNVRGRCIAAPDKLEMMQEIMFLVSFYIHNSVFADRVDTSWRLEACGKIDDTMYPILALLKMLEIKPSRKAEFTPEDIDSRKRLLYPSAESDEAAALPLIKRRKGGEPIPEQNNDEQALSESALNKIVGAAEIYDLKEKEAPKTLTCNLKPYQKQALYWMGEIEKGMDVESAERTLHPCWSAYTICKGRTIYVNIFTGEASKKFPKSTEMARGGILADAMGLGKTVMTIALILSNPGRGNSEKKDAINGYDDIIANKRKNAYAMHKVEGGTLIVCPMALLGQWKDELETHSKPGSISIFVHYGGGRTDDLLFISGHDVVLTTYGVLSAEYKNNGDNSIYHKIQWYRVVLDEAHNIKAHRSQVSQAAFALSAHSRWCLTGTPLQNSLEDLYSLLCFLRVEPWCNSAWWQKLIQRPYENGDPRSLKLVKAILRMLMLRRTKESKDKEGRPILFLPPIDIELIECKQSESERDFYETLFERSKVQFDQYVAQGNVLHHYANILDLLMQLRRCCNHPFLVMCGSDSRKYADLGRLARRILQSDTGSPNESNHDDPQQQAEMSKLADILLLNSASPDSIQPRAYIEEVLENIQKGDTGECAVCLESPEDPVFTPCAHKFCRECLFSCWGTSAGGKCPICRKLLQKDDLITCPSESPFKVDIKNNVTESSKVSKLFEFLERILYSPSGEKSIVFSQWTSFFDLLENPLRRRGIGFLRYDGKLTQKQREKVLDEFNKTREKRVLLMSLKAGGVGLNLTAASNVFIMVCYAYFSSLHCLPCRTTPNFCVNIVL >Vigun07g009700.1.v1.2 pep primary_assembly:ASM411807v1:7:812231:819012:1 gene:Vigun07g009700.v1.2 transcript:Vigun07g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPSITSPLPGTLHKFLSRSGKPVYAAKPLTVVRSTTSNGGARVLAAQPNPNEDNPLQEEGQGETGFDVSYFTALSKRQQQQRQRLEAFLNGTNTKVASKGESLKPMAPMEEKVEEEEEEGDEAESTPPVQPVSIVSEPVRPVQEKTVTDDVEVVEVRKAVEKRNIPNLEDGEFPEEAGWFLLGRKVEVAISTARGVNRLVDNEIVHFNFPLPTYSRKSQLIVRVSTKRSGEVGRMPMEWAKIVIPLMRSGKVNVRGRCIAAPDKLEMMQEIMFLVSFYIHNSVFADRVDTSWRLEACGKIDDTMYPILALLKMLEIKPSRKAEFTPEDIDSRKRLLYPSAESDEAAALPLIKRRKGGEPIPEQNNDEQALSESALNKIVGAAEIYDLKEKEAPKTLTCNLKPYQKQALYWMGEIEKGMDVESAERTLHPCWSAYTICKGRTIYVNIFTGEASKKFPKSTEMARGGILADAMGLGKTVMTIALILSNPGRGNSEKKDAINGYDDIIANKRKNAYAMHKVEGGTLIVCPMALLGQWKDELETHSKPGSISIFVHYGGGRTDDLLFISGHDVVLTTYGVLSAEYKNNGDNSIYHKIQWYRVVLDEAHNIKAHRSQVSQAAFALSAHSRWCLTGTPLQNSLEDLYSLLCFLRVEPWCNSAWWQKLIQRPYENGDPRSLKLVKAILRMLMLRRTKESKDKEGRPILFLPPIDIELIECKQSESERDFYETLFERSKVQFDQYVAQGNVLHHYANILDLLMQLRRCCNHPFLVMCGSDSRKYADLGRLARRILQSDTGSPNESNHDDPQQQAEMSKLADILLLNSASPDSIQPRAYIEEVLENIQKGDTGECAVCLESPEDPVFTPCAHKFCRECLFSCWGTSAGGKCPICRKLLQKDDLITCPSESPFKVDIKNNVTESSKVSKLFEFLERILYSPSGEKSIVFSQWTSFFDLLENPLRRRGIGFLRYDGKLTQKQREKVLDEFNKTREKRVLLMSLKAGGVGLNLTAASNVFIMDPWWNPAVEEQAIMRIHRIGQNRRVKVRRFIVKDTVEDRLQQVQARKQRMISGTLTDDEVRSARIQDLKMLFT >Vigun07g243000.1.v1.2 pep primary_assembly:ASM411807v1:7:36417372:36428349:-1 gene:Vigun07g243000.v1.2 transcript:Vigun07g243000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKQNGRHHAKTLICALNLLSRDLPLPPHILNSVSSIYRNHDDGGNSGEDLISDLEDALSKQRPNCVSGFKLEKARESRYRSQIQHRLNDLQELPSSKGEDLQTKCLLELYGLKLAELQMKVRTDVSSEYWLNAKCAYPDRQLFDWGMMRLRRPLYGVGDPFAMDADDQLRKKREAERLSRLEEKEKNHIETRTRKFFAEILNTVREFQLQIQASLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQKDKKYSDGIEPLEDSEADLPESEKNGISKESPIDEDIDVIDSDHNGDSSDLLEGQRQYNSAIHSIQEKVTEQPSILQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWVNEFTTWVPSITAILYDGRLDERKAMKEELSGEGKFNVLLTHYDLIMRDKAFLKKIQWKYLIVDEGHRLKNHESALARTLDNGYHIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEDWFNAPFADRVDVSLTDEEQLLIIRRLHQVIRPFILRRKKDEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVGDYDMYKRKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDVLEIYLRLHDFKYLRLDGSTKTEERGNLLRKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGTSSLGTDVPSEREINRLAARSDEEFWLFEKMDEERRQKENYRSRLMEEHELPDWVYSPINKDDKSKDFNSAVTGKRKRKEVVYADTLSDLQWMKAVENGEDISKFSAKGKRRDHHLSSDSTAQASDNTVAEESLQLRTESVPMANDRTSEDSFHVAPSSKRFKSEGTNFLKHTYEDVGSGLNHHMLSWNTHKKKRSSFLGQGSLSDARGHSSNGRANWN >Vigun07g170500.2.v1.2 pep primary_assembly:ASM411807v1:7:28517826:28521346:1 gene:Vigun07g170500.v1.2 transcript:Vigun07g170500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAYSKRRVSATRRSNSKPLGVAKKPKPSVSLKNQIRSLERMLRKNLPPEVREAQEQKLEALKKQQEIHTRLAAERKIFLRDRKIKFFERRKIERRIRRLEKLHRASSSSSSSAQPYSDQLSALKQDLQYVMYFPKNEKYVPLFTGGDDSEIVDRRNGLRKQIEDRLIAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDEWTDKSAREQASSASGKGVSGMSSDEKNQISARALMPPPRPSNMKLSRFGSSSGQHSSIQRSDISTSSNTSNSRSSSDFKVRGPSRSGTGHGSSLSSNSDAHKPRRKRRPKKKKKQL >Vigun07g170500.1.v1.2 pep primary_assembly:ASM411807v1:7:28517826:28521346:1 gene:Vigun07g170500.v1.2 transcript:Vigun07g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAYSKRRVSATRRSNSKPLGVAKKPKPSVSLKNQIRSLERMLRKNLPPEVREAQEQKLEALKKQQEIHTRLAAERKIFLRDRKIKFFERRKIERRIRRLEKLHRASSSSSSSAQPYSDQLSALKQDLQYVMYFPKNEKYVPLFTGGDDSEIVDRRNGLRKQIEDRLIAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDEWTDKSAREQASSASGKGVSGMSSDEKNQRQISARALMPPPRPSNMKLSRFGSSSGQHSSIQRSDISTSSNTSNSRSSSDFKVRGPSRSGTGHGSSLSSNSDAHKPRRKRRPKKKKKQL >Vigun08g006200.1.v1.2 pep primary_assembly:ASM411807v1:8:538170:546682:-1 gene:Vigun08g006200.v1.2 transcript:Vigun08g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKRYESNTEVEKKKKKKQKKRSQPQTTGLLVVDEDPMWQKPVELAEENNDNSSDEEKPLVDEDIDVKRMKRLEQLRARRPYNAISEDGSGWVSLSSKPENSLDSNNDVSPPRKRKGVDLSPGQWSKRNDTPSPDYRTSSLQDISPPRRGHDSPPQDALHGSVASDLSPPRKILKNAARTGLPDISRGCSTEDFSPPRRGHRGFESSDLQDISPPRQSRHDSPSQDVLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHSSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMDPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKESGFVVPQDIPNHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun05g078500.1.v1.2 pep primary_assembly:ASM411807v1:5:7321473:7327137:1 gene:Vigun05g078500.v1.2 transcript:Vigun05g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQGIIDSFNSIFVPDNNHNTANSPSLSSSSSSTDPMEAPPPPSVSNERVAYKLKGYFDLATQEIAKAVRAEEWGLADDALLHYRNAQSILVEANSTPVPSYITSSELQKVQSYREKISKWQSQVSERLQTLGRRAGSSSANQSTAAVPIKPVGTRKNVLQKPPLRTGQVNKVGSPKSSQASGANFDTKLVEMINTAIVDRSPSIRWEDVAGLEKAKQSLMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVTAASLTSKWVGEGEKLVRTLFMVAISRQPSVIFIDEIDSIMSTRLANENDASRRLKSEFLIQFDGVTSNPDDIVIVIGATNKPQELDDAVLRRLVKRIYVPLPDENVRKLLLKHKLKGQAFSLPSRDLERLVKETEGYSGSDLQALCEEAAMMPIRELGADILTVKANQVRGLRYEDFKKAMAVIRPSLIKSKWEELERWNEEFGSN >Vigun05g154800.2.v1.2 pep primary_assembly:ASM411807v1:5:24796212:24798824:-1 gene:Vigun05g154800.v1.2 transcript:Vigun05g154800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRMAVNEEQKRSPISQKIPLADSNVQNMVTLLSPRFKSAAAMAGWDEEALLLASLIVEDTPVRNSKHKKRPPLNSSSPSTNSSRARRTSQQPIPVILDLDAEETPKKESGRKKEERACVNNEGSKGAGDGNESKKKNPGGPSSSSALPCIDKLRDELSCAICLEICFEPSTTPCGHSFCRKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKAVGSLNSREQGKNLSPETAFYANLRNRSIQRSGGASSRNNSRRGVAVTSTTQEDEDAALARRLHREINEESPGTTRMRTRRGVPTSQHQDAALALRLQREEFIQTFRESSQEQTIRLPSSSFARENLRAMASRAMNPRTRDRR >Vigun05g154800.1.v1.2 pep primary_assembly:ASM411807v1:5:24796212:24798824:-1 gene:Vigun05g154800.v1.2 transcript:Vigun05g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRMAVNEEQKRSPISQKIPLADSNVQNMVTLLSPRFKSAAAMAGWDEEALLLASLIVEDTPVRNSKHKKRPPLNSSSPSTNSSRIRRARRTSQQPIPVILDLDAEETPKKESGRKKEERACVNNEGSKGAGDGNESKKKNPGGPSSSSALPCIDKLRDELSCAICLEICFEPSTTPCGHSFCRKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKAVGSLNSREQGKNLSPETAFYANLRNRSIQRSGGASSRNNSRRGVAVTSTTQEDEDAALARRLHREINEESPGTTRMRTRRGVPTSQHQDAALALRLQREEFIQTFRESSQEQTIRLPSSSFARENLRAMASRAMNPRTRDRR >Vigun05g154800.3.v1.2 pep primary_assembly:ASM411807v1:5:24796212:24798824:-1 gene:Vigun05g154800.v1.2 transcript:Vigun05g154800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRMAVNEEQKRSPISQKIPLADSNVQNMVTLLSPRFKSAAAMAGWDEEALLLASLIVEDTPVRNSKHKKRPPLNSSSPSTNSSRIRRARRTSQQPIPVILDLDAEETPKKESGRKKEERACVNNEGSKGAGDGNESKKKNPGGPSSSSALPCIDKLRDELSCAICLEICFEPSTTPCGHSNGRSCTVNTVLWNTIQLLFPQEIEARKAVGSLNSREQGKNLSPETAFYANLRNRSIQRSGGASSRNNSRRGVAVTSTTQEDEDAALARRLHREINEESPGTTRMRTRRGVPTSQHQDAALALRLQREEFIQTFRESSQEQTIRLPSSSFARENLRAMASRAMNPRTRDRR >Vigun05g154800.4.v1.2 pep primary_assembly:ASM411807v1:5:24796212:24798824:-1 gene:Vigun05g154800.v1.2 transcript:Vigun05g154800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRMAVNEEQKRSPISQKIPLADSNVQNMVTLLSPRFKSAAAMAGWDEEALLLASLIVEDTPVRNSKHKKRPPLNSSSPSTNSSRIRRARRTSQQPIPVILDLDAEETPKKESGRKKEERACVNNEGSKGAGDGNESKKKNPGGPSSSSALPCIDKLRDELSCAICLEICFEPSTTPCGHSFCRKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKAVGSLNSREQETIQGGVWQ >VigunL068000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1133937:1134751:-1 gene:VigunL068000.v1.2 transcript:VigunL068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINIRQDLKKLFDMVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >VigunL068000.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1133937:1134751:-1 gene:VigunL068000.v1.2 transcript:VigunL068000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINIRQDLKKLFDIRVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >VigunL068000.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1133937:1137932:-1 gene:VigunL068000.v1.2 transcript:VigunL068000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMQKIIIYYLDPLAKDINIRQDLKKLFDMVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >VigunL068000.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1133937:1137932:-1 gene:VigunL068000.v1.2 transcript:VigunL068000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMQKIIIYYLDPLAKDINIRQDLKKLFDIRVKQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKSL >Vigun11g030800.1.v1.2 pep primary_assembly:ASM411807v1:11:3973365:3975104:-1 gene:Vigun11g030800.v1.2 transcript:Vigun11g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKISTELPVHATAEKWFHTYAKRLHHIQHVAAKVHGTKLHEGDDWHTTDSVKHWTYTLDGKTVTCLESIESVDEENKRIVYKMFGEAVEGKYKPLKLIFEAIEKDDGKAAIRWSIEYEKLREDVHPPYGYLELYDHVIKDVDAHIVEAEKNSTK >Vigun08g141600.1.v1.2 pep primary_assembly:ASM411807v1:8:31392716:31396555:1 gene:Vigun08g141600.v1.2 transcript:Vigun08g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTACLFGAIVFLWCCISHVTSDASDHRYNKGDSVPFYANKVGPFHNPSETYRYFDLPFCSPAKVKEKKEDLGEVLNGDRLVVAPYTLDFQIDQEPHSICKKTLTIKEVAKFRHAVLKDYFYQMYYDDLPIWGFLGKFDSEENDDPTEVTVHLFTHVHFEILYNNDRIIDVFIRNDPRAVVDLTQNREVTVDFTYSAKWIETDTPFEKRLEKYSQTSSLSHSLEIHWFSVINSCVTVLLLTGFLAIILMRVLKNDFVKFTPDEEAVDDQEESGWKYIHGDVFRYPRFKSLFAAALGTGTQLFTLAIFIFMLALVGVFYPYNRGSLFTALVIIYALTSGIAGYYAASFYYMVEGKNWVKILVLTGSLFSGPLFFTFCFLNTVALAYNTTAALPFGTIVVIFLIWTLVTSPLLVLGGIAGRNSQTGFQAPCRTNKYPREIPQLPWYRTTLSQMAMAGFLPFSAIYIELYYIFASVWGHQIYTIYSILFIVFIILLIVTAFVTVALTYFQLATEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALIFVRHIYHSIKCE >Vigun08g141600.2.v1.2 pep primary_assembly:ASM411807v1:8:31392716:31396555:1 gene:Vigun08g141600.v1.2 transcript:Vigun08g141600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTACLFGAIVFLWCCISHVTSDASDHRYNKGDSVPFYANKVGPFHNPSETYRYFDLPFCSPAKVKEKKEDLGEVLNGDRLVVAPYTLDFQIDQEPHSICKKTLTIKEVAKFRHAVLKDYFYQMYYDDLPIWGFLGKFDSEENDDPTEVTVHLFTHVHFEILYNNDRIIDVFIRNDPRAVVDLTQNREVTVDFTYSAKWIETDTPFEKRLEKYSQTSSLSHSLEIHWFSVINSCVTVLLLTGFLAIILMRVLKNDFVKFTPDEEAVDDQEESGWKYIHGDVFRYPRFKSLFAAALGTGTQLFTLAIFIFMLALVGVFYPYNRGSLFTALVIIYALTSGIAGYYAASFYYMVEGKNWVKILVLTGSLFSGPLFFTFCFLNTVALAYNTTAALPFGTIVVIFLIWTLVTSPLLVLGGIAGRNSQTGFQAPCRTNKYPREIPQLPCLLLRIMNGGGGLSFVVGQRACSSMGTACITIMQDQICLASCKPLSFLATWLVFAMVSFSCLELWVSVLL >Vigun03g281900.2.v1.2 pep primary_assembly:ASM411807v1:3:46214972:46220029:-1 gene:Vigun03g281900.v1.2 transcript:Vigun03g281900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTEENVLKQLALDKKQNVDKNVKSGRSTQAKGKTSTDVKVDKEDVKNNVSKGKKRKQDAGVEKGSGSVEKLVKIQIPATLKKQLVDDWDSVTQQDKLVKLPRSPTVDEILTKYLEYKSKKDGMAPDSIGEILKGIRCYFDKALPMMLLYKKERKQYNDTILDNVSPSTIYGAEHLLRLFVKLPELLTYVTIEEETLNRLQQKLLDFLKFLQKNQSTFFLSAYEGTKVSEGKGKGNDE >Vigun03g281900.1.v1.2 pep primary_assembly:ASM411807v1:3:46214972:46221315:-1 gene:Vigun03g281900.v1.2 transcript:Vigun03g281900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSKDDSATSADASAGDVQPSNSGVYSEGEKVLAYHGPRIYEAKVQKTEIRKSEWKYFVHYLGWSKNWDEWVGEDRLMKHTEENVLKQLALDKKQNVDKNVKSGRSTQAKGKTSTDVKVDKEDVKNNVSKGKKRKQDAGVEKGSGSVEKLVKIQIPATLKKQLVDDWDSVTQQDKLVKLPRSPTVDEILTKYLEYKSKKDGMAPDSIGEILKGIRCYFDKALPMMLLYKKERKQYNDTILDNVSPSTIYGAEHLLRLFVKLPELLTYVTIEEETLNRLQQKLLDFLKFLQKNQSTFFLSAYEGTKVSEGKGKGNDE >Vigun04g173600.1.v1.2 pep primary_assembly:ASM411807v1:4:39776217:39777758:-1 gene:Vigun04g173600.v1.2 transcript:Vigun04g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIQQLPLLARRLEGKVALITGGARGIGACMAKLFCKHGAKVVLADIRDQLGQTLQREIGTEYATYVHCDVTKEIDVENAVNAAVSKHGKLDIMVNNAVIIDDGKPSILDNDVTDFERVVRVNLVGPFLGTKHAARVMIPAKKGSIITLGSVSSSVGGVATHAYTSSKHAIVGLAKNAAAELGKFGIRVNSLSCYCINNVVAQEFFKMDDEGFSKVYSNLKGVSLTEEDVAEAALYLASDESKYISGHNLAVDGGFTTINPSFGLFSQSQ >Vigun04g181300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40531900:40534298:1 gene:Vigun04g181300.v1.2 transcript:Vigun04g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQSLKLTIHKKWPSSPKKTPKDSEPINTAAATPKLKWKKIFFHTKTKPKIQTPPDEFLCPVSHSLMSDPVIVSSGHSFERSSVEACKNLNFTPQLPDGTTPDFSTLIPNLALKSAILKWCHTTHTPPPLPHNNLVQQTSISSPDTKLVQQTSISPDTPNSNLVETSISPNVVPTEEKLSDRDPILDSLDENPPSSNLRRHAETEVPIRPTHLYTSSEESIATSSASTPPFQLATRPSCCYYSSPSSSEIEPAATPEEEEITGKLKSPQQNIIEEALLSLRKLTRVREETRVQLCTPRILSALRSLVLSKHVNVQVNTLASVVNLSLEKSNKLKIVRSGMVPPLIEVLKFGSPEAQEHCAGALFSLSLEDDNKTAIGVLGGLGPLLQMLRVESERTRHDSALALYHLSLVQSNRSKMVKLGSVPVLLSMVKLGHMTGRVLLILGNLGSGSDGRAAMLDAGVVECLVGLLSGPDSATGSTRESCVTVMYALSHGGLRFKAVAKAAGLVEVLQKVEKVGSERARHKVKKILEVMRAKEVEEEDVDWEELLDSGLGCRTRGRLGGGFDESSANSAEF >Vigun01g137500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31774687:31784922:-1 gene:Vigun01g137500.v1.2 transcript:Vigun01g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQNLKYDGTSFLIDITSTVNYTINIAKKRWRFAYTAIYSRRVMLALAKEVISKRNTNTNNYSKLFQTQSSNCSSTLDIIESLIPQHGTNHHPLVPDVDKVRITSMVKDKNLSAFTEFGGVEGVANILGTIPAKGITGSHDDVAKRIQVFGSNTYQRPPPKFFLSFVVEAFNDTTILILLVCAGLSLGFGIKEHGPGEGWYEGGSIFVAVFLVVVVTALSNIRQERQFDKLSKISNNIKVEVVRNGRPQQISIFDVVVGDVASLKIGDQIPADGLFLSGHCLLVDESSMTGESDHVEIEPSRSPFLLSGAKVVDGYAQMLVTSVGTNTAWGEMMSSISRDTKERTPLQARLDKLTSSIGKVGLTVAFLVLVVLLIRYFTGNSQDKNGNTEFLGSKTDVNDIFNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNQMRVTKFWLGLQNVAEKYSNAMAPKVLELFQQGVGLNTTGSIYKHSSTSEPEISGSPTEKAILLWAVSDLGMDMDELKRTYEVLHVETFNSEKKRSGVAIRKETNNTVHVHWKGAAEIILAMCSNYIDNDGIEKSLDGERSKLENIIEGMAASSLRCIAFAYMQISEDIDYNDKEKADKILRKDGLTLLGIVGLKDPCRPDVKKAVETCKHAGVSIKMITGDNIFTAKAIAAECGILDFDGHVSAGEVVEGVEFRNYSEEERMEKVEKIRVMARSSPLDKHLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFSSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSGDVPLTTVQLLWVNLIMDTLGALALATERPTKELMEKKPVGRTEPLITSIMWRNLLAQALYQIAVLLVLQFNGKSIFNVREEVKDTLIFNTFVLCQVFNEFNSRSMEKLNVFRGLHRNHLFLGIVGITLVLQVVMVELLRKFADTERLTWEQWGICIGIAAVSWPIAWITKLIPVSDKPFFSQVKWVKLSVFKIKHYL >Vigun11g045300.1.v1.2 pep primary_assembly:ASM411807v1:11:6782053:6784045:-1 gene:Vigun11g045300.v1.2 transcript:Vigun11g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEKGRRKLMESLWDLEDKLKISTLGAVLLLACASLALLCLCIVVTLRRKAINNKIVHQEGALDEENEKNSTITNTNSTITTTVTTTTTEWSEKSCVGWISVKRVLMGSMLWSRARKLEENIAWQRERGSPLLGLQRQQGLQSGWQSHNSESPVWQRPILRGEKCELPSFSGLILYDERGRLLRDSHNEIHHFMETSHQEVGTVTVRTTLRDLL >VigunL003366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:19865:20801:-1 gene:VigunL003366.v1.2 transcript:VigunL003366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYQAYLELRRSRYQDILYPLFFRESIYGLAYAHESFFIENVDYNNKFSLLIVKRLSTRMYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFF >Vigun05g067200.1.v1.2 pep primary_assembly:ASM411807v1:5:5800029:5810162:1 gene:Vigun05g067200.v1.2 transcript:Vigun05g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLVIIANNQNSIKKCFSLYKILQIQVNVTTSITVLQTSNSITVMAKEEVFEFLGNVPLLQRLPGSSVRKISELVIPKYYEPREYVVREGERGDGLYFIWEGEAEVVGSVSADDHREFQLKRYDYFGFGLSNEVHHADVIALTKLSCLVLPHEHSALLQPKSIWSAEKSVDTCSPVEHILHLEPIEVDIFRGITPPDAPKFGKVFGGQIVGQALAAASKSVDCLKVVHSLHVYFLLVGDFNIPIIYQVSRLRDGKSFATRKVDAIQKGNVIFTLLASFHKEELGFQHQEVHISSVPTPDKLLSLEELRELRLTDPRLPRTYRNKVAAVEFIPWPIEIRFCEPRASTNQTKSPPSLRYWFRARGKLSDDQALHRCVVAYTSDLIFLQVSLNPNRRKGMKARAVSLDHSMWFHRPVRADDWVLFVIFSPTSFNARGYVTGQMFNQKGELLVSVVQEGLMREVISANSAIKSNL >Vigun07g293800.4.v1.2 pep primary_assembly:ASM411807v1:7:40506369:40509703:1 gene:Vigun07g293800.v1.2 transcript:Vigun07g293800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITVPMDEPKPSYLTRSQLLKRRFQNLKRLSKCYRDLYWHLMEDVKTLYRHYLYHYALNPFSHFHLPTCAFLGCNLNPMPFTSFCHFHILSDSNQNLYKPCNYLIKGAEAEPITCGKPILRSIVPALCTVHSHKAQKHLARTLKRNSSNIGPKFHALVSEYVKHIQARRRKDQRENKSKTVFKDKMMAG >Vigun07g293800.3.v1.2 pep primary_assembly:ASM411807v1:7:40506314:40508440:1 gene:Vigun07g293800.v1.2 transcript:Vigun07g293800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITVPMDEPKPSYLTRSQLLKRRFQNLKRLSKCYRDLYWHLMEDVKTLYRHYLYHYALNPFSHFHLPTCAFLGCNLNPMPFTSFCHFHILSDSNQNLYKPCNYLIKGAEAEPITCGKPILRSIVPALCTVHSHKAQKHLARTLKRNSSNIGPKFHALVSEYVKHIQARRRKDQRENKSKTVFKDKMMAG >Vigun09g220200.1.v1.2 pep primary_assembly:ASM411807v1:9:39395109:39402819:-1 gene:Vigun09g220200.v1.2 transcript:Vigun09g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSGLLLLLLFLCLDSVKSHDGATLLEMKKSLRDVDNVLFDWNDTPSSDYCAWRGVTCDNVTFNVVALNLSGLNLEGEISPAIGRLSSLVSIDLKENRLSGQIPDELGDCSSLNNVDFSFNEIRGDIPFSISKMKQLENLILKNNQLIGPIPSTLSQVPNLKILDLAQNNLSGDIPRLIYWNEVLQYLGLRDNNLSGSLSPDICQLTGLWYFDVRNNSLTGSIPESIGNCTGFEILDLSYNKLSGEIPFNIGFLQVATLSLQGNKLSGYIPPVIGLMQALTVLDLSCNMLSGPIPSILGNLTYTAKLYLHRNKLTGLIPPELGNMTKLHYLELNDNHLSGQIPPELGKLTDLFDLNVANNNLEGPIPENLGSCKNLNSLNVHGNKMSGTIPSSFQTLESMTYLNLSSNNFQGSIPIELSRIGNLDTLDISNNNIVGSIPSSIGDLEHLLKLNLSRNHLTGFIPAEFGNLRSVMDIDLSNNQLSGLIPQELSQLQNMISLRLEMNKLSGDVSSLVNCLSLSLINVSYNNLVGVIPTSNNFSRFPPDSFIGNPGLCGYWLDSSCQGSHPTERVNLSKAAILGIALGALVILFMVLFAACRPHNPAPFPEGSFDKPVNYSPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQCLKEFETELETVGSIKHRNLVSLQGYSLSPFGNLLFYDYMENGSLWDLLHGPTKKKKLDWNVRLKIALGSAQGLAYLHHDCCPRIIHRDVKSSNILLDKDLEPHLTDFGIAKSLCPSKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVENESNLHHLILSKTANDAVMETVDPDISATCKDMGAVKKVFQLALLCTKKQPVDRPTMHEVTRVLGSLVPPKDSAPMQVLLPHSQPSAKMQSYKDEYANLKAPHLVNCPSISTSDAQLFLKFGEVISQNSE >Vigun09g268000.1.v1.2 pep primary_assembly:ASM411807v1:9:43094918:43097704:-1 gene:Vigun09g268000.v1.2 transcript:Vigun09g268000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETARHRSAEIRYFEKLLLRGAMKGLRICARLWEEGLKRKIAKTEQELRYLEGAGFFVGRVVRPNGSERFIVESEAGFRFIVGYDRKLEKEKITEETRVFLHKITLTIMGILPRQVEPEIQNMIVEDPGNVSYAALGGLSDQIRELREAIELPLVNPEILQKVGIRTPKGVLLYGPPGTGKTLLARAIASNTDAKFLNIVGSALAVKYLGEGSGLIRNMFRYARDHQPCIIFMDEIDAIGGRRLKMSSVADREIQRTLMELLDQMDGFNELEKVKVIMATNRRDILDPALLRPGRLDRQVEIPLPNKHSRMQILKIHAAGVAKQGKIDYEAVANISEGFNGADLRNVCTEAGMFAIRELRDYAINIDFMKAVRKLIEAKNLGSSSH >Vigun01g078950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22248141:22248923:1 gene:Vigun01g078950.v1.2 transcript:Vigun01g078950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLEACNVMGLDDVLLVEDDRGTCTMIDDNLISNECIPQSCDKVVSPYVGMSFTNVDEFKFFYKEYALKFSFGIRIRTSRKDNDNQMCYKKLVCSREGKYVSSIPPEKRTLPTQTTQCLARLTAERKQDRWLISSVIHDHNHEVSPTKSRLIQGNRKFSMQVKRTLDLNDEACVRLRKSFRSLVCDVGGFDNLDFVERDARNYIGQKRRALRKEGDGQALLNHFSSMRDLYKHFVFEIDIDGDNQICNVFWAKARSRVA >Vigun10g047300.1.v1.2 pep primary_assembly:ASM411807v1:10:7073419:7079101:1 gene:Vigun10g047300.v1.2 transcript:Vigun10g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFQFPWRNLCSNQHTTQTRTVTLTRRAFPRPTEATQPMALPPKATFPLSLLLLLPLLLLSLVLATSTQQEEFSEELLLKPLPDRKILAHFHFQNEAPLDADSFARHHHLFPKSISQLVQKFHIKAMELSFTQGRWNYERWGGFDPISSHNAKPPGVELWAVFDVPPHQVDASWKNLTHSLSGLFCASINFLESSTTYSAPEWTFQSALGSLRYGTLPREAVCTENLTPWLKLLPCRDKAGLSSLMDRPSIYRSFYHSQRLHLTMSSAASDGSRSGIILQQTLTVVLQPDDQNADMNHVSKAKVQPSWSLSSIFGRKISGRCVLAKLSNVYLHADRGLVAQLENLHKNTTQFANNDTGPEDYRVNSGFKLSVTPKRMHTELGKGSSILYEYPIKDYKDTEQFDLGLSWEHPIVWSSPHAPFYASRFLMGSGNERGAIAISLKSTEMTQGLVTNNIEERCKLQVNVLQVVPWYVKVYYHTLQLLVDERPRVLTDFVERMRVLPSEDKVSPGMMELVLQFPCEMKSAVLSIEFDKGFLHIDEYPPDANQGFDIPSAIISFPDLNAGLQFYDKSQSKSPLLSKLQEKSPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVGEEERLLKNKDASKAVFLRRILNKLSAKLRGRPLESTSSPSSSSSSSSSFVSPKLILRVLLVAGIAIAWQYYSQ >Vigun11g020750.1.v1.2 pep primary_assembly:ASM411807v1:11:2572634:2573124:1 gene:Vigun11g020750.v1.2 transcript:Vigun11g020750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKGTKGKWCWTSEFGHEISSSFAYHVNLSAKGYRSLIYSGDHDAVVPFMLTQAWIRALNYSIVQDWRPWRF >Vigun05g204500.1.v1.2 pep primary_assembly:ASM411807v1:5:39292181:39297376:-1 gene:Vigun05g204500.v1.2 transcript:Vigun05g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRYVRSLSSGFRSRSATKSATSKPQPSSSSSSTSLCLSELDEDFMLSSLKLKQLSDSGDSADGVFKHISSIFHAGKSAKKPGSGEIDGAKEFERVQNLSWLSSISQNNILLQRKEQSHKKKQKFVFEVSQEIRFQKLVEVSAKMLGPETTLDLFGKLGRKPVSKGYNALVEMCIDKARGTDDEGIAVELGKVFNLFKSMRKQGLELEDQTYRPLLLYIIDMRMVEEFQFFYVIIKEENPSSVARLGYYEMLLWLRVNNEEKIQSICNYIAENDSEDMSVIRENVLLALCESERTEEILKLLEIIDIKDLSSVESVAMVFQALGRLLLEPVAEKFLFHLKNSDHEANNITNFIACYVVSIPNLLVEDVKKKFKDLHHRLEVSPSSSSYEKIILHSCALHKVNVALDIVNEMCEAGLTLSTDVLHSMLQICDDTSEYNLKNISDGVRILEHMPCADRKPDS >Vigun09g200500.1.v1.2 pep primary_assembly:ASM411807v1:9:37489184:37492363:1 gene:Vigun09g200500.v1.2 transcript:Vigun09g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVSACTVPLRLFTPSGSNLAFSTTRVRVTRSILTRPSQLKSNSMSQPAADLNAVAVATTNDGPQNLESADVVVQYVVLRRDLIDTWPLGSVITQGCHASVSAVWSNKDDPDTVDYCSPDKIDYMHKVTLEVKGETQIKNLSEKLTSGGIIHKLWIEQPENIPTCLATKPYPKSIVSSYFKKLKLCK >Vigun02g107200.7.v1.2 pep primary_assembly:ASM411807v1:2:26119127:26122218:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.8.v1.2 pep primary_assembly:ASM411807v1:2:26119127:26122218:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRRSRFCFHLLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.2.v1.2 pep primary_assembly:ASM411807v1:2:26119118:26122306:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLVTKPFSLLKLAFWFGIKIALTVIYTWTELISTTISFYVDIVLSVITWTFGLISLPARTVNVFQKEKQLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.1.v1.2 pep primary_assembly:ASM411807v1:2:26119118:26122306:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLVTKPFSLLKLAFWFGIKIALTVIYTWTELISTTISFYVDIVLSVITWTFGLISLPARTVNVFQKEKQLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.6.v1.2 pep primary_assembly:ASM411807v1:2:26119127:26122218:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLVTKPFSLLKLAFWFGIKIALTVIYTWTELISTTISFYVDIVLSVITWTFGLISLPARTVNVFQKEKQLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.4.v1.2 pep primary_assembly:ASM411807v1:2:26119126:26122257:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun02g107200.5.v1.2 pep primary_assembly:ASM411807v1:2:26119118:26122314:-1 gene:Vigun02g107200.v1.2 transcript:Vigun02g107200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILELLAKIILLVTKPFSLLKLAFWFGIKIALTVIYTWTELISTTISFYVDIVLSVITWTFGLISLPARTVNVFQKEKQLEQKLHEIQIELENLVREKKELQEHFQMAVKERRMVEILLAEIEEEHDMAIAKIEKLEGKLRDQRNENLRLKEIRGKGSRDQNKSEKFQKISLQDLLTSKDNGEDESKTRSEVLKLLKTGSKDVVETMETLALDHHRDIALSQSLFTALMSLVVGVTVWEAEDPCMPLVVALFAVVGMSLKSVVQFFSSIRNKPASDVVALLSFNLFILGTLSYPTLPKVARMLAPLVLRLMDQP >Vigun07g090400.2.v1.2 pep primary_assembly:ASM411807v1:7:14233879:14243062:-1 gene:Vigun07g090400.v1.2 transcript:Vigun07g090400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGTKRPQISLPVLPTPRTINVQKYAESRALELQSLQSIIENRVNNDYRSQRNKRRRTSAFDNQIARKRCRRKRQKVGTVGKALAESGLEEDQLKKLSRRVRRRYELKNNPENGFCTSGDGTKRLRTHVWHAKRFTMTKLWGYHLPLCLQGSGKGSRAVLKRLKEGVLVHDASYYTAIQLEGPEDSLTSVLRSVLEPSPTTTHPENLENSSISGVTYGSAMLHQVGDLICPPIAPVTYMWQPTSQQNISTQLDEQNHYASFGQNGISNDSNKHRVELCEKLDKMKHGSSFRHLWVWIHASAFEEGFDNLKIACRKEMEKTGILINCFSLEGQLAKLELIGLGAFQLLQKILHPVGGISENKNSTTLKNGDSFSSCAMLPLNVKDPRELPWKRNVVPVEHISTKTSSDASETQGKELAELGGILEENKDLSSLSWSKLEDCQTNVDDLWYATTRRLRPPVEDSVISKEKHHERMVNFCLGDTDSGDAISSTKVQCSRSCPILLLKNDVKELSIGWSVILPLSWVKVFWIPLISNGAHAIGLQEKHWITCEMGLPIFPSDFPDCKAYSCLMEDKETAFNEKEELRPPSIRHLRVPIQPPWGIVRVTFDKMISSMKTPDLSTREDLTNSNSLPNPYPGSFKISNSDSWSSSFDGTVVRTGSMLTTFLHETKVGQLLLFPYAADGEARISKFINGELKLDPRYKSSDIYDHKPCFLRVHLRPFKEGCFEEGAVICAPNPSDIFLWTSSSERSEEGLQMSQSAMRLYFKEHSSGRWEMQIPDDSIASKSHRWPIGFVTTGSVQGSKSLVAEGFCEAVLLSHLREEQWKETPMKKRREIYVLVRNLRSTAYRLALASIVLEYKENDIGFL >Vigun07g090400.3.v1.2 pep primary_assembly:ASM411807v1:7:14233869:14241764:-1 gene:Vigun07g090400.v1.2 transcript:Vigun07g090400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGTKRPQISLPVLPTPRTINVQKYAESRALELQSLQSIIENRVNNDYRSQRNKRRRTSAFDNQIARKRCRRKRQKVGTVGKALAESGLEEDQLKKLSRRVRRRYELKNNPENGFCTSGDGTKRLRTHVWHAKRFTMTKLWGYHLPLCLQGSGKGSRAVLKRLKEGVLVHDASYYTAIQLEGPEDSLTSVLRSVLEPSPTTTHPENLENSSISGVTYGSAMLHQVGDLICPPIAPVTYMWQPTSQQNISTQLDEQNHYASFGQNGISNDSNKHRVELCEKLDKMKHGSSFRHLWVWIHASAFEEGFDNLKIACRKEMEKTGILINCFSLEGQLAKLELIGLGAFQLLQKILHPVGGISENKNSTTLKNGDSFSSCAMLPLNVKDPRELPWKRNVVPVEHISTKTSSDASETQGKELAELGGILEENKDLSSLSWSKLEDCQTNVDDLWYATTRRLRPPVEDSVISKEKHHERMVNFCLGDTDSGDAISSTKVQCSRSCPILLLKNDVKELSIGWSVILPLSWVKVFWIPLISNGAHAIGLQEKHWITCEMGLPIFPSDFPDCKAYSCLMEDKETAFNEKEELRPPSIRHLRVPIQPPWGIVRVTFDKMISSMKTPDLSTREDLTNSNSLPNPYPGSFKISNSDSWSSSFDGTVVRTGSMLTTFLHETKVGQLLLFPYAADGEARISKFINGELKLDPRYKSSDIYDHKPCFLRVHLRPFKEGCFEEGAVICAPNPSDIFLWTSSSERSEEGLQMSQSAMRLYFKEHSSGRWEMQIPDDSIASKSHRWPIGFVTTGSVQGSKSLVAEGFCEAVLLSHLREEQWKETPMKKRREIYVLVRNLRSTAYRLALASIVLEYKENDIGFL >Vigun07g090400.1.v1.2 pep primary_assembly:ASM411807v1:7:14233827:14243140:-1 gene:Vigun07g090400.v1.2 transcript:Vigun07g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGTKRPQISLPVLPTPRTINVQKYAESRALELQSLQSIIENRVNNDYRSQRNKRRRTSAFDNQIARKRCRRKRQKVGTVGKALAESGLEEDQLKKLSRRVRRRYELKNNPENGFCTSGDGTKRLRTHVWHAKRFTMTKLWGYHLPLCLQGSGKGSRAVLKRLKEGVLVHDASYYTAIQLEGPEDSLTSVLRSVLEPSPTTTHPENLENSSISGVTYGSAMLHQVGDLICPPIAPVTYMWQPTSQQNISTQLDEQNHYASFGQNGISNDSNKHRVELCEKLDKMKHGSSFRHLWVWIHASAFEEGFDNLKIACRKEMEKTGILINCFSLEGQLAKLELIGLGAFQLLQKILHPVGGISENKNSTTLKNGDSFSSCAMLPLNVKDPRELPWKRNVVPVEHISTKTSSDASETQGKELAELGGILEENKDLSSLSWSKLEDCQTNVDDLWYATTRRLRPPVEDSVISKEKHHERMVNFCLGDTDSGDAISSTKVQCSRSCPILLLKNDVKELSIGWSVILPLSWVKVFWIPLISNGAHAIGLQEKHWITCEMGLPIFPSDFPDCKAYSCLMEDKETAFNEKEELRPPSIRHLRVPIQPPWGIVRVTFDKMISSMKTPDLSTREDLTNSNSLPNPYPGSFKISNSDSWSSSFDGTVVRTGSMLTTFLHETKVGQLLLFPYAADGEARISKFINGELKLDPRYKSSDIYDHKPCFLRVHLRPFKEGCFEEGAVICAPNPSDIFLWTSSSERSEEGLQMSQSAMRLYFKEHSSGRWEMQIPDDSIASKSHRWPIGFVTTGSVQGSKSLVAEGFCEAVLLSHLREEQWKETPMKKRREIYVLVRNLRSTAYRLALASIVLEYKENDIGFL >Vigun04g114700.2.v1.2 pep primary_assembly:ASM411807v1:4:28759277:28763526:1 gene:Vigun04g114700.v1.2 transcript:Vigun04g114700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNFIDDIDCGSFFDHIDDLLEFPEEETAVTDTTVVTPVAPPTTFWPAESDSLHATNTVFSGNTVPDLSTELSVPFEDIVQLEWLSNFVEDSFSGGSLTMQQEQPQCTNKEDTTHAQFQTASPVSVLESSTFSSREKAASRGAEIYIPVPCGRARSKRARPVVFNPHPVMQLISSASSTGENTHHNTTATSKTSSDSENFAESTIKTPKQVSGDHKKKKKIKVTFSGGEDHNAAPSQTVRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCAAVHSNSHKKVLEMRNKTVSKSGFAADSESSPELIPNTNTSLSLEYM >Vigun04g114700.3.v1.2 pep primary_assembly:ASM411807v1:4:28758059:28763526:1 gene:Vigun04g114700.v1.2 transcript:Vigun04g114700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNFIDDIDCGSFFDHIDDLLEFPEEETAVTDTTVVTPVAPPTTFWPAESDSLHATNTVFSGNTVPDLSTELSVPFEDIVQLEWLSNFVEDSFSGGSLTMQQEQPQCTNKEDTTHAQFQTASPVSVLESSTFSSREKAASRGAEIYIPVPCGRARSKRARPVVFNPHPVMQLISSASSTGENTHHNTTATSKTSSDSENFAESTIKTPKQVSGDHKKKKKIKVTFSGGEDHNAAPSQTVRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCAAVHSNSHKKVLEMRNKTVSKSGFAADSESSPELIPNTNTSLSLEYM >Vigun04g114700.4.v1.2 pep primary_assembly:ASM411807v1:4:28758079:28763494:1 gene:Vigun04g114700.v1.2 transcript:Vigun04g114700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNFIDDIDCGSFFDHIDDLLEFPEEETAVTDTTVVTPVAPPTTFWPAESDSLHATNTVFSGNTVPDLSTELSVPFEDIVQLEWLSNFVEDSFSGGSLTMQQEQPQCTNKEDTTHAQFQTASPVSVLESSTFSSREKAASRGAEIYIPVPCGRARSKRARPVVFNPHPVMQLISSASSTGENTHHNTTATSKTSSDSENFAESTIKTPKQVSGDHKKKKKIKVTFSGGEDHNAAPSQTVRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCAAVHSNSHKKVLEMRNKTVSKSGFAADSESSPELIPNTNTSLSLEYM >Vigun04g114700.1.v1.2 pep primary_assembly:ASM411807v1:4:28757891:28763526:1 gene:Vigun04g114700.v1.2 transcript:Vigun04g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNFIDDIDCGSFFDHIDDLLEFPEEETAVTDTTVVTPVAPPTTFWPAESDSLHATNTVFSGNTVPDLSTELSVPFEDIVQLEWLSNFVEDSFSGGSLTMQQEQPQCTNKEDTTHAQFQTASPVSVLESSTFSSREKAASRGAEIYIPVPCGRARSKRARPVVFNPHPVMQLISSASSTGENTHHNTTATSKTSSDSENFAESTIKTPKQVSGDHKKKKKIKVTFSGGEDHNAAPSQTVRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCAAVHSNSHKKVLEMRNKTVSKSGFAADSESSPELIPNTNTSLSLEYM >Vigun07g250400.1.v1.2 pep primary_assembly:ASM411807v1:7:36973481:36974081:1 gene:Vigun07g250400.v1.2 transcript:Vigun07g250400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGQSILMALTVTLNKYASSNLQAVPRTQGKAAHTSTPTLNTKLELGRRGIVLSTLIATTQIPDSRTQLLQKYQKKSEENKEKNDKERLDSYYKRNYKDYFELMEGTLKRRDGTVSDTEKGILDWLQKNK >Vigun01g043200.3.v1.2 pep primary_assembly:ASM411807v1:1:6180070:6181978:1 gene:Vigun01g043200.v1.2 transcript:Vigun01g043200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRVLLQSILSRKNLYIYSVLLNPSIQLHSSHNMALQSCILFIFFLLFKFSTTCCYARSLTEPLKGGFSVELIHRDSPKSPFYNPTKTLFQKLNTSFHRSLDRVNHFYAKPKATKNTPQSVIISNQGEYLVKYSIGTPPFEVMGIADTGSDLIWSQCKPCEQCYNQTSPLFDPSKSKTYEPVSCYSTVCQTLGQTYCLSDAQPNCQYTVSYGDGSHSQGNLAFDTLTLGSTTDSPVAFPSIPIGCGVNNAGTFDTEGSGIVGLGGGHVSLVSQIGPSIDFKFSYCLVPLFHPKSSSKLNFGENAVVDGPGTVSTPIIPGSVDTFYYLKLEGMSVGSKRIEFVDDSASNDENGNIIIDSGTTLTILPEKFYAKLESEVAANINLERVNITDQILSLCYNSRGHNAVKAPPIVAHFSGADVVLDSLNTFVSVSDDVLCFAFAPVESGSIFGNLAQMNYLVGYDLLRKTVSFKPTDCTKI >Vigun01g043200.4.v1.2 pep primary_assembly:ASM411807v1:1:6178555:6181978:1 gene:Vigun01g043200.v1.2 transcript:Vigun01g043200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADTGSDLIWSQCKPCEQCYNQTSPLFDPSKSKTYEPVSCYSTVCQTLGQTYCLSDAQPNCQYTVSYGDGSHSQGNLAFDTLTLGSTTDSPVAFPSIPIGCGVNNAGTFDTEGSGIVGLGGGHVSLVSQIGPSIDFKFSYCLVPLFHPKSSSKLNFGENAVVDGPGTVSTPIIPGSVDTFYYLKLEGMSVGSKRIEFVDDSASNDENGNIIIDSGTTLTILPEKFYAKLESEVAANINLERVNITDQILSLCYNSRGHNAVKAPPIVAHFSGADVVLDSLNTFVSVSDDVLCFAFAPVESGSIFGNLAQMNYLVGYDLLRKTVSFKPTDCTKI >Vigun01g043200.2.v1.2 pep primary_assembly:ASM411807v1:1:6178555:6181978:1 gene:Vigun01g043200.v1.2 transcript:Vigun01g043200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRVLLQSILSRKNLYIYSVLLNPSIQLHSSHNMALQSCILFIFFLLFKFSTTCCYARSLTEPLKGGFSVELIHRDSPKSPFYNPTKTLFQKLNTSFHRSLDRVNHFYAKPKATKNTPQSVIISNQGEYLVKYSIGTPPFEVMGIADTGSDLIWSQCKPCEQCYNQTSPLFDPSKSKTYEPVSCYSTVCQTLGQTYCLSDAQPNCQYTVSYGDGSHSQGNLAFDTLTLGSTTDSPVAFPSIPIGCGVNNAGTFDTEGSGIVGLGGGHVSLVSQIGPSIDFKFSYCLVPLFHPKSSSKLNFGENAVVDGPGTVSTPIIPGSVDTFYYLKLEGMSVGSKRIEFVDDSASNDENGNIIIDSGTTLTILPEKFYAKLESEVAANINLERVNITDQILSLCYNSRGHNAVKAPPIVAHFSGADVVLDSLNTFVSVSDDVLCFAFAPVESGSIFGNLAQMNYLVGYDLLRKTVSFKPTDCTKI >Vigun02g161900.1.v1.2 pep primary_assembly:ASM411807v1:2:30728552:30730023:-1 gene:Vigun02g161900.v1.2 transcript:Vigun02g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNEKGDGTSQSLSELQIVTVMSVPPLKVTEPRRVRRVLVGDEHTNAIHGCYQIVLCYENLKEDDHGWYMAGWMVESLARVLLDHPLLAGRLQRKDGTGFEIVANDSGVRLLEACYPTSLSQFLELNERNQHLKTELVFWKKIDAQCPQFSPLCYVQVTNFECGGYTIGISCSLLLADVFVVDNFLGKWAEIHENMSVQRGEIKTPVFYHPPMKNSECLPLINRSESESESGVQRLAFKIVTEDVKSVEEMWRKVASELVKESSEVMKVEGWAMNDEKMRLKMKHEMRELRVQEVTFNEGNKPVHVCCWIDYVRDGFVMTVSLPNLKNNACAVIVISPSLSFL >VigunL060850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000454.1:63440:64617:-1 gene:VigunL060850.v1.2 transcript:VigunL060850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEARFHIEVNDMIGRWIADVVRGVDHFIGYLRFTLTPLDEVSIMEEAQRFEFYVWWTQPTPPYYSHTPSYSSSDEDSERTFTDPLCPIPFEDNLAEVHQREEYPSENPKKDDLDHISSQSSCS >VigunL042500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:417935:420556:1 gene:VigunL042500.v1.2 transcript:VigunL042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVWKEEDSMIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >VigunL059179.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000504.1:52628:55665:1 gene:VigunL059179.v1.2 transcript:VigunL059179.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFAKK >Vigun04g094850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:19618269:19618983:1 gene:Vigun04g094850.v1.2 transcript:Vigun04g094850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYLLIVVVSRLLLCCSSSICSSRCVAFKVSCDVVHNLLLVFEWERGEEDQEVAAAATKFISGSDNS >Vigun10g071026.1.v1.2 pep primary_assembly:ASM411807v1:10:17246879:17248234:-1 gene:Vigun10g071026.v1.2 transcript:Vigun10g071026.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFDNK >Vigun05g000700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:89769:90032:1 gene:Vigun05g000700.v1.2 transcript:Vigun05g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYSIFLFLNSFMWIGFGQTLRETKQSAILAILMHSGMLDTLFVSKNAKLAKMVFVRKSMILKIFSLSLLKQSFLLSFIFKLSIYT >Vigun09g198200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37314764:37315502:1 gene:Vigun09g198200.v1.2 transcript:Vigun09g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVCSRFIGDFLQTLKSSPKRSESSDLDESEHACKGGRMVKLDEKMVGALVTVFGMESNGRIKKESAREVVEKLGLGSGTGFELGELMDDEVPVEEVLGELEDVSKRSELLHEAFKIFDEDGDGYIDAMELKRVLDCLGLDKGWDMNAIENMVKVADLNFDGKVDFGEFEFMMMG >Vigun01g002000.1.v1.2 pep primary_assembly:ASM411807v1:1:272610:290785:-1 gene:Vigun01g002000.v1.2 transcript:Vigun01g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEIESEPLSRMEPPKIQRLSDSVVNRIAAGEVIQRPVNAVKELLENSLDACASSVNLLIKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAFEDLQRIKSMGFRGEALASMTYVGHVTVTTITKDQLHGYRVSYRDGVMEHEPRPCAAVKGTQIMVENLFYNMAARRKTLQNSSDDYSKIVDLVSRFAIHHVKVGFSCRKHGAVRADVHTVATSSRLDAIKSVYGVSVVRNLIEIEASDDDPSSSVFEMHGYMSNANYAAKKITMVLFINDRLVECSALKRAIEIVYAATLPKASKPFIYISIVLPPENVDVNVHPTKREVSLLNQEVIIEKIQSVVESTLRSSNEARTFQEQTTVQSPLPRINISKEVDLSPMPTGSRSLKVPVNKMVRTDSLDPAGRLHAYTQITSDRNLGMSASLNAVRSSIRQRRNPKDSLELTSVQELLDEINSNCDPGMMDIVRHCTYVGMADDVFALLQHNTCLYLANVVKLSKELMYQQVLSRFGHFNAIQLNDQVPLKDLIILALKEEDVDSNSNDDDTLKDKIAEMNTELLKQKAEMLEEYFGIHIDELGNISRLPVILINTLRTWIGSQSLHFVWAMMLTGKMKRVAFKRFRLLWETSMLCIHQCCPIHLVKVFFCTREKNDG >Vigun03g030400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2289272:2290875:1 gene:Vigun03g030400.v1.2 transcript:Vigun03g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPKFLIEASEALAMAESAAVTLPDLLPRLVPAAQSLARPPISNFQVAAVGLGPSGRIFVGVNLEFPGLPLHHAVHAEQFLVSNLSLNAEANLTSFAVSAAPCGHCRQFLQELRAASDVNIMITSHETPQFTPLSHFLPHQFGPHDLLSSSTPLLLETHHNALTLLPNHTVDDDDALCNGHFHNHKLKNAALEAANKSHAPYTASPSGVALLDRHGNIYKGSYLESAAFNPSLGPVQAALVAFVAAGGGDYHDIVDAVLVEKEDAAVKQEQTARLLLHSISPDCNFSTFLCHSQPSPP >Vigun06g102900.2.v1.2 pep primary_assembly:ASM411807v1:6:23275422:23279635:1 gene:Vigun06g102900.v1.2 transcript:Vigun06g102900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKYTSIDAQQVQGSVPAVPDSRQVTVNFADSNLQTFPPSGTQGKITAASGPPRDADDSFSKPISGSDEPQQGGWFRTFTVAAYKPYFDVDTSDVLERIIDSLFPFRGSFNEKTATNPDLYGPFWICTTLIFVAASIGTFVTYLAHKLKSKEWDYDINLVTWSAGLFYGYVTIVPLCLYVILKYFSAPAGLVQLFCLYGYSLFVFIPALVSCSSFFYL >Vigun06g102900.1.v1.2 pep primary_assembly:ASM411807v1:6:23275422:23279635:1 gene:Vigun06g102900.v1.2 transcript:Vigun06g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKYTSIDAQQVQGSVPAVPDSRQVTVNFADSNLQTFPPSGTQGKITAASGPPRDADDSFSKPISGSDEPQQGGWFRTFTVAAYKPYFDVDTSDVLERIIDSLFPFRGSFNEKTATNPDLYGPFWICTTLIFVAASIGTFVTYLAHKLKSKEWDYDINLVTWSAGLFYGYVTIVPLCLYVILKYFSAPAGLVQLFCLYGYSLFVFIPALCMSIVPLDIFRWVVAGVAGFMSATFVALNLRAHIKSAGERWFLIVAGIFLLQLALAVVLKIYLFTVSV >Vigun07g276800.1.v1.2 pep primary_assembly:ASM411807v1:7:39212461:39217199:1 gene:Vigun07g276800.v1.2 transcript:Vigun07g276800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSEGVEKETMSPWEQHAAVINLPRFDYNAPSSLLRNSHSGFLITCTLKREKSATKEAIAILRKFVEPGHFDSSNNPNESCISKRRKLCTDDAGEECLDTKETESATADSGDGKLSSAVKAGPDRDGVTDLSLVKLTRNGLLLLTFPSHTQPDTVNIVSNIIQALESGNGSLLAWCHRIFPIQATCSLNEKELQEVVSMLVKNFVAAKQDKLQRPLKFAVGFNRRGIEETTSAKENSKDSKAFSLLDRNKCFGVVASAVNHVVEDSVVDLRSPELSVLVELLPLSGIPNGSRIVAVSVLPSNLVSTKPRLCIKPLTSNTKEGSVAP >Vigun03g188000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25263054:25266901:1 gene:Vigun03g188000.v1.2 transcript:Vigun03g188000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKSTPITSSDTFEQKGKTMTESNAAILQCPLKQQLHSSLDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMLFSAYGDFNSFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPSVARGEGFVPPSKALVPPRGGPIELAGYLMGCHINDNSEGLNEEEAIVYRGMSQNYYNSREFSLVSQSLSEYNCGTSNMTCLPTTMRSHSLPSVFNDVQGGSMMPSGDHNECQLWVQPGDLNGLKGQLVRLLEHFGGCLPLARVPAEYQKIYGRPLYVAEYGAIKLVNLFKKMGDALAVEGKGTRKFVYLRNWKAGPSAPPLSLAKKDKKGKGTQEENVNVVNGGCSSDEFSDEERVVIEEHDERSCIGKANQESAAKSEVDDCVIDHFKYELQEILVSYSCRIFLGCFEAIYQQRYKKQLEYQRFGVNKLEDLFEKVSDVVVLHEEPVSKKKFLAAVGG >Vigun03g188000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25263054:25266878:1 gene:Vigun03g188000.v1.2 transcript:Vigun03g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKSTPITSSDTFEQKGKTMTESNAAILQCPLKQQLHSSLDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMLFSAYGDFNSFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPSVARGEGFVPPSKALVPPRGGPIELAGYLMGCHINDNSEGLNEEEAIVYRGMSQNYYNSREFSLVSQSLSEYNCGTSNMTCLPTTMRSHSLPSVFNDVQGGSMMPSGDHNECQLWVQPGDLNGLKGQLVRLLEHFGGCLPLARVPAEYQKIYGRPLYVAEYGAIKLVNLFKKMGDALAVEGKGTRKFVYLRNWKAGPSAPPLSLAKKDKKGKGTQEENVNVVNGGCSSDEFSDEERVVIEEHDERSCIGKANQESAAKSEVDDCVIDHFKYELQEILVSYSCRIFLGCFEAIYQQRYKKQLEYQRFGVNKLEDLFEKVSDVVVLHEEPVSKKKFLAAVGG >Vigun03g188000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25262987:25266877:1 gene:Vigun03g188000.v1.2 transcript:Vigun03g188000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKSTPITSSDTFEQKGKTMTESNAAILQCPLKQQLHSSLDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMLFSAYGDFNSFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALCNAGKFVWDWPSVARGEGFVPPSKALVPPRGGPIELAGYLMGCHINDNSEGLNEEEAIVYRGMSQNYYNSREFSLVSQSLSEYNCGTSNMTCLPTTMRSHSLPSVFNDVQGGSMMPSGDHNECQLWVQPGDLNGLKGQLVRLLEHFGGCLPLARVPAEYQKIYGRPLYVAEYGAIKLVNLFKKMGDALAVEGKGTRKFVYLRNWKAGPSAPPLSLAKKDKKGKGTQEENVNVVNGGCSSDEFSDEERVVIEEHDERSCIGKANQESAAKSEVDDCVIDHFKYELQEILVSYSCRIFLGCFEAIYQQRYKKQLEYQRFGVNKLEDLFEKVSDVVVLHEEPVSKKKFLAAVGG >Vigun05g140700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:17398632:17399350:1 gene:Vigun05g140700.v1.2 transcript:Vigun05g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKWVAMEVMVLMVLGWCCMWKGCNGLSLCEMNEEGLEACKPSVTEPNPVDPSPECCKALAGADLKCLCSYKNSSTLPFLGIDPTLATLLPAKCNLTPPDNC >Vigun08g181400.1.v1.2 pep primary_assembly:ASM411807v1:8:35091758:35093388:-1 gene:Vigun08g181400.v1.2 transcript:Vigun08g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTNLERELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun06g083200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21466476:21468742:1 gene:Vigun06g083200.v1.2 transcript:Vigun06g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKTKPLLSNSQASIFRYLAPQPSLRQIKQTHAHVVVWGHARLTVHLLSLLSLSSTVPFPLRYSLSLFTSIPFPTVFAFNSLIRCHAKANSSPSLSLSLYSAMRRRFLNPNQHTFTFLLHACSKNLKIKVNNKLGVQVHAHVIKLGYACHVFVRNALIHFYFECGDADSSQRVFEEDALCSDVVTWNSMLAGAVRNGDVRVAEKMFDEMPERDVVSWSTMIMGYVQNGLFEDGLECFRDMRKKRVRPNEAILVTLLSVSAQLGLLCYGRFIHSTIEAMRFPMTVHIGTALIDMYAKCGCIEKARILFDGMVKKDVWTWNVMICGLASHDCAKEALALFDRFIGEGFRPVNVTFVGVLNACSRAGLVGEGKHYFKLMVDGYGIQPEMEHYGCMVDLLARAGLVDEAAKLIEGMTIAPDPVMWATLLDACKLHGFVEMGENIGNKLIELDPTHDGHYVQLAGIYAKERKWEDVVRIRELMSERIAGKVAGWSLVELQGRVHRFVAGDREHDCSSDIYKMLETIGLRITEAGLLTETF >Vigun01g085500.1.v1.2 pep primary_assembly:ASM411807v1:1:24125870:24132847:1 gene:Vigun01g085500.v1.2 transcript:Vigun01g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSSPHGRVHQFVLEGTESKPLDVESAESVTLLIKHLPEAIPHDTLSRIFTNFGAFSVRPCSTARLKNCAFADFKNEMVASQAQRQLHGLRFLGKVLSAERASKLTGNGENSSGDQLGKDSKTSMLKNANVGKPVDGDTKSRGLPIPEPIADRLGVNYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPEVPAPLPPTVTINPQSADLSSGESEMESSDEEGDASTKRSGQKRARREAIVGPAIDKGVAHESVGVKPATLVPKEIPVIKKNHVLKINIVPKATLNKHRDDETTQELPEPEKDVPDPSKFLTPDELEKGKLPPEEILSLPMFKNYTAGNPAPVLYVKNLAKDVVADDFYYIFGSLFGSVEAAKSGLQVKLMQEGRMRGQAFLTFPSVELAHRALNRVNGYVLKGKAMIIQFGRNPSAAKA >Vigun10g128300.1.v1.2 pep primary_assembly:ASM411807v1:10:33618307:33620583:-1 gene:Vigun10g128300.v1.2 transcript:Vigun10g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALCSDCTLQVPRFSITANPPKFASFVSTLSPSKAGTRLCLTFGGGSYKPIRRSRWNGFGVQCASSDGESDDGFYMRRCVELARKAIGYTSPNPLVGCVIVKNGIVVGEGFHPKAGQPHAEVFALRDAGDLAQNATAYVSLEPCNHFGRTPPCTEALIEAKVKKVVVGMVDPNPIVAFKGVERLRDAGIEVVVGVEEELCKSLNEPYIHRMLTGKPFLTLRYSLSVNGNFLNLLGNGAAECGGYYSRLLQEYDAVVMSSSFFTENFKVPASQEPGANQPIRIVIHKDPSSLNQILSSINDITSKIIIFTENKAGTAPEVAQQGIETVVLDQINLDVILDYCNNQGLCSVLLDIRGSFSEFEVLVMEAIENNYINKFITEILPVWNKRTEPDPLQTLKSLDQGMKVLNLKSKASDQSVVIEGYFKSE >Vigun03g044300.1.v1.2 pep primary_assembly:ASM411807v1:3:3548466:3552795:-1 gene:Vigun03g044300.v1.2 transcript:Vigun03g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPENMKKQLALAVRSIQWSYAILWTDSTTQPGVLRWGEGYYNGDIKTRKTSQGVELNSDQIGLQRSEQLRELFKSLKTTEITPQSKRPSAALSPEDLTDAEWYYLVCMSFVFNIGQGLPGRALAKGQSIWLNNAHSADCKLFSRSLLAKSASIETVVCFPVMEGVIELGTTEHVSEDFSLIERIKTSFLNNLHVNDPNKSALKSRNQEDLAYVAFDDNVESIPEIGYDIASKTSPDGSSNAFQANQPLDETFMVERITSGTSQVQSWQVMDDEISNCVHNSMNSSDCISQTFASPENIASAPKCNNPSDPFAHNPKMTVVDPRGDDWHYQRVLSDLLKTSDQLLMKMHSQKFHQESSFVCWRPGGATDCQWPRSGTSQKLLKKVLFEVPQMHLDGLHESQEENDYKEGMRLETDEIGMNHVMSERRRRAKLNERFLTLRSMVPSISKDDKVSILDDAIEYLKKLERRIKELEVQRGMTNMEPGTRRSPQDMVERTSDHYFSKNHNGKKSVAKKRKVCGVDETGKEINSDALKGSYANDITVRTSDNEIVIEMKCPSRTGRLLEIMEAVNSLSIDFNSVQSTEADGNLYLTIKSVFTGATIGTTKRIKQALQKVASKF >Vigun03g044300.4.v1.2 pep primary_assembly:ASM411807v1:3:3548467:3552782:-1 gene:Vigun03g044300.v1.2 transcript:Vigun03g044300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPENMKKQLALAVRSIQWSYAILWTDSTTQPGVLRWGEGYYNGDIKTRKTSQGVELNSDQIGLQRSEQLRELFKSLKTTEITPQSKRPSAALSPEDLTDAEWYYLVCMSFVFNIGQGLPGRALAKGQSIWLNNAHSADCKLFSRSLLAKSASIETVVCFPVMEGVIELGTTEHVSEDFSLIERIKTSFLNNLHVNDPNKSALKSRNQEDLAYVAFDDNVESIPEIGYDIASKTSPDGSSNAFQANQPLDETFMVERITSGTSQVQSWQVMDDEISNCVHNSMNSSDCISQTFASPENIASAPKCNNPSDPFAHNPKMTVVDPRGDDWHYQRVLSDLLKTSDQLLMKMHSQKFHQESSFVCWRPGGATDCQWPRSGTSQKLLKKVLFEVPQMHLDGLHESQEENDYKEGMRLETDEIGMNHVMSERRRRAKLNERFLTLRSMVPSISKDDKVSILDDAIEYLKKLERRIKELEVQRGMTNMEPGTRRSPQDMVERTSDHYFSKNHNGKKSVAKKRKVCGVDETGKEINSDALKGSYANDITVRTSDNEIVIEMKCPSRTGRLLEIMEAVNSLSIDFNSVQSTEADGNLYLTIKSVFTGATIGTTKRIKQALQKVASKF >Vigun03g044300.2.v1.2 pep primary_assembly:ASM411807v1:3:3548467:3552782:-1 gene:Vigun03g044300.v1.2 transcript:Vigun03g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFNIGQGLPGRALAKGQSIWLNNAHSADCKLFSRSLLAKSASIETVVCFPVMEGVIELGTTEHVSEDFSLIERIKTSFLNNLHVNDPNKSALKSRNQEDLAYVAFDDNVESIPEIGYDIASKTSPDGSSNAFQANQPLDETFMVERITSGTSQVQSWQVMDDEISNCVHNSMNSSDCISQTFASPENIASAPKCNNPSDPFAHNPKMTVVDPRGDDWHYQRVLSDLLKTSDQLLMKMHSQKFHQESSFVCWRPGGATDCQWPRSGTSQKLLKKVLFEVPQMHLDGLHESQEENDYKEGMRLETDEIGMNHVMSERRRRAKLNERFLTLRSMVPSISKDDKVSILDDAIEYLKKLERRIKELEVQRGMTNMEPGTRRSPQDMVERTSDHYFSKNHNGKKSVAKKRKVCGVDETGKEINSDALKGSYANDITVRTSDNEIVIEMKCPSRTGRLLEIMEAVNSLSIDFNSVQSTEADGNLYLTIKSVFTGATIGTTKRIKQALQKVASKF >Vigun03g044300.5.v1.2 pep primary_assembly:ASM411807v1:3:3548513:3552782:-1 gene:Vigun03g044300.v1.2 transcript:Vigun03g044300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPENMKKQLALAVRSIQWSYAILWTDSTTQPGVLRWGEGYYNGDIKTRKTSQGVELNSDQIGLQRSEQLRELFKSLKTTEITPQSKRPSAALSPEDLTDAEWYYLVCMSFVFNIGQGLPGRALAKGQSIWLNNAHSADCKLFSRSLLAKSASIETVVCFPVMEGVIELGTTEHVSEDFSLIERIKTSFLNNLHVNDPNKSALKSRNQEDLAYVAFDDNVESIPEIGYDIASKTSPDGSSNAFQANQPLDETFMVERITSGTSQVQSWQVMDDEISNCVHNSMNSSDCISQTFASPENIASAPKCNNPSDPFAHNPKMTVVDPRGDDWHYQRVLSDLLKTSDQLLMKMHSQKFHQESSFVCWRPGGATDCQWPRSGTSQKLLKKVLFEVPQMHLDGLHESQEENDYKEGMRLETDEIGMNHVMSERRRRAKLNERFLTLRSMVPSISKDDKVSILDDAIEYLKKLERRIKELEVQRGMTNMEPGTRRSPQDMVERTSDHYFSKNHNGKKSVAKKRKVCGVDETGKEINSDALKGSYANDITVRTSDNEIVIEMKCPSRTGRLLEIMEAVNSLSIDFNSVQSTEADGNLYLTIKSVFTGATIGTTKRIKQALQKVASKF >Vigun06g217800.1.v1.2 pep primary_assembly:ASM411807v1:6:32846933:32850245:1 gene:Vigun06g217800.v1.2 transcript:Vigun06g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGEKRYAVLMCGEDSEYLLKMHGGCYGMFGRVLAEEGERWDLYKVVQGEFPANHHLSLYDGFVITGSCYDAHANDSWILELLELVNRLHSMHKKILGICFGHQIIGRALGGKVGRSTKGWDIGVKSINISSSLPFSFSSLNLPSHLSIHKCHRDEILELPPKAHLIASSEMTGIEMFSYGDHIFCIQGHPEFTHDILFHFIDRIITRNLVQEAFALDAKDKAALLKPDKDILKTLCVNFLKGRL >Vigun08g125500.2.v1.2 pep primary_assembly:ASM411807v1:8:29567805:29573224:1 gene:Vigun08g125500.v1.2 transcript:Vigun08g125500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLNSFPVPPSSLPRKPTETSLSSSSHPSLLLDSNDNNYNHSALKPIVVSGDPPTFVSAPGRRILAVGDLHGDIKQARSALEMAGVLSSDGQDLWTGGETVLVQLGDILDRGEDEIAILSLLRSLDKQAKAKGGAVFQVNGNHETMNVEGDFRYVDSGGFDECNDFLEYINGSEDDWEQTFTSWVDVSERWKEDRTMSKGYWGPWNLMKRQKGVIARSVLFRPGGLLARELARHAVVLKVNDWLFCHGGLLPHHVAYGLERINKEVSEWMRGQHENDNASKMPFIATRGYDSVVWNRLYSRDAPDLVDYQAKQVSSILDETLQAVGAKSMVVGHTPQTTGVNCKYNCSIWRIDVGMSSGVLNSRPEVLEIIDNQARVIRCKRDRHGELQAVAYT >Vigun08g125500.1.v1.2 pep primary_assembly:ASM411807v1:8:29567805:29573224:1 gene:Vigun08g125500.v1.2 transcript:Vigun08g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLNSFPVPPSSLPRKPTETSLSSSSHPSLLLDSNDNNYNHSALKPIVVSGDPPTFVSAPGRRILAVGDLHGDIKQARSALEMAGVLSSDGQDLWTGGETVLVQLGDILDRGEDEIAILSLLRSLDKQAKAKGGAVFQVNGNHETMNVEGDFRYVDSGGFDECNDFLEYINGSEDDWEQTFTSWVDVSERWKEDRTMSKGYWGPWNLMKRQKGVIARSVLFRPGGLLARELARHAVVLKVNDWLFCHGGLLPHHVAYGLERINKEVSEWMRGQHENDNASKMPFIATRGYDSVVWNRLYSRDAPDLVDYQAKQVSSILDETLQAVGAKSMVVGHTPQTTGVNCKYNCSIWRIDVGMSSGVLNSRPEVLEIIDNQARVIRCKRDRHGELQAVAYT >Vigun06g030100.2.v1.2 pep primary_assembly:ASM411807v1:6:13328598:13333635:1 gene:Vigun06g030100.v1.2 transcript:Vigun06g030100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSSESSEDDVRRRSGSESEDDERDEYKRGGRSGRKSSGHRRDDSDRSDSGEERKGAERDGGMGSDKKSRSRERKERSESSEEEGQIVNKKRVSEENERLPQDYDRRGGRDAEGDSRGDRDSRRVNGQSERQVEKESHRLHHSDRWRGGEEDKSRIVDRRGQHDSEEDHRELTRKSGGYSDRDKRRTLEKGGNSDRDRRSRYDSEEDDRELARKSERDRDRRRRVERDDDRDRRQRAERDDDRDRRQRAERDDDRDRRRRAERDDDNERNHRDKGVSKYQEESRKKENGNGRTVGDANPQRQTSIPGGNLNGDASNLGRSGGVYIPPFKMAMMMKEVQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFSENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIGHLVNQQVAHEIIALELLTVLLEKPTDDSVEVAVGFVTECGSILQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEVSLDEEINPEISLDIFKPDPNFLENEKRYEELKKSMLGEESEDEEEGVDAESDDEDEEDESDEEDEEQMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQESFESIFPKDNPKNTRFCINFFTSIGLGGLTENLREYLKNMPRLIMQQQKQVSESESDDESESSDSSDSGTASSDSDSSSSDESDRQRKRRRK >Vigun06g030100.1.v1.2 pep primary_assembly:ASM411807v1:6:13328598:13333635:1 gene:Vigun06g030100.v1.2 transcript:Vigun06g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSSESSEDDVRRRSGSESEDDERDEYKRGGRSGRKSSGHRRDDSDRSDSGEERKGAERDGGMGSDKKSRSRERKERSESSEEEGQIVNKKRVSEENERLPQDYDRRGGRDAEGDSRGDRDSRRVNGQSERQVEKESHRLHHSDRWRGGEEDKSRIVDRRGQHDSEEDHRELTRKSGGYSDRDKRRTLEKGGNSDRDRRSRYDSEEDDRELARKSERDRDRRRRVERDDDRDRRQRAERDDDRDRRQRAERDDDRDRRRRAERDDDNERNHRDKGVSKYQEESRKKENGNGRTVGDANPQRQTSIPGGNLNGDASNLGRSGGVYIPPFKMAMMMKEVQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFSENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIGHLVNQQVAHEIIALELLTVLLEKPTDDSVEVAVGFVTECGSILQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEVSLDEEINPEISLDIFKPDPNFLENEKRYEELKKSMLGEESEDEEEGVDAESDDEDEEDESDEEDEEQMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQESFESIFPKDNPKNTRFCINFFTSIGLGGLTENLREYLKNMPRLIMQQQKQVSESESDDESESSDSSDSGTASSDSDSSSSDESDRQRKRRRK >Vigun10g151225.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37080285:37080782:1 gene:Vigun10g151225.v1.2 transcript:Vigun10g151225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKRVRNLPWRNGSTTIVGKKLRSGRRWPEVAVGAAEGERACERDRVRERERQRDAKWRGLARGGCTGQRWSQVAGDGQQWSEGVVAVGATEREREHARERARERARERERARETQNGGRRRRSRGGCTGRKVWCSGERQQRERESCRGWRGEEEEGSRFSNPK >Vigun02g074600.2.v1.2 pep primary_assembly:ASM411807v1:2:22624756:22627527:-1 gene:Vigun02g074600.v1.2 transcript:Vigun02g074600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGYGSGKLLPFIGMMVAMLGQSGSMVVIKVAIKDINEYVMVVYTFALSAILVLPFAVFRHRMSQQSPPLNFVALCRLFLLASFGSLGTIQAYVGIDLSSPALLSAILNLMPAFTFILALIFKMEQVQWRSSSSQAKVVGTIVSIAGAFVVVLYKGPLIYRSHSDNSSNQMVQLSSELNWILGGIMCVLDALFTSLWYIYQASVGDTYPTMDVSVIVFFQFLFSMVQCGAFALFAVKDLTEWKLRVDIGLIGILYQVHCFIQYNTCKMKTSHC >Vigun02g074600.1.v1.2 pep primary_assembly:ASM411807v1:2:22624756:22627527:-1 gene:Vigun02g074600.v1.2 transcript:Vigun02g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGYGSGKLLPFIGMMVAMLGQSGSMVVIKVAIKDINEYVMVVYTFALSAILVLPFAVFRHRMSQQSPPLNFVALCRLFLLASFGSLGTIQAYVGIDLSSPALLSAILNLMPAFTFILALIFKMEQVQWRSSSSQAKVVGTIVSIAGAFVVVLYKGPLIYRSHSDNSSNQMVQLSSELNWILGGIMCVLDALFTSLWYIYQASVGDTYPTMDVSVIVFFQFLFSMVQCGAFALFAVKDLTEWKLRVDIGLIGILYQAIIATLLRYLLVMWCIVKAGPLFCALFQPVAIIFTVWMGAIFLGDDFSLGSLIGAVIIVVGFYAVQWGKSKEEYEIAKGVEHLDTESLSHGAPLLPDRNT >Vigun11g067198.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:18562368:18562739:1 gene:Vigun11g067198.v1.2 transcript:Vigun11g067198.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAITTTTTAIASTMTTTATTSSTTKTGTTITITTTTMSTLTTTTTTIITSTTTTTTVTTTTTTTATTMTTTTTTITITTTTTTITAIGTKRTTTTTTIDKGLIPTKDDGSWHRLRRKGVP >VigunL006101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:28233:28355:1 gene:VigunL006101.v1.2 transcript:VigunL006101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun05g289700.3.v1.2 pep primary_assembly:ASM411807v1:5:47645092:47649020:1 gene:Vigun05g289700.v1.2 transcript:Vigun05g289700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLSNWLVKEAKTNGEKPKACMESLKTPFKGAKKDLRGRAVYYKDDWTTGLYSGAGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKDKDSLGRELFLAWAGWVCVWTALLLFLLAIFNAGNIINRFTRIAGELFGMLITVLFIQEAIKGIISEFNVPKEGDPTSEKYHFDWLYANGLMGIIFTFGLLYTSLKSRRARSWLYGTGWFRSFVADYGVPFMVVVWTALSFIVPSKVPSGIPRRLTSPLVWESTSLHHWTVIKDMGKVSPSYIFAAFIPAIMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGLTTLLCGLIGLPPSNGVLPQSPMHTKSLAILKKQMIRRKMVKSAKESINQKASNSEIYGKMQAVFIEMDNCPDNHSVVKELEDLKEVVLNGEDKGPENKSNFDPEKHIDAYLPVRVKEQRVSNLLQSIFVGASVFGMPAIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRWYKLLEGDHASFVESVPYRYIVFFTLFQCVYFLVCFGVTWIPIAGILFPLPFFLLITLRQHILPKLFKPHHLRELDAAEYEEIVVAPGLTFNKSFREVESPRVGSVEIVNAEILDELTTNRGELKVRNVSFSEERNRQVHPDETGSPDPNC >Vigun05g289700.2.v1.2 pep primary_assembly:ASM411807v1:5:47645092:47649020:1 gene:Vigun05g289700.v1.2 transcript:Vigun05g289700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLSNWLVKEAKTNGEKPKACMESLKTPFKGAKKDLRGRAVYYKDDWTTGLYSGAGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKDKDSLGRELFLAWAGWVCVWTALLLFLLAIFNAGNIINRFTRIAGELFGMLITVLFIQEAIKGIISEFNVPKEGDPTSEKYHFDWLYANGLMGIIFTFGLLYTSLKSRRARSWLYGTGWFRSFVADYGVPFMVVVWTALSFIVPSKVPSGIPRRLTSPLVWESTSLHHWTVIKDMGKVSPSYIFAAFIPAIMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGLTTLLCGLIGLPPSNGVLPQSPMHTKSLAILKKQMIRRKMVKSAKESINQKASNSEIYGKMQAVFIEMDNCPDNHSVVKELEDLKEVVLNGEDKGPENKSNFDPEKHIDAYLPVRVKEQRVSNLLQSIFVGASVFGMPAIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRWYKLLEGDHASFVESVPYRYIVFFTLFQCVYFLVCFGVTWIPIAGILFPLPFFLLITLRQHILPKLFKPHHLRELDAAEYEEIVVAPGLTFNKSFREVESPRVGSVEIVNAEILDELTTNRGELKVRNVSFSEERNRQDFQVHPDETGSPDPNC >Vigun05g289700.1.v1.2 pep primary_assembly:ASM411807v1:5:47644250:47649020:1 gene:Vigun05g289700.v1.2 transcript:Vigun05g289700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKTPFKGAKKDLRGRAVYYKDDWTTGLYSGAGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKDKDSLGRELFLAWAGWVCVWTALLLFLLAIFNAGNIINRFTRIAGELFGMLITVLFIQEAIKGIISEFNVPKEGDPTSEKYHFDWLYANGLMGIIFTFGLLYTSLKSRRARSWLYGTGWFRSFVADYGVPFMVVVWTALSFIVPSKVPSGIPRRLTSPLVWESTSLHHWTVIKDMGKVSPSYIFAAFIPAIMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGLTTLLCGLIGLPPSNGVLPQSPMHTKSLAILKKQMIRRKMVKSAKESINQKASNSEIYGKMQAVFIEMDNCPDNHSVVKELEDLKEVVLNGEDKGPENKSNFDPEKHIDAYLPVRVKEQRVSNLLQSIFVGASVFGMPAIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRWYKLLEGDHASFVESVPYRYIVFFTLFQCVYFLVCFGVTWIPIAGILFPLPFFLLITLRQHILPKLFKPHHLRELDAAEYEEIVVAPGLTFNKSFREVESPRVGSVEIVNAEILDELTTNRGELKVRNVSFSEERNRQVHPDETGSPDPNC >Vigun03g095300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8076789:8077706:-1 gene:Vigun03g095300.v1.2 transcript:Vigun03g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMQESQSFIIPTTILLLALFYTTSAQLSPIQPPSTPSPPLPQPSPPATAPAPGFNTVPLVPVTPTGAPTPTIIPKGPTIDIVQILRKAKRFSVLTRLLKTTQLINQLNSQLVTSSSGGLTLFAPEDSAFSKLKAGFLNSLTDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDPQRLQLNVTTFGGSQVSMATGAVNASVTGTVYSDNKLAIYQVDKVLLPLDLVLPSKAPAPAPSLAKKGLPKADKGNSTAADDSSTASDDGSDGKGLPAGVSAGCSMKWVNNVVVVGVVGLVSGVMI >Vigun03g071000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5860305:5861687:-1 gene:Vigun03g071000.v1.2 transcript:Vigun03g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRNQTTTSLTTATTTSPVGSPTSGNISDSSSKEQDRFLPIANVSRIMKRALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKLYLNNYRETEGEKSSMAKQDQEHSPTHQTNDGSAATKPDFNSFGAAFYSVGPQVTPPKSFTDIGGINGYRDTSINSVIAQSAVSGDQDSTGNRMMPPNLRYRVEW >Vigun02g055300.1.v1.2 pep primary_assembly:ASM411807v1:2:19833428:19839521:-1 gene:Vigun02g055300.v1.2 transcript:Vigun02g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKPSANLVDQLVCPGDVVLDLSSMTNQTIKLGGGLRQDCDAISVMKAGRLRFSKPNKYWVENSQKRYVPHAEDSVLGIVAESRSDNFLVDIKGPAIAFLPVLAFEGGTRRNIPKFEIGTLLYVRVVKANPGMNPELSCTDATGKAAEFGALKDGYMFDCTTGLSRRLLSSPTCPVLDALGKKVSFEIAVGLNGRVWVKAASPQTTIIVANAIMNSETLSDAQQRIMVEKLLQRIQ >Vigun03g327100.3.v1.2 pep primary_assembly:ASM411807v1:3:52296154:52303172:-1 gene:Vigun03g327100.v1.2 transcript:Vigun03g327100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRKRAHKPNSSAPETLDLAIPAPSPALVTATAAVAPNSAANAASMEDVFSVGNVELIDNTASPRVRRARGRPRKLPVHSEKPLSLATGRRLVRPLDKGDFSVPGDAGVSTLVAMEVDKGWEARVIPEMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPVQFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIRDYEKNGGYTGFPILGVEWQKMENPDLRMSTGMRPDQKGVRIRRIDPTAPESKVLKPSDVILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGDNAAIEVMRNANILKFDIKLETHRRLIPSHSKGKPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADINIGYEDIVNIQVLAFNGKPVKNLKSLATMVESCNDEYLKFDLDYDQIVVLRTKTAKATTLDILATHCIPAAMSDDLKL >Vigun03g327100.2.v1.2 pep primary_assembly:ASM411807v1:3:52296154:52303172:-1 gene:Vigun03g327100.v1.2 transcript:Vigun03g327100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRKRAHKPNSSAPETLDLAIPAPSPALVTATAAVAPNSAANAASMEDVFSVGNVELIDNTASPRVRRARGRPRKLPVHSEKPLSLATGRRLVRPLDKGDFSVPGDAGVSTLVAMEVDKGWEARVIPEMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPVQFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIRDYEKNGGYTGFPILGVEWQKMENPDLRMSTGMRPDQKGVRIRRIDPTAPESKVLKPSDVILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGDNAAIEVMRNANILKFDIKLETHRRLIPSHSKGKPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADINIGYEDIVNIQVLAFNGKPVKNLKSLATMVESCNDEYLKFDLDYDQIVVLRTKTAKATTLDILATHCIPAAMSDDLKL >Vigun03g327100.1.v1.2 pep primary_assembly:ASM411807v1:3:52296153:52303172:-1 gene:Vigun03g327100.v1.2 transcript:Vigun03g327100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRKRAHKPNSSAPETLDLAIPAPSPALVTATAAVAPNSAANAASMEDVFSVGNVELIDNTASPRVRRARGRPRKLPVHSEKPLSLATGRRLVRPLDKGDFSVPGDAGVSTLVAMEVDKGWEARVIPEMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPVQFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIRDYEKNGGYTGFPILGVEWQKMENPDLRMSTGMRPDQKGVRIRRIDPTAPESKVLKPSDVILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGDNAAIEVMRNANILKFDIKLETHRRLIPSHSKGKPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADINIGYEDIVNIQVLAFNGKPVKNLKSLATMVESCNDEYLKFDLDYDQIVVLRTKTAKATTLDILATHCIPAAMSDDLKL >Vigun05g015500.1.v1.2 pep primary_assembly:ASM411807v1:5:1242918:1245235:-1 gene:Vigun05g015500.v1.2 transcript:Vigun05g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLWCESKKDHIERRDYMGKDLLSNLPDEILGRIVSFLPNESALETTLLSTRWRNLWNEAVVRHGSEEDIIGVVAGFITSFEELDPLKHPRKLQFHFDQESVVLATVANNSKLMLDFTTWKEEIQYDLSFKPYQSLPSTLSVKTLYLKSVSSFTSEVASSIVSNLQHLQNLVLIHCKGLESLSVDSTSELHMLTILDCLELKSLNLKTSKLKSFRYRGPLPLIRPEFHFNLSDAMLDFRLGLSCTGFTTKDFDATLLTIKNSEVLTLCKWTFEELIWPSISPLSGSFKFYKLRELWWIDNCNKNMEALFSFLKLCPSLEQLFVTIDPESYSSEGTNSCLMKETKCTELQHLKLIKFIGFPCPKDEISLAKGLIHMIKGKPPKINTSDGNFLDAASVQ >Vigun02g022200.1.v1.2 pep primary_assembly:ASM411807v1:2:7535092:7543098:1 gene:Vigun02g022200.v1.2 transcript:Vigun02g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSKSLLMRSKWPCVPRFLELRYYGNHFIRTNKFAAFYLNSMFYHTSNDALSLQWYHNEFPKVKELTHLLANVDAVNGRLIDVKSNSTFFDDDIERDMCTFKSLVRGYVGSTFVQHKMKHVLASFVSNVKNESFTPFGKATEREPMVVDSLTKVSNFLNVSAQQRKLVRHKVCSQVTQHRIWTGALKEVLNGFAIDLDCLSSRGLNNNALLGAQIVHSCLNFLTEIGVFSDPGSSSWMKLSSSKVDFTDSRKWEDVLVMFNDLIECCRKETRLKLHVAKAEITKEGLLHIRDVSVDKNVGYKEAQHQESLVRKKLSKMLGHSSRCLFTLLLYYLYGRVVDIEVDMCGGVNGSNGRFCLFMGRILTSDSEKMVGRGVKQLDRALGIFKFVWEMAEMKGHLDLQGHMWCVGADNRILRYRGNTYFVHGICL >Vigun07g073100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9324309:9324761:-1 gene:Vigun07g073100.v1.2 transcript:Vigun07g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRYVLRVFVSAKHMTAKVVEGNNGREVASASSVEHALRSAFQWGKGCDARSAASVGEVLAMRLKTEAPEVGVGGGVHFNVEKEIDKKSVDNGDKVWTVVNALRNRGVKVFVTDDRS >Vigun06g099900.1.v1.2 pep primary_assembly:ASM411807v1:6:23022048:23026680:-1 gene:Vigun06g099900.v1.2 transcript:Vigun06g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPSIKFRFALFLSFSLTSSSSLPPLPVSSKRYAPSSSSTSKATSSDLLSLLGSKHHSSGVNPVVARELKSCLKFLVPFSPVEPTHRKLGFAWSKHTGPSWRKRNELVWWPPEAVLELARLAVDSGGDPDAIHRLLDPTIIQVPDCEGSKEERCELTRTPYGRRFICEELNLYLQFLFELIAERGPSVGLDVTLNRFDLFHGHLFLAKDSGRLGILFHAKEYPSYDKQVFPYNMGFCQRGSNVTYGDSMNLRNILWLAPNPGDSDESWVAPGVLVVLDASPDGIIYRDLIPDYVKFARTIYEDDLGHVAVDVNYLNVGSQTRNYQIFIC >Vigun06g099900.2.v1.2 pep primary_assembly:ASM411807v1:6:23022078:23026680:-1 gene:Vigun06g099900.v1.2 transcript:Vigun06g099900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPSIKFRFALFLSFSLTSSSSLPPLPVSSKRYAPSSSSTSKATSSDLLSLLGSKHHSSGVNPVVARELKSCLKFLVPFSPVEPTHRKLGFAWSKHTGPSWRKRNELVWWPPEAVLELARLAVDSGGDPDAIHRLLDPTIIQVPDCEGSKEERCELTRTPYGRRFICEELNLYLQFLFELIAERGPSVGLDVTLNRFDLFHGHLFLAKDSGRLGILFHAKEYPSYDKQVFPYNMGFCQRGSNVTYGDSMNLRNILWLAPNPGDSDESWVAPGVLVVLDASPDGIIYRDLIPDYVKFARTIYEDDLGHVAVDVNYLNVGSQTRNYQIFIC >Vigun07g029900.1.v1.2 pep primary_assembly:ASM411807v1:7:2717337:2720521:1 gene:Vigun07g029900.v1.2 transcript:Vigun07g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKRSRVSDDDEGFETHSSDHNENTLYQVLGVEPTASQQEIKKAYHKLALRLHPDKNPGDEEAKAKFQQLQHVFSILGDEEKRAVYDQTGCVDDAELAGEVVQNLKEYFKAMYKKVTEADIEEFEANYRGSDSEKNDLINLYKKYKGKMDRLFCSMLCSDPKLDSHRFKDILDETIAAGELKETKAYKKWAKKISETKPPTSPVRRQAKSSKEQSETDLCAIISQRQHERKNRLNSMFSSLISKYGGDQMPEPSEEEFEATQRKLEKRRSSKKSKQR >Vigun07g221100.1.v1.2 pep primary_assembly:ASM411807v1:7:34379119:34381456:1 gene:Vigun07g221100.v1.2 transcript:Vigun07g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNFLKFWRNATMTTTSEPHLVVETDTESDEDDSFFDLELTLPDFDNKENNTATNKSDEDPKQTTTTTTNELKSLPSKDELGKTENDVPFKTTLPLSPAEPISKRKVLPIEPVSKPQSPIALLRSAPSFRIFMFRKRNRAPPQKTDHSANDAKVFAVKLSVEDLRSSPALSRDNSTRSFGSKVRGYSYEEPKPERFSKEVLRKYLKLIKPLYVKVSKRYSDNMRFPGEGFAASPSSSPSVASVSSTTESKQGKGMRVGSKHLGKSRSASSAVAGVGSPASRSDDTLLLQHDGIQSAILHCKRSFNSREGSMYPARKSLDGERGAKV >Vigun11g076100.4.v1.2 pep primary_assembly:ASM411807v1:11:22414276:22420543:1 gene:Vigun11g076100.v1.2 transcript:Vigun11g076100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRERTDLIIRCENMVDYIKRLKVCIRWFQDLEMYYSLEQEKLKNSLEMTQKKCTEIELLLKIKEEELNSIITEMRRNCTSLQEKLIKEETERTAAVESLTKEREARLDIERSHSTLSEDLGRAQREIQSANQKIASLNEMYKRLQDYITSLQQYNGKLHSELSSVEDELKRVEKEKANVVENITMLRGQLTVSVSSQEEAIKQKDVLATEVSSLRGELQQVRDERDRQLFQAQTLSSELEKIKESTKQSSTEVDSLTLKANDLEVKCSLKDNQIKALEEQLATAEKKLEVSDISAFETRTEFEGQQKFVNELQRRLADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMETSGRAIDLAQNGQKHAFTFDKVFTPEASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETIRDLISTTTRVENGTPGKQYTIKHDANGNTQVSDLTVVDVQSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVGESLCSLRFASRVNACEIGTPRRQTNGRTMESRLSYF >Vigun11g076100.1.v1.2 pep primary_assembly:ASM411807v1:11:22413960:22420572:1 gene:Vigun11g076100.v1.2 transcript:Vigun11g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFQNFEGDVLLLDNIEQRVGAEKMVGTPINGRTRQAFTVVNGGGHDLGLGSAPPSNAGSDYGAIEFTREDVEALLNERAKRKDRFNYKERCENMVDYIKRLKVCIRWFQDLEMYYSLEQEKLKNSLEMTQKKCTEIELLLKIKEEELNSIITEMRRNCTSLQEKLIKEETERTAAVESLTKEREARLDIERSHSTLSEDLGRAQREIQSANQKIASLNEMYKRLQDYITSLQQYNGKLHSELSSVEDELKRVEKEKANVVENITMLRGQLTVSVSSQEEAIKQKDVLATEVSSLRGELQQVRDERDRQLFQAQTLSSELEKIKESTKQSSTEVDSLTLKANDLEVKCSLKDNQIKALEEQLATAEKKLEVSDISAFETRTEFEGQQKFVNELQRRLADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMETSGRAIDLAQNGQKHAFTFDKVFTPEASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETIRDLISTTTRVENGTPGKQYTIKHDANGNTQVSDLTVVDVQSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVGESLCSLRFASRVNACEIGTPRRQTNGRTMESRLSYF >Vigun11g076100.3.v1.2 pep primary_assembly:ASM411807v1:11:22414276:22420543:1 gene:Vigun11g076100.v1.2 transcript:Vigun11g076100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPINGRTRQAFTVVNGGGHDLGLGSAPPSNAGSDYGAIEFTREDVEALLNERAKRKDRFNYKERCENMVDYIKRLKVCIRWFQDLEMYYSLEQEKLKNSLEMTQKKCTEIELLLKIKEEELNSIITEMRRNCTSLQEKLIKEETERTAAVESLTKEREARLDIERSHSTLSEDLGRAQREIQSANQKIASLNEMYKRLQDYITSLQQYNGKLHSELSSVEDELKRVEKEKANVVENITMLRGQLTVSVSSQEEAIKQKDVLATEVSSLRGELQQVRDERDRQLFQAQTLSSELEKIKESTKQSSTEVDSLTLKANDLEVKCSLKDNQIKALEEQLATAEKKLEVSDISAFETRTEFEGQQKFVNELQRRLADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMETSGRAIDLAQNGQKHAFTFDKVFTPEASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETIRDLISTTTRVENGTPGKQYTIKHDANGNTQVSDLTVVDVQSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVGESLCSLRFASRVNACEIGTPRRQTNGRTMESRLSYF >Vigun11g076100.2.v1.2 pep primary_assembly:ASM411807v1:11:22413960:22420572:1 gene:Vigun11g076100.v1.2 transcript:Vigun11g076100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPINGRTRQAFTVVNGGGHDLGLGSAPPSNAGSDYGAIEFTREDVEALLNERAKRKDRFNYKERCENMVDYIKRLKVCIRWFQDLEMYYSLEQEKLKNSLEMTQKKCTEIELLLKIKEEELNSIITEMRRNCTSLQEKLIKEETERTAAVESLTKEREARLDIERSHSTLSEDLGRAQREIQSANQKIASLNEMYKRLQDYITSLQQYNGKLHSELSSVEDELKRVEKEKANVVENITMLRGQLTVSVSSQEEAIKQKDVLATEVSSLRGELQQVRDERDRQLFQAQTLSSELEKIKESTKQSSTEVDSLTLKANDLEVKCSLKDNQIKALEEQLATAEKKLEVSDISAFETRTEFEGQQKFVNELQRRLADAEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDESCSTEGKIFSYPTSMETSGRAIDLAQNGQKHAFTFDKVFTPEASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQTKQSQQPQGWKYEMQVSMLEIYNETIRDLISTTTRVENGTPGKQYTIKHDANGNTQVSDLTVVDVQSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVGESLCSLRFASRVNACEIGTPRRQTNGRTMESRLSYF >Vigun04g121900.3.v1.2 pep primary_assembly:ASM411807v1:4:31216761:31220588:1 gene:Vigun04g121900.v1.2 transcript:Vigun04g121900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVISSAPMAVPATALNGAQFGNASLYVGDLDANVIESQLFDLFSQVAQVVSIRVCRDQTRRTSLGYAYVNFANVQDAAIAMEHLNFTPLNGKPIRIMFSHRDPSIRKSGFANVFIKNLDASVDNKALYDTFAAFGNVLSSKVQVDENGQSKGYGFVQFDNDESAQNAIKKLNGMLINDKKVYVGLFVRRQERAPGNDGSPKFTNVYVKNLSETYTEEDLKQLFSTYGTITSVVVMKDAEGKSRCFGFVNFESADSAATAVERLNGTTINNDKVLYVGRAQRKAEREAELKAKFEQEKRNKFDKLQGANLYLKNFDDSFNDEKLKEIFSVFGTTTSCKVMMDALGRSKGCGFVAFSTPEEATRAMNEMNGKIIGQKPLYVAVAQRKEERKANLQAQFSHMQIAPFSAGITGYHPAYFGPGTTGFVSPQATGYGFQPQVLPQSMRGVPPNFMMPYPLQRQGPPGQRMVPPTRRVGNVTLNHSLPIPNVQNGMHQYVMPHHLVSPVAITAPPTDVKTSATSSTTLASALASASPENQHRMLGEHLYPLVERLTPSNQTAKVTGMLLEMDQAEVIHLIESPEDLKTKVSEAMKVLHEAAAGSEVGDQTKVI >Vigun04g121900.1.v1.2 pep primary_assembly:ASM411807v1:4:31216758:31220604:1 gene:Vigun04g121900.v1.2 transcript:Vigun04g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVISSAPMAVPATALNGAQFGNASLYVGDLDANVIESQLFDLFSQVAQVVSIRVCRDQTRRTSLGYAYVNFANVQDAAIAMEHLNFTPLNGKPIRIMFSHRDPSIRKSGFANVFIKNLDASVDNKALYDTFAAFGNVLSSKVQVDENGQSKGYGFVQFDNDESAQNAIKKLNGMLINDKKVYVGLFVRRQERAPGNDGSPKFTNVYVKNLSETYTEEDLKQLFSTYGTITSVVVMKDAEGKSRCFGFVNFESADSAATAVERLNGTTINNDKVLYVGRAQRKAEREAELKAKFEQEKRNKFDKLQGANLYLKNFDDSFNDEKLKEIFSVFGTTTSCKVMMDALGRSKGCGFVAFSTPEEATRAMNEMNGKIIGQKPLYVAVAQRKEERKANLQAQFSHMQIAPFSAGITGYHPAYFGPGTTGFVSPQATGYGFQPQVLPQSMRGVPPNFMMPYPLQRQGPPGQRMVPPTRRVGNVTLNHSLPIPNVQNGMHQYVMPHHLVSPVAITAPPTDVKTSATSSTTLASALASASPENQHRMLGEHLYPLVERLTPSNQTAKVTGMLLEMDQAEVIHLIESPEDLKTKVSEAMKVLHEAAAGSEVGDQTKVI >Vigun04g121900.2.v1.2 pep primary_assembly:ASM411807v1:4:31216758:31220604:1 gene:Vigun04g121900.v1.2 transcript:Vigun04g121900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVISSAPMAVPATALNGAQFGNASLYVGDLDANVIESQLFDLFSQVAQVVSIRVCRDQTRRTSLGYAYVNFANVQDAAIAMEHLNFTPLNGKPIRIMFSHRDPSIRKSGFANVFIKNLDASVDNKALYDTFAAFGNVLSSKVQVDENGQSKGYGFVQFDNDESAQNAIKKLNGMLINDKKVYVGLFVRRQERAPGNDGSPKFTNVYVKNLSETYTEEDLKQLFSTYGTITSVVVMKDAEGKSRCFGFVNFESADSAATAVERLNGTTINNDKVLYVGRAQRKAEREAELKAKFEQEKRNKFDKLQGANLYLKNFDDSFNDEKLKEIFSVFGTTTSCKVMMDALGRSKGCGFVAFSTPEEATRAMNEMNGKIIGQKPLYVAVAQRKEERKANLQAQFSHMQIAPFSAGITGYHPAYFGPGTTGFVSPQATGYGFQPQVLPQSMRGVPPNFMMPYPLQRQGPPGQRMVPPTRRVGNVTLNHSLPIPNVQNGMHQYVMPHHLVSPVAITAPPTDVKTSATSSTTLASALASASPENQHRMLGEHLYPLVERLTPSNQTAKVTGMLLEMDQAEVIHLIESPEDLKTKVSEAMKVLHEAAAGSEVGDQTKVI >Vigun03g402300.1.v1.2 pep primary_assembly:ASM411807v1:3:60934010:60937189:-1 gene:Vigun03g402300.v1.2 transcript:Vigun03g402300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDLEMKDRSTPSNAVSLPAPSTLQLLREIASLIETGSYSKEVRRIARAIRLTIALRRKLSASIISSFLDHVLTPGSEAHAKLSAYLPKEDDHEMEVDAATSAIQTPAKHLLPELEIYCYLLVLLFLIDKKKYNEAKACSSASIVWLKNTNRRTVDVIASRLYFYYSYSYELTGDLAEIRGNLLALHRIATLRHDELSQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRNIADKFASTFNADRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLNSPNPIADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >Vigun03g238000.2.v1.2 pep primary_assembly:ASM411807v1:3:39664805:39670069:1 gene:Vigun03g238000.v1.2 transcript:Vigun03g238000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYANLLGLANGDWLDIPHTPRALPRVMTVPGIISDLDGYGCNDGDSDVSSSGCRERKIIVANMLPLQAKRDIETAKWVFSWDEDSILLQLKDGFSSDTEVIYVGSLKVEIDLCEQDAVAQRLLDEFNCVPTFLPHDLQKKFYLGFCKQQLWPLFHYMLPICPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDFVWVHDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTIFIKILPVGIHMGRLESVLNLSSTSSKLKEVQEEFKGKKVILGVDDMDIFKGISLKLLAVEHLLQQNSDLQGKVVLVQIVNPARGLGKDVQEAKNETYLIARRINDTYSKNNYQPVILIDRHVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTARLDESLGRKSDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADAMYAAVTMSDSEKQLRHEKHYRYVSSHDVAYWAHSFMQDLERACKDHYTKRCWGFGLGLGFRVVSLSHGFRKLTIDHVVSAYKRTNRRAIFLDYDGTVVSQSSISKVPSPEVISVLNALCNDPKNIVFIVSGRGRDSLSEWFTSCQMLGLAAEHGYFLRWNKDSEWESSHLSADLDWKKIVEPVMQLYTEATDGSNIEIKESALVWHHQDADPDFGSCQAKELLSHLESVLANEPAVVTRGQHIVEVKPQGISKGWVAEEVIQTMVNGGNPPDFVLCIGDDRSDEDMFETILRTVSLPSAPEIFACTVGRKPSKAKYFLDDTSDVVKLLQSLAASSNPKPRHLAHFQVSFESTI >Vigun03g238000.1.v1.2 pep primary_assembly:ASM411807v1:3:39664915:39670056:1 gene:Vigun03g238000.v1.2 transcript:Vigun03g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYANLLGLANGDWLDIPHTPRALPRVMTVPGIISDLDGYGCNDGDSDVSSSGCRERKIIVANMLPLQAKRDIETAKWVFSWDEDSILLQLKDGFSSDTEVIYVGSLKVEIDLCEQDAVAQRLLDEFNCVPTFLPHDLQKKFYLGFCKQQLWPLFHYMLPICPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDFVWVHDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTIFIKILPVGIHMGRLESVLNLSSTSSKLKEVQEEFKGKKVILGVDDMDIFKGISLKLLAVEHLLQQNSDLQGKVVLVQIVNPARGLGKDVQEAKNETYLIARRINDTYSKNNYQPVILIDRHVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTARLDESLGRKSDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADAMYAAVTMSDSEKQLRHEKHYRYVSSHDVAYWAHSFMQDLERACKDHYTKRCWGFGLGLGFRVVSLSHGFRKLTIDHVVSAYKRTNRRAIFLDYDGTVVSQSSISKVPSPEVISVLNALCNDPKNIVFIVSGRGRDSLSEWFTSCQMLGLAAEHGYFLRWNKDSEWESSHLSADLDWKKIVEPVMQLYTEATDGSNIEIKESALVWHHQDADPDFGSCQAKELLSHLESVLANEPAVVTRGQHIVEVKPQGISKGWVAEEVIQTMVNGGNPPDFVLCIGDDRSDEDMFETILRTVSLPSAPEIFACTVGRKPSKAKYFLDDTSDVVKLLQSLAASSNPKPRHLAHFQVSFESTI >Vigun05g117400.1.v1.2 pep primary_assembly:ASM411807v1:5:12560971:12564637:1 gene:Vigun05g117400.v1.2 transcript:Vigun05g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKDNPSQVNRFQVNGIDDLNIVEWKKKRKLQSDQLDLLRPKHKCWVGSYSPGHASMFDENPVLEGIQNHTGERSEPESAKDSNSFMEDCDTAVSVNKEAKHETDCENSYLYVNRVSYIEEEAFVDSECIPHYGDTDAKDLNNDEDHLLGIEGFSGGECAEYAKESNEYPVDKEFEDFLFSSGVDPNVYVLSSGRWNVNQEAQSNSRPPTIDQEFEEYFSMLML >Vigun05g117400.2.v1.2 pep primary_assembly:ASM411807v1:5:12561299:12564637:1 gene:Vigun05g117400.v1.2 transcript:Vigun05g117400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGRVMKTLMLKFQVNGIDDLNIVEWKKKRKLQSDQLDLLRPKHKCWVGSYSPGHASMFDENPVLEGIQNHTGERSEPESAKDSNSFMEDCDTAVSVNKEAKHETDCENSYLYVNRVSYIEEEAFVDSECIPHYGDTDAKDLNNDEDHLLGIEGFSGGECAEYAKESNEYPVDKEFEDFLFSSGVDPNVYVLSSGRWNVNQEAQSNSRPPTIDQEFEEYFSMLML >Vigun08g099600.1.v1.2 pep primary_assembly:ASM411807v1:8:24404430:24405980:1 gene:Vigun08g099600.v1.2 transcript:Vigun08g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHKALSETPITEVPAEFESPPRKRKWQEETLTEEFFKIHPTDADQRKSIFGIELHLETPLPSHKLRQYLTIQSGLSMVKTPDLGRNSDPKAPSLGQMSLDLELSLKKKEESFDINEKNFGSTRNTFGEHDLLNESSKCNKMDDSGALSRSPSWLSSEGDQKEMIATVCMRCHMLIMLCKSSPTCPNCKFMHPPDQNPSKFLKRKKVEPLSC >Vigun09g277700.3.v1.2 pep primary_assembly:ASM411807v1:9:43749737:43762873:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITGNNCCSRSCKICDRLDSSLNMLLCDHCEDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun09g277700.5.v1.2 pep primary_assembly:ASM411807v1:9:43754040:43762868:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQTSLLSSVEAGLCYVSDDGKDVLCDRMPSGETWQVCLECNRYPLDWCTKAELVEADRRKADDPYRSSLGQTSTASIMTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun09g277700.4.v1.2 pep primary_assembly:ASM411807v1:9:43749822:43762873:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun09g277700.6.v1.2 pep primary_assembly:ASM411807v1:9:43749823:43762873:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun09g277700.2.v1.2 pep primary_assembly:ASM411807v1:9:43749737:43762878:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITGNNCCSRSCKICDRLDSSLNMLLCDHCEDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun09g277700.1.v1.2 pep primary_assembly:ASM411807v1:9:43754042:43762867:1 gene:Vigun09g277700.v1.2 transcript:Vigun09g277700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQTSLLSSVEAGLCYVSDDGKDVLCDRMPSGETWQVCLECNRYPLDWCTKAELVEADRRKADDPYRSSLGQTSTASIMTENTTPKPKMVYRRKKLRKDSNFKLEPTNMLASANYPPVVSSAAHLSSAEEQPASVRVNHEMVDKNPTTPSVLCDGVTKDNIHKNLGISNINGSCSPSKAGMETEMNGNGECSISSVIVMDSIREEVTTKDFCINTLRTHGLLREYPPEDNGASGKDVVITGNNCCSRSCKICDRLDSSLNMLLCDHCEDAYHPSCYNPRSKKLPIDEWFCHSCLKKRQKNIKEPSIHNELGKCRATSIKGESNPIFLMLRDTKPYTSSVRVGKGFQAEVLDWSGLIKSDEDTLPEPFEINPSDFNRLLEENTRSPAKLSAIGNWIQCQTVIDRTNGTICGKWRRAPFFEVQTNAWECFCAIHWDPSHADCAVPQELETDQVLKQLKYVEVLRPRISAKRKKSDCTQDMD >Vigun01g054200.2.v1.2 pep primary_assembly:ASM411807v1:1:10242142:10250060:-1 gene:Vigun01g054200.v1.2 transcript:Vigun01g054200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDEWHQSRSQPVTQDHLDGTHTHRRPVDFNTSEVKPVLNYSIQTGEEFALEFMRDKVNMRKPVLSNVSDSNYNPGYMELKGILGISHAGSESGSDISMLSMAEKYPKEFDRTSTSLPGDRINYGSIRSMPRTSLNQDNRQFVPGYGSFGAYDRSMIMKFLCSFGGRILPRPCDGNLRYVGGQTRILRLRKDISWLELMQTALQIYSQVYAIKYQLPGEDLDALVSVSSDEDLQNMMEECSHLQDREGSQKPRMFLFSMSDLEDAQFGLSSMGDDSEIQYVVAVNGMDLGSRKSTTMIGVSVSANDINELDRQSIDRETNNRVGIESIVQGNPPPTNNFDSSLASQFSPSVLPTSSNSYEKYPLFYGDQMMCHGELSDHYFINHGLDPSHKPVIGETPMIMPPHMLVNQQGILNEGLPPRGIQVQHSEIPTTLANKMVTSSIPQVSDPGKVLASELPSPAPTQLLNGYMKNNFPEASVVVAAPEGFSLHPPSVDKRQDYEETSSTSSSAFGPTCVDSHSNASDLSSLHPPPLPKRVYYSERIPREQVELLNRSSKSDDTHSSQFHVPDLLSDINPPDLVTESVDKLRDGNLSDRNGELSITENPLHANVFAVDNGIVNNQIYKQPPDTNTQIKSKLTEHVNPEQRQVLSDNEGHTDVLNKENVVGLEMKINGNNSYNKPLIDETKASKPDLQNLHQVSSGKNLDDPASTLPEVDWGDTSVKESNDVQALPVSLNGNIDDDSEEFPSDVITKQAHGDILIDINDRFPREFFTDIFSKAVLEEDPSSFHQLNSNGVGLSVNMENCDPKRWSYFQKLAQAGIDNVSLIDQDHLGFAPATGKVVGDDRAQHVTPLTTDEVSLNHAESHLDFVEENLLGRIGAQRKSNYDHSQVNDTESMQFDAMMENIRAQESEGEVGMFEKRNNNLHPLDSSLEEIDTSSLQVIMNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVEDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDFRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPMRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVEFLSV >Vigun01g054200.1.v1.2 pep primary_assembly:ASM411807v1:1:10240005:10250060:-1 gene:Vigun01g054200.v1.2 transcript:Vigun01g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDEWHQSRSQPVTQDHLDGTHTHRRPVDFNTSEVKPVLNYSIQTGEEFALEFMRDKVNMRKPVLSNVSDSNYNPGYMELKGILGISHAGSESGSDISMLSMAEKYPKEFDRTSTSLPGDRINYGSIRSMPRTSLNQDNRQFVPGYGSFGAYDRSMIMKFLCSFGGRILPRPCDGNLRYVGGQTRILRLRKDISWLELMQTALQIYSQVYAIKYQLPGEDLDALVSVSSDEDLQNMMEECSHLQDREGSQKPRMFLFSMSDLEDAQFGLSSMGDDSEIQYVVAVNGMDLGSRKSTTMIGVSVSANDINELDRQSIDRETNNRVGIESIVQGNPPPTNNFDSSLASQFSPSVLPTSSNSYEKYPLFYGDQMMCHGELSDHYFINHGLDPSHKPVIGETPMIMPPHMLVNQQGILNEGLPPRGIQVQHSEIPTTLANKMVTSSIPQVSDPGKVLASELPSPAPTQLLNGYMKNNFPEASVVVAAPEGFSLHPPSVDKRQDYEETSSTSSSAFGPTCVDSHSNASDLSSLHPPPLPKRVYYSERIPREQVELLNRSSKSDDTHSSQFHVPDLLSDINPPDLVTESVDKLRDGNLSDRNGELSITENPLHANVFAVDNGIVNNQIYKQPPDTNTQIKSKLTEHVNPEQRQVLSDNEGHTDVLNKENVVGLEMKINGNNSYNKPLIDETKASKPDLQNLHQVSSGKNLDDPASTLPEVDWGDTSVKESNDVQALPVSLNGNIDDDSEEFPSDVITKQAHGDILIDINDRFPREFFTDIFSKAVLEEDPSSFHQLNSNGVGLSVNMENCDPKRWSYFQKLAQAGIDNVSLIDQDHLGFAPATGKVVGDDRAQHVTPLTTDEVSLNHAESHLDFVEENLLGRIGAQRKSNYDHSQVNDTESMQFDAMMENIRAQESEGEVGMFEKRNNNLHPLDSSLEEIDTSSLQVIMNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVEDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDFRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPMRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPNHCDSEWRTLMEQCWAPNPAARPSFTEIAGRLRIMSAAAKSSGQKTSR >Vigun01g144600.1.v1.2 pep primary_assembly:ASM411807v1:1:32659728:32663879:1 gene:Vigun01g144600.v1.2 transcript:Vigun01g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVNCHPLLRGGRREKGYSHGLSTSQMHVMASICHTLFPFAPSEETSQHQQPLSPSVSHVPFPEEAAELMRKRVVPEALSLVNWVLWILSFRLGTLLICGRLCLDWKWPFIHCFSEISFDKREQILRNWCREKRWVPLRIVFLLIKLTFFYTFFSRADENGHNPAWEAIGYKVDTKEKLVQKKRPLQKGLIETMYETDSTLMQSLSEKGLEVTEDKLQNLYKVKCDVVIVGSGCGGGVAAAVLANSGQKVIVLEKGEYFVSHDYSSLEGPSMNELYESGGILPSLDGKMMILAGSTVGGGSAVNWSACIRTPDSVLREWSKKNKIPLFASSDYQSAMDTVCRRIGVTEKCKKQGFQNQILIQGCEKMGLKVEPVATNSSADHYCGSCCYGCRTGDKKGTDSTWLVDAVGNGAVILSGCKAERFILEDENGGMKKKKCSGVIAAATWRSKVTKKLLIESKVTISACGSLSTPPLMISSGLKNPNIGRNLHLHPVQFAWGYFPEDMTNFSGNNYEGGIITSIHKVFAEDSTPRFIIETPSLGPGSFSALAPWLSAHDMKDRMEKYARTANIFTLIRDHGSGEVKSEGRITYRLDQVDKENLRIGLRKALRILVAAGAVEVGTFRSDGQRLKCRGMKEEDLEEFVDSVTIVGGPRSRNELWAVLTSAHQMTSCRMGATEEEGAVDENGESWEAKGLYVCDGSVLPSAVGVNPMVTIQSTVYCIATKIAESLSKGKQIINT >Vigun10g195400.1.v1.2 pep primary_assembly:ASM411807v1:10:40889775:40894861:-1 gene:Vigun10g195400.v1.2 transcript:Vigun10g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGSSSGHPQFIASTGNRSLSNAPLIENPDSDQIVVPDRTSWKNLFAYVGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALLIQTMAANLGVVTGMHLAEHCRAEYSRLPNFILWVIAEVAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVFTIAGCFMAELGYAKPVAKEVVTGLFVPKLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESAFALTVAFLINISVISVSGAVCHSSNLSAEDQKSCQDLDLNKASFLLRNVLGKWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKSWIRNLLTRCLAIVPSLIVALIGGSAGAGELIIIASMILSFELPFALIPLLKFTSSKIKMGEHVNSITISAVTWIIGSLIMGINIYYLMTSFVKLLLHAHLRIVAKVFLGILGFSGVALYIGGIAYLVFRKNKKTTHVLTLSTLEDQQMANAEGDVSMYSLPREDIVSMQLPQKRTPAEFD >Vigun10g195400.2.v1.2 pep primary_assembly:ASM411807v1:10:40889775:40894861:-1 gene:Vigun10g195400.v1.2 transcript:Vigun10g195400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGSSSGHPQFIASTGNRSLSNAPLIENPDSDQIVVPDRTSWKNLFAYVGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALLIQTMAANLGVVTGMHLAEHCRAEYSRLPNFILWVIAEVAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVVRKLEFFIAFLVFTIAGCFMAELGYAKPVAKEVVTGLFVPKLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESAFALTVAFLINISVISVSGAVCHSSNLSAEDQKSCQDLDLNKASFLLRNVLGKWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKSWIRNLLTRCLAIVPSLIVALIGGSAGAGELIIIASMILSFELPFALIPLLKFTSSKIKMGEHVNSITISAVTWIIGSLIMGINIYYLMTSFVKLLLHAHLRIVAKVFLGILGFSGVALYIGGIAYLVFRKNKKTTHVLTLSTLEDQQMANAEGDVSMYSLPREDIVSMQLPQKRTPAEFD >Vigun01g229300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40196110:40196637:-1 gene:Vigun01g229300.v1.2 transcript:Vigun01g229300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYEPRSSSSCAACKLLKRRCVPNCIFAPYFRSDECKKFAKVHKVFGASNVSKILIEVSEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMVELQHDLAIAKDRLARCHAAATVLPSPDILHAHVSLPPFPDFCTSSNDFNDIFCHSSSSQLLSRHETVDDFNQIPYIF >Vigun05g034400.1.v1.2 pep primary_assembly:ASM411807v1:5:2803623:2809380:1 gene:Vigun05g034400.v1.2 transcript:Vigun05g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQIFAHVFIWVVMLSVGASCAKAEEEGEAADRILALPGQPKVSFQQFSGYVTVNKVAGRALFYWLTEAAQNPLTKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYTNKFSWNTVANLLFLEAPAGVGFSYTNRSSDLLDTGDHRTAQDSLEFVLQWLERFPRYKHRELYITGESYAGHYVPQLAKEIMNYNAKSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDQTYRQLMSTCDFHRQKESDECESVYSYAMDQEFCNIDQYNIYAPPCNNSDGSTSPTRHTMRLPHRPHKAFRHWSGYDPCTEKYAEIYYNRPDVQKALHANTTRIPYKWTACSEVLNRNWNDTEVSVLPIYRELIAHGIRVWVFSGDVDSVVPVTATRYALAQLKLSTKIPWYPWYVKNQVGGWTEVYEGVTFATVRGAGHEVPLFKPRAALQLFTSFLAGKPLPKS >Vigun06g043400.1.v1.2 pep primary_assembly:ASM411807v1:6:16709309:16715697:-1 gene:Vigun06g043400.v1.2 transcript:Vigun06g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTLQTPRSLSRLSLTRHSHSYTSRASLTDQTPTLTTSPPPHSEILSTRQTLLSRRASAADLALSRLAHLRLAEPRLRAFLHLPDDDALLAQARRVDARIAAGDDPGPLAGVLIGVKDNICTKDMPSTGGSRVLAGYRPPFDATAVKRVRELGGIVVGKTNMDEFGMGSTTEASAFQVTANPWDESRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDTIGCFGSSVADTGILLHAIAGHDRFDATSSKQDVPDFQSHFVSVSSLESKPLKGLRVGLIRETIDKGVDAGVISAIRAAAMHFEELGCSVNEVSLPSFSLGLPAYYILAVSESSSNLSRYDGIRYGNQVYADELDSLYGGSRAEGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIRNSFKEALSQNDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEDGPAGLPVGMQMIGAAFNEGELLRVGHIFEQTLENCRFVPPFVADDDIAF >VigunL059092.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000584.1:25450:25905:-1 gene:VigunL059092.v1.2 transcript:VigunL059092.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGMVVVVVVVVVVVGMVVVVEVAMVVVVGVVVMVVVVVVAAVVVMLVAMVVVVVVEVVLVAVVAMVVVVMLVVVVVVVVVVMVVVVMVVVLVVGLLKVVMVVVVVVVAAVVIVVVAMVVVVDGRPWCRRGRRSMEDTKKKKKCSGN >Vigun03g282800.3.v1.2 pep primary_assembly:ASM411807v1:3:46294283:46302880:1 gene:Vigun03g282800.v1.2 transcript:Vigun03g282800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEVPKLATAAEYFFKMGVEGKRFRPTVLLLMATALNLPINEAAAPIELGGDLTTDVRLRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDEQCSMEYYMQKTYYKTASLISNSCKAIAILAGQTTEVAILAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRLIVNEGFENPANIELALDYLGKSRGIQRTRELAVQHANLAAAAIDSLPDSDDEEVRKSRKALIDLTHRVITRTK >Vigun03g282800.4.v1.2 pep primary_assembly:ASM411807v1:3:46291850:46302880:1 gene:Vigun03g282800.v1.2 transcript:Vigun03g282800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRIPKNLRGSFNSCRRFLSIGDHNHRFLTPHNFHSRGDSTHQVMRSLNFSNRLPALHSCRYLIHQQSSSVVEDEHDPFSLVADELSLLGNKLRAMVAAEVPKLATAAEYFFKMGVEGKRFRPTVLLLMATALNLPINEAAAPIELGGDLTTDVRLRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDEQCSMEYYMQKTYYKTASLISNSCKAIAILAGQTTEVAILAFEVWHFN >Vigun03g282800.1.v1.2 pep primary_assembly:ASM411807v1:3:46291850:46302880:1 gene:Vigun03g282800.v1.2 transcript:Vigun03g282800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRIPKNLRGSFNSCRRFLSIGDHNHRFLTPHNFHSRGDSTHQVMRSLNFSNRLPALHSCRYLIHQQSSSVVEDEHDPFSLVADELSLLGNKLRAMVAAEVPKLATAAEYFFKMGVEGKRFRPTVLLLMATALNLPINEAAAPIELGGDLTTDVRLRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDEQCSMEYYMQKTYYKTASLISNSCKAIAILAGQTTEVAILAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRLIVNEGFENPANIELALDYLGKSRGIQRTRELAVQHANLAAAAIDSLPDSDDEEVRKSRKALIDLTHRVITRTK >Vigun03g282800.2.v1.2 pep primary_assembly:ASM411807v1:3:46292350:46302880:1 gene:Vigun03g282800.v1.2 transcript:Vigun03g282800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNFSNRLPALHSCRYLIHQQSSSVVEDEHDPFSLVADELSLLGNKLRAMVAAEVPKLATAAEYFFKMGVEGKRFRPTVLLLMATALNLPINEAAAPIELGGDLTTDVRLRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLAKVVEHLVTGETMQMTTTSDEQCSMEYYMQKTYYKTASLISNSCKAIAILAGQTTEVAILAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRLIVNEGFENPANIELALDYLGKSRGIQRTRELAVQHANLAAAAIDSLPDSDDEEVRKSRKALIDLTHRVITRTK >Vigun04g066700.2.v1.2 pep primary_assembly:ASM411807v1:4:7455153:7458196:-1 gene:Vigun04g066700.v1.2 transcript:Vigun04g066700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVNLEPRVKKTKSRKNKAVVDEKSPLIPKTLEAGSSGFDDFNGASFSGAVFNLSTTIIGAGIMGLPACVKKLGMIPGLLSIILIAFLTEKSIGFMMRISRAGNLSSYATLVGDTFGKFGKALVQICVIVNNIGMLIIYMIIIGDVISGTSSGGIHHSGLLEGWFGVHWWTGRTFVLLVITLAVFSPLSCFKRIDSLRYTSALSFGLAVVFLVIAVGISIFKIAIGGIGMPRLFPIITDVSSVFELFTVTPVVVTAYLCHFNVHPIDNELEDSSQIRGVVRASLALCASVYLLTSFFGFLLFGEATLDDVLANFDTDLGIPFGAVLNDAVRATYAAHLVLVFPVVFYAVRINLDGLLFPSSRPLVLDNVRFAIITVALVAFSFWGANFIPSIWDIFQFTGATAAACLAFIFPASIVLK >Vigun04g066700.1.v1.2 pep primary_assembly:ASM411807v1:4:7454379:7458196:-1 gene:Vigun04g066700.v1.2 transcript:Vigun04g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVNLEPRVKKTKSRKNKAVVDEKSPLIPKTLEAGSSGFDDFNGASFSGAVFNLSTTIIGAGIMGLPACVKKLGMIPGLLSIILIAFLTEKSIGFMMRISRAGNLSSYATLVGDTFGKFGKALVQICVIVNNIGMLIIYMIIIGDVISGTSSGGIHHSGLLEGWFGVHWWTGRTFVLLVITLAVFSPLSCFKRIDSLRYTSALSFGLAVVFLVIAVGISIFKIAIGGIGMPRLFPIITDVSSVFELFTVTPVVVTAYLCHFNVHPIDNELEDSSQIRGVVRASLALCASVYLLTSFFGFLLFGEATLDDVLANFDTDLGIPFGAVLNDAVRATYAAHLVLVFPVVFYAVRINLDGLLFPSSRPLVLDNVRFAIITVALVAFSFWGANFIPSIWDIFQFTGATAAACLAFIFPASIVLKDRYNIATRRDKILSVLMIVLAVFANVVAIYSDALALIQTSLSSMGVIS >Vigun03g401100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60793965:60794821:-1 gene:Vigun03g401100.v1.2 transcript:Vigun03g401100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLMRLKSVLKKWNSFGNGRQSRHSTSAVADDESSTRSDLHAVYVGKSRRLYRVSSGVLDNPVFRELVERSRDDSDHQDDTINVACEVVLFEHLLWMLDNADPQPESLNELVDFYAC >Vigun04g131500.1.v1.2 pep primary_assembly:ASM411807v1:4:32890385:32895406:-1 gene:Vigun04g131500.v1.2 transcript:Vigun04g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLFRCVAIVEKNKPYVAMLFVQFVYAGMALLSKAAISKGMSPYVFVVYRQAFASVALSPFAFFDSKQPAPLSCNLLCKLFLVSLVGLTLSSNLYYVSINYTTATFAAASSNTVPAITFIMALLIRVETISIKRAHGIAKILGSILSLSGAITFALVKGPPLSFMKWYQQNQNQTPSTVVHYKVDIIKGPLMMLLANTTWSLWLILQGFVVKQYPAKLRLTALQCFFSFMQSTVVAVAVERHNPSAWKLGWDIHLLSVAYCGIVVTGICYWLQVCTIETKGPVFTAMFTPLALIITAIFSALLWKETLYLGSVGGTILLVVGLYSVLWGKSKESVKVENLEPQQTKEETRLECIVQH >Vigun04g131500.2.v1.2 pep primary_assembly:ASM411807v1:4:32890385:32895406:-1 gene:Vigun04g131500.v1.2 transcript:Vigun04g131500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLFRCVAIVEKNKPYVAMLFVQFVYAGMALLSKAAISKGMSPYVFVVYRQAFASVALSPFAFFDSKQPAPLSCNLLCKLFLVSLVGLTLSSNLYYVSINYTTATFAAASSNTVPAITFIMALLIRVETISIKRAHGIAKILGSILSLSGAITFALVKGPPLSFMKWYQQNQNQTPSTVVHYKVDIIKGPLMMLLANTTWSLWLILQGFVVKQYPAKLRLTALQCFFSFMQSTVVAVAVERHNPSAWKLGWDIHLLSVAYCL >Vigun03g291500.1.v1.2 pep primary_assembly:ASM411807v1:3:47545900:47547679:-1 gene:Vigun03g291500.v1.2 transcript:Vigun03g291500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEESWTSWLCDMEPDDYSFIGQSDIKVEDVNGSLASPCDIATALEENPQSSFSTESHSESGIEERPLKLLKTCTSNSAKTDQLSQMKASIPCSYILSFDNTNPPTTKVESAIKPETKVANRGISLPSKNEPKRVTQESKKTGSSARSSHHTQDHIIAERMRREKISQQFIALSSLIPDLKKMDKVSVLVEAIRYVKELKEKVKVMEEQGKRKRQEPVTRGKKAQEDEDVSDTSSNSCEFGNSEDPSSKINLLLPEVEARVSKRNVLIRILCEKEKVVLANIFREIEKLHLSVINSSALSFGSSVLDTTIVAEMEDEFNMSVKELAGNLRVRLMQFM >Vigun06g058000.1.v1.2 pep primary_assembly:ASM411807v1:6:18444166:18446915:1 gene:Vigun06g058000.v1.2 transcript:Vigun06g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFVFPKFLEAVKMLRDLPKEVAEVTDKLESFQDFIHDANKMAEAEEDNNRRYRIRKRLMRLRKAAFLMEDVIDDYMICDEKQSEEDPRCAALLCEAVGFIKTQVLRLQIAYQIQDVTSLARAERDGFENLFPTGSRSDGSRGNENFTWHKLRMDPLFIKQELVGFEDPIHTLKKWLTDGRKERTVISICHALVTVSRPYDVLRVLREMTNKLCKETKDPPLQNVATMDRMSLIEEIRNRLCNKRYVVLFDDVWNETFWDDIELALIDNKNGSRILITTRDEKVVEFCKKALFFEVHKLQPLSKAKSLELLCKKAFGYGFDGCCPKDYEEVGLDIVRKCECLPLAIVAIGSLLYRKCKSPYEWRLNSELQSVTKILSLSYDYLPQNLKSCLLYFGMYPEDYEVKCGRLIPQWIAEGFVKHENERNLEEVAQQHLMELISRSLVQVASLTKDGKTRACRVHDSIHEMIRGKIKTTGFCEYIDEHNHLESSGSIRRLTIATKSQHARSILIFTNKVLSEDFIRGLLAKYTRLKVLDFEFAPLSHVPENLGSLIHLKYLSFRNTCIESLPKSIGKLQNLETLDVRTDMEIEIPKEITKLRKLRYLLGSRISSIAVKDSLGSMTSLEKMHELEIDPEGAVIGELGKLKQLRDLRLVGLRGDDADTLCSSINQMPLLERLHISVTSQTNEAINLHITSSLSELKKLHLYGNLKDFPNWIPPLENLVKLSLVESRLTNIPLISLGNMPNLLILSFDYKCYEGETLHFQNGGFQKLKELELSGLKQLRSIFIDSGALQSLEKLKLMSIRQLKAVPSGFQYLKKLQVLDIFHMSTEFQQRIDPDTGEEHWMIKHVPHLHIVKKSTLSQIFERVGLSPLRLLV >Vigun10g042600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5972578:5973327:-1 gene:Vigun10g042600.v1.2 transcript:Vigun10g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCVFYLSIPKIIEPEFTTVLIVNYTKCRCHIHNLGTIISFNDEDWHSIMSNLGSGDKVEIFVNFGNGLVVKKTTVYLICGESQHFERKSEPNKNSLVRFIKKIVR >Vigun02g075100.1.v1.2 pep primary_assembly:ASM411807v1:2:22666505:22679689:-1 gene:Vigun02g075100.v1.2 transcript:Vigun02g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVTTVMMVSLVLTVGLNTLVKANLSKGMSNFVFVAYSNLFGFCFLLIATTLRYRNTSPPPINNSILFRAFLIGFFGVFIETLSYTGLRYGSPTLSSAIEDLLPAFTFIIAVVFRMEKLDLKVRSCQAKIIGTVVSIAGALMVTLYKGLAITSGVMRNDFFLSSQQSQWLLGGFFLSMATFSASVSIVIQTWTIKDYPEELMLITIATSFSVILSFVVAFIAEKNPKAWILKPDMELVCMLCTGIIVICTRGVVDAWACRKMGAVYAAMFSPIGIVIALAMGIVFLGDTLYLGSVIGAAIIAIGFYAVLWGRAQEESKTSEKHEAYGIISSSSSGSETILLLHTSKDTMIT >Vigun02g075100.3.v1.2 pep primary_assembly:ASM411807v1:2:22666505:22679689:-1 gene:Vigun02g075100.v1.2 transcript:Vigun02g075100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVTTVMMVSLVLTVGLNTLVKANLSKGMSNFVFVAYSNLFGFCFLLIATTLRYRNTSPPPINNSILFRAFLIGFFGVFIETLSYTGLRYGSPTLSSAIEDLLPAFTFIIAVVFRMEKLDLKVRSCQAKIIGTVVSIAGALMVTLYKGLAITSGVMRNDFFLSSQQSQWLLGGFFLSMATFSASVSIVIQTWTIKDYPEELMLITIATSFSVILSFVVAFIAEKNPKAWILKPDMELVCMLCTGIIVICTRGVVDAWACRKMGAVYAAMFSPIGIVIALAMGIVFLGDTLYLGRSCYNSYRILCCIMGSGSRGK >Vigun02g075100.2.v1.2 pep primary_assembly:ASM411807v1:2:22666505:22679721:-1 gene:Vigun02g075100.v1.2 transcript:Vigun02g075100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVTTVMMVSLVLTVGLNTLVKANLSKGMSNFVFVAYSNLFGFCFLLIATTLRYRNTSPPPINNSILFRAFLIGFFGVFIETLSYTGLRYGSPTLSSAIEDLLPAFTFIIAVVFRMEKLDLKVRSCQAKIIGTVVSIAGALMVTLYKGLAITSGVMRNDFFLSSQQSQWLLGGFFLSMATFSASVSIVIQTWTIKDYPEELMLITIATSFSVILSFVVAFIAEKNPKAWILKPDMELVCMLCTGIIVICTRGVVDAWACRKMGAVYAAMFSPIGIVIALAMGIVFLGDTLYLGSYRILCCIMGSGSRGK >Vigun08g096300.3.v1.2 pep primary_assembly:ASM411807v1:8:23144905:23154880:1 gene:Vigun08g096300.v1.2 transcript:Vigun08g096300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNASEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLGKSRETSIFLGAVSTANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAVDFFMPPICSPIVRPGRPAEASPVVSKQLSDTISSSRMIDLKELSLVSGKAAWMTYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLVSDEDGQNQAQEPVNKEKRKLTLRSIPFSLTCILHKNYILADPTAEEESIVETHLTIVLDTSGQLISLYKPGGSVLAYTSSVQDCVALTRQRVKELKSLLDKENSAMEV >Vigun08g096300.1.v1.2 pep primary_assembly:ASM411807v1:8:23144701:23155074:1 gene:Vigun08g096300.v1.2 transcript:Vigun08g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNASEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLGKSRETSIFLGAVSTANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAVDFFMPPICSPIVRPGRPAEASPVVSKQLSDTISSSRMIDLKELSLVSGKAAWMTYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLVSDEDGQNQAQEPVNKEKRKLTLRSIPFSLTCILHKNYILADPTAEEESIVETHLTIVLDTSGQLISLYKPGGSVLAYTSSVQDCVALTRQRVKELKSLLDKENSAMEV >Vigun08g096300.2.v1.2 pep primary_assembly:ASM411807v1:8:23145591:23154968:1 gene:Vigun08g096300.v1.2 transcript:Vigun08g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNASEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLGKSRETSIFLGAVSTANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAVDFFMPPICSPIVRPGRPAEASPVVSKQLSDTISSSRMIDLKELSLVSGKAAWMTYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLVSDEDGQNQAQEPVNKEKRKLTLRSIPFSLTCILHKNYILADPTAEEESIVETHLTIVLDTSGQLISLYKPGGSVLAYTSSVQDCVALTRQRVKELKSLLDKENSAMEV >Vigun01g228200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40064107:40065435:-1 gene:Vigun01g228200.v1.2 transcript:Vigun01g228200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGASLVHSFASIVENALRSIGGDLGAFPLHEFSMAVENALRSIGGDLGAFPLHEFSMTVENALSSIGGDLGALPVHGYASAVENAMRSIVGDFRALPVNGFASTVENAMRSTVGDFRALPVHDFASTEENPMRSIGGDLGMSHSPVPGFQLYTLVELAAATDNFSANNTICAGSSSVVYIGKLVDGSEVAIERVETGSSRTPEEAFWWKRMCSSTFVPGLRPKNLVGLVGLCVEKDERVLVYECMKNGSLNDHLHDKRSNVLNSWEIRIKLALDASRGIEYLHKYGVPYTVHGDIKPSNILIDATWTAKVCNFGKKAGSIGYIDPEYSSVGVLTEKSDVYGFGVVLLELLTGKRAACGKNGGSILHVPFAEGAILGGDFVKILDTRIGKPHLGEAEAVELVAHTAINCVNVVGKVRPTIAQVVVNLERAYAYFLYKPCY >Vigun01g228200.2.v1.2 pep primary_assembly:ASM411807v1:1:40064128:40065435:-1 gene:Vigun01g228200.v1.2 transcript:Vigun01g228200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGASLVHSFASIVENALRSIGGDLGAFPLHEFSMAVENALSSIGGDLGALPVHGYASAVENAMRSIVGDFRALPVNGFASTVENAMRSTVGDFRALPVHDFASTEENPMRSIGGDLGMSHSPVPGFQLYTLVELAAATDNFSANNTICAGSSSVVYIGKLVDGSEVAIERVETGSSRTPEEAFWWKRMCSSTFVPGLRPKNLVGLVGLCVEKDERVLVYECMKNGSLNDHLHDKRSNVLNSWEIRIKLALDASRGIEYLHKYGVPYTVHGDIKPSNILIDATWTAKVCNFGKKAGSIGYIDPEYSSVGVLTEKSDVYGFGVVLLELLTGKRAACGKNGGSILHVPFAEGAILGGDFVKILDTRIGKPHLGEAEAVELVAHTAINCVNVVGKVRPTIAQVVVNLERAYAYF >Vigun02g181700.1.v1.2 pep primary_assembly:ASM411807v1:2:32221394:32223296:-1 gene:Vigun02g181700.v1.2 transcript:Vigun02g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEKGWEQKALVSELIQGLEIARKLKEDFNIPSSIDTRDSLLQRILCSYNKALLLLRRNPPISNSETMHQPTQTSSPQSLQLRHQSPPKEHFEGALKNHHQELKQTAKKRKKLPKRTEQVRVKIENGVEEPLQDGYSWRKYGQKNILNAKYPRSYYRCTFQKSKDCCATKQVQRSEEDPNVFDISYRGSHVCSHVPSLKSPDTEEKPQGCDNNVQFHGAESWRERVTNCTNTSTVETDNVTPNPFPSFGCMIQDNHHMFLSSFVLENDPFFSTISQTSLFSPNTPELNSLVSPSFHVHDEFDGVFENLCPDSNAAGIVLADTSTTNFSTFDFSFDAVGIGASCPSMPRGFHPKCT >Vigun08g006400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:561871:562796:1 gene:Vigun08g006400.v1.2 transcript:Vigun08g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDAVVSNLTVLYVAVIVCIKAYGFVCGRSFGGAFVLLVSTATVALILVATLTWDVSRKATYAFAADHSPPPSPPHHAHEPCKGGICWHGVAVRSPASQVRFRLPQNLPHTTL >Vigun10g058600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11169600:11169908:-1 gene:Vigun10g058600.v1.2 transcript:Vigun10g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREDFMVSPVGDSKPTLRAAFFLKPPAESLEGRVAEVLSISMTMPLPSVFEPKEWPLVIHFTCWRPTYRKWVEWVDDLRDRYESVWKKVGIFEAVMSTKA >Vigun07g058800.1.v1.2 pep primary_assembly:ASM411807v1:7:6547571:6551296:1 gene:Vigun07g058800.v1.2 transcript:Vigun07g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFKYIIVGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEWYTEKGIELILSTEIVKADLAAKSLISASGETFSYQTLIIATGSTVIRLTDFGVEGADAKNIFYLREIDDADKLYEAIKAKKNGKAVVVGGGYIGLELSAVLKLNNIDVTMVYPEPWCMPRLFTADIAEFYEGYYANKGVNIIKGTVAVGFTSNSDGEVKEVKLKDGRVLEADIVVVGVGGRPQTALFKGQVEEDKGGIKTDSFFKTNLSDVYAVGDVATFPLKLYGELRRVEHVDHSRKSAEQAVKAIKAAEDGKTVEEYDYLPYFYSRAFDLSWQFYGDNVGDTVLFGDNKPTSAKPKFGSYWIKDGKVVGAFLESGTPEENSAIAKVARVQPPVADIDQLAKEGLSFASKI >Vigun06g005450.1.v1.2 pep primary_assembly:ASM411807v1:6:2967244:2967615:1 gene:Vigun06g005450.v1.2 transcript:Vigun06g005450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRMEKHTALKSSLLLQQRHKPFSKETSHFGLSLQSYLTV >Vigun04g003500.1.v1.2 pep primary_assembly:ASM411807v1:4:241094:245318:1 gene:Vigun04g003500.v1.2 transcript:Vigun04g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVSVDMEVLLLARKEHHIRTGCGSVSVIVCGDQNKPPLITYPDVALNYLSCFQGLFFCPEAASLLLHNFCIYHICPPGHELGAAAVCPDDPIPSAEDLANQIIEVLNYFRLGAVMCMGASAGAYILSLFATKYRERVVGLILVSPLCKAPSWTEWFYNKMMSNLLYFYGVCGVLKECLLQRYFSKEVRGDAEFPESEIVQACRKLLDERKSINIFRFLQAINERPDITEGLKRLKCRTLIFVGDSSPFHSEAIHMTSKLDRRYTALVEVQGCGSMVTEEQPYAMLVPMENFLKGYGMYRPSYLSGSPKSPLSPSCISPELLSPESMGLKLKPIKTRV >Vigun10g130600.7.v1.2 pep primary_assembly:ASM411807v1:10:33880909:33891426:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTVLFTADGFIRRHT >Vigun10g130600.8.v1.2 pep primary_assembly:ASM411807v1:10:33885206:33891524:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDRGQGCRVIELFAYLFLGFQEI >Vigun10g130600.9.v1.2 pep primary_assembly:ASM411807v1:10:33885205:33891682:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDRSLI >Vigun10g130600.4.v1.2 pep primary_assembly:ASM411807v1:10:33880029:33891778:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTVLFTADGFIRRHT >Vigun10g130600.5.v1.2 pep primary_assembly:ASM411807v1:10:33880029:33891775:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTVLFTADGFIRRHT >Vigun10g130600.6.v1.2 pep primary_assembly:ASM411807v1:10:33880080:33891426:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTVLFTADGFIRRHT >Vigun10g130600.1.v1.2 pep primary_assembly:ASM411807v1:10:33880782:33891778:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKEVNGHDIRHQSSEAEIISETSYLHYAEDDAFTSKPEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTVLFTADGFIRRHT >Vigun10g130600.10.v1.2 pep primary_assembly:ASM411807v1:10:33884211:33891639:-1 gene:Vigun10g130600.v1.2 transcript:Vigun10g130600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLAVIKPEMMKPYVWLQTSDDSIQQVEQEIAMFCPLICQEIIQKGMGSSKNCAICLPQQVSPAMLSLILDYCRFHQVPGRSNKERKSYDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLRNITADPRIRLLNRLYAKKRKELKERERLKNVEVEEEHVDDRSVDDLLSFINGNDGDPKGVKTSKNKKKNRRKKEQQKNSSLKEASEVNKKKMMLLLLSLSLMMVI >Vigun06g182500.2.v1.2 pep primary_assembly:ASM411807v1:6:30219262:30224413:1 gene:Vigun06g182500.v1.2 transcript:Vigun06g182500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEEHDCSSNWSLYQHIDLSKQLLQQEHLESNEGDPELLVFIPFTSDVKIKSISIVGGAYGTSPSKMRVFINREGIDFSDAQSMQAVQEWDLVENMQGVLEYQTRYSKFQSVANITLHFPESFGGDITEIYYIGFKGEATQLKRDAVANIVYELLPNPSDHKTRAESGGGLSQIE >Vigun06g182500.1.v1.2 pep primary_assembly:ASM411807v1:6:30219262:30224413:1 gene:Vigun06g182500.v1.2 transcript:Vigun06g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEEHDCSSNWSLYQHIDLSKVFALNEATPGSVKSVFKAWEDRLNTSGEHLESNEGDPELLVFIPFTSDVKIKSISIVGGAYGTSPSKMRVFINREGIDFSDAQSMQAVQEWDLVENMQGVLEYQTRYSKFQSVANITLHFPESFGGDITEIYYIGFKGEATQLKRDAVANIVYELLPNPSDHKTRAESGGGLSQIE >Vigun03g328900.4.v1.2 pep primary_assembly:ASM411807v1:3:52579527:52584172:-1 gene:Vigun03g328900.v1.2 transcript:Vigun03g328900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSISAVPIPSAANTKNPGKKKRTNRSAKLKQYKIDARREQWLSQGVVKSKGCKDGVDDDVVHAPPPSVNHGKHSLEPLNTRREGEEDDGLIHHDSDSESPTNCPAGVLCGNDSGTNFTGSSSGGSSSSSSSSSSGGCCSGNITEEEGEEEEEEGEDDDDGCLDDWEAMADALAADDKHENPCSDSPPVVLPREGSNLESPNSKPESSRLVPWGSGSSRAWRADDAFRPQSLPNLSKQHSMPNPDRRCVGGVPWARVSTPSSCPICCEDLDLTDTSFLPCFCGFRLCLFCHKRILEEDGRCPGCRKPYECEPVETEASVHGGSLTLRLARSCSMIERS >Vigun09g243800.1.v1.2 pep primary_assembly:ASM411807v1:9:41264604:41273419:-1 gene:Vigun09g243800.v1.2 transcript:Vigun09g243800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTSCALSRFHCKLRFAVVVFVIILILAWVEAHNANLHEHQLQGRLERNTENIASHSCIHDQILEQRKRPGRKVYLVTPQVYEPSRLKHLKHKGRALLDVSTSSGSQEDAKEPIRIYLNYDAVGHSPDRDCRAIGDIVKLGEPPMTYPPGFPSCDPHGNPPIFGDCWYNCTSEDISGEDKKRRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYIEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRNQVTEQVMDEKLGRMVTRVVLPRVVMHSRYHYAAFSGNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGLNQGTEFVTSPCNLWKGAYRCNTTQFSGCTYNREAEGYCPILTYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCMNNSLEVAVDGIWKVCPQAGGPIQFPGFNGELICPAYHELCNTDPVAVSGQCPNSCNFNGDCVDGKCQCFLGFHGNDCSRRSCPSKCNGNGVCLSDGICECKPGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLGNDVSGQHCAPSEPSILQQLEEVVVLPNYHRLFPGGARKLFNIFGSTYCDETAKRLACWISIQKCEKDGDNRLRVCHSACESYNLACGASLDCSDQTLFSRDGEGEGQCTGSGEMKMSWFNRLRSTFSLRNSSLKGISVRYRQL >Vigun09g243800.2.v1.2 pep primary_assembly:ASM411807v1:9:41264604:41272640:-1 gene:Vigun09g243800.v1.2 transcript:Vigun09g243800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLEIILILAWVEAHNANLHEHQLQGRLERNTENIASHSCIHDQILEQRKRPGRKVYLVTPQVYEPSRLKHLKHKGRALLDVSTSSGSQEDAKEPIRIYLNYDAVGHSPDRDCRAIGDIVKLGEPPMTYPPGFPSCDPHGNPPIFGDCWYNCTSEDISGEDKKRRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYIEEGVSDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRNQVTEQVMDEKLGRMVTRVVLPRVVMHSRYHYAAFSGNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGLNQGTEFVTSPCNLWKGAYRCNTTQFSGCTYNREAEGYCPILTYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCMNNSLEVAVDGIWKVCPQAGGPIQFPGFNGELICPAYHELCNTDPVAVSGQCPNSCNFNGDCVDGKCQCFLGFHGNDCSRRSCPSKCNGNGVCLSDGICECKPGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLGNDVSGQHCAPSEPSILQQLEEVVVLPNYHRLFPGGARKLFNIFGSTYCDETAKRLACWISIQKCEKDGDNRLRVCHSACESYNLACGASLDCSDQTLFSRDGEGEGQCTGSGEMKMSWFNRLRSTFSLRNSSLKGISVRYRQL >Vigun01g120300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29706606:29707390:-1 gene:Vigun01g120300.v1.2 transcript:Vigun01g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNFNKFMADLCVTDMNRILEMYTRFEVISKLVDVGGGNGQNLKIIISKCPSIKGLNFISQSD >Vigun06g051200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17703600:17705867:1 gene:Vigun06g051200.v1.2 transcript:Vigun06g051200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNYNRQRSGIWRCLRDGDFEEEEVWAVFKERPDYNNISGVHKPKEKGSSPLAVPRTLPSAARMIPRTSSGNSSASSSHEAKVLQQSAPLNIPDWSQIYRNKPNNTPNSVSKFGGYGDFYHSGEGDDDGEGIGNYGGGYSDDEEEEDDEYDTKLPPHEFIARRLARSQISSFSVFEGVGRTLKGRDLSKVRNAVLTKTGFLESL >Vigun06g051200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17703600:17705867:1 gene:Vigun06g051200.v1.2 transcript:Vigun06g051200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNYNRQRSGIWRCLRDGDFEEEEVWAVFKERPDYNNISGVHKPKEKGSSPLAVPRTLPSAARMIPRTSSGNSSASSSHEAKVLQQSAPLNIPDWSQIYRNKPNNTPNSVSKFGGYGDFYHSGEGDDDGEGIGNYGGGYSDDEEEEDDEYDTKLPPHEFIARRLARSQISSFSVFEGVGRTLKGRDLSKVRNAVLTKTGFLESL >Vigun06g051200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17703489:17705867:1 gene:Vigun06g051200.v1.2 transcript:Vigun06g051200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNYNRQRSGIWRCLRDGDFEEEEVWAVFKERPDYNNISGVHKPKEKGSSPLAVPRTLPSAARMIPRTSSGNSSASSSHEAKVLQQSAPLNIPDWSQIYRNKPNNTPNSVSKFGGYGDFYHSGEGDDDGEGIGNYGGGYSDDEEEEDDEYDTKLPPHEFIARRLARSQISSFSVFEGVGRTLKGRDLSKVRNAVLTKTGFLESL >Vigun06g111000.1.v1.2 pep primary_assembly:ASM411807v1:6:23988006:23992792:-1 gene:Vigun06g111000.v1.2 transcript:Vigun06g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEETQLNRLENQVDNGGGGAWDYLCLVRKLKVRRSEKVLKHGLSILNDPKQRSSLGADEWTLYEQVAVAAMDCQCLDVAKDCTKVLRKRFPDSKRVGRLEAMLFEAKGSWELAEKAYASLLEDNPLDQAIHKRRVAMAKAQGNISVAIDWLNKYLETFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGICLCASAITQITKGKSKEEKEGSQLQSLAAKVLEKDYKQRAPDKLPQLTTALKSLTLSS >Vigun06g111000.2.v1.2 pep primary_assembly:ASM411807v1:6:23988395:23992792:-1 gene:Vigun06g111000.v1.2 transcript:Vigun06g111000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEETQLNRLENQVDNGGGGAWDYLCLVRKLKVRRSEKVLKHGLSILNDPKQRSSLGADEWTLYEQVAVAAMDCQCLDVAKDCTKVLRKRFPDSKRVGRLEAMLFEAKGSWELAEKAYASLLEDNPLDQAIHKRRVAMAKAQGNISVAIDWLNKYLETFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALFGICLCASAITQITKGKSKEEKEGSQLQSLAAKVLEKDYKQRAPDKLPQLTTALKSLTLSS >Vigun01g007100.1.v1.2 pep primary_assembly:ASM411807v1:1:842605:846534:-1 gene:Vigun01g007100.v1.2 transcript:Vigun01g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSKKDFPADDKAGTAENKTIKEETSSKDSPAGQRAASEPAAGFPNNPFDFSGMAGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGAPQDGVPNFDNQQYFSTMQQVMQNPNFMNMAERLGNALMQDPSMSAMLENFANPSNKDQLEERMARIKEDPSLKHILEEIETGGPAAMMRYWNDENVLRKLGQAMGLANSGDAGATAEHSGVDETEDLGNEDESIVHHTASVGDVEGLKAALASGADKDEEDAEGRTALHFACGYGEVKCAQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIDVAKLNNQNEVLKLLEKDAFL >Vigun09g081900.1.v1.2 pep primary_assembly:ASM411807v1:9:9825271:9825761:1 gene:Vigun09g081900.v1.2 transcript:Vigun09g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTLDFSPTFQPHHTTPIIIMLSSFPLSLTLLHHHHYLLKHHHHLLLRHTQEPFLHTKQGHTQMQQDNSTMKN >Vigun03g339050.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53722257:53722526:1 gene:Vigun03g339050.v1.2 transcript:Vigun03g339050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLYFLLTLQGGGLFVPNFDAISCVCLKVYSSIINLIFDLLSAGIKGKAGCTRSTKKCSKRKTVDPDIKVVFVDSNIFKWKGLIKVC >Vigun03g339050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53722257:53725748:1 gene:Vigun03g339050.v1.2 transcript:Vigun03g339050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLYFLLTLQGGGLFVPNFDAISCVCLKVYSSIINLIFDLLSAGIKGKAGCTRSTKKCSKRKTVDPDIKVVFVDSNIFKWKGLIKVC >Vigun09g240600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41056321:41057933:1 gene:Vigun09g240600.v1.2 transcript:Vigun09g240600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVHSSPCYPMENPALASLLRHTTGESKRSSKFSGGGGLLKMFKLFPMLTSGCKMVALLGRPRKMLKDSATTGTIFGYRKGRVSLAIQEDTRQMPIFLIELPMLASALNKEMASDIMRIALESETKSSKKKLMEEFVWAVYCNGRKVGYSIRRKQMSDDELHVMQHLRGVSMGAGVLPTASDHKDCDGEMTYMRARFERVVGSKDSEALYMINPDGAQGPELSIFFVRPH >Vigun04g086800.1.v1.2 pep primary_assembly:ASM411807v1:4:14720614:14724801:1 gene:Vigun04g086800.v1.2 transcript:Vigun04g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTCLMQQPFCYASGISNEANENNPIHALGQSISFGRFMSESLAWEKWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKLAAQKAAALLEQANNGAQNNATVTHQKDNDTVTHQNDSVTVNHNSQTSPNSEMVVEVEQDANVLTVTPESVKVEGTEAEMEEVAVVGNSMEVELQNQVEDLDDAQREQNEKLSVNVTPVLTPLAKQVSISDQEVLADVGNKKPPVSSFKLSSKANNGTSKFTSTPVKSTAAISYKKENIASPISIKPANGTSKFTTTPVKPTAATSFKRDNIASPMSNRPSKFTATPIKSAAAISFRRDNIVTPVNNMPVGLNTADKKRSTPRSVNFTPIRELNRLTASVMRKFESTRVGAGPSKASKDNLTPMRTPTMASKEIQKHTLTPLTEKKGNKTPLDLSSAPRSHTGGPKWNFLSGENRMKSPLISSPFSLRTEERAARRKKKLEEKFNANEAQKVQLQTKHKEKTETEIIRKLRQSFCFKARPLPDFYKERKTSKGETKKDLLTHSETPKDGRKSTPTMAENKTYFPPNRPVLKTSGTKHFQGKSGRTLTHPLTSTSHVIPTHENASPNIQHGYLHSRDYKY >Vigun04g086800.2.v1.2 pep primary_assembly:ASM411807v1:4:14720614:14724801:1 gene:Vigun04g086800.v1.2 transcript:Vigun04g086800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTCLMQQPFCYASGISNEANENNPIHALGQSISFGRFMSESLAWEKWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKLAAQKAAALLEQANNGAQNNATVTHQKDNDTVTHQNDSVTVNHNSQTSPNSEMVVEVEQDANVLTVTPESVKVEGTEAEMEEVAVVGNSMEVELQNQVEDLDDAQREQNEKLSVNVTPVLTPLAKVSISDQEVLADVGNKKPPVSSFKLSSKANNGTSKFTSTPVKSTAAISYKKENIASPISIKPANGTSKFTTTPVKPTAATSFKRDNIASPMSNRPSKFTATPIKSAAAISFRRDNIVTPVNNMPVGLNTADKKRSTPRSVNFTPIRELNRLTASVMRKFESTRVGAGPSKASKDNLTPMRTPTMASKEIQKHTLTPLTEKKGNKTPLDLSSAPRSHTGGPKWNFLSGENRMKSPLISSPFSLRTEERAARRKKKLEEKFNANEAQKVQLQTKHKEKTETEIIRKLRQSFCFKARPLPDFYKERKTSKGETKKDLLTHSETPKDGRKSTPTMAENKTYFPPNRPVLKTSGTKHFQGKSGRTLTHPLTSTSHVIPTHENASPNIQHGYLHSRDYKY >Vigun04g086800.4.v1.2 pep primary_assembly:ASM411807v1:4:14720614:14724802:1 gene:Vigun04g086800.v1.2 transcript:Vigun04g086800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLAWEKWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKLAAQKAAALLEQANNGAQNNATVTHQKDNDTVTHQNDSVTVNHNSQTSPNSEMVVEVEQDANVLTVTPESVKVEGTEAEMEEVAVVGNSMEVELQNQVEDLDDAQREQNEKLSVNVTPVLTPLAKQVSISDQEVLADVGNKKPPVSSFKLSSKANNGTSKFTSTPVKSTAAISYKKENIASPISIKPANGTSKFTTTPVKPTAATSFKRDNIASPMSNRPSKFTATPIKSAAAISFRRDNIVTPVNNMPVGLNTADKKRSTPRSVNFTPIRELNRLTASVMRKFESTRVGAGPSKASKDNLTPMRTPTMASKEIQKHTLTPLTEKKGNKTPLDLSSAPRSHTGGPKWNFLSGENRMKSPLISSPFSLRTEERAARRKKKLEEKFNANEAQKVQLQTKHKEKTETEIIRKLRQSFCFKARPLPDFYKERKTSKGETKKDLLTHSETPKDGRKSTPTMAENKTYFPPNRPVLKTSGTKHFQGKSGRTLTHPLTSTSHVIPTHENASPNIQHGYLHSRDYKY >Vigun04g086800.3.v1.2 pep primary_assembly:ASM411807v1:4:14720614:14724801:1 gene:Vigun04g086800.v1.2 transcript:Vigun04g086800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLAWEKWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKLAAQKAAALLEQANNGAQNNATVTHQKDNDTVTHQNDSVTVNHNSQTSPNSEMVVEVEQDANVLTVTPESVKVEGTEAEMEEVAVVGNSMEVELQNQVEDLDDAQREQNEKLSVNVTPVLTPLAKVSISDQEVLADVGNKKPPVSSFKLSSKANNGTSKFTSTPVKSTAAISYKKENIASPISIKPANGTSKFTTTPVKPTAATSFKRDNIASPMSNRPSKFTATPIKSAAAISFRRDNIVTPVNNMPVGLNTADKKRSTPRSVNFTPIRELNRLTASVMRKFESTRVGAGPSKASKDNLTPMRTPTMASKEIQKHTLTPLTEKKGNKTPLDLSSAPRSHTGGPKWNFLSGENRMKSPLISSPFSLRTEERAARRKKKLEEKFNANEAQKVQLQTKHKEKTETEIIRKLRQSFCFKARPLPDFYKERKTSKGETKKDLLTHSETPKDGRKSTPTMAENKTYFPPNRPVLKTSGTKHFQGKSGRTLTHPLTSTSHVIPTHENASPNIQHGYLHSRDYKY >Vigun03g196500.1.v1.2 pep primary_assembly:ASM411807v1:3:27784555:27788842:1 gene:Vigun03g196500.v1.2 transcript:Vigun03g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTAEHHRDNHRDHHHLVLKSAICSRLLLLALIVFFSTLLSPYDTSATLNPPCLSTPNATLSSPLQNAVVWDSVYFLRITQCGYEYEQSFAFLPLLPLSLSLVSFIPTQTPLFVLCAYLINNLAFVLAALYFYRLSLTLLKDPDTALRATLLFCFNPASVFYSSIYSESLYALLCFGGMYHFVCGRNNFALLFFALSGCARSNGVLNAGYLLFQTMHRSYHALFHHKRLPLALQIVFIGALRTACIFAPFLAFQAYGYYHMCVGRSHDEIRPWCKGRIPLLYSYIQSHYWGVGFLRYFQLKQLPNFLLASPILSLALCSIVHYAKSRPQNFFSLGFLAPMEEKSSGAVFLSRDLSRSEEADSVGKSSSVRVEEHLNLRRRKNVIKGDVANVPIESESAEAWPGYLSASVLPFVLHLGFMACTAFFVMHVQVATRFLSASPPLYWFAAYIMAYPTKYYRWGYVIWAYSIAYIFLGSLLFSNFYPFT >Vigun09g099200.1.v1.2 pep primary_assembly:ASM411807v1:9:15916525:15931970:-1 gene:Vigun09g099200.v1.2 transcript:Vigun09g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTEKKTAKAEEKKARRETKKLSPEDDIDAILLSIQKEEAKKKEVHVDDNVPAPSPRSNCSLTVNPLKETELILYGGEFYNGNKTFVYGDLFRYDVEKLEWKLVSSPNSPPPRSAHQAVAWKNYIYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPSPRSGHRMILYKHKIILFGGFYDTLREVRYYNDLFVFDLDQFKWQEIKPKPGAMWPTARSGFQLFVYQDDIFLYGGYSKEVSSDKNNSEKGIVHSDMWSLDPKTWEWNKVKKGGMPPGPRAGFSMCVHKRRALLFGGVVDMEVEGDVMMSLFLNELYGFQLDTSRWYPLELRKEKSTKDKLKKVEQNCPDDVNKKINPACTAREETVESEDEESNIDDISKNIASNMSIVDGENLTNSDEKPKESGAKLDIQSSLPEVVKPCGRINACMAVGRDTLYIYGGMMEIKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDDEEDEDGDEDESDGESSTDEDDDEEEEEEEAQNASVQVGDAVALIKGVGKNLRRKERRSRIEQIRASLGLSDSQRTPMPGESLRDFYRRTNMYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPILDELALLEAEQKAEEAEGPETSAKKRGKKKTRN >Vigun08g176900.1.v1.2 pep primary_assembly:ASM411807v1:8:34713457:34714103:1 gene:Vigun08g176900.v1.2 transcript:Vigun08g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNHCCRFCQINVESIMDDFSGKFCRSCTMCGKILLDSTTPIQIIFPNTEINKTSGRIRKRRKKSVKFVNKACK >Vigun07g012800.2.v1.2 pep primary_assembly:ASM411807v1:7:1117490:1122844:-1 gene:Vigun07g012800.v1.2 transcript:Vigun07g012800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPPHLSETKFPPSLVFCSRLLYAPNSLFSFQTLFPTLSLSKPHFLSLKPYFPPILALFPPNFPQFRSAPRPMSTAAGPPDRRASPPRGAAATTVRPALKRHLAFVTKPPFAPPDDYHSFSSIDSRRLADEAVVVRSPYMKRKGGMNDSEGESHTQKWSNSPGYTNVSNITNSPFKTPVSAKGGRTQKAKASKEGRSCPPTPISNAGSPSPLTPASSCRYDSSLGLLTKKFINLVKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGIESSTSGEVDGDISVLKEEVEKLSLEEQGLDDQIREMQERLRRLSENENNRKCLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPEEAVDYPQRRYRIILRSTMGPIDVYLISQFEEKFEEINGAELPMIPLASSSESNEQIMTEMVPAECSGKEFEPQTQLSSHAYSDLNASQEFGGGMMKIVPSDVDNDADYWLLSDADVSITDMWRTDCILFTFMLALVFLSCFIYCTILYS >Vigun07g012800.1.v1.2 pep primary_assembly:ASM411807v1:7:1117490:1122844:-1 gene:Vigun07g012800.v1.2 transcript:Vigun07g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPPHLSETKFPPSLVFCSRLLYAPNSLFSFQTLFPTLSLSKPHFLSLKPYFPPILALFPPNFPQFRSAPRPMSTAAGPPDRRASPPRGAAATTVRPALKRHLAFVTKPPFAPPDDYHSFSSIDSRRLADEAVVVRSPYMKRKGGMNDSEGESHTQKWSNSPGYTNVSNITNSPFKTPVSAKGGRTQKAKASKEGRSCPPTPISNAGSPSPLTPASSCRYDSSLGLLTKKFINLVKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGIESSTSGEVDGDISVLKEEVEKLSLEEQGLDDQIREMQERLRRLSENENNRKCLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPEEAVDYPQRRYRIILRSTMGPIDVYLISQFEEKFEEINGAELPMIPLASSSESNEQIMTEMVPAECSGKEFEPQTQLSSHAYSDLNASQEFGGGMMKIVPSDVDNDADYWLLSDADVSITDMWRTDSTVDWNGVDVLHPDFGIISRPQTPSSGFVEVPTPGANSNQK >Vigun01g076000.2.v1.2 pep primary_assembly:ASM411807v1:1:21352959:21355630:1 gene:Vigun01g076000.v1.2 transcript:Vigun01g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISKTLIAFALLASLLLCSHASSDVPFIVAHKKASLNRLKSGAERVSVSIDIYNQGTSTAYDVSLTDDSWPSDTFEVVTGTTSKSWERLDAGGILSHTFELEAKSKELFAGEPAVIKFRVPTKAALQEAYSTPILPLDLLADRPPEKKFEWV >Vigun01g076000.1.v1.2 pep primary_assembly:ASM411807v1:1:21352964:21355630:1 gene:Vigun01g076000.v1.2 transcript:Vigun01g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISKTLIAFALLASLLLCSHASSDVPFIVAHKKASLNRLKSGAERVSVSIDIYNQGTSTAYDVSLTDDSWPSDTFEVVTGTTSKSWERLDAGGILSHTFELEAKSKELFAGEPAVIKFRVPTKAALQEAYSTPILPLDLLADRPPEKKFEWAKRLLAKYGSLISVVSIVVLFVYLVASPSKSSTKGSKKKR >Vigun05g239100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43214885:43217496:-1 gene:Vigun05g239100.v1.2 transcript:Vigun05g239100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMADIISCFNENAVNVSHSSCSSYSNNACISPTVTPSTQNSVTSVYKTTLSNQKQVLITVTWCKSHSNQGLTVTFGEDNPLAPSFRLNTNSRFFRKKKGSKVLESEESKVEVFWDLSKAKYETGPEPVEGFYVAILVDAEIGLVLGEEDVVKKFKTRTLLAKVSLLSRREHCSGNAVYATKAQFCDTGTWHDILIRCSGENEGLKAPVLSVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLLWDVHNWFFNPASGYAVFMFRTRSGLDSRLWLEEKIAQKDKDRVEFSLLIYACKST >Vigun11g218700.1.v1.2 pep primary_assembly:ASM411807v1:11:41148108:41150258:1 gene:Vigun11g218700.v1.2 transcript:Vigun11g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNHVRLNCGITMPLIGLGTYSFPNDRNTTELAVHNALEMGYRHFDTAKIYGSEPALGKALNEAICEGVVEREDIFLTSKLWGSDHHDPVSALKQTLENVGMEYLDMYLVHWPVKLKPWVNYPVPNEDDFEKLDLENTWRGMEKCLEMGLCRCIGVSNFSTNKIQSLLDHASTTPAVNQVEMHPMWRQERLRKTCGDHKIHVSAYSPLGGPGNAWGSTAVVNHPIIRSIAFKHRATPAQVALNWGLSKGSSVIVKSFNGERMKENMGSFDLRLDDEDMLEIEKLEEMKIMRGEFHVNETTSPYKTIEELWDGEI >Vigun11g218700.2.v1.2 pep primary_assembly:ASM411807v1:11:41148436:41150258:1 gene:Vigun11g218700.v1.2 transcript:Vigun11g218700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQMGYRHFDTAKIYGSEPALGKALNEAICEGVVEREDIFLTSKLWGSDHHDPVSALKQTLENVGMEYLDMYLVHWPVKLKPWVNYPVPNEDDFEKLDLENTWRGMEKCLEMGLCRCIGVSNFSTNKIQSLLDHASTTPAVNQVEMHPMWRQERLRKTCGDHKIHVSAYSPLGGPGNAWGSTAVVNHPIIRSIAFKHRATPAQVALNWGLSKGSSVIVKSFNGERMKENMGSFDLRLDDEDMLEIEKLEEMKIMRGEFHVNETTSPYKTIEELWDGEI >Vigun01g063956.1.v1.2 pep primary_assembly:ASM411807v1:1:15363046:15364939:-1 gene:Vigun01g063956.v1.2 transcript:Vigun01g063956.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKPSPLSASLLCHNRFEHLPRIDFEIIIVLVKN >Vigun03g122500.1.v1.2 pep primary_assembly:ASM411807v1:3:11474348:11478444:-1 gene:Vigun03g122500.v1.2 transcript:Vigun03g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLPSNLLPWLIVLTFATFYFFSTFLSLHTFSSSTTSQSLAISKCNLFTGHWVSDPARTPLYDQTCPFHRNAWNCLRNERQNMSFINSWKWVPRNCHLNRIDPFRFLGRMRNRNIGFVGDSLNENFLASFLCVLRVADEGAKKWKKKGAWRGAYFPKFNVTVAYHRAVLLSRYQWQPKQAKGGMQDGSEGFYRVDVDVPADDWAKIAEFYDVLVFNTGHWWNNDKFPEEKPLVFYKAGQAIVPPLGMLDGLKVVLANMITYIEKEFPRNTLKFWRLQSPRHFYGGDWNQNGSCLFNRPLEENELDSWFEPRNNGVNREARLLNEVIEEALQGTNIHLLDLTHLSEFRADAHPAIWLGRKDAVAVWGQDCMHWCLPGVPDTWVDILSQLIHDGLEKR >Vigun05g135400.1.v1.2 pep primary_assembly:ASM411807v1:5:16131278:16133271:1 gene:Vigun05g135400.v1.2 transcript:Vigun05g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRISSLPDEMLCHILSFLPSKLSVSTSILSKRWKPLWRSVPALDFYDPRERPDLFLYMCMYVFSYMLRQPIQRLHLRISPFQQRQLDGEEILSFVRDVVFGGTVQHLDLHLNFSSCIDMSSDLLWCKNLRLLKLNKLTLTFCYSAEFPLIKVLHLRNIQILDGNHLQQILSACPNVEDLKILNIDNVFVDYEDVNQFKRLPNLLRADIDENVVPLEVVGNVQFLGIKIKVYQEKINAPIPEFHHLTHLKVHNTYVNQTRYFHDVLEVVKHCHRLHNLSIEMEYWGKPNNIDDWASSECVLNLETCTLNKYTGAHWELEFAKCIMENAKFLKDMIICCDSDRTRKTLEDMKELTSCSRLSPTCNLSFRSF >Vigun05g135400.2.v1.2 pep primary_assembly:ASM411807v1:5:16131485:16133271:1 gene:Vigun05g135400.v1.2 transcript:Vigun05g135400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHILSFLPSKLSVSTSILSKRWKPLWRSVPALDFYDPRERPDLFLYMCMYVFSYMLRQPIQRLHLRISPFQQRQLDGEEILSFVRDVVFGGTVQHLDLHLNFSSCIDMSSDLLWCKNLRLLKLNKLTLTFCYSAEFPLIKVLHLRNIQILDGNHLQQILSACPNVEDLKILNIDNVFVDYEDVNQFKRLPNLLRADIDENVVPLEVVGNVQFLGIKIKVYQEKINAPIPEFHHLTHLKVHNTYVNQTRYFHDVLEVVKHCHRLHNLSIEMEYWGKPNNIDDWASSECVLNLETCTLNKYTGAHWELEFAKCIMENAKFLKDMIICCDSDRTRKTLEDMKELTSCSRLSPTCNLSFRSF >Vigun05g253100.4.v1.2 pep primary_assembly:ASM411807v1:5:44764643:44771709:-1 gene:Vigun05g253100.v1.2 transcript:Vigun05g253100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQRRGGGGMIPLSPSQTPRSSDKPVRDLRSADSNSNSHNKYDKDKGVNVQVLVRCRPLSEDEMRLHTPVVISCNEGRREVSAVQSIANKQIDRTFAFDKVFGPNSQQKELYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEETTKFIDDKSRKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARESRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIERMELDGESKDKQLMELQELYNAQQLLTAELSIKLEKTEKSLEETEQSLFDLEERHKQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDRIEEGNRILIQKFQSQLAQQLEVLHKTVSASVMHQEQQLKDMDEDMQSFVSMKAKATEDLRERVGKLKNMYGSGIKALDDLAEELKVNNQLTYDDLKSEVAKHSSALEDLFKGIALEADSLLNDLQSSLHKQEANLTAYARQQQEAHARAVETTRAVSKITVKFFETIDRHASSLTQIVEEAQFVNDQKLGELEKKFEECTAYEEKQLLEKVAEMLASSNARKKQLVQMAVNDLRESANCRTSKLRQEALTMQDSTSSVKTEWRVHMEKTESNYQEDTSAVEHGKKDLVEVLQICLNKAKVGSQQWRKAQESLLGLEKRNAASVDTIVRGGIEANQTLRSRFSSAVTTTLEDAGIANKDINSSIDHSLQLDHEACGNLNSMIIPCCGDLRELKGGHYDKIVEITEHAGECLLNEYVVDEPSCSTPRKRLFNLPSVSSIEELRTPSFEELLRAFWDARSPKHANGDVKHLGAYEAAQSVRDSRVPLTAIN >Vigun05g253100.3.v1.2 pep primary_assembly:ASM411807v1:5:44764643:44771709:-1 gene:Vigun05g253100.v1.2 transcript:Vigun05g253100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQRRGGGGMIPLSPSQTPRSSDKPVRDLRSADSNSNSHNKYDKDKGVNVQVLVRCRPLSEDEMRLHTPVVISCNEGRREVSAVQSIANKQIDRTFAFDKVFGPNSQQKELYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEETTKFIDDKSRKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARESRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIERMELDGESKDKQLMELQELYNAQQLLTAELSIKLEKTEKSLEETEQSLFDLEERHKQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDRIEEGNRILIQKFQSQLAQQLEVLHKTVSASVMHQEQQLKDMDEDMQSFVSMKAKATEDLRERVGKLKNMYGSGIKALDDLAEELKVNNQLTYDDLKSEVAKHSSALEDLFKGIALEADSLLNDLQSSLHKQEANLTAYARQQQEAHARAVETTRAVSKITVKFFETIDRHASSLTQIVEEAQFVNDQKLGELEKKFEECTAYEEKQLLEKVAEMLASSNARKKQLVQMAVNDLRESANCRTSKLRQEALTMQDSTSSVKTEWRVHMEKTESNYQEDTSAVEHGKKDLVEVLQICLNKAKVGSQQWRKAQESLLGLEKRNAASVDTIVRGGIEANQTLRSRFSSAVTTTLEDAGIANKDINSSIDHSLQLDHEACGNLNSMIIPCCGDLRELKGGHYDKIVEITEHAGECLLNEYVVDEPSCSTPRKRLFNLPSVSSIEELRTPSFEELLRAFWDARSPKHANGDVKHLGAYEAAQSVRDSRVPLTAIN >Vigun05g253100.1.v1.2 pep primary_assembly:ASM411807v1:5:44764643:44771780:-1 gene:Vigun05g253100.v1.2 transcript:Vigun05g253100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQRRGGGGMIPLSPSQTPRSSDKPVRDLRSADSNSNSHNKYDKDKGVNVQVLVRCRPLSEDEMRLHTPVVISCNEGRREVSAVQSIANKQIDRTFAFDKVFGPNSQQKELYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEETTKFIDDKSRKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARESRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIERMELDGESKDKQLMELQELYNAQQLLTAELSIKLEKTEKSLEETEQSLFDLEERHKQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDRIEEGNRILIQKFQSQLAQQLEVLHKTVSASVMHQEQQLKDMDEDMQSFVSMKAKATEDLRERVGKLKNMYGSGIKALDDLAEELKVNNQLTYDDLKSEVAKHSSALEDLFKGIALEADSLLNDLQSSLHKQEANLTAYARQQQEAHARAVETTRAVSKITVKFFETIDRHASSLTQIVEEAQFVNDQKLGELEKKFEECTAYEEKQLLEKVAEMLASSNARKKQLVQMAVNDLRESANCRTSKLRQEALTMQDSTSSVKTEWRVHMEKTESNYQEDTSAVEHGKKDLVEVLQICLNKAKVGSQQWRKAQESLLGLEKRNAASVDTIVRGGIEANQTLRSRFSSAVTTTLEDAGIANKDINSSIDHSLQLDHEACGNLNSMIIPCCGDLRELKGGHYDKIVEITEHAGECLLNEYVVDEPSCSTPRKRLFNLPSVSSIEELRTPSFEELLRAFWDARSPKHANGDVKHLGAYEAAQSVRDSRVPLTAIN >Vigun05g253100.2.v1.2 pep primary_assembly:ASM411807v1:5:44764643:44771709:-1 gene:Vigun05g253100.v1.2 transcript:Vigun05g253100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQRRGGGGMIPLSPSQTPRSSDKPVRDLRSADSNSNSHNKYDKDKGVNVQVLVRCRPLSEDEMRLHTPVVISCNEGRREVSAVQSIANKQIDRTFAFDKVFGPNSQQKELYEQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYNMKVTFLELYNEEITDLLAPEETTKFIDDKSRKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARESRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLHEEAEKKAMAEKIERMELDGESKDKQLMELQELYNAQQLLTAELSIKLEKTEKSLEETEQSLFDLEERHKQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDRIEEGNRILIQKFQSQLAQQLEVLHKTVSASVMHQEQQLKDMDEDMQSFVSMKAKATEDLRERVGKLKNMYGSGIKALDDLAEELKVNNQLTYDDLKSEVAKHSSALEDLFKGIALEADSLLNDLQSSLHKQEANLTAYARQQQEAHARAVETTRAVSKITVKFFETIDRHASSLTQIVEEAQFVNDQKLGELEKKFEECTAYEEKQLLEKVAEMLASSNARKKQLVQMAVNDLRESANCRTSKLRQEALTMQDSTSSVKTEWRVHMEKTESNYQEDTSAVEHGKKDLVEVLQICLNKAKVGSQQWRKAQESLLGLEKRNAASVDTIVRGGIEANQTLRSRFSSAVTTTLEDAGIANKDINSSIDHSLQLDHEACGNLNSMIIPCCGDLRELKGGHYDKIVEITEHAGECLLNEYVVDEPSCSTPRKRLFNLPSVSSIEELRTPSFEELLRAFWDARSPKHANGDVKHLGAYEAAQSVRDSRVPLTAIN >Vigun07g199050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31959909:31960203:1 gene:Vigun07g199050.v1.2 transcript:Vigun07g199050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTHQTLSLLLSSIIFHPVLTVVNLQLNMNQTSKPKLNIPLVNMCHLTGYPSHMHHLYLNYLQFLFLVIYRKFWQILDGPKQWLMRWQL >Vigun05g135300.1.v1.2 pep primary_assembly:ASM411807v1:5:16118131:16120379:1 gene:Vigun05g135300.v1.2 transcript:Vigun05g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRISSLPDEVLCYILSFLPTKLSVSTSILSKRWRPLWRSVPAFDFFIGQPESDSFISICTFILARNLEQPIKRFCFCIDASEEKLFTDDQINSFVRAAVSAGRVQYLHLNLSNTLIHLSSDLLCCKTLTALKLDFVALKTPFPLGDLTLLKVLHLFSVTILDGNSLPQLLSACPNVEDLKVKTVSSDYEAMGEFKRLPNLLRAVIENDVIPLEVVYNVQFLEVEVCQKPKHDQVPMFHNLTRLKISRNCTFYDDDLNLTIYVFEVMKLCPKLQNLSIDLMRV >Vigun05g135300.3.v1.2 pep primary_assembly:ASM411807v1:5:16118131:16120379:1 gene:Vigun05g135300.v1.2 transcript:Vigun05g135300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRISSLPDEVLCYILSFLPTKLSVSTSILSKRWRPLWRSVPAFDFFIGQPESDSFISICTFILARNLEQPIKRFCFCIDASEEKLFTDDQINSFVRAAVSAGRVQYLHLNLSNTLIHLSSDLLCCKTLTALKLDFVALKTPFPLGDLTLLKVLHLFSVTILDGNSLPQLLSACPNVEDLKVKTVSSDYEAMGEFKRLPNLLRAVIENDVIPLEVVYNVQFLEVEVCQKPKHDQVPMFHNLTRLKISRNCTFYDDDLNLTIYVFEVMKLCPKLQNLSIDLMRV >Vigun10g038233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5241068:5241415:-1 gene:Vigun10g038233.v1.2 transcript:Vigun10g038233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSSKPQRMYNVLINFTGEDISRKFVSHLDSVLTSVGISTFLHHQNAMKEMYIEEPILNLCQVVIVVFTKTYSQSSWCLHQLQQIIKWHETYRRHVLPVCYEIEPSDVRLQ >Vigun01g114600.3.v1.2 pep primary_assembly:ASM411807v1:1:29054441:29058964:-1 gene:Vigun01g114600.v1.2 transcript:Vigun01g114600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGKSAETSEPAVATDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLSKYRGLSSRWESSYGRMSGSDYFNSMHYGAGDDSAAESEYVSGFCIERKIDLTSHIKWWGSNKTRHSDSGTRLSEEKKLGSAGDICSELKQLEQKVQPTEPYQMPQLGRLHNEKKQRSSSVSALRILSQSAAYKSLQEKASKKQENSIDNDENENKNTINKLDHGKAVEKPSNHDGGSDRLDIAMGMSGTMPLQRNVYPLTPFLSAPLLTAYNTVDPMADPALWTSLVPVLPAGLSRTDEVTKTETSSTYTMFQPEE >Vigun01g114600.2.v1.2 pep primary_assembly:ASM411807v1:1:29054441:29058964:-1 gene:Vigun01g114600.v1.2 transcript:Vigun01g114600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGKSAETSEPAVATDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLSKYRGLSSRWESSYGRMSGSDYFNSMHYGDDSAAESEYVSGFCIERKIDLTSHIKWWGSNKTRHSDSGTRLSEEKKLGSAGDICSELKQLEQKVQPTEPYQMPQLGRLHNEKKQRSSSVSALRILSQSAAYKSLQEKASKKQENSIDNDENENKNTINKLDHGKAVEKPSNHDGGSDRLDIAMGMSGTMPLQRNVYPLTPFLSAPLLTAYNTVDPMADPALWTSLVPVLPAGLSRTDEVTKTETSSTYTMFQPEE >Vigun01g114600.1.v1.2 pep primary_assembly:ASM411807v1:1:29055012:29058964:-1 gene:Vigun01g114600.v1.2 transcript:Vigun01g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGKSAETSEPAVATDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLSKYRGLSSRWESSYGRMSGSDYFNSMHYGAGDDSAAESEYVSGFCIERKIDLTSHIKWWGSNKTRHSDSGTRLSEEKKLGSAGDICSELKQLEQKVQPTEPYQMPQLGRLHNEKKQRSSSVSALRILSQSAAYKSLQEKASKKQENSIDNDENENKNTINKLDHGKAVEKPSNHDGGSDRLDIAMGMSGTMPLQRNVYPLTPFLSAPLLTAYNTVDPMADPALWTSLVPVLPAGLSRTDEVTKTETSSTYTMFQPEE >Vigun07g070000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8581787:8585752:-1 gene:Vigun07g070000.v1.2 transcript:Vigun07g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLPTLSLIFLFFCATAHGFGAMGPISASFAKDEVFCAIDASGKQDVICWGNNATSPSLSSVTNAVPSMSALSGGEGFLCGILANTSQAFCWGAVTKPSADLVLVPPAYRNTAYSHIAAGKSHVCAVRGSYYADHDSGSVDCWEITTTANKTLTAKQSDLYFNQAVMNLEVKRVVSGEGFTCGEVRDGGLICWGPNSGGIIVSNVSDSFAVLAAGRRAVCGVFNVSGELKCWGDPGSYSDPPLDSIRLVSLTAGANHFCGIRMDNHEVECWGDLNSSVIPRGNGFMAIASSDFTTCGIREDDLLLDCWMVNALKPDFDPPLELSSPGLCRASSCGVGEFAFNASMLNELALTSLCVREDLRICSPCGSNCSKGFFLSSSCTKNADRVCTSCSLCQNSSCFSVCGLHSPTGLHMHWHWHHLRKWMVIVGCSVLGVLTILLCGCLFSVRKRTKKQSKSGMGKPEQEDDHVNVALQSTPSVNSCPGAPQVFRLSELKDATNGFKEFNELGRGSYGFVYKAMLGDGRVVAVKRANAATIIHTNNRNFEMELEILCKIRHCNIVNLLGYCAEMGERLLVYEYMPHGTLYDHLHGGLSPLNWSLRLKIAMQAAKGLEYLHKELVPPIVHKDLKSSNILLDSEWGARISDFGLLASSDKDLNGDLESDVYDFGIVMLEILSGRKAYDRDYTPPNMVEWAVPLIKQGKAAATIDRYVALPRNVEPLLKLADIAELAVRKNPSERPPMSDIASWLEQIVKDGLIL >Vigun09g141600.1.v1.2 pep primary_assembly:ASM411807v1:9:30393068:30398243:1 gene:Vigun09g141600.v1.2 transcript:Vigun09g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPENVGAKIGSSSQNLDNSVVSSDSGEVEQSKPRSGSINGDQKLNNGVFNHQDRVPSTMSVPNGNYNYKTQMGQMHANGVSNDGYGMDEENGGESFKRDMRDLEELLSKLNPMAEEFVPHSLTNTLGYLPGPGAGTGTGFGYPNNFILLNGYGNANGQTNRRRKNGYNNGKRRVNHKMDMEKREEMIKRTVYVSDIDQLVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFIEFTDEEGARAALSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFTLAESAIAALSCSGVILGSLPIRVSPSKTPVRSRAPRPSMH >Vigun05g199900.1.v1.2 pep primary_assembly:ASM411807v1:5:38634167:38637563:1 gene:Vigun05g199900.v1.2 transcript:Vigun05g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDTEFPMLSRRQLEAITDFLRVEHEFMAPRSFYTLSSNARNRMHAVSTIAKLASTETMDTYIPYVAVNYFDRFISTNSLSELQGSSLLDKIRLVAICCYTLSAKMRTKNFFQKDILTRREAVYKSEVVMRMEFRILSGLDWRMRSITPFHFLDHYYPTFKRIGGFKRRCINEIIVQSQGEVYFAQFKPSEIAMSALLAATYLGYSSEFTSIERSLRISEDLKACYQEMVDLCRKRRINIRSTTGEVIFSIIPSSSVEASGSQAAELQVQRQSESSTTQFLNMVLDNDDEEEDDENVALLNRRKRNTSEEAVEAPKEVAEGKSEAQKLGEVKQKATSVAGIRISEEKEVEAGCGARQDKGEEKSEKMVESGSGTRDEESKGPCEETVGAESSGAEEDRGKAVDEMSESENELRKRQIKGKGKAVEEAPKEVAEARKQGDVATGAGIRISEEKEVESGSGTRNDVSKVLCKEIVGGESSRAREDKAIDEMFERNRQIRGKSKAALEMLRSKTGLEDAPFRAMNFKVKWPVIVQPGENVDNIDPSLYIDESSITTARSPTTIRGSGNVLPIVSNPCQCTIL >Vigun07g199600.2.v1.2 pep primary_assembly:ASM411807v1:7:32009682:32012088:1 gene:Vigun07g199600.v1.2 transcript:Vigun07g199600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGSVKWSILIHFVLIHDHHLMGFKDMEAKVNFISCCCRFVHTIVYKIQIISDFSTNCQLDDIAL >Vigun07g228400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35008496:35010427:1 gene:Vigun07g228400.v1.2 transcript:Vigun07g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVLFKNPLLHASLSLILVFTFAFFKIPLLFLHALQTYIHPDSQPQSNGLRAAIRRPGVDGYQPLSSKTAAELKKRNKSNKDKPDFDENNAQIFRLTLDHAHLQSRLFVDQYCVAFTLSFAALFSLLLHNFLQSPPHNGFFANGVFVPILLSILSLYMWGTLLVKVTFERSASRRSEKQLSFFFGVLGLFLGLLFVPDGVSSVLEFHFESPIDGFWRVFVAALMGFLAGCLFIPASRSARCFWLGTDQIRCNLSMITCGWFTRAILYANQVLVIFVALLWITPLAEIFVNSGDAAAKSGFGNAEKLVGSMGFLASDFGRFRKWCLLGSSLLQIVALRPNLQMYLNEALLSWYQRLHASKVPDLDYSRAKMFLHNHYLCLVVLQFLAPPVLVLIFLGLSQIDGGSFANLTMMSDLLPRFVFIREVTLFLAWWVSFLWALFSSAILMLHRHCVLYVS >Vigun05g080101.1.v1.2 pep primary_assembly:ASM411807v1:5:7541918:7544723:-1 gene:Vigun05g080101.v1.2 transcript:Vigun05g080101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGRVCVTGGTWFIGSWIIKRLLEDGYTVHTTVRPDPELKEAEEVVTKRSTDGALGILKACLNSKTVKRVVYTSSASAVYWQEKEDDVMDESYWSDENLLRDLKPFAWAYSISKTMAEKAVLEFGKKHGLDVVTLIPTFVLGPFVCPINSLAQYIPLSLSYLVRKIHFVPLVFTWYMLMILHEHISSSFIILIQKGGIIAHRSLQMLKK >Vigun07g058750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6529629:6530933:-1 gene:Vigun07g058750.v1.2 transcript:Vigun07g058750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTTKHPVIETNKGKRRRHASSSSIERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRVAISELFGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRIIRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQLEEPQLDQQAEDQVAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYQNVRGPYPGMTPPEFFTYLQWPGDSPIFPGGGGPDAGEGPSGVADADGADIEDEIDFGGD >Vigun06g174900.2.v1.2 pep primary_assembly:ASM411807v1:6:29545449:29548583:1 gene:Vigun06g174900.v1.2 transcript:Vigun06g174900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILSNCFTPIQLHHTLTVTLRQRHSLSIFAAPLTLNTTTAVATTTTTIMATSFQLSDASHSLPISPHHLLIVGPGILGRLVAQIWRQEYPGCEVYGQTVTTNHHEELSKIGINPSLEWTKGSHKFPYVIFCAPPYQSSDYLGDLRLAASSWNGEGSFLFTSSSAPYDCNDNGLCDEDAASLTVAILKKQFRERIFLGCDNHPLSRQEVMDLVYKSGKFSKKFEKFTGTDDPLGKRLNNSKTRQEVGWEPKYSSFAHFLETI >Vigun06g174900.1.v1.2 pep primary_assembly:ASM411807v1:6:29545449:29548583:1 gene:Vigun06g174900.v1.2 transcript:Vigun06g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILSNCFTPIQLHHTLTVTLRQRHSLSIFAAPLTLNTTTAVATTTTTIMATSFQLSDASHSLPISPHHLLIVGPGILGRLVAQIWRQEYPGCEVYGQTVTTNHHEELSKIGINPSLEWTKGSHKFPYVIFCAPPYQSSDYLGDLRLAASSWNGEGSFLFTSSSAPYDCNDNGLCDEDSPVVPIGRSPRTDVLLKAEKIVLEFGGSVLRLSGLYKVDKGPHIYWLEKGIVESRPDHILNLIHYEDAASLTVAILKKQFRERIFLGCDNHPLSRQEVMDLVYKSGKFSKKFEKFTGTDDPLGKRLNNSKTRQEVGWEPKYSSFAHFLETI >Vigun11g030550.2.v1.2 pep primary_assembly:ASM411807v1:11:3949212:3951423:-1 gene:Vigun11g030550.v1.2 transcript:Vigun11g030550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYQFFWY >Vigun11g030550.1.v1.2 pep primary_assembly:ASM411807v1:11:3949212:3951423:-1 gene:Vigun11g030550.v1.2 transcript:Vigun11g030550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYQFFWY >Vigun03g071900.4.v1.2 pep primary_assembly:ASM411807v1:3:5911839:5915802:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun03g071900.5.v1.2 pep primary_assembly:ASM411807v1:3:5911841:5915746:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun03g071900.3.v1.2 pep primary_assembly:ASM411807v1:3:5911839:5915802:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun03g071900.2.v1.2 pep primary_assembly:ASM411807v1:3:5911841:5915802:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun03g071900.1.v1.2 pep primary_assembly:ASM411807v1:3:5911841:5915746:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun03g071900.6.v1.2 pep primary_assembly:ASM411807v1:3:5911841:5915718:1 gene:Vigun03g071900.v1.2 transcript:Vigun03g071900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLYSSPSFGSYGTPTQPRAAPISSISCGGPRPRKKQPNPNAEAQELVRVLTRRISDKEPILKTLNKYVKQVRTEHCFLLFEELGKEGNWLQCIEVFRWMQKQRWYIADNGIYSKLISVMGKRGQTRMAMWLFSEMRNTGCRPDTSVYNALITAHLHSRDKTKALSKAIGYFQKMKGIERCKPNVVTYNILLRAFAQARNLEQVNSLFKDLDESPISPDVYTFNGVMDAYGKNGMIREMEAILAQMRSSKCKPDLITFNLLIDSYGKKQEFGKMEQVFKSLLHSKEKPTLSTFNSMILNYGKARLKNKAEEVFEKMIDMGYTPSSVTHESLIYMYGLCGYVSRAVQLFDELVESKVQIKVSTLNTMLDVYCLNGLPQEAHSLFERAKSVKIYPDSSSYKLLYKAYTKAKQKELLDELLKHMDKDGIIPNKRFFLNALGSVASVPANSESANTATDSNTANSEYANAATDSNKVNSESFIANSGSNTSNSESANAATDSDKSNSKSTNASTDPNNPQDFAKLAAHVKNFLAHR >Vigun09g041600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3844431:3846530:1 gene:Vigun09g041600.v1.2 transcript:Vigun09g041600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVGYKLTGIRQIVRLKEMLQKWQNVTLGQKASIPTISDQVPNNDGSGLPLINKRVVNIMNTESDTEDSCQSPEPLPPPDVPKGYLAVYVGPELRRFIIPTTYLSHSLFKVLLEKAADEFGFDHSGALTIPCETETFKYLLKCIENQQKDQLNDKTSQPESSGTVEE >Vigun09g041600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3844431:3846249:1 gene:Vigun09g041600.v1.2 transcript:Vigun09g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVGYKLTGIRQIVRLKEMLQKWQNVTLGQKASIPTISDQVPNNDGSGLPLINKRVVNIMNTESDTEDSCQSPEPLPPPDVPKGYLAVYVGPELRRFIIPTTYLSHSLFKVLLEKAADEFGFDHSGALTIPCETETFKYLLKCIENQQKDQLNDKTSQPESSGTVEE >Vigun01g076250.1.v1.2 pep primary_assembly:ASM411807v1:1:21373193:21374430:-1 gene:Vigun01g076250.v1.2 transcript:Vigun01g076250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGTNFFSDPKGNTINIEFKEGLLGQRQFRGVQSIRSFYNVNDVYYVCTVYCGDRFFRIRLFDLDWNEFDYPGTARTSLGCNPLSSIRFFQAFRVQFIPATMLITVPDYFQIFCRDKLAFHYAIKLYDPLSKMFEIYVDTDENLRMVLFGFSRYIPYYGLTGPCYLHLNYVGNNVFLHRIFSAEGVEMDYNINSGSAANTQVPVAAPNFEKELSNYDVKASSLYLDSKFAKECLIKGRKSYRLTNDQAQFWDCKIRWTARSSYECYLTCGWKKFCKENGLAAGDRIRFVVEDEEKGVIHILKN >Vigun06g022000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10359679:10361629:-1 gene:Vigun06g022000.v1.2 transcript:Vigun06g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHHYYSVMRVNDEHTTVLPGDYFNFDVKVRFHSANTFPAGLQHHLSPAAIPAQDFFQQGPTFLHTMFSLSPFSLFGCTEQIIEGVLSDVQGLFRVENLSSFISLEPQESQNRIIPLTITVIILKFEDRAIIEEGRQRYIRSQRVSSRRSERLATRRSERLRASQRQSSRQNQRQSSEVEYDSDDEVMINSFLKKCTVIRGREDCCICLEELSVNPECYTLPCQHAFHLPCILTWLKNSQACPLCRHSLRTAETAEN >Vigun08g021600.2.v1.2 pep primary_assembly:ASM411807v1:8:1892646:1895451:-1 gene:Vigun08g021600.v1.2 transcript:Vigun08g021600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVKAMPQIRFSLPISVSNKSNCICRFSITATSSTNTTLTHSHTTTPTPKPKPKSPLKKRKRYRKLYPGETTGITEEMRFVAMRLRNDAVSHDQPNFDEWHASMEGFIAYLVDTHLIFATLQRIVDESDDVSYAYMRKTGLERSEGLSKDLKWLEEQGNVIPNPSSPGITYAKYLESLAETSAPLFLSHFYNIYFSHIAAGQVIGKKVSEKLLEGKELEFYKWEGDVPELLKDVRDKLNQLSEHWSRDEKNRCLKETPKSFRFMGQIILLLVS >Vigun08g021600.1.v1.2 pep primary_assembly:ASM411807v1:8:1892646:1895451:-1 gene:Vigun08g021600.v1.2 transcript:Vigun08g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVKAMPQIRFSLPISVSNKSNCICRFSITATSSTNTTLTHSHTTTPTPKPKPKSPLKKRKRYRKLYPGETTGITEEMRFVAMRLRNDAVSHDQPNFDEWHASMEGFIAYLVDTHLIFATLQRIVDESDDVSYAYMRKTGLERSEGLSKDLKWLEEQGNVIPNPSSPGITYAKYLESLAETSAPLFLSHFYNIYFSHIAAGQVIGKKVSEKLLEGKELEFYKWEGDVPELLKDVRDKLNQLSEHWSRDEKNRCLKETPKSFRFMGQIILLLVS >Vigun08g021600.4.v1.2 pep primary_assembly:ASM411807v1:8:1892646:1895451:-1 gene:Vigun08g021600.v1.2 transcript:Vigun08g021600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVKAMPQIRFSLPISVSNKSNCICRFSITATSSTNTTLTHSHTTTPTPKPKPKSPLKKRKRYRKLYPGETTGITEEMRFVAMRLRNDAVSHDQPNFDEWHASMEGFIAYLVDTHLIFATLQRIVDESDDVSYAYMRKTGLERSEGLSKDLKWLEEQGNVIPNPSSPGITYAKYLESLAETSAPLFLSHFYNIYFSHIAAGQVIGKKVCTHFLSYKLFMREYYHMSHFGVSFLCLSN >Vigun08g021600.3.v1.2 pep primary_assembly:ASM411807v1:8:1892646:1895451:-1 gene:Vigun08g021600.v1.2 transcript:Vigun08g021600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVKAMPQIRFSLPISVSNKSNCICRFSITATSSTNTTLTHSHTTTPTPKPKPKSPLKKRKRYRKLYPGETTGITEEMRFVAMRLRNDAVSHDQPNFDEWHASMEGFIAYLVDTHLIFATLQRIVDESDDVSYAYMRKTGLERSEGLSKDLKWLEEQGNVIPNPSSPGITYAKYLESLAETSAPLFLSHFYNIYFSHIAAGQVIGKKVSEKLLEGKELEFYKWEGDVPELLKDVRDKLNQLSEHWSRDEKNRCLKETPKSFRFMGQIILLLVS >Vigun07g095900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15810390:15811959:-1 gene:Vigun07g095900.v1.2 transcript:Vigun07g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNYLNRNSTTTPQREDSFGFEEHTWGNSWPARNYACSFCKREFRSAQALGGHMNVHRRDRARLRSSLSSWVSECPKPNPSTKPNKSTLLPTSSSPSPFSDQPLNSSHPSPLCTPCLTLSSSPDFSASTSGDKKPRLTPSPLFSPQSFEIKMMTSNNTRSDEEMKGCVEGEEHKGFKDNDQNITLELGIGLIKHQEKKLDLELRLGHF >Vigun04g049600.1.v1.2 pep primary_assembly:ASM411807v1:4:4330947:4337569:1 gene:Vigun04g049600.v1.2 transcript:Vigun04g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGHLFNNITLGGRGGTNPGQIRIYAGGIIWKRQGGGKLIEVDKSDIMGVTWMKVPRTNQLGVQIKDGLYYKFTGFRDQDVVSLTNFFQNTCGISVEEKQLSVSGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFRDKIMSMADVGAGGEDAVVTFEGIAILTPRGRYSVELHMSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFETDYVVQSELAITEDLYNSKYKDKLELSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYEFISSKGLKIMNLGDAQPTVGIKKVLENDDDDAVDPHLERIKNEAGGDESDEEDSDFVADKDDEGSPTDDSGADDSDGSDSGDEKEKPVKKESKKDLPSKATTSKKKSKDDEDGKKKKQKKKKDPNAPKRAMSGFMFFSKLERENLKKTNPGISFTDVGRVLGEKWKKMSADEKEPYEAKAREDKKRYKDEISGYKNPQPMNIDSGNDSDSS >Vigun03g066800.2.v1.2 pep primary_assembly:ASM411807v1:3:5513500:5516283:-1 gene:Vigun03g066800.v1.2 transcript:Vigun03g066800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDFRSILESAGIDIWMLMDVAIAVASADHSDELKRRRDGIVERLYATSLLSSSRCPNCDIDDVREIEMQSNPSAEEEKDTCEVLLDDEQKKILEIKEQLEDPHQSKDSLLELLQNLADMDITFRALQETDIGRHVNRLRKHSSNDVKKLVKLLVRKWKEIIDEWVKLKTPGEASTTVMADEDSPQDKMPQNGHRQIPDFADSPNPHNESFGSEHNNIEPQQRKPKAIPHKESPLKPSASRQKESNVELDKLASARKRLQENYKEPKRIERSR >Vigun03g066800.3.v1.2 pep primary_assembly:ASM411807v1:3:5513500:5516275:-1 gene:Vigun03g066800.v1.2 transcript:Vigun03g066800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDFRSILESAGIDIWMLMDVAIAVASADHSDELKRRRDGIVERLYATSLLSSSRCPNCDIDDVREIEMQSNPSAEEEKDTCEVLLDDEQKKILEIKEQLEDPHQSKDSLLELLQNLADMDITFRALQETDIGRHVNRLRKHSSNDVKKLVKLLVRKWKEIIDEWVKLKTPGEASTTVMADEDSPQDKMPQNGHRQIPDFADSPNPHKHNNIEPQQRKPKAIPHKESPLKPSASRQKESNVELDKLASARKRLQENYKEVANAKKNRTIQVMDLHELPKPKNAYFGKSKGGTSQGRHW >Vigun03g066800.1.v1.2 pep primary_assembly:ASM411807v1:3:5513500:5516275:-1 gene:Vigun03g066800.v1.2 transcript:Vigun03g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDFRSILESAGIDIWMLMDVAIAVASADHSDELKRRRDGIVERLYATSLLSSSRCPNCDIDDVREIEMQSNPSAEEEKDTCEVLLDDEQKKILEIKEQLEDPHQSKDSLLELLQNLADMDITFRALQETDIGRHVNRLRKHSSNDVKKLVKLLVRKWKEIIDEWVKLKTPGEASTTVMADEDSPQDKMPQNGHRQIPDFADSPNPHNESFGSEHNNIEPQQRKPKAIPHKESPLKPSASRQKESNVELDKLASARKRLQENYKEVANAKKNRTIQVMDLHELPKPKNAYFGKSKGGTSQGRHW >Vigun03g028800.1.v1.2 pep primary_assembly:ASM411807v1:3:2162209:2165324:-1 gene:Vigun03g028800.v1.2 transcript:Vigun03g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEELPESLLVEIVSRLTDTTDVARCRAVSKGLNAASYEVRWLNLVCSMSRYLKSRSPETKHHVTPFKTVFSHLVSRSTSTLDSVSLGVDRALGGVSFDDVEDESDDLYLTDMSFIREWLPSISHALKSFSVSDFWVQSCWRRSEALSLISSTCHNLVKLVVRNAWLSVDGLCLMPTLRYLTLEFVRLDDEDLSRINACFPNLTQLSLIGVGGLKEPKINFLHLTTFQWSVSNAPLSLIICAPCLVDFDLRCIKPRLVVLEAPSLTNFNLSLENTDELRLKNCANIQCLQLSVECLSLCFLFSMFRHCGTVKRLTLDLVGRREQVEAAEFGIDTLLDCFPNITCLNLGPGAWHVMENSFRRGGLKDGIGMKMIKQLIAHLVVHEVEVTLAFISSVLDKSTQLSDVSLLIHRDVDSYVAGGLISSCRSKFPRVRWRWGIWKEGIKDTWVSDGI >Vigun09g160600.1.v1.2 pep primary_assembly:ASM411807v1:9:32804853:32812000:-1 gene:Vigun09g160600.v1.2 transcript:Vigun09g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGENLKLLSDQQQALVKMLLENGQDHLFRDWPAPGVDDDSKKAFFDQLTLLDSSYPGGLQSYIKNAKRLLADSKASKNPYDGFTPSVPTGETLTFGDENFINFEETGVREARKAAFVLVAGGLGERLGYSGIKVALPAETTTGTCFIQHYIESILALQEASSQGESQTPIPLVIMTSDDTHGRTLELLQSNSYFGMQPTQVTLLKQEKVACLEDNDARLALEPQNKYKIQTKPHGHGDVHSLLYSSGILKVWHDAGLKWVLFFQDTNGLLFKAIPAALGVSASKQYHVNSLAVPRKAKEAIGGITRLTHSDGRSMVINVEYNQLDPLLRASGYPEGDVNCETGFSLFPGNINQLILELGPYIEELSKTGGAIQEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPSARVGFTVMETWLAYAPVKNNAEDAAKIPKGNPYHSATSGEMAIYRANSIILKKAGVQVAEPVLQVFNGQEVEVWPRITWKPKWGLTFSRIKSKVSGNNSISQRSTLAIKGKNIFIQNLSLDGALIIDAVDDAEVNVNGSVQNKGWILETVDYKDTKEPEVLRIRGFKFNKTEQLEKKYSEPGKFDLKA >Vigun04g038000.1.v1.2 pep primary_assembly:ASM411807v1:4:3178628:3182198:-1 gene:Vigun04g038000.v1.2 transcript:Vigun04g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPESFVLGVALWVLLVSEPCLTVFVSIDCGSSQSSTDENNIRWIGDDDYIQHGESHQVYLGSNPLSTLRVFTDRKKNCYSIRVGKGEKILTRASFYYGNYDDKFSPPVFDLQFDGNYWATVNSSSYYYVDYEAIYVAKGNFTSICVAQTKPNQFPFISSLEVRSLDPTMYSHVDPNHALILQWRYAFGGNQTIRYPYDVFDRIWAPSYGIGLSEVKSEPSGIDTSTAEDHPPQAALENAIISSSTTQYMQFINRLSTKELPIYITAYFSEVNESAVGKRSIQMYIDNKPFLSPIVPPFGSVKEVFITNMTASAKTSFILQASETSTLPPVLNALEVYTLSDALTAGTDSRDVEGLLQLQLAFEVLVEWSGDPCLPYPYNWDWIQCNTDVKPRVTALYLTGYNLRGTLPDFSSMNALEIIYLQNNTIEGLIPDFLGLLPNLKTLNLSNNRFNGSIPTSLKNKSIELVTTNNCLSGMYCQPLSDSPSKVLPSPPPPPLGLQFVSSDEPLGGGTEKINNNLNMAFIVAMQTLLLLVNTAMHF >Vigun07g094750.1.v1.2 pep primary_assembly:ASM411807v1:7:15528732:15529781:-1 gene:Vigun07g094750.v1.2 transcript:Vigun07g094750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIIPLLYLPKGKKISESSFLDLKERKKSDSRCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDLIRKDHDWEFFDRLSPRKRRNIINLKSGQLFEILVKDWIYYLMFAFREKIRKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMLQFRQDLFVSWGKSLHESDFLRNISRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILVGILPFFKQNEQR >Vigun10g060500.1.v1.2 pep primary_assembly:ASM411807v1:10:12451713:12456162:-1 gene:Vigun10g060500.v1.2 transcript:Vigun10g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVVAAAAGAGYLAKYWNRVATHGDRSCLVSSEDSCFENPLAKQVRRDDLAEDVCSEGRASDLYTQDGVVTRELASARGFHGEKMQQFWNYSKHDVLSLANLAMPLSPYDDNFKVVDDSNGQSSGIGGDHGFLVPDSSAEVVPVNNSSGHKTLLRMKLLSGRVTKPLSSLESCFMAQLYKEHAETEEYVFGSLASQSTATRSFLVSNGSQIINREKNSLFSASFGSKEYKLQKAGGRIKDENVFGVPSLPKVRSVNEAKEKFYAVIGRSRRLSSSDSVSSESFVHTQQYDKTLLFSLGISFGMMTSIMANKREIGKLRELLKQTENLVQDLQEELEMKDSMTVKELQNENYGSQDTCDHSSYDKELNEFSPEKHVDNSPRIDSKESCHQKVEQSTESMSKIEAELEAELERLGLDMNASSLERKLSELVEIDSEFVADFSQGELRADMVSGKDSVPPKSTEDAGDPTPLPANYAVLPHELSLRLHEVIQSQLEQRVQELEIALENSERKVRHLESKQEGYLQKASSFSRENDDCDLMSQPLILNLSGEALDAYTEAYEELIKINDSEQNSPHDCADHKEGLNLHDLHVLGVQHGGENGSRIYELAGTEVESNGFDDDEVEQQLIRQIVERTKKGSPVFENAQKILCCVDEDEQ >Vigun07g232600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35457747:35459589:-1 gene:Vigun07g232600.v1.2 transcript:Vigun07g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISNKNHALHPHQFSLFSNHLLSKLSNFLWPFTFLLQTFLLVQNSQLMFHFLLLFFLLLCFLVKHFLSKPSPIYLVDFSCLKPPNHCRVPFAAFVENASLWEVFDSESIAFMEKILHSSGQSEETYLPPALHYIPPKTHHTESIKEVQMVLFPVMDDLLAKTNVSPLDIDILIINCSGFCPSPSLTSVVIEKYSMRSDIKSYNISGMGCSASALCIDMAKNLLRVHKNSNALVLSTEILSTGWYSGNEKSKLLINCLFRMGSAAILLSNKKEAKKTAKYRLVTTRRTQRAFDDKAYFSAFREEDSEGKLGVTLKRDLLSVAGETLRANIFILGCEILPLSEKFRCGVSVIKKKFIKSEGTYVPNFKTVIQHFCLPCSGRPVIREIGKGLKLSERDIEPALMTLHRFGNQSSSSLWYELAYLEAKERVQKGDKVWQLGMGSGPKCNSVVFKCIRPIVGEYKKGPWADCIHGYPIITMD >Vigun09g056400.2.v1.2 pep primary_assembly:ASM411807v1:9:5631469:5641266:1 gene:Vigun09g056400.v1.2 transcript:Vigun09g056400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDCIWKTGDSHGDGSHRSDIHLLASKSSEMVSSDDNSGKLKSNLDLTVSRQHEKVEKSDKDGFSDIEQLVKGKKFSRDEFDRLVAVINSRVMDLSNVEQGKENTSLTSSKDAELGPPNVSNEQRHEVSAGAIWQTSTPLVVSKVQDEIGASPIEIARAYMNSRVSEAGPSSKNTIHVVENKVLHGVEGAIKPYDPASSKKSSTCWPGAVVQDAYITPQSQRRYGLHNFPRTPYSRTLLTKSKSKFIHMQGENNHISSTPIFQSKTAMYLQDKSKAGASESGYGSVGPIRRTRHRVGAQSSSRKPVYSSLNGPSQRESFGVDSFTPVAKSIETIGTGSTPKPLGFPVGVPTVHMHSSLMAKKILDHIDRNIPTPKEKIAELKQAPKWRNSESSTEFRTIFSNEDNGLHKLNDFSPQKSDGLEGKKSTLLIEGQGNYHARTQLKDSTDKSIEVRKEETLVPDVNAYNSSNSGLGNDDATKMTLPRGGHPYGVNQEKQAPTNPATIKPVLPPIAIEKPESRWTIASDNGSGFTFPVSTSSSVFSEPPTPSIMPLFSAGDQHQLKEGSTELSYSFGLKKCPAIVFCFPSTSNTAVQNETGDLKFNFGSTKKTNLSFSFENNAVRC >Vigun09g056400.1.v1.2 pep primary_assembly:ASM411807v1:9:5629186:5641266:1 gene:Vigun09g056400.v1.2 transcript:Vigun09g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQITASAPEERGVGGKLRKPATRKSLPSPYARPPEATRQRWISKLVDPALRLLAGGATRLLPSIFSSAPCPSPVLCPTSAAEDQGIWKTGDSHGDGSHRSDIHLLASKSSEMVSSDDNSGKLKSNLDLTVSRQHEKVEKSDKDGFSDIEQLVKGKKFSRDEFDRLVAVINSRVMDLSNVEQGKENTSLTSSKDAELGPPNVSNEQRHEVSAGAIWQTSTPLVVSKVQDEIGASPIEIARAYMNSRVSEAGPSSKNTIHVVENKVLHGVEGAIKPYDPASSKKSSTCWPGAVVQDAYITPQSQRRYGLHNFPRTPYSRTLLTKSKSKFIHMQGENNHISSTPIFQSKTAMYLQDKSKAGASESGYGSVGPIRRTRHRVGAQSSSRKPVYSSLNGPSQRESFGVDSFTPVAKSIETIGTGSTPKPLGFPVGVPTVHMHSSLMAKKILDHIDRNIPTPKEKIAELKQAPKWRNSESSTEFRTIFSNEDNGLHKLNDFSPQKSDGLEGKKSTLLIEGQGNYHARTQLKDSTDKSIEVRKEETLVPDVNAYNSSNSGLGNDDATKMTLPRGGHPYGVNQEKQAPTNPATIKPVLPPIAIEKPESRWTIASDNGSGFTFPVSTSSSVFSEPPTPSIMPLFSAGDQHQLKEGSTELSYSFGLKKCPAIVFCFPSTSNTAVQNETGDLKFNFGSTKKTNLSFSFENNAVRC >Vigun09g276600.2.v1.2 pep primary_assembly:ASM411807v1:9:43678620:43680880:-1 gene:Vigun09g276600.v1.2 transcript:Vigun09g276600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGSEKQKQNASATRPSLKSDSPFNNYHLWKQKFRENCYKRVREDRTRLLWKFRCNEQQRDDTLKCALEDIVSDEFHKMKRRDEVLWEYEGPPLTTCPDQYEEILLEMQRIFYEDLKSQPQELESDVEIWEHKVDEYLARAVYEHMQLNEDELTLDFLRDRLAEVHTEHLDRGCRLKPKFCMKTKFNLTALYISCEGCDTLEVVI >Vigun09g276600.4.v1.2 pep primary_assembly:ASM411807v1:9:43678620:43680880:-1 gene:Vigun09g276600.v1.2 transcript:Vigun09g276600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGSEKQKQNASATRPSLKSDSPFNNYHLWKQKFRENCYKRVREDRTRLLWKFRCNEQQRDDTLKCALEDIVSDEFHKMKRRDEVLWEYEGPPLTTCPDQYEEILLEMQRIFYEDLKSQPQELESDVEIWEHKVDEYLARAVYEHMQLNEDEAYGKEIWCPICKQGELKDSHNLIYCTRCELQLNKASERRIVCLL >Vigun09g276600.1.v1.2 pep primary_assembly:ASM411807v1:9:43678620:43680880:-1 gene:Vigun09g276600.v1.2 transcript:Vigun09g276600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGSEKQKQNASATRPSLKSDSPFNNYHLWKQKFRENCYKRVREDRTRLLWKFRCNEQQRDDTLKCALEDIVSDEFHKMKRRDEVLWEYEGPPLTTCPDQYEEILLEMQRIFYEDLKSQPQELESDVEIWEHKVDEYLARAVYEHMQLNEDEAYGKEIWCPICKQGELKDSHNLIYCTRCELQLNKASELTLDFLRDRLAEVHTEHLDRGCRLKPKFCMKTKFNLTALYISCEGCDTLEVVI >Vigun09g276600.3.v1.2 pep primary_assembly:ASM411807v1:9:43678620:43680880:-1 gene:Vigun09g276600.v1.2 transcript:Vigun09g276600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGSEKQKQNASATRPSLKSDSPFNNYHLWKQKFRENCYKRVREDRTRLLWKFRCNEQQRDDTLKCALEDIVSDEFHKMKRRDEVLWEYEGPPLTTCPDQYEEILLEMQRIFYEDLKSQPQELESDVEIWEHKVDEYLARAVYEHMQLNEDEAYGKEIWCPICKQGELKDSHNLIYCTRCELQLNKASERRIVCLL >Vigun09g276600.5.v1.2 pep primary_assembly:ASM411807v1:9:43678620:43680880:-1 gene:Vigun09g276600.v1.2 transcript:Vigun09g276600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGSEKQKQNASATRPSLKSDSPFNNYHLWKQKFRENCYKRVREDRTRLLWKFRCNEQQRDDTLKCALEDIVSDEFHKMKRRDEVLWEYEGPPLTTCPDQYEEILLEMQRIFYEDLKSQPQELESDVEIWEHKVDEYLARAVYEHMQLNEDEAYGKEIWCPICKQGELKDSHNLIYCTRCELQLNKASEVLIFATSVLCFLLFFWPWNRTSTIL >VigunL088200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000069.1:46615:48190:1 gene:VigunL088200.v1.2 transcript:VigunL088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LKVLNLSRSKYLRKTPDFSRLPSLEHLILKDCPRLCEVHKSIGALGNLTLINLKDCTRIKNLPREIYMLKSLKTLILSGCSRIHLLEKDIVQMESFITLITENTVVKQVPFSIVSSKSIGYLSLRGFEGLSHNLFPFIIRSWMLPSMNPLSYYHSFFMDVEVNSWDDIAPLLRILVNLRSVLLQCETEFQLSQQVQDILVEYGVNITESHTSKQHFRSFSIGVGRWKEFFDAFSDRISKVIAGSESCEVSLPGDNDPNCLAHMGEGYSVSFTVPRDRDIKGMALCIVYLSNPEIVATEGLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGDGLVVRRTIVYLIFGESNDLEKESPSKKNSLIRFMKKL >Vigun05g181800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34802206:34803487:1 gene:Vigun05g181800.v1.2 transcript:Vigun05g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSLVKEENQGLEKNGGLTVVETGDGSLRQAWPCSRERIWCRLRLRGTRGGHCLARRKRKREGGRFGDLGSRRRCLRWWLASTVAYLAGHGMYIGNTVLELWCAEMRRKKLPEKGKF >Vigun06g189300.1.v1.2 pep primary_assembly:ASM411807v1:6:30736006:30740807:-1 gene:Vigun06g189300.v1.2 transcript:Vigun06g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKEMSSSVINDNDSLTGHIISTTIGGKNGEPKQTISYKAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKHYSNANQRMPIIYVKLYMYQIFRGLAYIHTVPKVCHRDLKPQNILVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPGLRCTALEACVHPFFDELRETNARLPNGRPFPPLFNFKQELTGASPELVNKLIPDHVKRQIGIQFVHPIGS >Vigun05g262300.1.v1.2 pep primary_assembly:ASM411807v1:5:45477405:45483499:1 gene:Vigun05g262300.v1.2 transcript:Vigun05g262300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSKCKLRSQSNAKSSPALTPRTPQTLHLRRSARAKSLLFDAPKSPNPPCEISFTTPKRRNQRINDNVGHDSGEVKVATKESAMKNGASKEKYRKSSIEASLAPVTPASSEKTSKRKREGEQKGVVTRAKRGKLENEKRSSKLPARRQYYKKVIFDGGEFEVGDDVYVKRREDASSDDEDPEVELCRMCFLTNNDVLIECDDCLNGFHLKCLRPPLKDVPEGDWICGFCEARRTGKEVHLPKPPVGKKVVRTLREKLLSSDLWAAHIESIWKEVDGNYWCRVRWYTIPEETSVGRQPHNLRRELYRTNDFADIEMESVLRHCYVMTPKEYANASNEGDDVFLCEYEYDINWHSFKRLADIDNERENGEGTDSDEDWGLDNESDSDTDEDVGYEEENTKDGLSQPSKGHHLAANLHKGRFFGLQKIGTKRIPQHVRSHKQTDLERAKATLLLASLPKSLPCRNKEMEEITTFVKGAISDNQCLGGCLYIHGVPGTGKTMSVLSVMRSLKSEVDAGNIKPYTFVEINGLKLASPENIYRVIYEALNGHRVSWKKALHLLNERFVEGKKIRDEADRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFHQLQEIISSRLNGIDVFEKQAVEFASRKVAAISGDARRALEICRRAAEIADYRMKKSISSPDLVTTGKVLVGMVDVEAAIQEMFQAPHIQMMKSCSRLSKIFLTAMVHELYNTGMGETTFEKLAMRVSCLCVSNGEVFPGYDTLLQVGCKLGECRIILCEAGSKHKLQKLQLNFPSDDVAFALRDCKDLPWLSKYLM >Vigun02g106300.2.v1.2 pep primary_assembly:ASM411807v1:2:26032449:26035644:1 gene:Vigun02g106300.v1.2 transcript:Vigun02g106300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRIRQWLNLLVCALLLLIAEASYVPITYLQSAVAKGAVCLDGSPPAYHFDKGSGTGINSWLVAFEGGGWCNNVTTCLSRKTNRLGSSKQMAKQIAFSGIMSNRQMFNPDFYNWNRVKVRYCDGSSFTGDVEEVNPVTKLHFRGARIFAAVMEDLLAKGMKNARNAIISGCSAGGLTSVLHCDRFRALLPIGARVKCLSDAGYFINARDVTGAYHIQQYFGQVVATHGSARNLPLSCTSRLSPKLCFFPQYLASHITTPIFFVNAAYDSWQIKNILAPGVADPDGHWHSCKLDINNCSPDQLDLMQGFRTEFLRALTVLGNSSYKGMFIDSCYAHCQTEMQETWLRSDSPELEKTTIAKAVADWFYERRTFHQIDCPYPCNPTCHNRVFEPQDGHQGV >Vigun02g106300.1.v1.2 pep primary_assembly:ASM411807v1:2:26031027:26037601:1 gene:Vigun02g106300.v1.2 transcript:Vigun02g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRIRQWLNLLVCALLLLIAEASYVPITYLQSAVAKGAVCLDGSPPAYHFDKGSGTGINSWLVAFEGGGWCNNVTTCLSRKTNRLGSSKQMAKQIAFSGIMSNRQMFNPDFYNWNRVKVRYCDGSSFTGDVEEVNPVTKLHFRGARIFAAVMEDLLAKGMKNARNAIISGCSAGGLTSVLHCDRFRALLPIGARVKCLSDAGYFINARDVTGAYHIQQYFGQVVATHGSARNLPLSCTSRLSPKLCFFPQYLASHITTPIFFVNAAYDSWQIKNILAPGVADPDGHWHSCKLDINNCSPDQLDLMQGFRTEFLRALTVLGNSSYKGMFIDSCYAHCQTEMQETWLRSDSPELEKTTIAKAVADWFYERRTFHQIDCPYPCNPTCHNRVFEPQDGHQGKNVTSKGTSDASARKLNFPKLTTNKARWIELVCINGGINGLLLTLGLMIII >Vigun02g106300.3.v1.2 pep primary_assembly:ASM411807v1:2:26032141:26035644:1 gene:Vigun02g106300.v1.2 transcript:Vigun02g106300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMEGGRIRQWLNLLVCALLLLIAEASYVPITYLQSAVAKGAVCLDGSPPAYHFDKGSGTGINSWLVAFEGGGWCNNVTTCLSRKTNRLGSSKQMAKQIAFSGIMSNRQMFNPDFYNWNRVKVRYCDGSSFTGDVEEVNPVTKLHFRGARIFAAVMEDLLAKGMKNARNAIISGCSAGGLTSVLHCDRFRALLPIGARVKCLSDAGYFINARDVTGAYHIQQYFGQVVATHGSARNLPLSCTSRLSPKLCFFPQYLASHITTPIFFVNAAYDSWQIKNILAPGVADPDGHWHSCKLDINNCSPDQLDLMQGFRTEFLRALTVLGNSSYKGMFIDSCYAHCQTEMQETWLRSDSPELEKTTIAKAVADWFYERRTFHQIDCPYPCNPTCHNRVFEPQDGHQGV >Vigun10g077700.1.v1.2 pep primary_assembly:ASM411807v1:10:21152428:21152956:1 gene:Vigun10g077700.v1.2 transcript:Vigun10g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSFSHILTFILIISVISRISEAVPKRCQKVLNAINCNLLECGKACYNTYKDFQGFGQCIRNINTNSYECLCVYDCNANKSGA >Vigun10g052300.1.v1.2 pep primary_assembly:ASM411807v1:10:8442812:8447969:1 gene:Vigun10g052300.v1.2 transcript:Vigun10g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFSYFISDEKKRKKVDLICTLSHFCIQQTCPSEYWRTPRLLRSQFLFDRVVLGTNSGRSSSQSCSLLGVGQAFSGTQNVSSVQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEATPEDDIRHWSKFPSFSPLLGQVEADGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYICFSCSDGSISGFYYDPNSSPYQKLELKSTNDGRSGFSFSSYELQ >Vigun10g052300.3.v1.2 pep primary_assembly:ASM411807v1:10:8442812:8447969:1 gene:Vigun10g052300.v1.2 transcript:Vigun10g052300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVLENTAPSQVSGTNSGRSSSQSCSLLGVGQAFSGTQNVSSVQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEATPEDDIRHWSKFPSFSPLLGQVEADGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYICFSCSDGSISGFYYDPNSSPYQKLELKSTNDGRSGFSFSSYELQ >Vigun10g052300.2.v1.2 pep primary_assembly:ASM411807v1:10:8442812:8447969:1 gene:Vigun10g052300.v1.2 transcript:Vigun10g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFSYFISDEKKRKKVDLICTLSHFCIQQTCPSEYWRTPRLLRSQFLFDRVVLGTNSGRSSSQSCSLLGVGQAFSGTQNVSSVQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEATPEDDIRHWSKFPSFSPLLGQVEADGGKSLDLSNYPYIFMVD >Vigun09g052900.1.v1.2 pep primary_assembly:ASM411807v1:9:5273121:5275217:-1 gene:Vigun09g052900.v1.2 transcript:Vigun09g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGNLKPAWLEALYAQKFFVGCSYHENAKKNEKNICCLDCCTSICPHCLPSHRFHSLLQVRRYVYHDVVRLEDLHKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSSYLRTCKSLQLSSDFLIPQEMMGDDEMTRSTIVDCEDPMSSSSGSENMSMACTEIVRKKRSGWNVCARSMTNKVSHEDMATSISRRKGIPHRSPLC >VigunL024566.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:55980:58963:-1 gene:VigunL024566.v1.2 transcript:VigunL024566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELPKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHPPGFINLQILPQLVKRMKLADIMTILEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQRIGPEYTGPFGVLQALADGTKLLFKENLIPSRGDIRLFSFGPSISVISIIISYSVIPFGYNFVLSDLNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISL >Vigun09g143200.3.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEVQQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun09g143200.2.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun09g143200.4.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQSVDKGQIVASPQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun09g143200.5.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQVLQSVDKGQIVASPQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun09g143200.6.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEVQQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQSVDKGQIVASPQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun09g143200.1.v1.2 pep primary_assembly:ASM411807v1:9:30577782:30586249:-1 gene:Vigun09g143200.v1.2 transcript:Vigun09g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAVAAAATAAAVRGASLQMPPPSRKEWRAVSDHHHSARNPDDEELDNAKLGQSDERTIYEVQQGREPLDVDFCSITVDGTLDNDILQQQLHNVVRQRQELLQMEIDLKAQIIARTEIMDMRNSFDAQLKDNVNNTTKLQEQLCERERTIHDLERKMEEKERELHAIKLDNEAAWAKQDLLREQNKELATFRMERDHSEAERAQHIKQIHDLQEHIQEKDRQLIELQEQHRGAQETIMFKDEQLREAQAWIARVREMDVFQSTTNQTLQAELRERTEQYNQLWMGFQRQFAEMERVHLHTIQQLQLELADARERSGTYNDDSRMSQMNSKSNATQFGHENGSQFDLNGSNASGGNNGLLPNESTDNGVPFSSTGNASIQTEHVPGVPITPSSLLVQPSYLPHGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPSISPVQQWQSQQSVSEGSQLPIQEHPSSSQTDQNLMRSDAKFSYEMSVNGQTLRRDYLDAHIQQGEGAPTVISSVTTETQVLQSVDKGQIVASPQDQSMQQISLQFSEALRLNSFEPNGEIKEPNSVTLSNDGPDDQVLLSEQASSATNASPVKSQSVNHDEMIQNNSTDSVLSEVFTSSGSTASSTITKTSETALLDEKSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVGSHPELFLIEDDYIQLREGAQKMVAATAAVAKVAAAAAASTPYSSYMSTVAVTPMAQSHRMKKVPSIDSKNIKSDKALQEYAVISSNLGDDSLKLSVMQHQQSNGPGFSVSGGLSNVKILSKSKDSREMDGPESRIVQSVGNGGSAQISGSANGRLVSSFTSKQQTRATGAVYPSRR >Vigun03g405100.2.v1.2 pep primary_assembly:ASM411807v1:3:61241620:61245710:1 gene:Vigun03g405100.v1.2 transcript:Vigun03g405100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFAFKKLLQDHKKPCVSTTDALNWNQESSIRNTLGSTLLGLSLNNLELPKNLEQEPLNQLGLLQVELEEVKKENQNLRSMLNEISEHYAALQNQLLLAMQQKKLSSSPPNNEDMQKDSRQDNVKKPWQFLHTGKMNNQVTAEEAKIIEDQAFEASCKKARVSVRARSESMGDGCQWRKYGQKISKGNPCPRAYYRCNMGTTCPVRKQVQRCASDESVVITTYEGTHNHSLPPPARSMASTTSAALSMFLSGSTTTSNHQLFSSTYYPSASSCPTVTLDLTHPTKNNLNHSQTFPLSLNGYPQQCEGLASEKDLPLVHVLSAAITRDPSIRAALEAAVSSMIADSQNTSSHAQLSTTPYHPSS >Vigun03g405100.1.v1.2 pep primary_assembly:ASM411807v1:3:61241620:61245710:1 gene:Vigun03g405100.v1.2 transcript:Vigun03g405100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFAFKKLLQDHKKPCVSTTDALNWNQESSIRNTLGSTLLGLSLNNLELPKNLEQEPLNQLGLLQVELEEVKKENQNLRSMLNEISEHYAALQNQLLLAMQQKKLSSSPPNNEDMQKDSRQDNVKKPWQFLHTGKMNNQVTAEEAKIIEDQAFEASCKKARVSVRARSESMKGDGCQWRKYGQKISKGNPCPRAYYRCNMGTTCPVRKQVQRCASDESVVITTYEGTHNHSLPPPARSMASTTSAALSMFLSGSTTTSNHQLFSSTYYPSASSCPTVTLDLTHPTKNNLNHSQTFPLSLNGYPQQCEGLASEKDLPLVHVLSAAITRDPSIRAALEAAVSSMIADSQNTSSHAQLSTTPYHPSS >Vigun03g405100.4.v1.2 pep primary_assembly:ASM411807v1:3:61241620:61245710:1 gene:Vigun03g405100.v1.2 transcript:Vigun03g405100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFAFKKLLQDHKKPCVSTTDALNWNQESSIRNTLGSTLLGLSLNNLELPKNLEQEPLNQLGLLQVELEEVKKENQNLRSMLNEISEHYAALQNQLLLAMQQKKLSSSPPNNEDMQDSRQDNVKKPWQFLHTGKMNNQVTAEEAKIIEDQAFEASCKKARVSVRARSESMGDGCQWRKYGQKISKGNPCPRAYYRCNMGTTCPVRKQVQRCASDESVVITTYEGTHNHSLPPPARSMASTTSAALSMFLSGSTTTSNHQLFSSTYYPSASSCPTVTLDLTHPTKNNLNHSQTFPLSLNGYPQQCEGLASEKDLPLVHVLSAAITRDPSIRAALEAAVSSMIADSQNTSSHAQLSTTPYHPSS >Vigun03g405100.3.v1.2 pep primary_assembly:ASM411807v1:3:61241620:61245710:1 gene:Vigun03g405100.v1.2 transcript:Vigun03g405100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFAFKKLLQDHKKPCVSTTDALNWNQESSIRNTLGSTLLGLSLNNLELPKNLEQEPLNQLGLLQVELEEVKKENQNLRSMLNEISEHYAALQNQLLLAMQQKKLSSSPPNNEDMQDSRQDNVKKPWQFLHTGKMNNQVTAEEAKIIEDQAFEASCKKARVSVRARSESMKGDGCQWRKYGQKISKGNPCPRAYYRCNMGTTCPVRKQVQRCASDESVVITTYEGTHNHSLPPPARSMASTTSAALSMFLSGSTTTSNHQLFSSTYYPSASSCPTVTLDLTHPTKNNLNHSQTFPLSLNGYPQQCEGLASEKDLPLVHVLSAAITRDPSIRAALEAAVSSMIADSQNTSSHAQLSTTPYHPSS >Vigun09g002700.2.v1.2 pep primary_assembly:ASM411807v1:9:196775:205512:-1 gene:Vigun09g002700.v1.2 transcript:Vigun09g002700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLDKPLLDPENFNRDGIDLERIPLEEVFEQLRTSRRGLSSDDAEARIEIFGPNKLEEKKENKILKFLGFMWNPLSWVMEAAALMAIILANGGGEGPDWQDFIGIICLLVINSTISFIEENNAGNAAAALMARLAPKTRVLRDGQWQEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAYLVDSTEVVGHFQKVLTSIGNFCICSIAIGIIFEIIIMFPVEHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNRNMDKDTVVLLAARAARLENQDAIDTAVVNMLADPREARANITEVHFLPFNPVDKRTAITYIDSDGNFYRATKGAPEQILDLCQEKDQIAKRVHDIIDKFAERGLRSLAVAYQEVPEKSKDSPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKEEHEVLPVDELVEMADGFAGVYPEHKFEIVKILQEKQHVVGMTGDGVNDAPALKKADIGIAVSDATDAARSAADLVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLPEIFATGIVIGTYLALVTVLFYWTIVETTFFETHFHVSSLSSDSEKVSSAVYLQVSIISQALIFVTRSYGWSFLERPGALLMCAFVIAQLVATLIGVYANISFAKISGIGWEWAGVIWLYSLIFYVPLDIIKFTVRYALSGDAWKLLFERKTAFTSKKDYGKEDRAAKWVLSQRTLQGLHLMAGGSLEVNGRRSSIIAEQARRRAEIARLGELHTLRGHVESVVRLKNLHRNVIQSAHTV >Vigun09g002700.1.v1.2 pep primary_assembly:ASM411807v1:9:196775:205562:-1 gene:Vigun09g002700.v1.2 transcript:Vigun09g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLDKPLLDPENFNRDGIDLERIPLEEVFEQLRTSRRGLSSDDAEARIEIFGPNKLEEKKENKILKFLGFMWNPLSWVMEAAALMAIILANGGGEGPDWQDFIGIICLLVINSTISFIEENNAGNAAAALMARLAPKTRVLRDGQWQEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAYLVDSTEVVGHFQKVLTSIGNFCICSIAIGIIFEIIIMFPVEHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNRNMDKDTVVLLAARAARLENQDAIDTAVVNMLADPREARANITEVHFLPFNPVDKRTAITYIDSDGNFYRATKGAPEQILDLCQEKDQIAKRVHDIIDKFAERGLRSLAVAYQEVPEKSKDSPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKEEHEVLPVDELVEMADGFAGVYPEHKFEIVKILQEKQHVVGMTGDGVNDAPALKKADIGIAVSDATDAARSAADLVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLPEIFATGIVIGTYLALVTVLFYWTIVETTFFETHFHVSSLSSDSEKVSSAVYLQVSIISQALIFVTRSYGWSFLERPGALLMCAFVIAQLVATLIGVYANISFAKISGIGWEWAGVIWLYSLIFYVPLDIIKFTVRYALSGDAWKLLFERKTAFTSKKDYGKEDRAAKWVLSQRTLQGLHLMAGGSLEVNGRRSSIIAEQARRRAEIARLGELHTLRGHVESVVRLKNLHRNVIQSAHTV >VigunL054400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000033.1:32673:34525:1 gene:VigunL054400.v1.2 transcript:VigunL054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRKLWVISILIIQQFTFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADIIAIRLGLPMPPPYLGVPKSQRHEVVTGLNYASGSCGILNSTRSGDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHANECFSGTQLCLPYNIQKLIHAH >Vigun06g118800.1.v1.2 pep primary_assembly:ASM411807v1:6:24699843:24701096:-1 gene:Vigun06g118800.v1.2 transcript:Vigun06g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFANVKTFSALLLDGFSNALTRRGYSVATQSATRGAVTSMAGKSGEEKRVSTYEVSWVPDPVTGYYKPENTNEVDVADLRATLLRKKFNNN >Vigun09g057200.2.v1.2 pep primary_assembly:ASM411807v1:9:5749331:5752294:1 gene:Vigun09g057200.v1.2 transcript:Vigun09g057200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQKQAFDRLIETEEENMHVTFVPGEIQDLWDNWGLEMLVLLSFTMQVILTVYGSRRKDIPGLRTRFTVWFTYLLSASLQKIITGKLTVIPESDPNERNIGREVKALFAPLLLVQIGNPDAITAYSIEDNRLGLRQLLTLVLQVAVVIWIIIKSWTHSQLSFLYLPLLVSGLIKHGEVVWALKSALTKGSGIITIQEIDQEANMPALFRCLPEDIPNIELILKAYYRFISLKPHREHWLYQPLYESLPHMSIDEYAPEDIFHITDAELSFMYDVLYTKSPIIYTKAGCILRVLSFFNLVLTLCGFSVLFRQEFSRHWKACFIVGVLGGAVLMEAYQIAQLPFSDWAIIQMIKHKDLPFMIPCLRILGPRARSWKRWSNSLPQFNLLSFCIHDKPIRCGKILKFRGIDMELKKNRCRTRIEFPPELKALMVQEMKEIDGVRRLKHFNQRGEWSLGRYGSLNDIKWSVKRDFDKSIAIWHIATDICYYSDAHANASSPKIKMARLLSNYMMYLLAMRPHMLSTTTAKIIFQHACDKLKGLTVDKEQCVKDEKEACRILRTERVPQDLNSERKSETVVTSKWNMLRDAQRLGRNLMLRENRWQIICSVWVEMLCYAAANCSTDYHSEQIRRGGGLVTHVWILLAHKTDKYHISD >Vigun09g057200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5749331:5752294:1 gene:Vigun09g057200.v1.2 transcript:Vigun09g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTFVPGEIQDLWDNWGLEMLVLLSFTMQVILTVYGSRRKDIPGLRTRFTVWFTYLLSASLQKIITGKLTVIPESDPNERNIGREVKALFAPLLLVQIGNPDAITAYSIEDNRLGLRQLLTLVLQVAVVIWIIIKSWTHSQLSFLYLPLLVSGLIKHGEVVWALKSALTKGSGIITIQEIDQEANMPALFRCLPEDIPNIELILKAYYRFISLKPHREHWLYQPLYESLPHMSIDEYAPEDIFHITDAELSFMYDVLYTKSPIIYTKAGCILRVLSFFNLVLTLCGFSVLFRQEFSRHWKACFIVGVLGGAVLMEAYQIAQLPFSDWAIIQMIKHKDLPFMIPCLRILGPRARSWKRWSNSLPQFNLLSFCIHDKPIRCGKILKFRGIDMELKKNRCRTRIEFPPELKALMVQEMKEIDGVRRLKHFNQRGEWSLGRYGSLNDIKWSVKRDFDKSIAIWHIATDICYYSDAHANASSPKIKMARLLSNYMMYLLAMRPHMLSTTTAKIIFQHACDKLKGLTVDKEQCVKDEKEACRILRTERVPQDLNSERKSETVVTSKWNMLRDAQRLGRNLMLRENRWQIICSVWVEMLCYAAANCSTDYHSEQIRRGGGLVTHVWILLAHKTDKYHISD >Vigun07g113600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21017753:21019503:-1 gene:Vigun07g113600.v1.2 transcript:Vigun07g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFLDDPDPPRPESGSSNEPRVQDPDPDSPPRSSTTDSGGGVGDGWNFGGFMQTLTSKSESIIETYRRDLQEFSTGLKKEIEVAQGSLGNVGHAIDEFGNTVVKGTAQIISQGILAVDLDSDSDNNSVNRRSLDLARYSRFDAQVRAIQGDVSTYSEEPEDLSEFNEWKLGFSLEGKSDEMEGLFRENDNMESVYKRVVPSSVDHDTFWYRYFYKVYKLKKAEDLMARLVSGISGVDEDLSWEVEDDNEDDDGGDAKPLVLNKEVGGDSVDTQLLVAGDEGGTKKLDVEKESKVEKRDNLLQSEEVGNKIDKSVEEPHIEKSEIVGEMAGVDEASKVDVGVSKNDPAAETGEKVKERDGGHEKSSSKSNDSSVVENKHSANEEGDEEDLGWDDIEDLSSIDVKETSHSGGTSKVDLRKRFTAAEVDEDLSWDIEEDDDDEPPKV >Vigun10g178200.1.v1.2 pep primary_assembly:ASM411807v1:10:39649598:39650968:1 gene:Vigun10g178200.v1.2 transcript:Vigun10g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKFCVLFVVAFLVTWPCQGSHYQVFPLRMKTGYNEQYPTQVSCASWRLGVEANNLVKWKTVPAPCQEHVADYLLGDQYRSDSKTVCREAFLYAKTLNITDRDIFVFDVDETALSNLQYFANHDFGVEEHNATAFKIWVSYGEAFALPETLKLYNKLLDLGIKVVFITERPLDLKDVTVSNLKNVGFYKWEKLIARDPAIYSGKLSNAFKSSERKKLTEEGFRIVGNIGDQWSDIVGEKRGFRTFKLPNPLYYD >Vigun11g085366.1.v1.2 pep primary_assembly:ASM411807v1:11:25539754:25540676:-1 gene:Vigun11g085366.v1.2 transcript:Vigun11g085366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGCNPPQPSLPYGNKRKVVTKKKRPNYVLKIDVPPPTPTPLPSPSPIVVNRPTQLGVDAITISSPSPIVASAPSPLPIGPSTSSVPSPLPVSSPLPMPSGVHHSLIEEGLEVSTNQADVQSDIQEEPIRRADLPMISPQAHGFYLGRLATNAITMTIRQQYSKPRPTWGSLTSEEKERFFQRFKVTSHRLSEMLMIARKNGKKFEWMFDDIWNSLLAIWNSPQYHTKCLQA >Vigun08g002900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:290614:291298:1 gene:Vigun08g002900.v1.2 transcript:Vigun08g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVQEWRCVWNWIHRRNWRCSQQRLLHDGPDTVEELLDRHLVKTKNKNDNDHDEFENRRKLTSTRREALSLYRDILRATRFFVWPDSRGVIWRDVLRQNARTEFEQARFETDPEIVTRLLIGGREALHSAIDKLAEKHRHHIQKDNPSDSHPR >Vigun07g058100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6391435:6392227:1 gene:Vigun07g058100.v1.2 transcript:Vigun07g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLYPSTARQMMVTGGLFIVGGLCFGVGAYYSLVNVGPQQERLKARREVMKNILKKRFGD >Vigun11g105400.2.v1.2 pep primary_assembly:ASM411807v1:11:30380963:30383565:-1 gene:Vigun11g105400.v1.2 transcript:Vigun11g105400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDHLQISIYSDDASRKKRCSEKMEEMKNTQFSSTQDAASPLLHKELVGEKNVVIFELYDAVEKGDVDNFVDVLGKELAKRKVPLSDIFDQVTGAGDSLLHVAAYFGSEEIAELICCHFPELLIKRNVRGDTALHVAVSSKNLTTVKLILSQYAIEKSKHDCLKDKEITREKNKYENTPLHEAVYSGDVGVVKKILKADKDVVHCLNKSSRSPLFLSVMSPNVKILNLLLQIPFPADQPLPQCFGNSPLHAAILERNPGKREELIYLRDEEGGTPFHYAAYTGYVKGFRILLQNSSEKSNQSLLERNKKGNFPIHLACKRGHVEVVEEFLLHELPTNPYDLLNRKGQNILHIAAKNGKTKVVQHLLRNQKIDQRAINHRDNDGNTPLHLASINLFPRVLYFIAQDERINVNITNNDDLTARDIIDLEFDTQKTVRKFLANMVLVKARVPLKLNRMLHFQRQQSPKRNLHLKDPNTFLVAAALIVTVTFTAFLTVPGGVYSSDDPNPENRGMAVLAHKTLFWFFCIYNTISMLSSTAACALMLVAQTFDSELTHKGPVLWILIALLNYVNKPEDSSYQKAIKDKDKFV >Vigun11g105400.1.v1.2 pep primary_assembly:ASM411807v1:11:30380963:30383565:-1 gene:Vigun11g105400.v1.2 transcript:Vigun11g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDHLQISIYSDDASRKKRCSEKMEEMKNTQFSSTQDAASPLLHKELVGEKNVVIFELYDAVEKGDVDNFVDVLGKELAKRKVPLSDIFDQVTGAGDSLLHVAAYFGSEEIAELICCHFPELLIKRNVRGDTALHVAVSSKNLTTVKLILSQYAIEKSKHDCLKDKEITREKNKYENTPLHEAVYSGDVGVVKKILKADKDVVHCLNKSSRSPLFLSVMSPNVKILNLLLQIPFPADQPLPQCFGNSPLHAAILERNPGLIRKILGKREELIYLRDEEGGTPFHYAAYTGYVKGFRILLQNSSEKSNQSLLERNKKGNFPIHLACKRGHVEVVEEFLLHELPTNPYDLLNRKGQNILHIAAKNGKTKVVQHLLRNQKIDQRAINHRDNDGNTPLHLASINLFPRVLYFIAQDERINVNITNNDDLTARDIIDLEFDTQKTVRKFLANMVLVKARVPLKLNRMLHFQRQQSPKRNLHLKDPNTFLVAAALIVTVTFTAFLTVPGGVYSSDDPNPENRGMAVLAHKTLFWFFCIYNTISMLSSTAACALMLVAQTFDSELTHKGPVLWILIALLNYVNKPEDSSYQKAIKDKDKFV >Vigun02g102200.5.v1.2 pep primary_assembly:ASM411807v1:2:25687779:25697975:1 gene:Vigun02g102200.v1.2 transcript:Vigun02g102200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHGRVSVREFQANGSRIAASEVEAKLDQGNIQEAEDSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIQRLQSSSSEKPQGKKGRTQNESASGSQHAASLVLEAIYLKAKSLQKLGKFTEAADNCKRILDSVEKIFYQGVPNIQVDNKLQEIVSHAVELLPELWKQAGCYDEAISAYRRALLSQWNLDNDYCARIQKSFVMFLLYSGVEASPPSLAVQTEGSYVPKNNLEEAILLLMVLLRKFSLGKMKWDPSVMEHLTFALSICSQTSTLAKQIEELMPGVYHRIDCWNSLAFCYSGAGQNDCALSLLRKSLHKHERPNDLTSLLLAARICSEDSHLAAEGVIYAQRAVNNARDQNEHLKGVALRMLGLCLGKHAKVASSDSERSRLHSKALESLVAAIKLEPNNSDLIFELAVHYAQHRNLSNALRSARHFFNQTDAALDQTARWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQKKSFGSFQISSKVLDDKINEFDIWHGLANLYASLSRWKDAEICLQKARDLKQYSAAVMHTQGVLSEGRGQNEEALLATINGILFEPNHVPCKILMGAMIQRVGPKFFCAARSALSDALRIEPTNRMAWHCLGLLNKQDGRISEAADCFQAASMLEESDPIETFSSIL >Vigun02g102200.2.v1.2 pep primary_assembly:ASM411807v1:2:25687778:25697975:1 gene:Vigun02g102200.v1.2 transcript:Vigun02g102200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHGRVSVREFQANGSRIAASEVEAKLDQGNIQEAEDSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIQRLQSSSSEKPQGKKGRTQNESASGSQHAASLVLEAIYLKAKSLQKLGKFTEAADNCKRILDSVEKIFYQGVPNIQVDNKLQEIVSHAVELLPELWKQAGCYDEAISAYRRALLSQWNLDNDYCARIQKSFVMFLLYSGVEASPPSLAVQTEGSYVPKNNLEEAILLLMVLLRKFSLGKMKWDPSVMEHLTFALSICSQTSTLAKQIEELMPGVYHRIDCWNSLAFCYSGAGQNDCALSLLRKSLHKHERPNDLTSLLLAARICSEDSHLAAEGVIYAQRAVNNARDQNEHLKGVALRMLGLCLGKHAKVASSDSERSRLHSKALESLVAAIKLEPNNSDLIFELAVHYAQHRNLSNALRSARHFFNQTGGSMLKSWRLLALILSAQQRFSEAEVVTDAALDQTARWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQKKSFGSFQISSKVLDDKINEFDIWHGLANLYASLSRWKDAEICLQKARDLKQYSAAVMHTQGVLSEGRGQNEEALLATINGILFEPNHVPCKILMGAMIQRVGPKFFCAARSALSDALRIEPTNRMAWHCLGLLNKQDGRISEAADCFQAASMLEESDPIETFSSIL >Vigun02g102200.4.v1.2 pep primary_assembly:ASM411807v1:2:25687779:25697975:1 gene:Vigun02g102200.v1.2 transcript:Vigun02g102200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHGRVSVREFQANGSRIAASEVEAKLDQGNIQEAEDSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIQRLQSSSSEKPQGKKGRTQNESASGSQHAASLVLEAIYLKAKSLQKLGKFTEAADNCKRILDSVEKIFYQGVPNIQVDNKLQEIVSHAVELLPELWKQAGCYDEAISAYRRALLSQWNLDNDYCARIQKSFVMFLLYSGVEASPPSLAVQTEGSYVPKNNLEEAILLLMVLLRKFSLGKMKWDPSVMEHLTFALSICSQTSTLAKQIEELMPGVYHRIDCWNSLAFCYSGAGQNDCALSLLRKSLHKHERPNDLTSLLLAARICSEDSHLAAEGVIYAQRAVNNARDQNEHLKGVALRMLGLCLGKHAKVASSDSERSRLHSKALESLVAAIKLEPNNSDLIFELAVHYAQHRNLSNALRSARHFFNQTDAALDQTARWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQKKSFGSFQISSKVLDDKINEFDIWHGLANLYASLSRWKDAEICLQKARDLKQYSAAVMHTQGVLSEGRGQNEEALLATINGILFEPNHVPCKILMGAMIQRVGPKFFCAARSALSDALRIEPTNRMAWHCLGLLNKQDGRISEAADCFQAASMLEESDPIETFSSIL >Vigun02g102200.1.v1.2 pep primary_assembly:ASM411807v1:2:25688019:25697975:1 gene:Vigun02g102200.v1.2 transcript:Vigun02g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHGRVSVREFQANGSRIAASEVEAKLDQGNIQEAEDSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIQRLQSSSSEKPQGKKGRTQNESASGSQHAASLVLEAIYLKAKSLQKLGKFTEAADNCKRILDSVEKIFYQGVPNIQVDNKLQEIVSHAVELLPELWKQAGCYDEAISAYRRALLSQWNLDNDYCARIQKSFVMFLLYSGVEASPPSLAVQTEGSYVPKNNLEEAILLLMVLLRKFSLGKMKWDPSVMEHLTFALSICSQTSTLAKQIEELMPGVYHRIDCWNSLAFCYSGAGQNDCALSLLRKSLHKHERPNDLTSLLLAARICSEDSHLAAEGVIYAQRAVNNARDQNEHLKGVALRMLGLCLGKHAKVASSDSERSRLHSKALESLVAAIKLEPNNSDLIFELAVHYAQHRNLSNALRSARHFFNQTGGSMLKSWRLLALILSAQQRFSEAEVVTDAALDQTARWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQKKSFGSFQISSKVLDDKINEFDIWHGLANLYASLSRWKDAEICLQKARDLKQYSAAVMHTQGVLSEGRGQNEEALLATINGILFEPNHVPCKILMGAMIQRVGPKFFCAARSALSDALRIEPTNRMAWHCLGLLNKQDGRISEAADCFQAASMLEESDPIETFSSIL >Vigun02g102200.3.v1.2 pep primary_assembly:ASM411807v1:2:25687779:25697975:1 gene:Vigun02g102200.v1.2 transcript:Vigun02g102200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHGRVSVREFQANGSRIAASEVEAKLDQGNIQEAEDSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIQRLQSSSSEKPQGKKGRTQNESASGSQHAASLVLEAIYLKAKSLQKLGKFTEAADNCKRILDSVEKIFYQGVPNIQVDNKLQEIVSHAVELLPELWKQAGCYDEAISAYRRALLSQWNLDNDYCARIQKSFVMFLLYSGVEASPPSLAVQTEGSYVPKNNLEEAILLLMVLLRKFSLGKMKWDPSVMEHLTFALSICSQTSTLAKQIEELMPGVYHRIDCWNSLAFCYSGAGQNDCALSLLRKSLHKHERPNDLTSLLLAARICSEDSHLAAEGVIYAQRAVNNARDQNEHLKGVALRMLGLCLGKHAKVASSDSERSRLHSKALESLVAAIKLEPNNSDLIFELAVHYAQHRNLSNALRSARHFFNQTGGSMLKSWRLLALILSAQQRFSEAEVVTDAALDQTARWEQGPLLRLKAKLKISQSRPMDAIETYRYLLALVQAQKKSFGSFQISSKVLDDKINEFDIWHGLANLYASLSRWKDAEICLQKARDLKQYSAAVMHTQGVLSEGRGQNEEALLATINGILFEPNHVPCKILMGAMIQRVGPKFFCAARSALSDALRIEPTNRMAWHCLGLLNKQDGRISEAADCFQAASMLEESDPIETFSSIL >Vigun11g167200.5.v1.2 pep primary_assembly:ASM411807v1:11:37426066:37430696:-1 gene:Vigun11g167200.v1.2 transcript:Vigun11g167200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDRFAHLNPKKCVVVGGRGFLGRSLVLRLLKLGNWIVRIADSAQSLQLLNSESVLAQALSSARASYFHIDLADKCNVVKVLEGSSVVFFMDVAGVDVNDFYTCYRLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRNGDESLAYPWKTDDMLSDLKAQAESLILSVNDIDGLLTCSLRPSNVFGPGDTEFVPYFLKSARYGFTKFIIGTGDNLSDFTFSENVTHAHICAEEALNFQTVSVAGKAFFITNDEPIQFWEFLSLLLEGLGYQRPYVKLPAKLAQYILSVLKWSHEKLGSRYFSYPLLVHFFQIASYTRTFNCTEAHKHLGYSPIVSLEEGVTLTIESFSYLSKDSCLSRSFSSTEQSKADKLLGGGKVADILLWRDEKKSFTYFAVLVLLFYWFFLSGRTFISSAAKLLLLATLLFYGHGFLPSKL >Vigun11g167200.6.v1.2 pep primary_assembly:ASM411807v1:11:37424618:37430696:-1 gene:Vigun11g167200.v1.2 transcript:Vigun11g167200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDRFAHLNPKKCVVVGGRGFLGRSLVLRLLKLGNWIVRIADSAQSLQLLNSESVLAQALSSARASYFHIDLADKCNVVKVLEGSSVVFFMDVAGVDVNDFYTCYRLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRNGDESLAYPWKTDDMLSDLKAQAESLILSVNDIDGLLTCSLRPSNVFGPGDTEFVPYFLKSARYGFTKFIIGTGDNLSDFTFSENVTHAHICAEEALNFQTVSVAGKAFFITNDEPIQFWEFLSLLLEGLGYQRPYVKLPAKLAQYILSVLKWSHEKLGSRYFSYPLLVHFFQIASYTRTFNCTEAHKHLGYSPIVSLEEGVTLTIESFSYLSKDSCLSRSFSSTEQSKADKLLGGGKVADILLWRDEKKSFTYFAVLVLLFYWFFLSGRTFISSAAKLLLLATLLFYGHGFLPSKLFGFNIQRIPLSYFEISDAVVKDSVITTVCLWNKGFQIIRRLAHGEDWSAFFKVGFKERIVHP >Vigun11g167200.2.v1.2 pep primary_assembly:ASM411807v1:11:37424618:37430696:-1 gene:Vigun11g167200.v1.2 transcript:Vigun11g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDRFAHLNPKKCVVVGGRGFLGRSLVLRLLKLGNWIVRIADSAQSLQLLNSESVLAQALSSARASYFHIDLADKCNVVKVLEGSSVVFFMDVAGVDVNDFYTCYRLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRNGDESLAYPWKTDDMLSDLKAQAESLILSVNDIDGLLTCSLRPSNVFGPGDTEFVPYFLKSARYGFTKFIIGTGDNLSDFTFSENVTHAHICAEEALNFQTVSVAGKAFFITNDEPIQFWEFLSLLLEGLGYQRPYVKLPAKLAQYILSVLKWSHEKLGSRYFSYPLLVHFFQIASYTRTFNCTEAHKHLGYSPIVSLEEGVTLTIESFSYLSKDSCLSRSFSSTEQSKADKLLGGGKVADILLWRDEKKSFTYFAVLVLLFYWFFLSGRTFISSAAKLLLLATLLFYGHGFLPSKLFGFNIQRIPLSYFEISDAVVKDSVITTVCLWNKGFQIIRRLAHGEDWSAFFKIAVFLYLMKMFLSKLLTILIGIGLVFAFMGFFVYEQYESEIDGMVDVLFTSLKEFMIYLMSISPVFISRLLRYHDNFQQYEKKG >Vigun11g167200.3.v1.2 pep primary_assembly:ASM411807v1:11:37424618:37430696:-1 gene:Vigun11g167200.v1.2 transcript:Vigun11g167200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVDVNDFYTCYRLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRNGDESLAYPWKTDDMLSDLKAQAESLILSVNDIDGLLTCSLRPSNVFGPGDTEFVPYFLKSARYGFTKFIIGTGDNLSDFTFSENVTHAHICAEEALNFQTVSVAGKAFFITNDEPIQFWEFLSLLLEGLGYQRPYVKLPAKLAQYILSVLKWSHEKLGSRYFSYPLLVHFFQIASYTRTFNCTEAHKHLGYSPIVSLEEGVTLTIESFSYLSKDSCLSRSFSSTEQSKADKLLGGGKVADILLWRDEKKSFTYFAVLVLLFYWFFLSGRTFISSAAKLLLLATLLFYGHGFLPSKLFGFNIQRIPLSYFEISDAVVKDSVITTVCLWNKGFQIIRRLAHGEDWSAFFKIAVFLYLMKMFLSKLLTILIGIGLVFAFMGFFVYEQYESEIDGMVDVLFTSLKEFMIYLMSISPVFISRLLRYHDNFQQYEKKG >Vigun11g167200.1.v1.2 pep primary_assembly:ASM411807v1:11:37424618:37430696:-1 gene:Vigun11g167200.v1.2 transcript:Vigun11g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDRFAHLNPKKCVVVGGRGFLGRSLVLRLLKLGNWIVRIADSAQSLQLLNSESVLAQALSSARASYFHIDLADKCNVVKVLEGSSVVFFMDVAGVDVNDFYTCYRLIVQGAKNVISACRECRVKRLIYNSSADVVFDGLHDIRNGDESLAYPWKTDDMLSDLKAQAESLILSVNDIDGLLTCSLRPSNVFGPGDTEFVPYFLKSARYGFTKFIIGTGDNLSDFTFSENVTHAHICAEEALNFQTVSVAGKAFFITNDEPIQFWEFLSLLLEGLGYQRPYVKLPAKLAQYILSVLKWSHEKLGSRYFSYPLLVHFFQIASYTRTFNCTEAHKHLGYSPIVSLEEGVTLTIESFSYLSKDSCLSRSFSSTEQSKADKLLGGGKVADILLWRDEKKSFTYFAVLVLLFYWFFLSGRTFISSAAKLLLLATLLFYGHGFLPSKLFGFNIQRIPLSYFEISDAVVKDSVITTVCLWNKGFQIIRRLAHGEDWSAFFKIAVFLYLMKMFLSKLLTILIGIGLVFAFMGFFVYEQYESEIDGMVDVLFTSLKEFMIYLMSISPVFISRLLRYHDNFQQYEKKG >Vigun07g118301.1.v1.2 pep primary_assembly:ASM411807v1:7:21950597:21951110:1 gene:Vigun07g118301.v1.2 transcript:Vigun07g118301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLKIAHIFFSQILHSILHAPMSLFDTTPSGRILSRVSMDQTNVDVVIPLFLNFVVTMYITVISIFIITCQNSWPTAFLLIPLAWLNVWYRERKLNVLTINEFSI >Vigun02g086700.1.v1.2 pep primary_assembly:ASM411807v1:2:24134206:24138328:-1 gene:Vigun02g086700.v1.2 transcript:Vigun02g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGKQQSWFFSLRGSLLFLAILTLLSITYLALRYSTPPPEVSKFSVTKLNDAPGTGKYEECGGGGGEEGEEELYSDTFHSPRVFKLNYEEMEKKFKVYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESHFRTENPDEAHLFFIPISCHKMRGKGTSYENMTIIVQNYVEGLISKYPYWNRTLGADHFFVTCHDVGVRATEGLEFLVKNSIRAVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLLYQKRFYRSKFCICPGGSQVNSARITDSIHYGCIPVILSNYYDLPFNDILDWNKFAVILKEKDVYQLKQILKNISDAEFVALHYNLVKVQKHFQWNSPPIRFDAFHMVMYDLWLRHHTIKY >Vigun07g075300.6.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.12.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.17.v1.2 pep primary_assembly:ASM411807v1:7:9851721:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.11.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGCYSTEHEMDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.14.v1.2 pep primary_assembly:ASM411807v1:7:9851721:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.19.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.3.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.15.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.18.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.8.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGCYSTEHEMDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.5.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.1.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGCYSTEHEMDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.2.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGCYSTEHEMDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.4.v1.2 pep primary_assembly:ASM411807v1:7:9851331:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.16.v1.2 pep primary_assembly:ASM411807v1:7:9851721:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun07g075300.13.v1.2 pep primary_assembly:ASM411807v1:7:9851721:9860941:1 gene:Vigun07g075300.v1.2 transcript:Vigun07g075300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEDYLRKCSNRKCQRVQVDESYVPALQDEVMEVEHLLAEPRNNLAPQDGSLDSHTTLNRKDDLELEVLDGLLDDVEIDDLEGADGFPGACEEYFLDFEFADKFEEVLGSGPFEGSESHSSGLSRSSIVGGVLESTEVPIAQSESKNDSLDETLTYESHGAFSNNPSQPSQGNCRYNISLDIQHLQELDNYRHLAGLTYKKEKGPTEKGQPAALREKRFRKPTQRYIEEFSNARPKEKVPPAGTKTKHSSDSSCNVLHIRIKSLKRSPSEKSSNENSEVMLPELQVRKGHQKKEKLQFEYESFPSESEDGCSTTKRSRRKDRRKHQKMWTLPEVLKLVEGISEYGVGRWTDIKKFLFSSSSYRTPIDLRDKWRNLLRASSVQKNKKEAEQNDELALRPLPFNVVHRVRELAKIHPYPRQRGSKKLRVSQAGTSVVADSPPVSLSKRNVRRKFT >Vigun06g020800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9861352:9862751:-1 gene:Vigun06g020800.v1.2 transcript:Vigun06g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICIEKLHNRKRSVSLYRVTIHRRVITTMVTSCPKVVSSWIKCHLQAPCNLHKKVVGLDIEWRPSFRRGVQNPVAILQLCIKHRCLIFQLYQASSIPRSLYKALCNPNIMFSGVKISGDAKRLKEDYGLEVAHCTDVAAMAAEEFGHKEFKRAGLKTLVENLIGEEIEKPKNVTLSNWELKYLSSGQVQYACVDAYYSYKLGKLLIFDKC >Vigun06g104400.2.v1.2 pep primary_assembly:ASM411807v1:6:23396310:23399519:-1 gene:Vigun06g104400.v1.2 transcript:Vigun06g104400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLDPSMPSSRHLLSSIIAMEPSHCVISHARACGGGSITEQVQQFIWDHCLSTPNFLKKLINQVESDHGFVLDNLYELYAHYMASFKDDSLGKRDARICKRISFLFPEGCSELQSCPRSRAVVFSLQCSLNMLEGDTGCSIWPSSLFLSELILSHPELFSKKSCFEIGSGVGLVGLCLAHVKASQVMLSDGDLSTLANMKFNLELNHLNAEANMTERNKDSNMVKCLYLPWESASESELQDTIPDVVLGADVIYDPACLPHLVRLLAILLNQMNSSFCTQHSSCKSLSPNTKLGNGEQNHENDIEIYNGGSNSSMSKEAPVAYIAYVIRNIETFNYFLSLGTQANLHIEDLTDSLKPLNLLCYMQSYNQTSVRLLRITSSNIQ >Vigun06g104400.1.v1.2 pep primary_assembly:ASM411807v1:6:23396310:23399519:-1 gene:Vigun06g104400.v1.2 transcript:Vigun06g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLDPSMPSSRHLLSSIIAMEPSHCVISHARACGGGSITEQVQQFIWDHCLSTPGDLHAPYLKNFLKKLINQVESDHGFVLDNLYELYAHYMASFKDDSLGKRDARICKRISFLFPEGCSELQSCPRSRAVVFSLQCSLNMLEGDTGCSIWPSSLFLSELILSHPELFSKKSCFEIGSGVGLVGLCLAHVKASQVMLSDGDLSTLANMKFNLELNHLNAEANMTERNKDSNMVKCLYLPWESASESELQDTIPDVVLGADVIYDPACLPHLVRLLAILLNQMNSSFCTQHSSCKSLSPNTKLGNGEQNHENDIEIYNGGSNSSMSKEAPVAYIAYVIRNIETFNYFLSLGTQANLHIEDLTDSLKPLNLLCYMQSYNQTSVRLLRITSSNIQ >Vigun04g089900.2.v1.2 pep primary_assembly:ASM411807v1:4:16123042:16137301:-1 gene:Vigun04g089900.v1.2 transcript:Vigun04g089900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPQPPSYTVVAAESGAESGPPRLVIPEVPMKDNVDVLKLRTRRGNEIVAVYVKYHRPTCTMLYSHGNAADLGQMFELFVELSNRLRLNVMGYDYSGYGQSTGKPTEYNTYADIDAAYKCLKEQYGVKDDQLILYGQSVGSGPTLDLASQIPELRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKVGAVKCPVLVIHGTADEVVDVSHGKQLWELCKVKYEALWTIGKSKATANGSKKESVESENEGKEGRKECEGGTSGTSEMSKEIPEVSRNSLDSRLEKSKKAEKPEKSRMSTDHVDRFRRRKGLVW >Vigun03g156500.1.v1.2 pep primary_assembly:ASM411807v1:3:16834628:16836295:1 gene:Vigun03g156500.v1.2 transcript:Vigun03g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDIQYAVEAMEGSTPSLSPQHSDFSTGYLEDALIESCERSKRRRLLPCTTTDEHTMTFIDDLEQSFWNFNPLWHQPVENFNFYCMNQIERFCGFSDELISTSRSEEANILLADSKTPEETISASESLNSSSSSYKQPVTCKTTDPTEAPTGSDEMGNKKVVTRVVYPFAMVKPGGREGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAEGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Vigun03g156500.2.v1.2 pep primary_assembly:ASM411807v1:3:16834471:16836295:1 gene:Vigun03g156500.v1.2 transcript:Vigun03g156500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMEAMEGSTPSLSPQHSDFSTGYLEDALIESCERSKRRRLLPCTTTDEHTMTFIDDLEQSFWNFNPLWHQPVENFNFYCMNQIERFCGFSDELISTSRSEEANILLADSKTPEETISASESLNSSSSSYKQPVTCKTTDPTEAPTGSDEMGNKKVVTRVVYPFAMVKPGGREGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAEGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Vigun09g061500.6.v1.2 pep primary_assembly:ASM411807v1:9:6388426:6393518:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQTPNGLNLDHPHDALKGKMKALLALYEEHKKKSVAAVPKTSSLGSSREKNGRRILVFVRVRPLARKETEAGARCCVRIVDRRDVYLTEFAAEKDYLRLKRLRGRHFTFDASFPDSASQQEVYSATTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQPGFARKKGDI >Vigun09g061500.1.v1.2 pep primary_assembly:ASM411807v1:9:6387423:6393574:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQTPNGLNLDHPHDALKGKMKALLALYEEHKKKSVAAVPKTSSLGSSREKNGRRILVFVRVRPLARKETEAGARCCVRIVDRRDVYLTEFAAEKDYLRLKRLRGRHFTFDASFPDSASQQEVYSATTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQVLVEYRVRDAAMNIINKMGKLSLIDLAGSERALATDQRSIRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSILSFGENQNTLHWADRAKEIRTKGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQPGFARKKGDI >Vigun09g061500.5.v1.2 pep primary_assembly:ASM411807v1:9:6389679:6393504:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQTPNGLNLDHPHDALKGKMKALLALYEEHKKKSVAAVPKTSSLGSSREKNGRRILVFVRVRPLARKETEAGARCCVRIVDRRDVYLTEFAAEKDYLRLKRLRGRHFTFDASFPDSASQQEVYSATTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQVLVEYRVRDAAMNIINKMGKLSLIDLAGSERALATDQRSIRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSILSFGENQNTLHWADRAKEIRTKGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQV >Vigun09g061500.4.v1.2 pep primary_assembly:ASM411807v1:9:6387423:6393683:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQVLVEYRVRDAAMNIINKMGKLSLIDLAGSERALATDQRSIRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSILSFGENQNTLHWADRAKEIRTKGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQPGFARKKGDI >Vigun09g061500.2.v1.2 pep primary_assembly:ASM411807v1:9:6385039:6393504:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQVLVEYRVRDAAMNIINKMGKLSLIDLAGSERALATDQRSIRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSILSFGENQNTLHWADRAKEIRTKGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQPGFARKKGDI >Vigun09g061500.3.v1.2 pep primary_assembly:ASM411807v1:9:6385039:6393504:-1 gene:Vigun09g061500.v1.2 transcript:Vigun09g061500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQTPNGLNLDHPHDALKGKMKALLALYEEHKKKSVAAVPKTSSLGSSREKNGRRILVFVRVRPLARKETEAGARCCVRIVDRRDVYLTEFAAEKDYLRLKRLRGRHFTFDASFPDSASQQEVYSATTSELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVESPGVMVLAIKDLFSKIRIRSCDGNHAVHLSYLEVYNETVRDLLSPGRHLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAILQVLVEYRVRDAAMNIINKMGKLSLIDLAGSERALATDQRSIRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSILSFGENQNTLHWADRAKEIRTKGYNANEDALPVPQTETDQVKLVHDLQKENRELRMRLAQQHQKLVTLQAQSLAPPTPPSATSLLSTPPTSVRPNEKRRSRPSFLSATHFTPETKNKGDDVAVRIRTLQQRVKALEADLDRVKKDHSLQLKQKDDVIRELSKKGGKKVAAAGAILRLKEANTQEMKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSYVISELTAHPSKLIQPGFARKKGDI >Vigun03g059400.1.v1.2 pep primary_assembly:ASM411807v1:3:4859046:4860187:-1 gene:Vigun03g059400.v1.2 transcript:Vigun03g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYGDMHKGSFECQQLQVSSIIRDVNYSCGSCGYELNLNSSNRNTGLIDSKSIKRGIISFFSVDESRFTQIHQLPWSFWIPFFNSKRQQRTKLLCRNCASHLGFAYTLPSQSQSWDGISDDSRIYDIKLTALLPSFSEEPTQRLEDMTKYESACSTVVF >Vigun01g222800.2.v1.2 pep primary_assembly:ASM411807v1:1:39611464:39621215:1 gene:Vigun01g222800.v1.2 transcript:Vigun01g222800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGIWTVDLAYLLQRFSVTFSYFTVTFGANPNYCVESFYKEELPNDLVRVDLLFQKAMEAGIDIQCRSISAEEISILVLSGKYIAIALVDHNKLSPVWQEGVPVPGVANNPGYTGHYVLICGYDARADMFEIRDPASSKKHKRISSKSLEEARKTFGTDEDLLLICLEKSNNHHQSSLQLSIDVNSDY >Vigun01g222800.3.v1.2 pep primary_assembly:ASM411807v1:1:39611464:39621215:1 gene:Vigun01g222800.v1.2 transcript:Vigun01g222800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIYLLFNKILKTGDERELRRDHLNAIDPYLFQQPSCNIDSHPPSLLCSHFPEVPHVNQIYTWDCGLACVLMVLKTIGVNNYDIQALAELCCTNSIWTVDLAYLLQRFSVTFSYFTVTFGANPNYCVESFYKEELPNDLVRVDLLFQKAMEAGIDIQCRSISAEEISILVLSGKYIAIALVDHNKLSPVWQEGVPVPGVANNPGYTGHYVLICGYDARADMFEIRDPASSK >Vigun01g222800.1.v1.2 pep primary_assembly:ASM411807v1:1:39611464:39621215:1 gene:Vigun01g222800.v1.2 transcript:Vigun01g222800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIYLLFNKILKTGDERELRRDHLNAIDPYLFQQPSCNIDSHPPSLLCSHFPEVPHVNQIYTWDCGLACVLMVLKTIGVNNYDIQALAELCCTNSIWTVDLAYLLQRFSVTFSYFTVTFGANPNYCVESFYKEELPNDLVRVDLLFQKAMEAGIDIQCRSISAEEISILVLSGKYIAIALVDHNKLSPVWQEGVPVPGVANNPGYTGHYVLICGYDARADMFEIRDPASSKKHKRISSKSLEEARKTFGTDEDLLLICLEKSNNHHQSSLQLSIDVNSDY >Vigun10g139600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35589721:35590218:1 gene:Vigun10g139600.v1.2 transcript:Vigun10g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAFGMREARVTYNIGNIQIRNMAIETNPVHALLAFIFFVLLAFLQLRYPENPTPFHLHPNTTWISILSFLLYCFAFLGNLKFGTRVHHFETLMHVFGSLSLLCLVVLLLPDSWEGLGFVIYVLWFITCVLPAIRTHSMETRVQRQNMSVVRPVLPITSMDLF >Vigun03g014900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1021892:1025891:-1 gene:Vigun03g014900.v1.2 transcript:Vigun03g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPISLPTTITVKSKITATFTPRTTNTHKHRTTPFNSTLKSLCKWGNLDEALRLIKSSKPTPIEKEAEEEGISLFLHACISRRSLEHGRKLHLHLLHSQNRVLDSPTLKSKLITLYSVCGRVDEAHHVFRIGYESPPEPVWVALAIGYSRNGFSHEALLLYCDMLSQCTKPGNFAFSMALKASSDSGNALFGRAIHAQIVKHDVGEADQVVNNALLGFYVETGCLDEVLKVFEVMPQRNVVSWNTLIAGLAGQDRPSETLGAFRVMQGEGMGFSWVTLTTALPVCAQLTALHSGKEIHGQIVKSRKNADVPLLNSLMDMYAKSGEIGYCKKVFDRMHNRDLTSWNTMLAGYSINGQINEAWALFDEMIRSSIKPDGITFVALLSGCSHSGLTSQGQRLFNAMEDYGEQPSLEHYACLVDILGRSGKLEEALNVAENIPMRPSGSIWGSLLNSCRLHGNVPLAEIVAKRLFEIEPNNPGNYVMLSNIYANAGMWEDVKRVRERMAMTGIKKDVGCSWIQIKHKIHTFVAGGSTNFRRSAEYIKIWNELSNAIKDLGYIPNTSVVLHDINEDMKATWVCEHSERLAAVAALINTGAGMPIRITKNLRVCVDCHSWMKAVSKVTKRLIVLRDTNRFHHFQNGTCSCKDYW >Vigun02g150100.1.v1.2 pep primary_assembly:ASM411807v1:2:29708080:29709399:-1 gene:Vigun02g150100.v1.2 transcript:Vigun02g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKLDLTYIINDSKRKTTLKKRKHGLIKKMNEITTLCGIEACAIIYSPNDPQPEVWPSEPEVQRVLSKFMEMSEQKQCRKMLNQESLLKQIIIKGQQQLTKQRNENRKKEMTHLMFQYLRVGRVFNNPSLIDLNDLSWLIDQNLNEIKKKITMIQIQEGAPVTKNGEEHGYMHHVQGLENNIDTIQNQH >Vigun04g042500.1.v1.2 pep primary_assembly:ASM411807v1:4:3692331:3693620:-1 gene:Vigun04g042500.v1.2 transcript:Vigun04g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINAAEAATNRSYEDFEPYCKWLTQEGQAILEIHLKGFKKEQLKVETDNWGTVKIYGEKPVNASNNKWCRFHKEIKISIGSDTNAIRAKFSHGLLFIAMPKEAVKELFIYGAETRNRTNAIKVAIGVVFVVALGTYIARRVYNDENVQLIS >Vigun03g130700.1.v1.2 pep primary_assembly:ASM411807v1:3:12708268:12709058:1 gene:Vigun03g130700.v1.2 transcript:Vigun03g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADDIVPKAVDSFKSVEIVEGNDGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGVALPDTAEKITIDSILSDGPNGGSVVKLRINYHSKGDAPPNEDELKAGKAKSDDLFKVIEAYLLANA >Vigun08g049800.1.v1.2 pep primary_assembly:ASM411807v1:8:5653653:5657968:-1 gene:Vigun08g049800.v1.2 transcript:Vigun08g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEDHRMDDLRDEFPLGLRVLAVDDDSTCLRILETMLQKCQYHVTATKNAQTALNLLRGNKNRFDLVISDVRMPDMDGFKLLELVGLEMDLPVIMMSVDGDPKMVMKGITHGACDYLLKPVRLKDVQNIWQHVVRRRTIGSKERNRSSNQDKANTDSNERGSVATGNSDQNVKSSRKRKDEDEYDNEDQENGHDNDDSSSHKKARVVWTVELHHKFVSAVNQLGFEKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRINCAANRQANMVAALGTTDPSFLRMGSLSGVGHLHSLTGAQQFHNNTFRPFGPGGIAGRLNTSVGVNVHETLQLGHAQNFHKSMHDQLKFQPAITGGNQNGIQGVPLSTSLDQFQHNKGVGIAVSPIQSISPFIDVQPNFSVPNKLPDLIPKSTIGLDVSNNALVLKADSDNTQGGGVLFGQTSLASQNSQFSLPLLDQGRCSDIWSNTVRSSGTNSYAAGETFRGRNLSGASSITSHSNQTHDSLKDMYSQGVLIPNNSGQISNSVVPFQGWDDNNDDSTFPSNIFGNSIDSLIDNTSFNSIYNRNTDFGFFDPLLMKPDGVTGLSEENTLRQQQGYLMNHTKSQNNSAANNLGSMEEFVSSMMKQKQDSVKLLEGYLCDNNLSDGTSI >Vigun06g111800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24060666:24061629:1 gene:Vigun06g111800.v1.2 transcript:Vigun06g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQRERARFRARFGARLTAEELPSSGVVVRIAKSGSVNGGAIREGRGAAALNEERQREEGLRGGEEWLREGAPARRSGCVEERSRKENLRCCAVAVRGWCGAPARRLHGGVVAWRSGRTRRTCGGRAVLVWCGGRAVLVWCGVVWWLGTKSKENAKRMKKARRRKGEMRGKTLLRKIRHTTSVKQGNRCNIIAMCKKPIFF >Vigun03g112200.1.v1.2 pep primary_assembly:ASM411807v1:3:10221121:10223138:1 gene:Vigun03g112200.v1.2 transcript:Vigun03g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDSSSASQHPHLPPGFRFHPTDEELVVHYLKRKASSTPLPVAIIADVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPILTSDGHQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLVHDSFNSTSKPPPLVPPNKKNSLRLDDWVLCRIYKKSNSTTVTRPPLMEHGEELSMENMLPTMSTLSMANNNTQNSKPPSSRGTSYGALGLENDDNFFDGILAVDHHHHHHHESMQSGSDNPHLFSPNSKGANFPMKRALTSQQLWNETGSPGSSSSCKRFHGDLNSGSSNAEDNDSFVSLLSQFPQNATFQPNAIHGSVGDGAQRQQHFQLPGINWN >Vigun06g159900.3.v1.2 pep primary_assembly:ASM411807v1:6:28258517:28261697:-1 gene:Vigun06g159900.v1.2 transcript:Vigun06g159900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEGAMIALLLFKTPLRKLVIMGLDRLKRGRGPLVVKTVAGTILVVLSSSVYSMVNIQKREIEDGGAINPTDQVLMAKHLLEATLMGGILFLALMIDRLHHYIRELRIRRKGMEVVKKQTRGSEDGKFTFSEEMKGVEEERTRLRTELMRLESELQSKTKDVDVAEANVSALRKQSEGFLLEYDRLLEENQNLRNKLQSLDRKLSHSGSKKNM >Vigun06g159900.4.v1.2 pep primary_assembly:ASM411807v1:6:28258517:28261523:-1 gene:Vigun06g159900.v1.2 transcript:Vigun06g159900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEGAMIALLLFKTPLRKLVIMGLDRLKRGRGPLVVKTVAGTILVVLSSSVYSMVNIQKREIEDGGAINPTDQVLMAKHLLEATLMGGILFLALMIDRLHHYIRELRIRRKGMEVVKKQTRGSEDGKFTFSEEMKGVEEERTRLRTELMRLESELQSKTKDVDVAEANVSALRKQSEGFLLEYDRLLEENQNLRNKLQSLDRKLSHSGSKKNM >Vigun06g159900.1.v1.2 pep primary_assembly:ASM411807v1:6:28258517:28261593:-1 gene:Vigun06g159900.v1.2 transcript:Vigun06g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEGAMIALLLFKTPLRKLVIMGLDRLKRGRGPLVVKTVAGTILVVLSSSVYSMVNIQKREIEDGGAINPTDQVLMAKHLLEATLMGGILFLALMIDRLHHYIRELRIRRKGMEVVKKQTRGSEDGKFTFSEEMKGVEEERTRLRTELMRLESELQSKTKDVDVAEANVSALRKQSEGFLLEYDRLLEENQNLRNKLQSLDRKLSHSGSKKNM >Vigun03g096732.1.v1.2 pep primary_assembly:ASM411807v1:3:8191001:8191522:-1 gene:Vigun03g096732.v1.2 transcript:Vigun03g096732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNSFLAREAEDFKMHASSLPKEICPAIFSQFLTHLTHSILPIFSLLRYFLVVVVVVTIFNFKSHEMRE >Vigun03g301750.3.v1.2 pep primary_assembly:ASM411807v1:3:49126949:49127201:-1 gene:Vigun03g301750.v1.2 transcript:Vigun03g301750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSLVEVESPSPLRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQT >Vigun03g301750.2.v1.2 pep primary_assembly:ASM411807v1:3:49126353:49127321:-1 gene:Vigun03g301750.v1.2 transcript:Vigun03g301750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSLVEVESPSPLRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQT >Vigun03g301750.1.v1.2 pep primary_assembly:ASM411807v1:3:49126353:49127321:-1 gene:Vigun03g301750.v1.2 transcript:Vigun03g301750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSLVEVESPSPLRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQTNKVLI >Vigun02g113800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26758526:26760826:-1 gene:Vigun02g113800.v1.2 transcript:Vigun02g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHIALNSDFAKKVCGGLEPFLLSLAKSSNPVTLRQCSQIHAKLILSQCISQTHLTNTLLNLYAKFGHLCHAHILFDHMPYKNVVTWTTLISSYLRTGSLPKAFEMFNQMRETNERPNEYTFSVLLRACATPSLWGVGLQIHGLLVRSGLERDRFAGSSIVHMYFNCGNNLGDACHAFHDLLTKDLVAWNVMISGFAHVGDFSMVHRLFSEMWEVQGLKPDDSTFVSLLKCCSYLEQVKQIHGLASKFGAEADVVVGSALVDLYAKCGDVSSCRKVFDSMEEKDNFVWSSIISGHTMNGRGEEAVHFFKDMCRQRIRPDQHVLSSTLKACVELEDLNTGVQVHGQMIKAGYQNDCFVASVLLTLYASFGELVDVDKLFRRIVDKDIVAWNSMIMAHAQPGRGSGPSMKLLQELRRTTSLQIQAATLVVVLKSCENKLDFPVGRQIHSLIVKSSVSHHTLVGNALVHMYSECGQIGDAFKAFIDIVRKDDGSWSSIIGTYKQNGLESEALELCKEMLADGITFTIYSLPLCISACSQLSIIQVGKQLHGFSIKSGYNRHVYVASSIIDMYAKCGTMEESEKVFDEQVEPNEVIYNAVICGYAHHGKAQEAMEVFNKLEKNGLTPNHVTFLAVLSACSHSGYVEDTLHFFTLMLDKYKIKPESEHYACLVDAFGRAGRLEEAYQIVQKDGSESAWRTLLSACRNHNNTEIGEKSAMRMIELNPSDHASYILLSNIYTGEGKWEEALKCREKMAKIRLKKDPGSSWLI >Vigun01g099800.1.v1.2 pep primary_assembly:ASM411807v1:1:26652676:26658392:-1 gene:Vigun01g099800.v1.2 transcript:Vigun01g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRNPEIGASPSNVGQQQRRVYQVWRGGNKFFCGGRVIFGPDVASLFLTTFLIAAPAIAFCVKLYLLIKKNDDLSHEFWFPVVVVGGVLTVLDLIFLLMTSGRDPGIVPRNSRPPEFDDTFDIPTPSMEWINGTTPHLKLPRTKDVIVNGHIVKVKFCDTCLLYRPPRTSHCSICNNCVERFDHHCPWVGQCIGTRNYRYFFLFILTSTILCLYVFVFTCISISRQNFWRTISRDYIADFLMIYCFIAVWFVGGLTTFHFYLICTNQTTYENFRYQYDKKGNPFNRGSCRNINETLCSRIPGSRNNFRAFVVEDEHMMVGSVTPNIAHGILTPKEKIDLEMGSMRAEDGRLPIPELLRNFDFDNFDDDMKFADEGQPSFDPFYSIEDDAKGSTRTSNATVLNFQSISEDEMEEYVQSSHAGGRTRDSAQRHITSDGTNSWNQSH >Vigun01g099800.3.v1.2 pep primary_assembly:ASM411807v1:1:26652643:26658440:-1 gene:Vigun01g099800.v1.2 transcript:Vigun01g099800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRNPEIGASPSNVGQQQRRVYQVWRGGNKFFCGGRVIFGPDVASLFLTTFLIAAPAIAFCVKLYLLIKKNDDLSHEFWFPVVVVGGVLTVLDLIFLLMTSGRDPGIVPRNSRPPEFDDTFDIPTPSMEWINGTTPHLKLPRTKDVIVNGHIVKVKFCDTCLLYRPPRTSHCSICNNCVERFDHHCPWVGQCIGTRNYRYFFLFILTSTILCLYVFVFTCISISRQNFWRTISRDYIADFLMIYCFIAVWFVGGLTTFHFYLICTNQTTYENFRYQYDKKGNPFNRGSCRNINETLCSRIPGSRNNFRAFVVEDEHMMVGSVTPNIAHGILTPKEKIDLEMGSMRAEDDEGQPSFDPFYSIEDDAKGSTRTSNATVLNFQSISEDEMEEYVQSSHAGGRTRDSAQRHITSDGTNSWNQSH >Vigun01g099800.4.v1.2 pep primary_assembly:ASM411807v1:1:26652675:26658393:-1 gene:Vigun01g099800.v1.2 transcript:Vigun01g099800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRNPEIGASPSNVGQQQRRVYQVWRGGNKFFCGGRVIFGPDVASLFLTTFLIAAPAIAFCVKLYLLIKKNDDLSHEFWFPVVVVGGVLTVLDLIFLLMTSGRDPGIVPRNSRPPEFDDTFDIPTPSMEWINGTTPHLKLPRTKDVIVNGHIVKVKFCDTCLLYRPPRTSHCSICNNCVERFDHHCPWVGQCIGTTTYENFRYQYDKKGNPFNRGSCRNINETLCSRIPGSRNNFRAFVVEDEHMMVGSVTPNIAHGILTPKEKIDLEMGSMRAEDGRLPIPELLRNFDFDNFDDDMKFADEGQPSFDPFYSIEDDAKGSTRTSNATVLNFQSISEDEMEEYVQSSHAGGRTRDSAQRHITSDGTNSWNQSH >Vigun01g099800.2.v1.2 pep primary_assembly:ASM411807v1:1:26652674:26658440:-1 gene:Vigun01g099800.v1.2 transcript:Vigun01g099800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRNPEIGASPSNVGQQQRRVYQVWRGGNKFFCGGRVIFGPDVASLFLTTFLIAAPAIAFCVKLYLLIKKNDDLSHEFWFPVVVVGGVLTVLDLIFLLMTSGRDPGIVPRNSRPPEFDDTFDIPTPSMEWINGTTPHLKLPRTKDVIVNGHIVKVKFCDTCLLYRPPRTSHCSICNNCVERFDHHCPWVGQCIGTTTYENFRYQYDKKGNPFNRGSCRNINETLCSRIPGSRNNFRAFVVEDEHMMVGSVTPNIAHGILTPKEKIDLEMGSMRAEDDEGQPSFDPFYSIEDDAKGSTRTSNATVLNFQSISEDEMEEYVQSSHAGGRTRDSAQRHITSDGTNSWNQSH >Vigun03g139100.1.v1.2 pep primary_assembly:ASM411807v1:3:13705335:13713597:-1 gene:Vigun03g139100.v1.2 transcript:Vigun03g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHWVPYSLFSGRTRSREFTASATHSHFPSLSFSPNRNISCMDATGLDSNGREFKTADEMWREHTGDPSKKTQWYRDGVSYWEGVNPTMDGVLGGFANVNEPDISCSEDFLKILLSERFPADARRQPLVVLDCGSGIGRVTKNLLIRYFNEVDLLEPVSQFLETARETLALGCQTNAVHKAVNFYCVPLQDFTPEAGRYDVIWIQWCIGHLTDEDFVSFFKRAKVGLKPGGFFVLKENIARSGFVLDNEDRSVTRSDKYFKELFSQCGLHVYRLKDQKGFPEELFAVKMYALTTEAPKRALRAKSKTSINRPRTIM >VigunL081550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:11555:12445:-1 gene:VigunL081550.v1.2 transcript:VigunL081550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSRS >Vigun01g156200.1.v1.2 pep primary_assembly:ASM411807v1:1:33851271:33854649:1 gene:Vigun01g156200.v1.2 transcript:Vigun01g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLSATLVSNSLHLNRTTSLCCLHQHPTLSLHQNLPIYSKNLPKLLTTQNHATTTPFASGSAGVESPVLSDDATSSLDSVKVFDLKGNGIPISDLWKDRKAVVAFARHFGCVLCRKRADYLASKKDIMDASGVALVLIGPGSIDQAKSFAEQSKFPGEIYADPTHSSYEALQFVSGVLTTFTPMQVLR >Vigun09g014200.1.v1.2 pep primary_assembly:ASM411807v1:9:1049398:1057630:-1 gene:Vigun09g014200.v1.2 transcript:Vigun09g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHFQTLLPLHLNLNMSSSFPPSWLTSPSCSTLDIDSSSPTAFLTVQWLRFLLLSPCPQRVILSGVDSIFLLIVFAFSAVKLYSRFTSPRTSSSSVTKPLLQEEKRSHYKVTFWFKLTFLVTTLLVAAYAVLGVLAYTQTSLSSWTVIEALFRLFQAAANIVVVILLVHENKFKALEHPLSLRMYWIANMVVSCFFAVSAIVRFVIVDGARLELSLRVDDVFSLVNLPLSAFLFVVAVKGVTGIQVVGISDEVTAYQCLSSERNLSPYAHSSIFSKIVWLWMNPLLNKGYQTPLKLDDVPSLPLEFRAEKMSELFQSNWPKEEDNSKQPVGVTLFRCFWKHIAFTGFLAVIRLAVMYIGPMLIQSFVDFTARKDSTPLEGLILILILFFAKSVEVLSVHQFNFNSQKLGMLMRSSIITSVYKKGLRLSSSSRQTHGTGQIVNHMAVDAQQLSDLMMQFHPIWLMPLQVAAALALIYNSIGVSALAALLGTSVVFVFTLIRTKKSNTFQFRIMKSRDLRMKATNELLNNMRVIKFQAWEEYFGNKIQQFREAEHGWIGKFLYYFAINMGVLTTAPLLVCVLTFGAAVLQGVPLNAGTVFTITSVIKILQEPVRTFPQALILISQAMISLGRLDEFMMSKEMDEGAVERLEGCDGDIAVEIKNGEFSWEDADANVSLKVEELEIKRGEHAAVVGTVGSGKSSLLASLLGEMIKISGKVRVCGSIAYVAQTSWIQNATIQENVLFGLPMNREKYEEAIRVCCLEKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSFIFKECIMGALKNKTILLVTHQVDFLHNVDCIMVMREGRIVQSGKYDELLNAGLDFGALVAAHESSMEIAESSDKGGGDDSIQSPKLARIPSKEKEKAGEKQPQNQAKSANTTAKLIEDEERETGRVNLQVYKHYFTEAFGWWGIVLMLAMSLTWILSFLAGDYWLAIGTAEGTNFAPSTFITVYACIALGVTVVVMARSILFTYWGLKTSQSFFGGMLQSILHAPMSFFDTTPSGRILSRVSTDILWLDISIPMLVNFVMVAYFSVISILFVTCQNAWETVFLLIPLFYLNNWYRKYYLASSRELTRLDSITKAPVIHHFSETIAGVMTIRGFKKQNAFCQENIDKVNASLRMDFHNNGANEWLGFRLDYMGVVFLSISTVFMIFLPSAIMRPEYVGLSLSYGLALSSLLAFTISMTCSVENKMVSVERIKQFTNLPSEAPWKMADTSPPKNWPSHGHIELNNLQVRYRPNTPLVLKGISLTIEGGEKIGVVGRTGSGKSTLIQVLFRLIEPSAGKIIVDGINICTVGLHDLRSRFGIIPQEPVLFQGTVRSNVDPLGLYSEEEIWKSLERCQLKDVVAAKPEKLEASVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDAVIQKIIREDFADRTIISIAHRIPTVMDCDKVLVIDAGLSKEYDKPSRLLERPSLFAALVKEYSNRSA >Vigun10g010900.1.v1.2 pep primary_assembly:ASM411807v1:10:1123479:1126449:-1 gene:Vigun10g010900.v1.2 transcript:Vigun10g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLFTLVFCSQVMAAFNTPLPPPSYGDQVSILSIDGGGIRGIIPATVLIHLDNALKAKDPTSSLADYFDVISGTSTGGLMSLMLSAPSSPGSNTPLFTPSEVVNFYKTYGPDIFKLRPIWDLTKCPKYDGKFLANKARELLKETRLNQTLTNVVIPSFDEQKIYPVIFSSFKAKTQTYLNAKLSDIGLATSAAPTFLPSHEFENDGVQFDLIDGAMAANNPALVAVSEVFQHSGEKEVLLLSLGTGIPKAKEKLGNIFDIGCQALWLPGHIEVISEVAFSTDMTHYYLATIFPGLVPPKNYLRIEEYNLDPSMEAMDNADKKNMDNLEKVGEGLLTQKVKRINLSSYKPFELDQTNAQALDRLAEKLFAERQLRLKRKSMEEGGRPFIETI >Vigun08g114300.1.v1.2 pep primary_assembly:ASM411807v1:8:28105811:28108452:-1 gene:Vigun08g114300.v1.2 transcript:Vigun08g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETIPACYNVSIVNHNQFWKTDKVLKAELPILAIQISFVVVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGIVNVEILSHIGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPILVAPCLYALFRKVYGHSMMFPLEESTNNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKEALTAAMISDTYGWILFTLFVPFSINDKRAIYTVLCTIIFIVVCIFVVRPLIQWFIDRKADKDEWNDNQLLFIIMGVLACSCISDFLGSHAIVGAFVFGLILPHGKFAELFMSISDDFVGGFLVPLFFSGTGMRLMLITIFSQESWPFIIMIILSLCALKILSTLFVTFFFGMRILDGLALGLILNNKGAMALIMLNIAWDKMIFSVPTYAVITSVVLLMTIVVSPVINVVYKPRQIFEQNKLKTIQKLRFDAELRIIACVHNTRQAAGMISIIECFNAIRVSPVYVCGLYLVELTGRIAALVAAHIGKPSCQPGEQNLTRSQEELESIHNTFDGLGEAYDAIRVETLNVVSSYTTIHEDIYHSADEKHTSLILLPFHKQLTLEGTLEVTSVVYKDINQNVMQGAPCSVGIFIDRDFGLVPKMNLHIRVVFVGGPDDREALAIAWRMARRSGIQLSVVRILLLGEAAEVDASVHDEVQGILSTVIDTDKQKELDEEYISTFRLTVVNNNDSISYSEIDVHSGEDIAAVLNEIEKFGCDIYIVGQGNCRNSKIFSNLLEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMDFGNNLNQKAINQDMFESVV >Vigun10g140700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35764139:35765011:1 gene:Vigun10g140700.v1.2 transcript:Vigun10g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRWWQVTLVIVCLFSSSLVKGLNTYSDRKSLDSFLRMQANEGIKNPRTGVLYNVSLPSNLTGMEVSVVRLRSFSLWSRGTNYSFFNLPPRIMSRPSHKRIAILYQNLGNCSSHYYDVPNHTMVAPVFGVMAYSSSESALVDQKINFAIHGDPIKIWFPLADERGRNHTPLCAKFSDNGLVKFKNMTKPYVCEVHRQGHYTLVIPFPNELHTHGKRFATWWVLGFVIGFIGLVVLALILLALVMEIKRRKIRKMEKNSAGEELFDTFWIGETKLPLASSLRTKPILEN >Vigun06g078800.2.v1.2 pep primary_assembly:ASM411807v1:6:21034777:21036969:1 gene:Vigun06g078800.v1.2 transcript:Vigun06g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGTTLLFNEFNVLPHSFINSFQKVHHVSLPSCTPNKLVLSRSFGRGDQICLLHGQSLKLQMGRFMGTLRVKREDSESKLNCENVALDEEELEEELENAIAAENYARAAQIRDTLKNLQKGSKTAIFGANRRFYESFRNGDLAAMQGIWAKRKDVCCVHPGLKGITGYDDIIESWNFIWANYEFPLEVKLEDIKIHVKGDIGYVTCVEFVKTKGGRWGGQFVTNVFEKIRGKWFICIHHASPIDV >Vigun06g078800.1.v1.2 pep primary_assembly:ASM411807v1:6:21034777:21036969:1 gene:Vigun06g078800.v1.2 transcript:Vigun06g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGTTLLFNGLSSTIKEFNVLPHSFINSFQKVHHVSLPSCTPNKLVLSRSFGRGDQICLLHGQSLKLQMGRFMGTLRVKREDSESKLNCENVALDEEELEEELENAIAAENYARAAQIRDTLKNLQKGSKTAIFGANRRFYESFRNGDLAAMQGIWAKRKDVCCVHPGLKGITGYDDIIESWNFIWANYEFPLEVKLEDIKIHVKGDIGYVTCVEFVKTKGGRWGGQFVTNVFEKIRGKWFICIHHASPIDV >Vigun08g000400.1.v1.2 pep primary_assembly:ASM411807v1:8:70893:78606:1 gene:Vigun08g000400.v1.2 transcript:Vigun08g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFLDVNEDTDDMCEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRIFIIATAFSRPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >Vigun06g016300.1.v1.2 pep primary_assembly:ASM411807v1:6:7687551:7688668:-1 gene:Vigun06g016300.v1.2 transcript:Vigun06g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIPQICEHIEISNHPSDVLAHIPCQCGRPMEVASVNYRCFHGLEPSLLHSEAEYSTKCGINVEHRMEPGPTEIMTQIEESRKQSAEEKNTRSRERTDRGHSGCEESDEGDEQREEKESKRNTNKDKGKGLEVKEEIESEDEYMMLINTAEVDPRSFKMKEKVQKGESSKMRKHNERGDLTNSTKTQQRRQRNRRTKRSLSEVKRK >Vigun11g001900.2.v1.2 pep primary_assembly:ASM411807v1:11:211879:215594:1 gene:Vigun11g001900.v1.2 transcript:Vigun11g001900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHQLGDPQVLKWEDVEIGDPKEGEVRLRNKAVGINFIDIYFRTGVYKVPSLPYTPGVEGVGVVTAVGVGVSDIQVGETVAYSCQPLGSYAEEHILLAKDLVPLPPSIDPIVGASIMSKGLTTRYLLQQCFKVEPGHTILVHAAAGGVGSLLCQWANSLGATVIGTVSNEMKAAQAKEDGCHHVIIYTKEDFVARVNEITSGNGVEVVYDSVGKDTFEGSLACLKLRGYMVSFGQSSGTPDPVPLSSLATKSLFLTRPTLKHYNVTRDELLEAAEELFAKVASGVLKVRTTDTYPLSEAARAHEDLQNRKTTGSVVLLP >Vigun11g001900.3.v1.2 pep primary_assembly:ASM411807v1:11:212182:215756:1 gene:Vigun11g001900.v1.2 transcript:Vigun11g001900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHQLGDPQVLKWEDVEIGDPKEGEVRLRNKAVGINFIDIYFRTGVYKVPSLPYTPGVEGVGVVTAVGVGVSDIQVGETVAYSCQPLGSYAEEHILLAKDLVPLPPSIDPIVGASIMSKGLTTRYLLQQCFKVEPGHTILVHAAAGGVGSLLCQWANSLGATVIGTVSNEMKAAQAKEDGCHHVIIYTKEDFVARVNEITSGNGVEVVYDSVGKDTFEGSLACLKLRGYMVSFGQSSGTPDPVPLSSLATKSLFLTRPTLKHYNVTRDELLEAAEELFAKVASGVLKVRTTDTYPLSEAARAHEDLQNRKTTGSVVLLP >Vigun11g001900.1.v1.2 pep primary_assembly:ASM411807v1:11:211879:215756:1 gene:Vigun11g001900.v1.2 transcript:Vigun11g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHQLGDPQVLKWEDVEIGDPKEGEVRLRNKAVGINFIDIYFRTGVYKVPSLPYTPGVEGVGVVTAVGVGVSDIQVGETVAYSCQPLGSYAEEHILLAKDLVPLPPSIDPIVGASIMSKGLTTRYLLQQCFKVEPGHTILVHAAAGGVGSLLCQWANSLGATVIGTVSNEMKAAQAKEDGCHHVIIYTKEDFVARVNEITSGNGVEVVYDSVGKDTFEGSLACLKLRGYMVSFGQSSGTPDPVPLSSLATKSLFLTRPTLKHYNVTRDELLEAAEELFAKVASGVLKVRTTDTYPLSEAARAHEDLQNRKTTGSVVLLP >Vigun08g129300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30003018:30005625:1 gene:Vigun08g129300.v1.2 transcript:Vigun08g129300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVWLVIVGGAFSYFLILLRPNLDSEPRSVYHGLQYQDHVVVEIGSHSQSQGTSHSVTQVDSPQTIMATSQPHPVIDMVWPSRGTTHGAGGPPPENIDGTKECFMSCIEALKEENASVISTISMHVDKYLKAHLLSEDNISVALPVTDFDIVINALPSGTINDLHEIVKRMVAGGFGKECSHVYSSCRREFLEESVSRLGLQKLCIEDVHKMTWQDLGDEIEEWIKASNIALKILFPSERRLCDRVFFGFASAADFSFMEVCRGYAIQLLDFADAIAIGSRKPEQLFRILDMFETLCVLIPEFEALFSDQFSVSLRNKVITIRRRLGESIRGIFMELENSIRRNRAKTAVPGGGVHPITRYVMNYLLTACRSRQSLDQVLSSSLSVQMNWIVELLESNLEAQSKIYKDPALCYLFLMNNGKYIVEKVKDSELGTLLGDYWIRKRAAKVQQIHVHYQRSSWSWVIGILKLDSTVSLPPNALAKSMKEKLKSFNTMFDDICKEQSSWFVFDEQLREEIRVSLEKILLPAYENFIVSFENAPEIGKHGKHIKYGTADIQVKLNKLFTESSVNRKLLEGIGNLSNTEYS >Vigun08g129300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30002921:30005624:1 gene:Vigun08g129300.v1.2 transcript:Vigun08g129300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKIRSWLHQPKVWRFVCFASSIVGLLCNAFSSSFYLLLGNWNWWKIFLYIVFSFLISLSTLFAKTWEYSNPRCLEAHTAFSILVITSVYSFFLDKDVKQKADAYSLLSWVAFAIMSLGLSRLSQVGFGIDLLYFFSGLLTVQLMKIKVWLVIVGGAFSYFLILLRPNLDSEPRSVYHGLQYQDHVVVEIGSHSQSQGTSHSVTQVDSPQTIMATSQPHPVIDMVWPSRGTTHGAGGPPPENIDGTKECFMSCIEALKEENASVISTISMHVDKYLKAHLLSEDNISVALPVTDFDIVINALPSGTINDLHEIVKRMVAGGFGKECSHVYSSCRREFLEESVSRLGLQKLCIEDVHKMTWQDLGDEIEEWIKASNIALKILFPSERRLCDRVFFGFASAADFSFMEVCRGYAIQLLDFADAIAIGSRKPEQLFRILDMFETLCVLIPEFEALFSDQFSVSLRNKVITIRRRLGESIRGIFMELENSIRRNRAKTAVPGGGVHPITRYVMNYLLTACRSRQSLDQVLSSSLSVQMNWIVELLESNLEAQSKIYKDPALCYLFLMNNGKYIVEKVKDSELGTLLGDYWIRKRAAKVQQIHVHYQRSSWSWVIGILKLDSTVSLPPNALAKSMKEKLKSFNTMFDDICKEQSSWFVFDEQLREEIRVSLEKILLPAYENFIVSFENAPEIGKHGKHIKYGTADIQVKLNKLFTESSVNRKLLEGIGNLSNTEYS >Vigun08g129300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30003018:30005625:1 gene:Vigun08g129300.v1.2 transcript:Vigun08g129300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVWLVIVGGAFSYFLILLRPNLDSEPRSVYHGLQYQDHVVVEIGSHSQSQGTSHSVTQVDSPQTIMATSQPHPVIDMVWPSRGTTHGAGGPPPENIDGTKECFMSCIEALKEENASVISTISMHVDKYLKAHLLSEDNISVALPVTDFDIVINALPSGTINDLHEIVKRMVAGGFGKECSHVYSSCRREFLEESVSRLGLQKLCIEDVHKMTWQDLGDEIEEWIKASNIALKILFPSERRLCDRVFFGFASAADFSFMEVCRGYAIQLLDFADAIAIGSRKPEQLFRILDMFETLCVLIPEFEALFSDQFSVSLRNKVITIRRRLGESIRGIFMELENSIRRNRAKTAVPGGGVHPITRYVMNYLLTACRSRQSLDQVLSSSLSVQMNWIVELLESNLEAQSKIYKDPALCYLFLMNNGKYIVEKVKDSELGTLLGDYWIRKRAAKVQQIHVHYQRSSWSWVIGILKLDSTVSLPPNALAKSMKEKLKSFNTMFDDICKEQSSWFVFDEQLREEIRVSLEKILLPAYENFIVSFENAPEIGKHGKHIKYGTADIQVKLNKLFTESSVNRKLLEGIGNLSNTEYS >Vigun08g129300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30002905:30005625:1 gene:Vigun08g129300.v1.2 transcript:Vigun08g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLSRLSQVGFGIDLLYFFSGLLTVQLMKIKVWLVIVGGAFSYFLILLRPNLDSEPRSVYHGLQYQDHVVVEIGSHSQSQGTSHSVTQVDSPQTIMATSQPHPVIDMVWPSRGTTHGAGGPPPENIDGTKECFMSCIEALKEENASVISTISMHVDKYLKAHLLSEDNISVALPVTDFDIVINALPSGTINDLHEIVKRMVAGGFGKECSHVYSSCRREFLEESVSRLGLQKLCIEDVHKMTWQDLGDEIEEWIKASNIALKILFPSERRLCDRVFFGFASAADFSFMEVCRGYAIQLLDFADAIAIGSRKPEQLFRILDMFETLCVLIPEFEALFSDQFSVSLRNKVITIRRRLGESIRGIFMELENSIRRNRAKTAVPGGGVHPITRYVMNYLLTACRSRQSLDQVLSSSLSVQMNWIVELLESNLEAQSKIYKDPALCYLFLMNNGKYIVEKVKDSELGTLLGDYWIRKRAAKVQQIHVHYQRSSWSWVIGILKLDSTVSLPPNALAKSMKEKLKSFNTMFDDICKEQSSWFVFDEQLREEIRVSLEKILLPAYENFIVSFENAPEIGKHGKHIKYGTADIQVKLNKLFTESSVNRKLLEGIGNLSNTEYS >Vigun09g235900.4.v1.2 pep primary_assembly:ASM411807v1:9:40636943:40640797:1 gene:Vigun09g235900.v1.2 transcript:Vigun09g235900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNHPFFPLLFLILLLLLHASSYAHSSQLQRRHIPPLVFTDAHALLAFKLKADVNDHLDFSPLTRGLRFCTWQGVQCNGPKVERLLLQGLDLGGVWAPNTLTRLDQLRVLSLQNNSLTGTIPDLTALFNLKSIFLDNNQFTGSLPPSLFSLHRLRNLDFSHNNLSGPISAAFTNLDRLHTLRLSYNAFSGSIPPFNQSSLRILEISRNNLSGAIPVTPTLFRFPPSSFAFNPNLCGEIIRVQCRPAQPFFGPAGPPKTPLGQSAQVHGVSGLIRQPYEKKRRDRRAVIIGFSAGVFVLVCSLVCFAAAVRKQRSRCKKDQRCGMMAADAEAAAVMRMEMERELEEKVKRAEVAKSGSLVFCAGEAQVYTLDQLMKGSAELLGRGCLGTTYKAALDNRLMVTVKRLDAGKMASHATKEVFERHMESVGALRHPNLVPLRAYFQAKQERLIIYDFQPNGSLFSLIHVGAGSLLIVLADSC >Vigun09g235900.2.v1.2 pep primary_assembly:ASM411807v1:9:40636942:40640797:1 gene:Vigun09g235900.v1.2 transcript:Vigun09g235900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNHPFFPLLFLILLLLLHASSYAHSSQLQRRHIPPLVFTDAHALLAFKLKADVNDHLDFSPLTRGLRFCTWQGVQCNGPKVERLLLQGLDLGGVWAPNTLTRLDQLRVLSLQNNSLTGTIPDLTALFNLKSIFLDNNQFTGSLPPSLFSLHRLRNLDFSHNNLSGPISAAFTNLDRLHTLRLSYNAFSGSIPPFNQSSLRILEISRNNLSGAIPVTPTLFRFPPSSFAFNPNLCGEIIRVQCRPAQPFFGPAGPPKTPLGQSAQVHGVSGLIRQPYEKKRRDRRAVIIGFSAGVFVLVCSLVCFAAAVRKQRSRCKKDQRCGMMAADAEAAAVMRMEMERELEEKVKRAEVAKSGSLVFCAGEAQVYTLDQLMKGSAELLGRGCLGTTYKAALDNRLMVTVKRLDAGKMASHATKEVFERHMESVGALRHPNLVPLRAYFQAKQERLIIYDFQPNGSLFSLIHVGAGSLLIVLADSC >Vigun09g235900.3.v1.2 pep primary_assembly:ASM411807v1:9:40636942:40640797:1 gene:Vigun09g235900.v1.2 transcript:Vigun09g235900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNHPFFPLLFLILLLLLHASSYAHSSQLQRRHIPPLVFTDAHALLAFKLKADVNDHLDFSPLTRGLRFCTWQGVQCNGPKVERLLLQGLDLGGVWAPNTLTRLDQLRVLSLQNNSLTGTIPDLTALFNLKSIFLDNNQFTGSLPPSLFSLHRLRNLDFSHNNLSGPISAAFTNLDRLHTLRLSYNAFSGSIPPFNQSSLRILEISRNNLSGAIPVTPTLFRFPPSSFAFNPNLCGEIIRVQCRPAQPFFGPAGPPKTPLGQSAQVHGVSGLIRQPYEKKRRDRRAVIIGFSAGVFVLVCSLVCFAAAVRKQRSRCKKDQRCGMMAADAEAAAVMRMEMERELEEKVKRAEVAKSGSLVFCAGEAQVYTLDQLMKGSAELLGRGCLGTTYKAALDNRLMVTVKRLDAGKMASHATKEVFERHMESVGALRHPNLVPLRAYFQAKQERLIIYDFQPNGSLFSLIHVGAGSLLIVLADSC >Vigun09g235900.1.v1.2 pep primary_assembly:ASM411807v1:9:40636943:40640797:1 gene:Vigun09g235900.v1.2 transcript:Vigun09g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNHPFFPLLFLILLLLLHASSYAHSSQLQRRHIPPLVFTDAHALLAFKLKADVNDHLDFSPLTRGLRFCTWQGVQCNGPKVERLLLQGLDLGGVWAPNTLTRLDQLRVLSLQNNSLTGTIPDLTALFNLKSIFLDNNQFTGSLPPSLFSLHRLRNLDFSHNNLSGPISAAFTNLDRLHTLRLSYNAFSGSIPPFNQSSLRILEISRNNLSGAIPVTPTLFRFPPSSFAFNPNLCGEIIRVQCRPAQPFFGPAGPPKTPLGQSAQVHGVSGLIRQPYEKKRRDRRAVIIGFSAGVFVLVCSLVCFAAAVRKQRSRCKKDQRCGMMAADAEAAAVMRMEMERELEEKVKRAEVAKSGSLVFCAGEAQVYTLDQLMKGSAELLGRGCLGTTYKAALDNRLMVTVKRLDAGKMASHATKEVFERHMESVGALRHPNLVPLRAYFQAKQERLIIYDFQPNGSLFSLIHGSRSSRARPLHWTSCLKIAEDVAQGLAFIHQAWRLVHGNLKSSNVLLGPDFEACITDYCLSVLTHPSTFDEDGDSAAYRAPETRNPNHQPTHKSDVYAYGVLLLELLTGKFPAELPFMVPGDMSSWVRSIRDDNGGEDSRMDMLLQVATTCSLTSPEQRPTMWQVLKMLQEIKEIVLLEDSSELELRIGDDAMP >Vigun11g002000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:216332:217728:1 gene:Vigun11g002000.v1.2 transcript:Vigun11g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPEHQVAGHMAKNGILGPLVDDSGKFYKPLQDNDRGAAELSFYTTLAVPASIRPFFPAFHGTAVVPASDGSGPHTHLLLQDLIAPYTKPSVMDVKIGSRTWHLDHSEDYIAKCLKKDRTTSTVPLGFRITGVKDSLSSWEPSRTFLQTLSAEGVAVVLRKFVSSSNSDDSRSDPDCDFAAEVLGAVLERLVELKAWFEVQTLYHFYSCSVLVVYEKEKGNTKPLVKLVDFAHVVSGNGVIDHNFLGGLCSFINFIRDILQALPH >Vigun04g050600.2.v1.2 pep primary_assembly:ASM411807v1:4:4470916:4476261:1 gene:Vigun04g050600.v1.2 transcript:Vigun04g050600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSHICISYFSSISPSSSFSVSPTTLLQCSWLSTDSGNIRATNLCSNFNSLHCGKTLLRHRHNTPYFSMPPSSSSTPPSFTSWDETGKRSKKVWIWTTNKQVMTAAVERGWNTFVFPSHHRQLAQEWSSIAVICPLFVNEGEVLDEQNKRVATIFDVSNPEELEGLRPEDEEAESIVVNLLDWQVIPAENIIAAFQRSQKTVLAISNNTSEAQVFLEALEHGLDGIVMKIEDVEPVHELKAYFDRRMEESNLLSLTKATVTHIQVAGMGDRVCVDLCSLMRPGEGLLIGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGSRTSYLSELKSGKEVIVVDQQGHQRIAIVGRVKIESRPLILVEAKIESDNQTISILLQNAETVALVCPPQGNTMSKTTIPVTSLKVGDEIVLRVQGGARHTGIEIQEFIVEK >Vigun04g050600.1.v1.2 pep primary_assembly:ASM411807v1:4:4470996:4476261:1 gene:Vigun04g050600.v1.2 transcript:Vigun04g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSHICISYFSSISPSSSFSVSPTTLLQCSWLSTDSGNIRATNLCSNFNSLHCGKTLLRHRHNTPYFSMPPSSSSTPPSFTSWDETGKRSKKVWIWTTNKQVMTAAVERGWNTFVFPSHHRQLAQEWSSIAVICPLFVNEGEVLDEQNKRVATIFDVSNPEELEGLRPEDEEAESIVVNLLDWQVIPAENIIAAFQRSQKTVLAISNNTSEAQVFLEALEHGLDGIVMKIEDVEPVHELKAYFDRRMEESNLLSLTKATVTHIQVAGMGDRVCVDLCSLMRPGEGLLIGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGSRTSYLSELKSGKEVIVVDQQGHQRIAIVGRVKIESRPLILVEAKIESDNQTISILLQNAETVALVCPPQGNTMSKTTIPVTSLKVGDEIVLRVQGETWKGPETCNQRVNMIAEQQSLTELLM >Vigun05g112500.1.v1.2 pep primary_assembly:ASM411807v1:5:11786009:11789319:-1 gene:Vigun05g112500.v1.2 transcript:Vigun05g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKQMGSSSITSELFGPNQSHKSSATGIFESMFPPPSKVLGRESLRSEASEKTASEWWSSNIDHISKESGGETQNTAHKDVSSMYQEQKVQPCQLSSSIHYGGQDIYSRPKSTQDSEFNSLMYKKDGVEDDSGTASRGNWWQGSLYY >Vigun05g112500.2.v1.2 pep primary_assembly:ASM411807v1:5:11786009:11789319:-1 gene:Vigun05g112500.v1.2 transcript:Vigun05g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKQMGSSSITSELFGPNQSHKSSATGIFESMFPPPSKVLGRESLRSEASEKTANHISKESGGETQNTAHKDVSSMYQEQKVQPCQLSSSIHYGGQDIYSRPKSTQDSEFNSLMYKKDGVEDDSGTASRGNWWQGSLYY >Vigun04g118600.1.v1.2 pep primary_assembly:ASM411807v1:4:30232958:30234694:1 gene:Vigun04g118600.v1.2 transcript:Vigun04g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTPTSEEASFEIWRTRYNRTMTMLFRAPPRYLLILIPTVIPL >Vigun09g095000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14016355:14018920:-1 gene:Vigun09g095000.v1.2 transcript:Vigun09g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNGLTTTQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQRAVKEWNTHQKHKFVINFGDIVDGFCPKDQSLGAVQKVVDEFEMFRGGPVYHMIGNHCLYNLPRSKLLPLLKIQTLDGRAYYDFSPVPEYRFVVLDGYDISAIGWPQDHPKTLEAMKILREKNPNENKNSPTGMEGLERRFLMFNGAIGKEQMEWLDGVLLEATKKKQKVVICCHLPLDAGAASEKGLLWNYDEIMNLIHRYNCVKVCLAGHYHKGGYSIDSHGIHHRILEAALECPLGTNAFGHIDVYDDRISLVGTDRMKSTDMHFYPTS >Vigun07g165500.4.v1.2 pep primary_assembly:ASM411807v1:7:27763602:27772350:-1 gene:Vigun07g165500.v1.2 transcript:Vigun07g165500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSMVVEVIERAGKPDGSSSKVRQFWRKVSKRTSRVGLENISTRSSQSVSEGKKTTEKLRACQDAILATSLLKSASTSKLLNNSIVDNEKFGIDEGTLCWNLLISRLFFDAKGNEELKRSIQARIQKTLSNMRTPGYIGEVICTNINTGNVPPCIVGIRVLPMEMSEVWAVEVDIEYSGGALLEIETRLEPRELELDAGTEDSNPQSNNAGAVPSDLIEDFESLEEQLNIAERTNDLQEHKEEDVSKTFKSSVPLLNHGSRWKSILNSVAKQVSQVPLSLEIRVTSLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNMESSVGDRKITSAHIALFLVNRLKTGIRETLVLPNCESVCIPWMLAEKDDWVPRTVAPFIWVNQEFRNETSTSTYTNNQPSGGVKASGASTSSNDSEHKQHNSTSAESSEEANRKSSDSLALPLDSSDSVTSESNRSLEGPDAPSLEDDKPQKTTDLKEFKTSSLQNDKPLETNEQNMENNSEFLSEHKSAVMERRNHSIEREDGLPKKMGRRERMLDLGKKMSEKLEEKRRHIEEKSRHIVDKMRGP >Vigun07g165500.3.v1.2 pep primary_assembly:ASM411807v1:7:27763602:27772350:-1 gene:Vigun07g165500.v1.2 transcript:Vigun07g165500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSMVVEVIERAGKPDGSSSKVRQFWRKVSKRTSRVGLENISTRSSQSVSEGKKTTEKLRACQDAILATSLLKSASTSKLLNNSIVDNEKFGIDEGTLCWNLLISRLFFDAKGNEELKRSIQARIQKTLSNMRTPGYIGEVICTNINTGNVPPCIVGIRVLPMEMSEVWAVEVDIEYSGGALLEIETRLEPRELELDAGTEDSNPQSNNAGAVPSDLIEDFESLEEQLNIAERTNDLQEHKEEGDWNSDVSKTFKSSVPLLNHGSRWKSILNSVAKQVSQVPLSLEIRVTSLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNMESSVGDRKITSAHIALFLVNRLKTGIRETLVLPNCESVCIPWMLAEKDDWVPRTVAPFIWVNQEFRNETSTSTYTNNQPSGGVKASGASTSSNDSEHKQHNSTSAESSEEANRKSSDSLALPLDSSDSVTSESNRSLEGPDAPSLEDDKPQKTTDLKEFKTSSLQNDKPLETNEQNMENNSEFLSEHKSAVMERRNHSIEREDGLPKKMGRRERMLDLGKKMSEKLEEKRRHIEEKSRHIVDKMRGP >Vigun07g165500.2.v1.2 pep primary_assembly:ASM411807v1:7:27763602:27772350:-1 gene:Vigun07g165500.v1.2 transcript:Vigun07g165500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLGLVLVGFGLGVLAVVAAEALGLLWIMKRLRQKSNNDEAYFSSKTLTTALLDPQQSLDFAFRKQGVVWVLESGKITKQSREQKRKNDLLEVSPVKMYGQIKGQSLILGEPDGLHTTIELKGCAVQAVSASILSSRKWAKKFPIKVENKTSVIYNGNKTIYIYLDTSWEKEAWCKALYLASCDKKEKIKWFTQLDDEFHSYLTSLNSVYHSFMKPSVGSSVEVIERAGKPDGSSSKVRQFWRKVSKRTSRVGLENISTRSSQSVSEGKKTTEKLRACQDAILATSLLKSASTSKLLNNSIVDNEKFGIDEGTLCWNLLISRLFFDAKGNEELKRSIQARIQKTLSNMRTPGYIGEVICTNINTGNVPPCIVGIRVLPMEMSEVWAVEVDIEYSGGALLEIETRLEPRELELDAGTEDSNPQSNNAGAVPSDLIEDFESLEEQLNIAERTNDLQEHKEEDVSKTFKSSVPLLNHGSRWKSILNSVAKQVSQVPLSLEIRVTSLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNMESSVGDRKITSAHIALFLVNRLKTGIRETLVLPNCESVCIPWMLAEKDDWVPRTVAPFIWVNQEFRNETSTSTYTNNQPSGGVKASGASTSSNDSEHKQHNSTSAESSEEANRKSSDSLALPLDSSDSVTSESNRSLEGPDAPSLEDDKPQKTTDLKEFKTSSLQNDKPLETNEQNMENNSEFLSEHKSAVMERRNHSIEREDGLPKKMGRRERMLDLGKKMSEKLEEKRRHIEEKSRHIVDKMRGP >Vigun07g165500.1.v1.2 pep primary_assembly:ASM411807v1:7:27763602:27772350:-1 gene:Vigun07g165500.v1.2 transcript:Vigun07g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLGLVLVGFGLGVLAVVAAEALGLLWIMKRLRQKSNNDEAYFSSKTLTTALLDPQQSLDFAFRKQGVVWVLESGKITKQSREQKRKNDLLEVSPVKMYGQIKGQSLILGEPDGLHTTIELKGCAVQAVSASILSSRKWAKKFPIKVENKTSVIYNGNKTIYIYLDTSWEKEAWCKALYLASCDKKEKIKWFTQLDDEFHSYLTSLNSVYHSFMKPSVGSSVEVIERAGKPDGSSSKVRQFWRKVSKRTSRVGLENISTRSSQSVSEGKKTTEKLRACQDAILATSLLKSASTSKLLNNSIVDNEKFGIDEGTLCWNLLISRLFFDAKGNEELKRSIQARIQKTLSNMRTPGYIGEVICTNINTGNVPPCIVGIRVLPMEMSEVWAVEVDIEYSGGALLEIETRLEPRELELDAGTEDSNPQSNNAGAVPSDLIEDFESLEEQLNIAERTNDLQEHKEEGDWNSDVSKTFKSSVPLLNHGSRWKSILNSVAKQVSQVPLSLEIRVTSLRGTLRLHIKPPPSDQLWYGFTSMPDIDFNMESSVGDRKITSAHIALFLVNRLKTGIRETLVLPNCESVCIPWMLAEKDDWVPRTVAPFIWVNQEFRNETSTSTYTNNQPSGGVKASGASTSSNDSEHKQHNSTSAESSEEANRKSSDSLALPLDSSDSVTSESNRSLEGPDAPSLEDDKPQKTTDLKEFKTSSLQNDKPLETNEQNMENNSEFLSEHKSAVMERRNHSIEREDGLPKKMGRRERMLDLGKKMSEKLEEKRRHIEEKSRHIVDKMRGP >Vigun05g201200.1.v1.2 pep primary_assembly:ASM411807v1:5:38828305:38829656:-1 gene:Vigun05g201200.v1.2 transcript:Vigun05g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNLDHKYSLSLAKMGFRCLVISISVLFALALGGESHAQSRVSDEDYWKAVWPNTPIPTALQHLLNPIASGVEIHDQPTQIDDTEYPKTFFYEHDLHPGKTMNVQFSKGLYKQPATLLAWLRKVRDTDKERYTFDELCTKREPIDGEHKFCAKSLGTLIGFAVSKLGKNIQVLSSSFANKQEQYTVEGVQNLGDKAVMCHGLNFQTVVFYCHEVCGTRAFMVSLVAGDGTKTQALAICHSDTSGMNHEMLRQIMGVDPGTNPVCHFLGTKEILWVPNLAVDTAYQTEVVL >Vigun06g165800.1.v1.2 pep primary_assembly:ASM411807v1:6:28774832:28777147:-1 gene:Vigun06g165800.v1.2 transcript:Vigun06g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEILKIQKCVLRVNIHCDGCKSKVKKILQKIDGVFTTEIDAEQGKVTVSGFVDPNVLIKKLAKSGKHAELWGAPSKGNNNSSNNNPNNIANQMKNMQIDNGKGGGNNKGQGQKGGGNNQPKGNNQQGQNPQQQNPQQQLQQHLQQLQQMKGFQDLKLPQFKDMKMPNQNPNPVKGVKFSLPEEDDLSDDEFDDEFDDELDDELYEDEMDDPQHPLNKMMMMKPPMGKPPMGNAPMSNGAQMMLNNMMNAQKGGNGGGGGGNGKKGGGGGGGPLPVQVHNMGGGGNGGKKGGGGGGGGGGGGGGGNNHNQGGGNKNNGGKNGGGMADGKNGNNKNNGGGGGVPPNSNGNGGKKGNNGMGEGVQAMNMFPNMGSHTPSMVGANVGPMGNMSIPMGNMPMSQMGNIPAVQGLPAAAPMNAGGGGGGSGGYFQGGGGGGGGGGPDMMGGNPYQQQQYMAAMMNQQRAMGNDRFQPMMYARPPMAVNYMYPPPYSYPPPPPHEPYSNFFSDENTSSCSVM >Vigun02g097400.1.v1.2 pep primary_assembly:ASM411807v1:2:25304881:25312524:1 gene:Vigun02g097400.v1.2 transcript:Vigun02g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDELFDNWDDSIIEEVSVLADSAPKPTPNSFSSSFFSDDHRFVPPKPYFHALPSTSYHPPPQPLPSAAISSVYSAACYSPPRELSQRNAVVIVDSPPNPPSDKELEIERLKRELEHATKQIENLEKERVKLKKERDRKEDKPKFTSSSNEGESACANCSKAKSINKDFGTLDPNCHNTSKFKNGVSSPVAIAETTFKAKGVQTDIVSHQEAQGASSDDLSAYLDLSQKLLAIRGSPTKRMGHNVISKLLAGCQRDFHILFGCMNMSLPSEITRELFLDLSSSGVALHHLKDRYLTPESAKISNFYLALTKIADGTDVLETLIGPLLDLCGMENVVIVHSSLCVLHMLLKLLLESENNAGRRDNVFIEGLCDGKDLVDFGVKDENLVDEEIESRKECQNHQNSLQPHVNWVYLFEIMHQIAMRITEERVRVEAVSIMKLLFLRSNTYFEREQFSQKILFETISELLKKDAGLCVKKHTLRLLYLVLNCPKLLAAFCCGCKEGDASSAMNENESTSDFQYFKIILQGLSDCVAPHRSGLLGLKVSRNVILVLAFLASSGQPGFEIFVGHRLSNRGVNYLMLILQLLLSEMDLEAESYEQQAEIFRERTFLIREILILLNRLVSNPSYSAIVLRGLTSRRDMGGLTIDVASRLSRKGKKNEQQESMVKHIRETEIVDLARLFKKRIFAYLGDDVS >Vigun10g031200.1.v1.2 pep primary_assembly:ASM411807v1:10:4103144:4106119:-1 gene:Vigun10g031200.v1.2 transcript:Vigun10g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSSSSFLKSEPVFINDVFINFGGEDIGRRFVSHLHSALLQAQVKTFISQENLQEGMEQEEHLRAIGGTKIAIMVFSKSYAECTCCLHELEKIIECHQTFGQMVVPVYYEIDPLDVQHQKNDFGKALEEAAHKSYAEDLLEYALSKWSRALTTATGVTGWDVRDFRHDAELVERIVSLVESLLDYKDLFTTQYPVGLESPVEDVIKCIENQSTKVCVIGIWGMGGSGKTTIAKAIYNRIYHQFIGKSFIENIREAWASEYDRYVDLQANLLSDVLKSKLEVKSVGMGRAMIHDELSRRKLLIVLDDVNDFVQLENLCRSREWFGQGTVIIITTRDVHLLNLLKVNYVYKMHLLNKNDSLELLSWHAFREAKPIKEWNEHARDIVDYCGGLPLALQFLGSYLCDITKEAWERVFSNLNSIPTYQVQKVLKISFDALRDMEKDIFLDVCCFFIGKQREYVTEILNGCGLCADIGITVLIERGLIKVERNNKLEMHPLLRDMGREFIRELHPKEPGKRSRLWFQEDVQDVLEENSGTEAIEGLSLKLHSSNRDSLEALAFKKMKRLRLLQLDHVRLSGDYGHISKELRWICWRGFPYKYIPNSFHLENVIAIDFKHSHLQLLSKQHLVLERLKFLNLSHSKYLIETPDFSGLPSLEQLILKDCPSLREVHESIGRLRNLLLINLKDCTSLCYLPREVYRLRSLKTLVLSGCLKFGPIDKAWVKSFVTIITENTTVKQAPFDQL >Vigun05g150666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22610569:22611408:1 gene:Vigun05g150666.v1.2 transcript:Vigun05g150666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGTVSMVDAAMVKSRMPALVRGQLQAWFNYDGDDDALVTVVVFARCKADSQVQVLPCFMICVLARENISVENCRSCCHGRWRLLQRQRGTRMELRWLLRYFSDFVALKMKLRIVR >Vigun09g015900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1160613:1163131:1 gene:Vigun09g015900.v1.2 transcript:Vigun09g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSQRFKPLLLYLKSLSRTPLQTPQPKNLFPSILSLKHTSNASQQHSFTVSYLVNDRGFSPETALKASKFIQFETSEKPDSVIAFFRDNGFSNTQINSIVRRAPNVLTCDPHKRIFPKFEFLLSKGASRSDIVELVSRSPRILYSSLENNIVPSYELMRKFLQTDEKTMDCIRSCGHFFGTDRVVQNVKLLVDDGVTDPVIAFLLRRRVSIILCSALKRTLDEVKEMGFDPSKLSFAIALLAKTTIPKSRWERKVEAFKRWGWSEELVLRVFKRQPLVMLVSQDKIDRIMRFWVKQLGWDYLALTKKPEIFGFSLERRIIPRALVVQYLLKKGLRSKSGSLVTPFSVSEKVFIENYVMRFKEETSELLKLYQVKD >Vigun03g120100.1.v1.2 pep primary_assembly:ASM411807v1:3:11204390:11210766:1 gene:Vigun03g120100.v1.2 transcript:Vigun03g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPSNKRVGPEEASLDKRRRGTAAEKMERQGGPAAAGRPRTPFSVVTNRSDLNTTSDVTDAVDFTKEEVEALLNEKKKGNTYDSKKKMEQMTDLIKRLKACVRWYKRIEEGYVQEKEKLQSELEASEKKCSDTETEMKNKIDELKEALSDSRKKISCLEERIVKEESDKLEAINSYGKEKEVRTKAEKVRDEKSAELEKVRDEKSVAEKKAISNEDLYKRSQEYNMSLQQYNSRLQFDLETVNEALKRLETEKATIVESLSNLRGHNKALQDQLVSLKVSQDETIKQKETLVNELKCLREELKQLRDDRDRQLEQVQALTGEIARHKEYTGKTCTQLDTLMIKTNALEETCSSQKDQINILQQQLFAEREKLKNSDLSASETRTMFEEQKRIIQGLQERLADKEFQVVEGEKLRKKLHNTILELKGNIRVFCRVRPLLSDDSADTAVSFPTSTEALGRGIELVQSGQKYNFTFDKVFNHEASQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPDEPDLKGLIPRSLEQIFQTSQSLKDQGWRYTMQASILEIYNETIRDLLLTNRSSDHARSENSASSKQYTIKHDANGNTHVSDLTIKDVCSADEISSLLRQAAQSRSVGRTQMNEQSSRSHFVFTLRISGKNENTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALGGKKEDHIPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQSSTGESLCSLRFAARVNACEIGIPRRQTQTSSSRSSESRLSYG >Vigun04g184400.3.v1.2 pep primary_assembly:ASM411807v1:4:40906647:40921475:1 gene:Vigun04g184400.v1.2 transcript:Vigun04g184400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNTVESEIRNERSLVSLSGSLSRKKKSTRSPVVNGFVEKRGTMMNSNCKDPSSEGLNVECASTRGNVVKPYESGRKEDGHSFPQQFEDELPLQFKDFFVLSLGKVDVRPSYYDVNLICPVGYKSCWHDKITGSLFTCEILEGGDSGPIFRIRRCSCSEFPVPVGSTILSLSKCCQIASQANEGEGKTNGSMDLDDGDNIQMMLQDPCVPTENDVLSCSANFSIKDTHTPDALGPATGSVQDNAMNSLADEFNDGIGEFCVEERSSCSAWRVISQKLVNSCKDFYKQKGTLKFYCNHVKNETCLNQWDLGNVKSDALDKFCGSLGSVGIPDVIYADSDLEGISEALRKWLEQDRFGLDVEFVQEVLEQLPGVESLQYELLNCRDNSSSLPTVENGFLVVEWRDGSKYKEETVQGLYRRSKKASLTKKGFKEGRRPPLGKPLCSRAPGELIGDIFQAWELLERFNEVLDLKEPLPLDELEKELINPWFDGLDFLEKSERDVDESEVLISQGTDGNCTSLLSPGGDTGPSGSMESSHAFIQMETEAMKEAAQVKLASFTYARCFGVTLTKAHNSLLRVLIGELLSKVAVLVDPNSEPGETRTRRGRKKDMDSGLPAKRTKLNMLPINELTWPELARRYILSFLTMDGNLESAEITARESGKVFRCLRGDGGLLCGSLTGVAGMEADAQLLAEATKKIFGSLSRESDVLTMEEEESDAKGASDKNLTNDGNVPEWAQMLEPVRKLPTNVGTRIRKCVYDALGKDPPEWAKKKLEHSISKEVYKGNASGPTKKAVLSVLADVAGEGLQSNPSKGQKRKIVISISDIIMKRCRTVLRRAAAADDSKVFCNLLGRKLINSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYCGSHEAFLEDVRELWNNVRVAFGDQPDLLELAEKLSQNFESLYNEEVVMNVQKLMEYAKLECLTAEMRKEVDDFIESMKETPKAPWDEGVCKVCGIDRDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVDGKHATQDVTEPTQVIGKRRSKKFQGEVNSLYLESLTHLSAVIEEKEYWEHSLAERTFLLKFLCDELLNSSLIRQHLEQCAELSAELHQKLRAHSVEWKNLKTREDVLSTKAAKIDTSSLNTAGEVGLREGVTTSLSNTTKCLVQPHTAVDNPSNFGVFVDSLPSEETTKEKYRFDSVDKSISVTNSDSDSQNLNSLDVEGQFRNVSGAVESQCTDKSPKSFPSPNMSQEINGSVGAAHAHGNHQKCEGRDMSTPVTCQQGGVSVDASHMALNESDPYHLELSAIKRDISLLQDSITNVVSQLLRLSVRREFLGIDSIGRLYWASTLPGGHSRIVVDASAALLHGKGMSFSRDYVDKFSVLQNCSLTEKDSPPFMSQLRNALANSSPWIAYETDAEIEELLGWLDDNDPKERELKDSIMQGPRSRFQEFLNAQTEEQVEDQGAVSIPRNREKTISNSLVTKATSLLEKKYGPFFEWDIETWKKQNKKSRTTNDEKLFRCECLEPIWPYRRHCTYCHKTVLSDVEFDGHNDGKCNAGLPVAEKNRNKIGSSKGKGNLRCDTSREKFRADAETAGAKVGGGSKLSSRLIKFSNEESTCPFSFEDICSKFETSDSNKELVKEIGLIGSDGIPSFVPSVSSFVSEYAQFPTPKDDIIGVLSKPTESWGSQGNTDGAGACLDHNSSISTGRLAANEINKSIKSSSGEQRDGKFSFCGPASDMGDGCCVVPLSSLKPLVGKVSHILRQLKINLLDMDAALPAFALRPSKAESDRRQAWRAFVKSAETIYEMIQATFTLEDMIKTEYLRNDWWYWSSFSAAAKSSTLPSLALRIYSLDLAIIYEKTPNSSFTDSSEPSGTAEMRPPMNVDAEKSKGSRKSNRKRKESDG >Vigun04g184400.2.v1.2 pep primary_assembly:ASM411807v1:4:40906746:40921475:1 gene:Vigun04g184400.v1.2 transcript:Vigun04g184400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMELPDSTGGDRNLNAPPPPPPQHNNHHQDARAGLGIDLNEIPSPSSLFAETLPDSVTDVVRTYHENPGPPPGGPAALPSGGLAPCAACSKPCPAAAGSHHHLVVCDGCERGFHLACAGIRGGGRQAASLEEWVCGECVASGVKSKRWPLGVKQLLDINAPPPSEAEGEGVGDGDAQDLRKHTLGDNYFGASPFGAPLTYSNFYNGNATGFQKASGGLTHADILNHTQSITRKFEEALRDFISERRGVLEEGWRVEFRQSVSSSELYAVYCAPDGKIFDSVYEVACYLGLMSGFNTVESEIRNERSLVSLSGSLSRKKKSTRSPVVNGFVEKRGTMMNSNCKDPSSEGLNVECASTRGNVVKPYESGRKEDGHSFPQQFEDELPLQFKDFFVLSLGKVDVRPSYYDVNLICPVGYKSCWHDKITGSLFTCEILEGGDSGPIFRIRRCSCSEFPVPVGSTILSLSKCCQIASQANEGEGKTNGSMDLDDGDNIQMMLQDPCVPTENDVLSCSANFSIKDTHTPDALGPATGSVQDNAMNSLADEFNDGIGEFCVEERSSCSAWRVISQKLVNSCKDFYKQKGTLKFYCNHVKNETCLNQWDLGNVKSDALDKFCGSLGSVGIPDVIYADSDLEGISEALRKWLEQDRFGLDVEFVQEVLEQLPGVESLQYELLNCRDNSSSLPTVENGFLVVEWRDGSKYKEETVQGLYRRSKKASLTKKGFKEGRRPPLGKPLCSRAPGELIGDIFQAWELLERFNEVLDLKEPLPLDELEKELINPWFDGLDFLEKSERDVDESEVLISQGTDGNCTSLLSPGGDTGPSGSMESSHAFIQMETEAMKEAAQVAVLVDPNSEPGETRTRRGRKKDMDSGLPAKRTKLNMLPINELTWPELARRYILSFLTMDGNLESAEITARESGKVFRCLRGDGGLLCGSLTGVAGMEADAQLLAEATKKIFGSLSRESDVLTMEEEESDAKGASDKNLTNDGNVPEWAQMLEPVRKLPTNVGTRIRKCVYDALGKDPPEWAKKKLEHSISKEVYKGNASGPTKKAVLSVLADVAGEGLQSNPSKGQKRKIVISISDIIMKRCRTVLRRAAAADDSKVFCNLLGRKLINSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYCGSHEAFLEDVRELWNNVRVAFGDQPDLLELAEKLSQNFESLYNEEVVMNVQKLMEYAKLECLTAEMRKEVDDFIESMKETPKAPWDEGVCKVCGIDRDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVDGKHATQDVTEPTQVIGKRRSKKFQGEVNSLYLESLTHLSAVIEEKEYWEHSLAERTFLLKFLCDELLNSSLIRQHLEQCAELSAELHQKLRAHSVEWKNLKTREDVLSTKAAKIDTSSLNTAGEVGLREGVTTSLSNTTKCLVQPHTAVDNPSNFGVFVDSLPSEETTKEKYRFDSVDKSISVTNSDSDSQNLNSLDVEGQFRNVSGAVESQCTDKSPKSFPSPNMSQEINGSVGAAHAHGNHQKCEGRDMSTPVTCQQGGVSVDASHMALNESDPYHLELSAIKRDISLLQDSITNVVSQLLRLSVRREFLGIDSIGRLYWASTLPGGHSRIVVDASAALLHGKGMSFSRDYVDKFSVLQNCSLTEKDSPPFMSQLRNALANSSPWIAYETDAEIEELLGWLDDNDPKERELKDSIMQGPRSRFQEFLNAQTEEQVEDQGAVSIPRNREKTISNSLVTKATSLLEKKYGPFFEWDIETWKKQNKKSRTTNDEKLFRCECLEPIWPYRRHCTYCHKTVLSDVEFDGHNDGKCNAGLPVAEKNRNKIGSSKGKGNLRCDTSREKFRADAETAGAKVGGGSKLSSRLIKFSNEESTCPFSFEDICSKFETSDSNKELVKEIGLIGSDGIPSFVPSVSSFVSEYAQFPTPKDDIIGVLSKPTESWGSQGNTDGAGACLDHNSSISTGRLAANEINKSIKSSSGEQRDGKFSFCGPASDMGDGCCVVPLSSLKPLVGKVSHILRQLKINLLDMDAALPAFALRPSKAESDRRQAWRAFVKSAETIYEMIQATFTLEDMIKTEYLRNDWWYWSSFSAAAKSSTLPSLALRIYSLDLAIIYEKTPNSSFTDSSEPSGTAEMRPPMNVDAEKSKGSRKSNRKRKESDG >Vigun04g184400.1.v1.2 pep primary_assembly:ASM411807v1:4:40906746:40921475:1 gene:Vigun04g184400.v1.2 transcript:Vigun04g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMELPDSTGGDRNLNAPPPPPPQHNNHHQDARAGLGIDLNEIPSPSSLFAETLPDSVTDVVRTYHENPGPPPGGPAALPSGGLAPCAACSKPCPAAAGSHHHLVVCDGCERGFHLACAGIRGGGRQAASLEEWVCGECVASGVKSKRWPLGVKQLLDINAPPPSEAEGEGVGDGDAQDLRKHTLGDNYFGASPFGAPLTYSNFYNGNATGFQKASGGLTHADILNHTQSITRKFEEALRDFISERRGVLEEGWRVEFRQSVSSSELYAVYCAPDGKIFDSVYEVACYLGLMSGFNTVESEIRNERSLVSLSGSLSRKKKSTRSPVVNGFVEKRGTMMNSNCKDPSSEGLNVECASTRGNVVKPYESGRKEDGHSFPQQFEDELPLQFKDFFVLSLGKVDVRPSYYDVNLICPVGYKSCWHDKITGSLFTCEILEGGDSGPIFRIRRCSCSEFPVPVGSTILSLSKCCQIASQANEGEGKTNGSMDLDDGDNIQMMLQDPCVPTENDVLSCSANFSIKDTHTPDALGPATGSVQDNAMNSLADEFNDGIGEFCVEERSSCSAWRVISQKLVNSCKDFYKQKGTLKFYCNHVKNETCLNQWDLGNVKSDALDKFCGSLGSVGIPDVIYADSDLEGISEALRKWLEQDRFGLDVEFVQEVLEQLPGVESLQYELLNCRDNSSSLPTVENGFLVVEWRDGSKYKEETVQGLYRRSKKASLTKKGFKEGRRPPLGKPLCSRAPGELIGDIFQAWELLERFNEVLDLKEPLPLDELEKELINPWFDGLDFLEKSERDVDESEVLISQGTDGNCTSLLSPGGDTGPSGSMESSHAFIQMETEAMKEAAQVKLASFTYARCFGVTLTKAHNSLLRVLIGELLSKVAVLVDPNSEPGETRTRRGRKKDMDSGLPAKRTKLNMLPINELTWPELARRYILSFLTMDGNLESAEITARESGKVFRCLRGDGGLLCGSLTGVAGMEADAQLLAEATKKIFGSLSRESDVLTMEEEESDAKGASDKNLTNDGNVPEWAQMLEPVRKLPTNVGTRIRKCVYDALGKDPPEWAKKKLEHSISKEVYKGNASGPTKKAVLSVLADVAGEGLQSNPSKGQKRKIVISISDIIMKRCRTVLRRAAAADDSKVFCNLLGRKLINSSDNDDEGLLGSPAMVARPLDFRTIDLRLAAGAYCGSHEAFLEDVRELWNNVRVAFGDQPDLLELAEKLSQNFESLYNEEVVMNVQKLMEYAKLECLTAEMRKEVDDFIESMKETPKAPWDEGVCKVCGIDRDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVDGKHATQDVTEPTQVIGKRRSKKFQGEVNSLYLESLTHLSAVIEEKEYWEHSLAERTFLLKFLCDELLNSSLIRQHLEQCAELSAELHQKLRAHSVEWKNLKTREDVLSTKAAKIDTSSLNTAGEVGLREGVTTSLSNTTKCLVQPHTAVDNPSNFGVFVDSLPSEETTKEKYRFDSVDKSISVTNSDSDSQNLNSLDVEGQFRNVSGAVESQCTDKSPKSFPSPNMSQEINGSVGAAHAHGNHQKCEGRDMSTPVTCQQGGVSVDASHMALNESDPYHLELSAIKRDISLLQDSITNVVSQLLRLSVRREFLGIDSIGRLYWASTLPGGHSRIVVDASAALLHGKGMSFSRDYVDKFSVLQNCSLTEKDSPPFMSQLRNALANSSPWIAYETDAEIEELLGWLDDNDPKERELKDSIMQGPRSRFQEFLNAQTEEQVEDQGAVSIPRNREKTISNSLVTKATSLLEKKYGPFFEWDIETWKKQNKKSRTTNDEKLFRCECLEPIWPYRRHCTYCHKTVLSDVEFDGHNDGKCNAGLPVAEKNRNKIGSSKGKGNLRCDTSREKFRADAETAGAKVGGGSKLSSRLIKFSNEESTCPFSFEDICSKFETSDSNKELVKEIGLIGSDGIPSFVPSVSSFVSEYAQFPTPKDDIIGVLSKPTESWGSQGNTDGAGACLDHNSSISTGRLAANEINKSIKSSSGEQRDGKFSFCGPASDMGDGCCVVPLSSLKPLVGKVSHILRQLKINLLDMDAALPAFALRPSKAESDRRQAWRAFVKSAETIYEMIQATFTLEDMIKTEYLRNDWWYWSSFSAAAKSSTLPSLALRIYSLDLAIIYEKTPNSSFTDSSEPSGTAEMRPPMNVDAEKSKGSRKSNRKRKESDG >Vigun09g232900.1.v1.2 pep primary_assembly:ASM411807v1:9:40403973:40404852:-1 gene:Vigun09g232900.v1.2 transcript:Vigun09g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRVFTLSQVAQHRSNRDCWVVINGRVLDVTKFLQEHPGGEEVILEVAGKEATKQFDAIGHSKAAQNMVVKYQVGVLQGAKVEEVDMNDDVVDTESNTKEMSAFVIKDGANYKSISFYEFFVPLLVATLYFGYRCLTVPHY >Vigun10g095800.1.v1.2 pep primary_assembly:ASM411807v1:10:28196517:28198891:1 gene:Vigun10g095800.v1.2 transcript:Vigun10g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYKGAIYFSVNHELVCSRTLLSTVAKEFPITMAAIKLCINCLFLCLIGIASADSANKLRPDFYNLQCPLALQTIKEEVTTALRKDPTMGLPLFSLHFIDCFLQGCDASILLKDTQNFTGEQSGVPDTGSTNGTDIIERIKGRLEKLCPGVVSCADIVAVAARDSIVAQGGPSWNVGLGRRDSTTANISAVSTDLPSPFMNLSQLLATFAKKNFTAKEMVAFTGVHTVGFVRCLFFRTRIYNESNIDPSYARSLQAKCPFVGGDDNLAPLDRSTPHRFDNAYYKNLVVKKGLLHSDQELYNGGSTDSIVEFYASNALHFRRDFANTAIKMGNFGPLTGTHGQIRKQCSKVN >Vigun06g047700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17218727:17220496:-1 gene:Vigun06g047700.v1.2 transcript:Vigun06g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTNPKKAIPFVLKRLRMTRTTCKTLDKSCDDKLEKLTSQIDQIKDLFMAVKGNEDELLDTLALLDRHLRTIGNIDKEKFQSDMETISQRIKDSTEKLLPKGWASQGETQASVSPKVTTSSSQDHKTAELHSIPSSSQHKDELHEENLSQKVEVSFEKLETHLKPCLLSLLVFPEDAVINKRHTIYWWIGEGFVRSSGEKTAEEVGEGVVEELLNCQMIVAYGNGLNPVVKKFKINPRIRVELMTLDSEKTLSFQEFSNQRAWLEQRKVVLGGDDKDNLKPKQWKTIFNVGASYLSFEPEWLAKMKSLEVLQLGRWQDSPSHHIEVASEEFLNELRVHKRLKYLSLRGISRIPELPPSIAQLENLEILDLKACHSLEALPTNIASMKSLTHLDVSECYLLDSMPKGIEKLTQLEVLKGFVIGSSSKTPCRISDLANLKKLKRFSVHIGSEAVIQEMEFESLKELSAVKCVKISWGVSGEKYSDIQVLFPSSLEKLDLEGFPGSAIPEWLKPSRVPGAMRKLYIKGGKLKSLDHGEICHKWHVEILRLRYLKQLQIEERKLHKLFPSLRYVERTKVLNHSFPEWRLEE >Vigun03g208350.1.v1.2 pep primary_assembly:ASM411807v1:3:34217820:34220381:1 gene:Vigun03g208350.v1.2 transcript:Vigun03g208350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDKVKDIDGRKETLKLGVRVLDLWYIHNRDSNVHLEMILIDQKGDKIHCIVKKDEFDIWDGKLKEGDTYIMHTFKIGKNDGQYRVCEHSFKLLFIGATFVRPQPTANVPKKVYQFRSIKEVVAGNFSPDLLIAKYDVSKNVVFSLMDLSGGVVSCTLWDEYCKKFLERYNDNPNSNKLVMILTQAKVKAATGEWPVISADELTIMSQSGSQLTQCSQYSIVERFVYKCLVKSISEIPLIKKEIICVIVATTIRFSLDNDGWYRLQLQVCDDACNYANFVVWDQECRNIIGISAEDLQKKMIKVGEDDPKCFLDDLDVMLGCTLAFKMSLSGKTDNDPGNSSFGTPSKRILPNSGVYVESSQNIDSGELSATKSLKTIKKEID >Vigun07g229200.1.v1.2 pep primary_assembly:ASM411807v1:7:35092709:35096655:-1 gene:Vigun07g229200.v1.2 transcript:Vigun07g229200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIITIFSITRIQIAMANTDSSSPTIFNVRKTPTFFIIIALLCIASYFLAAYHQGAPLLTTATTASLSLSASCNHHTAQSSRTFPRCSANFSEYTPCEDPQRSLGYKRHRMIYRERHCPEEPLKCRVPAPHGYRNPFPWPASRDRAWFANVPHRELTVEKAVQNWIRFEGERFVFPGGGTTFPNGADAYIEDIGKLINLRDGSIRTALDTGCGVASWGAYLLSRNIQTLSIAPRDTHEAQVQFALERGVPALIAILASKRLPFPSRAFDMSHCSRCLIPWAEYDGVFLNEVDRVLRPGGYWILSGPPINWKKYWKGWQRTEKDLNQEQTKIEEVAKSLCWNKLVEKDDIAIWQKPKNHLHCKLAQNRSFCTAQNDPDKAWYTDMQTCLSRLPEVSNKEETSGGVVDDWPKRLKSTPPRIFRGTIEGVTEETFSKNYELWKKRVSYYKTVNKLLGTGRYRNILDMNAYLGGFAAALIEDPVWVMNVVPVQAKVDTLGSIYERGLIGIYHDWCEAMSTYPRTYDLIHADSVFSLYSNRCELEDILLEMDRIVRPEGCVIIRDDVDMLVRVKNIVNGLEWDSIIVDHEDGNLKREKLLFAVKKYWTAPPPPSDNKN >Vigun03g121600.1.v1.2 pep primary_assembly:ASM411807v1:3:11373506:11377374:1 gene:Vigun03g121600.v1.2 transcript:Vigun03g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAGANQRIARISAHLQPSNFQERGDVILKRAECRAKGGAPGFKVAILGAAGGIGQSLSLLMKINPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLSEGIAKCCPNAIVNLISNPVNSTVAIAAEVFKSAGTYDPKRLLGVTALDVVRANTFVAEVLGVDPREVDVPVVGGHAGVTILPLLSQVKPPSSFTAEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLKGEAGVVECAFVDSQVTELPFFATKVRLGRAGAEEVYQLGPLNEYERIGLEKAKKELAGSIQKGIDFIRK >Vigun03g121600.2.v1.2 pep primary_assembly:ASM411807v1:3:11373506:11377248:1 gene:Vigun03g121600.v1.2 transcript:Vigun03g121600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAGANQRIARISAHLQPSNFQERGDVILKRAECRAKGGAPGFKVAILGAAGGIGQSLSLLMKINPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLSEGIAKCCPNAIVNLISNPVNSTVAIAAEVFKSAGTYDPKRLLGVTALDVVRANTFVAEVLGVDPREVDVPVVGGHAGVTILPLLSQVKPPSSFTAEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLKGEAGVVECAFVDSQVTELPFFATKVRLGRAGAEEVYQLGPLNEYERIGLEKAKKELAGSIQKGIDFIRK >Vigun09g129400.1.v1.2 pep primary_assembly:ASM411807v1:9:28652214:28654538:1 gene:Vigun09g129400.v1.2 transcript:Vigun09g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGEEELTVESNAIPCLIFTQLLVLLLLFALLFFFVVFPLDPGDNLVAADTTASSTSSNFFLFDAIQQIELPQAIHDSSPSHSTTTLQHRLLQGGENVVIKGEITSGASMRMEEEEIVEEEDSDSDSSSLYFQPCHYFQLASVAFLKCFGLDSSSDAPSTRTRRKRKQS >Vigun03g091100.2.v1.2 pep primary_assembly:ASM411807v1:3:7679121:7685253:-1 gene:Vigun03g091100.v1.2 transcript:Vigun03g091100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGEQNTPGESFRLLDQAFHAGINFFDSAEMYPVPQRRRTCGRSEEYLGRWIAHRNIPRDSVVIATKVAGPSGQMTWIRGGPKCLDAANIIEAIDCSLLRMRMDYIDLYQIHWPDRYVPMFGETEYDPVRQYASVGIDEQLEALSTAVKAGKIRYIGLSNETPYGLMKFIQVAEKYASHLKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSPGGGPTDARLNLFKGKYSEGESRYNLSSKIIEAATMEYLNIAKTYGLHPVTLAIAFVLRHPLVASVVFGATKSWQLQEVLDACKIELTSEVIDEINKIHSRFPNPCP >Vigun03g091100.5.v1.2 pep primary_assembly:ASM411807v1:3:7679121:7685253:-1 gene:Vigun03g091100.v1.2 transcript:Vigun03g091100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVPLFNLAPNLTVSRLCLGTMTFGEQNTPGESFRLLDQAFHAGINFFDSAEMYPVPQRRRTCGRSEEYLGRWIAHRNIPRDSVVIATKVAGPSGQMTWIRGGPKCLDAANIIEAIDCSYVPMFGETEYDPVRQYASVGIDEQLEALSTAVKAGKIRYIGLSNETPYGLMKFIQVAEKYASHLKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSPGGGPTDARLNLFKGKYSEGESRYNLSSKIIEAATMEYLNIAKTYGLHPVTLAIAFVLRHPLVASVVFGATKSWQLQEVLDACKIELTSEVIDEINKIHSRFPNPCP >Vigun03g091100.1.v1.2 pep primary_assembly:ASM411807v1:3:7679121:7685253:-1 gene:Vigun03g091100.v1.2 transcript:Vigun03g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVPLFNLAPNLTVSRLCLGTMTFGEQNTPGESFRLLDQAFHAGINFFDSAEMYPVPQRRRTCGRSEEYLGRWIAHRNIPRDSVVIATKVAGPSGQMTWIRGGPKCLDAANIIEAIDCSLLRMRMDYIDLYQIHWPDRYVPMFGETEYDPVRQYASVGIDEQLEALSTAVKAGKIRYIGLSNETPYGLMKFIQVAEKYASHLKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSPGGGPTDARLNLFKGKYSEGESRYNLSSKIIEAATMEYLNIAKTYGLHPVTLAIAFVLRHPLVASVVFGATKSWQLQEVLDACKIELTSEVIDEINKIHSRFPNPCP >Vigun03g091100.6.v1.2 pep primary_assembly:ASM411807v1:3:7679121:7684981:-1 gene:Vigun03g091100.v1.2 transcript:Vigun03g091100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDYIDLYQIHWPDRYVPMFGETEYDPVRQYASVGIDEQLEALSTAVKAGKIRYIGLSNETPYGLMKFIQVAEKYASHLKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSPGGGPTDARLNLFKGKYSEGESRYNLSSKIIEAATMEYLNIAKTYGLHPVTLAIAFVLRHPLVASVVFGATKSWQLQEVLDACKIELTSEVIDEINKIHSRFPNPCP >Vigun03g091100.3.v1.2 pep primary_assembly:ASM411807v1:3:7679121:7685253:-1 gene:Vigun03g091100.v1.2 transcript:Vigun03g091100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVPLFNLAPNLTVSRLCLGTMTFGEQNTPGESFRLLDQAFHAGINFFDSAEMYPVPQRRRTCGRSEEYLGRWIAHRNIPRDSVVIATKVAGPSGQMTWIRGGPKCLDAANIIEAIDCSLLRMRMDYIDLYQIHWPDRYVPMFGETEYDPVRQYASVGIDEQLEALSTAVKAGKIRYIGLSNETPYGLMKFIQVAEKYASHLKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSPGGGPTDARLNLFKGKYSEGESRYNLSSKIIEAATMPLFCDTHLLPVLFLGLPNHGNSRRF >Vigun07g266300.1.v1.2 pep primary_assembly:ASM411807v1:7:38174383:38175833:-1 gene:Vigun07g266300.v1.2 transcript:Vigun07g266300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVTILVLVIALHLIAFVFAIGAERRRSEAKVLPDEYDDRTFCSYTTDASTVYGLAAVALLLLSQAVLNAVTRCLCCGKGLVSGCSATCAVVSFILSWISFLGAEACLLAGSARNAYHTKYRGYFVRHDLSCATLRKGVFAAGAALTLLSMLASILYYWAHSKADTGFWEKHRNEGVGLATQYNHHHQGADSDKA >VigunL068201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1462631:1465055:-1 gene:VigunL068201.v1.2 transcript:VigunL068201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIIDPRSAECVISIVEMKNEREILCTGFLYKISWVEVTRDRALIMRLLKTRRGTLALNILEVRDKEEKKSRSMFIYFQGTKCWKVVCHHHTIYEDQVVGAKQLRISIASFIIVL >Vigun01g127600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30540598:30541696:1 gene:Vigun01g127600.v1.2 transcript:Vigun01g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIFLCLNLSLLITLVTATYYQSLTPTLMGFREDKFTHLHFFFHDVVTGPNPSMVIVAEPNGKAKDALPFGTVVAMDDPLTAGPEPDSKLVGKAQGIYTSISQAEMGLMMVMTMAFTDGDFNGSTISVLARNMIMSEPVREMAIVGGTGAFRFARGYAQARFHSVDFSKGDAIVEYDVFVNHY >Vigun03g217000.4.v1.2 pep primary_assembly:ASM411807v1:3:36120163:36124496:1 gene:Vigun03g217000.v1.2 transcript:Vigun03g217000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGSKATSSSPSASLRKGRSKGLRVFQSYCLGTTSGSHDSDNADQVCDQNKVNGSDLTCTNGNLTNSYQVKTESFTKVKASEITCMPSDIDLHEWGETSIPNTSSRSVSSSSHNYSTHSLNPTSHFLSQLSLIPGSVSFRLSRTTSLGSSWPCPVSSASLSLIDKEDECNLHPRSPGSSINRREAQESNNMLHASFFNQIHAQYHEDATNNLSVLTSDTLGNLQRNPTDLVPTREGLGVNLFSPRIQTETDIIDIGNINRQSVEQNVHFNRTLSVGRLHDRVLGRTTFSDLTFFPLQQERELRDASHHSQDIDRQSVEGDSRVSSSDHTTVNSSTSSMSNSMFGIQDYEVETSRLREGRYQDLLEHRSNFLERRRRMRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGHCTCRMNNRHTNSNDDTGARASISRIVMLAEALFEVLDEIHQQSVVLSSRSSVSCIGSVPAPNDVVDSLPVKLYEKLHKHQDDGAQCYICLVEYEDGDNMRVLPCHHEFHRTCIDKWLKQIQRVCPLCRGDICISDSTPTENNSV >Vigun03g217000.1.v1.2 pep primary_assembly:ASM411807v1:3:36120120:36124580:1 gene:Vigun03g217000.v1.2 transcript:Vigun03g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGSKATSSSPSASLRKGRSKGLRVFQSYCLGTTSGSHDSDNADQVCDQNKVNGSDLTCTNGNLTNSYQVKTESFTKVKASEITCMPSDIDLHEWGETSIPNTSSRSVSSSSHNYSTHSLNPTSHFLSQLSLIPGSVSFRLSRTTSLGSSWPCPVSSASLSLIDKEDECNLHPRSPGSSINRREAQESNNMLHASFFNQIHAQYHEDATNNLSVLTSDTLGNLQRNPTDLVPTREGLGVNLFSPRIQTETDIIDIGNINRQSVEQNVHFNRTLSVGRLHDRVLGRTTFSDLTFFPLQQERELRDASHHSQDIDRQSVEGDSRVSSSDHTTVNSSTSSMSNSMFGIQDYEVETSRLREGRYQDLLEHRSNFLERRRRMRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGHCTCRMNNRHTNSNDDTGARASISRIVMLAEALFEVLDEIHQQSVVLSSRSSVSCIGSVPAPNDVVDSLPVKLYEKLHKHQDDGAQCYICLVEYEDGDNMRVLPCHHEFHRTCIDKWLKQIQRVCPLCRGDICISDSTPTENNSV >Vigun03g217000.3.v1.2 pep primary_assembly:ASM411807v1:3:36120163:36124496:1 gene:Vigun03g217000.v1.2 transcript:Vigun03g217000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGSKATSSSPSASLRKGRSKGLRVFQSYCLGTTSGSHDSDNADQVKTESFTKVKASEITCMPSDIDLHEWGETSIPNTSSRSVSSSSHNYSTHSLNPTSHFLSQLSLIPGSVSFRLSRTTSLGSSWPCPVSSASLSLIDKEDECNLHPRSPGSSINRREAQESNNMLHASFFNQIHAQYHEDATNNLSVLTSDTLGNLQRNPTDLVPTREGLGVNLFSPRIQTETDIIDIGNINRQSVEQNVHFNRTLSVGRLHDRVLGRTTFSDLTFFPLQQERELRDASHHSQDIDRQSVEGDSRVSSSDHTTVNSSTSSMSNSMFGIQDYEVETSRLREGRYQDLLEHRSNFLERRRRMRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGHCTCRMNNRHTNSNDDTGARASISRIVMLAEALFEVLDEIHQQSVVLSSRSSVSCIGSVPAPNDVVDSLPVKLYEKLHKHQDDGAQCYICLVEYEDGDNMRVLPCHHEFHRTCIDKWLKQIQRVCPLCRGDICISDSTPTENNSV >Vigun03g217000.2.v1.2 pep primary_assembly:ASM411807v1:3:36120120:36124580:1 gene:Vigun03g217000.v1.2 transcript:Vigun03g217000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGSKATSSSPSASLRKGRSKGLRVFQSYCLGTTSGSHDSDNADQVKTESFTKVKASEITCMPSDIDLHEWGETSIPNTSSRSVSSSSHNYSTHSLNPTSHFLSQLSLIPGSVSFRLSRTTSLGSSWPCPVSSASLSLIDKEDECNLHPRSPGSSINRREAQESNNMLHASFFNQIHAQYHEDATNNLSVLTSDTLGNLQRNPTDLVPTREGLGVNLFSPRIQTETDIIDIGNINRQSVEQNVHFNRTLSVGRLHDRVLGRTTFSDLTFFPLQQERELRDASHHSQDIDRQSVEGDSRVSSSDHTTVNSSTSSMSNSMFGIQDYEVETSRLREGRYQDLLEHRSNFLERRRRMRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGHCTCRMNNRHTNSNDDTGARASISRIVMLAEALFEVLDEIHQQSVVLSSRSSVSCIGSVPAPNDVVDSLPVKLYEKLHKHQDDGAQCYICLVEYEDGDNMRVLPCHHEFHRTCIDKWLKQIQRVCPLCRGDICISDSTPTENNSV >Vigun01g233600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40537742:40538782:1 gene:Vigun01g233600.v1.2 transcript:Vigun01g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGANNHSSKMSFRITKDDRFFSRLMAKEATTPNSSSRIFYYGETSVAVPFTWEAQPGTPKHPLSHTSLPPLTPPPSYFSNSHSAKGTTSKPNNIFSTIFPRILGQRKSHHDSPPTSSRSPSSSPSFSFRDKGRSPSFSHPLKHKCSNGFRWCYPFGSMIRNVNVSHGAD >Vigun09g270900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43335657:43337855:-1 gene:Vigun09g270900.v1.2 transcript:Vigun09g270900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNIFEFLHQSGVSGDVSAIKKLHAQLLRTGMIFLSHHLHTQLIATYAACLPHNNLHLLKNFFKCMNSTNPLHFNAIISYFCRKGFPFLAVASFSFMHTNGVPLDTYALCSTLTASSKVKDLNFGKQIHTQVLRSGWSSSVFVGSALIDLYSKLSNVQDAALVFDEIPEKNTVCANALLSGYGEAGLWARELELVRKMHELKLKHDHFTLSAALRACTGLSAVEMGRQVHGYLLRTTPDVESDVFLQSALIEMYGKCGSAKKARQVFELVGMEIRKEGRSRDIVLWTSMLGVYGRNGHYKEVIDLYDEMGMEGIRPDGIAFLTVISACGRTGQVHAGVKYFESMTNEFKLDPGPEHCSCLVDLLCRGGELQRAWELLNDTLNKGMGNCTVSMWGALISACVDRGDLELGKLAAQRALELDPQNVGICIMLSNLYARFGMWEEIGNLRLLIKTRGLTKDVGCSWVQVTD >Vigun02g053200.1.v1.2 pep primary_assembly:ASM411807v1:2:19532341:19534147:1 gene:Vigun02g053200.v1.2 transcript:Vigun02g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLLSLISFAFSFSVLCRKGRSQSIMVSTSLPSFIQISSTNSSFTEKLSTPQKKFQIRISTNSLPFPSLPSCKPLQNSVPLAASLAILLWTTPANAGFMSGISGLEAVPGPQLPQIDFLKNLNDENQKKYAENDERIKSSPLLKKLLEQSKLNKEKNRKEIENKYCIRGAEWGVGDCSAEGMSPEERDKFIAMLKQKAGQE >Vigun03g301300.2.v1.2 pep primary_assembly:ASM411807v1:3:49066809:49074141:-1 gene:Vigun03g301300.v1.2 transcript:Vigun03g301300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFQQTTFIRILESLWRCSGNKSIWRRFFVLVAVLTVSAFGFQTLVHTYLVLPDRPFGSHQRTDYSFFQSSSSSLPSEEFYKGGTPQKVHLTPTNSFISPEESNKLVESFSVEQENTKLEPPVQKKISGIASKKERNLADVTKAAVSLSPPRHVPPKQKHIWLLPPNEALVLAKREIDHAPSVNEDPDLYAPIFRNISVFKRSYELMEMTLKVYIYRDGSRPIFHKPPLKGIYASEGWFMKLMEENKQFVTRDPEKAHLFYLPYSARQMGLALYVAGSHDLKPLSNFLRDYVNMIAAKYPFWNRTHGSDHFLVACHDWGPYTVTGHKELAKNAIKALCNADLSERIFVAGRDVSLPETTIRVPRKPLRNLGGNRPSLRPILAFFAGSMHGRVRPTLLKYWGVGKDEDMKIYKRLPLRVSQRMTYIQHMKSNNFVLPFSEVLDWSAFSVVVAEKDIPRLKEILLSIPIRKYLTMQNNVKMLQKHFLWNPRPIRYDLFHMILHSIWFNKLSQIQT >Vigun03g301300.1.v1.2 pep primary_assembly:ASM411807v1:3:49066978:49074141:-1 gene:Vigun03g301300.v1.2 transcript:Vigun03g301300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFQQTTFIRILESLWRCSGNKSIWRRFFVLVAVLTVSAFGFQTLVHTYLVLPDRPFGSHQRTDYSFFQSSSSSLPSEEFYKGGTPQKVHLTPTNSFISPEESNKLVESFSVEQENTKLEPPVQKKISGIASKKERNLADVTKAAVSLSPPRHVPPKQKHIWLLPPNEALVLAKREIDHAPSVNEDPDLYAPIFRNISVFKRSYELMEMTLKVYIYRDGSRPIFHKPPLKGIYASEGWFMKLMEENKQFVTRDPEKAHLFYLPYSARQMGLALYVAGSHDLKPLSNFLRDYVNMIAAKYPFWNRTHGSDHFLVACHDWGPYTVTGHKELAKNAIKALCNADLSERIFVAGRDVSLPETTIRVPRKPLRNLGGNRPSLRPILAFFAGSMHGRVRPTLLKYWGVGKDEDMKIYKRLPLRVSQRMTYIQHMKSSKYCVCPMGFEVNSPRIVEAIYYECVPVIIADNFVLPFSEVLDWSAFSVVVAEKDIPRLKEILLSIPIRKYLTMQNNVKMLQKHFLWNPRPIRYDLFHMILHSIWFNKLSQIQT >Vigun11g152500.1.v1.2 pep primary_assembly:ASM411807v1:11:36228863:36232721:-1 gene:Vigun11g152500.v1.2 transcript:Vigun11g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISTFYLLAYNSFQTVGWTLSLIKILYNLLATASINGTYASAGNLICFLQCAAFLEVIHGAIGIVPSGVLLPLMQWGGRTHFVLAIVRKLDELQELPSVFITFLAWSMGEVIRYSHYAFSCSGNCPSWMTYLRYTAFIVLYPLGVGPGEIWTMYQALPFIKKKNLYADSFSGLPFSYYDFLKVVLVAYPFLWFKLYLHMFKQRRAKLYKRHDKKTT >Vigun11g152500.2.v1.2 pep primary_assembly:ASM411807v1:11:36228677:36232669:-1 gene:Vigun11g152500.v1.2 transcript:Vigun11g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISTFYLLAYNSFQTVGWTLSLIKILYNLLATASINGTYASAGNLICFLQCAAFLEVIHGAIGIVPSGVLLPLMQWGGRTHFVLAIVRKLDELQELPSVFITFLAWSMGEVIRYSHYAFSCSGNCPSWMTYLSMDNVPGASIYKEEESLCRFLFRPPI >Vigun11g152500.3.v1.2 pep primary_assembly:ASM411807v1:11:36228677:36232669:-1 gene:Vigun11g152500.v1.2 transcript:Vigun11g152500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISTFYLLAYNSFQTVGWTLSLIKILYNLLATASINGTYASAGNLICFLQCAAFLEVIHGAIGIVPSGVLLPLMQWGGRTHFVLAIVRKLDELQELPSVFITFLAWSMGEVIRYSHYAFSCSGNCPSWMTYLRYTAFIVLYPLGVGPGEIWTMYQALPFIKKKNLYADSFSGLPFSYYDFLKVVLVAYPFLWFKLYLHMFKQRRAKLYKRHDKKTT >Vigun11g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27068934:27069855:-1 gene:Vigun11g090200.v1.2 transcript:Vigun11g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPVIRKALFAANQASSKAVDAQKGHLAVYVGEKMKRFVIPVSFLNQPSFQDLLSKAEEEFGYDHPMGGLTIPCSEDVFLSITSCLN >Vigun09g062401.1.v1.2 pep primary_assembly:ASM411807v1:9:6520825:6521903:1 gene:Vigun09g062401.v1.2 transcript:Vigun09g062401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFCTLQQSGKGGVDSIATCRKLKIDLQAVFHVLSKDMQQLMLSNPERASLLQGNLEPPKLPGRPLIQVAVVSSTSPL >Vigun06g037400.1.v1.2 pep primary_assembly:ASM411807v1:6:15366238:15369332:1 gene:Vigun06g037400.v1.2 transcript:Vigun06g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQITSPVIKEEDEETKEVVQEKEEGTKEVVQQKEEEASHPYAFHVSGPRNLTNLNWRDLISSSWKDANYKRTVIACFIQAVYLVELDRQEKRSEENALAPKWWIPFKYKLTQTLIDERDGSIFGAILEWDRAAAMADLVLMRPSGAPKAVLALRGTLLKSPTMRRDIEDDLRFLAWESLKGSVRFKVALEVLKSVSDTYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVETHLFNPPSVSVAMSLRNIGEKAELVWKRLRSMLPSSSSVEEGEGIGNGGEKSVSVGLKSWIPRLSSFKNGGFGVGKWVPHLYVNNSDYICCSYTDPECFSEEKNDGDKENVCPTNGHGQGQVAAKLFVVTKEKQKFHEAHALEQWWSSDAQLQQANSKLISRQLKSLYTTTNSVSSQVMQGTTLPR >Vigun03g352100.1.v1.2 pep primary_assembly:ASM411807v1:3:55381697:55385332:1 gene:Vigun03g352100.v1.2 transcript:Vigun03g352100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFSSLSFIFLLFLLKLTVLLWWRPRKIQGHFSKQGIRGPPYRFFIGNVKELVAMMLKASSKPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAPPLVKQLEGDGLLSLKGEKWAHHRRIISPTFHMENLKLLIPVMATSVVEMLEKWSAMGEKGEVEIEVSEWFQRLTEDVITRTAFGSSYEDGKAIFRLQGQQMDLAADAFQKVFIPGYRFVPTRRNIKSWKLEKEIKKSLVKLIERRRENSEKVVEKGAKDLLGLMIEASNRNSTNVTVDDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPQWQVRAREEVLKMCGSRELPTKDHVAKLRTVSMIVNESLRLYPPTIATIRRAKTDVELGGYKIPRGTELLVPILAVHHDQAIWGNDANEFNPGRFSQGVARAANHPLAFIPFGVGVRTCIGQNLAVLQTKLALAIILQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQRLSTPPTTLPDATV >VigunL006901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000015.1:41500:43571:-1 gene:VigunL006901.v1.2 transcript:VigunL006901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun10g137500.3.v1.2 pep primary_assembly:ASM411807v1:10:35409921:35412655:1 gene:Vigun10g137500.v1.2 transcript:Vigun10g137500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEEVTIPLTYWVDDSKNRVVVAEASGDFVDILFSFLTLPLGTIIRLGNKLEQHIELGCINKLYESVDKLESNVFWNNVCKKMLHSPRNPLESSCQRLKVKVDDTEPTRYFVCHSCSKEKELLLSTFDGGRCQCGKLMRKETKLLEESKEELGRDNGVFVKSDAMFLILDDLRVLRSSVGDSVQTFLKHRHKDISKLTEKSENVGLKEILSILKQALISKCPLSDVLLKNEGSKKYSSSQNTGPIHSKGHVKIKVMVRKSENKILFAEVDAGFVDLLASFLTTPIGSIVKLMKGNLCLGSIRNLYKSVKHLNPSWFVRSSNESLLNIKVAPHFGCKSNPLGEEDSPQYWYGPVVEKDNEGRTMISKKKEMLRDPKKVKLFDPRSSDGAREAGVGFMKRPCLFLVTDDLEMKPMTASSSISYLKELGIENLNDLEEHFIKVRKSHEALDLLRVSLTNNKDALTKSLFSQFWICQRCIPCLGVLGSRQQGKTEIKKEKKEIKKEEKVISVKKRNDEGERDKKEVK >Vigun10g137500.2.v1.2 pep primary_assembly:ASM411807v1:10:35409693:35412978:1 gene:Vigun10g137500.v1.2 transcript:Vigun10g137500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEEVTIPLTYWVDDSKNRVVVAEASGDFVDILFSFLTLPLGTIIRLGNKLEQHIELGCINKLYESVDKLESNVFWNNVCKKMLHSPRNPLESSCQRLKVKVDDTEPTRYFVCHSCSKEKELLLSTFDGGRCQCGKLMRKETKLLEESKEELGRDNGVFVKSDAMFLILDDLRVLRSSVGDSVQTFLKHRHKDISKLTEKSENVGLKEILSILKQALISKCPLSDVLLKNEGSKKYSSSQNTGPIHSKGHVKIKVMVRKSENKILFAEVDAGFVDLLASFLTTPIGSIVKLMKGNLCLGSIRNLYKSVKHLNPSWFVRSSNESLLNIKVAPHFGCKSNPLGEEDSPQYWYGPVVEKDNEGRTMISKKKEMLRDPKKVKLFDPRSSDGAREAGVGFMKRPCLFLVTDDLEMKPMTASSSISYLKELGIENLNDLEEHFIKVRKSHEALDLLRVSLTNNKDALTKSLFSQFWICQRCIPCLGVLGSRQQGKTEIKKEKKEIKKEEKVISVKKRNDEGERDKKEVK >Vigun10g137500.1.v1.2 pep primary_assembly:ASM411807v1:10:35409926:35412655:1 gene:Vigun10g137500.v1.2 transcript:Vigun10g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIHSLNIQWFFMSSNEEVTIPLTYWVDDSKNRVVVAEASGDFVDILFSFLTLPLGTIIRLGNKLEQHIELGCINKLYESVDKLESNVFWNNVCKKMLHSPRNPLESSCQRLKVKVDDTEPTRYFVCHSCSKEKELLLSTFDGGRCQCGKLMRKETKLLEESKEELGRDNGVFVKSDAMFLILDDLRVLRSSVGDSVQTFLKHRHKDISKLTEKSENVGLKEILSILKQALISKCPLSDVLLKNEGSKKYSSSQNTGPIHSKGHVKIKVMVRKSENKILFAEVDAGFVDLLASFLTTPIGSIVKLMKGNLCLGSIRNLYKSVKHLNPSWFVRSSNESLLNIKVAPHFGCKSNPLGEEDSPQYWYGPVVEKDNEGRTMISKKKEMLRDPKKVKLFDPRSSDGAREAGVGFMKRPCLFLVTDDLEMKPMTASSSISYLKELGIENLNDLEEHFIKVRKSHEALDLLRVSLTNNKDALTKSLFSQFWICQRCIPCLGVLGSRQQGKTEIKKEKKEIKKEEKVISVKKRNDEGERDKKEVK >Vigun10g137500.4.v1.2 pep primary_assembly:ASM411807v1:10:35409858:35412764:1 gene:Vigun10g137500.v1.2 transcript:Vigun10g137500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEEVTIPLTYWVDDSKNRVVVAEASGDFVDILFSFLTLPLGTIIRLGNKLEQHIELGCINKLYESVDKLESNVFWNNVCKKMLHSPRNPLESSCQRLKVKVDDTEPTRYFVCHSCSKEKELLLSTFDGGRCQCGKLMRKETKLLEESKEELGRDNGVFVKSDAMFLILDDLRVLRSSVGDSVQTFLKHRHKDISKLTEKSENVGLKEILSILKQALISKCPLSDVLLKNEGSKKYSSSQNTGPIHSKGHVKIKVMVRKSENKILFAEVDAGFVDLLASFLTTPIGSIVKLMKGNLCLGSIRNLYKSVKHLNPSWFVRSSNESLLNIKVAPHFGCKSNPLGEEDSPQYWYGPVVEKDNEGRTMISKKKEMLRDPKKVKLFDPRSSDGAREAGVGFMKRPCLFLVTDDLEMKPMTASSSISYLKELGIENLNDLEEHFIKVRKSHEALDLLRVSLTNNKDALTKSLFSQFWICQRCIPCLGVLGSRQQGKTEIKKEKKEIKKEEKVISVKKRNDEGERDKKEVK >Vigun03g077400.1.v1.2 pep primary_assembly:ASM411807v1:3:6412595:6415022:1 gene:Vigun03g077400.v1.2 transcript:Vigun03g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCISFTATRDRCFRFSFSNAGLKSATTDLGQGTIMHCWAPKAHKDSRPNLVLLHGFGANAMWQWNDFLPQLTRRFNVYVPDLLFFGDSHTTRPDRTEAFQAQCMAALLQAQGVRTSSVVGISYGGFVAYSLAAQFPERVEKVVLCCAGVCFEEKDLDEGLFQVKSVDEAAEILLPQTPEKLRQLLRLAFVKPSKVTPTCFLTDYINVMCTENVQERKELIEALYKDRKLSNLPRITQPTLIIWGEKDMVFPIELAHRLKRHLGENAELVVIKNAGHAVNVEKANDMYKHIRSFLIDSTAPTVQKNHSNDRHVD >Vigun04g178100.2.v1.2 pep primary_assembly:ASM411807v1:4:40159894:40162900:1 gene:Vigun04g178100.v1.2 transcript:Vigun04g178100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQNQSTPQRPSMDDALYFLNRVKEVFRDEKEKYDMLIRILKDYKEERITPAVVAARMKELLRGHHSLILRFNKFLPKEHEIKLKHEPRPELVRLVDNIKERFKNEEGSYESFVMSITTYKLDHRKTTCDLHDEIGVLLKDHQDLLAEFRRLIPNYDAIDYDESVHDEKPRPKCKQTCLGCFTVLLFCTIMWSLIFVYFVWSHDEITKKTKICSFCFLGLVLITLVANMFHKPYNVVCVREYLTKRVRGRMLSKILAIGDGWFFQKETFKCDLCHRSAEESVVKPFNGEKMSLVVQTICAMGIGFSVGLMFSWKAAIAVIVVQLVIIVCFYKRDVLKNMMKVHDD >Vigun04g178100.1.v1.2 pep primary_assembly:ASM411807v1:4:40159754:40162922:1 gene:Vigun04g178100.v1.2 transcript:Vigun04g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQNQSTPQRPSMDDALYFLNRVKEVFRDEKEKYDMLIRILKDYKEERITPAVVAARMKELLRGHHSLILRFNKFLPKEHEIKLKHEPRPELVRLVDNIKERFKNEEGSYESFVMSITTYKLDHRKTTCDLHDEIGVLLKDHQDLLAEFRRLIPNYDAIDYDESVHDEKPRPKCKQTCLGLVLITLVANMFHKPYNVVCVREYLTKRVRGRMLSKILAIGDGWFFQKETFKCDLCHRSAEESVVKPFNGEKMSLVVQTICAMGIGFSVGLMFSWKAAIAVIVVQLVIIVCFYKRDVLKNMMKVHDD >Vigun03g428200.1.v1.2 pep primary_assembly:ASM411807v1:3:63308737:63311925:-1 gene:Vigun03g428200.v1.2 transcript:Vigun03g428200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVWFLLFLLLISKCDEVWSSYAGSASSIINPSKVKQVSWKPRAFVYEGFLTDLECDHLISIAKSELKRSAVADNLSGESTLSDVRTSSGMFISKNKDPIVSGIEDKISSWTFLPKENGEDIQVLRYEHGQKYDPHYDYFTDKVNIVRGGHRIATVLMYLTNVTKGGETVFPSAEESPRRRASETNIDLSECAKKGIAVKPRRGDALLFFSLLTNATPDTSSLHAGCPVIEGEKWSATKWIHVDSFDKTVGDGGDCSDRHVSCERWASLGECTNNPEYMIGSSDLLGYCRKSCKAC >Vigun01g138200.2.v1.2 pep primary_assembly:ASM411807v1:1:31837151:31838290:1 gene:Vigun01g138200.v1.2 transcript:Vigun01g138200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCGGSFLTLSCAGTIQNQHVSQPTTFLGVRHYPHRKRKTPLVVVAVTQGSAESSKSEEKIPSWAKPDSDEPPPWARDEAKNNTSEQGFEIPFYAYLLASAITAIAAIGSIFEYVNQRPVFGALTSDSVFYAPLLGFFVFTGIPSSAFLWFKSVQAANKEAEEQDKRDGYL >Vigun06g177400.1.v1.2 pep primary_assembly:ASM411807v1:6:29764209:29769224:1 gene:Vigun06g177400.v1.2 transcript:Vigun06g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCAKTFFQRFWLDEYQMGNIPHGSFLANDDLLPSLGARINQEIRLRRYVISPFNPRYRAWELVLVVLVIYSAWICPFEFAFLPYKEDALFIIDNIVNGFFAIDIVLTFFVAYLDHHSYLLVDDPKRIAIRYLSSWFAFDLCSTVPFQSFSFLFTNSSSELGFKVFNMFRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLIAVTLFAVHCAGCFNYLIADRYPDSKRTWIGAVYPNFKVESLWDRYVTAIYWSIVTLTTTGYGDLHAENTREMLFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVKAASEFASRNHLPHRIQDQMLSHICLRFKTEGLKQQETLNDLPKAIRSSIAHHLFFPVVQKVYLFQGVSHDFLFQLISDMEAEYFPPKEDVILQNESSTELYVLVSGAVDLVRYIEGHDNVHGKAVAVDAFGEIGVLYQIPQPFTVRTTELSQILRLNKTSLMNVLQANPGEAQIIMDNLLMRLKGREDFGFEFPCTDSGRFPNELLQGDHTIRSSSHECSNNSHEHSLMREGESIDIRKSETSLRNVTNDDHLVTKHSVIPEHDTREARGPAHKGNLDIVEILLERGSNPNANSIGWTQNALAKQLKNKSICDPKRSHENEKLDEFRIEIEEPEIFLDRDSSTRNRRHGGIRSIKYPKENISTNSNSRNSKCPSDIETARLPKKRVTIQLLHGCRSSSHGSHGKLIILPDSLEELLKIAGEKFGGFNPTKVVNTENAEIDDINVIRDGDRLFLLCGDNETLS >Vigun06g177400.3.v1.2 pep primary_assembly:ASM411807v1:6:29764209:29769225:1 gene:Vigun06g177400.v1.2 transcript:Vigun06g177400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLIAVTLFAVHCAGCFNYLIADRYPDSKRTWIGAVYPNFKVESLWDRYVTAIYWSIVTLTTTGYGDLHAENTREMLFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVKAASEFASRNHLPHRIQDQMLSHICLRFKTEGLKQQETLNDLPKAIRSSIAHHLFFPVVQKVYLFQGVSHDFLFQLISDMEAEYFPPKEDVILQNESSTELYVLVSGAVDLVRYIEGHDNVHGKAVAVDAFGEIGVLYQIPQPFTVRTTELSQILRLNKTSLMNVLQANPGEAQIIMDNLLMRLKGREDFGFEFPCTDSGRFPNELLQGDHTIRSSSHECSNNSHEHSLMREGESIDIRKSETSLRNVTNDDHLVTKHSVIPEHDTREARGPAHKGNLDIVEILLERGSNPNANSIGWTQNALAKQLKNKSICDPKRSHENEKLDEFRIEIEEPEIFLDRDSSTRNRRHGGIRSIKYPKENISTNSNSRNSKCPSDIETARLPKKRVTIQLLHGCRSSSHGSHGKLIILPDSLEELLKIAGEKFGGFNPTKVVNTENAEIDDINVIRDGDRLFLLCGDNETLS >Vigun06g177400.2.v1.2 pep primary_assembly:ASM411807v1:6:29764209:29769224:1 gene:Vigun06g177400.v1.2 transcript:Vigun06g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCAKTFFQRFWLDEYQMGNIPHGSFLANDDLLPSLGARINQEIRLRRYVISPFNPRYRAWELVLVVLVIYSAWICPFEFAFLPYKEDALFIIDNIVNGFFAIDIVLTFFVAYLDHHSYLLVDDPKRIAIRYLSSWFAFDLCSTVPFQSFSFLFTNSSSELGFKVFNMFRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLIAVTLFAVHCAGCFNYLIADRYPDSKRTWIGAVYPNFKVESLWDRYVTAIYWSIVTLTTTGYGDLHAENTREMLFDIAYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDTVKAASEFASRNHLPHRIQDQMLSHICLRFKTEGLKQQETLNDLPKAIRSSIAHHLFFPVVQKVYLFQGVSHDFLFQLISDMEAEYFPPKEDVILQNESSTELYVLVSGAVDLVRYIEGHDNVHGKAVAVDAFGEIGVLYQIPQPFTVRTTELSQILRLNKTSLMNVLQANPGEAQIIMDNLLMRLKGREDFGFEFPCTDSGRFPNELLQGDHTIRSSSHECSNNSHEHSLMREGESIDIRKSETSLRNVTNDDHLVTKHSVIPEHDTREARGPAHKGNLDIVEILLERGSNPNANSIGWTQNALAKQLKNKSICDPKRSHENEKLDEFRIEIEEPEIFLDRDSSTRNRRHGGIRSIKYPKENISTNSNSRNSKCPSDIETARLPKKRVTIQLLHGCRSSSHGSHGKLIILPDSLEELLKIAGEKFGGFNPTKVVNTENAEIDDINVIRDGDRLFLLCGDNETLS >Vigun06g177400.4.v1.2 pep primary_assembly:ASM411807v1:6:29764209:29769225:1 gene:Vigun06g177400.v1.2 transcript:Vigun06g177400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLVLVAQRDTVKAASEFASRNHLPHRIQDQMLSHICLRFKTEGLKQQETLNDLPKAIRSSIAHHLFFPVVQKVYLFQGVSHDFLFQLISDMEAEYFPPKEDVILQNESSTELYVLVSGAVDLVRYIEGHDNVHGKAVAVDAFGEIGVLYQIPQPFTVRTTELSQILRLNKTSLMNVLQANPGEAQIIMDNLLMRLKGREDFGFEFPCTDSGRFPNELLQGDHTIRSSSHECSNNSHEHSLMREGESIDIRKSETSLRNVTNDDHLVTKHSVIPEHDTREARGPAHKGNLDIVEILLERGSNPNANSIGWTQNALAKQLKNKSICDPKRSHENEKLDEFRIEIEEPEIFLDRDSSTRNRRHGGIRSIKYPKENISTNSNSRNSKCPSDIETARLPKKRVTIQLLHGCRSSSHGSHGKLIILPDSLEELLKIAGEKFGGFNPTKVVNTENAEIDDINVIRDGDRLFLLCGDNETLS >Vigun01g078600.2.v1.2 pep primary_assembly:ASM411807v1:1:22132015:22133357:-1 gene:Vigun01g078600.v1.2 transcript:Vigun01g078600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTALTFATPNVVPLAPTKTVTHLTNFPTKLKSPRSSPRYSPIRVATADPDTSTVDYNSAFSVFPAEACETVGGEACMAEMYPEAKLQPQAKNDTPRTATENVEREYLEYNDPQT >Vigun01g078600.1.v1.2 pep primary_assembly:ASM411807v1:1:22132015:22133357:-1 gene:Vigun01g078600.v1.2 transcript:Vigun01g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTALTFATPNVVPLAPTKTVTHLTNFPTKLKSPRSSPRYSPIRVATADPDTSTVDYNSAFSVFPAEACETVGGEACMAEMYPEAKLQPQAKNDTPRTATENVEREYLEYNDPQTVFRGEACDDLGGTFCEPEFQKNVF >Vigun02g073600.5.v1.2 pep primary_assembly:ASM411807v1:2:22512490:22516220:-1 gene:Vigun02g073600.v1.2 transcript:Vigun02g073600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYKIQAKNNSPAAQEKCIVRLLLYHFGVNLPVMIFSYPVFTYMGMRSSLPLPSWQTVLTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDVGYRKLKALKSTQIEDSSELKNY >Vigun02g073600.4.v1.2 pep primary_assembly:ASM411807v1:2:22512490:22516220:-1 gene:Vigun02g073600.v1.2 transcript:Vigun02g073600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLESGWQYLITHFSDFQLACLGSFFLHESVFFLSGLPFIWLERAGWMSNYKIQAKNNSPAAQEKCIVRLLLYHFGVNLPVMIFSYPVFTYMGMRSSLPLPSWQTVLTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMD >Vigun02g073600.2.v1.2 pep primary_assembly:ASM411807v1:2:22512490:22516220:-1 gene:Vigun02g073600.v1.2 transcript:Vigun02g073600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLESGWQYLITHFSDFQLACLGSFFLHESVFFLSGLPFIWLERAGWMSNYKIQAKNNSPAAQEKCIVRLLLYHFGVNLPVMIFSYPVFTYMGMRSSLPLPSWQTVLTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDVGYRKLKALKSTQIEDSSELKNY >Vigun02g073600.3.v1.2 pep primary_assembly:ASM411807v1:2:22512781:22516234:-1 gene:Vigun02g073600.v1.2 transcript:Vigun02g073600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLESGWQYLITHFSDFQLACLGSFFLHESVFFLSGLPFIWLERAGWMSNYKIQAKNNSPAAQEKCIVRLLLYHFGVNLPVMIFSYPVFTYMGMRSSLPLPSWQTVLTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDVGYRKLKALKSTQIEDSSELKNY >Vigun02g073600.1.v1.2 pep primary_assembly:ASM411807v1:2:22512490:22516220:-1 gene:Vigun02g073600.v1.2 transcript:Vigun02g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLESGWQYLITHFSDFQLACLGSFFLHESVFFLSGLPFIWLERAGWMSNYKIQAKNNSPAAQEKCIVRLLLYHFGVNLPVMIFSYPVFTYMGMRSSLPLPSWQTVLTQIIFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWIFGTDVGYRKLKALKSTQIEDSSELKNY >VigunL014728.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:276896:277135:1 gene:VigunL014728.v1.2 transcript:VigunL014728.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSTGIFIALLFITVGIGFKLSPAPSPQWTSDVYEGVWFTR >Vigun09g049800.1.v1.2 pep primary_assembly:ASM411807v1:9:4914420:4917061:-1 gene:Vigun09g049800.v1.2 transcript:Vigun09g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQHFIFYVRSLHQAPAPSTTPCQKLFYYTQNPDSVLHRAILRNLYQFGDYEKTLFLYKAMVGKSMYPDEESCSFVLRSCFCLSHEQGKMVHGQIMRLGLDAFDSVGRTLVELYDMNDFLNVDEPAEGKYVMELNYWNNLISQASENGKMEESFKLLYRMRKENIQPNSSTVIGLLRSTFELNSLKAGQALHSLVVVSNLCEELAVNTALLSMYAKLGSPEDARMLFEKMPEKDLVVWNIMIAAYAGNGFPKESLELVYRMVRSGFRPDLFTAIPAISSITQLKNSEWGKQMHAHVIRNGSDYQVSIHNSLIDMYSACDDLNLAQKIFDFIINKTVVSWSAMIKGYTIHDQPLEALSLFLKMKLSGTRIDFIIVINILPAFAKIGALHYVSYLHGYSLKISLDSLKSLRTSFLSSYAKCGCIKMARKLFDEEKSLHRDIIAWNSMISAYSKHGEWFRCFQLYNQMKLSNIVPDQVTFLGLLTACVNSGLVSKGKEIFKEMVEIYGCQPYQEHHTCMVDLLGRAGQIHEANEMIKTIPLESDGRVYGPLLSACKMHSETRLAEVAAQKLINMEPKNAGNYVLLSNIYAAAGKWDKVARMRSILRDRGLKKTPGCSWLELNGQVHEFRVADKSHQRWEDIYSILNVLELEAGDMENDLELFDPSVIKGCELHMNQHNL >Vigun06g009250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4382989:4383294:-1 gene:Vigun06g009250.v1.2 transcript:Vigun06g009250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSINEAPPVVPNLEHDNENMNHGNARLPNHHFHYHHEYPQQLDEDPLGPHHAQGPILRVHAFQAQSPLRNPITRGMLKRIQMELPQEDQIHHGLPMLFS >Vigun03g248400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41314454:41315844:-1 gene:Vigun03g248400.v1.2 transcript:Vigun03g248400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLRLLQSQAAPPPEVAAAAVESDFVVILAALLCALICVVGLVAIARCAWLRRAPAYVGGGGSPPAPANKGLKKRVVNSLPKFTYAGEGDRCKWTECPICLTEFSGGDEVRVLPQCGHGFHVACVDTWLSSHSSCPSCRAPFVVARCQKCGHFPAVAAETSEPQTKPGGGCNADDNRNVIVNHVSNYGFLP >Vigun11g023460.1.v1.2 pep primary_assembly:ASM411807v1:11:2919599:2925925:-1 gene:Vigun11g023460.v1.2 transcript:Vigun11g023460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISDIKLIRTDTTLDLSQKAEKATALGTLPLKINLISSEPMVHVSDIKLIRTDTTLDLSQKAEKGMLSKG >Vigun11g181300.1.v1.2 pep primary_assembly:ASM411807v1:11:38485436:38488718:1 gene:Vigun11g181300.v1.2 transcript:Vigun11g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSLLKSSLVLDKSEWVKGQTLRQPSASVLRCSPTNPSALTIRAGSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGIGQYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHNIDRTFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKEKASPQTVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTALKTWGGRPENVKAAQDALLFRAKSNSLAQLGKYTGEGESEEAKKELFVKGYSY >Vigun09g082000.1.v1.2 pep primary_assembly:ASM411807v1:9:9828622:9830604:1 gene:Vigun09g082000.v1.2 transcript:Vigun09g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITILFTIIFTFGFLRNVLSRDTPLPFEAIFNFGDSISDTGNSASHDPFPRNSPYGSTFFKSPAGRLSNGRLMIDFIAGAYGLPMLPAYLTIKKGTNIKKGVNFAYSGSTALNKTYFDQRGMNVKAAAYSLGTQLDWFNEIKPSLCKSKAECDAYFKKSLFLVGEIGGNDLNEIIPNKNITAIKEMIPDIVDSITKYTSKVIEHGAVEIAVPGNFPIGCNSFVLKTAGSDKKEDYDEYGCLIPYNNIISEFNEQLKKGLETLRQKNPTVKIMYFDYYGAALSLFHEPKKFGFVYGKIKTFEVCCGKGGGYKLEGICGRGDTTVCADPSKHINWDGFHLTEAAYKLMAKGLLEGPYANPPVTPPPFKIH >Vigun09g108500.2.v1.2 pep primary_assembly:ASM411807v1:9:22758947:22765822:-1 gene:Vigun09g108500.v1.2 transcript:Vigun09g108500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQQKQDPGAFTNSLRNQNQGKGEVEKEEAEAPFTLSVTSKVLYMLGDITAGPASMFAQWLQLVRKRTSNNRTSGFPHRSSTMPSSPGEIEDVKNDQQTEISLWERLGKAEMLDIESSSFSWVRLSSLHHTEHTSSNEHSEDEMNRALEVTVNSGGVVFFAFFNGCDSGDGCSKEETAVIKISSSRMATQSECLGYEFAKWLGVQTPQARVIHNTSLEWIQIKEATEKARDAASSARDAIGELTCTELLEALDLSRCLMFMSYVHGSPLLESSRAFESQEYAERTSAALGRVLMLDLVIRNEDRLPCRQLRWRGNSANLLLTEKVISANVDTIGETFNSAVNRYGPRVNRSLQKEKRSASVDSRMNSHNSGSVSQYPGLSDIKYTSIPTNMNMKSQKSGESMLTDFNVVAIDSGVPRRPPAGKRADDQVNYPKLVELLLNSSEFSSNLLHDITGGRLGCPHLEDTNTTTTDVQTDDIASLVHEFRNGFRAALMDMQGFHIFLLTLHQKLDTLLRLFMNAVGKISSGESEKEDAVVHDLPSPAFAGSCLSPPIKERHSNDIHQDCSDSESQRTAPRASSSSGSRDCCDSASPASREGWHGKNSKGSAESLRGLRLTTKLRDLHKFAKVDSESNKELEQWNEMLKNEAVKLCMENNFNTGFFEGSDNNTVVDAYELKVRLEHILERIALISEAANAERPSAVTDTLFIGGALAARSTYTLQHLGITHILCLCTNEIGQSDSQFPDLFTYKNFSVCDKEDSNISSIFEEACDFIDYVEKAGQSVLVHCFEGKSRSATLVLAYLMLRKKFTLLEAWNALKRVHRRSQPNDGFAKILLELDQKLHGKVSMEWQQRKPVMKICPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTKEIQKALTALKISRGGSVSPTQRQSHSMVDA >Vigun09g108500.1.v1.2 pep primary_assembly:ASM411807v1:9:22758947:22765822:-1 gene:Vigun09g108500.v1.2 transcript:Vigun09g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQQKQDPGAFTNSLRNQNQELQGKGEVEKEEAEAPFTLSVTSKVLYMLGDITAGPASMFAQWLQLVRKRTSNNRTSGFPHRSSTMPSSPGEIEDVKNDQQTEISLWERLGKAEMLDIESSSFSWVRLSSLHHTEHTSSNEHSEDEMNRALEVTVNSGGVVFFAFFNGCDSGDGCSKEETAVIKISSSRMATQSECLGYEFAKWLGVQTPQARVIHNTSLEWIQIKEATEKARDAASSARDAIGELTCTELLEALDLSRCLMFMSYVHGSPLLESSRAFESQEYAERTSAALGRVLMLDLVIRNEDRLPCRQLRWRGNSANLLLTEKVISANVDTIGETFNSAVNRYGPRVNRSLQKEKRSASVDSRMNSHNSGSVSQYPGLSDIKYTSIPTNMNMKSQKSGESMLTDFNVVAIDSGVPRRPPAGKRADDQVNYPKLVELLLNSSEFSSNLLHDITGGRLGCPHLEDTNTTTTDVQTDDIASLVHEFRNGFRAALMDMQGFHIFLLTLHQKLDTLLRLFMNAVGKISSGESEKEDAVVHDLPSPAFAGSCLSPPIKERHSNDIHQDCSDSESQRTAPRASSSSGSRDCCDSASPASREGWHGKNSKGSAESLRGLRLTTKLRDLHKFAKVDSESNKELEQWNEMLKNEAVKLCMENNFNTGFFEGSDNNTVVDAYELKVRLEHILERIALISEAANAERPSAVTDTLFIGGALAARSTYTLQHLGITHILCLCTNEIGQSDSQFPDLFTYKNFSVCDKEDSNISSIFEEACDFIDYVEKAGQSVLVHCFEGKSRSATLVLAYLMLRKKFTLLEAWNALKRVHRRSQPNDGFAKILLELDQKLHGKVSMEWQQRKPVMKICPICGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTKEIQKALTALKISRGGSVSPTQRQSHSMVDA >Vigun05g037800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3040864:3041499:1 gene:Vigun05g037800.v1.2 transcript:Vigun05g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSAKCSGGKSDIWPFLHSTCMALIPIRISGSASLVAYRARNPYAHIETTLISEDVIELLPEKCYHGRMFKKRACPIDSLSLKLSMLEKVLKSLLGRKILQGQLFGLLKANIKASAVVRFHIELERDIRNNVTLNRTIPDWRTKPSFERFLFEILARVEENRLKPLLITKVKPFTGSVSVSWANLMSNMSYTKLRPVFLPQEPLTLDVKW >Vigun03g422400.2.v1.2 pep primary_assembly:ASM411807v1:3:62796706:62803067:1 gene:Vigun03g422400.v1.2 transcript:Vigun03g422400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLGQKWKQREFTLASVSDLSVAPPSALPGTARFDSDGLQIHQQSHQIPLSIDPRTVQLFRLSPVHSVCMVEGSEAGKKASYSRGVNIQFRNEEESAAFHCVVQQWKKEINAQGGNGRNESNTTSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVIENRSDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPMLAQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPTGKMFPALGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPSIFHVIDFTKIKEEELYEIDIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYALAQDQQPQPLIPTQSP >Vigun03g422400.1.v1.2 pep primary_assembly:ASM411807v1:3:62796706:62803067:1 gene:Vigun03g422400.v1.2 transcript:Vigun03g422400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLGQKWKQREFTLASVSDLSVAPPSALPGTARFDSDGLQIHQQSHQIPLSIDPRTVQLFRLSPVHSVCMVEGSEAGKKASYSRGVNIQFRNEEESAAFHCVVQQWKKEINAQGGNGRNESNTTSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVIENRSDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPMLAQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPTGKMFPALGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPSIFHVIDFTKIKEEELYEIDIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYALAQDQQPQPLIPTQDLDEPEFVQQPSPNSCVQIDPLMQNI >Vigun03g422400.3.v1.2 pep primary_assembly:ASM411807v1:3:62796706:62802591:1 gene:Vigun03g422400.v1.2 transcript:Vigun03g422400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLGQKWKQREFTLASVSDLSVAPPSALPGTARFDSDGLQIHQQSHQIPLSIDPRTVQLFRLSPVHSVCMVEGSEAGKKASYSRGVNIQFRNEEESAAFHCVVQQWKKEINAQGGNGRNESNTTSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVIENRSDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPMLAQRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPTGKMFPALGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPSIFHVIDFTKIKEEELYEIDIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYALAQDQQPQPLIPTQVWNFYLFPF >Vigun07g168200.1.v1.2 pep primary_assembly:ASM411807v1:7:28200686:28205586:-1 gene:Vigun07g168200.v1.2 transcript:Vigun07g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNRWCSSPWPPLSLPLPWNLKAARTPLSLKLKCGTFSEGSCCLVHNDQDDMQALLQILPSDLHRSLIDQSNRSQLLEVVLDLGRLPEARYLGEHGGHSLRNTEVTVKELEYAEQAIGEFGKDNRAGIEGTLHRISAIRSRNGHIVGLTCRVGRAITGQIDMVYDLLQYGKSILFVGRPGVGKTTVMREIARVLSEELHKRVVVVDTSNEIGGDGNIPHAAIGGARRMQVREPSMQHRVMIEAVENHMPEVIIVDEIGTKAEAHACRSIAERGIILIGTAHGQKLENIIKNPTLSDLIGGIEIVTLGDAEAKARNCPKTVLERKAPPTFDFLIEMRDRHYWLTHQTDKSVDMLLRGKSPQVEVRRKDEKCKAVIEKSKAYDKCL >Vigun05g302250.1.v1.2 pep primary_assembly:ASM411807v1:5:48509845:48510612:-1 gene:Vigun05g302250.v1.2 transcript:Vigun05g302250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFLYQKRRKHGFRKGSRKPSTCFQHDLETPEVTTSNLLYWKFSSLYYILNFESTMCLFPSNKISSYEIQS >Vigun08g214900.2.v1.2 pep primary_assembly:ASM411807v1:8:37529090:37532676:1 gene:Vigun08g214900.v1.2 transcript:Vigun08g214900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAIGSLNVPCSASASSSSNGGRKSVPRSLSFSASQLCGEKISTDSVSVAPRRVRNPVIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRESDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPFIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADKRFLAAKGSVPIGIGKNTHIKRAIIDKNARIGDNVKILNADNIQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Vigun08g214900.1.v1.2 pep primary_assembly:ASM411807v1:8:37529516:37532676:1 gene:Vigun08g214900.v1.2 transcript:Vigun08g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAIGSLNVPCSASASSSSNGGRKSVPRSLSFSASQLCGEKISTDSVSVAPRRVRNPVIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRESDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVTPYNVDTTILGLDDERAKEMPFIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADKRFLAAKGSVPIGIGKNTHIKRAIIDKNARIGDNVKILNADNIQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Vigun03g003200.1.v1.2 pep primary_assembly:ASM411807v1:3:220183:223865:-1 gene:Vigun03g003200.v1.2 transcript:Vigun03g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRKRIKLGLTYSSLRDVSKSVNQKVNVIGVVLETSFAKETTGTDECCILRIIDDTHHDVSMSVNVFSENIQRLPLVAALGDVIQLIDFVVNLHNGEVNIVYKKSSSSFALYKGKDGDDLDPYQVSSRFYLRRKDKMLIDRMRKWLINFKLVEDSSKFPMFREFKEDTYINLACKIIYHNETANDELFIFAWDGSDLQPNVIRSNLEDELKHSLPLQLEHLPLPRGILCTFPTMGSIIRITFEQGIHKSHLHLLCVGQWVKFTNLRLKVDDGLWHGIFTLTTKVRYVQNEDQLILERQRLSDERLSLISQRMHDLSFPQPLSITVNYYNRMTPVTLMSVLTHSEVTAIFKCVVRVVAATPYQAELLCSPTTGRYNMRLTLEDSTARIHAYVSDEDGETLFDGYPGPEKVRMKLKKLLGTSDSDGSNDGVKGALRNPPWVCICLKSYYLSKDDIWGSRNFRVFGTKIMEDSS >Vigun02g145100.1.v1.2 pep primary_assembly:ASM411807v1:2:29334936:29336862:1 gene:Vigun02g145100.v1.2 transcript:Vigun02g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESEIDRLPVDLLANIFVLFSSFTDLAQASEVCKKWKQGVDESLACRENLSFAGWKMDDESTARLVCHAYNLTKLHIPRSRWGCQITDAGLVRISFAKCASNLTSISLWGLTGITDEGVVQLISRTRSLQNLNVGGTFITDESLFAIARSCPKLETIVLWSCRHVTENGLFALVEKCLELKSMNVWGTRVPVDNLNNLVILRPDLKIKV >Vigun03g009000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:631085:631797:1 gene:Vigun03g009000.v1.2 transcript:Vigun03g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPPTLEQVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Vigun09g149200.1.v1.2 pep primary_assembly:ASM411807v1:9:31263432:31267340:1 gene:Vigun09g149200.v1.2 transcript:Vigun09g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVECVSSSDGMDEDEIHTNHHHSEFSSTKPRNGGTNNVNSVGSTALPPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCSEIFPYYSKLKHETVCNYRPYSCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNSDAGVCMPNLCS >Vigun09g149200.2.v1.2 pep primary_assembly:ASM411807v1:9:31263432:31267360:1 gene:Vigun09g149200.v1.2 transcript:Vigun09g149200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVECVSSSDGMDEDEIHTNHHHSEFSSTKPRNGGTNNVNSVGSTALPPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCSEIFPYYSKLKHETVCNYRPYSCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTLSSLAWHLFTWHSFVLWVMRMRLGIIAIA >Vigun04g198100.3.v1.2 pep primary_assembly:ASM411807v1:4:42283435:42287172:1 gene:Vigun04g198100.v1.2 transcript:Vigun04g198100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVGDIIDCIDIYKQPSFDHPLLKGHKLQRKPSFHNVIGESSHKNLRTKSIFGLSKDECPTGTVPILRTTKDDLIREKSMLNNHMLVQDVPGVHLAEVSLKPHFGPYYGVNGINSLYNPRLDAKFQISMSHLWVQNGLIESTNKISLGWHVIPELYGDYASHFYSSWTSDNYKKTGCYNIRCAGFVQTSKEIYLGLRITNVSVYGGPIYASPFSISQDPKTKNWWLSIENKFIGYFPIKLFSNMSSADEVGWGGRTRTRLGTHSPQMGSGHLPNDNIATHACYFRQVTIQDSSRRTRGAKAYETYSFSDNPNCYDVKYYADAVHYFGLALFFGGPGGNCGN >Vigun04g198100.2.v1.2 pep primary_assembly:ASM411807v1:4:42282915:42287172:1 gene:Vigun04g198100.v1.2 transcript:Vigun04g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNHMLVQDVPGVHLAEVSLKPHFGPYYGVNGINSLYNPRLDAKFQISMSHLWVQNGLIESTNKISLGWHVIPELYGDYASHFYSSWTSDNYKKTGCYNIRCAGFVQTSKEIYLGLRITNVSVYGGPIYASPFSISQDPKTKNWWLSIENKFIGYFPIKLFSNMSSADEVGWGGRTRTRLGTHSPQMGSGHLPNDNIATHACYFRQVTIQDSSRRTRGAKAYETYSFSDNPNCYDVKYYADAVHYFGLALFFGGPGGNCGN >Vigun04g198100.1.v1.2 pep primary_assembly:ASM411807v1:4:42285000:42287172:1 gene:Vigun04g198100.v1.2 transcript:Vigun04g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTLFFLLYLLCSHVSLKVDGIQEDLELERQFDLINKPSMKSIHTKVGDIIDCIDIYKQPSFDHPLLKGHKLQRKPSFHNVIGESSHKNLRTKSIFGLSKDECPTGTVPILRTTKDDLIREKSMLNNHMLVQDVPGVHLAEVSLKPHFGPYYGVNGINSLYNPRLDAKFQISMSHLWVQNGLIESTNKISLGWHVIPELYGDYASHFYSSWTSDNYKKTGCYNIRCAGFVQTSKEIYLGLRITNVSVYGGPIYASPFSISQDPKTKNWWLSIENKFIGYFPIKLFSNMSSADEVGWGGRTRTRLGTHSPQMGSGHLPNDNIATHACYFRQVTIQDSSRRTRGAKAYETYSFSDNPNCYDVKYYADAVHYFGLALFFGGPGGNCGN >Vigun01g140800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32167449:32168197:1 gene:Vigun01g140800.v1.2 transcript:Vigun01g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNYPQHQQTTHHDLVGTGRSYECIFCKRGFTTAQALGGHMNIHRKQRANNNNTNIKPSTSSNKVDADLLQHPTQSTALTVTPSHAQLFLQDWRTTNFTFYSNPLSFHEIKNKLEDTQENGLDLELRLGHHP >Vigun09g077600.1.v1.2 pep primary_assembly:ASM411807v1:9:8898818:8906271:-1 gene:Vigun09g077600.v1.2 transcript:Vigun09g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAIDHSGAFRSNAAVLGESERKEKKRSKKRTESGGASQSEVGESGRTSSNCDSLSFRLGNLHKYVQGEHVAAGWPAWLSAVAGEAIHGWVPLCADAFEKLEKIGQGTYSSVFRARELETGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIIKLEGLITSRLSCSIYLVFEYMEHDITGLLSSPDIKFTEPQIKCYMKQLLAGLEHCHLRGVMHRDIKGSNLLVNNEGVLKVADFGLANYVNSGHRQPLTSRVVTLWYRPPELLLGSTDYGPAVDLWSVGCVYAELLVGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKTKLPHATLFKPQQPYDSCLRQSFKDLPATSIHLLQTLLSVEPYKRGTATSALSSEYFKTKPYACDPSSLPIYPPSKEIDAKHREESRKKISGRVRGTETRKPSRKPVGFSKLAPAEELASQTQTSQKVNGRSFRNHEEEKIIIGGKAQKSSSGKAEDASHTKNASQGDIPLSGPLQVSTSSGFAWAKTRKDDASFRSHCRTISRGHIFNPSEPSTLNSRNNLDSTNQENKEFCGGCVNSRGHQLLEISKLSVQNQWSKFDRPDSFDASDEYHSQELSMAIYHREDSASKRNNLSFQDQGERVEFSGPLLSQMHTVDELLERHERHIRRTVRRSWFQRGKKARK >Vigun03g302300.1.v1.2 pep primary_assembly:ASM411807v1:3:49189628:49194132:-1 gene:Vigun03g302300.v1.2 transcript:Vigun03g302300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVWTGRWFWTNLALLHRNGKHVSWSMVCGVMLFGLGLISLLTGHVASDLEWYSHRLHRRTLSTLDGGEDEPIDIWKSQYSKYYYGCKERGRHFGPAVRERKSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVIPELDHQSFWKDDSDFANIFDVNWFITYLAKDITIIKRVPDKIMRSMEKPPYTMRVPRKSEPEYYLDQVLPILLRRRVLQLTKFDYRLANNLDDELQKLRCRVNYHALRFTKPIRQLGQRVVMKMRKMASRYVAVHLRFEPDMLAFSGCYFGGGERERHELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVASGEIYGGDETMQPLKDLFPNIYTKDMLAEEELKPFHPFSSRLAAIDYIVCDESNVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFTSRHEMDWYTFASKVKACQRGFMGEPDEMRAGRGEFHEYPSTCVCEKPFMNDLSQDGTRPPRLAANIVTMKADNVMVAGQERVK >Vigun03g302300.2.v1.2 pep primary_assembly:ASM411807v1:3:49189216:49194031:-1 gene:Vigun03g302300.v1.2 transcript:Vigun03g302300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVWTGRWFWTNLALLHRNGKHVSWSMVCGVMLFGLGLISLLTGHVASDLEWYSHRLHRRTLSTLDGGEDEPIDIWKSQYSKYYYGCKERGRHFGPAVRERKSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVIPELDHQSFWKDDSDFANIFDVNWFITYLAKDITIIKRVPDKIMRSMEKPPYTMRVPRKSEPEYYLDQVLPILLRRRVLQLTKFDYRLANNLDDELQKLRCRVNYHALRFTKPIRQLGQRVVMKMRKMASRYVAVHLRFEPDMLAFSGCYFGGGERERHELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVASGEIYGGDETMQPLKDLFPNIYTKDMLAEEELKPFHPFSSRLAAIDYIVCDESNVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFTSRHEMDWYTFASKVKACQRGFMGEPDEMRAGRGEFHEYPSTCVCEKPFMNDLSQDGTRPPRLAANIVTMKADNVMVAGQERVK >Vigun05g163075.1.v1.2 pep primary_assembly:ASM411807v1:5:26204349:26204779:-1 gene:Vigun05g163075.v1.2 transcript:Vigun05g163075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKFYMIKDIDGKMKILKLGVRVVDHWYVNNHDSNVHFEMILIDQKGDKIHCVVKKEEFDVWDDKLVEGET >Vigun07g021100.1.v1.2 pep primary_assembly:ASM411807v1:7:1791269:1794143:-1 gene:Vigun07g021100.v1.2 transcript:Vigun07g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENENTKQKMFEKFTWTIQDFSKLDYNEYSEEFFLHDHLWRILIYPRGNNVDYLSIYLDGGGNVENLGGAWYKFANFKLTLINQINHKMSRTMETEHLFSAKEIDWGFLQFIPLYELHNPNNGFIVNDTCIVEAEILVSKLKEQNQVDQAHNKTNDKPTKHIDKPLPQETFTTFVELMGLKDIQQDFIPLLEEVCAQHPLLINSQKKRTLMYVEWAFTALGRVLHFLKTKKVKDMNEDACNHLQNLWEELETFKFDLTWLEPHVQSALKMKNYVERSVQVKKKKENICVLEKEIKMLKEKIIETKVNLEITRRELTKISEAFVECDLDDGLGYGGR >Vigun07g021100.2.v1.2 pep primary_assembly:ASM411807v1:7:1791263:1794060:-1 gene:Vigun07g021100.v1.2 transcript:Vigun07g021100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENENTKQKMFEKFTWTIQDFSKLDYNEYSEEFFLHDHLWRILIYPRGNNVDYLSIYLDGGGNVENLGGAWYKFANFKLTLINQINHKMSRTMETEHLFSAKEIDWGFLQFIPLYELHNPNNGFIVNDTCIVEAEILVSKLKEQNQVDQAHNKTNDKPTKHIDKPLPQETFTTFVELMGLKDIQQDFIPLLEEVCAQHPLLINSQKKRTLMYVEWAFTALGRVLHFLKTKKVKDMNEDACNHLQNLWEELETFKFDLTWLEPHVQSALKMKNYVERSVQVKKKKENICVLEKEIKMLKEKIIETKVNLEITRRELTKISEAFVECDLDDGLGYGGR >Vigun03g146700.1.v1.2 pep primary_assembly:ASM411807v1:3:14996863:14999520:-1 gene:Vigun03g146700.v1.2 transcript:Vigun03g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKQNSVKAPIWEPVEPAASTRSKTKSVEYAELSSDKQTFEASPFVIISEVLSVISAFIYMLFSLLVFAVRFLTVYLNATTNWLMETTEKLNAKGKERESHVNRGESNNFLEASFSGKDKEKRNKETNNPNQHGNYKEDQRIDASTIHGCETSNSVEHGYAIDNCFNNYGEGEQDYSYCEINSSSSKDSYNNHDSGLQDLSSAKVWTSTNEDIFNNRSVHSQSFRNAKVGNSKEPKAIRHAYNNGKKGTQKYDGYTCTG >Vigun11g033300.1.v1.2 pep primary_assembly:ASM411807v1:11:4386446:4392080:1 gene:Vigun11g033300.v1.2 transcript:Vigun11g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHCYLKGNADAVEFCPYDLYRNVLAVSTYTLQEGCQPNRHGSISLFNVDEEKGHLDMVFSEETAGIFDIKWSPPGGRLNPFLAQADADGYLRIKMLEGCSNGVEGVNLKEITNEKISNSMCLYMDWNPSATSITVGLSDGSVSIVSVLESKLEIQEQWKAHDFELWTTCFDTHQPNLIYTGSDDCKFSCWDLRDKPPNVVFQSSKVHKMGVTCIEKSPHDPNILLTGSYDEFLRVWDLRSLSKPLNSSSISLGGGVWRVKQHPFIPGLVLAACMHNGFAIVAIKGDSAEVLETYNKHDSLAYGADWQKGEANHFGGKTKPLVATCSFYDKHVRVWRSENYIVS >Vigun04g061000.3.v1.2 pep primary_assembly:ASM411807v1:4:6303778:6308374:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.1.v1.2 pep primary_assembly:ASM411807v1:4:6303819:6308355:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLWLPFLGVAFLIFLFRIKFFVAQRTAQGDRMPLILPAQYECVNKFCYFLIADIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.7.v1.2 pep primary_assembly:ASM411807v1:4:6303812:6308351:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEFIVMGKWLELASLMITSAELIFSKVSEKDIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVREILQMLSVPFKPTR >Vigun04g061000.4.v1.2 pep primary_assembly:ASM411807v1:4:6303793:6308379:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLWLPFLGVAFLIFLFRIKFFVAQRTAQGDRMPLILPAQYECVNKFCYFLIADIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.8.v1.2 pep primary_assembly:ASM411807v1:4:6304355:6308351:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.6.v1.2 pep primary_assembly:ASM411807v1:4:6305225:6308351:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLWLPFLGVAFLIFLFRIKFFVAQRTAQGDRMPLILPAQYECVNKFCYFLIADIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVREILQMLSVPFKPTR >Vigun04g061000.2.v1.2 pep primary_assembly:ASM411807v1:4:6303778:6308378:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEFIVMGKWLELASLMITSAELIFSKVSEKDIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.5.v1.2 pep primary_assembly:ASM411807v1:4:6304355:6308351:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEPQVSRLCMEAQEFIVMGKWLELASLMITSAELIFSKVSEKDIESIFTIICNLVTKTENTDEAMEIVKFITAKIVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVSHPSDRVFDQHEWHALRTKLGSWRGNIANVISTIQANKITEDGSQTAQGLVVR >Vigun04g061000.9.v1.2 pep primary_assembly:ASM411807v1:4:6303812:6308351:1 gene:Vigun04g061000.v1.2 transcript:Vigun04g061000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTLAVDGKVTEYIIPSFKKIDSFLKDWKIGIPEQRELFLIISNILKENKGMSKDAFKFLTNYLATFLGEDVHVLSEAKEVAARAIVEFVRIPDIFQCDFLDLPAVGQLEKDEKYAPLYQLLKIFLTERLDAYIDYYAANSTLLKNYGLVHEECITKMRLVSLVDLSSDGSCQIPYELIRDTLQINDDEVELWVVKAITSKLIDCKMDQMNQVIVVREILQMLSVPFKPTR >Vigun05g002700.2.v1.2 pep primary_assembly:ASM411807v1:5:218425:228594:-1 gene:Vigun05g002700.v1.2 transcript:Vigun05g002700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGIVTQQPTMGSLKRKSPEEPSTSSSRPLHDCVHHVSYPPGYTHPSSPPTQTHAEPAKKFPFTLDPFQSQAITCLENGESVMVSAHTSAGKTVVASYAIAMSLRDGQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYRGSEITREVAWIVFDEVHYMRDRERGVVWEESIVLSPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHVVYTDYRPTPLQHYIFPSGGDGLYLVVDDKGKFREDSFQKSLNALVPTTEGDKRKGNGKRQKGLVLGRAGEESDIFKMVKMIIQRQYDPVILFSFSKRECELLAMQMAKMDLNGDNEKDNIEQIFNSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVQKFDGDKFRWISSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTAKNMVKGAADSLNSAFHLSYNTILNQMRCEDGDPEKLIRNSFFQFQADRAIPDLEKQIKLLEKERESIVIEEENSLKDYFNLLEQHRNLNKEVRDIVLSPRHCLPFLQPGRLVSLDFTSSDEDLTPVFIEDQLTWGLVINFERVKSASDVIIKISDDASVKPEDASYNVDVLTRCVVKKDKIGKKSIKIVPLKEAGEPLVVSVPISQINSISSLRLFIPKDLLPLEARENTLKKVLETLSRFGDKGLPLLDPEEDMKIQSSSYKKASRRIEALESLFEKHEIAKSPLLKQKLKVLQRKHELTAKIKSIKKTLRSSTVLAFKDELKARKRVLRRLGYVTSDNVVELKGKVACEISSADELTLTELMFNGVFKDIKVEEMVSLLSCFVWQEKIQDAAKPREELDLLFMQLQDTARRVAQLQLECKVEIDVESFVKSFRPDIMEAVYSWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLIAAANSIGETQLEAKFEEAVSMIKRDIVFAASLYL >Vigun05g002700.4.v1.2 pep primary_assembly:ASM411807v1:5:218425:228594:-1 gene:Vigun05g002700.v1.2 transcript:Vigun05g002700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGIVTQQPTMGSLKRKSPEEPSTSSSRPLHDCVHHVSYPPGYTHPSSPPTQTHAEPAKKFPFTLDPFQSQAITCLENGESVMVSAHTSAGKTVVASYAIAMSLRDGQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYRGSEITREVAWIVFDEVHYMRDRERGVVWEESIVLSPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHVVYTDYRPTPLQHYIFPSGGDGLYLVVDDKGKFREDSFQKSLNALVPTTEGDKRKGNGKRQKGLVLGRAGEESDIFKMVKMIIQRQYDPVILFSFSKRECELLAMQMAKMDLNGDNEKDNIEQIFNSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVQKFDGDKFRWISSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTAKNMVKGAADSLNSAFHLSYNTILNQMRCEDGDPEKLIRNSFFQFQADRAIPDLEKQIKLLEKERESIVIEEENSLKDYFNLLEQHRNLNKEVRDIVLSPRHCLPFLQPGRLVSLDFTSSDEDLTPVFIEDQLTWGLVINFERVKSASDDDASVKPEDASYNVDVLTRCVVKKDKIGKKSIKIVPLKEAGEPLVVSVPISQINSISSLRLFIPKDLLPLEARENTLKKVLETLSRFGDKGLPLLDPEEDMKICYK >Vigun05g002700.1.v1.2 pep primary_assembly:ASM411807v1:5:218425:228594:-1 gene:Vigun05g002700.v1.2 transcript:Vigun05g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGIVTQQPTMGSLKRKSPEEPSTSSSRPLHDCVHHVSYPPGYTHPSSPPTQTHAEPAKKFPFTLDPFQSQAITCLENGESVMVSAHTSAGKTVVASYAIAMSLRDGQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYRGSEITREVAWIVFDEVHYMRDRERGVVWEESIVLSPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHVVYTDYRPTPLQHYIFPSGGDGLYLVVDDKGKFREDSFQKSLNALVPTTEGDKRKGNGKRQKGLVLGRAGEESDIFKMVKMIIQRQYDPVILFSFSKRECELLAMQMAKMDLNGDNEKDNIEQIFNSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVQKFDGDKFRWISSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTAKNMVKGAADSLNSAFHLSYNTILNQMRCEDGDPEKLIRNSFFQFQADRAIPDLEKQIKLLEKERESIVIEEENSLKDYFNLLEQHRNLNKEVRDIVLSPRHCLPFLQPGRLVSLDFTSSDEDLTPVFIEDQLTWGLVINFERVKSASDDDASVKPEDASYNVDVLTRCVVKKDKIGKKSIKIVPLKEAGEPLVVSVPISQINSISSLRLFIPKDLLPLEARENTLKKVLETLSRFGDKGLPLLDPEEDMKIQSSSYKKASRRIEALESLFEKHEIAKSPLLKQKLKVLQRKHELTAKIKSIKKTLRSSTVLAFKDELKARKRVLRRLGYVTSDNVVELKGKVACEISSADELTLTELMFNGVFKDIKVEEMVSLLSCFVWQEKIQDAAKPREELDLLFMQLQDTARRVAQLQLECKVEIDVESFVKSFRPDIMEAVYSWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLIAAANSIGETQLEAKFEEAVSMIKRDIVFAASLYL >Vigun05g002700.3.v1.2 pep primary_assembly:ASM411807v1:5:218425:228594:-1 gene:Vigun05g002700.v1.2 transcript:Vigun05g002700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEGIVTQQPTMGSLKRKSPEEPSTSSSRPLHDCVHHVSYPPGYTHPSSPPTQTHAEPAKKFPFTLDPFQSQAITCLENGESVMVSAHTSAGKTVVASYAIAMSLRDGQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYRGSEITREVAWIVFDEVHYMRDRERGVVWEESIVLSPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHVVYTDYRPTPLQHYIFPSGGDGLYLVVDDKGKFREDSFQKSLNALVPTTEGDKRKGNGKRQKGLVLGRAGEESDIFKMVKMIIQRQYDPVILFSFSKRECELLAMQMAKMDLNGDNEKDNIEQIFNSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVQKFDGDKFRWISSGEYIQMSGRAGRRGIDERGICILMVDEKMEPSTAKNMVKGAADSLNSAFHLSYNTILNQMRCEDGDPEKLIRNSFFQFQADRAIPDLEKQIKLLEKERESIVIEEENSLKDYFNLLEQHRNLNKEVRDIVLSPRHCLPFLQPGRLVSLDFTSSDEDLTPVFIEDQLTWGLVINFERVKSASDVIIKISDDASVKPEDASYNVDVLTRCVVKKDKIGKKSIKIVPLKEAGEPLVVSVPISQINSISSLRLFIPKDLLPLEARENTLKKVLETLSRFGDKGLPLLDPEEDMKICYK >Vigun03g041900.3.v1.2 pep primary_assembly:ASM411807v1:3:3283658:3286174:-1 gene:Vigun03g041900.v1.2 transcript:Vigun03g041900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLLCVLLVALPNVAVVESRKAPVLDYFEYCALSCRAYSASLEEFGGVGDGTTLNTRAFQAAIDHLSQYASSGGSQLYVPPGRWLTGSFNLTSHFTLFLHKDAVILASQDENDWPVIDPLPSYGRGRDTQGGRFSSLIFGTNLTDVIITGNNGTLDGQGDLWWQKFHKGELNYTRPYLIEIMYSDNVQISNLTLVNSPSWNVHPVYSSNVVVQGITILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAEDIVAINSESGVRIKTAVGRGGYVKDIYVRRMTMKTMKWAFWMTGNYGSHADDKYDPNALPVIQNINYRDMVAENVTMAARLEGISGDPFTGICISNVTIQLAKKAKKVPWTCTDIDGISSDVTPAPCNLLPEQGEEKIGACTFPEESLPIEDVQVQTCTYSRNL >Vigun03g041900.2.v1.2 pep primary_assembly:ASM411807v1:3:3283523:3286396:-1 gene:Vigun03g041900.v1.2 transcript:Vigun03g041900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLLCVLLVALPNVAVVESRKAPVLDYFEYCALSCRAYSASLEEFGGVGDGTTLNTRAFQAAIDHLSQYASSGGSQLYVPPGRWLTGSFNLTSHFTLFLHKDAVILASQDENDWPVIDPLPSYGRGRDTQGGRFSSLIFGTNLTDVIITGNNGTLDGQGDLWWQKFHKGELNYTRPYLIEIMYSDNVQISNLTLVNSPSWNVHPVYSSNVVVQGITILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAEDIVAINSESGVRIKTAVGRGGYVKDIYVRRMTMKTMKWAFWMTGNYGSHADDKYDPNALPVIQNINYRDMVAENVTMAARLEGISGDPFTGICISNVTIQLAKKAKKVPWTCTDIDGISSDVTPAPCNLLPEQGEEKIGACTFPEESLPIEDVQVQTCTYSRNL >Vigun03g041900.1.v1.2 pep primary_assembly:ASM411807v1:3:3283658:3285776:-1 gene:Vigun03g041900.v1.2 transcript:Vigun03g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSCEVWQVMDVLLLLCVLLVALPNVAVVESRKAPVLDYFEYCALSCRAYSASLEEFGGVGDGTTLNTRAFQAAIDHLSQYASSGGSQLYVPPGRWLTGSFNLTSHFTLFLHKDAVILASQDENDWPVIDPLPSYGRGRDTQGGRFSSLIFGTNLTDVIITGNNGTLDGQGDLWWQKFHKGELNYTRPYLIEIMYSDNVQISNLTLVNSPSWNVHPVYSSNVVVQGITILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAEDIVAINSESGVRIKTAVGRGGYVKDIYVRRMTMKTMKWAFWMTGNYGSHADDKYDPNALPVIQNINYRDMVAENVTMAARLEGISGDPFTGICISNVTIQLAKKAKKVPWTCTDIDGISSDVTPAPCNLLPEQGEEKIGACTFPEESLPIEDVQVQTCTYSRNL >Vigun07g229000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35072099:35072957:1 gene:Vigun07g229000.v1.2 transcript:Vigun07g229000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVGSNKALRIIGLAMLLSWAPIVSSFIECSIITQLFSACSLFITHGTPDPIPGSPCCDAMSGVNSIANTADNRQYVCRCLMDLIDNFSSNASAIGILPGLCGISFGFNIDPNADCSL >Vigun07g116500.1.v1.2 pep primary_assembly:ASM411807v1:7:21497244:21499430:-1 gene:Vigun07g116500.v1.2 transcript:Vigun07g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPNQKQRTCDYCGDFTALLYCRADSAKLCFFCDRKVHFQNQLFSKHSRTQLCDGCGDSPASVLCYSENSVFCHHCDFQTHNHHLLSHQHQRKPLQAFSGCPSVTQMLMILGLTQKSLLSTEGASSHHDLHVWNAPSVFGLQDFIASSSYKNGKGACGRQKEEILSQLRELIKLEPDLIHGEVDAEPRQLFENLSTGFERDIETNMFPSYEVQAGVFCWHGESSDRANQIVPCDTSVSDYGEVVSAKDPSFISIPASHTYCNNQEEASNYFKPENLSPTTPKATPCELTSHERDSALLRYREKKKTRRYEKHIRYESRKVRAESRMRIKGRFVKDETQKQ >Vigun07g116500.2.v1.2 pep primary_assembly:ASM411807v1:7:21497244:21499430:-1 gene:Vigun07g116500.v1.2 transcript:Vigun07g116500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPNQKQRTCDYCGDFTALLYCRADSAKLCFFCDRKVHFQNQLFSKHSRTQLCDGCGDSPASVLCYSENSVFCHHCDFQTHNHHLLSHQHQRKPLQAFSGCPSVTQMLMILGLTQKSLLSTEGASSHHDLHVWNAPSVFGLQDFIASSSYKNGKGACGRQKEEILSQLRELIKLEPDLIHGEVDAEPRQLFENLSTGFERDIETNMFPSYEAGVFCWHGESSDRANQIVPCDTSVSDYGEVVSAKDPSFISIPASHTYCNNQEEASNYFKPENLSPTTPKATPCELTSHERDSALLRYREKKKTRRYEKHIRYESRKVRAESRMRIKGRFVKDETQKQ >Vigun09g168100.1.v1.2 pep primary_assembly:ASM411807v1:9:33675004:33677365:-1 gene:Vigun09g168100.v1.2 transcript:Vigun09g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTANMENQKSGSKLTRTHSSLLSCSSPAIRSSIHSLSSIVTKEEHELQEQEKLLLDVDDDKNNKPKKPQPRTRPRAQAHRSSAYSALAVFFLGACSLLFYFFYLEVSSSENVLLALIFVAVALYFVSKNKGLIQRSFSAAKHSWEENVKRLGFSKAVAARPVQWFIGEPAGAGRKAAKIVREGVEFYSNGDFYEGEFHKGRSNGSGVYHYFVNGRYEGDWVDGRYDGYGIESWARGSRYRGQYRQGLRHGYGVYRFYTGDSYSGEWCNGQSHGVGLQTCSDASCYIGQFKYGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGAWHEGRRQGIGSYTFRNGDRRCGEWDAGNLKQPLPPLSDVVLRAVQAARKTAESAINLKRVDDQVNKAVIAASKAATAARVAAVKAVQNRMDGKFCVTDV >Vigun03g084000.1.v1.2 pep primary_assembly:ASM411807v1:3:6976636:6980523:-1 gene:Vigun03g084000.v1.2 transcript:Vigun03g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSQLLVHFGLLLILLRPQPHYLFNGVSAATLGITTDTEALISFKSQLSSHTLIPLSSWNQTSSPCNWTGVQCDNLGQRVTSLKLSGLGLSGQLSPYIGNLSSLHSLQLQNNQLTGPIPHQIGNLLSLRVLNISSNMLEGKLPSNITHLNQLQILALSSNKILSKIPQDIGNLKKLQALKLGRNSLYGAIPASLGNISSLKNISFGTNFLTGGIPSDLGRLHDLIELDLILNHLNGTVPPVIYNLSSLVNYALAGNSLWGEIPQDVGHKLPKLIVFNICFNYFTGRIPGSLHNITNIQVIRMRSNLYEGTLPPGLGNLPFLHMYDISYNRIVSSGVRGLDFITSLTNSTHLKFLAIQGNMLEGEIPETIGNLSNHLTNLYMGTNRFSGSIPTSIGRLSGLRVLNISYNSISGEIPHELSQLVELQELSLAGNEISGVIPDSLDILLKLNKIDLSRNKLVGIIPTGFGNLQSLLSMDLSSNQLNGSIPMEILNLPTLRIVLNLSMNSLSGPIPQIGRLSGVASIDFSRNQLDGGIPSSFSNCLSLQKLFLNRNQLSGSIPNALGEVRALETLDLSTNRLSGSIPVELQKLQVLRLLNLSYNDLEGAIPSGGVFQNLSDVYLEGNENLCMQSPCVNHGEGRNVRRYIIVAIAVALVLCLTIGSILYIKSRKVKVASSSLSPSEQLKPLAPMISYDELRLATEEFNQENTLGVGSFGSVYKGNLSHGTTVAVKVLDTLRTGSLKSFFAECEAMKNSRHRNLVKLITSCSSVDFKNNDFRALVYEYLCNGSLEDWIKGKRKHANGNGLNLMERLNIAIDVACALDYLHNDSEIPVVHCDLKPSNILLDADMTAKVGDFGLARLLVQRSTNQVSISSTHALRGSIGYIPPEYGWGEKASAAGDVYSFGIVLLELFSGKSPTDECFSGGISIRRWMHSALKEKTMEAIDPELVSLILHDDPSEGPNNLQLYCVDAIVGVAISCTADNPDERIGIRDAVSQLKASRYSLLNHSDRTSAAHANSYLNVSIK >Vigun10g106800.1.v1.2 pep primary_assembly:ASM411807v1:10:30555938:30558544:1 gene:Vigun10g106800.v1.2 transcript:Vigun10g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLQLDSTHRIIIALKLSYLLFLLSSIPNALGYTSRAHIFIYAGCSQEKYQPNTPFEANLNSFLSSVSGSSSENSYNSFAIGNGSSSPAEGSIYGLYQCRSDLRPNECSKCVKSCVDQIGLVCPLALGASLQLEGCYIRYEHGDFLGKPDTSLWYKKCSKAVTSDAEFLRRRDDVLSDLQAVNGFGVSSSGFAEGFALCLGDLSVADCSSCLQAAVGKLRSICGSAASADVFLAQCYARYWEAGYYDQAESSNEDDQVGKSVAIMVGVLAGLAIIVVLLSICRRAFGGCNLLVHKVDLSSPSRKGCKIT >Vigun10g106800.2.v1.2 pep primary_assembly:ASM411807v1:10:30555845:30558656:1 gene:Vigun10g106800.v1.2 transcript:Vigun10g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLQLDSTHRIIIALKLSYLLFLLSSIPNALGYTSRAHIFIYAGCSQEKYQPNTPFEANLNSFLSSVSGSSSENSYNSFAIGNGSSSPAEGSIYGLYQCRSDLRPNECSKCVKSCVDQIGLVCPLALGASLQLEGCYIRYEHGDFLGKPDTSLWYKKCSKAVTSDAEFLRRRDDVLSDLQAVNGFGVSSSGFAEGFALCLGDLSVADCSSCLQAAVGKLRSICGSAASADVFLAQCYARYWEAGYYDQAESSNEDDQVGKSVAIMVGVLAGLAIIVVLLSICRRAFG >Vigun10g106800.3.v1.2 pep primary_assembly:ASM411807v1:10:30555835:30558656:1 gene:Vigun10g106800.v1.2 transcript:Vigun10g106800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFITLQLDSTHRIIIALKLSYLLFLLSSIPNALGYTSRAHIFIYAGCSQEKYQPNTPFEANLNSFLSSVSGSSSENSYNSFAIGNGSSSPAEGSIYGLYQCRSDLRPNECSKCVKSCVDQIGLVCPLALGASLQLEGCYIRYEHGDFLGKPDTSLWYKKCSKAVTSDAEFLRRRDDVLSDLQAVNGFGVSSSGFAEGFALCLGDLSVADCSSCLQAAVGKLRSICGSAASADVFLAQCYARYWEAGYYDQAESSNEDDQVGKSVAIMVGVLAGLAIIVVLLSICRRAFG >Vigun03g260900.3.v1.2 pep primary_assembly:ASM411807v1:3:42765813:42767199:1 gene:Vigun03g260900.v1.2 transcript:Vigun03g260900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTPSNSKPSTSIMRTLCDACESAAAIVFCAADEAALCRACDKKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHERYLLFRQRVEVCIPLLANMNWPRFRRVLVMPFV >Vigun03g260900.4.v1.2 pep primary_assembly:ASM411807v1:3:42765664:42769415:1 gene:Vigun03g260900.v1.2 transcript:Vigun03g260900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTPSNSKPSTSIMRTLCDACESAAAIVFCAADEAALCRACDKKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHERYLLFRQRVEFPGDKPGKSENPASQPLDPGETKRGQNALPKIKMGEKQQNHRMPLILTPEPNADEHAMMGTKMIDLNMKPQRIHEPTSNNQECLSILAAINAVATGSYTRYL >Vigun03g260900.1.v1.2 pep primary_assembly:ASM411807v1:3:42765813:42767493:1 gene:Vigun03g260900.v1.2 transcript:Vigun03g260900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTPSNSKPSTSIMRTLCDACESAAAIVFCAADEAALCRACDKKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHERYLLFRQRVEFPGDKPGKSENPASQPLDPGETKRGQNALPKIKMGEKQQNHRMPLILTPEPNADEHAMMGTKMIDLNMKPQRIHEPTSNNQVRMPGK >Vigun03g260900.2.v1.2 pep primary_assembly:ASM411807v1:3:42765664:42770048:1 gene:Vigun03g260900.v1.2 transcript:Vigun03g260900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTPSNSKPSTSIMRTLCDACESAAAIVFCAADEAALCRACDKKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHERYLLFRQRVEFPGDKPGKSENPASQPLDPGETKRGQNALPKIKMGEKQQNHRMPLILTPEPNADEHAMMGTKMIDLNMKPQRIHEPTSNNQP >Vigun01g090300.1.v1.2 pep primary_assembly:ASM411807v1:1:25052760:25058062:1 gene:Vigun01g090300.v1.2 transcript:Vigun01g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSAEKSRRERRKESRLAKNASKHESWLLHRKSRATKKHGSNSAMETKNTPDTSVSPSVKETQAGKLESYSKKHEIDEEHVVAEEEKGGSVAKKMRKVKKGSSKGSRKSMLELGMQDVSIAAEKDLELERKLSKKLKVKEGKLRGMDDGLNILLEGMSSSFDFMGEGEVPGIDEFPVNRLKKSLSSKKDKLSRKRMKQEAMDDVSEHVQTSSEDVELDDVPDSVPSRKKHKKRKSSIEQQEDNEEDDAVGMSKPLESCGMEGKLGNTPAELPEKKAKGKYIAPHLRARAGNEPEEHTQIRRRVRGLLNRLSESNVESVTGELSLIFQTVARSIGSQILTEEVLASCSGGPRGNQQYAATFAAFVAGMACLVGVDFSAKFLASFAKCFEDEYNKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLVMLSKRLTETDVSIILALLQCCGMKIRVDDPAAMKDFILSVQDTSKKLKASSGDDSQKKNSKRMDFMLEIICDIKNNKRKPNEDSAHHTRIKKWLQKLRVDDILIRGLKWSKLLDPDKKGQWWLSGDAASSTVNVEEVANRIDKDVLETQRMLQLAAAQKMNTDARRAIFCIIMSGEDYIDAFEKLLRLELPGKQDRDVMRVLVECCLQEKVFNKYYTVLASKLCEHDKNHKFTLQFCLWDQLKELESMPLMRSMHLAKFVAEMVASFTLSLNVLKTVDLNDITLLTPRRVMHFRILFEAILEYPENLVWNIFTRAAVIPDLESLRQGLEFFIKEYVVKTNRDLTQKFKLAKKALNNVEGVLR >Vigun11g177000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38150021:38150866:-1 gene:Vigun11g177000.v1.2 transcript:Vigun11g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEKKTQNSLHLRSNSLPSAAHPFASQFEDHLRRLSGSEATSSLSSSSVSHKLNEMLDLQDYTDKLLQLPTEQQVLARECNEKWVDFLLEGSLRLLDICGEAKDCLQQSKESMCDLMSVIRRKKGNETGFAVESVKYLSVRKKIKKQIQKALKNLKHKDNNTSPMLSFLNEAEAITMSSLESVLLFISAPKEHSRWSAISKLVQPKRVICDSQESTINEFEKVDAALQCLISHKPSSVENFQSHVENLELCIQDLEIGVDHLSRKLIRNRVSLLNIFNH >Vigun03g181600.1.v1.2 pep primary_assembly:ASM411807v1:3:23244332:23246144:-1 gene:Vigun03g181600.v1.2 transcript:Vigun03g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAKEKGCVTIKNGRKGKNHVANPILVHSQTSPKPSSSSSPSPSSSLSSQMKILCSLPPTLSYFHFSLFMQDPKNDSNSSCRKPWYQRAMEVTSLWKSISKSAEIRAANSTIWKTASMPKSPQVPTPSPNKNKLRKCASLKVATSFTRVCLCAPMYSYNEILRAEAPPRRSNSYPRSKPLQTAHERSPGARLSTEGRRVFRGKSLTDDVLMRRFVIEEEAMMQIRRRNQMEVIRKRSIRRKKLGPSPLSRMVTANDIGQFN >Vigun03g181600.2.v1.2 pep primary_assembly:ASM411807v1:3:23244332:23246144:-1 gene:Vigun03g181600.v1.2 transcript:Vigun03g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAKEKGCVTIKNGRKGKNHVANPILVHSQTSPKPSSSSSPSPSSSLSSQMKILCSLPPTLSYFHFSLFMQDPKNDSNSRKPWYQRAMEVTSLWKSISKSAEIRAANSTIWKTASMPKSPQVPTPSPNKNKLRKCASLKVATSFTRVCLCAPMYSYNEILRAEAPPRRSNSYPRSKPLQTAHERSPGARLSTEGRRVFRGKSLTDDVLMRRFVIEEEAMMQIRRRNQMEVIRKRSIRRKKLGPSPLSRMVTANDIGQFN >Vigun06g066900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19544892:19545653:-1 gene:Vigun06g066900.v1.2 transcript:Vigun06g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPPPPPPPPLATRKQTKQVSPDQIVISKHPIKQHSQEPEAPNHVATKSIRPPPPTLRQPPFQRTNPIIWVAAVLCLIFSLVLIFFGVATLIIFLGIKPTNPTFDIPNANLNSVYFDSPEYFNGDFTLLANITNPNRKIDVRFESLDVELFFSDRIISTQSIQPFTQRRRESRLESLHFISSLVFLPKDLGVNLKGQVQGNMVKYNVRGTFKVRVTMGLFHLSYWFHSRCQIEMTAPPTGVLVARKCITKR >Vigun10g165800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492131:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492100:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492100:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492245:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492245:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492114:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun10g165800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38490375:38492114:1 gene:Vigun10g165800.v1.2 transcript:Vigun10g165800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVFGELGNSAQVDSRLLQVFQKSLLQAQDILNQNRLLINEINQNQESKMPDNLSRNVGLIRELNSNIRRVVDLYADLSNSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >Vigun07g185900.2.v1.2 pep primary_assembly:ASM411807v1:7:30266132:30279089:1 gene:Vigun07g185900.v1.2 transcript:Vigun07g185900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDLNEIPSEEGVQEASEGVQEPSEEGVQEPSEEGVQEPVSERYSRSTHEAESDFIWNHLSEEQKLDASNSFTAYCEPVEIYNTIQSRPRFLQRSLYRNRKEKHKKRILMTVVLSWKVDEIRSLFPMCIGLGRLDPNNEPTGEEKYHIRRIFTFEGPSGVNWDDVKHVRLNFMLPQVEKLAVETNYDKYFLFILASGENPISLSTHNASKLTSEVASNESSGGEKCLYGKVSLKFLYEILNISPDSPLKQRTEIQFSTELRSCNLKYWMQRYTRTESSTISIRDSNDSKGMSKKIEISTGFEEFGAAMEAPHGRTQEKIEESSLSSLLPHFTWLPGIVSFHYRYYHNMLRKVEVTENFTCAICLVQCKNFKSLKFHLKASHPIFNFEFSESKAFPSVSVSVKLNWRQELIDQRLETFMYCVKKPKRRTLKTSSHGRTDAEAIALDVELRIGTENTGCGRPIVVSDNGSNAMQQVEPEEVQNNEQVSNAESDPSQSCVLSVSDHDETRGVQEVDDEETRGVQEVDDEDLSKAIVVYTVSEHDNAARAVQEDEASNAIILDPSLNFVPYVPRDEGTSSAPQAKEKEKLLSERFDPNMLARWKKRKFYHSHTYQAMDLEEVVKDEDSEDEINEGARVVEEKRKQELLGVTEHEKRLMTMWGEFVKKHRVLVDGHMNWAFEAFTKHHCAELVESTPLSWVWRLFMIKLYDHGLLKPKTVGTCGAILHQYREQQNEIQKLKKVQPPETPSNQ >Vigun07g185900.1.v1.2 pep primary_assembly:ASM411807v1:7:30266132:30279089:1 gene:Vigun07g185900.v1.2 transcript:Vigun07g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDLNEIPSEEGVQEASEGVQEPSEEGVQEPSEEGVQEPVSERYSRSTHEAESDFIWNHLSEEQKLDASNSFTAYCEPVEIYNTIQSRPRFLQRSLYRNRKEKHKKRILMTVVLSWKVDEIRSLFPMCIGLGRLDPNNEPTGEEKYHIRRIFTFEGPSGVNWDDVKHVRLNFMLPQVEKLAVETNYDKYFLFILASGENPISLSTHNASKLTSEVASNESSGGEKCLYGKVSLKFLYEILNISPDSPLKQRTEIQFSTELRSCNLKYWMQRYTRTESSTISIRDSNDSKGMSKKIEISTGFEEFGAAMEAPHGRTQEKIEESSLSSLLPHFTWLPGIVSFHYRYYHNMLRKVEVTENFTCAICLVQCKNFKSLKFHLKASHPIFNFEFSESKAFPSVSVSVKLNWRQELIDQRLETFMYCVKKPKRRTLKTSSHGRTDAEAIALDVELRIGTENTGCGRPIVVSDNGSNAMQQVEPEEVQNNEQVSNAESDPSQSCVLSVSDHDETRGVQEVDDEETRGVQEVDDEDLSKAIVVYTGPGCVLAISEHDNWALSVVEDEVSNAIILRTNEECVSPVSEHDNAARAVQEDEASNAIILDPSLNFVPYVPRDEGTSSAPQAKEKEKLLSERFDPNMLARWKKRKFYHSHTYQAMDLEEVVKDEDSEDEINEGARVVEEKRKQELLGVTEHEKRLMTMWGEFVKKHRVLVDGHMNWAFEAFTKHHCAELVESTPLSWVWRLFMIKLYDHGLLKPKTVGTCGAILHQYREQQNEIQKLKKVQPPETPSNQ >Vigun07g185900.3.v1.2 pep primary_assembly:ASM411807v1:7:30266145:30279089:1 gene:Vigun07g185900.v1.2 transcript:Vigun07g185900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDLNEIPSEEGVQEASEGVQEPSEEGVQEPSEEGVQEPVSERYSRSTHEAESDFIWNHLSEEQKLDASNSFTAYCEPVEIYNTIQSRPRFLQRSLYRNRKEKHKKRILMTVVLSWKVDEIRSLFPMCIGLGRLDPNNEPTGEEKYHIRRIFTFEGPSGVNWDDVKHVRLNFMLPQVEKLAVETNYDKYFLFILASGENPISLSTHNASKLTSEVASNESSGGEKCLYGKVSLKFLYEILNISPDSPLKQRTEIQFSTELRSCNLKYWMQRYTRTESSTISIRDSNDSKGMSKKIEISTGFEEFGAAMEAPHGRTQEKIEESSLSSLLPHFTWLPGIVSFHYRYYHNMLRKVEVTENFTCAICLVQCKNFKSLKFHLKASHPIFNFEFSESKAFPSVSVSVKLNWRQELIDQRLETFMYCVKKPKRRTLKTSSHGRTDAEAIALDVELRIGTENTGCGRPIVVSDNGSNAMQQVEPEEVQNNEQVSNAESDPSQSCVLSVSDHDETRGVQEVDDEETRGVQEVDDEDLSKAIVVYTGPGCVLAISEHDNWALSVVEDEVSNAIILRTNEECVSPVSEHDNAARAVQEDEASNAIILDPSLNFVPYVPRDEGTSSAPQAKEKEKLLSERFDPNMLARWKKRKFYHSHTYQAMDLEEVVKDEDSEDEINEGARVVEEKRKQELLGVTEHEKRLMTMWGEFVKKHRVLVDGHMNWAFEAFTKHHCAELVESTPLSWVWRLFMIKLYDHGLLKPKTVGTCGAILHQYREQQNEIQKLKKVQPPETPSNQ >Vigun05g014200.1.v1.2 pep primary_assembly:ASM411807v1:5:1122193:1143357:1 gene:Vigun05g014200.v1.2 transcript:Vigun05g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSPSRPPQQLIGVSRLRSSGVKKLPEPLRRAVADCLSSTLSPSNEPSRTLQDYLKAPAATDLAYNAILEHTIAERERSPAVVTRCVALLKRYLLRYKPSEETLLQIDRFCSTIIAECDINPAQPWSRVLNRQSGVSITSINTSPLPVSTFASEALVKSLSYVRSLVSQHIPKRLFQPASFAGPPSSGQSLPSLSSLLSKSFNSQLTPASIPETQSSTSVQEQLEKDSSSLSISRLSKIEKADEMDELGFIAGDLLKWRWLEEPMSSIGTENDRAANSQDMTSHSFLEIGAAALLVGDIESKMKEQPWKFFGTDDMPYLDQLLQSSPVTPITDSDSARPHLRAITASKRIKPGSRQIWEDFPVTTFRPRTRQLFQYRHYSEQQPLRLNTTEVHDVIAAVCAEVSVPNANVVRASTTLNNNSGKPSTDVAVSVLIKLVIDMYVLNSQTAAPLILSMLEEMLSSPNTACRVRAFDLILNLGVHAHLLEPIVANDASTIEEEYSQESYYDSDTKVMVPGSAKASSQNKSDGGSAIDKFESWILNILYEILLLLVQSEEKDESVWASALSCLLYFVCDRGKIWRNRLVGLDIRVLKALVRISRENSWAELVHCKLISMLTNMFYEVPEVAASVPGKPKFLVDQLDLIGGVQFIFIEYSLASSREERKNLYSVLFDYILHQINETCFASGVNEYSDDEIQPLAALLAQTNAPEAFYISVKLGVEGIGEILRRSISSALSRYPNSERLNMLLEVVAEKFDAVISTFTHLDKEFSHMNQITKSLKFLENMEGVVLRNGIGLQAKHSWSTLHSLLHSERISYRQNGYIWLGDLLISEINGERDGNIWSSITYFQQKIAQAGTQDSFNTSDVPLPILLLCGLLKSKYNYIRWGFLYVLERLLMRCKFLLDEHEMQQSSSRDQGYGKRDWHLEKANAVIDIMSGALSLVFQKNETDRINILKMCDILFSQLCLRVPPAAALPYGDDVHHGRNLNHNNVSKRFDRDNHFARQDTFHWDEHKEEANRRSGYHNNYHLDHETASMAALSQGRAIVPMQLIARVPAAILYWPLIQLAGAATDDIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPVAFQEVGQEQFFRVLLDDTDSRVAYYSSAFLLKRMMTEKPEKYQFMLQNLVVKAQQSNNEKLLENPYLQMCGILQLANDLGIDL >Vigun03g418300.1.v1.2 pep primary_assembly:ASM411807v1:3:62492058:62493126:-1 gene:Vigun03g418300.v1.2 transcript:Vigun03g418300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSFEDETTYPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEAIDEANLGYSYSIVGGAALPDTAEKIAIDTKLSDGPNGGSVVKLSIKYHSKGDAPPNEDELKAGKAKSNALFKVIEAYLLANP >Vigun01g154500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33716327:33717408:-1 gene:Vigun01g154500.v1.2 transcript:Vigun01g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVCISQCLNDARDPRVPVRATYVNLYKWPESDAEFVRSVSCNREKGCHVYGHPRVVDSISCRQMYLRSYTFSREEDNDADKPHKCFGAKKKKRHNNNNNSKPDTGKTKCFVWSKAKEISCSALSRIFRRVLFCSASVDVVHDKY >Vigun01g163200.1.v1.2 pep primary_assembly:ASM411807v1:1:34492921:34497762:-1 gene:Vigun01g163200.v1.2 transcript:Vigun01g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTKKMEEGLNSPLNEDGNKNDLKKQVTIKTGNGGSTVEHHRDTMAKPVAVDEAAEPPVVKKNTKRVATLDAFRGLTIVLMILVDDAGEAYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKKRDAVKKIILRTMKLLFWGIILQGGYSHAPNDLVYGVNMKFIRWCGILQRIALVYCVVALIETFTTKLRPTTLGSGHLSIFTAYKWQWLGGFVAFLIYMITTFTLYVPDWSFVDRFNGDEPKRYTVICGMRGHLGPACNAVGHVDRQVWGVNHLYSQPVWRRLKACTLNSPGDGLFSEDAPSWCRAPFEPEGLLSSISAIISGTLGIHYGHVLIHFKGHLERLKHWVSMGFVLLIIAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSGFYILIDVLGLRTPFLFLEWIGMNAMLVFVMAAQGIFAAFVNGWYYEDPRNSLVHWIKKHVFDNVWHSERVGTIMYVIFAEITFWSVVAGVLHKLGIYWKL >Vigun05g186400.2.v1.2 pep primary_assembly:ASM411807v1:5:36070667:36079697:1 gene:Vigun05g186400.v1.2 transcript:Vigun05g186400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHIPFFSHTLSLAFTSTPKPKLNNNNKNRFSFFTPTLSSTALMATSHKVLVPIADGTEPIEAVIIVDVLRRAGAVVTIASASASLTVLARFDVKIVADAFVSDIVDTSFDLIAIPGGIPGVENLRDCKVLEELVKKHVEEGRLYAAMCAAPAVVLGPWGLVNGLKATCFPALMEKLASYGATTVESRVQVDGKLVTSRAPGTTMEFAVALIEQLMGKAKAEQVAGPLVMH >Vigun05g186400.1.v1.2 pep primary_assembly:ASM411807v1:5:36070696:36072145:1 gene:Vigun05g186400.v1.2 transcript:Vigun05g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHIPFFSHTLSLAFTSTPKPKLNNNNKNRFSFFTPTLSSTALMATSHKVLVPIADGTEPIEAVIIVDVLRRAGAVVTIASASASLTVLARFDVKIVADAFVSDIVDTSFDLIAIPGGIPGVENLRDCKVLEELVKKHVEEGRLYAAMCAAPAVVLGPWGLVNGLKATCFPALMEKLASYGATTVESRVQVDGKLVTSRAPGTTMEFAVALIEQLMGKAKAEQVAGPLVRVSFVP >Vigun07g230200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35225858:35227098:-1 gene:Vigun07g230200.v1.2 transcript:Vigun07g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAATKNIADSASWYCAMMLLGMILLGSVRESAVAGEGEAVKGNNLREGPCDEIYVVGEGETLHTISDKCNDPFIVERNPHIHDPDDVYPGLVIQIISTRQS >Vigun05g121750.1.v1.2 pep primary_assembly:ASM411807v1:5:13420322:13421703:-1 gene:Vigun05g121750.v1.2 transcript:Vigun05g121750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLFSFSPSLCFLSQVVLLFSISEYALSNGASYFPSLKHVRRSLDEKSCMVIVRNSCFSLSNMALSKASLLTHIKVVANRRRRRRPPFCVSTTWKRRITRFNSIGTRYHVKSLHTENTCLVKLVRICT >Vigun09g251400.3.v1.2 pep primary_assembly:ASM411807v1:9:41836618:41843877:1 gene:Vigun09g251400.v1.2 transcript:Vigun09g251400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPDPVAVLRGHRASVTDISFHPFKPILFSGSADGEVRIWDTLQHRTVSSAWLHSAAHGIVAVACVSSLGTNKFASQGRDGTVKIWEFDDAGLSRIPSLTIKTNSYHFCKFSVMKNDSVWSTQGKELEDCYRGEPGGMSDREIPEVKRDEAYTNQSCSKSFGENTCSEGLQYVALSGENSSEVEIWDLKSAQKIVQLASSNPSNSSSVSNRGMCMTLQLFLSSESQGFLNVLAGYEDGSMLWWDIRNPRVPVTSVKFHSEPVMSICIDGSCKGGISGAADDKIIMYSLEHSSGTCVVKKEISLDRPGISGVSIRPDGKIAATAGWDHRVRIYNYRKGNALAILKYHHGTCNAVTYSSDCKLMASASEDTTVGLWELYPPTTS >Vigun09g251400.1.v1.2 pep primary_assembly:ASM411807v1:9:41836618:41843876:1 gene:Vigun09g251400.v1.2 transcript:Vigun09g251400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPDPVAVLRGHRASVTDISFHPFKPILFSGSADGEVRIWDTLQHRTVSSAWLHSAAHGIVAVACVSSLGTNKFASQGRDGTVKIWEFDDAGLSRIPSLTIKTNSYHFCKFSVMKNDSVWSTQGKELEDCYRGEPGGMSDREIPEVKRDEAYTNQSCSKSFGENTCSEGLQYVALSGENSSEVEIWDLKSAQKIVQLASSNPSNSSSVSNRGMCMTLQLFLSSESQGFLNVLAGYEDGSMLWWDIRNPRVPVTSVKFHSEPVMSICIDGSCKGGISGAADDKIIMYSLEHSSGTCVVKKEISLDRPGISGVSIRPDGKIAATAGWDHRVRIYNYRKGNALAILKYHHGTCNAVTYSSDCKLMASASEDTTVGLWELYPPTTS >Vigun06g018000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8315401:8315902:1 gene:Vigun06g018000.v1.2 transcript:Vigun06g018000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPPLLTVQEYVEFNMSGSTGERSFADTITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >Vigun08g206600.2.v1.2 pep primary_assembly:ASM411807v1:8:36977518:36980193:-1 gene:Vigun08g206600.v1.2 transcript:Vigun08g206600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVNPDPSDKDSEPFVETDPTGRYGRYSELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFCDDPTMVDRLYSEVRLLRSLTNKNIIALYSVWRDDQRNTLNFITEVCTSGDLREYRKRHRHVSMKALKKWSKQILKGLNYLHLHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGRSHCAHTILGTPEFMAPELYDEDYTELVDIYSFGMCLLEMVTLEIPYSECDNVAKIYKKVCSGVRPAALNKVKDPEVKAFIEKCLAQPRARPSAAELLKDPFFDEIVDDDENDDCSSSHQ >Vigun08g206600.1.v1.2 pep primary_assembly:ASM411807v1:8:36977592:36980140:-1 gene:Vigun08g206600.v1.2 transcript:Vigun08g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIHYHSSLIVAYSRSSPDLKLFACVFFSVLLMPDKLLDDLCSQFQMPFVNPDPSDKDSEPFVETDPTGRYGRYSELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFCDDPTMVDRLYSEVRLLRSLTNKNIIALYSVWRDDQRNTLNFITEVCTSGDLREYRKRHRHVSMKALKKWSKQILKGLNYLHLHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGRSHCAHTILGTPEFMAPELYDEDYTELVDIYSFGMCLLEMVTLEIPYSECDNVAKIYKKVCSGVRPAALNKVKDPEVKAFIEKCLAQPRARPSAAELLKDPFFDEIVDDDENDDCSSSHQ >Vigun11g133000.1.v1.2 pep primary_assembly:ASM411807v1:11:34188494:34194747:-1 gene:Vigun11g133000.v1.2 transcript:Vigun11g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSKQSDFNRPPQSAASSSAAGGRGRGRGRGRGAHSAPSPPTQTSHPPSYAASPAPPTAAPPRAVAPVPASTGPGPSRPPPVQSSPAPVSGSPAVATTTSPSPAVEAVTSALEKQLSLAPSAPSSSKAVRFPDRPGIGRSGKKIQVRANHFQVQVAMLDIYHYDVAISPEITSKKISRDIINLLVRAHRESILGNRMTAYDGRKSLFTAGPLPFEVKEFKVKLGDNDEPGSSATPTSDRKKREREFRVTIRFASKTDLHHLTQFLARRQLDCPQETIQALDVVLRATPSEKFDVVGRSFFSPALGPKGPLGNGTEYWRGYYQSLRPTQMGLSLNIDVSARAFYEPIPVLDFISKNFRLDLRRPLHDQDRVKIKKALRGVKVQVNHGQNIRRYKVSGLSKEPLRALMFTDDNGTKKSVPQYFQEKYNISLQYTQLSALQAGSDTKPIFLPMELCQIVAGQRYTKKLNEDQVSALLSATRQGPRDREDSIRQIVRQSKFSTDKFAQHFQIKVREDPALLEARVLPPPMLKYHGSGGESQVQPRMGQWNMINKKMIAGGTVEHWTCLNLSGRIHRDLPYQLCQNLAEMCNSKGMRFKESPVVPITSSPSGQIENALMNLHKQCKQANVGLQLLIIILPDIKGSYGKIKRICETELGIVSQCCQPKQVVKMNKQYLENLALKINVKVGGTNTILNDAFANRIPHVSDVPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPFVTRYKGVVSAQKHREEIIQDLFIQDPAGGNAHSGIIRELFRAFRLSTRRKPERIIFYRDGVSEGQFNQVLLYEMDAIRRACNSLEADYLPPVTFVVVQKRHHTRLFPMDHGGRDSTDRSGNIMPGTVVDTTICHPREFDFYLNSHAGIQGTSRPTHYHVLFDENKFTADELQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGDTSDAGSSTGGKSTTASFEVKLPSVKGNVADVMFFC >Vigun01g177400.2.v1.2 pep primary_assembly:ASM411807v1:1:35840801:35842671:1 gene:Vigun01g177400.v1.2 transcript:Vigun01g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGVHLSNCSKPFIKSPYTLPILSFIQHFHCFRCSSLFHQFPLHGFTCQKVLLVFNLPKETSSIKHYRLEGKVAIITGGASGIGAATVKLFLQHGAIVIIADVQDALGHSLCKTFSTNTPIHYLHCDVTSDSDVKNVVEVAITKYGKLDIMFNNAGISGDSNRSIAESDEEDFKRVFDVNVYGAFLGSKHAARFMVPAKRGVILFTSSIASLLGGETAHAYAVSKHAVVGLMKNLCVELGQHGIRVNCVCPGGIPTPMLNKALKMNKKETQELLCKVAVLKGTVLEAEDIAKAALFLCSDEAKFVNGVNLVLDGGYSTTNMSFTSVLDGVMDNYTDNINSNNHA >Vigun11g021500.1.v1.2 pep primary_assembly:ASM411807v1:11:2620687:2624328:1 gene:Vigun11g021500.v1.2 transcript:Vigun11g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQTICFTFLLLLNFRSFITKAEEQTYLGSSCNNTTQQTLSTAYQTNLDSILTWMSSDSATSNGYNITTIGTNNSSVYGLYYCGGGLAGYFCRVCISTAAREAPQLCSNRVSAVVWNDYCLIRYSNENFFGKAMTYPTWHILGTRNISNITEIQIGEDFLRSLIRKATNGTNQLYYKDGFNLSATESRYAVVQCSRDLTNEVCRQCLEDILAELPKCCEQKLAWNIWSGSCLIRYDDYMFYLLNTQPPSAPAPNVQDKQGNDKRSKILLITFSVTGPIIVLCFSVYCFWHRKRVRKERLPLPSFHKIQPEEMWNTDLPRIPLITILESTDNFSEASKLGEGGFGSVYKGTLPDGTQIAVKRLSKFSGQGSEEFKNEVTFIAKLRHRNLVRLLACCSEGYEKILIYEYLPNKSLDFHLFDVERKKQFDWKLRLSIINGIARGMLYLHEDSQLRVIHRDLKASNVLLDHDMNPKISDFGLARAFEVGQNQANTKRVMGTYGYMAPEYAMEGLFSMKSDVFSFGVLVLEIICGRKNGEFYLSDGQSLLVYAWRIWYEGKCLELMDPMLEKSFKGSEVGRCIQIGLLCVQEDANDRPTMSDVVVMLASDTVAIPKPKYPAFSVGRMASKIVSTSRTSENLSIHDITTSITLPR >Vigun10g074032.1.v1.2 pep primary_assembly:ASM411807v1:10:19471405:19471979:-1 gene:Vigun10g074032.v1.2 transcript:Vigun10g074032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFSLSLSNEVPPSSFKVCAVHVYGLLCCCLVHGQDLQVVDGVDLLVTLCSDDGANLVFASTSPVNVVLGVWLQI >Vigun02g118100.1.v1.2 pep primary_assembly:ASM411807v1:2:27090682:27093779:-1 gene:Vigun02g118100.v1.2 transcript:Vigun02g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPRRNSRSPSPWRAQSRSRSRSRSRPRSRSRSRSFEKQRPRSRSRSRGRSRSRSPERTETKNTGNTLYVTGLSSRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFITMDTVEDANRCIKYLNQSVLEGRYITVERSRRKRARTPTPGHYLGLKSTRDYGYRGDRGRYRGGGSGRDDYAYRGERGRSPRRSPYRGGRDYSPRHSPPPYGGRTRRDRSRSLPYSPYGSPDRRYARGSR >Vigun03g161600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17972868:17973556:-1 gene:Vigun03g161600.v1.2 transcript:Vigun03g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASKSGSGLSFSETWVVMAGTAVICGFVGYIVYDAIMATASELVQRLVVISPLLLIIIVHCLSTGTQISFPMPGSEPTAIHRAGGSPWGVAFLLLLLFFLISFQPSLHQLIS >Vigun08g012800.1.v1.2 pep primary_assembly:ASM411807v1:8:1115489:1120908:1 gene:Vigun08g012800.v1.2 transcript:Vigun08g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQGPVVCPSIRAKQVAVCSIPMIGAVKMNDRRIRSEFWGLSGVKAKPGFLSCRINTAKCKTVHCSFNSPSNDSGSTAGNFNENDEDYVTSSVIEAVEVKSGSDGFIIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAIRNVPIARPTLYQVVKEMIDKMGYEVKLVRVTRRVHEAYFAQLYLTKVGNPAECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVVESGKLSTQLPGMDGRLFTEMDRPSGQPCVETTEFNLLNNMLKAVVEERYKDAALFRDKLNQLRAGKNTNNRF >Vigun10g026200.1.v1.2 pep primary_assembly:ASM411807v1:10:3121956:3123986:1 gene:Vigun10g026200.v1.2 transcript:Vigun10g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVDMRTISKGAIILLSIMALLFDTTDSVVLESGENHIKSATFLSENFEVGPGKVVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLSNGIEFERNDGACQGFLLPHYWGLGGESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRDGFRGPTRKLALRYKIKWIDWDEHQVPLKFYILDSTDRVRSNGSTTIHDCQAEYKIPRNHDNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPKQVFEGNLM >Vigun09g030300.2.v1.2 pep primary_assembly:ASM411807v1:9:2503065:2509567:-1 gene:Vigun09g030300.v1.2 transcript:Vigun09g030300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGVCLLQMDKASIIKDAIDYIQHLHEQEKIIQAEIMDLESGMPKKSGSSYDFEQEQLPVVLRSKKKRTEQIYDSVISRNSPIEVLDLSVTYMGEKIAVVSLTCSKRTDTMVKLCEVFESLKLKIITANITSFSGRLLKTVFIEANDEDKYHLEIKIQTAIAALNEPLSPMSI >Vigun09g030300.1.v1.2 pep primary_assembly:ASM411807v1:9:2503065:2509567:-1 gene:Vigun09g030300.v1.2 transcript:Vigun09g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMENIGEEYKHYWETTMFLQTQELDSNWGFEEALSGYYDSSSPDGAASTAASKNIVSERNRRKKLNERLFALRSVVPNISKMDKASIIKDAIDYIQHLHEQEKIIQAEIMDLESGMPKKSGSSYDFEQEQLPVVLRSKKKRTEQIYDSVISRNSPIEVLDLSVTYMGEKIAVVSLTCSKRTDTMVKLCEVFESLKLKIITANITSFSGRLLKTVFIEANDEDKYHLEIKIQTAIAALNEPLSPMSI >Vigun11g196000.3.v1.2 pep primary_assembly:ASM411807v1:11:39552027:39554679:1 gene:Vigun11g196000.v1.2 transcript:Vigun11g196000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCDSPGCSSIGYGEAEELGPFFPQDSFHPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTSSDLDELGDAITAKDSHTFIVKWFRRFPQFRSHEFYIAGESYGGHYVPQLSELIFDHNRNRAKKDYINFRGFMIGNAALDYETDQKGLVEYAWDHAVISDELYHNITTRCNFKLQNQTDECIDDLSNFSVAYDVIDMYSLYTPTCLNSISSNTAPVVRGTTSETFSKINKWHRKAEGYDPCASDYTGFYLNRPEVQRALHANVTKLSYPWTHCSSLMGPWKDSPLSILPVIKKLVAGGLRIWVYSGDTDGAVPVTGTRYTLKKLGLKIVEDWTPWYTSKQVGGWRTIYDGLTFVTIRGAGHQVPTYTPKPALQLLRHFLANKKLPSHPI >Vigun11g196000.2.v1.2 pep primary_assembly:ASM411807v1:11:39551949:39554778:1 gene:Vigun11g196000.v1.2 transcript:Vigun11g196000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPLISNILILLLLFFTKEALAVSKLSVTDYDNYLSREIVAEREADRVYGLPGQPPVKFKQYAGYITVNETHGRALFYWFFEATHKPEEKPVLLWLNGGPGCSSIGYGEAEELGPFFPQDSFHPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTSSDLDELGDAITAKDSHTFIVKWFRRFPQFRSHEFYIAGESYGGHYVPQLSELIFDHNRNRAKKDYINFRGFMIGNAALDYETDQKGLVEYAWDHAVISDELYHNITTRCNFKLQNQTDECIDDLSNFSVAYDVIDMYSLYTPTCLNSISSNTAPVVRGTTSETFSKINKWHRKAEGYDPCASDYTGFYLNRPEVQRALHANVTKLSYPWTHCSLMGPWKDSPLSILPVIKKLVAGGLRIWVYSGDTDGAVPVTGTRYTLKKLGLKIVEDWTPWYTSKQVGGWRTIYDGLTFVTIRGAGHQVPTYTPKPALQLLRHFLANKKLPSHPI >Vigun11g196000.1.v1.2 pep primary_assembly:ASM411807v1:11:39551949:39554778:1 gene:Vigun11g196000.v1.2 transcript:Vigun11g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPLISNILILLLLFFTKEALAVSKLSVTDYDNYLSREIVAEREADRVYGLPGQPPVKFKQYAGYITVNETHGRALFYWFFEATHKPEEKPVLLWLNGGPGCSSIGYGEAEELGPFFPQDSFHPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTSSDLDELGDAITAKDSHTFIVKWFRRFPQFRSHEFYIAGESYGGHYVPQLSELIFDHNRNRAKKDYINFRGFMIGNAALDYETDQKGLVEYAWDHAVISDELYHNITTRCNFKLQNQTDECIDDLSNFSVAYDVIDMYSLYTPTCLNSISSNTAPVVRGTTSETFSKINKWHRKAEGYDPCASDYTGFYLNRPEVQRALHANVTKLSYPWTHCSSLMGPWKDSPLSILPVIKKLVAGGLRIWVYSGDTDGAVPVTGTRYTLKKLGLKIVEDWTPWYTSKQVGGWRTIYDGLTFVTIRGAGHQVPTYTPKPALQLLRHFLANKKLPSHPI >Vigun03g431900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63601740:63603313:1 gene:Vigun03g431900.v1.2 transcript:Vigun03g431900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFMLIGLWHLFNHIKLHALNPKSYKGPSWFPSSRFRYLELVLIMVGCTASVSMELFIGPDRHQPLDPDGTIPSNHLHNFEHSSISITFFLYAASSIILDRSQAQAQFVLTQFLGAVAFAQQLLLFHLHSADHMGPEGQYHLLLQLLVFVSLSTTIIGIGFPESFIVNFVRSVSILFQGLWLIVMGFMLWTPSLIPKGCYMNDEEGHMVVRCSSHEALHRANSLVNIEFSWFIIGVTVFSVSLYLVLVKVYGEKVQYFSLGIEDEESKYDVESQKSVAFDNNSKSFIHLGKVFPQNHMER >Vigun10g080025.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21940948:21941907:1 gene:Vigun10g080025.v1.2 transcript:Vigun10g080025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASKVLQGSSTSSRTSHTGFGSYASKPYCRAATVIGVVITIPFRLVLYYSELCWVVMMNLECFIVLFVVYANSGGVCICVGGMALMIHGGIGLGLCVVDIVRTTLADLFNLSSPSQRCYFPSFVVMFPCVCFFNLILLCVVHHEFLMPMFLEPCEKWKGGLLPATWLPYLLSTIDTIFPLIYAIFSLLLFF >Vigun07g073600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9377666:9378537:1 gene:Vigun07g073600.v1.2 transcript:Vigun07g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSFVGKIQKGVSQIVPGKPALNYINENQFENAGSVPEDVSKGYFAVIAIKDGEIKRFVVELDYLTNPAFLGLLDQAGEEYGFKQQGTLAVPCRPKELQKILDGWRVRPDSIKGAGRDLYVPKFL >Vigun03g064200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5266329:5267456:1 gene:Vigun03g064200.v1.2 transcript:Vigun03g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKMFFFLLLLAHLQPEALVKGDVTLIRKTCKNTNYFDLCFSSLRSDPSSSDADPKGLAMIMVGIGMANATSTSLYLSSQTQLLGTANDSTKRVVKECAEKYSYATNALQACAQDLANGAYDYASMHIAAASDYPNVCHNMFKRYQGLVYPPELARREDGLKRICDVALGIVQNLDC >Vigun09g215700.1.v1.2 pep primary_assembly:ASM411807v1:9:39014938:39020701:1 gene:Vigun09g215700.v1.2 transcript:Vigun09g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGSSKGGTKAGMTAAMMDIDDDVSDDDLGDLDPTNAEILEEFGGEDAFRYHCKKFSMLFFEEYGLISHQINSYNHYVTVGLQRTFDGFGDLVVTPGFDPSKKGDNEHYRYASVKFGKVKLDKPMCWGGELNNQELKMLPRHARLQRMTYASKMKISVKVQVYVPKKIRSDKFKTGKEEFLDKEILKEDEKEIIIGKLPVMVKSDLCWMKGEKDDCEFDHGGYFLIKGAEKTFIAQEQLYLKRLWVINSPGWMIAYKSQMKRNRMVIKLVGNSRTEEGENGDMFLNVYFLSVEVPVWVLFFALGVQSDKEVVDLIGCDNDDVRIQNILFASVRDADEKCGSAFGRGRNAVQYLEKCIKSVQFPPPESIEECLEIYLFPGISGLKRKARFLAYMVKGLLLAYTGRRKCDNRDDFRNKRLELANELLDRELKVHIAHVRKRMSKALQRDLYGDRDVRPIEHYVDASIISNGLQRAFSTGAWSHPYKRMERISGVVATVGRTNPLQTMAELRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNMAVTGLVSTDVSNASESILPTLLDCGMEELVNDTETHLGNKEKIFLNGDWVGVCPDSSWFVAELRSKRRKNELPHQVEIKRDQSQHEVRIYSDAGRILRPLLVVSNLLKIKRFKSERYSFLTLLEEGVVELIGPEEEEDCCTAWGVQYLFGKEGKRSVKYTHCELDMSFLLGLSCSLVPFANHDHARRVLYQSQKHSSQAIGFSTLNPNIRVDTLSHRLHYPQRPLFQTMTSDCLGKPTPLGQSKIHPKPEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHIRSYKSEIDNKDSSDKRRKPEDIINFGKLQSKIGRVDSLDDDGFPYVGANLQSGDIIIGRCAESGADNSVKLKHTERGYVQKVLLSSNDEGKNFAAVSLRQVRSPVLGDKFSSMHGQKGVLGFLECQENFPFTRQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGTLRHATPFSTPSVDAITDELHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICTQCKNVSNVILRPVSGGRKVRGPYCRHCESADDIVVAHVPYGAKLLCQELFSMGINLKFDTQLC >Vigun03g113700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10432660:10433238:1 gene:Vigun03g113700.v1.2 transcript:Vigun03g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQVVVIKRRDLMNKNYLSKSNISGRLIPKRGQVKLGIMVGLFHSVSSVFSAITTRCVLHLS >Vigun01g085800.3.v1.2 pep primary_assembly:ASM411807v1:1:24202349:24215304:1 gene:Vigun01g085800.v1.2 transcript:Vigun01g085800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKNRPPRLTHLETSITRHKAHIKKCEFILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYSSLPLDKVETLEDLVTIPTGLSKVAPSLGVKNSSAVSTSQSASASASQTSEQADDTASQDSNSDNVAKTPPPKSGGIGSTASTPTGNHTSPISVNVSSHTLSSPPAVAAIPSSNSVRNVLESSNVTNSSSVNQSTSTKEEDINSFPSRRPSPSLSDATLLRGRNSLSNQATASIPLGSANIVPSNGALGSVPSASEIAKRNMLAADDRLGSSAMVQPLVSPLSNRLILPQAAKANDGTVSVDSGAVNDAAAAVSGRVFSPSVVPGMQWRPGSPFQNQNDAGQLRGRTEIAPDQREKFLQKYQQVQQGHGTLLNMPSLVGGNHKQFSAQQQNPLLQQFNSHGSSVSSQSGIGLGVQSTSLSGISSASLQQPPNSVHSPSSQQSLMPGVSKDSDGGNSKGEEQQQHQNFTDEPTTESTASTAIGKNLINEDDSKSAYAVDSPVGVSASLSESAQTSRDIDLSPGQPLQSNQPTGNLGVIGRRNGAEHGAIGDSFSASSVSSGGVRDQLYNLQMLEAAHFKIPLPKDSERPRTYTPKHPTITPPSYPQVQSPIVNNPAFWERVGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNYLEDDLLV >Vigun01g085800.2.v1.2 pep primary_assembly:ASM411807v1:1:24202347:24215611:1 gene:Vigun01g085800.v1.2 transcript:Vigun01g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKNRPPRLTHLETSITRHKAHIKKCEFILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYSSLPLDKVETLEDLVTIPTGLSKVAPSLGVKNSSAVSTSQSASASASQTSEQADDTASQDSNSDNVAKTPPPKSGGIGSTASTPTGNHTSPISVNVSSHTLSSPPAVAAIPSSNSVRNVLESSNVTNSSSVNQSTSTKEEDINSFPSRRPSPSLSDATLLRGRNSLSNQATASIPLGSANIVPSNGALGSVPSASEIAKRNMLAADDRLGSSAMVQPLVSPLSNRLILPQAAKANDGTVSVDSGAVNDAAAAVSGRVFSPSVVPGMQWRPGSPFQNQNDAGQLRGRTEIAPDQREKFLQKYQQVQQGHGTLLNMPSLVGGNHKQFSAQQQNPLLQQFNSHGSSVSSQSGIGLGVQSTSLSGISSASLQQPPNSVHSPSSQQSLMPDGGNSKGEEQQQHQNFTDEPTTESTASTAIGKNLINEDDSKSAYAVDSPVGVSASLSESAQTSRDIDLSPGQPLQSNQPTGNLGVIGRRNGAEHGAIGDSFSASSVSSGGVRDQLYNLQMLEAAHFKIPLPKDSERPRTYTPKHPTITPPSYPQVQSPIVNNPAFWERVGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNYLEDDLLV >Vigun01g085800.1.v1.2 pep primary_assembly:ASM411807v1:1:24202342:24215611:1 gene:Vigun01g085800.v1.2 transcript:Vigun01g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKNRPPRLTHLETSITRHKAHIKKCEFILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYSSLPLDKVETLEDLVTIPTGLSKVAPSLGVKNSSAVSTSQSASASASQTSEQADDTASQDSNSDNVAKTPPPKSGGIGSTASTPTGNHTSPISVNVSSHTLSSPPAVAAIPSSNSVRNVLESSNVTNSSSVNQSTSTKEEDINSFPSRRPSPSLSDATLLRGRNSLSNQATASIPLGSANIVPSNGALGSVPSASEIAKRNMLAADDRLGSSAMVQPLVSPLSNRLILPQAAKANDGTVSVDSGAVNDAAAAVSGRVFSPSVVPGMQWRPGSPFQNQNDAGQLRGRTEIAPDQREKFLQKYQQVQQGHGTLLNMPSLVGGNHKQFSAQQQNPLLQQFNSHGSSVSSQSGIGLGVQSTSLSGISSASLQQPPNSVHSPSSQQSLMPGVSKDSDGGNSKGEEQQQHQNFTDEPTTESTASTAIGKNLINEDDSKSAYAVDSPVGVSASLSESAQTSRDIDLSPGQPLQSNQPTGNLGVIGRRNGAEHGAIGDSFSASSVSSGGVRDQLYNLQMLEAAHFKIPLPKDSERPRTYTPKHPTITPPSYPQVQSPIVNNPAFWERVGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNYLEDDLLV >Vigun01g085800.4.v1.2 pep primary_assembly:ASM411807v1:1:24202349:24215304:1 gene:Vigun01g085800.v1.2 transcript:Vigun01g085800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKNRPPRLTHLETSITRHKAHIKKCEFILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYSSLPLDKVETLEDLVTIPTGLSKVAPSLGVKNSSAVSTSQSASASASQTSEQADDTASQDSNSDNVAKTPPPKSGGIGSTASTPTGNHTSPISVNVSSHTLSSPPAVAAIPSSNSVRNVLESSNVTNSSSVNQSTSTKEEDINSFPSRRPSPSLSDATLLRGRNSLSNQATASIPLGSANIVPSNGALGSVPSASEIAKRNMLAADDRLGSSAMVQPLVSPLSNRLILPQAAKANDGTVSVDSGAVNDAAAAVSGRVFSPSVVPGMQWRPGSPFQNQNDAGQLRGRTEIAPDQREKFLQKYQQVQQGHGTLLNMPSLVGGNHKQFSAQQQNPLLQQFNSHGSSVSSQSGIGLGVQSTSLSGISSASLQQPPNSVHSPSSQQSLMPGVSKDSDGGNSKGEEQQQHQNFTDEPTTESTASTAIGKNLINEDDSKSAYAVDSPVGVSASLSESAQTSRDIDLSPGQPLQSNQPTGNLGVIGRRNGAEHGAIGDSFSASSVSSGGVRDQLYNLQMLEAAHFKIPLPKDSERPRTYTPKHPTITPPSYPQVQSPIVNNPAFWERVGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDMQHGWCQRIKTEFTFEYNYLEDDLLV >Vigun08g076350.1.v1.2 pep primary_assembly:ASM411807v1:8:13669724:13670397:1 gene:Vigun08g076350.v1.2 transcript:Vigun08g076350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYFIIFFFKCIITQYYSFLSQDTTTIKKRHGHSINAKLPSKREELMVSGQMFNIQFPPPYFKLSPLQVKTWKEISNDDLTIMWKHMNVSITLLM >Vigun09g073500.1.v1.2 pep primary_assembly:ASM411807v1:9:8138476:8147574:-1 gene:Vigun09g073500.v1.2 transcript:Vigun09g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTVNLIHPKRVALIWLVCAFCFFTLFRMALHNSSQTFSSASSDSQNSYTEQRSKLYDKMGRDLDEHGAAFLKHGETSQSLSLSDIFTLKDGYVTPVLKPANPPVRANVLYLSTEFSLPIAEAVKKILIHTLIKQSGFRTPVCTILACSMPPTILFLYSRPKKRYKIEAEASSVKAVVSKICPLKIVLDRVVLTSTGVLLGCWQVISGTDPVIIRSMLKNALPRAPEKQLYDAAILHTSFARLLGPPTVSSPTEQLNTLDDIHFFHELVNRLNSQIRGFQAVVSELWYVEEYDVLALALNGRMNPRKFKFGCSRT >Vigun09g073500.2.v1.2 pep primary_assembly:ASM411807v1:9:8138476:8147574:-1 gene:Vigun09g073500.v1.2 transcript:Vigun09g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTVNLIHPKRVALIWLVCAFCFFTLFRMALHNSSQTFSSASSDSQNSYTEQRSKLYDKMGRDLDEHGAAFLKHGETSQSLSLSDIFTLKDGYVTPVLKPANPPVRANVLYLSTEFSLPIAEAVKKILIHTLIKNSSLYHFSMFHASHHIVPVLATKEEIEAEASSVKAVVSKICPLKIVLDRVVLTSTGVLLGCWQVISGTDPVIIRSMLKNALPRAPEKQLYDAAILHTSFARLLGPPTVSSPTEQLNTLDDIHFFHELVNRLNSQIRGFQAVVSELWYVEEYDVLALALNGRMNPRKFKFGCSRT >Vigun07g108850.1.v1.2 pep primary_assembly:ASM411807v1:7:20144478:20144673:1 gene:Vigun07g108850.v1.2 transcript:Vigun07g108850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEERFCLLLFIETCLDEGPYLMLVKMHQES >Vigun07g119301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22129435:22129827:1 gene:Vigun07g119301.v1.2 transcript:Vigun07g119301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDTFDVVVHHSGIFIQNRGLHYMNGKKSTWPCDPDRWSYFEVVNAIKEIGYVNIKGMWYVVERMFHLLSDDNGAINMVNVARRYGEVHLFVVHGVDEAEIVYGVDEEEVGNNVDEDKILYLCDAPTLA >Vigun09g179100.1.v1.2 pep primary_assembly:ASM411807v1:9:35143070:35145334:-1 gene:Vigun09g179100.v1.2 transcript:Vigun09g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRVVCLTSANQLSVQTYSHSHSHPIALSSLSQSINFPNRPSFHLPPLSLTTTKQTPNFTLTCVSTSQQQPQPVTEEEFSRTRLLAQNVPWTSTPEDIRSLFEKHGKVLEVELSMYKKNRNRGLAFVEMGSPEEALEALNKLESYEFEGRVMKVNYARPKKKKTPPPVKPNPGVTFNLFVGNLSYLAKSKDLKEFFDSGTNKVVRAEVVFLDNPRKPSGYGFVSYKSKKEAEAALAEFQGKEFMGRAIRVDRGRRFVQKPGDGNSKSVVDTPSELSVNGEEAEQPAEGTPNSEDTPELSVNEAEADKAD >Vigun05g103700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10347464:10352108:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRSEDAIKVLDMMEQRGEEPNTLTYNAVVNGLCKERRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.3.v1.2 pep primary_assembly:ASM411807v1:5:10347495:10352093:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10347492:10352109:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRSEDAIKVLDMMEQRGEEPNTLTYNAVVNGLCKERRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10347485:10352108:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRSEDAIKVLDMMEQRGEEPNTLTYNAVVNGLCKERRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.4.v1.2 pep primary_assembly:ASM411807v1:5:10347495:10352093:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10347415:10352133:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRSEDAIKVLDMMEQRGEEPNTLTYNAVVNGLCKERRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun05g103700.7.v1.2 pep primary_assembly:ASM411807v1:5:10347495:10352093:1 gene:Vigun05g103700.v1.2 transcript:Vigun05g103700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNPRLLPTSSSTTVYGLHKSASASASTSHTPHSLSDAVSLFHRTINGPNSPPSEPACNSLIDNLCKARQHDVVVSVYRKMAFARISPSFISLAALSESFVNTRHPNFAVGVLGLMIKHGFWVGVYNINLVLKGFSQSGQCDKAMDFFSQMKKNSDHVAPNIVTYNTLITGLCKAKRLVEARALFEEMKVGENKPDSVTYNVLIDYFCKNGEACEGLILLEEMEREGLKADAFLYSSLISYFCGQGDVERARELFDDMLRNRVSPCVVTYSSLMHGLSKIGRWREASDMLKDMTARGLRPDVVTYSVLVDGLCKNGRVEDAFRIVEMMAKKGKKPDVVTYNTLMKGLCGAGKVDEAMDLLKVLMSDKFHMKPNVRTFNYLIQGLCNKGRLPDAVRVHSTMVEMGFLGNIVTYTILIEGYLSVRKLIKALELWKCAVDSGFSPNAITYKVLIIGLCKMQMLSIAKGLFIKMKDYGIKPTVVYYNALMASLCREDSLEQARSLFQEMRNLNHDVDVVSFNIIINGALRAGDTKHAKELLSEMLNMDLIPNVVTFSILINSFSKLGLLDEAMSLYEKMVSSGLVPDVCVFVTLLKGCGSKGDTKKFISLLHQMADKNVVLNSTLTSTILECLCLMPSDLDVEKILPKFSQHSELTSKGTTNKCDEFLMKLNDVHPELKLFVAQ >Vigun03g399600.1.v1.2 pep primary_assembly:ASM411807v1:3:60606500:60610929:1 gene:Vigun03g399600.v1.2 transcript:Vigun03g399600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLRQHAMMQPSLYHHPALLTPPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTEPLLQELFSAAGALEGCKLIRKEKSSYGFVDYFDRSSAAFAIVTLNGRNIFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLYACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGASASDEKQSSDSKSVVELTNGTSEEGLEITTDDAPEKNPQYTTVYVGNLAPEVTSVDLHHHFHSLGAGTIEDVRVQRDKGFGFVRYSTHAEAALAIQMGNARILFGKPIKCSWGSKPTPPGTASTPLPPPTSANVPGFSLASLAAYERQMALSKMGGAHALLHQQGQHALKQVAMGMGAPGAGYDARFQNVATTQHLMYYQ >Vigun09g172369.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34250753:34251187:1 gene:Vigun09g172369.v1.2 transcript:Vigun09g172369.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRSLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFRVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFRF >Vigun04g031300.1.v1.2 pep primary_assembly:ASM411807v1:4:2505219:2511205:1 gene:Vigun04g031300.v1.2 transcript:Vigun04g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPSILFRTLATRRATSYVVHNRLLHGSVTAAALGHDHRRRFPYLLAPFAAASLGVAGALLSQEVLAKERPPPEALPRDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPLSKKEIKWSEYQKVPILMVDGEQLTDSSAIIDKLGLKILSKKIPDSTSEDEETKWRQWVDNHLVHVLSPNIYRNTTEALESFEYITSNGNFSYIEKISVKFAGAAAMYFVSKNLKKKYNITDERAALYEAAETWVEALNGREFLGGSKPNLADLAVFGVLRPIRYLTSGKDMVEHTRIGEWYARMESAVGEPSKIKP >Vigun11g017951.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2247169:2251087:-1 gene:Vigun11g017951.v1.2 transcript:Vigun11g017951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMVTGALVSAFVERTIDTLASRFVDIFRGRKHNKKQLSDLKMKLLAIDVVAFDAEQKQFTNPRVRDWLLRAKDAVLDAEDLLDEIECELPKSQVEAESQSAAKKVWNSVRSFVGFFENKIDSRMEQVIENLEHLATQSDLLGLKKGSGVGVGSGSGSKLTYTSLPNETVIYGRDDDREFIFNWFTSDTNKSLSILSIVGMGGLGKTSLAQHVFNDPRIEENFDIKAWVSVPQEFDVFNVSRAILGGVATLTDDSTNLEMVHRKLKENLTGKKFLLVLDDNWNENQSKWEQVQKALGFGATGSRLLVTTRSEKVAVTMRSEKHLLQVIKEDDCWELFEKHAFQSVQPDPDFMEIGKKIVEKCEGLPVALKTMGSLLRNKSSLCEWENIMKSEIWDFSENESNILPALKLSYLHLPSHLKKCFASCALFPKGCVFDKEGLIQLWMAENFLESLPQTKSSKEVGEQYFNDLLSWSFFQQSNKEEKNCFIMHDLLIDLAKYVCGDICIRLEVDEPQELPKRTRHISFTTDLLQNFDGFENLIDTQKLHTFVQTSWRKYPPTFVSSWYCKMSIDDFFSKFKYIRVLSLYDFLNLNEVPKSIGNLKHLRSLDLSYTRIENLPDSVGLLYKLQILKLNNCERLKELPSCLLQLNKLCFLELLNTKVKNVHILGNLKNLQVLMNLFCVDIHEELSIQQLGQINIHGSLTISGLQNIENPSHASQACLENKPHLVELVLDWNWSNNSFADSSDSSSVIENLQPSKHLKKLSIRSHVGEQFPNWLLNNSLPNLVSLVLELCYSCKRLPPLGLLPFLKDLKIKSLYGIVSIDADFHGSNSSSFKSLETLHFSDMGEWEKWECKAVTDAFPRLQHLYIHHCPKLKGQLPELLVPLENLHIEDCKELEAFAPRAVDLKLEYSEKVLFDWATVKSLKLAGYNIEASFMEMVTDIVPHNSIQHLQINDFDHHPCPNVSDFVSLWTFPLHFFPTLRTLTLLRLNNLQKISQNHIHNHLEGLTICCCPKIESISENWDMLKSLLIQDCPRLEPFTEGGLPSNLKKMTLSKCSTLVDSLKGALGDNPSLKILRVDSLDDECFPRKDWLPLSLTDLTIYNFPNLEKLDFRLLNQLSSLKILTLVNCPKLLPLPDEVFLNQYQILF >Vigun11g017951.2.v1.2 pep primary_assembly:ASM411807v1:11:2247170:2251089:-1 gene:Vigun11g017951.v1.2 transcript:Vigun11g017951.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMVTGALVSAFVERTIDTLASRFVDIFRGRKHNKKQLSDLKMKLLAIDVVAFDAEQKQFTNPRVRDWLLRAKDAVLDAEDLLDEIECELPKSQVEAESQSAAKKEFDVFNVSRAILGGVATLTDDSTNLEMVHRKLKENLTGKKFLLVLDDNWNENQSKWEQVQKALGFGATGSRLLVTTRSEKVAVTMRSEKHLLQVIKEDDCWELFEKHAFQSVQPDPDFMEIGKKIVEKCEGLPVALKTMGSLLRNKSSLCEWENIMKSEIWDFSENESNILPALKLSYLHLPSHLKKCFASCALFPKGCVFDKEGLIQLWMAENFLESLPQTKSSKEVGEQYFNDLLSWSFFQQSNKEEKNCFIMHDLLIDLAKYVCGDICIRLEVDEPQELPKRTRHISFTTDLLQNFDGFENLIDTQKLHTFVQTSWRKYPPTFVSSWYCKMSIDDFFSKFKYIRVLSLYDFLNLNEVPKSIGNLKHLRSLDLSYTRIENLPDSVGLLYKLQILKLNNCERLKELPSCLLQLNKLCFLELLNTKVKNVHILGNLKNLQVLMNLFCVDIHEELSIQQLGQINIHGSLTISGLQNIENPSHASQACLENKPHLVELVLDWNWSNNSFADSSDSSSVIENLQPSKHLKKLSIRSHVGEQFPNWLLNNSLPNLVSLVLELCYSCKRLPPLGLLPFLKDLKIKSLYGIVSIDADFHGSNSSSFKSLETLHFSDMGEWEKWECKAVTDAFPRLQHLYIHHCPKLKGQLPELLVPLENLHIEDCKELEAFAPRAVDLKLEYSEKVLFDWATVKSLKLAGYNIEASFMEMVTDIVPHNSIQHLQINDFDHHPCPNVSDFVSLWTFPLHFFPTLRTLTLLRLNNLQKISQNHIHNHLEGLTICCCPKIESISENWDMLKSLLIQDCPRLEPFTEGGLPSNLKKMTLSKCSTLVDSLKGALGDNPSLKILRVDSLDDECFPRKDWLPLSLTDLTIYNFPNLEKLDFRLLNQLSSLKILTLVNCPKLLPLPDEVFLNQYQILF >VigunL024735.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:64233:64765:-1 gene:VigunL024735.v1.2 transcript:VigunL024735.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRSK >Vigun05g095700.1.v1.2 pep primary_assembly:ASM411807v1:5:9281064:9281472:1 gene:Vigun05g095700.v1.2 transcript:Vigun05g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLIGIMCIAFIVIASGPRECEGRIYVNPIKVCLGSCEGDCNNECTKSGYKGGSCTVESRFMYPMCCCV >Vigun07g114000.1.v1.2 pep primary_assembly:ASM411807v1:7:21103400:21105601:-1 gene:Vigun07g114000.v1.2 transcript:Vigun07g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMKGSQEISKTPSSSKRTLSSQGSVDIYAAQCKNCLKWRVIDTQEEFEEIRSKATEEPFVCSRKDNCSCDEPADIEYDSTRTWVIDKPNLPKTPQGFKRSLVLRKDYSKLDAYYITPSGKKLRTRNEIGAFLKDNPEFKGVTVADFDFSSPKIMQDTIPEIVEQKDSANKKAKIAKGDV >Vigun02g004000.3.v1.2 pep primary_assembly:ASM411807v1:2:2075059:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDLEAGAAAVLLLQIGKREGEIEDEPQESAKKQKTDEVAEEQRNKDEIVSDNSFSDSDPDMGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >Vigun02g004000.1.v1.2 pep primary_assembly:ASM411807v1:2:2075020:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDLEAGAAAVLLLQIGKREGEIEDEPQESAKKQKTDEVAEEQRNKDEIVSDNSFSDSDPDMGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >Vigun02g004000.6.v1.2 pep primary_assembly:ASM411807v1:2:2075059:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >Vigun02g004000.2.v1.2 pep primary_assembly:ASM411807v1:2:2075020:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDLEAGAAAVLLLQIGKREGEIEDEPQESAKKQKTDEVAEEQRNKDEIVSDNSFSDSDPDMGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >Vigun02g004000.5.v1.2 pep primary_assembly:ASM411807v1:2:2075059:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >Vigun02g004000.4.v1.2 pep primary_assembly:ASM411807v1:2:2075059:2094171:-1 gene:Vigun02g004000.v1.2 transcript:Vigun02g004000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDLEAGAAAVLLLQIGKREGEIEDEPQESAKKQKTDEVAEEQRNKDEIVSDNSFSDSDPDMGHMDIHDGYNSEESDEATQEEMDALPVMSSENSVEGIEEEDSKTPRDARMLSTMNEQYAGSRTICTKNLSYSVERTDLEDLFKDCGEIVDIRLHLDSEGRFRGFGHIEFASAEAAQKALRLNYTELLRCRMRIRLAPQRHKYTSNRSLHVNPSNSIIQRTEKTQPMKGSEPSLDYEQEAQSKMHSTLEAQKATSETIYVANLSYSAEQTDMEFLFKECGEIVDIRLHTDDKGLFKGYAHVKFATAEAAQKAVELNGTIHLGRCLRVEIAHEKGEYGSNKSNWSKSILKSEISQSTESSKSLQHHDEEIEGKASGFPCESSTRLPTLEEQNGPSKTINVRNLSYSVERADMVYFFGKCGKIVDVRLHMDHKGRFNGFGQVKFATAEAAIKAVELDNTELLQRHIRVDLAEEKGQHAHNRSNWSSMFQNCERDQSPIIYVRGFNRSLPVEEIKASLEKHFGSCGEIVRISIPKCRESGDVKGFAHLEFNHVACVNKALHLDESEFGGYRLTVEKEKPRRENRGIRGGRGGRGGGRREFGGRDGSGYSSKVDWGRSSGRGWHSLHFSDESTGRKNQFQ >VigunL045700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:474923:476245:-1 gene:VigunL045700.v1.2 transcript:VigunL045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDAALRAIRRSEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFMPVRNVNYSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASQNLIDLFLPFLHAEEDNFHLEKNQDKVTLPLFTFHDILVKDKLRKNKKEIALKSILLTN >Vigun11g120600.1.v1.2 pep primary_assembly:ASM411807v1:11:32685458:32691569:-1 gene:Vigun11g120600.v1.2 transcript:Vigun11g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHSLPKLYLLFSLSILFLSPFQAQAATKVTYCDKKANYPVKVSGVDISPDPVRSGQPATFKIYATSGKPILGGDVEIGVSYVGVPVHTENIDLCKEVKCPVSNGNFVISHTQTLPIITPPGPYALKMTLKNDRDELLTCIKFNFKIVIGSLVSDM >Vigun07g131700.1.v1.2 pep primary_assembly:ASM411807v1:7:24121906:24123754:-1 gene:Vigun07g131700.v1.2 transcript:Vigun07g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASSSSSSSITSCRNNPSNSTASSLTHQHSQDLRTDLRLGLSISASQHVASSSSGGHWQPMQPHLSSFSQATEVNDCSDHTSFFVKVYMEGIPIGRKLNLLAHDGYHELVKTLEQMFDTTILWGTEMDGVQQERCHVLTYEDGEGDLIMVGDVPWEMFLSAVKRLKITRVETFG >Vigun01g025400.1.v1.2 pep primary_assembly:ASM411807v1:1:2901732:2910268:1 gene:Vigun01g025400.v1.2 transcript:Vigun01g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNSWRFVLLLALVLLLTWLHLPSLPNSKFHSRWYIPKNTFYSSSPTYHHLESETPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAVVNRVSHVFTVDLEIGHVTSHETGLVSGYPMVTEEDLYGVREATLRCPEFNNSLAKRGLNLDDLVCSSLSSGGYEKLEEEENRRLMKVTCYSKEGIVNFYMKPIEGFTALVDMDSKEVLAVSDDGKNIPVANASNTDYRYSIQKLRGEMRLLNPISLEQPKGPSFSVDGHMVKWANWEFHLRPDPRAGIIISQVKVRDPDTSMLRNVMYKGFVSELFVPYMDPTEGWYFRTYIDAGEYGLQSMPLIFFQQFNPLNDCPRNAYYMDGVFASYDGTPYLQPNMICIFESYAGDIAWRHAEATVASLNVSETRPKVTLVVRMAAMLQNYDYIMDWEFQTDGLIRAKVGLSGILMVKGTTYENMDQVPEKEYLYGTLLSENLIGVIHDHFITYYLDMDVDGSDNSFGKVNLKRQETAPGESPRKSYLKAVRKVAKTEKDAQIRLQLYEPYEFHVVNPLKKTKVGNPVGYKLVPGATVGSLLDPEDLPQKRAAFTNNQIWVTPYNKSEQWAGGLLAYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNSILAVPPNFEEDLPASEAHDSA >Vigun04g003800.1.v1.2 pep primary_assembly:ASM411807v1:4:253794:259606:-1 gene:Vigun04g003800.v1.2 transcript:Vigun04g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKRKGEEVLASVHSTVFKESESLDGKCAKIEGYDFNRGVNYPLLLRSMATTGFQASNLGDAIQVVNQMLDWRLVDEAVTEDCSDHERDLEYRKCVTCKVFLGFTSNLISSGVRDIVRFLLQHRMVDVVVTTTGGIEEDLIKCLAPTFIGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQNTENVLWTPSKLIARLGKEINNESSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRNPGLILDVVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRVKPHH >Vigun05g120900.1.v1.2 pep primary_assembly:ASM411807v1:5:13273383:13274804:1 gene:Vigun05g120900.v1.2 transcript:Vigun05g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGSGSGSGSGSSRWSPTTEQLMILEELYRSGIRTPSASQIQQITTHLSFYGRIEGKNVFYWFQNHKARDRQKLRRKLTKQLQLQQQQQLHHCHLNPDITNHYFAAAAAAYSPCSSTPDFPFYNPPTFLFQGAGGSVNTSEQALNCAWREGVTFYNNGWSTPMNVNNQASSSSCCTSSSRPLKTLDLFPLTTTRTDEDCTTPPPK >Vigun07g017800.2.v1.2 pep primary_assembly:ASM411807v1:7:1469656:1473922:-1 gene:Vigun07g017800.v1.2 transcript:Vigun07g017800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTAYLKEHEGIVHNSVGQLSSSATSAPWWNGSGSQPVYGDYCAQNKPFSLEFSNYVDPYAAGKQVVRGAEPVIDRGHATQFSIFPDECKMLGEAQSSQANLALQPPLAEPTNNFDIGFNQQPMMCAPYPCTDQYCGLVSAYAPQISGRIMLPHNMASDDAPTYVNAKQYHGIIRRRQSRAKAVLENKFIKRRKPYMHESRHLHAMRRPRGNGGRFLNTRNSNNGNNGGNDADNEANKMGGLQFLSSASQSSEMLQSDVGINSPMETDDCSPNMSSSEVNSMYSREGFEGFPVNALGASVYPLGSSVRSIKNMIGSGNGVSMPNRWA >Vigun07g017800.4.v1.2 pep primary_assembly:ASM411807v1:7:1469686:1473909:-1 gene:Vigun07g017800.v1.2 transcript:Vigun07g017800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTAYLKEHEGIVHNSVGQLSSSATSAPWWNGSGSQPVYGDYCAQNKPFSLEFSNYVDPYAAGKQVVRGAEPVIDRGHATQFSIFPDECKMLGEAQSSQANLALQPPLAEPTNNFDIGFNQQPMMCAPYPCTDQYCGLVSAYAPQISGRIMLPHNMASDDAPTYVNAKQYHGIIRRRQSRAKAVLENKFIKRRKPYMHESRHLHAMRRPRGNGGRFLNTRNSNNGNNGGNDADNEANKMGGLQFLSSASQSSEMLQSDVGINSPMETDDCSPNMSSSEVNSMYSREGFEGFPVNALGASVYPLGSSVRSIKNMIGSGNGVSMPNRWA >Vigun07g017800.1.v1.2 pep primary_assembly:ASM411807v1:7:1469656:1474168:-1 gene:Vigun07g017800.v1.2 transcript:Vigun07g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTAYLKEHEGIVHNSVGQLSSSATSAPWWNGSGSQPVYGDYCAQNKPFSLEFSNYVDPYAAGKQVVRGAEPVIDRGHATQFSIFPDECKMLGEAQSSQANLALQPPLAEPTNNFDIGFNQQPMMCAPYPCTDQYCGLVSAYAPQISGRIMLPHNMASDDAPTYVNAKQYHGIIRRRQSRAKAVLENKFIKRRKPYMHESRHLHAMRRPRGNGGRFLNTRNSNNGNNGGNDADNEANKMGGLQFLSSASQSSEMLQSDVGINSPMETDDCSPNMSSSEVNSMYSREGFEGFPVNALGASVYPLGSSVRSIKNMIGSGNGVSMPNRWA >Vigun07g017800.3.v1.2 pep primary_assembly:ASM411807v1:7:1469656:1473922:-1 gene:Vigun07g017800.v1.2 transcript:Vigun07g017800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTAYLKEHEGIVHNSVGQLSSSATSAPWWNGSGSQPVYGDYCAQNKPFSLEFSNYVDPYAAGKQVVRGAEPVIDRGHATQFSIFPDECKMLGEAQSSQANLALQPPLAEPTNNFDIGFNQQPMMCAPYPCTDQYCGLVSAYAPQISGRIMLPHNMASDDAPTYVNAKQYHGIIRRRQSRAKAVLENKFIKRRKPYMHESRHLHAMRRPRGNGGRFLNTRNSNNGNNGGNDADNEANKMGGLQFLSSASQSSEMLQSDVGINSPMETDDCSPNMSSSEVNSMYSREGFEGFPVNALGASVYPLGSSVRSIKNMIGSGNGVSMPNRWA >Vigun11g159500.1.v1.2 pep primary_assembly:ASM411807v1:11:36708770:36710993:1 gene:Vigun11g159500.v1.2 transcript:Vigun11g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFSKVPKICDFRSLLVGQLTRTTKLIEHGSAPLPFVESTSLKTTSCWRQYHDGRPRGPLWRGKKLIGKEALFVILGLKRFKDDEDKLQKFMKSHVLRLLKMDMIAVLTELERQEQVSLALKMFKVMQKQDWYKPDTFLYKDLIIALARSKKMDEVLQLWESMRKENLFPDSQTYTEVIRGFLNYGSPADAMNIYEDMKNSPDPPDELPFRILLKGLLPHPLLRNKVKQDFEEIFPDSNIYDPPQEIFGAR >Vigun07g093500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14997817:14998906:-1 gene:Vigun07g093500.v1.2 transcript:Vigun07g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWGLIHSAHPVVTDKEFVMLLPDMVRAGYLVVRMGPGNNERRVIGLQNTTGWKFIWNNRCNIGPK >Vigun02g123500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27563866:27564647:-1 gene:Vigun02g123500.v1.2 transcript:Vigun02g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGQCMPRLKSNSCKNKAPSPMTLLERFREAVFRLMMLSALSKANTNHRGSGDGERQHRRCSPHDPHHSEAVADCIEFIKKKAATDTC >Vigun05g039900.1.v1.2 pep primary_assembly:ASM411807v1:5:3222044:3227014:-1 gene:Vigun05g039900.v1.2 transcript:Vigun05g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSHMRFTFECRSDPDFSPPPSFDNLRRRNIRSSAGSGAALHGVSSLILRFPPNFQRQLSTKARRNCSNIGVAQIVAASWSNNNASNPAAGAPAPPAVSAADAATVPLPVDIAADEDVVVSANDAVAAAADENGAVQLNNSSYSSFLKSDASKTIHAAERLGRGIVTDGITTPVVNTSAYFFKKTADLIDFKENRQVSYEYGRYGNPTTVVLEEKISALEGAESTVIMASGMCASVVLFMSLIPAGGHLVTTTDCYRKTRIFIETFLPKMGITTTVIDPADVGALETALEQHNVSLFFTESPTNPFLRCVDIKLVSELCHKKGTLLCIDGTFATPLNQKALALGADLILHSLTKYMGGHHDVLGGCISGSTKVISQIRTFHHVLGGTLNPNAAYLLIRGMKTLHLRVQQQNSTGMGMAKILEAHPKVKRVYYPGLPSHPEHELAKRQMTGFGGVVSFEIDGDLHTTIKFVDSLKIPYIAASFGGCESIVDQPAILSYWDLPQSERAKYKIHDNLVRFSFGVEDFEDLKADVLQALEAI >Vigun03g246500.1.v1.2 pep primary_assembly:ASM411807v1:3:41009395:41014747:1 gene:Vigun03g246500.v1.2 transcript:Vigun03g246500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNRTIYSIDTFAFTFTLEAEFQRPKPWLGFKHNPAKMAARYTILRNTARTLFQHHQSPLQHSLSAFPLIRTLHSLVAPHSSTTQFRIPYSGTFRGMVSAPNRNIHEAPSVTLDNRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSASEEIIMVNNGCLCCTVRGDLVKMLLELVRKKRDKFDHIVIETTGLAKPAPVIETFCSDELVSQHVKLDGVVTLVDCKHAMKHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTESELNILTNKIKHINGIAQITQAKFGSVDIDFVLGVGGYDLERIESEVPGKCPSSSSHRNDHGHEHEGHHHHHHDHVHDSAVSSVSIVAEGALDLDEVDDWLERVIEEKGEDLYRMKGVLSVDGSDQRYVFQGVHSMLDGCPGKTWEPNEKRINKLVFIGRNLDETALRKGFRGCIV >Vigun03g246500.3.v1.2 pep primary_assembly:ASM411807v1:3:41009395:41014747:1 gene:Vigun03g246500.v1.2 transcript:Vigun03g246500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNRTIYSIDTFAFTFTLEAEFQRPKPWLGFKHNPAKMAARYTILRNTARTLFQHHQSPLQHSLSAFPLIRTLHSLVAPHSSTTQFRIPYSGTFRGMVSAPNRNIHEAPSVTLDNRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSASEEIIMVNNGCLCCTVRGDLVKMLLELVRKKRDKFDHIVIETTGLAKPAPVIETFCSDELVSQHVKLDGVVTLVDCKHAMKHLNEVKPRFVVNEAVEQVAYADRIILNKHINGIAQITQAKFGSVDIDFVLGVGGYDLERIESEVPGKCPSSSSHRNDHGHEHEGHHHHHHDHVHDSAVSSVSIVAEGALDLDEVDDWLERVIEEKGEDLYRMKGVLSVDGSDQRYVFQGVHSMLDGCPGKTWEPNEKRINKLVFIGRNLDETALRKGFRGCIV >Vigun03g373900.1.v1.2 pep primary_assembly:ASM411807v1:3:57655917:57659988:-1 gene:Vigun03g373900.v1.2 transcript:Vigun03g373900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFFRLAVFLFFFLGTVHSTVLGRENAGIVNGKKSLISFMSSIVSDPQNALDSWKSPGVHVCDWSGVRCNNASDMIVEVDLSGRSLGGTISPALANISSLQILDLSGNFLVGQIPKEIGHLVHLRQLSLSGNFLEGRIPSEFGSLHNLDYLNLGSNHLEGEIPSSLFCNSTSLGYVDLSNNSLVGQIPLNKDCILKELKFLLLWSNKLVGKVPLALSNSTKLKWLDLGLNMLSGELPSEIVSNWPQLQFLYLSYNNFTSHDGNTNLEPFFASLVNLSHFQELELAGNNLGGKLPHSIGDLPTSLQQLHLEKNLIYGPIPPQIANLVNLNFLKMSSNLLNGSIPPSLGHMNRLERIYLSNNSLSGEIPSTLGGIQHLGLLDLSRNKLSGPIPDSFANLPQLRRLLLYDNQLSGTIPPSLGKCVNLEILDLSHNNITGLIPAEVAALSSLKLYLNLSNNNLHGSLPLKLSKMDMVLAIDLSMNNLSGSIPPQLESCIALEYLNLSGNSFEGPLPYSLGQLLYIRALDVSSNQLTGAIPQSMQLSPSLKELNFSFNRFSGKVSNKGAFSNLTIDSFLGNGALCGPFKGMQQCHKKCSYHLVFLLIPVSLFGTPLLCILFRYPIVMKSRVKKRISIVSRGDFEDVEEGAKEVKYPRITYEQLKEATGGFSATSLIGSGRFGQVYEGMLQDNTRVAVKVLDTTHGEISRSFRRECQILKKIRHRNLIRIITICCRPEFNALVFPLMPNGSLESHLYPSQRLNVVQLVRICSDVAEGMAYLHHYSPVKVVHCDLKPSNILLDQDMTALVTDFGISRLVQSDENTSTTTSSFSSTHALLCGSVGYIAPEYGMGKDASSEGDVYSFGVLVLEMVSGRRPTEVVSHEGSSLSEWLKKLYTQPHHLQSFVQQTLQRCYPFGVPNPQNKVWSDVILELIELGLICTQHNPSTRPSMHDIAQEMERLKDYLAKPTFTRHSSQSQH >Vigun05g223800.1.v1.2 pep primary_assembly:ASM411807v1:5:41618210:41634425:1 gene:Vigun05g223800.v1.2 transcript:Vigun05g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVPCMPLQYIMERLPSSEKTVVCGGKSGNGFNSKLFKFAGNERRKMKARKSELGLDRVSKRSSNDVENGGEVEKQQEKVQKEEVEEGELGTLKWPRTDLENGEFVPEMPPPPPLRKGEIENGEIVSEKWKGRELEKGEIASGKWRKEDVERGEIVPEKGGRKGEAERGEYGSWRGVNDEIEKGEFIPDRWYKGDYDNSRIRRYHSGRDKGWKIERERESTPSSGRYSGDDFFRKKDLNIRGSQHPKSSPRWEGGQQRNVRISSKIVDDEKNVHSNGKDHARDYTSGSRLKRLGNDSDSYERKHSADYAGLKSRRLSDDSCRQVYSENYSRRSVERSYRTNNATKLSADKYSSRNHESSLSIRQVYDRHGRSPGHSERSPRDRGRYYDHRDRTPVRRSPCGRDRSPYNREKSPHGRERSPYPYNREKSPHGRERSPYTRNWDRSRQPDHKLRSPTRSEQSPPDRSRRHDPKDSTTNLSEASPLDQARKDGRRDSGCKTLPSEKHDSQNCCKDHEDKQTQRDSNCSSTESQNEKSVQESIKSVEKDICNQPLNEHRSCSPTLTHKESPQSEPPPEEMPSMEEDMDICDTPPHVPVVTDLSSGKWYYLDYGGVENGPAKLCDIKVLVEEGVLMSDHFIKHIDSDRWLTVENAASPLAPPNFPSIVSDSITQLVNPPEAPGNILSDTADIFQSAHENHQEMLTSSPPPLVCPIDSLRSSELLEDFHIDERVKHLLKGYDVTPEMEPEAIKEVLLMNFENARGEGSRDYEGFPWNASCLGEDYDSSTDLASRDSESQLSMSSDKDNGLGFGISSDWFSTRWSCKGGDWKRNDDSMDRYSRKKLVLNNGFSLCQMPKSGCEDPRWPQKDELYFPSQNKRLDLPLWAFCADERDESSAAGRSVQSKPVSVRGVKGNVLSVVRINACVVKDQGSLVSESRHKTRGKERHHSRSNRPFSATSDSKRSSTEQDSQFKAFSDQGSYRIMEFLNTPKDHLCTIHELQLHLGDWYYYDGSGRERGPSSFSELQYLVDQGIIKKHSSVFRKSDKLWVPITSATETSDGSFPIQQESSSISGFSSKQTQACGEPYTNSSLFNSLHPQFVGYTRGKLHELVMKSYKSREFAAAINEVLDPWINARQPKKEIEKQLYWKSEGDAHAAKRARLLVDDSEDDSDLEDGDFTIEKDESSFEDLCGDATFPEDEISVTDSQMGSWDNLDGHVLARIFHFLKSDLKSLVFASLTCKRWRASVRFYKEVSMQVNLSFLGHSCTDAMLWNILNGYEKEKINSIILRGCVNITAEMLEKVLLSFPGLFTVDIRGCNQFGELTLKFANVKWIKSRSSHLTKISEDPHKIRSLKHITELTSSVSKSSSIGIDDFGQLKDYFDSVDKRDTKQLFRQNLYKRSKLYDARKSSSILSRDARTRRWAIKKTESGYKRMEEFLASRLREIMKTNSCDFFVPKVAEIEAKMKSGYYSSRGLNSVKDDISRMCRDAIKVKNRGDASYMNHIITLFIQLATRLEESSKSVHDRNLLKSWDNDLPAVSCSTLSKYKKNRLVNERKYRSNGTHGLDNVEYTSDREIKRRLSKLNKKSMDSESETSDDDLDMSYEDGKSDSDTTTSDTESEREVHSESLIRDSRGEGYLTSEEELDFITDDREWGARMTKASLVPPVTRKYKVIDQYCIVADEEDVRRKMRVSLPDDYAEKLSAQKNGTEESDMELPEVKDYKPRKQLGYEVIEQEVYGIDPFTHNLLLDSMPEELDWALPEKHLFIEDTLLRTLNKQGRNFTGTGSTPMSYPLRPVVEDIKRQAEEDCDARMVKMCQGILKAMDSRPEDKYVAYRKGLGVVCNKEEGFAEDDFVVEFLGEVYPVWKWFEKQDGIRSLQKDSKDPAPEFYNIYLERPKGDADGYDLVVVDAMHMANYASRICHSCRPNCEAKVTAVDGQYQIGIYSVRKIQNGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKDSHGILDRHYLMLEACELNSVSEEDYNDLGRAGLGSCLLGGLPDWLVAYAARLVRFINFERTKLPEEILKHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPRKAPPPLEKLSPEATVSFLWKGEGSFVEELLKCIAPHIEEEILKDLKLKIHAHDPSHSVDIQKELRKSLLWLRDEVRNLPCTYKCRHDAAADLIHIYAYTKYFFRIQNYQAITSPPVYISPLDLGPKYTNKSGAEFQEYRKIYGENYCLGQLIFWHNQSNADPDRSLARASRGCLSLPDTSSFYAKAQKPSRHCVYGPRTVRSMLARMEKQPQRSWPKDRIWSFKSFPKFFGSPMLDAVVNNSALDREMVHWLKHRPAIFQAMWDR >Vigun09g084700.2.v1.2 pep primary_assembly:ASM411807v1:9:10756713:10760358:-1 gene:Vigun09g084700.v1.2 transcript:Vigun09g084700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPSAMISNGKTRISTPTSTHQGDAGKPEIHVHPQISGWFSEYSLLWPGQAHFLKVEEIYFQGKSEYQDMLVFQSSSYGKVFVLDGALQLTEKDECAYQEMMTHLPLCSIPNPKKVLLIGGGDGGILREISRHSSVEQIDICEIDTMLIDVYKEFFPDVAVGYKDPRVKLHIIDGTLFLNSVPRGTYDAIIVDAFDPIRPDHELFESEFLQLVAMALRPGGVLCIQAESIWFQSLDIEELLTKSHQTFKGSSNYAWTTVPAYPSGVIGFLLCSTEGPYVDFRNPINPIDPENHGISNQPLKFYNSEVHSAAFCLPSFAKRFSNAKATKWP >Vigun11g205800.1.v1.2 pep primary_assembly:ASM411807v1:11:40256388:40258805:-1 gene:Vigun11g205800.v1.2 transcript:Vigun11g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKVSNISSGATEQDLREFLTFPGKIEHVEMQSDKGSSKVAYVTFSTPEGAETAVLLSGAVVCGQSLNIELANDYPLPSSTESPIGNAESGESGMRKAEDVVSTMLAKGFILGKDALYRAKSFDERHRLTSTASAKVASLDQKVGFTEKISAGTVIVNDKVKEMDEKFQVSEKTKTAISAAEQSVSSAGSAIMKNRYVLTGATWVTGAYNRVAKKAEEVGQKTKEKVLAQNNQGTTMSEEPTTPDQTSKQATTVNEPSKPEPQQATTVDQPSKSETQQVTTVNEPSKPEPQVVTTVNEPSKPEAQQATKDGQSASPAKSTA >Vigun05g010200.3.v1.2 pep primary_assembly:ASM411807v1:5:830476:834513:1 gene:Vigun05g010200.v1.2 transcript:Vigun05g010200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASILSLSLTSLSLPNSQSLDPKKISDSSSSAGRINSRTESCSCVPSFERRKMLLSSVAIVAGALCSTSVRQIALAAEFVDMPALRGKDYGKTKMRYPDYTETESGLQYKDLRPGNGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFRFKIGYNEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDFNKGAPRPTTFSIIPN >Vigun05g010200.1.v1.2 pep primary_assembly:ASM411807v1:5:830476:834513:1 gene:Vigun05g010200.v1.2 transcript:Vigun05g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASILSLSLTSLSLPNSQSLDPKKISDSSSSAGRINSRTESCSCVPSFERRKMLLSSVAIVAGALCSTSVRQIALAAEFVDMPALRGKDYGKTKMRYPDYTETESGLQYKDLRPGNGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFRFKIGYNEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDFNKGAPRPTTFSGQRALAFVLRNQGLIDKTLLFDIELLKIIPN >Vigun05g010200.4.v1.2 pep primary_assembly:ASM411807v1:5:830476:834513:1 gene:Vigun05g010200.v1.2 transcript:Vigun05g010200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASILSLSLTSLSLPNSQSLDPKKISDSSSSAGRINSRTESCSCVPSFERRKMLLSSVAIVAGALCSTSVRQIALAAEFVDMPALRGKDYGKTKMRYPDYTETESGLQYKDLRPGNGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFRFKIGYNETARCSLAV >Vigun05g010200.2.v1.2 pep primary_assembly:ASM411807v1:5:830476:834513:1 gene:Vigun05g010200.v1.2 transcript:Vigun05g010200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASILSLSLTSLSLPNSQSLDPKKISDSSSSGRINSRTESCSCVPSFERRKMLLSSVAIVAGALCSTSVRQIALAAEFVDMPALRGKDYGKTKMRYPDYTETESGLQYKDLRPGNGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFRFKIGYNEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDFNKGAPRPTTFSGQRALAFVLRNQGLIDKTLLFDIELLKIIPN >Vigun10g029800.2.v1.2 pep primary_assembly:ASM411807v1:10:3738355:3746222:-1 gene:Vigun10g029800.v1.2 transcript:Vigun10g029800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWGILVSDPWLQNQFTQVELRSLKSHFMSMRRESGRLVIADLASKMSRLKVVGENLSEEERASCVKDLYQNTEEEVDFELFLKVYLKLQTFANSRTGSSPKNSSAFLKAATTTLLHTISESEKASYVAHINHYLAKDEFLKKCLPIDPSTNELFEIAKDGVLLCKLINVAVPGTIDERAINTKRILNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLDLKKTPQLLELLDDSKDMEELMNLPPEKILLRWMNFHLKKAGYKKIVTNFSSDVKDAEAYAHLLNVLAPEYINPSTLAVKNPFERAKLVLEHADKMGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLSAQTKQQMSLLETFPDDTQDSREERAFRLWMNSLGNSTYINNVFEDLRNGWVLLETLDKVSPGIVHWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSRGKEITDVDILEWANSKVSSSGSQSRMDSFKDKSLSDGIFFLELLSSVQHRAVNWGLVTKGVTDQEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMSWCLKHPHDERTVGSSDNESGSQLETTSNSTLDDSASDSSVDENGNM >Vigun10g029800.1.v1.2 pep primary_assembly:ASM411807v1:10:3738339:3746343:-1 gene:Vigun10g029800.v1.2 transcript:Vigun10g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWGILVSDPWLQNQFTQVELRSLKSHFMSMRRESGRLVIADLASKMSRLKVVGENLSEEERASCVKDLYQNTEEEVDFELFLKVYLKLQTFANSRTGSSPKNSSAFLKAATTTLLHTISESEKASYVAHINHYLAKDEFLKKCLPIDPSTNELFEIAKDGVLLCKLINVAVPGTIDERAINTKRILNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLDLKKTPQLLELLDDSKDMEELMNLPPEKILLRWMNFHLKKAGYKKIVTNFSSDVKDAEAYAHLLNVLAPEYINPSTLAVKNPFERAKLVLEHADKMGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLSAQTKQQMSLLETFPDDTQDSREERAFRLWMNSLGNSTYINNVFEDLRNGWVLLETLDKVSPGIVHWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSRGKEITDVDILEWANSKVSSSGSQSRMDSFKDKSLSDGIFFLELLSSVQHRAVNWGLVTKGVTDQEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMSWCLKHPHDERTVGSSDNESGSQLETTSNSTLDDSASDSSVDENGNM >Vigun06g232750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33878763:33878918:1 gene:Vigun06g232750.v1.2 transcript:Vigun06g232750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCLWLLTITSLFLAPLSKGWQEVSPSQKAIHTCNIKIQKTENSSIYKKD >Vigun07g215300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33657044:33657958:1 gene:Vigun07g215300.v1.2 transcript:Vigun07g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILIQPQIPPFLPSLFTYKQTNTKRTTCYFTHLPQNLSRSCISILLPSPSSISTFNPITFISHNTYTPKEGNSIFFLSAQSPHIHHHTFNRDPQHFPSHIHHPHHQPHYFRSCPHQHT >Vigun05g043500.1.v1.2 pep primary_assembly:ASM411807v1:5:3611388:3613766:1 gene:Vigun05g043500.v1.2 transcript:Vigun05g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSHSFTFHTHEQDHHHLPSTSLNSFPLPPQHFQGVAPPLMLKRSMSFSGIENKCDEVNGDDELSDDGNFQCGEKKKRLNLEQVKALEKSFELGNKLEPERKVQLAKALGLQPRQIAIWFQNRRARWKTKQLEKEYEALKKQFEAAKVDNDVLKSQNQKLQAELQAVKSRGWCENGIMRLKKEGEGSWSNGSDNSSDINLELSRAPVLNNPTSCQAPFPTSITQILQYSSKPDFHDDDFCNVIHNIDAHQNFWPWPGQQNHHFH >Vigun09g192200.1.v1.2 pep primary_assembly:ASM411807v1:9:36688192:36692850:-1 gene:Vigun09g192200.v1.2 transcript:Vigun09g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFRDLSLGHSKRDTTPPTIMPPKPSALSSADDLPSPLGQLAANLSDSDLSLTAFEIFVAACRTSSGKPLSSVPNHSAANSPGQNSPNSQALQRSITSTAASKVKKAFGLKSPGSASRKSPGSGSASGSGQGKPKRPLTVGELMRNQMRVSEAMDSRVRRALLRISAGQVGRRIESVVVPLELLQQLKASDFTDQQEYVEWQKRTLKVLEAGLILHPQMPLDKSNSAAQRLRQIIHAALDKPIETGKNTESMQVLRSAVMSLANRSYDGSYADSCHWADGIPLNLRLYEMLLQSCFDANDESSVIEEFDELMEQIKKTWGILGLNQTLHNLCFTWVLFHRFVVTGQLDLELLSAADGQLAEVAKDAKTTKDAEYSKVLSSTLTSILGWAEKRLLAYHETFDRGNVETMQGIVSLGVAAAKILVEDISNEYRRRRRNDVNVARERIETYIRSSLRTAFAQIMEKADSSRRASKNQPNALPVLAILAKDVGSLAINEKQVFSPILKRWHPLAAGLAVATLHSCYGNELKQFISGITELTPDAVQVLRAADQLEKDLVQIAVEDSVESDDGGKAIIREMPPYEAEGAIANLVKIWIKTRIDRLKEWVDRNLQQEVWSPQANQEGYAPSAVDVLRIINETLDAFFQLPIPMHPAMLPEVMNGLDKCLQYYVIKAKSGCGSRNTFLPTMPALTRCTIGSKFQGFGKKKDKSPNPQKRNPQVATNGDSSSGIPQFCVRINTLQWILGEFDVLEKRIITLLRNSESAHVEDFSNGLAKKFELSPAACLEGIQQLCEAAAYRIVFHDLGHVLLDSLYVGDPSSSRIEPYLQELERKLMFISDTVHEKIRTRIVTEIMRASFDGFLLVLLAGGPSRAFNRKDSQIIEDDFKFLKELFWANGDGLPSELIDKFSTTARSILPLFRTDTETLIEQFRRLTMETYKSSARSKLPLPPTSGQWNPSEPNTLLRVLCYRNDESASKFLKKVYDLPKKL >Vigun01g052100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:8692081:8693991:1 gene:Vigun01g052100.v1.2 transcript:Vigun01g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHPSNSHFPPLPITTTNTQYSILLPRTKKHTCTNGYHPFTSSPTITNSTLMSSTCKKRQQKTETQESTHLQIPSSIHVFTSTSNSFPIPIPQHSPYHHLHLSPTGYLNHNPNILHKQLYFAEHVFTCLLAHHHLRLTPA >Vigun05g164900.1.v1.2 pep primary_assembly:ASM411807v1:5:26962293:26978687:1 gene:Vigun05g164900.v1.2 transcript:Vigun05g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHWFKEAKEKLPSLNAVIYGSIVYAHCQTCNMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLVVFDRLKECGFSPSVVSYGCLINLYTKIGKVSKALEISKMMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMSRALEVFDMMRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKEIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLINGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDEDEDEDEDEDDDDVKDYNANGINKDDDIDDEDYDNVIS >Vigun05g164900.3.v1.2 pep primary_assembly:ASM411807v1:5:26974103:26978703:1 gene:Vigun05g164900.v1.2 transcript:Vigun05g164900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMSRALEVFDMMRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKEIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLINGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDEDEDEDEDEDDDDVKDYNANGINKDDDIDDEDYDNVIS >Vigun05g164900.4.v1.2 pep primary_assembly:ASM411807v1:5:26962293:26978687:1 gene:Vigun05g164900.v1.2 transcript:Vigun05g164900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTFNVGEDKAADKTAMRAVEFDGVEFHGRVLTVKLDDGKRMREKSQERARWLEGNGDKGEYPSTWHEERDGSRKGFQKVLETQPENWQAVVTAFEKIKKPARKEYGLMVKYYARRGDMHHACQTFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALHCVRKMKEEGIEITIVTYSIIVGGFARMANADAADHWFKEAKEKLPSLNAVIYGSIVYAHCQTCNMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLVVFDRLKECGFSPSVVSYGCLINLYTKIGKVSKALEISKMMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMSRALEVFDMMRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKEIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLINGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDEDEDEDEDEDDDDVKDYNANGINKDDDIDDEDYDNVIS >Vigun05g164900.5.v1.2 pep primary_assembly:ASM411807v1:5:26963187:26978703:1 gene:Vigun05g164900.v1.2 transcript:Vigun05g164900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYYARRGDMHHACQTFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALHCVRKMKEEGIEITIVTYSIIVGGFARMANADAADHWFKEAKEKLPSLNAVIYGSIVYAHCQTCNMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLVVFDRLKECGFSPSVVSYGCLINLYTKIGKVSKALEISKMMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMSRALEVFDMMRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKEIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLINGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDEDEDEDEDEDDDDVKDYNANGINKDDDIDDEDYDNVIS >Vigun05g164900.6.v1.2 pep primary_assembly:ASM411807v1:5:26962293:26978687:1 gene:Vigun05g164900.v1.2 transcript:Vigun05g164900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVGRDMEEALHCVRKMKEEGIEITIVTYSIIVGGFARMANADAADHWFKEAKEKLPSLNAVIYGSIVYAHCQTCNMDRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLVVFDRLKECGFSPSVVSYGCLINLYTKIGKVSKALEISKMMKMSGIEHNMKTYSMLINGFLKLKDWANAFSIFEDFTKTGLKPDVVLYNNIVTAFCGMGNMDRAIRMVKQMQKERHRPTTRTFLPIIHGFARAGEMSRALEVFDMMRSGCIPTVHTYNALILGLVEKRQMAKAVAILDEMNLAGIGPNEHTYTTLMQGYASLGDTEKAFQYFTALRNEGLEIDVYTYEALLKACCKAGRMQSALAVTKEMSAKEIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKEGVRPDIHTYTSFINACCKAGDMQKATEIIQEMEASDIKPNLKTYTTLINGWARASMPEKALSCFEEMKLAGLKPDKAVYHCLVTSLLSRATFAQSYAYSGLLSICREMVESEMTVDMGTAVHWSRYLRKIERTGGELTEALQKTFPPDWTSHNILDVTSEAETADLEIDDEDEDEDEDEDDDDVKDYNANGINKDDDIDDEDYDNVIS >Vigun03g407400.1.v1.2 pep primary_assembly:ASM411807v1:3:61462073:61465119:-1 gene:Vigun03g407400.v1.2 transcript:Vigun03g407400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAKQTLPWCKSLMKEVKKLGALKQTGVSLRYMMEFGSNPTPKSLLISAQFLHKELPIRIAWRVIELHDLPHGLSQTVPVLKVRDWYLDSFRDLRSFPEIKDMDDEKEFTEMIKAVKVRHNNVVPTMALGVQQLKTVYEDPNEIDEFLDRFYMSRIGIRMLIGQHVELHNPNPPQNCVGYIHTKLCPMVVARNASEDARSMCYREYGSAPDVNIYGDPEFTFPYVSAHLHLMVFELVKNSLRAVQERFMDSDKVAPPIRIIIADGIEDVTIKVSDEGGGIARSGLSKIFSYHYSTARNSSNEPDPSDLGIAGNVTMAGNGYGLPICRLYARYFGGDLQVISMEGYGTDAYLHLCRLGDSQEPLP >Vigun05g240000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43303202:43305660:1 gene:Vigun05g240000.v1.2 transcript:Vigun05g240000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSPPTSNALNLNHVIRTRRLGPTRLSVKCAYAFEPASYGVGSSRADWQSSCAILASKVVSQEQASSADKNGGTADHVASVNGHKAAVSDFQLVPIGNLEANNKPLPPKPLTISDLSPAPMHGSKLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEFLTRVISHPQALSQCEHTLTKLGLNVAREAVDDTAGAAEFVAANNLRDTAAIASARAAELYGLNVMADGIQDDPSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYLFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWTPSSPRH >Vigun02g109200.3.v1.2 pep primary_assembly:ASM411807v1:2:26336415:26340316:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun02g109200.6.v1.2 pep primary_assembly:ASM411807v1:2:26337202:26340321:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVFGNQLLFLLGLSYTNPTYAAAVQPTIPVFTFMFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun02g109200.1.v1.2 pep primary_assembly:ASM411807v1:2:26336431:26340316:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLESVGVVSERGRSWKAHTSMTLSMAFYGGYHVITKVALNDGVNQLVFCFYRDFLAFTILATLAFFLERRTRPPLTKKLLMSFLILGFTGVFGNQLLFLLGLSYTNPTYAAAVQPTIPVFTFMFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun02g109200.5.v1.2 pep primary_assembly:ASM411807v1:2:26337202:26340321:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILIVLFYHTGCRRTRPPLTKKLLMSFLILGFTGVFGNQLLFLLGLSYTNPTYAAAVQPTIPVFTFMFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun02g109200.4.v1.2 pep primary_assembly:ASM411807v1:2:26336415:26340316:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun02g109200.2.v1.2 pep primary_assembly:ASM411807v1:2:26336415:26340316:1 gene:Vigun02g109200.v1.2 transcript:Vigun02g109200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLILGFTGVFGNQLLFLLGLSYTNPTYAAAVQPTIPVFTFMFTLMMGIEKLNLLRYEGWAKAGGTLICVSGAIFMVFYRGPAVIGGNEMDQVTQIKPSGNSSRFAIDIGLDSFQLGVVFLIGNCICMAAYLALQAPLLKKYPANLSVTAYSFFFGVALLVIASFFMVNESTEWILTRSEILAVAYAGTIASALNYGIISWSTKILGPALVSLYNPLQPAFSAILSQIFLGTPIYLGSIIGGTFIVTGLYLVTWASYREKQETYDGVTPNGSWISEPLLHEKGECQSGHTQGPPA >Vigun08g139700.1.v1.2 pep primary_assembly:ASM411807v1:8:31207774:31214064:1 gene:Vigun08g139700.v1.2 transcript:Vigun08g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKELCSTDMIDGTVAAHIKRPCNSLPTVIVIGAGISGIAAARSLYDASFKVIVLESRDRVGGRIYTDYSFGCPVDMGASWLHGVCNENPLAPLIRGLGLTLYRTSSDNSVLYDHDLESCMLFDMDGHQVPQQMVMEVGDTFKRILAETVKVREEHPDDMSILQAISVVLNRHPELKQQGLAHEVLQWYICRMEAWFATDADMISLKTWDQEHVLTGGHGLVVQGYDPVVKALATDLDIRLNHRVTKISNGYNMVMVTVEGGRNFVADAVILTVPIGILKANSIEFTPKWPEWKTTAIKDIGVGNENKVALRFDRVFWPNVEVLGMVAPTSYACGYFLNLHKATGHPILVYMAAGRFAYDLEKLSDESAANFVMQKLKNMFPHASRPVQYLVSHWGTDPNSLGCYACDLVGKPDDVYERLRAPLGNVFFGGEAVSMDDHQGSVHGAYSAGVMAAENCQRHLLQKHGHRENLPLVPAVRHEMFETTIPLQISRM >VigunL059070.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000470.1:8207:8566:-1 gene:VigunL059070.v1.2 transcript:VigunL059070.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun10g121100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32787196:32787775:1 gene:Vigun10g121100.v1.2 transcript:Vigun10g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFCFVFFSALCCCYCRLFNSDPLLAFSIILNYIHSVFANFFFWILLLLSINGSCALWCVVFPSNLVE >Vigun04g078700.3.v1.2 pep primary_assembly:ASM411807v1:4:10941439:10948460:-1 gene:Vigun04g078700.v1.2 transcript:Vigun04g078700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKERIQNPEPFDPYGADHAKTKKRSKAPKQYQQEEKLIASKISSKIMKEALLQQKEEDEAANGNAATFFEEIPNAEEADGDDIDDFAGFSETQSQFAGYEEEINEEDERLMEAFLSKDPAQQKTLADLIVQRIKEKDASVASEMRPVPKLDKSIIDIYKGVGTHLSKYTIGKIPKAFKHIPSMQLWEEVLYITEPENWSPNALYQATRIFASNFGAKKAERFYKLVLLPRVREDIRKNKRLHFALYQTLKKALYKPAAFFKGILFPLCESRTCTLREAVIVGSIIEKVSIPPLHSSVALLKLSGMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFFNETRIMPVIWHQSLLAFVQRYKNELQKEDKDRLRDLLEKQKHKLVTPEIHRELEHSRNRGEKEEDFMSISSPVFVINKTIEEDRFDIPDVPMEED >Vigun04g078700.4.v1.2 pep primary_assembly:ASM411807v1:4:10940924:10948437:-1 gene:Vigun04g078700.v1.2 transcript:Vigun04g078700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKERIQNPEPFDPYGADHAKTKKRSKAPKQYQQEEKLIASKISSKIMKEALLQQKEEDEAANGNAATFFEEIPNAEEADGDDIDDFAGFSETQSQFAGYEEEINEEDERLMEAFLSKDPAQQKTLADLIVQRIKEKDASVASEMRPVPKLDKSIIDIYKGVGTHLSKYTIGKIPKAFKHIPSMQLWEEVLYITEPENWSPNALYQATRIFASNFGAKKAERFYKLVLLPRVREDIRKNKRLHFALYQTLKKALYKPAAFFKGILFPLCESRTCTLREAVIVGSIIEKVSIPPLHSSVALLKLSGMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFFNETRIMPVIWHQSLLAFVQRYKNELQKEDKDRLRDLLEKQKHKLVTPEIHRELEHSRNRGEKEEDFMSISSPVFVINKTIEEDRFDIPDVPMEED >Vigun04g078700.2.v1.2 pep primary_assembly:ASM411807v1:4:10940860:10948514:-1 gene:Vigun04g078700.v1.2 transcript:Vigun04g078700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKERIQNPEPFDPYGADHAKTKKRSKAPKQYQQEEKLIASKISSKIMKEALLQQKEEDEAANGNAATFFEEIPNAEEADGDDIDDFAGFSETQSQFAGYEEEINEEDERLMEAFLSKDPAQQKTLADLIVQRIKEKDASVASEMRPVPKLDKSIIDIYKGVGTHLSKYTIGKIPKAFKHIPSMQLWEEVLYITEPENWSPNALYQATRIFASNFGAKKAERFYKLVLLPRVREDIRKNKRLHFALYQTLKKALYKPAAFFKGILFPLCESRTCTLREAVIVGSIIEKVSIPPLHSSVALLKLSGMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFFNETRIMPVIWHQSLLAFVQRYKNELQKEDKDRLRDLLEKQKHKLVTPEIHRELEHSRNRGEKEEDFMSISSPVFVINKTIEEDRFDIPDVPMEED >Vigun04g078700.1.v1.2 pep primary_assembly:ASM411807v1:4:10940924:10948437:-1 gene:Vigun04g078700.v1.2 transcript:Vigun04g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKERIQNPEPFDPYGADHAKTKKRSKAPKQYQQEEKLIASKISSKIMKEALLQQKEEDEAANGNAATFFEEIPNAEEADGDDIDDFAGFSETQSQFAGYEEEINEEDERLMEAFLSKDPAQQKTLADLIVQRIKEKDASVASEMRPVPKLDKSIIDIYKGVGTHLSKYTIGKIPKAFKHIPSMQLWEEVLYITEPENWSPNALYQATRIFASNFGAKKAERFYKLVLLPRVREDIRKNKRLHFALYQTLKKALYKPAAFFKGILFPLCESRTCTLREAVIVGSIIEKVSIPPLHSSVALLKLSGMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFFNETRIMPVIWHQSLLAFVQRYKNELQKEDKDRLRDLLEKQKHKLVTPEIHRELEHSRNRGEKEEDFMSISSPVFVINKTIEEDRFDIPDVPMEED >Vigun10g023300.2.v1.2 pep primary_assembly:ASM411807v1:10:2761282:2765092:-1 gene:Vigun10g023300.v1.2 transcript:Vigun10g023300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLMSGILILGFVSATLCLSSNAETKVKYFNVMDYGAHADGQTDDSNAISKAWQGVCGEEGPATLLIPSSKIFLVKRLNLSGPCKAPNVGIKFEGKIVAPSMNEWVGDSFSWIQILHVNGLTIDADGGIIDGNGSTWWEKCRNCTRPISLLFQSCNGLTVKSLRMRNSPGFHISVYGSNGALFTQININSPRKSPNTDGIDIFSSKNIAIEDSTLATGDDCIAIKGGSSYINATRIFCQGGHGISIGSLGINKSYETVEEVHVQNCSFIGTTNGARIKTWPVHMKEIMKGVRSL >Vigun10g023300.1.v1.2 pep primary_assembly:ASM411807v1:10:2759604:2765092:-1 gene:Vigun10g023300.v1.2 transcript:Vigun10g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLMSGILILGFVSATLCLSSNAETKVKYFNVMDYGAHADGQTDDSNAISKAWQGVCGEEGPATLLIPSSKIFLVKRLNLSGPCKAPNVGIKFEGKIVAPSMNEWVGDSFSWIQILHVNGLTIDADGGIIDGNGSTWWEKCRNCTRPISLLFQSCNGLTVKSLRMRNSPGFHISVYGSNGALFTQININSPRKSPNTDGIDIFSSKNIAIEDSTLATGDDCIAIKGGSSYINATRIFCQGGHGISIGSLGINKSYETVEEVHVQNCSFIGTTNGARIKTWPGGSGYAFCKNIVNGTIENTVPKVSCV >Vigun03g000800.1.v1.2 pep primary_assembly:ASM411807v1:3:69786:79534:-1 gene:Vigun03g000800.v1.2 transcript:Vigun03g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNTSSVDVILEFLRRNRFTRAEAALRSEINNRPDLNGFLQKLTLEEKASRDVPQSNKGKPVLEFQAVDTLEVSKELIVTEIECGNGRNAAERKWKTAAPTLVERSKSDEAVGTGDKNFIFSKTSEDGMLDLHTWKFNPGKAPVEPYQNDAGSRANTALSMKATVSQQSKRQTNEAVDPSVANTSSKSGEENAALVDKKSLWSGSSSKTSVDLKYDLVQSKEPMELDRQLKFNNSSLKGNFPDNPWSKMDENVNSSSDSCKNCVKTVFPFSKGDVSTNFDGATYSDKKEEKKGVEISDTRTSIKEQVDESGRSIYLVKTPVSSEQKLTGSLRFPLPPENEKEEFPRLPPVKLKSDDKPLVVNWEEKFERDGPTSKPPGTDSTFLIGSYLDVPIGQDINPSGVRRATGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESIDYPNEYWDSDEYDDDEDVGYMRQPIDDETWFLAHEIDYPSDNEKGAGHESVPDHQERGLAKDEDDDQSFAEEDSYFSGERYLQANNVEPVTATDDSIGLTVTEYGRTNDNDLMAQYDGQLMDEEELNLMCAEPVWRGFVPQTNELIMLGDGRVLNDNVRSRLEKIKMDDDQHGSVRSIGVGINSDAADISNKVRESLVGGSSEGDLEYFHDVGFGGRHSHRDLDKKSTNKSNISKNNDQSESNKYVIGCDRDAQFQIKTHGDGNFSFPLSLKDGEIIQASTDKSLWSNNDNADEIDDCLSAFVETDDMLASWRRKSSDSSPARSSRGDNNANNVRSANSSPTTISNYGYSERERVKVEEDENTGITREDELGAEDEEVAAVQEQVRQLKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLLQNDSPATLLARVIGIIGPIDQGMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISS >Vigun04g200700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42427332:42427829:1 gene:Vigun04g200700.v1.2 transcript:Vigun04g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISKIMIIFCSLLVLALHLKCMVSADPILPTKVTVEIINKLDMVYLDLRCKDKHNDLGSITLNVSETYRFRFYPNYFLPVTLYFCRFVWLAGDYYFDIYVQKRDGYCIHNRCSWEIFETGPCKIKRGYSECFPWDRTFEEKKNNIVSS >Vigun01g034066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4398449:4400035:1 gene:Vigun01g034066.v1.2 transcript:Vigun01g034066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEELGTEFFNDLVARSFFQQSRHCASCFTMHDLLNDLAKSVSGEFCSQISDSLEINITKRTRHLSWSRKINIDDKFFEHVSKCNILRFMAFRWEFGRGGLINTDKQRVLFSTLKYLHVLSFNDCLLTKLVDDIGNLKLLRYLDISYTKIKTLPDSICRLHNLQTLLLLWCYHLAELPLDWNKLVNLRHLDMRMSGIKKMPNHIGRLKHLRTLTSFSIGKHDVNELGNLSNLQGTLSIFRLENVTDPADAVEANLKGKKHLDGLVLNWGDKFGRCNENEDSILERQVLEALQPNGNLKKLSILRYDGTSFPRWFGASHLPNLVSIALTESKFCFVLPSFGQLPFLKELSISCFYGIEAIGPEFCGNDSSNIPFRSLEILKFEEMSAWKEWCSFEGHSEEGQGLSCLKELSVRRCPWLRRDLPQHLPSLQKLEICDCQHLEDSIPKAASIHEIKLCLCEKLFLKDLPSSLKKATMYGTCIIESTLHQILVNNPFLEEMKIHNFHGPNKKWSSLDLRIHDSLVTLSITS >Vigun04g051900.1.v1.2 pep primary_assembly:ASM411807v1:4:4714341:4719274:1 gene:Vigun04g051900.v1.2 transcript:Vigun04g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSACNLWSVRVPTHRRRRSCVLSRREICFDGMSSVCSHSRHSPNDAVSSNSGSTIQVQDKTLDFDAMVKKLVLSPTRRVLVASLTVFSCLCSSRYMSALALGDPSVTLEEVTPPVFSSGPLFPIEDRIVQLFEKNTYSVVNIFDVTLRPQLNITGVVEIPEGNGSGVVWDEEGHIVTNYHVVGNALSKNPKAGEVVARVNILASEGLQKNFEGRLIGADRLKDLAVLKVDAPKDILRPIKVGQSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGVQTDAAINPGNSGGPLLNSKGSLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLDVDIAPDLIANQLNVRNGALVLLVPANSVAAKAGLNPTTRGFAGNIVLGDIIVAVDNKPVKSKAELLKALDEYNVGDKVVLMVQRGNEKLELPVVLEEQSS >Vigun04g051900.2.v1.2 pep primary_assembly:ASM411807v1:4:4714341:4719274:1 gene:Vigun04g051900.v1.2 transcript:Vigun04g051900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSACNLWSVRVPTHRRRRSCVLSRREICFDGMSSVCSHSRHSPNDAVSSNSGSTIQVQDKTLDFDAMVKKLVLSPTRRVLVASLTVFSCLCSSRYMSALALGDPSVTLEEVTPPVFSSGPLFPIEIPEGNGSGVVWDEEGHIVTNYHVVGNALSKNPKAGEVVARVNILASEGLQKNFEGRLIGADRLKDLAVLKVDAPKDILRPIKVGQSSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGVQTDAAINPGNSGGPLLNSKGSLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLDVDIAPDLIANQLNVRNGALVLLVPANSVAAKAGLNPTTRGFAGNIVLGDIIVAVDNKPVKSKAELLKALDEYNVGDKVVLMVQRGNEKLELPVVLEEQSS >VigunL059106.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:445680:462750:-1 gene:VigunL059106.v1.2 transcript:VigunL059106.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSNSGNGQEQGMQKQNPFEFSQDKILEDVYRTHVRCLEKCDAESLHTVASNVLNQSMDITLKVIAKVKQGAQPMDRFREDTTITCQQLAAKLKRIVYLVIANSFYCVNYKCIYIFMKTRNMICTPRGEYPVHCTTIVCPYGKFLYLLPLIAPAQQFESSFADLHGLLMVPQNTKHLTHINNVVKKVMQVVECITEWKKIVSAGHDIKDVPTLAETLQEIPVVGYWAIFTFVSCTGEINEFTDYKVNKHELSKNCENKLDLILRTFTKNLEMCSTEIGRIEDFYRRKDIINITGKDIVNVLKALIISNDNMDYRQNVINGLNLKQVKIEEFKKKHVLLFISRLDEETQFLKTIYEKLKEILKKKLREVEGYKKEDFKILWIPIFGWYVVKHFNFETWMKLMKEVLNYRGKPVILLISLVGKVENIDTKQIISMWDIDEFSFRIFDNTQLAQQWNWFWSEMTKLHPRITDSIEEDRYLFIYGGTDTIWIQEFTTAIEKLKRDVETISLQIDITVDSYQLGREDPKIVPHFWIAIESLLASKEQKIKNGEDEGLQDFATREIKRLLFLKQDPKGWVILSKGYNVKLLGHGEAMSRTVKDLSLWHVKLHEEVSFDVAFKEYYESIKDKTNPKKCEHSEIFNYPTNILSHLPSNNMDCGRSIEVTSVNYKCYHGYDKICVGSEAQYNTNCGINVANRMEPGPTEIMTQNKASRKQSAEEKNTGSRERTDVGHSGCEGSDEGEEQREEKESKNINKDKGKGLEVKEEIESEDEHMMLINTAEVDPRSFKMKEKVQKGESSKMRKHNERGDLTNNTKTQ >Vigun04g047500.1.v1.2 pep primary_assembly:ASM411807v1:4:4107031:4109542:-1 gene:Vigun04g047500.v1.2 transcript:Vigun04g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKSNVKRGLWTPEEDAKILAYVANHGTGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHDSFTPQEEELIINLHGAIGSRWSLIARRLPGRTDNDVKNYWNTKLRKKLMKMGIDPVTHKPVSQVLSDLGSISGFPNTTTTTTNPMAYVNKDLMMSNMAPTKTEPSGSNKSLVENTQEGQVHSYQVLTTENVQPHVFSEAASSTSSSSSSNLSHLGSPQSYSCQTPQAQTLVPHCSSFDWSEFLHTSDSFVWSLNPSSLVQTEADLFSINAKTSGHDKQGVASVDGCSGASMEYHSFVDGILDRDNELRAAFPELLDASFDY >Vigun03g070000.1.v1.2 pep primary_assembly:ASM411807v1:3:5793882:5795623:1 gene:Vigun03g070000.v1.2 transcript:Vigun03g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSITIVLILLFFALFQFQPATLDEGGVQNDAPKPLFSRVLMDTASLLRKSHKSSWDKIKTVIRDLQMQFSPPNLDFRAGGGGVGVGEGVKGSLKEAVEKSIDKSKETFEESAKSAGKAVETVVHKETTHDSEHESKAEL >Vigun07g096400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15894042:15894557:1 gene:Vigun07g096400.v1.2 transcript:Vigun07g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFCTRRILFMVIVMVFTIMVVFSEGGASCRPLHDEHGSVEFDSLLLQFLPRGPLKPSAPDPIRP >Vigun09g083650.1.v1.2 pep primary_assembly:ASM411807v1:9:10262614:10264899:1 gene:Vigun09g083650.v1.2 transcript:Vigun09g083650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCCYLLPTCHAESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSNGEGWEAMRRELSVLYSRFYGILLGGILLMYEFLNHLRLILLLVYSFWIPQIITNVVRDSRKPLHPHYILGITVTRLAIPLYIFGCPNNFLRIEPDHSWCVCLAIFVGLQAAILLLQHYFGSMWFIPRQFLPEKYCYYRRFTQDTNHSTDCVICMTAIDLSPRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Vigun01g247600.1.v1.2 pep primary_assembly:ASM411807v1:1:41531343:41534304:-1 gene:Vigun01g247600.v1.2 transcript:Vigun01g247600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSENNRDDSERTAFRRAEKKYKLYYDNNASSKNKKKKQPKPVDLTEVLDFRAILECYLRNGALPPGVIVLHDNFTSPVFSLQNRPGFYFIPGALTIEKQCSLIKESLTDFPQPPNRTNHNAIYGPIQDVFGAAKEGKVLVEDNSPIPSSETGADIDNGDCKEWKFATQKEASLRKCKSVSASALLRKLRWSTLGLQFDWSKRNYDMSLPHNKIPEPLCELAKQLAKSALPAGVEFRPEAAIVNYFGLGDTLGGHLDDMEADWSKPIVSLSLGCKAIFLLGGKSREDTPLAMFLRSGDVVLMAGDARECFHGVPRIFTDEENAEIGHLETQLSHEDDLCFLKYIQTSRININIRQVF >Vigun09g046600.3.v1.2 pep primary_assembly:ASM411807v1:9:4417856:4425792:1 gene:Vigun09g046600.v1.2 transcript:Vigun09g046600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPWDAAKHMESAAALAKDLSNWQEVGDFYRKASELYMECSRPQPASDALAKAARALEDSMPEEAIQLYTDACTTLEDDGRDQMAFDLYRAAANVYIKLQKYTDAASFMLRLGLAADKCNATNSQSKAYLSAIVIYLYADDFNQAEKCYNDCSQVDAFLRSDQNRCASKLLAAYRDGDVEEIKRIAQSSGISHLDHVIIRLARKLPTGDVSALKAKNAAEEDDELDEDDLT >Vigun09g046600.2.v1.2 pep primary_assembly:ASM411807v1:9:4417698:4425693:1 gene:Vigun09g046600.v1.2 transcript:Vigun09g046600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDPNKLLAKADKLTTLSLTRWNADWKSATALYEQAANGFRVARNHEKAKIAFEKASKGQEMLSSPWDAAKHMESAAALAKDLSNWQEVGDFYRKASELYMECSRPQPASDALAKAARALEDSMPEEAIQLYTDACTTLEDDGRDQMAFDLYRAAANVYIKLQKYTDAASFMLRLGLAADKCNATNSQSKAYLSAIVIYLYADDFNQAEKCYNDCSQVDAFLRSDQNRCASKLLAAYRDGDVEEIKRIAQSSGISHLDHVIIRLARKLPTGDVSALKAKNAAEEDDELDEDDLT >Vigun09g046600.1.v1.2 pep primary_assembly:ASM411807v1:9:4417666:4425803:1 gene:Vigun09g046600.v1.2 transcript:Vigun09g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDPNKLLAKADKLTTLSLTRWNADWKSATALYEQAANGFRVARNHEKAKIAFEKASKGQEMLSSPWDAAKHMESAAALAKDLSNWQEVGDFYRKASELYMECSRPQPASDALAKAARALEDSMPEEAIQLYTDACTTLEDDGRDQMAFDLYRAAANVYIKLQKYTDAASFMLRLGLAADKCNATNSQSKAYLSAIVIYLYADDFNQAEKCYNDCSQVDAFLRSDQNRCASKLLAAYRDGDVEEIKRIAQSSGISHLDHVIIRLARKLPTGDVSALKAKNAAEEDDELDEDDLT >Vigun06g097200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22844898:22848515:-1 gene:Vigun06g097200.v1.2 transcript:Vigun06g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQTPFFIVFLCFFNACSSVSATQFDFGTLTMSTLKLLGDAHLNNNTVSLTGDPAVPNSAAGRALYSDPVRFRQPGSPSPASFATFFSFSVTNLNPSSVGGGLAFVISPDSTAVGDSGGFLGLQNPAAATGGGGGFVAVEFDTLMDVEFSDINGNHVGLDLNSVVSAQVSDLGGVGVDLKSGDSVNAWIEYDGNSKGLRVWVSYSTVRPKDPILKVDLDVGMYVNDFMYVGFSGSTQGSTEVHSVQWWSFNSSFDSAAAPATTSAPSGTSAPEQKESKSSRKGTVGAVAGVVTAGAFVLALFAGAFIWVYSKKVKRMKKFDHSIESEIIRMPKEFSYKELKFATKGFSANRVIGHGAFGTVYKGVLPESGDTVAVKRCNHSGQGKSEFLSELSIIGSLRHRNLVHLQGWCHEKGEILLVYDLMPNGSLDKALYEARMPLSWPHRLKILLGVSSVLAYLHHECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQTQHDKSPDATVAAGTMGYLAPEYVLTGRATEKTDVFSYGAVVLEVACGRRPIEKDAAANGKVGVSSNLVEWVWSLHQEGKLLTAADTRLQGEFEEGEMRRVLLVGLACSHPDSMARPTMRGAVQMLLGEAEVPIVPRAKPSTSYSTSHLLLSLQDSESDCNNAMITISTSSSENSLSGRHIL >Vigun03g200150.1.v1.2 pep primary_assembly:ASM411807v1:3:29046145:29046539:1 gene:Vigun03g200150.v1.2 transcript:Vigun03g200150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVLVSSRSHSPLFEHLLYSCGSYHIRSGGVVVVPLLVSLTIAGDGKLPRVQSQEEWPSIFKKN >Vigun03g278100.1.v1.2 pep primary_assembly:ASM411807v1:3:45568164:45569937:-1 gene:Vigun03g278100.v1.2 transcript:Vigun03g278100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANDNMAVLTKTDSEVSSLSQSSPPRSPRRPVYYVQSPSRDSSHDGEKTTNSFHSSPLQSPLGSPPHSHSNSSLGRHSRESASTRFSGSRKSSSTNRKGPWRPWKDHFHAIEEEGLLDAHDNAHHGFPRRCYFLAFIFAFLLLFSSFSLILWAASRPQKPAISLKSITFDQFLIQAGADVSGVATSLVSMNSSVKLTFRNTATFFGVHVTSTPLDLNFYQLTLATGTMPKFYQSRKSQRSVRVMVKGSHIPLYGGGANLNTVNGAPLEPVPLTLSVMVRSRAFVLGKLVKPKFHEKIECSLVLDPKKMGMAISLVKKCTYQ >Vigun02g181900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32231742:32232726:1 gene:Vigun02g181900.v1.2 transcript:Vigun02g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFFAIIFLSSFFFFFSSFSDARVPFHIHFHRTNSNPLSNNPNSHQNQWHPKNSNPKPQPQNPNPNPNPNPNPNPMQNSKPLPFTVFNFDPRNPRNPLAQSSRMDSRKSRQRPQNPLPRAGVRHVARGWTRFGVVEPTLFRQRGMGMERRFSPRDQRINDSHTGFVRRIRKFLNRF >Vigun09g225700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39826459:39828267:1 gene:Vigun09g225700.v1.2 transcript:Vigun09g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVLELGLTLEEFTELESTINTHHKFAPSPKICTSIIAQRIEAPAHTVWPLIRSFDNPQKYKHFIKSCNMRSGDGGVGSIREVTVVSGLPASTSTERLEILDDVNHLLSFRVVGGEHRLHNYRSVTSVNEFNKDGKVYTVVLESYVVDIPEGNTGVDTKMFVDTVVKLNLQKLGEVAMATILH >Vigun01g228100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40051371:40052516:-1 gene:Vigun01g228100.v1.2 transcript:Vigun01g228100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAVDARSFSWAVDGAIASESASDMGESCVRSFSSVVDSAIRSSSATEADFETSPITCFAFASAVTKRIVAERLSQAVSDGTGHVHTRLFTLAELKAATNNFSLHNKIFCAGSISVVHRGKLFDGREVAVKRAETSSKKKEFQEVFGYLSTLLPCLRHNHLVGLVGFCKEKDKRFSVYEYMKNGALYDHLHDKNNVDKESSVLNSWRMRIKIALDASRGIEHLHKYVVPSIIHRDITSSNILLDDSWTAKVSGFESSCFMSPEPEHVYTDTSVLKAKSDVYGVGVVLLELLTGKKTTLKYGRNRGGSMVNVARRAILGGKMVEILDPRVGTPNINEEAGLELVAQTAINCVNLKRKDRPTMTEVVTNLETALSICDTGQ >Vigun09g080566.1.v1.2 pep primary_assembly:ASM411807v1:9:9568111:9569407:-1 gene:Vigun09g080566.v1.2 transcript:Vigun09g080566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGSGSWRITWKLEFLSGLGTVEAINQAECDFSSTSSTSLFPSLSSSTTPSTPE >Vigun05g066400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5752343:5752729:-1 gene:Vigun05g066400.v1.2 transcript:Vigun05g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAKIFVLLCMLTLAWAWPPKTSVKITNTLEGNENLNIHCKSKDDDLGMHLLHINENLKWSFGTNFFGRTQFFCSCEWGKGPLLYFDAYIQSRDYSICIDCHWYIKKDGPCRYEESGILKCFKWNP >Vigun09g063800.1.v1.2 pep primary_assembly:ASM411807v1:9:6716838:6720667:-1 gene:Vigun09g063800.v1.2 transcript:Vigun09g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKLLFLFLITLLLVFKLALCFWWRPRKIEGHFSDQGIRGPPYRFFVGNVKELVGMMMKASSQPMPFSHNILPRVLAFYHHWNKLYGSTFLVWFGPTVRVTIADPDLIREILTSKSELYEKNESPPLVKLLEGDGLLSLKGEKWAHHRRIISPTFRMENLKLLIPNMAASVVEMLEKWKTEAEKGEVEIEVSECFQTLTEDVVTRTAFGSSYEDGKAVFRLQAQQMELAADAFQKVFIPGSRFFPTRRNLNSWKLDKKMKRSLVKLIDGRKKENACGNEDTKGAKDLLGLMIGASATNANVTVDDIVEECKTFFFAGKHTTSNLLTWSTILLAMHPQWQVQAREEVAMVCGARDIPTKDDLLKLKTLTMIVNESLRLYPPTIATIRRAKGDVELGKLKIPCGTELLIPILAVHHDQATWGSDANEFNPGRFSNGVSRAARHPFAFIPFGLGARTCVGQNLALLQTKLTLAIMLSRFTFKLAPSYRHAPTVLMLLYPQYGAPIIFQPIATGAATVDC >Vigun09g063800.2.v1.2 pep primary_assembly:ASM411807v1:9:6716838:6720667:-1 gene:Vigun09g063800.v1.2 transcript:Vigun09g063800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKLLFLFLITLLLVFKLALCFWWRPRKIEGHFSDQGIRGPPYRFFVGNVKELVGMMMKASSQPMPFSHNILPRVLAFYHHWNKLYGSTFLVWFGPTVRVTIADPDLIREILTSKSELYEKNESPPLVKLLEGDGLLSLKGEKWAHHRRIISPTFRMENLKLLIPNMAASVVEMLEKWKTEAEKGEVEIEVSECFQTLTEDVVTRTAFGSSYEDGKAVFRLQAQQMELAADAFQKVFIPGSRFFPTRRNLNSWKLDKKMKRSLVKLIDGRKKENACGNEDTKGAKDLLGLMIGASATNANVTVDDIVEECKTFFFAGKHTTSNLLTWSTILLAMHPQWQVQAREEVAMVCGARDIPTKDDLLKLKTVSSNCA >Vigun09g063800.3.v1.2 pep primary_assembly:ASM411807v1:9:6716838:6720667:-1 gene:Vigun09g063800.v1.2 transcript:Vigun09g063800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKLLFLFLITLLLVFKLALCFWWRPRKIEGHFSDQGIRGPPYRFFVGNVKELVGMMMKASSQPMPFSHNILPRVLAFYHHWNKLYGSTFLVWFGPTVRVTIADPDLIREILTSKSELYEKNESPPLVKLLEGDGLLSLKGEKWAHHRRIISPTFRMENLKLLIPNMAASVVEMLEKWKTEAEKGEVEIEVSECFQTLTEDVVTRTAFGSSYEDGKAVFRLQAQQMELAADAFQKVFIPGSRFFPTRRNLNSWKLDKKMKRSLVKLIDGRKKENACGNEDTKGAKDLLGLMIGASATNANVTVDDIVEECKTFFFAGKHTTSNLLTWSTILLAMHPQWQVQAREEVAMVCGARDIPTKDDLLKLKTVSSNCA >Vigun04g110200.4.v1.2 pep primary_assembly:ASM411807v1:4:27543475:27547502:1 gene:Vigun04g110200.v1.2 transcript:Vigun04g110200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDVRGCVESPTLIRGARMVGLSLGEKHFIQGGIAQDLRCDGRKRLTYRPISVETGVIPQANGSARIRMGATDVIASVKAELGRPSLLLPDKGKVSIYIECSSTAEPAFEGRGGDELAAELSNALQHCLLGGKSGAGAGIDLLSLIIVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPRVQVAAGTSNDEQPEVDISDEEFLQFDTSGVPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRQGYICGITKRGGVGLDPSIILDMISVAKHVSEQVISKLDSEIASAEAQEES >Vigun02g078800.2.v1.2 pep primary_assembly:ASM411807v1:2:23091699:23095389:1 gene:Vigun02g078800.v1.2 transcript:Vigun02g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPDTTLLSYWLNWRFFLCALFILMAMGLASFLIWKYEECSKPRNGRRERQRETAGTLYEDETWNTCLKGIHPAWLLAYRIFSFVVLLSLLTTNVVADGGGIFYFYTQWTFTLVTIYFGYGSCVSIYGCFYKHKTIDGNTVNQEQLDTEQGTYVAPTLDGTPELPNLYKNSNTNREPHTRHIARVWGYIFQIIFQTCGGAVVLTDIVFWLVLYPSMTKKDFRLEFMDVCLHSLNAVFLLGEALLNCMRFPVFRFAYFVLWTAMFVLFQWIIHACVSLWWPYPFLDLSSPYAALWYTGVGVMHIPCFAVFALIVKLKHLWLSKLFPGSCQFVR >Vigun02g078800.1.v1.2 pep primary_assembly:ASM411807v1:2:23091210:23095389:1 gene:Vigun02g078800.v1.2 transcript:Vigun02g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPDTTLLSYWLNWRFFLCALFILMAMGLASFLIWKYEECSKPRNGRRERQRETAGTLYEDETWNTCLKGIHPAWLLAYRIFSFVVLLSLLTTNVVADGGGIFYFYTQWTFTLVTIYFGYGSCVSIYGCFYKHKTIDGNTVNQEQLDTEQGTYVAPTLDGTPELPNLYKNSNTNREPHTRHIARVWGYIFQIIFQTCGGAVVLTDIVFWLVLYPSMTKKDFRLEFMDVCLHSLNAVFLLGEALLNCMRFPVFRFAYFVLWTAMFVLFQWIIHACVSLWWPYPFLDLSSPYAALWYTGVGVMHIPCFAVFALIVKLKHLWLSKLFPGSCQFVR >Vigun08g139100.3.v1.2 pep primary_assembly:ASM411807v1:8:31137517:31149234:-1 gene:Vigun08g139100.v1.2 transcript:Vigun08g139100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCMWADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFHDGRDEATNGLMWLRGGPGDQGLNSLSFQGSGLLPWMQQRMDPTLLGSDHNQQYQAMFASGLQNIGSGDLMRQQMMNFQQPFNYVQQSGNPNPSLQLQQPQAIQQSVSSNNILQPQAQVLAENLSQHLLQKSHNREDQTQQQQQHTYQDTVLLQSDQLHQRQHSGLPSPSYSKPDFLDSSMKFPATVSPGQNMLSSLCPEGSGSLLNLSRSGQSMLTEQQLPQQSWAPKFTPLQVNTFGNSMPHVQYSGKDTTMVSPHCNSDTQNPILFGVNIDSSGLLLPTTVPRYTTASADTDASAIPLGESGFQAPLYPCVQDSSELLRSAGQVDPQNQTRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEQAVESLGPSSGQRLNSTGADSHEIVSGLPSIGSLEY >Vigun08g139100.1.v1.2 pep primary_assembly:ASM411807v1:8:31135887:31149234:-1 gene:Vigun08g139100.v1.2 transcript:Vigun08g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVAATTNREVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFHDGRDEATNGLMWLRGGPGDQGLNSLSFQGSGLLPWMQQRMDPTLLGSDHNQQYQAMFASGLQNIGSGDLMRQQMMNFQQPFNYVQQSGNPNPSLQLQQPQAIQQSVSSNNILQPQAQVLAENLSQHLLQKSHNREDQTQQQQQHTYQDTVLLQSDQLHQRQHSGLPSPSYSKPDFLDSSMKFPATVSPGQNMLSSLCPEGSGSLLNLSRSGQSMLTEQQLPQQSWAPKFTPLQVNTFGNSMPHVQYSGKDTTMVSPHCNSDTQNPILFGVNIDSSGLLLPTTVPRYTTASADTDASAIPLGESGFQAPLYPCVQDSSELLRSAGQVDPQNQTRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEQAVESLGPSSGQRLNSTGADSHEIVSGLPSIGSLEY >Vigun08g139100.2.v1.2 pep primary_assembly:ASM411807v1:8:31137513:31149234:-1 gene:Vigun08g139100.v1.2 transcript:Vigun08g139100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVAATTNREVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFHDGRDEATNGLMWLRGGPGDQGLNSLSFQGSGLLPWMQQRMDPTLLGSDHNQQYQAMFASGLQNIGSGDLMRQQMMNFQQPFNYVQQSGNPNPSLQLQQPQAIQQSVSSNNILQPQAQVLAENLSQHLLQKSHNREDQTQQQQQHTYQDTVLLQSDQLHQRQHSGLPSPSYSKPDFLDSSMKFPATVSPGQNMLSSLCPEGSGSLLNLSRSGQSMLTEQQLPQQSWAPKFTPLQVNTFGNSMPHVQYSGKDTTMVSPHCNSDTQNPILFGVNIDSSGLLLPTTVPRYTTASADTDASAIPLGESGFQAPLYPCVQDSSELLRSAGQVDPQNQTRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEQAVESLGPSSGQRLNSTGADSHEIVSGLPSIGSLEY >Vigun03g364300.1.v1.2 pep primary_assembly:ASM411807v1:3:56773286:56777605:-1 gene:Vigun03g364300.v1.2 transcript:Vigun03g364300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVKGGKRPPWVGLGAAVWVQICSGNGYTFPLYSHSLKSVLGFNQSQITLLGVANDIGENVGILPGLACNKFPPWLILLIGALLSFLGFGVLWLAISKTFDSVPFIVLWLALAVATNSCAWLSTAILVTNMRNFPVSRGTVAGVLKGYSGLSAAVFTEIYSVGFHNSSPNFLLFLAIGIPALCFSTMFLVRPCTPASGEDSSENMHFLFIQGASVALGLYLLATTILDNFVPMSNTVSFVMLAVMILLLLAPLAIPIKMTLCPRKASASETPEQHVGSSDFLVQDGKVDNTEPLLSSSSTSGLGSFNDVDGSAEVAMLLAEGEGAVRKKRRPKRGEDFKFTEALVKADFWLLFFVYFVGVGTGVTVLNNLAQIGIAQGMEDTTVLLSLFSFFNFVGRLGGGVVSEHFVRTKTIPRTIWMTCTQIIMMFLYLLFAYAIKGTLYPAIAVLGICYGVQFSIVIPTVSELFGLKHFGLLSNFMGLGNPLGALLFSALLAGHVYDNEAAKQHGEGLIGSGVACIGPSCFQLTFFTLAGVCVAGTLSSIILTLRIKPVYQMLYSGGSFKLPQTSGH >Vigun07g128500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23705773:23706150:-1 gene:Vigun07g128500.v1.2 transcript:Vigun07g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKREVRNNDDRDDENDEMKMEKFYSLLRSFRDARDRRRRELMELEKNESNRKKMKATTTASTKAKSEVAFEFQDFTTDIHFRKPPLVFPNPNSRDPSKDINKNKGKKNTEQHDVALDLKLAL >Vigun07g257100.1.v1.2 pep primary_assembly:ASM411807v1:7:37453652:37455455:-1 gene:Vigun07g257100.v1.2 transcript:Vigun07g257100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKILKSLIEQTLPSWRDKFLCYKILKKQLKLMCSEHGQIDANQLNRFLNLLQLEIDKFNTFFIEKEEEYVIKGKELQDRVMEALDLNVDLMSEIVDFHGEMVLLENYSALNYTGLVKIIKKHDKKTGALLRVPFIQDVVKQPFYEIDVINKLVKECEVLSILFTNGSCSSMGQDFMENGFGSVSINENEETVMQVPDELSDLKNMKNMYIELTLSALHTLEQIRGRNSNENMFSSPSPHN >Vigun01g066400.1.v1.2 pep primary_assembly:ASM411807v1:1:17707872:17712501:1 gene:Vigun01g066400.v1.2 transcript:Vigun01g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVKPKTETSFAFFIIRSLLGSMARVKHTPASLKVGKKKVSRASTSTPQQSPATRSRRRAQEEEPQEEAAAAAPQTQGRKKKRSKPGTAALREIRHFQKSCKLLIPAAPFIRCVKQITHQFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLMTKDIQLARRLGGIGRPW >Vigun01g066400.2.v1.2 pep primary_assembly:ASM411807v1:1:17707872:17712501:1 gene:Vigun01g066400.v1.2 transcript:Vigun01g066400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVKPKTETSFAFFIIRSLLGSMARVKHTPASLKVGKKKVSRASTSTPQQSPATRSRRRAQEEEPQEAAAAAPQTQGRKKKRSKPGTAALREIRHFQKSCKLLIPAAPFIRCVKQITHQFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLMTKDIQLARRLGGIGRPW >Vigun01g066400.3.v1.2 pep primary_assembly:ASM411807v1:1:17707872:17711652:1 gene:Vigun01g066400.v1.2 transcript:Vigun01g066400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVKPKTETSFAFFIIRSLLGSMARVKHTPASLKVGKKKVSRASTSTPQQSPATRSRRRAQEEEPQEAAAAAPQTQGRKKKRSKPGTAALREIRHFQKSCKLLIPAAPFIRCVKQITHQFSTEVSRWTPEAVVALQEAAEECLVHLFEDGMLCAIHARRITLSKLI >Vigun04g122900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31404786:31406755:1 gene:Vigun04g122900.v1.2 transcript:Vigun04g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMPFSSQLRWSPHEFALCLEKCLKAKTLRPGMQVHATVVTSGTNTNILSLNSKLVGMYAGCADLKSARLLFQKIEHPNVFAFNWMILGLSFNGHFDDALLYFRWMREVGHMGNNFTFSVVLKACVGLMDVNMGRQIHAMVYEMGFQNDVSVANALIDMYGKCGSISCAHSVFDGMRERDVASWTSMICGFCNVGEIERALVLFERMRLEGLEPNDFTWNVIIAAYARSSDSSKALAFFERMKREGVVPDVVAWNALISGLVQSHQLREAFKMFREMILSGIQPNQVTVVALLPACGSEGCIKWGREIHGFVCRKGFDANVFIASALIDMYSKCGSLKDAQNVFNKIPFKNVASWNAMIGCYGKCGMVDSSLELFKRMQEEGLQPNEVTFTCILSACSHGGLVQKGLEIFSSMNECYGIEVSKKHYGCVVDLLCRSGRTVEAYDFFKALPMQVTESMAGAFLHGCKVHGRRDLAKMMAEEIMRMRLKGPGSFVTLSNIYAAEGEWEEVGNVRNVMKEKNVHKQPGFSWLEKPGEVT >Vigun06g071400.1.v1.2 pep primary_assembly:ASM411807v1:6:20199501:20209612:1 gene:Vigun06g071400.v1.2 transcript:Vigun06g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLNKYLPNNHGFSAWLPSAMSDPNQISFALAFLCSAFAACFAEFCTIPLDTAKVRLQLQKKVGVDDGVGLPKYKGMLSTIKTIAREEGISALWKGIVPGLHRQCLYGGLRIGLYDPVKTFLVGSAFVGEVPIYHMILAALLTGALAITIANPTDLVKVRLQSEGHLPSGVPRRYSGAIDAYLTILRQEGIGALWTGLGPNIARNAIINAAELASYDQVKRTILKIPGFIDNVYTHLLAGLGAGLFAVFIGSPVDVVKSRMMGDSTYKSTFDCFLKTLLNEGFLAFYKGFLPNFGRVGAWNVIMFLTYEQAKRFLRG >Vigun06g071400.3.v1.2 pep primary_assembly:ASM411807v1:6:20204441:20209612:1 gene:Vigun06g071400.v1.2 transcript:Vigun06g071400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNQISFALAFLCSAFAACFAEFCTIPLDTAKVRLQLQKKVGVDDGVGLPKYKGMLSTIKTIAREEGISALWKGIVPGLHRQCLYGGLRIGLYDPVKTFLVGSAFVGEVPIYHMILAALLTGALAITIANPTDLVKVRLQSEGHLPSGVPRRYSGAIDAYLTILRQEGIGALWTGLGPNIARNAIINAAELASYDQVKRVKSRMMGDSTYKSTFDCFLKTLLNEGFLAFYKGFLPNFGRVGAWNVIMFLTYEQAKRFLRG >Vigun06g071400.2.v1.2 pep primary_assembly:ASM411807v1:6:20204437:20209612:1 gene:Vigun06g071400.v1.2 transcript:Vigun06g071400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNQISFALAFLCSAFAACFAEFCTIPLDTAKVRLQLQKKVGVDDGVGLPKYKGMLSTIKTIAREEGISALWKGIVPGLHRQCLYGGLRIGLYDPVKTFLVGSAFVGEVPIYHMILAALLTGALAITIANPTDLVKVRLQSEGHLPSGVPRRYSGAIDAYLTILRQEGIGALWTGLGPNIARNAIINAAELASYDQVKRTILKIPGFIDNVYTHLLAGLGAGLFAVFIGSPVDVVKSRMMGDSTYKSTFDCFLKTLLNEGFLAFYKGFLPNFGRVGAWNVIMFLTYEQAKRFLRG >Vigun03g184500.1.v1.2 pep primary_assembly:ASM411807v1:3:24127711:24132908:1 gene:Vigun03g184500.v1.2 transcript:Vigun03g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTSKPQKANPYALREAEAEADPSQIPKAPLSPAAAENHRRKDDVAAGKQSPFFPFYSPSPARFLKKSPAPAGGSRSAASTPRRFFRKPFPPPSPAKHIRAVLARRQGKKAAAAAISEEGEDGGGAAADLDKRFGFSKEFTSRLEVGEEVGRGHFGYTCSARFKKGELKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALNGHSNLIQFYDAFEDQDNVYIVMELCEGGELLDMILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTKKDESSELKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDETPWPSLSLEAKDFVKRLLNKDPRKRISAAQALSHPWIRNYNNVKVPLDILIFKLMKTYMRSSSLRKAALRALSKTLTADELYYLREQFSLLEPSKNGSISLENVNKALMKYATDAMKESRIPDFLSSLNSLQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFDKDGNRAIVIEELASELGLGPSIPVHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRSLAKVQ >Vigun06g049100.2.v1.2 pep primary_assembly:ASM411807v1:6:17400208:17408436:1 gene:Vigun06g049100.v1.2 transcript:Vigun06g049100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAIAVLTLTRTSSSSSSSSSSSSNFFSRTFNHFTTKFVSFKTPHQRRRFFCCNSKRGIASSPVTTPSISERMTAPYGSWKSPITTDVVSGAAKRLGGTAVDARGRLVWLESRPAESGRGVLVVEAENLEGEAVDITPKEFGVRTLAQEYGGGAFTVSGDAVFFANYKDQRLYKQSISSLDLPPIPLTPDYGSPVVSYADGIYDGRFNRFVTVMEDRRESTQNPSTTIVSVALGSKNVQEPQVLVSGSDFYAFPRLDPKSERIAWIQWSHPYMPWDKSELWVGYISEKGEIYKQVCVAGNDPALVESPTEPKWSSDGELFFITDRGNGFWNLHKWIESENKVLPVYSLEAEFARPLWVFGMNSYEFLQSHTQKNLIACSYRQQGKSYLGIIDDVPGSKLTLLDIPFTDIENITSGNDCLYVEGASAVHPSSVAKVTLDNDKSKVVNFYVIWSSSPDSLKYSPYFSKPELIEFPTEVPGQNAYAYFYKPSNPDFQASAEEKPPLLLKSHGGPTAEARGILNLSIQYWTSRGWAFVDVNYGGSTGYGREFRERLLGRWGIVDVNDCCSCTTYLVNSGKVDGDRLCITGGSAGGYTTLAALAFKETFKAGASLYGVADVNMLRAETHKFESHYIDRLGGGEKGCYERSPINHVDKFSCPIILFQGLEDKVVPPEQARKIYQALKEKGVPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFNVADDITPIKIDNFDR >Vigun06g049100.1.v1.2 pep primary_assembly:ASM411807v1:6:17400208:17408427:1 gene:Vigun06g049100.v1.2 transcript:Vigun06g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAIAVLTLTRTSSSSSSSSSSSSNFFSRTFNHFTTKFVSFKTPHQRRRFFCCNSKRGIASSPVTTPSISERMTAPYGSWKSPITTDVVSGAAKRLGGTAVDARGRLVWLESRPAESGRGVLVVEAENLEGEAVDITPKEFGVRTLAQEYGGGAFTVSGDAVFFANYKDQRLYKQSISSLDLPPIPLTPDYGSPVVSYADGIYDGRFNRFVTVMEDRRESTQNPSTTIVSVALGSKNVQEPQVLVSGSDFYAFPRLDPKSERIAWIQWSHPYMPWDKSELWVGYISEKGEIYKQVCVAGNDPALVESPTEPKWSSDGELFFITDRGNGFWNLHKWIESENKVLPVYSLEAEFARPLWVFGMNSYEFLQSHTQKNLIACSYRQQGKSYLGIIDDVPGSKLTLLDIPFTDIENITSGNDCLYVEGASAVHPSSVAKVTLDNDKSKVVNFYVIWSSSPDSLKYSPYFSKPELIEFPTEVPGQNAYAYFYKPSNPDFQASAEEKPPLLLKSHGGPTAEARGILNLSIQYWTSRGWAFVDVNYGGSTGYGREFRERLLGRWGIVDVNDCCSCTTYLVNSGKVDGDRLCITGGSAGGYTTLAALAFKETFKAGASLYGVADVNMLRAETHKFESHYIDRLGGGEKGCYERSPINHVDKFSCPIILFQGLEDKVVPPEQARKIYQALKEKGVPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFNVADDITPIKIDNFDR >Vigun07g258000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37527351:37529294:-1 gene:Vigun07g258000.v1.2 transcript:Vigun07g258000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAPIHVLMVSYPGQGHVNPFLRLAKFLAAKGLFVTFSTTELAGKQMRTANNITHKSVIPIADGFLKFEFFEDGGMTDSDGDGSKIKTLSDFSVQVEHFGRQYVSQVIKEHAEAHHPISCIINNPFVPWVCDVAADHGIPSAMLWVQSAAVLTAYYSYFHKLVPFPSHANPYLDVQLPSVLLKYNEVPDFLHPFTPYPALGTVILEQFKNLSKPFCVLVDSFEELESDYINYLSEFVNIRPIGPLFKIPTTTDTSEIRGDFLKSDDCIGWLNSRAAASVVYISFGSIVCLPQEQVTEIAHGLLESEVSFLWVLKPPAKEFGLPPYFLPGGFLEETREKGKVVQWSPQEEVLGHGSVACFVTHCGWNSSMEGVTLGVPMLTFPAWGDQVTNAKFLVDVYGVAIKLGYGQAEKKLVSREEVKERLLEATVGPKAEELKQKALKWKKAAEAAVAANGSSARNLDAFLKDIKKHGAVKSINDVSS >Vigun08g128600.2.v1.2 pep primary_assembly:ASM411807v1:8:29870661:29873299:-1 gene:Vigun08g128600.v1.2 transcript:Vigun08g128600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKMAIDDALNAFSPVSTPTIYWKSRRRLASGRNLEVSEDTANTPSSKQEDTPTPIPTPTPPSSSSEEMQNTTPISERRKALFEPLEPIKNVNGRRPSAESLLPPPDFESANYPKGWLIGKKRKLVNVDVVESMRRIAIQEMNRKDREIDGLNEQLEEDSRCLEHLQLQLVDERSKRARVERENAMLQEQVNMLMTMLQEAEQMGEEGPDEP >Vigun08g128600.1.v1.2 pep primary_assembly:ASM411807v1:8:29870864:29873111:-1 gene:Vigun08g128600.v1.2 transcript:Vigun08g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKMAIDDALNAFSPVSTPTIYWKSRRRLAKNKNGNLLPTASGRNLEVSEDTANTPSSKQEDTPTPIPTPTPPSSSSEEMQNTTPISERRKALFEPLEPIKNVNGRRPSAESLLPPPDFESANYPKGWLIGKKRKLVNVDVVESMRRIAIQEMNRKDREIDGLNEQLEEDSRCLEHLQLQLVDERSKRARVERENAMLQEQVNMLMTMLQEAEQMGEEGPDEP >Vigun09g087000.1.v1.2 pep primary_assembly:ASM411807v1:9:11632924:11637020:-1 gene:Vigun09g087000.v1.2 transcript:Vigun09g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSIEVNNAGIGGVVIKDSDLISKVILNRGLVPDEQGTKTVTQTYELAKECLQINYYGTKITVESLMPLLQLSDSPTIMNVSSFLGQLAESFPKESWARGVLSDAHNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKTALC >Vigun03g110400.1.v1.2 pep primary_assembly:ASM411807v1:3:9938996:9942023:-1 gene:Vigun03g110400.v1.2 transcript:Vigun03g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFDVFTVDDDHFAAPAAADTFSGYGDFSTFPAEDVPIDHVAAASPEIFGFSDPDPGYTQSPFDVVENGNENGSENGYSNGVFVSDGPVLPPPGEMEPEEGYVLREWRRQNAIQLEEKEKREKDARIKIIEEAEEYKVAFYEKRKLNVETNKVQNREREKLYLANQEKFHKEADKNYWKAIGEIIPREVPNIEKKRAKKDQDKKPSITVLQGPKPGKPTDLSRLRQILLKLKHTPPPHMIPPPPAPAKDPKDGKDKKETAPKTNGSASEGTPESQPKDATTNNGSADLPQKEASLPLEEQAST >Vigun07g049200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5090483:5093206:1 gene:Vigun07g049200.v1.2 transcript:Vigun07g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFDFTIAFLVIAFAGHSSFGCIEEERQALLSIKESFRDPSSRLSSWKGSDCCQWKGVGCSNISGHVVELDLRNPCSPPLYQRYPPQNCPFYENVLEAQHLHPSIFHLKYLTLLDLSGNRFSNTSIPIFIQSLEHLQTLSLADSLFSGRIPHVLGNLTKLNYLDLSLNFLLYVDDSHWISKLSSLQYLYLSQVNLGKAQNLLQVLSMLPSLSEIELAKCRLNELFPHQLVIRATNFSRAQVLNLAENELEDHMLDVFQNLTSVTYMDLSDNYLTSTPLWLSSCTKLSTLLLKNNAFNGSFPPLQDMSSLTELHLSDNNFDSVPSWLARLKGLKVLNLQRNNLSHIQGSLASILGNCCDLKELYMSGNKIQGDALGDSIPSGCISYDLERLYMKNNELDRFPEWLSLFVNLQYLDLSENNIIGSIPRDIGQLKKLVTLYIQSNRLSGNIPYSLGQLQNLRNLDVNQNYLEGVIADIRLPKSLVYLNLTNNHITGSLPQDIDHRLPNLTHLLLGNNLISGSIPNSLCKLDLYHLELSENMLSGQIPNCWTASQKQFTAMNLASNILSGVIPSSFGNLLGLKWFHLNNNTLHGSFPSSLRNLKNLLILDIGENLMSGILPSWIGNTFSSLQILILRQNKFSGTIPSELCQLSALQIMDLSNNNLTGSIPHCVGNLTGMILGKNSVTQSSKNLTEKLKVENNEWYEQEVRQVVKGRELQYTKIVKLLFNMDLSNNNLSGSIPEGITLLSALHGLNLSHNHLSGQIPKRIGDMKSLESLDLSHDQLSGTISDSISRLTSLSHLNMSYNNLSGPIPKGTQLSTLDDDFIYAGNPFLCGAPLPNECFVDDSQHDHEDEDDNEDKLEEIWFYFVIAIGYAIGFLAVIASLFMSTSLRHAHSQCIDEMLRIIKSL >Vigun06g025400.1.v1.2 pep primary_assembly:ASM411807v1:6:11767097:11775775:-1 gene:Vigun06g025400.v1.2 transcript:Vigun06g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDPEGNGKEESLPPPPPVVPSNVVPLKAEEVLSHAEPVKKKVSRLPIARRGLGSRGTKIQLLANHFKVNVAKSDGHFFHYSVAFTYEDGRPVEGKGVGRKIMDRVQETYHSDLNDKDFAYDGEKSLFTLGSLPRNKLEFEVVLEDVTSNRNNGNCSPDGNGDNESDRKRMRRPYRSKSFKVEISYAAKIPMQAITNALRGQETENFQEAIRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIITPGPVVDFLISNQNVRDPFQLDWVKAKRTLKNLRIKTKPSNQEFKINGLSELPCREQTFTLKGKGGGDGGDGNEEITVYDYFVNVRKIDLPYSADLPCINVGKPKRPTYFPIELCELVSLQRYTKALTSLQRASLVEKSRQKPQERMKILSDALRCSNYGSEPLLRNCGISISTGFTEVEGRVLPAPRLKFGNGEDLNPRNGRWNVSSKRFVEPSKIERWAVANFSARCDVRGLVRDLIRIGDMKGISIEQPFDVFDESPQFRRAPPMVRVEKMFETIQSKLPGAPQFLLCLLPDRKNCDIYGPWKKKNLADFGIINQCMCPSRVNDQYLTNVMLKINAKLGGLNSLLGVEHSPSLPIVSKAPTLILGMDVSHGSPGQTDIPSIAAVVSSRHWPLISKYRACVRTQSAKAEMIDNLFKQVSEKEDEGIMRELLLDFYSTSGRRKPENIIIFRDGVSESQFNQVLNIELDRIIEACKFLDENWEPKFTVIVAQKNHHTRFFQPGAPENVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEVGFSPDQLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGHFMKFEDKSETTSSHGGGSGAGAVPVPQMPPLHEVVRNTMFFC >Vigun06g025400.2.v1.2 pep primary_assembly:ASM411807v1:6:11767102:11775724:-1 gene:Vigun06g025400.v1.2 transcript:Vigun06g025400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDPEGNGKEESLPPPPPVVPSNVVPLKAEEVLSHAEPVKKKVSRLPIARRGLGSRGTKIQLLANHFKVNVAKSDGHFFHYSVAFTYEDGRPVEGKGVGRKIMDRVQETYHSDLNDKDFAYDGEKSLFTLGSLPRNKLEFEVVLEDVTSNRNNGNCSPDGNGDNESDRKRMRRPYRSKSFKVEISYAAKIPMQAITNALRGQETENFQEAIRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIITPGPVVDFLISNQNVRDPFQLDWVKAKRTLKNLRIKTKPSNQEFKINGLSELPCREQTFTLKGKGGGDGGDGNEEITVYDYFVNVRKIDLPYSADLPCINVGKPKRPTYFPIELCELVSLQRYTKALTSLQRASLVEKSRQKPQERMKILSDALRCSNYGSEPLLRNCGISISTGFTEVEGRVLPAPRLKFGNGEDLNPRNGRWNVSSKRFVEPSKIERWAVANFSARCDVRGLVRDLIRIGDMKGISIEQPFDVFDESPQFRRAPPMVRVEKMFETIQSKLPGAPQFLLCLLPDRKNCDIYGPWKKKNLADFGIINQCMCPSRVNDQYLTNVMLKINAKLGGLNSLLGVEHSPSLPIVSKAPTLILGMDVSHGSPGQTDIPSIAAVVSSRHWPLISKYRACVRTQSAKAEMIDNLFKQVSEKEDEGIMRELLLDFYSTSGRRKPENIIIFRDGVSESQFNQVLNIELDRIIEACKFLDENWEPKFTVIVAQKNHHTRFFQPGAPENVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEVGFSPDQLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGHFMKFEDKSETTSSHGGGSGAGAVPVPQMPPLHEVVRNTMFFC >Vigun06g025400.3.v1.2 pep primary_assembly:ASM411807v1:6:11767102:11775724:-1 gene:Vigun06g025400.v1.2 transcript:Vigun06g025400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDPEGNGKEESLPPPPPVVPSNVVPLKAEEVLSHAEPVKKKVSRLPIARRGLGSRGTKIQLLANHFKVNVAKSDGHFFHYSVAFTYEDGRPVEGKGVGRKIMDRVQETYHSDLNDKDFAYDGEKSLFTLGSLPRNKLEFEVVLEDVTSNRNNGNCSPDGNGDNESDRKRMRRPYRSKSFKVEISYAAKIPMQAITNALRGQETENFQEAIRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIITPGPVVDFLISNQNVRDPFQLDWVKAKRTLKNLRIKTKPSNQEFKINGLSELPCREQTFTLKGKGGGDGGDGNEEITVYDYFVNVRKIDLPYSADLPCINVGKPKRPTYFPIELCELVSLQRYTKALTSLQRASLVEKSRQKPQERMKILSDALRCSNYGSEPLLRNCGISISTGFTEVEGRVLPAPRLKFGNGEDLNPRNGRWNVSSKRFVEPSKIERWAVANFSARCDVRGLVRDLIRIGDMKGISIEQPFDVFDESPQFRRAPPMVRVEKMFETIQSKLPGAPQFLLCLLPDRKNCDIYGPWKKKNLADFGIINQCMCPSRVNDQYLTNVMLKINAKLGGLNSLLGVEHSPSLPIVSKAPTLILGMDVSHGSPGQTDIPSIAAVVSSRHWPLISKYRACVRTQSAKAEMIDNLFKQVSEKEDEGIMRELLLDFYSTSGRRKPENIIIFRDGVSESQFNQVLNIELDRIIEACKFLDENWEPKFTVIVAQKNHHTRFFQPGAPENVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEVGFSPDQLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGHFMKFEDKSETTSSHGGGSGAGAVPVPQMPPLHEVVRNTMFFC >Vigun03g266300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43637221:43639855:-1 gene:Vigun03g266300.v1.2 transcript:Vigun03g266300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMREQDKVIEMPSTLGYNLPNRNSSSSKLSSPIGERSEQPPQSHTLLFTDPPQTTSHHHHHHPLNPPISLPPNPPRPRRDPDPTPVSPPAITTPRTQPHSTGTFTTTFRYRECLKNHAASMGGHVTDGCGEFMPNGEEGTPESFKCAACECHRNFHRKESEGESSQHVLNYHLTYPGKTNRNTVLHSPQSHLHGVVATPSGGPVQPAMLGFGGTPAESSSEDLNMFQSDDAGQLLSVQPPLSSSKKRFRTKFSPQQKDKMMEFAEKLGWKIQRQDEQELHQFCSQVGVKRQVFKVWMHNSKQAMKKKQM >Vigun03g429900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63427350:63429123:-1 gene:Vigun03g429900.v1.2 transcript:Vigun03g429900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPDPPSAVPLALIPLPLPAPPSSRRLPPPCWSPDETLALIDAYRDKWYSLGRGNLKATHWQEVADAVANRCPNASPPKTPVQCRHKMEKLRKRYRTEIQRARSLPVSRFNSSWVHFKLMDSMEKGPSPVKPEHDSDSDNDDDENEDDQDLYQEINHNGHTRSLNKLYRNGFPGSGGAGGFRIRIPTGMSPAQPGSGSRMFGKVGNQKFSPNLNQNPNPNSNFGKKRERDPVGEVVAAIKVLGDGFVRMEQMKMEMAREIESMRMEMEMKRTEMILESQQRIVEAFAKAVSEKKKAKTVSSPQQP >Vigun09g047400.2.v1.2 pep primary_assembly:ASM411807v1:9:4522067:4522558:-1 gene:Vigun09g047400.v1.2 transcript:Vigun09g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMVIVSLSSLVLLFGAMVELPQALAHSPTKPPLHNAPSEAPSPTYDPPTHTTPSFYKPPTQKPPFEKPPFYTSPFEKPPLFVPPFKTPPFPMPPFEKPPLSAPPFTMPPFEKPPLFVPPFKIPPPPPPLHMLPYPKFFPMYCTCF >Vigun03g230100.1.v1.2 pep primary_assembly:ASM411807v1:3:38309848:38312557:1 gene:Vigun03g230100.v1.2 transcript:Vigun03g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLLRRLYLSLYNWTVLFGWCQVLYFVLKTLNESGHEHVYDAAEKPLLFSQTAAVLEILHGLVGLVRSPITATLPQISSRLFLTWGILWSFPETQSHVLVTSLLISWSIAEIIRYSFFGFKETFGFTPSWLLWLRYSSFIVLYPTGISSEIGLIYIALPFIKASEKYCIRMPNKWNSSFDYFYAAIAALGIYVPGTPHLYTYMLAQRKRALSKSKRE >Vigun04g016600.1.v1.2 pep primary_assembly:ASM411807v1:4:1217286:1222638:1 gene:Vigun04g016600.v1.2 transcript:Vigun04g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSNGGQASSFNIPPIKFTKLFINGQFVDSLSGKEFETIDPRTGEVITKIAEGGKEDIDIAVKAARVAFDHGPWPRMPGVERARIMMKWADLIEQNIDEIAALDAIDAGKLYHYCKVGDIPAAAATIRYYAGAADKIHGEVLKASGKFHAYTLLEPVGVVGHIIPWNFPTTMFVAKVSPSLAAGCTMVLKPAEQTPLSALYYAHLAKLAGIPDGVLNVVPGFGRTAGAAISSHMDIDLVSFTGSTEVGREIMRSAASSNLKQVSLELGGKSPVIVFDDADVNKAAELALLGIVFNKGEICVAGSRVLVQEGIYDEFEKKLVEKAKAWVVGDPFDPKAQQGPQVDKKQFEKILSYIEIGKKEGATLLTGGKRVGDKGYYIEPTIFSNVKEDMRIVQEEIFGPVMALMKFKTIDDAIKIANSTKYGLASGIVTKSLDTANTVSRSLRAGIIWINCYFAFGNDIPYGGYKMSGFGRDFGLEALHKYLQVKSVVTPIYNSPWL >Vigun05g301300.2.v1.2 pep primary_assembly:ASM411807v1:5:48454616:48458108:1 gene:Vigun05g301300.v1.2 transcript:Vigun05g301300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISGIRLHGINRRTRKEDKVLQNLSPFLSLSLSIYIYQFLLSFLVFIFSVHGGSMAVEIELATAVYLGAYDDEDAAARAYDLAALKYWGSETVLNFPLSNYEEQLKEMEDQSKEEYIGSLRRKSSGFSRGVSKFRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTEEEAAVAYDMAAIEYRGLNAVTNFDLSRYMKCLRPKDQSQPLIQAQHHGPSPTTPSGSESQNATAALGPPPPSPPPSSPSTSNPPPPAPRTFPEDIQTFFETQDSAGIYTDTEDIIFGDLTSIASPIFHCDLNA >Vigun05g301300.1.v1.2 pep primary_assembly:ASM411807v1:5:48454310:48458108:1 gene:Vigun05g301300.v1.2 transcript:Vigun05g301300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSHKTLSVDAPMLKNKKKRPRKTLPRDTPLPRTSPYRGVTRHRWTGRYEAHLWDKTSWNQSQNKKGRQVYLGAYDDEDAAARAYDLAALKYWGSETVLNFPLSNYEEQLKEMEDQSKEEYIGSLRRKSSGFSRGVSKFRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTEEEAAVAYDMAAIEYRGLNAVTNFDLSRYMKCLRPKDQSQPLIQAQHHGPSPTTPSGSESQNATAALGPPPPSPPPSSPSTSNPPPPAPRTFPEDIQTFFETQDSAGIYTDTEDIIFGDLTSIASPIFHCDLNA >Vigun05g301300.3.v1.2 pep primary_assembly:ASM411807v1:5:48454616:48458108:1 gene:Vigun05g301300.v1.2 transcript:Vigun05g301300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISGIRLHGINRRTRKEDKVLQNLSPFLSLSLSIYIYQFLLSFLVFIFSVHGGSMAVEIELATAVYLGAYDDEDAAARAYDLAALKYWGSETVLNFPLSNYEEQLKEMEDQSKEEYIGSLRSSGFSRGVSKFRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTEEEAAVAYDMAAIEYRGLNAVTNFDLSRYMKCLRPKDQSQPLIQAQHHGPSPTTPSGSESQNATAALGPPPPSPPPSSPSTSNPPPPAPRTFPEDIQTFFETQDSAGIYTDTEDIIFGDLTSIASPIFHCDLNA >Vigun10g167300.7.v1.2 pep primary_assembly:ASM411807v1:10:38637503:38640772:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun10g167300.6.v1.2 pep primary_assembly:ASM411807v1:10:38636096:38640772:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun10g167300.3.v1.2 pep primary_assembly:ASM411807v1:10:38637496:38640854:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun10g167300.5.v1.2 pep primary_assembly:ASM411807v1:10:38637000:38640773:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun10g167300.2.v1.2 pep primary_assembly:ASM411807v1:10:38636348:38640810:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun10g167300.1.v1.2 pep primary_assembly:ASM411807v1:10:38636344:38640853:1 gene:Vigun10g167300.v1.2 transcript:Vigun10g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIEKRSGSTHPYALGCSSWGASSESDVQQSSMSRGLTLKMGVLPQQCHKTKPLNLQYQDRDSSSSQSTGQSYPEVGSAQSGQISVQCSNSSACSTLNTTGRKSMEGVIRSSVRGQDFTFPPSQLCHNRPLAHTAFHLAEPCFSGLLASPYGPQPNIHPAQLIGMATTRIPLPLDLSEEPIFVNAKQYHAILRRRQYRAKLEAQNKLIKERKPYLHESRHLHALKRARGSGGRFLNTKKHEESKPSSQNHGLDVSSCTHLKLRGNMSESKARLNYRDGAFTTTCSDFTTASNSGDLFQQHQPDFRLCGYPSHLGRNMQGYSADISGGRGGGNQHRLSVLM >Vigun08g018500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1569853:1571558:1 gene:Vigun08g018500.v1.2 transcript:Vigun08g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSAHFLKTLTLFFFFFSCVSSTNTFFTLQFKEAPQFYNSPKCPSLSDNLLCSEEAVHVAMTLDTTYIRGSMAAILSVLQHSSCSQNVFFHFVCSSHASLLRATIATSFPYLNFQIYPFHDHLVSGLISTSIRAALDCPLNYARSYFPTLIPRCVKRVVYLDSDLVLVDDIAKLAATPLGEHSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFAERRACYFNTGVMVIDLERWRVGEYTRKIEEWMEVQKRMRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANKPCPLDALWSPYDLLRTPFSLDS >Vigun07g014400.1.v1.2 pep primary_assembly:ASM411807v1:7:1300012:1302289:-1 gene:Vigun07g014400.v1.2 transcript:Vigun07g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVTRESLKPGDHIYSWRTAYIYAHHGIYVGDDKVIHFTRRGQEVGTGTALDLLLVSSGPARPRESCPTCTAPQEEHGVVSSCLNCFLAGGVLYRFEYAVSPALFLAKARGGTCTLAVSDEDDVVVHRAKHLLENGFGCYNVFKNNCEDFAIYCKTELLVAEQGRIGQSGQAISIIGGPLAAVLSSPLRMVTTNVYGMAVTAVGVYCASRYATDIGMRGDVVKVPVEELTRRLATGFLQVVESQMPMNLAHQSPQLVTQ >Vigun08g091000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21211973:21213214:1 gene:Vigun08g091000.v1.2 transcript:Vigun08g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPEMKINTESSKAFSSSSAAASSEKKKKFKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPSSSSSHYIPQDTVMSPKSIQRVAAAAAAAATTTPFSPSPPPSPPSPPLASTSSSSLVSSPSISSSSPSDQIDDDVSLLSPFGAYTPPPPSLNCDQAHESMAMMEYWYDLEALQSPKYADQMLSGAFFDIDSTHLFDDLYEETDIRLWSFC >Vigun06g055700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18217456:18218713:-1 gene:Vigun06g055700.v1.2 transcript:Vigun06g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIERFMKVWISSMISLSYCYYIVSRIPKGLLRFLSLLPIFYLFFILPLYLSSPTLVGTTSFFLWLAIFKLLLFSFNQGPLALIKPQNILLFFSIASLPITPKQNPPKQNHITNKPKWLFPLKLLLFAMIIRVYDYKQNLHPNLFLPIYCSHVYLSLDLLLIFIGATVRTAFGFEIEPQFNEPYLSTSLQDFWGRRWNLMVSHLLRPTVYNPTRTVLTSFVSFSCASSAAMLLTFLASGLMHELIYYYLTRVTPTWEVTCFFVLHGVCTVVEVAVKKVAGHREWRLHGLVSGPLVISFLAITANWLFFPQLLRNGVDTKATEEYALLIEIFKSKFSFQSVVIQ >Vigun05g084400.1.v1.2 pep primary_assembly:ASM411807v1:5:8003386:8011291:-1 gene:Vigun05g084400.v1.2 transcript:Vigun05g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKRKKKNKKKRNKQSKNSENGVGEIANRDHNLESNGKDEHSILSETANEKNSNGVGETSIGNENMVNKGKDEHARLSGVTNEQSVNEVRNGVVEAPNTDQNLVKSGKDENIPTLEYADAQNIGMGLNGHLPNGKECTTSEETIKKLKEENDMLIQNETLSKETIRKLKAEKDMHIQKEIASEETIRKLEEKNDMHVQKEVTSEDTIRNLKGENDIHIQKEALALETIRKLKEENKLQVQKAVALEEIINNLRTDNELHTHEQTSLEIRIGQLQIENNSLVQKEVELVEKTKQLLDEKGSLEQKINLLENDLSSSREKEVGLEIRVAQLQSENNSLLQQGATLEDKINQLLNEKAVLSLKGESLEQKIYVLENEFSSFAEKANSTEETTNLNGDIAMLKAQVAELEESSSNLLLENQQLREKVSSLQSIVQNLENNNSSSHSRDTSQQNLASENEDLKSQIDATFTLVEKLMSENAELVEKVTELCVQLEQRSAKVEHSGVTEPGGINEFTKPVGLNIPVPESTETASGSAPEFNSSEEDTSVKDSGKSIDAKRVVRVMSNSSLVSDDTGEIVQIPLDDNEVQDSDSHDDDSNVENDVPITDAPLIGAPFRLISFVAKYVSGADLVEQTSSNTAR >Vigun04g014100.1.v1.2 pep primary_assembly:ASM411807v1:4:1022052:1024064:1 gene:Vigun04g014100.v1.2 transcript:Vigun04g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVKMNKEIYGKTCIYWRAGRCNRNPCKFMHAETPSTHTSYPGINAKSKICGKKHHSSYKIISKSKKTLVQKSEDRDGTNVVVSKKSSRTICKYWTNNRCLYSEQCINLHSWFQSDEFSTIAELHQHKKAITGITLLAGTNKLYSGSTDGTVRIWDCHTGQSLKVINFGTEVNSLISEGPWIFVGLKNAIKAFNTKTNLEYTLDGPKGRILHMAVGNDILFAGAEDGVITAWRESSETKSPFELAGSLIGHTESVVCLIVSLNVGWNMLYSGSMDQSIKVWNIDTLQCTMTLNEHIGVVTSLLCWEKYLFSSSYDGTIKIWAMTEVGTLAVGIISLFGMHDANGKPILFSSCTGNLVCMYELPSFSERGRLFAKKEITSFGLVADGGLFLTGDGTGLLRVWKWNELPKVASN >Vigun05g164700.1.v1.2 pep primary_assembly:ASM411807v1:5:26902838:26905526:-1 gene:Vigun05g164700.v1.2 transcript:Vigun05g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLFIIFSLSVMAAIILNNQRAKKKPSKPRPPGPRGLPIIGNLHQIDSSKLNIQLEQLSKVYGPLFSLKLGFKKAIVVSSAKLAKDILKDHDIDVCTRPPSRGPLKLTYNGQEMIFSPFNDYWREIRKICVVHFFSSKRSSSFSSVRKSEVKQMMEILSGHARSSKLTNLSELMMSVSSGIICRVAFGRKYDEEGAERSRFHGLLKDSQAMLLSFFVSDYIPFLGWIDKLTGLFGRLENTFKALDVFFQEVIDDHLDPNRVKQNEEDEDIVDVLLELKKQGHLSIDLTHDQIKAIILDILVAGTDTTAATSVWVMTGLMKNPRAMAKAQEEIRNACGKKEFIEEEDVEKLVYLQAVIKETLRFYAPTPLLPREAIRSFTVDGYEIEAKTILYVNGWAIQRDPEAWKDPEEFWPERFLKSGIDFKGHDFEFIPFGAGRRICPGISLGITSVELITANLLNSFQWELPAGMKPEHIDTEGLPGLARHKKNHLFAFANKRI >Vigun06g033200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14155585:14156129:-1 gene:Vigun06g033200.v1.2 transcript:Vigun06g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMAYGLAITRLSEGQMPTTYDEYKPLFAPCVPYLVSQEFSTPTPSCCAGAAQQLTKENNPAALKNLCTCLDASTANLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun06g123900.1.v1.2 pep primary_assembly:ASM411807v1:6:25120439:25121327:-1 gene:Vigun06g123900.v1.2 transcript:Vigun06g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFFLLFLLFLHESIFFFSKKSYFHSSSSTKKATLENDNKTFRPQDLLHQRVFLYFLFGLLHQRVFFYFLFGDIYLDICS >Vigun08g077250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:14284829:14285170:1 gene:Vigun08g077250.v1.2 transcript:Vigun08g077250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMKVVVAMMVVVVVVVMKVVVVVVVMVMLVMVVVEVEVVVVVVDVVLVVVVVVVVVVVDVVLDCGGSGGGGDGSSGGDVVCGSGSNGSACGCAGSDFVACGGGDGGRKTNL >Vigun10g008200.2.v1.2 pep primary_assembly:ASM411807v1:10:763835:776196:-1 gene:Vigun10g008200.v1.2 transcript:Vigun10g008200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWKLFFVALMPVLKVLLITALGTILAINRFDILGETARKNLNTMVYFVFSPTLVYSSLAETITLRSVVMLWFMPINILLTFVIGSVLGLLVVKLTRVPHHLQGLVLGCCAAGNLGNLPIIIVPAVCKQSGNPFGDVNVCYKNALAYASLSMALGSIYIWSYAYNLVRLYAPKICNEAKIAENSMVNPMSITKTDPENPSTCSRGLPFLSVVGDKSQSQDHVKNFEIQCTNCDDGKKEEAERGEMIMKQLKTLVKKINLKILFTPSTIGAIVGLIIGVVPQFRKLLIGDKAPLHVVEDSIVMVGYACIPVMTLLVGANLIKGLNGVGKQLPLIVGITVIRCIVLPGIGVGIVKGVVRLGFIHNDPLYQFILLLQFALPPAVSLSTITQLFGAGEGECSVIMFATYSCAAVSVTLWCTFYMWLVL >Vigun10g008200.3.v1.2 pep primary_assembly:ASM411807v1:10:763761:769535:-1 gene:Vigun10g008200.v1.2 transcript:Vigun10g008200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWKLFFVALMPVLKVLLITALGTILAINRFDILGETARKNLNTMVYFVFSPTLVYSSLAETITLRSVVMLWFMPINILLTFVIGSVLGLLVVKLTRVPHHLQGLVLGCCAAGNLGNLPIIIVPAVCKQSGNPFGDVNVCYKNALAYASLSMALGSIYIWSYAYNLVRLYAPKICNEAKIAENSMVNPMSITKTDPENPSTCSRGLPFLSVVGDKSQSQDHVKNFEIQCTNCDDGKKEEAERGEMIMKQLKTLVKKINLKILFTPSTIGAIVGLIIGVVPQFRKLLIGDKAPLHVVEDSIVMVGYACIPVMTLLVGANLIKGLNGVGKQLPLIVGITVIRCIVLPGIGVGIVKGVVRLGFIHNDPLYQFILLLQFALPPAVSLSTITQLFGAGEGECSVIMFATYSCAAVSVTLWCTFYMWLVL >Vigun10g008200.1.v1.2 pep primary_assembly:ASM411807v1:10:763762:769532:-1 gene:Vigun10g008200.v1.2 transcript:Vigun10g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWKLFFVALMPVLKVLLITALGTILAINRFDILGETARKNLNTMVYFVFSPTLVYSSLAETITLRSVVMLWFMPINILLTFVIGSVLGLLVVKLTRVPHHLQGLVLGCCAAGNLGNLPIIIVPAVCKQSGNPFGDVNVCYKNALAYASLSMALGSIYIWSYAYNLVRLYAPKICNEAKIAENSMVNPMSITKTDPENPSTCSRGLPFLSVVGDKSQSQDHVKNFEIQCTNCDDGKKEEAERGEMIMKQLKTLVKKINLKILFTPSTIGAIVGLIIGVVPQFRKLLIGDKAPLHVVEDSIVMVGYACIPVMTLLVGANLIKGLNGVGKQLPLIVGITVIRCIVLPGIGVGIVKGVVRLGFIHNDPLYQFILLLQFALPPAVSLSTITQLFGAGEGECSVIMFATYSCAAVSVTLWCTFYMWLVL >Vigun07g225300.2.v1.2 pep primary_assembly:ASM411807v1:7:34753509:34755859:-1 gene:Vigun07g225300.v1.2 transcript:Vigun07g225300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGLYGVLVAFYIVLCLFLFFILTFSWWVFPNQKLKMLKKCGLEGPTPSFPLGNIEEMKKKSIIQSSVVSSNLPHDIHSYVFPYFSSWQKSHGKVFVYWLGTEPFLYIAEPEFLKKMSTVVMAKRWGKPSVFRNDRDPMFGSGLVMVEGNDWVRHRHIVAPAFNPINLKGMANMMVESTNQMIDRWVTQINSFNPEIDVESEIITTAGEIIARTSFGMKDDNARDVFDKLRALQMTLFKTNRYVGVPFGKYFNVKKTLEAKKLGKEIDELLLSIIESRKKSPTKNGQQDLLGLLLQGNHEVDGRSGKTLTSQEVVDECKTFFFGGHETTALAITWTLLLLAMHPDWQNQLRDEIREVMGGGDKLDITLLSGLKKVRSLMLL >Vigun07g225300.1.v1.2 pep primary_assembly:ASM411807v1:7:34753379:34755858:-1 gene:Vigun07g225300.v1.2 transcript:Vigun07g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGLYGVLVAFYIVLCLFLFFILTFSWWVFPNQKLKMLKKCGLEGPTPSFPLGNIEEMKKKSIIQSSVVSSNLPHDIHSYVFPYFSSWQKSHGKVFVYWLGTEPFLYIAEPEFLKKMSTVVMAKRWGKPSVFRNDRDPMFGSGLVMVEGNDWVRHRHIVAPAFNPINLKGMANMMVESTNQMIDRWVTQINSFNPEIDVESEIITTAGEIIARTSFGMKDDNARDVFDKLRALQMTLFKTNRYVGVPFGKYFNVKKTLEAKKLGKEIDELLLSIIESRKKSPTKNGQQDLLGLLLQGNHEVDGRSGKTLTSQEVVDECKTFFFGGHETTALAITWTLLLLAMHPDWQNQLRDEIREVMGGGDKLDITLLSGLKKMKCVMNEVLRLYPPAPNVQRQAREDIKVDDVTVPNGTNMWIDVVAMHHDPELWGKDANEFRPERFMDDVNGGCKHKMGFLPFGFGGRMCVGRNLTFMEYKIVLSLVLSRFSFKVSPDYKHSPSIMLSLRPSHGLPLIVEPL >Vigun04g026300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2026115:2026773:1 gene:Vigun04g026300.v1.2 transcript:Vigun04g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELREVKIVDANIGFFGNGYAIWLMVFVTFCIIPAIIFSCADGVSKEKSSAADEEPYGAACGAGCGAGCGAGCGA >Vigun05g097000.1.v1.2 pep primary_assembly:ASM411807v1:5:9410924:9412605:-1 gene:Vigun05g097000.v1.2 transcript:Vigun05g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLPAWSFQNYFEARDTHVILFAKFLNGVADTSCSSSVPFSWEQAPGKPKAMEGSDSIYGDIDTPRLRPPPCLWHPLEEAAKAEVYTNVLAFDEDDGCDGDNEEDDDKKNDSFSDAMDVLSLSEALDIMQQSDTALSESNNGFRLKVSESDGCESPTYMINRFLPDANALAASSEGFLKGSTKHSYAFSPKGCGLEFIFSWLVKNNKLCGIKSPVLPSSTNVLKSRHSSEHKKHRLSIHRPCKHVKEHT >Vigun03g103800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8967365:8968554:1 gene:Vigun03g103800.v1.2 transcript:Vigun03g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVNVIIKEDLLHDGEQKLPLLHNMKVPDADRSLIQRAISQTFQSTAHLANLLPTGTVLSFQLLSPIVTNQGNCDSVCKIMTATVVALCAVSCFLQCFTDSFRDDKGNVCYGVATFKGLWVIDGSTTLPPQLGAKFRLMFIDFVHAVMSILVFAAVALFDQNVVNCFFPSPSTQAREILTVLPVIIGIFCSMLFVAFPTQRHGIGFPLSPN >Vigun04g052300.2.v1.2 pep primary_assembly:ASM411807v1:4:4803891:4810424:1 gene:Vigun04g052300.v1.2 transcript:Vigun04g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVVRREEPQRSVRSVKYGECQKNHAANVGGYAVDGCREFMASGGEGTSAALTCAACGCHRNFHKRMVETEVV >Vigun04g052300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4804279:4804545:1 gene:Vigun04g052300.v1.2 transcript:Vigun04g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVVRREEPQRSVRSVKYGECQKNHAANVGGYAVDGCREFMASGGEGTSAALTCAACGCHRNFHKRMVETEVVCECSSPPSIGT >Vigun03g371900.1.v1.2 pep primary_assembly:ASM411807v1:3:57466188:57474619:-1 gene:Vigun03g371900.v1.2 transcript:Vigun03g371900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDCGRKSEYMGGQRENFLRLEALDSTLSSSSDAGVIKSGFSIDKLGHGGHGSSTTSRSFKRGMRRGSEGLKSIGRSLGLGVSRAVFPEDLKVSEKKIFDPQDKFLLLWNKLFVTSCILAVSIDPLFFYLPVINNSFRCLGIDRKLATIVTTLRTVIDAFYLMHMALQFRTAYIAPSSRVFGRGELVIDSAQIAKRYLQRYFIIDFLSVLPIPQIVVWRFLLRSKGSDVLATKQALLFIILFQYVPRFLRMVPLTSELKRTAGVFAETAWAGAACYLLLYMLASHIVGAFWYLLAIERNDSCWQKACSDNGSLCDKNFLYCGNQLMKGYSAWNNRSQDILNSACSAEGDPAPFDYGIFAQVLTSGIISSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWLATRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTEHTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSFLKEADFCGEELLTWALDPKSGSNLPSSTRTVKALMEVEAFALTADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKKKLMKLRQKEEDADESEGSHETGGGSSYSFGAALLASKFAAHTLRGVHRNRLAKTARELVKLQKPPEPDFSADDAD >Vigun07g007000.1.v1.2 pep primary_assembly:ASM411807v1:7:594081:598999:1 gene:Vigun07g007000.v1.2 transcript:Vigun07g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRVSDYAEDGTVDLKGKPVLKAKSGGWKACSFLLVYEVFERMTYYGISSNLVLYLTRKLHQGTVTASDNVNNWVGTNYITPILGAYVADAHLGRYWTFVISSVIYLLGMCLLTLSVSLPSLKPPECHEMDVTKCKKATTLQLGVFYGALYILAVGGGGTKPNISTIGADQFDDFDPKEKAYKLSFFNWWFSSIFVGTLFSFTVLVYIQDNVGWALGYGIPTIGLAIAFITFMAGTPFYRHRLASGSSFTRIARVIVAAVRKRNVNVPVDSTQLHELDVEEYRKKGKFRINSTHTLRFLNKACVRSSSSTSEWMLCTVTQVEETKQILRMIPIWVATFIPSTMLAQTNTLFVKQGVTLDRHIGRFNIPPASLIAFTSFTMLVCVVLYDRVFVKIMKRFTKNPRGITLLQRMGIGIMIHIVTMIVASLTERYRLKVAKEHGVVQNGKQVPLSIFILAPQFVLMGLGEAFLEVSKIEFFYDQAPESMKSLGTSFSITTVGIGSFISSFLLSTVSSITKKNGHKGWVLNNLNASHLDYYYAFFVVLNFLNFIFFMFAAKYFVYRAEISDSIDVLAQELKEKRCDVSN >Vigun07g007000.2.v1.2 pep primary_assembly:ASM411807v1:7:595803:598999:1 gene:Vigun07g007000.v1.2 transcript:Vigun07g007000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAVYEVFERMTYYGISSNLVLYLTRKLHQGTVTASDNVNNWVGTNYITPILGAYVADAHLGRYWTFVISSVIYLLGMCLLTLSVSLPSLKPPECHEMDVTKCKKATTLQLGVFYGALYILAVGGGGTKPNISTIGADQFDDFDPKEKAYKLSFFNWWFSSIFVGTLFSFTVLVYIQDNVGWALGYGIPTIGLAIAFITFMAGTPFYRHRLASGSSFTRIARVIVAAVRKRNVNVPVDSTQLHELDVEEYRKKGKFRINSTHTLRFLNKACVRSSSSTSEWMLCTVTQVEETKQILRMIPIWVATFIPSTMLAQTNTLFVKQGVTLDRHIGRFNIPPASLIAFTSFTMLVCVVLYDRVFVKIMKRFTKNPRGITLLQRMGIGIMIHIVTMIVASLTERYRLKVAKEHGVVQNGKQVPLSIFILAPQFVLMGLGEAFLEVSKIEFFYDQAPESMKSLGTSFSITTVGIGSFISSFLLSTVSSITKKNGHKGWVLNNLNASHLDYYYAFFVVLNFLNFIFFMFAAKYFVYRAEISDSIDVLAQELKEKRCDVSN >Vigun07g007000.3.v1.2 pep primary_assembly:ASM411807v1:7:596312:598999:1 gene:Vigun07g007000.v1.2 transcript:Vigun07g007000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLTLSVSLPSLKPPECHEMDVTKCKKATTLQLGVFYGALYILAVGGGGTKPNISTIGADQFDDFDPKEKAYKLSFFNWWFSSIFVGTLFSFTVLVYIQDNVGWALGYGIPTIGLAIAFITFMAGTPFYRHRLASGSSFTRIARVIVAAVRKRNVNVPVDSTQLHELDVEEYRKKGKFRINSTHTLRFLNKACVRSSSSTSEWMLCTVTQVEETKQILRMIPIWVATFIPSTMLAQTNTLFVKQGVTLDRHIGRFNIPPASLIAFTSFTMLVCVVLYDRVFVKIMKRFTKNPRGITLLQRMGIGIMIHIVTMIVASLTERYRLKVAKEHGVVQNGKQVPLSIFILAPQFVLMGLGEAFLEVSKIEFFYDQAPESMKSLGTSFSITTVGIGSFISSFLLSTVSSITKKNGHKGWVLNNLNASHLDYYYAFFVVLNFLNFIFFMFAAKYFVYRAEISDSIDVLAQELKEKRCDVSN >Vigun05g276800.1.v1.2 pep primary_assembly:ASM411807v1:5:46652992:46659484:-1 gene:Vigun05g276800.v1.2 transcript:Vigun05g276800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQQKRGKQEICSDGAEKVIVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRRLWGFPRFAGDCASGHKKSSSGSSSSEQKCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKKAQANWVVLDKQLRHEEKQCMEELQCNIVVMKRSQPKVLRLNLVGTKKKDLEELCSLPSEQDPMLGKQTKNKNDSLNSLKGPVVTPSSSPELGTPFTATEAGTSSVSSSDQGTSPFFISEINIESKKEETIKENPELDDSISDTDSESLSTSSASLRFQPWITDLLLHQRSSQPKEERTERSYNRNQLSTTRALLEKFSRLDREAEIEISTYKTDLDFSGNVREAIALSRNTPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYAGRQRKPLEWSARQKVAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIEELIDPRLGSHYSEHEVYCMLHAASLCIRRDPYSRPRMSQVLRILDGDTVMDPNYVSTPSYDVGNRSG >VigunL010602.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000179.1:46936:47247:-1 gene:VigunL010602.v1.2 transcript:VigunL010602.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKTVHVQSKILYEVEPYFNVRKDHVLMCIKSSIYRQISRFTTGIHFLQGTSIFVNKYLKLCFSIMSWQIVSLFPIIEYVPYQEFRTDSRHHSFKVLIQK >Vigun05g246400.1.v1.2 pep primary_assembly:ASM411807v1:5:43951181:43957791:1 gene:Vigun05g246400.v1.2 transcript:Vigun05g246400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPESHVAQQIRREKLRIQNSSQPLHEFPNNLEPLSLHPGFNNFDLLHVRNVRNANMLDEQVVYPPEMPNFSTSLNPLSAPKNALEYHQEIDAAEASNRLMMNQYGSFSHSMPATHSSHKEQCELRNLGNWRNSAPQQGSDWFVNYPSNANSFLSSELNNVSSYNELMDVQCSNASDEISGRQIQKQLGGLHNPPPPSPLYQNALQDIVKSASIGAHTRQDMTSLMQQNDHSIWVGNGSEVELQQQSYDNQPNPLRFGWTNRAIDNIPSDSLPQSLSLSLSSNAQPKPSVSQHEQGSTSDDPRCLKNMKPSIVSRDSAKSLQDTVGMPSKSTMSYRSVGPLGPFTGYATILKSSRFLKSVQQLLDEIFCVSGAKFAKSYDVSERVSPEISASTSADTVTVNETGVTAKGSNSGSSSTMLYNVSKENSADLGVGSSFGLSSRPDYQQKKAKLLYMQEEVTRQCKQYHLQMQMVISSFESVAGLGSATPYISMALKSVSKHFRCLKNSISDQLKLMSEALGEDVSKPSCSSGSKADTTMARIRCSMDQSFLKNKSGRGGTTDLLDPQQHVWRPQRGLPERAVAILKAWLFEHFLHPYPTDTDKHMLASQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKATGTREKSGKHDQGTSSGPEGGDTSQTRVDKPLSNIGMNSIPENRFEGMEMESSNAEERGLNEEQWSQEKRSKLECQMTSNNMDGTLMGFVPYRRGGLEVGGLGSVSLTLGLRHGVEGVQHQQQLQEEQLRHHHLGGHMIRDYVG >Vigun10g122100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32968883:32970029:-1 gene:Vigun10g122100.v1.2 transcript:Vigun10g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSRTLEITVISGENVRVKEDAYVVVRAESLNCCTTRTAKDDGTNFLSWNEKFLLEVPVHARSITFEVQCSKFKGFRPLGVARIAASDFLGGGAVPENQLQQLSYGLRDWEGKRNGVIHFAVRVAPPAPPVEECLKPAVGQAVTGMGCGDRDRIKVGGKSKGVVVGVPLWWNHPNVV >Vigun05g209100.1.v1.2 pep primary_assembly:ASM411807v1:5:39976276:39978976:-1 gene:Vigun05g209100.v1.2 transcript:Vigun05g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCINLWIVVALFVPVLLSLRSRRGETQTQTTPSSSSASFSDSSSFSVKLANTFQFNQRIRDGSNLEYDFYKDTCPQAEGIVRSALTRIYFDHRDVAPALLRLFFHDCFIQGCDASLLLDENDGNSNSSVEKQAVPNQTLRGFDKIDLIKEEVEQACPGVVSCADIVSLAARDSVLLAGGPFYPVLTGRRDNHQSFFEEATDQIPRPDDNVTRTLHLFNLRGFNARETVSLLGGHNIGKIGCDFIQQRLYNFQDTRQPDPSIPPDFLRQMRLNCPNNSNTNNNKDEFMTSKPMSSVFHSKSGMSYMQALSSSVSSGSTFDTHYYQSLLRGRGLLFADQQLMAEDKTAKLVSAYASDDGSTFRMDFARVMLKMSNLDVLTGLQGQVRLNCSRPVTS >Vigun10g080600.1.v1.2 pep primary_assembly:ASM411807v1:10:22491509:22493768:-1 gene:Vigun10g080600.v1.2 transcript:Vigun10g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEKPKSGCFPGLLRVLLCAGNATSPPVHPSDHFTESDESEKAHSTKETLVVNDGSTPGVVARLMGLDSLPNSKWALKGATPDSVPRSRSVNFVDYLLEFDASHGIHRRVKTSSSFREVPGLVQNNQKGNNNNLFVFCMDDDKDEEVKKLETGLGEVRKGKRQGSKNKESVSVKKERNVGKNRKISKLKNEPRRDPSSKHGSKGRNLEGKDLSSVSSGSSKCSSCSARQNGGGSRSRSNTYLPKKQKKGLVETKDKRDIRNQKLLLKVESECSLENLSPVSVADSNDYYPFLYETDFLDGTSTIASKSKRVSPSLLSLDGDIEDSASTNEDYTFIDVNKETEYLSEMMLQLRTLTEHDVKESDCTLKHIRESESFRDICLVFEHKIFDHLLYEVVSEVSELCC >Vigun05g070700.1.v1.2 pep primary_assembly:ASM411807v1:5:6082502:6086053:-1 gene:Vigun05g070700.v1.2 transcript:Vigun05g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTRYAVVTGANKGIGFGICKQLVSNGVTVVLTARDEKRGIEAVEKLKEFGVSDQVLFHQLDVTDPKSIESLANFIKTHLGKLDILVNNAGISGTNVDHDALAAAREKADSVDWRKFAYENYESTEACIRTNYYGAKLMCEAFIPLLELSDSPRIVNVSSTMGKLERIPNEWARGVLSDVESLTEEKVDEVLKKFLNDFKEGSLETNGWPPGLSAYIVSKASLTAYTRVLAKKHPSFCINAVCPGFVKTDLNFNIGYLGVDEGAESVVRLALLPNGAPSGLFFYRSEVASV >Vigun06g094100.1.v1.2 pep primary_assembly:ASM411807v1:6:22558874:22565346:1 gene:Vigun06g094100.v1.2 transcript:Vigun06g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHSEFIHKRIMISGFMSWCWFSSVTNMVVQVHLSTLLPLTVFILLWSLEGAIGDPQILLLNKGCSQYNATDLSTFNQNLNATLDDLRVQVTNQSKHFATAQEARGQDPVYAMFQCRNYLSTTDCAACFAAAAAQIRNCSAGANGARVIYDGCFLRYESAGFLDQTTLPGNSMICGNQTAVGATDFNTTAQQVLKDLQIATPKITGFFAATKTQVAGAAIYAIAQCAETVSESGCLDCLTVGYNNIHVCLPNTEGRAFDAGCFMRYSETAFFSDNQTIDITPFLQQGSSSKKGAIIGGVVGGVALIVILLALVALLRWYKKPKKVRRGNILGATDLKGPVSFRYKDLKAATKNFSDENKLGEGGFGDVYKGTLKNGKIVAVKKLILGPSGKVDDQFESEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANKSLDRFLFGEGNDSLSWKQRYDIILGTAKGLAYLHEDFHVCIIHRDIKTSNILLDDEMQPRIADFGLARLLPEDQSHLSTRFAGTLGYTAPEYAIHGQLSEKADAYSFGVVLLEIVSGQKNSELRADANGEFLLQRAWKLYEENMHLELVDKKLDPAEYEAEEVKKVIEIALLCIQASAGARPTMSEVVASLKSKNSLGQTRPSMPVFVESNLRTRAADTSTSTGSSTSNATASISMPSAR >Vigun06g001400.2.v1.2 pep primary_assembly:ASM411807v1:6:652831:656049:-1 gene:Vigun06g001400.v1.2 transcript:Vigun06g001400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFLFVVCFVGLFILIPLRKIMIVDLKLTFPSGLATAVLINGFHRQGDKMAKKQVSGFLKYFSVSFLWGFFKWFFSGTQGCGFAQFPTFGLRAWKQTFYFDFNMTYVGAGMICPHLVNLSLLLGAVLSFGLIWPLIELRKGQWFPTNLEDSNMKALYGYKVFLTVSLILGDGVYNFVKILVSSFLSVLQKIKNRENGTIDEEGNNNMEEFKQKEVFLRDNISMWIGTGGYMVLSVVSIVVIPLMFPELKWFYVVVAYILAPSLAFCNAYGTGLTDMNMAYNYGKVALFVVAAMSGRENGVVAGMVGCGLVKSVVSVACTLMQDFKTAYYTRSSPKAMFIGQLIGTALGCVIAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAVLSVEGFSALPQHCLQMCYGFFGLAVAVNMVRDLCPKKIGQWMPLPMVMAIPFLVGAYFAIDMCLGSLVVYVWHKVNANRAEAMLPATASGLICGEGLWALPASILALAKIRPPICMKFFPS >Vigun06g001400.1.v1.2 pep primary_assembly:ASM411807v1:6:652831:656049:-1 gene:Vigun06g001400.v1.2 transcript:Vigun06g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTNLRPGEEKKLEIEKEKEVVDTEWDENGKIESWKEQITVRGLVVSLVIGIMYSIIAMKLNLSAGIVPNFNISAALLAYLFIRSWNKVLHKAGFVSKPFTRQENTIIQTCAVSCYSIAVHGGFASYLLGLNTKTYELTGVGTEGNNPNTVKDPGFGWMTAFLFVVCFVGLFILIPLRKIMIVDLKLTFPSGLATAVLINGFHRQGDKMAKKQVSGFLKYFSVSFLWGFFKWFFSGTQGCGFAQFPTFGLRAWKQTFYFDFNMTYVGAGMICPHLVNLSLLLGAVLSFGLIWPLIELRKGQWFPTNLEDSNMKALYGYKVFLTVSLILGDGVYNFVKILVSSFLSVLQKIKNRENGTIDEEGNNNMEEFKQKEVFLRDNISMWIGTGGYMVLSVVSIVVIPLMFPELKWFYVVVAYILAPSLAFCNAYGTGLTDMNMAYNYGKVALFVVAAMSGRENGVVAGMVGCGLVKSVVSVACTLMQDFKTAYYTRSSPKAMFIGQLIGTALGCVIAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAVLSVEGFSALPQHCLQMCYGFFGLAVAVNMVRDLCPKKIGQWMPLPMVMAIPFLVGAYFAIDMCLGSLVVYVWHKVNANRAEAMLPATASGLICGEGLWALPASILALAKIRPPICMKFFPS >Vigun02g204500.1.v1.2 pep primary_assembly:ASM411807v1:2:33787147:33803093:-1 gene:Vigun02g204500.v1.2 transcript:Vigun02g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAALVRCLPCSSVLCRTYLHSHSHACRRFSIPSFPTFSRPSSFLRRRPSRLLPSSSSPPHFRTSSNRFSSFSPRAVLSPSPSPSPAFPQVNDEVALELGFQKVSEEFIPECKSKAVLFRHIKTGAQVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNSKDFYNLVDVYLDAVFFPRCVEDFQIFQQEGWHFELNDPSEDITYKGVVFNEMKGVYSQPDNILGRASQQALFPDTTYGVDSGGDPRVIPKLTFEEFKEFHRKYYHPSNSRIWFYGNDDPNERLRILSEYLDLFDSSLASEESRVEPQTLFSKPVRIVETYPAGEEGDLKKKHMVCLNWLLSDKPLDLETELTIGFLNHLLLGTPASPLRKILLESGLGDAIVGGGVEDELLQPQFSIGMKGVSEDDIHRVEELVTSTLKKLAEEGFDTDAIEASMNTIEFSLRENNTGSFPRGLSLMLQSMGKWIYDMNPFEPLKYEKPLQGLKSRISKEGSKSVFSPLIEKFILNNPHKVTVEMQPDPEKAAREEATEKQILQKVKTSMTAEDLAELTRATRELQLKQETPDPPEALKTVPSLSLQDIPKEPIRIPTEVGDINGVKVLQHDLFTNDVLYTEIVFNMNSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPLTSSVRGKEDPCSHMVVRGKAMAGCVEDLYDLVNSVLQDVQFTDQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWMSEKMGGLSYLEFLQTLEKRVDQDWVDISSSLEEIRKSIFTKQGCLVNVTADRKNLANAEKVVSKFVDLLPTSSPIATTPRNFRLPLTNEAIVIPTQVNYVGKAANIYDVGYQLNGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLDVYDGTGDFLRKLQIDDDTLTKAIIGTIGDVDAYQLPDAKGYSSMLRYLLGITEEERQIRREEILSTSLKDFKNFTDAMEAVKNKGVVVAVASPEDVEAAKKNRPNFFQVKKAL >Vigun09g106050.1.v1.2 pep primary_assembly:ASM411807v1:9:19855131:19857410:1 gene:Vigun09g106050.v1.2 transcript:Vigun09g106050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPSIPVAPKNAPENRSDIAWKHCNSVGGDTRKLQCKYYQKVVTGGVYRLKHHLAGTQKDVGACKDVTNEVKKEIWKIVVGMREEVCQAIARFFYNNAIPFNEAKREEFIAMLDLVLRHGLGFKPLSYREIRVKYLKEEVQNTSLSLQAHRDKWKKMGFEKVFEMIDNILEEVGEENVIQVVTDSAANYKAVGHLLMTKRKRLFWTSCAAHCVDLMLEDYEKKIPIHEEAIPKGKQITTFIYSKSSLICLLQHFTKGKDLVRPGVTRFATLYLTLGCLHENKGALIKIFTSNEWKSSKFAKTNDGKIVEDVVLDKEFWKNIITCLKGALPLIEVLRLVDSDQKPAMGFIYETMDQAKEKIQKTFNAVKKKKTNSMPLHAASYFLNLQMHYRPRFKADLEVKRGLMECITRMVEDEDEHTLIDVQIDDFRKRAKCFRCPLFTRSINLKTLADWWESYGDEYPELQKFAIHVLSLTCSSSEFKKKQTRKPTRINIDDCSSDEEWIMEDEHEQNEALDLDENLILVEVEEDETLHSQDLDMADLNDEGDRNDGLEDCEFNLEDYLV >Vigun09g174650.1.v1.2 pep primary_assembly:ASM411807v1:9:34572597:34578441:1 gene:Vigun09g174650.v1.2 transcript:Vigun09g174650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMQQQNANMVNQHNLALQQMESARLAAETTQQRHLEALHQLGENRSVAGSSQAPPPRVQEWSLEDFLKHHPSRFDGKTTPDEADQWMRDMERIFEAKRCPPESRLAYSEYLLAGKAVHWWSSMKMMLEDSRETITWELFKKKFYAEYFPDSVRYAKEMEFLQLMQGEMSVSEYAKKFKHLGRFHTLRMAEDWQCRKFENGLRGDLKLLVAPLSIKEFPALVEKARVMEKLKAEVEAQQQSQQKVGGPSGSTSRQDDRRKPYSRPPPQGSRRFSPQSHQSPQHQSPQHLSPRPRCFQCGGPHMRSTYPQLGSRWACYRCGQEGHILRDCPTGRSIVPRLSAQSQSQQTRGSARPQAAGRVYAMTGTEANKAELKPDGDASS >Vigun08g222600.1.v1.2 pep primary_assembly:ASM411807v1:8:38045049:38046432:1 gene:Vigun08g222600.v1.2 transcript:Vigun08g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNCYLDLRLHSSSSHTSMNGKFNIHSIKLAAILHNQRHCIFDTTELQARAIIWLASREMVKTRSLCSEALLVHIPPHLSIKKSLHNFLQRRKKRRSSQRNNDKN >Vigun08g222600.2.v1.2 pep primary_assembly:ASM411807v1:8:38045210:38046427:1 gene:Vigun08g222600.v1.2 transcript:Vigun08g222600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNCYLDLRLHSSSSHTSMNGKFNIHSIKLAAILHNQRHCIFDTTELQARAIIWLASREMVKTRSLCSEALLVHIPPHLSIKKSLHNFLQRRKKRRSSQRNNDKN >Vigun09g129700.1.v1.2 pep primary_assembly:ASM411807v1:9:28699856:28708156:1 gene:Vigun09g129700.v1.2 transcript:Vigun09g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGTKRNAKQTEEPKQRKKRSPKIKPPKQDEYFEDKRNLEDLWRETFPVGTEWDQLDSVYQYKWNFSNLENAFEEGGVLHGKRVYLFGCTEPQLVWFKDESKVVCIPVVVAVVSPFPPSDKIGINSVQRESEEIIPMTQMKMDWVPYIPLEDRASQVDRLKSQIFILSCTQRRAALKHLKLDRVKKYEYCLPYFYQPFKEDELEQSTEVQIMFPVEPKPVFCEFDWELDELEEFTDKLIEEEELSEDQKDTFKEFVKEKVREAKKANREASESRRKAIAEMSEETKAAFETMRFCKFYPMQSPDAPDVSNVKSPFINRYYGKAHEVL >Vigun03g316500.1.v1.2 pep primary_assembly:ASM411807v1:3:51039672:51041504:-1 gene:Vigun03g316500.v1.2 transcript:Vigun03g316500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVTSMSSSTILLITICTLSSILSASSATAAAASEEGRTRPFKRVYAFGDSFTDTGNTKNAEGPSGFGHVSNSPYGTTFFNHSTNRYSDGRLVIDFVAEDLSLPYLPPYRHRKPNDTFGVNFAVAGSTAINHLFFVRNNLSLDITPQSIQTQMIWFNRYLESRECEELNCKDFDDTLFWFGEIGVNDYAYTLGSTVSDDTIRKLAISSVSGALQALLEKGAKYLVVQGLPLTGCLTLTMYLAPPDDRDELGCVKSVNNQSNYHNLVLQNKLEEFRKQYPEAVILYADYFNAYRTVMKNPTKYGFKEVFNVCCGSGEPPYSFSVFGTCGTPNATACTNPSEFINWDGVHLTEAMYKVISSMFLQGNFTQPPFNFMLAKKERAG >Vigun08g104100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25925671:25927379:-1 gene:Vigun08g104100.v1.2 transcript:Vigun08g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEETPSLPATETATATASGNAAQVKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLLALLGFLIFPFIWSVPEALITAELTTAYPGNGGFVLWAERAFGPFWGSLMGTWKFLSGVINIASFPVLCIEYVQKIIPALRSGWPRQVAVIASTLALSFLNYTGLTIVGNVAVLLAVVSLSPFVLMSLIAIPKIKPHRWVSLGQKGVKKDWNLFFNTLFWNLNFWDNVSTLAGEVDKPNKTFPLALFVAVIFTCVSYLIPLFAVTGAVSVDQSQWETGFHAQAAEIIAGKWLKIWIEVGAVLSTIGLFEAQLSSSAYQVLGMAEIGILPKFFGVRSKWFGTPWLGILLSTLISIGVSYMDFTDIISSANFLYSLGMLLEFASFLWLRWKSPSIERPYRVPLRLPLLAVMCFIPSGFLVFIMVIATKTVYLVSGIMTVAGIGFFLFIKLCKTMKWLEFSVEEQKEISFERPAL >Vigun10g107850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30689802:30690185:1 gene:Vigun10g107850.v1.2 transcript:Vigun10g107850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEELGQAQSSSYLGFFFFVSSDVAGTSPPMPMVATVVGALPFSSSSSVTETNQGVAPRSATVRLHLCHRRPRLAPKTSIGARVTFSDHYFLFSDWVLCFVVCLVQVMIRTKRMRLMVREFLYGWFS >Vigun04g133250.1.v1.2 pep primary_assembly:ASM411807v1:4:33438967:33443635:-1 gene:Vigun04g133250.v1.2 transcript:Vigun04g133250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLRRWKERNLNCQQKILWRFQLKMPLKVQNMKLQDPMGLLLLLALQELWWQNQWSLQTNHPREPREPNISCMTLGVHEYVLFFARLLLGKEVKSKKKKEEVMNFVEPQGIWSRKCL >Vigun01g096500.1.v1.2 pep primary_assembly:ASM411807v1:1:26130031:26133758:1 gene:Vigun01g096500.v1.2 transcript:Vigun01g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQEQRLLIKDQTSEEESSLVTRVWNESKLMWIVAGPAIFTRFSTFGINVISQAFVGHIGPRELAAFALVFTVLIRFANGILLGMASALSTLCGQAFGAKEYSMMGVYLQRSWIVLFSTAVCLLPLFFFTDPILRLLGQDGNIAQVAETISLWSIPILFAFIVSFTTQMFLQAQSKNVIIAFLAAISIAIHVLLSWLFTIQFKFGIAGAMISTIVAFWVTNIGQLIFITCGWCPDTWSGFSFLAFKDLWPVVKLSLSSGVMLCLELWYSTILVLLTGNFKNAEVQIDALSICLNIAGWEMMISLGFMAAASVRVANELGKGSSKAAKFSILVSVLTSLVIGFVLFLFFLFLREKLAYVFTTSEEVADAVGDLSPLLAVAILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYVIGIPVGLVLGKVLHLEVKGIWIGMLFGTFIQTVVLTIITYKTNWDDQVIKARNRVSKWSKVNTDHERITSNN >Vigun02g193800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33024371:33025709:1 gene:Vigun02g193800.v1.2 transcript:Vigun02g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVKCECCGLKEDCTQEYIRDVKAKFDGKWLCGLCSEAVRDEISRGKMGFAMDEAVKAHMSFCGKIKSNPAVRVADGMRQMLRRRSSDLTSPSNKYSSRSNTTSQVTDSSTFTLH >Vigun09g169700.1.v1.2 pep primary_assembly:ASM411807v1:9:33869583:33872790:1 gene:Vigun09g169700.v1.2 transcript:Vigun09g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGA >Vigun03g194500.1.v1.2 pep primary_assembly:ASM411807v1:3:27227747:27231109:-1 gene:Vigun03g194500.v1.2 transcript:Vigun03g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPYLPKLDFFYSDKSSVPRKQTMAASTSPNFSTPLQKNTHKTKFPSSAGKNILHNKVGFPFSAPFSKSVSVKSIGTAKYDEVVVDEELDKIRRLQNGSDVRGVALEGEKGRKVDLTPPAVEAISQSFGEWVIKGLEKERGYPVENVRVSLGRDPRITGSKLSVAVFSGLGRAGCMVFDMGLATTPACFMSTILSPFSYDASIMMTASHLPYTRNGLKFFTKRGGLTSTEVEEICDKAARKYANRMAKVSTLLSVLPKKVDFMSTYAMHLREIIKERINHPLYPDTPLQGFQIIVNAGNGSGGFFTWDVLDKLGAETFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLESKANLGIVFDTDVDRSGVVDNQGNPINGDKLIALMSAIVLREHPGTTIVTDARTSMALTRFITDRGGHHCLYRVGYRNVIDKGVQLNSDGVQTHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGIGSLIKDLEEPYESVELRINIISEPQHAKAKGSEAIQTFRNYIEEGRLSGWELDSCGDCWVSEGCLVDTNDDPAPIDAQMYRAKVSNERHGQHGWVHMRQSIHNPNIAVNIQSSVPGGCLSMARAFRDEFLKASGVYTFLDISQVDKFTENGSIA >VigunL079050.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000585.1:34445:36363:-1 gene:VigunL079050.v1.2 transcript:VigunL079050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKIYLGSILSLQQV >Vigun08g210500.1.v1.2 pep primary_assembly:ASM411807v1:8:37237000:37241649:-1 gene:Vigun08g210500.v1.2 transcript:Vigun08g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEREHNPRMVKGVFGFVGSVLSLPLSSSHSHDNCFAGTVDAPLCLIPFKHKHWCATTTLKPQFERDFGATCVSGKEENVFTFPPPSPSSMKNPL >Vigun03g399500.1.v1.2 pep primary_assembly:ASM411807v1:3:60594989:60597833:1 gene:Vigun03g399500.v1.2 transcript:Vigun03g399500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEYSGFSGNRPAKTPADKSTFSQTCSLLSQYLKEKGTFGDLTLGMTCTAEANGSSSEASCHPPTTMELFPTILTQRNPSAAVDFFSPEPAYPHRSELPTLVNMSSGFRSVEKEPKPAPMTIFYAGQVIVFNDFPPEKMEEIMALASKGMSQSPNYQAYAHTRNQQGNHTSFVANVPPQSPSIPIVRDLPIARKVSLHRFFEKRKHRIAANAPYQTNNLNSVSNKHAAESIPWLGFGVPSKQI >Vigun08g103700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25722490:25723062:-1 gene:Vigun08g103700.v1.2 transcript:Vigun08g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPTSPHSKRCKMENLRRHFGKKKDTYSVIGRVKRNGHCKKHPKHDQSPGVCSLCLREKLAQLSSHNSRGTPSSIASSTSSSSLSSYSSSYYSSASASSSSSPASPMHCFCFSAEGKTSSSSLSVFLLSGSNHEGKCGSLDIVQRRDSDHRSACKSGFWFKLLHCKSKRMKKYYRDDDDKLVRSVSIKV >Vigun03g033900.1.v1.2 pep primary_assembly:ASM411807v1:3:2611806:2614472:1 gene:Vigun03g033900.v1.2 transcript:Vigun03g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVLSRRIINNIHCSTAMKPRNTCRSSLSTAAVSSPHAAATTLDLEDVEQLFGSVSTKQLLRSSAIQHAMAVESVVDLGIWVTKLKVFESGMLRDLVMNTMRHTLYANYCAGEDAAAAGRSILALNDTGLRSMLAYGAEDALDNEGCDENLQGFLHSVEVTKSLPPSSVSFVVVKITAICPMALLERLSDLLRWQEKDPSFVLPWKQDCFTIFAESSPLYHTQKRPEPLTPEEESDLQLANLRLLKLCEKCEEANVRLLVDAEDTNVQPAIDYFTYSSAIMHNKDNNPIVFGTVQTYLKDAKERLLLTTEAAQKMGVPMGVKLVRGAYMSRESKLAESLGFASPIHSTIQDTHHCFNHCSSFMLEKVANRHGSVVLATHNIESGKLAVAKAHELGVEKVNEKVEFAQLYGMSEALSYGLSNAGFRVSKYMPFGAVEMTMPYLIRRAEENRGVFTASGFDRQLTRKELARRLKALVF >Vigun02g002501.1.v1.2 pep primary_assembly:ASM411807v1:2:1280300:1283238:1 gene:Vigun02g002501.v1.2 transcript:Vigun02g002501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMSHLRMYSCYHIDSLFSFFLFLLSLSTTIHTETPFHHSLCTLLPHHFLYPLSNKKGLNSCHKFESFSSVAEIRPEASSRPLPQAEPPLPTPP >Vigun01g147950.1.v1.2 pep primary_assembly:ASM411807v1:1:32964267:32964842:-1 gene:Vigun01g147950.v1.2 transcript:Vigun01g147950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSYSSCTCNAWEMKNSSFSSHGGAVRRSDLTPVCYCGEKAITRTARTAKNRGRKFWGYPKFKGGSEEVVGCNFFSWCSENVVDERCGPTKNDDDDTTTKMVERDDQSKLIKTKMVEREGENKLISIKKCIMRLEKW >Vigun08g194500.1.v1.2 pep primary_assembly:ASM411807v1:8:36088796:36091403:1 gene:Vigun08g194500.v1.2 transcript:Vigun08g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKHSPTSITIFTLLVGVLSQHLVIPVMCSTTPVEDQKNNHSPGPHAQGGSPTNSSNSLCSYCSPSHGSGGSGHGSTPHSHHHGSHSHHGSPSHHGSPSHHESPSHGGGGSSTPTPCTPPGGGGSYDPTPSPPSGGGGGSYNPTPSPPTGGDGSYNPTPSTPPGGGSYDPTPSPPTDGGGSGSYNPTPSTPPGGGSYDPTPSPPTDGGGSGSYNPTPSTPPDGGSYNPTPSTPPSGGSYDPTPSPPTGGGYYNPTPSTPPGGGSYDPTPSPPEGGGSYNPTPSPPDGSNCGTPPQEPSTPTPSVPTTPSTPSTPSNPPSGGSGYYNSPPTYGGGSPPVTVSPPSVPIDPGSPTIPSTPPYLPSPTPFTGTCNYWSTHPGLIWGLLGWWGTLGHVFGVSNVPAFGASLSLPQALSNTRTDGLGALYREGTASFLNSLVNNRFPYTTQQVRDSFVASLRSNKDAAAQANLFRMANEGRMKPRP >Vigun05g253400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44796733:44797736:-1 gene:Vigun05g253400.v1.2 transcript:Vigun05g253400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIFRQYTFDNSFEHVDFSNKFLKEYQIALTFATDSDEFGQPTNGIFRPGWDLAKVTPEAIKRFREKAGSMGVEVKVFICIGNRGNRGNPFINRDNPFKILDRDAWIVNATDSLTRLIQEVNLQVDGIDVQYETIDASADDFIHCVRGLIKNLQDNGVITVASISPTFSLNKEFYPPLYTSVPFLFDYVDCQFPKQLHPIEDPTALLQRYDELTQIYPIRKLLAGFSPENAEPFVFFLRVMDILHKKNAPGASIYYNHFYAPL >Vigun07g158200.2.v1.2 pep primary_assembly:ASM411807v1:7:27007065:27011288:1 gene:Vigun07g158200.v1.2 transcript:Vigun07g158200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKSVGFSHCMHFTWTQSEPELGLPAIFLNLYLITKRRFTGCLALACVLSFAAVASFYIVFCILFSIIDVEEKMVTRIVDLRSDTVTKPTEAMRAAMASAEVDDDVLGNDPTAFRLEAEMAKTMGKEAALFVPSGTMGNLICVLVHCDVRGSEVILGDNCHIYIYENGGIATIGGVHPRPVKNNDDGTMDIDLIEAAIRDPRGELLYPTTRLICLENTHANSGGRCLSVEYTDRVGDLAKRHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKNFIAKARRLRKTLGGGMRQIGIICAAALVALQENIGKLENDHKKARLLADGLRELKGLRVDTFAGETNIVFIDIEDGIRTGAEKIRKYLEERGILVMQESSSRLRVVLHHQISASDVQYALSCFQQAVKGVENEIGT >Vigun09g040600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3683114:3684192:-1 gene:Vigun09g040600.v1.2 transcript:Vigun09g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGGVEKATEERTNTSYRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSAEKAARAFDAAMFCLRGSGAKFNFPNDPPNIAGGRTMTRPQIQIAAARFANSEPQKECSGQQHVESLASDEETASFRLESSSSPPLSDVSVQNDPIFQNGSFPDPFSDLGSSSFAPEFSDFPCYDDFSRDFFMPGLPSFDDGEENVDGMIVQGPLWNF >Vigun11g091400.1.v1.2 pep primary_assembly:ASM411807v1:11:27173857:27175288:1 gene:Vigun11g091400.v1.2 transcript:Vigun11g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNQFYHISLTLLFCMGFWGFQVTCRTLQDVSMYERHEEWMSRYGIVYKDPQEREKRFRIFKQNVKYIEASNNAANKPYQLGTNQFADLTNEEFVARRNRFKGHMCSSIIRSTTFKYENVTAVPTTVDWRQKGAVTPVKNQGQCGCCWAFSAVAATEGIHALTTGKLISLSEQELVDCDTKGVDQGCEGGFMDDAFKFIIKNHGLNTEANYPYKGVDGKCNAKAEAIDAATITGYEDVPVNNENALQKAVANQPVSVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGISNDGGKYWLVKNSWGTEWGEEGYIRMQRGVAAQEGLCGIAMMASYPTA >Vigun06g078700.1.v1.2 pep primary_assembly:ASM411807v1:6:21019539:21026687:-1 gene:Vigun06g078700.v1.2 transcript:Vigun06g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDLFTKFKNTQNQNSNIISSLSVSSVSSVSSSMAECVTDMAQVALCRNSTAAAHLKVISIFTIFVTSVMGVSMPVMLARYFQGKSLYDIAILVIKCFAAGVILATSLVHVLPDAFAALSDCQVASQHPWKDFPFAGLVTLGGVLLALLVDTVASSHVEHAHYTAVDTEEKEGGGGSLSIELVSGGGGGGGGEADRVEELMRLKQKLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGLGLGGCIAQAGFGFGTTAYMCFMFSVTTPVGIILGMMLFSVTGYDDSNPKALIMEGLLGSVSSGILIYMALVDLIAVDFFHNKLMNSNLWLKKFSFLALTLGSASMSVLALWA >Vigun06g078700.2.v1.2 pep primary_assembly:ASM411807v1:6:21019539:21021386:-1 gene:Vigun06g078700.v1.2 transcript:Vigun06g078700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDLFTKFKNTQNQNSNIISSLSVSSVSSVSSSMAECVTDMAQVALCRNSTAAAHLKVISIFTIFVTSVMGVSMPVMLARYFQGKSLYDIAILVIKCFAAGVILATSLVHVLPDAFAALSDCQVASQHPWKDFPFAGLVTLGGVLLALLVDTVASSHVEHAHYTAVDTEEKEGGGGSLSIELVSGGGGGGGGEADRVEELMRLKQKLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGLGLGGCIAQAGFGFGTTAYMCFMFSVTTPVGIILGMMLFSVTGYDDSNPKALIMEGLLGSVSSGILIYMALVDLIAVDFFHNKLMNSNLWLKKFSFLALTLGSASMSVLALWA >Vigun07g174900.1.v1.2 pep primary_assembly:ASM411807v1:7:29033619:29034322:-1 gene:Vigun07g174900.v1.2 transcript:Vigun07g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKGKSSWPELVGVKGTVAEATIEKENPLVNAIIVDEGSMVIANFQCDRVWVWINKQGFVYQVPTIG >Vigun01g030900.1.v1.2 pep primary_assembly:ASM411807v1:1:3887013:3893774:1 gene:Vigun01g030900.v1.2 transcript:Vigun01g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDNKGRTRPFPVDPNVPRWVCQNCRNPLCIVGVDSYADKFFNDPSRSGMQGLSVHGASSVLSATKMDNSYVVLPKQRPQALGNAHRPRGDAAAAAAQPGKAMEESFVVVYKSESGTDGGGAHSPGTGADSGGHLHPHNSGFNSTITVLTRAFEIATTQTQVEQPLCLDCMRILSDKLDKEVEDVNRDIESYEACLKRLEGEARDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEINAELKELELKSSRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQYRIKIIPMGSYPRITDTNNSTFELWYYVTRFGPVNLFWSTRYDKAMTLFLACLKDFADFAKSKDQENNIPPEKCFKLPYKIDNDKVENYSITQSFNKQENWTKSLKYTLCNLKWALYWFVGNTNFQPLSAMVSSHAC >Vigun01g030900.2.v1.2 pep primary_assembly:ASM411807v1:1:3887013:3893774:1 gene:Vigun01g030900.v1.2 transcript:Vigun01g030900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDNKGRTRPFPVDPNVPRWVCQNCRNPLCIVGVDSYADKFFNDPSRSGMQGLSVHGASSVLSATKMDNSYVVLPKQRPQALGNAHRPRGDAAAAAAQPGKAMEESFVVVYKSESGTDGGGAHSPGTGADSGGHLHPHNSGFNSTITVLTRAFEIATTQTQVEQPLCLDCMRILSDKLDKEVEDVNRDIESYEACLKRLEGEARDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEINAELKELELKSSRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQYRIKIIPMGSYPRITDTNNSTFELFGPVNLFWSTRYDKAMTLFLACLKDFADFAKSKDQENNIPPEKCFKLPYKIDNDKVENYSITQSFNKQENWTKSLKYTLCNLKWALYWFVGNTNFQPLSAMVSSHAC >Vigun06g016333.1.v1.2 pep primary_assembly:ASM411807v1:6:7688672:7689730:-1 gene:Vigun06g016333.v1.2 transcript:Vigun06g016333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDFKILWIPIVDEWNQDRIKKLETKLQRAKFGWYVVKHFNFETGIELIKEVFNYRGKPVIPLLSPEGKVENIDTKQIISMYGIDGFPFRNSDHTRLTHQWNWFWSQMNKLNPRIGYLIEEDSYLFIYEGTNTMWIQEFTSAIEKLKRDVETISLQIDITIDSYQMGREDPKIVPHFWIAIESLLASREQKRVKGEDEGVQDFATIEIMRLLFLKQDPKGWAILSKGKNVKLLGHGESMSRTVEDFRLWHVRLNEEVNFDEAFKEVL >Vigun04g167700.1.v1.2 pep primary_assembly:ASM411807v1:4:39245463:39249566:-1 gene:Vigun04g167700.v1.2 transcript:Vigun04g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNKGLLWKLPLVKSDQFGKLGPAFGIGAGCGLGFGAGVLGGVGFGPGIPGLQVGVGFGAGCGVGLGFGYGVGKGIAQDENKKYSNVGNPFRGARSISEDDISALVDDLVINTKKLIKATSKEFDKWRR >Vigun02g002300.3.v1.2 pep primary_assembly:ASM411807v1:2:1243683:1248321:-1 gene:Vigun02g002300.v1.2 transcript:Vigun02g002300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKPHVLLQQSKRKKGPSRISTTTIIVCASIIVMLMYFLFYRHWSNRIRLQPESYISVSEDENKLVESKKSDLPGYAVVITSKGSIIVELYKESAPEVVDEFIDLCQKGHFKGMLFHQVIKHYVIQAGHNKGPGATEDWNLLGKKYASMRHEAFMLGTSKGNYFNKGFDLFITTAPIPDLSEKLIVFGRVIKGEDIVQVAEFA >Vigun02g002300.1.v1.2 pep primary_assembly:ASM411807v1:2:1243683:1248321:-1 gene:Vigun02g002300.v1.2 transcript:Vigun02g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKPHVLLQQSKRKKGPSRISTTTIIVCASIIVMLMYFLFYRHWSNRIRLQPESYISVSEDENKLVESKKSDLPGYAVVITSKGSIIVELYKESAPEVVDEFIDLCQKGHFKGMLFHQVIKHYVIQAGHNKGPGATEDWNLLGKKYASMRHEAFMLGTSKGNYFNKGFDLFITTAPIPDLSEKLIVFGRVIKGEDIVQEIEEVDTDEHYQPKISIGILDVALKEMV >Vigun02g002300.4.v1.2 pep primary_assembly:ASM411807v1:2:1243683:1248322:-1 gene:Vigun02g002300.v1.2 transcript:Vigun02g002300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKPHVLLQQSKRKKGPSRISTTTIIVCASIIVMLMYFLFYRHWSNRIRLQPESYISVSEDENKLVESKKSDLPGYAVVITSKGSIIVELYKESAPEVVDEFIDLCQKGHFKGMLFHQVIKHYVIQAGHNKGPGATEDWNLLGKKYARFIFCSVYLQFDVYDIIDHC >Vigun02g002300.2.v1.2 pep primary_assembly:ASM411807v1:2:1243683:1248321:-1 gene:Vigun02g002300.v1.2 transcript:Vigun02g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKPHVLLQQSKRKKGPSRISTTTIIVCASIIVMLMYFLFYRHWSNRIRLQPESYISVSEDENKLVESKKSDLPGYAVVITSKGSIIVELYKESAPEVVDEFIDLCQKGHFKGMLFHQVIKHYVIQAGHNKGPGATEDWNLLGKKYASMRHEAFMLGTSKGNYFNKGFDLFITTAPIPDLSEKLIVFGRVIKGEDIVQEIEEVDTDEHYQPKISIGILDVALKEMV >Vigun04g082800.1.v1.2 pep primary_assembly:ASM411807v1:4:12761371:12763638:1 gene:Vigun04g082800.v1.2 transcript:Vigun04g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRAHALGLFLLFSLSLLFSKSDAGSLGVYWGQNAGEGHLSRTCKTGLFRIVNIAFLSTFGNGSQPLMNLAGHCSPSSNGCERVGRDIRYCQRRGIKVMLSIGGGSNNYSLSSQDDARNVADYIWNHFLGGKSKKRPFGRATLDGVDFDIEGGELHYAALAYRLHDHYATSNKKFYLTAAPQCPFQDNLLHGALSTDLFDHVWVQFYNNPQCEFSSNDPSGFKSAWSQWTTSINAAKFFVGLPASHAAARTGFVPPHALINQLLPFVLSPKYGGVMLWDRFHDLQSGYSRKIRRKV >Vigun08g161900.1.v1.2 pep primary_assembly:ASM411807v1:8:33429920:33432206:1 gene:Vigun08g161900.v1.2 transcript:Vigun08g161900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQEHQHQLLPNLYGGATSAPPPTPAAHHDNLLSSSDAADAISRLLHRLPPTLSFPTRRSSSSSSAASCPPSLSSLKEIFSGVSQLGYAQLTDHSVPPELANAAESEALALFDLPRDQKESLIPESWPLGYGRGDEDDDDGLVESFRFDSACLTESTELALASLREFARALESLGLKIVDELTHALGCENPTGDDPTRLRSLLWISESVAGNKSGGFYPFIIGLQYQIRNQKYSMLSDSGWVSVLPHVESILVTVGDIAQVWSNGKLKKVRGRPAATVEEEKGSRCITMSLLITLPTESRVAPLLPKESIDSEKKEEVEEENECGDEGEERVFNSFDFEDYAWRVYHQLVLFKDPLDRYRVA >Vigun01g226500.3.v1.2 pep primary_assembly:ASM411807v1:1:39924367:39930705:1 gene:Vigun01g226500.v1.2 transcript:Vigun01g226500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPTLLLLFAAFAAAIFLHRALSRRKLRLPPGSYGLPFIGETLQLISAYKSANPEPFMDERVRRYGSIFMTHVFGEPTVFSADPELNRFILQNEGKLLDCSYPGSISNLLGKHSLLLMKGALHKRMHSLTMSFANSSIIKDHLLHHIDRLIGLNLDTWSDRVTLMNQAKKITFELTVKQLMSFDPDEWTESLRKEYVLVIEGFFTLPLPLFSTTYRRAIKARTKVAEALTLVVRQRREEYNEGKEKKSDMLGALLASGDHFSDDQIVDFLLALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHDQIRARSDPAAPLEWTDYKSMVFTQCVVNETLRVANIIGGIFRRATTDIDIKGYTIPKGWKVFASFRAVHLNPEYYKDARTFNPWRWQSNSSEASNPANVYTPFGGGPRLCPGYELARVVLSVFLHRIVTRFSWVPAEEDKLVFFPTTRTQKRYPIIVKRRSANHV >Vigun01g226500.2.v1.2 pep primary_assembly:ASM411807v1:1:39924273:39930813:1 gene:Vigun01g226500.v1.2 transcript:Vigun01g226500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPTLLLLFAAFAAAIFLHRALSRRKLRLPPGSYGLPFIGETLQLISAYKSANPEPFMDERVRRYGSIFMTHVFGEPTVFSADPELNRFILQNEGKLLDCSYPGSISNLLGKHSLLLMKGALHKRMHSLTMSFANSSIIKDHLLHHIDRLIGLNLDTWSDRVTLMNQAKKITFELTVKQLMSFDPDEWTESLRKEYVLVIEGFFTLPLPLFSTTYRRAIKARTKVAEALTLVVRQRREEYNEGKEKKSDMLGALLASGDHFSDDQIVDFLLALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHDQIRARSDPAAPLEWTDYKSMVFTQCVVNETLRVANIIGGIFRRATTDIDIKGYTIPKGWKVFASFRAVHLNPEYYKDARTFNPWRWQSNSSEASNPANVYTPFGGGPRLCPGYELARVVLSVFLHRIVTRFSWVPAEEDKLVFFPTTRTQKRYPIIVKRRSANHV >Vigun10g034100.10.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRCITKFNHLTYVFRRVILVQPLKLLHTKLSQTNNWSMACACGVTLSPRLQISLDGMKAITGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.8.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRVVIVVFTKSYSQSAWCLHQLQQIIQWQETYSRHVLPVYYEIQPSDVRLQKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.6.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRVVIVVFTKSYSQSAWCLHQLQQIIQWQETYSRHVLPVYYEIQPSDVRLQKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYRSDAELVDKIVKSILNLPVLSATKFPVGLQSYVEDVIQIIKDKSAEVCRIGICGVGGSGKTTLATAIYNQIHSTFTHQSFIEDISEVSVTKRDYYIQKQLFSDVLKTNLKIHCIEMGRRMIQERLYGKKMLIVLDNVKDDISLYISECSALLGEGSVIIMTTRNEDLLKKLQVDSIFRIKLGNPKKSIELLSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.3.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRCITKFNHLTYVFRRVILVQPLKLLHTKLSQTNNWSMACACGVTLSPRLQISLDGMKAITGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.4.v1.2 pep primary_assembly:ASM411807v1:10:4585441:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRVVIVVFTKSYSQSAWCLHQLQQIIQWQETYSRHVLPVYYEIQPSDVRLQKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYRSDAELVDKIVKSILNLPVLSATKFPVGLQSYVEDVIQIIKDKSAEVCRIGICGVGGSGKTTLATAIYNQIHSTFTHQSFIEDISEVSVTKRDYYIQKQLFSDVLKTNLKIHCIEMGRRMIQERLYGKKMLIVLDNVKDDISLYISECSALLGEGSVIIMTTRNEDLLKKLQVDSIFRIKLGNPKKSIELLSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.1.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRVVIVVFTKSYSQSAWCLHQLQQIIQWQETYSRHVLPVYYEIQPSDVRLQKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYRSDAELVDKIVKSILNLPVLSATKFPVGLQSYVEDVIQIIKDKSAEVCRIGICGVGGSGKTTLATAIYNQIHSTFTHQSFIEDISEVSVTKRDYYIQKQLFSDVLKTNLKIHCIEMGRRMIQERLYGKKMLIVLDNVKDDISLYISECSALLGEGSVIIMTTRNEDLLKKLQVDSIFRIKLGNPKKSIELLSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.5.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590423:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYRSDAELVDKIVKSILNLPVLSATKFPVGLQSYVEDVIQIIKDKSAEVCRIGICGVGGSGKTTLATAIYNQIHSTFTHQSFIEDISEVSVTKRDYYIQKQLFSDVLKTNLKIHCIEMGRRMIQERLYGKKMLIVLDNVKDDISLYISECSALLGEGSVIIMTTRNEDLLKKLQVDSIFRIKLGNPKKSIELLSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.9.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590423:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACACGVTLSPRLQISLDGMKAITGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.7.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590421:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDSALSAVGFTTFLHEENAVKEMQIQEPILDLCRVVIVVFTKSYSQSAWCLHQLQQIIQWQETYSRHVLPVYYEIQPSDVRLQKGNFGTAFKATAHQTFSDKQLEHGMCMWSHALTKTANFFGWDESNYREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun10g034100.2.v1.2 pep primary_assembly:ASM411807v1:10:4585442:4590420:-1 gene:Vigun10g034100.v1.2 transcript:Vigun10g034100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMIQERLYGKKMLIVLDNVKDDISLYISECSALLGEGSVIIMTTRNEDLLKKLQVDSIFRIKLGNPKKSIELLSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTEEEWNTVLLKLDNAPQHKVLQILKISFEGLPNQMERDLFLDICCFFVGKGRSYVRKILNGCGVDADSGIKVLIERNLIKVKKNNKFGVHPLLRDMGREIISDISRNETRKLLENTLFSSQQTKIIHRLRGTGDFFERHPLKVRDPSRLLELLGASEYHPKKLTWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSIYLTKTPDFSTLPSLEQLILKDCPRLREVHQSVGCLYNLTLLNLKDCTSLSNLPREIYKLKSLKTLILSGCSKLGLMEKDIGQMESLITLIAENTVVKQVPFSIVCSKSIGYISLHRFEGLSHYLFPSIIRCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLPNLRSVVVQCDSEFPLSKQVKSTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTMNESISKVLTNNKSGDVSLPGDNDPYWLTHMGEGHSVSFTLPQDRVMKGMTLCVVYLSTSKIIEPELTAVLIVNYTKCTLQMHNHGSTMSFNDEDWDCIMSNLGSGDKVEIFVTFGHGLMIKSTSVYLIYAELNDFEMEHCPEPKENTLNKFIKKMLMSHFW >Vigun01g026832.1.v1.2 pep primary_assembly:ASM411807v1:1:3150213:3151442:1 gene:Vigun01g026832.v1.2 transcript:Vigun01g026832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETFNSIIVQARNKPIVSMVEEIRLYMMQRQAKNISKAKSFKNSICPRIKTRLDNKTANSTNWIPSGCN >Vigun03g308550.1.v1.2 pep primary_assembly:ASM411807v1:3:50041241:50042122:-1 gene:Vigun03g308550.v1.2 transcript:Vigun03g308550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGKPNHDGLSSVFEQIERVVTVLHQDKKSISIIIDDISFFEVAANGSSDDVLNLLHYCHTLTSEYGCAFIALDHKDIYFNGDRTAVILEMEYLADILVKAEPLATGLAKDVHGQLMVLNKEIQHQHGISAIKSYNFHFKIKENGIECFYPGTKIQ >Vigun05g004500.1.v1.2 pep primary_assembly:ASM411807v1:5:371827:375560:-1 gene:Vigun05g004500.v1.2 transcript:Vigun05g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGWWWKVALLAVAVAAWRGWQGGGWDREASFKLAREWSEKLGIWAIPLYLSLHTISIALCLPSAIFFETAAPLLFGFFPSVLCVFSAKILAASLSFSIGRLVFRSSSSAMEWAKRNRYFHMISRGVERDGWKFVLLARFSPVPSYVINYTLAATEVRFLLDFLLPTSIGCLPMILQNTSIGSLAGAAVATASGSKKSQIWSYFFPVVGILSSVLISLRIKKYSTQVSVPENPPTKSNTDD >Vigun09g093800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13656519:13657026:1 gene:Vigun09g093800.v1.2 transcript:Vigun09g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGEYYTHVSEVERESRTVEYPNDGALSFKKVVYEENKVEEPHHHHHLFHRHHHQPETRETVKVVEYEQVPERRVGEVVYEENRTVWP >Vigun11g080900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23888535:23890396:1 gene:Vigun11g080900.v1.2 transcript:Vigun11g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTRVIMEDSSNSNVVTHPTDSMSQINGTQNPIYNHILKHNCGLILNIQALKYSNEVLTLRSLSVGGWLHVSRFFPPWLTCFSSIFQELFSCFLFLFCDENLFELLCARKFFFFLFFKRLSTISIIMPVSHFTSIRFEFFSISKKPEQITFQPPY >Vigun01g227000.1.v1.2 pep primary_assembly:ASM411807v1:1:39982387:39986854:1 gene:Vigun01g227000.v1.2 transcript:Vigun01g227000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPARPQFVLFGSSIVQLSFGHSGWGSHLSDIYSRKADIVLRGYYGWNSRRALQVLDQVFPKDAATQPSLVIVYFGGNDSMGPHSSGLGPHVPLHEYIENMRKILIHIQGLSERTRIIVLSCPPVNEEKVRGNTSGVLSELVRTNELCQSYSEACIKLCKELDVKVVDLFNALQKRDDWRNACFTDGIHLAAEGSKIVVKEILSVLKEADWEPCLHWKSLSTEFAEDSPYDLVAADGKTTLNPSEWTFYREIQWD >Vigun03g239000.2.v1.2 pep primary_assembly:ASM411807v1:3:39873080:39874692:-1 gene:Vigun03g239000.v1.2 transcript:Vigun03g239000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIHHFFFSCSMFLLSYLHRLNSYLGCKTGAVIVIMGVSGAGKTTIGRRLEKEIQYKYLDADDFHSELNKEKMRKGIPLTDEDRMPWLEALRDVINEYLDNEKGLILGCSALKNQYREMLRSGYPAYKWGTYASPVKFILLDAPAEVLSVRVSARAAEGKHYMPASLLQSQLDLLKIDDCEGILKVDATLTPQAIVNTILNMLHFQGCFHRDCIEFH >Vigun03g239000.1.v1.2 pep primary_assembly:ASM411807v1:3:39873079:39874693:-1 gene:Vigun03g239000.v1.2 transcript:Vigun03g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDGAVIVIMGVSGAGKTTIGRRLEKEIQYKYLDADDFHSELNKEKMRKGIPLTDEDRMPWLEALRDVINEYLDNEKGLILGCSALKNQYREMLRSGYPAYKWGTYASPVKFILLDAPAEVLSVRVSARAAEGKHYMPASLLQSQLDLLKIDDCEGILKVDATLTPQAIVNTILNMLHFQGCFHRDCIEFH >Vigun03g239000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39873079:39874693:-1 gene:Vigun03g239000.v1.2 transcript:Vigun03g239000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGIPLTDEDRMPWLEALRDVINEYLDNEKGLILGCSALKNQYREMLRSGYPAYKWGTYASPVKFILLDAPAEVLSVRVSARAAEGKHYMPASLLQSQLDLLKIDDCEGILKVDATLTPQAIVNTILNMLHFQGCFHRDCIEFH >Vigun08g067600.1.v1.2 pep primary_assembly:ASM411807v1:8:10145612:10157390:-1 gene:Vigun08g067600.v1.2 transcript:Vigun08g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDCNSGTASMMSSPTNSKIAGEKRGSTHAEHNTRKRVKIKDLDSLVNSAETSSGYSEFKTIKENNVQWSLGASESSQQGNIGRNACPEVVNFAASPLDLNAEACKSGGNVENFSEESLTEKQGKGHDNLVVSRGINVDLNAEDVSSSVNLEPASSSRGRNPLKMKDVSESGSCVGPLEEKDPMTKWQQMKEYGFWSPSHAGIPKPKQRGRKSKSEILKKKMELAKREQVNRFTKIAAPSGLLNELNPGIINHVRNRKQVLSIIENLVRSEKHESTSMPSKQAAHRIHGSLDVSKRDQDNVADEEGVLHSSSGHKQARKFPVTANDSSSLILEGKVRDHDASTVEKGSLKSCMTQSTNVMEDNVLALKLSSETRASTSSTNLSNDESSNVTTVSSLSLKAATVASQWLELLHQDIKGRLSALRRSRRRVRSVITTELPFLISKEFANNQDCDPYIMKMFAGLPTSQIADLHGARWTALFNHMDEALSKEEKQLECWLNQVKEKQLLCDQGIQHVNWSVAFGLQQLGNSENNSRASTFNSSEKELAVNAAAASIYSTCNFLLSES >Vigun01g066250.1.v1.2 pep primary_assembly:ASM411807v1:1:17535348:17536598:1 gene:Vigun01g066250.v1.2 transcript:Vigun01g066250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRFLELGYCGNHFIITNKFAAFYPNSMFYHTSKDALSLQWYQNEFPKVKELTHLLANLDAVNGRLIDVKSNSTFFDDGIERNMCTFKSLVRGYVGPTFVPHKMKHVLASFVSNVKHESFTPFGKATEREPMVVDSLTKVSNFLNVSAQQRKLVRHKVCSQVTQHCIWIGALKEVLNGVAIDLDCLSSRGLNNNALLGAQIVHSCLNFLTEIGVFSDLGSSSWMKLSSSKETRLKLHVAKAEITKEGLLHIRDVLHQESLVRKKLSKMLGYSFRCLFTLLLYYLYGRVVDIEVDMCGGINGSNGRFCLFMGDFFTSDSEKMVGHGVKQLDQALGIFKFVLEMAEIKGHLDFRILRYRGNTYFVHGICV >Vigun09g116900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25692439:25692843:-1 gene:Vigun09g116900.v1.2 transcript:Vigun09g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDRSYERTLIWIIASVLFACVLVGGGCLIEFLILPESKVPSWILVLGFFLLSLPWVFWLLTFLYQIFSRCCGRRIGFDVEKKGDGGSGGGATATGDDDDADVKPNANGIGRNLSVASHESQMPLAKSMAS >Vigun01g027050.1.v1.2 pep primary_assembly:ASM411807v1:1:3176018:3176644:1 gene:Vigun01g027050.v1.2 transcript:Vigun01g027050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDVIQYAIVAGRRLMKYKLELQVTDGYSYTNFVLWDQDCNNLIGVSTVELMNKMIEDREDDPKCFPEDLDVLLGCTLAFKVRVQPNNRSSSVMKAFSNLETIACVRSKLEAKKVSHLLQSFKFDTVKFINDVLVDM >Vigun01g210200.1.v1.2 pep primary_assembly:ASM411807v1:1:38504377:38508308:1 gene:Vigun01g210200.v1.2 transcript:Vigun01g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSTTRMMVAVAAVTLMIFLSSASADDVVALTQNSFENEVGKDRAALVEFYAPWCGHCKKLAPEYEQLGTGFRKTKSVLIAKVDCDEHKTVCSKYGVSGYPTIQWFPKGSLQPKKYEGARTAEALAAFVNLEAGTNVKIASAPSSVVVLSPDNFDEVVLDETKDVLVEFYAPWCGHCKALAPTYEKVAAAFNLDEEVVIASVDADKYKNLAEKYGVSGYPTLKFFPKSNKAGEDYSGGRNLDDFVAFINEKCGTYRDGKGQLSSKAGIIESLNGLVKEFVSADDNEKKAVYSRLEEEVKKLKGSAARYGSLYLKLAKKSMEKGTDYANNEILRLDRMLEKSISPAKADEFTLKKNILSTFA >Vigun01g234500.1.v1.2 pep primary_assembly:ASM411807v1:1:40619261:40629788:1 gene:Vigun01g234500.v1.2 transcript:Vigun01g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGDGKAQDSETLPPHSVLKMGLRERSNNSSMEDPDGTLASVAQCIEQLRQSSSSMQEKEYSLKQLLELIHIRENAFSAVGSHSQAVPVLVSLLRSGSSNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQVAAAKTIFAVSQGGAKDHVGSKIFSTEGVVPVLWEQLQKGLKTNVVDNLLTGALKNLSSSTDRFWSATIQAGGVDILIKLLKTGQSNTLANVCFLLGCMMMEDASVCSKLLTAEETKQLLKLLSPGNDAAVRAEAAGALKSLSAQCKDARKEIANSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQAADTLGALASALMIYDDNAESTRATDPLAVEQTLLEQFKTGLSYLAQERTIEALASLYSNPILSIKLANSDAKRLLVGLITMATNEVQDELLKSLLTLCNTECSLWSALQGREGVQLLISLLGLSSEQQQECAVALLCLLSHENDESKWAITAAGGIPPLVQILESGSAKAKEDSASILRNLCDHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVALSDLLREGSAASDAIDTMIKLLSSTKEETQAKSVSALAGIFETRKDVRESNIAVKTLWSAMKLLNVESESILMESSRCLAAIFLSIKENRDVVAIARDALPSLVSLANSSVLEVAELATCAVANLILDSEIAEKAVPEEVILAATRVLREGTISGKSHAAAAIARLLHSKRQVDYAITDCVNRAGTVLALVSFLDFAINGLSSTSEALEALAMLSRSEVNGAHSKPPWAVLAEFPKSISPIVLSITDSTPVLQDKAIEILSRLCKDQPFVLGDIVVSAPGCIPSIAKRIIHSSSKNIKVKIGGAAVLICAAKVNHQRLVEDLNLSNLCGNLVQSLVDILISSQATLDYQHDDNREVISICRHTKEANDGKSSTGTAIVSGANLAIWLLTVLACHDEKCKTAIMEAGAIEFLSDRIANCFSLYSQIDYKEDSSMWIFALLLAILFQDRDIIRAHATMKSIPALANLLKSEESANRYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLELSEEFSLVHYPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPERPGAPFLALGLLTQLAIDCPSNKIVMVEAGALEALSKYLSLGPQDATEEAATDLLGILFSSAEIRRHESAFGAVTQLVAVLRLGGRAARYRAAKALESLFTADHIRNAETARQAVQPLVEILNTGLEREQHAAIAALVRLLSENPSKALAVADVEMNAVDVLCRILSSDCSMDLKGDAAELCSVLFGNTRIRSTMAAARCVEPLVTLLVSEFSPSHHSVVRALDRLVDDEQLAELVAAHGAVIPLVGLLYGRNYVLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDYLCAAFAELLRILTNNASIAKGPPAAKVVEPLFMLLIRQDFGPDGQHSALQVLVNILEHPQCRADYTLSSHQAIEPLIPLLDSPISAVQQLAAELLSHLLLEEHLQKDPVTQHVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVIEISKVILQADPSIPHALWESAASVLASILQFSSEYYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAILPLSHYLLDPQTQAQQARLLATLALGDLFQNEGLARSSDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMNSRSNKRAVAEAGGVQVILDLISSSDPETSVQAAMFIKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSAPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNTPPRQTKVVSTGPNPEWEESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNK >Vigun09g259700.1.v1.2 pep primary_assembly:ASM411807v1:9:42494999:42499057:1 gene:Vigun09g259700.v1.2 transcript:Vigun09g259700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPPQLTNPFPSLHVTYFSTHSHRHHHHHHALPPPHSAANSITISSPKPIIVEEGPDDVLSFHNRRYDFTPLLHFLSNSDPNSDSDSAPPTSLDSTEFQLAESYRAVPAPLWHALLKSLCASSSSINLAYGVVSWLQKHNLCFSYELLYSILINALGRTEKLYEAFLLSQRQTLTPLTYNALIGACARNGDIEKALNLMSKMRRDGYQPDFVNYSSIIQYLTRSNRVDSPFLQKLYSEIESDKIEMDGHLMNDIILGFSKAGDPTRALRFLAMAQSNGLNPKPSTLAAVILALGNSGRTQEAEALFEEIRENGLEPRTRAYNALLKGYVKTGSLKDAEFVVSEMEKAGVMPDEQTYSLLVDAYAQAGRWESARIVLKEMEASNVQPNSFVYSRILAGYRDKGQWQKSFQVLKDMKSCGVKPDRHFYNVMIDTFGKYNCLDHAMATFERMLLEGIEPDTVTWNTLIDCHCKSGRHDKAEELFQEMQRRGFLPCITTYNIMINCMGEQQRWEQVSEYLSKMQCQGLLPNSITYTTLVDVYGKSGRFSDAIECLEVLKSTGFKPTSAMYNALINAYAQKGLSELAVNSFRLMTTEGLTPSLLALNSLINAFGEDRRDAEAFAVLQYMKENNIEPDVVTYTTLMKALIRCEKFQKVPGVYEEMVTSGCAPDRKARAMLRSALRYMKQTLKS >Vigun01g055700.1.v1.2 pep primary_assembly:ASM411807v1:1:10886513:10890573:1 gene:Vigun01g055700.v1.2 transcript:Vigun01g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IMPDH description:inosine-5'-monophosphate dehydrogenase [Source:NCBI gene (formerly Entrezgene);Acc:114183462] MDFTPPPIEDGFTAEKLFSQGFSYTYDDVIFLPHYIDFAADAVDLSTRLTRRLPLAVPLVASPMDTVSESAMASAMASLGGIAIVHSNVPAAAQASLVRAAKSRRVPILSEPAFAAPSAVIEHEDDFAASPFLLVTDIGAAGGKLLGYVAKRDWTNQKDKSLRVGDYMAPPPRRAPWNADLNKIHEIMENEKSGAVALERDGEVVDLVVREEVERVKGYPKLAAPATVGPDGEFMVGAAMGTREDDKERLKHLVKAGVNVVVLDSSQGNSIYQLEMVKYVKSVYPELDVIGGNVVTMYQAENLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAYKSGVPVIADGGISNSGHIVKALSLGASTAMMGSFLAGSHEAPGAYVYQNGQRVKKYRGMGSLEAMTKGSDARYLGDTAKLKIAQGVVGAVKDKGSVLNFIPYTLQAVRQGFQDIGASSLQSAHDLLRSRVLRLEVRTGAAQVEGGVHGLVSYEKKYY >Vigun05g160901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25647872:25648195:-1 gene:Vigun05g160901.v1.2 transcript:Vigun05g160901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVIKKRIPPFTLMSREVLQKKKTVKSDPIYRNRLVNMLVNRILKHGKKIIGLLNPLSSYEKDSIKDRKKSTIYFTSNNTWSNSRYSSKSKTRRRINSSSSR >Vigun10g105500.1.v1.2 pep primary_assembly:ASM411807v1:10:30287834:30289729:-1 gene:Vigun10g105500.v1.2 transcript:Vigun10g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTMFSSLLLLLCLTFPVFLLFFFFKTLKNPPLPPGPKGLPIVGNILQLDSSSLHMQLRELSKKYGPLFTLKLGLKQAIVVSSPKLATVVMKDHDRECCGRPRLLRQQKLSYNGLDLAFCPYTEYWREIRKLCVVHVLSSKRVSCFSSLRHFEVKQMIKKISMHASNSEVTNLSEVIVSLTSTIICRIALGRRYEEEGTERNKFYRLLSECETMMSSFFVSDYIPFMGWVDTLRGIHARLERTFKEMDNFYQEVIDEHIADSHKKTSEEEDIVDVLLQQKQHHSFSIDLTNDNIKAVIMNMIIGSTSTTTATAVGAMTELVKNSRIMKKVQEEVRSLSGEKAFLDEDDVQKFPYLKAVIKETLRLHPPGPLLIPRETRKKVIIDDYEIPAKTIIYVNGWAIHRDPEAWEDAEEFIPERFLNSTVDLRGQDFCLIPFGAGRRMCPGLHMGLASLDVILSNLLYSFDWELVEGTETNDTGSTVLPGLTHDKKNPFRVLAKCRN >Vigun09g096700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14694967:14695788:1 gene:Vigun09g096700.v1.2 transcript:Vigun09g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSPTTLFLSIMLLLVTGSVNTVATPISTTVERCLRLQLDPPPPPPADSESRSRLDISRIICRDLFRSVAIFLRTTGKLPPDYVAALCHIFHDNEQNVERHVARTFRPYDFHTLLAGRTCATIRKRQHAVSPSPLSSSM >Vigun05g025000.1.v1.2 pep primary_assembly:ASM411807v1:5:2040196:2040687:-1 gene:Vigun05g025000.v1.2 transcript:Vigun05g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQSSVLIVLALFALFSQIYGEIHFCPKSMNFPGQCPMGTSGKGCSEEFHARLGAGAMPQNCTCSNAPNNQRQCTCQVNCGY >Vigun07g245900.1.v1.2 pep primary_assembly:ASM411807v1:7:36661583:36666442:1 gene:Vigun07g245900.v1.2 transcript:Vigun07g245900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVYLYFLGFFLNFSITDASEIYYLNHSCSSNRTFTPNSAYESNLRTLLTSLSSHATTAQFFNATSGGGGGKETVYASYMCRGDVTNHTCQECIRTASQQISEVCPHSKEALIWYHECLVRYSNRCFFSTLEEWPRFNFMDYNVTNSTNEEGSYGFWLLSKTLSDAVGEAANAGPAGTMKFATKNATVFGSQKIHTLVQCTPDLSSEDCSECLGDIMKDIPFCCLGRIGGMVLFPSCTLMFGSRHFYRDVHVASNATQQSKPSGNKTISPGGIVTVVIFLVFTMLISFHCYFLRRRARKRKYKVLLKQNFGQESVTLEGLQFDLDTIQAATNNFSNENKIGKGGFGEVYKGILSSGLHIAVKRLSQSSAQGSIEFKNEVLLIAKLQHRNLVELIGFCLEAQEKILIYKFMHNGSLDKFLFGHQQKNLNWCQRFKIIEGIARGILYLHEHSRLKVIHRDIKPSNILLDENMNPKISDFGMARIMDIDQDRGKTKRIVGTYGYMSPEYVMFGQFSEKSDIFSFGVMILEIITGKKNISSHESYYMPNGLMSYVWGKWMDETPLSILDPVLEENYSRIEVIKCIHIGLLCVQENKNSRPTIADVVSYLDGRHTLEFPSPQEPAYLLLDKKDTKIVSEHFSVNEMSVSTCYAR >Vigun01g112500.1.v1.2 pep primary_assembly:ASM411807v1:1:28643589:28647397:1 gene:Vigun01g112500.v1.2 transcript:Vigun01g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHGSYSMRSKASFENEAKATVKESGGGGSGGKSGKTMSSNSKENLFICFLVTLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACAVFSYISIVFFKVVPQQMIKSRSQFMKIATLSLVFCASVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLATLKREAWVTYAALVPVVAGVVIASGGEPGFHLFGFIMCLSATAARAFKSVLQGLLLSSEGEKLNSMNLLLYMSPIAILVLLPAALIMEPNVVDVTLTLAKDHKSMWLLLLLNSTTAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTIVGMGGYTITVMGVAAYGETKRRFR >Vigun01g112500.2.v1.2 pep primary_assembly:ASM411807v1:1:28644249:28647397:1 gene:Vigun01g112500.v1.2 transcript:Vigun01g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSKENLFICFLVTLWYSSNIGVILLNKYLLSNYGFKFPIFLTMCHMSACAVFSYISIVFFKVVPQQMIKSRSQFMKIATLSLVFCASVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLATLKREAWVTYAALVPVVAGVVIASGGEPGFHLFGFIMCLSATAARAFKSVLQGLLLSSEGEKLNSMNLLLYMSPIAILVLLPAALIMEPNVVDVTLTLAKDHKSMWLLLLLNSTTAYAANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTIVGMGGYTITVMGVAAYGETKRRFR >Vigun01g179300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35994432:35995587:-1 gene:Vigun01g179300.v1.2 transcript:Vigun01g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECHHHKGKKHKLLKRIFWGIVIFAFIVLLAVLIIWAILKPTKPTFILQDVTVFGFNATVPNLLTSSFQVTLSSRNPNDKIGIYYDRLSTYVSYRNQQVTYRTSIPPSYQGHKEEDVWSPFVYGNNVPVAPYNFLGLSQDQSNGNVLVIVKIDGKVRWKVGAFTSGHYNLYVRCPAFITFGPRSTGIALSNNAVKFQLVQRCTVGV >Vigun08g020200.1.v1.2 pep primary_assembly:ASM411807v1:8:1756387:1758925:1 gene:Vigun08g020200.v1.2 transcript:Vigun08g020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKARFPFPFFIILLLQFTAFASSSNLTLILQDVLRAVSAKQKWDSNDVRVANLDVAKVRFGTSRSYEFRIGFGTGNFTLKFADKVATWNKFRTPFPDLPSLVHRLGSFPFLPTLKLEGPFSLRVDALHHLSLSLPMNVSYTGLKQILVGEGITVEVKGAQEISLFYSSDIDLPMNGSAICSGGKSDIWPFLHSTCMALIPIRISGSASLVAYRARNPYAHIATTLISEDVIELLPEKCYHGRMFKKRACPIDSLSLKLSMLEKVLRSLLGRNILQGQLFGLLKANIKASAVVKFHIELERDIRNNVTLNRTIPDWRTKPGFERFLFEILARVEENRLKPLLITKVKPFTGSVSVSWANLMSNMSYTKLRPVFLPPEPLTLDVKW >Vigun07g206600.1.v1.2 pep primary_assembly:ASM411807v1:7:32807703:32812625:1 gene:Vigun07g206600.v1.2 transcript:Vigun07g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLHTSFVPSSSSLRRAAVTHRKTTSPLVVRAKIREIFMPALSSTMTEGKIVSWTKSEGDKLSKGDSVVVVESDKADMDVETFYDGYLAAIVVEEGGVAAVGSPIAFLAETEDEIPQAKSKASSSSSAPAPAPAPAPAPPVESQPEKVAAPVAAAVPTPVVVSSHPASEGGKRIVASPYAKKLAKDLKVELGRIVGTGPMGRIVAKDVEAFAASGDVAAAASPAPGKSAAPAGVELGSVVPFTTMQSAVSRNMVESLAVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVKHPVINSSCRDGNSFTYNSSINVAVAVATDGGLITPVLQNADKIDVYSLSRKWKELVDKARSKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVATKDGRIGMKNQMQVNVTADHRVIYGADLASFLQTLSLIIEDPKDLTF >Vigun07g074100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9462256:9464617:1 gene:Vigun07g074100.v1.2 transcript:Vigun07g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGERAAPDDYGRAAARLAVAQLCNAAGFHGATASALDAFVDVAIRYLLDVGRTAESHANHSGRSQCTVFDAIRGFEDLGAPRAFSCPGGVRDIVSFVESADEVPFAQPIPRFPVVQERRRIPSFDQMGETPPSKHIPAWLPALPDPHTYIHTPVWNERVSDPREDKIEQARQRRKAERSLLSLQKRLLLRNGSVEAKARTSASPDSTVLETQGVGDDHKDVDKDVAPVVKVSVLDEGNVGDRNRVSVLEAFAPAIEMLGSGGLGGDEDDGLGERERSELPVVRPTVHFKFRTGKKFIGESLDMRIRNKDASRTVALVGREDERDDKKRRAEYILKQSMENPQELTLL >Vigun01g036600.1.v1.2 pep primary_assembly:ASM411807v1:1:4718101:4722225:-1 gene:Vigun01g036600.v1.2 transcript:Vigun01g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKEDGWRQSSSVGSSFSASSWSACLDPLSGYGFDDPQPSYSSFGCEAYGGDRLVRYDSAKLERTNSTTVDCYNSIEQVSEALARAGLESSNLIVGIDFTKSNEWTGKKSFNGQSLHHIGNLLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERSCIGFEEVLNRYREIVPHLRLAGPTSFAPIIEMAMTMVEESGGQYHVLVIIADGQVTRNVGRHGKLSPQEQKTVDAIVAASKFPLSIILVGVGDGPFHTMKEFDDNIPARAFDNFQFVNFTEIMSENIPPSRKEASFALSSLLEIPSQYKTAIELNLLGSSMQASAPQRVALPPPTYTSHTARFEPRIHPIATAPSAPSFTYDNQLCPICLNNEKDMALGCGHQTCCECGADLQSCPMCRSPINTRIKLY >Vigun01g036600.2.v1.2 pep primary_assembly:ASM411807v1:1:4718101:4722225:-1 gene:Vigun01g036600.v1.2 transcript:Vigun01g036600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKEDGWRQSSSVGSSFSASSWSACLDPLSGYGFDDPQPSYSSFGCEAYGGDRLVRYDSAKLERTNSTTVDCYNSIEQVSEALARAGLESSNLIVGIDFTKSNEWTGKKSFNGQSLHHIGNLLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERSCIGFEEVLNRYREIVPHLRLAGPTSFAPIIEMAMTMVEESGGQYHVLVIIADGQVTRNVGRHGKLSPQEQKTVDAIVAASKFPLSIILVGVGDGPFHTMKEFDDNIPARAFDNFQFVNFTEIMSENIPPSRKEASFALSSLLEIPSQYKTAIELNLLGSMQASAPQRVALPPPTYTSHTARFEPRIHPIATAPSAPSFTYDNQLCPICLNNEKDMALGCGHQTCCECGADLQSCPMCRSPINTRIKLY >Vigun10g090900.1.v1.2 pep primary_assembly:ASM411807v1:10:26199023:26201824:-1 gene:Vigun10g090900.v1.2 transcript:Vigun10g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCCSHVSVHDMESSCKPNHNDGACEHDGDRIMSRGSSEFVSMYSKKGSKGVNQDAFTVWKDFTKTKDMIFCGVFDGHGPLGHRFSQSIRDKLPSKLSESIKQSQQKVIKHNDTNATDGSSDSNVHVEDNQNMSFASWEGSFKTCFTEMDEDLATNIDPTGLAGGSTAVTVIKQGDQLIIGNLGDSRAVLCRRADDNNLVPVQLTVDLTPDIPSEALRIINCGGKIFSIKEEPRVNRLWRPNGDRPGLAMARAFGDFCLKEYGLISVPDVSYRKLTEQDEFVVLASDGVWDVLTNSEVIDIVASAPKRSMAAKLLVDHAVKVWRRKYKSMVDDCTAICLFLKDQTVLTQP >Vigun08g172600.1.v1.2 pep primary_assembly:ASM411807v1:8:34343143:34345676:1 gene:Vigun08g172600.v1.2 transcript:Vigun08g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVWHKVAAISGVAALGLGTYGAHVFKPQNPAYKEVWHTASLYHLVHTAALVAAPITKHPTVFGGLLTTGILAFSGTCYTVALLEDRKYSTLAPFGGFAFIAAWGSLFF >Vigun02g036800.1.v1.2 pep primary_assembly:ASM411807v1:2:15151461:15153550:1 gene:Vigun02g036800.v1.2 transcript:Vigun02g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHFAQPSKLKTKKIVFENVYPSCDLVTLEHLKELSSKRKAIEDSINESSFITEAIAKEMSGGLESRFEQDIQKIEQYLPLLENLISCADVLSTFSETYMAQLTVALKIRWSSTLITSSFFNFKGSKFFQVDNLQFELGMTLFLCGGILRERALEVLSTDLVQSTTIFRQAAGIYHHLAQEVLPP >Vigun04g057000.1.v1.2 pep primary_assembly:ASM411807v1:4:5594162:5598525:1 gene:Vigun04g057000.v1.2 transcript:Vigun04g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSKPLLYGDRVRFHQKMVAPIAVSSPKSTLLQNPIYLGDSSSSFFGGSLKGLCLHLKPRPQRRDFSNLAVASASATPSVGKSNSGGRFYFNITGFPFPLGPFLNRRTIRTEAVKGCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTDECIQLIKELGAPVEYIVLPTFAYEHKVFVGPFSRKFPLAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDDDLSTPWAGEIEQKILSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKLLSKGKEVPDEPVVDNKRNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVREWVDSISRDWRFKRIIPAHFAGPISASRSDFRAAFAFLDEFLVESNGAWPSLSLLFSSIMGKAATYFPPDDMRTLSSLDQFLVSVGAVKKTVSGRKR >Vigun04g057000.2.v1.2 pep primary_assembly:ASM411807v1:4:5594162:5598529:1 gene:Vigun04g057000.v1.2 transcript:Vigun04g057000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSKPLLYGDRVRFHQKMVAPIAVSSPKSTLLQNPIYLGDSSSSFFGGSLKGLCLHLKPRPQRRDFSNLAVASASATPSVGKSNSGGRFYFNITGFPFPLGPFLNRRTIRTEAVKGCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTDECIQLIKELGAPVEYIVLPTFAYEHKVFVGPFSRKFPLAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDDDLSTPWAGEIEQKILSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKLLSKGKEVPDEPVVDNKRNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKLL >Vigun07g288700.1.v1.2 pep primary_assembly:ASM411807v1:7:40121603:40123211:-1 gene:Vigun07g288700.v1.2 transcript:Vigun07g288700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITNLFAMATTSSLSMSFPGFKLKLCLTLIACVVGFTSAQLSTKFYEKSCPGALVTIRKAVKQAVQNESRMGASLLRLHFHDCFVQGCDASVLLDDTDTFTGEKNSFPNANSLRGFDVIDSIKSDLENMCKGVVSCADILAVAARDAVFELGGPRWEVPLGRRDSTTASLAESNSDLPAPFFDLDGLASAFAKKNFTVKELVALSGGHSVGLVRCRFFRKRIYNESNIDTTFAEDRRQDCPFEGGDNNLTAFDSTTPFRFDNAFYKNLLVQKGLVHSDQQLFNDVNGTDSPTKDQVIRYARDMGKFKKDFADAMLKMSIMTPLTGSDGEIRQNCRVVNPPST >Vigun04g103200.1.v1.2 pep primary_assembly:ASM411807v1:4:24105206:24106796:-1 gene:Vigun04g103200.v1.2 transcript:Vigun04g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLLIFLFLGILNCEAQYFNTNPYDYLELALRWPNSYCLTHDGGCREIVPQYFTISYLRPRQRGGPDKQYCPSPFTLPNSTMEVNKNDLLKFWPDLRTDNFIESKSLWRDQWRRFASCYNMLPNDYIVYALNSRKRNDLKKILTSAGIVASGNPYPTRRILQAFRKALGVNVDIICEPDRSGNVYLAEVHQCVDVSGTTLIDCDNKARGCDDDPIFPYMGFQPDKDPN >Vigun10g160700.1.v1.2 pep primary_assembly:ASM411807v1:10:37949768:37950888:-1 gene:Vigun10g160700.v1.2 transcript:Vigun10g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSFSSTSLATLSFNSGVRATTTHQMKKVSTMGSSVFSGLKQVNKVQLKKATKVTFTRSAGINTTISCSVAQPETLQIVQCTIAKQLSIDETTVTPKTKFSELGADSLDTVEIMMALEEKFDISIGEGGAENISTVQDAADLIEKVKTAST >VigunL087700.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000667.1:10647:11152:-1 gene:VigunL087700.v1.2 transcript:VigunL087700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLPTLFMFQWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >VigunL087700.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000667.1:9896:12990:-1 gene:VigunL087700.v1.2 transcript:VigunL087700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTRLCDIASTNLISHMYYFVSNFTCALGIEY >VigunL087700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000667.1:9944:12990:-1 gene:VigunL087700.v1.2 transcript:VigunL087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun03g296400.1.v1.2 pep primary_assembly:ASM411807v1:3:48295204:48298229:-1 gene:Vigun03g296400.v1.2 transcript:Vigun03g296400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFFFKEKCKSAPELHKKKNPAVNRAANSTGSLSSPKSVKDLYREKEHSFRVFTFQELRDATQGFNRTLKLGEGGFGSVYKGSIRPSDGQGDQFPVAIKRLNTRGFQGHKEWLAEVQFLGIVNHPNLVKLLGYCSVDGERGIQRLLVYEFMPNRSLEDHLFNKSLPPLPWKTRLEIMLGAAQGLAYLHEGLEIQVIYRDFKSSNVLLDADFHPKLSDFGLAREGPQGDQTHVSTAVVGTQGYAAPEYIETGHLKVQSDMWSFGVVLYEILTGRRSLERNRPTAEQKLLDWVKQYPADTSRFAVIMDPRLRNQYSLQAARKIAKLADSCLKKNPEDRPSMSQIVESLKQALQHSETPNSSQDIAESSSSSRSKFVRKSK >Vigun03g228466.1.v1.2 pep primary_assembly:ASM411807v1:3:37984975:38000508:1 gene:Vigun03g228466.v1.2 transcript:Vigun03g228466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNALSGCIKDPGVCVHYVIWSPNGFIWNCLLKCGKSCRLRWLNYLRTDIKRGNFNGMKVYTTDEKELIMESGLGILISLLQLKHLGFEPRCRLLICKYLFLHV >Vigun06g117900.1.v1.2 pep primary_assembly:ASM411807v1:6:24561969:24565984:-1 gene:Vigun06g117900.v1.2 transcript:Vigun06g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVSSSSSSSPLTHHHLLLLAFFLLLTIEVQSTNLNYTTDRKFSSLRLQRIQRHLDNINKTPLLTIQSPDGDLIDCVHKRKQPALDHPLLKNHKIQRVPSEMPKGMKVKKKSEMGMGMGMQKWHQNGTLCPKGTVPIRRSTVHDVLRAKSLFDFGKKRRRFSLARSDAPDVVTGNGHEHAIAYTGSSQEVYGAKATINVWEPSIQVVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDTRPRLFTYWTSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPISSYDANQYDITILIWKDPKIGNWWMSFGDGTLVGYWPVELFTHLATHATMVEWGGEVVNTRANGEHTSTQMGSGHFAGDGFGKASYFRNLEIVDTDNSLSSVHNILTLAENTNCYDIKSSYSNEWGTYFYYGGPGNSAQCQ >Vigun03g440700.3.v1.2 pep primary_assembly:ASM411807v1:3:64346144:64349119:1 gene:Vigun03g440700.v1.2 transcript:Vigun03g440700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSQEDNFCLSLSIWHSPRYVPGTWRWMLGVAGIPAALQIVLMVFLPESPRWLYRQGKEEEAKNILLRIYRKDEIEEEMRSMEESVQAEKEENLKGEKNFVKRLKDAFSNDVVRRGLYAGIAAQVAQQFVGINTIMYYSPTIVQFAGIASNSTALALSLITSGLNAFGTILSMVFIDKYGRRRLMLISLVGIIICLAVSSAIFFVAAKHAPAVSYQDTLDFPPNSTCYSYTNAPNFRSWNCMQCLRAECAFCANTDNQYHPGACLSAEKSVRQECRAEKRVWFSMGCPSKIGILAVAVLGLYIIAYSPGMGTVPWVLNSEIYPLRYRGLGGGIAAVSNWCANLMMSESFLSMTEALGASGTFLLFAGFSLIGLIAIYALVPETKGLAFEEVEKLLQEGFRPYPFNKKKKEIKEKVED >Vigun03g440700.1.v1.2 pep primary_assembly:ASM411807v1:3:64346000:64349119:1 gene:Vigun03g440700.v1.2 transcript:Vigun03g440700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVELGASNVEFKECWNRARGSPYIMRLALSAGLGGLLFGYDTGVISGALLYIRDYFEEVDKKTWLQETIVSMAIAGAIIGAAFGGWVNDRFGRKTSILISDVLFLAGAIVMAFAPSPWFLIAGRVLVGLGVGMASMTAPLYISEVSPHNIRGALVSVNGLLLTGGQFLSFLINLAFTKVPGTWRWMLGVAGIPAALQIVLMVFLPESPRWLYRQGKEEEAKNILLRIYRKDEIEEEMRSMEESVQAEKEENLKGEKNFVKRLKDAFSNDVVRRGLYAGIAAQVAQQFVGINTIMYYSPTIVQFAGIASNSTALALSLITSGLNAFGTILSMVFIDKYGRRRLMLISLVGIIICLAVSSAIFFVAAKHAPAVSYQDTLDFPPNSTCYSYTNAPNFRSWNCMQCLRAECAFCANTDNQYHPGACLSAEKSVRQECRAEKRVWFSMGCPSKIGILAVAVLGLYIIAYSPGMGTVPWVLNSEIYPLRYRGLGGGIAAVSNWCANLMMSESFLSMTEALGASGTFLLFAGFSLIGLIAIYALVPETKGLAFEEVEKLLQEGFRPYPFNKKKKEIKEKVED >Vigun03g440700.2.v1.2 pep primary_assembly:ASM411807v1:3:64338818:64349119:1 gene:Vigun03g440700.v1.2 transcript:Vigun03g440700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVELGASNVEFKECWNRARGSPYIMRLALSAGLGGLLFGYDTGVISGALLYIRDYFEEVDKKTWLQETIVSMAIAGAIIGAAFGGWVNDRFGRKTSILISDVLFLAGAIVMAFAPSPWFLIAGRVLVGLGVGMASMTAPLYISEVSPHNIRGALVSVNGLLLTGGQFLSFLINLAFTKVPGTWRWMLGVAGIPAALQIVLMVFLPESPRWLYRQGKEEEAKNILLRIYRKDEIEEEMRSMEESVQAEKEENLKGEKNFVKRLKDAFSNDVVRRGLYAGIAAQVAQQFVGINTIMYYSPTIVQFAGIASNSTALALSLITSGLNAFGTILSMVFIDKYGRRRLMLISLVGIIICLAVSSAIFFVAAKHAPAVSYQDTLDFPPNSTCYSYTNAPNFRSWNCMQCLRAECAFCANTDNQYHPGACLSAEKSVRQECRAEKRVWFSMGCPSKIGILAVAVLGLYIIAYSPGMGTVPWVLNSEIYPLRYRGLGGGIAAVSNWCANLMMSESFLSMTEALGASGTFLLFAGFSLIGLIAIYALVPETKGLAFEEVEKLLQEGFRPYPFNKKKKEIKEKVED >Vigun09g197700.1.v1.2 pep primary_assembly:ASM411807v1:9:37275766:37277823:-1 gene:Vigun09g197700.v1.2 transcript:Vigun09g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRLLSKILNKCSKRRLLDQGKQVHGVVEKLGFRHDLVLNNDLIDMYSKCGTMDLACSVFVRMSQRNVVSWTALMCGYLQNGDARASLVLFSKMGRSDVRPNDFTLSTSLKASGILGIAQNGMQIHGFCAKSNFDRVPVVGNSTMDMYSKCGMVREAAQVFNTLTERNVISWNVMIAGYCNDGNGGEALSLFREMQANGEVPDGYTYSSSLKACNCAGAVGEGMQIHAALIRHGFPYLAESAVAGALVDLYVKYRRMAEARRVFDRIEEKSVMTWSTLILGYAHEDNLAEAMDLFRELRESRHRMDGFVLSSLIGVFADFALAEQGKQMHAYAIKVPYGLLEMSVANSVLDMYMKCGLIDEADALFREMLARNVVSWTVMITGYGKHGIGNKAIELFNQMQVNGIEPDSVTYLAVLSACKHYACMVDLLGRGGLLKDAKDLIEKMPLKPNVGIWQTLLSVCRMHADVEMGKQVGEIVMRLDGNNPANYVILSNMYAAGGYWRESEKLRETAKRKGLKKEAGRSWVEIDKEIHIFYNGDGMHPLIGEIHQVLKEMEKRVKDEMGYVHGVNFALHDVEEESKVESLRVHSEKLAIGLVLVRRGLKVESVIRIFKNLRVCGDCHAFIKGLSKVLKIVVVVRDANRFHRFENGLCSCGDYW >Vigun08g138900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31115284:31117499:-1 gene:Vigun08g138900.v1.2 transcript:Vigun08g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKPSAANSSPLTPLGFLDRAATVYGDVPSIVYNHTTFTWAQTHRRCLQLASAISSLGIRRGSVVSVVAPNIPAMYELQFSIPFAGAVLNNINIRLDARTISVILRHANSALVFVDCASRDLVLEALSLFPKHHTQRPLLVLIADDSVENADVSRTADFVDTYEGLVSKGDPNFEWVRPKSEWDPMILNYTSGTTSSPKGVVHSHRGIFIVSVDTLVDWAVPKCPIYLWTLPIFHANGWTLPWGIAASGGTNICVRKFDAGIIYSLIKRHHVTHMCGAPVVLSMLTNSPDNKPLERPVHILTAGAPPPAAVLHRTEALGFVVSHGYGLTETAGLVVSCAWKEKWNRLPAAERARLKARQGVRTVGMAEVDVVGPTGESVKRDGVSVGEIVMRGGCVMLGYLKDPEGSASCFKNGWFYTGDVGVMHEDGYLEIKDRSKDVIISGGENLSSVEVESILYGHPAVVEAAVVARPHEYWGETPCAFVSLKAGLKEKPSEEEIIKYCREKMPRYMVPKTVVFKDELPKTSTGKIQKFLLRQIAKEMGSFSQSRM >Vigun03g269100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44071216:44074714:1 gene:Vigun03g269100.v1.2 transcript:Vigun03g269100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNFNAWLHPSFTRSRSPTFLFHGLTNSPIPSLIVTKRPRDKLYSSPSFTVSAVLTKEETVETEEKPAFNFKSYMLQKADAVNQALDDAVPLREPLRIHEAMRYSLLAGGKRVRPVLCVAACELVGGAAATAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLAFAFEHIAGATVGASPPRIVRALGELARAIGAEGLVAGQVVDIKSEGLDDVGLERLEFIHLHKTAALLEGAVVLGAILGGGSDEEIERLRKFARYIGLLFQVVDDILDVTKSSQELGKTAGKDLAADKVTYPKLLGIEKSKEFAAKLNKDAQDQLSGFDPVKAAPLVALANYIAYRQN >Vigun05g184200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35552587:35553495:-1 gene:Vigun05g184200.v1.2 transcript:Vigun05g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSKAALCLVEALKESESNHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNNIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEARREKGEANYADSIFEDLVRRFEKPERRNRWDSPLFELWPHIEGTERSSSAIIDAVSYLIKKVDSKTRDVKILQPTIATQTARFSDANSLFELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun11g026400.1.v1.2 pep primary_assembly:ASM411807v1:11:3424557:3426183:-1 gene:Vigun11g026400.v1.2 transcript:Vigun11g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCIDQSTYPNYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHLTEDILKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNKDARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFNPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKAEKMKATRDVLSDYGNMSSACVLFILDEMRRKSTQNGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun08g022500.1.v1.2 pep primary_assembly:ASM411807v1:8:1954200:1956993:1 gene:Vigun08g022500.v1.2 transcript:Vigun08g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMRLRSLFRSLSCRSYHAFSSTNHILRSTSLLSPSPNPFPSPSSSECRSPFSMGLGSMRFFSEDVTHLPNIKDPELYNVFKDLLAVNWSELPDSVVSDVKKVLSRNTDDKAGKEVVENVFRAAQAVEEFGGILVSLKLEIDDSIGVSGEDVKPLPTHIKSALNLIFDRYSTYLNSFGPDENYLRKKVEVELGASMIHLKMRCSGLGAEWGKVTVLGTSGLAGSYVEQRA >Vigun06g001300.1.v1.2 pep primary_assembly:ASM411807v1:6:630605:632648:-1 gene:Vigun06g001300.v1.2 transcript:Vigun06g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAVANRKRSGTNDVVSDGDAKRKNAARDMDPAAALALTRHEFGEHGGVNMSIEASATFTVMEPETLCRMFAGELGPDRDFFIYSRHFNPTVLNLGRQMAAMEGTEAAYCTASGMSAISSVLLQLCSSGGHVVASNTLYGGTHALLSHFFPRTSNINTTFVDIDDLESVESAIVEGKTKVLYFESVANPSLKVANIPELCRVGHAKGLTVVVDNTFAPMVISPARLDADVVVHSISKFISGGADIIAGAVCGPASLVNSMMDLHQGALMLLGPTMNAKVAFELSERIPHLGLRMKEHSHRALVFATRLKKLGVKVIYPGLEEHPQHQLLKSMANMEYGYGGLLCIDMETVDRANQLMSQLQNDVQFGFMAVSLGYYETLMSCSGSSTSSEMSAEEQKIAGISPGLIRMSIGYIGTLEQKWTQMEKALTRFNDTHSIP >Vigun03g275650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45186041:45186475:-1 gene:Vigun03g275650.v1.2 transcript:Vigun03g275650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHIKPKCVVIFLALIAFNGSLLTYCREIKPLNQHYSLKKDTSANVPAPSSENKKVDSFVISKQDVEGFGDTNAFRPTTPGSSPGVGHRKFATEDKDMKATVEVQSPDVMVHVTEGTESGFKPTNPGHSPGVGHAQHNQMGQ >Vigun06g120900.1.v1.2 pep primary_assembly:ASM411807v1:6:24849803:24852955:1 gene:Vigun06g120900.v1.2 transcript:Vigun06g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAAPQTPPQNPPDHQPKPEQPLPKPPGYGGDPTSQPRPTLQKPPAYRDHQFQPAPGPLRKAALPPSFRPRPKRRRRCCRVCCCTFCILFIVIFLFLVIAAAVFYLLYDPALPKFRLSSFRVPKLNVSNTNDGAYLDADTTARVEVRNRSGKMSWHFGQSKVEVSADDGNLSLGSSKVAGFVVEQQGLAQVKAATKVTKLALDDRLRRKLKGAVESKALTPTVEIRTKTSVGLQGWNSPSIAVTVVCGGVTMRRLENGDPPLCSITLLQWIKIR >Vigun06g120900.2.v1.2 pep primary_assembly:ASM411807v1:6:24850177:24852642:1 gene:Vigun06g120900.v1.2 transcript:Vigun06g120900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAAPQTPPQNPPDHQPKPEQPLPKPPGYGGDPTSQPRPTLQKPPAYRDHQFQPAPGPLRKAALPPSFRPRPKRRRRCCRVCCCTFCILFIVIFLFLVIAAAVFYLLYDPALPKFRLSSFRVPKLNVSNTNDGAYLDADTTARVEVRNRSGKMSWHFGQSKVEVSADDGNLSLGSSKVAGFVVEQQGLAQVKAATKVTKLALDDRLRRKLKGAVESKALTPTVEIRTKTSVGLQGWNSPSIAVTVVCGGVTMRRLENGDPPLCSITLLQWIKIR >Vigun05g070400.1.v1.2 pep primary_assembly:ASM411807v1:5:6070427:6073953:1 gene:Vigun05g070400.v1.2 transcript:Vigun05g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFFTHSHCYSSSTSSSSLVFRLHRQLGVAPTSLRLSSSHVANVAAMAMDSSAKVIDGKAVAKQIRDEITAEVSRMREATGVIPGLAVILVGDRKDSATYVRNKKKACESVGINSLEANLPEDSTEEEVLNYISGYNDDPSVHGILVQLPLPSHMNEQNVLNAVRIEKDVDGFHPLNIGRLAMRGRDPLFVPCTPKGCIELLHRYNVSIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTSNPEEIIRQADIIISAVGKPNMVRGSWIKPGAVIIDVGINPVEDQNSPRGYKLVGDVCYEEAIKVASAVTPVPGGVGPMTIAMLLQNTLISAKRVHNFE >VigunL059715.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:50630:51787:1 gene:VigunL059715.v1.2 transcript:VigunL059715.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVLSRLFDARGRGPEGPVPNPSADQHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTKGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun07g022700.5.v1.2 pep primary_assembly:ASM411807v1:7:1921621:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun07g022700.3.v1.2 pep primary_assembly:ASM411807v1:7:1923428:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPLSITVLRLSSPLTIKNTSKRGYYDVEMKANWVAFDDYCGSRGLKYPFLVKRLACMVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun07g022700.1.v1.2 pep primary_assembly:ASM411807v1:7:1921621:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSFSRRFFVKPFPLFSFYFSFSTASPSPPPIRVALTESVGRAVFATRPIGAADLIHTASPAVCHPYSGRASCYSCLAALPHSHPPRAPFCSQHCQQRSKGYYDVEMKANWVAFDDYCGSRGLKYPFLVKRLACMVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun07g022700.4.v1.2 pep primary_assembly:ASM411807v1:7:1922761:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANWVAFDDYCGSRGLKYPFLVKRLACMVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun07g022700.2.v1.2 pep primary_assembly:ASM411807v1:7:1923340:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPLSITVLRLSSPLTIKNTSKRGYYDVEMKANWVAFDDYCGSRGLKYPFLVKRLACMVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun07g022700.6.v1.2 pep primary_assembly:ASM411807v1:7:1923091:1927811:1 gene:Vigun07g022700.v1.2 transcript:Vigun07g022700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDARSDTLDILQPANFTPEMVSEMEEEFVLLRNAFAEALIGDDRIAFLTKQWYINALARIRINAFRIELVGGRYEDLLSLAVASVEAEAAVGNAVYILPSFYNHDCDPNAHIIWIDNAHAKLKALRDIDEGEELRICYIDASLDRDARRELLLRGFGFQCNCSKCLHGD >Vigun10g154700.1.v1.2 pep primary_assembly:ASM411807v1:10:37485028:37487207:-1 gene:Vigun10g154700.v1.2 transcript:Vigun10g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVGFGFRPTEEELVDYYLRHRLLGDDPQVHVIPDIDLCQVEPWDVPMLVFSPVDFKYSNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYFKGRVSRGQKSNWVIHEYHAVTFHESQRTFVLCRLMKKPGGTTEGGGDEGESSRIMVSGYHNPSIGTTFQQDQASFPNPSFDDAHFRNESNIEHNSYENTQEEEFVNSFFVEDNYVNNEESTNTYFNTFTQSESLRKVYDTDVEAVSQQGDNTMNISTVCSKYPNSDEYHSSKEFDSELSNVDVHEGVCMSSPIHEKKQEKKKKKKSKFSFF >Vigun05g011200.1.v1.2 pep primary_assembly:ASM411807v1:5:894790:896282:1 gene:Vigun05g011200.v1.2 transcript:Vigun05g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTYIISNFCTLPASKTKTMQTVEIKVKMDCDGCERKVRNAVATMKGVKSVEINRKQSRVTVNGCVDPNKVLNRVKGTGKKRAEFWPYVPQHVVSYPHASGVYDKRAPSGYVRNAQTFTPSVETEEKFMSLFSEDNVNACSIM >Vigun05g011200.2.v1.2 pep primary_assembly:ASM411807v1:5:894790:896282:1 gene:Vigun05g011200.v1.2 transcript:Vigun05g011200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCERKVRNAVATMKGVKSVEINRKQSRVTVNGCVDPNKVLNRVKGTGKKRAEFWPYVPQHVVSYPHASGVYDKRAPSGYVRNAQTFTPSVETEEKFMSLFSEDNVNACSIM >Vigun09g109300.1.v1.2 pep primary_assembly:ASM411807v1:9:23168994:23172019:1 gene:Vigun09g109300.v1.2 transcript:Vigun09g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRGGSGGGFRGGRGDRGRGRGGGGRGGDRGTPFKARGGGRGGGGRGGGRGGGRGGGRGGMKGGSKVVVQPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRITVQNEDGSKDEYRIWNPFRSKLAAAILGGVDNIWIKPGARVLYLGAASGTTVSHVSDVVGPSGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFESEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRMPKKKKETA >Vigun09g109300.2.v1.2 pep primary_assembly:ASM411807v1:9:23168994:23172019:1 gene:Vigun09g109300.v1.2 transcript:Vigun09g109300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRGGSGGGFRGGRGDRGRGRGGGGRGGDRGTPFKARGGGRGGGGRGGGRGGGRGGGRGGMKGGSKVVVQPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRITVQNEDGSKDEYRIWNPFRSKLAAAILGGVDNIWIKPGARVLYLGAASGTTVSHVSDVVGPSGVVYAVEFSHRSGRDLVNMAKKRGHFVISIKANCIDSTVPAEAVFESEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRMPKKKKETA >Vigun03g239300.1.v1.2 pep primary_assembly:ASM411807v1:3:39910284:39913023:1 gene:Vigun03g239300.v1.2 transcript:Vigun03g239300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSQDHSDPWIYKPAEFWQLEDHIPQPTKRRHRSCVFTLKEMDEATCSFSDDNLLGKGGFGRVYRGNLKSGEVVAIKKMELAAMKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMHNGNLQDHLNGIGERKMDWPLRLKVALGAAKGLAYLHSSSCLGIPIVHRDFKSTNVLLDANFEPKISDFGLAKLMPEGQETHVTAKVLGTFGYFDPEYTSTGKLTVQSDVYAFGVVLLELLTGRPALDLNQGPNDQNLVLQVRHLLKERKKLRKVIDAEMARNSYTIESIFMLANLASRCVHAESNERPSMVDCVKEIQMIIHTNSKGLGMVRHSFRMV >Vigun03g239300.2.v1.2 pep primary_assembly:ASM411807v1:3:39910284:39913023:1 gene:Vigun03g239300.v1.2 transcript:Vigun03g239300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSQDHSDPWIYKPAEFWQLEDHIPQPTKRRHRSCVFTLKEMDEATCSFSDDNLLGKGGFGRVYRGNLKSGEVVAIKKMELAAMKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMHNGNLQDHLNGIGERKMDWPLRLKVALGAAKGLAYLHSSSCLGIPIVHRDFKSTNVLLDANFEPKISDFGLAKLMPEGQETHVTAKVLGTFGYFDPEYTSQTGKLTVQSDVYAFGVVLLELLTGRPALDLNQGPNDQNLVLQVRHLLKERKKLRKVIDAEMARNSYTIESIFMLANLASRCVHAESNERPSMVDCVKEIQMIIHTNSKGLGMVRHSFRMV >Vigun03g014300.2.v1.2 pep primary_assembly:ASM411807v1:3:960131:961307:1 gene:Vigun03g014300.v1.2 transcript:Vigun03g014300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVVYGSWSTGLCDCFSDCSSCCLTLWCPCVSFGRVAEILDKGSTPCCVHGSLFCVLAMFTHVGGCIYSWLYRGKLREVYGIEGHHCSDCLVSCFCPHLSICQEYRELKARGFNMSAGCDGNVQMQTRGVTSAPAVEGGMSR >Vigun03g014300.1.v1.2 pep primary_assembly:ASM411807v1:3:960297:961057:1 gene:Vigun03g014300.v1.2 transcript:Vigun03g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVVYGSWSTGLCDCFSDCSSCCLTLWCPCVSFGRVAEILDKGSTPCCVHGSLFCVLAMFTHVGGCIYSWLYRGKLREVYGIEGHHCSDCLVSCFCPHLSICQEYRELKARGFNMSAGCDGNVQMQTRGVTSAPAVEGGMSR >VigunL088500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000072.1:19923:22047:-1 gene:VigunL088500.v1.2 transcript:VigunL088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVIVLEGEMSLVLRQMNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRLVPHKANKTLSIIDTGIGMTQTDLAYNLGVGFYSTYLIADKVIVTSKHKDHDQYIWESQPGASFIVNNNINAQQPSRGTNITLFLKDNQLEYLEEVTIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWFHNRERDSKHVAPKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGERFQNMEKLYWPSQIQEDYLEGIGILGSSSINGILCLYVNNGRQLVYLWNPTINELKVIPPSPFENAPYYIYIGINYHGFGYDCVRDDYKVVRQVSFFVNSDDDVEPHDVFPLSCIWEMYSLGSNSWTNLELRASVPTSFDDNNKFYLEGMCHWLGYAESCIQHVVSFDLINKVWITTLPPLDIPMEIYDNKHFVRRQLFLLNQSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPVGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHSGYSQLVVHKKSLLTIARINS >Vigun03g108600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9719602:9721768:-1 gene:Vigun03g108600.v1.2 transcript:Vigun03g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKGGRKSAEYEAVVCAGRHLKRLQQAHAHLVVTGCHRSRALLTKLLTLSCAAGSIAYTRRLFRSVADPDSFLFNSLIKASSKFGFSHDTVLFYRRMLHSRIAPSSYTFTSVIKACADISDLRFGTLVHSHVFVSGYVSDSFVQAALVTFYAKSCNPRVARKVFDEMPQRSIVAWNSMISGYEQNGLAREAVDVFNKMRETGVEPDSATFVSMSSACSQLGSLPLGCWLHECIVGSGITMDVFLATSLVNMFSRCGDVGKARAVFDSMNEGNVVLWTAMISGYGMHGYGVEAMEVFGRMKARGVVPNSVTFVVVLSACAHAGLIDEGRAVFANMKQEYGVVPSVEHHVCMVDMFGRGGLLNEAYKYVKGLRRDELVPAVWTAMLGACKMHKNFDIGVEVAENLINAEPENPGHYVLLSNMYALAGRMDRVESVRKVMIQRGLKKQVGYSTIDVDNRSFLFSMGDKSHPETNEIYRYLDELMWKCKDAGYAPVLELAMHELEEEEREYALRYHSEKLAVAFGLMKTGHGVTLRVVKNLRICEDCHSAIKFISVVTNREIIVRDKIRFHHFREGSCSCLDYW >Vigun06g032900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14075079:14075548:-1 gene:Vigun06g032900.v1.2 transcript:Vigun06g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMDLCVSGP >Vigun05g123200.1.v1.2 pep primary_assembly:ASM411807v1:5:13668638:13669476:1 gene:Vigun05g123200.v1.2 transcript:Vigun05g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSIFQLVFLGILCSALVLTSGQPVTVSPWVDCAGPCVSFDNCNFNCHNLGYKSGAFCAFFTCCCFRN >Vigun06g016500.1.v1.2 pep primary_assembly:ASM411807v1:6:7746940:7751688:-1 gene:Vigun06g016500.v1.2 transcript:Vigun06g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSNSSNVQQGMQKQKKQNPFEFNHDKILEDVYRTHFHCLEKCDAESLHTVASNVLNQSMDITEKVIAKSEQPMDRFREDTTITSQQLAVKLKRIAYLMICTPRGEYPVHCTTMLILEQLKHYSWDAKVLIVQAAFALEYGKFLYLLPLIAPCQQFESSFADLHGLLMVPQNTKQLTHFNSVVKKVMQVVECITEWKKLVSAGHDIRDVPSLAETLQEIPVVVYWAIFTFVSCTGEINDLTDNKYQRHELSTELEKKLDPIVGEFKELLRKCINEIERIEDFTSRKNIVTRGRDIVKVLKALLICGDKRDLKQNVYYIGLTEEQVKLEEFKKKYVLLFISGLENVKEDIQLLNSINEKLKEKAREVENYRSEDFKILWIPIVDKWNDERIKKLQNNLRDTKFGWYVVKYLNFETSLKLIKEMLKYEGKHVITLMNPQGKVENFDAKQIISQWGIDGFPFRTSDQIRLSQQWKWFWSEITKFNKAIENSSEEEDCYLLIYGGSDKKWVEELTTGMEAVKKEVEKTNLDSNITIESFELGKEDTKAVPRFWIAIDSLLTSRKVEVKNEFATNEIKRLLFLKQDPKGWAILSKGKNVRLLGHGEAMCRTVKEFDTWREKLSSVSFDVAFTDHYRGIKDKDGSKKCEENVICYGHSTDIVERITCPKKDCRRPMEVTSVNFKCCHQQGQ >Vigun06g016500.3.v1.2 pep primary_assembly:ASM411807v1:6:7746940:7751645:-1 gene:Vigun06g016500.v1.2 transcript:Vigun06g016500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSNSSNVQQGMQKQKKQNPFEFNHDKILEDVYRTHFHCLEKCDAESLHTVASNVLNQSMDITEKVIAKSEQPMDRFREDTTITSQQLAVKLKRIAYLMICTPRGEYPVHCTTMLILEQLKHYSWDAKVLIVQAAFALEYGKFLYLLPLIAPCQQFESSFADLHGLLMVPQNTKQLTHFNSVVKKVMQVVECITEWKKLVSAGHDIRDVPSLAETLQEIPVVVYWAIFTFVSCTGEINDLTDNKYQRHELSTELEKKLDPIVGEFKELLRKCINEIERIEDFTSRKNIVTRGRDIVKVLKALLICGDKRDLKQNVYYIGLTEEQVKLEEFKKKYVLLFISGLENVKEDIQLLNSINEKLKEKAREVENYRSEDFKILWIPIVDKWNDERIKKLQNNLRDTKFGWYVVKYLNFETSLKLIKEMLKYEGKHVITLMNPQGKVENFDAKQIISQWGIDGFPFRTSDQIRLSQQWKWFWSEITKFNKAIENSSEEEDCYLLIYGGSDKKWVEELTTGMEAVKKEVEKTNLDSNITIESFELGKEDTKAVPRFWIAIDSLLTSRKVEVKNEFATNEIKRLLFLKQDPKGWAILSKGKNVRLLGHGEAMCRTVKEFDTWREKLSSVSFDVAFTDHYRGIKDKDGSKKCEENVICYGHSTDIVERITCPKKDCRRPMEVTSVNFKCCHQQGQ >Vigun06g016500.2.v1.2 pep primary_assembly:ASM411807v1:6:7746940:7751688:-1 gene:Vigun06g016500.v1.2 transcript:Vigun06g016500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGSNSSNVQQGMQKQKKQNPFEFNHDKILEDVYRTHFHCLEKCDAESLHTVASNVLNQSMDITEKVIAKKPSYVKQSEQPMDRFREDTTITSQQLAVKLKRIAYLMICTPRGEYPVHCTTMLILEQLKHYSWDAKVLIVQAAFALEYGKFLYLLPLIAPCQQFESSFADLHGLLMVPQNTKQLTHFNSVVKKVMQVVECITEWKKLVSAGHDIRDVPSLAETLQEIPVVVYWAIFTFVSCTGEINDLTDNKYQRHELSTELEKKLDPIVGEFKELLRKCINEIERIEDFTSRKNIVTRGRDIVKVLKALLICGDKRDLKQNVYYIGLTEEQVKLEEFKKKYVLLFISGLENVKEDIQLLNSINEKLKEKAREVENYRSEDFKILWIPIVDKWNDERIKKLQNNLRDTKFGWYVVKYLNFETSLKLIKEMLKYEGKHVITLMNPQGKVENFDAKQIISQWGIDGFPFRTSDQIRLSQQWKWFWSEITKFNKAIENSSEEEDCYLLIYGGSDKKWVEELTTGMEAVKKEVEKTNLDSNITIESFELGKEDTKAVPRFWIAIDSLLTSRKVEVKNEFATNEIKRLLFLKQDPKGWAILSKGKNVRLLGHGEAMCRTVKEFDTWREKLSSVSFDVAFTDHYRGIKDKDGSKKCEENVICYGHSTDIVERITCPKKDCRRPMEVTSVNFKCCHQQGQ >Vigun09g268300.1.v1.2 pep primary_assembly:ASM411807v1:9:43106991:43112754:-1 gene:Vigun09g268300.v1.2 transcript:Vigun09g268300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEFGVSQFVQGTSRQTLFLKKKPHRQRNHMLWGTLWNRNWALGSTRRALHLRCEAQENPRAVVSGSVSSSVEEQPGLVQKPASEVDHLYRVPFMQESAAAELLKEAQVKISSQIVEIQTEQCYNIGLSSHLSTGKFSVLKWLLQETFEPENIGTESFLEKKWKEGLSPVIVEVGPRLSFTTAWSTNAVAICQACGLTEVTRLERSRRYLLFTTSELQDHQISEFASMVHDRMTECVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEEINLEMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFPVKQLRPVRPGSSCPLEVAVRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNTSGFYAPWEDTSFTYPANLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQKSISHGLPPPPPAVDLELEKVLGDMPKKSFKFNRVVYEREPLDIAPGIAVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFNDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAQVTSLSDVKASGNWMYAAKLDGEGTDMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAENEVVKAPGNLVISVYVTCPDITKTVTPDLKLKDEGVLLHIDLSRGKRRLGGSALAQAFDQVGNDCPDLDDVPYLKKVFGGVQDLLIDELISAGHDISDGGLLVCALEMAFAGNCGLSLNLSSQGNSLFQTLYAEELGLVLEVSKKNLALVLDKLNNVGVSAEIIGEVTANPSIEVKVDGETCLSEKTSILRDMWEETSFQLEKFQRLASCVDMEKEGLKHRYEPSWNLTYLPVFTDKKFLLATTKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLNGKISLQEFRGIVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQIGGVHGAGGDLSQPRFIHNKSGRFECRFTSVTIKPSPAIMFNGMEGSTLGIWAAHGEGRAYFPDEGVFDRILHSDLAPIRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun09g268300.4.v1.2 pep primary_assembly:ASM411807v1:9:43107033:43112523:-1 gene:Vigun09g268300.v1.2 transcript:Vigun09g268300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEFGVSQFVQGTSRQTLFLKKKPHRQRNHMLWGTLWNRNWALGSTRRALHLRCEAQENPRAVVSGSVSSSVEEQPGLVQKPASEVDHLYRVPFMQESAAAELLKEAQVKISSQIVEIQTEQCYNIGLSSHLSTGKFSVLKWLLQETFEPENIGTESFLEKKWKEGLSPVIVEVGPRLSFTTAWSTNAVAICQACGLTEVTRLERSRRYLLFTTSELQDHQISEFASMVHDRMTECVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEEINLEMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFPVKQLRPVRPGSSCPLEVAVRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNTSGFYAPWEDTSFTYPANLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQKSISHGLPPPPPAVDLELEKVLGDMPKKSFKFNRVVYEREPLDIAPGIAVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFNDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAQVTSLSDVKASGNWMYAAKLDGEGTDMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAENEVVKAPGNLVISVYVTCPDITKTVTPDLKLKDEGVLLHIDLSRGKRRLGGSALAQAFDQVGNDCPDLDDVPYLKKVFGGVQDLLIDELISAGHDISDGGLLVCALEMAFAGNCGLSLNLSSQGNSLFQTLYAEELGLVLEVSKKNLALVLDKLNNVGVSAEIIGEVTANPSIEVKVDGETCLSEKTSILRDMWEETSFQLEKFQRLASCVDMEKEGLKHRYEPSWNLTYLPVFTDKKFLLATTKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLNGKISLQEFRGIVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQIGGVHGAGGDLSQPRFIHNKSGRFECRFTSVTIKPSPAIMFNGMEGSTLGIWAAHGEGRAYFPDEGVFDRILHSDLAPIRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun09g268300.2.v1.2 pep primary_assembly:ASM411807v1:9:43106584:43112730:-1 gene:Vigun09g268300.v1.2 transcript:Vigun09g268300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEFGVSQFVQGTSRQTLFLKKKPHRQRNHMLWGTLWNRNWALGSTRRALHLRCEAQENPRAVVSGSVSSSVEEQPGLVQKPASEVDHLYRVPFMQESAAAELLKEAQVKISSQIVEIQTEQCYNIGLSSHLSTGKFSVLKWLLQETFEPENIGTESFLEKKWKEGLSPVIVEVGPRLSFTTAWSTNAVAICQACGLTEVTRLERSRRYLLFTTSELQDHQISEFASMVHDRMTECVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEEINLEMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFPVKQLRPVRPGSSCPLEVAVRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNTSGFYAPWEDTSFTYPANLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQKSISHGLPPPPPAVDLELEKVLGDMPKKSFKFNRVVYEREPLDIAPGIAVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFNDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAQVTSLSDVKASGNWMYAAKLDGEGTDMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAENEVVKAPGNLVISVYVTCPDITKTVTPDLKLKDEGVLLHIDLSRGKRRLGGSALAQAFDQVGNDCPDLDDVPYLKKVFGGVQDLLIDELISAGHDISDGGLLVCALEMAFAGNCGLSLNLSSQGNSLFQTLYAEELGLVLEVSKKNLALVLDKLNNVGVSAEIIGEVTANPSIEVKVDGETCLSEKTSILRDMWEETSFQLEKFQRLASCVDMEKEGLKHRYEPSWNLTYLPVFTDKKFLLATTKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLNGKISLQEFRGIVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQIGGVHGAGGDLSQPRFIHNKSGRFECRFTSVTIKPSPAIMFNGMEGSTLGIWAAHGEGRAYFPDEGVFDRILHSDLAPIRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun09g268300.5.v1.2 pep primary_assembly:ASM411807v1:9:43106506:43112484:-1 gene:Vigun09g268300.v1.2 transcript:Vigun09g268300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEFGVSQFVQGTSRQTLFLKKKPHRQRNHMLWGTLWNRNWALGSTRRALHLRCEAQENPRAVVSGSVSSSVEEQPGLVQKPASEVDHLYRVPFMQESAAAELLKEAQVKISSQIVEIQTEQCYNIGLSSHLSTGKFSVLKWLLQETFEPENIGTESFLEKKWKEGLSPVIVEVGPRLSFTTAWSTNAVAICQACGLTEVTRLERSRRYLLFTTSELQDHQISEFASMVHDRMTECVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEEINLEMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFPVKQLRPVRPGSSCPLEVAVRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNTSGFYAPWEDTSFTYPANLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQKSISHGLPPPPPAVDLELEKVLGDMPKKSFKFNRVVYEREPLDIAPGIAVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFNDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAQVTSLSDVKASGNWMYAAKLDGEGTDMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAENEVVKAPGNLVISVYVTCPDITKTVTPDLKLKDEGVLLHIDLSRGKRRLGGSALAQAFDQVGNDCPDLDDVPYLKKVFGGVQDLLIDELISAGHDISDGGLLVCALEMAFAGNCGLSLNLSSQGNSLFQTLYAEELGLVLEVSKKNLALVLDKLNNVGVSAEIIGEVTANPSIEVKVDGETCLSEKTSILRDMWEETSFQLEKFQRLASCVDMEKEGLKHRYEPSWNLTYLPVFTDKKFLLATTKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLNGKISLQEFRGIVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQIGGVHGAGGDLSQPRFIHNKSGRFECRFTSVTIKPSPAIMFNGMEGSTLGIWAAHGEGRAYFPDEGVFDRILHSDLAPIRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun09g268300.3.v1.2 pep primary_assembly:ASM411807v1:9:43106584:43112730:-1 gene:Vigun09g268300.v1.2 transcript:Vigun09g268300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEFGVSQFVQGTSRQTLFLKKKPHRQRNHMLWGTLWNRNWALGSTRRALHLRCEAQENPRAVVSGSVSSSVEEQPGLVQKPASEVDHLYRVPFMQESAAAELLKEAQVKISSQIVEIQTEQCYNIGLSSHLSTGKFSVLKWLLQETFEPENIGTESFLEKKWKEGLSPVIVEVGPRLSFTTAWSTNAVAICQACGLTEVTRLERSRRYLLFTTSELQDHQISEFASMVHDRMTECVYTQKLTSFETSVVPEEIRYIPVMEKGRKALEEINLEMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMDKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFPVKQLRPVRPGSSCPLEVAVRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVQAATAGYCVGNLNTSGFYAPWEDTSFTYPANLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQKSISHGLPPPPPAVDLELEKVLGDMPKKSFKFNRVVYEREPLDIAPGIAVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFNDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAQVTSLSDVKASGNWMYAAKLDGEGTDMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAENEVVKAPGNLVISVYVTCPDITKTVTPDLKLKDEGVLLHIDLSRGKRRLGGSALAQAFDQVGNDCPDLDDVPYLKKVFGGVQDLLIDELISAGHDISDGGLLVCALEMAFAGNCGLSLNLSSQGNSLFQTLYAEELGLVLEVSKKNLALVLDKLNNVGVSAEIIGEVTANPSIEVKVDGETCLSEKTSILRDMWEETSFQLEKFQRLASCVDMEKEGLKHRYEPSWNLTYLPVFTDKKFLLATTKPKVAVIREEGSNGDREMAAAFYAAGFEPWDITMSDLLNGKISLQEFRGIVFVGGFSYADVLDSAKGWSACIRFNEHVLQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQIGGVHGAGGDLSQPRFIHNKSGRFECRFTSVTIKPSPAIMFNGMEGSTLGIWAAHGEGRAYFPDEGVFDRILHSDLAPIRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWHVEKNGPSPWLRMFQNAREWCS >Vigun10g127900.1.v1.2 pep primary_assembly:ASM411807v1:10:33590153:33591336:-1 gene:Vigun10g127900.v1.2 transcript:Vigun10g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQYEFDLQREKQEKQKKENKLLAKKNSMKVDGSNKKKGGFQVGKRKVKVKLTALAKAKAAQAMELDN >Vigun01g170600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35260398:35261307:-1 gene:Vigun01g170600.v1.2 transcript:Vigun01g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSEKFLLNQLPQPVRPSNSYDTTLGISSTIYPPQQPIDENYVPPSMVKDEEGQRKVALVSKLVNRVFDEFQKVEQMLSEETQNTTSRGLRQPVQEKLERFKVRYLSTQEVVKDDDNK >Vigun10g153500.1.v1.2 pep primary_assembly:ASM411807v1:10:37364834:37367567:1 gene:Vigun10g153500.v1.2 transcript:Vigun10g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEENGEKVRETRPTPKLNERILSSLSRRSVAAHPWHDLEIGPGAPMIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDLKELAPHRLSEIRRFFEDYKKNENKEVAVNDFLPASTAIEAIQFSMDLYAEYILLTLRR >Vigun10g153500.3.v1.2 pep primary_assembly:ASM411807v1:10:37364844:37367501:1 gene:Vigun10g153500.v1.2 transcript:Vigun10g153500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEENGEKVRETRPTPKLNERILSSLSRRSVAAHPWHDLEIGPGAPMIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDLKELAPHRLSEIRRFFEDYKKNENKEVAVNDFLPASTAIEAIQFSMSVSLEHLGSLRGVYSAYFEAINKNIILYKLE >Vigun10g153500.2.v1.2 pep primary_assembly:ASM411807v1:10:37364844:37367501:1 gene:Vigun10g153500.v1.2 transcript:Vigun10g153500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEENGEKVRETRPTPKLNERILSSLSRRSVAAHPWHDLEIGPGAPMIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDLKELAPHRLSEIRRFFEDYKKNENKEVAVNDFLPASTAIEAIQFSMDLYAEYILLTLRR >Vigun09g034600.2.v1.2 pep primary_assembly:ASM411807v1:9:3048872:3055558:-1 gene:Vigun09g034600.v1.2 transcript:Vigun09g034600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAPESVGTATGQSDSDLRLLSLRRRSSATSQGGFDAEDAARGSGSEESLNNKNVEENKDCKANHAASDDNIVDNDARKEHGEQVIDVKYAYRPSVPAHRRIKESPLSSDNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKYGFWFSSKSLRDWPLFMCCLSLNIFPLAAFIVERLAQQKCISERVVVLLHLVISTAELSYPVLVILRCDSAFVSGVTLMLFTCIVWLKLVSYAHTNYDMRALAVSNEKGETLPNTLIMEHPYTVTIGSLAYFMVAPTLCYQTSYPRTPSVRKGWVFRQLVKLIIFTGVMGFIIEQYMNPIVQNSHHPLKGNLLYAIERILKLSVPNVYVWLCMFYCFFHLWFYRGGFTGENNTNEI >Vigun09g034600.1.v1.2 pep primary_assembly:ASM411807v1:9:3042783:3055558:-1 gene:Vigun09g034600.v1.2 transcript:Vigun09g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAPESVGTATGQSDSDLRLLSLRRRSSATSQGGFDAEDAARGSGSEESLNNKNVEENKDCKANHAASDDNIVDNDARKEHGEQVIDVKYAYRPSVPAHRRIKESPLSSDNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKYGFWFSSKSLRDWPLFMCCLSLNIFPLAAFIVERLAQQKCISERVVVLLHLVISTAELSYPVLVILRCDSAFVSGVTLMLFTCIVWLKLVSYAHTNYDMRALAVSNEKGETLPNTLIMEHPYTVTIGSLAYFMVAPTLCYQTSYPRTPSVRKGWVFRQLVKLIIFTGVMGFIIEQYMNPIVQNSHHPLKGNLLYAIERILKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVEEYWRMWNMPVHKWMVRHIYFPCIRNGIPKGGASLIAFLVSAVFHELCIAVPCHVFKLWAFIGIMFQVPLVWITNYLQNKYRNSMVGNMIFWFIFCILGQPMSVLLYYHDLMNRNGEID >Vigun02g001500.1.v1.2 pep primary_assembly:ASM411807v1:2:954310:960731:1 gene:Vigun02g001500.v1.2 transcript:Vigun02g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAAPPILMQRKRDLSYGVVAGSPNESWKWEWDSVRFAGKAPSDDVVFEEEGVAAVAPLQLNLAGRVSGGGNKRVRSGSPGTATYPMCQVDNCREDLSKAKDYHRRHKVCEAHSKASKALLSNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSATQAPAAAVAAAANLEIFNLLTAIAGASQGKFEERSQVPDREQLVQILNRIPLPADLATKLRDAGNVNGKKDHVQSETPSSYHHHDQLNHTPAAPLTMDLLAVLSTSLSGSGPDANASPSQNRSWSSDGGSFKSRSSADQTRQQHFFSVGGERSSSSSQSPVEDSDCQEDVRVNLPLQLFSSSPEEDSLPKLASSRKYFSSDSSNPAEERSPSSSPPSPPVVEMQFDLQGGATGLNPESISSGRVVNSNKEASQSHSCNISLYLFKGSSKRIQQPSWLQSVPFQAGYTSSGSDHSPPSLNSDAQDRTGRIMFKLFDKHPSHFPETLRAQIYSWLSNRPSDMESYIRPGCVVLSLYASMSSAAWEKLEENFLQHVHSLIQNSDSDFWRSGRFLVHSGSQLASHKDGKVRICKPWRTWKSPELISVSPLAIVSGQETSISLKGRNLSTPGTKIHCTGTGSYSSAEVIRSSYPGVMYDNIKLSGFKVQDVSPGVVGRFFIEIENGFKGNSFPVIIADETICEELRPLESEFNEEEKICDAISEEHEHHFGRPRSREEALHFLNELGWLFQRERFSYVHEVPCYSLDRFKFVLTFAVERNCCMLVKTLLDVLVDKHLQGESLSTVSVEMLNAIQLLNRAVKRKNIGMVDLLIHYSLPDKNSTSRKYVFPPNLEGSAGITPLHLAACTAGSESVVDSLTSDPQEIGLKCWESLVDANGQTPHAYAIMRNNNSYNVLVARKLADRRRAEISVTIENEIEQASLRVELNQKQSNLLKRGQSSCAKCATAEIRYNRRVPGSQGLLHRPFIYSMLAVAAVCVCVCVFFRGRPCVGSVAPFSWETLDFGTM >Vigun01g102700.1.v1.2 pep primary_assembly:ASM411807v1:1:27095009:27097543:-1 gene:Vigun01g102700.v1.2 transcript:Vigun01g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGYCGGFFQRCKPYIAMISLQFGFAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFALVLERKVRPKITFLMFMQIFVLGLLGPVIDQNLYYAGLKFTSPTYSCAISNMLPAMTFVMAAIFRMEKVDIRKLRCQAKVIGTIVTVAGAMLMTLYKGQVISFLGSQYMHHPRNYVPENTTGSGEKDWFKGSILLIIATLAWASFFILQAVTLRKYPAQLSLTALVCALGTLQSIAVTFVMEHRPSVWTIGWDMNLLAAAYAGIISSGITYYVQGIVMQKKGPVFVTAFSPLMMIIVAIMGAFILAEKIYLGGVTGAVLIVMGLYAVLWGKYKENKEKEAEITIEVMKCCSENGRLETVVEDAETNNDIEMQKGEEASRELRVAIVVPKV >Vigun08g203000.1.v1.2 pep primary_assembly:ASM411807v1:8:36684875:36687227:-1 gene:Vigun08g203000.v1.2 transcript:Vigun08g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPILFISCFFSVGKATDCGGNHVTETIIVGKYGQAAFRTIQAAIDSVKTNNDQWVKIHIKAGLYIESVVIPIEKPCIILEGEGSRKTTISHWDHQSINNNATFLSFPHNVIASDITFKNSYNVATTENKSNRGGSKIEPANAAKLYGDKYFFQRCSFIGYQDTLCDLLGRHYFKDCYIQGEVDFIYGYAQSYYKSCVINAVGRFPNLPGFVTAQGRSSADDPSGFVFEGCSIKGNGEVNLGRAWEPYSRVIFKKTYFSEITPQGWVAWRAANDPSTTTYAEVDCKGPGADTSKRVPWMKNLSSSELYEFSFASFINSDRWVDKLPIIS >Vigun01g213300.2.v1.2 pep primary_assembly:ASM411807v1:1:38736425:38739077:-1 gene:Vigun01g213300.v1.2 transcript:Vigun01g213300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPLLAIILFSFLLRSESWGWFSSSKEPPSSDRTYSNGGNFKGSSAEFSIEAFNDQKGLKLIDNAQKKMISSNSCWQNAYQHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDSKASIASCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSERLLQGSKRVHDSLDLIGSHTQQVAQTARNLEGHIDSVLIHSQNVFEQTTKIALSQSQLQEGQEDMKRSLEDGVTMLKESYNYLGKEIEKLRDEAIEIENEVIKVGDAMSLRMNTLQSKAEDIGNMVGLSLDKQQQLLDGQSTALESLNSLIEFQSKALEESRKTLQYFAEYGHRQHEELIRRQEQIQGFHDHLMENSKSILSSQESFELKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYSIRPFLYIELFATFFVELLVIRLTSDNTELQTWIINVARLLFMVAASVQVLHAICTYKDYETLNHQMLLTLINKVNTMQKQKELSWDVDADYEDWSEWVDADLPDDVNSLDDPDYIPSEVAENSITPMKNYNLRSRNLYHSILI >Vigun01g213300.1.v1.2 pep primary_assembly:ASM411807v1:1:38736425:38740325:-1 gene:Vigun01g213300.v1.2 transcript:Vigun01g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPLLAIILFSFLLRSESWGWFSSSKEPPSSDRTYSNGGNFKGSSAEFSIEAFNDQKGLKLIDNAQKKMISSNSCWQNAYQHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDSKASIASCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSERLLQGSKRVHDSLDLIGSHTQQVAQTARNLEGHIDSVLIHSQNVFEQTTKIALSQSQLQEGQEDMKRSLEDGVTMLKESYNYLGKEIEKLRDEAIEIENEVIKVGDAMSLRMNTLQSKAEDIGNMVGLSLDKQQQLLDGQSTALESLNSLIEFQSKALEESRKTLQYFAEYGHRQHEELIRRQEQIQGFHDHLMENSKSILSSQESFELKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYSIRPFLYIELFATFFVELLVIRLTSDNTELQTWIINVARLLFMVAASVQVLHAICTYKDYETLNHQMLLTLINKVNTMQKQKELSWDVDADYEDWSEWVDADLPDDVNSLDDPDYIPSEVAENSITPMKNYNLRSRNLYHSILI >Vigun03g220400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36659521:36660912:-1 gene:Vigun03g220400.v1.2 transcript:Vigun03g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETESTVVPNFLDENRELTQQCKDLIPTLPLEKGWLSKHLHQYQGFWHTTRQLQGLLSCQNHFQAHHTDLLIVTTPKSGTTWLKAWTFSLLHRHNYHPSAQNHPLLTHNPHFLVPFLELDLYIDKPSLPDLSAMPSPRLFSTHMPYVSLPESVTQTSCKIVYLCRDPKDAFISLWHFTNRLRPQTMLPNSLHQAFHNFCRGVSLYGPFWEHVLEYWQKSLEHPDKIMFMRFEEMKLNPEFVLKKLATFLGCPFSREEEEAGLVQEILKLCSFDKLSNLQVNRNGRLSSGEAHRAFFRRGEIGDWKNHLTADMIQQLDAITQEKLAPHGLRF >Vigun06g227700.1.v1.2 pep primary_assembly:ASM411807v1:6:33530825:33532800:1 gene:Vigun06g227700.v1.2 transcript:Vigun06g227700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSRFLLDLPSYHMAMEVRDYYHFSVYFITSILFVLFILYKLLQSWGSNNSGTNLPPGPRTLPLIGNLHQLIGLLPHHSLKLLADKYGPLMHLKLGEVSNIIVTSPEIAQEVMKTHDVNFSYRPNLASSRIVSYNNSNIVFSQYGEYWRQVRKICTVELLTTKRVQSFQSIREEEVTELVEKIAASEGCAINLTQNIFPMTYGIVARAAFGKKNRYQQVFISKIEEQLKLMGGFSLADLYPSNKVFEMMENVKFEKIHKETDRVLQDIIEEHKNRSNGEVVEDLVDVLLKYQQENDFEILLTDDNIKAVIQDIFIGGGETSSSVVEWGMSELIRNPRVMEKAQTEVRKVYGRKGHVDETKIQQLVYLKSIIKETLRLHPPVPLLVPRESRDRCEINGYEIPSKTRVIINAWAIGRNPEYWTEAESFKPERFLNNSIDFKGADFAFVPFGGGRRICPGITFAIPNIELPLAQLLYHFDWKLPNNMKNEELDMTELGGITLRRKNDLYLVPVTRQT >Vigun07g128200.1.v1.2 pep primary_assembly:ASM411807v1:7:23581886:23583362:-1 gene:Vigun07g128200.v1.2 transcript:Vigun07g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METISVGAMRSLSDSEGESAIKKGSWTEEEDCLLINYVNLHGEGHWNSLARSAGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQLLILELHSRWGNRWAKIAEQLPGRTDNEIKNYWRTRVVKQAKQLKCDVNSKQFRDAVRFVWMPRLMERIQASSSYGHGQTTLCNTQTHAESSGVNPMMFCESSVISSYSSGVDVQPLSLSDASTTSSCNLMGDGSCSSDSVEKGWQQWDYSDLQAFEPNHGFGDADLWTDENMWFLQQHLVDEF >Vigun07g031800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2994557:2995156:1 gene:Vigun07g031800.v1.2 transcript:Vigun07g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSTTLIKRKKVEERMQKKKSTTSTSSSSSFSSGTKEVKGEDDDQNEEDICSTPKGKRFRIPEVSTCPPAPKKRRVLPITCSSKKSIAFFSSPDMEIFFFSPVKTVTASTFTPSGV >Vigun03g196200.3.v1.2 pep primary_assembly:ASM411807v1:3:27706368:27713005:-1 gene:Vigun03g196200.v1.2 transcript:Vigun03g196200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLPLDPKVASRMNELAGDGTSTAIILARAMIKSGLLAVSFGANPISLKKGMDKTVKELVKLLKERSVPVEGREHIKAVASISAGNDEYVGNLIADAMGKIGPDGVISIESSSTSETSVIIEEGMKIDKGYMSPHFITNQEKSIVEFDWAKVLVTDQKISSVKEIVPLLEKAMQLSAPLLIIAEDITRQVLETLVVNKMQGLLRVAVVKCPGFAGGKKALLQDIALMTGADFLSGDLGLTLDGATSDQLGTALKVTITSNATTIIADPSTKAEVQARISQIKKDLSETDNANLSRKLSERIAKLLGGVAVIKVGAHTELELEDRKLRIEDAKNATFAAISEGIVPGGGATYVHLLDMIPTIRNSMKDLDEQIGADIVAKALIEPAKSIATNAGIDGDIVVQKTRTHDWRTGYNAMTGMYEDLLNAGVADPSRVARCALQSAVSVAGVVLTTQAILVDKIKKPKPAVPYIPGITP >Vigun03g196200.1.v1.2 pep primary_assembly:ASM411807v1:3:27706368:27713005:-1 gene:Vigun03g196200.v1.2 transcript:Vigun03g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYIHSHVFLSSHFFPPNGNPSSSFPPFRWNQIAFGVRKASRFVVRAGPKKILFGKECREAMQAGIDKLADAVSLTVGPKGRNVILSESGNLKVINDGVTIARSIELSDAIENAGAILIQEVASRMNELAGDGTSTAIILARAMIKSGLLAVSFGANPISLKKGMDKTVKELVKLLKERSVPVEGREHIKAVASISAGNDEYVGNLIADAMGKIGPDGVISIESSSTSETSVIIEEGMKIDKGYMSPHFITNQEKSIVEFDWAKVLVTDQKISSVKEIVPLLEKAMQLSAPLLIIAEDITRQVLETLVVNKMQGLLRVAVVKCPGFAGGKKALLQDIALMTGADFLSGDLGLTLDGATSDQLGTALKVTITSNATTIIADPSTKAEVQARISQIKKDLSETDNANLSRKLSERIAKLLGGVAVIKVGAHTELELEDRKLRIEDAKNATFAAISEGIVPGGGATYVHLLDMIPTIRNSMKDLDEQIGADIVAKALIEPAKSIATNAGIDGDIVVQKTRTHDWRTGYNAMTGMYEDLLNAGVADPSRVARCALQSAVSVAGVVLTTQAILVDKIKKPKPAVPYIPGITP >Vigun03g196200.2.v1.2 pep primary_assembly:ASM411807v1:3:27706368:27713005:-1 gene:Vigun03g196200.v1.2 transcript:Vigun03g196200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQLLDPLSFQMQLRMQVASRMNELAGDGTSTAIILARAMIKSGLLAVSFGANPISLKKGMDKTVKELVKLLKERSVPVEGREHIKAVASISAGNDEYVGNLIADAMGKIGPDGVISIESSSTSETSVIIEEGMKIDKGYMSPHFITNQEKSIVEFDWAKVLVTDQKISSVKEIVPLLEKAMQLSAPLLIIAEDITRQVLETLVVNKMQGLLRVAVVKCPGFAGGKKALLQDIALMTGADFLSGDLGLTLDGATSDQLGTALKVTITSNATTIIADPSTKAEVQARISQIKKDLSETDNANLSRKLSERIAKLLGGVAVIKVGAHTELELEDRKLRIEDAKNATFAAISEGIVPGGGATYVHLLDMIPTIRNSMKDLDEQIGADIVAKALIEPAKSIATNAGIDGDIVVQKTRTHDWRTGYNAMTGMYEDLLNAGVADPSRVARCALQSAVSVAGVVLTTQAILVDKIKKPKPAVPYIPGITP >Vigun10g160150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37913957:37914091:1 gene:Vigun10g160150.v1.2 transcript:Vigun10g160150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIILTTSGEKRAFTYYRDGVI >Vigun10g076500.1.v1.2 pep primary_assembly:ASM411807v1:10:20681047:20684557:1 gene:Vigun10g076500.v1.2 transcript:Vigun10g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPGWRAISYILGNQIVLKIATLGMIGNFMVYLLQFFNLGQVAAANVLATLLGTSNLTAVIGACVADAYLGKFKVIAISSFGTLVGMVILTLTALPQLHPPSCTLTEHCVPPTSFQLGFLVLGYGLLALGTGAIGPCTISFAIDQFDTTCSEGRKGVNSFFIWYFTSLTTVMLISLTVVVYLQNKSWILSFGMLSFLMSFSIIIFFVGASVYVYIPPKGSIFSSIAQVFVVAYKKRHLKIPKIEDEGLYYDPPVDAETLLKMPLTKQLKCLNKAALIKDNELNVGGTVKNPWRVCSIQQVEEVKCLIKMLPIWASGILCLIPVVQQGTFPVSQALKMDRHLGANFKLPPATFNVVSLITIIIFLPCFDLFLQPILAKVTKNEEGLTSLQKIVIGGVCSVLTMLCAGLVEWRRRGVAIAHGAADGVAPMSAMWLAPQFVFLGLCEILTVVGHTQFYNTESPENMKSIGNSLQCLVMAFSIYVGTLIVNVVNQVTQKRGGIDWLNDDINIGRLDYYYFLLAGLAAINLVYIFYCVKYYHYKVVIDNNTI >Vigun04g055900.1.v1.2 pep primary_assembly:ASM411807v1:4:5454922:5465261:-1 gene:Vigun04g055900.v1.2 transcript:Vigun04g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTGRGGGGGGSVDKFLPNYKLGKTLGIGSFGKVKIAEHILTGHKVAIKILNRHKIRNMEMEEKVRREIKILRLFMHHHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLEKFNIKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTILEIRQHPWFQVRLPRYLAVPPPDTLQQAKKIDEEILQKVVEMGFDRNQLVESLANRIQNKGTVTYYLLLDNRFRVSTGYLGAEFQETMDSGFNRIHSSEVASPVVGHHSTGYMDYQGVGMRQQFPAERKWALGLQSRAQPREIMTEVLKALQELNVCWKKIGHYNMKCRWVASIPGHHEGMINNSVHSNHYFGNDSSIIEDDAVSKSNVVKFEVQLYKAREEKYLLDLQRVQGPQFLFLDLCAAFLSQLRVL >Vigun04g055900.2.v1.2 pep primary_assembly:ASM411807v1:4:5454519:5465257:-1 gene:Vigun04g055900.v1.2 transcript:Vigun04g055900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTGRGGGGGGSVDKFLPNYKLGKTLGIGSFGKVKIAEHILTGHKVAIKILNRHKIRNMEMEEKVRREIKILRLFMHHHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLEKFNIKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTILEIRQHPWFQVRLPRYLAVPPPDTLQQAKKIDEEILQKVVEMGFDRNQLVESLANRIQNKGTVTYYLLLDNRFRVSTGYLGAEFQETMDSGFNRIHSSEVASPVVGHHSTGYMDYQGVGMRQQFPAERKWALGLQSRAQPREIMTEVLKALQELNVCWKKIGHYNMKCRWVASIPGHHEGMINNSVHSNHYFGNDSSIIEDDAVSKSNVVKFEVQLYKAREEKYLLDLQRVQGPQFLFLDLCAAFLSQLRVL >Vigun04g055900.3.v1.2 pep primary_assembly:ASM411807v1:4:5454807:5465257:-1 gene:Vigun04g055900.v1.2 transcript:Vigun04g055900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTGRGGGGGGSVDKFLPNYKLGKTLGIGSFGKVKIAEHILTGHKVAIKILNRHKIRNMEMEEKVRREIKILRLFMHHHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARHFFQQIISGVEYCHRNMVVHRDLKPENLLLEKFNIKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTILEIRQHPWFQVRLPRYLAVPPPDTLQQAKKIDEEILQKVVEMGFDRNQLVESLANRIQNKGTVTYYLLLDNRFRVSTGYLGAEFQETMDSGFNRIHSSEVASPVVGHHSTGYMDYQGVGMRQQFPAERKWALGLQSRAQPREIMTEVLKALQELNVCWKKIGHYNMKCRWVASIPGHHEGMINNSVHSNHYFGNDSSIIEDDAVSKSNVVKFEVQLYKAREEKYLLDLQRVQGPQFLFLDLCAAFLSQLRVL >Vigun10g052850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8711504:8712022:-1 gene:Vigun10g052850.v1.2 transcript:Vigun10g052850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYEGGEEHVVRGLDPDMWSYFEALGILKKDFKYDGELYMWWKLKKGIMDRYLRPLCEDRHALELAEYAENRNEEVEIYVDHLVSSTQVIELVEGVNGDGVNEEHANAAVNGVGVNEEEGTVVVNGGGVNDEEANVAASVGGVNDEEANVVVNGGMEAEEEAKGDAVNARL >Vigun05g258300.1.v1.2 pep primary_assembly:ASM411807v1:5:45209186:45212462:-1 gene:Vigun05g258300.v1.2 transcript:Vigun05g258300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRVSGPPRVEVAVDMGNPFLNLTVDAFLRIGTIAATRAGAEDTYHIIQKRNISSHDFEKTLKKMCKEGVYWGTVAGLYVGTEYGVERIRGTRDWKNAMIGGAVTGAVVSAVSNNKKDKIAIDAITGAAIATAAEFINYLT >Vigun07g157100.2.v1.2 pep primary_assembly:ASM411807v1:7:26826857:26832053:1 gene:Vigun07g157100.v1.2 transcript:Vigun07g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVECENVKMFSSLSVIYFVSLNLWISKYELAEECADDDDAKLGICELRKAVLEELKMHHSLVQEWGLDLTKEHSINSATVKYTDFLLATASGKIEGLKGPGKLATPFEKTKISAYTLGAMTPCMRLYAVLGKKFQELLDSNDGTHPYNKWIDNYSSDGFQATALQTEDLLDKLSVSLTGEELDVIEKLYSQAMKLEIEFFSAQPLFQPTIAPLTKEHKPEEDHLIIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQNQPEDQIVRMSSSDLRNTWGFLSKQYTEEYEQCIENIMPSDKLDYFDYKELSVALEQLSKFENTANNRVIESGVLKGISLEDIKRAGERLILQDGCTNFFHSIVKNENLNANVHVLSYCWCVDLIRSAFSSADLNELDIHANEFTYEESVSTGEIVKKMESPIDKVEAFRNILKNCNDDKKKLTVYIGDSVGDLLCLLEADVGIVIDSSSSLRRVGTQFGISFVPLYSGLVKKQKEYVEGTTSNWKGLSGVLYTVSSWAEVHAFILGC >Vigun07g157100.1.v1.2 pep primary_assembly:ASM411807v1:7:26826857:26832053:1 gene:Vigun07g157100.v1.2 transcript:Vigun07g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWFLRSPIQSPLNLNLSPRRSFSLRALVPHWPSPASRRSLPRSSIPMAAIHNNSNSEAGLARRFWIKFTRQSIFAMYTPFAIALASGNLNIDSFHHYIAQDVHFLRAFAQAYELAEECADDDDAKLGICELRKAVLEELKMHHSLVQEWGLDLTKEHSINSATVKYTDFLLATASGKIEGLKGPGKLATPFEKTKISAYTLGAMTPCMRLYAVLGKKFQELLDSNDGTHPYNKWIDNYSSDGFQATALQTEDLLDKLSVSLTGEELDVIEKLYSQAMKLEIEFFSAQPLFQPTIAPLTKEHKPEEDHLIIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQNQPEDQIVRMSSSDLRNTWGFLSKQYTEEYEQCIENIMPSDKLDYFDYKELSVALEQLSKFENTANNRVIESGVLKGISLEDIKRAGERLILQDGCTNFFHSIVKNENLNANVHVLSYCWCVDLIRSAFSSADLNELDIHANEFTYEESVSTGEIVKKMESPIDKVEAFRNILKNCNDDKKKLTVYIGDSVGDLLCLLEADVGIVIDSSSSLRRVGTQFGISFVPLYSGLVKKQKEYVEGTTSNWKGLSGVLYTVSSWAEVHAFILGC >Vigun10g075000.1.v1.2 pep primary_assembly:ASM411807v1:10:20345897:20347761:-1 gene:Vigun10g075000.v1.2 transcript:Vigun10g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFVFLLVLVVIYASHSDAYPLSTQNRWIIDEATGQRAKLVCGNWAGHLQPMIPEGLDQRPLKDIVGELVKHSFNCVRLTYAIYMWTRYGNENVSATFASLDVPEVVEGIAKNNPYVLSMTHVQTFDVVVHELGVQNVKVLLDNHVSEPMWCCNDDDENGFFHDRHFNPQEWVHGLTLAAKHFSGNHVVVAMSLRNELHGPRQNLKDWYRYMSQGAIDIHKTNPNVLVLISGLNYDTELQFLRRKPLNIDLGKKMVFETHLYSWSGIGTLKLREIWTKQPLNRICANNVKAIDYRAGFLTTGNNATPLIFTEFGFNEVGSSVDDNRFLTCLQTYLLGKDLDWGLWTFQGTYYLKKDQVQVHESFGVMDETWHNLRYPNFTDKFRLLQRKNLVNDKNEVELGSCETKNRWVRGEDTTKIVLHGSKKCLTTVGEGLPVVVSNCERNNNSWKFVSLSKLHLATLNQHEEQHCLQKDSNSSTIVTSKCICIKDDSQCLDDPQSQWFQLVQTNV >Vigun09g172328.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34246835:34247437:1 gene:Vigun09g172328.v1.2 transcript:Vigun09g172328.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRDSFSGFRFRVSGLGFGFGVSSFGLQVTGFGSHVSGVVFQVSGFGFRILAFEFRVSDLGIRVWVFGFWGLRVTDFGFRVSTFRLSFGFGILSFGFRVSGLEFRITSFLFRVSNLGFMVLAFRDSIFGFQISGFGFGFWVWGFEFRVTSYKLRVLGLMFRVSYFRFLVSGFGFLVSSFGFLVSSFAIGFGVSGLGF >Vigun07g051600.1.v1.2 pep primary_assembly:ASM411807v1:7:5416161:5420091:-1 gene:Vigun07g051600.v1.2 transcript:Vigun07g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDVSAYEHSPVHKAIVLADHIALDELLGRLPKLGNPFEIKTEADSIAEDEKAAVISAMVDRRDVPNGDTPLHLAVKYGDYFAMKKLMDAGANCRLKNRERWTAIRQAIIHKQDEIAKAMIRYYWNDYEKKYIRRLPRYIGIMRRMKDFYMEITFHFESSVIPFISRIAPSDTYKIWKKGGNMRADMTLAGFDGMKIKRSNQSILFLGDGVKDDDRKLPGSFFKVSHKEKEVLVISAALTPPTDKEVKRKYNQKYRADRVISIGIDVSEAVLVPQVTWRKKERKEVVGPWKAKVYDMHNVVFIAKAREVPGAPPPEARQRKKKDEEKYDDILTEEEKKQLEAAMNSTDENGPCDKSNRVKKEKKEKKEKKEKKEKKEKKEKSGGHRDHKDKTTNANSENFTSENGESRYTRGVMPALWLSQNFPLTIDELLPIFDILAERIKAVRRLRELLTTKLPKDSFPVRVAIPVVSTVRVIVTFTKFEELQQENEDEFASAPSSPTGDEKNLEEEENPSSSSSSSWFQWIKTPSRSSSSNLESSSMVFDEQDLFAIPSDYKWFTEEEKLKQKRTRKGKMDGNNK >Vigun03g390300.1.v1.2 pep primary_assembly:ASM411807v1:3:59665550:59666055:-1 gene:Vigun03g390300.v1.2 transcript:Vigun03g390300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYIKCFKSPIFLIYNFRIDYIMSSSIFLLCCLLVFSLYSLSQHTGYSLDATATRKFSMPAPLHHHKTQYKMQGVDKATESTPVNEDSTIPEYTGNSYGPHDLVYHIDYHGVTTHPTPKHPKP >Vigun09g114900.1.v1.2 pep primary_assembly:ASM411807v1:9:25193685:25198827:-1 gene:Vigun09g114900.v1.2 transcript:Vigun09g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKRHRVNRGTDSTNTQGIIEENNLRPQTQVQEHVTVLDQHFYDGIPCFADVCFLHKSSSISKVSEMSLLLGRAGTIGCGKILDTIGSSITNLNGGSGFASGAAIKGNGISILAFEVANTIVRGFNLLESLSPGSIRNLKEKVLLSEGVQNLISEDMNELLRIVAADKRKELKVFSNEVIRFGNRSKDTQWHNLDRYLEKISIQLNTERLSRDEAESIMQRLMTSVHLTVKLYHELDAWDKLQQDFQRTDDLAVLSTEIKSQKKQISHLKKKSLWSRSLEEVVEKLVDIVIFLHLEIINVFGNTDYYEPSIGHMNNCQRLGPAGLALHYANIVLQIDTLVAKSSMPAANTKDSLYQSLPPNIKLVLRSNLPSFDVAEELSVADVKSEIKKTLRWLKPMATNTSKAHHRFGWLGQWANTRYEVNRKSDLMWIETFHHADKDKVEHYILEVLLWLHRLAIRSKTG >Vigun09g114900.3.v1.2 pep primary_assembly:ASM411807v1:9:25193714:25198695:-1 gene:Vigun09g114900.v1.2 transcript:Vigun09g114900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKRHRVNRGTDSTNTQGIIEENNLRPQTQVQEHVTVLDQHFYDGIPCFADVCFLHKSSSISKVSEMSLLLGRAGTIGCGKILDTIGSSITNLNGGSGFASGAAIKGNGISILAFEVANTIVRGFNLLESLSPGSIRNLKEKVLLSEGVQNLISEDMNELLRIVAADKRKELKVFSNEVIRFGNRSKDTQWHNLDRYLEKISIQLNTERLSRDEAESIMQRLMTSVHLTVKLYHELDAWDKLQQDFQRTDDLAVLSTEIKSQKKQISHLKKKSLWSRSLEEVVEKLVDIVIFLHLEIINVFGNTDYYEPSIGHMNNCQRLGPAGLALHYANIVLQIDTLVAKSSMPAANTKDSLYQSLPPNIKLVLRSNLPSFDVAEELSVADVKSEIKKTLRWLKPMATNTSKAHHRFGWLGQWANTRYEVNRKSDLMWIETFHHADKDKVEHYILEVLLWLHRLAIRSKTG >Vigun09g114900.5.v1.2 pep primary_assembly:ASM411807v1:9:25193684:25198696:-1 gene:Vigun09g114900.v1.2 transcript:Vigun09g114900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKRHRVNRGTDSTNTQGIIEENNLRPQTQVQEHVTVLDQHFYDGIPCFADVCFLHKSSSISKVSEMSLLLGRAGTIGCGKILDTIGSSITNLNGGSGFASGAAIKGNGISILAFEVANTIVRGFNLLESLSPGSIRNLKEKVLLSEGVQNLISEDMNELLRIVAADKRKELKVFSNEVIRFGNRSKDTQWHNLDRYLEKISIQLNTERLSRDEAESIMQRLMTSVHLTVKLYHELDAWDKLQQDFQRTDDLAVLSTEIKSQKKQISHLKKKSLWSRSLEEVVEKLVDIVIFLHLEIINVFGNTGWPLDYVCFT >Vigun09g114900.4.v1.2 pep primary_assembly:ASM411807v1:9:25193684:25198695:-1 gene:Vigun09g114900.v1.2 transcript:Vigun09g114900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKRHRVNRGTDSTNTQGIIEENNLRPQTQVQEHVTVLDQHFYDGIPCFADVCFLHKSSSISKVSEMSLLLGRAGTIGCGKILDTIGSSITNLNGGSGFASGAAIKGNGISILAFEVANTIVRGFNLLESLSPGSIRNLKEKVLLSEGVQNLISEDMNELLRIVAADKRISIQLNTERLSRDEAESIMQRLMTSVHLTVKLYHELDAWDKLQQDFQRTDDLAVLSTEIKSQKKQISHLKKKSLWSRSLEEVVEKLVDIVIFLHLEIINVFGNTDYYEPSIGHMNNCQRLGPAGLALHYANIVLQIDTLVAKSSMPAANTKDSLYQSLPPNIKLVLRSNLPSFDVAEELSVADVKSEIKKTLRWLKPMATNTSKAHHRFGWLGQWANTRYEVNRKSDLMWIETFHHADKDKVEHYILEVLLWLHRLAIRSKTG >Vigun09g114900.2.v1.2 pep primary_assembly:ASM411807v1:9:25193709:25198695:-1 gene:Vigun09g114900.v1.2 transcript:Vigun09g114900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKRHRVNRGTDSTNTQGIIEENNLRPQTQVQEHVTVLDQHFYDGIPCFADVCFLHKSSSISKVSEMSLLLGRAGTIGCGKILDTIGSSITNLNGGSGFASGAAIKGNGISILAFEVANTIVRGFNLLESLSPGSIRNLKEKVLLSEGVQNLISEDMNELLRIVAADKRKELKVFSNEVIRFGNRSKDTQWHNLDRYLEKISIQLNTERLSRDEAESIMQRLMTSVHLTVKLYHELDAWDKLQQDFQLLSTEIKSQKKQISHLKKKSLWSRSLEEVVEKLVDIVIFLHLEIINVFGNTDYYEPSIGHMNNCQRLGPAGLALHYANIVLQIDTLVAKSSMPAANTKDSLYQSLPPNIKLVLRSNLPSFDVAEELSVADVKSEIKKTLRWLKPMATNTSKAHHRFGWLGQWANTRYEVNRKSDLMWIETFHHADKDKVEHYILEVLLWLHRLAIRSKTG >Vigun01g005300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:648667:653887:-1 gene:Vigun01g005300.v1.2 transcript:Vigun01g005300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTKILEEDDTRSKNGSSLVKIKVLFFARARDLTGLSEMPLELPSGSTTHDCLKKLVVKFPGLEEIRGCMVLALNEEYTTESSIVKDADELAIIPPISGG >Vigun01g005300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:649064:649372:-1 gene:Vigun01g005300.v1.2 transcript:Vigun01g005300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTKILEEDDTRSKNGSSLVKIKVLFFARARDLTGLSEMPLELPSGSTTHDCLKKLVVKFPGLEEIRGCMVLALNEEYTTESSIVKDADELAIIPPISGG >Vigun01g005300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:648590:653898:-1 gene:Vigun01g005300.v1.2 transcript:Vigun01g005300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTKILEEDDTRSKNGSSLVKIKVLFFARARDLTGLSEMPLELPSGSTTHDCLKKLVVKFPGLEEIRGCMVLALNEEYTTESSIVKDADELAIIPPISGG >Vigun03g420600.1.v1.2 pep primary_assembly:ASM411807v1:3:62626964:62629720:1 gene:Vigun03g420600.v1.2 transcript:Vigun03g420600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEHRTVNVNGINMHIAEMGEGPLILFIHGFPDLWFSWRHQMKALASLGYRCVAPDLRGYGDTDVPPSTTAYTTLHVVGDLVGLLDEVAGGDEKVFVVGHDWGAMTAWNLSLFRPERIRALVNLSVAFTPRNPKRKPLDTLRAVYGNEYYICRFQVSKDGLSRSEKKMILCWGKPWFAKWFCCSSALLQETGNIEAEFEQIGTGRVLKEFLTYRNPGPLYLPQGKAFGRSIDSPLTLPSWLSEEECDYYVSKYQKTGFTGGLNYYRNLDLNWELTAPWGGAKVKVPVKFIVGDLDLTYNSPGAKDYIHKGGLKRDVPLLEDVVVIEGAGHFLHQERPDEITKHIYDFIKKF >Vigun03g420600.2.v1.2 pep primary_assembly:ASM411807v1:3:62626964:62629885:1 gene:Vigun03g420600.v1.2 transcript:Vigun03g420600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEHRTVNVNGINMHIAEMGEGPLILFIHGFPDLWFSWRHQMKALASLGYRCVAPDLRGYGDTDVPPSTTAYTTLHVVGDLVGLLDEVAGGDEKVFVVGHDWGAMTAWNLSLFRPERIRALVNLSVAFTPRNPKRKPLDTLRAVYGNEYYICRFQETGNIEAEFEQIGTGRVLKEFLTYRNPGPLYLPQGKAFGRSIDSPLTLPSWLSEEECDYYVSKYQKTGFTGGLNYYRNLDLNWELTAPWGGAKVKVPVKFIVGDLDLTYNSPGAKDYIHKGGLKRDVPLLEDVVVIEGAGHFLHQERPDEITKHIYDFIKKF >Vigun08g079900.1.v1.2 pep primary_assembly:ASM411807v1:8:16534735:16536999:-1 gene:Vigun08g079900.v1.2 transcript:Vigun08g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSELRDIFLPNKKDVFVASPAEDRKALRTKQCTDEGVQAGFRAACIACVVSAVPTLTAVRVIPWAKANLNYTAQALIISSASIAAYFITADKTILECARKNALLEDALVHNQQ >Vigun02g035400.1.v1.2 pep primary_assembly:ASM411807v1:2:14719173:14724296:-1 gene:Vigun02g035400.v1.2 transcript:Vigun02g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAPLTVFHLLFFIFPLAFSVHFISALSPSGSIKHPIKFILGEENLGPWKNEVEQVAPEPCPETKGTLVLAANRTNRPDILRRFRCYQGGWDIANRHYWASVAFTGVAGFTLAVLWFISFGLALVIHLCCGWGITIKDEGSNHLQRIWLVLLLLFTCVVASGCIVLSFGQEKFNGEAIGTLHYVVNQSNYTVHTLRNVTEYLSLAKSIKVAEMFLPSDIMDDIDNLNVDLNTAADTLSNHTNENSVKIRKVFNAVRLALIIMAAMMLLLALIGLFLSILGYQHAILVFVISGWLLVATTFILCGVFSILNNAICDTCMAMEEWVENPHAESALSNILPCVDQRTTNKTLFQSKQVVTNIVSIVNRFIYDTADASPSQGSMNYYNQSGPAMPPLCYPFDSLFRKRQCTAQEVPSAKASLVWKRYECKISESGVCSSIGRVTPEIYSELVAVVNESYALEHYTPILLSLQNCNFVRDTFKEITSRYCPPLNYYLKLINVGLGLISIGVLLCLVLWILYANRPQREEAFVWLNIAIKLKNKLSKNPNRNLSLSIAVSEV >Vigun01g114200.1.v1.2 pep primary_assembly:ASM411807v1:1:28938923:28943241:1 gene:Vigun01g114200.v1.2 transcript:Vigun01g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKEQTLIVYYTMELKVVMLLLLVIALARTATAAVDQALPGCLNKCGNVSIPYPFGVGKVAGNNCFLEEDLELSCTNAILYTDKIPVRNITLLGQLEMDFFVSSICKQSISKGETANEPTLQTASFTISSTENKFVSIGCDTYGYLNSYVDEAKYSTGCLTRCDNKNEEKMENMQGSDGKCSGIGCCQVDIPPGMNNISIQAYSFHDFKYSSNPNSCSVSFVVKNGGYNFSRDDLNRVPLDEAPMIVDWRVGEAACNVSKDKTKYACISDNSFCEDPPSAAGNGYLCKCKPGFQGNPYLSQGCTDFPDCKMGTHNCARAEYCHETPGSFECLCPAGMIGNATNEGGGCQPKQQADEFTKIVIGAGVGLIALFVGVSWLYLMLQKRRVLKLKEKFFQQNGGIILRHKLSTTRDSSQSTTIFNAEQLNKATNNFDENLIIGKGGFGTVFKGVLSNNQVVAIKKSKIVDASQVEQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVKNGTLFDYLHKQGQVGNVSWKTCLRIATEAAGALSYLHSAASIPIIHRDVKTANILLDDNGAVKVSDFGASRLVPQDQTEIATIVQGTFGYLDPEYMQSGQLTEKSDVYSFGVVLVELLTKEEPFSFQRTEEKRSLTFHFVCSLEEDNLFDVVQIDDEDEENKHEIMQVAILAARCLKLRGEERPSMKEVAMELEGVMLMNKHPWTNSSHTFEERQYLLSEAQSICEPGDSSGQQNTRYDSARELQLIDFGNGR >Vigun03g295100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48082156:48084816:-1 gene:Vigun03g295100.v1.2 transcript:Vigun03g295100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGKQEQVLFAALESWKEVQELSQLLVQLVVSGLSQHPLFATSAIKKLCSHSHTLPRATSFFDHLHHPDAFHCNTIIRAYTRLPDFPAALSFYYHRMLARSVLPNHYTFPLLIKLCTDIGSLREGLKDHARIIKFGFGHDLFARNSLIRMYSVFGRVTLARMLFNECSRLDLVSYNSMVDGYVKNGEIGAARQLFDEMPERDVLSWNCMIAGYVGVGDLDTANELFETMPERDVISWNCMIDGCAKVGNVSLAVEFFNRMPASVKNVVSWNSMLAMHARVKNYDECLRLFGRMMEGRELMPNEATLVSVLTACASLGRLGIGTWVHSFIRSNNIKSDVLLSTCLLTMYAKCGAMDLARDVFHEMPVRSVVSWNSMIMGYGLHGNGDKALELFLEMEKEGMQPNDATFISVLSACTHAGMVMEGWWYFDLMRRVYKIEPKVEHYGCMVDLLARAGLVENSEELIRKVPLKAGSAIWGALLSGCTNHFDSELGEIVAKRLIKLEPQDIGPYILLSNMYAAQGRWDDVEHVRLMIKEKGLQKAAASSLVHLEDFDSKYFVKNNSGYRKRIMYSMLSELGTQMKLSVGHSIKEDNIIPS >Vigun06g163500.2.v1.2 pep primary_assembly:ASM411807v1:6:28580452:28586382:1 gene:Vigun06g163500.v1.2 transcript:Vigun06g163500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVTVASMLFSGGCRSLTVPAAAHSLCISRTALRNYRNKNAPSWCCRSSHNSYTALATESEFSKTNAESLTASTSSDSFPKYDRLLPCPLQKSPPRVEHLVVSEGGPVLEHICKALELPPLYVKDLIQFGAVYYALVCPQPPPSATEEQIRVFKEVTKPSVLRQRASIKGKTVREAQKTFRVTHADQFVEPGTYLRVHVHPKRFPRCYEIDWRSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATRALGLTAPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALASSPMPTGIITHYMRPINMAPRLISEDFIKGWHMCQLEIQECRKVPWPTPAIQDKYCVEDCGWPSQDFAYECKINLLTGRTHQIRAQFAACRAPLIGDSMYMPAAIADISNPGLNPFGKYNRDFSSESEKETAVVNWIAQHGKEPGVAIGLQACQISWDDNEHFYESGSPWWRC >Vigun06g163500.1.v1.2 pep primary_assembly:ASM411807v1:6:28580451:28585728:1 gene:Vigun06g163500.v1.2 transcript:Vigun06g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVTVASMLFSGGCRSLTVPAAAHSLCISRTALRNYRNKNAPSWCCRSSHNSYTALATESEFSKTNAESLTASTSSDSFPKYDRLLPCPLQKSPPRVEHLVVSEGGPVLEHICKALELPPLYVKDLIQFGAVYYALVCPQPPPSATEEQIRVFKEVTKPSVLRQRASIKGKTVREAQKTFRVTHADQFVEPGTYLRVHVHPKRFPRCYEIDWRSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATRALGLTAPLMTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALASSPMPTGIITHYMRPINMAPRLISEDFIKGWHMCQLEIQECRKVPWPTPAIQDKYCVEDCGWPSQDFAYECKINLLTGRTHQIRAQFAACRAPLIGDSMYMPAAIADISNPGLNPFGKYNRDFSSESEKETAVVNWIAQHGKEPGVAIGLQACQISWDDNEHFYESGSPWWRC >Vigun02g125600.3.v1.2 pep primary_assembly:ASM411807v1:2:27782820:27786449:-1 gene:Vigun02g125600.v1.2 transcript:Vigun02g125600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYISPGLTTKKIVDQYQKTLGDIDLWRSHYEKMLENLKKLKDINNKLRRQIRHRIGEGLDLDELSFQQLRTLEEDMVASIGKIRERKFHVIKTRTDTCRKKVKSLEQMNGNLLLELETRNQQLHWPMVPPPSMHSVSTILI >Vigun02g125600.2.v1.2 pep primary_assembly:ASM411807v1:2:27782820:27786449:-1 gene:Vigun02g125600.v1.2 transcript:Vigun02g125600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYISPGLTTKKIVDQYQKTLGDIDLWRSHYEKMLENLKKLKDINNKLRRQIRHRIGEGLDLDELSFQQLRTLEEDMVASIGKIRERKFHVIKTRTDTCRKKVKSLEQMNGNLLLELEKCVIHPQFLLHDEGDEESAVALANGASTLYAFCQHHTHLNIPSHHQHQHHGEEPFKNDDLRLA >Vigun02g125600.1.v1.2 pep primary_assembly:ASM411807v1:2:27782820:27786449:-1 gene:Vigun02g125600.v1.2 transcript:Vigun02g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYISPGLTTKKIVDQYQKTLGDIDLWRSHYEKMLENLKKLKDINNKLRRQIRHRIGEGLDLDELSFQQLRTLEEDMVASIGKIRERKFHVIKTRTDTCRKKVKSLEQMNGNLLLELKEKCVIHPQFLLHDEGDEESAVALANGASTLYAFCQHHTHLNIPSHHQHQHHGEEPFKNDDLRLA >VigunL045300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:470927:471034:1 gene:VigunL045300.v1.2 transcript:VigunL045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Vigun05g133500.1.v1.2 pep primary_assembly:ASM411807v1:5:15727976:15731790:1 gene:Vigun05g133500.v1.2 transcript:Vigun05g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFFIFLLLLHAVVQGKMDTETRFDQNYEVIWGDDHVVSLNQGRQIQLTMDNSSGSGFGSKMAYGSGFFHMRIKLSSEGSKHDELDFEFLGNREGKPYRLQTNVFVDGQGNREQRIRLWFDPSADFHSYRILWNQHQIVFYVDNVPIRVYRKKTNIGVGYPSKAMQIEATLWDAESWATNGGKTKTDWSYAPFKANFQGFDVSACQVLTSNAKNCSSDNYWWNSQKFWQLDPLRQSQYERIKHKYMTYDYCTDRKRYPEIPLECL >Vigun11g141000.1.v1.2 pep primary_assembly:ASM411807v1:11:35036915:35041302:1 gene:Vigun11g141000.v1.2 transcript:Vigun11g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCSRFVRFQDDPELVKLPTAKSGKGIRSNGTRLAEIRGKKGLKETRKFLKARVLSRVFSEDYERVRKKTLLDPRGQTIHRWNKIFLVACLVSLFVDPLFFYLPLVRDEVCIDIGTALEVFLTMIRSMADVFYMIQILMKFRTAYVAPSSRVFGRGELVIDTTKIATRYLRKGFGLDFIAALPLPQVLIWIVIPNLGGSTMANTKNVLRFIIIFQYLPRLFLIFPLSSQIVKATGVVTETAWAGAVYNLVLYMLASHVLGASWYLLSIERQEACWRSVCDMEQSCEYGFFDCKRVEDSFRVSWFIASNITVLCSPKANFYQFGIYGDAVTSQVTTSSFFHKYFFCLWWGLRNLSSLGQGLLTSTFVGEIMFAIVVATLGLVLFALLIGNMQTYLQSTTVRLEEWRVRRTDTEQWMHHRQLPPELRQSVRKYNQYKWLATRGVDEEALLKGLPADLRRDIKRHLCLELVRRVPLFDQMDERMLDAICERLKPALCTENTYLVREGDPVNETLFIIRGHLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALGPRPSFILPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRYKKRKEAAEVRALSYFVESKSESETVGLVVYGTRMTRKSSVHSDEVVNSLQKPEEPDFSVGGE >Vigun01g071600.3.v1.2 pep primary_assembly:ASM411807v1:1:19519562:19523082:1 gene:Vigun01g071600.v1.2 transcript:Vigun01g071600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFVLTVAGVSAVVLLLRSDVKQSASIFRRNVKHIRNWLEEESAASSKSMEKSTPKELESKVPPKDIHKEDKH >Vigun01g071600.5.v1.2 pep primary_assembly:ASM411807v1:1:19519562:19523082:1 gene:Vigun01g071600.v1.2 transcript:Vigun01g071600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFVLTVAGVSAVVLLLRSDVKQSASIFRRNVKHIRNWLEEESAASSKSMEKSTPKELESKVPPKDIHKEDKH >Vigun01g071600.1.v1.2 pep primary_assembly:ASM411807v1:1:19519562:19523082:1 gene:Vigun01g071600.v1.2 transcript:Vigun01g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFVLTVAGVSAVVLLLRSDVKQSASIFRRNVKHIRNWLEEESAASSKSMEKSTPKELESKVPPKDIHKEDKH >Vigun01g071600.4.v1.2 pep primary_assembly:ASM411807v1:1:19519562:19523082:1 gene:Vigun01g071600.v1.2 transcript:Vigun01g071600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFVLTVAGVSAVVLLLRSDVKQSASIFRRNVKHIRNWLEEESAASSKSMEKSTPKELESKVPPKDIHKEDKH >Vigun09g064500.2.v1.2 pep primary_assembly:ASM411807v1:9:6792071:6793927:1 gene:Vigun09g064500.v1.2 transcript:Vigun09g064500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGACQHINFKKRDSCQSCGYPKFGGPDPATYRYNWTETLAGDWYCTSINCGAHNYASRSSCYRCGAFKFGGNMDPCGGYASDSPPGWKTGDWICSRIGCGVHNYASRTECFKCKTPRNFGDAD >Vigun09g064500.1.v1.2 pep primary_assembly:ASM411807v1:9:6792529:6793927:1 gene:Vigun09g064500.v1.2 transcript:Vigun09g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGACQHINFKKRDSCQSCGYPKFGGPDPATYRYNWTETLAGDWYCTSINCGAHNYASRSSCYRCGAFKFGGNMDPCGGYASDSPPGWKTGDWICSRIGCGVHNYASRTECFKCKTPRNFGDAD >Vigun09g064500.3.v1.2 pep primary_assembly:ASM411807v1:9:6792071:6793927:1 gene:Vigun09g064500.v1.2 transcript:Vigun09g064500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGACQHINFKKRDSCQSCGYPKFGGPDPATYRYNWTETLAGDWYCTSINCGAHNYASRSSCYRCGAFKFGGNMDPCGGYASDSPPGWKTGDWICSRIGCGVHNYASRTECFKCKTPRNFGDAD >Vigun04g078200.1.v1.2 pep primary_assembly:ASM411807v1:4:10752530:10754030:-1 gene:Vigun04g078200.v1.2 transcript:Vigun04g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRIQSLALKQLKEVPPEFIRSPNERPENTKAIEGVTVPLISLSHPHNLLVKEISEAASEWGFFQITHHNISQTLIQSLREVGEEFFGLPQEEKEGYANDPSNGKFDGYGTKMTKNLEEKMEWLDYFFHLISPPSKLNYHMWPKHPSSYREVTENYSKEMLRVTEKVLEVLSEGLGLEKKSLKSQLGGELIELEMKINMYPPCPQPDLALGVEPHTDMSALTLLLPNEVPGLQVWKDNNWVAVNYLQDALMVLVGDQLEVLSNGKYKSVVHRSVVNKERMRMSWAVFVVPPHEAVIGPISSLINHHNPPKFSTKTFAEYRYRKFNKLPQ >Vigun10g038100.1.v1.2 pep primary_assembly:ASM411807v1:10:5187105:5191237:1 gene:Vigun10g038100.v1.2 transcript:Vigun10g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSKLPRKYDVLINFDGEEIGRKFVSHLDSVLSAVGLTTLLHYDNAVKSTHIQQPILNLCRIVIVVFTKTYSESAWCLHQLQQIIEWHQTYCRHVLPVYYEIQPSDVRLQKGDFGKAFKATAQRTFSEQELEHGMSRWSHALTKAANFFGWDESNHRSDAELVDKIVKSILNLSALSATKFPVGLQDRVELLILAINNKSKEVCTIGICGMGGSGKTTLAKAIYHQIHGRFMQKSFIEDIAQVSEPRGRIHLQEQLLSDILNTKVEIHSVEMGRNMIRDRLFQKRVLIVLDDMDDYLPLLDLRKSRSWLSEGTVIIMTTRDEDLLRKHQVDSVFRTNLMNEKESLELLSWHAFREPKPKEEYDYLARRVISCCGGLPLALEVIGSTLFERTEEEWHSILFELEETPMYDVQLKLKISLGGLRNQMERDLFLDVCCFFVGKNRAYATKILNGSGVDADSGIRVLMERNLIKVKRNNKLGMHPLLQQIGITIFQLNSTEIRLLYGDVIEYALKVNTSQGRKDSELLPVRLLSSIRKPSRLPNDVENSENLSQKLRWISLHGCSSEYIPFAFNVHDTITIDLKHSLLRFFWGEPQVLRSLKVLNLSHSMYLTETPDFSRLPSLEQLILKDCPRLRKVHRSIGHLCYLILLNLKDCKCLSNLPREIYKLKSLRTLILSGCSKIGRMGKDIVRMKSLITLIAENTAVKQVPFSIVTSKAIGYLSLPGFERLSCNLFPSIIIRSWMSPTMNPVSYTYSLSMDIDNSWDDIVPLLGSLANLRSVLVQCDTEFQLSKQVQNILVEYFSNITESRISKQHFRSSLIGVGAYYEFFNAVSGNISEVLASRESCDVSLLGDNHPYWLAYMGEGDSVSFTVPPHNDVKGMILCVVYLSTLEIEATECLRSVLIVNYTKCTFHIHMHGTGISFNDIDWEGIMSNFGYGDKVEIFVTFGHGLVVKNTFVYLIYGESNYLEKEPTPQKNSVLRFIKKIVE >Vigun01g227500.1.v1.2 pep primary_assembly:ASM411807v1:1:40015131:40022087:1 gene:Vigun01g227500.v1.2 transcript:Vigun01g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNYKVFSCFNRKFTITEPKPPPDVQKAFSEFSDGASSMSAEHLLRFLAEHQGEVDCTVSDSEQILQQSRKEDGETGFDLHDFFHFLQENDLNVPLKSQVHHDMNAPISHYFIYTGHNSYLTGNQLSSDCSDAPIIKALQRGVRVIELDLWPNSNKDDIDVVHGRTLTTPVSLLRCLKSIKEYAFVKSQYPLVITLEDHLTPDLQAKVAKMATQVFGELLHYPQTDSLTEFPSPESLKGRILISTKPPKEFLESSEKESAEEVSSLRENADEQRTDNKSAPEYKRLITIHAGKPKGEIQDELKAAGNVRRLSLSEQALEKASESYGADVVRFTHNNILRVYPKGTRLNSSNYKPHIGWTYGAQMVAFNMQGHGKSLWYMQGMFRSNGGCGYVKKPNFLIQKGPQDEVFDPKIALPVKKTLKVKVYLGKGWSLDFSPSDFDSYSPPDFYVKVCIVGVPADMIKKKTSVISNNWFPVWNEEFDFPLTVPELALLRIEVREDDKHQKDDFGGQTCLPVSELKSGFRSVPLYDEKGDKYKSVKLLMRFQFR >Vigun08g134700.1.v1.2 pep primary_assembly:ASM411807v1:8:30595935:30597764:-1 gene:Vigun08g134700.v1.2 transcript:Vigun08g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHASSFVLLLISIHLHMHLKGAFADYGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFDNGLSCGACFEMRCDDDSRWCKPGTIVVTATNFCPPNFALPNNDGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSRTSWQPMSRNWGQNWQSNSYLNGQSLSFQVTASDGRTVTAFNVAPANWQFGQTFQGSQF >Vigun03g115800.1.v1.2 pep primary_assembly:ASM411807v1:3:10616352:10621272:1 gene:Vigun03g115800.v1.2 transcript:Vigun03g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFTFFERVSRAFRDHGSNFKLLLLCTTVSGGGVLAYAEAVGASEAAVPEKKKVVVLGTGWAGTSFLKNLNNPRYEVHVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIFRKKMVDVQFSEAECLKIDAANRKVYCRSNISNNEKEEFVVDYDYLIIAVGANVNTFNTPGVTENCHFLKEVEDAQKIRRTVIDCFERASLPSVSEEEKKRILHFAIVGGGPTGVEFAASLHDFVTEDLVKLYPGIKDLVKITLLEAGDHILSMFDKRITTFAEDKFRRDGIDVKTGSMVVKVSDKEISTKEMKNGGEITTIPYGMAVWSTGIGTRPFIKDFMSQIGQTNRRAIATDEWLRVESTSNVYALGDCATINQRKVMEDIAAIFKKADKDNSGTLTVKEFQEVLDDICERYPQVGLYLKSNHMHDLADLLKESKGDVEKESIELNIEELKTALSKVDSQMKFLPATAQVASQQGTYLAQCFNRMEECEKNPEGPIRFRGEGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWTRRFIFGRDSSQI >Vigun06g216000.1.v1.2 pep primary_assembly:ASM411807v1:6:32704572:32710157:-1 gene:Vigun06g216000.v1.2 transcript:Vigun06g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQHRVMENGLGEDSETSARVPLRDRRVYPGYPAGLVRKRAYIFDGTGNFYNKDWDLADIFQKEEKDLGSGEGRGKEFSWYHVELPKGNQKLSQSAEDLIGVFCPPLKLQDILALVSNGPFCAHVDGALVFRVNSPGPPSSDFTFRIAARVTEHSVITVSLGRVPRLGFSRVGESLLSEIPSLESSSSLTGQQEERGGIVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIIDTHVDQLQDLVTKLEMELDSVELDLDKGGYALKKQMLDDRRFPKLHINLQRLLQVIAHGEQVYLRVKEKCSSKKWFATDDFNSLEELIGRLRRMKENVGFIVNRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTGQNAPELKDGFRNVILLCVAMLFLVLLCFIFPALYTRIAAAWRNKRALSRNWSVNKKPSMRRSLRMGDQDRGGYLRI >Vigun06g216000.3.v1.2 pep primary_assembly:ASM411807v1:6:32704572:32710059:-1 gene:Vigun06g216000.v1.2 transcript:Vigun06g216000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQHRVMENGLGEDSETSARVPLRDRRVYPGYPAGLVRKRAYIFDGTGNFYNKDWDLADIFQKEEKDLGSGEGRGKEFSWYHVELPKGNQKLSQSAEDLIGVFCPPLKLQDILALVSNGPFCAHVDGALVFRVNSPGPPSSDFTFRIAARVTEHSVITVSLGRVPRLGFSRVGESLLSEIPSLESSSSLTGQQEERGGIVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIIDTHVDQLQDLVTKLEMELDSVELDLDKGGYALKKQMLDDRRFPKLHINLQRLLQVIAHGEQVYLRVKEKCSSKKWFATDDFNSLEELIGRLRRMKENVGFIVNRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTGQNAPELKDGFRNVILLCVAMLFLVLLCFIFPALYTRIAAAWRNKRALSRNWSVNKKPSMRRSLRMGDQDRGGYLRI >Vigun06g216000.2.v1.2 pep primary_assembly:ASM411807v1:6:32704572:32710121:-1 gene:Vigun06g216000.v1.2 transcript:Vigun06g216000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQHRVMENGLGEDSETSARVPLRDRRVYPGYPAGLVRKRAYIFDGTGNFYNKDWDLADIFQKEEKDLGSGEGRGKEFSWYHVELPKGNQKLSQSAEDLIGVFCPPLKLQDILALVSNGPFCAHVDGALVFRVNSPGPPSSDFTFRIAARVTEHSVITVSLGRVPRLGFSRVGESLLSEIPSLESSSSLTGQQEERGGIVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIIDTHVDQLQDLVTKLEMELDSVELDLDKGGYALKKQMLDDRRFPKLHINLQRLLQVIAHGEQVYLRVKEKCSSKKWFATDDFNSLEELIGRLRRMKENVGFIVNRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTGQNAPELKDGFRNVILLCVAMLFLVLLCFIFPALYTRIAAAWRNKRALSRNWSVNKKPSMRRSLRMGDQDRGGYLRI >Vigun10g185200.1.v1.2 pep primary_assembly:ASM411807v1:10:40147605:40151421:1 gene:Vigun10g185200.v1.2 transcript:Vigun10g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCLYYITLGLLLSILVGTRVSRMSLVYFFDYVAITTSTVTGWCVIASFMLSSVTGAIYMFYLIERSRKCLDFSATLYIVHLFICIVYGGWPSSITWWIVNGSGIAVMALLGERLCMKRELQEISLTRFRSNV >Vigun06g141500.2.v1.2 pep primary_assembly:ASM411807v1:6:26715057:26717314:-1 gene:Vigun06g141500.v1.2 transcript:Vigun06g141500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMRVVLVAVLCAFGLHAGFSVTNAQLSPTFYRTTCPNLFNIVFQVIFQASNNDPRIGASLVRLHFHDCFVQGGGPGWQVLLGRRDSLTANRSLANQNLPAPFFNLTQLKASFAVQGLNTTDLVALSGGHTFGRAHCSTFNNRLYNFNNTGNPDPTLNTTYLATLRLICPQNATGNNLTNLDLTTPDQFDNKYYSNLQQLNGLLQSDQELFSTPGADTIPIVNSFSSNQNTFFANFVASMIKMGNIGVLTGTAGEIRTQCNFVNGNSLGLASVASKDPKENMVSQS >Vigun06g141500.1.v1.2 pep primary_assembly:ASM411807v1:6:26715057:26717314:-1 gene:Vigun06g141500.v1.2 transcript:Vigun06g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMRVVLVAVLCAFGLHAGFSVTNAQLSPTFYRTTCPNLFNIVFQVIFQASNNDPRIGASLVRLHFHDCFVQGCDASVLLNNTATIESEQDALPNNNSIRGLDVVNNIKTALENSCPGTVSCADILAIAAQIGSVLGGGPGWQVLLGRRDSLTANRSLANQNLPAPFFNLTQLKASFAVQGLNTTDLVALSGGHTFGRAHCSTFNNRLYNFNNTGNPDPTLNTTYLATLRLICPQNATGNNLTNLDLTTPDQFDNKYYSNLQQLNGLLQSDQELFSTPGADTIPIVNSFSSNQNTFFANFVASMIKMGNIGVLTGTAGEIRTQCNFVNGNSLGLASVASKDPKENMVSQS >Vigun10g067800.1.v1.2 pep primary_assembly:ASM411807v1:10:15793334:15797570:-1 gene:Vigun10g067800.v1.2 transcript:Vigun10g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDKQQLSLVKGTRQRYSEWIFRDVPSDITIEVNGGSFSLHKFPLVSRSGRIRRIVAEHRGDDISRFELLNLPGGAECFELAAKFCYGINFEITSTNVAQLCCVSDYLEMTEDFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCESLLPLADELKVVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMSRQAKSDGDWWIEDLSVLRIDMYQRVITAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKIDSNSTLHEKLVVETIVSLLPVEKLAVPINFLFGLLRSAVMLDCTIASRLDLERRIGSQLDVATLDDILIPSFRHAGDTLFDVDTVHRILVNFFQQDDSEDDPEDASVFESDSPRSPSQTALVKVSKLVDNYLAEIAPDANLKLSKFLVIAETLPAHARTVHDGLYRAIDIYLKAHQGLSDLDKKKLCRLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNSLSCSYGEDDPKPIHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEREHACMKRDMAKSGSRKFMSSFSKKIGKLSLFGHSSSRGSTSPSRNSHRTDSKVIERTCASTE >Vigun05g250400.1.v1.2 pep primary_assembly:ASM411807v1:5:44398723:44403339:-1 gene:Vigun05g250400.v1.2 transcript:Vigun05g250400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGRNLDETPTWAVATVCFVLLSVSIIIEYIFNLIGKWLKQKHKRALYESLEKIKSELMLLGFISLLLTVGQSLISRICISEKVAGTFHPCTHTRGKKKDPPPLEDDDYHNNRHILASVPSDDNCAAQGKVPFVSSGAIHQLHIFIFVLAVFHVLYCILTLALGRAKMRRWKRWEVETKTAEYQFSHDPQRFRFARETSFGRRHLSFWTQNVVLVWIVCFFRQFVRSVPKVDYLTLRHGFMMAHLGPHSHQKFDFRKYIKRSLEEDFKVVVEISPPIWFITVLFLLFNTHGWYSYLWLPFAPLIIVLLVGMKLQVIITKMGQRIQERGEVVRGMPLVQPGDHLFWFNKPRLILYLINFVLFQNAFQLAFFSWAALQFMMKSCFHSQKQDVVIRISMGIFVQFLCSYVTLPLYALVTQMGSTMKATIFNERVAMALRNWHHTAKKNVKEKRGLRSQSPFSTRPSTPKHPKSQGNLLRRYHSEMVTYPSSPIRLDFEAHLPYGIHSPPSSKVNAAATSSIEQQEMEMEMEIDMDQVSDLNQNQIDIDIEDKQEFSFDKR >Vigun01g086200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24280265:24282748:-1 gene:Vigun01g086200.v1.2 transcript:Vigun01g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASQAQPQPLPLQDRVAIVTGSSRGIGREIAIHLASLGARIVINYTSNSSKADSVAAQINANSPTPRAVVVKADVSEPNQVKSLFDSAERAFDSPIHILVNSAGVLDGTYPSIGNTTLESFDHTFAVNTRGAFICAREATNRLKRGGGGRIILVTSSQVAALRPGFGVYAASKAAVEAMVKVLAKELKGTQITANCVAPGPIATEMFFEGKSEETVNRIVQESPLGRLGEAKDVAPVVGFLATDAAEWLNGQIVRVNGGYI >VigunL026250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:11243:12337:-1 gene:VigunL026250.v1.2 transcript:VigunL026250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKIKAAVFHGPNQPLTIETLELDDPGPGEVLVKIKATGLCHTDLHIIEGHQPQPVPAVLGHESAGVVVAVGPDVSDLREGDHVVPFLLPECGVCPNCQSGKTNICLKIGERTGTDFSRLSRNGQRIHTFVGMGTFADHMVIAADRVAKVSEHASFEGACYASCGGATGIGSVRRHDVDREALVAVFGLGGVGLNVVQGAALAGAQVIIGVDTNDAKEAVARKLGLTHFINPDKVESVAAEIMAITVMGATHSFECIGAPAVMREAIAATNAFYGRCTIIGVAPSGSELTTPVQQLSMGKTVGGLLMGGVKARSGLPSLVSEYAEGRVNFDDLISHRLKLDEINEGFAMMKQGLSTRTVILFD >Vigun07g097700.1.v1.2 pep primary_assembly:ASM411807v1:7:16977304:16985575:-1 gene:Vigun07g097700.v1.2 transcript:Vigun07g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQYVTQLLRNIYSRKNFLNREKPHWNNMHSAFIRLQLQRSRADKVEGKLKEEQEKQQISSKSTVTKADGNYGISKYSSDDEDTSDSKWKLELAWLSKTLEPALQFCRWALPTGYEIGKKPPPSHRSLTEIIACIKRSKLGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQILSESIVQDLIYHIELAKGAYKDSPVVLSRNSMLRESNVKKFVKNSSVMRPAYYIGIDTRKKLVILGIRGTHTFYDLITDILSSSDGEVTFEGYSTHFGIAESARWFLHHEIEIIRKCLEKHEGFKLRLVGHSLGGAIASLLAIMIHRKSPKELGFSPDIVSAVGYGTSPCVSKELAENCSGYVSTVVMQDDIIPRLSIASLTRFRNEIVQTDWMSVIEKEDWKGFTDLVTNAKQVVSSVQDVAQKLADYANFRTNKSLAVATETPKPSKAAKENLIVTKDAGTKPPVIEELFIPGTVYYIKRNLGSKKDVGKEFFTLYKREPGEHFQKIFFSGNFITDHRCDTHYYALRDVLKGLPWCGEEAKMKEIMTDATEW >Vigun07g097700.3.v1.2 pep primary_assembly:ASM411807v1:7:16979412:16985635:-1 gene:Vigun07g097700.v1.2 transcript:Vigun07g097700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQYVTQLLRNIYSRKNFLNREKPHWNNMHSAFIRLQLQRSRADKVEGKLKEEQEKQQISSKSTVTKADGNYGISKYSSDDEDTSDSKWKLELAWLSKTLEPALQFCRWALPTGYEIGKKPPPSHRSLTEIIACIKRSKLGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQILSESIVQDLIYHIELAKGAYKDSPVVLSRNSMLRESNVKKFVKNSSVMRPAYYIGIDTRKKLVILGIRGTHTFYDLITDILSSSDGEVTFEGYSTHFGIAESARWFLHHEIEIIRKCLEKHEGFKLRLVGHSLGGAIASLLAIMIHRKSPKELGFSPDIVSAVGYGTSPCVSKELAENCSGYVSTVVMQDDIIPRLSIASLTRFRNEIVQTDCCYRNS >Vigun07g097700.2.v1.2 pep primary_assembly:ASM411807v1:7:16979412:16985635:-1 gene:Vigun07g097700.v1.2 transcript:Vigun07g097700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQYVTQLLRNIYSRKNFLNREKPHWNNMHSAFIRLQLQRSRADKVEGKLKEEQEKQQISSKSTVTKADGNYGISKYSSDDEDTSDSKWKLELAWLSKTLEPALQFCRWALPTGYEIGKKPPPSHRSLTEIIACIKRSKLGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQILSESIVQDLIYHIELAKGAYKDSPVVLSRNSMLRESNVKKFVKNSSVMRPAYYIGIDTRKKLVILGIRGTHTFYDLITDILSSSDGEVTFEGYSTHFGIAESARWFLHHEIEIIRKCLEKHEGFKLRLVGHSLGGAIASLLAIMIHRKSPKELGFSPDIVSAVGYGTSPCVSKELAENCSGYVSTVVMQDDIIPRLSIASLTRFRNEIVQTDWMSVIEKEDWKGFTDLVTNAKQVVSSVQDVAQKLADYANFRTNKSLAVATETPKPSKAAKENLIVTKDAGTKPPVIEELFIPGTVYYIKRNLGSKKDVGKEFFTLYKREPGEHFQKIFFSGNFITDHRCDTHYYALRDVLKGLPWCGEEGIFK >Vigun07g097700.5.v1.2 pep primary_assembly:ASM411807v1:7:16979412:16985635:-1 gene:Vigun07g097700.v1.2 transcript:Vigun07g097700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQYVTQLLRNIYSRKNFLNREKPHWNNMHSAFIRLQLQRSRADKVEGKLKEEQEKQQISSKSTVTKADGNYGISKYSSDDEDTSDSKWKLELAWLSKTLEPALQFCRWALPTGYEIGKKPPPSHRSLTEIIACIKRSKLGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQILSESIVQDLIYHIELAKGAYKDSPVVLSRNSMLRESNVKKFVKNSSVMRPAYYIGIDTRKKLVILGIRGTHTFYDLITDILSSSDGEVTFEGYSTHFGIAESARWFLHHEIEIIRKCLEKHEEGL >Vigun07g097700.4.v1.2 pep primary_assembly:ASM411807v1:7:16977304:16985575:-1 gene:Vigun07g097700.v1.2 transcript:Vigun07g097700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQYVTQLLRNIYSRKNFLNREKPHWNNMHSAFIRLQLQRSRADKVEGKLKEEQEKQQISSKSTVTKADGNYGISKYSSDDEDTSDSKWKLELAWLSKTLEPALQFCRWALPTGYEIGKKPPPSHRSLTEIIACIKRSKLGIQDWSLSDLTIGLYLIYLRQASTHPFEDIKGIQILSESIVQDLIYHIELAKGAYKDSPVVLSRNSMLRESNVKKFVKNSSVMRPAYYIGIDTRKKLVILGIRGTHTFYDLITDILSSSDGEVTFEGYSTHFGIAESARWFLHHEIEIIRKCLEKHEGFKLRLVGHSLGGAIASLLAIMIHRKSPKELGFSPDIVSAVGYGTSPCVSKELAENCSGYVSTVVMQDDIIPRLSIASLTRFRNEIVQTDCCYRNS >VigunL075900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000514.1:29780:30205:-1 gene:VigunL075900.v1.2 transcript:VigunL075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIALNDGSHGVDPTNAMFSPCRKDDRNNGLPQACCLELDHGLSLEREKRWFTDLFQVLAELQQDLVLDEVSFWYTLWLIVYESQASLADIYD >VigunL060600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000040.1:46475:49813:1 gene:VigunL060600.v1.2 transcript:VigunL060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGLKVIIFMVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDHHGMLSSWTTPDCCQWKGICCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSYNSFSHIPEFLGSLRNLRYLDLSCCYFDGKIPSQFGSLSHLKYLNLASNNLEGSIPTQLGNLSKLEYLDLRGNSFQGNLPTELQSLSKLEYLDLAFNSFEGNIPSQLGNLSKLEHLDLSFNIFEGYIPSQLGSLSNLQQLYLGGEDYNGDLEFNDRGKLLSNFISLSHLYLWSIHGLNSCHSCLQNIAKLPKLRELSLIDCSLSDHFILSLRPFKFNFSTSLLAFDLSENAFTSPVILQWVSNITSNLVELDLSYNNLEDSVSKHFGMAMNSLEILDLSSNSFKGEVLKSFMNICTLHSLYMHQNNLTEDLSSILQSLSSGCIRYSLQDLNLGENYINGSLPDISAFSVLKSLDLSNNQLSGKIAEGNKLSTQLESLSISSNFLEGGIPKLFGNACALRSLDMMANNLSDELSTIIHQLSGCAKYTLEHLSLQENQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPKSFGNACALHSLRMEDNKLSVEFSIIIHHLSGCARYSLEVLYLHMNEINGTLIDLSMFTSLRVLSIGENKLSGKILKNIQFPPQLEELDIQSNSLNGVFTDYHFVNVTKLSYLDLSNNPLTLTFTQNWIPPFQLSSIRLKSCLLGTFPKWLRKQNKYDELDISNSKILDMVPRWFWAKLASGNVGSIDISNNSLHGIIPNIFGKNIVDFLILASNQFEGPIPPFLRGSIFLDLSNNNLLDSHSFLCASGPEKILYQLDLAHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLGLQALLLRNNNLTHEIPFSLRRCTKLVMLDMSNNNLSGQIPAWIGSEMQELQILSLGSNNFNGILPLQICYLKSIQIFDLSLNNLSGKIPTCINNFTSMVNKTFSSDYGRHGYFINISDFRTYHLYDLNAFLMWKGSEQIFKTTELLLLKGIDLSSNNFSEEIPVEIENLVELISLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIG >Vigun04g134101.1.v1.2 pep primary_assembly:ASM411807v1:4:33713297:33716547:1 gene:Vigun04g134101.v1.2 transcript:Vigun04g134101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCSSFGAFDGLASFKIAEQVCEVSDSKA >Vigun07g148300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25892474:25894557:-1 gene:Vigun07g148300.v1.2 transcript:Vigun07g148300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGHGQNPSLGVVGSGTQLTYGSNPYQGSHLTGSPGMVVASPGTIPSTGQAAATQLGQHQLAYQHMHQQQQQQMQQRLQGFWTNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRKTLQKNDIAAAITRTDIFDFLVDIVPREDYKDEVLASMPRGTVPVTAPPEALPYCYMPPQHAQVGAAGVMMSNKPVMDPYAQQSHQYNMAQQMWPQPPEQQQSSSDQ >Vigun07g148300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25892474:25900694:-1 gene:Vigun07g148300.v1.2 transcript:Vigun07g148300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGHGQNPSLGVVGSGTQLTYGSNPYQGSHLTGSPGMVVASPGTIPSTGQAAATQLGQHQLAYQHMHQQQQQQMQQRLQGFWTNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRKTLQKNDIAAAITRTDIFDFLVDIVPREDYKDEVLASMPRGTVPVTAPPEALPYCYMPPQHAQVGAAGVMMSNKPVMDPYAQQSHQYNMAQQMWPQPPEQQQSSSDQ >Vigun07g148300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25892474:25894557:-1 gene:Vigun07g148300.v1.2 transcript:Vigun07g148300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGHGQNPSLGVVGSGTQLTYGSNPYQGSHLTGSPGMVVASPGTIPSTGQAAATQLGQHQLAYQHMHQQQQQQMQQRLQGFWTNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRKTLQKNDIAAAITRTDIFDFLVDIVPREDYKDEVLASMPRGTVPVTAPPEALPYCYMPPQHAQVGAAGVMMSNKPVMDPYAQQSHQYNMAQQMWPQPPEQQQSSSDQ >Vigun01g098200.1.v1.2 pep primary_assembly:ASM411807v1:1:26482929:26484500:-1 gene:Vigun01g098200.v1.2 transcript:Vigun01g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Vigun10g069300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:16545031:16547547:-1 gene:Vigun10g069300.v1.2 transcript:Vigun10g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTFLEGFLLFANALAILNEERFLAPRGWTLAEMTGPRRNSLKGQIVGLIYACQFLRLPLILFNAIFIIVKLVSG >Vigun04g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39521389:39522688:1 gene:Vigun04g171200.v1.2 transcript:Vigun04g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTKPTIPWKLRVMTSLLSLLLKASRRSNGTVNRRLFNLFDPQLPPNPNPIDGVTTSDVTVDATRNLWFRLFSPSASASAATLPVVVFFHGGGFAFLSPATTTYDAFCRSLCRSINAVIVSVNYRLAPEHRYPSQNDDGFDVVKYLDENGATLGNVGKCFLVGDSSGGNTAHHVAIRVCKEKLRFVRVIGLVSIEPFFGGQERVESEIGITQDPLVSLEVTDWYWKSYLPNGSDRDHEVVNVSGPNAVDISGLNYPKTLLIVAGFDPLKDWQRRYYEWLRKSGKDVEIIEYPNMIHGFHLFPYLPEASQFLSHVNHFMVKQLAGS >Vigun09g184700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35822379:35823555:1 gene:Vigun09g184700.v1.2 transcript:Vigun09g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRYTLPLLAFFILASFVFIMRSPLLEKISCAKTDEVLSLILHQLTHQGLVKEAQHKAVNEAAPVAPRKMCGYASEAKKAALQKLEDVLLEPPRAASMKSRKYLKRTRFLPDLMGDPLVSYPRRVFIDVELSGKEGGSGRRWFMENYPRRNMPFEAYDVKTVAEELQQNEEIGMSEWLRKNVKEEEFVVMKAEAEVVEDMVKSNSIGLVDELFLECKPKVGRSRRAYWECLALYGQLRDEGVAVHQWWG >Vigun08g158650.1.v1.2 pep primary_assembly:ASM411807v1:8:33117880:33120191:1 gene:Vigun08g158650.v1.2 transcript:Vigun08g158650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAFPNLRAFLVGENRLSGTFPSSISNITGLQAFDISGNGFSGPIPHTLGSSNKLQKFNIANNSFGTGRANDLDFLSSLTNCTQLRILLLDGNGFGGVIPNLIGNFSTHLTTLRMGLNRISGMIPDEIGQLIGLIDFVVADNYLEGTIPDSIGRLKNLGRLVLQENKLSGNVPTAIGNLTALSELYLHTNKLKGSIPLSLKHCTRMQSFGVSENNLSGDIPSQTFGNLEGLINLDLSNNSFTGSIPLEFGNLKHLSVLYLYENKLSGEIPIELGACSALTELVLQSNFFRGSIPSFLGSLGSLEFLDLYNNNFSSTIPVELQKLSYLNTLNLSFNHLYGEVPTGGVFNNVTEISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGLVTSTLFISIYLFRKRPKTPSTSWSPENKYVKWISSPFQNTIAVKVLNLETGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVYDFMPNGSLEGLLHDNVVLESRNFSVNLPPVLNIALDVANALDYLHHGSEEAIVHCDIKPNNVLLDDDMVAHLGDFGLARLLHVATGHSSRDQISSSAIRGTIGYVPPEYGTGCGVSTKGDIYSYGILVLEMVTGRRPTDAMFGEGLSLHTFCEMAIPEGITEIVDSRLLVAPVEEGRRMWYWSCGSNGHKRCGTGAALNQTEALSLSH >Vigun03g172550.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21116631:21120835:1 gene:Vigun03g172550.v1.2 transcript:Vigun03g172550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKFLDNKPKGFLIDNSDDSDDIDRDLDMELELLTMMNMLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTKNILVIALSLAEVLLLACFSLVVS >Vigun03g172550.1.v1.2 pep primary_assembly:ASM411807v1:3:21116653:21120835:1 gene:Vigun03g172550.v1.2 transcript:Vigun03g172550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKFLDNKPKGFLIDNSDDSDDIDRDLDMELELLTMMNMLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTEGSSSGVFFLGCVVEEKGIAFAGLCWCSLLPLQGGLLKRAFWVSGVSIGRHCSVSALEVESIEVGEIYGKLKRL >Vigun09g155000.1.v1.2 pep primary_assembly:ASM411807v1:9:32032132:32033508:-1 gene:Vigun09g155000.v1.2 transcript:Vigun09g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRVAEDKYRPLLRQDIEENTKWRYGPPSYEAVNKLFEEGRTKVWAPGSLEEQVQTMVKQWEMEMFHKLDFQENRSVDPEKYIFSLNGRKGISLEEKRKLGGGYIPLLQTSLPEKLRPYNPYAETADSSHKAFTTAFPRGFALEILHVYSGPPQIVYKFRHWGYMEGPFNGHAPTGDIIEIYGMAIFTLDENSKIVKVEFFYDPAELLGGLLKGEFEGSAEDAVATSCPVLRNTG >Vigun08g041600.1.v1.2 pep primary_assembly:ASM411807v1:8:4269226:4273477:1 gene:Vigun08g041600.v1.2 transcript:Vigun08g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGYNPRTVEEVFRDFKGRRAALIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQDKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGMAKKQGKEKSSVSNHSSTKSKSNSKRGSESKNTKAMQSKDEDDEGVTLEAEDEEEHEETFCGACGESYTSDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Vigun09g144600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30755733:30756735:1 gene:Vigun09g144600.v1.2 transcript:Vigun09g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESEVMDLAELMDVKDIQTNVKCCYDNKVRNCNPGTNDDKHYNSLCQKHPCGKGGHCKAFGRKHPKQVCHCLC >Vigun06g203700.1.v1.2 pep primary_assembly:ASM411807v1:6:31803498:31807230:-1 gene:Vigun06g203700.v1.2 transcript:Vigun06g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDAFTDDLNVNSSSTHQHDDDEDNFSGYGGYSSFTGGFSADGDVAVDHSATASPEIYGFTDPTPAYSQSPFESASVENGNENGNGYGDGDGVFVSDGPVLPPPTEMEPEEGYALREWRRQNAILLEEKEKREKEMRLKIIEEAEEYKVAFYEKRKVNVESSKVQNREREKLFLANQEKFHKEADKAYWKAIAELIPREVPNIEKKRGKKDQDKKPSITVVQGPKPGKPTDLSRLRQILLKLKHTPPPHMIPPPPAPAKDAKDGKDGKEKASKATGSAAEGATASQPKDAASNGAADEPQKEAPVTEEQAAA >Vigun03g211700.5.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35075151:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.6.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35075082:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSFSLASNVCGFPTFTVSLWEGVHPEVLIFTVMGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.2.v1.2 pep primary_assembly:ASM411807v1:3:35071124:35075162:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.3.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35075162:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.4.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35075151:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.8.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35074627:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun03g211700.7.v1.2 pep primary_assembly:ASM411807v1:3:35071150:35075082:-1 gene:Vigun03g211700.v1.2 transcript:Vigun03g211700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSPGKWIRNLLLGKRSSSKSKSSREKDIYKPLSNKDVLVVSSETSMSSSISGANAIKGKLSEKEVISVSSNDGVILENEVEQANGKSLDDFCSEEHNEKSRLIKTAITIQAAIRGYQARGTYKTVKVIIPLQAYIRGQLVRRQAISALYCVKSIVRFQALARGYKVRHSDIGLAVQKIFKDTKFSKSIGVVASTQAVKLSDNIFAHKLLASSPSAVSPHLKYNTGEPNFWEWLDRWTKSHFWVPLQEAQRSDSVSDKQNGSSQTVETNKGQVKKNARKGPHDLVSDSIKSKRNPKKDSNPALHSAKEHPPKEIEKRGSRKSQIQNVSDKSEASNEKTTHIRRKVSDHTKVNDVPEAEIQNISPEKMKCLAVSKSEEGDVEKSLTQQAEEHDNSLMNGKDGGVIEELNDVKSKNFQRRASLPANFTDLENVLHDNTPRLPSYMAPTESTKAKLRGQCSPRSVSDLADVSSITRRLSLSSSLSGKLGSFSPRSDRLAALTNKIRTDRSLSSSRDGTDKLIQPQWRR >Vigun09g198100.5.v1.2 pep primary_assembly:ASM411807v1:9:37295359:37306260:-1 gene:Vigun09g198100.v1.2 transcript:Vigun09g198100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQLLFISREKEKQNRKASCKRRRCYKYQNTDEGVFFSEALLAAKQICIAMAPTKLFFLAFSVALIFATVGAEADVSIEGSVAEPDTSAFKIQLDQLNSKIRILESEIREKSEEVKRRDEIVAGKEKIIQDKSVTIQSLQNDIASLQKKGSLDAEEQVGKAHARAVELQNQVDKLKGELETQNREKGNWETRVLGLEKKVHDLNSKLEDLQKINQEQRTQIQKTERALKVTEEEMVKAKFEAAVIQAELSATRGAWLPPWLAVHYLHSKSCVETQWNKHGKPVWEKVAQKALDKKAQAGKWAEPHVEIIKTKWVPAVKEQWYVVKTSAEPHVQLLTTKTVEGYEATRKTISPHLSKAKEFVHPYYQEARKFSKPYIDQIAVVAKPHVDKVQVVLKPYTNKVVHVYGKFLESATTYHSQVQATVQETLKKHELTRPLATKELEWFAASALLALPIIFAARVFSAVFCNKKVNKPSRGGSHHVRRKSKRGHPDKHTS >Vigun09g198100.4.v1.2 pep primary_assembly:ASM411807v1:9:37295069:37306260:-1 gene:Vigun09g198100.v1.2 transcript:Vigun09g198100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQLLFISREKEKQNRKASCKRRRCYKYQNTDEGVFFSEALLAAKQICIAMAPTKLFFLAFSVALIFATVGAEADVSIEGSVAEPDTSAFKIQLDQLNSKIRILESEIREKSEEVKRRDEIVAGKEKIIQDKSVTIQSLQNDIASLQKKGSLDAEEQVGKAHARAVELQNQVDKLKGELETQNREKGNWETRVLGLEKKVHDLNSKLEDLQKINQEQRTQIQKTERALKVTEEEMVKAKFEAAVIQAELSATRGAWLPPWLAVHYLHSKSCVETQWNKHGKPVWEKVAQKALDKKAQAGKWAEPHVEIIKTKWVPAVKEQWYVVKTSAEPHVQLLTTKTVEGYEATRKTISPHLSKAKEFVHPYYQEARKFSKPYIDQIAVVAKPHVDKVQVVLKPYTNKVVHVYGKFLESATTYHSQVQATVQETLKKHELTRPLATKELEWFAASALLALPIIFAARVFSAVFCNKKVNKPSRGGSHHVRRKSKRGHPDKHTS >Vigun09g198100.6.v1.2 pep primary_assembly:ASM411807v1:9:37295359:37306260:-1 gene:Vigun09g198100.v1.2 transcript:Vigun09g198100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQLLFISREKEKQNRKASCKRRRCYKYQNTDEGVFFSEALLAAKQICIAMAPTKLFFLAFSVALIFATVGAEADVSIEGSVAEPDTSAFKIQLDQLNSKIRILESEIREKSEEVKRRDEIVAGKEKIIQDKSVTIQSLQNDIASLQKKGSLDAEEQVGKAHARAVELQNQVDKLKGELETQNREKGNWETRVLGLEKKVHDLNSKLEDLQKINQEQRTQIQKTERALKVTEEEMVKAKFEAAVIQAELSATRGAWLPPWLAVHYLHSKSCVETQWNKHGKPVWEKVAQKALDKKAQAGKWAEPHVEIIKTKWVPAVKEQWYVVKTSAEPHVQLLTTKTVEGYEATRKTISPHLSKAKEFVHPYYQEARKFSKPYIDQIAVVAKPHVDKVQVVLKPYTNKVVHVYGKFLESATTYHSQVQATVQETLKKHELTRPLATKELEWFAASALLALPIIFAARVFSAVFWSVFNQ >Vigun05g149050.1.v1.2 pep primary_assembly:ASM411807v1:5:21567763:21568187:1 gene:Vigun05g149050.v1.2 transcript:Vigun05g149050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITHQNTRSIFSVKLSKYQSKASHLDLPSKFANFIREVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQGWRLFCFENELKEGNIVAFQVDNDFIESNVEVFVNGSCCD >Vigun03g220000.1.v1.2 pep primary_assembly:ASM411807v1:3:36604313:36616715:1 gene:Vigun03g220000.v1.2 transcript:Vigun03g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDAIEEESVVIDGVKIPVDTSEKNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFECMFDYIDRLFVIVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDAADAAAEEARLREEFEKEGRKLPPKEESQTFDSNVITPGTEFMAVLSIALQYYIHLRLNNDPGWKNIKVILSDANVPGEGEHKIISYIRLQRNLKGCDPNTRHCLYGLDADLIMLALATHEIHFSILREIVFTPGQDKCFLCGQMGHFAANCEGKAKRKAGEFDEKGEAVVAKKPFQFLNIWTLREYLEYEMRIPNPPFKIDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFREFGGYLTNGSTINLSRVEHFIQAIGSYEDKIFQKRAQLHQRQTEKIKRGKAQARRGDDAKPQFQPDSLVAVSQFHGSRLASAPTPSPFQQSRHSNFRSSVRKDRKEAFERPQKVARLSSGASVAAAIVEAENSLEIEVLDNKDELKTKLKEILREKSDVFNSKNADEDKIKLGEPGWKERYYEEKFSAKTPEELEAIRKDVVLKYTEGLCWVMHYYYEGVCSWNWFYPYHYAPFASDLNGLGELDISFNLGTPFKPFDQLLGVFPAASSHALPEPYRRLMTDPSSPIIDFYPIDFEVDMNGKRYAWQGIAKLPFIDEGRLLAEVQKIENFLTPEEKRRNAIMFDLLFVNSCHPLSACISTLGNKCKNMSDSERAVVKERINPKERNTCSGGMNGYISLCGGEPCPPIFRSSIASMEDIMDNHVICAIYRLPDAHEHITRPPQGVKFPKKTVTIGDLQPEPVLWHQDSGRRHHAENWRKNPPGSITGRELGDAAHRLVVNSLQAKVDTTGYRHRPHNGPPKSYPAPMGHREPVSYESRPGHDAVPTKQNLPPGQQSNSNQKDDYHNSRSYHHERNYHSRHRHEVSHHSRNNASGHREHAHHSSNHYSSLDRRRNKDAMPPPYNRR >Vigun03g220000.2.v1.2 pep primary_assembly:ASM411807v1:3:36604313:36616715:1 gene:Vigun03g220000.v1.2 transcript:Vigun03g220000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDAIEEESVVIDGVKIPVDTSEKNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFECMFDYIDRLFVIVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDAADAAAEEARLREEFEKEGRKLPPKEESQTFDSNVITPGTEFMAVLSIALQYYIHLRLNNDPGWKNIKVILSDANVPGEGEHKIISYIRLQRNLKGCDPNTRHCLYGLDADLIMLALATHEIHFSILREIVFTPGQDKCFLCGQMGHFAANCEGKAKRKAGEFDEKGEAVVAKKPFQFLNIWTLREYLEYEMRIPNPPFKIDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFREFGGYLTNGSTINLSRVEHFIQAIGSYEDKIFQKRAQLHQRQTEKIKRGKAQARRGDDAKPQFQPDSLVAVSQFHGSRLASAPTPSPFQQSRHSNFRSSVRKDRKEAFERPQKVARLSSGASVAAAIVEAENSLEIEVLDNKDELKTKLKEILREKSDVFNSKNADEDKIKLGEPGWKERYYEEKFSAKTPEELEAIRKDVVLKYTEGLCWVMHYYYEGVCSWNWFYPYHYAPFASDLNGLGELDISFNLGTPFKPFDQLLGVFPAASSHALPEPYRRLMTDPSSPIIDFYPIDFEVDMNGKRYAWQGIAKLPFIDEGRLLAEVQKIENFLTPEEKRRNAIMFDLLFVNSCHPLSACISTLGNKCKNMSDSERAVVKERINPKESGGMNGYISLCGGEPCPPIFRSSIASMEDIMDNHVICAIYRLPDAHEHITRPPQGVKFPKKTVTIGDLQPEPVLWHQDSGRRHHAENWRKNPPGSITGRELGDAAHRLVVNSLQAKVDTTGYRHRPHNGPPKSYPAPMGHREPVSYESRPGHDAVPTKQNLPPGQQSNSNQKDDYHNSRSYHHERNYHSRHRHEVSHHSRNNASGHREHAHHSSNHYSSLDRRRNKDAMPPPYNRR >Vigun03g087800.1.v1.2 pep primary_assembly:ASM411807v1:3:7306358:7336177:-1 gene:Vigun03g087800.v1.2 transcript:Vigun03g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALLLACVICGILFSVLGLASFFILWAVNWRPWRIYSWIFARKWPNILQGPQLHLLCGFLNLSAWIVVVSPILVLIIWGSWLIVILGRDLIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPVACLPEPPDPNELYPRQSRRASHLVLLYLGSLFVLLVYSILYGLTAKEENWLGAITSVAVIILDWNLGACLYGFQLLDSRVAALFIAGTSRVFLICFGVQYWYLGHCISYAVMATVLLGAAVTRHLSATNPLAARRDALQSTVVRLREGFRKKEHNSSSSFSEGCGSSMKRSSSVEASNLGNVIETGRAMAAVDGSNWNNVLSQAASFPDGINSDKSIESGRSSLALHSSSCRSAVPEPEVGISSDDRNLDHNNSLVVCSSSGLDSQGNDSSASNSANQQTLDLNLALAFHERLNDPRIAHVLKRRARQGDRELSSLLQDKGLDPNFAMMLKEKSLELDPTILALLQRSSMDADGDHNENTDNASVDNAIPNQISLSEELRLHGLEKWLQLCRLVLHHITGTPERAWVLFSFIFVLETIIVGIFRPKTIKIINATHQQFEFGLAVLLLSPVICSIMAFLRSLTAEEMSMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGISLTVPLMVACLSVAIPIWICNGYQFWVPHVNCTGSAGNDRMPQTKKGIILIISMSVFVGSVLALGAIVSAKPLDDLRYKGLNGDPKVLGSPYTSYVFLGWAMASVIGLVVTSVLPIISWFATYRFSLSSAIFIGLFAVILVAFCGVSYLEVIKTRDEHVPTNGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLVLLLGAISALIVVVKPWTIGVAFLLILLLMVLAIGAIHHWASNNFYLSRTQMVFVCFLAFLLALAAFLVGWFEGKPFVGASVGYFSFLFLLAGRSLTVLLSNPIVVYSPRVLPVYVYDAHADCGKNVSVSFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLGKETVIQAIARSATKTRNALSGTYSAPQRSASSAALLIGDPTIMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFSRLRYQRTFRHEPTSDVDYRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAEQAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEVFMQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLSRRALQTGMAGAICVLDDEPTASGRHCGPIDSSLCQSQKVSFSIALMIQPESGPICLLGTEFQKKICWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDANLGEATCYLDGGFDGYQNGLPLCVGSSIWEEGTEVWVGVRPPTDIDAFGRSDSEGVESKMHIMDAFLWGRCLTDDEVSSLYTSLASADFGALDFPEDNWQWADSPSRIDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGMVVDIDSFSRKYRKPRIETQEEIIQRMLSVELAIKEALYARGETQFTDQEFPPSDHSLFVDPSNPPAKLQVVSEWSRPNEIARQNHFDRRQCLFSGAPNPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPDYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKGYELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWSDRIKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRIYPSEMRHSVHGQWRGYSAGGCQDYDTWNQNPQFRLTATGQDASFPIHVFITLTQGVGFSRTTAGFRNYQSSHDSLMFYIGMRILKTRGRRAAFNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >Vigun03g087800.5.v1.2 pep primary_assembly:ASM411807v1:3:7306358:7333073:-1 gene:Vigun03g087800.v1.2 transcript:Vigun03g087800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPVACLPEPPDPNELYPRQSRRASHLVLLYLGSLFVLLVYSILYGLTAKEENWLGAITSVAVIILDWNLGACLYGFQLLDSRVAALFIAGTSRVFLICFGVQYWYLGHCISYAVMATVLLGAAVTRHLSATNPLAARRDALQSTVVRLREGFRKKEHNSSSSFSEGCGSSMKRSSSVEASNLGNVIETGRAMAAVDGSNWNNVLSQAASFPDGINSDKSIESGRSSLALHSSSCRSAVPEPEVGISSDDRNLDHNNSLVVCSSSGLDSQGNDSSASNSANQQTLDLNLALAFHERLNDPRIAHVLKRRARQGDRELSSLLQDKGLDPNFAMMLKEKSLELDPTILALLQRSSMDADGDHNENTDNASVDNAIPNQISLSEELRLHGLEKWLQLCRLVLHHITGTPERAWVLFSFIFVLETIIVGIFRPKTIKIINATHQQFEFGLAVLLLSPVICSIMAFLRSLTAEEMSMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGISLTVPLMVACLSVAIPIWICNGYQFWVPHVNCTGSAGNDRMPQTKKGIILIISMSVFVGSVLALGAIVSAKPLDDLRYKGLNGDPKVLGSPYTSYVFLGWAMASVIGLVVTSVLPIISWFATYRFSLSSAIFIGLFAVILVAFCGVSYLEVIKTRDEHVPTNGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLVLLLGAISALIVVVKPWTIGVAFLLILLLMVLAIGAIHHWASNNFYLSRTQMVFVCFLAFLLALAAFLVGWFEGKPFVGASVGYFSFLFLLAGRSLTVLLSNPIVVYSPRVLPVYVYDAHADCGKNVSVSFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLGKETVIQAIARSATKTRNALSGTYSAPQRSASSAALLIGDPTIMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFSRLRYQRTFRHEPTSDVDYRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAEQAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEVFMQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLSRRALQTGMAGAICVLDDEPTASGRHCGPIDSSLCQSQKVSFSIALMIQPESGPICLLGTEFQKKICWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDANLGEATCYLDGGFDGYQNGLPLCVGSSIWEEGTEVWVGVRPPTDIDAFGRSDSEGVESKMHIMDAFLWGRCLTDDEVSSLYTSLASADFGALDFPEDNWQWADSPSRIDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGMVVDIDSFSRKYRKPRIETQEEIIQRMLSVELAIKEALYARGETQFTDQEFPPSDHSLFVDPSNPPAKLQVVSEWSRPNEIARQNHFDRRQCLFSGAPNPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPDYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKGYELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWSDRIKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRIYPSEMRHSVHGQWRGYSAGGCQDYDTWNQNPQFRLTATGQDASFPIHVFITLTQGVGFSRTTAGFRNYQSSHDSLMFYIGMRILKTRGRRAAFNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >Vigun03g087800.3.v1.2 pep primary_assembly:ASM411807v1:3:7306358:7333073:-1 gene:Vigun03g087800.v1.2 transcript:Vigun03g087800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPVACLPEPPDPNELYPRQSRRASHLVLLYLGSLFVLLVYSILYGLTAKEENWLGAITSVAVIILDWNLGACLYGFQLLDSRVAALFIAGTSRVFLICFGVQYWYLGHCISYAVMATVLLGAAVTRHLSATNPLAARRDALQSTVVRLREGFRKKEHNSSSSFSEGCGSSMKRSSSVEASNLGNVIETGRAMAAVDGSNWNNVLSQAASFPDGINSDKSIESGRSSLALHSSSCRSAVPEPEVGISSDDRNLDHNNSLVVCSSSGLDSQGNDSSASNSANQQTLDLNLALAFHERLNDPRIAHVLKRRARQGDRELSSLLQDKGLDPNFAMMLKEKSLELDPTILALLQRSSMDADGDHNENTDNASVDNAIPNQISLSEELRLHGLEKWLQLCRLVLHHITGTPERAWVLFSFIFVLETIIVGIFRPKTIKIINATHQQFEFGLAVLLLSPVICSIMAFLRSLTAEEMSMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGISLTVPLMVACLSVAIPIWICNGYQFWVPHVNCTGSAGNDRMPQTKKGIILIISMSVFVGSVLALGAIVSAKPLDDLRYKGLNGDPKVLGSPYTSYVFLGWAMASVIGLVVTSVLPIISWFATYRFSLSSAIFIGLFAVILVAFCGVSYLEVIKTRDEHVPTNGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLVLLLGAISALIVVVKPWTIGVAFLLILLLMVLAIGAIHHWASNNFYLSRTQMVFVCFLAFLLALAAFLVGWFEGKPFVGASVGYFSFLFLLAGRSLTVLLSNPIVVYSPRVLPVYVYDAHADCGKNVSVSFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVHFLGKETVIQAIARSATKTRNALSGTYSAPQRSASSAALLIGDPTIMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFSRLRYQRTFRHEPTSDVDYRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAEQAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEVFMQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLSRRALQTGMAGAICVLDDEPTASGRHCGPIDSSLCQSQKVSFSIALMIQPESGPICLLGTEFQKKICWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDANLGEATCYLDGGFDGYQNGLPLCVGSSIWEEGTEVWVGVRPPTDIDAFGRSDSEGVESKMHIMDAFLWGRCLTDDEVSSLYTSLASADFGALDFPEDNWQWADSPSRIDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGMVVDIDSFSRKYRKPRIETQEEIIQRMLSVELAIKEALYARGETQFTDQEFPPSDHSLFVDPSNPPAKLQVVSEWSRPNEIARQNHFDRRQCLFSGAPNPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPDYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKGYELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWSDRIKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRIYPSEMRHSVHGQWRGYSAGGCQDYDTWNQNPQFRLTATGQDASFPIHVFITLTQGVGFSRTTAGFRNYQSSHDSLMFYIGMRILKTRGRRAAFNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >Vigun08g142000.2.v1.2 pep primary_assembly:ASM411807v1:8:31431889:31437747:1 gene:Vigun08g142000.v1.2 transcript:Vigun08g142000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGIFIEPTSTTAPDLSLHISPPSISSSLISNTNHITNYEPQPSFPSRTVSQAHTELSLGRNFTSARETPAPAPAPAPTPTPTTHNPYYPTQTHFHHLLHTSNNTTTSSTTATSVPASANTPLNHINYGVSLLDVSSSDGLRPIKGIPVYHNRSFPFVSMDHARDNKDPHTKMCLYHHMPSYPSLSSSCSSLCSTTAPSSSPSPYFVDPMSFLNNGSTVGCRAATATRFNGFSGDAFKSHPLHQYGVGSSEASSGFMRSRFLSKLPNKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSAFDFAGHSDGSGEDDLSPIGSTADRGSLRQFPSHRGRPDWVAQQDMDYSSTTTLWSNSSSCGESRQRTTSNDIDGLRSPILQSQTISGAHQIQECDSTQQKNNMGGSNLECKNPSLEFTLGRPHWDGKEQA >Vigun08g142000.1.v1.2 pep primary_assembly:ASM411807v1:8:31431889:31437746:1 gene:Vigun08g142000.v1.2 transcript:Vigun08g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGIFIEPTSTTAPDLSLHISPPSISSSLISNTNHITNYEPQPSFPSRTVSQAHTELSLGRNFTSARETPAPAPAPAPTPTPTTHNPYYPTQTHFHHLLHTSNNTTTSSTTATSVPASANTPLNHINYGVSLLDVSSSDGLRPIKGIPVYHNRSFPFVSMDHARDNKDPHTKMCLYHHMPSYPSLSSSCSSLCSTTAPSSSPSPYFVDPMSFLNNGSTVGCRAATATRFNGFSGDAFKSHPLHQYGVGSSEASSGFMRSRFLSKLPNKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGHSDGSGEDDLSPIGSTADRGSLRQFPSHRGRPDWVAQQDMDYSSTTTLWSNSSSCGESRQRTTSNDIDGLRSPILQSQTISGAHQIQECDSTQQKNNMGGSNLECKNPSLEFTLGRPHWDGKEQA >Vigun02g028113.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10281998:10282510:1 gene:Vigun02g028113.v1.2 transcript:Vigun02g028113.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPTITTTTTLSSTTTAIKTYITITTTATTTTITTTTSTTTTTTMATIATTAMATTITTTTATTTTTPTTVTTRTPTTTTTATTITTTITIITTTTTTIATTATTTITTTTATTTITTVTTTTTTMTTITTTTRSTTTTPQPPPPPPHPRKPPPRSPSVPPRPSPPP >Vigun01g148700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33018982:33022363:1 gene:Vigun01g148700.v1.2 transcript:Vigun01g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTRHSRPTSRRGHGDGENVSSSDDEHEYPGSNHPGSQVPLKKRFDPKERDDGKGSKEEGDDQSDHKGDSSDEDDFGDDLYKNEDDRRKLSEMTELQREMILSDRATKKYDKDLLGKISSKREKGKTTIPGTNTSPPPPSRVRSSVRSVDRSIAKNGALSELRAKRLKQQDSEANRRISEASKSPGPGHVSPKHKPFSSTSLTSSSDSESENRSQSDDGGLSDDDINIDSDDDRIILGPEGLTFEDIKEITVSRSKLTKWFMEPFFEELIAGCFVRVGIGRSKSGPIYRLCVVKNVDATDCARQYKLENKMTHKYLNLVWGNETSAARWQMTMVSDSLPLEEEFIQWVKEVERRSGRMPTKQEVEIKKQAIQNINSFVYSAATVKQMLQEKKSAKIRPLNIAAEKGKLRMQLEIAESKQNNTEVSRIMTRLQELEEARQSKEKDARALRLSEMNKKNRFENFKNASELKPINKDLKAGEAGYDPFSRRWTRSRNYYAAKPAEDAAAENNSAIDMVGDEGSSQTRTAVTGVAGMAATAAAIKAAAGAGKLVDTSAPVDQGTVTNVLHDFELPISLSILHNFGGAQGVQAGFMAKKQRIEATVGLQVPEKDGRKHAKTLTVSDYKKRIGLF >Vigun07g231000.5.v1.2 pep primary_assembly:ASM411807v1:7:35272396:35282268:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun07g231000.3.v1.2 pep primary_assembly:ASM411807v1:7:35272681:35282268:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun07g231000.4.v1.2 pep primary_assembly:ASM411807v1:7:35272681:35282268:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun07g231000.6.v1.2 pep primary_assembly:ASM411807v1:7:35272397:35282268:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun07g231000.1.v1.2 pep primary_assembly:ASM411807v1:7:35272391:35282348:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun07g231000.2.v1.2 pep primary_assembly:ASM411807v1:7:35272391:35282348:1 gene:Vigun07g231000.v1.2 transcript:Vigun07g231000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKKIEDATSRQVTFSKRRNGLLKKAYELSVLCDAQVAVIVFSQNGRLYEFSSSDMSKILERYREYTKDVPANKFGDDYIQQLKLESANMAEKIRLLEISKRLNYTWNRSINYDPRRLTCSKRMPN >Vigun03g297200.2.v1.2 pep primary_assembly:ASM411807v1:3:48352968:48357092:-1 gene:Vigun03g297200.v1.2 transcript:Vigun03g297200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSRPKRKFPAQEKTVTLASETAFTVSEVEALYELFRSISSSVIDDGLISKEEFQLAIFKNKKKENIFATRVKEMLIALLYESDMKLADDVIETILNKTFLEADLNEDGKIDMEEWANFVAKNPSLLKIMTLPYLRDITTSFPSFIFNSNVDEVAA >Vigun03g297200.3.v1.2 pep primary_assembly:ASM411807v1:3:48352955:48357092:-1 gene:Vigun03g297200.v1.2 transcript:Vigun03g297200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSRPKRKFPAQEKTVTLASETAFTVSEVEALYELFRSISSSVIDDGLISKEEFQLAIFKNKKKENIFATRIFDLFDVKKKGVIDFEDFVRSLNVFHPNVSLEDKIAFSFRLYDLRNTGFIERSEVKEMLIALLYESDMKLADDVIETILNKTFLEADLNEDGKIDMEEWANFVAKNPSLLKIMTLPYLRDITTSFPSFIFNSNVDEVAA >Vigun03g297200.1.v1.2 pep primary_assembly:ASM411807v1:3:48352968:48357092:-1 gene:Vigun03g297200.v1.2 transcript:Vigun03g297200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSRPKRKFPAQEKTVTLASETAFTVSEVEALYELFRSISSSVIDDGLISKEEFQLAIFKNKKKENIFATRIFDLFDVKKKGVIDFEDFVRSLNVFHPNVSLEDKIAFSFRLYDLRNTGFIERSEVKEMLIALLYESDMKLADDVIETILNKTFLEADLNEDGKIDMEEWANFVAKNPSLLKIMTLPYLRDITTSFPSFIFNSNVDEVAA >VigunL024000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:46416:46907:1 gene:VigunL024000.v1.2 transcript:VigunL024000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun04g020500.1.v1.2 pep primary_assembly:ASM411807v1:4:1551576:1561279:-1 gene:Vigun04g020500.v1.2 transcript:Vigun04g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKSVESLCRIGAQMKEQDLVEHFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEVVKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKSDVMSVFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIDQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNIKRLAEEFGPDWAMQHIIPQVLDMVTDPHYLYRMTILQAISLLAPVLGSEITTSKLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPCLVELSEDPDVDVRFFASQALQSSDQVKMSS >Vigun04g020500.2.v1.2 pep primary_assembly:ASM411807v1:4:1551576:1561279:-1 gene:Vigun04g020500.v1.2 transcript:Vigun04g020500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKSVESLCRIGAQMKEQDLVEHFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEVVKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKSDVMSVFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIDQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNIKRLAEEFGPDWAMQHIIPQVLDMVTDPHYLYRMTILQAISLLAPVLGSEITTSKLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPCLVELSEDPDVDVRFFASQALQSSDQVKMSS >Vigun04g020500.3.v1.2 pep primary_assembly:ASM411807v1:4:1551576:1561010:-1 gene:Vigun04g020500.v1.2 transcript:Vigun04g020500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKSVESLCRIGAQMKEQDLVEHFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEVVKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKSDVMSVFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIDQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNIKRLAEEFGPDWAMQHIIPQVLDMVTDPHYLYRMTILQAISLLAPVLGSEITTSKLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVENTIRPCLVELSEDPDVDVRFFASQALQSSDQVKMSS >Vigun04g020500.4.v1.2 pep primary_assembly:ASM411807v1:4:1552432:1561279:-1 gene:Vigun04g020500.v1.2 transcript:Vigun04g020500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKSVESLCRIGAQMKEQDLVEHFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEVVKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKSDVMSVFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIDQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNIKRLAEEFGPDWAMQHIIPQVLDMVTDPHYLYRMTILQAISLLAPVLGSEITTSKLLPLVINASKDR >Vigun04g051300.1.v1.2 pep primary_assembly:ASM411807v1:4:4619286:4621631:-1 gene:Vigun04g051300.v1.2 transcript:Vigun04g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQQSASTRISDDQIIDLVSKLRQLVPEIRDRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLATIDADSPEAAIIRSLIN >Vigun11g162300.5.v1.2 pep primary_assembly:ASM411807v1:11:36982479:36989924:1 gene:Vigun11g162300.v1.2 transcript:Vigun11g162300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLFLFVALTLCGYGVCKECTNVPTQSHTFRYELLASKNATWREEVMSHYHLTPTDETAWADLLPRKFLTEQNQHDWGMMYRKIKNMGVSKSPEGFLKEVALEDVKLDKDSIHGIAQQTNLEYLLMLDVDKLLWSFRKTAGLSTPDTPYGGWEGPEVELRGHFVGHYLSASALMWASTQDDSLKQKMSSVVAGLSACQEKIGTGYLSAFPSELFDRFESVQPVWAPYYTIHKILAGLLDQHTFARNPQALKMVTWMVDYFYNRVQNVITKSTINRHYQSLNEETGGMNDVLYRLYSITGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEITGDPLYKEIGTFFMELVNSSHSYATGGTSVSEFWSNPKRIADNLRTTENEESCTTYNMLKVSRHLFKWTREVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGIGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKGPSLYIIQYISSSFNWKSGKILLNQTVVPVSSWDPYLRVTFTSSPVESASSTLNFRLPSWTSTDGAKGILNGESLSLPNPGSFLSITRQWSASDKLTLQLPLTVRTEAIKDDRPQYASVKAILYGPYLLAGHISGGDWDNLKIEAKDTDWITPVPASYNSQLVSFFQDFEKSTFVLANSNQSFAMQKVPESGTDLALKATFRLVLKESSSKFSTLADANERSVMLEPFDLPGMNVVHQGADKPLLIQDSSNGKPSSVFLVVPGLDGRNETISLESQSDKGCYVYSGLSSNAGVKLSCKSDSDSSFKQATSFVPREGLSQYHPISFVAKGVSRNFLLQPLLSFRDEPYTVYFNIQD >Vigun11g162300.3.v1.2 pep primary_assembly:ASM411807v1:11:36982442:36989939:1 gene:Vigun11g162300.v1.2 transcript:Vigun11g162300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITLKRMKAFLFLFVALTLCGYGVCKECTNVPTQSHTFRYELLASKNATWREEVMSHYHLTPTDETAWADLLPRKFLTEQNQHDWGMMYRKIKNMGVSKSPEGFLKEVALEDVKLDKDSIHGIAQQTNLEYLLMLDVDKLLWSFRKTAGLSTPDTPYGGWEGPEVELRGHFVGHYLSASALMWASTQDDSLKQKMSSVVAGLSACQEKIGTGYLSAFPSELFDRFESVQPVWAPYYTIHKILAGLLDQHTFARNPQALKMVTWMVDYFYNRVQNVITKSTINRHYQSLNEETGGMNDVLYRLYSITGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEITGDPLYKEIGTFFMELVNSSHSYATGGTSVSEFWSNPKRIADNLRTTENEESCTTYNMLKVSRHLFKWTREVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGIGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKGPSLYIIQYISSSFNWKSGKILLNQTVVPVSSWDPYLRVTFTSSPVESASSTLNFRLPSWTSTDGAKGILNGESLSLPNPGSFLSITRQWSASDKLTLQLPLTVRTEAIKDDRPQYASVKAILYGPYLLAGHISGGDWDNLKIEAKDTDWITPVPASYNSQLVSFFQDFEKSTFVLANSNQSFAMQKVPESGTDLALKATFRLVLKESSSKFSTLADANERSVMLEPFDLPGMNVVHQGADKPLLIQDSSNGKPSSVFLVVPGLDGRNETISLESQSDKGCYVYSGLSSNAGVKLSCKSDSDSSFKQATSFVPREGLSQYHPISFVAKGVSRNFLLQPLLSFRDEPYTVYFNIQD >Vigun11g162300.1.v1.2 pep primary_assembly:ASM411807v1:11:36982479:36989935:1 gene:Vigun11g162300.v1.2 transcript:Vigun11g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGLVLLLLFDFCWLDSEFLRRMKAFLFLFVALTLCGYGVCKECTNVPTQSHTFRYELLASKNATWREEVMSHYHLTPTDETAWADLLPRKFLTEQNQHDWGMMYRKIKNMGVSKSPEGFLKEVALEDVKLDKDSIHGIAQQTNLEYLLMLDVDKLLWSFRKTAGLSTPDTPYGGWEGPEVELRGHFVGHYLSASALMWASTQDDSLKQKMSSVVAGLSACQEKIGTGYLSAFPSELFDRFESVQPVWAPYYTIHKILAGLLDQHTFARNPQALKMVTWMVDYFYNRVQNVITKSTINRHYQSLNEETGGMNDVLYRLYSITGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEITGDPLYKEIGTFFMELVNSSHSYATGGTSVSEFWSNPKRIADNLRTTENEESCTTYNMLKVSRHLFKWTREVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGIGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKGPSLYIIQYISSSFNWKSGKILLNQTVVPVSSWDPYLRVTFTSSPVESASSTLNFRLPSWTSTDGAKGILNGESLSLPNPGSFLSITRQWSASDKLTLQLPLTVRTEAIKDDRPQYASVKAILYGPYLLAGHISGGDWDNLKIEAKDTDWITPVPASYNSQLVSFFQDFEKSTFVLANSNQSFAMQKVPESGTDLALKATFRLVLKESSSKFSTLADANERSVMLEPFDLPGMNVVHQGADKPLLIQDSSNGKPSSVFLVVPGLDGRNETISLESQSDKGCYVYSGLSSNAGVKLSCKSDSDSSFKQATSFVPREGLSQYHPISFVAKGVSRNFLLQPLLSFRDEPYTVYFNIQD >Vigun11g162300.4.v1.2 pep primary_assembly:ASM411807v1:11:36982470:36989940:1 gene:Vigun11g162300.v1.2 transcript:Vigun11g162300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVTLLAAPTLRMKAFLFLFVALTLCGYGVCKECTNVPTQSHTFRYELLASKNATWREEVMSHYHLTPTDETAWADLLPRKFLTEQNQHDWGMMYRKIKNMGVSKSPEGFLKEVALEDVKLDKDSIHGIAQQTNLEYLLMLDVDKLLWSFRKTAGLSTPDTPYGGWEGPEVELRGHFVGHYLSASALMWASTQDDSLKQKMSSVVAGLSACQEKIGTGYLSAFPSELFDRFESVQPVWAPYYTIHKILAGLLDQHTFARNPQALKMVTWMVDYFYNRVQNVITKSTINRHYQSLNEETGGMNDVLYRLYSITGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEITGDPLYKEIGTFFMELVNSSHSYATGGTSVSEFWSNPKRIADNLRTTENEESCTTYNMLKVSRHLFKWTREVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGIGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKGPSLYIIQYISSSFNWKSGKILLNQTVVPVSSWDPYLRVTFTSSPVESASSTLNFRLPSWTSTDGAKGILNGESLSLPNPGSFLSITRQWSASDKLTLQLPLTVRTEAIKDDRPQYASVKAILYGPYLLAGHISGGDWDNLKIEAKDTDWITPVPASYNSQLVSFFQDFEKSTFVLANSNQSFAMQKVPESGTDLALKATFRLVLKESSSKFSTLADANERSVMLEPFDLPGMNVVHQGADKPLLIQDSSNGKPSSVFLVVPGLDGRNETISLESQSDKGCYVYSGLSSNAGVKLSCKSDSDSSFKQATSFVPREGLSQYHPISFVAKGVSRNFLLQPLLSFRDEPYTVYFNIQD >Vigun11g162300.2.v1.2 pep primary_assembly:ASM411807v1:11:36982345:36989943:1 gene:Vigun11g162300.v1.2 transcript:Vigun11g162300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLFLFVALTLCGYGVCKECTNVPTQSHTFRYELLASKNATWREEVMSHYHLTPTDETAWADLLPRKFLTEQNQHDWGMMYRKIKNMGVSKSPEGFLKEVALEDVKLDKDSIHGIAQQTNLEYLLMLDVDKLLWSFRKTAGLSTPDTPYGGWEGPEVELRGHFVGHYLSASALMWASTQDDSLKQKMSSVVAGLSACQEKIGTGYLSAFPSELFDRFESVQPVWAPYYTIHKILAGLLDQHTFARNPQALKMVTWMVDYFYNRVQNVITKSTINRHYQSLNEETGGMNDVLYRLYSITGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPVVVGSQMRYEITGDPLYKEIGTFFMELVNSSHSYATGGTSVSEFWSNPKRIADNLRTTENEESCTTYNMLKVSRHLFKWTREVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGIGVSKARTGHSWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKGPSLYIIQYISSSFNWKSGKILLNQTVVPVSSWDPYLRVTFTSSPVESASSTLNFRLPSWTSTDGAKGILNGESLSLPNPGSFLSITRQWSASDKLTLQLPLTVRTEAIKDDRPQYASVKAILYGPYLLAGHISGGDWDNLKIEAKDTDWITPVPASYNSQLVSFFQDFEKSTFVLANSNQSFAMQKVPESGTDLALKATFRLVLKESSSKFSTLADANERSVMLEPFDLPGMNVVHQGADKPLLIQDSSNGKPSSVFLVVPGLDGRNETISLESQSDKGCYVYSGLSSNAGVKLSCKSDSDSSFKQATSFVPREGLSQYHPISFVAKGVSRNFLLQPLLSFRDEPYTVYFNIQD >Vigun01g033500.1.v1.2 pep primary_assembly:ASM411807v1:1:4269392:4277744:-1 gene:Vigun01g033500.v1.2 transcript:Vigun01g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQILMLIIYTTFFCFMPTSSTITPNQSLQYHETLVSSAGTFEAGFFDFGNSRRQYFGIWYKSILPRTIVWVANRNVPAQNSTAVLKLTHQGDLVILDGSGGRVWSSNSSKIAVKPVVQLLDSGNLVVKDGESSENFLWESFDYPGDTFLAGMKLKSDFVTGPYQYLTSWRDNEDPAEGEFYYKIDTRGFPQQVTTNGTTILYSTGPWNGYLFSGVSWDRMHRFLNFSFEFTNKGVTYGCETLNSSVLSRTILKLNPRGGTERFLWSNQRQSWDIVNSHPIDQCEYFATCGVNSICNINKLPICECLQGFTPKFQAKWDSHDWSGGCVRRTKLSCDNGDWFKEYRGIKLPETSSSWFDRSLSLEECETLCFRNCSCTAYANSDIRDGGSGCLLWFRDLLDLRTHTDRGQEIHIRMPFSERDQRRNKRDLSPKRLAGIIVGLVTFIVGLTILVWATSKHIKGMKLPKPVKKSIPWKHMMDKEGNNLPTMFNFSTIDIATNHFSNRNKLGEGGFGTVHKGTLIDGQEIAVKRLSKTSRQGTEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDTLQGKSLDWTKRLKIIDGIARGLMYLHQDSRLRIIHRDIKTSNILLDDNMIPKISDFGLARIFGADQAEANTNRVMGTHGYMPPEYAGHGCFSTKSDVFSFGVIVIEIISGRKNRGFRDPHHHLLNLLGHAWRLWNEERPLELMDEILDDGADLSLEILRCIHVGLLCVQQNPENRPNMSSVVLMLNGDKLLPKPGQPGFYIGKDNIADTGSSKQHERCSINEVSISSLEAR >Vigun08g169600.2.v1.2 pep primary_assembly:ASM411807v1:8:34051185:34054508:1 gene:Vigun08g169600.v1.2 transcript:Vigun08g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGEFVRTVFSKNRSDGSHETKVKRSSAENRRWLSVRSYLCGDEFNSVLAENDSASVKSTEVTVSQSILEELNDKGDTDSEETVENVTQKRPNSDSRSLTEEEAAILIQSAYRGFLLRRQNGEIRSETGKEELNLVTESPDRKSMGTSVEVQTANSTEVFSVEGEKKGLCHRIQRRTRTQVIKQKEDWDDSTVSSYVSKMRMQSRMEASTRRERALAYAFSQQLRICSKRKLTKHNSLESNMSWSWLERWMATRLPETSSVESHAMKQYDTSNCNHKFTIMTRFLDAAGEEKESCGSNEVPLHFDNYSINSEEEKVSFKPHTAKSNFKARRTVSRRKTVPSYQFHEEPKVSKRDGWGNASKDVKQKQKQVGSRTEITLSSSKASNV >Vigun08g169600.1.v1.2 pep primary_assembly:ASM411807v1:8:34051185:34054508:1 gene:Vigun08g169600.v1.2 transcript:Vigun08g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGEFVRTVFSKNRSDGSHETKQVKRSSAENRRWLSVRSYLCGDEFNSVLAENDSASVKSTEVTVSQSILEELNDKGDTDSEETVENVTQKRPNSDSRSLTEEEAAILIQSAYRGFLLRRQNGEIRSETGKEELNLVTESPDRKSMGTSVEVQTANSTEVFSVEGEKKGLCHRIQRRTRTQVIKQKEDWDDSTVSSYVSKMRMQSRMEASTRRERALAYAFSQQLRICSKRKLTKHNSLESNMSWSWLERWMATRLPETSSVESHAMKQYDTSNCNHKFTIMTRFLDAAGEEKESCGSNEVPLHFDNYSINSEEEKVSFKPHTAKSNFKARRTVSRRKTVPSYQFHEEPKVSKRDGWGNASKDVKQKQKQVGSRTEITLSSSKASNV >Vigun09g097901.1.v1.2 pep primary_assembly:ASM411807v1:9:15218561:15220539:-1 gene:Vigun09g097901.v1.2 transcript:Vigun09g097901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLMGILYLQLSCYFWSDSILVVASSTNPNIVLWCFQGRWNCSLAIRYCLNFKISRIFKVGNKCVNRLASLSVNLKQEFLCHCTCINYRRMIF >VigunL019800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000241.1:11401:12471:1 gene:VigunL019800.v1.2 transcript:VigunL019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSFFNQFSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQIFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVRAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMNFFLHTVMLPKSDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYI >Vigun05g008400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:712681:713472:-1 gene:Vigun05g008400.v1.2 transcript:Vigun05g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTVHLPLFTILHHSVIFLLLIQIPPCLSSNDSYSNCANLISCGKIRNMSFPFWGGNRPKECGHPLMQLICKNDTSYITIKDVQYQVLEANPDSHTLKITRQDYWIDLCQPTHVSTTLDSQLYVYESLYKNLTLSYDCALFPTYIPCNGVLGGTSVYTQFGSLSPESCKTSVVVPVPLSFMEINSFIQVYHAIKEGFVVRWIIGVEECDKCEKSGGVCGFDGSSHQTCYCREGPCPNFSPDTQASSGTSKFIQNLCFLLCF >Vigun07g078450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:10722643:10723716:-1 gene:Vigun07g078450.v1.2 transcript:Vigun07g078450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHSDVFSMFQGFLTKIQNQFDTSIKILRTNNAREYISSQFQSFMSSQGIRHQTSCSHTTTEWSPQKKELALG >Vigun03g251300.2.v1.2 pep primary_assembly:ASM411807v1:3:41738160:41740785:-1 gene:Vigun03g251300.v1.2 transcript:Vigun03g251300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSVAPWLHYSSLNRRHSRGSSDIHGSNVESCGTITTTRIRKSQFSVRESIESECSPLPFPGGQILKLPELKVFSFEELKSATGNFRSDRFLGEGGFGSVYKGWLDENNLTPAKPGSGVVVAIKIFDPEGCQGFSQWQSEVNVLGRLSHPNVVRLLGYCWDEDQFLLVYEFMPKGSLENHLFRRNHSMEPLSWNTRLKIVIGAARGLAFLHANENLVIFRDFKTSNVLLDGNYNAKLSDFGLAKVGLSGGQPQATARIMGTHGYAAPEYVATGMRVIDKRRPTKQQNLVEWRKPCLSSKKKLKSIMDGRIEGQYSTKAALETAKLTLKCLKPDPRQRPSMKQVLERLEAIEDIH >Vigun03g251300.1.v1.2 pep primary_assembly:ASM411807v1:3:41738209:41740785:-1 gene:Vigun03g251300.v1.2 transcript:Vigun03g251300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSVAPWLHYSSLNRRHSRGSSDIHGSNVESCGTITTTRIRKSQFSVRESIESECSPLPFPGGQILKLPELKVFSFEELKSATGNFRSDRFLGEGGFGSVYKGWLDENNLTPAKPGSGVVVAIKIFDPEGCQGFSQWQSEVNVLGRLSHPNVVRLLGYCWDEDQFLLVYEFMPKGSLENHLFRRNHSMEPLSWNTRLKIVIGAARGLAFLHANENLVIFRDFKTSNVLLDGNYNAKLSDFGLAKVGLSGGQPQATARIMGTHGYAAPEYVATGELYVNSDVYGFGVVLLEILTGMRVIDKRRPTKQQNLVEWRKPCLSSKKKLKSIMDGRIEGQYSTKAALETAKLTLKCLKPDPRQRPSMKQVLERLEAIEDIH >Vigun05g276200.6.v1.2 pep primary_assembly:ASM411807v1:5:46626348:46632111:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun05g276200.1.v1.2 pep primary_assembly:ASM411807v1:5:46626303:46632264:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGMSGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun05g276200.4.v1.2 pep primary_assembly:ASM411807v1:5:46626348:46628303:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun05g276200.5.v1.2 pep primary_assembly:ASM411807v1:5:46626303:46630219:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGMSGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun05g276200.2.v1.2 pep primary_assembly:ASM411807v1:5:46626303:46632263:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGMSGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun05g276200.3.v1.2 pep primary_assembly:ASM411807v1:5:46626348:46632111:1 gene:Vigun05g276200.v1.2 transcript:Vigun05g276200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRVHREPMNGRRGYPPDGPYARGPPMPRPPPIPPHPAVLEEELEFQHAEMRRLVADNRRLIDDRMALQRDLAAAKEELHRMNLAIGDIRAEHEAHSRDLVEKGMKMEADLRANEPLKNEVMQLRAEVQKLNNLKQELTGKVQTLTQDVARLQADNQQIPMMRAEMDGLHQELVRARTMVDYEKKANIEFMEQRQSMEKNLVSMAREVEKLRAELASSDGRHWGAGMSGGQYGTKFGSPDGFPAPYTDGYGVHLGSAEKGPLYGASAATRKGHEKPRMNRR >Vigun01g187500.1.v1.2 pep primary_assembly:ASM411807v1:1:36731546:36734924:1 gene:Vigun01g187500.v1.2 transcript:Vigun01g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQEIKEQAHEEVISDKTSEMKPETRDEILSRHRKEISQLQKKEVEMKKAAARGSKAEQKAKKKQVEEEVSQLSTKLKEKHAQELATLGYSSSGNGNEKSNLDTLVKAIAGVSVASQPEHSKVSKAKQRRDKRAQQEAEREQRIQAEQTDIVSDRMIENEKLERKLKPLGLTVCEIKPDGHCLYRAVEDQLAVLSGGRSPYTYQELREMVAAYMRKHTSDFLPFFLSENLIEDDSDESLAQKFENYCKEVESTAIWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSDGGTGLSNSSIMLSYHKHAFGLGEHYNSVVPT >Vigun01g187500.2.v1.2 pep primary_assembly:ASM411807v1:1:36731413:36734924:1 gene:Vigun01g187500.v1.2 transcript:Vigun01g187500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQEIKEQAHEEVISDKTSEMKPETRDEILSRHRKEISQLQKKEVEMKKAAARGSKAEQKAKKKQVEEEVSQLSTKLKEKHAQELATLGYSSSGNGNEKSNLDTLVKAIAGVSVASQPEHSKVSKAKQRRDKRAQQEAEREQRIQAEQTDIVSDRMIENEKLERKLKPLGLTVCEIKPDGHCLYRAVEDQLAVLSGGRSPYTYQELREMVAAYMRKHTSDFLPFFLSENLIEDDSDESLAQKFENYCKEVESTAIWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSDGGTGLSNSSIMLSYHKHAFGLGEHYNSVVPT >Vigun04g127700.1.v1.2 pep primary_assembly:ASM411807v1:4:32180953:32183783:-1 gene:Vigun04g127700.v1.2 transcript:Vigun04g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHSFFFISSILFTALHVSQTQAKPSPVKGLSYSFYSKTCPKLETIVRNHLKKVFKNDNGQAPALLRIFFHDCFVQGCDGSVLLDGSPGERDQPANGGIRSEALDTIDDLRALLHKECGRIVSCADITVLAARDAVSLTGGPDYAVPLGRRDGVSFSTSGTSNLPKPFNTTGATLDAFAAKSFDATDVVALSGAHTFGRAHCSTFFNRLSPLDPNMDKTLAKQLQSTCPNADSGNTANLDIRTPTVFDNKYYLDLMNRQGVFTSDQDLLSDKRTKGLVNAFAVNQTLFFEKFVDAAVRLSQLDVLTGNQGEIRAKCNVVNSKKSVLEEVLQFVYQF >Vigun04g127700.2.v1.2 pep primary_assembly:ASM411807v1:4:32180953:32183783:-1 gene:Vigun04g127700.v1.2 transcript:Vigun04g127700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHSFFFISSILFTALHVSQTQAKPSPVKGLSYSFYSKTCPKLETIVRNHLKKVFKNDNGQAPALLRIFFHDCFVQGCDGSVLLDGSPGERDQPANGGIRSEALDTIDDLRALLHKECGRIVSCADITVLAARDAVSLTGGPDYAVPLGRRDGVSFSTSGTSNLPKPFNTTGAHTFGRAHCSTFFNRLSPLDPNMDKTLAKQLQSTCPNADSGNTANLDIRTPTVFDNKYYLDLMNRQGVFTSDQDLLSDKRTKGLVNAFAVNQTLFFEKFVDAAVRLSQLDVLTGNQGEIRAKCNVVNSKKSVLEEVLQFVYQF >Vigun05g263100.2.v1.2 pep primary_assembly:ASM411807v1:5:45556752:45565017:1 gene:Vigun05g263100.v1.2 transcript:Vigun05g263100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNDDIRVVSSAIKIPLPSKRPTCPLRTAHSHIANATNSFAQLVNSFASHSTEFTRSVLQKSSLFCSATLSLTGDRKRPCPIRRLASLSLAEEAQQKARQNEERVLISEVLVRNKDGEEMERKDLEAEAVQALKACRPNSALTVREVQEDVHRIINSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPAKFLENSMRDGYGKIINLRRLDEAISSINNWYMERGLFAMVSAVEILSGGILRLQVSEAEVNNISIRFLDRKTGEITVGKTKPETILRQITTKKGQVYSMLEGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISSGITNGPLRGLIGSFAYSHRNVFGKNQKLNISLERGQIDSVYRINYTDPWIQGDDKRTSRTIMIQNSRTPGTIVHGNADGNGSLTIGRITGGIEFSRPIRPKWSGTAGLVFQHAGVRDEKGIPIIKDCFSSPLTASGNTHDQTLLAKLETVYTGSGDHGSSMFVLNMEKGLPLLPEWLSFTRVNARARKGVEIGPTRLHLSISGGHVVGNFPPYEAFAIGGTNSVRGYEEGSVGSGRSYVVGSGEVSFPMYGPVEGVIFSDYGTDLGSGPTVPGDPAGARKKPGSGYGYGFGIRVESPLGPLRLEYAFNDKKDKRFHFGVGHRN >Vigun05g263100.1.v1.2 pep primary_assembly:ASM411807v1:5:45556752:45565017:1 gene:Vigun05g263100.v1.2 transcript:Vigun05g263100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNDDIRVVSSAIKIPLPSKRPTCPLRTAHSHIANATNSFAQLVNSFASHSTEFTRSVLQKSSLFCSATLSLTGDRKRPCPIRRLASLSLAEEAQQKARQNEERVLISEVLVRNKDGEEMERKDLEAEAVQALKACRPNSALTVREVQEDVHRIINSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPAKFLENSMRDGYGKIINLRRLDEAISSINNWYMERGLFAMVSAVEILSGGILRLQVSEAEVNNISIRFLDRKTGEITVGKTKPETILRQITTKKGQVYSMLEGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISSGITNGPLRGLIGSFAYSHRNVFGKNQKLNISLERGQIDSVYRINYTDPWIQGDDKRTSRTIMIQNSRTPGTIVHGNADGNGSLTIGRITGGIEFSRPIRPKWSGTAGLVFQHAGVRDEKGIPIIKDCFSSPLTASGNTHDQTLLAKLETVYTGSGDHGSSMFVLNMEKGLPLLPEWLSFTRVNARARKGVEIGPTRLHLSISGGHVVGNFPPYEAFAIGGTNSVRGYEEGSVGSGRSYVVGSGEVSFPMYGPVEGVIFSDYGTDLGSGPTVPGDPAGARKKPGSGYGYGFGIRVESPLGPLRLEYAFNDKKDKRFHFGVGHRN >Vigun05g263100.3.v1.2 pep primary_assembly:ASM411807v1:5:45556752:45564383:1 gene:Vigun05g263100.v1.2 transcript:Vigun05g263100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNDDIRVVSSAIKIPLPSKRPTCPLRTAHSHIANATNSFAQLVNSFASHSTEFTRSVLQKSSLFCSATLSLTGDRKRPCPIRRLASLSLAEEAQQKARQNEERVLISEVLVRNKDGEEMERKDLEAEAVQALKACRPNSALTVREVQEDVHRIINSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPAKFLENSMRDGYGKIINLRRLDEAISSINNWYMERGLFAMVSAVEILSGGILRLQVSEAEVNNISIRFLDRKTGEITVGKTKPETILRQITTKKGQVYSMLEGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISSGITNGPLRGLIGSFAYSHRNVFGKNQKLNISLERGQIDSVYRINYTDPWIQGDDKRTSRTIMIQNSRTPGTIVHGNADGNGSLTIGRITGGIEFSRPIRPKWSGTAGLVFQHAGVRDEKGIPIIKDCFSSPLTASGNTHDQTLLAKLETVYTGSGDHGSSMFVLNMEKGLPLLPEWLSFTRVNARARKGVEIGPTRLHLSISGGHVVGNFPPYEAFAIGGTNSVRGYEEGSVGSGRSYVVGSGEVSFPMYGPVEGVIFSDYGTDLGSGPTVPGDPAGARKKPGSGYGYGFGIRVESPLGPLRLEYAFNDKKDKRFHFGVGHRN >Vigun02g070500.3.v1.2 pep primary_assembly:ASM411807v1:2:22124758:22129102:1 gene:Vigun02g070500.v1.2 transcript:Vigun02g070500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMECAGKGSGARCGGAATRLCARCEAVAYCSLSHQIAHWSRHKHECDRLQQQMKSVEVLNDFPFTFSREATFQVCVKQEGRCSFLSNRGLHEVGMWMHECGCGASSASFDRLRLNNGWDLPSVLCPCGPEKELLQLAVFGELLALFPGVHIHIELVGPAIPPQRDGEKIHISKYACCNEDECECKIAIENTFPGTKFGSAVTLQLWRGFYHDRCRDIVKDSFPHLIIAPNGGIAAYSSWLPSIELIEKIGVPAVFTDYCEEACHLAASCIKTVSDRPLKLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGM >Vigun02g070500.2.v1.2 pep primary_assembly:ASM411807v1:2:22124758:22129102:1 gene:Vigun02g070500.v1.2 transcript:Vigun02g070500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHECGCGASSASFDRLRLNNGWDLPSVLCPCGPNSLVLEQLHSWRDYYKWRSIPLDSPVALLLHWPLTLYHAARLVGITALNPEIDEKLYIHYLGPEKELLQLAVFGELLALFPGVHIHIELVGPAIPPQRDGEKIHISKYACCNEDECECKIAIENTFPGTKFGSAVTLQLWRGFYHDRCRDIVKDSFPHLIIAPNGGIAAYSSWLPSIELIEKIGVPAVFTDYCEEACHLAASCIKTVSDRPLKLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGM >Vigun02g070500.1.v1.2 pep primary_assembly:ASM411807v1:2:22124758:22129102:1 gene:Vigun02g070500.v1.2 transcript:Vigun02g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMECAGKGSGARCGGAATRLCARCEAVAYCSLSHQIAHWSRHKHECDRLQQQMKSVEVLNDFPFTFSREATFQVCVKQEGRCSFLSNRGLHEVGMWMHECGCGASSASFDRLRLNNGWDLPSVLCPCGPNSLVLEQLHSWRDYYKWRSIPLDSPVALLLHWPLTLYHAARLVGITALNPEIDEKLYIHYLGPEKELLQLAVFGELLALFPGVHIHIELVGPAIPPQRDGEKIHISKYACCNEDECECKIAIENTFPGTKFGSAVTLQLWRGFYHDRCRDIVKDSFPHLIIAPNGGIAAYSSWLPSIELIEKIGVPAVFTDYCEEACHLAASCIKTVSDRPLKLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGM >Vigun02g070500.4.v1.2 pep primary_assembly:ASM411807v1:2:22124758:22129102:1 gene:Vigun02g070500.v1.2 transcript:Vigun02g070500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHECGCGASSASFDRLRLNNGWDLPSVLCPCGPEKELLQLAVFGELLALFPGVHIHIELVGPAIPPQRDGEKIHISKYACCNEDECECKIAIENTFPGTKFGSAVTLQLWRGFYHDRCRDIVKDSFPHLIIAPNGGIAAYSSWLPSIELIEKIGVPAVFTDYCEEACHLAASCIKTVSDRPLKLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGM >Vigun10g071600.1.v1.2 pep primary_assembly:ASM411807v1:10:17729436:17735125:-1 gene:Vigun10g071600.v1.2 transcript:Vigun10g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREASKLWKRICAETTTEINLLAENWKYLLAGLVFQYIHGLAARGVHYLHKPAPTLQDVGFFLLRELGQDKAYISETLFSLIFLSFVLWTFHPFIFKSRKIYTVVIWCRVFAFLVASQVLRIITFYSTQLPGPNYHCREGSNLATLPHPDSVFEVLFLNFPRGVVYGCGDLIFSSHMIFTLVFVLTYQKYGTRRSIKQLGWLLAVVQSLLIVASRKHYTVDVVVAWYTVNLVVFLLTRNYQNYQTGQLQLQHCYR >Vigun04g124200.8.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560680:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.5.v1.2 pep primary_assembly:ASM411807v1:4:31558186:31561428:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.11.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560680:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.1.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560680:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.10.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31561428:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.6.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31561428:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.3.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560680:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.12.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560680:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.13.v1.2 pep primary_assembly:ASM411807v1:4:31558186:31560571:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTEHQMNLKKLDMYTVQANYQRQVSSSKWIQIKAYLTCPMRMMVCLQCQS >Vigun04g124200.9.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31561428:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.7.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560681:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun04g124200.2.v1.2 pep primary_assembly:ASM411807v1:4:31558187:31560681:-1 gene:Vigun04g124200.v1.2 transcript:Vigun04g124200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSDSLGKHQCLETRLIEMLQNVEPPEMYDPTMQCIYRVPKGIRENNPKAYTPLAVSIGPFHKTRDIGEEDDIFESTEELKIRYFKGFLNRTQLSVRDFVITLKTLEEKIRSCYAAPIKYNSDDFLQMILVDAFFIIEHFLRCHRHSDWERKDPLLLQPGMLDEIVHDLILLENQLPFFVLEQLYNLTGMDKQFPSFLEICFNYFRIFTFATVCPITRPKHFTDLLRSSLLSSSKLDVRTPNEFKEVRHVYSASQLSEAGIKFKVDPNKGLLDLSYADDGMFTMPILNIGDDTEMMFRNIMAFQHCHLQGTDIITQYLKIVDFLIDTERDVNVLVNKKIIVNLMGDATAVATMINSLGSNLTMPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAATVLLFLTFVQTVCSIVSLFHD >Vigun06g018300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8327250:8327944:1 gene:Vigun06g018300.v1.2 transcript:Vigun06g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMILTLKLHLLHPANGHHANTNELNDQTTNDNRSHGVNSSHSQTRYHQQRRQPYGFASIHTPHLPRRATTTVRDFNWTTNNDGATPLPSTISPSSI >Vigun08g118600.2.v1.2 pep primary_assembly:ASM411807v1:8:28603379:28611347:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun08g118600.3.v1.2 pep primary_assembly:ASM411807v1:8:28603336:28610994:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun08g118600.5.v1.2 pep primary_assembly:ASM411807v1:8:28603379:28610994:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun08g118600.4.v1.2 pep primary_assembly:ASM411807v1:8:28603374:28610994:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun08g118600.1.v1.2 pep primary_assembly:ASM411807v1:8:28603665:28611347:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun08g118600.6.v1.2 pep primary_assembly:ASM411807v1:8:28603379:28610994:-1 gene:Vigun08g118600.v1.2 transcript:Vigun08g118600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEYLYLSPITYTKVVLNSHNWRKPSPPFRQNTCRFVPNSAPVRVQGVWRDSGRFDLWRTRKVHGGAVRASGGQEGDSGEKSGEGQGVDKGSTGPGSNRRREKQGKGWWWWLGSKSGKWRWQPILHAQEVGVLLLQLGIVVFVMRLLKPGIHLPGSEPRAATSFVSVPYSDFLSKINGDQVQKVEVDGVHIMFKLKSDVEGSEVAAATPLESESLVKSVAPTKKIVYTTTRPNDIRTPYEKMMENEVEFGSPDKRSNGFLNSALVAVFYCALLAGLLHRFPISFSAHSPGQIRNRKSGTSAGTKSSEQGDTITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLAKDVDLGDIACMTTGFTGADLANLVNEAALLAGRQNKIIVEKIDFIHAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPVSIATLSNGGMDESGGSVPWGRDQGHLVDLVQREVKALLQSALEVSLSIVRANPTVLEGLGAHLEEKEKVEGEELQKWLRLVVAPTELAMFIEGKQGSLLPMQTGS >Vigun05g202100.1.v1.2 pep primary_assembly:ASM411807v1:5:39018576:39022927:-1 gene:Vigun05g202100.v1.2 transcript:Vigun05g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSDYENLRSPLLQAEVKKPAVEKLCIDDMLQKYCGEFGRWQLQHFILTSLAWALEAFHTMIMIFADREPDWRCVSGTNCNSGAAGGVCGLAPDAWEWVGGRHDSTVSEWGLICDDKFKVGLVQAVFFTGCMIGAGIFGHLSDSSLGRKGSLTVVCALNTLFGCLTALSPNYWIYALLRLLTGFSSGGVGLTAFVLATEPIGPTKRGMAGMSTFYLFSGGIAVLSGIAYIFQSWRYLYIASSIPSFLYIILVLPFISESPRWYLVRGKVTEAMKLMSAIASSNGKHLPDGVFLALDDEAPSKKTQDSGHDITLMAYKNENLENKGALVGSIVDVIRSPITRVRLFLAVALNFLTSVVYYGLSLNVVNLETNLYLNVMLNSVAEMPAFTITAVLLDRFGRKPLTVATMWFSGLFCLIGSLVGNVGAWKVVRMVCGIVGIFGMAGTYNLLFIYTAELFPTVVRNAALGCTTQAAQMGAILAPFVVVLGGGLPFAAFAACGILGGMFAFYLPETMNQPLYDTFTAMEGGLA >Vigun02g015400.1.v1.2 pep primary_assembly:ASM411807v1:2:5601530:5605036:-1 gene:Vigun02g015400.v1.2 transcript:Vigun02g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGFQVRAASVTIVANLLFIAITTLMLLWLLHFREGVAFFSSSNPAKIFNLHPLLMVIGFILVGGQAMMIYKSVPKKRRSVKVVHLLLHLVALVAGVLGITAVFKSKKEAHLPDMYTLHSWLGISTICLFGLQYIMGFFSYFFPGAEMSTRASLMPWHRFMGMTIFLLAVATAETGLVQYFQFLHLFRSQEALIVNSTALLLFLYALFVAFSVTLPRS >Vigun01g096566.1.v1.2 pep primary_assembly:ASM411807v1:1:26134774:26139034:1 gene:Vigun01g096566.v1.2 transcript:Vigun01g096566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKNIINIQSLDQVCKNRLWLKTSIDTIRWLAFQACAFRGHKETPESSNRGNFLEMIKLLASYNDKVANNAMKACEDLLNQSIHIDNIINIQSLEQVCKKRLQIKTFIDTIRLLAFQTCAFRGHKETPESSNRETVSGYTECYTIGLFY >Vigun02g056700.1.v1.2 pep primary_assembly:ASM411807v1:2:20064789:20069109:1 gene:Vigun02g056700.v1.2 transcript:Vigun02g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFTWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTRDKKSQNAEMEAAKEEIKRIKEEEEQAMREALGLAPKRANRPQGNRLDKHEFSELVKRGSTAEDLGAGHAEAARVQGLGFSREPRPWEEPGSSKPSMDDAPAEVENVSIPNQPATKTEDGSEDESRRKRRREERKQEKHEKREKKHSREDRKQEKREKHEKHEKRHSRDSDDRKRHKKDKERRRHDSD >Vigun02g056700.2.v1.2 pep primary_assembly:ASM411807v1:2:20064789:20069109:1 gene:Vigun02g056700.v1.2 transcript:Vigun02g056700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVSSLCPEPGKDLFWYTRDKKSQNAEMEAAKEEIKRIKEEEEQAMREALGLAPKRANRPQGNRLDKHEFSELVKRGSTAEDLGAGHAEAARVQGLGFSREPRPWEEPGSSKPSMDDAPAEVENVSIPNQPATKTEDGSEDESRRKRRREERKQEKHEKREKKHSREDRKQEKREKHEKHEKRHSRDSDDRKRHKKDKERRRHDSD >Vigun02g056700.3.v1.2 pep primary_assembly:ASM411807v1:2:20065082:20069109:1 gene:Vigun02g056700.v1.2 transcript:Vigun02g056700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKEEIKRIKEEEEQAMREALGLAPKRANRPQGNRLDKHEFSELVKRGSTAEDLGAGHAEAARVQGLGFSREPRPWEEPGSSKPSMDDAPAEVENVSIPNQPATKTEDGSEDESRRKRRREERKQEKHEKREKKHSREDRKQEKREKHEKHEKRHSRDSDDRKRHKKDKERRRHDSD >Vigun06g095300.5.v1.2 pep primary_assembly:ASM411807v1:6:22638927:22650041:-1 gene:Vigun06g095300.v1.2 transcript:Vigun06g095300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHFCYLCHKVSKIKCFCCPKATCGKCFYDADFTIVKGNKGFCRHCLKLAFLIEDDASIDSDGEVVDFKDQDTYEFLFSEYYKSIKKEEGLNYKHVHSAHRFFKNGKSKCDLDPDEIGVEEDDTGDSEDVSSYMVSDCDDLSDTAGSKSGRTKKGLGKLKSTKGSGKDKKKEFIGWGSRPLIEFLEYIGQDTSNELSEHDVTSIIIEYCRENNLFDPKKKRKIHCDALLISLLRRKSVNKNRMQNLLAPHFAENCQEMDDISSSSEDRDYNEPSNLSRKRKSISCTESHNVNIVSEGHQSCFAAIVSSNLKLVYLKRSLVDELSKQPQTFDGKVLGSFVRIKTDPNDYLQKNSHLLVQVVGINRPLNNDETNKEVMLQLSNVPKNVPISKISDDDFSEEECQDLYHRMRNGLLKQPTVLELEQKARSLHEDIIKHWIPRKLVLLQNLIDRANEKGWRRELSEYLDQRLKLETQFEQSRLLNDMPKVIPEIVGTTLSPDGSPRRDKLEQGGLSELASGQTCNSVGQYSKHIGFAHCLNNRTYAAGPKSPVKENQEGTTFPVASTASQYKDTSQRNMPQSSTSKARNLCVTLDAEQRIKEKQSISVASVNDKQDTCTSAIPVEDKELSNPTAGVIVLSDDDEQENPDISIWHCIGSFGETGGPYTMSALKLWTETSPIPLEFKVWKTGQSATKAISVTDAVKRFFSRT >Vigun06g095300.1.v1.2 pep primary_assembly:ASM411807v1:6:22638927:22650041:-1 gene:Vigun06g095300.v1.2 transcript:Vigun06g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKKTRTVKKKKKKTRTEDTEDWCFMCKDGGLLMVCDHGGCRKAYHPRCVDKDDSFLENGSEWTCSSHFCYLCHKVSKIKCFCCPKATCGKCFYDADFTIVKGNKGFCRHCLKLAFLIEDDASIDSDGEVVDFKDQDTYEFLFSEYYKSIKKEEGLNYKHVHSAHRFFKNGKSKCDLDPDEIGVEEDDTGDSEDVSSYMVSDCDDLSDTAGSKSGRTKKGLGKLKSTKGSGKDKKKEFIGWGSRPLIEFLEYIGQDTSNELSEHDVTSIIIEYCRENNLFDPKKKRKIHCDALLISLLRRKSVNKNRMQNLLAPHFAENCQEMDDISSSSEDRDYNEPSNLSRKRKSISCTESHNVNIVSEGHQSCFAAIVSSNLKLVYLKRSLVDELSKQPQTFDGKVLGSFVRIKTDPNDYLQKNSHLLVQVVGINRPLNNDETNKEVMLQLSNVPKNVPISKISDDDFSEEECQDLYHRMRNGLLKQPTVQRVGLSCLRRVSSHVTQFTFAVEDLSSSNLELEQKARSLHEDIIKHWIPRKLVLLQNLIDRANEKGWRRELSEYLDQRLKLETQFEQSRLLNDMPKVIPEIVGTTLSPDGSPRRDKLEQGGLSELASGQTCNSVGQYSKHIGFAHCLNNRTYAAGPKSPVKENQEGTTFPVASTASQYKDTSQRNMPQSSTSKARNLCVTLDAEQRIKEKQSISVASVNDKQDTCTSAIPVEDKELSNPTAGVIVLSDDDEQENPDISIWHCIGSFGETGGPYTMSALKLWTETSPIPLEFKVWKTGQSATKAISVTDAVKRFFSRT >Vigun06g095300.2.v1.2 pep primary_assembly:ASM411807v1:6:22638542:22650041:-1 gene:Vigun06g095300.v1.2 transcript:Vigun06g095300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKKTRTVKKKKKKTRTEDTEDWCFMCKDGGLLMVCDHGGCRKAYHPRCVDKDDSFLENGSEWTCSSHFCYLCHKVSKIKCFCCPKATCGKCFYDADFTIVKGNKGFCRHCLKLAFLIEDDASIDSDGEVVDFKDQDTYEFLFSEYYKSIKKEEGLNYKHVHSAHRFFKNGKSKCDLDPDEIGVEEDDTGDSEDVSSYMVSDCDDLSDTAGSKSGRTKKGLGKLKSTKGSGKDKKKEFIGWGSRPLIEFLEYIGQDTSNELSEHDVTSIIIEYCRENNLFDPKKKRKIHCDALLISLLRRKSVNKNRMQNLLAPHFAENCQEMDDISSSSEDRDYNEPSNLSRKRKSISCTESHNVNIVSEGHQSCFAAIVSSNLKLVYLKRSLVDELSKQPQTFDGKVLGSFVRIKTDPNDYLQKNSHLLVQVVGINRPLNNDETNKEVMLQLSNVPKNVPISKISDDDFSEEECQDLYHRMRNGLLKQPTVLELEQKARSLHEDIIKHWIPRKLVLLQNLIDRANEKGWRRELSEYLDQRLKLETQFEQSRLLNDMPKVIPEIVGTTLSPDGSPRRDKLEQGGLSELASGQTCNSVGQYSKHIGFAHCLNNRTYAAGPKSPVKENQEGTTFPVASTASQYKDTSQRNMPQSSTSKARNLCVTLDAEQRIKEKQSISVASVNDKQDTCTSAIPVEDKELSNPTAGVIVLSDDDEQENPDISIWHCIGSFGETGGPYTMSALKLWTETSPIPLEFKVWKTGQSATKAISVTDAVKRFFSRT >Vigun06g095300.3.v1.2 pep primary_assembly:ASM411807v1:6:22638927:22650041:-1 gene:Vigun06g095300.v1.2 transcript:Vigun06g095300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKKTRTVKKKKKKTRTEDTEDWCFMCKDGGLLMVCDHGGCRKAYHPRCVDKDDSFLENGSEWTCSSHFCYLCHKVSKIKCFCCPKATCGKCFYDADFTIVKGNKGFCRHCLKLAFLIEDDASIDSDGEVVDFKDQDTYEFLFSEYYKSIKKEEGLNYKHVHSAHRFFKNGKSKCDLDPDEIGVEEDDTGDSEDVSSYMVSDCDDLSDTAGSKSGRTKKGLGKLKSTKGSGKDKKKEFIGWGSRPLIEFLEYIGQDTSNELSEHDVTSIIIEYCRENNLFDPKKKRKIHCDALLISLLRRKSVNKNRMQNLLAPHFAENCQEMDDISSSSEDRDYNEPSNLSRKRKSISCTESHNVNIVSEGHQSCFAAIVSSNLKLVYLKRSLVDELSKQPQTFDGKVLGSFVRIKTDPNDYLQKNSHLLVQVVGINRPLNNDETNKEVMLQLSNVPKNVPISKISDDDFSEEECQDLYHRMRNGLLKQPTLELEQKARSLHEDIIKHWIPRKLVLLQNLIDRANEKGWRRELSEYLDQRLKLETQFEQSRLLNDMPKVIPEIVGTTLSPDGSPRRDKLEQGGLSELASGQTCNSVGQYSKHIGFAHCLNNRTYAAGPKSPVKENQEGTTFPVASTASQYKDTSQRNMPQSSTSKARNLCVTLDAEQRIKEKQSISVASVNDKQDTCTSAIPVEDKELSNPTAGVIVLSDDDEQENPDISIWHCIGSFGETGGPYTMSALKLWTETSPIPLEFKVWKTGQSATKAISVTDAVKRFFSRT >Vigun06g095300.4.v1.2 pep primary_assembly:ASM411807v1:6:22638927:22650041:-1 gene:Vigun06g095300.v1.2 transcript:Vigun06g095300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHPRCVDKDDSFLENGSEWTCSSHFCYLCHKVSKIKCFCCPKATCGKCFYDADFTIVKGNKGFCRHCLKLAFLIEDDASIDSDGEVVDFKDQDTYEFLFSEYYKSIKKEEGLNYKHVHSAHRFFKNGKSKCDLDPDEIGVEEDDTGDSEDVSSYMVSDCDDLSDTAGSKSGRTKKGLGKLKSTKGSGKDKKKEFIGWGSRPLIEFLEYIGQDTSNELSEHDVTSIIIEYCRENNLFDPKKKRKIHCDALLISLLRRKSVNKNRMQNLLAPHFAENCQEMDDISSSSEDRDYNEPSNLSRKRKSISCTESHNVNIVSEGHQSCFAAIVSSNLKLVYLKRSLVDELSKQPQTFDGKVLGSFVRIKTDPNDYLQKNSHLLVQVVGINRPLNNDETNKEVMLQLSNVPKNVPISKISDDDFSEEECQDLYHRMRNGLLKQPTVLELEQKARSLHEDIIKHWIPRKLVLLQNLIDRANEKGWRRELSEYLDQRLKLETQFEQSRLLNDMPKVIPEIVGTTLSPDGSPRRDKLEQGGLSELASGQTCNSVGQYSKHIGFAHCLNNRTYAAGPKSPVKENQEGTTFPVASTASQYKDTSQRNMPQSSTSKARNLCVTLDAEQRIKEKQSISVASVNDKQDTCTSAIPVEDKELSNPTAGVIVLSDDDEQENPDISIWHCIGSFGETGGPYTMSALKLWTETSPIPLEFKVWKTGQSATKAISVTDAVKRFFSRT >Vigun04g130000.1.v1.2 pep primary_assembly:ASM411807v1:4:32594358:32596864:-1 gene:Vigun04g130000.v1.2 transcript:Vigun04g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFVNFKDLMMEDVFMGRRRAYQMLFRHCCKTKFIGALNNTLSVQQKEYIAVTPFWWFTMLNDLVKITRNLLSHLCYKWVERRGSFDVGGQVVEFNLLNVCLGLGLRILGERNDLNEIVVDSDNWNIFGGEIINVKLIYDYLLKFDDDIGGV >Vigun09g259400.1.v1.2 pep primary_assembly:ASM411807v1:9:42472092:42478417:-1 gene:Vigun09g259400.v1.2 transcript:Vigun09g259400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRRRQHYYQRFRVVIPVFSAVAAALLFLFALLSFLAPSPVETDRMQLKRQQTTISVHKDEAIEHPAFRVPRDGGKLGRDIWTSRNSEHFYGCSNSSNKFQKAQVMTHSNRYLCIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQRSFWKDSSNFSEIFDVDWFVSYLSQDVKIIKQLPSKGRKPLSAYNMRVPRKCNERCYINRILPVLVKKHAVQLSKFDYRLANRLDTEYQKLRCRVNYHALKFTSPILAMGQKLVHWMRMRSKHYIALHLRFEPDMLAFSGCDYGGGEREQKELGAIRRRWKTLHRSNPDRARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGERTLAPLKELFPNYHSKETIATKEELQSFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKMLAGRRRYFGHKPTIRPNAKKLYRLFLNRTNMTWDVFASSVRNFQRGFMGEPKEVRPGRGGFHENPSTCICEDSTAKVEKNSGPRKHGKDNTTKRNASNDEPDVDNEPEWVEMDDDDEDQNDLADKGTFNETFADYDAMNFEDPDLEEIISD >Vigun07g140100.2.v1.2 pep primary_assembly:ASM411807v1:7:25049587:25053291:-1 gene:Vigun07g140100.v1.2 transcript:Vigun07g140100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTASSDSVYQHIAITSYNYNSSENQFLYFSYLQDDDAQTASKRALKEFSELPPPLGLKFTLTPEMMQPSEVAAANKVEKLKAVQFPMNMLRIGYFMIEAKYPYELVAKCYYARQKLMWEILHDGLKYKIEIQYQNISAIRAVIEEHSPGVLEIELDKIPSFFREIEPKPKKHTMWTISNDFTNGQASQYRI >Vigun07g140100.1.v1.2 pep primary_assembly:ASM411807v1:7:25050229:25053291:-1 gene:Vigun07g140100.v1.2 transcript:Vigun07g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTASSDSVYQHIAITSYNYNSSENQFLYFSYLQDDDAQTASKRALKEFSELPPPLGLKFTLTPEMMQPSEVAAANKVEKLKAVQFPMNMLRIGYFMIEAKYPYELVAKCYYARQKLMWEILHDGLKYKIEIQYQNISAIRAVIEEHSPGVLEIELDKIPSFFREIEPKPKKHTMWTISNDFTNGQASQYRRHYLEFPPGVLDQHYMKLLQSDKRLLELSRRSFPSSHSAYFNLHLDEGTTQFSIAHDLHDIVYPYSLSL >Vigun03g223400.1.v1.2 pep primary_assembly:ASM411807v1:3:37123724:37128187:1 gene:Vigun03g223400.v1.2 transcript:Vigun03g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKTIKVSNVSLGATVQDIKEFFSFSGDIEYVEMQSYDERTQIAFVTFKDPQGAETAVLLSGATIVDLSVTIALDPDYKIPPAVLASSATEGKTPGGAESALRKAEDVVTSMLAKGFILGKDAVNKAKTLDDKHQLSSTASAKVTSFDQKLGLSEKISAGASVVSGRVREVDQKFQVSEKTKSAFAVAEQKVSTAGSAIMKNRYVLTGTSWVTGAFNRVAKAAEDVGQKTKEKVVHAEEEQKRKVEDQYAQVLSDSPKAAATSDFHSSKPAPAQGLIL >Vigun05g287300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47439778:47442686:1 gene:Vigun05g287300.v1.2 transcript:Vigun05g287300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDADNALPPSKKRAAGRELTRDTPVDEEEDSPELETGTFKRASDDVLATRRIVKVRRQQTSSAPSANPFAGIRLVAPTEPSANPAATTEVQSTGENTGTDDSKSSDGIAKDSEKAEDGETKRSESKTNETEDKPIEDKNAAEESNADKEHTAEKTITNDKSQVDKEQSKDATGSENEDKKDAAHESAGELDKEQKEEVKDSENDGKNENIDNEDKKDSKSENEEANAEGGHLKSFQLHSSSQNAFTGLAGTGFSTSSFSFGSFSNEGSGSIFGLKSDKPFGLGLSNNGSSVLGASSVSKNEGIGLAMQEVAVETGEENEEVIFNAESVLFEFVDGSWKERGKGEVKVNVASETKKARLLMRSRGNFRLILNARLYSDMKLTNMDKKGVTFACINSASEGKSGLSTFALKFKDGSIVEEFKAAVIAHKGETSTLLKTPENSPKASDD >Vigun05g287300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47439592:47442685:1 gene:Vigun05g287300.v1.2 transcript:Vigun05g287300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDADNALPPSKKRAAGRELTRDTPVDEEEDSPELETGTFKRASDDVLATRRIVKVRRQQTSSAPSANPFAGIRLVAPTEPSANPAATTEVQSTGENTGTDDSKSSDGIAKDSEKAEDGETKRSESKTNETEDKPIEDKNAAEESNADKEHTAEKTITNDKSQVDKEQSKDATGSENEDKKDAAHESAGELDKEQKEEVKDSENDGKNENIDNEDKKDSKSENEEANAEGGHLKSFQLHSSSQNAFTGLAGTGFSTSSFSFGSFSNEGSGSIFGLKSDKPFGLGLSNNGSSVLGASSVSKNEGIGLAMQEVAVETGEENEEVIFNAESVLFEFVDGSWKERGKGEVKVNVASETKKARLLMRSRGNFRLILNARLYSDMKLTNMDKKGVTFACINSASEGKSGLSTFALKFKDGSIVEEFKAAVIAHKGETSTLLKTPENSPKASDD >Vigun08g011750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1045103:1045731:1 gene:Vigun08g011750.v1.2 transcript:Vigun08g011750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSDRLAILCRRKATFALLYAWHRDQMNTAKQPQIFSVRVYFISGLKSWKVEAFACCYMVRGSLGPPCCFSVIFYVANFLGFQDRAEQRTLPQSHRSEM >Vigun01g156750.1.v1.2 pep primary_assembly:ASM411807v1:1:33908500:33909377:-1 gene:Vigun01g156750.v1.2 transcript:Vigun01g156750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLRWQSLGVTTIARDLHIISIKITMSKGEALLRFGPQKVSQRKGERRYKYLNCLGLRLLIDVKLLGLLGTIRAIQIRDVK >Vigun01g213400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38748199:38751156:1 gene:Vigun01g213400.v1.2 transcript:Vigun01g213400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHFDLEVVASAEYESEVRSQVQETYAGPCSDNLTNSPHKPIEFHLHSDAISLDLTLNFNNSDLVVTDSTGLSFSSTTSESNNNDPPSQTMPRVFSCNYCNRKFLSSQALGGHQNAHKRERTLAKRALRMGFFSERYASLASLPLHGSFRSLGIKAHSSLHHGFSPTMRPSEMKSSARFEQGYVGFPIFLEEDEAELLWQGSYHQVPSNGGHTHQNFTLSGTSNLSFTGVNHPPVDIENSTPELTLKL >Vigun01g213400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38748332:38751140:1 gene:Vigun01g213400.v1.2 transcript:Vigun01g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHFDLEVVASAEYESEVRSQVQETYAGPCSDNLTNSPHKPIEFHLHSDAISLDLTLNFNNSDLVVTDSTGLSFSSTTSESNNNDPPSQTMPRVFSCNYCNRKFLSSQALGGHQNAHKRERTLAKRALRMGFFSERYASLASLPLHGSFRSLGIKAHSSLHHGFSPTMRPSEMKSSARFEQGYVGFPIFLEEDEAELLWQGSYHQVPSNGGHTHQNFTLSGTSNLSFTGVNHPPVDIENSTPELTLKL >Vigun09g073800.1.v1.2 pep primary_assembly:ASM411807v1:9:8193380:8199755:-1 gene:Vigun09g073800.v1.2 transcript:Vigun09g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRTKKLKVSEKGENLDEIDGELVLSIEKLQEIQDELEKINEEASDKVLEIEQKYNEIRKPVYDKRNDIIKSIPDFWLTAFLSHPALGDLLNEEDQKIFKYLSSLEVEDFKDVKSGYSITFNFNANPYFEDTKLVKTYTFLEEGTTKVTATPIKWKEGKSIPNGVSHEKKGNKRAPIDISFFSWFNDTEQKDEIDDIHDEQVAELIKDDLWPNPLTYFNNEEPDEDEGDEDEADDEGKDHGDSEDDDEGEDDEDEGEEDEDE >Vigun08g062000.1.v1.2 pep primary_assembly:ASM411807v1:8:8392127:8395659:-1 gene:Vigun08g062000.v1.2 transcript:Vigun08g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRFRVCLVLVLCVVLLVGLVSGIGVNWGTQLTHPLPSSTVVKMLKENGIQKVKLFDADPDILNALKKSGIQVMVGIPNDMLYTLANSMQAAEKWVSKNVSAFVSSAGVDIRYVAVGNEPFLSTYNGSFETTTLPALQNIQAALVKASLGNQVKVTVPLNADVYQSAQVPSDGDFRQDIHDLMVQIVKFLSQNNAPFTVNIYPFISLYADPNFPVDYAFFNGFQSPINDNGRIYDNVFDANHDTLVWALQKNGFGNMPIIVGEVGWPTDGDRNANLQYAQRFNQGFMSRYMAGKGTPMRPGPMDAYLFSLIDEDGKSIQPGNFERHWGLFYYDGQPKYQLNIGSRTNGLVGATGVAYLAKKWCILKPSANLNDDQVAPSVSFACQNADCTSLGYQTSCGSLDARGNISYAFNSYYQVNDQIDSACKFPGLSVVTDKDPSTGDCKFKIMIQTDSAWMHGNGRIWHLRMVLLAFLFFTLL >Vigun01g057200.3.v1.2 pep primary_assembly:ASM411807v1:1:11472760:11474946:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g057200.1.v1.2 pep primary_assembly:ASM411807v1:1:11472776:11474946:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g057200.4.v1.2 pep primary_assembly:ASM411807v1:1:11472731:11474946:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g057200.6.v1.2 pep primary_assembly:ASM411807v1:1:11472777:11474946:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g057200.7.v1.2 pep primary_assembly:ASM411807v1:1:11473040:11473690:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g057200.5.v1.2 pep primary_assembly:ASM411807v1:1:11472777:11474946:-1 gene:Vigun01g057200.v1.2 transcript:Vigun01g057200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFASTSSGSDPVTPTIILEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSVPLRRKSYTLEAYQNYLIQRITDFI >Vigun01g099700.3.v1.2 pep primary_assembly:ASM411807v1:1:26648809:26651531:-1 gene:Vigun01g099700.v1.2 transcript:Vigun01g099700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPWSSTSNTNLCSILNKPNMAAEMALVKPISNVTPKFGSPRIGSYSKFSSIRMSATATPPPSTSAKASKKGKNVIKESLLTPRFYTTDFDEMETLFNTEINKNLNQEEFVALLQEFKTDYNQTHFVRNKEFKEAADKIEGSLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIEVTFCLHTC >Vigun01g099700.2.v1.2 pep primary_assembly:ASM411807v1:1:26648809:26651531:-1 gene:Vigun01g099700.v1.2 transcript:Vigun01g099700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPWSSTSNTNLCSILNKPNMAAEMALVKPISNVTPKFGSPRIGSYSKFSSIRMSATATPPPSTSAKASKKGKNVIKESLLTPRFYTTDFDEMETLFNTEINKNLNQEEFVALLQEFKTDYNQTHFVRNKEFKEAADKIEGSLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKNLKRIPLVAALVSEILAAYLMPPIESGSVDLAEFEPQLVY >Vigun01g099700.1.v1.2 pep primary_assembly:ASM411807v1:1:26648809:26651531:-1 gene:Vigun01g099700.v1.2 transcript:Vigun01g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPWSSTSNTNLCSILNKPNMAAEMALVKPISNVTPKFGSPRIGSYSKFSSIRMSATATPPPSTSAKASKKGKNVIKESLLTPRFYTTDFDEMETLFNTEINKNLNQEEFVALLQEFKTDYNQTHFVRNKEFKEAADKIEGSLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKILAIGESDDIQLVKNLKRIPLVAALVSEILAAYLMPPIESGSVDLAEFEPQLVY >Vigun08g216500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37693800:37694836:1 gene:Vigun08g216500.v1.2 transcript:Vigun08g216500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINYEQLKMIISYTCTSKPLHSKPHQNIKNTPTSYFTHCQMGRQGNDPTTTVNSSIALLQERFRQLEKVKERREGKQLLKLLSSESTSSSIMQNPSSQNSHHHQQHQQHQQHQQQPRMVPPLHRPILHDSLSLGLNFTTQGDHNTMNMKPPPSSNLWPQGSPTSRNFDTSEVDTSLHL >Vigun08g175800.1.v1.2 pep primary_assembly:ASM411807v1:8:34604852:34607357:1 gene:Vigun08g175800.v1.2 transcript:Vigun08g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMSMINCIFLLLLLSPLTVCSARDKNQNPFTPEAFLIRYWDNKITNNSPKPRFLLSKASPLTAAETAIFAKLAANNTLSAHLPRFCSAARLLCYSKPLSFSAERTSRDAKFAVYNNRNFSDYGTSRLGGLHSFKNYSDNQRENVPQNDFRQYSKASTNHSEDFISYGSFGNVITDTFHSYGSGATAGISSYKNYAEPVNGSDDLEFASYSGDARKRTQSFAGYTENGNGGEQSFAGYGKRGNETENSFQNYGTSSNPTLTGFTSYGEDGVRVNDTFKNYANHGNNPTNTFESYGNGGDGAVDRFDTYRVESNGGSDSFQNYGKGSNGADVGFTGYDKSDNSNSDKFIGYGKGGEKQRFGFSGYRINSSFAEYAKQGVKTFASYKNESFSGVMVKKWVEEGKFFREFMLKEGTVMAMPDIRDKMPERSFLPRVILSKLPFTVNGVNRVFGVGVGSSLAKIVMDSVSECERAPSQGETKRCVGSIEDMVDFATGVLGSNVVVRTTENVKGSKGNVMVGRVNGINGGRVTESVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPKSKAKINRGVAICHLDTSAWSPSHDAFLALGSGPGQIEVCHWIFENDMTWTIGD >Vigun09g103850.1.v1.2 pep primary_assembly:ASM411807v1:9:18405123:18408183:-1 gene:Vigun09g103850.v1.2 transcript:Vigun09g103850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVVATASGLLGLSISFTSMWFFHQTGPTTYSLVGSLNKIPISIAGILVFKVPLSVSNLFSILFGNSLRTISLFIYLVMTTSF >VigunL059086.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000540.1:34847:36396:1 gene:VigunL059086.v1.2 transcript:VigunL059086.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGGSRVAEVQWCSLHLRVDGEGDCGGCLMVVRERRCWMREDGTVVVFRDGGAKARRWCCGNSRWLAVVAAPLLLLLRSAPIWLRHGGSKMEATLLVVVMRVAV >Vigun11g101800.1.v1.2 pep primary_assembly:ASM411807v1:11:29850437:29856194:1 gene:Vigun11g101800.v1.2 transcript:Vigun11g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVKKGTVTSLASLFPVEEAQKAAKRVEDAIADKRSELDRVRDFVADNNNLVNLVHKLPEELSHDIMVPFGKAAFFPGRLIHTNEFLVLLGEGYYAERTLKQTVEILQRRGKSLDSHVDSLEANIKDLEAEASFFNATASQVAEGLVEIREDYVEEDSNEGESESCKLQQDAPNLGNAAPNDGEYARMLAIMDELEKEELAAESGNQSDENDESTGDVDEMSYQGHIDNNLQNSKDFRQRAPLDQTNDKIKRAQLPEKQHRREDITDQLNFASLGVQSAVREREKHVESIYPSEKIPVGSKEKPAQSTMTSKTEVPYQTAQPSFDSRKAFPGSIIEHAENIERSSREQSSASSQISGSQSSKPVSRFKMQRR >Vigun04g009800.1.v1.2 pep primary_assembly:ASM411807v1:4:740728:744262:-1 gene:Vigun04g009800.v1.2 transcript:Vigun04g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESGLELSLGLSCGGSSAKPKGKNGSSSDSRAEEVGRGGKMVDDFKSMFDNAPQKPESISGTRRTDSPKPEENFFSDLSKAKEENASLNLNGRGFLVAKNSKPLETEDEKRSEAANKRKMPFDEIRSQKKHDNDVHHPDLHDRARTSHISITEDGSTAENEDVADSETENSTSRPISHHSDGSKGFIRVGSSSDAAKEVRGSADSNATEFNGQKRFNGSSEKDFKHTNMNYGASFSVQPVNMMNVSYPSSVQESNPVGAPGPQIHGVMHVMPAATGERAGAQSVNNGNLPVMFGYPPVQLPMLEDQPWGLVSRPQQLHPFVGRGPTNPAALQVISNNISEAIPYEGRPLDRSKGDGKQRVTEEGSSSQPEDAKGRSTNLRAKDIPDQSAGEGSIIDFSNIKPGLAADVKFGGCGSYPNLPWVSTSGSGPNGRTISGVTYRYSTNQVRIVCACHGSHMTPEEFVRHANEDQAAAEGNGVLGTVANGNPAASSHG >Vigun09g044100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4131662:4138324:-1 gene:Vigun09g044100.v1.2 transcript:Vigun09g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRSSSCCFGNVLKACFSSGDSYDEYWEGGSGRRMFASDEDRGRWVAEPGIDRKASDFIAKYYATRVTDSQSKFAS >VigunL058300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000447.1:4089:4289:1 gene:VigunL058300.v1.2 transcript:VigunL058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPASSFYEAQAGEFVLEAEELLKCVKLSQGFMYKEWENLYGLYRKTWKGMFLCQQQKPKLMEL >VigunL059105.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000643.1:7760:8107:1 gene:VigunL059105.v1.2 transcript:VigunL059105.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIIYAHKHMSKPIIYAHKHMSKPVSSTTPLSSVSPLVLSTKPNHTSFHHLTATCIHTHYHYPPASPSFTIHQYFHRESVAISPSYAEPISYSSSPSPCKKLPNQTRTQTHKTT >Vigun11g006800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:749516:751002:1 gene:Vigun11g006800.v1.2 transcript:Vigun11g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYSFCFLFLLFLHNISFSESLKSGFSVELIHRDSPKSPFYRPTETHFQRVQNAVLRSIHRANYSRLDHDVETTVTPSTGDFLMKYSVGTPPFQIFGVVDTGSSTVWMQCQPCKNCYKQDRPIFNPSKSSTYTRIPCVAAECLSVTTTFCDFNNGKHCAYKIGYGDGSISKGDFSRDTVTLTSSSENRPIRLLRTAIGCGHDNVGTFDREHSGIVGLGRGPFSLASQLRPDTGGTFSYCFTPMFEGEIKPSFLHFGDRAEVTVKTAVPTPMVINNEASFKYYLVMEAMTVGSKRIEFPRNGGEGNILLDSGTTLSFLPDQVYSALEAEMVNAVNLVRTDSPIKKLKLCYELTSGRKYQIPTVFAHFKGGAVVELHSINTFLKVSETKICLAFAPRPKAVFGNVAQQDILVSYDTQHNTVTFLNTDCTSEL >Vigun09g272500.1.v1.2 pep primary_assembly:ASM411807v1:9:43400131:43402692:-1 gene:Vigun09g272500.v1.2 transcript:Vigun09g272500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKKTIHAIITWMRRQPPKAKALIAVLLGMTALVLLRIIVHDHDNLFVAAEAVHSLGISVLIYKLIKEKTCAGLSLKSQELTALFLAVRLYCSFVMEYDIHTLLDLATFATTVWVIYMIRFKLRSSYMHEKDNFAIYHVAVPCAVLALFIHPSTSHHLLNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVQSVFGGQLVLRLPSGVV >Vigun06g115800.1.v1.2 pep primary_assembly:ASM411807v1:6:24376579:24379265:-1 gene:Vigun06g115800.v1.2 transcript:Vigun06g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCFLKILFFFFFPLKISSQWLLLSSYHGFLNNPTLLSSLEAKPLYATQIHQSIMRCFLPCFRSSKRRNQLRSKDATPTQTHVGEGAVEPLARQKTGVEELIDCIAESKIKNEEQSNNNSVETKEEREGEALKIDEKKDNDERDERLHQEESSESLFSLSVCSRKKVSDAETVEPEVNSPVQLVLCTREVLEAFGSSPNAPLQKASSVLSPVEEFTHENPPKEKDEEEGEEGKVSHSILQYRYRDCPDEEYDEVNLDTSDLDSTLENEDPQSEKREKGEEGAAFVKRTWVEEESSESLFSLSADSRLRISSAEAENEVDSLVVSGNGANRMTEKEEQDASTVVNRIEKVTKGRVKMDKENMKVVVEDGNIAVSPERTVKLSKQKGRQEIGVETSLSSWLVESESTPVSINSNSCVGEHTPKGRRGSPWSHEDRPILGALTVEEIRMYSLSASSRRSRSRSPDETPIIGTVGSYWTHTQQTMDLQFNTKRKDVTPKRSTTALQTRLEGAFDASVSMGSTA >Vigun09g092300.3.v1.2 pep primary_assembly:ASM411807v1:9:12932018:12937165:-1 gene:Vigun09g092300.v1.2 transcript:Vigun09g092300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSCLPFRRKSYPRTLTPTTASSSASTSGRTAPFSTASSTSVAHLPAAPLADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGAGKNYSLNVPLNDGLDDETFRSLFRPVIQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPNPKLPYNEYYEYFGPDYTLHPEPSNMENLNTPRDLEKMRNALLEQLSRLPHARSVPFQTTPSIIQVPEEEEEHMDIRPKRRIWSGEDFDSDNDDDMASSKNSVLTAQTRCGADMMDED >Vigun09g092300.2.v1.2 pep primary_assembly:ASM411807v1:9:12932018:12937165:-1 gene:Vigun09g092300.v1.2 transcript:Vigun09g092300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSCLPFRRKSYPRTLTPTTASSSASTSGRTAPFSTASSTSVAHLPAAPLADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGAGKNYSLNVPLNDGLDDETFRSLFRPVIQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPNPKLPYNEYYEYFGPDYTLHPEPSNMENLNTPRDLEKMRNALLEQLSRLPHARSVPFQTTPSIIQVPEEEEEHMDIRPKRRIWSGEDFDSDNDDDMASSKNSVLTAQTSRCGADMMDED >Vigun09g092300.1.v1.2 pep primary_assembly:ASM411807v1:9:12932018:12937165:-1 gene:Vigun09g092300.v1.2 transcript:Vigun09g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSCLPFRRKSYPRTLTPTTASSSASTSGRTAPFSTASSTSVAHLPAAPLADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDIGVGAGKNYSLNVPLNDGLDDETFRSLFRPVIQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLTVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPNPKLPYNEYYEYFGPDYTLHPEPSNMENLNTPRDLEKMRNALLEQLSRLPHARSVPFQTTPSIIQVPEEEEEHMDIRPKRRIWSGEDFDSDNDDDMASSKNSVLTAQTSSRCGADMMDED >Vigun07g039700.1.v1.2 pep primary_assembly:ASM411807v1:7:3877848:3881414:-1 gene:Vigun07g039700.v1.2 transcript:Vigun07g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSISIIKLFFLCSLCSIMFNSCSFAVHCNEKDTNVLLNFKQRLTDPSQLLSSWFPKSDCCEWRGVKCDNITGRVSMLTLPCHTHPSPITAVGEKGNKSHCLSGELNLGLLQLEYLTYLDLSNNGFKFLQFNSMGSRENSSNLQYLDLSRNYDILVRDLHWISNISSLQYLNLDGVHIHKEIDWLQSLTLLPSLSLLHLGFCQLEKIYPTLQYANFTSLKALNLADNDFVSELPSWLFNLSSDISYIDLSWNQIHGELPETMPNLRSIKFLYFTHNYLKGPLPNWLGQLEQLQTLRFSFNFFSGPIPACLGNLSSLIELNLDSNQLNGNLPKDLRKLFNLESLRVAENSLTGIVSERNLVSFSKLEVLSLNSPALVFDFDPEWTPPFQLQAVEFGHVRDKLPEWLFTQSSLKFLTIVDSTASFEPLDRFWNFSKQLHYFKLINNTVNGDISNVLLMPQFVWLVSNNLRGNMPRLSPEVIVLILHDNSLSGSISPLLCNKMSNKSNLIHLDMGYNHLSGDLTDCWNDWKSLVYVDLGYNNLTGKIPHSITSLSNLRFLYLESNKLFGEVPVSLKNCQNLWILDLGHNNFSGDIPNWLVQSVKGLKLRSNRFSGNIPTQLCQLHSLMVMDFASNGLSGAIPNCLHNITTMLSSDASTRAVGYTLNLPSFSTSVACTITMLIKGNELEYFNLMNLIDLSNNNLSGRVPLEMYMLTGLQSLNLSHNMLSGKIPKEIGNLEPLESIDLSRNFFSGEIPQTMSSLHYLEVLNLSFNNFKGKIPLGTQLGSSNLSYIGNSGLCGPPLSKICPEDEKSQNTKSTGEEEGDESEVHSMLYMGLGIGFAVGFWGVVGTIFFNRRCRHAYFRFVHQTYDFAIQKMNFI >Vigun03g115900.1.v1.2 pep primary_assembly:ASM411807v1:3:10660685:10665736:1 gene:Vigun03g115900.v1.2 transcript:Vigun03g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRKRENPKSNSTFPDEVLERVLGMLKSRKDKSSVSLVCKEWYDAERWSRRSVFIGNCYSVSPEILTRRFPNIRSVTLKGKPRFSDFNLVPANWGANIDSWLVVFAEKYPWLEELRLKRMTVTDESLEYLSLSFPNFKALSLLSCDGFSTDGLASIATNCKNLTELDIQENGIEDKNGNWLSCFPESFTSLEVLNFANLHNDVNFDALEKLVSRCKSLKTLKVNKSVTLEQLQRLLVHAPQLGELGTGSFSQELTAQQSSDLESAFKNCKSFHTLSGLWVATAQYLPVLYPACTNLTFLNFSYAPLNSDDLAKLLVHCPKLERLWVVDTVEDKGLEAVGSHCPLLEELRVFPADPFDEGIAHGVTESGFVAVSQGCPRLHYVLYFCRQMTNAAVATVVQNCPDFTHFRLCIMHPGQRDYMTQDPMDEAFGSVVKTCRKLQRLALSGYLTDLTFEYIGKYGKNLETLSVAFAGSSDMGMRCVLEGCPKLRKLEVRDCPFGNDALLAGLGKYESMRSLWMSDCEVTMYGVRLLARQKPRLNVEVINEETYETQDAKKVYVYRSVAGPRRDAPPFVLTL >VigunL034100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:262546:263151:1 gene:VigunL034100.v1.2 transcript:VigunL034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >VigunL059370.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000470.1:30439:30798:-1 gene:VigunL059370.v1.2 transcript:VigunL059370.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g226900.4.v1.2 pep primary_assembly:ASM411807v1:9:39908520:39916471:1 gene:Vigun09g226900.v1.2 transcript:Vigun09g226900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIRSNNMVSGGRDKNGGDGSLLLRASSDGARQGTTSDLVLQWGNRKRLRCMKVQVKDDSSGPVQRTTVRVDRRVVRTDKDSLNKPTFGVNNNTLGVGHTHNNNNNNHHHNQSNGYPNLRQRPSSPQQRILRNSEGSSAMRGGQSNGGVRGIASPDRGAHDKRGTHNNHLNDNNKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLWSALHASNGAWLTPNS >Vigun09g226900.3.v1.2 pep primary_assembly:ASM411807v1:9:39908520:39916471:1 gene:Vigun09g226900.v1.2 transcript:Vigun09g226900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIRSNNMVSGGRDKNGGDGSLLLRASSDGARQGTTSDLVLQWGNRKRLRCMKVQVKDDSSGPVQRTTVRVDRRVVRTDKDSLNKPTFGVNNNTLGVGHTHNNNNNNHHHNQSNGYPNLRQRPSSPQQRILRNSEGSSAMRGGQSNGGVRGIASPDRGAHDKRGTHNNHLNDNNKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >Vigun09g226900.2.v1.2 pep primary_assembly:ASM411807v1:9:39908520:39916471:1 gene:Vigun09g226900.v1.2 transcript:Vigun09g226900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIRSNNMVSGGRDKNGGDGSLLLRASSDGARQGTTSDLVLQWGNRKRLRCMKVQVKDDSSGPVQRTTVRVDRRVVRTDKDSLNKPTFGVNNNTLGVGHTHNNNNNNHHHNQSNGYPNLRQRPSSPQQRILRNSEGSSAMRGGQSNGGVRGIASPDRGAHDKRGTHNNHLNDNNKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >Vigun09g226900.5.v1.2 pep primary_assembly:ASM411807v1:9:39908520:39916471:1 gene:Vigun09g226900.v1.2 transcript:Vigun09g226900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIRSNNMVSGGRDKNGGDGSLLLRASSDGARQGTTSDLVLQWGNRKRLRCMKVQVKDDSSGPVQRTTVRVDRRVVRTDKDSLNKPTFGVNNNTLGVGHTHNNNNNNHHHNQSNGYPNLRQRPSSPQQRILRNSEGSSAMRGGQSNGGVRGIASPDRGAHDKRGTHNNHLNDNNKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLWSALHASNGAWLTPNS >Vigun09g226900.1.v1.2 pep primary_assembly:ASM411807v1:9:39908520:39916471:1 gene:Vigun09g226900.v1.2 transcript:Vigun09g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIRSNNMVSGGRDKNGGDGSLLLRASSDGARQGTTSDLVLQWGNRKRLRCMKVQVKDDSSGPVQRTTVRVDRRVVRTDKDSLNKPTFGVNNNTLGVGHTHNNNNNNHHHNQSNGYPNLRQRPSSPQQRILRNSEGSSAMRGGQSNGGVRGIASPDRGAHDKRGTHNNHLNDNNKSAASSDTAHDSKKGGSPSGSGDAAPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >Vigun05g126000.1.v1.2 pep primary_assembly:ASM411807v1:5:14178557:14187508:1 gene:Vigun05g126000.v1.2 transcript:Vigun05g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEESEQRNASPSNAAGAANDASDGFETASEADLASDDDGGDGGAARQQEQKHPEEHQRQQQTEQQPEHNVPQSTTESSENALITEESPEKALITEESPENALINEEESRQKALDHANEAKLEGNKLFVDGKYEEALSQYEVALQVAPDTPSSVDIRSICHSNRGVCFLKLGKYDDTIKECTKALELNPVYVKAFVRRGEAHEKLEHFEEAIADMKKILEIDPSNDQARKTIRRLEPLAAEKREKMKEEMIAKLKDMGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQR >Vigun02g180100.1.v1.2 pep primary_assembly:ASM411807v1:2:32109462:32113253:-1 gene:Vigun02g180100.v1.2 transcript:Vigun02g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSNGVFQGDDPLDFALPLAILQICLVLVVSRGLAYLLKPLRQPRVIAEIIGGILLGPSALGRNESYMKAVFPPRSLTVLDTLANIGLIFFLFLAGLELDLKSVRQSGKRVIAIAMAGINLPFAIGIGSSFVLKQSIAKGSDNAAFLVFMGVALSITAFPVLARILAELKLLTTNVGRTAMSAAAINDIAAWILLALAVALSGHERSPLVSLWVFLAGCGFVICAIIIVPPIFKWVSQRCHEGEPVEEIYICGTLAAVLAAGFVTDAIGIHAMFGAFVVGILLPNDGPFASALVEKVEDLVSGLFLPLYFVSSGLKTNVATIKGLQSWGLLAFVIFTASFGKILGTFVVSLLCKVPLNEALVLGFLMNCKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFVTTPLVMAVYKPARKGGIADYKHRTIGRKNANSQLRILACFHGARNIPSMINLIEASRGIQKRDDLCVYAMHLKEFSERSSSILMVHKARRNGLPFWNKASHSHSNHVIVAFEAYRQLSQVSIRPMTAISSMNSIHEDICATAERKEAAVIILPFHKHQSLDGSLNITRNDFRWVNKRVLEHAPCSVGIFVDRGLGGTSHVSASNVSYRVTVLFFGGGDDREALAYGGRMAEHPGIRLLVIRFVVEPPKEGEILRVDVGDSSSSTKLISQDEEFLDEFKVKTANDDSINYEERIVKNAAETVAIIREVNGSSLFLVGSRPVSEVACALKSSECPELGPVGGLLASQDYPTTASVLVLQQYNNDGAPINFTPELEEHLPDQDSGSV >Vigun02g110500.1.v1.2 pep primary_assembly:ASM411807v1:2:26420254:26423692:-1 gene:Vigun02g110500.v1.2 transcript:Vigun02g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQCNNMGGYSAAIAVITTILFLFPPPTSQAQILKTEAHVFGPFNQSYFNTFQVLPSAAINLEALQVTPDSTGNVSLTNRSGRIFYGTPFTLWNDRDSLDGNLVSFNTSFLINVYRPKNETPGEGITFLIAPSASGPPLNSYGQFLGLTNVSNDGNRTNQILAVELDTVKQDFDPDDNHLGLDINGVRSNVTVSLTPLGFEIVPNVTRFHVLWVEYDGGRKVIDVYIAVQPDKDAPIVPKPAKPVLSSALDLKQVVNRVSYFGFSASTGDNVELNCVLRWNISIEVFPQKNGIGNALKIGLGVGVPVVVLLLAGVVGWVYWLRRKQRESETQILGTLKSLPGTPREFRYQELKKATNNFDEKHKLGQGGYGVVYRGILPKEKLEVAVKMFSRDKMKSTDDFLSELTIINRLRHKNLVRLLGWCHRNGVLLLVYDYMPNGSLDNHIFCEAGSNTTPLSWPLRYKIIAGVASALNYLHNEYDQKVVHRDLKASNIMLDSEFNARLGDFGLARALENDKTSYAELEGVHGTMGYIAPECFHTGRATRESDVYGFGAVVLEVVCGQRPWTKNEGYECLVDWVWHLHREQRILDAVDPRLGNGCVAEEAERVLKLGLACSHPIASERPKMQTIVQIISGSVPVPHVPPFKPAFVWPAMDLSSLASDLTQTTTTEYTPMNSTTHSTHVDFSDSGSLV >Vigun04g113200.1.v1.2 pep primary_assembly:ASM411807v1:4:28416017:28419721:-1 gene:Vigun04g113200.v1.2 transcript:Vigun04g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVSHMNARFAFPNRSFDFLQFKHSIFFLKFPNTNQNPNPNPNLLRLRRLNCSVSDGTVPSSVGATDSTLVVKKKAAEVSSDLKGTSIFLVGMKSSLKTSLGKLLADALRYYYFDSDSLVEEAVGGALAAKSFRESDTKGFYESETEVLKQLSSMGRLVVSAGNGAVISPTNLALVRHGISLWIDVPLDLVARDVTEDQSQFTPSEISISGSYPEVKDELGALYDKYRAGYATADAIISVQKVASRLGCDNLDEVTTEDLTLEALKEIEKLTRVKKMIEEAARPF >Vigun04g113200.2.v1.2 pep primary_assembly:ASM411807v1:4:28416017:28419721:-1 gene:Vigun04g113200.v1.2 transcript:Vigun04g113200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVSHMNARFAFPNRSFDFLQFKHSIFFLKFPNTNQNPNPNPNLLRLRRLNCSVSDGTVPSSVGATDSTLVVKKAAEVSSDLKGTSIFLVGMKSSLKTSLGKLLADALRYYYFDSDSLVEEAVGGALAAKSFRESDTKGFYESETEVLKQLSSMGRLVVSAGNGAVISPTNLALVRHGISLWIDVPLDLVARDVTEDQSQFTPSEISISGSYPEVKDELGALYDKYRAGYATADAIISVQKVASRLGCDNLDEVTTEDLTLEALKEIEKLTRVKKMIEEAARPF >Vigun04g113200.3.v1.2 pep primary_assembly:ASM411807v1:4:28416017:28419721:-1 gene:Vigun04g113200.v1.2 transcript:Vigun04g113200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVSHMNARFAFPNRSFDFLQFKHSIFFLKFPNTNQNPNPNPNLLRLRRLNCSVSDGTVPSSVGATDSTLVVKKKAAEVSSDLKGTSIFLVGMKSSLKTSLGKLLADALRYYYFDSDSLVEEAVGGALAAKSFRESDTKGFYESETEVLKQLSSMGRLVVSAGNGAVISPTNLALVRHGISLWIDVPLDLVARDVTEDQSQFTPSEISISGSYPEK >Vigun04g113200.4.v1.2 pep primary_assembly:ASM411807v1:4:28416017:28419721:-1 gene:Vigun04g113200.v1.2 transcript:Vigun04g113200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVSHMNARFAFPNRSFDFLQFKHSIFFLKFPNTNQNPNPNPNLLRLRRLNCSVSDGTVPSSVGATDSTLVVKKAAEVSSDLKGTSIFLVGMKSSLKTSLGKLLADALRYYYFDSDSLVEEAVGGALAAKSFRESDTKGFYESETEVLKQLSSMGRLVVSAGNGAVISPTNLALVRHGISLWIDVPLDLVARDVTEDQSQFTPSEISISGSYPEK >Vigun01g022900.1.v1.2 pep primary_assembly:ASM411807v1:1:2414215:2416115:-1 gene:Vigun01g022900.v1.2 transcript:Vigun01g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQVQKIESEVDIKTSADKLYDVFCNRPHYIATISPQKVQSVQIQNGEWGTEGSVITWNYVHEGKICVAKEIVEDIDKENKKLSFNVVEGDLLGHYKTFKANLQATPKGKGSVIQWTIEFEKQHNHIPDPHAMLQLGIQLTKDIDSYLTKDHN >Vigun03g430300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63461217:63463514:1 gene:Vigun03g430300.v1.2 transcript:Vigun03g430300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIWEEDMRLNSMEKTMPLKFYFIHVLAAGHMIPLCDIATLFASRGHHVTIITTPSNALTLRKSLPSHPFLRLHTVQFPSQEVALDLPDGIEVTDADQLGKVFHATTTLQTPIQNFVEENPPDCIVADFLFLWVDDLAKNLKIPRLAFNGFSLFTICVLQSSHYPNSLLPHPITLNASPPKELPEFFDKMLETELRSYGLIVNNFAELDGEEYIRYYEKTTGHKAWHLGPVSLISRTPEEKAERGMKSVVSIHECVSWLDSKAENSVVYICFGSLCHFPDKQLYEIACGIEASGHGFIWVVPEKKGKEKERQEEKEKWMPEGFEERNAEKGMVIRGWAPQLVILNHRAVGAFLSHCGWNSIVEAVSGGVPMITWPVHGEQFYNEKLISEVRGIGVEVGAAEWRGTGFGEREMLVCRDSIERGVRRIMDGGDEAQEVRRRAQGYGEKAREAVREGGSSHNNLTALIHDLTRLRDAKLISS >Vigun03g416300.1.v1.2 pep primary_assembly:ASM411807v1:3:62296654:62305250:-1 gene:Vigun03g416300.v1.2 transcript:Vigun03g416300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNMAAQLAGSEIHGFHTLQDLDVGSIMEEARTRWLRPNEIHAMLCNYKYFPINVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNSNFVRRCYWLLDKSMEHIVLVHYRETQEMQGSPVTPINSHSSSVSDPPAPWVVLDEIDSGTTTTYAGDMSGNVKDKSHELRLHEINTLEWDDLVDANDHNASTMPNGGSVAYFNQQDQTLLNGSFGNVVNNLSAEISSFNCLPQPIAGSNSVTYNFSEIATFQMMGNQANPNEQRSNTVSLSAGSFDPLVSDRLQSQDSFGMWVNHIMSDSPCSVDDPALESPVSSVHEPYLSPVVDGQQISQPEQVFTITDVSPTWVSSSEKSKVLVTGFFHKDYMHLSKSNLLCVCGDVSVPAEIVQVGFYRCWVPPHSPGIVNLYLSFDGHKPISQVVNFEYRTLVLHDPTSAMEENSNWDEFRLQMRLAYLLFAKQLSLDVISCKVPPNRLKEARQFALRTAFISNSWQYLIKSTEDNQIPFSQAKDALFGIALKNRLREWLLERIVLGYKTTEYDSHGQSVIHLCAILGYTWAVSLFSWSGLSLDFRDRFGWTALHWAAYYGREKMVATLLSAGAKSNLVTDPTPKNPGGCTAADLAYMRGHDGLAAYLSEKSLVQHFNDMSLAGNISGSLETSTTDTVNSACLTDDQQNMKDTLAAYRTAADAAARIQAAFREHNLKLRTNAVMSSNPEAEARKIVAAMKIQHAFRNFETKKMMGAAARIQCTFRTWKIRKEFLLMRRHAVKIQAAFRCFQLRKHYRKILWSVGVVEKAVLRWRLKRRGFRGLQVRTAEVGTGDQDKESVVEEEFFRTGRKQAEERVERSVVRVQAMFRSKKAQEEYRRMKVALDQAKLEREYEQLLSTEVDMELKA >Vigun03g416300.2.v1.2 pep primary_assembly:ASM411807v1:3:62296654:62305250:-1 gene:Vigun03g416300.v1.2 transcript:Vigun03g416300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNMAAQLAGSEIHGFHTLQDLDVGSIMEEARTRWLRPNEIHAMLCNYKYFPINVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNSNFVRRCYWLLDKSMEHIVLVHYRETQEMQGSPVTPINSHSSSVSDPPAPWVVLDEIDSGTTTTYAGDMSGNVKDKSHELRLHEINTLEWDDLVDANDHNASTMPNGGSVAYFNQQDQTLLNGSFGNMMGNQANPNEQRSNTVSLSAGSFDPLVSDRLQSQDSFGMWVNHIMSDSPCSVDDPALESPVSSVHEPYLSPVVDGQQISQPEQVFTITDVSPTWVSSSEKSKVLVTGFFHKDYMHLSKSNLLCVCGDVSVPAEIVQVGFYRCWVPPHSPGIVNLYLSFDGHKPISQVVNFEYRTLVLHDPTSAMEENSNWDEFRLQMRLAYLLFAKQLSLDVISCKVPPNRLKEARQFALRTAFISNSWQYLIKSTEDNQIPFSQAKDALFGIALKNRLREWLLERIVLGYKTTEYDSHGQSVIHLCAILGYTWAVSLFSWSGLSLDFRDRFGWTALHWAAYYGREKMVATLLSAGAKSNLVTDPTPKNPGGCTAADLAYMRGHDGLAAYLSEKSLVQHFNDMSLAGNISGSLETSTTDTVNSACLTDDQQNMKDTLAAYRTAADAAARIQAAFREHNLKLRTNAVMSSNPEAEARKIVAAMKIQHAFRNFETKKMMGAAARIQCTFRTWKIRKEFLLMRRHAVKIQAAFRCFQLRKHYRKILWSVGVVEKAVLRWRLKRRGFRGLQVRTAEVGTGDQDKESVVEEEFFRTGRKQAEERVERSVVRVQAMFRSKKAQEEYRRMKVALDQAKLEREYEQLLSTEVDMELKA >Vigun10g018700.1.v1.2 pep primary_assembly:ASM411807v1:10:2104746:2108169:1 gene:Vigun10g018700.v1.2 transcript:Vigun10g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLTLPTPFLHKTNAISFSNKRPSFSRRSSLKINAIAKKWEPTKVVPQADRVLIRLEELSDKTAGGVLLPKSAVKFERYLVGEILNVGAEVGELKAGTKVLFTDMSAYEVDLGTDAKHCFCKASDLLAVVE >VigunL059517.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:55007:55366:1 gene:VigunL059517.v1.2 transcript:VigunL059517.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g160900.2.v1.2 pep primary_assembly:ASM411807v1:7:27265281:27267376:-1 gene:Vigun07g160900.v1.2 transcript:Vigun07g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWKEDAHIPEFGNWDLTNDFPITRYFECTTQPRLLRYTSSSAETRLHHKPPLPLRNHTKQDTRNKGKRSPHGNANKGKVYVVKEQARKTDRRRKHMQVQQDDTVPRTPKPVDEDLYKIPPELLHTSNKRVSHQTLFFLINVNAMFVLHIYKYISVLILYTKLFLTLFIMVLQKKIMGFISKCFVQLSCHEHC >Vigun08g133400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30470695:30473987:1 gene:Vigun08g133400.v1.2 transcript:Vigun08g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRENKSSILMQKYELGRLLGKGTFGKVYYGRCTRTNQSVAIKVIDKNKVIKAGQVDHIKREISIMRLVKHPNVIELFEVMATKSKIYIVMEYAKGGELFKKVAKGKLKEDVAHRYFRQLVNAVDFCHSRGVCHRDIKPENILLDENDNLKISDFGLSALADSKHQDGLLHTACGTPAYVAPEVIKRKGYDGAKADIWSCGVVLFVLLAGYIPFQDPNLMVVYKKISKAEFTCPRWFPKGVRKLLSKMLDPNPNTRISIDEIKQCSWFRKWENGTQTTQEEENRSVSVSPNTNHSEQCGHGSNGLAAEAREESVVPISINAFDIISLSPGFNLCGFFEDSFQKREARFTSRQPASLIIFRLEEIANRLKMKIKKRAAGLLKFEGLHEGRKGILSIDAEIFEVTPLLHLVEVKKTNGDTLEYDKILKEAIRPALKDIVWVWQGDQQQ >Vigun08g133400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30470696:30473986:1 gene:Vigun08g133400.v1.2 transcript:Vigun08g133400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYELGRLLGKGTFGKVYYGRCTRTNQSVAIKVIDKNKVIKAGQVDHIKREISIMRLVKHPNVIELFEVMATKSKIYIVMEYAKGGELFKKVAKGKLKEDVAHRYFRQLVNAVDFCHSRGVCHRDIKPENILLDENDNLKISDFGLSALADSKHQDGLLHTACGTPAYVAPEVIKRKGYDGAKADIWSCGVVLFVLLAGYIPFQDPNLMVVYKKISKAEFTCPRWFPKGVRKLLSKMLDPNPNTRISIDEIKQCSWFRKWENGTQTTQEEENRSVSVSPNTNHSEQCGHGSNGLAAEAREESVVPISINAFDIISLSPGFNLCGFFEDSFQKREARFTSRQPASLIIFRLEEIANRLKMKIKKRAAGLLKFEGLHEGRKGILSIDAEIFEVTPLLHLVEVKKTNGDTLEYDKILKEAIRPALKDIVWVWQGDQQQ >Vigun03g190100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25948228:25949661:-1 gene:Vigun03g190100.v1.2 transcript:Vigun03g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNASLNIFFFPFLAHGHMIPCVDMAMVFAAKGVRATIITTPLNAPTISKAIQKTQTHQTKEINIQTINFPSTTETGLPEGCEHVNALPSLALLQAFRNATRLLQEPFEQLLLHHHPNCVVADMFFPWATDSAAKFGIPRLVFHGMSFFSLGAYEVMRLYEPYKNTSLDSELFVLPNFPGEIKMTRLQVGSFFRKDDVEAKRFWEEIRESEVRSYGVVVNSFYELEKDYADQYVKEFGRRAWGIGPLSLCNKDKEQKRGKEDEHECLKWLDGKATGSVVYVCFGSMTKFSDSQFREIALGLEASGKEFMWVVGKREENEEEWVPEGFEERTKEKGVIIRGWAPQALILEHEAIGAFVTHCGWNSSLEGVVAGVPMITWPVGAEQFYNEKLVVEVLKIGVPVGARKWSNFVGDEDCCIKCDAVEKAVKRVLAEEEAEGMRNRAKLLAQMAKRAVEEGGSSYSNLDTLVQELLSLSH >Vigun01g142900.1.v1.2 pep primary_assembly:ASM411807v1:1:32418328:32420372:1 gene:Vigun01g142900.v1.2 transcript:Vigun01g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTHEEDQILINYINIYGHSNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIITLHEMLGNRWSAIAARLPGRTDNEIKNMWHTHLKKRLPQNYRQSQEQKRSKKQPKLDGDASETNYQEPKLEQQQEPVNNVHVHVHASTKEDNSTEDMPLSPPQCSSDMSSLTNSDNNSSNNNNNNCDMSLHVNDIDTPENDLALDEDFWSEVLLSDYSSGETSGYPSIDYGRFEPLSSEEVGVLIDGSSSSMSDGMDFWCNVYARAEEFNQLLEL >Vigun01g245100.1.v1.2 pep primary_assembly:ASM411807v1:1:41360518:41363456:-1 gene:Vigun01g245100.v1.2 transcript:Vigun01g245100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRTRTCLRYSETLLHQPWKKNVGFCSLSAVDGRNCLLDLPDIEKVLTDIKADDVKVIPVPKHCDWADFMVLATGRSTWHVKNIAQALIYKAKQKQRGAERMMLPSVEGEAGGKWIVIDSGKVIVHALDEKARAYYNLEGLWTRGTIQNEPDGDLKKALVKVRRKNNSKKPAQKSA >Vigun01g204600.2.v1.2 pep primary_assembly:ASM411807v1:1:38046195:38061699:1 gene:Vigun01g204600.v1.2 transcript:Vigun01g204600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFRPTSDKIVKIQLHPTHPWMVTADDSDRVSVWNWEHRQVVYELKAGGVDERRLVGAKLEKLAEGEIESKGKPTEAIRGGSVKQVNFYDDDVRFWQLWHNRSAAAEAPTAVHTSAFSSPAPSTRGRHFLVICCLNKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLYRTGVGDGPLVAFGSSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMSFMAASGEALLVSGASDGLLIIWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELTSVIPPQALSPNKKLRVYCMVTHNLQPHLVATGTNIGVIICEFDARSLPPVAPLPTPADSREHSAVFVIERELKLLNFQLNNSANPSLGNNSSLPETGRPKGDFFEPLSVKQGKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSVYKVSDWSIVDSGSARLLAWDTCRDRFAILESALPPRIPVIPKGSSSKRAKEAAAAQAAAAAAAAAASTASVQVRILLDDGTSNILMRSVGARNEPVIGLRGGALLGVAYRTSRRVSPIAATAISTIQSMPLSGYGSSGLSSFTTYDDGFSSNRPPTTAAPQNFQLYSWETFQPVGDLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVAIPYATSAVWHRRQLFVATPTTIEIVFVDAGVAQIDIETKRMKEEQKMKEAQAKAVAEHGELALITVEGPQSAKEERIALRPPMLQVVRLASFQHAPSVPPFLTLPKQSRVDGDDSWSATEERKTGEVAVGGGGVAVAVTRFPMEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALHCLLTMSNSRDIGQDDTPGLGLNDILNLSDKKPEKISDKKKDMVEGFQGIVKFAKEFLDLIDAADATAQSEIAREALKRLAAAGSVKGALEGHELRGLALRLANHGELTRLSGLVNNLVTLGLGREAAFAGAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNQALQREVEPTPSQKTDAAAAFLASLEEPKLTSLADAGKKPPIEILPPGMMSLNAPISIQKKPASSAQNSQQPPDKPLALEAPPTTTAAPESATQQPESTPASVNEPTPSESTSESTPAPVAAPPQPESGETTVDNGVPTPGPASDGGPNVNGETGQAETSTANPVLPEVPPPPVAAEVSETSTPSITAVPTTTTVPANDPFI >Vigun01g204600.1.v1.2 pep primary_assembly:ASM411807v1:1:38045689:38061699:1 gene:Vigun01g204600.v1.2 transcript:Vigun01g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKAFRPTSDKIVKIQLHPTHPWMVTADDSDRVSVWNWEHRQVVYELKAGGVDERRLVGAKLEKLAEGEIESKGKPTEAIRGGSVKQVNFYDDDVRFWQLWHNRSAAAEAPTAVHTSAFSSPAPSTRGRHFLVICCLNKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLYRTGVGDGPLVAFGSSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMSFMAASGEALLVSGASDGLLIIWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELTSVIPPQALSPNKKLRVYCMVTHNLQPHLVATGTNIGVIICEFDARSLPPVAPLPTPADSREHSAVFVIERELKLLNFQLNNSANPSLGNNSSLPETGRPKGDFFEPLSVKQGKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSVYKVSDWSIVDSGSARLLAWDTCRDRFAILESALPPRIPVIPKGSSSKRAKEAAAAQAAAAAAAAAASTASVQVRILLDDGTSNILMRSVGARNEPVIGLRGGALLGVAYRTSRRVSPIAATAISTIQSMPLSGYGSSGLSSFTTYDDGFSSNRPPTTAAPQNFQLYSWETFQPVGDLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVAIPYATSAVWHRRQLFVATPTTIEIVFVDAGVAQIDIETKRMKEEQKMKEAQAKAVAEHGELALITVEGPQSAKEERIALRPPMLQVVRLASFQHAPSVPPFLTLPKQSRVDGDDSWSATEERKTGEVAVGGGGVAVAVTRFPMEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALHCLLTMSNSRDIGQDDTPGLGLNDILNLSDKKPEKISDKKKDMVEGFQGIVKFAKEFLDLIDAADATAQSEIAREALKRLAAAGSVKGALEGHELRGLALRLANHGELTRLSGLVNNLVTLGLGREAAFAGAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNQALQREVEPTPSQKTDAAAAFLASLEEPKLTSLADAGKKPPIEILPPGMMSLNAPISIQKKPASSAQNSQQPPDKPLALEAPPTTTAAPESATQQPESTPASVNEPTPSESTSESTPAPVAAPPQPESGETTVDNGVPTPGPASDGGPNVNGETGQAETSTANPVLPEVPPPPVAAEVSETSTPSITAVPTTTTVPANDPFI >Vigun05g021800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1804943:1805911:1 gene:Vigun05g021800.v1.2 transcript:Vigun05g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTFLSIRTPLKSHFFNTASSGFNFQHSTFNTHTHYTQISVSLHCKVQACKDRKKMNRDSMKASKKKVSCRRLGGYLKEQKGRLYIIRRCVVMLLCWHD >Vigun04g171600.2.v1.2 pep primary_assembly:ASM411807v1:4:39577331:39581103:1 gene:Vigun04g171600.v1.2 transcript:Vigun04g171600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENNCEVGVFSKLTNAYCLVAIGGSESFYSVFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLVDTYV >Vigun04g171600.1.v1.2 pep primary_assembly:ASM411807v1:4:39577212:39581109:1 gene:Vigun04g171600.v1.2 transcript:Vigun04g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENNCEVGVFSKLTNAYCLVAIGGSESFYSVFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLVDTYV >Vigun10g072900.1.v1.2 pep primary_assembly:ASM411807v1:10:18420634:18426030:1 gene:Vigun10g072900.v1.2 transcript:Vigun10g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLFTLASAFALFLASSCASAAVVEHTFNIGNLTVSRLCNQHVITAVNGSLPGPTINVEEGDTLVVHAINDSPYNITLHWHGIFQVLSAWADGPESVTQCPIRPGGRYTYRYNITGQEGTLWWHSHSSFLRATVYGALIIRPRKGSTYPFSSFYQEIPLLIGEWWNANVVDVENDATETGLGPVDSDAYTINGLPGDSYNCSQNQTYEVHVKHGETYLLRIINAALNEQHFFMIANHTFTVVAIDASYTKQYNTDVIVLAPGQTVDALVTTNQTRASYYMVFTPYHSNPNVGINANITRALLVYDNATSASPVMPNLPLQTDTPTAHKFYTNVTGLGYGPHWVPVARHVDEHMFVTFGIGLDHCNETGPNACNGLNFRLSANMNNESFVLPKGLSMMEALYRNVSGVYTRDFPSNPPFVFNYTDPALETNGTEIAFAPKSTKVKTLTFNSTVQVVLQNTAILARENHPIHLHSFNFHVLAQGFGNYDANVDESKFNLDNPQIRNTIAVPVGGWAVIRFQANNPGMWLMHCHLETHLPWGLAMAFEVEDGPEPWKLPPPPADLPQC >Vigun09g027700.1.v1.2 pep primary_assembly:ASM411807v1:9:2241651:2248252:-1 gene:Vigun09g027700.v1.2 transcript:Vigun09g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSVSCLCLCVMFYFVLRIVKRQKPVEEELSLDNSTPQIKYDVFVSFRGEDIRDGFLSHLVMDFERKKINAFVDVKLERGDEVWSSLVRAIKGSSISLIIFSQHYASSRWCLQELVTILQCRDKFGQILIPVFYKVEPTDVRHQSSKSYQDAFAKHQRKYQTNRVQIWRDSLKKSTIISGIASSKYQDDAELVKEIVKVVLEKLAKPSVNLKRLVGIDEKIATVESLIGKEPEDTRLIGVWGTGGMGKTTLVEEVFNKLKFKYDGSYFLANERDQSNKHGIIPLKKSIFSKLLGYDVDIDTPNSLPEDVVRRIGGMKVLIVLDDVNDLEDLEKLLGSVDNFGSGSRIIVTTRNRQVLKANKFDEEYQLRKFSSEEALELFNLNVFNQKDHQREYNELSERVVNYAQGVPLVVKVLAGRLRGNEREVWESELDRLKKMPLREVYDVLKWSYNDLDRKEQQVFLDLACFFLRLRKSVNVGSLKSLLKDGESDNSVAVDLKRLEDRALITISKDHSLHEMALEIIQSKSSEESFVFMHDSVQEMAWEIVRRESSTQGNRSRLWDPDDVYEALKNNKGNEAIRSIRIQLSTIKKQKLSPHIFAKMSILQFLEISGNCNDDLFHQHYILAEGLQLLVTELKFLYWDRYPLKSLPENFSTEKLVILSLQQANIEKLWDGVKNLVNLRELDLNSSRMLKELPDLSKATNLEVLDLMCCSMVNALPSSFKHQSKLRILDMSYCSKLQNISDLPLLLKTLDVNSCKSLQTLPEFPVSLETLDVRGCNSLQTLPNLPLSLQVLDIEFCTSLLTLPKLPPSLKILKATKCESLQVLPKLPLFLETLEATNCESLQTLPELPQLLETLDVNGCKSLQTLPQLPMNLKIMDVRHCEYLQALLNLPLSLESLDCTGLESLQALPKFPQFLKTLDVSGCKSLQTLPEFPLFLENLDVSGCEALQTLPKLPMGLKSLDVSGCVSLQMLPELPLSLETLDVRGCESLQILPKLPISLETIDVRGCESLQTLPELPLSPKALDVKDFELLQTLPELPLSLETLEVMNGCEFVQAITDLSLSIETPDTKLSISLKNAKNLPSSLKTLQVTDCESLQTLPKLPHSLITLNTQCCSSLQTLPELPQSLKTLSVKSCQSFLCLPELPLCLETLEVKNCEALQNFPRLPRFLKTLDVNGCKSLQTLPNLPLRLETLDVRDCESLQAIPKLPLSLETLDLRGCESLQKLQNLPLSLETLDVTGCESLQILPEFPQSLKTLQCTKCESLQTLPQLPQSLKTLDANGCKSLQTLPKLPLSLETLDVRCCDSLLTLPELPLSLQNLDVRGCESLETLPKLPMTLKSLDFRACESLQTLPELPLSLENLDVRGCEALQSLPKLPFSLKILEATECESLLTLPELPPSLKILDVNGCKSLQFLPHLPQSIEILDIRGCESLETIQEFPLSLEILDTELCTSLQTFPKLPSSLKTLEATVCESLQTLPELPWSLQTLNVQHCSSLQNLPELPISLKTLNVSFCQSLESLPKLPLSLETLDVSGCISIQTLPKLPLSLKALNAKDCESLKTALFPSTTVEQLKENRKWLLFWNCLNLDEHSLLSIGLNARINVMKFANHHLSSPNHDDLENYNDYDDKYGFYQAGYMYPGSSILEWLENKTTEDYLVIDLSSHAFSHRLGFIFCFILGQCEETEMFEKLEVNLIVSDGDGEGQKDSVGMYIDIGSWTIESDHVCVIYDQRCSEMLNKRAKIQIRLKIQVSIQTSDTGTTILSNPALKGFGVSPVSTSAYKSFIQQMGFHDSMFQFHQSN >Vigun03g421100.1.v1.2 pep primary_assembly:ASM411807v1:3:62677982:62686591:1 gene:Vigun03g421100.v1.2 transcript:Vigun03g421100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRGHTQMDVGSDGVAVITIVNPPVNSLSFDVLNSLKESFDQAIKRNDVKAIVVTGAKGKFSGGFDISAFGGIQEAKERPKPGLISVEIITDTIEASRKPSVAAIDGLALGGGLEVAMACNARLSTPTSQLGLPELQLGIIPGFGGTQRLPRLVGLPKALEMILASKPVRGKEAFSLGLVDGLVQPNDLVNTARQWALDILGHRRPWVASLYKTDKLEPLGEAREILKFARAQARKRAPNLYHPLVCIDVIEAGIVAGPRAGLWKEAEAFEQLVQSDICKGLVHVFFAQRGTTKVPGVTDRGLVPRQIKKVAILGGGLMGSGIATALILSNYPIILKEINEKFLDAGLNRIKANLNSRVKKGKMTKENFEKAISLVTASLDFESFRDVDMVIEAVIENVSLKQQIFVDLEKYCPPHCILASNTSTIDLNLIGEKIKSKDRIVGAHFFSPAHVMPLLEIVRTKQTSPQVIVDVLDISKKIKKTPVVVGNCTGFAVNRMFFPYTQVGLLLVERGADVYQIDRVITKFGMPMGPFRLVDLVGFGVAIATGSQFIQNFPERTYKSMLIPLLQEDKRAGETTRKGFYLYDDKRKASPDPELKNYIEKARGISGVSVDPKLAKLQEKDIVEMIFFPVVNEACRVLDEGIAVKAADLDISAIMGMGFPPYRGGIIFWADSFGSKYIYSRLEKWSELYGEFFKPCAYLAARAAKGIPLSAPVEQAKSRM >Vigun09g001300.1.v1.2 pep primary_assembly:ASM411807v1:9:131844:134114:-1 gene:Vigun09g001300.v1.2 transcript:Vigun09g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYKHKFRSILSKTLMATSKSSFCFLVFLVSNGITLSFGDICQPKEAALFVFGDSIFDAGNNNYINTTADNYANFFPYGETFFNYPTGRFSDGRVIPDFLAEYAKLPLIQPYLFPGNQRYVDGVNFASAGAGALVETHQGLVIDLKTQLSYFNRVCKVLRQEVGDAETTILLAKAVYLINIGSNDYEVYLTQKSSVITPDKYVNMVVGNLSTVIKGIHKAGGRKFGVLNQPAMGCVPFVKALMNESKGCVEEASALAQLHNKVLSVELDQLKKQLTEFKYSYVDFFDLSLDLISNPSKHGFKEGDVACCGSGPYNGYFSCGGKGAVKDYNLCENPSEYVFFDSVHPTERADEIISQFMWSGNSSIAGPYNLKTLFQL >Vigun11g074550.1.v1.2 pep primary_assembly:ASM411807v1:11:21777388:21778002:1 gene:Vigun11g074550.v1.2 transcript:Vigun11g074550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEDDFPNPIRSVIVIYTQRIQLAPHNFCRKKARTTMSLTQSAVDAAQSSPPETLSCHHEAHLNISIKPL >Vigun11g176300.1.v1.2 pep primary_assembly:ASM411807v1:11:38107450:38110676:-1 gene:Vigun11g176300.v1.2 transcript:Vigun11g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKILIIGVTGNLGYHLAEASLKFRHPTFALVRHSAFSNPIKAQKLHSLSQGGATILTGSLEDETAIAEAVRLVDVVICAVSAQQCLHQKLLIRVIKQLGSVKRFIPSEFGSDPTKAQVSELDDLYNFYAPKVEIRRLVEAEGIPYTVISCNFFMKILLPSLAQPGLDAPPRDKVTVYGDGNTKGVFMKESDVAAFTISTVDDPRTLNKVLYLRPPGNVCSLNELVEMWEIKIGKKLQRLHVSEEELLQKIKGSSYPANFESLFIYSVFINGDHTYFDIEPPSGVNGTQLYPHLKYSTISEFLDTLV >Vigun02g068850.1.v1.2 pep primary_assembly:ASM411807v1:2:21905514:21905897:-1 gene:Vigun02g068850.v1.2 transcript:Vigun02g068850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTLDLLYTEKGPNTTPFFCFGVRVCIFMQLRCQKTPYPVILYVQCCKRKIIGCLASNLMTSYVIKELKMDRFKRK >Vigun07g243100.1.v1.2 pep primary_assembly:ASM411807v1:7:36430188:36435876:-1 gene:Vigun07g243100.v1.2 transcript:Vigun07g243100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNKKQTTDTEVEAELNGNNGDNDDSRNKKKLKKNSKRKKIPTVSMAVPASIIDNVPTLELATRLAGQIARAATIFRINEVVVFDNKSNLENDSVLDNLDDESGAAFLMRILQYLETPQYLRKALFPMHNSLRFVGLLPPLDAPHHLRKHEWGPYREGVTVKERDSNSGATLVDVGLVKNAIVDQILEPGKRVTVAMGTNRNLDSDLPRQVVSSSKPSEEGAYWGYRVRYAHNISSVFKDCSYKGGYDFIIGTSEHGQIIKSSDLEIPSFRHLLIAFGGLAGLEESIEEDDNLKGKNAQDIFNLYLNTCPHQGSRTIRTEEAIFISLQYFQEPINRVLEG >Vigun09g185500.5.v1.2 pep primary_assembly:ASM411807v1:9:35917119:35923169:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun09g185500.4.v1.2 pep primary_assembly:ASM411807v1:9:35917104:35923169:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun09g185500.1.v1.2 pep primary_assembly:ASM411807v1:9:35917119:35923169:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun09g185500.6.v1.2 pep primary_assembly:ASM411807v1:9:35917119:35922844:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun09g185500.3.v1.2 pep primary_assembly:ASM411807v1:9:35917104:35923169:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun09g185500.2.v1.2 pep primary_assembly:ASM411807v1:9:35917104:35923169:-1 gene:Vigun09g185500.v1.2 transcript:Vigun09g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGVRLHIQDHNVVMDNGIVQVTLSNPGGIVTEIRYNGVDNLLEVLNKESNRGYWDLVWSAPGSKGIFDVIHGTCFKVIVQNEEQVELSFTRMWDPSLEGKFVPLNIDKRFIMLRGSSGFYSYGIYEHLNGWPDFDLGETRITFKLRKDKFQYMAMADDRRRILPYPEDRLPGRCQTLGYAEAVLLTDPKDRQLQGEVDDKYQYSCANKDNRVHGWISFSPPIGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGESWKKVFGPVYLYFNSAPVGDDPLWLWEDAKIQMMNEVQSWPYYFPASEDFLKSDQRGNVSGRLLILDKYICTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADENGFFTIRNVRPGDYNLFAWVPGFVGDYKFVDSVKITPGSYTEFGELVYEPPRDGPTLWEIGIPDRSGAEFYAPDPNPQYVNKLFINHPDRFRQYGLWERYTELYPDADLVYTVGVSDYRKDWFYAQAPRKKEDNTLQGTTWQIKFEVRSVVKGSTYKLRVAIASATLAELQVRVNDPKTARPLFTSGLIGRDNSIARHGIHGIHWLYNVSIPGSLLVDGTNTIYFTQPRCNSPYQGIMYDYIRLEAPPCEGI >Vigun08g152300.1.v1.2 pep primary_assembly:ASM411807v1:8:32472321:32477916:-1 gene:Vigun08g152300.v1.2 transcript:Vigun08g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQEVGKGSPKSSSLSPYEEAMEALSSLITRRTRADASNVGDQFDLLFDYLKMLDLEEPIANMKVIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLDGKEICEEKFLAYFWWCYDRLKEKTDDNIPMPTYFRFLALLGFKIFAAEQVDVAILEVGLGGKYDATNVVQAPIVCGITSLGYDHMEILGNTLGEIAGEKAGIFKNGIPAFTVPQPEEAMHVLEEKASQLNVPLQVVTPLDAKLLNGSSLGLEGEHQYVNAALAIALCFTWLKRTGHVGDIYLEQTENLPEQFIKGLTSASLQGRAQIVPDQHLKGERSNRLVFFLDGAHSPESMQVCARWFSLAIKEYNPDQTLFHQQLDNSKFSHEVVKTHNGERVSQGKSTPILLFNCLTVRDPQLLLPHLMKTCADNGVYFKKALFVPSLSVFNKVGPQVFTLTDPKVDLSWQFNLQRVWENLMQSSKGKNTDIVSEELKDDMETSASNCEHSAVFPSLPLAIKWLRDRVQQNQSLRLQVLVTGSLHLVGDVLNLVKK >Vigun11g104001.1.v1.2 pep primary_assembly:ASM411807v1:11:30182985:30183917:-1 gene:Vigun11g104001.v1.2 transcript:Vigun11g104001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNKLDKAYNWQVGRQKHYNMRLDETNEELSSIQRRLRKAHVGARKGRKVFFVQTTLHESCITQSFIKSWSS >Vigun01g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:13809378:13810824:1 gene:Vigun01g062500.v1.2 transcript:Vigun01g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCEHSNDLSCGLVSASNSKISIPIIDLTGIHDDPNLRDGVVGKVRYACEKWGFFRVINHGIPTPVLDEMTKGNRMFHQQDAEVRKEYYTRDSCSL >Vigun06g093500.1.v1.2 pep primary_assembly:ASM411807v1:6:22530031:22531433:1 gene:Vigun06g093500.v1.2 transcript:Vigun06g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGENRNESLLTRAIVAVFAFVRHAEFEILFFLFFFIAYLLFKDITSRPEYNQLFVKKPGGPEFWPF >Vigun11g113700.1.v1.2 pep primary_assembly:ASM411807v1:11:31661512:31667295:1 gene:Vigun11g113700.v1.2 transcript:Vigun11g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNIMELTRPNYAVVYVILLCALAQGGSEGKGGKEDGFSRDGGGASFIYVHRLKVENEGKHCRKQLVDRNIDLHPPEGSGDIKSIKSNINRETSSLPLYIKRKTLDSKRTSTSPVSEKGSSRYLSTEHSGSTLGVDRGHGRNLLADSPNKKTAPSPSPTPARSPLSPSEAPTPASSPLSPSRAPKPATSPPPPATPKKKNVPLPPDKSFSPPAPVARSPPKNSKPTGKTPPSKSSGDDKKNQTIILATTLSGVVILIGLFLCYREAKRKKRESDDSPLLVLTSDDYTGGSRKVVQLGNSRRDEYDIGDGKNPSNVRNLPSRKGIDINVSMVDIPSSSSSEGVKKLTVSASVESAPPSPPPPPPPSSSPLPLSSSLPPPSSPPPPPPSSSSPPPPPSSSPPPPPPPPPPPPSSSSSSSSFAPPPPPPPGRTAGPPPPPPPRQPPNAPRTPPPPKGAHAPPAPPKPVGGANHGPLLPKDGSPNEGDTQAPKPKLKPFFWDKVNAKPGQTMVWHEISSGSFVFNEEMMESLFGCTNQNKNDRRRDSSLENSVQYIKLIDPRKAQNLSILLRALNVTTEEVVDALVEGNEIPIELIQTLLKMAPTQDEELKLRLFTGQLHELGPAERFLKVLVEIPFAFKRLECLMFMHNLQEDFSSIKDSFATLEVACEDLRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVEEIIRSEGIRAARNERASRSISSVHTSDESDEGEESEDRYRRVGLQVVSSLGVELAAVKKAALIDGDALTSGVSKLGHSLVKTQNFLDTDMKNIVEETEFQGSMERFMVNARQEVLWMVEEEKRIMALVKSTADYFHGNAGKDEGLRLFLIVRDFLIILDKVCKQVKDSTMTPAKPPTITTTKKKPKPKTPSPSPSVSSPTARNQDSPKPSSNLHSKLFPAIAERRMDYSSSDSSDDDDD >Vigun11g113700.2.v1.2 pep primary_assembly:ASM411807v1:11:31661512:31667295:1 gene:Vigun11g113700.v1.2 transcript:Vigun11g113700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILASEEQTPTLPNQFLEDLSQLNSFIVWVIIQLHMIVKHYTWINQRLHVNFFSSGSRKVVQLGNSRRDEYDIGDGKNPSNVRNLPSRKGIDINVSMVDIPSSSSSEGVKKLTVSASVESAPPSPPPPPPPSSSPLPLSSSLPPPSSPPPPPPSSSSPPPPPSSSPPPPPPPPPPPPSSSSSSSSFAPPPPPPPGRTAGPPPPPPPRQPPNAPRTPPPPKGAHAPPAPPKPVGGANHGPLLPKDGSPNEGDTQAPKPKLKPFFWDKVNAKPGQTMVWHEISSGSFVFNEEMMESLFGCTNQNKNDRRRDSSLENSVQYIKLIDPRKAQNLSILLRALNVTTEEVVDALVEGNEIPIELIQTLLKMAPTQDEELKLRLFTGQLHELGPAERFLKVLVEIPFAFKRLECLMFMHNLQEDFSSIKDSFATLEVACEDLRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVEEIIRSEGIRAARNERASRSISSVHTSDESDEGEESEDRYRRVGLQVVSSLGVELAAVKKAALIDGDALTSGVSKLGHSLVKTQNFLDTDMKNIVEETEFQGSMERFMVNARQEVLWMVEEEKRIMALVKSTADYFHGNAGKDEGLRLFLIVRDFLIILDKVCKQVKDSTMTPAKPPTITTTKKKPKPKTPSPSPSVSSPTARNQDSPKPSSNLHSKLFPAIAERRMDYSSSDSSDDDDD >Vigun02g183600.1.v1.2 pep primary_assembly:ASM411807v1:2:32363391:32375199:-1 gene:Vigun02g183600.v1.2 transcript:Vigun02g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALAYTASTTANLPNLQSHSLFAAFRSKTSLSLCNTFPTKRIRKRLPHVRAESENGALVTSEKPLQNYGRQYFPLAAVVGQDAIKTALLLGATDPGIGGIAISGKRGTAKTVMARGLHAVLPPIEVVVGSIANADPSCPEEWEDGLAERLEYDSAGNIKTRIVKSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGINTVEREGISFKHPCRPLLIATYNPDEGAVREHLLDRIAVNLSADLPMSFENRVAAVGIATEFQENSSQVFEMVEEETDNAKTQIILAREYLKDVTISSEQLKYLVIEALRGGCQGHRAELFAARVAKCLAALEGREKVYVDDLKKAVELVILPRSIVTESPPDQQNQPPPPPPPPQNQESGEEQNEEEEDQEDDKDEENEQQQEQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGRAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRAKDSGNSRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAAAAEAPKPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISSATKEALTALKSS >Vigun09g080600.1.v1.2 pep primary_assembly:ASM411807v1:9:9579460:9582117:-1 gene:Vigun09g080600.v1.2 transcript:Vigun09g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLGDNIGLKEDVIKRVCGLAFKAHKHKPQDKLYFYEKVQISSGTYHVFSFSGSWDATEWFVNKPFGGTKIDLTLFPSLRSIGNDEAALVNQGFANRFDHIFKTTPFKSEVNKAIGDGKQVVFTGHSSGAAMAIFATFWTLEEYLNPTKTQKPKPPFCVTFGSPLIGNHILSHASRRENWSRYFIHFVLRYDIVPRILLAPVSSIEQTFGSILQSLNPKSKTSTQDSTQADFFSSVMRNTASVTSHAACILMGSTNLLLETVSNFVDLSPYRPFGTYIFCNGNGQLIVVKNSDAVLQLFFHTAQLNDLAELPEVAKVSILQHLSYEAELEDSLGMQNVVYLEQLEQLPLSADGSDSDVATISAALDGLGLSTRARLCLRAAGELQKQKQKNEEKISKEFEDKAVASMRYLENYKTSCEVQKGTGYYDAFKVQKEENDFQANVKRLVLAGVWDEVIEMLKRYELPDEFEGKIEWIQRGTNFRRLVEPLDIANYHRHLKNEDTGPYMIRARPKRYRYTQRWLEHAKRVPKAVPITESTFWAEVEELHSWINGKKAFDEVRERVEQLQRDLKKWTDEKELTKDTFSKDPSFIKLYEILPPENKANITALLANVKGF >Vigun03g152700.1.v1.2 pep primary_assembly:ASM411807v1:3:16124286:16127214:-1 gene:Vigun03g152700.v1.2 transcript:Vigun03g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAVCFVFLFLVLQVADTTCFAFSREKKLSEFRAKLDRKIQDLAATPLASPPASSETKRRGRVLYVSEYGADPSGNEESGDAILKAVEDAFGLQKGSEMVAGVNDLGGVVIDLEGGNYKISKPITFPSGGANIVVREGTLRASDKFPGDRHLVELRSSKSEEQGDGGIYYEDITFADILFDSSYRGGGLFIVDSARIRINNCFFLHFTTEGILVQKGHETFITDSFLGQHSTVGGDRDEKNFSGVAIDLASNDNAITDVVVFSAAIGVVLRGQANIVTGVHCYNKATGFGGIGILVKLPGNSLTRIDNCYMDYTGIVMEDPVQVHVTNGLFLGDANIVLKSVKGQVLGLNIVNNMFNGDPKRKVPIVSLDGEFSSIDQVLVDRNLVNGMGLRSTVGKLTVAGNGTKWVADFSSLLVFPKRISHFQFSFYAQDEPKFVALSATNVSDNVVVVESEKEAKGSVSFTVEQ >Vigun03g282900.2.v1.2 pep primary_assembly:ASM411807v1:3:46305722:46316856:1 gene:Vigun03g282900.v1.2 transcript:Vigun03g282900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLSNCDADLEEDFSFLQPLDIITKDVHELRQIISERRKKLQKERKKEIEKEHEGERVRAREKERREREKEVEKDRREREKEVERDRREREKELEKERREKEKEVEKERREREKEVERERREREKELQKDREKVETDKEREQQHGDQNVRDMVIDHEDRVPVKHEENGAVGGPEPMDISTTSTSQLCEIPSSCVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGRCKPGSHNGPLSVLVLKHVRGKTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHKGPIFSLKWNKKGDYLLTGSCDQTAIVWDVKAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDTYLHDLREHSKEIYTIRWSPTGPGTNNPNHKLVLASASFDSTVKLWDVELGKLIYSLDGHRHPVYSVAFSPNGEYLVSGSLDRSMHIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Vigun03g282900.3.v1.2 pep primary_assembly:ASM411807v1:3:46307711:46316856:1 gene:Vigun03g282900.v1.2 transcript:Vigun03g282900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDHEDRVPVKHEENGAVGGPEPMDISTTSTSQLCEIPSSCVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGRCKPGSHNGPLSVLVLKHVRGKTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHKGPIFSLKWNKKGDYLLTGSCDQTAIVWDVKAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDTYLHDLREHSKEIYTIRWSPTGPGTNNPNHKLVLASASFDSTVKLWDVELGKLIYSLDGHRHPVYSVAFSPNGEYLVSGSLDRSMHIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Vigun03g282900.1.v1.2 pep primary_assembly:ASM411807v1:3:46305395:46316856:1 gene:Vigun03g282900.v1.2 transcript:Vigun03g282900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAAELNFLVFRYLQESGFTHAAFTFGYEAGINKCPIDGNLVPQGALVTFVQKGLQYFEMEANLSNCDADLEEDFSFLQPLDIITKDVHELRQIISERRKKLQKERKKEIEKEHEGERVRAREKERREREKEVEKDRREREKEVERDRREREKELEKERREKEKEVEKERREREKEVERERREREKELQKDREKVETDKEREQQHGDQNVRDMVIDHEDRVPVKHEENGAVGGPEPMDISTTSTSQLCEIPSSCVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGRCKPGSHNGPLSVLVLKHVRGKTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSTLSKHKGPIFSLKWNKKGDYLLTGSCDQTAIVWDVKAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDTYLHDLREHSKEIYTIRWSPTGPGTNNPNHKLVLASASFDSTVKLWDVELGKLIYSLDGHRHPVYSVAFSPNGEYLVSGSLDRSMHIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Vigun05g171700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30699352:30722752:1 gene:Vigun05g171700.v1.2 transcript:Vigun05g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHFRSLQQRITKLKQFQFKHNPKPSDSINRGVRDSKLLIQWNKQIAENGRNGNVNDAESVFHRMPVKNTASWTAMLTVYAQNGQIENARKVFDQMPQRTTVSYNAMISAYIRNGCNVAKAYELFSVLSERNLVSYAAMVTGFVKAGKFHMAEELYRQAPHEFRDPACSNALINGYLKTGEVNEALKVFENMVERDVISWSAMVDGLCRDGRVAAARELFDRMPERNVVSWSAMIDGYMGKGFFQEGFSLFKDMRREGLVDVNSTTVTIMFKACGNYCRMPEGMQIHGLVSRLGFELESVLSNSMITMYCMFGCTHIADKVFCILSDKDIVTWNSLISGYVHNNEVEAAYRVFESMPEKNLISWTAMITGFTKSGRIGNAIELFNMLPVKDDFVWTTIISGFVNNKEYEEALHWYARMIWECRPNPLTISSVLAASAALVALNEGLQIHTCILKMNLEYHLSVQNSLISFYSKCGNVIDAHRIFLDVIEPNVISYNSIINGFGQNGYGKEALSIYKKMQSEGHEANHVTFLAVLSACTHAGLVEEGWNLFNSMKSRYGIEPEVDHYACIVDLLGRAGLLDEAVDLIRSMPFKPHSGVWGAILGASNTYLRLDLAKLAAQYITEMEPNNATPYVVLSNMYSASGEKIDSDLVRKTKNFKGIKKNPGCSWITVKDKVHLFLAGDQSHDNIEEMKATILTMDREMQWLCRCRC >Vigun03g340300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53865160:53865612:1 gene:Vigun03g340300.v1.2 transcript:Vigun03g340300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEKEEAVTTPTRRLNIEYNSSDLYDSFEFKQMTLQLNNAIQTSKASSPTYQEMAHKLNKVIQGSNASSPSYVFHINSPFYRRHLRRIYKQNTKTPRRISSPKVPDKRACTRRTREKGFVTRLWLKVKGLLAKKDESDEGIHRPSKAF >Vigun10g171000.5.v1.2 pep primary_assembly:ASM411807v1:10:38982632:38990171:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGSDG >Vigun10g171000.2.v1.2 pep primary_assembly:ASM411807v1:10:38982631:38990183:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun10g171000.3.v1.2 pep primary_assembly:ASM411807v1:10:38982631:38990183:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQFFCLTPQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun10g171000.4.v1.2 pep primary_assembly:ASM411807v1:10:38982631:38990109:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun10g171000.7.v1.2 pep primary_assembly:ASM411807v1:10:38982632:38990094:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQFFCLTPQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun10g171000.8.v1.2 pep primary_assembly:ASM411807v1:10:38982632:38990094:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun10g171000.6.v1.2 pep primary_assembly:ASM411807v1:10:38982632:38990109:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGSDG >Vigun10g171000.1.v1.2 pep primary_assembly:ASM411807v1:10:38982631:38990109:-1 gene:Vigun10g171000.v1.2 transcript:Vigun10g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARLRRSNLYTFGCLKPSTLEDAPHPLQGPGFSRTVYCNQPLLHERKPLYYCRNDISTTKYNVLTFVPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNRHKSEGSFSPRSWQTIMVGDVVKVQKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLESTLNLDNDEVFKDFTGTIRCEDPNPNLYTFVGNLEYERQIYPLDPSQILLRDSKLRNTEYIYGVAIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISVISSIGFVIKTKYQTPSWWYLRPDNIEYQYDPNKIGVAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDDDTGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRPSDVELAAAKQMASDTEEPDSDLSNFPLPKAKVRVSWDDVKKDEEIGLEAVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLKEPNADDLLMFFRILAVCHTAIPELNEETGVYTYEAESPDEGAFLVAAREFGFEFCRRTQSSIFVREKFSASRQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGTVFLMCKGADSIIFDRMSKNGKKYLEATTKHLNEYGEAGLRTLALAYRKLEEQEFSAWNNEFQKAKASVGAERDSMLERVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTPVTDSVTSDVKQFFCLTPQAIKDNIANQLTNASQMIKLEKDPHAAFALIIDGKTLTYTLEDDMKLQFLALAVDCASVICCRVSPKQKALVTRLVKQGSGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLVVTIFYDQAFRSDGQIADMAVVGTTMFTCIVCAVNCQIALTMSHFTWIQHLFVWGSISTWYIFLILYGMLTPELSKSAYQILAEALGPAPNYWITTILATVACNLPYFVHISYQRCFYPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRQLKGRLQKKQASIAISAPSPS >Vigun05g086900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8235832:8236759:1 gene:Vigun05g086900.v1.2 transcript:Vigun05g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIPSLVVIFVLLLFMIEFSSARRTLANVPSSNHNHGHQKITFLMRDVLNGSSTQYSEKPTTVNVTDQIPQSTATVPLNNAPSETLDLSTIGFSFPTRATLQELEYGSVTSIDEDLVESDGDEVKNIGKAEGVYVVSSEDGSSHMVAITASFLKGDALRLFGVHKSDVFESHVAVIGGTGKYYDANGYAAVKVVHKVGSKDGKVISSKFLLFDVYLS >Vigun04g162500.1.v1.2 pep primary_assembly:ASM411807v1:4:38597279:38605099:1 gene:Vigun04g162500.v1.2 transcript:Vigun04g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGSKRVYNRLGGDAKHQKVCFHWQAGKCNRYPCPFLHSELPASSHANGASSKRAYDNTGLSGFSGQRGRPNFNNTWGRGGGRGGGGGRGAVVKAEKVCNYWIQGNCNYGERCKFLHSWKVGDGFSLLTQLEGHQKAVSAIAFPSGSDKLYTGSTDETARIWDCQSGKCVGVINLGGEVGCMISEGPWVFVGIPNFVKAWNTQNLMELSLNGPVGQVYALVVSNDMLFAGTQDGSILAWKFNVGNNCFEPAASLKGHSRGVVSLVVGANRLYSGSMDNTIRVWNLETLQCIQTLTEHTSVVMSVLCWEQFLLSCSLDKTVKVWYATESGNLEVTYTHNEEHGILTLCGMHDSQGKPILLGACNDNTVRLYDLPSFAERGKILTKQEVRAIQIGPNGIFFTGDGTGEVRVWNWSAEATATVQ >Vigun08g223500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38107101:38107802:-1 gene:Vigun08g223500.v1.2 transcript:Vigun08g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGWKGKRASSEPRPCTECGKRFWSWKALFGHMRCHPERQWRGINPPPNARRHHHQQQRQQQQRQPQQQMTMIMTQEDHEVAACLLLLANSNNNREKGKEKGKEKEKEEEVQQWQFECSSCNRVFGSHQALGGHRASHKNVKGCFANTGNVSIGLGIGSSGKCEEENVNMSGDGHKCSICLRVFSTGQALGGHKRCHWENQKAENLLLLDLPKSSLDLNSPPLTLDLRLGL >Vigun10g073000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:18450715:18466018:1 gene:Vigun10g073000.v1.2 transcript:Vigun10g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEGSSFLNFLLLLYKNPPTRDDSFSGRGAGFGLLLHSPSNLFIFSSNRLESQSSPLPLSYLDPLYPLKFIDHRLRSNLHQNEHLRSSLEIPLVPNSLWLQKK >Vigun06g220900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33055747:33057786:1 gene:Vigun06g220900.v1.2 transcript:Vigun06g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDSKKKKERSSSKKEEEQAGKDEGLMIKPQSFTPPIDTSQWPILLKNYDRLNVRTGHYTPIPSGYSPLKRPLPEYLKYGVINLDKPANPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSAVPDVAKVGRALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDPDRHLVVFWISCEAGTYVRTMCVHLGLLLGVGGHMQELRRVRSGIMGEKDNMVSMHDVMDAQWVYDNFRDESYLRRAVMPLEILLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLISEGKLDKHGKPNEKTPQEWLRNLVLPTGGDSMIAGMAAAPEPEDEKVKKDADGEGRKRKKHESTDSPVSVPAKKAKVDVEEVKKVADEAVEVEVDKKEKKKKKKDKDSSEVASADEEKTEKKKKHKDKAEDASPELDKSEKKKKKKKDKEAAAAAAEISNGKEDDGNADKSEKKKHKKKKNKDAEEE >Vigun09g193100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36802247:36804401:1 gene:Vigun09g193100.v1.2 transcript:Vigun09g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALNFNPFKKHLLVMFTMVLSIPFSVQSQDREEEEQNLPQQPQTVQPSKGIVIAVLSTMFAITTILLLYVKFCRVNNRHQLLTPNSNLQNFQGRSRSSSRLSGIDREVVKTLPFFTFSSLKGFKDGLECTVCLSRFEDAETLRLLPKCMHAFHMNCIDKWFESHSTCPLCRRRVEAGDIKNLNYSLSSRFLRVPSNLTEDLNLEIFVQREASHRGSSTRGFKDAGKCKKQELLLGDGSGTKWDKHVRVTNLKIVISDVFTRSRWSDLNSSDLLSLNSEMLNDVSSRRFCSSSLESTADSGKVQGSWRCKEEEKWFTEVNPGEKRCMSEIANVPRFAEMGKENRIGESVRSNERLGRVWLPIARRTIQWIAKQERNPSELEPKTLASNV >Vigun10g056066.1.v1.2 pep primary_assembly:ASM411807v1:10:10141787:10143892:-1 gene:Vigun10g056066.v1.2 transcript:Vigun10g056066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETQHSHFILCVHSLPNVPSRLRSLSVSPFSFLLFVSPLSFHLFLLSPSFASICTSICASVHDCRRSHLLDWTQDEEDALIAGVEKHSPGKWKNILKDPQFAPFLTSRSNIDLKDKWRNLSVNNGSQGSKDKPRVPKLKALPPPPPPTSATSSTTTTAPQNAPPTPLNAQVEVAALDSSLNDQDVKKPPRYNAMVFEALSALKDNNGSDLNAIVSFIEQKHQVPQNFRRALSTRLMRLVSQGKLEKAPDIESCVSSPHEILGSSGGSKTP >Vigun09g192400.1.v1.2 pep primary_assembly:ASM411807v1:9:36712945:36716222:-1 gene:Vigun09g192400.v1.2 transcript:Vigun09g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMPSSLTNPTSSPTIFLPPISHRNNHLLPPNKLIINKGQRNLRDLTVANVASPSLPMITPPTPEEHRSETTAGHHHVAWTSVPQERWEGELQVEGQIQPWLNGTYLRNGPGMWHIGDYNFRHLFDGYATVVKLRIQDGRLFAGHRQLESEAYRAAKKNQKICYREFSEVPKAANFLAYVGELASLFSGASLTDNANTGVVRLGDGRVVCLTETQKGSIVIDPETLETVGKFEYSDSLGGLIHSAHPVVTDTEFVTLLPDLVRAGYLVVRMEPGTNERRVIGRVNCRGGPSPGWVHSFPVTQHYVLVPEMPLRYCAQNLLKAEPTPLYKFEWHPQSKAFMHVMCKTSGNIVASVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNSDPTILDRLRLQNLRSFNGEDVLPDARVGRFRIPLDGSSYGTLDAALDPNEHGKGMDMCSINRNHLGKKYRYAYACGARRPCNFPNTLTKLDLELKKATNWHEEGAVPSEPFFVARPGATEEDDGVVISIVSEKNGEGYALLLDGSSFEEIARAKFPYGLPYGLHGCWVPKE >Vigun09g192400.2.v1.2 pep primary_assembly:ASM411807v1:9:36712945:36716222:-1 gene:Vigun09g192400.v1.2 transcript:Vigun09g192400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMPSSLTNPTSSPTIFLPPISHRNNHLLPPNKLIINKGQRNLRDLTVANVASPSLPMITPPTPEEHRSETTAGHHHVAWTSVPQERWEGELQVEGQIQPWLNGTYLRNGPGMWHIGDYNFRHLFDGYATVVKLRIQDGRLFAGHRQLESEAYRAAKKNQKICYREFSEVPKAANFLAYVGELASLFSGASLTDNANTGVVRLGDGRVVCLTETQKGSIVIDPETLETVGKFEYSDSLGGLIHSAHPVVTDTEFVTLLPDLVRAGYLVVRMEPGTNERRVIGRVNCRGGPSPGWVHSFPVTQHYVLVPEMPLRYCAQNLLKAEPTPLYKFEWHPQSKAFMHVMCKTSGNIVASVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNSDPTILDRLRLQNLRSFNGEDVLPDARVGRFRIPLDGSSYGTLDAALDPNEHGKGMDMCSINRNHLGKKYRYAYACGARRPCNFPNTLTKS >Vigun05g172300.1.v1.2 pep primary_assembly:ASM411807v1:5:31006226:31009529:-1 gene:Vigun05g172300.v1.2 transcript:Vigun05g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDQPKASIVGHQHQHHHHHPPPQPLPGPPPQYGTFQGVANYPPPPEPHPAIGFPQPVPPAGAVNAGPLPPYYPGYQAVPGYAVAEGRPVRERRLGCCGLGCGWCLFILGFFLAAIPWYVGAIIMLCSRVDHREKPGYVACVVAAVLGTIAIILGVTKGADDW >Vigun04g122800.6.v1.2 pep primary_assembly:ASM411807v1:4:31384549:31386363:-1 gene:Vigun04g122800.v1.2 transcript:Vigun04g122800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGKWGLAVAMVKEFEKQCGTSIAKLRQVADAMDIEMHAGLASEGGSKLKMLITFVDNLPSRDEKGFFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTGSSHELFDFIADKLAKFVSSEPEEFHPAPGKQRELGFTFSFPVRQTSNSSGTLIKWTKGFNIEDAVGEDVVGELNKAFKKMEVY >Vigun08g164500.4.v1.2 pep primary_assembly:ASM411807v1:8:33658782:33662892:1 gene:Vigun08g164500.v1.2 transcript:Vigun08g164500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAQVLGLSATRVASAVISAAHNASAHRRNCERMVEQVRMIGNLLVTLESTEVARLPATKEPLDGLQEALRKALELVESCKDKSYLYMLAMGWSVVNQFRHVQTQIDRHLQLVPLISAVHDFRMQHLGEEDQTEYTLDEEEMETQNVILKTNRSKKDAGILEKSLSRRYPDLGFHEALKEEKEKLHIELHRSRTNNDPDQCRVIEHLIEVTENVVSVTPNEKVAKVIFNEPTDLISRNITNNAIAFEDLELESGDKSQSEWETDLFGCFSEPCLSRTRAMTNLMAYSMFCVCCCYSCCIRGKLRSKFNIEGGLCDD >Vigun08g164500.5.v1.2 pep primary_assembly:ASM411807v1:8:33658766:33663154:1 gene:Vigun08g164500.v1.2 transcript:Vigun08g164500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAQVLGLSATRVASAVISAAHNASAHRRNCERMVEQVRMIGNLLVTLESTEVARLPATKEPLDGLQEALRKALELVESCKDKSYLYMLAMGWSVVNQFRHVQTQIDRHLQLVPLISAVHDFRMQHLGEEDQTEYTLDEEEMETQNVILKTNRSKKDAGILEKSLSRRYPDLGFHEALKEEKEKLHIELHRSRTNNDPDQCRVIEHLIEVTENVVSVTPNEKVAKVIFNEPTDLISR >Vigun08g164500.2.v1.2 pep primary_assembly:ASM411807v1:8:33658782:33662893:1 gene:Vigun08g164500.v1.2 transcript:Vigun08g164500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAQVLGLSATRVASAVISAAHNASAHRRNCERMVEQVRMIGNLLVTLESTEVARLPATKEPLDGLQEALRKALELVESCKDKSYLYMLAMGWSVVNQFRHVQTQIDRHLQLVPLISAVHDFRMQHLGEEDQTEYTLDEEEMETQNVILKTNRSKKDAGILEKSLSRRYPDLGFHEALKEEKEKLHIELHRSRTNNDPDQCRVIEHLIEVTENVVSVTPNEKVAKVIFNEPTDLISRNITNNAIAFEDLELESGDKSQSEWETDLFGCFSEPCLCLKTCFFPCGTFSWIVNVVTGGETSRTRAMTNLMAYSMFCVCCCYSCCIRGKLRSKFNIEVCVMTN >Vigun08g164500.1.v1.2 pep primary_assembly:ASM411807v1:8:33658782:33662892:1 gene:Vigun08g164500.v1.2 transcript:Vigun08g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAQVLGLSATRVASAVISAAHNASAHRRNCERMVEQVRMIGNLLVTLESTEVARLPATKEPLDGLQEALRKALELVESCKDKSYLYMLAMGWSVVNQFRHVQTQIDRHLQLVPLISAVHDFRMQHLGEEDQTEYTLDEEEMETQNVILKTNRSKKDAGILEKSLSRRYPDLGFHEALKEEKEKLHIELHRSRTNNDPDQCRVIEHLIEVTENVVSVTPNEKVAKVIFNEPTDLISRNITNNAIAFEDLELESGDKSQSEWETDLFGCFSEPCLCLKTCFFPCGTFSWIVNVVTGGETSRTRAMTNLMAYSMFCVCCCYSCCIRGKLRSKFNIEGGLCDD >Vigun08g164500.6.v1.2 pep primary_assembly:ASM411807v1:8:33658628:33662999:1 gene:Vigun08g164500.v1.2 transcript:Vigun08g164500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAQVLGLSATRVASAVISAAHNASAHRRNCERMVEQVRMIGNLLVTLESTEVARLPATKEPLDGLQEALRKALELVESCKDKSYLYMLAMGWSVVNQFRHVQTQIDRHLQLVPLISAVHDFRMQHLGEEDQTEYTLDEEEMETQNVILKTNRSKKDAGILEKSLSRRYPDLGFHEALKEEKEKLHIELHRSRTNNDPDQCRVIEHLIEVTENVVSVTPNEKVAKVIFNEPTDLISR >Vigun07g099100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17337987:17338616:1 gene:Vigun07g099100.v1.2 transcript:Vigun07g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFPLFQKPDRKMFEEPSEILLESLSSNHVVDTVHDSLSFDMVEECTTEENTNKAKQLVAKSEEVKKKERSYKGVRKRPWGKYAAEIRDTTRNGRRVWLGTFESAEAAALAYDQAAFSMRGHSAVLNFPVKRVKESLQEIQYTCFTGSSPALALKERHCIQRKLSSKPKKCKGKDPSQDPTQSLVVLECLGVEYLEQLLSISDQSVTL >VigunL008202.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000147.1:32162:32473:1 gene:VigunL008202.v1.2 transcript:VigunL008202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVICLPEPRGLSVETLTNNRRFLMVFPLLTAALSTPPDIWCQIVARFLISSIIELTIFVASIVQVREEAGRVE >Vigun02g080000.1.v1.2 pep primary_assembly:ASM411807v1:2:23259016:23263234:1 gene:Vigun02g080000.v1.2 transcript:Vigun02g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIDLVGDVFPLEESKPGNTHFCFVPARTRRQGQCEQTHSDLSIETRKPDLSSMNFSLCLSSPIISTLVHFLLLVSLLCPVCSSEMGNTPVANHTFKPQEQIHKMNIIRTRLQQINKPGVKTIQSPDGDVIDCVVSHQQPAFDHPMLKGHKPLDPPERPKGHNRRDILSENFQLWTMSGESCPEGTIPIRRTKEQDMLRASSVSRFGRKIRRRVRRDTSSNGHEHAVGYVSGEQYYGAKASINVWAPRVTNQDEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDRYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYAGGQFDISLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRDHASMVQFGGEVVNSRQSGSHTSTQMGSGHFASEGFGKASYFRNMQVVDWDNNLVPLSNLRVLADHPNCYDIQGGINNVWGNYFYYGGPGRNVRCP >Vigun11g171600.1.v1.2 pep primary_assembly:ASM411807v1:11:37711336:37713425:-1 gene:Vigun11g171600.v1.2 transcript:Vigun11g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGIGLVLARATELRLKITNCIHRTDTTNGPTPHPDDDDEEATERLLNICDALEALETQLSSLQVLQQQQRYEREVALAEIENSRKMLIEKLSEYKGKELEVIQEASTFASETVEHSNDLLLPPYPSRPPFSVSMDKEYLSQIPSVNKSGRNGLMTLDPMIEAKKNLSEKDQNLVDNGAKNSRKGLGFFITSAAKTMLTVVGVVSILSLSGFGPKLGTRFSVQGWRHRVENVERSTTKNGSERASIQCPPGKIMVWENGEARCLVKERVEIPFSAVAATPDINYGCG >Vigun11g171600.2.v1.2 pep primary_assembly:ASM411807v1:11:37711348:37713424:-1 gene:Vigun11g171600.v1.2 transcript:Vigun11g171600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGIGLVLARATELRLKITNCIHRTDTTNGPTPHPDDDDEEATERLLNICDALEALETQLSSLQVLQQQQRYEREVALAEIENSRKMLIEKLSEYKGKELEVIQEASTFASETVEHSNDLLLPPYPSRPPFSVSMDKEYLSQIPSVNKSGRNGLMTLDPMIEAKKNLSEKDQNLVDNGAKNSRKGLGFFITSAAKTMLTVVGVVSILSLSGFGPKLGTRFSVQGWRHRVENVERSTTKNGSERASIQCPPGKIMVWENGEARCLVKERVEIPFSAVAATPDINYGCG >Vigun07g006700.1.v1.2 pep primary_assembly:ASM411807v1:7:560685:563762:-1 gene:Vigun07g006700.v1.2 transcript:Vigun07g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKESTVAKLQAVKLESGKSYNQLAEETGLTNVYVAQLLRRQAQLKPDTAPVLRAALPGLSEFLLREMMRPPLRSYDPNLIQDPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKIKGVDGKDRVVVTFDGKYLPHSEQKSEHMVSIRRS >Vigun03g009900.1.v1.2 pep primary_assembly:ASM411807v1:3:685020:690941:1 gene:Vigun03g009900.v1.2 transcript:Vigun03g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSFVVSTSPTRHDVFLSFRGEDTRDNFISHLYAALQRKNIEAYIDYRLQRGEEISPALETAIEESKIYVLVFSENYASSTWCLNELTKILDCKKRYERDVIPVFYKVDPSTVRKQEERYKEAFEEHEQRFKDDMDRVQRWKNALTEAAELSGWDSKVTRPEYKLVEQIVEDILRKLNRYSIINDQRIVGTEKHISEIKSLLHLESSDVRIIGICGMGGIGKTTISKQIYHALALQFDSSSLVLDVQEKLQRDGIDNIRIKYMFELLNETPSPRLLYYNERLKRTKVFLILDDITDSAQVRRLMEGLDSFGQGSRIIMTSRDRQVLKNAGADDIYELKGLNFYDSLKLFNLHAFKQNSSDESTYMDLSIKVLRYAKGIPLVLRLLGSLLYGRKREAWESQLQKLKKCQDLKIFNVLKLSYDGLDEEQKNIFLDIACFYRGHNESMVVKILDDCGFSSLIGMNILKDRCLISILDGRIVMHDLIQEMGQEIVRKECPQHPAKHSRLFKADEIHEILRNNKGSDAIQCILQDVDKMKKVKVHAKAFKMMNNLRMLVLYSYSRFSHSNVFLESSLVSLPDTLKILYWIGFRQKSLPPKFCPQNLVRLEMPRCHLEQLWEGDQSLPKLKRLNLSYSRKLTRIPDLSLSPNIEEIILSSCQKLINVHSSKLLSKLTCLCLDNCYDLKSVTIPSNILSSSPGLILLSSCDKLEMFSTSQPKSHLKLKRQRGTFSRSHRKKLEYQPGTFSSFPRLKKQFRFFRKSFVSDGLAESTLESYSTISLSIPIFSIIFMRYEEEEKEVTNNNIYVYDERPIQLTGGVPLNYRSLKKLCFIDLSNCSSLTIFPFDLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLDNTAIQALPSSLWRLVGLQELSLRSCRNLEIIPSSIGSLTRLCKLDLAYCESLQTFPSTIFRLKLKKLDLCGCLRLTTFPEITEPTQTFAHINLSETLIKELPSSFGNLVKLRSLQLNKCTDLESLPNSIVNLLDLRKLDCSGCVKLTEIPTHIGRLTSLMELSLSETGIVNLPESIAHLSSLKSFDLSDCKKLECIPQIPPCLNQLVALDCTSIRRVMSNSLVRNLSDSKEGVFQFHFTNAQQLDSGARENIEEDARLRMTDDACTSACFCFPGTEVPNWFPFRNEGASVTINDDLRFCSDDRLIGFALCVVFGMLDTNDIGGRRGSFRYSLKFESDDDGTQIIPNNDLLNNYFEWIHKDRLLDQNHTFVWKFNLESLRRSGMSIRLCDARSFTFEISRNDYDFQWPNYDSFVYEFRSVVTIKECGMCPLYSSGCGSNVAQSSRETKEDRKRNAESYLVS >Vigun03g009900.2.v1.2 pep primary_assembly:ASM411807v1:3:685061:690941:1 gene:Vigun03g009900.v1.2 transcript:Vigun03g009900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSFVVSTSPTRHDVFLSFRGEDTRDNFISHLYAALQRKNIEAYIDYRLQRGEEISPALETAIEESKIYVLVFSENYASSTWCLNELTKILDCKKRYERDVIPVFYKVDPSTVRKQEERYKEAFEEHEQRFKDDMDRVQRWKNALTEAAELSGWDSKVTRPEYKLVEQIVEDILRKLNRYSIINDQRIVGTEKHISEIKSLLHLESSDVRIIGICGMGGIGKTTISKQIYHALALQFDSSSLVLDVQEKLQRDGIDNIRIKYMFELLNETPSPRLLYYNERLKRTKVFLILDDITDSAQVRRLMEGLDSFGQGSRIIMTSRDRQVLKNAGADDIYELKGLNFYDSLKLFNLHAFKQNSSDESTYMDLSIKVLRYAKGIPLVLRLLGSLLYGRKREAWESQLQKLKKCQDLKIFNVLKLSYDGLDEEQKNIFLDIACFYRGHNESMVVKILDDCGFSSLIGMNILKDRCLISILDGRIVMHDLIQEMGQEIVRKECPQHPAKHSRLFKADEIHEILRNNKSLPKLKRLNLSYSRKLTRIPDLSLSPNIEEIILSSCQKLINVHSSKLLSKLTCLCLDNCYDLKSVTIPSNILSSSPGLILLSSCDKLEMFSTSQPKSHLKLKRQRGTFSRSHRKKLEYQPGTFSSFPRLKKQFRFFRKSFVSDGLAESTLESYSTISLSIPIFSIIFMRYEEEEKEVTNNNIYVYDERPIQLTGGVPLNYRSLKKLCFIDLSNCSSLTIFPFDLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLDNTAIQALPSSLWRLVGLQELSLRSCRNLEIIPSSIGSLTRLCKLDLAYCESLQTFPSTIFRLKLKKLDLCGCLRLTTFPEITEPTQTFAHINLSETLIKELPSSFGNLVKLRSLQLNKCTDLESLPNSIVNLLDLRKLDCSGCVKLTEIPTHIGRLTSLMELSLSETGIVNLPESIAHLSSLKSFDLSDCKKLECIPQIPPCLNQLVALDCTSIRRVMSNSLVRNLSDSKEGVFQFHFTNAQQLDSGARENIEEDARLRMTDDACTSACFCFPGTEVPNWFPFRNEGASVTINDDLRFCSDDRLIGFALCVVFGMLDTNDIGGRRGSFRYSLKFESDDDGTQIIPNNDLLNNYFEWIHKDRLLDQNHTFVWKFNLESLRRSGMSIRLCDARSFTFEISRNDYDFQWPNYDSFVYEFRSVVTIKECGMCPLYSSGCGSNVAQSSRETKEDRKRNAESYLVS >Vigun03g009900.3.v1.2 pep primary_assembly:ASM411807v1:3:685170:690907:1 gene:Vigun03g009900.v1.2 transcript:Vigun03g009900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSFVVSTSPTRHDVFLSFRGEDTRDNFISHLYAALQRKNIEAYIDYRLQRGEEISPALETAIEESKIYVLVFSENYASSTWCLNELTKILDCKKRYERDVIPVFYKVDPSTVRKQEERYKEAFEEHEQRFKDDMDRVQRWKNALTEAAELSGWDSKVTRPEYKLVEQIVEDILRKLNRYSIINDQRIVGTEKHISEIKSLLHLESSDVRIIGICGMGGIGKTTISKQIYHALALQFDSSSLVLDVQEKLQRDGIDNIRIKYMFELLNETPSPRLLYYNERLKRTKVFLILDDITDSAQVRRLMEGLDSFGQGSRIIMTSRDRQVLKNAGADDIYELKGLNFYDSLKLFNLHAFKQNSSDESTYMDLSIKVLRYAKGIPLVLRLLGSLLYGRKREAWESQLQKLKKCQDLKIFNVLKLSYDGLDEEQKNIFLDIACFYRGHNESMVVKILDDCGFSSLIGMNILKDRCLISILDGRIVMHDLIQEMGQEIVRKECPQHPAKHSRLFKADEIHEILRNNKGSDAIQCILQDVDKMKKVKVHAKAFKMMNNLRMLVLYSYSRFSHSNVFLESSLVSLPDTLKILYWIGFRQKSLPPKFCPQNLVRLEMPRCHLEQLWEGDQSLPKLKRLNLSYSRKLTRIPDLSLSPNIEEIILSSCQKLINVHSSKLLSKLTCLCLDNCYDLKSVTIPSNILSSSPGLILLSSCDKLEMFSTSQPKSHLKLKRQRGTFSRSHRKKLEYQPGTFSSFPRLKKQFRFFRKSFVSDGLAESTLESYSTISLSIPIFSIIFMRYEEEEKEVTNNNIYVYDERPIQLTGGVPLNYRSLKKLCFIDLSNCSSLTIFPFDLSEMKFLKQLCLRGCSKLETFPEIEDTMEDLAVLVLDNTAIQALPSSLWRLVGLQELSLRSCRNLEIIPSSIGSLTRLCKLDLAYCESLQTFPSTIFRLKLKKLDLCGCLRLTTFPEITEPTQTFAHINLSETLIKELPSSFGNLVKLRSLQLNKCTDLESLPNSIVNLLDLRKLDCSGCVKLTEIPTHIGRLTSLMELSLSETGIVNLPESIAHLSSLKSFDLSDCKKLECIPQIPPCLNQLVALDCTSIRRVMSNSLVRNLSDSKEGVFQFHFTNAQQLDSGARENIEEDARLRMTDDACTSACFCFPGTEVPNWFPFRNEGASVTINDDLRFCSDDRLIGFALCVVFGMLDTNDIGGRRGSFRYSLKFESDDDGTQIIPNNDLLNNYFEWIHKDRLLDQNHTFVWKFNLESLRRSGMSIRLCDARSFTFEISRNDYDFQWPNYDSFVYEFRSVVTIKECGMCPLYSSGCGSNVAQSSRETKEDRKRNAESYL >Vigun03g007800.1.v1.2 pep primary_assembly:ASM411807v1:3:524964:525886:-1 gene:Vigun03g007800.v1.2 transcript:Vigun03g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFLYIWVLKTKMGLHSFFCYLLLLLALIASFSSTQVIAELEIKRKLAPPPPPRTSSNPHSGNN >Vigun04g035900.1.v1.2 pep primary_assembly:ASM411807v1:4:2977228:2986847:-1 gene:Vigun04g035900.v1.2 transcript:Vigun04g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSIFRDQSSNQIPDLSLHISLPNSAPSSICTGEDSPFDAWPHQDDYAEEGFKSHSDGSIKGCSPYHTDTQLSLANSTTVTVTPSEADSTWRKRNFVRLSHHGVSEAQIRLINGIPLYSNLSSLDNNTSTERNPTNKFSFSSLYSLPHPPYSSNSAPNYTYNGAVGGVAGEPISRPQQFQHFDYPQQQQFGNSSNFGASEFSNNGFVRSRMLPRNQSNKRNMRAPRMRWTSSLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAPSSDGDEDFMSITVPNNQNTNFLPNQRGAPNASMDHDKGYTSSNLWANSSTSRGARIQASAHDLEELSHHEILSSQQYSGKLSEGNNYIQTRSFKDQNPSLEFTLGRSTQE >Vigun04g035900.3.v1.2 pep primary_assembly:ASM411807v1:4:2977228:2986847:-1 gene:Vigun04g035900.v1.2 transcript:Vigun04g035900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSIFRDQSSNQIPDLSLHISLPNSAPSSICTGEDSPFDAWPHQDDYAEEGFKSHSDGSIKGCSPYHTDTQLSLANSTTVTVTPSEADSTWRKRNFVRLSHHGVSEAQIRLINGIPLYSNLSSLDNNTSTERNPTNKFSFSSLYSLPHPPYSSNSAPNYTYNGAVGGVAGEPISRPQQFQHFDYPQQQQFGNSSNFGASEFSNNGFVRSRMLPRNQSNKRNMRAPRMRWTSSLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAPSSERSSKCIHGS >Vigun04g035900.2.v1.2 pep primary_assembly:ASM411807v1:4:2983183:2986847:-1 gene:Vigun04g035900.v1.2 transcript:Vigun04g035900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSIFRDQSSNQIPDLSLHISLPNSAPSSICTGEDSPFDAWPHQDDYAEEGFKSHSDGSIKGCSPYHTDTQLSLANSTTVTVTPSEADSTWRKRNFVRLSHHGVSEAQIRLINGIPLYSNLSSLDNNTSTERNPTNKFSFSSLYSLPHPPYSSNSAPNYTYNGAVGGVAGEPISRPQQFQHFDYPQQQQFGNSSNFGASEFSNNGFVRSRMLPRNQSNKRNMRAPRMRWTSSLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAPSSDGDEDFMSITVPNNQNTNFLPNQRGAPNASMDHDKGYTSSNLWANSSTRKQSFLKLDEI >Vigun10g153600.3.v1.2 pep primary_assembly:ASM411807v1:10:37396864:37400187:1 gene:Vigun10g153600.v1.2 transcript:Vigun10g153600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMQEVKGYSDSREEEEEEETAEEIVTREESSRLLHQHQDAAASNFIITNHHNHHHHHTTKQLDFMDLTLGGSKDEGNLQGSGGGAASSVFPHHATASSSADANVSNLQQPSEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSANEKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELYRHRLYIDWRRRPDHHHHHGPDPSASLFTPFFLPNQPHFIRWGATGRFYSLPSPNPPRHHDHLNQHLNYNNTMYHQHHHPFHHQLQGAAAAAGGNGTHHYNYHDMSSSGSGSVYYLRSTPPPMPFADHQTLNTRQQQQQEGGNVSSHTPIIIDSVPVAHHHHHLHHHHHGGSSTSTSPSTAGKRLRLFGVNMECASSTSEDPNCFSLLSSSSMAHATMANSNSPPSSSLPPLQLLREDSLSSPSSARFGDQRGEQQQQPSMLFDLDPSLQYRQ >Vigun09g015500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1134327:1134939:-1 gene:Vigun09g015500.v1.2 transcript:Vigun09g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNKGVVRLVENPSSERKVLVHSASNEIITSYAVLEHKLSSLGWERYYDDPDLLQFHKRATVHLISLPRDFNRFRSMHMYDIVVKNKNYFEVRDM >Vigun06g141400.1.v1.2 pep primary_assembly:ASM411807v1:6:26710889:26714545:1 gene:Vigun06g141400.v1.2 transcript:Vigun06g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSAVVVAVLCAFAMHAGFLVTNAQLSPTFYNETCPTLNDIVFNVVSNASLTDPRIGASLLRLHFHDCFVQGCDGSVLLNNTDTIQSEQDAIPNLNSLRGLDVVNNIKTAVEDSCPETVSCADILAIAAEVGSVLGGGPSWDVLLGRRDSLNASQALANTSLPAPFFTIDQLNASFAAQGLNLTDLVTLSGAHTFGRARCSTFINRLYSFNNTGNPDPTLNTTYLATLQAICPQNATVDNITNLDLTTPDQFDNNYYSNLQQLNGLLQSDQELFSTTGADTVPLVNSFSADQSAFFANFVVSMIKMGNIGVLTGTDGEIRTQCNFVNANSSATLLLAGVASKVNLVAQSK >Vigun07g011000.1.v1.2 pep primary_assembly:ASM411807v1:7:952451:956378:-1 gene:Vigun07g011000.v1.2 transcript:Vigun07g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEEVVAAAPAPEAGLKHKLERKWTFWCDNQSKPKQGAAWGTSLRKVYTFDTVEEFWCLYHEIFKPSKLQINADFHLFKTGVEPKWEDPECANGGKWTVTSNIGRKANLENMWLETLMALIGEQFEDAEDICGVVASVRQWQDKLSLWTKTAANEAAQMSIGRKWKEIIDVNDKITYNFHDDSRTKGATKGRYTV >Vigun05g262500.4.v1.2 pep primary_assembly:ASM411807v1:5:45492403:45497097:1 gene:Vigun05g262500.v1.2 transcript:Vigun05g262500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENALVICNHRSDIDWLIGWVLAQRCGCLGSTVAIMKKEVKYLPVLGWSMWFAEYIFLERSWKKDETSLKSGFEHLEHMPLPFWLALFVEGTRFTQTKLLQAQEFAASKGLPTPRNVLIPRTKGFVTAVSNLRKFVPAIYDCTYAVPKSEASPTLLRIFKGISCSVKVQIKRQKMVELPETDDDIAQWCKDTFVAKDAMLEKYNTTEMFSDQELQQIRRPKTSVLVVVCWLWVLGFLIYEFFHWTSLLSSWQGIIITMLFLLLVIVIMEIFIHSSESEHSKPKFLPTQDPLKQNLIQR >Vigun05g262500.3.v1.2 pep primary_assembly:ASM411807v1:5:45492082:45497097:1 gene:Vigun05g262500.v1.2 transcript:Vigun05g262500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPATIVILPLGILFILSGLIVNIIQAIFFLLLRPISKNCYRRVNKLLTESLWLELIWLVDWWAGIKIELHTDSETFQLMGKENALVICNHRSDIDWLIGWVLAQRCGCLGSTVAIMKKEVKYLPVLGWSMWFAEYIFLERSWKKDETSLKSGFEHLEHMPLPFWLALFVEGTRFTQTKLLQAQEFAASKGLPTPRNVLIPRTKGFVTAVSNLRKFVPAIYDCTYAVPKSEASPTLLRIFKGISCSFLRFYTGEGSN >Vigun05g262500.1.v1.2 pep primary_assembly:ASM411807v1:5:45492082:45497097:1 gene:Vigun05g262500.v1.2 transcript:Vigun05g262500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPATIVILPLGILFILSGLIVNIIQAIFFLLLRPISKNCYRRVNKLLTESLWLELIWLVDWWAGIKIELHTDSETFQLMGKENALVICNHRSDIDWLIGWVLAQRCGCLGSTVAIMKKEVKYLPVLGWSMWFAEYIFLERSWKKDETSLKSGFEHLEHMPLPFWLALFVEGTRFTQTKLLQAQEFAASKGLPTPRNVLIPRTKGFVTAVSNLRKFVPAIYDCTYAVPKSEASPTLLRIFKGISCSVKVQIKRQKMVELPETDDDIAQWCKDTFVAKDAMLEKYNTTEMFSDQELQQIRRPKTSVLVVVCWLWVLGFLIYEFFHWTSLLSSWQGIIITMLFLLLVIVIMEIFIHSSESEHSKPKFLPTQDPLKQNLIQR >Vigun05g262500.2.v1.2 pep primary_assembly:ASM411807v1:5:45492082:45497097:1 gene:Vigun05g262500.v1.2 transcript:Vigun05g262500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPATIVILPLGILFILSGLIVNIIQAIFFLLLRPISKNCYRRVNKLLTESLWLELIWLVDWWAGIKIELHTDSETFQLMGKENALVICNHRSDIDWLIGWVLAQRCGCLGSTVAIMKKEVKYLPVLGWSMWFAEYIFLERSWKKDETSLKSGFEHLEHMPLPFWLALFVEGTRFTQTKLLQAQEFAASKGLPTPRNVLIPRTKGFVTAVSNLRKFVPAIYDCTYAVPKSEASPTLLRIFKGISCSVKVQIKRQKMVELPETDDDIAQWCKDTFVAKTMFVL >Vigun08g115700.1.v1.2 pep primary_assembly:ASM411807v1:8:28242012:28247747:1 gene:Vigun08g115700.v1.2 transcript:Vigun08g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMQLLSRVILVEGTFIGALIVYRHLVAALCVAPFAFYLERMTMAQGLFYYGLRDTSATYSITFLNLIPIITFFTSILLRMEKVKWETWVGKAKCVGSIVCVGGALVTSVYKGKEIFVGHHIHRTHQSAPHKTHMLYGSIFLICSCFSCTAWFIAQVKLFKVFPLKYWGTMVSCILAAIQAAAVGVWLDSSKAAWRLEWNLQLITIVYSGALATAATFCIISWAITIQGPTYPPMLSGMVLIITGLYFFLWGKNNDTHKNVAAPEGSTMMIDGLTATQSTSAVLPSASPTDSVLLEIENTENS >Vigun09g105200.1.v1.2 pep primary_assembly:ASM411807v1:9:19408149:19409705:1 gene:Vigun09g105200.v1.2 transcript:Vigun09g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMSLLPPLAVPKLKTQIHTPNLALGDRVLPNLLSLALAATLASPFPSHAIPSLSSQSPPLSLTTPFSQSKNLDLGLENGKIRPCPSINPGCISTNPKSSSFSFPWLIPENSLDDAIQKLREAILKTQKNVKFQPVENTPDGQYLQAEVDGGFGRDVVEFLVKGDVVAYRCVAMKVTYVYPFTTAFGDSKGQEARLKQISDQLGWYAPSFDSME >Vigun10g102150.1.v1.2 pep primary_assembly:ASM411807v1:10:29502093:29504521:-1 gene:Vigun10g102150.v1.2 transcript:Vigun10g102150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTTNRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMSWGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGDVRKITNLTLSPSILFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Vigun08g203200.1.v1.2 pep primary_assembly:ASM411807v1:8:36694248:36696417:-1 gene:Vigun08g203200.v1.2 transcript:Vigun08g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLPILFINCFFCLGKATDCGGNHVTETIIVGKYGQAAFRTIQAAIDSVKTNNDQWVKIHIKAGLYIESVVIPKHKPCIILKGEGSRRTIISHWDHHSINNNATFLSFAPNVIASGITFKNSYNVAIYKSNDLRRKIEPANAAKVYGDKCFFHKCSFIGYQDTLFDHSGRHYFKDCYIQGDTDFVYGYGRSYYRNCSIKVMGRNPNLPGFVTAQGRKSRDDRSGFVFEGGSIKGNSKVNLGRAWRPYSRVIFRNTYFSDIVTPQGWVAWTAANNPNTTTYAEVDCKGPGANTSKRVPWMKKLSSSDLNEFSFASFINSDGWVDNLSTIS >Vigun03g404000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61121546:61122410:1 gene:Vigun03g404000.v1.2 transcript:Vigun03g404000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAKIRKEHVVILVVVIGLCSSVVESRKHVSIKNRLGAGNNITLHCQSKDNDLGQQNIENGKEFGWDFSDNVFGTTLFFCDVGWEKVKDYHFNAYSFGRDRVRCDGVGCSWLLSAEGIYGFNGQTGFWEFMYPWPN >Vigun10g129200.1.v1.2 pep primary_assembly:ASM411807v1:10:33721308:33722985:1 gene:Vigun10g129200.v1.2 transcript:Vigun10g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEYVVELPILDISQPLHPSSLTSLSKACKDWGFFHIINHGISKDLCSQIHYLSKYLFSLPSEAKLKLGPFSSIKSYTPHFIASPFFESLRINGPNFYVSAKSSEEILFDKHNSRFSETLQEYCSKMADLSEKILKLVLMSLEDGFDTLFYDSEFNKCHGYLRINNYSAPERLEEDQVEGLGMHTDMSCITILYQDEIGGLQVKSHEGKWIDINPSEGTLVVNIGDMMQAWSNDKLRSSEHRVVLKQPLNRFSLAFFWCFEDEKVVMAPDEVIGEGKKRVYNPFVCSEYLKFRENNQRGRFEKVGYTVKDFAGIRSQL >Vigun03g310801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50318116:50320520:-1 gene:Vigun03g310801.v1.2 transcript:Vigun03g310801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRAEAAAASQPCFNGCFPSPFLASERTHKPKVNNVTSSHDDFVAATSTSLHPHTQFTNPDSLPSLHDSYISFTKAFPQFSATSQVDEIRAQEYRHLNHSNACFDYTGYGLFSYAQQQRPCPSASTVSSSSSSSLSHFTPDASFFDISYRSVNLQSQVLYGGHESELEARIRKRIMSFMNLSEAEYTLVFIANEVSAFKIVADSFQFQSNRRLLTVYDHSSEALDVMIESCKKQGVRVLSSEFSWPNLGIQRRKLKKMVMNKREKRKGGLFVFPLHSRVTGAPYSYAWMSTAQENGWCVLLDVCALKPKEMDTLGMLLFKPDFMVCSFYKVFGENPSGVGCLFVKKSSVSALKDPCNATNIGIISLVPAFKPETEQSVIETETAHPQEGPSSTSEIEELSTPFDSSTDRNRLGTKSESSEIQCRGLDHADSVGLLLISNRTKYLVNWLVNALMSLKHPHHENKLSLIRVYGPKISSFRGPAVAFNIFDWKGEKIDPALVQKLADRNNICLNSSFLRNIRFLDKNEEERAFEVEGLGLSKKTRSHESGIYVVTAALGLLTNFEDIYRLWAFLSRFLDADFVEKEKWRYIALNQNTVEV >Vigun07g242800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36410051:36411777:1 gene:Vigun07g242800.v1.2 transcript:Vigun07g242800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPKEIVRELPPLIRVYNDGTVERLLGSPQVPPSLQDLQTSVASKDIVISENPSISARLYLPKLNSHIAQKIPILLYFHGGAFCLESAFSFLHHRYINILASEAKVVVVSVEYRLAPENPLPAAYEDSWEALKWVTSHSNITNGEPEPWLINHGDFNRFYIGGDTAGANIAHNAVLRVGVESGGLVGGVKIAGVVLAFPLFWSSEPILSEPVDGFEESSAMQVWRFVYPDAPHGIDNPLINPLGCGAPSLASLGCSKMLIFVAGKDDLRDRGIWYHDAVKESGWEGDVELVEVVGEEHCFQIYHPQTQNSKIIITRIASFLV >Vigun03g095200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8068971:8072412:-1 gene:Vigun03g095200.v1.2 transcript:Vigun03g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRRRSPSLEAFLVPVDLSEVALLETLISVSGDVVSCFSDNRFPFQRRNSRALVGKVEIFRSMLECLRDSADGGVLNPTAALCLKELYLLLYRSKILLDYCARSSKLWLLLQNHCVSGHFHDLSQEFSTLLDVFPVGEIGLSDDVREQAELLRRQSKRAKLFIDKKDDALRTRFYWFLDEFESGRVPDSKDLRCFFVDKLQMLDAKSCRVEVEALEEQIVNHEGDVEPTVPVLNGMVAITRYCRFLLFGFEEEFEIEIQKRARKRLITQEIAETFFTVPKDFCCPITLDLMCDPVIISTGQTYDRRSIWRWMEEGHCTCPKTGILLSHNRLVPNRALRNLIMQWCSAHGVPYDPPEGVDPSVEMFASACPSKASLVANRETAMLLIQQLADGSQVAKTVAAKEIRLLAKNGKENRAFIAQAGAIPHLRKLLSSPNAVAQENSVTALLNLSIFERNKSMIVEEGCLGSIVEVLQFGHTTEARENAAATLFSLSAVHEYKKRIADNVGAVEALAWLLQEGTQRGKKDAVTALFNLSTHTENCLRMIEAGAVKAMVLALGNEGVSEEAAGALALIVRQPVGAMAVVREEAAVGGLIGIMRCGTPRGKENAVAALLELCRSGGATATERVVRAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYAFASDSASTRNTTNFVSDVSLPMSISVPVL >Vigun04g085100.1.v1.2 pep primary_assembly:ASM411807v1:4:13876338:13881323:1 gene:Vigun04g085100.v1.2 transcript:Vigun04g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYRVLGLHQTATKEEIKTAFKKLAFQFHPDKHSQSPRAIRENATIRFKQVSEAYEVLMDDRKRADYNFRRSSGDPGRSNHYSQYSYGYSRSGSRYEYKPGSGGGGGLASNFEMALRILTGRSSILYLGFAAAILCGIVVIDSSRESLWRMQNSGKSFEEAMKSIEKAKAYREDNMKERP >Vigun04g085100.2.v1.2 pep primary_assembly:ASM411807v1:4:13876338:13881323:1 gene:Vigun04g085100.v1.2 transcript:Vigun04g085100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYRVLGLHQTATKEEIKTAFKKLAFQFHPDKHSQSPRAIRENATIRFKQVSEAYEVLMDDRKRADYNFRRSSGDPGRSNHYSQYSYGYSRSGSRYEYKPGSGGGGGLASNFEMALRILTGRSSILYLGFAASCPGLYYAG >Vigun08g079600.1.v1.2 pep primary_assembly:ASM411807v1:8:16366558:16376102:1 gene:Vigun08g079600.v1.2 transcript:Vigun08g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNKPKNFPSTPSPPSSIFKMAGGHRLCSQILGFIHALQFLCSITTVHGKVPQSPVECSSSGCILRNSYGAWGDRRDCSAINVTYPTTEEQLRSAVSYAVRNNLKVKVVTKFSHTIPKLACPQSAGATLLISTEKYDSGIEIDAVNMAVTADAGVGLRQLIDAVESEGFSLVAAPYWEGVTVAGVISTGAHGSSWWGKGGSVHDHVVGITVVVPGSRSEGYAKVLRLEAQDPMLNAAKLSLGVLGAISKVKLSIEHRLKRSITYNFTEKEDLIEDVYVEHAKKYEFADITWYPSRHTVVYRYDSRVPLNASGDGLNDFIGFQPNSILISESVRAAEKLLERTRNANGKCLTAATTLGFKKLVGNGLKNNGRMFSGYPVVGYQGKMQTSGSCLYSTRFDTSCAWDPRIKGLFFYESTAIFPASAFGDFIRDVRKLRDLKPENFCGVDNYNGLLIRFIKASSAYLGQHEDSVVVDFNYYRANDPSDPRLNQDVWEELEQLAFFKYGAKPHWAKNRKVAFLGVQHKYPKFDMFIAAKQKMDPQNVFSSEWSDAVLYGKEAEKVDGCALEGLCICSEDRHCSPQKGFYCSHGLVYKEARVCRYLQSSMSPSSTIA >Vigun11g052700.1.v1.2 pep primary_assembly:ASM411807v1:11:9195450:9195936:-1 gene:Vigun11g052700.v1.2 transcript:Vigun11g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTTFITGQLIMFMSIYYVPLHLALGRPHTITVIAIPYLLFQFFDNSQKNFLNYGYKNPNSIRNFNLSIIMHIPYIIPIQV >Vigun04g023200.1.v1.2 pep primary_assembly:ASM411807v1:4:1772680:1774152:1 gene:Vigun04g023200.v1.2 transcript:Vigun04g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMSTTPSKSISEEDNELRRGPWSVEEDDLLGNYIANHGEGRWNLLATRSGLRRTGKSSRLRWLNYLKPDVKRGNLTSEEQLLIFELHSKWGNRWSKIAQQLPGRTDNEIKNYWRTRIQKQARYMKYETQRTTGFVEFFKGLQMTRCVLKAQESSPSAMSLQDQEIPMPFDGGSHYSSFRIETTPETQITCQRGLNHLNQHEQNSDSEHNNGSSISNSESVNIQYMTQPLGWCTTNQFQALDTYDFGTCSYDGYNIDNSAYDMDSFNLGATMDAENLELPVITETNSLNMESECSTNDELWQFMNI >Vigun05g260100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45343095:45348876:1 gene:Vigun05g260100.v1.2 transcript:Vigun05g260100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRKASKKNTQASSSSRSLFSRSSSTSNSPLLRSLSQKNSSSSSSSSKCNNNLPRSFSQKNPSIGRKCTNIAKEQKARFYIMRRCVAMLVCWHKHGDS >Vigun05g260100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45347550:45348904:1 gene:Vigun05g260100.v1.2 transcript:Vigun05g260100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRKASKKNTQASSSSRSLFSRSSSTSNSPLLRSLSQKNSSSSSSSSKCNNNLPRSFSQKNPSIGRKCTNIAKEQKARFYIMRRCVAMLVCWHKHGDS >Vigun03g338800.1.v1.2 pep primary_assembly:ASM411807v1:3:53681956:53683998:-1 gene:Vigun03g338800.v1.2 transcript:Vigun03g338800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLTKLGSAWISKKAKAEISHVTDDLSALSNTVEEKAKLFINKLKGKSQKALPDLLREYNLPAGLFPRNITCYEFDESKGKLVVHLWSPCEVCFKDSSIVRYANRVKGSLTKGKFNVMDGMKTKVLVWVKVTSVAVESYKSDKVWFTAAGVKKSRPKDAYEMPREAIKVQEF >Vigun03g377000.1.v1.2 pep primary_assembly:ASM411807v1:3:58012234:58013834:1 gene:Vigun03g377000.v1.2 transcript:Vigun03g377000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHVLCLSLKAPPFSSFEHINSFSSHSSSSQPFSLFLSLSQILIIYHFASISNTATSLIKSYSYFFFLHLLLFLSTISSRMRNINTVKQEFLKKWIWGLRKYSSQKKNMSLLERKKAIKLSADLAMASTRNKTTRWSKALIANASKSSSNKVLTEHVLGSSPPPQRVRKSSANYSHSTRMRSCRRRKRAVHRPKDRVVASFIAKKLVQKRTRRLKSLLPGGEFMDDVSLVEETLDYVESLRAQVEVMRCLVTASELMILNPS >Vigun07g115400.2.v1.2 pep primary_assembly:ASM411807v1:7:21336724:21338980:1 gene:Vigun07g115400.v1.2 transcript:Vigun07g115400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSYDSKGNSVPTILLLMQDRLYSQRGLKAEGIFRINPENSQEEHVRDQLNRGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVDLVKQLKPTESALLSWAIDLMADVVEEEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLIMKTLREREETTTGGYSPMSFHSSGRHSEDEYDSQREMDTSGELRGTKSDYDAHYGHSSEGEAESLSEIEECLLKQLDDNTKGFSQEPAVHLDKYVSTISYSAYSMEPSISVTDNKAGNSCLRTTLTGLASSVDTSTSSIGCTSTKDVEMVDKYSDSISPVPLLASS >Vigun07g115400.1.v1.2 pep primary_assembly:ASM411807v1:7:21335986:21338980:1 gene:Vigun07g115400.v1.2 transcript:Vigun07g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVMVTKGGRCGGGGKRSRAARTAEEEEEQQNQLSLVAFLLAAIRKSMVSCRVDPPDDVISTVHNMEIGWPTNVQHITHVTFDRFNGFLGLPYEFQVEIPARVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQDRLYSQRGLKAEGIFRINPENSQEEHVRDQLNRGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVDLVKQLKPTESALLSWAIDLMADVVEEEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLIMKTLREREETTTGGYSPMSFHSSGRHSEDEYDSQREMDTSGELRGTKSDYDAHYGHSSEGEAESLSEIEECLLKQLDDNTKGFSQEPAVHLDKYVSTISYSAYSMEPSISVTDNKAGNSCLRTTLTGLASSVDTSTSSIGCTSTKDVEMVDKYSDSISPVPLLASS >Vigun11g157300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36595920:36597952:-1 gene:Vigun11g157300.v1.2 transcript:Vigun11g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTQQGTPSYWCYSCTRFVHLSSEATIACPHCQSGFVEEIRADASPRHRLSPFPDDHLSLRRQGFRRRRRDAAGNRSPFNPVIVLRGPGDDSAAEHEGASTFELFYDDGDGTGLRPLPPTMSEFLLGSGFDRLLEQFAQIEMNGFGRPENPPASKAAIESMPTVEIGETQVETDAHCAVCKEAFELHAEARELPCKHIYHSDCILPWLSMRNSCPVCRHELPSDLETRAPSQIDEEAIGLTIWRLPGGGFAVGRFSGGRRAGENHFPVVYTEMDGGLNTNGAPRRISRSVRSNRVRESRGFVRVVRNFFSFFGRIGSRNSSSSLSSSEHASVSRSRSHVSSVFSRSSRRHSRTFVLDD >Vigun09g007600.1.v1.2 pep primary_assembly:ASM411807v1:9:569963:572533:1 gene:Vigun09g007600.v1.2 transcript:Vigun09g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEGLAGVPFLVLGNKIDIPYAASEDELRYHLGLTNFTTGKGKINLTDSNLRPLEVFMCSIVRKMGYGEGFQWLSQYIK >Vigun11g106750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30536166:30536474:1 gene:Vigun11g106750.v1.2 transcript:Vigun11g106750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGYVGILSSIDLMWFSIFIFCKFCMLYVKVVPLKHFMNSLLLITSNNCVSNSESRLTWFDILSPIFESSIIFLLFGMQISYALLIIQFRDEWFILFMTIS >Vigun05g149651.1.v1.2 pep primary_assembly:ASM411807v1:5:21886478:21890533:1 gene:Vigun05g149651.v1.2 transcript:Vigun05g149651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSSRPRTTSTVSTLVTVGPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQTNAIAEWDRRILNNRDVLLRLQIEVAKVVGVQSNLERQLELIETHQQEVDKALQSMEEEAERTYKDERGLLLDDEAASTRDAMYEQSELIERELEQMTEQIKSVIQSLNSNQGGELDTLVE >Vigun03g109400.1.v1.2 pep primary_assembly:ASM411807v1:3:9802523:9805151:-1 gene:Vigun03g109400.v1.2 transcript:Vigun03g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVKDVKSKAEVDAVVGGGSPVVLHFWASWCEASKHMDQLFTHLSTDFPKAHFLRVEAEEQPEISEAYSVSAVPFFVFCKDGKTFDTLEGADPSSLANKVAKLAGSINPGEAASPASLGMAAGSAVLEAVKDLAKEDALKEKTVVEPGLSGPLKKRLQQLVDSHPVFLFMKGTPEEPKCKFSRKVIEVLKEEKVKFGSFDVMSDSELREGLKKFSNWPTFPQLYCKGELLGGCDIAVAMHENGELREAFKDHGIDTIGKEKESGDAKGGISKSTNLSAGLSSRLESLINSNAVMLFMKGQPDEPKCGFSRKVVEILRQESVPFDSFDILTDEEVRQGLKIYSNWSSYPQLYIKGELIGGSDIVLEMHKSGELKKTLHEKGIIPAETIQDRVKKLIASSPVMLFIKGTPDEPKCGFSSRVVEALRQEGLSFGFFDILSDEDVRQGLKVYSNWPTYPQLYYKSELIGGHDIVMELKNNGELKSTLSE >Vigun09g166100.1.v1.2 pep primary_assembly:ASM411807v1:9:33380459:33382968:-1 gene:Vigun09g166100.v1.2 transcript:Vigun09g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVLCWWATLMIFHLVSLFHHIYSQHFLLPFNFPSPLLLSPFPSVFQFHHIMNNITNNAYREEKSFCYFHPRQLLLGVCPLCLNERLLLLAAKQGRHHHRHRHRHHHHHHHCSSSSKSSHRLPHAKPPSSIHKIFAFGSLFTRHESHHWKSHNHDYDNDYDDVSPSPEESFISIKFEENGVASWEKSRVSKKVSLEKCNRKVSWKKENKEKSVIEHAKSREAFRWRKRIGHMFQLIRWKKSGGVCHVEGVKVRKGGGGGWMRTLTKRKTTIE >Vigun10g040900.1.v1.2 pep primary_assembly:ASM411807v1:10:5618619:5622811:1 gene:Vigun10g040900.v1.2 transcript:Vigun10g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGFILLVFFALTTLSSIGFAQQHSSVAEVLSLNRSSFPTGFVFGTASSAYQYEGAANEGGRGPSIWDAYTHKYPDKIRGVSNGDVAVDQYHRYKEDIQIMKNMNLDAYRFSISWSRILPNGKLSGGVNPEGIRYYNNLIDNLLANGIKPYVTLFHWDLPQALEEEYGGFLSRRVVDDFRDYAEICFRYFGNRVKYWITLNEPWSYSNSGYAVGSFPPGRCSKWLDSTCFGGDSGTEPYIAAHNQLLAHAAAVHVYKKKFQNTQKGLIGITLNSNWYEPYSNSQADKDAAIRAVEFMFGWYMEPLTTGRYPKSMRCLVGKRLPKFSKREAKLVAGSIDFVGLNYYTTYYAANASKANKPSYTTDANANEITIRNGVSIGPQFGPSWLYVYPKGIRELLLYTNRVYNNPLIYITENGMGDIYTDPSLSVEEMLQDTYRIDYFYRHLYYLLSAIRDGVKIKGYFAWSLLDNFEWKDGYVVRFGLNFVDYKSGLKRHAKQSAKWFKAFLHKD >Vigun01g235200.1.v1.2 pep primary_assembly:ASM411807v1:1:40671475:40678293:-1 gene:Vigun01g235200.v1.2 transcript:Vigun01g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNSSNSEMVASNKSTLEEVLDIETNMSHQSQWVLNPPDPPSMLRQVLDNVKDILLPHSQPNTFSSLRNQPFSKRAFAFFQNVFPILASFQDYNAQKFKCDFMAGLTLAIFAIPQCMGNATLAQLSPEYGLYTGIVPPLIYALLASSREIVIGPGSVDSLLLSSMIQTLKLPIHDSIEYNKLVFTVTFFAGIFQVAFGLFRFGFLVEYISQSTIVGFLAAAAVGIGLQQLRGLFGIVNFTNKTALFSVLKSLWTSFKNQSAWHPYNFVIGFSFLCFILFTRFLGKRNKKLIWLSHVAPLLSIIVSSIIAYKINVDKPQMKDYRVEVLGPIKGGGSLNPSSFNQLTFDSKVVGHLMRIGLTIAIISLTGSIAVGRSFASLKGHSIDPNREVVSWGIMNIVGSLTSCYIASGSLSRTAVNYNAGSETMVSNIVMALTVLLSLKFLTGLLYFTPKAILAAIILSAVPGLIDLKKACEIWKVDKMDFLACAGAFFGVLFASAEIGLAVGITISFAKIIVTSIQPAIAVIGRLPGTDSFGDVQQYPMALNTPGLFIVSLKSAWLCFANANLVEERIERWMTNEKAKQGKAGENTFHHVIIDASSLTNIDTTGIACLVELNKNMTSRGVKLAIANPRWHVIHKLRLANFVSKIGGRVFLSVGEAVDACVATKMVAV >Vigun01g094300.1.v1.2 pep primary_assembly:ASM411807v1:1:25761039:25763467:1 gene:Vigun01g094300.v1.2 transcript:Vigun01g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERQKEGKSPRHGPEKSEAVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQLMQQAAATAAAVNQPLPQPQPQPQPQPQVQHHGLVGSGAIQHDHHHNQVNLVASESATPNMGLGSCVSYDLLGGSSSSCSGGGQQGMGCFFSGSSPQMCFPEMIDHTSPAPSVLFPPFDPNLSFHTGYGGTNISAFITVFINGMATELPKGPIDMKTVFGEDVILVHSSGVPILTNEFGFLMHNLQHGESYFLVSKST >Vigun06g218900.9.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32936123:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.12.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKRSVFLRTRETNSRKITDS >Vigun06g218900.10.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32935898:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.15.v1.2 pep primary_assembly:ASM411807v1:6:32930828:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.2.v1.2 pep primary_assembly:ASM411807v1:6:32930828:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.5.v1.2 pep primary_assembly:ASM411807v1:6:32929004:32936161:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSLRLLSFETNTEAIGHNFLVFLILTKMVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.14.v1.2 pep primary_assembly:ASM411807v1:6:32929004:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.8.v1.2 pep primary_assembly:ASM411807v1:6:32929004:32936161:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.13.v1.2 pep primary_assembly:ASM411807v1:6:32928910:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.6.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSLRLLSFETNTEAIGHNFLVFLILTKMVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQFLLFVILEDNECRRPIL >Vigun06g218900.3.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32936123:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSLRLLSFETNTVETCSLSEAIGHNFLVFLILTKMVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.11.v1.2 pep primary_assembly:ASM411807v1:6:32930830:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQFLLFVILEDNECRRPIL >Vigun06g218900.1.v1.2 pep primary_assembly:ASM411807v1:6:32930828:32936179:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSLRLLSFETNTEAIGHNFLVFLILTKMVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.4.v1.2 pep primary_assembly:ASM411807v1:6:32928910:32936162:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSLRLLSFETNTEAIGHNFLVFLILTKMVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun06g218900.7.v1.2 pep primary_assembly:ASM411807v1:6:32928910:32936162:-1 gene:Vigun06g218900.v1.2 transcript:Vigun06g218900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKIPIKKIVDVTARQVTFSKRKSGLLKKARELSLLCDAEIALIVFSPAGKLFDYASSSMQKITERYILCSELNLDKLDQSHPTDQITSNYTSLKKQVEDRSREMRQLNGEELQGLSLKELQKLEERLGSSLDRVYKAKVQNFIAEISILTHKGNKLKEDNRLIKQRITNVEDQSFDTSLTLGLPFPSGSK >Vigun02g176900.6.v1.2 pep primary_assembly:ASM411807v1:2:31871014:31875675:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWGHHVFPGGFLHETRLIWLEAKYRIYRRVFLFGILIKIVWLRAWDLVSCITGNPTSTGMDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun02g176900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31871014:31875675:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun02g176900.5.v1.2 pep primary_assembly:ASM411807v1:2:31871014:31875675:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFFSDMVPSSLLLNLVIELTRHQSSASNDCIPQADTVFWDAFTVRNQSLSIKIVWLRAWDLVSCITGNPTSTGMDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun02g176900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31871014:31875699:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun02g176900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31871014:31875675:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun02g176900.1.v1.2 pep primary_assembly:ASM411807v1:2:31871014:31875675:1 gene:Vigun02g176900.v1.2 transcript:Vigun02g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWGHHVFPGGFLQLVISLLLYLQTRLLLSFNRCDLPRIFSETRLIWLEAKYRIYRRVFLFGILIKIVWLRAWDLVSCITGNPTSTGMDIQEESPVFGSLTAMTTRNMSSSSSVFFSANQSPFFSPRSPSSCQLSHSARLDTQSNTVHLGLAPSTTTLEIPEPNSTVNVRCNFSDVSASPAGCNSGGFVKLDRKSSPVGVSSSSISSYSNCHEDGYSGQKERRIKKDRKHRTSSTPGSTSFSSYRLRSCDVFIGLHGSKPPLLRFAKWLCAELEIQGISCFVSDRARSRSSRKLGIAERAMDAASFGIVIITKKSFKNQYTIEELNFFCRKKNLIPIFFDLNPADCLVRDIIEKRGELWEKHGGELWLSYEGLEQEWKDAVHGLSRVDECKLEAQDGNWRDCILRAVTLIATRLGRRSVAERVAKWREKVEKEEFPFIRNENFIGRKKELSQLEFILFGDVTGDAEQDYIELKARPRRKSVRIGWGKSNMIDERWNDRRKEKEPVVWKESEKEIEMQGIEFSNRHNHPRLKRGKYSKRKNGMKILYGKGVACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIRQNYLNIRSFLEVDVGVENSLDKTKIRSFEEQEVAAISRVRKELMRNIPYLVIIDNLESEKDWWDHKLVMDLLPRFGVETHVIVSTRLPRIMNLEPLKLSYLSGVEAMSLMVGSSKEYSVAEVDALRSIEEKVGRLTLGLAIISAILSELPITPSRLLDTINRMPLKEMPWSGKEALSFTKNAFLLQLFDVCFSIFDHADGPRSLATRMVLVSGWFAPGAIPISLLALAAEKVPERCQGKCFWRKMLQLLSCGFPSSYAKKPELEASSLLLRFNIARNSTKQGYIHINEVFKLYARKRENTGAAQAMIQAIMSHGSISQNLDHLWAACFLLFGFGHDPVVVELKVSELLYLVKRVVLPLAIHTFITYSRCSAALELLRLCTNALEAADQAFVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALTRATVLETRAKLMLRGAQFDVADDLIRKAVFIRTSICGEDHPDTVSARETLSKLTRLNANVQIHT >Vigun01g075400.1.v1.2 pep primary_assembly:ASM411807v1:1:21190993:21192845:1 gene:Vigun01g075400.v1.2 transcript:Vigun01g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKDSSILNSKSKSSPTSTTTTTSQQHQQQRKTTKILMAHNILRLLPTLLSAASVAITVSNSQTVFIFALRFEAHFYYSPSLKFFVAANSVVAAMSLLTLIANCLIKRQASPKYNFFILVHDMVMTVVLIAGCAAATSIGYVGQFGEEHVGWQPICDHVTKFCRRNLVSLLLSYFSFIAYFALTILSAYNSLFSSPNKTTSNQPHHTTFPNLAT >Vigun11g028100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3679869:3680889:1 gene:Vigun11g028100.v1.2 transcript:Vigun11g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRRVYGVFSLSQKKRIKDWSEMDGSLQRVRLCGREGDWFCPCLKRVGEAKDETLVQERFARGGAKGDVSNIADDALGRPWGWRRLYYGSEVMTSTT >Vigun08g220100.1.v1.2 pep primary_assembly:ASM411807v1:8:37901364:37906826:-1 gene:Vigun08g220100.v1.2 transcript:Vigun08g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGCYCHHHVKLVTQRRTLDSLSFSGSISVNKLSKNIRTTTCDPSKNNPFPKFLVVRMRQTELPLPVSKNGAANGRAVKMVPTTELVNRKTMSANKVEKTVNGSASKVNRASPVRKKPMPGMTKTVKSRTRTSRELPSLEELKVLPSDEGFSWANEHYSSWQRSIDVWSFVVSFRIRILLDNSKWAYLRGFTEAKQKIRRRKTASWLRESVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDMVPAFSPKKARKFIESELGAPIDMLFKGFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLKNLKLIAEYFQRNEAFGGPLRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRIPLVYWDYTALKVLTMEYVPGIKIDQVDTLTSRGYDRLRISSRATEAYLIQILRTGFFHADPHPGNLAIDVDEAIIYYDFGMMGEIKSFTRERLLELFYAMYEKDAKKVMQRLIELGALQPTGDLSSVRRSVQFFLDHLQSQAPDQQQTLSAIGEDLFAIAQDQPFRFPSTFAFVLRAFSTLEGIGYTLNPNFSFARIAAPYAQELLDMRQKQITPPQLVEEIRKQADDARSYTMSMPYRVQRIEEFTKQLEAGDLKLRVRVLESERAAKKATTLQMATMYTVLGGTLLNLGITMSNQGNVAMGNGSFIAAGIFMTLFVRSMQRVKMLDKFEKMI >Vigun07g135800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24596989:24597489:1 gene:Vigun07g135800.v1.2 transcript:Vigun07g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHSPRLSPSPSSSLPFLCPPCSQPNFSFFPDSTSPLDKRRALILLCACKVASAAASKSLALARTRVERTVREAALARKRARDALDHCSILDKIKRLGLEGTLEVSNARNLGTHHNNVVCKKEELNSFTGQGKVNVKVPSEVPPLPLPRPVVKNGNNDGRLLNR >Vigun07g127950.1.v1.2 pep primary_assembly:ASM411807v1:7:23565206:23566977:-1 gene:Vigun07g127950.v1.2 transcript:Vigun07g127950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVCLGLNLDVLLFLCTKSFALDSDVLRFRAYNTIGVKPTHKRLNDNICNLAAKMKRTFKLLDHQFHRGLMKMLQLLLIFITLLILSFLLVIYKEPTTSASDRWTFHTLMGASILSP >Vigun05g153600.1.v1.2 pep primary_assembly:ASM411807v1:5:24450950:24452607:-1 gene:Vigun05g153600.v1.2 transcript:Vigun05g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGHLVTNPAFVKLHLQRSSKNTHTLLTFEVWDKRRDYAIVCPVQDLLDNPSSTLETLHYKHLPFNRSYAILGACNGLVCLQDSCIEDKFKEYWFRIGNPTTRVMTKDSPYIRLNLNDYKHPFMFGFGYDEWNDTYQVVLLDNNKNQSQKLEVRVCCLGDTCWRNTLTCDVVAPMIGLQSHGTCGAFVSGTLNWLVYPKSRCSDDERGGTKMNELEIFCYDLKKKTCSYFSMPDGILEVPPFDTELKVLNSCLCLSHHHDDNFFVWLTRDFSDEKSWSKLLNYKNKDTGLQAEFTLFNIRDNRIKVREIYNENKQSLFSYDYVQSLVFPCRN >Vigun03g359300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56174719:56176010:-1 gene:Vigun03g359300.v1.2 transcript:Vigun03g359300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTLLLALLFVAGLINVFFHVPTNKITTCLQIFLPNSACKTKTTLAPSPTAKAEHGSSGKKKELERVFSTFDKNGDGFVTKQELRESLRNIGILMTDREVDDIVVKYDSNGDGLIDFEEFCLLTSECMGGKKEEEEEDEEEEEEEINLKEAFDVFDKDNDGVISVEELALVLTSLGLKEGNKSEECREMIKKVDMDGDGMVNFKEFKRMMMKGGKLTLFNA >Vigun03g022800.1.v1.2 pep primary_assembly:ASM411807v1:3:1705423:1707526:1 gene:Vigun03g022800.v1.2 transcript:Vigun03g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSYFGEPNLGNERSSASSSRKGKKNNSDKPKQPQRGLGVAQLEKIRLHSQMASGYHHSSLHGPYPSTFTNEDPRVQIPYSSVVPSSYAASYGFQPNIMMGISEYQKTNIRYGDSEQTNTARWETANDIFESQYSAPSNNVTRPFINLNDPLEVDRRKLRNGSVGSSSQNSECSDSQKLDLELRLSL >Vigun03g130900.1.v1.2 pep primary_assembly:ASM411807v1:3:12732518:12733325:-1 gene:Vigun03g130900.v1.2 transcript:Vigun03g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGAALPDTAEKITIDSILSDGSNGGSVVKLRINYHSKGEAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun05g051000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4367571:4368536:-1 gene:Vigun05g051000.v1.2 transcript:Vigun05g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPGTGKNWIRYFQYEEKRDTPTDTRNIILIVFALVAAVTFQAAVNPPGGVWQQNEGDKKAGEAIYALDKKAYYVFLIFNTLAFSNSIFIILSLTYKFPFHLEIWAASVSMCVSYGSAIFAVSPKAAIRLRYVLIAAAGPFALRFLVLMFNLFLRKRFVKDTQPPPDFVQN >Vigun05g043400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3600927:3603146:-1 gene:Vigun05g043400.v1.2 transcript:Vigun05g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQKRCRCCNAPRSYSMHVHHPPQAEGDSYHVVALTSTTLGTLKLNSPASTQNFTGNCDHDFNLSNGKVSNTKSLRFDNERFIQRLEEKEKNSVSEKERKEEFSIGLIEAKTWSNMIEQKLPTVFPKTPIRTPPGEPETIINTWELMEGLDEETTNFRSPSQYRSFSFDANGDNVDDGSVDVDPPKMSVVASPKPVWLLMTEEESRLNSAISDFDPELISSFRKSFQHLSPDSPFHLRPASSDEEMQGTKRGSPFADDVNVGDPCGKYKVVLYFTSLRGVRKTYEDCCQVRLVLKGLGVRVDERDVSMHSRFKEELRELLGDGYGGLVLPRVFVGDNYIGGAEEIQRLHEDGRLEKLLGCCEKIEDSVGGDGGGVCEGCGDIRFVPCETCSGSCKIYYEGDEEEEEYVHGELGECGFQRCPDCNENGLIRCPMCCC >Vigun06g078200.3.v1.2 pep primary_assembly:ASM411807v1:6:20960509:20967946:1 gene:Vigun06g078200.v1.2 transcript:Vigun06g078200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMATVVKRFQELFAQTKYKEAAELAAQSPQGVLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTMGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLFIRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDSDLWEKVLDPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLQTIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDSTHFLEVIKAAEDADVYHDMVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDETLYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLFIQYDEFDNAATTVMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDVINDMLNVIALRVDHTRVVDIIRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYAEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLIYFIEKGKKECFASCLFVCYDILRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIRDKIEAQNEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMSGAYAPSPPPMPGMGGGYTPTPPPPPMGGMGMPPMPPFGMPPMGGGY >Vigun06g078200.2.v1.2 pep primary_assembly:ASM411807v1:6:20955108:20967946:1 gene:Vigun06g078200.v1.2 transcript:Vigun06g078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAPIAMREALTLGSVGINPQFITFTHVTMESDKYICIRETAPQNSVVMIDMAMPMQPLRRPITADSALMNPNARILALKAQVPGTSQDHLQVFNIETKAKMKSYQMGQQVVFWKWITPKTLGVVTQSSVYHWSIEAEGEPVKVFGRTANLGNNQIINYRCDPTEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAAAFATFRVAGNDKDSTLICFASKSINAGQVISKMHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASTSGGFYAINRRGQVLLATVNEATIVPFVSGQVVKRFQELFAQTKYKEAAELAAQSPQGVLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTMGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLFIRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDSDLWEKVLDPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLQTIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDSTHFLEVIKAAEDADVYHDMVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDETLYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLFIQYDEFDNAATTVMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDVINDMLNVIALRVDHTRVVDIIRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYAEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLIYFIEKGKKECFASCLFVCYDILRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIRDKIEAQNEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMSGAYAPSPPPMPGMGGGYTPTPPPPPMGGMGMPPMPPFGMPPMGGGY >Vigun06g078200.1.v1.2 pep primary_assembly:ASM411807v1:6:20955108:20967946:1 gene:Vigun06g078200.v1.2 transcript:Vigun06g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAPIAMREALTLGSVGINPQFITFTHVTMESDKYICIRETAPQNSVVMIDMAMPMQPLRRPITADSALMNPNARILALKAQVPGTSQDHLQVFNIETKAKMKSYQMGQQVVFWKWITPKTLGVVTQSSVYHWSIEAEGEPVKVFGRTANLGNNQIINYRCDPTEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAAAFATFRVAGNDKDSTLICFASKSINAGQVISKMHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASTSGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVSLAKRGNLPGAEELVVKRFQELFAQTKYKEAAELAAQSPQGVLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTMGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLFIRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDSDLWEKVLDPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLQTIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDSTHFLEVIKAAEDADVYHDMVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDETLYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLFIQYDEFDNAATTVMNHSPEAWDHMQFKDVIVKVASVELYYKAVHFYLQEHPDVINDMLNVIALRVDHTRVVDIIRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYAEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEDLLIYFIEKGKKECFASCLFVCYDILRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIRDKIEAQNEEKAKEKEEKDVIAQQNMYAQLLPLALPAPPMPGMSGAYAPSPPPMPGMGGGYTPTPPPPPMGGMGMPPMPPFGMPPMGGGY >Vigun01g177000.1.v1.2 pep primary_assembly:ASM411807v1:1:35807840:35809723:-1 gene:Vigun01g177000.v1.2 transcript:Vigun01g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINHYSPEARLYCTEQQQAATWLGNSHTPRFNLNDNQEEVEEEEEEVMEKGGGEAAAEEEEKEAMFEKPLTPSDVGKLNRLVIPKQHAEKHFPLESSSSSSCGDSAKGVLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLHAGDVVFFHRHRTHPQRFFITSTRRQPNPPAHVTTTATPSFYSAHPYPAHHLPFPYQPHSLHAPPGGGSQGQNQTTPGGNSSGGRVLRLFGVNMECQPDKDSQCSYTLSSTTTTTTTTLSHHHHLHPQTSFSSSSSSSSSNPHHHMLRQQP >Vigun03g003300.1.v1.2 pep primary_assembly:ASM411807v1:3:225543:228756:-1 gene:Vigun03g003300.v1.2 transcript:Vigun03g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAGKPGFLSNVLVRLLLFGVLIVILRFSYVVTLAGESCALGGFCFFSPSLNLAIAGTRSGSLNARSAVTESERYASKDWISGVQFYSSVFQNLIAGGFLSPASMSLCVETSTGRDVLALREVGITNAVGISKKASPPLVKYGEARRIPFANGTFDFVFVGEGALERSPRAAVFAAEVARTLKLKGFAAFHVKANDTYSFNSFVDLFSFCCNVVKINNVLGFDSSMPHIKELIVKKERHEIGSDSYSSSKGKCSAPDYKKQLVRNAEALIEEEPLKPWIILKRNVKNIRYLSSMVDISFKGRYVYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKAFHQEYGSKKGVTLLPYAAWVRNESLVFEINRGPGENVQGKGRGMGRIQPLQSSGVFDGGDVEKIPGFDFADWLKNTVSKNDFVVMKMDVEGTEFDLIPRLFETGAICLVDEIFLECHYNRWQRCCPGQRSPKYDKTYDQCLQLFTSLRQRILDHSDLRPEIIATGHNFPKLFSGHI >Vigun05g257400.1.v1.2 pep primary_assembly:ASM411807v1:5:45132590:45134321:1 gene:Vigun05g257400.v1.2 transcript:Vigun05g257400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVYLDPPNWQQQQNQHQANGGNSPQLLPPMPQQPQEPHVGVHEAAGSIRPGLMADRSKIPAQEGALKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPVPTEKSSLPNPTSAMPELIGRFPQPFMASLQSMNRYGAGNAGVNLREMQAQNGSHMGFQIGGQSHGSSVTAAGGGLEQWRFQQFPFLNGFDSASAVSSYPFQTESVEGLVGDIAASSRVTQLPPRVKLEYNGGLNLSRSPLSVSENSNHYYSWTDLSGLASSSASHLL >Vigun05g076932.1.v1.2 pep primary_assembly:ASM411807v1:5:7073609:7073975:-1 gene:Vigun05g076932.v1.2 transcript:Vigun05g076932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIVAFDFSAFVFSDRLNHNFELVQRL >Vigun05g221500.1.v1.2 pep primary_assembly:ASM411807v1:5:41348888:41353107:-1 gene:Vigun05g221500.v1.2 transcript:Vigun05g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTNSEKSGLRKGTWTPEEDKKLIAYVTRYGHWNWNLLPQFAGLERCGKSCRLRWLNYLRPNIKRGNYTKEEEETIIKLLQSLGNRWSAIAAQLPGRTDNEIKNYWHTNLKKRYQPLKPQVSHSKDQSQPEQVPNPSNNTAPTSQSTDSCTASSSSTSTSTSSSLLSITTGTKEKKFDTISEDDDFSFVDAYQLEPVNESFLLEPYMVDTSCLSSDILVPEPDYFSPVSDIELWSHSNEYYA >Vigun07g097450.1.v1.2 pep primary_assembly:ASM411807v1:7:16832398:16832821:-1 gene:Vigun07g097450.v1.2 transcript:Vigun07g097450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVFDTMECLILFPVLLDLVIEGEIGDDEIKMNGNYLADQCFNIFSNRGSSSHIMHPTSWNLFLVN >Vigun03g036600.1.v1.2 pep primary_assembly:ASM411807v1:3:2806049:2811209:-1 gene:Vigun03g036600.v1.2 transcript:Vigun03g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESELVGLCIEAACESRESVEKWRMQRRSLDRLPSPVADALLRRLIARRLLYPSLLEVFKHSAEEVDVRDNGSVDAEWMAYLGAFRHLRYLNLADCHRITTSALWPITGMTTLQELDLSRCFKVNDSGISHLLSVPNLEKLRISETGVTAKGVKLLASLQNLSLLDLGGLPVDDVSLTSLQVLKRLQYIDLWGSKISNKGAAVLNMFPKLTHLNLAWTSVTKLPTLSSLEFLDMSNCTIDSILEDDKSPLVKLIFSGATFLNEAGAFSYANTNLVSFLDVAHTSLHKFFFLSKMKVIEHLNLSSCMMGDDSVEMVACIGGNLKSLNLSGTRVSSAGLGILAGHVPNLEILSLSKTPVDDTGISFISMMPSLKDVDLSNTNIKGFLHQGKVDVDSSLSLMALQNLKLERLNLEHTQVRDEALYPLSSLLELRYLSLKSASLADVSLYYLSSIPKLTNLSVCDAVLTNYGIDIFKAPETLKLLDLRGCWLLTEDSILSFCRHHPQVEVLHELGTVYPFNQNGPNHSSPSRSTSRTVQMAKKKDPVPVLPYLADQRLKYSRDELLALQFASFPLASSSESGNSIFEKQLD >VigunL059157.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:5081:5602:1 gene:VigunL059157.v1.2 transcript:VigunL059157.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRDSVFGFQISGFGFGFWVWGFEFRVTSYGFWVSCFGCRISGFWFRVSDFGFRVSGFGSRDSCLGFWVLGITGYRFRVSGFDFQVEFWVWDFEFRISGFGLGVSDYEFLVSCFEFGVYGFGVSGFGFRVSDFGFRVWVLGLGFRVSGYKLQVTGFGFRVSGFGFRISGF >Vigun02g023800.1.v1.2 pep primary_assembly:ASM411807v1:2:7970172:7975552:-1 gene:Vigun02g023800.v1.2 transcript:Vigun02g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKKWFFTLFTAAFLSLSILMFSSFSCFTFPMPFPSIVHHGPHHPPAFAYFISGGNKDGDRIFRLLLAVYHPRNRYLLHLGMDARDEERKRLGAAAMSVPAIRAFRNVDVVGKADYVTYMGSSNVAVTLRAASVMMKLDGGWDWFVTLSARDYPLVTQDDLAHVFSSVQRNLNFIDHTSDLGWKEKDRFQPIVVDPGIYLARRSQIFQATQKRDTPDAFNLFTGSPWVILSRSFLEFCIFGWDNLPRTLLMYFTNVKLSQEGYFHSVICNAPEFKNTTVNADLRYMIWDNPPKMDPLFLNVSVYDQMAESGAAFARQFEAGDPVLDMIDRKILKRGRNHAVPGAWCSGRRSWWLDPCSQWGEDVNILKPGPQAKKLEGSVSNLLDDWSSHTNQCLTASEETQD >Vigun03g123400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11616194:11618146:1 gene:Vigun03g123400.v1.2 transcript:Vigun03g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDWRFDLNAITLGFCYARGTLVFLLIIPLEKALSQPFSFHYNFRNGADVEQLNLEGNVSVSNSGINLAVDPNHGGTGSVGRVTIPHLVKLWDKTSKEEESFVTHFSFMIIPKKSSRGDGFAFFIASPNLPNVKATDEIKRGGLGIGVVNGTVPLSNDYQYVAVEFDTFSNDWDPQGTHVGVNVNSMRSDVVEYWRTDTTSKGPYKCTIKYNSKDHYLNISFTGFKPNGNRVSQHLLYPIDLRNYLEERVVVGISAATGDGFDHHTLLGWSFSKKTSNNDKKDKLDRRMLLEGIGVGLGGAVSFFVLLLVVLWKRGKRQKEEVTSETSSDLKMDYEFKMSTGPKEIRYEVLVSATNNFKERHKLRHCVYKGYFKDIKSYGAIQRISAGSSHGVEEYAAEARIISQLRHRNLMKLVGWCHKKNDLFLIYDYMPNGSLYSHLFGGESILSWHVRYNIALGLASALYYLQEEWGKCVLHRDIKSSNILLDCNFNAKLGNFGLARLVDRKKVSKTTVMAGTTGYLDPEYVISGKARKESDMFSFGVVLLEVASGRKAIEQEEKEGQVSLVEWVWKLYGLRNVLAAADPKLNGEFDVQQMECLVVVGLWCANSDSRRRPSIRQVIKVLNFEAPFPVLHHQQQQMSCFSHYDLP >Vigun01g150500.1.v1.2 pep primary_assembly:ASM411807v1:1:33273064:33276046:-1 gene:Vigun01g150500.v1.2 transcript:Vigun01g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDAPVQSDIKLWPFKVIAGPADKPTIVVNYKGEEKQFAAEEISSMVLMKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKRSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVESKNSLENYAYNMRNTVKDDKIGGKLDPADKKKIEDAIEQAIQWLDSNQLAEADEFEDKMKELESVCNPIIAKMYQGGAGPDMSGAPPADDDYTPSGGSGAGPKIEEVD >VigunL059537.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:9313:9480:-1 gene:VigunL059537.v1.2 transcript:VigunL059537.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun03g125600.1.v1.2 pep primary_assembly:ASM411807v1:3:11876146:11880821:1 gene:Vigun03g125600.v1.2 transcript:Vigun03g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGDVEEETVVTRTNRPDPFLVACRCFSFLTSLAAILCIAVNVLSAVRSFKHASDIFDGIFRCYAVIIAAFVVLAETEWSFILKFSKVLEYWAARGMLQIFVAVMTRAFPDIIGERRDLFLLQSIASYLLLGCGVIYVVSGVMCIGFLKRARQNQEITREQAAKDLEELERRREELEQLLLSERV >Vigun01g150600.2.v1.2 pep primary_assembly:ASM411807v1:1:33297300:33301902:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKGILLKYRGEFNKKLSQQSNFFAHFDAAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGNFHWSTDNIKEIVMNLFPSKINLIFPLKASLSLGFAMFLGLVYNKENGYWSGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDIRFLPLLPWIFFSSFLMYSRMYGKAGGISTVTGALLVIGINHDENPSKFAFVRIVEATIGLICFVIVEILFNPFRASTLAKGKLSQCLRSLQHCIDQISIIVPSEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun01g150600.8.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQKRKLCKLYIHNICERLNCNMKVISSSNNSVVVGYVTLAKSLSTTGVKLLHSIRSKLDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRLKKRSRFLTIKHHKMGI >Vigun01g150600.7.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQKRKLCKLYIHNICERLNCNMKVISSSNNSVVVGYVTLAKSLSTTGVKLLHSIRSKLDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKGILLKYRGEFNKKLSQQSNFFAHFDAAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRLKKRSRFLTIKHHKMGI >Vigun01g150600.1.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQKRKLCKLYIHNICERLNCNMKVISSSNNSVVVGYVTLAKSLSTTGVKLLHSIRSKLDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKGILLKYRGEFNKKLSQQSNFFAHFDAAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGNFHWSTDNIKEIVMNLFPSKINLIFPLKASLSLGFAMFLGLVYNKENGYWSGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDIRFLPLLPWIFFSSFLMYSRMYGKAGGISTVTGALLVIGINHDENPSKFAFVRIVEATIGLICFVIVEILFNPFRASTLAKGKLSQCLRSLQHCIDQISIIVPSEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun01g150600.6.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDISEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun01g150600.4.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQKRKLCKLYIHNICERLNCNMKVISSSNNSVVVGYVTLAKSLSTTGVKLLHSIRSKLDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDISEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun01g150600.5.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDISEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun01g150600.3.v1.2 pep primary_assembly:ASM411807v1:1:33297301:33301901:1 gene:Vigun01g150600.v1.2 transcript:Vigun01g150600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSSITKTRSEVWRTRVGSALRTTLACTIAGCTYLYGPAPLRRYLTYPAFTYSTTLLIVSDATLGDTLRGCWHVLCASIQVMILSLLALHLVGPQNFTSLVAALAMAVSAFLVALPVESTHLVTKQIAFGQLVNVYVRTVIDGAEEKVAMHTIHVVCSTALGAVAAVLAMLLPCPSPRLAISQKRKLCKLYIHNICERLNCNMKVISSSNNSVVVGYVTLAKSLSTTGVKLLHSIRSKLDTMDWEQPLTRIFNSDKIDVQDKLQYFELPIRAMGIALSTCTFPIRVIDEDLKAAISELKKDIFTKNVLAYKDLPTSFFLYCMHLLLDDTPIVKKMDPRPMKTSKKGLIVAICFVTGRHSTFSLANARGQGTAMGSIYGVLCCFIFQRCMDISEKEKSFSYYQTSQDGNLKLKFVVDQLEEVTMEAELEPNFWFIPFHNGCYRKMLESLSTMVDLLLFVAYSMEKVKLLLQKDETFFMDLCDRVNENVENVKNKVGLILNCLDKITRIQSSMELEKELKNINLSIDVDIEENLRNDAFWIWNGDEEVNNITYSFLHHLEKIANKACTNTDEEMLKGQMLFHYGCLGFCSTSLMRETIKIHNEVKELLVWENPSSQTNLKEIFL >Vigun06g083000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21456161:21458093:-1 gene:Vigun06g083000.v1.2 transcript:Vigun06g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKEGYTINSTYIYILIHVLISSHHISVFYPLNSMENMKTPFVLDMKDVVIVKPSKPTPSELLSLSTIDSDPVLNILCQTIYVYKANLDSPNGQPDPAQVIKEALSKVLVYYYPLAGKIVTCDDGKLGINCNADGVPFLEATANCELSSLHYLEGIDVPTAQKLVINNDNKPSQDENHALAFMVTKFLCGGFTIGMGLSHSVCDGFGASQFFRALAELACGKSEPSVKPVWERERLVGTLLKEPLQFPIDETSRAVSPFWPSDEISHECFNFNAKSIERLKMELMKESDDVKESLTTVETLGAYVWRSRARALELNSDGKTMLCLAVGVRRLLDPPLPEGYYGNAFVGSYVVQTVKELDERPLSEIVKLIKESKKLPSNNEYIRNTINMLETVRQRNIRIEGTCASVVLTDWRQLSLLEEVDFGWKGSVNIIPVPWNMLGYVDLCLFLPPSNLDPSLKAGVRVFVSLPKASMPKFREEMEALKLTRADENSTLQ >Vigun07g006000.3.v1.2 pep primary_assembly:ASM411807v1:7:483906:490310:1 gene:Vigun07g006000.v1.2 transcript:Vigun07g006000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLENMYSTNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTRAMDFLVALFRNLIDQEDWTMSQACTDSYNKTLKKWHGWLASSSFSVVMKLAPSRKKFMEVIGGTGDINADIEKFCTAFSPLLEENHKFLARFRLDDMKAS >Vigun07g006000.1.v1.2 pep primary_assembly:ASM411807v1:7:483959:490310:1 gene:Vigun07g006000.v1.2 transcript:Vigun07g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRFSFFWKSRKWQSPKMEGTVFAPALKELEHVRSEHGEILTKHFLEVCKHILPVLDKFGTALALVKSDIGGNVSRLENMYSTNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTRAMDFLVALFRNLIDQEDWTMSQACTDSYNKTLKKWHGWLASSSFSVVMKLAPSRKKFMEVIGGTGDINADIEKFCTAFSPLLEENHKFLARFRLDDMKAS >Vigun07g006000.4.v1.2 pep primary_assembly:ASM411807v1:7:483906:490310:1 gene:Vigun07g006000.v1.2 transcript:Vigun07g006000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLENMYSTNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTRAMDFLVALFRNLIDQEDWTMSQACTDSYNKTLKKWHGWLASSSFSVVMKLAPSRKKFMEVIGGTGDINADIEKFCTAFSPLLEENHKFLARFRLDDMKAS >Vigun07g006000.2.v1.2 pep primary_assembly:ASM411807v1:7:483906:490310:1 gene:Vigun07g006000.v1.2 transcript:Vigun07g006000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFAPALKELEHVRSEHGEILTKHFLEVCKHILPVLDKFGTALALVKSDIGGNVSRLENMYSTNPSRFNYLYSLVQVEVETKTAKSSSSCTNGLLWLTRAMDFLVALFRNLIDQEDWTMSQACTDSYNKTLKKWHGWLASSSFSVVMKLAPSRKKFMEVIGGTGDINADIEKFCTAFSPLLEENHKFLARFRLDDMKAS >Vigun11g029350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3858063:3858281:-1 gene:Vigun11g029350.v1.2 transcript:Vigun11g029350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRKPKSKSFVDEVAPFVLKSHHQRRSSFIKLETIAEDERQEFNSLSKSIFVSFPLLFSGLLYILLCRGVL >Vigun07g078700.2.v1.2 pep primary_assembly:ASM411807v1:7:10813573:10818349:-1 gene:Vigun07g078700.v1.2 transcript:Vigun07g078700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRLRLAKRASFVSPLATRTFSSSQVNAPPYAAASFRRVSPRDNLSKRCVQWVFLGCPGVGKGTYASRLSNLLAVPHIATGDLVRDELASSGPLSSQLSEIVNQGQLVSDEIIISLLSKRLAAEEAKGELGFILDGFPRTIKQAEILEGVTDIDLVINLKLREDVLLEKCLGRRICNQCGGNFNVASIDIKAENGSPGMVMAPLLPPANCVSKLITRSDDTEPVVKERLRIYREMTQPVEEFYRSRGKLLEFNLPGGIPESWPKLLHALNLDDYEDKRSAAA >Vigun07g078700.3.v1.2 pep primary_assembly:ASM411807v1:7:10811295:10818335:-1 gene:Vigun07g078700.v1.2 transcript:Vigun07g078700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRLRLAKRASFVSPLATRTFSSSQVNAPPYAAASFRRVSPRDNLSKRCVQWVFLGCPGVGKGTYASRLSNLLAVPHIATGDLVRDELASSGPLSSQLSEIVNQGQLVSDEIIISLLSKRLAAEEAKGELGFILDGFPRTIKQAEILEGVTDIDLVINLKLREDVLLEKCLGRRICNQCGGNFNVASIDIKAENGSPGMVMAPLLPPANCVSKLITRSDDTEPVVKERLRIYREMTQPVEEFYRSRGKLLEFNLPGGIPESWPKLLHALNLDDYEDKRSAAA >Vigun07g078700.1.v1.2 pep primary_assembly:ASM411807v1:7:10814136:10818449:-1 gene:Vigun07g078700.v1.2 transcript:Vigun07g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRLRLAKRASFVSPLATRTFSSSQVNAPPYAAASFRRVSPRDNLSKRCVQWVFLGCPGVGKGTYASRLSNLLAVPHIATGDLVRDELASSGPLSSQLSEIVNQGQLVSDEIIISLLSKRLAAEEAKGELGFILDGFPRTIKQAEILEGVTDIDLVINLKLREDVLLEKCLGRRICNQCGGNFNVASIDIKAENGSPGMVMAPLLPPANCVSKLITRSDDTEPVVKERLRIYREMTQPVEEFYRSRGKLLEFNLPGGIPESWPKLLHALNLDDYEDKRSAAA >Vigun08g143500.1.v1.2 pep primary_assembly:ASM411807v1:8:31585830:31588402:-1 gene:Vigun08g143500.v1.2 transcript:Vigun08g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQLKFKVSLTTHTNAYTDHTLALLHVLASIVFDTLNQLLTPFDFYYDRVGGSGMDMRSKARVLDIKTLSGPVNDPSELPKWTYDGSSTGQAPGADSEVLLFPQAIFKDPFRRGENILVMCDTYNAAGEPIPTNKRYNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVQWPLGWPIGGFPGPQGPYYCGVGANKAFGRDIVNSHFKACLYAGINITGINGEVMPGQWEFQIGPSAGISACDDLWVARYILERITEIAGVVLSFDPQPIKGDWNCAGAHTNFSTKSMRSDGGYEVIKNAISKLEKRHKEHIAAYGEGNERRLTGKHETADINTFIWGVANRGASIRVGRDTEKKGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Vigun08g143500.2.v1.2 pep primary_assembly:ASM411807v1:8:31585789:31593455:-1 gene:Vigun08g143500.v1.2 transcript:Vigun08g143500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLMNLNLSNATEKVIAEYIWVGGSGMDMRSKARVLDIKTLSGPVNDPSELPKWTYDGSSTGQAPGADSEVLLFPQAIFKDPFRRGENILVMCDTYNAAGEPIPTNKRYNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVQWPLGWPIGGFPGPQGPYYCGVGANKAFGRDIVNSHFKACLYAGINITGINGEVMPGQWEFQIGPSAGISACDDLWVARYILERITEIAGVVLSFDPQPIKGDWNCAGAHTNFSTKSMRSDGGYEVIKNAISKLEKRHKEHIAAYGEGNERRLTGKHETADINTFIWGVANRGASIRVGRDTEKKGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Vigun07g255500.1.v1.2 pep primary_assembly:ASM411807v1:7:37348198:37355438:1 gene:Vigun07g255500.v1.2 transcript:Vigun07g255500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVGELTSNNKSTAGEMRTEKSELSEKVQLGTEHLLKEQRESGTVLTRSVIDEKSNQVYANATENSVILLPAPPQHYSEKNCQTVEGSHLQQSTDKEVSLQLSNDKPENPSQPLSENEPVESVPASAEDGQQQSSPAQANTSYVNKLLDPPSGDVVTNFSEKVSNSPTNSQLRRKGKKNSKLLKKTYMLRSVGSSDRALRSKTKENPKTPEPSSNLVDCNNNNNNSNDGGKRKARKKKRKSGEVGITDQFSRIKSRLKYLLNRIGYEKSLIDAYSGEGWKGHSMEKLKPEKELQRAKSEILRRKLNIRDLFRDLDSLCAEGKLPESLFDSEGEIDSEDIFCAKCHSKELSSNNDIILCDGVCDRGFHQHCLYPPLLTEDIPPGDEGWLCPGCDCKDDCMDLINDSFGTSLTINDNWERVFPEAAAAGNNKDDNFGLPSDDSDDDDYNPNGPEDVKGEGDESSSEESDYASASENLEGSHGDQYLGLPSEDSDDGDYDPGAPDADNKVNEESSSSDFTSDSEDLAAAIVDSTSPGQDDEIRSASLDDVKYLKSYVKKRGKAGKKLSMADELSSLLEPDAGQEGSTPVSGKRNLERLDYKKLYDETYHSDTSEDEDWTATVTPSRKKKGNVNPVSPNRNASNNSMHTPKRNGHQNKFENTKNSPAKSLNGLVKFDSSEQKSRSPACKRLGEAVVQRLHISFKENQYPDRATKESLAQELGLTCQQVAKWFDNTRWSFRHSSLMKTNSGRNEGGECELMSPEVSGEKSKAPSSGKRKKLSEHQLSETQLDINGLETSSPNAHQTQRGKRMKTRRRK >Vigun07g255500.2.v1.2 pep primary_assembly:ASM411807v1:7:37348198:37355438:1 gene:Vigun07g255500.v1.2 transcript:Vigun07g255500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVGELTSNNKSTAGEMRTEKSELSEKVQLGTEHLLKEQRESGTVLTRSVIDEKSNQVYANATENSVILLPAPPQHYSEKNCQTVEGSHLQQSTDKEVSLQLSNDKPENPSQPLSENEPVESVPASAEDGQQQSSPAQANTSYVNKLLDPPSGDVVTNFSEKVSNSPTNSQLRRKGKKNSKLLKKTYMLRSVGSSDRALRSKTKENPKTPEPSSNLVDCNNNNNNSNDGGKRKARKKKRKSGEVGITDQFSRIKSRLKYLLNRIGYEKSLIDAYSGEGWKGHSMEKLKPEKELQRAKSEILRRKLNIRDLFRDLDSLCAEGKLPESLFDSEGEIDSEDIFCAKCHSKELSSNNDIILCDGVCDRGFHQHCLYPPLLTEDIPPGDEGWLCPGCDCKDDCMDLINDSFGTSLTINDNWERVFPEAAAAGNNKDDNFGLPSDDSDDDDYNPNGPEDVKGEGDESSSEESDYASASENLEGSHGDQYLGLPSEDSDDGDYDPGAPDADNKVNEESSSSDFTSDSEDLAAAIVDSTSPGQDDEIRSASLDDVKYLKSYVKKRGKAGKKLSMADELSSLLEPDAGQEGSTPVSGKRNLERLDYKKLYDETYHSDTSEDEDWTATVTPSRKKKGNVNPVSPNRNASNNSMHTPKRNGHQNKFENTKNSPAKSLNGLVKFDSSEQKSRSPACKRLGEAVVQRLHISFKENQYPDRATKESLAQELGLTCQQVAKWFDNTRWSFRHSSLMKTNSGRNEGGECELMSPEVSGEKSKAPSSGKRKKLSEHQLSETQLDINGLETSSPNAHQTQRGKRMKTRRRK >Vigun08g075800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13321798:13322289:-1 gene:Vigun08g075800.v1.2 transcript:Vigun08g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSNFCLMLLCLMMSWSLMCNTVLSNVAPAPSNWPGPVSSYQSYLANCAANLDPICGRDIYFAVFYGNATVIEDCCDKLVSNVGKVCHDDMTKFVLTKTQFLSSAVQIVERSQKIWNDCVAVVKS >Vigun08g082200.1.v1.2 pep primary_assembly:ASM411807v1:8:17202164:17208504:-1 gene:Vigun08g082200.v1.2 transcript:Vigun08g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGWDRCSWSARTLVSILATIIILLQPDYGIAKRHPPCPTSSCGQIRNISYPFRLKGDPGQCGDPRYELDCVNNATLLLTLLSGKYHVRDIDYNQYRMVVIDPGLEEEGNCSFIPRNFLTDRNFGSQPFNYDYWVMVRVGYLNCVNPVSDDPRYVKVERSGCGSGGHVYAVLDEPYAYSVQDMKVGCDLMVATLWTPKQNVTYACDRKNTETMCSPREFEVLEKSPAGNVTYDDIHRIISEGFWLSWLPTVCEKQCGRGVMCQISNESRGEVFCMNHYCNYAYHTHKCEPWQKIFGYIRGYLQGIIYGIGSRITFSQYPDVQYFDEGIFIGRNGTLIFFAARYLFGVVLILVLLIYKWRRRHSSIYENIENFLLDSHLNPIRYQYNEIKKMTRGFKVKLGQGGFGVVYKGKLQSGLEVAVKILSKSDDDGQDFINEVATIGTIHHINVVRLIGYCVHRKKCALVYEFMSNGSLDKYIFSKEEGICLSYDKIHEISLGIARGIAYLHQGCDMQILHFDIKPHNILLDDNFVPKVSDFGLAKLHATIDGVVNMTAARGTLGYMAPELFYNNMGGVTYKADVYSFGMLLMEMASKRRNSNPDAEHSSQHYFPFWIYDQFKKAKHVDMQDASEEDNILVKKMFLVALWCIQLKPSDRPSMNKVIMMLEGMAESLELPPRPSFYKVESYEHDDISSDVANYTYSTSHHGESLTMDHHISKKT >Vigun06g173400.2.v1.2 pep primary_assembly:ASM411807v1:6:29447589:29451848:1 gene:Vigun06g173400.v1.2 transcript:Vigun06g173400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPQPSLTDEAPEISHQGVPLRNKEVSDSGPNGRRPDISLQVPPRPIGFGSTSGGRVLDHSQSFTKGISSSRGFLRALSFKRKGNVGDGERSSLLSSDPKTASDSSNMASISEIAWKRCTSLPVTPASNLSPSVATPISARTYNEQIKPHKDVDRSKVSRSLSVPGRNVVIVRSVSFSTRTEQEQQDSNDDQITPVPVEVTADEEIPEEEAVCRICFDVCDERNTFKMECSCKGDLRLVHEECLIKWFSNKSDKKCDVCRQEVQNLPVTLLRVTSSVQRQNRQMQGQQSLHPESISAWQDFVVLVLISTICYFFFLEQLLLPELKTQAIIIAAPFAFTLGLLASIFAVILAIKEYIWTYAALEFALVALTVHLFYTMLHLMAIYAILLSSVLGFGIAMAINYAYIQFVTWRLQVSHDDNPV >Vigun06g173400.3.v1.2 pep primary_assembly:ASM411807v1:6:29447589:29451848:1 gene:Vigun06g173400.v1.2 transcript:Vigun06g173400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPQPSLTDEAPEISHQGVPLRNKEVSDSGPNGRRPDISLQVPPRPIGFGSTSGGRVLDHSQSFTKGISSSRGFLRALSFKRKGNVGDGERSSLLSSDPKTASDSSNMASISEIAWKRCTSLPVTPASNLSPSVATPISARTYNEQIKPHKDVDRSKVSRSLSVPGRNVVIVRSVSFSTRTEQEQQDSNDDQITPVPVEVTADEEIPEEEAVCRICFDVCDERNTFKMECSCKGDLRLVHEECLIKWFSNKSDKKCDVCRQEVQNLPVTLLRVTSSVQRQNRQMQGQQSLHPESISAWQDFVVLVLISTICYFFFLEQLLLPELKTQAIIIAAPFAFTLGLLASIFAVILAIKEYIWTYAALEFALVALTVHLFYTMLHLMAIYAILLSSVLGFGIAMAINYAYIQFVTWRLQVSHDDNPV >Vigun06g173400.1.v1.2 pep primary_assembly:ASM411807v1:6:29447589:29451848:1 gene:Vigun06g173400.v1.2 transcript:Vigun06g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPQPSLTDEAPEISHQGVPLRNKEVSDSGPNGRRPDISLQVPPRPIGFGSTSGGRVLDHSQSFTKGISSSRGFLRALSFKRKGNVGDGERSSLLSSDPKTASDSSNMASISEIAWKRCTSLPVTPASNLSPSVATPISARTYNEQIKPHKDVDRSKVSRSLSVPGRNVVIVRSVSFSTRTEQEQQDSNDDQITPVPVEVTADEEIPEEEAVCRICFDVCDERNTFKMECSCKGDLRLVHEECLIKWFSNKSDKKCDVCRQEVQNLPVTLLRVTSSVQRQNRQMQGQQSLHPESISAWQDFVVLVLISTICYFFFLEQLLLPELKTQAIIIAAPFAFTLGLLASIFAVILGNLSFWFFRDEMIYCSNFNFIYVFVLCAAIKEYIWTYAALEFALVALTVHLFYTMLHLMAIYAILLSSVLGFGIAMAINYAYIQFVTWRLQVSHDDNPV >Vigun11g220000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41250380:41251861:-1 gene:Vigun11g220000.v1.2 transcript:Vigun11g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSQKHNLVRLLEQCSTMREMKQIHAYAITTSLARFTFISSKLLAFYAHADLRHAHTLFSRIPFPTLFHYNTIIAAFSRRSSSLFLRMLNDTVRPNARTFTLLLSKASPSLSFLQQLHSLILRLGHLDDPYVATSLVAAYSNHACAQAARRVFDESPDKNVACCTSLLTGYCNNGLVNDAREVFDAIPDKNDVSYSAMVSGYVRNGFFRDAIQLFRDLKKNCFATVKPNNSLLASVLGACAAIGAFEEGRWIHSYVHRNGELEYHQVELGTALIDFYAKCGCVEPAERVFGKMKSKDVAAWSAMILGLAINGKNRWALELFAEMEKVGPRPNGVTFIGVLTACNDKELFGRAVTLFEYMREKHGIAAWIEHYGCVVDVLARAGKIEEAVAFMSGMEMEADGAIWGCLVNGCLMHGYVELGHRIGRYLVEFECGHGGRYVVLAKFYAGMGRWEAVSDTRNLMKRRGVPPLTASSFIEIHASASSSEQLYIHC >Vigun01g076800.1.v1.2 pep primary_assembly:ASM411807v1:1:21524043:21530263:1 gene:Vigun01g076800.v1.2 transcript:Vigun01g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDQRQREKLLHKTEACAFVAGVAAELRLVAAQGDIANTNNVNSTTTIVSQSHASPRIHDANHLGLVAAVTNLGTVHRKKRMPRQRRSTVATSSTTPTLFLQMHHSDNALPSKHHHPNLPSSTPSASSHVPPSSLQSVKHPTPPRLAARGIDQRNLRFLFKKELKNSDVSSLRRMVLPKKAAETFLPPLESKEGIFMDMEDKDGTRVWNFKYRFWPNNNSRMYVLENTGDFVRTHGLRSGDTIMIYQQIENNNYVIETRKAWEEDESVGQSNETVNKMFPSDLEVNKPGCFNISYPAGNDAGMSYIYETTISNDSPLDFLGGSMTNFSRIGPVETFGSVENLSLDDFY >Vigun07g110433.1.v1.2 pep primary_assembly:ASM411807v1:7:20469960:20470786:-1 gene:Vigun07g110433.v1.2 transcript:Vigun07g110433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINMRQDLKKLFDMVIQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGRTIPIDYFPSCRCQQYFDNQIIEVREDWCFYLISKCL >Vigun10g131200.1.v1.2 pep primary_assembly:ASM411807v1:10:33981628:33986491:-1 gene:Vigun10g131200.v1.2 transcript:Vigun10g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGRLQFDGGVRGEDSGGIVGGSNDDSLCCFERLFAEFFSEICAFNCVLHYPPMLSDGQDVDLYRLFLVVRGKGGYDAVCNGKLWDSVGEESGMGVSVGSSVELVYSRYLSALDSWMKKVAESKVSPEFGVVDNRDKFGRRLMELQAEVEGLFSGCGDERVVLAGEDVEGGMDEDDHNLDGRELSSDNGVKGSGDEGGEHKQCSDSEMTDHDMDEGVLGNSDKGNDLMGGKEGFDGGKMPEEQAVDVSDGANNSGTGVVSGGEKCDDSDDKGLVLDASGGNSDGDSSGHKRKREGSVLDSSSDDSDNSPSRKRMRESAMDMLNWVTGVAKNPGDPEVGSIPEKSKWKSYTSQEVWKQVLLFREGAFYRRGSEHSNEQRSWQNQKMHPCLYEDQVVTNYNLRDRLKCDKKLQSLRSSSDSSLGTENRTPSSHTEGQSELLDSSDANSGLDKCSTVRIPLGTNHQAELPEWTGVTSESDSKWLGTRIWPQQIVNTGLIERDPIGKGRQGSCGCSEEGSIECVRFHISEKRAKVQLELGDAFYDWDFDRVGEDVRLMWTKEEEKKFEDVVRSNPPSLEKYYWDYIFRAFPDKSRADLVSYYFNVFMLQRRGYQNRHTPDDIDSDDNDDEAGPLRNVFGHQMQSSRGSILLTPKKSHKKGK >Vigun10g131200.2.v1.2 pep primary_assembly:ASM411807v1:10:33981628:33986494:-1 gene:Vigun10g131200.v1.2 transcript:Vigun10g131200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGQDVDLYRLFLVVRGKGGYDAVCNGKLWDSVGEESGMGVSVGSSVELVYSRYLSALDSWMKKVAESKVSPEFGVVDNRDKFGRRLMELQAEVEGLFSGCGDERVVLAGEDVEGGMDEDDHNLDGRELSSDNGVKGSGDEGGEHKQCSDSEMTDHDMDEGVLGNSDKGNDLMGGKEGFDGGKMPEEQAVDVSDGANNSGTGVVSGGEKCDDSDDKGLVLDASGGNSDGDSSGHKRKREGSVLDSSSDDSDNSPSRKRMRESAMDMLNWVTGVAKNPGDPEVGSIPEKSKWKSYTSQEVWKQVLLFREGAFYRRGSEHSNEQRSWQNQKMHPCLYEDQVVTNYNLRDRLKCDKKLQSLRSSSDSSLGTENRTPSSHTEGQSELLDSSDANSGLDKCSTVRIPLGTNHQAELPEWTGVTSESDSKWLGTRIWPQQIVNTGLIERDPIGKGRQGSCGCSEEGSIECVRFHISEKRAKVQLELGDAFYDWDFDRVGEDVRLMWTKEEEKKFEDVVRSNPPSLEKYYWDYIFRAFPDKSRADLVSYYFNVFMLQRRGYQNRHTPDDIDSDDNDDEAGPLRNVFGHQMQSSRGSILLTPKKSHKKGK >Vigun10g131200.3.v1.2 pep primary_assembly:ASM411807v1:10:33981628:33986491:-1 gene:Vigun10g131200.v1.2 transcript:Vigun10g131200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGQDVDLYRLFLVVRGKGGYDAVCNGKLWDSVGEESGMGVSVGSSVELVYSRYLSALDSWMKKVAESKVSPEFGVVDNRDKFGRRLMELQAEVEGLFSGCGDERVVLAGEDVEGGMDEDDHNLDGRELSSDNGVKGSGDEGGEHKQCSDSEMTDHDMDEGVLGNSDKGNDLMGGKEGFDGGKMPEEQAVDVSDGANNSGTGVVSGGEKCDDSDDKGLVLDASGGNSDGDSSGHKRKREGSVLDSSSDDSDNSPSRKRMRESAMDMLNWVTGVAKNPGDPEVGSIPEKSKWKSYTSQEVWKQVLLFREGAFYRRGSEHSNEQRSWQNQKMHPCLYEDQVVTNYNLRDRLKCDKKLQSLRSSSDSSLGTENRTPSSHTEGQSELLDSSDANSGLDKCSTVRIPLGTNHQAELPEWTGVTSESDSKWLGTRIWPQQIVNTGLIERDPIGKGRQGSCGCSEEGSIECVRFHISEKRAKVQLELGDAFYDWDFDRVGEDVRLMWTKEEEKKFEDVVRSNPPSLEKYYWDYIFRAFPDKSRADLVSYYFNVFMLQRRGYQNRHTPDDIDSDDNDDEAGPLRNVFGHQMQSSRGSILLTPKKSHKKGK >Vigun07g100300.1.v1.2 pep primary_assembly:ASM411807v1:7:17753634:17755667:1 gene:Vigun07g100300.v1.2 transcript:Vigun07g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCERLGLNKGFWSPEEDRILINHINTYGHKNWHALPRQAGLSRCGKSCRLRWINYLRPDIKRGNFTREEEDKIIQLHEKLGNRWSAIAGKLPGRTDNEIKNVWNTHLKKRVSQQDKDTKQKLSLVSTQIPKQEQQQPVTEEKKALSSPQCASTDVSTLTTSTTSDSRDHNCATAIDHQHRLPVKTESLDDDFWSQVLSPGNAAHRDFQSSLSPLFTSNGVHASSAYDNVNFWYDVYMGEQELRDF >Vigun08g009600.1.v1.2 pep primary_assembly:ASM411807v1:8:838829:839433:-1 gene:Vigun08g009600.v1.2 transcript:Vigun08g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKKIKMKTKGVSLMIMMMIMMNVSFAQTESTKVGYREIVCNWKCFTECSPLMIILPFFRLCVKSCCKKCCKSDSIDINITDARDLKASSEISCAPECHNKK >Vigun03g373500.2.v1.2 pep primary_assembly:ASM411807v1:3:57582699:57586646:-1 gene:Vigun03g373500.v1.2 transcript:Vigun03g373500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESEQALRKHRIGTRQPFLGLAEKNNAITSRRLATREITSRYRSPSPTRATPSGSRRCPSPSLTRPTTPTSSKLLPKRAQSTERKRPATPPSPPRPSTPVQDSSIDVNLSSRRAAGSRMPEALWPSTMRSLSVSFQSDTISIPVIKKEKPVTSAVDRTLRPNSNVTHKQVQTPIVRKPTPERKRSPLKGNNASNQTENSKPDDGLHSRLIDQHRWPSRISGKVCSSASSRGIDHTDKTTRTLNSSVPGTSVSSLRRLSLPGDASKPLRKASSDASRLMLLVENGRIGSEMRPIDDNFQALRPHKFVPAITLDKTGLAVTGVRSQFLPNPGSGLSSPSKTSVLSSSSSRGVVSPSRSRPSTPPRGVSPSRIRPTNSSNQSNNSISVLSFIADFKKGKKGAALVEDAHQLRLLYNRYLQWRFINAQAEDVFYIQNAKAEKSLYNVWHTTLSIWETIIRKRINLQQLQLELKLNSILNDQMAYLDDWAVLESGHIDALSGTVEDLEASTLRLPLTGGAKADIEHLKLAICSAVDAMQAMGSAICPLLSRVEGMNNLIAEVAVVSAQEKAMLDECEALLNFATAMQVEEYSLRTHLMQIKQGFMVHK >Vigun01g172000.1.v1.2 pep primary_assembly:ASM411807v1:1:35434072:35439129:1 gene:Vigun01g172000.v1.2 transcript:Vigun01g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESEKRASTSTLVSRFSSFESMDRLVDTEEMEAAETLAQLAMRDSHSTDKWCTKLCAIPELHLSPTLHSDPSLGIVDQAIAGQQEEEKVDTTGSVEAERTQQNNCSEPMEVEQDANLIHNSSHTEVQQDANLMHNSSHTEMEQDANLIQNSSHTEVEQDSNVRHSSNNHLGRCNRSRKNLTEEEKEARRMRRILANRESARQTIRRRQALCEELTKKAATLAVENENLKKEKDLALKEYQSLETTNKHLKAQIAKSTNTEVEEEKTPVEPESSVAEVIPSSGSGPWFLYNPFPVQHVFWPSPLQPTYPVHLPNASFNSIINPSIANVPCSSESELYHKQNNLINDKQTPNPFYMFPCPWIFPCPQFGNGQSSPSCSLKDKQNNLSLGKPCSSSSSLNTLANVDYRAWTEARLVNDPSRFSMDGGEKKTGCHIIENYHGPTLGCNGHASAFEQENELQLHSGPNNKASSTAYIAPSSLEKKQEQFICQGKSLADAVAAAEARKRRKELTKQKSIHNRQSRMQC >Vigun01g172000.4.v1.2 pep primary_assembly:ASM411807v1:1:35434474:35439313:1 gene:Vigun01g172000.v1.2 transcript:Vigun01g172000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAIAGQQEEEKVDTTGSVEAERTQQNNCSEPMEVEQDANLIHNSSHTEVQQDANLMHNSSHTEMEQDANLIQNSSHTEVEQDSNVRHSSNNHLGRCNRSRKNLTEEEKEARRMRRILANRESARQTIRRRQALCEELTKKAATLAVENENLKKEKDLALKEYQSLETTNKHLKAQIAKSTNTEVEEEKTPVEPESSVAEVIPSSGSGPWFLYNPFPVQHVFWPSPLQPTYPVHLPNASFNSIINPSIANVPCSSESELYHKQNNLINDKQTPNPFYMFPCPWIFPCPQFGNGQSSPSCSLKDKQNNLSLGKPCSSSSSLNTLANVDYRAWTEARLVNDPSRFSMDGGEKKTGCHIIENYHGPTLGCNGHASAFEQENELQLHSGPNNKASSTAYIAPSSLEKKQEQFICQGKSLADAVAAAEARKRRKELTKQKSIHNRQSRMQC >Vigun01g172000.2.v1.2 pep primary_assembly:ASM411807v1:1:35434072:35439312:1 gene:Vigun01g172000.v1.2 transcript:Vigun01g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESEKRASTSTLVSRFSSFESMDRLVDTEEMEAAETLAQLAMRDSHSTDKWCTKLCAIPELHLSPTLHSDPSLGIVDQAIAGQQEEEKVDTTGSVEAERTQQNNCSEPMEVEQDANLIHNSSHTEVQQDANLMHNSSHTEMEQDANLIQNSSHTEVEQDSNVRHSSNNHLGRCNRSRKNLTEEEKEARRMRRILANRESARQTIRRRQALCEELTKKAATLAVENENLKKEKDLALKEYQSLETTNKHLKAQIAKSTNTEVEEEKTPVEPESSVAEVIPSSGSGPWFLYNPFPVQHVFWPSPLQPTYPVHLPNASFNSIINPSIANVPCSSESELYHKQNNLINDKQTPNPFYMFPCPWIFPCPQFGNGQSSPSCSLKDKQNNLSLGKPCSSSSSLNTLANVDYRAWTEARLVNDPSRFSMDGGEKKTGCHIIENYHGPTLGCNGHASAFEQENELQLHSGPNNKASSTAYIAPSSLEKKQEQFICQGKSLADAVAAAEARKRRKELTKQKSIHNRQSRMQC >Vigun01g172000.3.v1.2 pep primary_assembly:ASM411807v1:1:35434072:35439016:1 gene:Vigun01g172000.v1.2 transcript:Vigun01g172000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESEKRASTSTLVSRFSSFESMDRLVDTEEMEAAETLAQLAMRDSHSTDKWCTKLCAIPELHLSPTLHSDPSLGIVDQAIAGQQEEEKVDTTGSVEAERTQQNNCSEPMEVEQDANLIHNSSHTEVQQDANLMHNSSHTEMEQDANLIQNSSHTEVEQDSNVRHSSNNHLGRCNRSRKNLTEEEKEARRMRRILANRESARQTIRRRQALCEELTKKAATLAVENENLKKEKDLALKEYQSLETTNKHLKAQIAKSTNTEVEEEKTPVEPESSVAEVIPSSGSGPWFLYNPFPVQHVFWPSPLQPTYPVHLPNASFNSIINPSIANVPCSSESELYHKQNNLINDKQTPNPFYMFPCPWIFPCPQFGNGQSSPSCSLKDKQNNLSLGKPCSSSSSLNTLANVDYRAWTEARLVNDPSRFSMDGGEKKTGCHIIENYHGPTLGCNGHASAFEQENELQLHSGPNNKASSTAYIAPSSLEKKQEQFICQGKSLADAVAAAEARKRRKELTKQKSIHNRQSRMQC >Vigun05g190200.1.v1.2 pep primary_assembly:ASM411807v1:5:36962928:36966279:1 gene:Vigun05g190200.v1.2 transcript:Vigun05g190200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYHHLDHTEAHLPPGFRFHPTDEELITYYLLKKVLDSNFTGRAIAEVDLNKSEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRNSKDEWVISRVFQKSNTTNGGSAMSASSGSKKTRMNTTNTTLCPEPSSPSSVYLPPLLDSSPYTTTTPVSFADRNNCSYDSTTKKEHVSCFSTIAAATAAVVSPNNFTNASFDLPPSQPLGADPFARFQRNVGVSAFPSLRSLQDNLQLPFFFPPAAQPFSGGTSGDLLWPMPEEQRLVDAAHNVPLGVSELDCMWGY >Vigun10g070400.1.v1.2 pep primary_assembly:ASM411807v1:10:16895908:16897872:-1 gene:Vigun10g070400.v1.2 transcript:Vigun10g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKIKFRSWNHSASFVWIFFCLMSSRCDFGFNNIYKRNVCRHESSHKHSILEVQ >Vigun07g116550.1.v1.2 pep primary_assembly:ASM411807v1:7:21514544:21515384:1 gene:Vigun07g116550.v1.2 transcript:Vigun07g116550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKIDEQLLQMSKSWDIVGIKFTILSSNKSSSAEQRGFSTSHCPKHSIFWIIRISFCL >Vigun07g080700.1.v1.2 pep primary_assembly:ASM411807v1:7:11348450:11351191:1 gene:Vigun07g080700.v1.2 transcript:Vigun07g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFEFADKVPPSFDSVGNASKGFNPGLIVLLLVGGLVLIFLVGNFVLYSYAQKTLPPRKKKPVSKKKMKKERLKQGVSAPGE >Vigun09g026900.3.v1.2 pep primary_assembly:ASM411807v1:9:2120940:2131320:-1 gene:Vigun09g026900.v1.2 transcript:Vigun09g026900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKEKTSAPSSSPYVEFCKGVNGLDKVILRESRGSSAEVYLYGAHVTSWKNDHGEELLFLSSKAVFKPPKAIRGGIPICFPQFGGLGTLDQHGFARNRLWSVDDDPPPFPTNTLSKAFVDLILKTSEEDSKNWPHSFEYRLRIALGPGGDLMLTSRIRNTNSEGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAIIDHEKKRTFVVRKDGLPDAVVWNPWDKKAKAMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPQRVLLGS >Vigun09g026900.2.v1.2 pep primary_assembly:ASM411807v1:9:2120942:2131320:-1 gene:Vigun09g026900.v1.2 transcript:Vigun09g026900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKEKTSAPSSSPYVEFCKGVNGLDKVILRESRGSSAEVYLYGAHVTSWKNDHGEELLFLSSKAVFKPPKAIRGGIPICFPQFGGLGTLDQHGFARNRLWSVDDDPPPFPTNTLSKAFVDLILKTSEEDSKNWPHSFEYRLRIALGPGGDLMLTSRIRNTNSEGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAIIDHEKKRTFVVRKDGLPDAVVWNPWDKKAKAMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPQRVLLGS >Vigun09g026900.4.v1.2 pep primary_assembly:ASM411807v1:9:2120942:2131320:-1 gene:Vigun09g026900.v1.2 transcript:Vigun09g026900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKEKTSAPSSSPYVEFCKGVNGLDKVILRESRGSSAEVYLYGAHVTSWKNDHGEELLFLSSKAVFKPPKAIRGGIPICFPQFGGLGTLDQHGFARNRLWSVDDDPPPFPTNTLSKAFVDLILKTSEEDSKNWPHSFEYRLRIALGPGGDLMLTSRIRNTNSEGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAIIDHEKKRTFVVRKDGLPDAVVWNPWDKKAKAMSDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPQRVLLGS >Vigun02g042500.1.v1.2 pep primary_assembly:ASM411807v1:2:17255289:17257211:1 gene:Vigun02g042500.v1.2 transcript:Vigun02g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPQRKPCSTAAAGKVDDWRCFKELGLLDEAVTQKKDREALTEKISALQTELVDYQYNMGLLLIENKKWSSKYDQLRQELAETEEILKRQQSGHLIALFDAEQRERCLRIALSVERKCVADVCFVSISSLERALMQCKKTIHKFSIPHIQS >Vigun02g042500.2.v1.2 pep primary_assembly:ASM411807v1:2:17255254:17257224:1 gene:Vigun02g042500.v1.2 transcript:Vigun02g042500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPQRKPCSTAAAGKVDDWRCFKELGLLDEAVTQKKDREALTEKISALQTELVDYQYNMGLLLIENKKWSSKYDQLRQELAETEEILKRQQSGHLIALFDAEQRERCLRIALSVERKCVADLERALMQCKKTIHKFSIPHIQS >Vigun02g042500.3.v1.2 pep primary_assembly:ASM411807v1:2:17255276:17256423:1 gene:Vigun02g042500.v1.2 transcript:Vigun02g042500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPQRKPCSTAAAGKVDDWRCFKELGLLDEAVTQKKDREALTEKISALQTELVDYQYNMGLLLIENKKWSSKYDQLRQELAETEEILKRQQSGHLIALFDAEQRERCLRIALSVERKCVADVCFVSISSV >Vigun03g037400.1.v1.2 pep primary_assembly:ASM411807v1:3:2863361:2864604:-1 gene:Vigun03g037400.v1.2 transcript:Vigun03g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSREEKLNQVSKGNVWDCGSTLYDSFELNSLKRELNTAIANSPKNRRTLSMSHLPERCLSLQLHHPQPPIMSENKPFKISRTFHKLLRFVFKSSNKLRTSSTSCSRSTTPTSNTTFQVAEKYSNKDRFYVVYDKSEPVLSTIPELPEFELPEFEVAVLSPEIASFVRKSASERFMATAAIGFGAN >Vigun05g277800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46733337:46735823:1 gene:Vigun05g277800.v1.2 transcript:Vigun05g277800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSWDSSSQLVGNIPMLWSSQSQDLEGEYHHSMPHLCSSVLNQTGYPYPSSEKAREMVHPSTSEIMSILPNMNLSQRTATMAVKSGAAGASWGEALTSQSCPSLSMNQTTNYVADFNMAHQQHHLISGTQNVKGTCSLESLDCLLSATKNGNTDTSLEDDGISMMILSDCRNLCNFSYGSAVSSGESESNASNARNSDMRYPSVNELDETASQSFSDQYISQGRVIDSEVICRKGSNSCFSVAQSSFASEGGFRLISETSPKSKRPRWDRHHPGSSNINFQQPNSSVSPSFEEPDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARERRERISERIRVLQKIVPGGSKMDTASMLDEAANYLKFLRAQVKALENLGNKLDTMNSPPNIAFSFNPSFPLQTHFPVQNPSTNTHIQHSKGHRK >Vigun09g214400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38876065:38879957:-1 gene:Vigun09g214400.v1.2 transcript:Vigun09g214400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRFNVYDAGELIVLANHVKTVESLRRLHALTVTCGHSTNLFIAAKLISLYDSLQNDHVSCSTLFHSLPSKDTFVYNSFLKSLFSRSLFPHALTLFSHMTRASNLSPNHFTLPIVVSASAHLSLLPHGASLHALAFKTGLFHSSSASFVSFYSRCGEMDLACKVFDEIPVRDVAAWTALIIGHVQNGEPEKGLKCLRDMHEVGEDTQKPNVRTWEGGFLACGNLGAAREGACLHGVVIKDGVASFLQSSVLAMYSKCGFPREAYRAFCEVIHKDLLSWTSIIGVCARFGMMGECVKLYREMQENEIRPDGIVIGCMLSGFGNSMDVSQGKAFHGVIIRRQYVDAEEVNDLLLFMYCKFGMMSLAERIFPMCRGSEECWNFMVFGYDRMGEGVKCVELFREMQCVGIRSDSIGVVSAIASCAQLGAVNLGRSIHCNAIKGFLDANIAVTNSLIEIYGKCGRMTYASRIFNRSQRDVVSWNTLISSHVHIKQHEDAVDLFDKMVREGLQPNTATLVVVLSACSHIASLEKGERVHRYIKESGFRVNLPLGTALVDMYAKCGQLQKSRMVFDSMMEKDEICWNAMISGYGMNGYAESALEIFRSMEESNVMPNEITFLSLLSACAHAGLAEEGKYVFARMLSYSLSPNLKHYTCMIDLLGRSGNLQEAKAMVLSMPISPDSGVWGALLGHCKTYNQVEMGISIATHAIDSEPENDGYYVMLANLYSSIGRWEEAENVRRTMKERCSMGKKAGWSLL >Vigun09g214400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38876101:38879957:-1 gene:Vigun09g214400.v1.2 transcript:Vigun09g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRFNVYDAGELIVLANHVKTVESLRRLHALTVTCGHSTNLFIAAKLISLYDSLQNDHVSCSTLFHSLPSKDTFVYNSFLKSLFSRSLFPHALTLFSHMTRASNLSPNHFTLPIVVSASAHLSLLPHGASLHALAFKTGLFHSSSASFVSFYSRCGEMDLACKVFDEIPVRDVAAWTALIIGHVQNGEPEKGLKCLRDMHEVGEDTQKPNVRTWEGGFLACGNLGAAREGACLHGVVIKDGVASFLQSSVLAMYSKCGFPREAYRAFCEVIHKDLLSWTSIIGVCARFGMMGECVKLYREMQENEIRPDGIVIGCMLSGFGNSMDVSQGKAFHGVIIRRQYVDAEEVNDLLLFMYCKFGMMSLAERIFPMCRGSEECWNFMVFGYDRMGEGVKCVELFREMQCVGIRSDSIGVVSAIASCAQLGAVNLGRSIHCNAIKGFLDANIAVTNSLIEIYGKCGRMTYASRIFNRSQRDVVSWNTLISSHVHIKQHEDAVDLFDKMVREGLQPNTATLVVVLSACSHIASLEKGERVHRYIKESGFRVNLPLGTALVDMYAKCGQLQKSRMVFDSMMEKDEICWNAMISGYGMNGYAESALEIFRSMEESNVMPNEITFLSLLSACAHAGLAEEGKYVFARMLSYSLSPNLKHYTCMIDLLGRSGNLQEAKAMVLSMPISPDSGVWGALLGHCKTYNQVEMGISIATHAIDSEPENDGYYVMLANLYSSIGRWEEAENVRRTMKERCSMGKKAGWSLL >Vigun09g214400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38876143:38879907:-1 gene:Vigun09g214400.v1.2 transcript:Vigun09g214400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRFNVYDAGELIVLANHVKTVESLRRLHALTVTCGHSTNLFIAAKLISLYDSLQNDHVSCSTLFHSLPSKDTFVYNSFLKSLFSRSLFPHALTLFSHMTRASNLSPNHFTLPIVVSASAHLSLLPHGASLHALAFKTGLFHSSSASFVSFYSRCGEMDLACKVFDEIPVRDVAAWTALIIGHVQNGEPEKGLKCLRDMHEVGEDTQKPNVRTWEGGFLACGNLGAAREGACLHGVVIKDGVASFLQSSVLAMYSKCGFPREAYRAFCEVIHKDLLSWTSIIGVCARFGMMGECVKLYREMQENEIRPDGIVIGCMLSGFGNSMDVSQGKAFHGVIIRRQYVDAEEVNDLLLFMYCKFGMMSLAERIFPMCRGSEECWNFMVFGYDRMGEGVKCVELFREMQCVGIRSDSIGVVSAIASCAQLGAVNLGRSIHCNAIKGFLDANIAVTNSLIEIYGKCGRMTYASRIFNRSQRDVVSWNTLISSHVHIKQHEDAVDLFDKMVREGLQPNTATLVVVLSACSHIASLEKGERVHRYIKESGFRVNLPLGTALVDMYAKCGQLQKSRMVFDSMMEKDEICWNAMISGYGMNGYAESALEIFRSMEESNVMPNEITFLSLLSACAHAGLAEEGKYVFARMLSYSLSPNLKHYTCMIDLLGRSGNLQEAKAMVLSMPISPDSGVWGALLGHCKTYNQVEMGISIATHAIDSEPENDGYYVMLANLYSSIGRWEEAENVRRTMKERCSMGKKAGWSLL >Vigun09g214400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38876066:38879909:-1 gene:Vigun09g214400.v1.2 transcript:Vigun09g214400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRFNVYDAGELIVLANHVKTVESLRRLHALTVTCGHSTNLFIAAKLISLYDSLQNDHVSCSTLFHSLPSKDTFVYNSFLKSLFSRSLFPHALTLFSHMTRASNLSPNHFTLPIVVSASAHLSLLPHGASLHALAFKTGLFHSSSASFVSFYSRCGEMDLACKVFDEIPVRDVAAWTALIIGHVQNGEPEKGLKCLRDMHEVGEDTQKPNVRTWEGGFLACGNLGAAREGACLHGVVIKDGVASFLQSSVLAMYSKCGFPREAYRAFCEVIHKDLLSWTSIIGVCARFGMMGECVKLYREMQENEIRPDGIVIGCMLSGFGNSMDVSQGKAFHGVIIRRQYVDAEEVNDLLLFMYCKFGMMSLAERIFPMCRGSEECWNFMVFGYDRMGEGVKCVELFREMQCVGIRSDSIGVVSAIASCAQLGAVNLGRSIHCNAIKGFLDANIAVTNSLIEIYGKCGRMTYASRIFNRSQRDVVSWNTLISSHVHIKQHEDAVDLFDKMVREGLQPNTATLVVVLSACSHIASLEKGERVHRYIKESGFRVNLPLGTALVDMYAKCGQLQKSRMVFDSMMEKDEICWNAMISGYGMNGYAESALEIFRSMEESNVMPNEITFLSLLSACAHAGLAEEGKYVFARMLSYSLSPNLKHYTCMIDLLGRSGNLQEAKAMVLSMPISPDSGVWGALLGHCKTYNQVEMGISIATHAIDSEPENDGYYVMLANLYSSIGRWEEAENVRRTMKERCSMGKKAGWSLL >Vigun05g265700.1.v1.2 pep primary_assembly:ASM411807v1:5:45765405:45768215:-1 gene:Vigun05g265700.v1.2 transcript:Vigun05g265700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGFILLVFFALTTLSPIGFAQHQSYVVETLSLNRSSFPTGFVFGTASSAYQYEGAANEGGRGPSIWDAYTHKYPEKIRGESNGDVAVDQYHRYKEDVQIMKNMNMDAYRLFISWSRILPNGKLSGGINPEGIRYYNNLIDNLLANGIEPYVTLFHWDLPQTLEEEYGGFLSRRVVDDFRDYAEICFKHFGNRVKYWITLNEPWTFTNTGYAVGSFPPGRCSKWLDSTCFGGDSGTEPYIASHNLILAHAAAVHVYKSKFQSTQIGVIGITLNSNWYEPYSNSEADKEAAIRAVDFMFGWYMDGAIDIWKISKEHAFFNANVNQITVRNGVPIGPRFGPSWLYVYPKGLRKLLLHTNNVYNRPLIYITENGMGDIYTDPTLSVKEMLQDTYRIDYFYRHLYYLLSAIRDGVTVKGYFAWSLLDNFEWKDGYVVRFGLNFVDHKSGLKRHAKQSAKWFKAFLHRD >Vigun07g013200.1.v1.2 pep primary_assembly:ASM411807v1:7:1176068:1180792:-1 gene:Vigun07g013200.v1.2 transcript:Vigun07g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSCAVQQGLTPEAASIVKQAVTLAKRRGHAQVTPLHVANTMLSITNGLLRTACLQSHSHPLQCKALELCFNVALNRLPASTSSSPMLQGSHHHHSHACPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQNNGSGNNSNSNGNSNTKTKENSGSGEKGLVLDPIRGEDVASVIENLASQRKRSVVIVGECVTSMESVVRGVMEKVDRGDAGECLRGVKFIPLSLSSFGNVSRVEVEQKIEELRNLVKRSEEHNKGYVLYLGDLKWVFDYRSRGSQGRACYCPVDHMVMEIGKLVNGFEENGGRFRLMGVATFQAYMRCKNGQPSLETLWDLHPITIPAGTLRLSLITDSGVENEAINKKADKRSSWLLLEGVEDEHKQQPCFAEASTKNETEIRSFQSSTCNSDSSTSTLPAWLQQYKNENKGITYNDQNCVPVGELCKKWNSMCSSIQKQPYPSDKTLTLSSVSPTSSTSGFSYEQQHSNLHQTHHEWQVGSPKDSLNNHHFWVSNNGCNNPKEPTLRVYIPENKDNNTKQPFSSPNPNSASSSDVMEVEHVSRFKELNSENLKTLCNALEKKVSWQKDIIPEIASTILQCRSGMVRRKGKVRNSEEVKEETWLVFQGVDVEAKEKITRELARLVFGSHNHVVSIALSSFASTRADSTEDYSRNKRSREETSCSYIERFAEAMMNNPHRVFLVEDIEQADYCSQLGFKRAMERGRVADSNGEEIALCDAIIILSCESFSSRSRTCSPSIKQKSMSEEEKINGDIGTLEETSPCVSLDLNISIDDENEVEDRSVDEIGLLESVDRKIIFNFQEL >Vigun11g105800.2.v1.2 pep primary_assembly:ASM411807v1:11:30422455:30425193:1 gene:Vigun11g105800.v1.2 transcript:Vigun11g105800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNPMPEFVPEATPSTPQEQEEALTVGDSLTKLVAMPHAPLSERLKRAALDLKETIVIETWGVSGKKDGDFSLYCGVLGTAFLLLKSYEVTRNGNDLSLCSQIVKACDAASVRSRDVTFICGRAGVCSLGAVAAKHAGDDESLKYYLAQFEKIKLSKDLPDELLYGRVGFLWACLFLNKNLGQGTVSSSYTAMVVDEVIKSGRRLGRKGSCPLMYEWYGEKYWGAAHGLAGIMHVLMDMELKPDEVEDVKGTLKYMISNRFPSGNYPASEDDRKSDVLVHWCHGAPGIALTLAKAAKVFGDKEFLDAAMEAGEVVWNRGLLKKVGICHGISGNAYVFLSLYQLTGNVKHLYRAKAFACFLLDRAHKLISEGEMHGGDRPYSLFEGKGGMAYLFLDMIDPSLAKFPAYEL >Vigun11g105800.1.v1.2 pep primary_assembly:ASM411807v1:11:30422559:30425246:1 gene:Vigun11g105800.v1.2 transcript:Vigun11g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFFQNPMPEFVPEATPSTPQEQEEALTVGDSLTKLVAMPHAPLSERLKRAALDLKETIVIETWGVSGKKDGDFSLYCGVLGTAFLLLKSYEVTRNGNDLSLCSQIVKACDAASVRSRDVTFICGRAGVCSLGAVAAKHAGDDESLKYYLAQFEKIKLSKDLPDELLYGRVGFLWACLFLNKNLGQGTVSSSYTAMVVDEVIKSGRRLGRKGSCPLMYEWYGEKYWGAAHGLAGIMHVLMDMELKPDEVEDVKGTLKYMISNRFPSGNYPASEDDRKSDVLVHWCHGAPGIALTLAKAAKVFGDKEFLDAAMEAGEVVWNRGLLKKVGICHGISGNAYVFLSLYQLTGNVKHLYRAKAFACFLLDRAHKLISEGEMHGGDRPYSLFEGKGGMAYLFLDMIDPSLAKFPAYEL >Vigun05g032100.5.v1.2 pep primary_assembly:ASM411807v1:5:2551413:2553511:1 gene:Vigun05g032100.v1.2 transcript:Vigun05g032100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRFSVKSPLNCALPSTVIPHCFSSSNENDDVQKVFGILSSTSTPEQLKQSLKSSGVFLSNELIDQVLKRVRFSHGNPSQTLEFFRYTGRRKGFYHTAFSLDTMLYILGRSRMYGHVWDVLIECHRKDQTAITARTVMVVLGRVAKVCSVRQTVDSFRKFKKLVAEFDTNCFNALLRTLCQEKSMTDARNVYHSLKHRFRPNLQTFNILLSGWKTPEDAEGFFKEMKEMGVTPDVVTYNSLVDVYCKGREIEKAYKVLDEMRDQGLSPDVITYTCIISGLGLIGQPDKARDVLKEMKEYGCYPDAAAYNAAIRNFCIAKRLGDAYGLVEEMVSKGLSPNATTYNLFFRVFYWSNDLHNSWIMYNRMMVEGCLPNTQSCMFLIRLFRKYEKVDMALQLWGNMVEKGFGSYTLVSDVLFDLLCDMGKLEEAEKCFLEMIEKGQKPSNLSFRRIKVLMELANRHEALENLTQKMSIFGRPLQLHQSSWDMLSGNVCSCFPASNLLAQVSLLHFVCLVILRVFFCDVRMFCLW >Vigun10g037400.1.v1.2 pep primary_assembly:ASM411807v1:10:5078605:5085131:1 gene:Vigun10g037400.v1.2 transcript:Vigun10g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEYFSSASSDRMHDVFINFRGKDTRRNFVSHLYAALSNAGVNTFLDEADFPKGADLKDGLLDRIASSRICVVVFSRNYTESSWCLNELEKIIECHKTYGHIVLPVFYHVVPSQVRHQTGDFGNVLKAFAQERSWGESVMSRWNTALTAATNFSGWNARNTRNQAQLVGEIVEVVLKKLDNASMSITEFPVGLESRIQEVIGFTKREFSTVCIIGIWGMGGLGKTTTAKATFNRIHRRFTDKCFIEDIREVCETDRRGHVHLQEQLLSGILKAKVNITSVGMGRDMLENKLSVRKALIVLDDVNEFGQLKDLCGNRKWFGHGSVIIITTRDVRLLKKLKVDYVYKIEEMNENESLELFSWHAFREEKPKEDFNEVARSVVGYCGGLPLALEVLGSYLSERTKKEWKSVLSKLKKIPNNQVQEKLRISFDGLRDQMEKDIFLDVCCFFIGKDRGYVTDILNGCGLHADIGITVLIERSLIKVVKSNKLAMHDLLRDMGREIIRESSAKRLGKRSRLWFHEDVVEVLTKNTGTEAIEGLTLKLQLTSRGCFNTSVFKKMQRLRLLQLDHVNLTEDYRYLPKQLRWIYWKRFPLKYIPHDFYLERVIAIDLRHSNLRLVWKEPQVFPWMKVLNLSHSKYLIKTPDFSELPSLEKLILKDCPSLCDVHQSVGNLQNLQLINLKDCTRLSSLPRQTYKLKSLKTLILSGCLKIDKLEEDIGQMESLRTLLAKNTAVKQVPFSVVRSKSIGYISLCGFEGLSRNVFPSIIWSWMSPTMNPLSRIHSFSGTSSSLVSMDMQNNDLSDLAPVLSNLSNLRSLLVQFNTEVQLSKQLRTVLDGVYDVNFPGLEVTSYTSQISKDCLKSYLIGIGSYEEVFNTICKSVSEGLATSESCDVLLPGDNYPYWLAQTGEGRSVSFIVPDDCCIKGMALCVVYFSTPENTMTEYLVSVLMVNYTRCTIQIYKHDTVISFNDEDWRCIMSHLGSGEKVEIFVTFGHGFVVKKTAVYLMRDESSDMEVGLSPKPNKNLFVRFMKKIVSSKNQEHVPTNF >Vigun07g025200.6.v1.2 pep primary_assembly:ASM411807v1:7:2267807:2271142:1 gene:Vigun07g025200.v1.2 transcript:Vigun07g025200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYSLPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDFEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun07g025200.4.v1.2 pep primary_assembly:ASM411807v1:7:2267807:2271142:1 gene:Vigun07g025200.v1.2 transcript:Vigun07g025200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYSLPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDFEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun07g025200.5.v1.2 pep primary_assembly:ASM411807v1:7:2267805:2271151:1 gene:Vigun07g025200.v1.2 transcript:Vigun07g025200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYSLPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDFEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun02g021900.1.v1.2 pep primary_assembly:ASM411807v1:2:7498974:7504874:-1 gene:Vigun02g021900.v1.2 transcript:Vigun02g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRPATSTTPSRSLQFHPARGPILDLFNLYLGLGRNSRNKPEESLREPPNKTQKRVHALNRELPPPNEQFILDFEQLQSQFPDQDQLRSVTEAILISLVVQCSGHGPRADFLLFVLRSLCGIGCINWDSLLQSLLSSVSSAELPVGQPSQAVPTVSSSSLSQTGMLPPPSTIANSSNFQSSNPASPLTSVHAIGSPAQSTIEPLSCAAMSPVKSSDISSAGQQSKLRGGSSVRNNDISNSSLRQLCCKIILIGLEFSLKPLTYAEIFNHMLNWLVNWDQRQQGINESDVIKSWRPDKAVIAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPTVSGEAATHMRLSPITYSSVLGEPLHGEDIATSIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLVLAPCYRLSSPVPTAGAVFSSEMICEATIDRIVELLKMTNSEINCWQDWLVFSDIFYFLIKSGCIDFVDFVDKLVSRLTEGDHHILKTNHVTWLLAQIIRIEQVMNALNTDPRKVVNYKKNLVILSCFHYGQTVNCRSTFFVIQT >Vigun02g021900.3.v1.2 pep primary_assembly:ASM411807v1:2:7498974:7504874:-1 gene:Vigun02g021900.v1.2 transcript:Vigun02g021900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRPATSTTPSRSLQFHPARGPILDLFNLYLGLGRNSRNKPEESLREPPNKTQKRVHALNRELPPPNEQFILDFEQLQSQFPDQDQLRSVTEAILISLVVQCSGHGPRADFLLFVLRSLCGIGCINWDSLLQSLLSSVSSAELPVGQPSQAVPTVSSSSLSQTGMLPPPSTIANSSNFQSSNPASPLTSVHAIGSPAQSTIEPLSCAAMSPVKSSDISSAGQQSKLRGGSSVRNNDISNSSLRQLCCKIILIGLEFSLKPLTYAEIFNHMLNWLVNWDQRQQGINESDVIKSWRPDKAVIAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPTVSGYRNLYSEGKFGLGESGTLYKACSSYYTIT >Vigun02g021900.2.v1.2 pep primary_assembly:ASM411807v1:2:7497648:7504874:-1 gene:Vigun02g021900.v1.2 transcript:Vigun02g021900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRPATSTTPSRSLQFHPARGPILDLFNLYLGLGRNSRNKPEESLREPPNKTQKRVHALNRELPPPNEQFILDFEQLQSQFPDQDQLRSVTEAILISLVVQCSGHGPRADFLLFVLRSLCGIGCINWDSLLQSLLSSVSSAELPVGQPSQAVPTVSSSSLSQTGMLPPPSTIANSSNFQSSNPASPLTSVHAIGSPAQSTIEPLSCAAMSPVKSSDISSAGQQSKLRGGSSVRNNDISNSSLRQLCCKIILIGLEFSLKPLTYAEIFNHMLNWLVNWDQRQQGINESDVIKSWRPDKAVIAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPTVSGEAATHMRLSPITYSSVLGEPLHGEDIATSIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLVLAPCYRLSSPVPTAGAVFSSEMICEATIDRIVELLKMTNSEINCWQDWLVFSDIFYFLIKSGCIDFVDFVDKLVSRLTEGDHHILKTNHVTWLLAQIIRIEQVMNALNTDPRKDILIAHESSLEKW >Vigun07g173800.1.v1.2 pep primary_assembly:ASM411807v1:7:28883425:28890320:-1 gene:Vigun07g173800.v1.2 transcript:Vigun07g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTSTTSPSTTSGRIRHRKRSNEVIPEVSKANGTQLLVNDKSKYKSMLIRAYSSVWMIGGFVLIIYMGHLYITAMVVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILNPRLVNTVTSDMVLYRLVSSLIKYHMVICYALYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFTVASIFEGIFWFLLPATLIVINDIAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFMLADIMGHSQWLTCPRKDLSTGWLHCDPGPLFKPESYSLPGWIPHWFPWKEVSILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVQQSLSVEMILDQILINLSFNEQEALYLRLGEILQQGIPKS >Vigun07g173800.2.v1.2 pep primary_assembly:ASM411807v1:7:28883425:28890320:-1 gene:Vigun07g173800.v1.2 transcript:Vigun07g173800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTSTTSPSTTSGRIRHRKRSNEVIPEVSKANGTQLLVNDKSKYKSMLIRAYSSVWMIGGFVLIIYMGHLYITAMVVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILNPRLVNTVTSDMVLYRLVSSLIKYHMVICYALYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFTVASIFEGIFWFLLPATLIVINDIAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFMLADIMGHSQWLTCPRKDLSTGWLHCDPGPLFKPESYSLPGWIPHWFPWKEVSILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVQQSLSVEMILDQILINLSFNEQEALYLRLGEILQQGIPKS >Vigun03g255700.4.v1.2 pep primary_assembly:ASM411807v1:3:42198533:42202251:-1 gene:Vigun03g255700.v1.2 transcript:Vigun03g255700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEYFGELANVAQLTGIDALKLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVHSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLHLVPLISLVDNNRVRERLEVIEKDQCEYTLDDEEQKIQTVILKPEPDKGDAVVLKKTLSYSYPNFSFNEALKKENEKLQVELQQSQANMDIYQYEFIQHLLDVTEVAAHYHSEKNNKTVEYSGNSYSDANGSDENIQKNNDTLSSSRSSVSEKDLLSRRGSHNREDWHTDLLACCSEPCLCIKTCFCPCGTLSDIASVATDRPVSSAEVCNELMAYSLILSCCCYTCCIRRRLC >Vigun03g255700.1.v1.2 pep primary_assembly:ASM411807v1:3:42198438:42201873:-1 gene:Vigun03g255700.v1.2 transcript:Vigun03g255700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEYFGELANVAQLTGIDALKLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVHSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLHLVPLISLVDNNRVRERLEVIEKDQCEYTLDDEEQKIQTVILKPEPDKGDAVVLKKTLSYSYPNFSFNEALKKENEKLQVELQQSQANMDIYQYEFIQHLLDVTEVAAHYHSEKNNKTVEYSGNSYSDANGSDENIQKNNDTLSSSRSSVSEKDLLSRRGSHNREDWHTDLLACCSEPCLCIKTCFCPCGTLSDIASVATDRPVSSAEVCNELMAYSLILSCCCYTCCIRSKLRKMLNIRGGYVDDFLSHLMCCCCALVQEWREVEIRGASDPEKTTTRPPPSQYMES >Vigun03g255700.2.v1.2 pep primary_assembly:ASM411807v1:3:42198533:42202251:-1 gene:Vigun03g255700.v1.2 transcript:Vigun03g255700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEYFGELANVAQLTGIDALKLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVHSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLHLVPLISLVDNNRVRERLEVIEKDQCEYTLDDEEQKIQTVILKPEPDKGDAVVLKKTLSYSYPNFSFNEALKKENEKLQVELQQSQANMDIYQYEFIQHLLDVTEVAAHYHSEKNNKTVEYSGNSYSDANGSDENIQKNNDTLSSSRSSVSEKDLLSRRGSHNREDWHTDLLACCSEPCLCIKTCFCPCGTLSDIASVATDRPVSSAEVCNELMAYSLILSCCCYTCCIRSKLRKMLNIRGGYVDDFLSHLMCCCCALVQEWREVEIRGASDPEKTTTRPPPSQYMES >Vigun03g255700.5.v1.2 pep primary_assembly:ASM411807v1:3:42198533:42202251:-1 gene:Vigun03g255700.v1.2 transcript:Vigun03g255700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEYFGELANVAQLTGIDALKLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVHSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLHLVPLISLVDNNRVRERLEVIEKDQCEYTLDDEEQKIQTVILKPEPDKEKNNKTVEYSGNSYSDANGSDENIQKNNDTLSSSRSSVSEKDLLSRRGSHNREDWHTDLLACCSEPCLCIKTCFCPCGTLSDIASVATDRPVSSAEVCNELMAYSLILSCCCYTCCIRSKLRKMLNIRGGYVDDFLSHLMCCCCALVQEWREVEIRGASDPEKTTTRPPPSQYMES >Vigun03g255700.3.v1.2 pep primary_assembly:ASM411807v1:3:42198533:42201947:-1 gene:Vigun03g255700.v1.2 transcript:Vigun03g255700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWEYFGELANVAQLTGIDALKLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVHSCQDRSYLYLLAMGWNIVYQFRKAQTEIDRYLHLVPLISLVDNNRVRERLEVIEKDQCEYTLDDEEQKIQTVILKPEPDKGDAVVLKKTLSYSYPNFSFNEALKKENEKLQVELQQSQANMDIYQYEFIQHLLDVTEVAAHYHSEKNNKTVEYSGNSYSDANGSDENIQKNNDTLSSSRSSVSEKDLLSRRGSHNREDWHTDLLACCSEPCLCIKTCFCPCGTLSDIASVATDRPVSSAEVCNELMAYSLILSCCCYTCCIRSKLRKMLNIRGGYVDDFLSHLMCCCCALVQEWREVEIRGASDPEKTTTRPPPSQYMES >VigunL036800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:309788:309907:-1 gene:VigunL036800.v1.2 transcript:VigunL036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >Vigun05g201800.1.v1.2 pep primary_assembly:ASM411807v1:5:38981413:38986177:1 gene:Vigun05g201800.v1.2 transcript:Vigun05g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKKAMKNMKKASSNKPSQGADFLPLEGGPARKLAEQKPPENTATVLYISRIPHGFYEKEMEGYFGQFGTIKRLRVARNKKTGKSRHFGYIEFESPEVAKIVADTMHNYLLFEHLLQVHVVPPEHVHSRLWKGFSYRHKPLDYVQIERKRHDKVRTLEEHKKLVERIVRHDQKRRKRIEAAGIDYECPEIMGNIQPAPKKIKFDD >Vigun05g201800.2.v1.2 pep primary_assembly:ASM411807v1:5:38981413:38986177:1 gene:Vigun05g201800.v1.2 transcript:Vigun05g201800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKKAMKNMKKASSNKPSQGADFLPLEGGPARKLAEQKPPENTATVLYISRIPHGFYEKEMEGYFGQFGTIKRLRVARNKKVAKIVADTMHNYLLFEHLLQVHVVPPEHVHSRLWKGFSYRHKPLDYVQIERKRHDKVRTLEEHKKLVERIVRHDQKRRKRIEAAGIDYECPEIMGNIQPAPKKIKFDD >Vigun08g033700.1.v1.2 pep primary_assembly:ASM411807v1:8:3137548:3141308:-1 gene:Vigun08g033700.v1.2 transcript:Vigun08g033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTYTKLININITTTITLSLFDREFGEAPKPTTSTHFHGNMTTSKAALARYLALCSTYPPPLLVLVCLCCTQAYGGLGRNLLLLCFIINNVAMLVVDMVIIGDVFSRSCSGVHNSGVVEEWFDQCWCLLHHSVHTIMNSKILPK >Vigun03g389900.1.v1.2 pep primary_assembly:ASM411807v1:3:59638313:59649027:-1 gene:Vigun03g389900.v1.2 transcript:Vigun03g389900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKTEVNLRRLLAAAPQQKNQAKLVHYVATLREQLEQLAEERTPEGLPRISKATLNEYSEKVEAIASKLINHETDPQVSEKDVERSFVKENSSEIEEKKQIPLSSGLRRRPVPTSNTEDKAHELAETDNTPVKLDASAHAHIEKHRMLQEDLTDEMVVLAKQLKESSLMMSQSLQNTEKILDSTEKAIEDSLASTGRTNVRATAIYSESSKTSCLTWLVMFVMTVVFVMVILLIRVT >Vigun05g131200.1.v1.2 pep primary_assembly:ASM411807v1:5:15257061:15259770:1 gene:Vigun05g131200.v1.2 transcript:Vigun05g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENSNQFEKIDDSAYDRKAELKEFDDSKAGVQGLVECGVTKIPRMFHSVNLDFETSATDSNLRVPIIDLKDRNSNLHAELIQKIRSACHEWGFFQVINHGIPISVLDEMIDGIRRFHEQDTEIRKGFYSRDVKKKVLYYSNLSLYSDQSTNWRDTFVLAAAPVPPKPEELPSVCRDILIEYSKKIRDLGFTIFELLSEALGLDASYLKELNCGEGLFILGHYYPACPQPELTMGTSKHTDSNFMTLLLQDQLGGLQVLHQNQWINVPPVHGALVVNMGDLLQLITNERFVSVYHRVLSKKTGPRISVASFFVNSSDPSKVYGPIKELVSEENPAIYRDTAIKDYLAHYYAKGLDGNSSLDPFRF >Vigun06g053600.1.v1.2 pep primary_assembly:ASM411807v1:6:17931120:17935488:-1 gene:Vigun06g053600.v1.2 transcript:Vigun06g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHSPLMLHRSPSMFEVQVPNVYPVDLFEHTWIFDRLDRLGISRYFQSEIKDCVTYVSRPPEDEENINQMEKQMTQIKIDTEESY >Vigun11g007700.1.v1.2 pep primary_assembly:ASM411807v1:11:839619:842619:-1 gene:Vigun11g007700.v1.2 transcript:Vigun11g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIAPSNHSPQEDAEALRKAFEGWGTDENLIITILGHRTVHQRQQIRRAYEEIYQEDLVKRLESEIKGDFEKAVYRWILEPADRDAVLANVVIKSGKNYNVIVEIATISSPEELLAVRRAYLNRYKHSLEEDLAAHTTGHTRQLLVGLVTSFRYVGDEINPKLAQSEAEILHDAVKEKKGSYEEAIRVLTTRSKTQLIATFNRYREIHGTSISKKLVDEGSNDFEQALYTTIRSINDHFKYYEKVVRNAIKKVGTDEDAVTRVIVSRAEKDLKIISEVYYKRNSVLLEHAIAKETSGDYKKFLLTLLGKED >Vigun07g230100.1.v1.2 pep primary_assembly:ASM411807v1:7:35206547:35215714:-1 gene:Vigun07g230100.v1.2 transcript:Vigun07g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMAKQYSPTAVEKSWYEWWEKSRYYVADANSSKPPFVIVLPPPNVTGALHIGHALTAAIEDTMIRWKRMSGYNALWVPGMDHAGIATQVVVEKKVMRERNLTRHDLGREKFVSEVWEWKHKYGGTILQQLRRLGASLDWSRECFTMDERRSKAVTEAFVRLYEQGLIYRDLRLVNWDCVLRTAISDIEVDYIDIKERTLLKVPEYDKPVEFGVLTKFAYPVEGNLGEIVVATTRIETMLGDTAIAVHPNDDRYNHFHGKFAIHPFNGRKLPIVCDAILVDPKFGTGAVKITPAHDPNDFEVGKRHNLEFINVFTDDGKINSNGGSEFLGMPRFKAREAVTEALQKKGLYRGSENNEMRLGVCSRSNDVVEPMIKPQWYVSCNDLAKQSLNAAVDEENTRLEIIPKQYLADWKRWLENIRDWCISRQLWWGHRVPAWYVTLENDVLKEFGAYNDHWVVARNEEEAQKVASQKYSGKKFHLSQDPDVLDTWFSSGLFPLSVLGWPDDTEDLKTFYPTSVLETGHDIIFFWVARMVMFGLKLGGDVPFSKIYLHPMIRDAHGRKMSKSLGNVIDPIEVINGITLDGLHKRLEAGNLDPKELATAIEGQKKDFPNGIDECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLGDDYIPPAELNPDVLPFSCRWILSVLNKTITKTVKSLESFEFSQATTAVYSWWQYQLCDVFIEVIKPYFSGNDTKFASERRFAQDTLWFCLDNGLRLLHPFMPFVTEELWQRLPSPKECKRAESIMICDYPSSVEGWNNETVENEMEIIESTIKSLRSLAKEKRDRRPAFVLCRTQGVTAIINSHQLEIVTLANLSSLTVISESDAVPSGYADAVVNESISVYLEFQGTNSAEAEQGKIKKIEELRKQIERLEKIMNAPGYEEKVLPNVRAKNQEKLDSLKERLLLEETAGLNL >Vigun07g230100.2.v1.2 pep primary_assembly:ASM411807v1:7:35206547:35215714:-1 gene:Vigun07g230100.v1.2 transcript:Vigun07g230100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMAKQYSPTAVEKSWYEWWEKSRYYVADANSSKPPFVIVLPPPNVTGALHIGHALTAAIEDTMIRWKRMSGYNALWVPGMDHAGIATQVVVEKKVMRERNLTRHDLGREKFVSEVWEWKHKYGGTILQQLRRLGASLDWSRECFTMDERRSKAVTEAFVRLYEQGLIYRDLRLVNWDCVLRTAISDIEVDYIDIKERTLLKVPEYDKPVEFGVLTKFAYPVEGNLGEIVVATTRIETMLGDTAIAVHPNDDRYNHFHGKFAIHPFNGRKLPIVCDAILVDPKFGTGAVKITPAHDPNDFEVGKRHNLEFINVFTDDGKINSNGGSEFLGMPRFKAREAVTEALQKKGLYRGSENNEMRLGVCSRSNDVVEPMIKPQWYVSCNDLAKQSLNAAVDEENTRLEIIPKQYLADWKRWLENIRDWCISRQLWWGHRVPAWYVTLENDVLKEFGAYNDHWVVARNEEEAQKVASQKYSGKKFHLSQDPDVLDTWFSSGLFPLSVLGWPDDTEDLKTFYPTSVLETGHDIIFFWVARMVMFGLKLGGDVPFSKIYLHPMIRDAHGRKMSKSLGNVIDPIEVINGITLDGLHKRLEAGNLDPKELATAIEGQKKDFPNGIDECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLGDDYIPPAELNPDVLPFSCRWILSVLNKTITKTVKSLESFEFSQATTAVYSWWQYQLCDVFIEVIKPYFSGNDTKFASERRFAQDTLWFCLDNGLRLLHPFMPFVTEELWQRLPSPKECKRAESIMICDYPSSVEGWNNETVENEMEIIESTIKSLRSLAKEKRDRRPAFVLCRTQGVTAIINSHQLEIVTLANLSSLTVISESDAVPSGYADAVVNESISVYLEFQGTNSAEAEQGKIKKIEELRKQIERLEKIMNAPGYEEKVLPNVRAKNQEKLDSLKERLLLEETAGLNL >Vigun07g029000.2.v1.2 pep primary_assembly:ASM411807v1:7:2648760:2657535:1 gene:Vigun07g029000.v1.2 transcript:Vigun07g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMLEAIQFNEEIQGIIAPAPETASSFTALLELPPTQAVELLHSPEQAGKPPRHNPKPCPLTSFASASASAANLTFPSNAALIERAARLSVFAGENSNSNSNSNSTEIKRELPETDSNPSSTQGGGSASDPVLENKDEKGLKRKEREKKVKASSKKSKSVVADDSSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDKIINHVQSLQHEVEILSMKLAAVNPVIDFNLDSLLATEGVSPMDCNFPPTVAPVVWPEIPQNANRQQYQQPWQFDAFHHQPVWGREEDNTNFMTPENSLLSYDSSANSVSLHSNQLKMEL >Vigun07g029000.1.v1.2 pep primary_assembly:ASM411807v1:7:2648760:2657535:1 gene:Vigun07g029000.v1.2 transcript:Vigun07g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMLEAIQFNEEIQGIIAPAPETASSFTALLELPPTQAVELLHSPEQAGKPPRHNPKPCPLTSFASASASAANLTFPSNAALIERAARLSVFAGENSNSNSNSNSTEIKRELPETDSNPSSTQGGGSASDPVLENKDEKGLKRKEREKKVKASSKKSKSVVADDSSGDGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDKIINHVQSLQHEVEILSMKLAAVNPVIDFNLDSLLATEGVSPMDCNFPPTVAPVVWPEIPQNANRQQYQQPWQFDAFHHQPVWGREEDNTNFMTPENSLLSYDSSANSGANELGNHTRNI >Vigun03g396400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60277450:60278546:1 gene:Vigun03g396400.v1.2 transcript:Vigun03g396400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRTPLSHPFSLTFAASRQLRKVQARPFRSSIKMTSAKTVEHIVLFKVKEETEASKVSEMMNGLGSLLSLDTVLHLSVGPLLRNRSSSLTFTHMLHTRYKSKEDLQAYSAHPSHVAVVKENVLPVIEDIMAVDWVADGLSNLVPPPGSALRVSFLKLKEDAAKDDVLGVVRGIPENFKQISEFSVGGNFSPGRAKGFSIASLAVFAGPSELDAVDSNQELVNYQKDKVRDQIDSVVVVDYVVPPPPPAQSASL >Vigun03g237750.1.v1.2 pep primary_assembly:ASM411807v1:3:39598693:39599085:-1 gene:Vigun03g237750.v1.2 transcript:Vigun03g237750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKFDMIKDIDGKRKTLKLAVRIVDLWFVESWDSKRNMEMIRTDQKGDVIPAMIKKKDISTWKDKLKEGESYIMHNFKILNNRAQYRVCDHPFKLLFN >Vigun11g111700.1.v1.2 pep primary_assembly:ASM411807v1:11:31357950:31359431:-1 gene:Vigun11g111700.v1.2 transcript:Vigun11g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSCDKSGLRKGTWTPEEDRKLIAYVTRYGSWNWRQLPRFAGLARCGKSCRLRWMNYLRPNVKRGNFTPQEEECIIRMHKKLGNRWSAIAAELPGRTDNEIKNHWHTTLKKRSEQNTHTNEEARAFKSKNKESNQSGVTVTLPSNSQASDNASASVSPLSSSSGVFSSTASDDCSAASMKSLVFEDGDFGFLDSYMEPMNESFWSELNLDDISHSAACEIVSGDTTSGAIQSTDDTSVHDSCAMSPHGSSSESVVVDNGSGSFLDAYAEATVENFWMQPYVADMSHVPSELLVPSEVESEYFTPIYDDLWGQSHFY >VigunL032901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:243048:243476:1 gene:VigunL032901.v1.2 transcript:VigunL032901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun04g131533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32945007:32946464:1 gene:Vigun04g131533.v1.2 transcript:Vigun04g131533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun07g099200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17363707:17364348:1 gene:Vigun07g099200.v1.2 transcript:Vigun07g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFFQNLSDWDMLQDPSEILFQSLSSNNAVLDPIQDSLSFDMVDFSTAPAEGNQKQLVRKCEELQNKEKSYIGVRKRPWGKYAAEIRDTTRNGSRVWLGTFDSAEAAALAYDQAAFSMRGQNAVLNFPVKTVKESLQEIQYSCSNGSSPALALKERNFIQRKLSSRARKCKGKETSEAATPGVVVLEDLGVDYLEQLLSISDQSASPSYFN >Vigun06g086900.1.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVIQKRNLRVKHKHSTIRACHKGVKINNSGELESAKSGSKHDSVRSSEVRKVRESLKSSSYDLRALVNDPLPDALHLSEVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.9.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.7.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVIQKRNLRVKHKHSTIRACHKGVKINNSGELESAKSGSKHDSVRSSEVRKVRESLKSSSYDLRALVNDPLPDALHLSEVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.3.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.5.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVIQKRNLRVKHKHSTIRACHKGVKINNSGELESAKSGSKHDSVRSSEVRKVRESLKSSSYDLRALVNDPLPDALHLSEVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.2.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.8.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun06g086900.6.v1.2 pep primary_assembly:ASM411807v1:6:21888781:21898345:1 gene:Vigun06g086900.v1.2 transcript:Vigun06g086900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDISRWVMEFLLRSSVPDSLIQKALNALPLSGADSRLKKTLLLRTLRCLLLKASLSETVLQILELLEEIDGASTSVALRRAYVAVAVECTVKYLAAAPDDPEGEFSGAVRRIWRGRVAAVEARRSGLMSGELTRWRDDVEAALGDSRACERLADLNSRREAMKELMTYLNEAWESMGPSFLESVAAMSKGLTKQKEDFVVSGNWIDNGHDNGNDGDHACMEDVAMHDENQAMQQLEEKIDANPEVGGCDLPLQRDKVIQKRNLRVKHKHSTIRACHKGVKINNSGELESAKSGSKHDSVRSSEVRKVRESLKSSSYDLRALVNDPLPDALHLSEVVRSKLATSDTNIEPPIENQSPDVDVPDPNVCRSIVLFQSNDANLGKKSSVHCSDMHQPNLMERNRTAHTLEWDDSIDNSPQVIQPRRKKRKWSSLEEETLRAGVKMFGEGNWATIRSFYSNIFDNRSGVDLKDKWRNMIRLP >Vigun07g293900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40508441:40509807:-1 gene:Vigun07g293900.v1.2 transcript:Vigun07g293900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGERERRVEKKGKKKKQVLLEGYVEEDLARSKSLSDEDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFMDNSPPSPSPSQSQSPSPQSLSTSIANWKISSPGDHPEDVKARLKFWAQAVACTVKLCS >Vigun07g293900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40508441:40509805:-1 gene:Vigun07g293900.v1.2 transcript:Vigun07g293900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGERERRVEKKGKKKKQVLLEGYVEEDLARSKSLSDEDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFMDNSPPSPSPSQSQSPSPQSLSTSIANWKISSPGDHPEDVKARLKFWAQAVACTVKLCS >Vigun02g031600.3.v1.2 pep primary_assembly:ASM411807v1:2:13423359:13432458:1 gene:Vigun02g031600.v1.2 transcript:Vigun02g031600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGAANINPNKSFEVVQPPSDSISSLSFSSKANFLIATSWDNQVRCWEITRNGTVVSSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLTSGGQPMTVAMHDAPVKDLAWIPEMNLLATGSWDKTLKYWDTRQPNPVHTQQLPDRCYAITVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAIQNKNFTFKCHRENNEIYAVNSLNFHPVVHRIS >Vigun02g031600.2.v1.2 pep primary_assembly:ASM411807v1:2:13423359:13432458:1 gene:Vigun02g031600.v1.2 transcript:Vigun02g031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGAANINPNKSFEVVQPPSDSISSLSFSSKANFLIATSWDNQVRCWEITRNGTVVSSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLTSGGQPMTVAMHDAPVKDLAWIPEMNLLATGSWDKTLKYWDTRQPNPVHTQQLPDRCYAITVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAIQNKNFTFKCHRENNEIYAVNSLNFHPIHHTFATAGSDGSFNFWDKDSKQRLKAMQRCSQPIPCSAFNNDGSIFAYAACYDWSKGAENHNPATPKNYIYLHLPQESEVKSKPRAGATGRK >Vigun02g031600.1.v1.2 pep primary_assembly:ASM411807v1:2:13424614:13432458:1 gene:Vigun02g031600.v1.2 transcript:Vigun02g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLTSGGQPMTVAMHDAPVKDLAWIPEMNLLATGSWDKTLKYWDTRQPNPVHTQQLPDRCYAITVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAIQNKNFTFKCHRENNEIYAVNSLNFHPIHHTFATAGSDGSFNFWDKDSKQRLKAMQRCSQPIPCSAFNNDGSIFAYAACYDWSKGAENHNPATPKNYIYLHLPQESEVKSKPRAGATGRK >Vigun10g044120.1.v1.2 pep primary_assembly:ASM411807v1:10:6261689:6295962:1 gene:Vigun10g044120.v1.2 transcript:Vigun10g044120.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKCENGVRMCDGKECFENESSTTSIEGAVKVLLMGLGEDINKEGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEVGLDHTEFAGDGRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVFQCKHIPFPDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLNFRGIDKDKILDKGSMDNQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLMNFKCSSIERVLNCWLGIRTNGALNTNEGLGLMKSYRYFVSKGFHPIEKSLLQSIVHFYGIKLQVQERVTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIAALGRFQLTLLQELRSCTIFQRPHIFESISNCLSLRRRRRRKRRRRRRRRQKKKKRRRRRRKKKKRRRRREEEEEEEEEEEEEEEEEEEEEEERKEEERRRRRGRRRRRRRRRRRRREEKKKKKKRRREEEEEEEEEEEEEEERRREEEEEKRRRRRRRRRRRRREEEEEEEEEEEEEEEEEEEEERRRRREEKKRREKKKKKKKKKKKKEEEEEEEEKKKKKRRRRRRRRRRREEEEEEERREEREEEEEERRRRRRRRKEEEEEEEEEKKKKKKKEKKKKKKKKKKEEEERRRRRRRRRRRRRRRRRRRRKEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEEEEREKKKRRRREEEEEKKKRRRRRRRRREEKKKKKKKKKKKKKREKREEEEEEEREEEEEEKKKKKKKKKKKKKKKKKKKKKKKREEEKKKKKRKKKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKEEEEEEEEERRRKEEERRRRRREEEEEEKKKKKKKKKKKKKKKKEEEEEKKKKKKKKKKKEEEEEEEEEEEEKKKKKEEEEEEEEEEEEEKKKRKKRRGRKKRRRREEEEEEEEKKKKRKREEEEEKKKKKKKKKKREKKKKKKKRRRRRRRRRRRRRRREEKKKKKKKRRRRRRRRREEEEEEEEEEEEEEEEEERRRRRGRREEERRRERRRREEEEEEEEEKKKKREEEEEEEERRRRRRRRRRRRRRRRRRKKKKKKKEEEEEEAEEEEEEEEEEKKKKKKKKKKKKKKKRRRRRRRRRRKEEEEEEEEKKKKKKKKKKKKKKKKKKKEEERRRRRRRRRRRRRRRRRKKKEKEEEEEEEEERRRGREEEKKKKKKKKKRRRRRKEEEEKKKKERKKKKKKKKRRRRRRRKKKKKRRRKKKEEEKKKKKKKEEEERRRRRRKEEEGGGRRRRRRRRRRKKKKKKEEKKKKKKKKKKKEEEEGRRREEEEEEEEEERRRRRRKKKKKKKEEEEEEEEEEEEEEEEKKKERRRRRKKKRRRRRRRRRRRRRRRRRKKKKKKKKKRRRRRRRRRKEERRRRRRRKKKKKKKRKKKKKKKKKERRKEEEKKKKKKKKKKKKKKKKKKKKKEERRRRREEEEEEEEEEEKKKKRRRRRRKEEEEEEERRRKKKKKRRRRRRRRRREEEEEEEEEEEEEEEEEEERRRRRKEEEEEEEEEEEGRRRRRKKKKKKKKKKNEEEEEEEEEERRRRRRRRRRRREEEEEEEEEEEGEEGEEGEEGEEGEEGEEEGEREEEEEEGVLGRGKSGISCGLLERVL >VigunL059437.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:7980:8166:-1 gene:VigunL059437.v1.2 transcript:VigunL059437.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKVLVHKSFELVGFYTWARLSVHHGIAKWLGGEFCEKMKFVVFEM >Vigun03g005500.1.v1.2 pep primary_assembly:ASM411807v1:3:382215:384321:1 gene:Vigun03g005500.v1.2 transcript:Vigun03g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVMGRVIGEVVDMFVPVVNMSVYYATKHVTNGCDIKPSLAVNPPKLTLTGKKESLYTLIMTDPDAPSPSEPSMREWVHWIVVDIPGGTNPFRGKEILAYTGPKPPVGIHRYIFVLFEQKGPMGPVEEPESRGNFSTRNFAKDLDLGLPVATTYFNAQKEPASRRRT >Vigun03g178200.1.v1.2 pep primary_assembly:ASM411807v1:3:22268621:22271015:1 gene:Vigun03g178200.v1.2 transcript:Vigun03g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSIGRKWRDLSGRSFWEGLLEPLDIDLRHYILHYGQLAQATYDAFNSEKLSVYAGNCRYSKRDFFSRVGLEHGNPFKYEVTEFLYATSKATASRQFVLNWFSKDEWSMESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQGSEWVQNLDFPSDPAPLIFPDARAEVHTGFYSLYTSNNPASPITQTSVRNQVLEEVGRLVEKYKDEEISISVTGHSLGGALATLTAVDIIGQGLNIRKEQPHRICPVTAFLFASPRVGNSHFGKIFSKYKHLRALRIRNKKDQVPKLPIGLTVVGEELVIDTRKSKYLKDGVSAHNLEVYLHGVAGTQGKKGGFNLEVNRDISLLNKNIDALKDEYLVPVEWRVHENKGMVQQSDGTWKLIDHNEDVIPSLHASKL >Vigun06g175000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29549245:29551270:1 gene:Vigun06g175000.v1.2 transcript:Vigun06g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCYTSNFISLNHQKSLSFTFSSDSPRFINLFSVKHRKPHHPIKFTAERFKLFASLTPSPPTETAPSSYRSKSPKDVNVLVVGSTGYIGKYVVRELVKRGFNVTAIARERSGIRGSVDKDQTLNQLRGANVCFSDVTNLDALEGSLNSLGNSFDVVVSCLASRNGGVKDSWKIDYEATRNSLVAGRKRGASHFVLLSAICVQKPLLEFQRAKLKFEDELMKLAEEDGGFSYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPMSESDLASFIVDCVLSEDKINKVLPIGGPGKALTPLEQGEMLFRLLGKEPKFLKVPIGIMDFAIGVLDFLVKVFPSLEDAAEFGKIGRYYAAESMLVLDPETGEYSAEKTPSYGNDTLEEFFARVLREGMAGQELGEQTIF >Vigun11g013200.5.v1.2 pep primary_assembly:ASM411807v1:11:1553690:1570483:-1 gene:Vigun11g013200.v1.2 transcript:Vigun11g013200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLTRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKAILEDVTGSPDNSTDTEMVHKRLKEKLTGKQFLIILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNNIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPNQRCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFRKEHLIELWITKDFLQHGKSPEETCQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFELSYFGCFHGFGTLCKTQRLRTFLPTPDRYWICKMSIHELFTKFKFLRILSLSHCSGLEELPDSVGNLKHLRSLDLSWTKIKKLSESICSLSHLQILKLNWCWRLEELPSNLHLITTLCRLEFTSTKVRKVAPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQYIENPREALKADLKNKTHLLRLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVSLELDGCESCQRLPPLGLLPFLKYLDISGFDEIVSIDVEFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALKLKLHNCGKVQLDWATMEWLRIGWHNMEASFNEVVGSDTLVQMISLDQAHHHLKDLIISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLESFPDGGFSSNLKYMTIVKCSKLESFPSGGLPSNLKEMTLLNCSRLVGSLKGVFRGGSYLGSLSIKELDAKRFPEEVLPQTPMLTKAGSSPINFRSLHKRLSFAQTTLPERRERPEKDCSHSIYQFILTTYLKRRDGSVQQTFATSQTTTPEFCDQFDT >Vigun11g013200.2.v1.2 pep primary_assembly:ASM411807v1:11:1565564:1570483:-1 gene:Vigun11g013200.v1.2 transcript:Vigun11g013200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLTRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKAILEDVTGSPDNSTDTEMVHKRLKEKLTGKQFLIILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNNIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPNQRCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFRKEHLIELWITKDFLQHGKSPEETCQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFELSYFGCFHGFGTLCKTQRLRTFLPTPDRYWICKMSIHELFTKFKFLRILSLSHCSGLEELPDSVGNLKHLRSLDLSWTKIKKLSESICSLSHLQILKLNWCWRLEELPSNLHLITTLCRLEFTSTKVRKVAPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQYIENPREALKADLKNKTHLLRLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVSLELDGCESCQRLPPLGLLPFLKYLDISGFDEIVSIDVEFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALKLKLHNCGKVQLDWATMEWLRIGWHNMEASFNEVVGSDTLVQMISLDQAHHHLKDLIISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLESFPDGGFSSNLKYMTIVKCSKLESFPSGGLPSNLKEMTLLNCSRLVGSLKGVFRGGSYLGSLSIKELDAKRFPEEGLLPPSLTHLTIRDCPNLEELDYKGLSQLSSLQSFTLQCCPKLQCLPKQGLPQSISDLCIKGCPLLKQHCQKGGKDRKKIAHIQFINLY >Vigun11g013200.3.v1.2 pep primary_assembly:ASM411807v1:11:1565675:1570483:-1 gene:Vigun11g013200.v1.2 transcript:Vigun11g013200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLTRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKAILEDVTGSPDNSTDTEMVHKRLKEKLTGKQFLIILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNNIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPNQRCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFRKEHLIELWITKDFLQHGKSPEETCQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFELSYFGCFHGFGTLCKTQRLRTFLPTPDRYWICKMSIHELFTKFKFLRILSLSHCSGLEELPDSVGNLKHLRSLDLSWTKIKKLSESICSLSHLQILKLNWCWRLEELPSNLHLITTLCRLEFTSTKVRKVAPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQYIENPREALKADLKNKTHLLRLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVSLELDGCESCQRLPPLGLLPFLKYLDISGFDEIVSIDVEFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALKLKLHNCGKVQLDWATMEWLRIGWHNMEASFNEVVGSDTLVQMISLDQAHHHLKDLIISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLESFPDGGFSSNLKYMTIVKCSKLESFPSGGLPSNLKEMTLLNCSRLVGSLKGVFRGGSYLGSLSIKELDAKRFPEEGLLPPSLTHLTIRDCPNLEELDYKGLSQLSSLQSFTLQCCPKLQCLPKQGLPQSISDLCIKGCPLLKQHCQKGGKDRKKIAHIQFINLY >Vigun11g013200.4.v1.2 pep primary_assembly:ASM411807v1:11:1565548:1570719:-1 gene:Vigun11g013200.v1.2 transcript:Vigun11g013200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLTRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKAILEDVTGSPDNSTDTEMVHKRLKEKLTGKQFLIILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNNIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPNQRCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFRKEHLIELWITKDFLQHGKSPEETCQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFELSYFGCFHGFGTLCKTQRLRTFLPTPDRYWICKMSIHELFTKFKFLRILSLSHCSGLEELPDSVGNLKHLRSLDLSWTKIKKLSESICSLSHLQILKLNWCWRLEELPSNLHLITTLCRLEFTSTKVRKVAPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQYIENPREALKADLKNKTHLLRLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVSLELDGCESCQRLPPLGLLPFLKYLDISGFDEIVSIDVEFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALKLKLHNCGKVQLDWATMEWLRIGWHNMEASFNEVVGSDTLVQMISLDQAHHHLKDLIISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLESFPDGGFSSNLKYMTIVKCSKLESFPSGGLPSNLKEMTLLNCSRLVGSLKGVFRGGSYLGSLSIKELDAKRFPEEVLPQTPMLTKAGSSPINFRSLHKRLSFAQTTLPERRERPEKDCSHSIYQFILTTYLKPRDGSVQQTFATSQTTTPEFCDQFDT >Vigun11g013200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1565548:1570719:-1 gene:Vigun11g013200.v1.2 transcript:Vigun11g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVEDLLEEINHALSKSQVEAESHSAAKKVWNSLKSPFVSFFKNEIESRMEKLIENLEYLETQSHVLGLTRNDDVGEGSRSGSKLRSTYLPNDSVIYGRDDDKEFVFNWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRLDEAKYDVKAWVCVSDEFDVFKVSKAILEDVTGSPDNSTDTEMVHKRLKEKLTGKQFLIILDDVWNEDKSKWEEVQKPLLYGAQGSRIVVTTRSKEVASTMRSKERFLEQLPEGPSLELFAKHAFPDDYDAQSNPECNNIGEKIVKKCKGLPLALKTIGSLLYNKLSVSEWEFVFQSEIWDLPNQRCNIVPALALSYIHLPSHLKVCFAYCALFPKDYKFRKEHLIELWITKDFLQHGKSPEETCQQYFNELLSRSFFQRSGDAEEVFVMHDLLNDLAKYVAGDIYFRCELGQTNEIQKVSRHFLFELSYFGCFHGFGTLCKTQRLRTFLPTPDRYWICKMSIHELFTKFKFLRILSLSHCSGLEELPDSVGNLKHLRSLDLSWTKIKKLSESICSLSHLQILKLNWCWRLEELPSNLHLITTLCRLEFTSTKVRKVAPGLEELKNLKVRMDIFKVDHSMESGIQRLGKLNNLHESLAIEGLQYIENPREALKADLKNKTHLLRLALGWERTGNSIDSKKEEDVIENLKPPKNLKELSIFNYGGKQLPNWLLENSLWNMVSLELDGCESCQRLPPLGLLPFLKYLDISGFDEIVSIDVEFHGNNSSSFQSLERLKFSNMRQWEKWECQAVTGAFPNLRILSIKDCPKLKGQLPELPAPLGMLEMRDCQQLEGFAPRALKLKLHNCGKVQLDWATMEWLRIGWHNMEASFNEVVGSDTLVQVKIKHSINDDSVFVNPLDSFPTLEVLTLSRLNNLQMISLDQAHHHLKDLIISKCPKLESLPGSMHMLLPSLRSLCIKDCPRLESFPDGGFSSNLKYMTIVKCSKLESFPSGGLPSNLKEMTLLNCSRLVGSLKGVFRGGSYLGSLSIKELDAKRFPEEGLLPPSLTHLTIRDCPNLEELDYKGLSQLSSLQSFTLQCCPKLQCLPKQGLPQSISDLCIKGCPLLKQHCQKGGKDRKKIAHIQFINLY >Vigun06g116600.1.v1.2 pep primary_assembly:ASM411807v1:6:24471805:24482981:1 gene:Vigun06g116600.v1.2 transcript:Vigun06g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVVSDTASLDVELLQLPEVSSLALKSNLSFVETLFEQWLSLPESNRLVTSLLNEAKSGAPLNVPGNCSSPNSSSNSLPSMFPAGTAPPLSPRSSSGSPRIVKHRVGPSNLGSPLKVVSEPVKELIPQFYFQFGRPPPNELKEQCLVRIDHLFHDHMDGLQIHEFKTITKEVCKLPSFFSTSLFRKIDDGTGFVTRKAFIDYWVNGNMLTKDIATQIFAILKQPQLKYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRRFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMVGPEDESYVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Vigun07g014700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1359326:1361636:1 gene:Vigun07g014700.v1.2 transcript:Vigun07g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDVPPFFLCPISLELMKDPVTVSTGITYDRHSIEKWLFASNNDTCPVTKQPLLPDLTPNHTLRRLIQAWCTVNASHGIQRIPTPKPPVDKTIIQNLLKDASGSPNLQLQCLRTLKSIASESQTNKRSIESAGAVKFLASVITNTVNSCLDDDVELELKASVADEALSLLHNLHLSQPGLKTLLSHPEFVNSLTKVMQRGIYESRAYAVFLLKSLSEVAEPVQLNNLKPELFVELVQVLKDQISQKGSKATLQTLIQVCPWGRNRVKAVEAGAVPVIVELLLEYRERKPCEMMLVVLEILCQSADGRAELLAHAAGVAIVAKKILRVSTMANDRAAKILLAVCRFSATPGVLQDMVQLGVVAKLCLVLQVDSGNKAKEKAREILKLHAKAWKNSPCIPGHLLASYPTSA >Vigun03g079800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6659808:6660966:-1 gene:Vigun03g079800.v1.2 transcript:Vigun03g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTLHSLLLLLLGVVILTTPVFASFKPRFFYEPPPTHKPPPPPPPPHHPPPQYQPPHHNPPHHHPPPEYQPPHEKPPPEYQPPYEKPPPEYQPPHEKPPPEYQPPHEKPPPEYQPPHEKPPPEYQPPHEKPPPEYQPPHEKPPPEYRPPHEKPPPEYQPPHEKPPPEYQPPHEKPPPEYQPPHEKPPPEYEPPHEKPPPEHEPPYEKPPPVYEPPYEKPPPKHEPPYEKPPPVYEPPYEKPPPVYEPPYEKPPPVYEPPYEKSPPVYEPPYEKPPPIVYPPPLYHPPYEKPPVYEPPPLEKPPIYNPPPYGHYPPSKKN >Vigun08g165700.1.v1.2 pep primary_assembly:ASM411807v1:8:33789008:33792371:-1 gene:Vigun08g165700.v1.2 transcript:Vigun08g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNLSSKCFFYHKHFQNGVRNHFLRLFLLSWFIVVAVFGEERWDGVVVTQSNFLALQAFKQELVDPEGFLRSWNDSGYGACSGGWVGIKCAQGQVIVIQLPWKGLKGRITDKIGQLQGLRKLSLHDNLIGGSIPSSLGVLLNLRGVQLFNNRFTGSLPPSLGSCPLLQSLDLSNNLLSGTIPLSLGNATKLYWLNLSFNSFSGPIPTSLTRLTSLTYISLQHNNLSGSIPNSWGGSLRSHVFRLQNLILDYNFLSGTIPASLGNLSELTEISLSHNQLIGPIPNEIGSLSRLKTVDFSSNALNGSLPTTLSNVSSLTLLNVENNHLGNEIPEALGRLQNLSVLVLSRNQFSGHIPQSIGNMSKLTQLDLSMNNLSGEIPASFEDLGGLNFFNVSHNNLSGPVPALLGHKFNSSSFAGNIQLCGYSPSTLCPSPAPFGSPKEISENHGRKKLGTKDIILIVAGVLLVVLVTLCCILIFCLIRKRTASNAEGGGQATGRASASAATIEKGVPPVAGEAEAGGGEAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQAAVKRLREKITKGQREFESEVSIIGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHARGPETAIDWPTRMKIAQGMVRGLLYLHSNENIIHGNLTSSNVVLDENTNAKIGDFGLSRLMTTAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVVLLELLTGKPPGEALNGVDLPQWVASIVKEEWTNEVFDVELMRDASTYGDEMLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRPEISASSGDDGAIPSTSE >Vigun07g222300.1.v1.2 pep primary_assembly:ASM411807v1:7:34467018:34469296:-1 gene:Vigun07g222300.v1.2 transcript:Vigun07g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCPRLGNFIVSVMSVIVILGSEKVKVAECKVGNGGIEYPAINCRKHSAILTDFGGVGDGVTSNTKAFRNAISNLSHYSSDGGAQLIVPPGKWLTGPFNLTSHFTLFLHNKALILASQNESEWPHLPVLPSYGRGRDAPHGRFNSLIFGTNLTDVVITGQNGSVDGQGAYWPYMIELMYSDQIQISSLTLLNSPSYFIHPVYSSNIIMQGLTINAPIISPNTDGIDPDSCTNVRIEDCYIVSGDDCVSIKSGWDEYGIKFAMASQHIVIKRLTCISPDSAMIALGSEMSGGISDVRAEDLTAINTESAIRIKTAAGRGGYVKDIFVKGMNLTTMKYVFWMTGAYKSHPDPNYDPKALPDITGINYRDVVATGVKMSARLQGISNDPFTNICISNVTIQFSEKPKKHQWNCTYVSGVTSNVTPPPCELLPEKKPLQCPFPTNSLPIESVKLKSCSTNAFF >Vigun03g135400.1.v1.2 pep primary_assembly:ASM411807v1:3:13274618:13278258:-1 gene:Vigun03g135400.v1.2 transcript:Vigun03g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRKPEVESLAQLFGAIEDPQNDDVPFQLQWKLPPHYHTDSPFHFVNLPSEQLAHNIATRSILVKGMYELWGEGSSYEELKESVLSYPDERKLPYLDSDSTFKITVDCFGKVISLGEQKELIQGLSYIPFKGRVKLRNPDHNFWLIEVDNYGGNNGLPPIVQKRIFFGREVGGADRKLIPTYQLKSRNYLGPTAMDAEMAFLMANQALATSGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPNCNVWSNFKQYGLPMPVGLLRADNNLPPWRPTLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAVEPYTVPDDKRTDHIPSTAPYSLVECVHDLLDLAAKMLVMGGRLVFFYPVLREDDFAENHFPEHPCFKLISSSEQILSSRYSRVLLTMVKTGPYTEEIAEVARIKHMEFKENHVKWLEDGNLHSAVFSPADAHVTEAADPKLIKDPKPKYRGKYV >Vigun02g055500.2.v1.2 pep primary_assembly:ASM411807v1:2:19894311:19900539:1 gene:Vigun02g055500.v1.2 transcript:Vigun02g055500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLQLLVQNRWKRKNWELKLVLKMLLFLQRRKVHHLHLLHRVSCWFALLVLISVATQVQVRNLVINKLVKMACSIAVCVKLRSSSTASIVESVTSVLIASIIIADGSTTVLGKGTTENFSPLWLLHSSWYVHGLCTLGLGLQLILQWLTGILVLICCFVEKKKFSVDISSKLGSSFSLVPFVIVVAVCTILAMIATLPLVQLFFFHILLIKKGISTYDYIIALREQEQEQQIGGQQSPQMSPVSSLTGLSSASSFTTFHRGAWCTPPRLFLEDQFDVVPPETASVSSLGKKTARDEPVKKKNPGAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVTRHNNEPFRLEPDHNSGSSGRRMSPRVETNRRRAGKRIRLPADLPMEAIPKFSSSNIIAKGFSGPSSLAPLQLEARGVFQASQAVSSSGGIVASSPESSLDSPDIHPFRVSSTEAEEARRLASLSAIGAANLKGIPLSRSTSDGYEASGGEDSDRVPSRVIQRSTNWTNLLFSGDQEERGFDPKPSSSLVHSRNL >Vigun02g055500.1.v1.2 pep primary_assembly:ASM411807v1:2:19894311:19900539:1 gene:Vigun02g055500.v1.2 transcript:Vigun02g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGWQLPYHPLQVVAIAVFLALGFAFYVFFAPFVGKKMYQYVVMGLYTPLITCVFALYIWCAASDPADPGVFKSKKYLKIPDSKQLDGLKNSKLGGESTSSMHDGNASTVGPKSVEKEELGTEASFKDAAISAEKKSSSSSPSSSCLLLVCSPCAYICGYSSSSKESCDQQASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFTLMVAALLLLILQWLTGILVLICCFVEKKKFSVDISSKLGSSFSLVPFVIVVAVCTILAMIATLPLVQLFFFHILLIKKGISTYDYIIALREQEQEQQIGGQQSPQMSPVSSLTGLSSASSFTTFHRGAWCTPPRLFLEDQFDVVPPETASVSSLGKKTARDEPVKKKNPGAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVTRHNNEPFRLEPDHNSGSSGRRMSPRVETNRRRAGKRIRLPADLPMEAIPKFSSSNIIAKGFSGPSSLAPLQLEARGVFQASQAVSSSGGIVASSPESSLDSPDIHPFRVSSTEAEEARRLASLSAIGAANLKGIPLSRSTSDGYEASGGEDSDRVPSRVIQRSTNWTNLLFSGDQEERGFDPKPSSSLVHSRNL >Vigun10g153700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37400335:37400445:1 gene:Vigun10g153700.v1.2 transcript:Vigun10g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRKACCFLLSSNVSVSLVGLMSFNTLLLLLLLLS >Vigun02g171900.1.v1.2 pep primary_assembly:ASM411807v1:2:31453610:31455836:-1 gene:Vigun02g171900.v1.2 transcript:Vigun02g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MGTLTTLHLLPSKLNNPSLPPRHGISLSPYGTPHRKKNKAMVPMARLFGPAIFEASKLKVLFLGVDENKHPGNLPRTYTLTHSDITAKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGRMSLHVHCHISGGHFLLDMLARLRYFIFCKELPVVLKAVVHGDGDLFNNYPELQEALVWVYFHSNIPEFNKVECWGPLRDAYGGAPEEREEEEEESVGSKEGLAIPQPCQEECECCFPPMTLNPIQWSQEVPNHHYEPCDGIEPQQNL >Vigun02g171900.2.v1.2 pep primary_assembly:ASM411807v1:2:31453610:31455836:-1 gene:Vigun02g171900.v1.2 transcript:Vigun02g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MARLFGPAIFEASKLKVLFLGVDENKHPGNLPRTYTLTHSDITAKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGRMSLHVHCHISGGHFLLDMLARLRYFIFCKELPVVLKAVVHGDGDLFNNYPELQEALVWVYFHSNIPEFNKVECWGPLRDAYGGAPEEREEEEEESVGSKEGLAIPQPCQEECECCFPPMTLNPIQWSQEVPNHHYEPCDGIEPQQNL >Vigun03g237500.2.v1.2 pep primary_assembly:ASM411807v1:3:39542745:39546504:-1 gene:Vigun03g237500.v1.2 transcript:Vigun03g237500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRISRLIRSFSSTSFFSRGGSSYLGSRLCKYSTAAAIEEPIKPAVQVEYTQLLIDGKFVDAASGKTFPTLDPRTGEVIAHVAEGHSEDVDRAVAAARKAFDHGPWPKMTAYERQKILLRAADLIEKHNDEIAALETWDNGKPYEQSAKIEIPMLVRLIRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALYAAKLFLEAGLPPGVLNVVSGFGPTAGAALASHMEVDKLAFTGSTDTGKVVLELAAKSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHESVYEEFVEKAKARALKRVVGDPFKGGIEQGPQIDSDQFEKILRYIRYGVESGATLETGGDRLGNKGFYIQPTVFSNVKDDMRIAKEEIFGPVQSILKFKDLGEVVQRANNTRYGLAAGVFTKNIDTANILTRALRVGTVWVNCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVNPLKNPAWL >Vigun03g237500.3.v1.2 pep primary_assembly:ASM411807v1:3:39542745:39546504:-1 gene:Vigun03g237500.v1.2 transcript:Vigun03g237500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRISRLIRSFSSTSFFSRGRGGSSYLGSRLCKYSTAAAIEEPIKPAVQVEYTQLLIDGKFVDAASGKTFPTLDPRTGEVIAHVAEGHSEDVDRAVAAARKAFDHGPWPKMTAYERQKILLRAADLIEKHNDEIAALETWDNGKPYEQSAKIEIPMLVRLIRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALYAAKLFLEAGLPPGVLNVVSGFGPTAGAALASHMEVDKLAFTGSTDTGKVVLELAAKSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHESVYEEFVEKAKARALKRVVGDPFKGGIEQGPQIDSDQFEKILRYIRYGVESGATLETGGDRLGNKGFYIQPTVFSNVKDDMRIAKEEIFGPVQSILKFK >Vigun03g237500.1.v1.2 pep primary_assembly:ASM411807v1:3:39542745:39546504:-1 gene:Vigun03g237500.v1.2 transcript:Vigun03g237500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRISRLIRSFSSTSFFSRGRGGSSYLGSRLCKYSTAAAIEEPIKPAVQVEYTQLLIDGKFVDAASGKTFPTLDPRTGEVIAHVAEGHSEDVDRAVAAARKAFDHGPWPKMTAYERQKILLRAADLIEKHNDEIAALETWDNGKPYEQSAKIEIPMLVRLIRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALYAAKLFLEAGLPPGVLNVVSGFGPTAGAALASHMEVDKLAFTGSTDTGKVVLELAAKSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHESVYEEFVEKAKARALKRVVGDPFKGGIEQGPQIDSDQFEKILRYIRYGVESGATLETGGDRLGNKGFYIQPTVFSNVKDDMRIAKEEIFGPVQSILKFKDLGEVVQRANNTRYGLAAGVFTKNIDTANILTRALRVGTVWVNCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVNPLKNPAWL >Vigun01g180800.1.v1.2 pep primary_assembly:ASM411807v1:1:36191598:36193336:1 gene:Vigun01g180800.v1.2 transcript:Vigun01g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSSLFWKGKQQQQQQQEQEGQHVIEITQGGMDMDKFFKEVESVKEELKELERLHLSLRASNQNGKALHSPKGVRELRSRMDLDVALALTKAKLVKTRLEALHRANQATLSLPDCGPGSYSHRTRIALVGALTKNLKHSMDSFNKLREQISYEYRDTVQRRYYAVTGENPDPETIDLLISTGESESFLQKAIQQQGRATIMDTIQEIQERHGTVKEIERSLHELHQVFLDMAVLVQHQGEHLDDIESHMALASSSVIKGVHHLQVVKNHQKNTRNFTCFAILLFIIVLVIVLPIVFRS >Vigun09g187000.1.v1.2 pep primary_assembly:ASM411807v1:9:36060695:36066084:1 gene:Vigun09g187000.v1.2 transcript:Vigun09g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRAFCTRDPASTISDKQPGSPSPSPSPRSCARLGFLSGGSNPSTPRLRCTTIPESASQTVTVRDSPRVQSKNTPRTTKSPKTLSVSNPSSPRSPLKLSLFKNSFKFRSSCGICLNSVKTGQGTAIYTAECGHAFHFPCIAAHVRKNGSLVCPVCNATWKDVPLLAAHKNLAAQSATQNDVAQRTTENPNANVKKTTENTSPVFKTYNQMEPPTKHSDSLRSYDDDEPLLSPTSGGRIIPIPEADENVEEDDEEEDAGEFQGFFVNTKNSSSSKSYSDSFHTTDGDSRTVQVKLMPECAVISASRTHETYALVLKVKAPPPPPPPRSSAAPSQRAPIDLVTVLDVGGSMTGAKLHMLKRAMRLVISSLGPADRLSIVAFSATSKRLLPLRRMTAQGQRVARRIVDRLVVGQGSSVGDALRKATRVLEDRRERNPVASVMLLSDGQEERVQNQRSNSSGSQRKASSHVSSTRFAHIEIPVHAFGFGAKSGFSQEPGEEAFAKCVGGLLSVVVQDLRIQVGFESEAVEMSAIYSCSGRPSLLSTGAVRLGDLYAEEERELLVELRVPASSGAGTHHVMAVRCLYKDPASQEIVYGREQGLLVPPPQSLRCSGTRIQRLRNLFITTRAIAESRRLLEHNADFTSAHHLLASARALLMHSNAASAEDYLRALETELAELHWRRQHEQVQIQQQQQQQSVQQRRRGSEREVTLVDENGEPLTPTSAWRAAEKLAKVAMMRKSLNRVSDLHGFENARF >Vigun06g056100.1.v1.2 pep primary_assembly:ASM411807v1:6:18242559:18259627:-1 gene:Vigun06g056100.v1.2 transcript:Vigun06g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEGESQPLSVSNYYFEDDKDVPVCFSVLPIVWSESESPVGKKIQVFLRGFADNGLQKIFMQVVAWRFDLSYVRPEISVLSKDRRWIKLEKPRKSYEETIRSILITIHFMGYVKRNPDSSAKSAWDSLSKNKEFRSYEVMPSQNDILNHMTLMGEAAKRDADLAKSKLFLMVREDKDKLKIKKLSDEEVKDLARPGFITDDTDNDLIDEIGEDSDGEDGLFDSVCAICDNGGELLCCDGKCMRSFHANEEDGEESACASLGFSQKQVDDIQNFYCKNCEYNQHQCFACGTLGCSDKFSGAEVFKCASATCGFFYHPQCVAKLLHRVVEEAPDPKELERNIAEGGAFTCPTHYCCVCKGMEDKNEHDFHFAVCRRCPKSYHRKCLPRKIAFDDIEDEDIVTRAWEDLLPNNRILIYCLKHEIDGEFGTPVRDHIKFPNVKASVREVITEDNEKPANKERVILDKNSIVSESLIGKKAALKFSNKLSGKMSSGKVLDKKSEKISGSNILRKKTNEASKRGLNESKRPLVPKETRKSEGAENKSSLGAKLFAFWQNGSEQINSGNKVNNVANNSQHVKPTKKLSSALPSLDEDAERRLVAMFKEATSSVTLEDVVKEHNFVSTHTHSLKNVVEKTITLGKLEGSVEAVRTALRMLEGGHNVRDAAAVCGPDVLNQIFKWKDKLKVYLAPVLYGNRYTSFGRHFTQIEKLEGIVDKLHWYVQNGDTIVDFCCGANDFSILMKKKLEETGKRCSYKNFDLLPTKNDFNFEMRDWMSVQTKELPTGSKLIMGLNPPFGLKAALANKFIDKALEFRPKLMILIVPSETQRLDEKRHPYDLVWEDERFLSGKSFYLPGSVDTNDRQMDQWNVKPPPLYLWSRPDWTSKHKAIAREHDHLIREREVSKMESFGEKESPSSHTKEDNSGVNIMRSDDILNSIAAPISEGHVGYSPHGSVDRGSQERQEYRVSDAENTSWKRKRPEENDGRGLGVTSPLNSIDVRSSVERFPPKHDVPPPDFEVVDKGYRNLEPTSSSHTRGAYSGTQNWPGDANPLYDSGVTGVDGRHGSLMRDTGYRLYVRENESNLREHIRQYGLQNPNNVTSNYVSSVHDPAYGHHEGYYPAHGSLYESPYVMNAPAMQRYAPRLDELNHVRMDPLGSEPPPMVGRGVPQPGYGSWMPGFAGGSHHLYSRQNSADRFNQ >Vigun06g056100.3.v1.2 pep primary_assembly:ASM411807v1:6:18242559:18259627:-1 gene:Vigun06g056100.v1.2 transcript:Vigun06g056100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEGESQPLSVSNYYFEDDKDVPVCFSVLPIVWSESESPVGKKIQVFLRGFADNGLQKIFMQVVAWRFDLSYVRPEISVLSKDRRWIKLEKPRKSYEETIRSILITIHFMGYVKRNPDSSAKSAWDSLSKNKEFRSYEVMPSQNDILNHMTLMGEAAKRDADLAKSKLFLMVREDKDKLKIKKLSDEEVKDLARPGFITDDTDNDLIDEIGEDSDGEDGLFDSVCAICDNGGELLCCDGKCMRSFHANEEDGEESACASLGFSQKQVDDIQNFYCKNCEYNQHQCFACGTLGCSDKFSGAEVFKCASATCGFFYHPQCVAKLLHRVVEEAPDPKELERNIAEGGAFTCPTHYCCVCKGMEDKNEHDFHFAVCRRCPKSYHRKCLPRKIAFDDIEDEDIVTRAWEDLLPNNRILIYCLKHEIDGEFGTPVRDHIKFPNVKASVREVITEDNEKPANKERVILDKNSIVSESLIGKKAALKFSNKLSGKMSSGKVLDKKSEKISGSNILRKKTNEASKRGLNESKRPLVPKETRKSEGAENKSSLGAKLFAFWQNGSEQINSGNKVNNVANNSQHVKPTKKLSSALPSLDEDAERRLVAMFKEATSSVTLEDVVKEHNFVSTHTHSLKNVVEKTITLGKLEGSVEAVRTALRMLEGGHNVRDAAAVCGPDVLNQIFKWKDKLKVYLAPVLYGNRYTSFGRHFTQIEKLEGIVDKLHWYVQNGDTIVDFCCGANDFSILMKKKLEETGKRCSYKNFDLLPTKNDFNFEMRDWMSVQTKELPTGSKLIMGLNPPFGLKAALANKFIDKALEFRPKLMILIVPSETQRLDEKRHPYDLVWEDERFLSGKSFYLPGSVDTNDRQMDQWNVKPPPLYLWSRPDWTSKHKAIAREHDHLIREREVSKMESFGEKESPSSHTKEDNSGVNIMRSDDILNSIAAPISEGHVGYSPHGSVDRGSQERQEYRVSDAENTSWKRKRPEENDGRGLGVTSPLNSIDVRSSVERFPPKHDVPPPDFEVVDKGYRNLEPTSSSHTRGAYSGTQNWPGDANPLYDSGVTGVDGRHGSLMRDTGYRLYVRENESNLREHIRQYGLQNPNNVTSNYVSSVHDPAYGHHEGYYPAHGSLYESPYVMNAPAMQRYAPRLDELNHVRMDPLGSEPPPMVGRGVPQPGYGSWMPGFAGGSHHLYSRQNSADRFNQ >Vigun06g056100.4.v1.2 pep primary_assembly:ASM411807v1:6:18242559:18259627:-1 gene:Vigun06g056100.v1.2 transcript:Vigun06g056100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAWRFDLSYVRPEISVLSKDRRWIKLEKPRKSYEETIRSILITIHFMGYVKRNPDSSAKSAWDSLSKNKEFRSYEVMPSQNDILNHMTLMGEAAKRDADLAKSKLFLMVREDKDKLKIKKLSDEEVKDLARPGFITDDTDNDLIDEIGEDSDGEDGLFDSVCAICDNGGELLCCDGKCMRSFHANEEDGEESACASLGFSQKQVDDIQNFYCKNCEYNQHQCFACGTLGCSDKFSGAEVFKCASATCGFFYHPQCVAKLLHRVVEEAPDPKELERNIAEGGAFTCPTHYCCVCKGMEDKNEHDFHFAVCRRCPKSYHRKCLPRKIAFDDIEDEDIVTRAWEDLLPNNRILIYCLKHEIDGEFGTPVRDHIKFPNVKASVREVITEDNEKPANKERVILDKNSIVSESLIGKKAALKFSNKLSGKMSSGKVLDKKSEKISGSNILRKKTNEASKRGLNESKRPLVPKETRKSEGAENKSSLGAKLFAFWQNGSEQINSGNKVNNVANNSQHVKPTKKLSSALPSLDEDAERRLVAMFKEATSSVTLEDVVKEHNFVSTHTHSLKNVVEKTITLGKLEGSVEAVRTALRMLEGGHNVRDAAAVCGPDVLNQIFKWKDKLKVYLAPVLYGNRYTSFGRHFTQIEKLEGIVDKLHWYVQNGDTIVDFCCGANDFSILMKKKLEETGKRCSYKNFDLLPTKNDFNFEMRDWMSVQTKELPTGSKLIMGLNPPFGLKAALANKFIDKALEFRPKLMILIVPSETQRLDEKRHPYDLVWEDERFLSGKSFYLPGSVDTNDRQMDQWNVKPPPLYLWSRPDWTSKHKAIAREHDHLIREREVSKMESFGEKESPSSHTKEDNSGVNIMRSDDILNSIAAPISEGHVGYSPHGSVDRGSQERQEYRVSDAENTSWKRKRPEENDGRGLGVTSPLNSIDVRSSVERFPPKHDVPPPDFEVVDKGYRNLEPTSSSHTRGAYSGTQNWPGDANPLYDSGVTGVDGRHGSLMRDTGYRLYVRENESNLREHIRQYGLQNPNNVTSNYVSSVHDPAYGHHEGYYPAHGSLYESPYVMNAPAMQRYAPRLDELNHVRMDPLGSEPPPMVGRGVPQPGYGSWMPGFAGGSHHLYSRQNSADRFNQ >Vigun06g056100.2.v1.2 pep primary_assembly:ASM411807v1:6:18242559:18259627:-1 gene:Vigun06g056100.v1.2 transcript:Vigun06g056100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAWRFDLSYVRPEISVLSKDRRWIKLEKPRKSYEETIRSILITIHFMGYVKRNPDSSAKSAWDSLSKNKEFRSYEVMPSQNDILNHMTLMGEAAKRDADLAKSKLFLMVREDKDKLKIKKLSDEEVKDLARPGFITDDTDNDLIDEIGEDSDGEDGLFDSVCAICDNGGELLCCDGKCMRSFHANEEDGEESACASLGFSQKQVDDIQNFYCKNCEYNQHQCFACGTLGCSDKFSGAEVFKCASATCGFFYHPQCVAKLLHRVVEEAPDPKELERNIAEGGAFTCPTHYCCVCKGMEDKNEHDFHFAVCRRCPKSYHRKCLPRKIAFDDIEDEDIVTRAWEDLLPNNRILIYCLKHEIDGEFGTPVRDHIKFPNVKASVREVITEDNEKPANKERVILDKNSIVSESLIGKKAALKFSNKLSGKMSSGKVLDKKSEKISGSNILRKKTNEASKRGLNESKRPLVPKETRKSEGAENKSSLGAKLFAFWQNGSEQINSGNKVNNVANNSQHVKPTKKLSSALPSLDEDAERRLVAMFKEATSSVTLEDVVKEHNFVSTHTHSLKNVVEKTITLGKLEGSVEAVRTALRMLEGGHNVRDAAAVCGPDVLNQIFKWKDKLKVYLAPVLYGNRYTSFGRHFTQIEKLEGIVDKLHWYVQNGDTIVDFCCGANDFSILMKKKLEETGKRCSYKNFDLLPTKNDFNFEMRDWMSVQTKELPTGSKLIMGLNPPFGLKAALANKFIDKALEFRPKLMILIVPSETQRLDEKRHPYDLVWEDERFLSGKSFYLPGSVDTNDRQMDQWNVKPPPLYLWSRPDWTSKHKAIAREHDHLIREREVSKMESFGEKESPSSHTKEDNSGVNIMRSDDILNSIAAPISEGHVGYSPHGSVDRGSQERQEYRVSDAENTSWKRKRPEENDGRGLGVTSPLNSIDVRSSVERFPPKHDVPPPDFEVVDKGYRNLEPTSSSHTRGAYSGTQNWPGDANPLYDSGVTGVDGRHGSLMRDTGYRLYVRENESNLREHIRQYGLQNPNNVTSNYVSSVHDPAYGHHEGYYPAHGSLYESPYVMNAPAMQRYAPRLDELNHVRMDPLGSEPPPMVGRGVPQPGYGSWMPGFAGGSHHLYSRQNSADRFNQ >Vigun01g110700.3.v1.2 pep primary_assembly:ASM411807v1:1:28395690:28401837:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNVISRVEKVKETSTTIFVTGEEDTEEAMIAAKKGIRTFSCDWFMNCVMRQELDLEAPQFAESL >Vigun01g110700.2.v1.2 pep primary_assembly:ASM411807v1:1:28395689:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSAAVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNARKIRKKQ >Vigun01g110700.6.v1.2 pep primary_assembly:ASM411807v1:1:28395737:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSAAVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGRKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNARKIRKKQ >Vigun01g110700.5.v1.2 pep primary_assembly:ASM411807v1:1:28395737:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGRKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNVISRVEKVKETSTTIFVTGEEDTEEAMIAAKKGIRTFSCDWFMNCVMRQELDLEAPQFAESL >Vigun01g110700.4.v1.2 pep primary_assembly:ASM411807v1:1:28395737:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSAAVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGRKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNVISRVEKVKETSTTIFVTGEEDTEEAMIAAKKGIRTFSCDWFMNCVMRQELDLEAPQFAESL >Vigun01g110700.1.v1.2 pep primary_assembly:ASM411807v1:1:28395690:28401837:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSAAVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNVISRVEKVKETSTTIFVTGEEDTEEAMIAAKKGIRTFSCDWFMNCVMRQELDLEAPQFAESL >Vigun01g110700.8.v1.2 pep primary_assembly:ASM411807v1:1:28395689:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNARKIRKKQ >Vigun01g110700.7.v1.2 pep primary_assembly:ASM411807v1:1:28395737:28401836:1 gene:Vigun01g110700.v1.2 transcript:Vigun01g110700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPSSPISIGNCEVTVEATRFTCNSDSNVVVISLPRSGKVKVSVVENRENAYEDFITGDKEHEFVLVNPKDADSVSKSYLQEVLQLYRTELPGMNYAANTGKQSKFLERCVTNGRKYRTLLVKSTRVANSGKVIAAITYQIIPADTEFAEVPLAAVNAIHQRKGFGHLLVLELRKRLQSVGIRSILCWGDKESEGFWLKQGFVEIAQVDTKGRARRLPVKSDIQKSLCFPGGSTLMVCNVMKECLADDANTTKCLPSQFHQNSFTPAIAEDEQLKFSGSDSHNPKKGCSSIAPFAQVNDDRQSTGAESSQDGIGANVNYCSQSTKGAKRTWEASLSSLKSKRVKGGQLVGYQSGSSCGFILETDEANSCFVEALHDPSIIDGSEKCVENCLHLEAPINIEPPSTKQCFRIMLMNIADDAKKTQLTKVIEDLGGTVSTCGSMTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDPDYSLKYKCDLKSAVLRAKASSHTLFKGYNICIAAHVQTPAKILSAIVMSAGGNARKIRKKQ >Vigun11g015600.2.v1.2 pep primary_assembly:ASM411807v1:11:1933760:1938373:1 gene:Vigun11g015600.v1.2 transcript:Vigun11g015600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYYGCENSEFVLPKDQEDLLERYSSPGRWSEWGIKAPECFNSTQEEYLSKNTDATEVEFNFIDKSFEDEIEFDPYLQDKDQSSSSSVCGGLSEQFQQTGLSCDHQSKYELQDLSTFEHVDDIFLDSVLEDFPCVENLHKSFLYPENQSSNTNGVVQKDVAASGFVPCNSDSKDCQDIEARAIKILDPFEQSNGDKIMPEQLSLEEFTLQGFEMLIAQLTEKTRICFRDALFRLAKNTKHVVEDLDGDLNMHQEMPHSVCNETMRSVDKKPMESETNIVDRAVANLMFNKMEINILDIPFTTLVNMKREVTGSRVLQEQSSKALDVTQKSHSPHPEKLPAHA >Vigun11g015600.1.v1.2 pep primary_assembly:ASM411807v1:11:1933902:1938196:1 gene:Vigun11g015600.v1.2 transcript:Vigun11g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYYGCENSEFVLPKDQEDLLERYSSPGRWSEWGIKAPECFNSTQEEYLSKNTDATEVEFNFIDKSFEDEIEFDPYLQDKDQSSSSSVCGGLSEQFQQTGLSCDHQSKYELQDLSTFEHVDDIFLYKQLYKNEPVIAWDSVLEDFPCVENLHKSFLYPENQSSNTNGVVQKDVAASGFVPCNSDSKDCQDIEARAIKILDPFEQSNGDKIMPEQLSLEEFTLQGFEMLIAQLTEKTRICFRDALFRLAKNTKHVVEDLDGDLNMHQEMPHSVCNETMRSVDKKPMESETNIVDRAVANLMFNKMEINILDIPFTTLVNMKREVTGSRVLQEQSSKALDVTQKSHSPHPEKLPAHA >Vigun02g016900.1.v1.2 pep primary_assembly:ASM411807v1:2:6098606:6101388:1 gene:Vigun02g016900.v1.2 transcript:Vigun02g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALSSIVTIFLLACNEVVSAHIDYNIDSHHHYHHHYHRHRHHHHHNHHDHHRQKQFRDKSASYQRIITVDQSGNGDFSKIQSAIDSVPSNNKQWIYIKVNEGTYREKLKIPEDKPYIILKGMGRDKTFVEWGDHDSSAQSPTFLTMANDIVVKTISFRNTFNDPKNGNSMKPAVAAMISGDRSSFYGVGFYGLQDTLWDDKGRHYFNCCAIEGAMDFIFGAGQSLYESCSISVREACPGKAIIGFITAQGRTNPADENGFVFKNCKVYGVGKTYLGRPWRAYARVLFYKTQMSSIIQPLGWQPWNSSADVDGVAFSEYDNFGPGSALSGRVSWLKKLDASTLMKMTSTAFVDREGWLKTHHML >Vigun11g058550.1.v1.2 pep primary_assembly:ASM411807v1:11:12345189:12345458:1 gene:Vigun11g058550.v1.2 transcript:Vigun11g058550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYVFYFFKWRTKLRETMNMADNDASTRVIDSLINYEAVKYFNNEVYEADNYDKYLKSK >Vigun03g413600.2.v1.2 pep primary_assembly:ASM411807v1:3:62062920:62070889:-1 gene:Vigun03g413600.v1.2 transcript:Vigun03g413600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPTDELVKKIQQLEEGHAHLKQEMSKLKLSDVRHGHRQRSHSVSPQRSRLGAPPRRRTDAPAAAWKRGSCSFKQSSPLQRESRGGGDPQIHGGGGGGGEGEGATPCRGPSAVNFTERQYLNILQSMGQSVHILDLNCRIIYWNRSAENLYGYTAEEALGRDGIELLVDPRDLGLANDTLNRVMMGENWTGQFPVKNKMGEKFIAVATNTPFYDDDGSLVGIICVSCDSRPFLEMKVPMSGVRNTELELDSGGTRSRSSITNKLGIDTQQPLQVALASKISNLASKVSNKVKSRIWTGENNVDREGGSGDSHHSEHSLSESVLSDQREDANSSGASTPRGDVPPSHFGVLSHGEEKSQGKSSRGSGDESEGKSIHKILPAKAEAWIQRKTLSWPWRTKDREGSDGSEGTNVRVTGPWRQNDRENESVNQKILSSGLKQESQGGESNRPNNNEVSGSWSSFNVNSTSSASSCGSAGSCAVNNKVDVDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVILSFRQEVSVMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLCRLLHRNTSKLDWRRRVHMALDIARGVNYLHHSNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWEIATEKIPWDNLNSMQESCR >Vigun03g413600.1.v1.2 pep primary_assembly:ASM411807v1:3:62062920:62070889:-1 gene:Vigun03g413600.v1.2 transcript:Vigun03g413600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPTDELVKKIQQLEEGHAHLKQEMSKLKLSDVRHGHRQRSHSVSPQRSRLGAPPRRRTDAPAAAWKRGSCSFKQSSPLQRESRGGGDPQIHGGGGGGGEGEGATPCRGPSAVNFTERQYLNILQSMGQSVHILDLNCRIIYWNRSAENLYGYTAEEALGRDGIELLVDPRDLGLANDTLNRVMMGENWTGQFPVKNKMGEKFIAVATNTPFYDDDGSLVGIICVSCDSRPFLEMKVPMSGVRNTELELDSGGTRSRSSITNKLGIDTQQPLQVALASKISNLASKVSNKVKSRIWTGENNVDREGGSGDSHHSEHSLSESVLSDQREDANSSGASTPRGDVPPSHFGVLSHGEEKSQGKSSRGSGDESEGKSIHKILPAKAEAWIQRKTLSWPWRTKDREGSDGSEGTNVRVTGPWRQNDRENESVNQKILSSGLKQESQGGESNRPNNNEVSGSWSSFNVNSTSSASSCGSAGSCAVNNKVDVDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVILSFRQEVSVMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLCRLLHRNTSKLDWRRRVHMALDIARGVNYLHHSNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWEIATEKIPWDNLNSMQVIGAVGFMNQRLEIPKNVDPRWASIIESCWHTDPACRPTFPELLERLRELQKQYAIQFQATRSASKESTQKET >Vigun03g020900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1532240:1533245:-1 gene:Vigun03g020900.v1.2 transcript:Vigun03g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSYKEEKHFIHSFIHIQLHHQNTQIHIFCSVSLRAPSTQHHQKIITITLTVITSAMQLGNSISNTMKFLHKTLENFKSCFFPRYQKLPKTPPQNQFLGMDNNNYKDLEKFYSDFTEQWDSGKEKGRQKSKKKGKEVPNESVVGLNNARHDEQKMKKSEEREECEKKKQKGLTNERVNQKKDWRGNGNYCMVEKKLREMEMLEMSDVDYVLDIEEVLHYYSRITCPMYLEIVDKFLVEMYSEFNSCCYTS >Vigun11g042000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6101575:6102576:1 gene:Vigun11g042000.v1.2 transcript:Vigun11g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDTWISFKGPGYITAQDIIIPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFMHVRNVNYSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASQNLINLFLPFLHAEEDNFHLEKNQDKVTLPLFTFHDILVKDKLRKNKKEIALKSIFIDQLELPPRIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun03g108400.1.v1.2 pep primary_assembly:ASM411807v1:3:9703880:9707841:1 gene:Vigun03g108400.v1.2 transcript:Vigun03g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRCLRTLTKTTPFLFRNLSSLRSLALPPFHLAKAFSPVSTKPASAFAQWPHLRYFSSDKQDDHSDEEDYSEEEDYEDDDDDDDVPVSSRKKVYTAEEKEAEAEAIGYRVVGPLSKDNDVFKPYEPVFAVVQVGSHQFKVSNGDSIFTERLKFCEVNDRLILNKVLLLGSASQTIIGRPIVPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKPQVVLTEKPSKPSKKEQEKVAVSV >Vigun10g162700.1.v1.2 pep primary_assembly:ASM411807v1:10:38144938:38154487:-1 gene:Vigun10g162700.v1.2 transcript:Vigun10g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFSSSVEFGLNLSKRVYYGKGSAPSLVRSMSRSSEGFLPTAPMCYAVISDPEKVENPDIRSYQPYVHGQCEPPALIPLELHGVTMEVECSLDTAFVTVSEKWRVHCVTGSSTCDCQVAIPMGEQGSLLGLEVDDSGRTYHTELISLNDENDKDKVAKAKDGYFLKSHIYTVKIPQFRGGSVFSTKIRWSQKILFHDGQLSVCVPFSFPSYVNPAGKRISKKEKIFLKVNSGSATEVLCKTTSHPLKELLRQAGKLNLSYEAEVPVWSSTDFSFSYSVSSNDISGAVLLQSPFLRDFDEREIFCLYLYPGNGQGRKVFKKDVVFIVDISASMKGTPLDNTKNALLTSLSQLNAQDTFNIIAFNGALYLFSSSMESATEEAILNATKWVDTNFIANGDTNIMLPLTQAMKLLEKSTDSVPLIFLVTDGAVEDEREICNFVESYVSSGQSVPTPRICTFGIGLYCNHYFLQLLAQIGRGHYDCAHDLDSIEFRMQRLFSTASSVIVADVTIESLEGLDSHELFPSHIQDISSGSPLILSGRYSGTFPELVKVSGTLADMTNFAVDLKVKREKDIQLSNVLAKRHVDMVTAQAWLLKSEELEEKVTKMSIQNKVPSEYTCMSMVLVESEEGKKAPEQFLLQKAYSKLSFQRVELNKQKLFLGGLSVGFGDSKATAENLAPAIKEAKPPEGLLGKAASTCCGRLADTCCGMCLLQSCTFVNDQCTIVCTQLCAALACFELIKCCVELCECDCLQ >Vigun03g013000.1.v1.2 pep primary_assembly:ASM411807v1:3:872198:878362:1 gene:Vigun03g013000.v1.2 transcript:Vigun03g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASVTLGGKASSLSSSAVCAVATALSQVRIDSTALDRLSSSNSSKKATTATTTSSSSNCSITVPDFLTLEESRAFLLVLLNSLIISNASSRIPLVLAETLNSNPSTFRFDDADLVTEDELTCSTLLGISAILDHHSAALSAFADVAAAFSCEALKADVAAFNLMDSGDGHNSKEEVGVAADVRVLLNGSKSVGKEKVRSVARVPKVHGSVREHAKAVHSRMRVELNSGVKSAIGSEEAVCTVLLPLAAALRELGECSLARVKSNLEGIGGDDLKLGVGEIFGKECPVGDGLSSGFNKAVNLFLGKEYGKFAHEVFVLSGLVWKVVAWEVVTAVAVLEAAELNEVIKGAKENGENLKVEENSKAEKKKKKVVLGKGTSSILALFKERLQSRGKLALGTWVADFLSFLDLAKPEFNEFLLKVKDVVESNESRRLPKIPKGTRDFAKEQMTIRKKAFSIIEEVFERHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELLSLRYDLTVPFARFVAMNGLTSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGTPPEKMGPDFEVVRILTELLDELNIGEYEIKLNHRKLLDGMMQICGVPPEKFRTICSSIDKLDKQSFQQIKKEMVEEKGLTAETADRIETFVKEKGSPLALLSKFIQEGSDFSKHEGASEALKELEILFIALEKSKRIDKVVFDLSLARGLDYYTGVIFEAVFKGGTQVGSIAAGGRYDNLIGMFGSKTVPAVGVSLGIERVFAIMEQQQKDQNQLARPTKTEVLVSVLGNDLTLAAELAGELWDAGVKAEFLVHKRRTKHFEYAKESRIPWMVLVYEQEVKEEGVVQLKDLEANIDIKTPRTGFVEELRKRLYP >Vigun09g197400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37228131:37233996:-1 gene:Vigun09g197400.v1.2 transcript:Vigun09g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSNGIAIVFSFCILLATSSLVEGDVSKDEHSLIHPPPQNLQGPGNTNKGIKDSAIYYDGVGGVHGFGDGSGYGGGYGNGGGSGYGGGYGNGGGSGYGGGYGYGRGSGYGSGEGFGIGTGGGIGFGGRDGIAVGGGIRGATHVP >Vigun09g197400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37228147:37233996:-1 gene:Vigun09g197400.v1.2 transcript:Vigun09g197400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSNGIAIVFSFCILLATSSLVEGDVSKDEHSLIHPPPQNLQGPGNTNKGIKDSAIYYDGVGGVHGFGDGSGYGGGYGNGGGSGYGGGYGNGGGSGYGGGYGYGRGSGYGSGEGFGIGTGGGIGFGGRDGIAVGGGIRGATHVP >Vigun05g222700.2.v1.2 pep primary_assembly:ASM411807v1:5:41466307:41478224:1 gene:Vigun05g222700.v1.2 transcript:Vigun05g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVVLSFSFLFLWLSICFEICYGRDTLKVNQKITQDSEGNLFSSNATFELGFFSPGEESGEKRYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEDASSKTHWSSKLEANSSANKTLKLLDSGNLVLIQDDSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSADPAPGNFTFKMTQKAEKQRFVVQYHSHIYWAPYELGTEAASQKVFDLLHNTTWNSTTYKYSNKTVFVSKPYMYNKSRLVMSYSGEIVFLKWDEKPLQWNKKWFGPEDKCDIYDYCGSFGICNRDNLRCKCLPGFSSVQGLHSDRESESQGCERKSKPCNSTNEDVWFLNLTNIKVGNSDQEIYTQTEAECQSMCINMCPEPQCQAYSFNTSTYGDRSSYSCYIWTEPLTSLVENNPRGRDLSILVKKSDIAPTAKSCEPCGTYAIPYPLSTGPNCGDPMYHNFNCNKSSGIVSFMMPGGKSYPVTWIDEATRIFSIQTGEFYAFNSIFSNQNSTDFPFTRTEFFEDTEVIITWLPPREPPCTTLIDCMNWPHSTCRTGEGASRCYCDSNYNWNKTIMSCTREEPSGKMELILLATLCSLAAMTCIIAFGIVWRKKKTRKLDGASTRIQESLYESERHVKGLIGLGSLEEKDIEGIEVPFYTFASILAATDNFSDSNKLGRGGYGPVYKGTFPGGQEIAVKRLSSVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRSRTILLDWPMRFEIIVGIARGMLYLHQDSRLRVIHRDLKTSNVLLDEEMNPKISDFGLAKIFGGKETEASTERVMGTYGYMAPEYALDGLFSVKSDVFSFGVVLLEILSGKRNTGFYESKQISSLLGYAWKLWSEKKLLDLMDPSLGETCNENQFFKCAVVGLLCIQDEPSDRPTMSNVLYMLDTETTSMPIPTQPTFFMNKRYSSLALCSSKPETSLQFDSSYDHGR >Vigun05g222700.1.v1.2 pep primary_assembly:ASM411807v1:5:41466301:41470688:1 gene:Vigun05g222700.v1.2 transcript:Vigun05g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVVLSFSFLFLWLSICFEICYGRDTLKVNQKITQDSEGNLFSSNATFELGFFSPGEESGEKRYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEDASSKTHWSSKLEANSSANKTLKLLDSGNLVLIQDDSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSADPAPGNFTFKMTQKAEKQRFVVQYHSHIYWAPYELGTEAASQKVFDLLHNTTWNSTTYKYSNKTVFVSKPYMYNKSRLVMSYSGEIVFLKWDEKPLQWNKKWFGPEDKCDIYDYCGSFGICNRDNLRCKCLPGFSSVQGLHSDRESESQGCERKSKPCNSTNEDVWFLNLTNIKVGNSDQEIYTQTEAECQSMCINMCPEPQCQAYSFNTSTYGDRSSYSCYIWTEPLTSLVENNPRGRDLSILVKKSDIAPTAKSCEPCGTYAIPYPLSTGPNCGDPMYHNFNCNKSSGIVSFMMPGGKSYPVTWIDEATRIFSIQTGEFYAFNSIFSNQNSTDFPFTRTEFFEDTEVIITWLPPREPPCTTLIDCMNWPHSTCRTGEGASRCYCDSNYNWNKTIMSCTREEPSGKMELILLATLCSLAAMTCIIAFGIVWRKKKTRKLDGASTRIQESLYESERHVKGLIGLGSLEEKDIEGIEVPFYTFASILAATDNFSDSNKLGRGGYGPVYKGTFPGGQEIAVKRLSSVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRSRTILLDWPMRFEIIVGIARGMLYLHQDSRLRVIHRDLKTSNVLLDEEMNPKISDFGLAKIFGGKETEASTERVMGTYGYMAPEYALDGLFSVKSDVFSFGVVLLEILSGKRNTGFYESKQISSLLGYAWKLWSEKKLLDLMDPSLGETCNENQFFKCAVVGLLCIQDEPSDRPTMSNVLYMLDTETTSMPIPTQPTFFMNKRYSSLALSSSKPATSLQFDSSYNHGR >Vigun05g222700.3.v1.2 pep primary_assembly:ASM411807v1:5:41466307:41470568:1 gene:Vigun05g222700.v1.2 transcript:Vigun05g222700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEVVLSFSFLFLWLSICFEICYGRDTLKVNQKITQDSEGNLFSSNATFELGFFSPGEESGEKRYLGIWYHGLEPQTVVWVANRDHPVADSSGVFRIAEDGNLVVEDASSKTHWSSKLEANSSANKTLKLLDSGNLVLIQDDSETTYLWQSFQNPTDTFLPGMKMDATLSLTSWRDSADPAPGNFTFKMTQKAEKQRFVVQYHSHIYWAPYELGTEAASQKVFDLLHNTTWNSTTYKYSNKTVFVSKPYMYNKSRLVMSYSGEIVFLKWDEKPLQWNKKWFGPEDKCDIYDYCGSFGICNRDNLRCKCLPGFSSVQGLHSDRESESQGCERKSKPCNSTNEDVWFLNLTNIKVGNSDQEIYTQTEAECQSMCINMCPEPQCQAYSFNTSTYGDRSSYSCYIWTEPLTSLVENNPRGRDLSILVKKSDIAPTAKSCEPCGTYAIPYPLSTGPNCGDPMYHNFNCNKSSGIVSFMMPGGKSYPVTWIDEATRIFSIQTGEFYAFNSIFSNQNSTDFPFTRTEFFEDTEVIITWLPPREPPCTTLIDCMNWPHSTCRTGEGASRCYCDSNYNWNKTIMSCTREPSGKMELILLATLCSLAAMTCIIAFGIVWRKKKTRKLDGASTRIQESLYESERHVKGLIGLGSLEEKDIEGIEVPFYTFASILAATDNFSDSNKLGRGGYGPVYKGTFPGGQEIAVKRLSSVSTQGLQEFKNEVILIAKLQHRNLVRLRGYCIKGDEKILLYEYMPNKSLDSFIFDRSRTILLDWPMRFEIIVGIARGMLYLHQDSRLRVIHRDLKTSNVLLDEEMNPKISDFGLAKIFGGKETEASTERVMGTYGYMAPEYALDGLFSVKSDVFSFGVVLLEILSGKRNTGFYESKQISSLLGYAWKLWSEKKLLDLMDPSLGETCNENQFFKCAVVGLLCIQDEPSDRPTMSNVLYMLDTETTSMPIPTQPTFFMNKRYSSLALSSSKPATSLQFDSSYNHGR >Vigun02g076200.1.v1.2 pep primary_assembly:ASM411807v1:2:22834969:22838161:-1 gene:Vigun02g076200.v1.2 transcript:Vigun02g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENNNNVVQVSDLPVKRPREEEQDGGENGATDTLSTLSNHSQHPGISAVIPGWFSEISPMWPGEAHSLKVEKILFEGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPRKVLVIGGGDGGVLREIARYSSVEKIDICEIDKMVVEVSKQFFPDVAVGFEDPRVTLNIGDGVAFLKAVEEGTYDAVIVDSSDPIGPAQELFEKPFFASVARALRPGGVVCTQAESIWLHMDIIEGIVANCRQVFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPTVDFKNPVYSIDEDDSQHSTRPLKFYNSELHSAAFCLPSFAKRAIGSKAN >Vigun09g093400.1.v1.2 pep primary_assembly:ASM411807v1:9:13458888:13462087:1 gene:Vigun09g093400.v1.2 transcript:Vigun09g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRTFPILSYVMSRLPSLTPRPAAAAANSDSDQFDVEQPRAPEIVGQMPHLADPDLMASMGRAVSQVVQARTVLNLIGERPTHEEVDSARARLAEIEAQLSHELEEIVLQARPAEMEIHGWRAHQAEKERECRERAETEKRIWKSVLQLDEMHEAYEKVLKDAEKRLVKMYESKEDGDGDVVGDEVNEEVVGILQEAYGKGMERLNLSARQLKLLPEAFGRIPGLLVFDLSANQLSAIPDSIAGLQNLEELNLSSNLLESLPDSIGLLQKLKLLNVSGNKLTALPDTICHCRSLVELDVSFNSLSYLPTNIGYELPNLQKLMIQLNKIRSLPSSICELKSLRYLDAHFNELHGLPIAIGRMTNLEVLNLSSNFSDLKELPETFGDLTNLRELDLSNNQIHALPDTFGRLDNLTKLNLEQNPLELPPMEIVNQGVEAVKGFMAKRWLDILLEEERRNSQEMQEQEQGGWLTRSTSWLKNVSGNVIGYIGTAVGTPMTPKSPRDAYLDQQL >Vigun08g221600.1.v1.2 pep primary_assembly:ASM411807v1:8:37994913:37999648:1 gene:Vigun08g221600.v1.2 transcript:Vigun08g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCASIVSAPSYVFPFRSSSASTSSISPTAISLTKRAWRPRIDTASFGKCVVRATVSIEKETPEAERPETFLRGVDEAQSSTSVRARFEKMIREAQDTVCSALEAADGGAQFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPDAYRAAKGAPTDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSVQKRACDKFEPTFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDLPFTEHQKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWI >Vigun05g109700.1.v1.2 pep primary_assembly:ASM411807v1:5:11295344:11300078:-1 gene:Vigun05g109700.v1.2 transcript:Vigun05g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAFWFALASAILVFSLASADTVEHTFTVQNKAITRLCNERVIVTVNGLYPGPTLEVREGDSVIIHVVNKSPYNITIHWHGIFQLFSAWADGPEYVTQCGIRPQHSYTYKFNVINQEGTVWWHAHASVLRATVHGAFIIHPRSGKLPFPKPYKHVPIILGDWYDGNVVDIYEHVLAVGDVRVSDAFTINGLPGDLFKCSKQQTYKLKLRQGKTYLLRMINAAFNNNLFFKITNHKFTVVALDASYIEHYDTDVITIAPGQTADVLLKADQPLGTYYMAATPYVVGQPSPLFDNTTTRGLVVYEGYTSSLRDSKPIMPLLPPFNATEVANKFFTNITSLVGAPHWIPVPLEVDEHMLITISINLERCPKNGTCLGLFEQKFSASMNNESFTLPVGKGYSMLEASFYNVSGVYTTDFPDYPTQSFDFTSPKNALDLSRVFISSKTTKVKKLKFNSTVEVVFQNTAILNAQSHPMHLHGYSFHILAQGFGNYDAARDRVKFNLVNPQLRNTIAVPAGGWAVIRFQANNPGMWFVHCHVDDHQLWGLNMAFEVESGPTASTSLPPPPADLPKC >Vigun01g160400.1.v1.2 pep primary_assembly:ASM411807v1:1:34217991:34222784:1 gene:Vigun01g160400.v1.2 transcript:Vigun01g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEYRQPLVRLGGETLTIAQVAAVSAHDQGVRVELSESAREGVKASSEWVMNSMNNGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNSGIFGNGTESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPSGEVLNAKQAFESAHINSEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKDAKKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISARKTNESIEILKLMSSSFLMALCQAIDLRHLEENLKSSVKNTVSQVSKRTLTTGGNGELHPSRFCEKDLLKVVDREYVFSYIDDACSGTYPLMQKLRQVLVDHALANAENEKDVNTSIFQKIATFEEELKTILPKEVESARAAYESGKAAIPNKIKECRSYPLYKFVREELGTGLLTGEKVKSPGEEFDKLFTAICQGKIIDPLLECLGEWNGAPLPIC >Vigun03g029000.1.v1.2 pep primary_assembly:ASM411807v1:3:2167069:2169356:-1 gene:Vigun03g029000.v1.2 transcript:Vigun03g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPIILQSEKLTKVGLSYKHYFFLGFHFHKLFNPTTMLWQYILETSVYPREAETLKELRNATATHPWGFMGADPDAGQLMALLLKLLNAKKTIEVGVFTGYSLLLTALTIPDDGKVIALDPDREAYEIGLPFIKKAGIEHKIDFIQSPALPVLDKLLQEDSNKDSFEFAFVDADKDNYWNYHERLLKLVKIGGLIIYDNTLWGGTVAWPEEDVPIPRRKYRQSVVAFNKAIADDSRVEISVVSIGDGFTICRRVQ >Vigun03g029000.3.v1.2 pep primary_assembly:ASM411807v1:3:2167069:2168476:-1 gene:Vigun03g029000.v1.2 transcript:Vigun03g029000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPDAGQLMALLLKLLNAKKTIEVGVFTGYSLLLTALTIPDDGKVIALDPDREAYEIGLPFIKKAGIEHKIDFIQSPALPVLDKLLQEDSNKDSFEFAFVDADKDNYWNYHERLLKLVKIGGLIIYDNTLWGGTVAWPEEDVPIPRRKYRQSVVAFNKAIADDSRVEISVVSIGDGFTICRRVQ >Vigun03g029000.2.v1.2 pep primary_assembly:ASM411807v1:3:2167069:2169356:-1 gene:Vigun03g029000.v1.2 transcript:Vigun03g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPIILQSEKLTKYILETSVYPREAETLKELRNATATHPWGFMGADPDAGQLMALLLKLLNAKKTIEVGVFTGYSLLLTALTIPDDGKVIALDPDREAYEIGLPFIKKAGIEHKIDFIQSPALPVLDKLLQEDSNKDSFEFAFVDADKDNYWNYHERLLKLVKIGGLIIYDNTLWGGTVAWPEEDVPIPRRKYRQSVVAFNKAIADDSRVEISVVSIGDGFTICRRVQ >Vigun11g132200.1.v1.2 pep primary_assembly:ASM411807v1:11:34083321:34086230:-1 gene:Vigun11g132200.v1.2 transcript:Vigun11g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDLDGNPIKPITICMIGAGGFIGSHLCEKLMHETPHTVFALDVYNDKIKHLLEPDSLPWAGRITFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGAFLPKDSPLRKDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYGEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEIMIQVYSKVSGEKTPATPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLFDLLESTLTYQHKTYAEAIKKVIAKPVAS >Vigun06g204700.1.v1.2 pep primary_assembly:ASM411807v1:6:31893851:31897606:-1 gene:Vigun06g204700.v1.2 transcript:Vigun06g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRLCGLKPFRFGRKTCLFESMKSFTTPKKPSMAHNEGKGGSIEWSFVGADQLISMMKVHKKIFVFRDIMDLVPMNSSASLHEMVITTLEDLQRLYPGIIPRKKVSNIKDKPIHQGLVYFCEALKSLGESWTSDCTEKHIYELPACKDNTNMRQLGETMSTILDCLIKIASEKFDIMEEDDPKKVIRNNSFSDMTHFPVTPKSVLPESMSPEYCSTIPLWSVKAVGKLKPIDLKRLSFHMSPPCLQTHETEKEQTINMDIVDQTVENPGRSLSQDIVLQSDTTSDHGETYDNPQATEDVEKLSASPPVLQPNMTLPPPPPPPLPLSSSLALVPPNPFKTGPAPAPPPSMPRGSGAAAPPPPPPGAGRSLRPKATTKLKRSTQLGNLYRTLKGKVEGSIIKGKSSGGRSSAIGAGTSGKQGMAEALAEMTKRSSYFQQIEEDVQRYTKQITELRSAITNFKTKDMIELVKFHKDVESVLENLTDESQVLSRFEGFPSRKLEAIRMATALYLRLDSVLTELQNWNIVTPVSEFLDKAERYFSKIKTELDCLERTKDEESKKFKSHNIEFDFYIFIKIKEAMVDVSSNCMEVALKERRSDGASRDSGSNLINGKRKEHGKLLWRAFQFAFRVYTFAGGHDDRADKLTRELAKEIESDPNRP >Vigun03g236300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39296546:39297422:1 gene:Vigun03g236300.v1.2 transcript:Vigun03g236300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDQPQQPVQVYPTNQPPSSSSHHSNGSFGAVFIVLAIILVISVVACVLGRLCNKRYNRHSSISSSNQRGPMQHRPQIHDLRPRDEGDIEFGVEKRMPPPIIRTKVQGPHHGHGAGAGEELRAGA >Vigun11g042700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6115167:6116774:-1 gene:Vigun11g042700.v1.2 transcript:Vigun11g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVLPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEANQYIAYVGYPLDLFEEGSVTNMFTSIVGNVFGFKALRALHLEDLRIPTAYIKTFQGPSHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMHWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHHAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEVERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGRGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun02g163500.1.v1.2 pep primary_assembly:ASM411807v1:2:30826254:30833110:1 gene:Vigun02g163500.v1.2 transcript:Vigun02g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHNGLTVPSSSNGGTAAVRSSSPTLLPNYSSRLVQDTLEHLASIDLIDLCKEAKVERCRATRDLRSCGRYVHHVLNSCGHASLCEECSQRCDICPICRIPISKSGAKLHLRLYYECIEAGLISKRFDERFQEIEDGEKQLNADVQHLYSLFDVALENNLVSLICHYITDVCMDETAVSSDPVIAFLLDEVVVKDWCKRTFKNIITELQGIYNMDVYAMKERLSLLLKFSLHLKGVSNVLDILESSFKGSLSAQLHDLHHLQESILKTKQHMDIIIWCIRHQFLEGVRSRFTDSSSWSSDVRMRKSEAIRRSWPDAINQSTESSGHDGSLFIEDALNNLDLEEGFMNETVEGLEIASLQKDGASFLGSNTDQGLGYYPFKNLRSAADLLFLHGGSDMVIAKQAIFLYYLYDRHWTIPEEEWTFILEDFAATFSISRHSLLESLTFYLLDDHTEEALQEACRLLPEITGPTSHPKIAEVLLERGSPDTALMVLRWAGRDGGPHMTSLRDAVTAVRVRVECGLLTEAFMHQRILSTRVKEKNFNKRASGDASQKLKGQCSNWVEVLVTEICFLCIRRNLVDRMVELPWNSEEEVYIHKCLFDYAIEDPIRTTGNLLVVFYFQRHRYSEAYQVHIKLEKVEQDTIAKGSVSQEYLPKLEKAIHWRSNLINRCLELLPEVEQQQLRSGNLTEGAVSSSEEVEVPDKFNIPQIPDSLSTSLLIPSSVNSSLLLHRDHPIGLLSSSTFGTSAKVGMSFPNTGPELGNFGSSSHHHDGFFTSSERVLSHQGKIAKNIRFDSTHSPMNNRIHFMNGSPLKGFKRTSPSNSHENMPDKVSPGVERNLRFGHNQTTSSPLYSWKAAVNPVIRSTLSYPKEFANDLPNVSGRSGRSHKDDRSWKVGSTDDPMDVSHGLLEKKLNTEENINGGPRWRSDEASDEEDDVNLGRSMDIAYYATPLNRTTRRSRVVRR >Vigun08g081466.1.v1.2 pep primary_assembly:ASM411807v1:8:17080747:17081036:1 gene:Vigun08g081466.v1.2 transcript:Vigun08g081466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVVPSHFWFPLGLPCILVLYSGDLFPTEARKPPSCPISHSVNRKGKPTHPSAPLVDRCGFTKRLSTVHSRSSIKSFAATP >Vigun04g097300.1.v1.2 pep primary_assembly:ASM411807v1:4:20892027:20893142:-1 gene:Vigun04g097300.v1.2 transcript:Vigun04g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFPCFVFMGKYILCDEILGVAVLFRTFLRSASCGITENYHGALPWLFVYNTDILVDLKNQITLQVKQ >Vigun11g017901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2241048:2243375:-1 gene:Vigun11g017901.v1.2 transcript:Vigun11g017901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEMVTGALVSTLLERTIDTLASRFVDIFRATKPNKKQLSDLRMKLLAIDVVAFDAEQKQFTDPRVRDWLLRAKDAVFVAEDLLDEIDYQLLKTQVEAESQSATNKVWNSFKSSFVNFFKNEIESRMEQVIEDLEHLATQGDLLGLKKGSGVGVGSGSASSKLIYTSLPNESVIYGRDDDKESIFNWLTSDTHNRLSILSIVGMGGLGKTSLAQHVFSDPRIEGKFDIKAWVSVPQEFDLLNVSKAILEGVTRLTNDSTNLEMVHIRLKEKLMGKKFLLVLDDNWNENQSKWEQVQKALGSGAQGSRLLVTTRSEKVAVTMRSEKHLLQVLKKDDCWELFAKHAFQSVHFQPDPDFMEIGKKIVEKCNGLPLALKTMGSLLHNKSSLWEWESIMKSEIWDFSENESDILPALRLSYLHLPSHLKTCFAFCALFPKGYVFDKKCLIQLWMAENFLESPLQKKSPKEVGEQYFNDLLSWSFFQQSNKEEKNRFVMHDLLIDLAKYVCGDICIRLGVDEPQGLPKRTRHFSFATDRVQTFDGFENLIDTQKLHTFVQTSWRKYPRYSMSLDDLFSKFKYIRVLSLYHFRILKVPKSIGNLKHLRSIDLSYTNIEKLPDSIGLLYKLQILKLNNCQTLKELPSCLLLLHKLCCLELINTEVKNVHILGKLKNLQVLMNSFCVDIHKKTSIQQLGQINLHGSLRIGELQNIENPSYALEADLKNKPHLVELMLEWNIMGSSPVDSTKARDVIENLQPSKHLKKLSICDYVGKQFPMDTR >Vigun01g174200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35586515:35588110:-1 gene:Vigun01g174200.v1.2 transcript:Vigun01g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKLVKARALATVKPSSSSSAIPNLPLPSPPSDTPVSQVHYARFLDFLKAHWAPPFTPDTLLHFLKSKLHHHPSFSQFDFHLFTWASSLDSFRHTHATFHWMARTLAATHRLPQLRTLLHVIATHPCPCSPSIFSCPHTQPIFSHAIHAFSKSSQLHHALSAFHSMTKLIDSKPDVAVFNVLIHAFVKRGNLTQALQFYRDMVVTHRVKPDVFTFNILISGHCRNSQFALALDVFHEMGKMGCEPNVVTFNTLIRGMFREGRVEDAIEMAREMVQLGCELSCVTCEILVQGLCKEGGFCRRVSCCWGFLKRGFCPRGLIVLLWWRFCVGKGVL >Vigun08g187100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35614353:35615087:-1 gene:Vigun08g187100.v1.2 transcript:Vigun08g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVAFATLLVFLVLKPTASEPAPPSGDDTISKPPKPIGIASNPPTKTDTSLGSPRFVVASNPPTKTVTTSGSPRFVVASNPPTKTDTSSGSPRFVVASNPPTKTVTPRFVVASNPPTKTDTSSGSPRFVVVSNPPTKTVTSSGSSRFVVASNPPTKTVTSSGSPRFVVASNPPTKTVTSS >Vigun03g291400.4.v1.2 pep primary_assembly:ASM411807v1:3:47520481:47523376:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun03g291400.5.v1.2 pep primary_assembly:ASM411807v1:3:47520495:47523294:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFVSTGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun03g291400.6.v1.2 pep primary_assembly:ASM411807v1:3:47520531:47523223:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFVSTGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun03g291400.7.v1.2 pep primary_assembly:ASM411807v1:3:47520531:47523223:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun03g291400.2.v1.2 pep primary_assembly:ASM411807v1:3:47520495:47523294:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun03g291400.3.v1.2 pep primary_assembly:ASM411807v1:3:47520491:47523376:-1 gene:Vigun03g291400.v1.2 transcript:Vigun03g291400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISSTVYLPDFVSTGMEDPTLFHQYPMDSFPFQLDDFDFESFSASPKGSSSHKRLSSESTQNSSLTQSPETSVAPPRPTKQPKTTMSTWTAYGTDIIAPKAASSSSSKIISFDKSNASSVSSQQFYKLDAAKPLKKPKIETGYGENLDFSAVASQSFYDNNSFLDYDKQEKKAAATMARNPTQAQDHVIAERKRREKLSQRFIALSALVPGLKKTDKATVLEDAIKYVKQLQERVKILEEQTVDKTVESAVFVKRSVVFAGDDSSYSGENSDQSLPEIEARISGKEVLVRLHCDKHSGRTGAILRELEKHNLTVQSSSFLPFGNNTLDITIVAKMEKDYCLTAKDLIRSLSQCLRQLS >Vigun05g296300.1.v1.2 pep primary_assembly:ASM411807v1:5:48123651:48125398:-1 gene:Vigun05g296300.v1.2 transcript:Vigun05g296300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSKRVQEMVQNGEEPPPPYVRRDVNCTQTVTSTLCSPPTIDFGLISSSSTPVTKQKEELQKLRSALSSWGCFQAINHGTSSTLLGKVREVAREFFKQPVEQKKKISKGVKEFEGYGADPVPEEGQFLDWSDRLCLDVYPENRRKSSLWPENPPSFRKIVEEYTAKLREATNLISTAMAKSLDLEENCFLNQFGEQALLQVRFNYYSCCARPDIVLGLKPHADGSGYTIILQDDVEGLQVHNNDKWFTIPTISHALFVLMGDQMEIMTNGIFKSPMHRVLVNSKRERISVAMFYTPEPNKEIGPEQGLVNEEQPKLFKKVKDYADTHWEYYQRGTRAIHVAKV >Vigun05g296300.2.v1.2 pep primary_assembly:ASM411807v1:5:48123651:48125398:-1 gene:Vigun05g296300.v1.2 transcript:Vigun05g296300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSKRVQEMVQNGEEPPPPYVRRDVNCTQTVTSTLCSPPTIDFGLISSSSTPVTKQKEELQKLRSALSSWGCFQAINHGTSSTLLGKVREVAREFFKQPVEQKKKISKGVKEFEGYGADPVPEEGQFLDWSDRLCLDVYPENRRKSSLWPENPPSFRKIVEEYTAKLREATNLISTAMAKSLDLEENCFLNQFDGSGYTIILQDDVEGLQVHNNDKWFTIPTISHALFVLMGDQMEIMTNGIFKSPMHRVLVNSKRERISVAMFYTPEPNKEIGPEQGLVNEEQPKLFKKVKDYADTHWEYYQRGTRAIHVAKV >Vigun01g004100.1.v1.2 pep primary_assembly:ASM411807v1:1:499837:519581:1 gene:Vigun01g004100.v1.2 transcript:Vigun01g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTISNVCALKIPRKYFCTEGVKVGGSAIGEASNEHEKKQTISKIIPQYDIAIVGGGMVGMALACFLASMPMTKQLNVAIVDSNPALSSGLHIKKEDPPDPRVSTVTPASISFLRDSGAWKYVEQDRHAYFNAMQVWDYTGLGYARYHARDVNKDYLGCVAENKVLHNALLSCVKDSDFKTTIYPLRLSSMALNTSSMSVVEENTKSVEPPSAHGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTGDMFSWFKMDATISTNEYFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHKLPIFL >Vigun11g183200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38607892:38608173:-1 gene:Vigun11g183200.v1.2 transcript:Vigun11g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIPGIIRQASFSAAKTTCKGLEVPKGYLAVYVGDKMRRFVIPVSYLNRSSFQQLLRQSEEEFGFDHPTGGLTIPCKEDEFINIISQLNEK >Vigun07g131900.7.v1.2 pep primary_assembly:ASM411807v1:7:24135184:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.5.v1.2 pep primary_assembly:ASM411807v1:7:24135184:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDEQTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.4.v1.2 pep primary_assembly:ASM411807v1:7:24135184:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDEQTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.6.v1.2 pep primary_assembly:ASM411807v1:7:24135325:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDEQTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.8.v1.2 pep primary_assembly:ASM411807v1:7:24135321:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.3.v1.2 pep primary_assembly:ASM411807v1:7:24135184:24142428:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.1.v1.2 pep primary_assembly:ASM411807v1:7:24135321:24142421:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDEQTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun07g131900.2.v1.2 pep primary_assembly:ASM411807v1:7:24135184:24142428:1 gene:Vigun07g131900.v1.2 transcript:Vigun07g131900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGARPVLHDEQTSDCRSFGSNIRSSSQTRKISIGVMVDSKTSTRNGLTMGDGIVVPNTEREISIVGNFPGEKNEVKGVTPSFNIKHSGGPPELKCSWISKSAYQRTPISESILQANQASSLLVSPGGGYEPSGIERAAGKHSVQLFSYQTSILTSNNYKKFDTDAARIKGRKDEPTDKVKEFTFATAQQVFESEKTDPEDKVNRTENRTETLRMKLCQILGTTSSNKSRHSDSPVRNKDEESLPPEQRSNQEQNTCAKTIQNSDTIETDSENPDHALKRPVTRSWSRKKTSSKKQSGKGKSGPSSKKTEKQGEKSIFSFKEERIGRPDEFPDDGSLRKKNQKKNSTIGKNKISVIECDTADKLHQHTSKTDLPLHDQTTFSLGKIAGGFIGCLPEYQTKCPQAEKINQEKEFYEPTIVNTDQYGELEVSENENQQEYRSNPVSQNVASKLQDDFSSPTFQLKTPILSFSPDSTPNTGRKENDVSSPASTERTFSLGSIHSLRNLQASEPDFNINGLGEKIQSSDMEEIKSFIPRKDKSSESEKKEQGGLSDSSSEELNFQRYLEGSRVRHASERKSFALHPMKRLCKNESSKFNNGSPASASSKGTGDSDWIGEASEQNQDGFARAVELLALELGKLQSKLKSMTSQKSSEILKSVAEEIHLQLQNVHSQIQTDMGKLTSLSKSKRKRLETRFEDQQQQLRLIYDRFKEEVNQHLQDCRSTVEDLEADQIEIKRAMEKQRVAHKKLLSQVEEAMQIQLDDAQRKIRVTQEKARGKLLQLKQIVAMCLKEEILN >Vigun03g105200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9088544:9089456:1 gene:Vigun03g105200.v1.2 transcript:Vigun03g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSLFGGRRSNVFDPFSLDVWDPFKDFPFPESGRENSAFVSTRVDWKETPEAHVFTADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFRLPENVKVDKVKASMENGVLTVSVPKEEIKKPDVKAIEISG >Vigun10g012350.1.v1.2 pep primary_assembly:ASM411807v1:10:1281965:1283727:1 gene:Vigun10g012350.v1.2 transcript:Vigun10g012350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVFFALLFAGQVIGGFSTQRLPPPQNRDIITVLSVDGGGIKGILPTTVLDFLDQALKEKDPNADLAHYFDVIAGTSTGGLITAMLASPSPDDPTRGYFTPAQIVDFYQQEGPHIFDESCPGDGPKFDGVYFHNITRQLLNDTRLNQTLTNVVIPAFDIKTQKPVIFSNYKIENAPYLNALMSDITISTSAAPTQLPPYYFVNDGVEFNMADGGIEAGNPTQTAVSEVLKHHHEYSEILVLSVGTGKVKVNESFDVEIAANWSYKDWVNNASQFFLRSSTTMTQYYLESLIVGSPPSHTYLRIQEYDLNPDFSNPFNATQANMDGLEET >Vigun11g151600.1.v1.2 pep primary_assembly:ASM411807v1:11:36182104:36183700:1 gene:Vigun11g151600.v1.2 transcript:Vigun11g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKGTDVFCSSSASTAITCGMHHRSTARGRIKSYNHDGRKSQLCVPCSSQLPITPKPYLEKPRKRSADKGRKSSVDVSELYTHHHATAEGSSRRYLLADAPFIGWVSESNKFTQMVPSKHDVQDKAVVIKTNHAPTVRSKDQVVVLRVSLHCRACEGKLRKHISKMEGVTSFSIEMERKKVTIIGDVTPLGVLESVSKVKNAQLWPSL >Vigun03g095800.1.v1.2 pep primary_assembly:ASM411807v1:3:8101184:8102592:-1 gene:Vigun03g095800.v1.2 transcript:Vigun03g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEVKVVSFWVSPFGKRVEWALKLKGIEYEYIEEDIFNKSNLLLQLNPVQKKVPVLVHAHKPIPESFIILQYIDETWKQYPLLPQYPYQRALVHFWADFGERKLLNPSWVAMCSSGEDKEEALKVAREAMEKIEEEIKGKKFFGGDNIGYLDLALGWISYWLPVFEEVGSMQIMDPLKFPATAAWITNFLSHPVIKDNLPPRDNMLVYFHSRRKALSSSFKGWFKV >Vigun08g071500.2.v1.2 pep primary_assembly:ASM411807v1:8:11600202:11600982:1 gene:Vigun08g071500.v1.2 transcript:Vigun08g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRKLLVVVLVCSFKMQVYLLYILNAYDSSFLLAISQSPLWRRVDFGLLFSVSKCWASQER >Vigun09g066000.1.v1.2 pep primary_assembly:ASM411807v1:9:6942420:6945440:-1 gene:Vigun09g066000.v1.2 transcript:Vigun09g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEKTLPQWRDKFLSYKELKKKLKLVEAAPKSSEERPVKRARVDADMSVEEIDFRNSLEQELHKFNTFFEEKEEECIIKLKELQDRVAKVKDCNEQLMEIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECETMLDHLFPVNDPSISGEAEGCEASTSTSTSTNTKNNDDLVMPKELAANQHIDESLYMKSTITALHVLQEIRKGSSTVSMFSLPPLQMSGLEETWNKIPILEQTAK >Vigun09g225000.6.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYER >Vigun09g225000.4.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYERWLQNL >Vigun09g225000.3.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYERIYNDIRFCMIFQLTLPLAIPVIALMYRSKYTHSRYWFMSTGIYLLAKFEGVTDKTLYHGT >Vigun09g225000.1.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYERIYNDIRFCMIFQLTLPLAIPVIALMYRSKYTHSRYWFMSTGIYLLAKFEGVTDKTLYHVNNYIISGHSLEHLCLALIPVSLSVMLIYRELKFQRIVDVKDRP >Vigun09g225000.5.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYER >Vigun09g225000.2.v1.2 pep primary_assembly:ASM411807v1:9:39773818:39777428:-1 gene:Vigun09g225000.v1.2 transcript:Vigun09g225000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWIMNQQCRRWTTSRVCGATFLCCMCFILFTPTIPRSPKHHQFVDMRNLLGVPNTLNVMTNFPFLVVGILGLVLALQGGLFNISSQGEVWTWALFYAGIAGVAFGSAYYHLKPDDHRVLWDTLPMMVAFSSLFSILVVERFGQRIGLCCLFALIVAAFLCVVYERIYNDIRFCMIFQLTLPLAIPVIALMYRSKYTHSRYWFMSTGIYLLAKFEGVTDKTLYHVNNYIISGHSLEHLCLALIPVSLSVMLIYRELKFQS >Vigun01g153900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33662455:33665286:1 gene:Vigun01g153900.v1.2 transcript:Vigun01g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCFNPKTPSFAMARNSPSSPLSLISSPTPPRLSFSEPSSVVDEDSFMEEAEALILKWNPDSSAYARVTSLFYEDKIEAKHYIHCVNQLHQTMHSLISHNPSSHKLILAHNLMQIAMKRLKKEFYQILSMNRAHLDPESVSARSSRTSANSSSSDCDDDFAAEDDDIRAAGDSITEVEQVSSGAMADLKLIADCMVSSGYAKECVSVYIIIRKSIIDEGIYRLGVEKLSSTRANKMDWEVLDLKIKSWLEAVRIAVRTLFNGERILCDNVFSYSDSVRESCFAEISRDGASLLFGFPELVAKTKKSSPEKLFRVLDMHAVASDLLPEIESIFSSDYNSAVRSQFLTSLQRLTESAQFLLSEFESTIQKDSCKPAVNGGGVHSLTIQTMNYLAILADYVNVLSDIFPRDWLPLPKSSSLPESYLYSPESDYSASTPALTVRMAWLILVLLCKLDGKAKHCKDVSLSYLFLANNLWYVVARVRSSNLQYVLGDDWITKHEAKAKRFVANYEKMAWGEVVSSLPQNPGAAEARAVFENFNLKFEEAYRKQNSFVVADRELRDEIKGSIARSIVPRYREWYNALLASVGSVRDLTVREIVTFTPQDIENYLANLFLFGTSSSSVSSSSVTSSPLRRWS >Vigun07g020800.2.v1.2 pep primary_assembly:ASM411807v1:7:1765319:1766932:-1 gene:Vigun07g020800.v1.2 transcript:Vigun07g020800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNTKDKVFEKFTWTIITNFSTLDSKKLYSDTFSLDGHKWRVVIYPKGNKVKCLSIYLNGGGVATMPHGWEKFANFKLILINQFDHKMNVTGETSHTFNSKEVSWGFPSFIPLDELLDSSRGFLLNDSCIIEVHILVQQSEHEKEVDESLKNVDNKLVKGIENVSSKEMISTSSIVEMVDFRGIGKVEKHFVPLLEEVCSLYPSLIHSKQKKSQRFTEWAFTALGRVLHFLNTKKVRNMDDESCNHLQTLWEEVETFGFDLAWLKPHVESALGMKTRVEKVVEVKRLEENVITLKENVESLEENVTTLEEKTKTLRRKIIEAEVNLEMAKRDLEKAKKGSEECDLDVELGYGKSL >VigunL038000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:319331:319462:-1 gene:VigunL038000.v1.2 transcript:VigunL038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun09g277000.1.v1.2 pep primary_assembly:ASM411807v1:9:43708383:43712919:1 gene:Vigun09g277000.v1.2 transcript:Vigun09g277000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEHNLSMQLPDPTMKQPNVNVSDQSMSKNHISPTRRRFRSRRRKTTSACPLTSLSFRAYSIDGTNSPYYKGLTDQSLAIVDTIPSCTQPHPHPHTPSSDLLSSPYYKGLTDYSLAIGPWTPHNHPLPFPHNSLSYTPSPYYAGLLTHYTFLFHAPSLQPPFTTNPKLSLPIIKTDPTLKDLMVDAPHVDFVTQGTDEVGNHALEELGEKTCVVVDKEKALRENDVEMVTEVSEETMLDRKLMSDVTEEKPLGEKASETSEMDVWKEEEVKEVVSSSEAVVASSESEWKEGDGYDYTWAAKYQPMALEDFICNKDIASQLKTMVEGGCGCSHFIFEGPPSVGKRSMIRAMLGEVFGSERVQVVEEYKNFILKGEMVDSVQVRVKKSLHHVEVNLSETNGYEKHVIVDLFKETYGQVIDNSQPCCPENCKAIVLYEAEKLSIESLLYIKWLLEKYDGCNKVFFCCSDESKLQPVKPLCITLRLSSPSTQELAKILENIAIEEGIQLSRHLIDTIILRSNNNLRQAIRSLEATCRNRNAIKDDDVILTGWEQDILDIAKKIIQEQSPRQLYAIRRKLRSLMSHDVPPDFIYKSLVPELTTLVHHSLRPGVAKLDRDFMRASEMKFETMKQHFYHAQKQSESDDKNNDSTKKNALTYLKVEEFIAKVMSWYKNWSEKDNKQ >Vigun04g127100.1.v1.2 pep primary_assembly:ASM411807v1:4:32097343:32101271:1 gene:Vigun04g127100.v1.2 transcript:Vigun04g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSITTSLDEVDRTLYASFCTAANSLSQLYTHTMNHQKLSFNAGERHAMENLYQWIWRQQEGGSRVATVDVLNYIQNELDYCEDPSMSPRAPLQHHQSQPTLHIPVSGFPVTSASSGQTIAAHGLRAEPGENQPKNSVFSNALSSPIRRSLQHYQIGEGGCYSNGPFMGSGNRNTEHGFLLQQGRESTIVNSNDSAMDMHPD >Vigun10g165100.1.v1.2 pep primary_assembly:ASM411807v1:10:38414100:38418146:-1 gene:Vigun10g165100.v1.2 transcript:Vigun10g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWLGFSLTPHLRIDEEFGRENQERGEEGEGAGAGGYHPPPSSHPHLSVMPLRSDGSLCVADSFTHSAATPQEWRYDSAIGGGNSNEKGPKLEDFLGCYSNSPNKVFCQDSQHDQNQSQSNVSKINVNVAPNFCTNADIETGDCLTNPSSLLQSFHAYNDNPHALIPTNGMYKSWLAQTQFSDVKPSTEANGCNFQSLSLTMSPTVQNGVGAISSVQALEDNRKRAMAKSHAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSPKKESPPSAAADDINTSSSSVPSQPPPLAITDAEHSDELSNMMWNANNSDEQQQQQAHNESAANMNNVAESSSSQQVSPSNNKVGLNPESPPKCSVGLSNEFGVSGADYGHGYFTLEGPKYDDGNTENDHMSSNRLGNMGLVNQVPMFALWNE >Vigun04g196500.1.v1.2 pep primary_assembly:ASM411807v1:4:42126824:42135492:-1 gene:Vigun04g196500.v1.2 transcript:Vigun04g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSPKPESVAPSDCVSDPEEKEVSEDDDDDRNHKHRRREARSQSLERDVSDPVINRPFKKRNKNFGNRHPFRVNESQAFETLKNYSDATSDKDIYFKFDRRRPGLTSVPRTPLEMSQRLRGNQSFPGDPGVGRGRGRESGFWNQRESRFGSMDVASQMVPQGSIPPGLYAGRGIPNVSNAQNASWNTFGLIPSVPNGGLDMLHPMGLQGTLRPPINSSLNVNISRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVSLPSAHLIGAPAGSESLHSVNASTTSMNNKCLPGKVPKSVVNDDGLTLDGAFTGPAGCTSGADLYDPDQPLWNDSGLEPSNALLNLQSSKNEETEPILNDAPDGDCPVGAARTSISSQGASSSVWARIGSSKNRFDMKEKTNAAMGSFHYPENQLKEDNDELVGAHNASFQVKQNIADDAEPKALDVSLKAQTDSMRTIRKSSQKALRTLFVNGIPHKSNKREALLAHFKKFGEVIDIYIPLNSERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIRSDSTNTGNGMIVTPRGQAPAFVPSHPVVTDASKTTYEVSSPTDQPKPVNTDGLKVPPPLQKKLVNLENLKEELRKKQEMLDQKRNEFKRQLNKFEKQASGLKGELSNEQAAKRLKLGTASDVAKLASPPQSSDAEGGMTSPHTEAAADKNKQLVNTVSQSPKTSTTARLQEPTGLKHPIQSLIPLNRYKLDNRPAAFRIIPPLPAGLTNVVALKEHFSPYGELSSVELEDGQTNDSSQQEAHITFTTRWAAERAFSNGKCWNEQNLKFMWLTPTISSNATGGRERSLSASKEPLDSDDHSKEKSGNSVDQEGIESNGENKNSETRNGLELVEMEPNEDPQSTTEVSSPKQSPEGSVC >Vigun04g196500.2.v1.2 pep primary_assembly:ASM411807v1:4:42126824:42135492:-1 gene:Vigun04g196500.v1.2 transcript:Vigun04g196500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSPKPESVAPSDCVSDPEEKEVSEDDDDDRNHKHRRREARSQSLERDVSDPVINRPFKKRNKNFGNRHPFRVNESQAFETLKNYSDATSDKDIYFKFDRRRPGLTSVPRTPLEMSQRLRGNQSFPGDPGVGRGRGRESGFWNQRESRFGSMDVASQMVPQGSIPPGLYAGRGIPNVSNAQNASWNTFGLIPSVPNGGLDMLHPMGLQGTLRPPINSSLNVNISRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVSLPSAHLIGAPAGSESLHSVNASTTSMNNKCLPGKVPKSVVNDDGLTLDGAFTGPAGCTSGADLYDPDQPLWNDSGLEPSNALLNLQSSKNEETEPILNDAPDGDCPVGAARTSISSQGASSSVWARIGSSKNRFDMKEKTNAAMGSFHYPENQLKEDNDELVGAHNASFQVKQNIADDAEPKALDVSLKAQTDSMRTIRKSSQKALRTLFVNGIPHKSNKREALLAHFKKFGEVIDIYIPLNSERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIRSDSTNTGNGMIVTPRGQAPAFVPSHPVVTDASKTTYEVSSPTDQPKPVNTDGLKVPPPLQKKLVNLENLKEELRKKQEMLDQKRNEFKRQLNKFEKQGELSNEQAAKRLKLGTASDVAKLASPPQSSDAEGGMTSPHTEAAADKNKQLVNTVSQSPKTSTTARLQEPTGLKHPIQSLIPLNRYKLDNRPAAFRIIPPLPAGLTNVVALKEHFSPYGELSSVELEDGQTNDSSQQEAHITFTTRWAAERAFSNGKCWNEQNLKFMWLTPTISSNATGGRERSLSASKEPLDSDDHSKEKSGNSVDQEGIESNGENKNSETRNGLELVEMEPNEDPQSTTEVSSPKQSPEGSVC >Vigun06g052300.1.v1.2 pep primary_assembly:ASM411807v1:6:17796018:17799829:-1 gene:Vigun06g052300.v1.2 transcript:Vigun06g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSHHPLFLLYILCGLCFSLQFTRGYADSSAERILNQVDGDHQIHCSRERSRTAWKIIQEYLMPFVEKEKYHIPKRCRFHPDNDIYRDQEQHKFHIDINEWQCGYCKKSFYEEKHLDHHFDNRHSNLLNLNESHCLADVCGALHCDHELNSGSKKSKCNPAAASRNKHLCESLANSCFPINEGPVASRLHELFLHQFCEAHSCIGSSQPFSRGRRKKTNVFYIFLSILLVILLLLYYLYIYLYQRGMKKETQVLKRISQTGRKKKPS >Vigun02g142400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29101492:29101773:-1 gene:Vigun02g142400.v1.2 transcript:Vigun02g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKLTVCLMLVALVILLQGLESIEGRLLKLDETTEHQMQERIPTTNVAAFDTDVSVSPPTPPSAAAPGRDVDNFRPTAPGHSPGVGHSVHN >Vigun04g169400.1.v1.2 pep primary_assembly:ASM411807v1:4:39384793:39391565:1 gene:Vigun04g169400.v1.2 transcript:Vigun04g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTETNGRTPSATSLLHTSVTSLYYSHPFSTSPPPAPDAADRTRLLNSIRAVETTATAAAAVDFFHRMLTLVPFPCIQDFNLFFGLVAKSHHHATAISLIKTLHSLSESADVCTLNIFINCLCHLHQTTSGFAVLGLMTKTGVEPTLVTFNTIVNGLCIEGDMDRALWLVEKMETLGYQCNARTYGELVNGLCKIGDTSGAMECLRKMVERNLEPNVVVYNAILDGLCKKGLVNEAFALFREMGVTVEPNVVSYNCLIQGLCGGFEGWREGVVLFNEMVVEKGIAPDVQTFSILVDGFCKEGLVSWAENVMGYMIRTGVEPNVVTYNSLIGGHCLRNWMEEAMRVFRLMFSEGERCLPSVVTYNLLIHGWCRVKEVDKAMSLMGEMVGIGLDPDVVTWTILIGGFCEVRKPLAAKELFFNMKKHGQVPSLHTCAVVLDGLFKCWLDCEAVSLFTAMEKSGLDLDIVIYNIMLDGMCKAGKLDDARKLLSGVVDKGLKIDTYTYNIMIKGLCKEGLLDDAEEMLNKMEENGYQPNKNSYNVFMQGLLRKYDISRSRKYLQIMKDKGFRVDAGTTEFIVRYLSANKGDNAFQEMLLT >Vigun04g169400.4.v1.2 pep primary_assembly:ASM411807v1:4:39384793:39391565:1 gene:Vigun04g169400.v1.2 transcript:Vigun04g169400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTETNGRTPSATSLLHTSVTSLYYSHPFSTSPPPAPDAADRTRLLNSIRAVETTATAAAAVDFFHRMLTLVPFPCIQDFNLFFGLVAKSHHHATAISLIKTLHSLSESADVCTLNIFINCLCHLHQTTSGFAVLGLMTKTGVEPTLVTFNTIVNGLCIEGDMDRALWLVEKMETLGYQCNARTYGELVNGLCKIGDTSGAMECLRKMVERNLEPNVVVYNAILDGLCKKGLVNEAFALFREMGVTVEPNVVSYNCLIQGLCGGFEGWREGVVLFNEMVVEKGIAPDVQTFSILVDGFCKEGLVSWAENVMGYMIRTGVEPNVVTYNSLIGGHCLRNWMEEAMRVFRLMFSEGERCLPSVVTYNLLIHGWCRVKEVDKAMSLMGEMVGIGLDPDVVTWTILIGGFCEVRKPLAAKELFFNMKKHGQVPSLHTCAVVLDGLFKCWLDCEAVSLFTAMEKSGLDLDIVIYNIMLDGMCKAGKLDDARKLLSGVVDKGLKIDTYTYNIMIKGLCKEGLLDDAEEMLNKMEENGYQPNKNSYNVFMQGLLRKYDISRSRKYLQIMKDKGFRVDAGTTEFIVRYLSANKGDNAFQEMLLT >Vigun04g169400.2.v1.2 pep primary_assembly:ASM411807v1:4:39384793:39391565:1 gene:Vigun04g169400.v1.2 transcript:Vigun04g169400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTETNGRTPSATSLLHTSVTSLYYSHPFSTSPPPAPDAADRTRLLNSIRAVETTATAAAAVDFFHRMLTLVPFPCIQDFNLFFGLVAKSHHHATAISLIKTLHSLSESADVCTLNIFINCLCHLHQTTSGFAVLGLMTKTGVEPTLVTFNTIVNGLCIEGDMDRALWLVEKMETLGYQCNARTYGELVNGLCKIGDTSGAMECLRKMVERNLEPNVVVYNAILDGLCKKGLVNEAFALFREMGVTVEPNVVSYNCLIQGLCGGFEGWREGVVLFNEMVVEKGIAPDVQTFSILVDGFCKEGLVSWAENVMGYMIRTGVEPNVVTYNSLIGGHCLRNWMEEAMRVFRLMFSEGERCLPSVVTYNLLIHGWCRVKEVDKAMSLMGEMVGIGLDPDVVTWTILIGGFCEVRKPLAAKELFFNMKKHGQVPSLHTCAVVLDGLFKCWLDCEAVSLFTAMEKSGLDLDIVIYNIMLDGMCKAGKLDDARKLLSGVVDKGLKIDTYTYNIMIKGLCKEGLLDDAEEMLNKMEENGYQPNKNSYNVFMQGLLRKYDISRSRKYLQIMKDKGFRVDAGTTEFIVRYLSANKGDNAFQEMLLT >Vigun04g169400.3.v1.2 pep primary_assembly:ASM411807v1:4:39384793:39391565:1 gene:Vigun04g169400.v1.2 transcript:Vigun04g169400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTETNGRTPSATSLLHTSVTSLYYSHPFSTSPPPAPDAADRTRLLNSIRAVETTATAAAAVDFFHRMLTLVPFPCIQDFNLFFGLVAKSHHHATAISLIKTLHSLSESADVCTLNIFINCLCHLHQTTSGFAVLGLMTKTGVEPTLVTFNTIVNGLCIEGDMDRALWLVEKMETLGYQCNARTYGELVNGLCKIGDTSGAMECLRKMVERNLEPNVVVYNAILDGLCKKGLVNEAFALFREMGVTVEPNVVSYNCLIQGLCGGFEGWREGVVLFNEMVVEKGIAPDVQTFSILVDGFCKEGLVSWAENVMGYMIRTGVEPNVVTYNSLIGGHCLRNWMEEAMRVFRLMFSEGERCLPSVVTYNLLIHGWCRVKEVDKAMSLMGEMVGIGLDPDVVTWTILIGGFCEVRKPLAAKELFFNMKKHGQVPSLHTCAVVLDGLFKCWLDCEAVSLFTAMEKSGLDLDIVIYNIMLDGMCKAGKLDDARKLLSGVVDKGLKIDTYTYNIMIKGLCKEGLLDDAEEMLNKMEENGYQPNKNSYNVFMQGLLRKYDISRSRKYLQIMKDKGFRVDAGTTEFIVRYLSANKGDNAFQEMLLT >Vigun07g294900.1.v1.2 pep primary_assembly:ASM411807v1:7:40556072:40558008:1 gene:Vigun07g294900.v1.2 transcript:Vigun07g294900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEVVSVLVVLAMVVLAMVVRTKVMDGRHRLPPGPRCWPVVGNMFQLGWSPHESFAKLAHKHGPIMRLWLGSMCTVVISSSEVAREMFKNHDVALAGRKIYEAMRGEHGSEGSLVTSQYDSHWRMLRRLCTSEFFVTSRLDAMRGVRAKCVHRMLDLIEEAGEGGTRAVDVGRFVFLMDFNLIGNLVFSEDLLESETERGDRFYYHALKVMEYAGKPNMADFLPFLKWLDPQGIRRKTQFHVNQAFDIAGSFINERMEKGRDGSKESKDYLDVLLAFRGDCSAEPYTFSSRTINVIIFEMFTAGTDTTTSTIEWAMAELVQNPRTLKKVQMELRKKIGPGRKMEEKDMEDLPYLQAVIKETLRLHPPLPLMVPHMAMESCNMLGYDIPKESQILVNVWAIGRDWKVWDAPLLFWPERFLEPNTVVDYKGRHFEFIPFGSGRRMCPAMPLASRVLTLALGSLLHSFDWVLPDGLKPEEMDMTEGMGISLRKAIPLKVIPVPYRGATTANE >Vigun10g012800.2.v1.2 pep primary_assembly:ASM411807v1:10:1370049:1374889:1 gene:Vigun10g012800.v1.2 transcript:Vigun10g012800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVLFVLLFAGQVIGGFSAQKLPPSNNGELTTILSIDGGGIKAIVPAKVLQYLDKALKAKDPNADLAHYFDVIGGTSTGAITVAMLATPSLDDPTRAAYTPAQIVEFYKQNGPRIFNASRPGNGPQFDGEGLHDSAREALKETRVDQAMTNLVIPAFDIKKQKPFVFSSYKLEKVPYLNALLSDICISTSTAPRLLPPYFFGNDGVEFNLVDGGEAAVNPTLIAVSEVLQQNEYPEILVLSLGTGATKIEETFDARLAGTWQTQTWDVIDTNFLSRAYTAITEYYLSSIFSGFQARNTYLRVQEYDLNPDLSDPVNVTKASLDGLEESGKQLLQKKVVTFNLDTFDLEEGVGTYAEALDRIADILHGERQRRLLRSKSMEKGRRPILETLAQANWAFQKNMHI >Vigun10g012800.3.v1.2 pep primary_assembly:ASM411807v1:10:1370034:1374889:1 gene:Vigun10g012800.v1.2 transcript:Vigun10g012800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYEVNLNFVELQAKDPNADLAHYFDVIGGTSTGAITVAMLATPSLDDPTRAAYTPAQIVEFYKQNGPRIFNASRPGNGPQFDGEGLHDSAREALKETRVDQAMTNLVIPAFDIKKQKPFVFSSYKLEKVPYLNALLSDICISTSTAPRLLPPYFFGNDGVEFNLVDGGEAAVNPTLIAVSEVLQQNEYPEILVLSLGTGATKIEETFDARLAGTWQTQTWDVIDTNFLSRAYTAITEYYLSSIFSGFQARNTYLRVQEYDLNPDLSDPVNVTKASLDGLEESGKQLLQKKVVTFNLDTFDLEEGVGTYAEALDRIADILHGERQRRLLRSKSMEKGRRPILETLAQANWAFQKNMHI >Vigun07g258400.1.v1.2 pep primary_assembly:ASM411807v1:7:37559551:37562391:1 gene:Vigun07g258400.v1.2 transcript:Vigun07g258400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQPSATLSLSVRDACVFTAPKYSPTAHFPHRNLIITRASFIAGSPLLIRRNHGRKSTCKAMPVSIRCEQSTQQGNSLDVWLGRLAMVGFAVAITVEISTGKGLLENFGLATPLPTVALAVTALVGVLTAVFIFQSASKN >Vigun07g108600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20111472:20114406:-1 gene:Vigun07g108600.v1.2 transcript:Vigun07g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFVVEQHKNQYYNRSKSQGHARFGGSPSRGFRDINCRTFESGRTGILPTPLKSHGSPKSPPNSDNKTFGKVKVTPKSTPIPINGIACRKESEDVPGGGADLLLSELWAGPTYSNSPPPSSLPIPKFSVKPKRTVSLNLPGSSPEIEMRPVAKSAPSSPGREHLDLPFTRDLFVNADSATKTLCRILNLNINDY >Vigun01g230900.2.v1.2 pep primary_assembly:ASM411807v1:1:40313273:40318879:1 gene:Vigun01g230900.v1.2 transcript:Vigun01g230900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSMKAPSSSSSFLSLLRVKPRSSSYLFTLLAFILFAAILCGHDFLFIFHPHPHSKEQTQTLFFSTPRNTTETEREEEVGCDVFRGRWVRDELTRPLYEESDCPYIQPQLTCQEHGRPEKEYQRWRWQPHGCDLPTFDASLMLEKLRGKRMMFVGDSLNRGQYVSLICLLHHLIPEHAKSMETLGSLTIFRAKEYNATIEFYWAPFLLESNSDNAVIHRVTDRIVRKGSINTHGRHWKGADIIVFNTYLWWITGSKMKILLGSFNDEVRKSVEMSTEDAYRMAMKSMVRWVKVNMDSNKTRVFFTSMSPSHAKSIEWGGESEGNCYNETTPIDDPAYWGSDSKKTIMQVIGEVFGKSKVPITFLNITQLSNYRKDAHTSIYKKQWNPLTSEQLANPASYADCVHWCLPGLQDTWNELLFAKLFFP >Vigun01g230900.1.v1.2 pep primary_assembly:ASM411807v1:1:40313350:40318892:1 gene:Vigun01g230900.v1.2 transcript:Vigun01g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSMKAPSSSSSFLSLLRVKPRSSSYLFTLLAFILFAAILCGHDFLFIFHPHPHSKEQTQTLFFSTPRNTTETEREEEVGCDVFRGRWVRDELTRPLYEESDCPYIQPQLTCQEHGRPEKEYQRWRWQPHGCDLPTFDASLMLEKLRGKRMMFVGDSLNRGQYVSLICLLHHLIPEHAKSMETLGSLTIFRAKEYNATIEFYWAPFLLESNSDNAVIHRVTDRIVRKGSINTHGRHWKGADIIVFNTYLWWITGSKMKILLGSFNDEVRKSVEMSTEDAYRMAMKSMVRWVKVNMDSNKTRVFFTSMSPSHAKSIEWGGESEGNCYNETTPIDDPAYWGSDSKKTIMQVIGEVFGKSKVPITFLNITQLSNYRKDAHTSIYKKQWNPLTSEQLANPASYADCVHWCLPGLQDTWNELLFAKLFFP >Vigun05g061400.1.v1.2 pep primary_assembly:ASM411807v1:5:5297096:5300448:1 gene:Vigun05g061400.v1.2 transcript:Vigun05g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSLLHSSGSSFFAQFRAFPHSSSSSVFPHVKRHGNVVVPVRASDSGVVLVEKSDAEKTYRLKTAYTEKIVPLLIEEFSYTNKHQVPKIEKIVVNCGIGDAAQNSKGLDAAISDLAMITGQRPVKTRARASLATFKIREGQPLGIAVTLRGNIMYSFLDRVINLGLPRTRDFQGVNSNSFDGHGNYSIGVKDQGVFPEIRADVVGKPRGMDICITTTANTDKEAQRLLALMGMPFREGSGPVADAGRKKKLKSHHFDSKRGGRGRK >Vigun05g086100.3.v1.2 pep primary_assembly:ASM411807v1:5:8181597:8189044:-1 gene:Vigun05g086100.v1.2 transcript:Vigun05g086100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQALLLLLLLPMVISDVSEASFVLKLRKLIAPDPNDTKTKDPNPVGQTNKVNRDGPVSPVPQPQPLPKVGNANDGQKNSVPAPNVPPPPTKEGDGGHKDQKQGKEEIEGKLSHSTTTQTCEGFNKCTDDGDLLACILKTDPKYLVVLLQNKGDGIINVTLRTDFENILGVIEVDKNKTEEINITRSSNESTQLTLKAAGKEDCVLHLVHTPANNFFLRLPSYDKILTPVNCAYFLIFMVLMIGATWVCCAFRKKRQNEIPYQELEMAQPESAAAAHMESAEGWDQDWDDDWDDNVAVKSPAALAGSISANGLTSRSSNKDGWENNWDD >Vigun05g086100.2.v1.2 pep primary_assembly:ASM411807v1:5:8181595:8189408:-1 gene:Vigun05g086100.v1.2 transcript:Vigun05g086100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQALLLLLLLPMVISDVSEASFVLKLRKLIAPDPNDTKTKDPNPVGQTNKVNRDGPVSPVPQPQPLPKVGNANDGQKNSVPAPNVPPPPTKEGDGGHKDQKQGKEEIEGKLSHSTTTQTCEGFNKCTDDGDLLACILKTDPKYLVVLLQNKGDGIINVTLRTDFENILGVIEVDKNKTEEINITRSSNESTQLTLKAAGKEDCVLHLVHTPANNFFLRLPSYDKILTPVNCAYFLIFMVLMIGATWVCCAFRKKRQNEIPYQELEMAQPESAAAAHMESAEGWDQDWDDDWDDNVAVKSPAALAGSISANGLTSRSSNKDGWENNWDD >Vigun07g072900.1.v1.2 pep primary_assembly:ASM411807v1:7:9235485:9237938:-1 gene:Vigun07g072900.v1.2 transcript:Vigun07g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRSVKKRRYSHKTARRTKFELKGDDMVYAQVNKPDEEKTPLPVDEDLPGMGQYYCPHCDRYFSNKTVRDEHFKTKRHRRRVKQMMGPAPHTQLDAELAAGMGMPDNGPKLMSM >Vigun03g351400.2.v1.2 pep primary_assembly:ASM411807v1:3:55291997:55293283:1 gene:Vigun03g351400.v1.2 transcript:Vigun03g351400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTLHYFSSFFLFFIILSHHSNADVGTSSRYSPPYLPSGCFGNEATQFPSSNLFAAAGDGIWDNGAACGRQYLVRCISAEEPMTCIPDQSIQIKIVDYAASAVSPPSTAGTTMVLSDKAFGSIANTSATLINIEFQQV >Vigun03g351400.3.v1.2 pep primary_assembly:ASM411807v1:3:55291997:55293283:1 gene:Vigun03g351400.v1.2 transcript:Vigun03g351400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTLHYFSSFFLFFIILSHHSNADVGTSSRYSPPYLPSGCFGNEATQFPSSNLFAAAGDGIWDNGAACGRQYLVRCISAEEPMTCIPDQSIQIKIVDYAASAVSPPSTAGTTMVLSDKAFGSIANTSATLINIEFQQV >Vigun10g020300.1.v1.2 pep primary_assembly:ASM411807v1:10:2380317:2393028:1 gene:Vigun10g020300.v1.2 transcript:Vigun10g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALENFYNIFDATKQKTQFWTSWQPLESPCLLEHVILPVELGFSVILLIQLLRKYMSLIRKQTKVPDGATKSMHPPAIKHGFAYKISIVSNTLLLGVHASLLLLMLNQETQCTSKLQAFTSEIVQVLSWVTSLVATWKTSKSSTHFPWILRAWWLCSFIVCIISTGLHVHFSVTNSGQISIREYADFLGLIASSCLLVVSTTGKTGTVMLATNGAAEPLLGEKTEKHSEILKESPYGKATLLQLINFSWLNPLFAVGYKKPLEQSDIPDVDIKDSAEFLTCSFDESLRKVKEEDGTANPSIYKAIYLFARKKAALNALFAVVNASASYVGPYLITDFVDFLGEKEARGLKSGYLLSLAFLCAKMVETIAQRQWIFGARQLGLRLRAALISHIYQKGLHLSSRSRQSHTGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVFILHTNLGLGSLAALAATLGVMTLNIPLTKIQKRYQAKIMDAKDNRMKATSEVLRNMRTLKLQAWDSQFCERIEALRNVEYNWLTKSLRQAAFSAFIFWGSPTFISVITFWACMFMGIELTAGRVLSAFATFRMLQDPIFSLPDLLNVIAQGKVSVDRIASFLREEEIQHDVIENVAKERTEFDVVIERGRFSWDPDSTTPTIDEIELRVKRGMKVAVCGSVGSGKSSLLSGILGEIYKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEYNADKYDKTVEACALKKDFELFSCGDMTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFKECLMGILKEKTIIFVTHQVEFLPAADLILVMQNGRIAQAGKFEDLLKQNIGFEVLVGAHSKALESIVVAENSSRTSFNSIAEEGESNLNSRSSLQHDKVQDNPPEDKGNDGKLVQEEERETGSIAKEVYWTYLTTVKGGMFVPLILLAQSSFQILQIASNYWMAWVCPTSSDAKPIFDMNFILLIYMALSVAGSFCVLLRAMMVLNAGLWTAQSLFTKMLHSVLRAPMSFFDSTPAGRILNRASTDQSVLDLEMANKVGWCAFSIIQILGTIAVMCQVAWQVFVIFIPVTAVCIWYQRYYTPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFIYTNLLLVDGFSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVMLVSLPEGIINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIKSEAPLVIEDRRPPSNWPETGTICFKNLQIRYAEHLPSVLKNITCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDNVDICKIGLHDLRSRLSIIPQDPALFEGTVRGNLDPLQQYSDIEVWEALDKCQLGHLVRAKEEKLESPVVENGDNWSVGQRQLFCLGRALLKRSSILVLDEATASVDSATDGVLQNIISQEFKHRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSRLLEREDSFFFKLIKEYSGRSQSFNSLATQHVQSREEL >Vigun10g020300.2.v1.2 pep primary_assembly:ASM411807v1:10:2379411:2393098:1 gene:Vigun10g020300.v1.2 transcript:Vigun10g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALENFYNIFDATKQKTQFWTSWQPLESPCLLEHVILPVELGFSVILLIQLLRKYMSLIRKQTKVPDGATKSMHPPAIKHGFAYKISIVSNTLLLGVHASLLLLMLNQETQCTSKLQAFTSEIVQVLSWVTSLVATWKTSKSSTHFPWILRAWWLCSFIVCIISTGLHVHFSVTNSGQISIREYADFLGLIASSCLLVVSTTGKTGTVMLATNGAAEPLLGEKTEKHSEILKESPYGKATLLQLINFSWLNPLFAVGYKKPLEQSDIPDVDIKDSAEFLTCSFDESLRKVKEEDGTANPSIYKAIYLFARKKAALNALFAVVNASASYVGPYLITDFVDFLGEKEARGLKSGYLLSLAFLCAKMVETIAQRQWIFGARQLGLRLRAALISHIYQKGLHLSSRSRQSHTGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVFILHTNLGLGSLAALAATLGVMTLNIPLTKIQKRYQAKIMDAKDNRMKATSEVLRNMRTLKLQAWDSQFCERIEALRNVEYNWLTKSLRQAAFSAFIFWGSPTFISVITFWACMFMGIELTAGRVLSAFATFRMLQDPIFSLPDLLNVIAQGKVSVDRIASFLREEEIQHDVIENVAKERTEFDVVIERGRFSWDPDSTTPTIDEIELRVKRGMKVAVCGSVGSGKSSLLSGILGEIYKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEYNADKYDKTVEACALKKDFELFSCGDMTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFKECLMGILKEKTIIFVTHQVEFLPAADLILVMQNGRIAQAGKFEDLLKQNIGFEVLVGAHSKALESIVVAENSSRTSFNSIAEEGESNLNSRSSLQHDKVQDNPPEDKGNDGKLVQEEERETGSIAKEVYWTYLTTVKGGMFVPLILLAQSSFQILQIASNYWMAWVCPTSSDAKPIFDMNFILLIYMALSVAGSFCVLLRAMMVLNAGLWTAQSLFTKMLHSVLRAPMSFFDSTPAGRILNRASTDQSVLDLEMANKVGWCAFSIIQILGTIAVMCQVAWQVFVIFIPVTAVCIWYQRYYTPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFIYTNLLLVDGFSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVMLVSLPEGIINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIKSEAPLVIEDRRPPSNWPETGTICFKNLQIRYAEHLPSVLKNITCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDNVDICKIGLHDLRSRLSIIPQDPALFEGTVRGNLDPLQQYSDIEVWEALDKCQLGHLVRAKEEKLESPVVENGDNWSVGQRQLFCLGRALLKRSSILVLDEATASVDSATDGVLQNIISQEFKHRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSRLLEREDSFFFKLIKEYSGRSQSFNSLATQHVQSREEL >Vigun10g020300.3.v1.2 pep primary_assembly:ASM411807v1:10:2379411:2393098:1 gene:Vigun10g020300.v1.2 transcript:Vigun10g020300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALENFYNIFDATKQKTQFWTSWQPLESPCLLEHVILPVELGFSVILLIQLLRKYMSLIRKQTKVPDGATKSMHPPAIKHGFAYKISIVSNTLLLGVHASLLLLMLNQETQCTSKLQAFTSEIVQVLSWVTSLVATWKTSKSSTHFPWILRAWWLCSFIVCIISTGLHVHFSVTNSGQISIREYADFLGLIASSCLLVVSTTGKTGTVMLATNGAAEPLLGEKTEKHSEILKESPYGKATLLQLINFSWLNPLFAVGYKKPLEQSDIPDVDIKDSAEFLTCSFDESLRKVKEEDGTANPSIYKAIYLFARKKAALNALFAVVNASASYVGPYLITDFVDFLGEKEARGLKSGYLLSLAFLCAKMVETIAQRQWIFGARQLGLRLRAALISHIYQKGLHLSSRSRQSHTGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVFILHTNLGLGSLAALAATLGVMTLNIPLTKIQKRYQAKIMDAKDNRMKATSEVLRNMRTLKLQAWDSQFCERIEALRNVEYNWLTKSLRQAAFSAFIFWGSPTFISVITFWACMFMGIELTAGRVLSAFATFRMLQDPIFSLPDLLNVIAQGKVSVDRIASFLREEEIQHDVIENVAKERTEFDVVIERGRFSWDPDSTTPTIDEIELRVKRGMKVAVCGSVGSGKSSLLSGILGEIYKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEYNADKYDKTVEACALKKDFELFSCGDMTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFKECLMGILKEKTIIFVTHQVEFLPAADLILVMQNGRIAQAGKFEDLLKQNIGFEVLVGAHSKALESIVVAENSSRTSFNSIAEEGESNLNSRSSLQHDKVQDNPPEDKGNDGKLVQEEERETGSIAKEVYWTYLTTVKGGMFVPLILLAQSSFQILQIASNYWMAWVCPTSSDAKPIFDMNFILLIYMALSVAGSFCVLLRAMMVLNAGLWTAQSLFTKMLHSVLRAPMSFFDSTPAGRILNRASTDQSVLDLEMANKVGWCAFSIIQILGTIAVMCQVAWQVFVIFIPVTAVCIWYQRYYTPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFIYTNLLLVDGFSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVMLVSLPEGIINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIKSEAPLVIEDRRPPSNWPETGTICFKNLQIRYAEHLPSVLKNITCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDNVDICKIGLHDLRSRLSIIPQDPALFEGTVRGNLDPLQQYSDIEVWEALDKCQLGHLVRAKEEKLESPVVENGDNWSVGQRQLFCLGRALLKRSSILVLDEATASVDSATDGVLQNIISQEFKHRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSRLLEREDSFFFKLIKEYSGRSQSFNSLATQHVQSREEL >Vigun10g008100.2.v1.2 pep primary_assembly:ASM411807v1:10:750983:756740:-1 gene:Vigun10g008100.v1.2 transcript:Vigun10g008100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKLFVIALMPNLKVLLLTVLGSFLAMNRFNILSESAINNMNTMVYFVFSPALACSSLASTITLRSLIALWFMPFCIVLTVVVGTALGWLLVKITRVPYHLRGLVLGCCAVGNLGNLPLMVVPAICEEKSNPFGDKNLCYKNGMAYASLSLALASILVWSFAYNIIRMYSTTKNISNEKVASESDAKTLPKCSAQTMAIETDETSHNNNSEDHHEIETYVVPDAKKKMVNICNILEKEKVIKYLKMLCKWSNLKQLFPPTLIGALVGLIIGVVPQFRHLIVGVSAPLFVLQDSIIMIGNACLPAMTMLVGANLLEGLKGERVKFSVLFGIIVVRNIALPVLGVATVKGAVHFGIIHHDPLYEFVLLLQFALPPAVAISTSIQLFGIGKGECSIIMLATYSFAAVSLTLWCTLFIWLVL >Vigun10g008100.1.v1.2 pep primary_assembly:ASM411807v1:10:750983:756740:-1 gene:Vigun10g008100.v1.2 transcript:Vigun10g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKLFVIALMPNLKVLLLTVLGSFLAMNRFNILSESAINNMNTMVYFVFSPALACSSLASTITLRSLIALWFMPFCIVLTVVVGTALGWLLVKITRVPYHLRGLVLGCCAVGNLGNLPLMVVPAICEEKSNPFGDKNLCYKNGMAYASLSLALASILVWSFAYNIIRMYSTTKNISNEKVASESDAKTLPKCSAQTMAIETDETSHNNNSEDHHEIETYVVPDAKKKMVNICNILEKEKVIKYLKMLCKWSNLKQLFPPTLIGALVGLIIGVVPQFRHLIVGVSAPLFVLQDSIIMIGNACLPAMTMLVGANLLEGLKGERVKFSVLFGIIVVRNIALPVLGVATVKGAVHFGIIHHDPLYEFVLLLQFALPPAVAISTSIQLFGIGKGECSIIMLATYSFAAVSLTLWCTLFIWLVL >Vigun03g067000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5530561:5530890:-1 gene:Vigun03g067000.v1.2 transcript:Vigun03g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYGMLLLMVLACFCAHGTATRDKNMVKCWSNLVELKSCSNEIMHFFINGEADIGPNCCCAITVITHNCWPSMLTSLGYTYQQALDMLAYCEDVAPTPALSPSQPIY >Vigun02g129600.1.v1.2 pep primary_assembly:ASM411807v1:2:28106771:28110152:1 gene:Vigun02g129600.v1.2 transcript:Vigun02g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVTQVELMLRPSTLGRHFFCKSNSFSRSTTWLSLQRAVPTTAISSSLTRRPIPPDSNPRNFFFSSLTSFQSLNTSTHLPNAPNNPFLSQSQSGGAFVWNPASDTPSAALYGGKDRVATVVLLGWLGARTKHLKRYVEWYNSLGFNAVTFVVDVKELLRIDLGHVLETRISHLADHLVSWLSREEQDGRERWLVFHTFSNTGWFVYGYILARMLGSEDLMEKIKGCIIDSGGGEPFNPQVWAAGFSAAIFKKRSSLVEVEGKQKSETEDSLSNIQQNQPSKFETAVLSLLEKLFSFVLQLPDVNQRLTRIVNVLKKHQPCPQLYLYSTADKLIPFESVEALIEEQRKMGKRVRSFNFGLSPHVDHYRTFPDLYLSQVTEFLKECFTATTQTAYKS >Vigun01g009300.1.v1.2 pep primary_assembly:ASM411807v1:1:1084898:1088349:-1 gene:Vigun01g009300.v1.2 transcript:Vigun01g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTESQYVKLTKDQVPLEDITPGELNQPIEVPQLAVHKCLECGQPLPESYTPPADEAWMTGIFGCAEDRENCLTGLFCPCVLFGRNVESLHEETPWTGPCVCHAIFIEGGIALASATAMFSGYIDPGTSFLILEGLFFTWWMCGIYTGQVRQNLQKKYHLKNSPCDPCCVHCCMHWCALCQEHREMQGRLSDNAFSGTTIVSAPPPIQEMKSSDNKDNPETSSSSDKNHEHTGLELQVL >Vigun05g044900.2.v1.2 pep primary_assembly:ASM411807v1:5:3706672:3708646:-1 gene:Vigun05g044900.v1.2 transcript:Vigun05g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSCKVAIVLVAFAITIPCLEGGIGTMDEFLKERAMEAHEIALKSYVPDPTNVTSQHNNLHVKEKKPKKESNSTTTTRREMTEEKDKKKYKGPCLALNPIDRCWRCKKSWAKNRFKLASCVKGFGRRATGGSKGKIYTVSDPSDDDPVDPKEGTLRYGVLQKDPLWIIFSKSMIIKLNYELLISSDKTIDGRGHTVVIKGGAGLTMQFVNNIIIHGLKIHHIKSTPGGMIRDSWDHVGTRTRADGDAISIFGSSNIWIDHISLSECEDGLVDIIQGSTAITVSNCHMTKHNDVMLFGASDTFSGDKIMQVTVAFNHFGQGLIQRMPRCRWGFFHVLNNDYTHWIMYAIGGSSGPTILSQGNRFIAPDDDKAKEVTHRDYAEPPVWGKWQWRSENDVFMNGAKFIQSGKPLGKLPFNKGYLMKPRHGSQANRLTRFAGTLKCKVGNPC >Vigun05g044900.1.v1.2 pep primary_assembly:ASM411807v1:5:3706672:3708646:-1 gene:Vigun05g044900.v1.2 transcript:Vigun05g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSCKVAIVLVAFAITIPCLEGGIGTMDEFLKERAMEAHEIALKSYVPDPTNVTSQHNNLHVKETTRKKPKKESNSTTTTRREMTEEKDKKKYKGPCLALNPIDRCWRCKKSWAKNRFKLASCVKGFGRRATGGSKGKIYTVSDPSDDDPVDPKEGTLRYGVLQKDPLWIIFSKSMIIKLNYELLISSDKTIDGRGHTVVIKGGAGLTMQFVNNIIIHGLKIHHIKSTPGGMIRDSWDHVGTRTRADGDAISIFGSSNIWIDHISLSECEDGLVDIIQGSTAITVSNCHMTKHNDVMLFGASDTFSGDKIMQVTVAFNHFGQGLIQRMPRCRWGFFHVLNNDYTHWIMYAIGGSSGPTILSQGNRFIAPDDDKAKEVTHRDYAEPPVWGKWQWRSENDVFMNGAKFIQSGKPLGKLPFNKGYLMKPRHGSQANRLTRFAGTLKCKVGNPC >Vigun01g093200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25564102:25565868:-1 gene:Vigun01g093200.v1.2 transcript:Vigun01g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTATVSVPKRMGFRVGVTLFLNISFLMIVFCVSLIAHFHSSDHVVLRRNLLGLRGVEKDCKSFHKLKDSKAKCFYLKSNDPCVSQGYVDYLYIFYCKVGDFPLLGHSLLFLWLLVLFYLLANTASEYFCPSLENLSKLLRLSPTIAGVTLLSLGNGANDVFATLVSFKGSGTQDIGFNTVLGGASFVSCVVVGIVSISIRQRGIRVKKWALVRDVCFLLLVLFCLLIILITGEISVPGAIGFCLMYVVYVVVVYVSSKRGNKGVCDDADTESDGDLSHGGGNDLGVPLLIGMEKGLADTTLEFEVKTGRKCCCLKSSICRMLLCVMEMPLYLPRRLTIPVVCEERWSKGYAVCSAMLAPLLLSFLWVPNKENNFNPNLIVCGIALLVGITLGVTAFFTTNVSSPPRKYLFPWLAGGFVMSVTWSYISAQELVGLLVSLGYICGVSPSILGLTVLAWGNSLGDLVTNLTMALYGGSEGAQIAISGCYAGPIFNIVVGLGLSLVSTTWSEYPFSVVIPKDPYLWETLALLAVGLVWALVVLIRRDMKLDAVLGVGLLVIYFISLFLRLIQTLGSLQFQNMINSLFITS >Vigun03g000100.1.v1.2 pep primary_assembly:ASM411807v1:3:23511:27438:-1 gene:Vigun03g000100.v1.2 transcript:Vigun03g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRHNVLLRCGHLAPSPPLKRCRLGWRVKAQLAEEMEIRVCCNRSCRRQGSFQTLETLSGVAPPNVIVKSCGCLGRCGGGPNLVVLPDGLIFGHCGTAARAAELVVTLFAEAGHDPKTCLDALALRKRADIEFANRNFTQAELLLSQAIYLKPFGGMHIIFKCRSFVRLELGNYSGALQDAEEALALAPRYSEACICQGDAFLALNKFDLAEQSYLTALDIEPSIRHSKSFKARVTELQEKLAAVNKHTVRSGL >Vigun03g000100.2.v1.2 pep primary_assembly:ASM411807v1:3:23511:27438:-1 gene:Vigun03g000100.v1.2 transcript:Vigun03g000100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRHNVLLRCGHLAPSPPLKRCRLGWRVKAQLAEEMEIRVCCNRSCRRQGSFQTLETLSGVAPPNVIVKSCGCLGRCGGGPNLVVLPDGLIFGHCGTAARAAELVVTLFAEAGHDPKTCLDALALRKRADIEFANRNFTQAELLLSQAIYLKPFGGMHIIFKCRSFVRLELGNYSGALQDAEEALALAPRYSEARVTELQEKLAAVNKHTVRSGL >Vigun09g153500.1.v1.2 pep primary_assembly:ASM411807v1:9:31875203:31876059:1 gene:Vigun09g153500.v1.2 transcript:Vigun09g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSASFRVVTFLFLIIAALMSVASSQVTAPAPAPTSDGTTIDQAVAYVLMLLALVLTYIMH >Vigun01g194200.1.v1.2 pep primary_assembly:ASM411807v1:1:37170577:37172757:1 gene:Vigun01g194200.v1.2 transcript:Vigun01g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGEKASAAPSAPAATASQPAQAQKKSKK >Vigun01g005900.1.v1.2 pep primary_assembly:ASM411807v1:1:742328:745244:1 gene:Vigun01g005900.v1.2 transcript:Vigun01g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKVSPTQSTKMEKDTIVLFPAPGIGHIVAMVELAKLIQTHRFTITIILTTGLLDHPTIEYYIRRITAAHPSISFLRLPHIPLSAATSVSLAARAFAFIKANASSVATSLSQISQTTAVKAFIIDLFCTSAMEPASSMGIPVYYFFTSGAAVLALFSYFPKLHEQGSESFKDMVGVELRVPGNAPLKAVNMPEPVLDRTDPSYWDMLYFCTCLPKARGIIVNSFPELEPLAVNAVAEGACFPNAERGPRVYYIGPLIAEPQQSDAGRDSKECLRWLEEQPRRSVVYLCFGSRGSFSVAQLKEIAKGLERSGHRFLWVVKKPLEEEGANQIDEAAKPGDPLDLASVLPNGFLERTKDRGMVVEAWAPQVEVLSRESVGGFVSHCGWNSVLEAVVAGVPMVAWPLYAEQHANREVMVGEMKVAVGVNEREEDGFVSAEEVEKRVREVMETKDIRDRSFKLKQMAMAAVAEFGSSTTALSNLVHSWTSFS >Vigun08g117400.1.v1.2 pep primary_assembly:ASM411807v1:8:28494619:28497183:-1 gene:Vigun08g117400.v1.2 transcript:Vigun08g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSRQQPKQVQRKKFAGGATQRMEKAKAMLSLTQEQYDAVSRLQFLPPLRTGDNSSFYEHFVLAGIRVDRVEPGCVSCSFIVPSRLADRGGKLANGAIANLVDEVGGAVIHEGGLPMNVSVDMSISFLSTARVGDELEITSRLLGRKGGYSGTIVLLKNKATGELLAEGRHSLFGRHNSKM >Vigun09g205600.2.v1.2 pep primary_assembly:ASM411807v1:9:38003615:38004965:1 gene:Vigun09g205600.v1.2 transcript:Vigun09g205600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISCFDFWKGGKTVEEGSEEWKEMSMKVREACESYGCFLLRCDEVSTEELFKNMKTLFDLPQKTKQQHTSPKPFSSFNSHKLVKTSYECFAVDDVLLSTSLETFTNRMWPQGNPHFCEMLKGMSLKMTEISLVVLKMIVEAYGLPEHYISDVESMKSCSQIRLNMYKSGANKGTSHDGGHTDKDTLTVLCQNEVQGLQAWSNGRVHAAMHRVEVRGEKEKERYTLGIFVAPKEEMKIEVPGELVDHKDHPLRYRPFNYGEFLTHFFSTRNHNAIDVFADL >Vigun09g205600.1.v1.2 pep primary_assembly:ASM411807v1:9:38003615:38004965:1 gene:Vigun09g205600.v1.2 transcript:Vigun09g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISCFDFWKGGKTVEEGSEEWKEMSMKVREACESYGCFLLRCDEVSTEELFKNMKTLFDLPQKTKQQHTSPKPFSSFNSHKLVKTSYECFAVDDVLLSTSLETFTNRMWPQGNPHFCEMLKGMSLKMTEISLVVLKMIVEAYGLPEHYISDVESMKSCSQIRLNMYKSGANKGTSHDGGHTDKDTLTVLCQNEVQGLQVLSKTGEWVDIEIPQNCFVVIVGDALKAWSNGRVHAAMHRVEVRGEKEKERYTLGIFVAPKEEMKIEVPGELVDHKDHPLRYRPFNYGEFLTHFFSTRNHNAIDVFADL >Vigun03g254301.1.v1.2 pep primary_assembly:ASM411807v1:3:42075594:42079846:1 gene:Vigun03g254301.v1.2 transcript:Vigun03g254301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFGENWCEEVSKYLEFKATTLDHWSIDVKCEEEPCNLQGPSLPQQNLIDYPPTQEHNANVNNVELGLIPLSNNLPNLQSQNGDPSTKHRQRKPRITWSDEEHMLFLLGIQMCGMSWKRISQDFVRTKTPSQLASHAQKFFERQKMLPSQRRRKSIHDITLPPHFYPHLVQNLSMQDLQVSTPIQDFSMQDLQVPTPIQDFSMQDLQDPTLVQDFSMQYTHVQNFSMQNTQVSNFNQPTNTPVRLDTWHQSSPKHLNLLAAATSSFLLPTTAHHSSPVTLVLGGKGCKRRSNSPPLTCSCSRDHRRREEIAPHRSAIYADFRSRTSENARHQKDEATTNHIAVGHPPAHSRSEFIHVHNLVVPASRFFLAHPLEPRTTPEQCRRRTIFTATTCSKTSHHRSNPQRTSIAANCATHDAERTECTTPSR >VigunL037732.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000429.1:4753:5829:-1 gene:VigunL037732.v1.2 transcript:VigunL037732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRRERKPMGSLSVIGITSTENRLYIGWFGILMVFVSLFLISTLWKQYHFWLPFYPIWEAASVDEWLYNGGPYELIEAFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVMDKILILI >Vigun03g025200.1.v1.2 pep primary_assembly:ASM411807v1:3:1875959:1884630:1 gene:Vigun03g025200.v1.2 transcript:Vigun03g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDPLERLDSEFASTSSGSVISSTLSTEDFRNLNSSGDISSISSGSGEIPPAAVTEAILSPPPLHRAGLSDCEAPAVREKCIGRSNKGVSWGHTSVIGRRKEMEDAVAVIPGFMSRTCDHVGGCTAPGSRSSGEISPVHFFGVYDGHGGSQVAKFCAKRMHDVIAEEWNREMAGGAEWQRRWETVFANSFERTDNEILSDAVAPEMVGSTASVVVLSGCQIIASNCGDSRVVLCRRTQTIPLSVDQKPDRQDELLRIEGEGGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFTARTDEDECLILASDGLWDVMSNEEVGEVARHILRRRRRSLSVEEPSPAQVVADSLTEIAYGRNSKDNISIIVVDLKSKRKRQQRPPLIS >Vigun07g034000.1.v1.2 pep primary_assembly:ASM411807v1:7:3252362:3256642:-1 gene:Vigun07g034000.v1.2 transcript:Vigun07g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENLNSRQVTFSKRRNGLLKKARELSVLCDAEVAVIIFSSTGKLYEFSNTSMERTLSRYRKGSELDSAEQPIDVPPKDVTVMEPDTNLLKDEITKLRSAYSNMKGKELDGLSLKELQHLEKQLSEGILAVKDKKEQVLVEQLTRSRIQEQKAVLENEVLRKQLEEIQNKANTQFLEFSCLDRTFSKNSSKSLFNCASEENNHSDTSLQLGLSPPDYGRQSKGWKIEPCNDSGSQVASQ >Vigun08g098100.1.v1.2 pep primary_assembly:ASM411807v1:8:23753385:23754485:-1 gene:Vigun08g098100.v1.2 transcript:Vigun08g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRIGFVVFLCVLPVMVSAIRPHLTPFYVKGRVYCDPCRAGFETPLTTYIAGAEVTLVCKDRIRGIIVYSKMVKTDSSGSYTIFVDANQANQNCDASLVTSPLRDCNEPTPGRDHSRVILNRYNGIATNDRFVNNLGFMKKKIASACARIFRRYRDYDDEN >Vigun03g248900.1.v1.2 pep primary_assembly:ASM411807v1:3:41394212:41398502:-1 gene:Vigun03g248900.v1.2 transcript:Vigun03g248900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVEIVVLMVLFEGLFSAGAVSDNSTIPALVNIGVLYSFNTSVGKMVKTAVEAAVEDVNSDPSILAQTKLKLSMQEDTKYRGFLSIAEALQLMATQTVAIIGPQTSTTAHVISHIANELQVPLLSFTATDPTLSSLQFPFFIRTAFSDVYQMTAIADLVNYFQWREVIAVYGDDDHGRNGMGALGDKLAERRCKISFKAPMTPEATREEITDVLVQVALAESRVIVLHTSTAWGPKVLSVAKSLGMMENGYVWITTTFLSTWLDIRSPLSPDTTNDMQGVIALRMYVPDSKLKRWFISRWTNVTTSGKNGNGTLGLSTYGIFAYDTVFALAHALDAFFKRGNQITFSHDPKLSQIRGDNMHLDAVKIFNEGNLLRKHIYEVNMTGVSGLFKYDSDGNLVNPAYEIINVIGTGTRRIGYWSNYTGLSIVPPETLYSKPPNRSSASQKLLPVFWPGETTQKPRGWVFPNNGRMLKIGVPKRVSYRDFVSQVQGTDMFKGFCIDVFLSAVNLLPYAVPYKFVSYGDGDSNPSNTELVRLITADVFDAAVGDITITTERTKMVDFTQPYIESGLVVVASVKKTDSNAWAFFKPFTPMMWTVTAIFFLLVGAVVWILEHRLNDDFRGTPKQQMVTILWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLINSKEPIGYLQGSFTRTYLIEEIGIDESRLVPLKTPEETATALKNGPQKGGVAAYVDERAYIELFLSSRCDFSIVGQEFTRNGWGFAFPRDSPLAVDLSTAILELAENGDLQRIHDKWLLSSACLSQGAKLEVDRLKLRSFWGLYLVCGLACVLALLIYLVQTMRQYSKHGPEELESPGHGSGSSRLRTFLSFVDEKEEIVKSRVKRKKIEGMSYRSTREVGSSITSNKGYSQASSNRIDSATEI >Vigun06g066400.1.v1.2 pep primary_assembly:ASM411807v1:6:19463048:19466608:1 gene:Vigun06g066400.v1.2 transcript:Vigun06g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSFLLVPSPCAASVHASPRCLEDAKLRYAESNNRVSILTVKRFSRRMVLQFLGINHMIWHASSALAAPIMPDMKEPELIRTLKLPSGVRIQDIVEGEGPEAHNGDVVKFNCVCRRANGYFVFSTVDQFSGESTPVTLPLDENQIIVGLKEVLTGMRVGGKRRALIPPSAGYVNENLKPIPEEFGPRRSLFSHAQEPLVFEVQLLKILSPPIY >VigunL051201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:34362:35696:-1 gene:VigunL051201.v1.2 transcript:VigunL051201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAMRPMSPTLVGAVAAAALAGLLFGFDTAVIAGVTGDISRVYSLTPATLGITVSSALWGTLLGAMASGKPGDRYGSRDGLRAMAVLYFISSIGCALAWSWPVLLTARFIGGVAIGGSSVLAPVYMAEIAPARRRGALVGLFQVNIVVGILVAYLSNFLLDQLALGLSAWRWKLAVTALPATLLWLMLARAPQSPRWLRAQGRDEEAHAAQTRLGGDLDPSEAPSPPGARLSWRLYRKPILLAVTLALFNQLTGINALLYYLNDIFAAAGFGHATAGLQAVAIGATNLVFTLLAMSVIDRFGRKRLLLVGSVGMAVCLGLAAWILDGGHHSSWLLYVLVGFIAAFAFSQGAVIWVYISEIFPTPVRARGQALGASTHWVANALIAGAFPTVAAWRPGAPFVGFAAMMVLQMVVVALFYPETMGARLESIAKALHADNDRLA >Vigun10g199500.15.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQETIVASCSTSCCASSAAASFIMMNCVIVSQHKNKRGSYEKCIYMEQIVEKYCKSIHN >Vigun10g199500.18.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLLGGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.25.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.2.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLCSTKCRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.8.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.1.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.16.v1.2 pep primary_assembly:ASM411807v1:10:41172927:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.10.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLLGGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQETIVASCSTSCCASSAAASFIMMNCVIVSQHKNKRGSYEKCIYMEQIVEKYCKSIHN >Vigun10g199500.20.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLCSTKCRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.23.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQETIVASCSTSCCASSAAASFIMMNCVIVSQHKNKRGSYEKCIYMEQIVEKYCKSIHN >Vigun10g199500.14.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLLGGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.24.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.22.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQETIVASCSTSCCASSAAASFIMMNCVIVSQHKNKRGSYEKCIYMEQIVEKYCKSIHN >Vigun10g199500.17.v1.2 pep primary_assembly:ASM411807v1:10:41172927:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.7.v1.2 pep primary_assembly:ASM411807v1:10:41172927:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSTKESIIKSRGKGNGLVAVAVEKDKKGSRQALKWAADTLLSRGQTLVLIHVLHTTSSPLSRGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.5.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIHVYKNLILFKLLTDAKYLFYQIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.11.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41177578:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLCSTKCRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.21.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41177578:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLCSTKCRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.6.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIHVYKNLILFKLLTDAKYLFYQIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.19.v1.2 pep primary_assembly:ASM411807v1:10:41172926:41178190:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLCSTKCRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun10g199500.13.v1.2 pep primary_assembly:ASM411807v1:10:41172934:41177599:-1 gene:Vigun10g199500.v1.2 transcript:Vigun10g199500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLLGGCQSKLRSTTTNWSAASPNKDKLANITSDLFDTFHCYCSRKDIHCLDVVLQDMDVVKGITEYVYYAAIENLVLGAASRHGFIRFKTSTPSSILKGAPDFCSVYVISKGRISSVRSAARRAPHASPLLSQIETLNDEHENEFDIPCRPRLSFNGNRVSPKPITLQSESMNKIQLGRRSGAIGRLSVDMAEQDSDISFVSSDRPSSVRSSSVFYDHADCGRNGRTSTSSDRSWGSTCMRLKFTDPSSPDHLFSDEGSRSSISSLQNMDEVEADVRRLRLELKQTMEMYSTACKEALTAQQKLLELHNSRIEEEKKLEEARESQEAAEAIAEKEKERSKAAKETAELAKRLARLETKKRAEIEVKCLKEAEEMRKVLKNLKQTDKRYRRYTMEEIVKATNEFSESQKIGEGGYGPVYKCYLDHTAVAVKVLRPGSAQGETQFQQEVNILGSIRHPNMVLLVGACPEEGVLIYEYMENGSLEECLFGKKEEMRSWELRFGIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLLPAAVAENETQCRMTAAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIILQLLTGRPAMGLAHQVQQSIKNETFAQILDPSVPAWPLPQALSLANLALQCAQLSRKDRPDLATFLLPQLQMLRDFAHHHHRHQQPPQDQDVLSERTSQHSGSPSSPSSPTTDQPIQDGTT >Vigun02g005750.1.v1.2 pep primary_assembly:ASM411807v1:2:2615296:2617420:1 gene:Vigun02g005750.v1.2 transcript:Vigun02g005750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIGILFSMFAWPVEDHCTGESKTWYGVPGYEDSHFEKTVLQQVYCNMILTKHGDAGAFRFLAQKTSMFPPNVMLQHDVEVYKAVLNLGKFVTPFLEHIMLDLVMGLLCGLPAMQVLLFSSNLSLP >Vigun09g047600.1.v1.2 pep primary_assembly:ASM411807v1:9:4557287:4563168:-1 gene:Vigun09g047600.v1.2 transcript:Vigun09g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFQNLLGAPYRGGNAVISNNTLLLSPVGNRVAVTDLLKSETTTLPIQSSSNVARIAVSPDSTFLLAVDDRNRCLFINLRRRVLLHRISFKDRVGAVEFSPDGSLIAVATGKLVQIWRSPAFRREYFPFELVRTFAEFDAKVTTLDWSPDSKYLIVGSKDLTARILCLKKLNSGGKKKPFLLLGHRDSVVGSFFGVNSKTSRVCKAYTITRDCYLFSWGFTSDDGGEAEGSEPPSPGTPERDVERNLEVIEKDGVKKRKKIDVEDGDEGYLSKGKWELLRKDGFMQGWAKVTACDYHRGLDMVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNELGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCVAYSADSQLLATGADDNKVKVWTLSSGFCFVTFSEHTNSVTALHFMASNNCLLSASLDGTIRAWDLLRYRNFRTFTTPSSRQFVSLTADQSGEVICAGTSDSFEIFVWSMRTGRLLDVLSGHEAPVYGLVFSPTNAVLASSSHDKSVRLWDVFDGKGAVETFPHTHDVLTVVYRPDGRQLACSTLDGQIHFWDPIDGLLMYTIEGSRDIAGGRLMTDRRSAANSTSGKFFTTLCYSADGSYILAGGSSRYICMYDVADQVLLRRFQITHNLSLDGVLDILNSKNMTDAGPLDLIDDDNSDIEEGIEKQTRGKLGFNLPGSMPNRGRPIIQTKSLRIAPTGRSFVAATTEGVLVYSVDESFIFDPTDLDINVTPEAVEEALRENQPSKALILSLRLNEDSFIKKCIFAVSPVDIPAVATSIPYKYLQRLVEALADLLENCPHLEFILRWCQELCKAHGNSIQQNSRNLLPSLKSLQKAITKIHQDLADTCSSNEYMLRYLCSSGAKK >Vigun11g060500.2.v1.2 pep primary_assembly:ASM411807v1:11:13259468:13283567:-1 gene:Vigun11g060500.v1.2 transcript:Vigun11g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAGLAEAAGARFSSLELIGQGSFGDVYKGFDKELNKEVAIKMIDLEESEDEIEDIQKEISVLSQCRSAYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRYMKEFVSLCLKKVPAERPSAKELLRHRFIRNARKSPKLLERIRERPKFQIKEDEATPRNAPKGVGEAYDTVKVAKDLRVDETNQPSGQGKTLKSSGWDFSISGSQGTGTFRSVSRPPQFRDKKTEVSNHQLTQKKSPESGYQGGSGNKSELLEASFGKDSGVPYHDEHLDNHLEDDELFGNGLGTVVVRSPKGPQSSLFRDQSSRSSSSYASFEDVSTSGTVVLRNQHDDSDSPQTPRSRLGLNSRNSNASFEDSATNLAEAKAAIQGGLKKMNVRDRFALGKLNNDVQESRRDQMSTSSDSSRPSREYIDAQKGISRSQNDIDDEESAKIISSSAPLSVLLIPSLKEAIAEDPEGPIVQIVINALLNMEKTKPQSCDALVKNLLQRLASSKELSLKDLQELAGRLLSKTKSAEEARNAETDLKKKQNKEVHSNSNLSPLARFLLSRWQGQASRDLNSS >Vigun11g060500.1.v1.2 pep primary_assembly:ASM411807v1:11:13259650:13283548:-1 gene:Vigun11g060500.v1.2 transcript:Vigun11g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAGLAEAAGARFSSLELIGQGSFGDVYKGFDKELNKEVAIKMIDLEESEDEIEDIQKEISVLSQCRSAYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRYMKEFVSLCLKKVPAERPSAKELLRHRFIRNARKSPKLLERIRERPKFQIKEDEATPRNAPKGVGEAYDTVKVAKDLRVDETNQPSGQGKTLKSSGWDFSISGSQGTGTFRSVSRPPQFRDKKTEVSNHQLTQKKSPESGYQGGSGNKSELLEASFGKDSGVPYHDEHLDNHLEDQDELFGNGLGTVVVRSPKGPQSSLFRDQSSRSSSSYASFEDVSTSGTVVLRNQHDDSDSPQTPRSRLGLNSRNSNASFEDSATNLAEAKAAIQGGLKKMNVRDRFALGKLNNDVQESRRDQMSTSSDSSRPSREYIDAQKGISRSQNDIDDEESAKIISSSAPLSVLLIPSLKEAIAEDPEGPIVQIVINALLNMEKTKPQSCDALVKNLLQRLASSKELSLKDLQELAGRLLSKTKSAEEARNAETDLKKKQNKEVHSNSNLSPLARFLLSRWQGQASRDLNSS >Vigun07g236400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35787210:35788185:-1 gene:Vigun07g236400.v1.2 transcript:Vigun07g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAICAGAATTDMRNESIDLTQISLRPISLDDLDDVMVLTSDENVARYCRWEPHSSKEEGINFIKDIASKSLWFGAICLNDRVIGCIDLSSCEEQGKNRYKSAELGYVLGTRYWGKGIATHVVKQVLKAAFTEFPHLERLQALVFLENVASQRVLHKAGFQREGVLRKYEFIKGKSRDMVCYSFLNDDSPC >Vigun06g161400.1.v1.2 pep primary_assembly:ASM411807v1:6:28369533:28373057:-1 gene:Vigun06g161400.v1.2 transcript:Vigun06g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDCCIWGCAKAPQLGMANFHPDSSRLSVNLKQGTEKWCKRECCCLRAVSGFESSGDADRIRVQPSVLLEGFHKDANIINSIAEQLRGNDLCSSDRSKLRVAYKGLPGAYGEDAALKAYPNCETVPCLDFETAFKCVESWLVDKAVVPIESCIAGSIHRNYDLLIRHNLHIVGELHLLIKHCLLGHKGVRKEHLTSVISHPQALVQCETMLTDLGVTNIAVDDTAAAARAVALDGRRDIGAIASSRAAQLYGLHILAEAIQDDDVNVTRFLILARDPIIPGTDRPYKTSIVFSLDEDPSVLFRALGAFALRNINLSKIESRPLKHCPLSAVDDSKEGSAESFKYLLYIDFEASLADPCARYALENLQECTRFFRILGCYPVDKTDTTASS >Vigun10g060366.1.v1.2 pep primary_assembly:ASM411807v1:10:12371912:12373502:1 gene:Vigun10g060366.v1.2 transcript:Vigun10g060366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMDNVSQPLVAFIVDQYLCRNQFYQTRATFRNEALPLFAARPSNTNVLSLEEILNQYILLKKQHTRLEEEKVMVMQEKNRIQKLLQDIQNGMVSFNAISPMSNVKTIITNSAIARPVENSIRTPPVASSTIVFPMQNTMSLPIKPMDNKNLSSPMIGVFDKKRKDISTTNGSVVAKKPRGTNMSLSSPNNNVDFGSSDASPQSLVINPTNKETQISTNYVSTAHPIIHSFPIDTHVTPVAKCNGEVIAPSYNVITTRRDMVDHVKQMAYNDETQKRDTNKESNMDTNKTSTRTLDVNSSDIPENLDPSFSNEIAASEFDNKRDDCIYLDFLEDMLDFINFEE >Vigun07g130200.2.v1.2 pep primary_assembly:ASM411807v1:7:23939197:23951318:1 gene:Vigun07g130200.v1.2 transcript:Vigun07g130200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVGRFVQLVATFTGGFVIALVKGWLLTVVMLSSLPLLVLSGAAVAFTIQRIASTTQTAYAKAARLVQQTIGSIRTVASFTGEKQAVSSYNKDLAHAYKSGIQEGCIHGIGAGTLLFVTFGTYALGVWYGAKLIMEKEYSGGRVINVIVAILIGSSSLGQTSPCINAFSLGQVAAYKMFQTIERKPEIDAYDPNGKILQDIQGEIELRDVYFSYPSRPEELIFGGFCLRIAGGTTAALVGQSGSGKSTVISLVERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASTIKDNIAYGKEGATIEEIRSAAELANAAKFIHKLPQGLNTVVGDHGSKLSGGQKQRIAIARAILRNPRILLLDEATSALDVESERIVQEALNRIMINRTTIVVAHRLSTVKNADTIAVIHRGKMIEKGTHSELSKGDGAYSQLIRLQEISNDSEENADHHSKGEISVESFRQSSQRRSLERSISRGSSKGNSSRHSPSVSFGLSKGVNVSDPELENSQPKEQAPEVPLSRLASLNKPEIHVLLIGCVAAIANGVIYPIYGLLVSMAIKTFYEPFDQMKKDSKFWALMFTVVGIAAFVTNVGQRYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEAENSSGALSARLLADAALVRALVGDALGLFVQNSASVLAGFIIAFTASWQTTLVILVLIPFFGINIYLKNKLSNEFSADVKMMYEEASQVANDAVGSIRTVASFCAEDKIMELYRKKCEAPIKAGIRGGLINGAAFAVLAFSLYGFHATSYYAGARLVEAGKASFSDVFRVFFALTMGTAGICQSRSLAPDSSKAKSATASIFKMLDKKSKIDPSDESGITLDSVKGEIELRHVSFKYPSRPEIPIFQDLSLGIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGQITLDGVEIRELQLKWFRQQMGLVSQEPVLFNETIRANIAYGKEGNASEAEIIAAAELANAHTYISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKNPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVIKNGVIVEKGKHETLINVSGGFYASLVQLHTSSSTP >Vigun07g130200.3.v1.2 pep primary_assembly:ASM411807v1:7:23945768:23951318:1 gene:Vigun07g130200.v1.2 transcript:Vigun07g130200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVGRFVQLVATFTGGFVIALVKGWLLTVVMLSSLPLLVLSGAAVAFTIQRIASTTQTAYAKAARLVQQTIGSIRTVASFTGEKQAVSSYNKDLAHAYKSGIQEGCIHGIGAGTLLFVTFGTYALGVWYGAKLIMEKEYSGGRVINVIVAILIGSSSLGQTSPCINAFSLGQVAAYKMFQTIERKPEIDAYDPNGKILQDIQGEIELRDVYFSYPSRPEELIFGGFCLRIAGGTTAALVGQSGSGKSTVISLVERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASTIKDNIAYGKEGATIEEIRSAAELANAAKFIHKLPQGLNTVVGDHGSKLSGGQKQRIAIARAILRNPRILLLDEATSALDVESERIVQEALNRIMINRTTIVVAHRLSTVKNADTIAVIHRGKMIEKGTHSELSKGDGAYSQLIRLQEISNDSEENADHHSKGEISVESFRQSSQRRSLERSISRGSSKGNSSRHSPSVSFGLSKGVNVSDPELENSQPKEQAPEVPLSRLASLNKPEIHVLLIGCVAAIANGVIYPIYGLLVSMAIKTFYEPFDQMKKDSKFWALMFTVVGIAAFVTNVGQRYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEAENSSGALSARLLADAALVRALVGDALGLFVQNSASVLAGFIIAFTASWQTTLVILVLIPFFGINIYLKNKLSNEFSADVKMMYEEASQVANDAVGSIRTVASFCAEDKIMELYRKKCEAPIKAGIRGGLINGAAFAVLAFSLYGFHATSYYAGARLVEAGKASFSDVFRVFFALTMGTAGICQSRSLAPDSSKAKSATASIFKMLDKKSKIDPSDESGITLDSVKGEIELRHVSFKYPSRPEIPIFQDLSLGIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGQITLDGVEIRELQLKWFRQQMGLVSQEPVLFNETIRANIAYGKEGNASEAEIIAAAELANAHTYISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKNPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVIKNGVIVEKGKHETLINVSGGFYASLVQLHTSSSTP >Vigun07g130200.1.v1.2 pep primary_assembly:ASM411807v1:7:23939097:23951318:1 gene:Vigun07g130200.v1.2 transcript:Vigun07g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFALSIVKRAVICEMGVENGEEIKDDEASTSENGKKEEGRKKEREKTERVPFYKLFGYAHSTDIFFMAVGSIAAIANGVAFPLLALLMGQIIDTFGATNPNLLQDVSKVCLKILYLALGSAIGAFLQEACWAVTGERQTARIRELYLKTILRQDIAFFDEETNTGEVIGRISGDTILLQEAMGEKVGRFVQLVATFTGGFVIALVKGWLLTVVMLSSLPLLVLSGAAVAFTIQRIASTTQTAYAKAARLVQQTIGSIRTVASFTGEKQAVSSYNKDLAHAYKSGIQEGCIHGIGAGTLLFVTFGTYALGVWYGAKLIMEKEYSGGRVINVIVAILIGSSSLGQTSPCINAFSLGQVAAYKMFQTIERKPEIDAYDPNGKILQDIQGEIELRDVYFSYPSRPEELIFGGFCLRIAGGTTAALVGQSGSGKSTVISLVERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFASTIKDNIAYGKEGATIEEIRSAAELANAAKFIHKLPQGLNTVVGDHGSKLSGGQKQRIAIARAILRNPRILLLDEATSALDVESERIVQEALNRIMINRTTIVVAHRLSTVKNADTIAVIHRGKMIEKGTHSELSKGDGAYSQLIRLQEISNDSEENADHHSKGEISVESFRQSSQRRSLERSISRGSSKGNSSRHSPSVSFGLSKGVNVSDPELENSQPKEQAPEVPLSRLASLNKPEIHVLLIGCVAAIANGVIYPIYGLLVSMAIKTFYEPFDQMKKDSKFWALMFTVVGIAAFVTNVGQRYFFSVAGCKLIQRIRLMCFEKVVNMEVGWFDEAENSSGALSARLLADAALVRALVGDALGLFVQNSASVLAGFIIAFTASWQTTLVILVLIPFFGINIYLKNKLSNEFSADVKMMYEEASQVANDAVGSIRTVASFCAEDKIMELYRKKCEAPIKAGIRGGLINGAAFAVLAFSLYGFHATSYYAGARLVEAGKASFSDVFRVFFALTMGTAGICQSRSLAPDSSKAKSATASIFKMLDKKSKIDPSDESGITLDSVKGEIELRHVSFKYPSRPEIPIFQDLSLGIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGQITLDGVEIRELQLKWFRQQMGLVSQEPVLFNETIRANIAYGKEGNASEAEIIAAAELANAHTYISGLQQGYDTLVGERGTQLSGGQKQRVAIARAIIKNPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVIKNGVIVEKGKHETLINVSGGFYASLVQLHTSSSTP >Vigun07g199200.1.v1.2 pep primary_assembly:ASM411807v1:7:31970157:31971916:1 gene:Vigun07g199200.v1.2 transcript:Vigun07g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSVIILFLSFSVINFVLVQSATFEISKFGGKPNTNIAKALTSVWAEACASTSAVKIVIPSGTYQMTHVDVKGPCKAPIEIQLDGTIKAPPKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNVLNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCTLKGTTNGVRIKTWPNEPGTITVTNMKFEDITMDNVMNPIIIDQEYCPWNQCSKQNPSKIKISKVIIKNIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVIATCSNVKPKITGKAPACTAPSTKKE >Vigun03g010100.1.v1.2 pep primary_assembly:ASM411807v1:3:700634:702799:1 gene:Vigun03g010100.v1.2 transcript:Vigun03g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEHGISLEPETKNLLNHHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGIAEVAAGAISMGLGGYLAAKSESDNYARELKREQEEIVTMPETEAAEVAEILAEYGIEAHEYAPVVNALRKNPQAWLDFMMKFELGLEKPDPKRALYSAMTIAIAYILGGVVPLLPYMFISKASEAVVFSVVVTLVALLIFGYAKGHFTGNKPFRSALETAFIGAIASAAAFGMAKAFNP >Vigun09g089301.1.v1.2 pep primary_assembly:ASM411807v1:9:12304750:12320172:1 gene:Vigun09g089301.v1.2 transcript:Vigun09g089301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSHFEDDFDFLGGFSARHSGTKRSSPDYDDEDYDNDPFATKKAKSKAEEASGVTTGMILSLRESLQNCKDTLVTCQNELEAAKSEIQSWHSTLKNEPSVPAGVTPDPKMLINHLQTLKTSEESLREQLEKAKKKEAAFIVTFAKREQEITELKSAVWDLKVQLKPPSMQARRLLLDPAVHEEFTRLKNLVEEKDKKVKELQDNIAAMNFTPQSKMGKMLMAKCRTLQEENEEIGNQASEGKIHELALKLALQKSQNSQLRSQFEGLQKHMEGLTNDVERSNETVLMLQDKLEEKDREIQRLKHEFQQKNVEDARSDATLTKNDNNETTAGEAAN >Vigun04g041600.4.v1.2 pep primary_assembly:ASM411807v1:4:3616569:3620420:1 gene:Vigun04g041600.v1.2 transcript:Vigun04g041600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDPNMGKEKVISNFTLLHSSSTKGSKDKSIPSNNMPSWLLTNISELEERMKVLTMSTTEEEEIGDTFAERAESYYQKRPQLLSLLQDLYNGYITLSDRYIQTLAKHKHHSRHSSQVSTVDEGFSDQEETSGVSHVDSDIESSISYQHPPHLVMPIFLSKSSMLDVDAVVAELVVRNVEYDLLMHEVGVMERRYCESSRKSELQKSLLEVLESERIVLLNENASLSYRINTLVEENKELATESVFIKRKAGELAKCVLKMREDHRVYMLHRKIEDLQAQIHGLEKRNKEYYEKLLRRDGQDGNKGKSGEGIALEVCVQMDKFRRFKWKDGNSSRKRFDGKKSPSLWKKLKNMDLLLCGTNPTCA >Vigun04g041600.3.v1.2 pep primary_assembly:ASM411807v1:4:3616266:3620420:1 gene:Vigun04g041600.v1.2 transcript:Vigun04g041600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDPNMGKEKVISNFTLLHSSSTKGSKDKSIPSNNMPSWLLTNISELEERMKVLTMSTTEEEEIGDTFAERAESYYQKRPQLLSLLQDLYNGYITLSDRYIQTLAKHKHHSRHSSQVSTVDEGFSDQEETSGVSHVDSDIESSISYQHPPHLVMPIFLSKSSMLDVDAVVAELVVRNVEYDLLMHEVGVMERRYCESSRKSELQKSLLEVLESERIVLLNENASLSYRINTLVEENKELATESVFIKRKAGELAKCVLKMREDHRVYMLHRKIEDLQAQIHGLEKRNKEYYEKLLRRDGQDGNKGKSGEGIALEVCVQMDKFRRFKWKDGNSSRKRFDGKKSPSLWKKLKNMDLLLCGTNPTCA >Vigun04g041600.1.v1.2 pep primary_assembly:ASM411807v1:4:3615895:3620420:1 gene:Vigun04g041600.v1.2 transcript:Vigun04g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDPNMGKEKVISNFTLLHSSSTKGSKDKSIPSNNMPSWLLTNISELEERMKVLTMSTTEEEEIGDTFAERAESYYQKRPQLLSLLQDLYNGYITLSDRYIQTLAKHKHHSRHSSQVSTVDEGFSDQEETSGVSHVDSDIESSISYQHPPHLVMPIFLSKSSMLDVDAVVAELVVRNVEYDLLMHEVGVMERRYCESSRKSELQKSLLEVLESERIVLLNENASLSYRINTLVEENKELATESVFIKRKAGELAKCVLKMREDHRVYMLHRKIEDLQAQIHGLEKRNKEYYEKLLRRDGQDGNKGKSGEGIALEVCVQMDKFRRFKWKDGNSSRKRFDGKKSPSLWKKLKNMDLLLCGTNPTCA >Vigun04g041600.2.v1.2 pep primary_assembly:ASM411807v1:4:3617642:3620420:1 gene:Vigun04g041600.v1.2 transcript:Vigun04g041600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCKPELEERMKVLTMSTTEEEEIGDTFAERAESYYQKRPQLLSLLQDLYNGYITLSDRYIQTLAKHKHHSRHSSQVSTVDEGFSDQEETSGVSHVDSDIESSISYQHPPHLVMPIFLSKSSMLDVDAVVAELVVRNVEYDLLMHEVGVMERRYCESSRKSELQKSLLEVLESERIVLLNENASLSYRINTLVEENKELATESVFIKRKAGELAKCVLKMREDHRVYMLHRKIEDLQAQIHGLEKRNKEYYEKLLRRDGQDGNKGKSGEGIALEVCVQMDKFRRFKWKDGNSSRKRFDGKKSPSLWKKLKNMDLLLCGTNPTCA >Vigun03g410500.1.v1.2 pep primary_assembly:ASM411807v1:3:61837959:61840763:-1 gene:Vigun03g410500.v1.2 transcript:Vigun03g410500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAPKAMKSEGIIPSEVPVVDFSGERAEVAKVIVKACEEYGFFKVINHGISNEVIAKTEEAGFGFFGKPMLEKKMAAPAYGCKNIGVNGDMGEVEYLLLSATTDSIAHISKTISTDPLNLRKRLSAYTEAVKELACEILEVMAEELGVPDTRVFSRLIRDGESDSVLRLNHYPPIINRDKDKSSQITKVGFGEHSDPQILTILRSNDVGGLQISLPDGVWIPVTPDPSAFYVNVGDVLQVMTNGRFVSVRHRAMTNSHKCRMSVAYFGAPPLHQTIVAPSVMVTPQSPSLFRPFTWAEYKKATYSLRLGDTRLQLFRNCKR >Vigun04g140000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34751869:34753765:1 gene:Vigun04g140000.v1.2 transcript:Vigun04g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYFLKSFYLLLLLSLLASGISVTLKNSSESGEAKCIERERQALLNFRVGLKDPGMLSTWTSHHNNADRCKWKRIHCNHQTGHVQLLDLRGTTHYTQLRGAINVTSAAIMILLGLTSQTSWTFLRLVSGRKTSCHG >Vigun04g052501.1.v1.2 pep primary_assembly:ASM411807v1:4:4858835:4859106:-1 gene:Vigun04g052501.v1.2 transcript:Vigun04g052501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKVIIDQIPQKPSFAYGVSLMRMLVTEDSKPTRLSEQSIAALGHHLPNI >Vigun09g053700.1.v1.2 pep primary_assembly:ASM411807v1:9:5354455:5357493:-1 gene:Vigun09g053700.v1.2 transcript:Vigun09g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSNAPGAYYSTLHDSITSLCKTILPLGLKKRCLVSAEHRLWKLQSDNLKWQQDSLHQILNLLALHRDDILSEDEVSAFRVHLLDTLLASPPPEQDHASIIKDKLMFLQELLHAKCISEGEYQSSRRPLLVRLAVQGGEIAGLTDTKENPEEEWSDIDLKDDQSLMNKEKSSSKKTSNQTRKQVMKGATSVLSFGSPFKAGKNSMEKSIFNSPTLHMHSAQSKVSSPSIFTQSELTHPKENKPFRDGSEKMKRKPFRALFHRDKNKKEGHGSGGDHHGLETEKSAKKQWGFDGLRKGKKSDTDDDTVPLSLNERSDSEAYSPSCQHFSRIHGEVSLMNKLQPHQSPSAFSVDDKVLGDNVKKELSRIPTEMRSTNTNLNFSPRCDWHSEEEVKKQLKNSVVQMENRHNDAEVKHENSMGWTTFEDEENLHPNLFVDHDKSLRSLSNNPFLLHG >Vigun09g094600.1.v1.2 pep primary_assembly:ASM411807v1:9:13828381:13832601:-1 gene:Vigun09g094600.v1.2 transcript:Vigun09g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDSSEQDLDDPDMEFVEVDPSGRYGRYKEVLGKGAFKKVYRAFDELEGIEVAWNQIKLADVLRNSEDFERLYSEVHLLKTLKHKNIIKFYNSWIDSKSENINFITEIFTSGTLRQYRKKHKHVDLRAVKKWSKQILEGLYYLHSNNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQANSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECANAAQIYKKVTSGIKPASLAKVTDPDVKAFIEKCIANVSERLSAKDLLLDPFLQSDYDNESVARSSKAQTHHLANISHNQAIVVDTSTDASREFTVEGQRRDNNTIFLKLRIADSSGHIRNIHFPFDIEADTSTSVASEMVEELELTDQDVTTIARMIDSEIRFHIPSWNVSEIPVDCYSQDSDYTSESRPEASPMKHDSVSSPGSLALEILPSGRKFWSDSPRGVGGNSPFRAGTSKFFSPADANADEGSLAANGDISADDRVGDFCEEIVDSPSSEKSIKSGATSEKSAVNEISGSVKDSEAEYINLIATKLESLLVKQREELDELKRKHELAVSDLLKELSPEISQKVLNICNLQMHGGEVQGEARPSFI >Vigun06g008501.1.v1.2 pep primary_assembly:ASM411807v1:6:4120660:4121134:1 gene:Vigun06g008501.v1.2 transcript:Vigun06g008501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRQRKCSPEAGNKGKKTKVVKNDDQVRIVHRCESKYIVEVNNVLKDTHRKRIQATPFRWCLEVDNALEINCPLLIV >Vigun02g106900.1.v1.2 pep primary_assembly:ASM411807v1:2:26077543:26082129:1 gene:Vigun02g106900.v1.2 transcript:Vigun02g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLWKEKMLVRFIRNGLGEALVHYYPLAGRLREGPNRKLMVDCNGEGILLVEAEAHVSLKELGNEILPPCPYMKDFLLDVPGSRGILGSPLLLVQVTRLTCGGFVFAARMNHTICDSLGLVQFLTMVGEIARGASITHFPVWQRELFSARNPPRITCAHHEYETQHCHKETWDTHQMDHRSFFFGPREIATLRNHLPNHLKNSSTFEVLSACLWKCRTIALGLKPNESVGLSPFITARGKLGLQVPNGYYGNAFAFPMALSRAGPLCQNPLGYALELVKMAKAQMGAEYVSSVADLMVLKGRPMYRTEGNYLIGDTTQVGFYEVDFGWGSPIYGGPAGAIPFVSFYGRFRNSEGEDVIVVPILLPHHVMRSFLSELVKITNKDPMDLSDENMPNRSML >Vigun07g254501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37277246:37280245:-1 gene:Vigun07g254501.v1.2 transcript:Vigun07g254501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVSVPLILPNPPPCTPQPTPTPSNATFPKNLTLTPLLQDLFFNQKPSSPAPLHVTTSTHPTPRLSPQANRILQTLIHPSFDSNRFHLIFPPLFHQPASSSLSSDILGIIKALGFNNKFDLALSVFDFIRTRNDLVSLLNGSVIAVIVTLLGKTGRVSHAASLLHDLETDGVEVDVYGYTSLITAYANNRKYRDALKVFGKMKEVGCEPTLITYNAILNVYGKMGVPWTKIIALVQDMKCRGLAPDLCTYNTLISCCRAGSLYEEALDLFEEIKVAGFTPDVVTYNVLLDVYGKSRRPKEAIEVLKQMEMDGFRPSVVTYNSLVSAYVRGGLLEDALDLKRKMVKKGIKPDVYTYTTLLSGFVKAGKDELAMEVFGEMREVGCKPNICTFNALIKMYGDRGKFEEMERVFKEIKVCKCSPDIVTWNTLLAVFGQNGMDSEVSGVFEEMKRAGFVPERDTFNTLISAYGRCGSFDQAMSVYKRMLEAGVSPDLSTYNAVLATLARGGLWEQSEKVLAEMKDGGCKPNEATYSSLLHAYANGREVERMKALAEEIYSGRIKTHTVLLNTLVLVNSKVDLVEETERAFLEFRKRGILPDITTINAMLSIYGRRNKKGSKANEILNYMYESGLTLSLTTYNSLMYGYSRSENFKKSEQILREILHKGIEPNVVSYNVVIYAYCRNGMMEEAKRIFEEMKDPAPAPDVVTYNTFIATYAADSMFVEAIDVVRYMIKQGCRPNQNTYNSIVDWYCKLKLRDEACNFVQDLGNLDPHIAEEEKTRLLERIAKKWSQVKP >Vigun07g149000.1.v1.2 pep primary_assembly:ASM411807v1:7:25978589:25981333:1 gene:Vigun07g149000.v1.2 transcript:Vigun07g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPEGERDRERAMALEAVVFPQSQDPFGGYGSVKDFYNCTFLAATSPNWGDYEHININIDKEEEQGSISTFLDNNNNNPYGGDWTSSSSSILPHFNELQETTDPSNTTLTTRPKRRRAKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPDSYIQRGDQASIIGGAINFVKELEQRLHFLGAQKEGEAKSDAGGATNMPFSEFFAFPQYSTSGGGGGSENSATVGEDVGEVKSGIADIEVTMVESHANLKIRSKKRPKQLLKLVSGLHTMRLTILHLNVTTTGEVVLYSLSVKVEDDCKLGSVDDIAEAVYQTLERIEQEAVLN >VigunL061127.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:48200:48403:-1 gene:VigunL061127.v1.2 transcript:VigunL061127.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun04g016500.2.v1.2 pep primary_assembly:ASM411807v1:4:1198803:1201878:-1 gene:Vigun04g016500.v1.2 transcript:Vigun04g016500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAERAKIMLKWAQLIEQNVEEIAALDTIDGGKLFSWCKAVDVPEACNILRYYAGAADKIHGNVFKTSRDLHLYSLMEPVGVVGHIIPWNFPTVMFFAKVAPALAAGCTMVIKPAEQTPLSALFYAHLAKLGGIPDGVLNVVPGFGSTAGAAISSHMDIDAVSFTGSTETGRKIMQAAALSNLKPVSLELGGKSPVLIFDDADIDKAVDLALFGILHNKGEICVAFSRVFVQEGIYDEFEKRVVEKAKTWVVGDPFDPKVQQGPQTSKTQFDKILSYIDHGKSEGATLLTGGKPVGNKGYYIEPTIFINVKEEMLIEQEEIFGPVMTLSKFKTIDDAIKKANSSKYGLAAGIVTKNLDIANTVSRSIRAGIIWINCFFAFDIDCPFGGYKMSGFGRDYGLEALHKYLQVKSVATPIYNSAWL >Vigun04g016500.1.v1.2 pep primary_assembly:ASM411807v1:4:1198803:1201878:-1 gene:Vigun04g016500.v1.2 transcript:Vigun04g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATYPTKLAYNFKWTNMATPTVKFTKLFINGEFVDSVSGKTFETVDPRTEEVIAEIAEATKEDVDIAVKAAREAFDCGPWPRMPGAERAKIMLKWAQLIEQNVEEIAALDTIDGGKLFSWCKAVDVPEACNILRYYAGAADKIHGNVFKTSRDLHLYSLMEPVGVVGHIIPWNFPTVMFFAKVAPALAAGCTMVIKPAEQTPLSALFYAHLAKLGGIPDGVLNVVPGFGSTAGAAISSHMDIDAVSFTGSTETGRKIMQAAALSNLKPVSLELGGKSPVLIFDDADIDKAVDLALFGILHNKGEICVAFSRVFVQEGIYDEFEKRVVEKAKTWVVGDPFDPKVQQGPQTSKTQFDKILSYIDHGKSEGATLLTGGKPVGNKGYYIEPTIFINVKEEMLIEQEEIFGPVMTLSKFKTIDDAIKKANSSKYGLAAGIVTKNLDIANTVSRSIRAGIIWINCFFAFDIDCPFGGYKMSGFGRDYGLEALHKYLQVKSVATPIYNSAWL >Vigun03g315700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50981074:50981794:1 gene:Vigun03g315700.v1.2 transcript:Vigun03g315700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVEEAMKRKKQMQQEGQNQQQQVQKQIQCNKGKAGKFKRSSSNLEEDGASSAILFLACIACAPSFA >Vigun08g109400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27171422:27172910:-1 gene:Vigun08g109400.v1.2 transcript:Vigun08g109400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAPKLLLCGCTCCMPKSCLSNKRNFSSNASVLVFRETNTNTVLQKPSRKLHSLGGMLKYRSVETYSVLQASCLQHWFKNWQALRRNKLTASTFAAAIGFWRRQRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVLFPEFQVYDSHPQDSWLAASPDGVIDSLVHELPSRGVLEIKCPYFSGDLNKAFPWSRIPIHYIPQAQGLMEILGRDWMDFYVWTPNGSSLFRLHRDAEYWDVMKIALYDFWWKHVHPARELYSSNVLRSPLFQLRTVRPAPRHELCRDIVNKSKHIAANSKLLMREIHGKLIN >Vigun02g135000.1.v1.2 pep primary_assembly:ASM411807v1:2:28493282:28500867:1 gene:Vigun02g135000.v1.2 transcript:Vigun02g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLSGLRSLMATHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALVTKNEALLWTDGRYFLQASQELSAQWKLMRIGEDPAVDIWIADNLPKEAFIGVDPWCISIDTAQRWERAFSEKHQKLVQTTKNLVDEVWINRPQAEINAVIVHPLKFAGRSVADKLKDLRKRLLQEQARGIIFTALDEVAWLYNIRGSDVAYCPVVHAFAIVTANSAFIYVDKRKVSVEVQAHLVENEIEIRDYTAVSSDVTLLATDDLDTVSTVKRVNGGHQAEKNSNNLIWADSGSCCYALYAKLNPDTVLLQQSPLALAKALKNPVELDGLKKAHIRDGAAVVQYLVWLDKKMQDVYGASGYFLEKESAKKEKQLQSLKLTEVTVSDQLESFRASKENFKGLSFPTISSVGPNAAIIHYGPKAEACAELDPDKIYLFDSGAQYLDGTTDITRTVHFGKPSTHEKACYTAVLKGHIALGNARFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQSSMTVTDEPGYYEDGEFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWAPYQSKLIDLKLLSPEEINWVNSYHSTCRDILAPYLDEVEKGWLKKATEPIGA >Vigun02g101700.7.v1.2 pep primary_assembly:ASM411807v1:2:25642238:25644848:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQKGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.8.v1.2 pep primary_assembly:ASM411807v1:2:25642238:25644848:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.5.v1.2 pep primary_assembly:ASM411807v1:2:25642238:25645015:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.9.v1.2 pep primary_assembly:ASM411807v1:2:25642238:25644848:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.6.v1.2 pep primary_assembly:ASM411807v1:2:25642238:25645015:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.1.v1.2 pep primary_assembly:ASM411807v1:2:25641866:25645029:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQKGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.4.v1.2 pep primary_assembly:ASM411807v1:2:25641868:25644873:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQKGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.2.v1.2 pep primary_assembly:ASM411807v1:2:25641868:25645091:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQKGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun02g101700.3.v1.2 pep primary_assembly:ASM411807v1:2:25641888:25645014:-1 gene:Vigun02g101700.v1.2 transcript:Vigun02g101700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSKGMNGDGSECPFDVNDIQRCPFLRNINEPTNFSFFQAKISTPVHGAKGPIFEDGPSFNMAFKLFHGKDGVVPLTDKTDFDSGSAEAAVSLPVFNPLAGKAATISLSTFGPGGTFSFGNFSEKWKKQNNSESSNKKEHSSQKGDVSKHEALGNEWLANGNCPIAKSYRAVGNVLPLVATAFRPPSGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLVIAALGMAVNVPFGMWKEHTAKFSLSWFVAVHAAVPFIAMLRKSVVMPKSAMALTIIASILGQVIGSRAERIRLKTITTEMGKVKTETVCNMEDYSPRKLGDIRANHCSAGGMVLNSSLPVKDTGSSSTAGVCY >Vigun07g249000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36842987:36847262:1 gene:Vigun07g249000.v1.2 transcript:Vigun07g249000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRFPSRNHRSKGIKVKHVLQVLLLLGVCFWLIYQVKHNHDKKKEFEKKDVKLSVSTQTDPIPKLGRKDLLPGKHDEKHDEDEEDERIEEDEENKHDHEEQEDGSKHETEEHEDNKHEGREQGEEQDKQGGEEREEDENKSEDVEDEGRGVGDDEIDENDQEKSEVDTTDRDDEFLDEEKEKEQEADEKENGNGDDEKGGLVENHNNHEAREEHYKGDDASSAVAHDTHATSTETETVSLENSHENSEMNITKSENEATNSDESVENQNVSDLRASEGDVIDGISSNETAVKETGNNTLSNPVDDSSLLNKTTSTNSESHLEPGSNLTVVTNNLTEAGNDTTSSSEQNKTVLLAESDQAQNTTNNTITEDVKIVQTEGLEQSGNGNSEEQLHNTDSADSVKTENGDAAGGESSNLAASNVTENPYRNERSESDSSESGKSQDNNETSETQNADATKDETSKEETQTNETDETSDSSAANETLDSAELDAIDSSDNHIHEDAAEMRTDLDTLPDIRNEVDDESAAE >Vigun10g146900.2.v1.2 pep primary_assembly:ASM411807v1:10:36485815:36489763:-1 gene:Vigun10g146900.v1.2 transcript:Vigun10g146900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVGLGGVWNEEEKAMVVEVLGRGAFDYLVANSVSNENLLMALGSGENLQNKLSDLVERPNASNFSWNYAIFWQISQSKFGDWVLGWGDGCCREPREGEESGEVRGRGVVGDDEKVQRMRKRVLQKLHMTFGGSDEDNYAFGLDRVTDTEMFFLASMYFSFPRGLGGPGKCFASGKHLWLSDVFKSSFDYCVRSFLAKSAGIQTVVLVPTDMGVVEMGSVRMVGESFELLHALKSVFSAQASLVPPRVKPIAPFDLVSEKRDENANALFSGVAIGDKEKNNSSNGSNNRVEGNGVPKIFGQDLNSVTQFREKLAVRKMEERPRAWGGHPNGNSIGFPNGIHGSSWGAGQVMRQLGPPEILAPRLSTSGALSVPELANGTRHDFVHNNYQQQRPAQMQIDFSGATSRASGRSMIAESEISDVEASCKEERASVADDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKSMESERERFGSTSSMDGSVMEANARSENHSNGVSDVDVEAAQDGVVVKLNLRDLIS >Vigun10g146900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36485815:36489763:-1 gene:Vigun10g146900.v1.2 transcript:Vigun10g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVGLGGVWNEEEKAMVVEVLGRGAFDYLVANSVSNENLLMALGSGENLQNKLSDLVERPNASNFSWNYAIFWQISQSKFGDWVLGWGDGCCREPREGEESGEVRGRGVVGDDEKVQRMRKRVLQKLHMTFGGSDEDNYAFGLDRVTDTEMFFLASMYFSFPRGLGGPGKCFASGKHLWLSDVFKSSFDYCVRSFLAKSAGIQTVVLVPTDMGVVEMGSVRMVGESFELLHALKSVFSAQASLVPPRVKPIAPFDLVSEKRDENANALFSGVAIGDKEKNNSSNGSNNRVEGNGVPKIFGQDLNSVTQFREKLAVRKMEERPRAWGGHPNGNSIGFPNGIHGSSWGAGQVMRQLGPPEILAPRLSTSGALSVPELANGTRHDFVHNNYQQQRPAQMQIDFSGATSRASGRSMIAESEISDVEASCKEERASVADDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKSMESERERFGSTSSMDGSVMEANARSENHSNGVSDVDVEAAQDGVVVKVSCPIDFHPISKVIQTLKEAEIGVVDSKLTAANDTVFHTFVVKSEGPDQLTKEKLIALFSKESNSIQTL >Vigun11g086900.1.v1.2 pep primary_assembly:ASM411807v1:11:25946397:25948754:-1 gene:Vigun11g086900.v1.2 transcript:Vigun11g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINKSFFVIVSILSLFAFSSNAQLSSTFYARTCPNLQAIVRRTMIQAIRTEARIGASILRLFFHDCFVQGCDASILLDDTATFTGEKNAFPNRNSARGFEVIDTIKTNVEAACNATVSCADILALATRDGIVLLGGPSWTVPLGRRDSRTANQNAANTEIPSPASDLATLITMFAAKGLTARDLTVLSGGHTIGQAQCQFFRTRIYNETNIDPNFATTRRANCPNSGGNTNLAPLDTVTPTRFDNNYYTDLVNQRGLLHSDQVLFNGGSQDALVRTYSANSAAFFRDFAAAMVKMGNISPLTGTNGEIRRNCRVLN >Vigun10g009500.6.v1.2 pep primary_assembly:ASM411807v1:10:923888:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMSAYYLEHASVDHVQKHFDFFEEEARTLLSSGFAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.1.v1.2 pep primary_assembly:ASM411807v1:10:917072:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILALPLVISLLKPHATTRLCLSHSLLRRRHFATTVSATTTPHSPPPPPPSPSLSRHSSASTQSDNRSANPPTLTFQQAIQRLQEYWASVGCSIMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLTALGIDVTAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPVSVEITYGLERILMLLQGVDHFKKIKYSDGITYGELFLENEKEMSAYYLEHASVDHVQKHFDFFEEEARTLLSSGFAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.5.v1.2 pep primary_assembly:ASM411807v1:10:917072:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILALPLVISLLKPHATTRLCLSHSLLRRRHFATTVSATTTPHSPPPPPPSPSLSRHSSASTQSDNRSANPPTLTFQQAIQRLQEYWASVGCSIMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLTALGIDVTAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPVSVEITYGLERILMLLQGVDHFKKIKYSDGITYGELFLENEKEMSAYYLEHASVDHVQKHFDFFEEEARTLLSSGFAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVSLHVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.2.v1.2 pep primary_assembly:ASM411807v1:10:917072:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLTALGIDVTAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPVSVEITYGLERILMLLQGVDHFKKIKYSDGITYGELFLENEKEMSAYYLEHASVDHVQKHFDFFEEEARTLLSSGFAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.7.v1.2 pep primary_assembly:ASM411807v1:10:923888:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.3.v1.2 pep primary_assembly:ASM411807v1:10:923227:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYYLEHASVDHVQKHFDFFEEEARTLLSSGFAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g009500.4.v1.2 pep primary_assembly:ASM411807v1:10:923227:941092:1 gene:Vigun10g009500.v1.2 transcript:Vigun10g009500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLARQCAQLWLKTREMLGFPLGFISEPDHFVLPKGVLEAACGKVHDHSRVFVLEIGTEEIPPQDVVDASNQLKDLMLQLLERQRLNHGEVQAFATPRRLVVAVENLYTKQAEKEVEVRGPPVSKAFDHEGNPTKAIEGFCRRYSVPLDLVYRKVDGKTEYVYARVKESSRYALEVLSEDLPAAIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFTFAGVTSGNMSCGLRNTSSAVVQVEKAESYSVAINNAGIKVSVEDRKKIIFEQSNALAKGVNGQILIPKGLLDEVVNLVEAPFPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFVAVANGVIDETTVRKGNEAVLRARYEDAKFFYEMDTRKRFTEFRKQLKNILFHEKLGTMLDKMTRVENMVAELSCILDISEDVQDIIRDAASLAMSDLSTAVVTEFTSLSGIMGRHYALRDGYSEQIAEALFEITLPRFSGDTLPESDAGIVLAIADRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVEKNKNLDFKKALELAAEVQPIKVDPHVIDDVLQFVTRRLEQFLVDKGVNAEFVRSILVERANFPCLAAKSAYKMEKLSRGNLFPKVVEAYSRPTRIVRGKEDELHTEVDEAAFVTNEERVLWNTFLSVKKTIHPGLDIDDFVETSCQLIQPLEDFFNNVFVMVDDDKIRVNRLTLLKGIADLPKGIADLTILPGF >Vigun10g160900.1.v1.2 pep primary_assembly:ASM411807v1:10:37979041:37986796:1 gene:Vigun10g160900.v1.2 transcript:Vigun10g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTLLDYAVFQLSPRRSRCELLVSSDGNTEKLASGLVKPFLTNLKVAEEQVALAASSIKLEIDRHKNAEAWFTKGTLERFVRFVSTPEVLEMVNTYDAEMSQLEAARRIYSQGAGDQRSDPQGGNGVGAITVADATTKELLRAIDVRLSAVRQDLTTACARASASGFNPHTITHLKHFANRFGAHKLNEACTKYMSLYERRPDLISHWPGGDDRELRSSISSDMSIDNDDGPNQAQAQVQDQLSDPPKPKSFSNFASLRRSNTSVNSRDDTNDAPAKEETESPASATAASTAPAARRLSVQDRINLFENKQKENSSGKPPELRRFSSDVLRRWSGASDMSIDISGEKKESDSPLSSSVSQTKSLLSEEKDRNDNKSEKFGKTDQGSFEETGKVSVFDEDMNGGFKDQVGGGGVPEAVAAKKGSSEVVVGGSMLSSGDEDVKVYGGVKNHVVAPSLIRGPRSHSRSLSAQFEGGNGLKMRDVSVRVDQSSQIEVEDSSSFPNKDEDSQIPRMKFQKSQQSSMTQGKRDETNRSAHEFSKMKQVLETQDNARPSSTPPLEQQHQRVRQAKGNQGLHDDLKMKADELEKLFAEHKLRVPGDQSGSARRVEPADAHIEQSQYRKAGVGESTPQLPSRSNVTEVTASSSSLASFDAKSVAKTVDSHNSGDALRHSFSDLNLSEDSRGKFYEKYMKKRNAKLREDWSMNRAEKEARMKAMQDSLEMSRAEMKAKFSGSSNKQDLASGAHRAEKLRYFKSNIKREQHPIDSLQNEDDEYVSEFSEEKTYGASRQSRKNFPNRHTSSVTPRTTAVSVSRSSGRRRDNPLAQSVPNFSDLRKENTKPSSGVGKTTRSQVRTYSRSKSTTEDMQGVKEEKSRQAQSLRKSSANPAEFKDLSPLNPDGIVLSPLKFDMDETDLGPYDQSPRSFLKKGNNIGSGSVGNAIRMKASMATDTQKNKEFDDLEFDEDDSLQMGTEEQDDIETMVIKDIAYNNNGKVSLSQESGKSGNSGSEIGDSTRSFAQVDPISGGEMASGFPSTFNGVRSVQDSPVGSPVSWNSRVPHPFSYPHESSDIDASVDSPIGSPASWNSHSLNQGDNDAARMRKKWGSAQKPFLVANSSQNQPRKDVTKGFKRLLKFGRKNRGSEGLPDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFSHGHPSDDSFNENELFNEQVQSLQSSIPAPPAHFKLRDDHMSGSSLKAPKSFFSLSTFRSKGSDSKTR >Vigun02g083000.1.v1.2 pep primary_assembly:ASM411807v1:2:23645234:23647800:-1 gene:Vigun02g083000.v1.2 transcript:Vigun02g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSENSSTFFDHDFQCDGADDFRILHSWNHRNLDSGYEDGGGSEELACTLPQSEETVRAMVAREREHFPRVDYLQRLRTGKLELGVRRDALDWIWKAHAYFGLGPLSFCLAVNYLDRFLSVFDLPKGVSWTVQLLAVACLSIAAKMDEIRVPQSVDLQVGEPKFVFEAKTIQKMELLVLSKLRWKMCALTPYSFIEYFLSKLTCEKHPTKSSIAISVQLILRIISGIDFLEFRPSEIAAAVAISVLGESQAKDIDKSIAELFIVEKDRVLKCVELIRDLSLNSVAGSVGTKVPQSPMGVMDAAGCLSYKSDELTVGSCLNSSEDSPSPNTKSSKAEGPCNEPPSDEM >Vigun01g109600.1.v1.2 pep primary_assembly:ASM411807v1:1:28296881:28302788:-1 gene:Vigun01g109600.v1.2 transcript:Vigun01g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEARVDRFPIGPSDILGRTIAFRVLFCKSMSHFKHQIFRVLLDLFYRFRGGLVSFISWLHPRNPQGILAMMTIIAFLLKRYTNVKVRAEMAYRRKFWRNMMRSALTYEEWAHAAKMLDRETTKMNESDLYDVELVRNKLQELRHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLQVPRLIKEYIDEVTTQLKMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGASHVGVVKTLVEHKLLPRIIAGSSVGSIMCAVVATRTWPELQSFFEDSWHSLQFFDQMGGIFTVVKRVATFGAVHEIRQLQMMLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIIPYHPPFNLGPEEGSTPARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKAFVRTHGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYTKIIQNPSYGELQKAANQGRRCTWEKLSAIKANCGIELALDECVVILNHMRRLRRIAERAATASHATTTASHGLSSTVRFSASRRIPSWNCIARENSTGSLEDLIDVSSSLHQSISSSSNVASGKTWKTHRGIHEGSDSDSESVDLNSWTRSGGPLMRTTSANMFIDFLQNLEVDTEPNKGLVSHANANDFQYRSPRLTTLDRNPDSTESELREINNRVVNGSRILVSAGDFLQPERIHNGIVFNVVKKEDVTPLNRSHDFENYNNEVAECVQDECPGKEMDAASSSSEHGDDESTPATSLTAPSPDYTSVNHHSGTDSSMDQSIVDG >Vigun02g189900.1.v1.2 pep primary_assembly:ASM411807v1:2:32767065:32771342:-1 gene:Vigun02g189900.v1.2 transcript:Vigun02g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSVAPSVDTNTTKEVPATKNQQNQISEITHVFKSKLPDIPISNHLPLHAYCFENLSKFADRPCLIVGSAAKTYTYAETHLISRKIAAGFSNLGIRKGDVVMILLQNSAEFVLSFLAASMIGAVATTANPFYTAAEIFKQFTASKAKLIITQAMYVDKLRNHDAGWTLGEDFKVVTVDDPPENCLHFSVLSEADESDVPEVEIHPDDAVALPFSSGTTGLPKGVILTHKSLTTSVAQQVDGENPNLYLTTDDVLLCVLPLFHIFSLNSVLLCALRAGSGVLLMQKFEIGTLLELIQRYRVSVAMVVPPLVLALAKNPMVANFDLSSIRLVLSGAAPLGKELEEALHSRVPHAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVVDPETDRSLGYNQPGEICIRGLQIMKGYLNDDQATALTIDAEGWLHTGDVGYVDDDDEIFIVDRVKELIKFKGFQVPPAELEGLLVSHPSIADAAVVPQKDVAAGEVPVAFVVRSNGFDLTEEAVKEFIAKQVVFYKRLHKVYFVHAIPKSPSGKILRKDLRAKLEAAAAATPTA >Vigun09g230300.3.v1.2 pep primary_assembly:ASM411807v1:9:40193565:40213256:1 gene:Vigun09g230300.v1.2 transcript:Vigun09g230300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDYRGLLLLLLLFLLSSNSATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPNLRLKPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQGYILGNPITTSTEKNYKIPFNHGMALISDELYESLQRNCRGEYRNIDPTNTFCARDMQSYEESISGIQVGHVLEPHCEESALRNPIQDTWSSRRSLAHESYPLTMPPLYCRTHAYVLSSYWANDDNVRKALHIRKGTKGKWKRCNYDIPVKIDISNSFQYHVNLSRKGYRSLIYSGDHDMLVPFLSTQAWVRALNYSIVSDWR >Vigun09g230300.2.v1.2 pep primary_assembly:ASM411807v1:9:40193374:40213329:1 gene:Vigun09g230300.v1.2 transcript:Vigun09g230300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDYRGLLLLLLLFLLSSNSATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPNLRLKPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQGYILGNPITTSTEKNYKIPFNHGMALISDELYESLQRNCRGEYRNIDPTNTFCARDMQSYEESISGIQVGHVLEPHCEESALRNPIQDTWSSRRSLAHESYPLTMPPLYCRTHAYVLSSYWANDDNVRKALHIRKGTKGKWKRCNYDIPFKTDISNSFQYHVNLSRKGYRSLIYSGDHDMVVPFLSTQAWVRALNYSIVSDWRQWYYNGQVAGYTRTYSNRMTFATVKGGGHTAPESKPEECLAMFMRWISNKPL >Vigun09g230300.4.v1.2 pep primary_assembly:ASM411807v1:9:40193565:40212408:1 gene:Vigun09g230300.v1.2 transcript:Vigun09g230300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDYRGLLLLLLLFLLSSNSATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPNLRLKPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQGYILGNPITTSTEKNYKIPFNHGMALISDELYESLQRNCRGEYRNIDPTNTFCARDMQSYEESISGIQVGHVLEPHCEESALRNPIQDTWSSRRSLAHESYPLTMPPLYCRTHAYVLSSYWANDDNVRKALHIRKGTKGKWKRCNYDIPVKIDISNSFQYHVNLSRKGYRSLIYRSTLISKYYMHFFSC >Vigun09g230300.1.v1.2 pep primary_assembly:ASM411807v1:9:40193374:40199031:1 gene:Vigun09g230300.v1.2 transcript:Vigun09g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDYRGLLLLLLLFLLSSNSATSHSIVRFLPGFHGPLPFLLQTGYVEVGESEAEESAELFYYFIESENDPKGDPLLLWLTGGPGCSAFSGLVFEIGPLSFENQEYNGSLPNLRLKPQSWTKVSSIIFVDLPAGTGFSYPKTELAVNQNVSKLVRHAHQFLRKWLIDHPEFLSNEVYIAGDSFCGLPIPVLVQEISYGNEGGIQPWINLQGYILGNPITTSTEKNYKIPFNHGMALISDELYESLQRNCRGEYRNIDPTNTFCARDMQSYEESISGIQVGHVLEPHCEESALRNPIQDTWSSRRSLAHESYPLTMPPLYCRTHAYVLSSYWANDDNVRKALHIRKGTKGKWKRCNYDIPFKTDISNSFQYHVNLSRKGYRSLIYSGDHDMVVPFLSTQAWVRALNYSIVSDWRQWYYNGQVAGYTRTYSNRMTFATVKGGGHTAPEYKPEECLAMFMRWISNKPL >Vigun07g226266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34839481:34840706:1 gene:Vigun07g226266.v1.2 transcript:Vigun07g226266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRADSNVLFLVPFTLLFLIAIPQLCLCANSTSDHPMEERKALESLKGSFSNSSMFVSWEGNDHCQWKGVQCDAITGHVVKLVLHWQKTCSYRRIGFVRTCSTQNSNGVHPSILELRHLNYLELTGISFGNGPIPAFLASMNQLTHLNLSDCDFMGKVPNQLGNLSNLQVLDFSGNPLDVDDLAWLSKLSSLKHLAMKGVGLGGAYDLLQVLDTLPFLLQVEFCGCDLTNLNFPAGHVNYISCQCSSSESCSKFTF >Vigun01g144800.5.v1.2 pep primary_assembly:ASM411807v1:1:32681403:32686945:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.2.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVLCTKQREFTKRL >Vigun01g144800.9.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.3.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVLCTKQREFTKRL >Vigun01g144800.6.v1.2 pep primary_assembly:ASM411807v1:1:32681785:32686945:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.10.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.1.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.8.v1.2 pep primary_assembly:ASM411807v1:1:32681785:32686945:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVLCTKQREFTKRL >Vigun01g144800.4.v1.2 pep primary_assembly:ASM411807v1:1:32681363:32687074:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVCLSKSKAIKLYSATRCHAIGTMYEAKGVHEEALKAFRDALNIDPGHVPSLISTAVVLRRCSNGSNPAIRSFLMDALRHDRYNASAWYNLGIFHKDEGTMIEAAECFETANFLEESAPVEPFR >Vigun01g144800.7.v1.2 pep primary_assembly:ASM411807v1:1:32681403:32686945:1 gene:Vigun01g144800.v1.2 transcript:Vigun01g144800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKQGRRLQKMMKCLCVREPVKGEDETIPSSESLGIREFYSSTASGRSGLDGEIEMLGSDSGKIDETELSLRESGILDNEEASALLGRDEYHKGNIEAALRVYEKINIGAVTSKMKISLAKSRERPKKHSYYYATPPMSMYTVGLLLEAIFLKAKCLQLLGRFKESAQTCKVILDTVESSFPEGLPQNFGNEGKLQETLSKVVELLPDLWKLADSSRDVILSYRRALLHKWNLDAKTIARIQKEFVVFLLYSGGEAIPSNLRSHMDNSFVPRNNLEEAILLLMILLRKITLKKLEWDPSILDHLAFALSVSGDLTALANQWEELLPGTVNRRERYQALSLCYYGAGKDLVALNLLRKLLSKEDPTHVPSLLIASKICCENPDLAKDGASLARKVLENLDGRCNQLEGLSSCLLGVSLSTHSKFCISNSERVERQSEALHSLETAHKVTGMSNPLVIYSLSLEYAEQRKLEAALHYAKCFLNLEAGSSVKGWLLLARILSAQKQFLDAESILNEALDQTGIWDQGELLRTKAKLQIAQGQLKTAIETYTHLLAILLVQRKTFGSRKTLYKDYIDHARNMEIEIWHDLAYVYISLSRWHDAEVLCTKQREFTKRL >Vigun01g233100.1.v1.2 pep primary_assembly:ASM411807v1:1:40501387:40506399:1 gene:Vigun01g233100.v1.2 transcript:Vigun01g233100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANADYTQDATVDFRGHPALSSKTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTSQLHEDTVSSVRNVNNWSGSVWITPILGACIADSYLGRFWTFTLSSLIYVLGMTLLTVAVSLKRLRPTCSNGICNKASTSQIAFFYTALYTMAIGAGGTKPNISTFGADQFDDFNPNEKELKASFFNWWMFTSFLGALIASLGLVYIQENLGWGLGYGIPTAGLMLSLLIFYIGTPIYRHKVRTTNAPARDLVRVPIAAFRNRKLQLPVNPSDLYEHNLHHYVSTGKRQVYHTPTLRFLDKAAIKEGSAGSKRVPLTVTQVEGSKLIFGMALVWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPNFKIPSASLGSFVTLSMLLSVPMYDQFFVPFMRQKTGHPRGITLLQRLGIGFSIQIIAIAIAYVVEVRRMHVISANHVAGPKDIVPMSIFWLMPQYVLIGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKISGRGDKKSWIGDNLNDSHLDYYYGFLLVLSSINLVVFLWVSSRYIYKRESIRVKEGLCVQMEGNPTLDASLSLQV >Vigun03g082500.1.v1.2 pep primary_assembly:ASM411807v1:3:6857676:6862481:-1 gene:Vigun03g082500.v1.2 transcript:Vigun03g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPRPAVVIDNGSGYTKMGFAGNVEPCFIIPTVVAVNESFLNQSKNLSKANWLAQHNAGVMADLDFFIGDEALTKSRCSSTYNLNYPVQHGQVENWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTSPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGASHVVPVADGYVIGSSIKSIPIAGKDVTLFVQNLMRERGENIPPDDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPIKYTRHWRGIKPKTGAPYSCDIGYERFLGPEIFFSPEIYGSDFTTPLPVVIDKCIQSAPIDTRRSLYKNIVLSGGSTMFKDFHKRLQRDLKTIVDTRVHLSEARLNGEIKSQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFTACHTKAEYEEHGASICRTNPVFKGMY >Vigun02g133000.1.v1.2 pep primary_assembly:ASM411807v1:2:28371812:28376928:-1 gene:Vigun02g133000.v1.2 transcript:Vigun02g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEGLPTTTAVAKKEPLDSGLFGKGKYKFWALAAILLLAFWSMFTGTVSLRWSGTLNSLSNDMDSPIHDDLDVLEMEEREKVVRHMWDVYTNSRRIRLPRFWQEAFEAAYEDLTNDVAEVRDAAITEIAKMSVHSIHFDPPPVQSTSAREFSKSLDQADKGKEATLSRRA >Vigun03g366000.2.v1.2 pep primary_assembly:ASM411807v1:3:56925393:56933230:1 gene:Vigun03g366000.v1.2 transcript:Vigun03g366000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSVPLDLRPLNVAAAMAEEPMISPATLTPPTPNSVGELFYQPASSAGTTWCVRPITHANVSPAAAYGFNYGASSFGSRVFAGNALSLGKLVGCNGLEKACNDTSGFSYGAGGVRGGRVVGSGGDQGCGDEGGGGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISVRKDVSFNDLVQKMVDTYGQAVVIKYQLPEEDLDSLVSVSCHDDVENMMEEYDKLVEKSSDGSAKLRVFLFSVSETEVSSGMQLGDLQDTGQKYFDAVNGIGMTDAIGFGGGMNMKGSVASAASTQNSDLSGAETFDSSITGQGDVTGVPLSSPKDNVIAASSDTSANMVSSEASAPVYSGVAVSIPMPVGSRASPTPSHSPYFQNEVELERSVPVALAQQPFGLQQAGIEVSSPSPYYQTCVDPSQEVMNHADYVQVTSQMRFTNPQLVGKTGTVLRQQQFHDNTPGLALHHQVIPGVQMAVAPQSSHAGVRQNVIQPQTLVQSQQHLLDQYHDENTSGVRIIQLPAEPSYNTYQVPASQVSPVIVGGNYGWVQVPSQERVVIPDGVSPQQQATSHEKFRRIEDCSMCQTKLPHAHSDPVVPDERGGGAGPVPDSTPSHYSFPMEENIKAQASNRLMPVAMAPLKEGNAEQGAGIRPRVVGKLEPPDGVHHTETTGLSHNIEPQPEEFNHTGNSFFQEKNALKGRKQSPNDELMGTATLSYLDDVGHQHMVPVENWVKDVLINKPMNNDLSMVDRTSLRTSECMVQGSPNEYTNERTGVVSKSDEIHNWIRQDHLKPIDGRMDTFKIHNSDAYVSNDYSFLPADKPSGNDNLGYSTHKSIEEEVILDNFGRSKLIVDANQNNIAAVLPCSSSYRQNSRSGEHNEATQPPIWGIPGSNPQSNIGNPHTEDAALSSSSPSVRFGDVQDTPNSLFSNQDPWNIQHGTFFPPARQSKTALKKETFSCQDPFAEDPGSFGEQSLEAQLDDSLYQSFKQNLTLEHGRSAKEDQQLQAVAENVAASVLHSRNPSNSDLHSRDVSCCDNIEDASVQNNLMDVNCGHTTQEVWSRQLEKTNCGFTASGFGKLQVIKNCDLEEQTELGSGTFGTVYHGKWRGTDVAIKRITERCFAGKPSEQERMRNDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKSDRNLEKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGLEPYADLHYGAIIGGIVSNTLRPPIPECCDPEWTMLMERCWSSEPSERPTFTEIANELRSIAAKISPKAQNSPKGQTQQLQHSPVQTQLHK >Vigun03g366000.1.v1.2 pep primary_assembly:ASM411807v1:3:56925393:56933230:1 gene:Vigun03g366000.v1.2 transcript:Vigun03g366000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSVPLDLRPLNVAAAMAEEPMISPATLTPPTPNSVGELFYQPASSAGTTWCVRPITHANVSPAAAYGFNYGASSFGSRVFAGNALSLGKLVGCNGLEKACNDTSGFSYGAGGVRGGRVVGSGGDQGCGDEGGGGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISVRKDVSFNDLVQKMVDTYGQAVVIKYQLPEEDLDSLVSVSCHDDVENMMEEYDKLVEKSSDGSAKLRVFLFSVSETEVSSGMQLGDLQDTGQKYFDAVNGIGMTDAIGFGGGMNMKGSVASAASTQNSDLSGAETFDSSITGQGDVTGVPLSSPKDNVIAASSDTSANMVSSEASAPVYSGVAVSIPMPVGSRASPTPSHSPYFQNEVELERSVPVALAQQPFGLQQAGIEVSSPSPYYQTCVDPSQEVMNHADYVQVTSQMRFTNPQLVGKTGTVLRQQQFHDNTPGLALHHQVIPGVQMAVAPQSSHAGVRQNVIQPQTLVQSQQHLLDQYHDENTSGVRIIQLPAEPSYNTYQVPASQVSPVIVGGNYGWVQVPSQERVVIPDGVSPQQQATSHEKFRRIEDCSMCQTKLPHAHSDPVVPDERGGGAGPVPDSTPSHYSFPMEENIKAQASNRLMPVAMAPLKEGNAEQGAGIRPRVVGKLEPPDGVHHTETTGLSHNIEPQPEEFNHTGNSFFQEKNALKGRKQSPNDELMGTATLSYLDDVGHQHMVPVENWVKDVLINKPMNNDLSMVDRTSLRTSECMVQGSPNEYTNERTGVVSKSDEIHNWIRQDHLKPIDGRMDTFKIHNSDAYVSNDYSFLPADKPSGNDNLGYSTHKSIEEEVILDNFGRSKLIVDANQNNIAAVLPCSSSYRQNSRSGEHNEATQPPIWGIPGSNPQSNIGNPHTEDAALSSSSPSVRFGDVQDTPNSLFSNQDPWNIQHGTFFPPARQSKTALKKETFSCQDPFAEDPGSFGEQSLEAQLDDSLYQSFKQNLTLEHGRSAKGSAEDQQLQAVAENVAASVLHSRNPSNSDLHSRDVSCCDNIEDASVQNNLMDVNCGHTTQEVWSRQLEKTNCGFTASGFGKLQVIKNCDLEEQTELGSGTFGTVYHGKWRGTDVAIKRITERCFAGKPSEQERMRNDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKSDRNLEKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGLEPYADLHYGAIIGGIVSNTLRPPIPECCDPEWTMLMERCWSSEPSERPTFTEIANELRSIAAKISPKAQNSPKGQTQQLQHSPVQTQLHK >Vigun03g117100.1.v1.2 pep primary_assembly:ASM411807v1:3:10843418:10847172:1 gene:Vigun03g117100.v1.2 transcript:Vigun03g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETHKHKICMLSSLVLLFTFKFCSCSDTISADKTIRDGELLVSKGKTFALGFFSPGKSKSRYVGIWFNNVQEQTVVWVANRDTPINDTSGVLSINPDGNLVLHHNYTTSPIWSTSVSLTQSNSTNVIAQLSDLANLVLILNDTKTVLWQSFDHPTDTLISYLRIGFDRRANQSWILQSWKTDDDPGTGSYTLELSSTGKPQLFLYHQNLPLWRGGSWNGEIFMGVPNMKRDLLTFNVSFTEDDNLVALSFNPLDKSLITWVVVQQSGFFNVFTWDNQKNQWNRYWSVPINQCDNYGTCGSNGNCDPLNFEEFRCTCLPGFEPKSPHDWYDNRDGSEGCVRKKGVSVCGNGEGFVKLEGLKLPDTSEATAKEGWSLNQCEEDCLRNCSCTAYAVLDVRNGGSGCLAWHGNLIDIQKLSDQGQDLFVRVDAEELANYNKKTKGLRGKTRMAVIVTASAVASIIILSSLYYLWKKKSKDKVMQHLNQLSPGHENDIQCSTHRNLPFFSLKVLMEAVRNFGDENKLGQGGFGSVYKGCLANGQEIAVKRLSEHSGQGTEEFKTEVRLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDEKRRSSLTWDKRFEIILGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFREDEIQARTRRVVGTYGYMAPEYAMDGRYSTKSDVFSFGVLLLEIIAGKRNTDSERGRSSPNLIGHVWMLWTEGRALDIVDSTLAQSYSAALVLRCIQIGLLCVQENAANRPSLSEVVFMLGNETPLSRPQKPAFLLNGDLVESSTSGGDHQ >Vigun05g168900.2.v1.2 pep primary_assembly:ASM411807v1:5:28973465:28977858:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNQTPEGQIARVLVEFLEVAITAVVFLKGVYPSGAFQRRRYRNVVIQRARHPQLRYYIHATVTGLLPFVQKGMVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRLQVGDYCLFSIPTGDWYKQRSRVVDPN >Vigun05g168900.1.v1.2 pep primary_assembly:ASM411807v1:5:28973465:28977857:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNQTPEGQIARVLVEFLEVAITAVVFLKGVYPSGAFQRRRYRNVVIQRARHPQLRYYIHATVTGLLPFVQKGMVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRSFLIKLSNSDSLSKVLPPDCRWEITAYFRSLPETGTSKEAELWIPTDTKQWQQPPLITPIKSMSSEPLCLQLYLEHPSLSESLL >Vigun05g168900.6.v1.2 pep primary_assembly:ASM411807v1:5:28975213:28977857:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNQTPEGQIARVLVEFLEVAITAVVFLKGVYPSGAFQRRRYRNVVIQRARHPQLRYYIHATVTGLLPFVQKGMVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRSFLIKLSNSDSLSKVLPPGKCECNYVCCKEFCDPFDFSLISLWLNATFGLSTMI >Vigun05g168900.5.v1.2 pep primary_assembly:ASM411807v1:5:28973465:28977857:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRSFLIKLSNSDSLSKVLPPDCRWEITAYFRSLPETGTSKEAELWIPTDTKQWQQPPLITPIKSMSSEPLCLQLYLEHPSLSESLL >Vigun05g168900.4.v1.2 pep primary_assembly:ASM411807v1:5:28973465:28977857:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNQTPEGAFQRRRYRNVVIQRARHPQLRYYIHATVTGLLPFVQKGMVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRSFLIKLSNSDSLSKVLPPDCRWEITAYFRSLPETGTSKEAELWIPTDTKQWQQPPLITPIKSMSSEPLCLQLYLEHPSLSESLL >Vigun05g168900.3.v1.2 pep primary_assembly:ASM411807v1:5:28973465:28977858:-1 gene:Vigun05g168900.v1.2 transcript:Vigun05g168900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNQTPEGAFQRRRYRNVVIQRARHPQLRYYIHATVTGLLPFVQKGMVERVAVIFFNADNIPLEKFVFKLAMNQAYGSEVEEVDLEISLRLQVGDYCLFSIPTGDWYKQRSRVVDPN >Vigun03g292100.3.v1.2 pep primary_assembly:ASM411807v1:3:47687129:47690109:1 gene:Vigun03g292100.v1.2 transcript:Vigun03g292100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRYCQVVLKSLKTGPAPEAEPWKQLVLSLEGRIRTHQQFQRLLKLMCSDEDGDLMIDSDVKNVESIVGHGKERAIEDDFDD >Vigun03g292100.1.v1.2 pep primary_assembly:ASM411807v1:3:47687129:47690359:1 gene:Vigun03g292100.v1.2 transcript:Vigun03g292100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRYCQVVLKSLKTGPAPEAEPWKQLVLSLEGRIRTHQQFQRLLKLMCSDEDGDLMIDSDVKNVSKVFVVSTLVESIVGHGKERAIEDDFDD >Vigun03g292100.4.v1.2 pep primary_assembly:ASM411807v1:3:47687129:47692075:1 gene:Vigun03g292100.v1.2 transcript:Vigun03g292100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRYCQVVLKSLKTGPAPEAEPWKQLVLSLEGRIRTHQQFQRLLKLMCSDEDGDLMIDSDVKNVSKVFVVSTLVESIVGHGKERAIEDDFDD >Vigun03g292100.2.v1.2 pep primary_assembly:ASM411807v1:3:47687129:47690142:1 gene:Vigun03g292100.v1.2 transcript:Vigun03g292100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRYCQVVLKSLKTGPAPEAEPWKQLVLSLEGRIRTHQQFQRLLKLMCSDEDGDLMIDSDVKNVSKVFVVSTLVESIVGHGKERAIEDDFDD >Vigun11g069500.5.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELEDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun11g069500.6.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun11g069500.4.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELEDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun11g069500.7.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun11g069500.8.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun11g069500.3.v1.2 pep primary_assembly:ASM411807v1:11:20278146:20287054:-1 gene:Vigun11g069500.v1.2 transcript:Vigun11g069500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGMKIGWNIKRNLSTRLVAWKSYDDPTPGDLSWGIKLYPYPDTYIMKGTKKFYRVGPWNGLRFSGQPDLRPNKFYSFEYVSNKEEVYYTWTITTTGLISTVVINQTLQQRPRYVWLKGSESWQPYSTMPADICDKYGLCGANAYCSPSGSPMCKCLKGFEPKSPKNWNVTGWSQGCVLKHALNCKNDGFVLVEGLKVPDTEHTFVDKSIDLAQCSRTCFNNCSCRAYTNYNISGSGSGCVMWFGDLIDIIRYSNATYGQNLYIRVPKSELEDAIDTPRKYKIILVSSITVASGMLSLGIYFICRFRRNSNEKSKPKNNFETYVDDLDLPLFDLSAITSATDNFSEMNQIGEGGFGPVYRGKLFSGLEIAVKRLSKNSGQGITEFVNEVKLIVKLQHRNLVKLLGCCIQNQEKVLVYEYMSNGSLDYFIFDHAKGKSLDWKKRFNIICGLARGLTYLHEDSRLRIIHRDLKASNVLLDEDFNPKISDFGLAKTVGREEIEGNTNMIVGTFGYMAPEYAIDGQFSVKSDVFSFGVILLEIICGRKNRKPYRGNQYNLVDHVWTRWKFGKTLEIIDPNIKDSFIELEILRFIHIGLLCVQQYPEDRPTMSSVVLMLGSEMDLDEPKRPGIFSKNDSVEASSSSSSSTNAMTITLSAR >Vigun02g010000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:3992430:3993491:-1 gene:Vigun02g010000.v1.2 transcript:Vigun02g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSTSTMILQKRRSPMVDDGVVGEGIATTRFVVVPAAVHDLQWLPTMARNLCRCGLLIPYGGRRRCEADLVRGGGAALQRRLATSCLWSQRGCCSGWRKVFLDGFSLSLSNRAMVACHGS >Vigun06g197800.2.v1.2 pep primary_assembly:ASM411807v1:6:31321659:31330638:1 gene:Vigun06g197800.v1.2 transcript:Vigun06g197800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYERHDVRTNKAIARTFHEYPFLPSQSGIRADVFGQLNLPHLHEPMEGPARTPFPLGNEQPRIHAPQSHSSRVRLLSQPQDKQVIPYPSPPRENDVVPKREPHINITNTGMNSHYTTDHPIVGQEIPYALPGGQVSHNDAVLRMERKRKIDEARVAKEVEAYEMRMRKELEKQDNLRRKSEERLKKEMERQDRERRKEEERLLREKQREEERSKREQRREMERREKFLLKEHLRAEKRRQKEEIRKEKEEERRKAALEKANARRIAKESMELIEDEQLELMELAAASKGLSSIIHIDLDTLQNLESFRDSLCVFPPKSVKLRKPFAIQPWSNSEQNVGNLLMVWRFLITFADVLDLWPFTLDEFVQAFHDYDSRLLGEIHVALLKVIIKDIEDVARTPSTGLGMNQNGAANPGGGHPEIVEGAYAWGFDIRNWQKNLNQLTWPEIFRQLALSAGLGPHLKKRSIAWSYAIDKDEGKSCVDIISTLRNGSAAESAVAKMQERGLLAPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYCVRDAFRKDPADADSILSEARKKIQIFENGFLAGEDADDVEREEESESDEVDEDPEDDDLVNPLSANQNSEQYDDTNICSSNGKENLGHNIDLIQNEFDTDLPCLPKNGSTGADCPSSVTRPVACGDLNAGNLDQDNMEIDESISGESWILGLAEGEYSDLSVEERLNALVALVGVANEGNSIRVVLEDRLESANALKKQMWADAQIDKVRLKDDNISKLDFPSLTGNKVETQYTYPAAEGHQSPIMLDININDNEASPSTAENQKGAPVALSMPMEKSSSIQDFVIGTGADIPQTQVPAQYSKRSRSQLKSYFAHLAEEMYVYRSLPLGQDRRRNRYWQFVASASSSDPGSGRIFVECHDGKWWLIDSEEAFDSLLTSLDSRGLRESHLRLMLQKIENSFKENVRKRNADCAKNGSKGEVSIKVEASEPFSIPDPNAGSDSPSSILHNLNTDTSEVSSSFKIELGKSESEKKAALRRYHDFQKWLWKECSNSSVLCAMKYGIKRCKPQMDICDICLDLYFVEDSHCSSCHRTFSSNNGFNFSKHAFQCRDKLSKDNCILEYSLPLRTRLLKFLLACMEVSVLSEAFGTNWTDDIRKHWGVKLSKSSSVEELIQILTLFEKALRRDFLSSNFSTTDELLGSSSMLECSGPASTDPESVPVLPWVPLTTAALSLRLFEIDSSISYVKPERLEPSEEKEAREYIRFPSRYTHLKSNREVEPAEFDHDEFTKDKSVPKKIVRSGNKRSRGTNEQGRGKKLAKRVYNSKRDGGRKNAKVTVNLSHKLKQQARGTQGQGAGRGRRTVRKRRVGKRAVEDLLLGHTTANHSSKIDREPLKNLDEEWDREKASPMTPVHIGVAADNSNSAEEVESDYDHAQAVEYDQGNWEVGFNGVPPNRWSRDLVGMSDEDVDASEDDNDNGIEENEDEDSEADVMSEGSDGMGNRVVNVGGSYSALSEDSSD >Vigun06g197800.1.v1.2 pep primary_assembly:ASM411807v1:6:31319249:31330638:1 gene:Vigun06g197800.v1.2 transcript:Vigun06g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAENNEVKREENSNDNNNNNESNSKFGNSSEGQSKPKRQMKTPFQLETLEKAYAVENYPSEMMRAELSEKLGLSDRQLQMWFCHRRLKDKKDLPLKKPRKAAALTDSPVEEPKLASEVGAEYGSGSGSGSSPFTRSELRNVVPRGGYYESPQTIMELRAIACVEAQLGEPLREDGPILGVEFDPLPPDAFGAPLAVTDPQKRPSLAYDNKMYERHDVRTNKAIARTFHEYPFLPSQSGIRADVFGQLNLPHLHEPMEGPARTPFPLGNEQPRIHAPQSHSSRVRLLSQPQDKQVIPYPSPPRENDVVPKREPHINITNTGMNSHYTTDHPIVGQEIPYALPGGQVSHNDAVLRMERKRKIDEARVAKEVEAYEMRMRKELEKQDNLRRKSEERLKKEMERQDRERRKEEERLLREKQREEERSKREQRREMERREKFLLKEHLRAEKRRQKEEIRKEKEEERRKAALEKANARRIAKESMELIEDEQLELMELAAASKGLSSIIHIDLDTLQNLESFRDSLCVFPPKSVKLRKPFAIQPWSNSEQNVGNLLMVWRFLITFADVLDLWPFTLDEFVQAFHDYDSRLLGEIHVALLKVIIKDIEDVARTPSTGLGMNQNGAANPGGGHPEIVEGAYAWGFDIRNWQKNLNQLTWPEIFRQLALSAGLGPHLKKRSIAWSYAIDKDEGKSCVDIISTLRNGSAAESAVAKMQERGLLAPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELAEKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYCVRDAFRKDPADADSILSEARKKIQIFENGFLAGEDADDVEREEESESDEVDEDPEDDDLVNPLSANQNSEQYDDTNICSSNGKENLGHNIDLIQNEFDTDLPCLPKNGSTGADCPSSVTRPVACGDLNAGNLDQDNMEIDESISGESWILGLAEGEYSDLSVEERLNALVALVGVANEGNSIRVVLEDRLESANALKKQMWADAQIDKVRLKDDNISKLDFPSLTGNKVETQYTYPAAEGHQSPIMLDININDNEASPSTAENQKGAPVALSMPMEKSSSIQDFVIGTGADIPQTQVPAQYSKRSRSQLKSYFAHLAEEMYVYRSLPLGQDRRRNRYWQFVASASSSDPGSGRIFVECHDGKWWLIDSEEAFDSLLTSLDSRGLRESHLRLMLQKIENSFKENVRKRNADCAKNGSKGEVSIKVEASEPFSIPDPNAGSDSPSSILHNLNTDTSEVSSSFKIELGKSESEKKAALRRYHDFQKWLWKECSNSSVLCAMKYGIKRCKPQMDICDICLDLYFVEDSHCSSCHRTFSSNNGFNFSKHAFQCRDKLSKDNCILEYSLPLRTRLLKFLLACMEVSVLSEAFGTNWTDDIRKHWGVKLSKSSSVEELIQILTLFEKALRRDFLSSNFSTTDELLGSSSMLECSGPASTDPESVPVLPWVPLTTAALSLRLFEIDSSISYVKPERLEPSEEKEAREYIRFPSRYTHLKSNREVEPAEFDHDEFTKDKSVPKKIVRSGNKRSRGTNEQGRGKKLAKRVYNSKRDGGRKNAKVTVNLSHKLKQQARGTQGQGAGRGRRTVRKRRVGKRAVEDLLLGHTTANHSSKIDREPLKNLDEEWDREKASPMTPVHIGVAADNSNSAEEVESDYDHAQAVEYDQGNWEVGFNGVPPNRWSRDLVGMSDEDVDASEDDNDNGIEENEDEDSEADVMSEGSDGMGNRVVNVGGSYSALSEDSSD >Vigun05g298500.2.v1.2 pep primary_assembly:ASM411807v1:5:48295537:48297570:1 gene:Vigun05g298500.v1.2 transcript:Vigun05g298500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVLNECLCQTNPIDEEFLRDILQQPQEGPDLNTFGGADKLMMKNTNSSMMNLCGENKNKPTTSPTTYVLSFDKSADPHPLSAWKDVSHNLPLSSARTNQGTKKTRSASESMDHIMSERKRRQELTRKFIALASTIPGLKKMDKAHVLREAINYVKQLQERVEVLEEDIQKNGAESAITITRSHLCIDDTKSDEWYGSNEAVPAEVEARVLGKQVLIKIHCGKQKGILLKILSQLERLHLFISTSNVLPLGSTIDITIIAQMGDEYKMVVKDLVKELRQVAMMKSCASQ >Vigun05g298500.1.v1.2 pep primary_assembly:ASM411807v1:5:48295064:48297570:1 gene:Vigun05g298500.v1.2 transcript:Vigun05g298500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQWGKCSSSHMEMEDEVLNECLCQTNPIDEEFLRDILQQPQEGPDLNTFGGADKLMMKNTNSSMMNLCGENKNKPTTSPTTYVLSFDKSADPHPLSAWKDVSHNLPLSSARTNQGTKKTRSASESMDHIMSERKRRQELTRKFIALASTIPGLKKMDKAHVLREAINYVKQLQERVEVLEEDIQKNGAESAITITRSHLCIDDTKSDEWYGSNEAVPAEVEARVLGKQVLIKIHCGKQKGILLKILSQLERLHLFISTSNVLPLGSTIDITIIAQMGDEYKMVVKDLVKELRQVAMMKSCASQ >Vigun03g081400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6779714:6783180:1 gene:Vigun03g081400.v1.2 transcript:Vigun03g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSLLLFLFHLLSLLFSGYADPARNCTRNSPVVGSESVFEMVQHQVRGSLKITDDCSFRVSQFDMLPGSDVHWWGAQGPGFDNLTKGFIVSEAGLSGTYKNSSFNVSLMSNVTWGMINVLAIWDRANASDFGHVVLRKEAPAKPPPTVFDNCKVLSKKFRLRWSLNVSEDSLEIGLEAAIGITDFMAFGWADPSAEDSDLMIGGDIAVAGFKEDGLPFVDDFFITKYSECVKNGDGVVQGVCPDSAYEGPSGVGLANHSMLVYGHRKDGVTFVRYQWHLSKVDANYDRPVNHSANMKVIWALGPIKPPDSISPYYLPQNHGPENYGHLVLNVSEHVNDCTGPLDAEDKEDQDIIIADANVPLVVTSAPAMHYPNPPNPDKVLYINKKEAPVLRVERGVPVKFSIQAGHDVALYITSDPLGGNATTRNLTETIYAGGPDAHGVQASPTELIWAPDRNTPDHIYYHSLYDQKMGWRVEVVDGGLSDMYNNSVNLDDQQVTFFWTLSKHSISIAARGEKKSGYIAVGFGSGMVNSFVYVGWMDDTGIGHVNSYWIDGKDASSIHRTRENLTHVRCKTENGIITWEFTRPLDPSCKREKRVECKNIIDPTTPLKVVWAVGAKWSSDRLTNRNMHSSTSNRPIRVHLMRGSAEAEQDLLPVLAVHGFMMFVAWGILFPGGILATRYLKHLKGDGWFRIHVYLQYSGLIIVLLALLFAVAELRGFYVRSTHVKFGLASIFFACIQPVNAFVRPQKPANGEQAPFKRVIWEYFHAFAGRCAIVVGIAALFTGMKHLGDRYDVENVRGLNWAMAIWFLVGALIVIYLEHQERQRIKKQVSAKSNWVFGNIEEDDSVDLLSSTRTTADKESLPSGRMEVQLEPLNR >Vigun01g158401.3.v1.2 pep primary_assembly:ASM411807v1:1:34033090:34035588:1 gene:Vigun01g158401.v1.2 transcript:Vigun01g158401.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLSSQEFAARNGLVLALPDRIQAIPDGTPAVPRQTGSWAASSSRPGIKFDSDGQFDEEYFHQTEESSRFRQEYEMRKMKLDQGLDMIAEGLDTLKNMAHDMYEELDRQVPLMDEIDAEVDKASSDLKNTNVRLRDTVNQVFLTENLILKFSSVSNCVSDEFYVESYIVLVFVQLQSSRNFCIDIVLLIIILGIAAYLYK >Vigun01g158401.1.v1.2 pep primary_assembly:ASM411807v1:1:34033108:34037784:1 gene:Vigun01g158401.v1.2 transcript:Vigun01g158401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLCFLVKGLSSQEFAARNGLVLALPDRIQAIPDGTPAVPRQTGSWAASSSRPGIKFDSDGQFDEEYFHQTEESSRFRQEYEMRKMKLDQGLDMIAEGLDTLKNMAHDMYEELDRQVPLMDEIDAEVDKASSDLKNTNVRLRDTVNQVFLTENLILKFSSVSNCVSDEFYVESYIVLVFVQLQSSRNFCIDIVLLIIILGIAAYLYNVLKK >Vigun01g158401.2.v1.2 pep primary_assembly:ASM411807v1:1:34033091:34035592:1 gene:Vigun01g158401.v1.2 transcript:Vigun01g158401.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLCFLVKGLSSQEFAARNGLVLALPDRIQAIPDGTPAVPRQTGSWAASSSRPGIKFDSDGQFDEEYFHQTEESSRFRQEYEMRKMKLDQGLDMIAEGLDTLKNMAHDMYEELDRQVPLMDEIDAEVDKASSDLKNTNVRLRDTVNQVFLTENLILKFSSVSNCVSDEFYVESYIVLVFVQLQSSRNFCIDIVLLIIILGIAAYLYK >Vigun11g032900.1.v1.2 pep primary_assembly:ASM411807v1:11:4355422:4358170:1 gene:Vigun11g032900.v1.2 transcript:Vigun11g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGHLIILAFLCLTLRNIDASQSAEDYWHSVFPNTSVPEPLHDLVWPPKKSNLPIKVNEEKQYWTLFFEHDLHPGKMMHLGLHNYSDTNPSTRTTSQPFGAWLQAKLSENYSLDEVCGKTAGKGEHKFCAASLESMVGSAISKLGKNIRVVSSSFDEKHDRYSVEEVKKVGEKAVMCHRLNFEKVVFYCHQVNATITYMVPLVASDGAKAKALTICHHDTRGMDPVVLYQVLRVKPGTVPVCHFVGNKTLAWVPNLGTKESCSA >Vigun03g446500.1.v1.2 pep primary_assembly:ASM411807v1:3:64795752:64799125:-1 gene:Vigun03g446500.v1.2 transcript:Vigun03g446500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKPSPSSMPSSPNPRSSEISNPIRRSFTGNPFGKPSIVPSHGAKTPANSPLDFSRRGSGGVRESGGSLRDSLDDKENWKDQILKPVKVRSPAVCSKGSKNFMSPTISASCKINESPRKRVLTERNEMVPSPVDSKSHVRKVSFADPLEEGPRSSLTSEDLSGDSETLMSKNDTDSSVETFSSLSVNNLLVTEDDIHTEPSFENEPDCVNLDPTFKLSPTATPPVSLKATVVAPLGADPLIPPYDPKTNYLSPRPQFLHYKPKSRIEIFRERELEDCFISGSFSDSEITEDTQSEGSQKESDVSSDVIVKEEECGQISEPSPAKETLMPVEFAEAKEVPKPRFTVRAKAAALILLLAVAFVSISVTDSPVIDRTVFEDPYKVSSEFSVFARAKFDQLTQFAETNFDEITQNLQIWFTKLLLSLSEFVSDIRGIHNLAKLQYYNLTVQQDYSMVNQYPIFGGGENEIGETHAPIWDIEESVVAADIVSDIEEDISEEHYKVHEEEVRRDIARVTEVENVLHAPESEQLAEAGSLDIATISGVTEVENVLHAPESEQLAEAGSLDIAIITGDENVLDAPESDEVLNMVESEQLAEAGSLDIATITGVENVLDAPESDEVLNIVESDHRAGNLEANLAQEAETNLNVENQPSLNSEVAEISIEAYDSDTSPAQEYDVNRQNLDFDVAEEKSTSIDAAIKGDEGQLEAIQVHSHVVLYLLLGAGTVIIAGAGINWSRKGKSKSSSSMKQPLQLHKVSFPSNNQQISPEKSCGPIEMDVIEDSSCPSDTGSFQQSSFYSEKVANEGDKPVPEKKRKSNYRRESLASSSSDYSMDSSSYGSLTVYEKISMKQGHGDDKVITTPVRRSSRIRNQVTSPS >Vigun03g396600.1.v1.2 pep primary_assembly:ASM411807v1:3:60294695:60300429:-1 gene:Vigun03g396600.v1.2 transcript:Vigun03g396600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPSPQVKRKHKFAPRAPPRLVPKKEVKTEVIEDAAADANQAKDLLRRFNESAMKARNKVEKKVSASQIAFGYGGESTSLKSYGIGRGGRSVNINQNSASNVVAEKEYTEPWDYYSNYPETLPLRRPYSGNPELLDEEEFGEAAESRTYNEDASNSAIELGLLEENLEANMFLIKLPSKLPMVIQSTTDGGKDVNAKSKPPGGSKKVEKLYELKDLPSGFMGKMLVYKTGKIKLKLGNTLYDVSSGMNCGFSQDVVAINTTEKNVCTIGEISKHVTVTPDIDGILDDLSDL >Vigun10g066600.1.v1.2 pep primary_assembly:ASM411807v1:10:15380185:15386760:-1 gene:Vigun10g066600.v1.2 transcript:Vigun10g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEPRPLEIHLRVNSKRSNANMVHPVAVETVYPVPPQRQELKHYRKWFPWLIPLFVVANIVLFVITMYVNNCPHNSVSCIATFLGRFSFQPFKENPLLGPSSLTLQKMGALDVGRVVHRHQGWRLVTCMWLHAGVFHLLANMLGILVIGIRLEQEFGFVLIGLLFVISGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWTIYENKLAALLTLVIIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVNQRYAPLNYSPERAKPKFKKYQCILWVLSLVILIVGLSVGLVELLRGVDANDHCSWCHYLSCVPTSKWSCHTEPSYCVSNQLGNQLNVTCSSNGKSSTYFMQDPTSSQIQQLCTQLCS >Vigun10g066600.3.v1.2 pep primary_assembly:ASM411807v1:10:15380185:15385078:-1 gene:Vigun10g066600.v1.2 transcript:Vigun10g066600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDVGRVVHRHQGWRLVTCMWLHAGVFHLLANMLGILVIGIRLEQEFGFVLIGLLFVISGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWTIYENKLAALLTLVIIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVNQRYAPLNYSPERAKPKFKKYQCILWVLSLVILIVGLSVGLVELLRGVDANDHCSWCHYLSCVPTSKWSCHTEPSYCVSNQLGNQLNVTCSSNGKSSTYFMQDPTSSQIQQLCTQLCS >Vigun10g066600.2.v1.2 pep primary_assembly:ASM411807v1:10:15380185:15385078:-1 gene:Vigun10g066600.v1.2 transcript:Vigun10g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDVGRVVHRHQGWRLVTCMWLHAGVFHLLANMLGILVIGIRLEQEFGFVLIGLLFVISGFGGSLLSALFIQSNISVGASGALFGLLGGMLSELITNWTIYENKLAALLTLVIIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVNQRYAPLNYSPERAKPKFKKYQCILWVLSLVILIVGLSVGLVELLRGVDANDHCSWCHYLSCVPTSKWSCHTEPSYCVSNQLGNQLNVTCSSNGKSSTYFMQDPTSSQIQQLCTQLCS >VigunL059016.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000182.1:35004:36301:1 gene:VigunL059016.v1.2 transcript:VigunL059016.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKSRWTYIFSPVGGDQTQLPHHPRGKSNMSNVTCKTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSS >Vigun04g162400.1.v1.2 pep primary_assembly:ASM411807v1:4:38581368:38585522:-1 gene:Vigun04g162400.v1.2 transcript:Vigun04g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSDSNSEPCTSYNCYKVAALTETILETSQVSNLKDRYILGEQLGWGQFGVIRACSDKLSGEVLACKSIAKDRLVTSDDLRSVKLEIEIMARLSGHPNVVDLKAVYEEEDFVHLVMELCAGGELFHRLEKHGWFSESEARVIFRHLMQVVLYCHENGVVHRDLKPENILLATRSSSSPIKLADFGLATYIKPAGQSLHGLVGSPFYIAPEVLAGAYNQAADVWSAGVILYILLSGTPPFWGKTKSRIFEAVKAASLKFPLEPWDRISESAKDLIRGMLCTDPSRRLTAQEVLDHCWMESNQTNQEQLSENQIQSCEQWDVGGSSFSASFMSRNQDISFGAGSPTCDAQSPTFTCRSSFSSFLEEPVTPCVLSAGFSFKSVGDSSGLEFSSPIPSMLSFSFLSPSPVVEHKSCKLECSANMSDVDAIAGEASLGKLLLLQDSQLCIEHDAKETNRKSAEAKRSIGMNGHKVLGIHSKRNRTIGLGECEQLDFVVTESVIRWSSCTQLPTSLRSSLVC >Vigun09g138700.1.v1.2 pep primary_assembly:ASM411807v1:9:30042428:30045508:1 gene:Vigun09g138700.v1.2 transcript:Vigun09g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSIAAIYLFAVFLSSSMVLGSEEDEVYAKEIMGAAQKEKEWLVSVRRQIHEHPELAFQEHNTSSLIRAQLHNLGIPYTYPVAKTGVVAQLGSGSRPIIAIRADMDALPLQELVEWEHKSKIDGRMHACGHDAHTTMLLGAAKLLSQRQDKLQGTVRLIFQPAEEGARGASQMIKEGVLQDAEAIFSLHIDSTTPTGAIASIPGAFTAAGCVFEARIVGVGGHAASPHTNIDPVLATSFAILALQQLVSRESDPLQSQVVSVTFVKGGTALNVIPSYVKFGGTLRSQTTEGMYHFRQRIKEVIEGQAGVHRCKAYVDFKEEQYTPYPAVVNDNNLHLHVVRVGQLLLGSDNVHDAKKVMAGEDFAFFQQLIPGAMFSIGIRNDKVGAIHSPHSPFFFLDEEVLPIGAALHTALAELYLKQHNHTLR >Vigun02g105500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25986926:25987906:1 gene:Vigun02g105500.v1.2 transcript:Vigun02g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEYEGGSACCFCFSSTKKSVVGGNGRNKQVLSSEDVHWGKNDEMLSDRSTFSVKEQEKRFKKALEEEEKVNREAERVVQWVKQESAKIDLSAFKPVLNEPQKESNTCDFVS >Vigun07g100100.1.v1.2 pep primary_assembly:ASM411807v1:7:17701158:17702325:1 gene:Vigun07g100100.v1.2 transcript:Vigun07g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLQQDYVVSEEIGRGRFGTVYRCSSADSGESYAVKSIDKVAITAGGDSLDTQCLFTEAKIVQLLSPHPHIVTLHNLYEDEKHLHMVLDLCYEPTFHLQVMPEREAASMMWQLMKAVSHCHRFGVAHRDIKPDNILLDKENRLKLADFGSADTFKEGDLMSAVVGTPHYVAPEVLAGSGYTEKVDVWSCGVVLYQMLAGVLPFRGDSPVEIFEAVLHSSLRFPTWVFSSVSHSAKDLLRRMLCREVSRRFSAEQVLRHGWFSIIQQSD >Vigun04g158100.2.v1.2 pep primary_assembly:ASM411807v1:4:38022187:38026639:-1 gene:Vigun04g158100.v1.2 transcript:Vigun04g158100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKKRKRQSRRDREGKRDRLSELPDCVLIHIMEFMDTKHAVQTCVLSKRWKDLWKHLTTLAFNTFFFNNVVNFKKFVSHVLSKRDDSISLLNLEFTRRGYAQPQLLNRLMKYAVQHNVQQLTIFINLNSKPSFEFRPHIFSCQSLTFLKLSVSSYDPFMILLPESLDMPAIRSMQLESVTFTAIVNDYAEPFSNCRMLNSLILEGCSLHNGLKFLRISNSNLSNLILDGSFEAGFFRIDVCTPNLSSLTLSGHTDHPLLSIPNLSSLEELTIESRGNSWYHKTQLLILCWLQGITDLKMMTVSLSALELIVQDLSKPRAAGIRPPSFVELKTLTVKKLPYEEVSDDILKISLPYLLKNSPAVKIEFDFTD >Vigun04g158100.1.v1.2 pep primary_assembly:ASM411807v1:4:38022168:38026647:-1 gene:Vigun04g158100.v1.2 transcript:Vigun04g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKKRKRQSRRDREGKRDRLSELPDCVLIHIMEFMDTKHAVQTCVLSKRWKDLWKHLTTLAFNTFFFNNVVNFKKFVSHVLSKRDDSISLLNLEFTRRGYAQPQLLNRLMKYAVQHNVQQLTIFINLNSKPSFEFRPHIFSCQSLTFLKLSVSSYDPFMILLPESLDMPAIRSMQLESVTFTAIVNDYAEPFSNCRMLNSLILEGCSLHNGLKFLRISNSNLSNLILDGSFEAGFFRIDVCTPNLSSLTLSGHTDHPLLSIPNLSSLEELTIESRGNSWYHKTQLLILCWLQGITDLKMMTVSLSALELIVQDLSKPRAAGIRPPSFVELKTLTVKKLPYEEVSDDILKISLPYLLKNSPAVKIEFDFTD >Vigun08g061750.1.v1.2 pep primary_assembly:ASM411807v1:8:8350748:8353917:-1 gene:Vigun08g061750.v1.2 transcript:Vigun08g061750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFCSCLHHFLCTDAKRNARDFHVLILAPLPIGFVVFFVHLATIPIIVTIINPVRSLGAAIIYNKDHAWDDQFSRKSEVLSSIDISFLLLHHTIKVRATP >Vigun05g176650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:32811341:32811781:-1 gene:Vigun05g176650.v1.2 transcript:Vigun05g176650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYTDTNPETHALLEHDPFKGLSINKDKLYQYQLWKAYIKGSNWNFSKKDILEFTLLKETTDTKLYWKKLMGNKFHPFPIEASPLLVKHFEKERIYKEIYQQTGNHGQETPGASFPSDNEDPDYTKHMLEEELGDLTSVAVTRRC >Vigun01g092400.1.v1.2 pep primary_assembly:ASM411807v1:1:25361180:25363158:-1 gene:Vigun01g092400.v1.2 transcript:Vigun01g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYYNPMTESGKNLPSLTDSLSVTETVNGTHNFELKGYSLAKGMGIGKFIASETFTVGGHQWAIYFYPDGKVPSDNGVYVSIFVALVSESIDVRALFELKLHDQSGKGNDLVYSHFGRSLENGPYTIKNRGCIWGYKRFFKRKDLETSTFVKDDSLKISCTVGVLVMSDSSLLNSIHVPESDIGTDLGMLLDYEELCDVTFSINGAKFRAHKLILGARSTVLETWFSNGVGKDNSEIVLDDMEPKVFKALLHFIYKDTLVEDQELYSWQSSSYSSIPESFPARLLAAADKFALPRLKLMCESIFCRGISIYSVPYILVLADRYRATELKPICQKFCAENYEALMKSGGLEYIMQKCVFLRAELLTIAAGMDKFLVPYARKQSTSERGEEGSTIHISDAVSENNNPLGDAVNESNNPLGDAVNENNNPLGISFKICNAQGN >Vigun02g080100.1.v1.2 pep primary_assembly:ASM411807v1:2:23286732:23290230:1 gene:Vigun02g080100.v1.2 transcript:Vigun02g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLWISYLQLVELFVSSLVHLLYGFYIFSTAVAGDLAQNLNEYFRKPNADVVEVKDGTSKANADDLPPIVLVHGIFGFGKGRLGALSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSTACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKTFKGYENSSENWVLSITSLSGAFNGTTRTYTDGMQPEDGKTLKPICLLQLCRIGVIIYDWFDIAWLKNYYNFGFDHFNMSWRKMGIWGLVDCLLGNAGPFASGDWILPDLTIQGSIKLNYHLQTFPNTYYFSYATKRTTKIMGVTVPSSILGIHPMLFLRVLQMSQWRFPPDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPNCLVEKESDCKPLQPGIWYYTYVKGDHILFIINRERAGVQFDLIYDSIFERCRKHVFKKKPPTMPNEINH >Vigun02g080100.2.v1.2 pep primary_assembly:ASM411807v1:2:23286732:23290230:1 gene:Vigun02g080100.v1.2 transcript:Vigun02g080100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLWISYLQLVELFVSSLVHLLYGFYIFSTAVAGDLAQNLNEYFRKPNADVVEVKDGTSKANADDLPPIVLVHGIFGFGKGRLGALSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSTACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKTFKGYENSSENWVLSITSLSGAFNGTTRTYTDGMQPEDGKTLKPICLLQLCRIGVIIYDWFDIAWLKNYYNFGFDHFNMSWRKMGIWGLVDCLLGNAGPFASGDWILPDLTIQGSIKLNYHLQTFPNTYYFSYATKRTTKIMGVTVPSSILGIHPMLFLRVLQMSQWRFPPDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPNCLVEKESDCKPLQPGIWYYTYVKGDHILFIINRERAGVQFDLIYDSIFERCRKHVFKKKPPTMPNEINH >Vigun08g069100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10807664:10811863:-1 gene:Vigun08g069100.v1.2 transcript:Vigun08g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMSTELADASYRNAKLYTLKGTDVTPDLSSHNFVPDKHRNMYITDSYSSESYEKYFRDSPSEELIEPSSSSISGNSVHPDGASSYLLRASSGASVIVNNPFDSRILSTRRHNNVYQSSFESDFVENRSPDGLDFDGEMRLKLQELERALFSDEEEEEEDIFETVQSMDIDPDMAEWANPLQDMLLHDSPKESSSSDSSNISSISSTTKETSQNSPQTPKQLLYECARALSEGNEEQATSMINNLRQMVSIQGEPSQRIAAYMVEGLAARVASSGKCIYQALRCKEPPSSDRLAAMQILFEICPCFKFGFIAANGAIVEAVRDEKKVHIIDFDISQGTQYITLIQALAAMPGRRPHVRLTGVDDPETVQRSVGGMNIIGKRLEKLAEELGLPFEFRAVASKTSIVSPSMLNCRPGEALVVNFAFQLHHMRDETVSTVNERDQLLRMVKCLNPKLVTIVEQDMNTNTSPFLQRFVEAYNYYSAVFDTLDATLPRESQDRMNVERQCLAKDIVNIVACEGEDRIERYEVAGKWRARLTMAGFTPSPISTNVREAIRKLIIKQYCDRFKIKEEMGALHFGWEDKNLIVTSAWK >Vigun04g046500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4012098:4012340:-1 gene:Vigun04g046500.v1.2 transcript:Vigun04g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNCPAFTYDVFLSFRGEDTRHGFTGNLYKALCDRGIHTFIDDEDLQSGEEITLVKAIEESRIAIVVSELCFFLLLLG >Vigun02g164700.1.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEFYFHSVFDKDGDAQVGAYAEPQGASSRVHRRSNSASSDRNLKFSRGVVLHRLEKGHDEYLVSPTSVATSRVPSPLHDNLTCNHKTFSNHRSSLEKDVEQLQLRLQQEKSMRILLEKAMGRASSTLSPGHRHVAAQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKAAYNIGGHIISANAIEQAIFGFRTPRIGRWLESFMAAALRKKNGEEKQFISSKLYINDFQPLVCFALCTGALSDPVLKVYTASNIREQLNFAKREFLQANVIVKKSSKVFLPKLVERFTREASISLDDLLGWVMESVDKKLHDSIQKCLGRKSNKKSSQIIEWIPYSSRFRYMLSKDLIDKPWWV >Vigun02g164700.6.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGHPPNNCFQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKAAYNIGGHIISANAIEQAIFGFRTPRIGRWLESFMAAALRKKNGEEKQFISSKLYINDFQPLVCFALCTGALSDPVLKVYTASNIREQLNFAKREFLQANVIVKKSSKVFLPKLVERFTREASISLDDLLGWVMESVDKKLHDSIQKCLGRKSNKKSSQIIEWIPYSSRFRYMLSKDLIDKPWWV >Vigun02g164700.3.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEFYFHSVFDKDGDAQVGAYAEPQGASSRVHRRSNRNLKFSRGVVLHRLEKGHDEYLVSPTSVATSRVPSPLHDNLTCNHKTFSNHRSSLEKDVEQLQLRLQQEKSMRILLEKAMGRASSTLSPGHRHVAAQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKAAYNIGGHIISANAIEQAIFGFRTPRIGRWLESFMAAALRKKNGEEKQFISSKLYINDFQPLVCFALCTGALSDPVLKVYTASNIREQLNFAKREFLQANVIVKKSSKVFLPKLVERFTREASISLDDLLGWVMESVDKKLHDSIQKCLGRKSNKKSSQIIEWIPYSSRFRYMLSKDLIDKPWWV >Vigun02g164700.2.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEFYFHSVFDKDGDAQVGAYAEPQGASSRVHRRSNSASSDRNLKFSRGVVLHRLEKGHDEYLVSPTSVATSRVPSPLHDNLTCNHKTFSNHRSSLEKDVEQLQLRLQQEKSMRILLEKAMGRASSTLSPGHRHVAAQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKAAYNIGGHIISANAIEQAIFGFRTPRIGRWLESFMAAALRKKNGEEKQFISSKLYINDFQPLVCFALCTGALSDPVLKVYTASNIREQLNFAKREFLQANVIVKKSSKVFLPKLVERFTREASISLDDLLGWVMESVDKKLHDSIQKCLGRKSNKKSSQIIEWIPYSSRFRYMLSKDLIDKPWWV >Vigun02g164700.4.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEFYFHSVFDKDGDAQVGAYAEPQGASSRVHRRSNSASSDRNLKFSRGVVLHRLEKGHDEYLVSPTSVATSRVPSPLHDNLTCNHKTFSNHRSSLEKDVEQLQLRLQQEKSMRILLEKAMGRASSTLSPGHRHVAAQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKCRQLTILVVIS >Vigun02g164700.5.v1.2 pep primary_assembly:ASM411807v1:2:30938840:30946015:1 gene:Vigun02g164700.v1.2 transcript:Vigun02g164700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCSPLSASSDRNLKFSRGVVLHRLEKGHDEYLVSPTSVATSRVPSPLHDNLTCNHKTFSNHRSSLEKDVEQLQLRLQQEKSMRILLEKAMGRASSTLSPGHRHVAAQTKDLIAEIELLEEEVTSREKHVLAMYRSIFEHCVSRPPSEQNSGVASPAHPKHESRRHPSIISSAFCSSKKFPMRPLQALVSNNDLKNRIFGSSYTPLSCGKGKVYFGKTCPDSTKVHEKFTKMEKTPVLRTLKDHLNLCPNRLSEEMVKCMATVYCWLRSATSVNTEKSRSSTHAVRPKHGVFVEDRDCSHKSVVEISWIATRKRHSSHASYAIDNYRVLVEQLERVNISHMEHDGQIAFWINVHNALVMHAYLAYGIPQGSLKRLALFHKAAYNIGGHIISANAIEQAIFGFRTPRIGRWLESFMAAALRKKNGEEKQFISSKLYINDFQPLVCFALCTGALSDPVLKVYTASNIREQLNFAKREFLQANVIVKKSSKVFLPKLVERFTREASISLDDLLGWVMESVDKKLHDSIQKCLGRKSNKKSSQIIEWIPYSSRFRYMLSKDLIDKPWWV >Vigun03g291900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47630509:47632063:-1 gene:Vigun03g291900.v1.2 transcript:Vigun03g291900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPRDQEDDSWEVRAFAEDTSNIMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQASPVNNHYHYHHHISSLSRSHHSPSFLNLPHSPDPFANGGVCLLYPFPSPNTAPFSPLGHTTSFNNACADSPSSLFSVSSSLSHPLPTSSNASFDFPVAQPATGRRTLFSSYSGKEEQEPATSSARHGQHEELDLELRLGNRST >Vigun02g039200.5.v1.2 pep primary_assembly:ASM411807v1:2:16321459:16332854:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGKKVEGDFYAVDSAFGKPYALQGFSTKENDTQEELSLQALTEIDYRLAYFTEKLVNLHVLYIYLLSEESDLEAMDSKNNCILEQLFEKAMTFDLLSGILDSEVRELDSFMDALQEEILFARHKISSCRHLTEVSLMMDKKLYDSEESVKQFQQQLMELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQVDEEIASSKTRVASSISADKLASCRKLTFLSVAFFVPLVSVLAIWLLDKGNILIPKSL >Vigun02g039200.1.v1.2 pep primary_assembly:ASM411807v1:2:16320905:16332892:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGKKVEGDFYAVDSAFGKPYALQGFSTKENDTQEELSLQALTEIDYRLAYFTEKLVNLHVLYIYLLSEESDLEAMDSKNNCILEQLFEKAMTFDLLSGILDSEVRELDSFMDALQEEILFARHKISSCRHLTEVSLMMDKKLYDSEESVKQFQQQLMELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQVDEEIASSKTRVASSISADKLASCRKLTFLSVAFFVPLVSVLAIWLLDKGNILIPKSL >Vigun02g039200.2.v1.2 pep primary_assembly:ASM411807v1:2:16320925:16332889:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQVDEEIASSKTRVASSISADKLASCRKLTFLSVAFFVPLVSVLAIWLLDKGNILIPKSL >Vigun02g039200.4.v1.2 pep primary_assembly:ASM411807v1:2:16320905:16332892:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGKKVEGDFYAVDSAFGKPYALQGFSTKENDTQEELSLQALTEIDYRLAYFTEKLVNLHVLYIYLLSEESDLEAMDSKNNCILEQLFEKAMTFDLLSGILDSEVRELDSFMDALQEEILFARHKISSCRHLTEVSLMMDKKLYDSEESVKQFQQQLMELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQAGG >Vigun02g039200.6.v1.2 pep primary_assembly:ASM411807v1:2:16321459:16332854:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGKKVEGDFYAVDSAFGKPYALQGFSTKENDTQEELSLQALTEIDYRLAYFTEKLVNLHVLYIYLLSEESDLEAMDSKNNCILEQLFEKAMTFDLLSGILDSEVRELDSFMDALQEEILFARHKISSCRHLTEVSLMMDKKLYDSEESVKQFQQQLMELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQAGG >Vigun02g039200.7.v1.2 pep primary_assembly:ASM411807v1:2:16320925:16332889:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQAGG >Vigun02g039200.3.v1.2 pep primary_assembly:ASM411807v1:2:16320925:16332889:1 gene:Vigun02g039200.v1.2 transcript:Vigun02g039200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMQSSQLQKTMDAYRNENCETGKALNLSGNGQLSDVKAKSNDQMVEQRRYILQMLEKSLARELDLERKLAESKDNEDLKLKLRYTEQVAFYMEEAAEVVWGRFLEAENAAEVLMGISKGIMGRLQVSEFNLSSSMQRENELRSTVQNLMEQIKAKDAALDKLETCNIESTKENSDVLALREKVKFLEEERKDFELRINNAIAENEAYHEHHIEMENFVESLRENIDIALSRAESAEAKVTQLTETNLELTEEMNFLKGSASTAEKKVGSLEKKLRELDIQLQTAKASSEASQEQQNMLYTAIWDMEILIEELKSKVSKAESNNESAAEKCFVLSQSNLELNKELDLLRSRTICLKTSLDQASHTNFSRAKEIDTKTKLIMDMVMQLAAEREHINNQLLALKQQNKHLVLKLKNTKIDGSLHTCNYGLNNSNDDQDSNIDSSNDSCAKSSDEEVIDHFNAAFQAGG >Vigun02g162100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30733739:30734644:-1 gene:Vigun02g162100.v1.2 transcript:Vigun02g162100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSWSGSGSVWRKKPSLDLDLDMDTDQHLPTYDPLSHVAQRHLSRLRSAQNAVHLIPLLVLFCAVVLWFFSAPTSPSHHH >Vigun02g162100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30733739:30734530:-1 gene:Vigun02g162100.v1.2 transcript:Vigun02g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSWSGSGSVWRKKPSLDLDLDMDTDQHLPTYDPLSHVAQRHLSRLRSAQNAVHLIPLLVLFCAVVLWFFSAPTSPSHHH >Vigun03g319200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51375910:51378280:1 gene:Vigun03g319200.v1.2 transcript:Vigun03g319200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANGLIDAERKQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQRAVKHWNTNKNHKFVINFGDIVDGYCPKDQSFSTVKKIVNEFEMFNGPVYHLVGNHCLYNLPRSKLLPLLKIKSFGSHAYYDFSPVPEYRFVVLDGYDISAIGWPQDHPKTLEALKFLREKNPNNDKNSPTGLVGLERRFLMFNGGVGKEQMEWLNGVLQEATKLEQKVVICCHMPLDPVASSNEALLWNYDEVMNLIHRYSCVKLCVSGHDHKGGYSVDSHGIHHRVLEAALECPAGTDAFGYAEVFDDRISLYGTGRMLSKDIYFQSSPDLS >Vigun01g073100.1.v1.2 pep primary_assembly:ASM411807v1:1:20312325:20329118:1 gene:Vigun01g073100.v1.2 transcript:Vigun01g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKVVVCDNGTGYVKCGFSGENFPTSVFPCVVGRPMLRYEESLTEQEVKDIVVGESCAELRHQLDVSYPVNNGIVQNWDDMCHVWDHAFYNELKINPPDCKILLTDPPLNPSKNREKMVEMMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYALNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPDLIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYLEEGIACLSKCGQA >Vigun05g185900.2.v1.2 pep primary_assembly:ASM411807v1:5:35863908:35866061:1 gene:Vigun05g185900.v1.2 transcript:Vigun05g185900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSLLTAVASIAASAVVVRSITNEFVPQEILDFFHSGLHNLSRKFSTRFTVVIEEFQGMSRNQVFDAAEAYLTTKATLSAQRVKASKSENDKNLSFGVDRDEEVNDEFEGVQIRWKYICKQAESIRNKHHDMNSSLRSEIRYYELCFPKKHKEKIFSSYFPYVLERAKAIKEENEAVKLHTVDYRDYWKVPGIKLGHPMTFKTLAIDAKLKREIVNDLDKFVKGKEFYKRTGKAWKRGYLLYGPPGTGKSSLIASMSFYLNYDIYDLDLTTVHDNNELKNLILAMSNRSMLVIEDIDCTIKLQNREEDAEAVKNGDNKDC >Vigun05g185900.1.v1.2 pep primary_assembly:ASM411807v1:5:35863909:35866061:1 gene:Vigun05g185900.v1.2 transcript:Vigun05g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSLLTAVASIAASAVVVRSITNEFVPQEILDFFHSGLHNLSRKFSTRFTVVIEEFQGMSRNQVFDAAEAYLTTKATLSAQRVKASKSENDKNLSFGVDRDEEVNDEFEGVQIRWKYICKQAESIRNKHHDMNSSLRSEIRYYELCFPKKHKEKIFSSYFPYVLERAKAIKEENEAVKLHTVDYRDYWKVPGIKLGHPMTFKTLAIDAKLKREIVNDLDKFVKGKEFYKRTGKAWKRGYLLYGPPGTGKSSLIASMSFYLNYDIYDLDLTTVHDNNELKNLILAMSNRSMLVIEDIDCTIKLQNREEDAEAVKNGDNKVTLSGLLNVIDGLWSCCGEERIFIFTTNHKEKLDPALLRPGRMDMHIHLSYCSFSAFEQLAFNYLGISQHKLFDQIEGLLREVQVTPAEIAGELIKISDVREGLQDIVKFLHNKKMLEEQTV >Vigun01g167800.1.v1.2 pep primary_assembly:ASM411807v1:1:34946120:34948314:1 gene:Vigun01g167800.v1.2 transcript:Vigun01g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRAAKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKSQKAGGKGNVSKGAMPKGPKLGGGGGKR >Vigun01g167800.2.v1.2 pep primary_assembly:ASM411807v1:1:34946120:34948314:1 gene:Vigun01g167800.v1.2 transcript:Vigun01g167800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRAAKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALRCVFVNFCLFIPLD >Vigun05g134300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15835638:15837392:-1 gene:Vigun05g134300.v1.2 transcript:Vigun05g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSVDSFYAKLRDSALSSSSSPVLIFPSTSDVDSLCALKIIFHILESDSIQYACYPVSSFHEIHKYVSSSALDDDPLSIVLVNWGNHRDLRKSLSLGPNVRVFVVDSHRPIHLRNLSDQNDAVVVLFTKYDEQQSDLAYDFDLTTLANATAADGDESEPESESESESDDDETGSRKRRKKNEDDEEDPITLYRKMKKDYYRLGTFHGKPSGCLMYELADSLRKNTNELLWLACVSLTDQFVHERLSDERYLDGVMELEQHINSSGNLDVVTSVTLKDGTKIRAPNSSRITYEDQPRLMLLQEWSLFDSMMCSSYIATKLKTWSENGVKKLNLLFGRMGFALTDCHQKFQHMNVEVKRKMKEEFERFLPEYGLTDFYYRSFQRTLKYSSKVSAADVVYGVTALLESFVKSDGSSASKQFGVAYDALSLNNIDSLRTGMQHAIKIQRAILRQGSAAITKNGCIRSGRSFRWLKLEDSSDAKLLGYPQALTKFCYFLMDALRERGATMKPLLCACVSQEPGKVLIVGVCGRPRLAGARGNAFGIAFRTAAEEIGTEFFHELFESSWIVLDASAVNSFMVRLIEKL >Vigun09g133800.1.v1.2 pep primary_assembly:ASM411807v1:9:29319836:29322794:-1 gene:Vigun09g133800.v1.2 transcript:Vigun09g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRVITELLLGLDHATQLKFLLQNPVGPDGSVAAKELVTNVQRSFAQTLSMLTSSQPARGEDEVAQNLLISGEDASQVASIDPRSEDSTESRKRSLPLAKDRRGSYKRRKTEQTWTIVSHTIDDNRAWRKYGQKDILNSQFPRSYFRCTRKYEQGCKATKQVQRLEENPDMYNITYIGFHTCKDSLKAPQMVTCSETWDSFLENSHEDLNVPNEEQQHECPPMRSQSPIVKQECLNDETDPSDLTDANLWSDLKDFELSNDKPALKISDAFMNLLSEFGHEF >Vigun09g092500.5.v1.2 pep primary_assembly:ASM411807v1:9:13130290:13133507:1 gene:Vigun09g092500.v1.2 transcript:Vigun09g092500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPLVSAEDHIVKEEKYSIETPPSVLLPVSQGILVSSSQDSPLLVNNSNYYDAVFNVTETSRGVFVSKNALDPLSSYFEFQMGYSSSVNPMRQFDQNQVGTNSSYGFSSLPCLNTSDHHGNVSVAEFSDNNSASKISSLLKESSSNSSSMSVYPGAGCQMMENAGFSSWECDNKIDPLLQFQVNVVKSEEFKTSSWQEEQFLTQDSIDFTTYPLMSLSEDLRGANFDVFQHM >Vigun09g092500.2.v1.2 pep primary_assembly:ASM411807v1:9:13130199:13133507:1 gene:Vigun09g092500.v1.2 transcript:Vigun09g092500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPLVSAEDHIVKEEKYSIETPPSVLLPVSQGILVSSSQDSPLLVNNSNYYDAVFNVTETSRGVFVSKNALDPLSSYFEFQMGYSSSVNPMRQFDQNQVGTNSSYGFSSLPCLNTSDHHGNVSVAEFSDNNSASKISSLLKESSSNSSSMSVYPGAGCQMMENAGFSSWECDNKIDPLLQFQVNVVKSEEFKTSSWQEEQFLTQDSIDFTTYPLMSLSEDLRGANFDVFQHM >Vigun09g092500.1.v1.2 pep primary_assembly:ASM411807v1:9:13130290:13133147:1 gene:Vigun09g092500.v1.2 transcript:Vigun09g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPLVSAEDHIVKEEKYSIETPPSVLLPVSQGILVSSSQDSPLLVNNSNYYDAVFNVTETSRGVFVSKNALDPLSSYFEFQMGYSSSVNPMRQFDQNQVGTNSSYGFSSLPCLNTSDHHGNVSVAEFSDNNSASKISSLLKESSSNSSSMSVYPGAGCQMMENAGFSSWECDNKIDPLLQFQVNVVKSEEFKTSSWQEEQFLTQDSIDFTTYPLMSLSEDLRGANFDVFQHM >Vigun09g092500.4.v1.2 pep primary_assembly:ASM411807v1:9:13130199:13132168:1 gene:Vigun09g092500.v1.2 transcript:Vigun09g092500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPLVSAEDHIVKEEKYSIETPPSVLLPVSQGILVSSSQDSPLLVNNSNYYDAVFNVTETSRGVFVSKNALDPLSSYFEFQMGYSSSVNPMRQFDQNQVGTNSSYGFSSLPCLNTSDHHGNVSVAEFSDNNSASKISSLLKESSSNSSSMSVYPGAGCQMMENAGFSSWECDNKIDPLLQFQVNVVKSEEFKTSSWQEEQFLTQDSIDFTTYPLMSLSEDLRGANFDVFQHM >Vigun02g148300.1.v1.2 pep primary_assembly:ASM411807v1:2:29579787:29583913:1 gene:Vigun02g148300.v1.2 transcript:Vigun02g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDHHQPLLAEEQEETAYDSADKVVVVGIDEQDGDVEAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATAMGLIIQLLSARLGVATGRHLAELCREEYPAWARIVLWLMTEIALIGSDIQEVIGSAIAIRILSNGVVPLWAGVVVTALDCFIFLFLENYGVRKLEAFFAVLIAVMALSFAWMFGEAKPNGVDVLVGILVPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSRQVDPSKKGRVQEALNYYSIESTIALVVSFVINIFVTTVFAKGFYGTEIANNIGLVNAGQYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIIPTMIVALIFDTSEESLDVLNEWLNVLQSVQIPFALIPLLCLVSKEQIMGSFKIGPVLKIISWLVAALVIVINGYLLVEFFSSEVNGAVFATIVFVLTAAYVAFVLYLISRAITYTPWQSLTGSKTVASTEN >Vigun04g095100.1.v1.2 pep primary_assembly:ASM411807v1:4:19781053:19785083:-1 gene:Vigun04g095100.v1.2 transcript:Vigun04g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQLHAVLLLSIFVGIGLAYPTKEQLRDRITKLPGQPENVVLSQYSGYVTVNEEAGRALFYWLVETQPSTSKPLVLWLNGGPGCSSIAYGAAEEIGPFRINPDGKSLYSNPYAWNKLANILFLDSPAGVGFSYSNTTSDLYTAGDQKTAEDAYIFLVNWLERFPQYKHRDFYIAGESYAGHYVPQLSQVIYRKNKGIENPDINFKGFMVGNAVIDDYHDYIGTFEYWWVNGLISDDTYKLLRIACEFDSAQHPPQKCVEAFELASVEQGNIDPYSIYTPVCNATAATLKRRLRNRLGRLYGAYDPCTERYSEVYFNRPEVQKALHANVTAIPYSWIGCNDIIVENWGDSPLSMLPIYQEILDGGLRIWVYSGDTDSVVPVTASRYSIASLNLPTIINWYPWNDNGEVGGWSQVYKGLTLVAVRGAGHEVPLHRPRQAFTLFKSFLQNKNLPFSTQSLNPHKLRSIRPKTKITMPSSMQDPPI >Vigun08g025000.2.v1.2 pep primary_assembly:ASM411807v1:8:2158625:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDSGYEGPAIEALGSLFKVTQVFLWDRDIQVSYSIEQLKLADNHGSDSVPEESTGLVTPENLELKKQLNELGLPLSFQSNKEKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARQHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun08g025000.4.v1.2 pep primary_assembly:ASM411807v1:8:2158625:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDSGYEGPAIEALGSLFKVTQVFLWDRDIQVSYSIEQLKLADNHGSDSVPEESTGLVTPENLELKKQLNELGLPLSFQSNKEKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEGLCQHFIRDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARQHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun08g025000.5.v1.2 pep primary_assembly:ASM411807v1:8:2160245:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLLKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEGLCQHFIRDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARHCGHVIGIDIDSLKIDYARHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun08g025000.1.v1.2 pep primary_assembly:ASM411807v1:8:2158625:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDSGYEGPAIEALGSLFKVTQVFLWDRDIQVSYSIEQLKLADNHGSDSVPEESTGLVTPENLELKKQLNELGLPLSFQSNKEKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEGLCQHFIRDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARHCGHVIGIDIDSLKIDYARHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun08g025000.3.v1.2 pep primary_assembly:ASM411807v1:8:2158625:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMDSGYEGPAIEALGSLFKVTQVFLWDRDIQVSYSIEQLKLADNHGSDSVPEESTGLVTPENLELKKQLNELGLPLSFQSNKEKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARHCGHVIGIDIDSLKIDYARHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun08g025000.6.v1.2 pep primary_assembly:ASM411807v1:8:2160245:2165222:1 gene:Vigun08g025000.v1.2 transcript:Vigun08g025000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLLKKGSLKGKKKGKRSKHPDTCHNPADKTLYETSVEEIVSPAKFHEETSIPLSCISMLGQSESTYCEGAMDIDMTQNASCEVDNSACSTGLASGVSREINDDNINQVAANDAQDGGFLISNDYVDLKTAPFSDSAESHLTGAGVNYCGAEYDECLIDSECLKVSPTVGKSTDCETTCNDHSDTTCLPHVIESELLPVSSEGIGCDRNDVSNNYAVLGDWMAFWDTYYKRTYFYNISTHTSTWDTPPGMEHLVIGGCTESDDSETLKSSEGCVIENITKAPEETLTEENMEGKQHEECVVEIGVAADNLVSDITTNSENQSLDHSDECLERCSCNCGISCCSVSNMPDHIICSNDRGIQVAPEVNHTPLENTVIDMSGLESKCDPSTLKWGKKVRRQRQKKLCNEAEDLHFQEMPESYSATIAKYWCQRYTLFSRFDDGLMMDEEGWFSVTPEAIAQHQAIRCASDTIIDCFTGVGGNSIQFARHCGHVIGIDIDSLKIDYARHNAAIYGVVDQIDFIVGDFFLLAPKLKADTVFLSPPWGGPDYLKATTYDMKTMLRPHDGHTLFSAAKEIASRIVMFLPRNINFNQLAELSLSSSPPWSLEVEKVYLNNKLKAITAYFSDTTVGGW >Vigun11g107500.1.v1.2 pep primary_assembly:ASM411807v1:11:30632770:30635084:-1 gene:Vigun11g107500.v1.2 transcript:Vigun11g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKIKHSEVEVKGLKLHVAEIGTGSKAVLFLHGFPEIWYTWRHQMIAVANAGYRAIAFDFRGYGLSQQPAEPEKETMFDLVHEIVGLLDALSITKAFLVGKDFGAIPGHLTTVVHPERVAGIISLGIPFMLPGPSAVEGHLLLPKGFYITRWREPGRAEADFGRFPVKSVIRNIYTLFSKSEIPIAADDQEIMDLFDPSTPLPPWFSEEDLETYASLYEKSGFRYALQVPYRSINADAGLSDVKVTVPSLLIVGEKDYVLKFPGMEDYVRSGAVKSFVPDLEITYIPEGNHFVHEQMPEKVNQLIIEFLDKQSV >Vigun07g112100.2.v1.2 pep primary_assembly:ASM411807v1:7:20828820:20831268:1 gene:Vigun07g112100.v1.2 transcript:Vigun07g112100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSNEENTVQLTSCDTGGTAHDVSHSAEGHLIPNPKFFIAASSGRNESHWTCFPKPPHNYVKYLSESSTYLPNTDYMMGGSYLPVGSISDGNVVNGSDTNFFYNDPGKVCAFKPIGSSSNDLDIKKMGFWRADEGEEVIKVETESSQNFLCAESQALWTTDSLGDKHNALHDPTVMVGAPASLPKSGSSSSKEKARVTDRQRRQRIADNLKALHELLPNPAEGSQAYILDDIIDYVKYLQLQIKEQSGSKLQEESTGLRSLYKPTDSERTP >Vigun07g112100.1.v1.2 pep primary_assembly:ASM411807v1:7:20828820:20831268:1 gene:Vigun07g112100.v1.2 transcript:Vigun07g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSNEENTVQLTSCDTGGTAHDVSHSAEGHLIPNPKFFIAASSGRNESHWTCFPKPPHNYVKYLSESSTYLPNTDYMMGGSYLPVGSISDGNVVNGSDTNFFYNDPGKVCAFKPIGSSSNDLDIKKMGFWRADEGEEVIKVETESSQNFLCAESQALWTTDSLGDKHNALHDPTVMVGAPASLPKSGSSSSKEKARVTDRQRRQRIADNLKALHELLPNPAEGSQAYILDDIIDYVKYLQLQIKEQSGSKLQEESTGIPLVFHEGYGHYINQQILNEPLEEIMGKLLEEHSAAAGQLLESKGLFLLPMALVDDLSEAMQMFGGVL >Vigun07g131800.1.v1.2 pep primary_assembly:ASM411807v1:7:24125629:24131155:-1 gene:Vigun07g131800.v1.2 transcript:Vigun07g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFNDILFPPNQTLLRPSLHFPTSFFTSPPRRFPRSRPNPIFRCSIAKESTASPPITRDSVPVDCVVVGGGISGLCIAQALSTKHAKSNVVVTEARDRVGGNITTLERDGYLWEEGPNSFQPSDPMLTMVVDSGLKDELVLGDPDAPRFVLWNGKLRPVPGKPSDLPFFDLMSLGGKIRAGFGALGIRPPPPGHEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEKNGGSIIGGTFKAIQERNAASKPPRDPRLPKPKGQTVGSFRKGLTMLPEAISSRLGNKVKLSWKLTNISKLESGEYSLMYETPEGVVSLQCKTIVLTIPSHVASTLLRPLSTAAADALSKFYYPPVAAVSVSYPKEAIRSECLIDGELKGFGQLHPRTQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNTGILQKTDSELVESVDRDLRKMLINPNAQDPFVLGVRLWPQAIPQFLIGHLDLLDAAKDSLRNTGFEGLFLGGNYVSGVALGRCVEGAYEAAAEVNDYLTNRVYK >Vigun03g221966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36858206:36859135:1 gene:Vigun03g221966.v1.2 transcript:Vigun03g221966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSFHTPTDNNAISQPQQPPPPPPPPPAQNSHSQPRLLVPKPEPFWASGHDDIGDELDLLTEFNRVTELFHLAFGGTNVVVPPYSFGPQPGAPACSHPLPSADVISAVLEDPNSSSSASDASRAIVPVADLTVSVAPRRKLSRQKELVRVMGLSAREQAQLRETVRRTRLVYDSLRVYTAVEEERRVAALAAAAAAREAAPKVEQEEEKVVEGRTRRLRGDLRAAGLMRERGLWLNREQRIVGAIPGIMVGDVFLFRMELCVLGLHGQIQAGIDYLPASMSSSGEPIATSVIVSGVMRMILMRVRI >VigunL059090.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000564.1:30531:30653:1 gene:VigunL059090.v1.2 transcript:VigunL059090.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun03g029400.1.v1.2 pep primary_assembly:ASM411807v1:3:2199288:2201391:-1 gene:Vigun03g029400.v1.2 transcript:Vigun03g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMIEQPRVELSKVAVSETHGENSPYFAGWKAYDENPYDELTNSSGVIQMGLAENQVSFDLLEKYLEEHPEASTWGKSGAPGFRENALFQDYHGLKSFRSAMASFMEQIRGGRAKFDPDRVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCESSNNFQITPQALEAAYDEAEAKNMKVRGVLITNPSNPLGATVQRSILEYLLDFVTEKNIHLVSDEIYSGSVFSSSEFVSVAEILEAREYKEAERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVTTARRMSSFTLISSQTQHLLACMLSDKKFTENYIGTNRERLRKRYQMIIEGLRSVGIECLKGNAGLFCWMNLSPLLEKPNREGELELWNAFLHEVKLNISPGSSCRCSEPGWFRVCFANMSENTLEVALERIRNFMERINKE >Vigun06g090200.1.v1.2 pep primary_assembly:ASM411807v1:6:22256701:22263525:1 gene:Vigun06g090200.v1.2 transcript:Vigun06g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDNMGSKHKHVKFQEDAENMDRRRSSRIVALEEKKQLEKERKIAMALEKKNKSINNDAKNKGKGKATKEILDELSDFNNEEGPAKKARKNKEFCELISSITSIQEIAKQSQNASSSGTNDSSLSGVPLKNILQKIIDFLQRRDVDELFSEPVNPNVVHNYYEIVKQPMDFATMRAKLHEGIYTELEQFKRDIFLICSNATSVHSERSKYHEVAEDISRYSKWTFEALNVDSQHLNPEFSQSKKRQNRKAQKGQQGTPKRVAPKQSGSTNSPETQKRDLYFPPSMPLSFDVLDASKKANIQLNESTTNYKESLLRFVKGLGPVAEKVAAKKLAALQEQQLNTSENVSGTQSMHQPTPIAPTNPVSFNAQTRPLPFPLLHNRPLTIPGSAAQQNRTVNTNNVGRVGMGDRPHQRNKIVTNENWQACAAALLSNIFFQKGEEGSSNANETMDARTLAKGKMVAPVDNVSSLHKPVQENYNRHRLGVNNSSSSNNTMQWNTSTNMPNMFSAGSSRYLPPIVHPTLVISGPQLRPMRSTSLSSGNTPSGLPMMQQPGIQNTVTLPTNNLPLYQSMQENPTSCTSSKNITQTSNLPLYQSMQENPTSCTSSKNFTQTSNLPLYRSMQENPTSCTSSKNITQTSHVHQLWPDDMINLADLRLKPQPEARDSMYGRPSNNPAEVSLMQEPHQPWPANSMSSLNTSMLSSLYQPMQGESVPCNNLSTGSTAFPSLDANFSNDSYNSLYDLGTLHQPMGFQAMMDPENFIDDIWPMDASYEEVMHQAATFTPPSTQGFPDMQMQALNEMNAQVPAPPQQQNSAPPPHMALGGGINFCGGGNATTGQPEEAPPQLSWYQDQLPNLDLEL >Vigun03g006300.1.v1.2 pep primary_assembly:ASM411807v1:3:441266:443391:1 gene:Vigun03g006300.v1.2 transcript:Vigun03g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIHMLYVSSFSLCFLNSSPFQLSKLSQQFKPLNSIPLSGRKQHCNIVRFPRERIRTRATIDDVETDQLSSTPIVENEKAIKDVEDSVKVLKDAAKTRKVAAEEVLSALSIIEKAKIDPSVFFETLGGNKSPGRTWMLIFTAEKKLKGGRYFPLTAVQRFDATAKRIENGVYLGPIGQLTFEGRLSWKKRILAFVFENIRVKVGPFQPLQISLGKKEDREPDTKDPFFIWFYVDEEIAVARGRSGGTAFWCRCRRVNN >Vigun11g197400.1.v1.2 pep primary_assembly:ASM411807v1:11:39647845:39649896:-1 gene:Vigun11g197400.v1.2 transcript:Vigun11g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTRRQGALLCFWLSLSFLVLGFCYGDHSTVELVGLGECTDCNAKNIKTSQAFSGLRVTVECKAESGDFERRGVGEVDENGNFKVSLPHDIVKGDELKEECYAQLLSASAAPCPSHDGPFSTKIVIKSKGVEKHTLRPSGKLKFSSETCASAFFWKHHPLFPKLPPHPPITIPPIVFPPLPPKIFHKHPPPVYTPPPVYTPPPVYSPPPVEPSPPPKVVKPPPCPPKEPPKPPKPSPPKEPPHPKPPKPHPKPPKPCPPKEPPHPKPPKPHPKPPKPSPPKEPPHPKPPKPCPPKVKPPLPPKPPKPPKVKPPVPPPVKPLPPPVPKKPCPPKHPLLPPLKPHPHPLLPPLKPHPLPPLKPLPPLPKLPPKHFFHHPKWPPIPPSSSHP >Vigun03g145600.1.v1.2 pep primary_assembly:ASM411807v1:3:14843275:14848130:-1 gene:Vigun03g145600.v1.2 transcript:Vigun03g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLKISLTTVIVLSIVSIVSHAETSLEVEIEAMKAFKNSITGDPSGALADWVDSRNHCNWTGITCDPSSNHVIAISLVSLQLQGKISPFLGNISGLQVFDITSNSFTGYIPAELGLNTQLTQLFLVDNALSGPIPPQLGSLKSLQYLDLGNNFLNGSLPESIFNCTSLLGIAFNFNNLTGRIPSNIGNLVNLVQIAGFGNNLVGSIPLSVGQLGALLALDFSQNKLSGVIPREIGNLKHLEYLELFQNSLTGKIPFELGKCSNLSSLELSDNQLIGSIPPELGNLVKLEKLKLHKNNLNSTIPSAIFQLKSLKNLGLSQNNLEGTISSEIGYMNSLQVLTLHLNKLTGEIPSSITNLTNLTYLSMSQNLLSGELPSNLGVLRNLQFLVLNTNHFTGSIPPSLTNCTGLVNVSLSFNALSGKIPEGFSRSPNLTFLSLASNKMTGEIPDDLYNCSNLSTLSLAMNNFSGLIKSGIQNLSNLQRLQLNVNSFTGPIPPEIGNLNQLITLSLSENKFSGQIPPELSKLSRLQGLTLHANLLEGTIPDKLSDLKGLTELFLHQNKLVGQIPDTLSKLEMLSYLDLHGNKLNGSIPRSMGKLNQLLVLDLSHNQLTGSIPGDVIAKLKGLQMYLNLSYNHLVGNVSTELGMLEMIQAIDISNNNLSGFIPKTLAGCRNLFNLDFSGNSISGPIPAEAFSHMDLLESLNLSRNHLDGEIPDILAELDHLSSLDLSQNDLKGIIPEGFANLSNLVHLNLSFNQLEGHVPKTGVFAQINASSVMGNQDLCGAQFLPPCRKSKHSLSMKGIIIIASLGSLAILLVLVILILTRGTKLRNSKERDSSVNHEPDYSSTLALKRFSTKELENATSFFSADSIIGASSLSTVYKGQMEDGQVVAIKRLNLQQFSSNTDKIFKREANTLSQLRHRNLIKVLGYAWQSGKMKALVLEYMENGNLDSIIHDNGVDQSVIPRWTLDERVRVFISIAEALDYLHSGYHTPIVHCDLKPSNILLDRDWEAHVSDFGTARILGLHLQEGSTLSSSAAVQGTVGYMAPEFAYTRKVTTKADVFSFGIIVMEFLTKRRPTGLSEENGLPITLRELVAKALADGTEQLMNIVDPMLTWNVTKDYDEVLAELFKLSLCCTIPDPEQRPNMNEVLSALVKLQTTLSC >Vigun09g003200.1.v1.2 pep primary_assembly:ASM411807v1:9:223280:225758:1 gene:Vigun09g003200.v1.2 transcript:Vigun09g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTINHNMATSSNLKNAIIAFLVPLPSILVYLSFQSAISGFPQSPSSPSTLWTWCYHHPLLFANVLFFFNVNLLFWLIGLIQSSHWMIDPYWTVIPVMLVHYYSTHPLAQYNWLRSRTVILLTWLWSIRLIHNYFRREKWQWGAREDWRFTDLSHQYGKHWWWASFFAIYVPQQVFLIGLSFPFYVIHSVNEALGMWDFVALVMCISGVVIAYIADTQLHNFVSRNTELKEAGMAEVAILEKGLWYYCRHPNYFGEQLWWWGVFVFAWKLGHGWTFIGALANSMCLGYVTKLVEERMLKQESREEAYRLYQKTTSTWVPWFKSSPLGLKCKDV >Vigun01g039900.2.v1.2 pep primary_assembly:ASM411807v1:1:5481078:5488239:-1 gene:Vigun01g039900.v1.2 transcript:Vigun01g039900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDRDGNRLIKANFTADGAAKLKESVMEKLKEFMGDYSDDTLVDYVIVLLRNGRRKEQARNDLNVFLGENSDSFVSWLWDHLDLHLDLYVQPEVLLNEAPKKSIVSEVQAGGDDSQHLNSVSERGKSNKLSRSRHNKDWKGLMRGDAEPPPVRSSVVDNSHLEEKGRPEANYGQRSLSPKPPVQRKRGRADEQQRTKRESVSQVNIAAPRRLLQFAVRDAVATSRTANSGMLEPSLKRLRSVVSTSAGDSAMVERPQRMQLASRVANPMATVIKAVAEAAEDVIKSKSSGSVFDRLGRGMDTSDDNRQLEDSYQHQEQNHLFSSHGTDYNGQYAANTPMLGHETGYPSDSNSSDNEVYDDINTMGRRMSGTSQISPSVGSRGNDSVMMQYSLAKTVDDSLHLKRNQEREQHDASPNTSHKIVNIFSVNVNTWKPPKRQEPREVEELDGNKAFYNERGPRSSLRLVKEHANTQNVSNGNVNAALDAQKESSKAHLTASGSNAAGRPSEDVDSRTIFVSNVHFAATKDGLSRHFNRFGEVLKVIIVTDAATGQPKGSAYVEFMRKDAADNALSLDGTSFMSRILKVVKKSAAHHESGPAIAWPRIFRGSAFPSARFSRHPFPRGIPGAFRARPPMKLGARSLQWKRDAQGSDNSSSYMNTGSVAAPAAAPATRGFTYVRTESKPESSLGTT >Vigun01g039900.3.v1.2 pep primary_assembly:ASM411807v1:1:5480882:5488239:-1 gene:Vigun01g039900.v1.2 transcript:Vigun01g039900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDRDGNRLIKANFTADGAAKLKESVMEKLKEFMGDYSDDTLVDYVIVLLRNGRRKEQARNDLNVFLGENSDSFVSWLWDHLDLHLDLYVQPEVLLNEAPKKSIVSEVQAGGDDSQHLNSVSERGKSNKLSRSRHNKDWKGLMRGDAEPPPVRSSVVDNSHLEEKGRPEANYGQRSLSPKPPVQRKRGRADEQQRTKRESVSQVNIAAPRRLLQFAVRDAVATSRTANSGMLEPSLKRLRSVVSTSAGDSAMVERPQRMQLASRVANPMATVIKAVAEAAEDVIKSKSSGSVFDRLGRGMDTSDDNRQLEDSYQHQEQNHLFSSHGTDYNGQYAANTPMLGHETGYPSDSNSSDNEVYDDINTMGRRMSGTSQISPSVGSRGNDSVMMQYSLAKTVDDSLHLKRNQEREQHDASPNTSHKIVNIFSVNVNTWKPPKRQEPREVEELDGNKAFYNERGPRSSLRLVKEHANTQNVNAALDAQKESSKAHLTASGSNAAGRPSEDVDSRTIFVSNVHFAATKDGLSRHFNRFGEVLKVIIVTDAATGQPKGSAYVEFMRKDAADNALSLDGTSFMSRILKVVKKSAAHHESGPAIAWPRIFRGSAFPSARFSRHPFPRGIPGAFRARPPMKLGARSLQWKRDAQGSDNSSSYMNTGSVAAPAAAPATRGFTYVRTESKPESSLGTT >Vigun01g039900.1.v1.2 pep primary_assembly:ASM411807v1:1:5480883:5488239:-1 gene:Vigun01g039900.v1.2 transcript:Vigun01g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDRDGNRLIKANFTADGAAKLKESVMEKLKEFMGDYSDDTLVDYVIVLLRNGRRKEQARNDLNVFLGENSDSFVSWLWDHLDLHLDLYVQPEVLLNEAPKKSIVSEVQAGGDDSQHLNSVSERGKSNKLSRSRHNKDWKGLMRGDAEPPPVRSSVVDNSHLEEKGRPEANYGQRSLSPKPPVQRKRGRADEQQRTKRESVSQVNIAAPRRLLQFAVRDAVATSRTANSGMLEPSLKRLRSVVSTSAGDSAMVERPQRMQLASRVANPMATVIKAVAEAAEDVIKSKSSGSVFDRLGRGMDTSDDNRQLEDSYQHQEQNHLFSSHGTDYNGQYAANTPMLGHETGYPSDSNSSDNEVYDDINTMGRRMSGTSQISPSVGSRGNDSVMMQYSLAKTVDDSLHLKRNQEREQHDASPNTSHKIVNIFSVNVNTWKPPKRQEPREVEELDGNKAFYNERGPRSSLRLVKEHANTQNVSNGNVNAALDAQKESSKAHLTASGSNAAGRPSEDVDSRTIFVSNVHFAATKDGLSRHFNRFGEVLKVIIVTDAATGQPKGSAYVEFMRKDAADNALSLDGTSFMSRILKVVKKSAAHHESGPAIAWPRIFRGSAFPSARFSRHPFPRGIPGAFRARPPMKLGARSLQWKRDAQGSDNSSSYMNTGSVAAPAAAPATRGFTYVRTESKPESSLGTT >Vigun04g114400.1.v1.2 pep primary_assembly:ASM411807v1:4:28685851:28688749:-1 gene:Vigun04g114400.v1.2 transcript:Vigun04g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINITSREAIKPSVPTSAECKTLKLCLLDGFQHNTYFPLILFYSKTTNLQGFSDVSTQLKKSLSEALTIFYPLAGRRRDYVSIDCNDEGAIFMEASVNTTMELFLKPPKLELLNQLLPCEPNKCHPHEEVLPQLLVQVNKFQCGGIAIGLCNLHILLDVYSCSAFLKTWFAICKGSKGEISWPDFPSAASAFPPRNTTGIRAGIMNIKKDSKIEENCSTRRFLFDHKAINELKSMSTSDETKPTRYQVVSSFISKHMIVAIKEQCCDKTRPMVACHTVDIRRRMGQPFSKGVVGNLVWPALVVLEGVNKNTEIIDLVKILKEGLAKLTKDLFLKLQNDPSFLWSDEYAELMLEGIASNKPISLVFTSWANMGFNEVDFGCGKPFWLSHRGGTKESISNTVILMETREGIEAWIRMSEQHIAILEDDVDFLRFALFNPSVLL >Vigun03g281300.1.v1.2 pep primary_assembly:ASM411807v1:3:46080724:46085968:-1 gene:Vigun03g281300.v1.2 transcript:Vigun03g281300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIDTADKKVLADIVKLAQKKGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEEEDLKFFDNIMRHHENQYMLERLKDKSHESPEQRLVQITLQHPLYPLDYSSPSIDEGWIVINVKNKPKVMKSTTMLAVDCEMVLCEDGTDAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSMKKLLSKGTILVGHSLHNDLRVLKLDHVRVIDTSYIFQSVDGSTHRRPSLNGLCQAVLGCPVREKGAPHNCLDDARAAMNLVLAKIKHGVDREFPISLAQERVPENETAKLFLHKIPNAVNTEALHTIVPGEFRTELQPTRKVQGRHYSALAVFKNTQEAEDAYEKVEGIQLKDNQGRPQKLVTFLPSKGMPVTLYVRKMVSDEPPNDQNGSNKRALQTDEAVDVSKKAKIDKNTEENAPSCDVHLNEIEALNQRLKQSELEIESLKKELTQKDFEITTLHKMVASLNKRRK >Vigun03g281300.2.v1.2 pep primary_assembly:ASM411807v1:3:46080724:46085968:-1 gene:Vigun03g281300.v1.2 transcript:Vigun03g281300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIDTADKKVLADIVKLAQKKGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEEEDLKRLVQITLQHPLYPLDYSSPSIDEGWIVINVKNKPKVMKSTTMLAVDCEMVLCEDGTDAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSMKKLLSKGTILVGHSLHNDLRVLKLDHVRVIDTSYIFQSVDGSTHRRPSLNGLCQAVLGCPVREKGAPHNCLDDARAAMNLVLAKIKHGVDREFPISLAQERVPENETAKLFLHKIPNAVNTEALHTIVPGEFRTELQPTRKVQGRHYSALAVFKNTQEAEDAYEKVEGIQLKDNQGRPQKLVTFLPSKGMPVTLYVRKMVSDEPPNDQNGSNKRALQTDEAVDVSKKAKIDKNTEENAPSCDVHLNEIEALNQRLKQSELEIESLKKELTQKDFEITTLHKMVASLNKRRK >Vigun04g063700.1.v1.2 pep primary_assembly:ASM411807v1:4:6903321:6911408:-1 gene:Vigun04g063700.v1.2 transcript:Vigun04g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMEEMLLSSWYHVHSTVPSSYVQLPENRPGMVVSSLHEAIPVIDLGKRGRADLTKQILKASEEYGFFQVINHGVSEDLMDETLNIFKEFHAMPSKEKVKECSKDPNGSCKIYTSGENYKKDAINYWKDSLMHPCPPSGENFEYWPQKPSNYREIVGKYSREMNKLTLEILEIVCEGLGLEPRFFCDGLCENPSVAAHHYPPCPEPTLTLGLAKHKDPTIITILLQDKEVEGLQVLKDGTWIGVHPIPNAFVVNIGLLLQIISNGRLVGVEHRAVTNSSIARTSVVYFVYPSFESIIEPAQALLKGNNTPPLYKSITCREFRANFFQKGPKVEEDLK >Vigun08g213900.1.v1.2 pep primary_assembly:ASM411807v1:8:37464447:37468575:-1 gene:Vigun08g213900.v1.2 transcript:Vigun08g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTRAEYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALNIDSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHICSFYIRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMATLEAPEDESIKTLYVGGLDARVTEQDLRDHFYAHGEIESIKMVLQRACAFVSYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQTSKPESDGSDQARQQASVAHSGLLPRAVISQQQNQDQTQGTFFYNNPPPMQQERSYYPSMDPQRMGALISSQDGPPGGPSGSGEDKSSLEKQQMQHYARPMMPPPPGQYHHQYYSPYGYMPPPGPYQQYPPPPYNTAVPPSQPPAANHTYQHSTQPGSSQTGSVQAAHAPSESGTSTSGSQQQ >Vigun01g218200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39191373:39191756:1 gene:Vigun01g218200.v1.2 transcript:Vigun01g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHQHLTYSGRPGTPATTPTTINDHLHRCITTPPRRLLTPETSPLFPAAQRLVLAHASTPTPKDRHLVISPPPATAVAGDTSVYHGRWRREPMRPSLSFPSCATRTASARTPGPLPLVLVLRSKL >Vigun09g120000.1.v1.2 pep primary_assembly:ASM411807v1:9:26465638:26470381:-1 gene:Vigun09g120000.v1.2 transcript:Vigun09g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSANWGLLLLLLFFTTSTSSAWFGTKHKSSAGRFRSDEASSSSSSPSPFLLNRFRSGSAVVFPVHGNVYPVGFYNVTLNIGQPPRPYFLDIDTGSDLTWLQCDAPCSRCSQTPHPLYRPSNDLVPCRHALCASLHHNDNYDCEVPHQCDYEVEYADHYSSLGVLLHDVYTLNFTNGVQLKVRMALGCGYDQIFPDPSHHPLDGMLGLGRGKTSLTSQLNSQGLARNVVGHCLSAQGGGYIFFGEVYDSSRLTWTPMSSRDYKHYSAAGAAELLFGGKRTGVGNLHAIFDTGSSYTYFNSNAYQLLISWLRKESGGKPLKEAQGDQTLPLCWHGKRPFKSIYEVRKYFKPITLSFSSNGRSKAQFEIPPEGYLIISNMGNVCLGILNGSEVGMGDLNLIGDISMLNKVMVFDNDKQLIGWTSADCDRVPKSRDVSI >Vigun08g208900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37179617:37181209:-1 gene:Vigun08g208900.v1.2 transcript:Vigun08g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKHSLLRSTPKQVSLFFVSHFHSTLFTPSPKQVFQTHWWGYPKSFKPSFTFTPFCRHSVSTLHSGSSVEQIEDDEVIERDVWMKMKDEARFDVTVEPILSSYYNTSILSHKSLETALANHLAVKLSSASLPSSTLSDLFVTVLETDEAMMGAVKSDLVAVKERDPACLSHVHCFLNFKGFLACQAHRVAHKLWLQRRKVLAVMIQNRVSEVFAVDIHPGAKIGSGILLDHATGIVVGETAVIGNNVSILHSVTLGGTGKVSGDRHPKIGDGVLIGAGTCILGNIRVGEGAKIGAGSVVIKDVPPRTTVVGNPAKLVGGKNNPVKLDKIPSLTMDHTSHISDFYDYCV >Vigun04g104300.2.v1.2 pep primary_assembly:ASM411807v1:4:24674109:24677986:-1 gene:Vigun04g104300.v1.2 transcript:Vigun04g104300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPDEVIEQIFGYVTSQRDRNALSLVCKNWHKLERCSRKSVFIGNCYSISPERVIERFPELKSLTLKGKPHFADFNLVPHGWGGFVYPWIEALVKNGVDLEELRLKRMVVTDEGLDLLSRSFMNFKSLVLISCEGFTTTGLATIAANCRFLRELDLQENEVDDHRGQWLSCFPDCCTTLESLNFACLKGEINLGALERLVARSPNLKSLRLNHTVPLSALQRILMQAPQLVDLGIGSFIHDPHSDVYTRLRNTIKKCKSMTSLSGFFEVLPGCLRAIYPVCMNLTALNLSYAAGIHWRELIKLICLCGKLQRLWIMDYIGDYGLGVVASACKDLQELRVFPSVLIGLNGPAGVSEKGLVAISKGCSKLHSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPKKPDPDTMQPLDEGFGAIVQSCKQLRRLSLSGRLTDRVFLYIGVYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDSPFGDSALLSDIGKYETMRSLWMSSCDVTIGACKALAEKMQRLNVEIFNGDEKVDSNVEDGQKVQKMYLYRTLAGRRNDAPEHVWTL >Vigun04g104300.3.v1.2 pep primary_assembly:ASM411807v1:4:24674109:24677986:-1 gene:Vigun04g104300.v1.2 transcript:Vigun04g104300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDEGLDLLSRSFMNFKSLVLISCEGFTTTGLATIAANCRFLRELDLQENEVDDHRGQWLSCFPDCCTTLESLNFACLKGEINLGALERLVARSPNLKSLRLNHTVPLSALQRILMQAPQLVDLGIGSFIHDPHSDVYTRLRNTIKKCKSMTSLSGFFEVLPGCLRAIYPVCMNLTALNLSYAAGIHWRELIKLICLCGKLQRLWIMDYIGDYGLGVVASACKDLQELRVFPSVLIGLNGPAGVSEKGLVAISKGCSKLHSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPKKPDPDTMQPLDEGFGAIVQSCKQLRRLSLSGRLTDRVFLYIGVYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDSPFGDSALLSDIGKYETMRSLWMSSCDVTIGACKALAEKMQRLNVEIFNGDEKVDSNVEDGQKVQKMYLYRTLAGRRNDAPEHVWTL >Vigun04g104300.1.v1.2 pep primary_assembly:ASM411807v1:4:24674109:24677986:-1 gene:Vigun04g104300.v1.2 transcript:Vigun04g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPDEVIEQIFGYVTSQRDRNALSLVCKNWHKLERCSRKSVFIGNCYSISPERVIERFPELKSLTLKGKPHFADFNLVPHGWGGFVYPWIEALVKNGVDLEELRLKRMVVTDEGLDLLSRSFMNFKSLVLISCEGFTTTGLATIAANCRFLRELDLQENEVDDHRGQWLSCFPDCCTTLESLNFACLKGEINLGALERLVARSPNLKSLRLNHTVPLSALQRILMQAPQLVDLGIGSFIHDPHSDVYTRLRNTIKKCKSMTSLSGFFEVLPGCLRAIYPVCMNLTALNLSYAAGIHWRELIKLICLCGKLQRLWIMDYIGDYGLGVVASACKDLQELRVFPSVLIGLNGPAGVSEKGLVAISKGCSKLHSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPKKPDPDTMQPLDEGFGAIVQSCKQLRRLSLSGRLTDRVFLYIGVYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDSPFGDSALLSDIGKYETMRSLWMSSCDVTIGACKALAEKMQRLNVEIFNGDEKVDSNVEDGQKVQKMYLYRTLAGRRNDAPEHVWTL >Vigun04g127800.1.v1.2 pep primary_assembly:ASM411807v1:4:32184631:32187118:-1 gene:Vigun04g127800.v1.2 transcript:Vigun04g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSCTSLNSFFLFSSILFTSYMISSEAEANPPAVNGLSYSFYSQTCPNLQTIVTNHLTKVFQQTHWQAPALLVIFFHDCFVQGCDGSLLLDGNPGERDEPLNRGISSKAVKTIDELREIVHKECGRIVSCADITVLAARDAVFLSGGPYFNVPLGRRDSLNFSIEEAKNLPLPYNTTDVTLKTFESKNLDVTDVVALSGAHTIGRAHCHTFYNRVSPQDPTMDKTLAKLLNSTCPTTYSRNTFPLDFTTPQLFDNNYYINLINHQGLFTSDQHLFTDNRTKGLVEAFALDQTLFFQKFAHAFVKMSQLGVLTRNQGEIRAKCNVVNDERSVVKSFVDEIVQLPN >Vigun10g199200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41149663:41150100:-1 gene:Vigun10g199200.v1.2 transcript:Vigun10g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRSFSICAPKIIKKIPNHIKKSSFSRSLSCHIQHHSKKPLLGSGETSDGAAARNAKVPKGFIAVYVGPDFRRFIIPVRFLAMPDFTALMESVAEEYGCDHHGAIHIPCDEDYFEQILTSCSHRKRALLPPKKLSDIPIISSH >Vigun08g193300.1.v1.2 pep primary_assembly:ASM411807v1:8:36029275:36032775:-1 gene:Vigun08g193300.v1.2 transcript:Vigun08g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPIFFTPPCSPLPMQECSPPSPMQSSSTFRANSASSRKILRRTRSAPCLLFAQTQVDEPSEPPQMSPIFIIRKSLISLYMYMLVGVVVYIHSGSLKGTNTHPYVDAAYFTMVTLCTVGYGDMVPNSNYIRIFTSVFILLGFANIGFLLNGLVAHICNSQEKFWLKVLHKTRYTKIIRRYIADEEGGGRMRTRTKVFLALTVITSCIAVGAVALHLLEDLSWEDSIYLSVTTVTTVGYGDFSMKTVGGRCFAIIWLLVSTLAVAKAFTYFTEYNIEERNRNMEKWILHKKLRLSDLLSADLDNDGSIRMPEFVLYKLKQMGKITQSDIQQIRKEFDSLGHGKITLSDLMRNV >Vigun07g200100.9.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.16.v1.2 pep primary_assembly:ASM411807v1:7:32082136:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.20.v1.2 pep primary_assembly:ASM411807v1:7:32082148:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.10.v1.2 pep primary_assembly:ASM411807v1:7:32081724:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.13.v1.2 pep primary_assembly:ASM411807v1:7:32080753:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.12.v1.2 pep primary_assembly:ASM411807v1:7:32082213:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.7.v1.2 pep primary_assembly:ASM411807v1:7:32082136:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.4.v1.2 pep primary_assembly:ASM411807v1:7:32081724:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.21.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSLERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.3.v1.2 pep primary_assembly:ASM411807v1:7:32082114:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMSYALTILFTVNRSKSGVWGKESVSCYDRGDIAKRLCTAAEVKFFLDSLLGKSVSANYLKPNKNCNLTSWVSGCEPGWACSDLSSHKVDLKNSKEIPARTSNCQPCCEGFFCPHGITCMIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.17.v1.2 pep primary_assembly:ASM411807v1:7:32082213:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.2.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.18.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.5.v1.2 pep primary_assembly:ASM411807v1:7:32082114:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.11.v1.2 pep primary_assembly:ASM411807v1:7:32082114:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.6.v1.2 pep primary_assembly:ASM411807v1:7:32082136:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.1.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWSTNSKPLWVPIVLFSLFLWMTQLQCQQMNDYDQIDSPAVLPLVTQLVYSQISNLTSIISQEISRESTFCVKDPDADWNQAFNFSTDLDFLASCIKKTRGDIAKRLCTAAEVKFFLDSLLGKSVSANYLKPNKNCNLTSWVSGCEPGWACSDLSSHKVDLKNSKEIPARTSNCQPCCEGFFCPHGITCMIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.8.v1.2 pep primary_assembly:ASM411807v1:7:32082222:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCPLGSYCPLAKLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADVSSSSDIFCSAGSYCPTTTKIISCSSGHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.15.v1.2 pep primary_assembly:ASM411807v1:7:32082136:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.19.v1.2 pep primary_assembly:ASM411807v1:7:32080754:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun07g200100.14.v1.2 pep primary_assembly:ASM411807v1:7:32080753:32088655:1 gene:Vigun07g200100.v1.2 transcript:Vigun07g200100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTHYCRMGSTSEKRCFKLSSCNSNTATQNMRAYGIMLIAALSTLLLIIYNCSDQVLTTRERRVAKSREAAARSARKTANARQRWQFAKDATKKGAMGLQAQLSRTFKKDAANLEKVKILAQANSEADVELLSHSRPTTSSMVASSSVATNKKGKEPSGLMQIIQEIENDPDIEDNINTEVETRGRSISANVSKGKQPHTHSQIFKYAYSQLEKEKAQQQENKKLTFSGVIKMATNTERRKRPLIEISFKDLTLTLKAQNKHILRYVTGKIKPGRITAVMGPSGAGKTTFLSALAGKALGCSVTGSILINGRNESIHSFKKITGFVPQDDVVHGNLTVEENLWFSAQCRLSVDLSKPEKVLVVERVIEFLGLQSVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALYKMFDDLILLGKGGLTVYHGSAKKVEEYFLGLGMNIPERINPPDYFIDILEGLTTPGGSSGLSYKDLPVRWMLHNGYPIPLDMRQNAVQYDMSHSVNSASEIDPHGSSHADKTFAGELWRDMRNNVELSGEKIRHNFFKSKDLSDRKTPGLFKQYKYFLIRVGKQRLREARMQAIDYLILLLAGACLGSLTKGSEQTFGAAGYTYTVIAVSLLCKIAALRSFSLEKLHYWRESDSGMSSLAYFLSKDTIDHFNTVIKPVVYLSMFYFFTNPRSTFADNYIVLLCLVYCVTGIAYALSIFFEPGAAQLWSVLLPVVLTLVATQPNDSKILKDLANLCYSKWALQALVVANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILIFMGVIGRAIAFFCMVTFRKK >Vigun06g192600.4.v1.2 pep primary_assembly:ASM411807v1:6:30962230:30966764:1 gene:Vigun06g192600.v1.2 transcript:Vigun06g192600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDSLSLNMWESLSCMIHASVPNTKSSFLRKESLSTVTFQDYGVTIQLYRTPYLVDIIRENVGRVLTLNSIVAGNAWKGMDLLIFNSWHWWTHTGRSQGWDYIRDGPNLVKNMDRLEAYNKGLTTWAKWVDLNVDTSKTKVFFQGISPTHYQGKDWNQPKRSCSGELQPLSGSTYPAGLPPATTILNSVLKKMNTRVYLLDITLLSQLRKDAHPSAYSGDHAGNDCSHWCLPGLPDTWNQLLYAALTS >Vigun06g192600.3.v1.2 pep primary_assembly:ASM411807v1:6:30962135:30966764:1 gene:Vigun06g192600.v1.2 transcript:Vigun06g192600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTEDFSSQAKRFDGADFLNRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNTKSSFLRKESLSTVTFQDYGVTIQLYRTPYLVDIIRENVGRVLTLNSIVAGNAWKGMDLLIFNSWHWWTHTGRSQGWDYIRDGPNLVKNMDRLEAYNKGLTTWAKWVDLNVDTSKTKVFFQGISPTHYQGKDWNQPKRSCSGELQPLSGSTYPAGLPPATTILNSVLKKMNTRVYLLDITLLSQLRKDAHPSAYSGDHAGNDCSHWCLPGLPDTWNQLLYAALTS >Vigun06g192600.1.v1.2 pep primary_assembly:ASM411807v1:6:30962200:30966764:1 gene:Vigun06g192600.v1.2 transcript:Vigun06g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKHTQTVIHIFFISFSAFRLFILHSCMGFRVSTLCLLTLLCCLLSLHQARAAKFHNVSGLGGKKAVIANGCNFFSGSWVVDPSYPLYDSSTCPFIDPEFDCQKYGRPDKQYLKYAWKPDSCSLPRFDGADFLNRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNTKSSFLRKESLSTVTFQDYGVTIQLYRTPYLVDIIRENVGRVLTLNSIVAGNAWKGMDLLIFNSWHWWTHTGRSQGWDYIRDGPNLVKNMDRLEAYNKGLTTWAKWVDLNVDTSKTKVFFQGISPTHYQGKDWNQPKRSCSGELQPLSGSTYPAGLPPATTILNSVLKKMNTRVYLLDITLLSQLRKDAHPSAYSGDHAGNDCSHWCLPGLPDTWNQLLYAALTS >Vigun06g192600.2.v1.2 pep primary_assembly:ASM411807v1:6:30962030:30966764:1 gene:Vigun06g192600.v1.2 transcript:Vigun06g192600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTEDFSSQAKRVSTLCLLTLLCCLLSLHQARAAKFHNVSGLGGKKAVIANGCNFFSGSWVVDPSYPLYDSSTCPFIDPEFDCQKYGRPDKQYLKYAWKPDSCSLPRFDGADFLNRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNTKSSFLRKESLSTVTFQDYGVTIQLYRTPYLVDIIRENVGRVLTLNSIVAGNAWKGMDLLIFNSWHWWTHTGRSQGWDYIRDGPNLVKNMDRLEAYNKGLTTWAKWVDLNVDTSKTKVFFQGISPTHYQGKDWNQPKRSCSGELQPLSGSTYPAGLPPATTILNSVLKKMNTRVYLLDITLLSQLRKDAHPSAYSGDHAGNDCSHWCLPGLPDTWNQLLYAALTS >Vigun07g196800.1.v1.2 pep primary_assembly:ASM411807v1:7:31667399:31670826:1 gene:Vigun07g196800.v1.2 transcript:Vigun07g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSTTPLPHPPLLPDPHRQPLHHHHHHPVPLIQGAASAAPPSSSSSLAREYRKGNWTIQETLILITAKKLDDERRLKTPHDPTRPACTSPSSSARTSGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYESKSQQQHQHQHSHEIKHFPSYWTLNKQQRKEQNLPSNMVYEVYHAITEVLQRKQPQPQSQSQTQIQRQPQLQPQPPVALITDSSPPPPPPPPVSSTPPAVSERSESSEGTEHSEDDADDGSESKRRKVKNLGSSIMRGASVLARALRSCEEKKEIRHRELIELEQRRLQMEEARTEVHRQGIATLVAAVTNLSGAIQSLINSERHGQR >Vigun10g157000.1.v1.2 pep primary_assembly:ASM411807v1:10:37648820:37654058:1 gene:Vigun10g157000.v1.2 transcript:Vigun10g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPKLPYAGISDSGHHSSLSKKSFYKKKLFLTLFATLLVAASVAAIVAGVKNKKQKSDSSSSSTPLSLSHQSHAILKSACSSTFYSELCFSAVASEPNVTHKITSHRDVIQLSLNITTRAVEHNYFTVKKLTTKHGLTEREKTALHDCLETIDETLDELREAQRDLELYPNKKTLYQHADDLKTLISAAITNQVTCLDGFSHEDADKRIRKALEKGQVHVEHMCSNALAMTKNMTDTDIANFEQNMKLRDNKVGQNRKLLAEESNAGADGGVVWPEWISAADRRLLQATAVKADVVVAADGSGDFKTVSEAVDAAPLKSSKRFVIRIKAGVYKENVEVPKKKTNIMFLGDGRTTTIITASRNVVDGSTTFHSATVAVVGENFLARDITFQNTAGPSKHQAVALRVGGDLSAFYNCDILAYQDTLYVHNNRQFFVKCLVAGTVDFIFGNSAVVFQDCDIHARRPDSGQKNMVTAQGRVDPNQNTGIVIQKCRIGATSDLESVKKSFKTYLGRPWKEYSRTVFMQSSISDVIDPVGWHEWSGNFALSTLVYREYQNTGPGAGTSNRVSWKGFKVITDAAEARAFTPGSFIGGSSWLDSTGFPFSLGL >Vigun08g107900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26847889:26849241:-1 gene:Vigun08g107900.v1.2 transcript:Vigun08g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLHPQHQPFQFSRECQTSEDDDSRNSGGPNLAAQKPVSAGDGATIEVVRRPRGRPPGSKNKPKPPLIITREPDPSMSPFILEIPGGNDVVDALARFSRRKNTGLCVLTGSGTVGNVTLRQPSVAPAGTVTFHGRFDILSMSATFLLHAPPPPVPPSTFSVSLAGPQGQIVGGHVAGRLLAAGTVFIIAASFNNPSFHRLSSEDDAQNNSASGGGVGDAQSPPVSGGGAESGQLPAESCMYSCHLPSDVIWAPTARPPF >Vigun08g192500.1.v1.2 pep primary_assembly:ASM411807v1:8:35977517:35985546:-1 gene:Vigun08g192500.v1.2 transcript:Vigun08g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEQEGLLRSQNKIAMASPAAARSPVSATASPIQRLSTFKNPSTGAVSVTNPTSSALDSLASDPIFSAFLSPSFSSTSFSSAALSSGSPASTAEKLHHAIRLLENQLRSEVLSRHNDLLSQLSSLHHADHALSTLRSALSSLQSSVRRLRSELSDPHRSVAAKTAQLSNLHLTTELLQHSIRALRLSKKLRDLMAADPEKLDLAKAAQLHYEILSLCDEYDLGGIDAVDEELDWVRKTGDLLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKITVEQVVNKYKSLGAKSVSVALDMKAVTGGSGYGPGGIRGSGTPHIGGGAKAREALWHRLGNCMDQLHSIAVAVWHLQRVLSKKRDPFSHVLLLDEVIQEGDPMLTERVWEAITKAFASQMKSAFTASSFVKEIFTMGYPKLYSMIENLLERISHDTDVKGVLPAINSSGKEQIISAVEIFQNAFLAHCLSRLSDLVNSVFPMSSRGSVPSKEQISRIITRIQEEIEAVQVDARLTLLVLREVGKVLILLAERAEYQISTGPESRQVSGPATPAQLKNFTLCQHLQDVHTRISSMLKGMPSIAADVLSASLGALYGVACDSVTSLFQAMLDRLESCILQIHDQNFGAHGMDAAMDNNSSPYMEELQKCILHFRSEFLSRLLPSRNSVAPGTENISTRLVQSMASRVLVFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSHLASSPLLQDLPPNVILHHLYTRAPEELQSPMQRNKLTPLQYSLWLDSQWEDQIWKGIKATLDDYATNVRSRGDKEFSPVYPLMLQLGSSLVEKDQTSSKS >Vigun05g212300.1.v1.2 pep primary_assembly:ASM411807v1:5:40313489:40315950:-1 gene:Vigun05g212300.v1.2 transcript:Vigun05g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSYPENNHTVIVERHKDNFIRTCPTCGHHVKCQEQAAGIHDLPGLPAGVKFDPTDQEILEHLEAKVRSDIHKLHPLIDEFIPTLEGENGICCTHPEKLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDADGSETRWHKTGKTRPVYISGKLKGYKKILVLYTNYRKQRKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGSLMKDSYSAKLNGESVHEVTNHKSTGFIEFYNNSFVSFEQGDQHRPSSAQLVTHFPVHDGATFIP >Vigun08g087600.4.v1.2 pep primary_assembly:ASM411807v1:8:19749868:19754564:-1 gene:Vigun08g087600.v1.2 transcript:Vigun08g087600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFEANIGLLDPMMDKKRWLWKRKSSEKSPDETESSGSVSSHSGRYSDEQEALKESPSRSNHSPDVTSKATVYAEAVDYCSFINEQLPEEVTSKTVPATGIASDDSSEKDENGENENIKDVKEDVLNDGLRNMSEKLSAALVNVNAKEDYAKVAEEAIAGWEKAEKEVAVLKKQLDTAILRNSFLEDRVTHLDGALKECVRQLSQTIEEQEESIYDAVAKKTQELESAKIKLESKLTELQKKLDASEARSSIDFDMYRKVEYLEKENMTLKHEIFVQSEELEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLRSVASKASLVNDHKSIVQSSFSVESLTDSQSDSAERHTAVEIEGHKMNGSEPNRFEPSCSDSWASALIAELDQFKNEKCRQTPSCSVKLDLMDDFLEMERLVALPETKKESLVQESVVINQSINKESSLSVDFEIMIEHIDELKEKLEKVEADKAELQIALMKSEEIIEESQLQLKEAGEKLEELQRELENAYNSKQRVEDHLLDIVTEAQTLSVKVEFLESEVDKERAVSGEIAMKFRDLEEELERKSAEVNTLEAELDKKKAVSNKIAMKCKDLEKELENKSDKVDLLEAEVGKEREESDKIAKKLKGMEQVLDSKSAKVDVLEAEVAKQKDVSDKIAKKCKDLEEVVENKSAKVELLEAEVDKERTVSDKISLRCKDLEEKLESKSAKVDLLEEEVHKERTTSKEIAMKCRKLEDELLRSTASSYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPSLIAQAGGEMWKLHSNGTFSPKRDSISSRLADGSSCSPLNKNEETSPLSSSSSTSSPAMPNHVSSERSRNGFAKFFSRTKSGIRLQI >Vigun08g087600.5.v1.2 pep primary_assembly:ASM411807v1:8:19749840:19754678:-1 gene:Vigun08g087600.v1.2 transcript:Vigun08g087600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFEANIGLLDPMMDKKRWLWKRKSSEKSPDETESSGSVSSHSGRYSDEQEALKESPSRSNHSPDVTSKATVYAEAVDYCSFINEQLPEEVTSKTVPATGIASDDSSEKDENGENENIKDVKEDVLNDGLRNMSEKLSAALVNVNAKEDYAKVAEEAIAGWEKAEKEVAVLKKQLDTAILRNSFLEDRVTHLDGALKECVRQLSQTIEEQEESIYDAVAKKTQELESAKIKLESKLTELQKKLDASEARSSIDFDMYRKVEYLEKENMTLKHEIFVQSEELEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLRSVASKASLVNDHKSIVQSSFSVESLTDSQSDSAERHTAVEIEGHKMNGSEPNRFEPSCSDSWASALIAELDQFKNEKCRQTPSCSVKLDLMDDFLEMERLVALPETKKESLVQESVVINQSINKESSLSVDFEIMIEHIDELKEKLEKVEADKAELQIALMKSEEIIEESQLQLKEAGEKLEELQRELENAYNSKQRVEDHLLDIVTEAQTLSVKVEFLESEVDKERAVSGEIAMKFRDLEEELERKSAEVNTLEAELDKKKAVSNKIAMKCKDLEKELENKSDKVDLLEAEVGKEREESDKIAKKLKGMEQVLDSKSAKVDVLEAEVAKQKDVSDKIAKKCKDLEEVVENKSAKVELLEAEVDKERTVSDKISLRCKDLEEKLESKSAKVDLLEEEVHKERTTSKEIAMKCRKLEDELLRSTASSYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPSLIAQAGGEMWKLHSNGTFSPKRDSISSRLADGSSCSPLNKNEETSPLSSSSSTSSPAMPNHVSSERSRNGFAKFFSRTKSGIRLQI >Vigun08g087600.2.v1.2 pep primary_assembly:ASM411807v1:8:19749814:19755085:-1 gene:Vigun08g087600.v1.2 transcript:Vigun08g087600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFEANIGLLDPMMDKKRWLWKRKSSEKSPDETESSGSVSSHSGRYSDEQEALKESPSRSNHSPDVTSKATVYAEAVDYCSFINEQLPEEVTSKTVPATGIASDDSSEKDENGENENIKDVKEDVLNDGLRNMSEKLSAALVNVNAKEDYAKVAEEAIAGWEKAEKEVAVLKKQLDTAILRNSFLEDRVTHLDGALKECVRQLSQTIEEQEESIYDAVAKKTQELESAKIKLESKLTELQKKLDASEARSSIDFDMYRKVEYLEKENMTLKHEIFVQSEELEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLRSVASKASLVNDHKSIVQSSFSVESLTDSQSDSAERHTAVEIEGHKMNGSEPNRFEPSCSDSWASALIAELDQFKNEKCRQTPSCSVKLDLMDDFLEMERLVALPETKKESLVQESVVINQSINKESSLSVDFEIMIEHIDELKEKLEKVEADKAELQIALMKSEEIIEESQLQLKEAGEKLEELQRELENAYNSKQRVEDHLLDIVTEAQTLSVKVEFLESEVDKERAVSGEIAMKFRDLEEELERKSAEVNTLEAELDKKKAVSNKIAMKCKDLEKELENKSDKVDLLEAEVGKEREESDKIAKKLKGMEQVLDSKSAKVDVLEAEVAKQKDVSDKIAKKCKDLEEVVENKSAKVELLEAEVDKERTVSDKISLRCKDLEEKLESKSAKVDLLEEEVHKERTTSKEIAMKCRKLEDELLRSTASSYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPSLIAQAGGEMWKLHSNGTFSPKRDSISSRLADGSSCSPLNKNEETSPLSSSSSTSSPAMPNHVSSERSRNGFAKFFSRTKSGIRLQI >Vigun08g087600.3.v1.2 pep primary_assembly:ASM411807v1:8:19749866:19754748:-1 gene:Vigun08g087600.v1.2 transcript:Vigun08g087600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFEANIGLLDPMMDKKRWLWKRKSSEKSPDETESSGSVSSHSGRYSDEQEALKESPSRSNHSPDVTSKATVYAEAVDYCSFINEQLPEEVTSKTVPATGIASDDSSEKDENGENENIKDVKEDVLNDGLRNMSEKLSAALVNVNAKEDYAKVAEEAIAGWEKAEKEVAVLKKQLDTAILRNSFLEDRVTHLDGALKECVRQLSQTIEEQEESIYDAVAKKTQELESAKIKLESKLTELQKKLDASEARSSIDFDMYRKVEYLEKENMTLKHEIFVQSEELEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLRSVASKASLVNDHKSIVQSSFSVESLTDSQSDSAERHTAVEIEGHKMNGSEPNRFEPSCSDSWASALIAELDQFKNEKCRQTPSCSVKLDLMDDFLEMERLVALPETKKESLVQESVVINQSINKESSLSVDFEIMIEHIDELKEKLEKVEADKAELQIALMKSEEIIEESQLQLKEAGEKLEELQRELENAYNSKQRVEDHLLDIVTEAQTLSVKVEFLESEVDKERAVSGEIAMKFRDLEEELERKSAEVNTLEAELDKKKAVSNKIAMKCKDLEKELENKSDKVDLLEAEVGKEREESDKIAKKLKGMEQVLDSKSAKVDVLEAEVAKQKDVSDKIAKKCKDLEEVVENKSAKVELLEAEVDKERTVSDKISLRCKDLEEKLESKSAKVDLLEEEVHKERTTSKEIAMKCRKLEDELLRSTASSYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPSLIAQAGGEMWKLHSNGTFSPKRDSISSRLADGSSCSPLNKNEETSPLSSSSSTSSPAMPNHVSSERSRNGFAKFFSRTKSGIRLQI >Vigun08g087600.1.v1.2 pep primary_assembly:ASM411807v1:8:19749843:19754739:-1 gene:Vigun08g087600.v1.2 transcript:Vigun08g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFEANIGLLDPMMDKKRWLWKRKSSEKSPDETESSGSVSSHSGRYSDEQEALKESPSRSNHSPDVTSKATVYAEAVDYCSFINEQLPEEVTSKTVPATGIASDDSSEKDENGENENIKDVKEDVLNDGLRNMSEKLSAALVNVNAKEDYAKVAEEAIAGWEKAEKEVAVLKKQLDTAILRNSFLEDRVTHLDGALKECVRQLSQTIEEQEESIYDAVAKKTQELESAKIKLESKLTELQKKLDASEARSSIDFDMYRKVEYLEKENMTLKHEIFVQSEELEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLRSVASKASLVNDHKSIVQSSFSVESLTDSQSDSAERHTAVEIEGHKMNGSEPNRFEPSCSDSWASALIAELDQFKNEKCRQTPSCSVKLDLMDDFLEMERLVALPETKKESLVQESVVINQSINKESSLSVDFEIMIEHIDELKEKLEKVEADKAELQIALMKSEEIIEESQLQLKEAGEKLEELQRELENAYNSKQRVEDHLLDIVTEAQTLSVKVEFLESEVDKERAVSGEIAMKFRDLEEELERKSAEVNTLEAELDKKKAVSNKIAMKCKDLEKELENKSDKVDLLEAEVGKEREESDKIAKKLKGMEQVLDSKSAKVDVLEAEVAKQKDVSDKIAKKCKDLEEVVENKSAKVELLEAEVDKERTVSDKISLRCKDLEEKLESKSAKVDLLEEEVHKERTTSKEIAMKCRKLEDELLRSTASSYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPSLIAQAGGEMWKLHSNGTFSPKRDSISSRLADGSSCSPLNKNEETSPLSSSSSTSSPAMPNHVSSERSRNGFAKFFSRTKSGIRLQI >Vigun02g043900.1.v1.2 pep primary_assembly:ASM411807v1:2:17592289:17594717:-1 gene:Vigun02g043900.v1.2 transcript:Vigun02g043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLIIITLLTFSFSYLLPTPTCSSQIHLQPSSLQLQDEDTGEVDANLQLSLPAVPRKLRFTEKVKEDEKARDLGPHKQDDSFAAEKQYHRKQNMVLGNKGTRQEWMEVDDPSQYFTMDYTRVRRRRPIHNKKLPVAP >Vigun09g203100.1.v1.2 pep primary_assembly:ASM411807v1:9:37776670:37780225:1 gene:Vigun09g203100.v1.2 transcript:Vigun09g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRNRSVQKEQHHMSNLTQCEANSEHYSQTHHGLGRNNIKGHPIFNVPCLFVGLGPKGLLDSDSVRSPTSPLDARVLSNLGNPVRRPRSSPHEGHPRSWDCCKVGLGIVESLEDCSRFSGKILQSPESKRVSLSPQVMIKAPNCQNHRDFLEGSKSLPKDFCKAPKNDSVTHKGESESTVLFEIGESDLEHELFGRTRTRTRSCSLDSCSPLKGLSGLNTSFSDSDTDNFAVKDVNIQWSSPPHFIGGSQNSNTFPPTKLNTNPLSVSSSNEFIKSLSATEIELSEDYTCVISYGPNPKTTHIFGDCILETHSNAFKIHSKNEEKEKLVNTVANWSGSPNPYPSSDFLSFCHHCNKKLEEGKDIYIYGGEKAFCSLTCRAMEMMIDEELEKSNTDPPCENSAKPKLGELLFETSIVTGS >Vigun01g243700.7.v1.2 pep primary_assembly:ASM411807v1:1:41258071:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.11.v1.2 pep primary_assembly:ASM411807v1:1:41258069:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.3.v1.2 pep primary_assembly:ASM411807v1:1:41257313:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.14.v1.2 pep primary_assembly:ASM411807v1:1:41258069:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.1.v1.2 pep primary_assembly:ASM411807v1:1:41257134:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.10.v1.2 pep primary_assembly:ASM411807v1:1:41258068:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSIIDVKFPVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.9.v1.2 pep primary_assembly:ASM411807v1:1:41257314:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.12.v1.2 pep primary_assembly:ASM411807v1:1:41258071:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.4.v1.2 pep primary_assembly:ASM411807v1:1:41257134:41261839:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.8.v1.2 pep primary_assembly:ASM411807v1:1:41257134:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.6.v1.2 pep primary_assembly:ASM411807v1:1:41258068:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSIIDVKFPVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.13.v1.2 pep primary_assembly:ASM411807v1:1:41258553:41261836:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGKQHHIQVDQSQSVASISSAPSITEEEVPACIEAKPLFSDFKNDQSSSVNSIGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.2.v1.2 pep primary_assembly:ASM411807v1:1:41257313:41261839:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun01g243700.5.v1.2 pep primary_assembly:ASM411807v1:1:41257313:41261840:1 gene:Vigun01g243700.v1.2 transcript:Vigun01g243700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEAKEFESFESFESMMRNGSELSDEGNLFAVEDVTSTKKRKDADNKHDDKECMDPSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLIRIQKENDRRSSSSGMKHSDFPSKDLGSFGFQNPVNKQQNDVSTDSYNYSDGSLQLPTVENKSHEGDKGSVSQFTIAKKGRPLTGNVSDSNMRESSRVGLNQTFAQSEGNHAVFDCSMPTQYSWTEVPPMQLKEEHKSLVHLKDSFNQMPLHGNAVDTFPIQPGSLVMNAQSLEPISTTNSGLKTHGYNNLSCISDLEIYQRNLLLGGEAASAPLDEDLHFQWLQGECYNMNFGLQNIGMPEYYDPGLIPEAPIHLYDSGDYSVIDQGLFIA >Vigun07g136700.3.v1.2 pep primary_assembly:ASM411807v1:7:24711404:24715848:1 gene:Vigun07g136700.v1.2 transcript:Vigun07g136700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVAPTSGLREASGHGAAGVDRLPEEMNDMKIRDDREMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVNRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLLDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVPAEILVKLVPEHARKQCPFLGL >Vigun07g136700.1.v1.2 pep primary_assembly:ASM411807v1:7:24711404:24715848:1 gene:Vigun07g136700.v1.2 transcript:Vigun07g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVAPTSGLREASGHGAAGVDRLPEEMNDMKIRDDREMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVNRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVPAEILVKLVPEHARKQCPFLGL >Vigun07g136700.2.v1.2 pep primary_assembly:ASM411807v1:7:24711218:24715848:1 gene:Vigun07g136700.v1.2 transcript:Vigun07g136700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVAPTSGLREASGHGAAGVDRLPEEMNDMKIRDDREMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVNRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVPAEILVKLVPEHARKQCPFLGL >Vigun02g153700.1.v1.2 pep primary_assembly:ASM411807v1:2:30014968:30017500:1 gene:Vigun02g153700.v1.2 transcript:Vigun02g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSTKATCNSHGQDSSYFLGWQEYEKNPYDELLNPEGIVQMGLAENQLSFDLLESWLAKNPDVAGFKSDGKSIFRELALFQDYHGLPSFKKALVDFMAEIRGNKVTFDPDHIVLTAGATSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNNFQITEAALKLAYEDAKKRNLRVKGVLVTNPSNPLGTTMSRSELNLLVDFIKDKNMHLISDEIYSGTVYNSPGFVSIMEILKDRNDLKDCGTNVWDRVHVVYSLSKDLGLPGFRVGAIYSENEVVVAAATKMSSFGLVSSQTQYLLSAMLGDKKFTKNYISENLKRLKRRQRNLVSGLQKAGISCLKTNNAGLFCWVDMRHLLHSNTFEAEMELWKKILYEVRLNISPGSSCHCTEPGWFRMCFANMSEETLNLAMKRLKTFVQESTHDNGCTTKRVQSSRRKSLSNWVFRLSSREHREQEER >Vigun04g009300.1.v1.2 pep primary_assembly:ASM411807v1:4:712881:716091:-1 gene:Vigun04g009300.v1.2 transcript:Vigun04g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLVAIRRLLIVGVFVVVIVVFQCCWTTYKSLLDADGSSVAFPVEVSISGNSENKGLYRSTENNVTVNLTFSSHSEEYAAEKEADLDHELESKRGINSREEHLPNKGSKLGSHDDAIEDFTKERSKYAIVDNKHDIQHNVQFASHEIPSEDIKILDAGFSMSDELLVGKEPSNASRIESVDMESQLLKSPLSMSNTSSNMRKLVWPTSMTHMSSLLQSFNSASMRPRWSSRPDRELFSAKLEIENARVISNSSELYAPVFLNVSKFSRSYELMERKLKVFVYREGAKPVFHQPKMRGIYASEGWFMKLMEGNKRFIVKDPRKAHLFYLPFSSQILRATLPNQKQMEQYLEKYVDLIAGKYRFWNRTDGADHFLVACHDWASQITRQRMKGCIRSLCNSNAAKGFQIGKDTTLPVTYIHSVMNPLREFVGKPPSERSILAFFAGSMHGYLRPILLKHWQNKEPDMKIFGPMPRDLEGKKLYMEYMNSSKYCICARGHEVHTPRIIEAIFSECVPVIISDNYVPPFFEVLNWEAFSVFVLERDIPSLRNILLSIPEEKYLALHLGVKKVQQHFLWHKVPVKYDLFHMILHAIWNNRLSQIRPR >Vigun04g009300.3.v1.2 pep primary_assembly:ASM411807v1:4:712921:716022:-1 gene:Vigun04g009300.v1.2 transcript:Vigun04g009300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLVAIRRLLIVGVFVVVIVVFQCCWTTYKSLLDADGSSVAFPVEVSISGNSENKGLYRSTENNVTVNLTFSSHSEEYAAEKEADLDHELESKRGINSREEHLPNKGSKLGSHDDAIEDFTKERSKYAIVDNKHDIQHNVQFASHEIPSEDIKILDAGFSMSDELLVGKEPSNASRIESVDMESQLLKSPLSMSNTSSNMRKLVWPTSMTHMSSLLQSFNSASMRPRWSSRPDRELFSAKLEIENARVISNSSELYAPVFLNVSKFSSTFMNLFFRSYELMERKLKVFVYREGAKPVFHQPKMRGIYASEGWFMKLMEGNKRFIVKDPRKAHLFYLPFSSQILRATLPNQKQMEQYLEKYVDLIAGKYRFWNRTDGADHFLVACHDWASQITRQRMKGCIRSLCNSNAAKGFQIGKDTTLPVTYIHSVMNPLREFVGKPPSERSILAFFAGSMHGYLRPILLKHWQNKEPDMKIFGPMPRDLEGKKLYMEYMNSSKYCICARGHEVHTPRIIEAIFSECVPVIISDNYVPPFFEVLNWEAFSVFVLERDIPSLRNILLSIPEEKYLALHLGVKKVQQHFLWHKVPVKYDLFHMILHAIWNNRLSQIRPR >Vigun04g009300.2.v1.2 pep primary_assembly:ASM411807v1:4:712921:716055:-1 gene:Vigun04g009300.v1.2 transcript:Vigun04g009300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELLVGKEPSNASRIESVDMESQLLKSPLSMSNTSSNMRKLVWPTSMTHMSSLLQSFNSASMRPRWSSRPDRELFSAKLEIENARVISNSSELYAPVFLNVSKFSRSYELMERKLKVFVYREGAKPVFHQPKMRGIYASEGWFMKLMEGNKRFIVKDPRKAHLFYLPFSSQILRATLPNQKQMEQYLEKYVDLIAGKYRFWNRTDGADHFLVACHDWASQITRQRMKGCIRSLCNSNAAKGFQIGKDTTLPVTYIHSVMNPLREFVGKPPSERSILAFFAGSMHGYLRPILLKHWQNKEPDMKIFGPMPRDLEGKKLYMEYMNSSKYCICARGHEVHTPRIIEAIFSECVPVIISDNYVPPFFEVLNWEAFSVFVLERDIPSLRNILLSIPEEKYLALHLGVKKVQQHFLWHKVPVKYDLFHMILHAIWNNRLSQIRPR >Vigun06g041000.1.v1.2 pep primary_assembly:ASM411807v1:6:16178552:16180244:-1 gene:Vigun06g041000.v1.2 transcript:Vigun06g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSHASLTMLAMLLGLLSQSLVIPVMSITVEDQKNYYTPDPHAGNPPSGFSDSLCSHRSPPSHGHGSSPPSHGGGSYSPTPSTPSGGNCGSSPPHHHDPTPSTPSTPSTPSTPSTPSNPPSGGYYGGSPPTPITVSPPTTPVDPGTPSIPSPPYTPSPSPFTGTCNYWRNHPAIIWGILGWWGTLGSAFGVTSVPGFSPGLSLPQALSNTRTDGLGALYREGTASFLNSMVNTKFPYTTNQVRDRFVASLSSNKAAQAQAQLFKMANEGRMKPRP >Vigun06g041000.2.v1.2 pep primary_assembly:ASM411807v1:6:16178552:16180244:-1 gene:Vigun06g041000.v1.2 transcript:Vigun06g041000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSHASLTMLAMLLGLLSQSLVIPVMSITVEDQKNYYTPDPHAGNPPSGSHRSPPSHGHGSSPPSHGGGSYSPTPSTPSGGNCGSSPPHHHDPTPSTPSTPSTPSTPSTPSNPPSGGYYGGSPPTPITVSPPTTPVDPGTPSIPSPPYTPSPSPFTGTCNYWRNHPAIIWGILGWWGTLGSAFGVTSVPGFSPGLSLPQALSNTRTDGLGALYREGTASFLNSMVNTKFPYTTNQVRDRFVASLSSNKAAQAQAQLFKMANEGRMKPRP >Vigun09g164700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33279346:33282706:-1 gene:Vigun09g164700.v1.2 transcript:Vigun09g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALSNQRTHTHHSQSLSRLLKRNHSHFRPGDTKTMPFRSPPRSKLSTKLLNISISSLCKAKQISKAESVITDGIRIGVLPDAVTYNTLIDAYCRFASIDVAYSVLARMREAGIDPDVVSYNSLISGAVRKCMLSQSLDLFEEMLARGVTPDAWSHNILMHCLFKLGKPYEANRVFKESVLRGEVHATTYNVMINGLCKNGYVSHALSLFRNLQRHGFVPQVLTYNALINGLCKAKRLKDARTVLKEFGESGYEPNAITYTTVMKCCFRCGYFEQGLEILSEMRNLGFTFDGFAYCTVIAAMIKTGRMREAEEIIDIMVSTGIRPDLVSYNTLINLYCRQGRLEDALKLLDEIEEEGLECDQYTHTIIVDGLCKAGDFDGAQKHLNYMNTLGFGYNLVAFNCMLDGLGKAGHIDHAVKLFEVMEVKDSFTYTILVHNLCRAGRFLCASKVLVSCLKGGYQVLRATQRAVLDGLRSIGFTNEARKLRSRIRLARLMS >Vigun07g282800.3.v1.2 pep primary_assembly:ASM411807v1:7:39688587:39690040:-1 gene:Vigun07g282800.v1.2 transcript:Vigun07g282800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLVHELANGVSVTSTFHGAKSSKRYYLPDARGKYTKSISKSKQSRTNHKSGFLQRVREHEGEKLLKASQCYLSTTSGPMAGLLFISTEKVAFCSDRSISVTTPDGENIRVRYKVSIPLKKIKSVNKSQNAEKPSQKYIEIVTVDDFDFWFLGFFHYQKALKYLQQAVSQS >Vigun07g282800.1.v1.2 pep primary_assembly:ASM411807v1:7:39688587:39690040:-1 gene:Vigun07g282800.v1.2 transcript:Vigun07g282800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLVHELANGVSVTSTFHGAKSSKRYYLPDARGKYTKSISKSKQSRTNHKSGFLQRVREHAAVKLGPKIDTVKGKLSMGARILQVGGVEKVFMQLFSVAEGEKLLKASQCYLSTTSGPMAGLLFISTEKVAFCSDRSISVTTPDGENIRVRYKVSIPLKKIKSVNKSQNAEKPSQKYIEIVTVDDFDFWFLGFFHYQKALKYLQQAVSQS >Vigun07g282800.2.v1.2 pep primary_assembly:ASM411807v1:7:39688531:39690206:-1 gene:Vigun07g282800.v1.2 transcript:Vigun07g282800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLVHELANGVSVTSTFHGAKSSKRYYLPDARGKYTKSISKSKQSRTNHKSGFLQRVREHAVKLGPKIDTVKGKLSMGARILQVGGVEKVFMQLFSVAEGEKLLKASQCYLSTTSGPMAGLLFISTEKVAFCSDRSISVTTPDGENIRVRYKVSIPLKKIKSVNKSQNAEKPSQKYIEIVTVDDFDFWFLGFFHYQKALKYLQQAVSQS >Vigun03g007300.1.v1.2 pep primary_assembly:ASM411807v1:3:494509:496338:1 gene:Vigun03g007300.v1.2 transcript:Vigun03g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAKTDSEVTSLDASSTTRSPRRAVYYVQSPSHDGEKTTTSLHSTPVLSPMGSPPHSHSSSSRFSGSRKINNNHHRNHKLPWNKDIDVIEEEGLLQNEDRHRALSRRYYFLAFVLGFFLLFSLFSLILWGASRPMKPNVLIKSIKFDHLRVQAGSDSTGVATDMITLNSTVRFTYRNTGTFFGVHVTSTPLDLSFSEIVIATGNLKKFYQSRKSQRVVSVAVMGNKIPLYGSGASLSSTTGVPTVPVPLRLSFVIRSRAYVLGRLVKPKYYKSVECSINLDPKKINVSLSLKHSCTYD >Vigun06g110100.1.v1.2 pep primary_assembly:ASM411807v1:6:23914085:23924871:1 gene:Vigun06g110100.v1.2 transcript:Vigun06g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLSIVDVVEEDTAGGDGTVDVSKPNPNGMEFDNLYLDMNGIIHPCFHPDGKPAPATYEDVFKIMFDYIDHLFSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEKERLREEFEGEMELLSSKDKPETYDSNVITPGTPFMAVLSVALQYYIQTRLNYNPGWINTKVILSDSNVPGEGEHKIMEYIRSQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFCILREVITFPGQQDKCYQCGQVGHFAADCRGKPGEKAEDWNPVDDTPVHKKKYQFLNIWVLREYLQYEMEIPNPPFEIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAVNLLMHIYRKEFTAMGGYLTEGGEVFLERVEHFIQSVAVHEDQIFQKRVRIQQATEINEEMRARARGEMPEEPRASVSDKVKLGEPGYKERYYAEKFGALDLEETDKIKKDTVLKYVEGLCWVCRYYYQGVCSWQWYYPYHYAPFASDLKDLADLEITFFLGEPFKPFDQLMGTLPASSSSALPEKYRDLMTDPSSPILEFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLSATSKLEGTLTVEEQLRNSKMLDLLYVNRAHNLAPFILSYYQYSCQFSLHDRPAVPIDPSASDGMNGHVWLYERNVLRTVVSSPIKGLQDIEFNQVLNITYLNPRKHRHIPRPPNGVQMPKKILKAIDIKPFPVLWHEDNSGRRHGRERPQLHGAMAGPQLGEAAHRLVKNTLNIKPNNATYGFTEPLPGHHAMNRVLRSPGPSGPGRYYAEDTSGYYGHHYNHQGMMPRPRYPVSSNGGHSDKQNFRIQDRSQHHEQHYYNGRTGFHTFTMEDGPRPRPYAVPPPKTPVVMLSRPPNSGPTTNLQQQFVGPPIPPPNWITRAPDTNGIYARHQEVAIGGAYDNKHMKKVYQVRSRQPQDMPEHGNQ >Vigun02g010200.1.v1.2 pep primary_assembly:ASM411807v1:2:4039739:4056604:1 gene:Vigun02g010200.v1.2 transcript:Vigun02g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRIIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Vigun02g010200.2.v1.2 pep primary_assembly:ASM411807v1:2:4039954:4063203:1 gene:Vigun02g010200.v1.2 transcript:Vigun02g010200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRIIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Vigun02g010200.3.v1.2 pep primary_assembly:ASM411807v1:2:4039954:4056498:1 gene:Vigun02g010200.v1.2 transcript:Vigun02g010200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDHWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRIIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Vigun05g066500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5756902:5757282:-1 gene:Vigun05g066500.v1.2 transcript:Vigun05g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLARAQFGTVKITNSLEGKENLNIRCQSKDNDLGHHLLHFNQSFKWDLGTHVFWRTLFFCSCRWGNGPVLHFEAYNQNRDASKCLDCFWYIHKDGPCRYELKEYTSIGESRRDKIIRKCYKWKK >Vigun03g209100.1.v1.2 pep primary_assembly:ASM411807v1:3:34399544:34405842:-1 gene:Vigun03g209100.v1.2 transcript:Vigun03g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMEELVSFLSSPSPQITKAAVDIVRGLTGSVEGLQSLANYSNSLLPALSRLITLPKEVSEAAAEALVNLSQDSSLAEAMVRLGLVKTTMDVLYKPECGITQLLVMLLVNLTQLDAGAAAVLQTEDDKVRGLYVMKLVRSFCRTTHESDDDAFEHVGSILVNVSKQQEGRRLLLDPKRGLFKQIIRQFDSNSSLRKKGVSGTIRNCCFEAESQLQNLLLVSEFLWPALLLPVAGNKIYSEQDRSKMPLELGTALSIDREPVNDPEIRIQALEAIYLIILQDAGRRAFWSVNGPRIVQIGYEDEEDPKVMGAYEQLGSLLVHSSSAEEPSSETPQ >Vigun04g198400.1.v1.2 pep primary_assembly:ASM411807v1:4:42305271:42313892:-1 gene:Vigun04g198400.v1.2 transcript:Vigun04g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDLMSGYKGVVGLLVGNENSSNEDRYVERLLDRISNGKLPDDRRNAIAELQGVVLENEAFQLAFGAMGFPIMLSVLKEERDDVEMVRGALETLVSALTPINHAKGSSNEVQPALMNTDLLSREADCISLLLSLLEEDDFYVRYYTLQILTALLTNSPQRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIREEGNSDGGVVVQDCLELLNNLLRSNASNQVLLRETVGLDSLVLILKLRGSSFTFNQQKTINLLSALETIKLLLKGGSESDPGKDMNKQANKTTLVQKKVLEHLLILGVESQWVPVAIRCAAMQCIGDLIVGDSKNRNLLAGKVLGEEPQVEPALNSILRILLRTSNMQEFIAADYILKSFCEKNADGQSMLASTLIPQPYSTNHAFLEEDVSMSFGSMLLQGLTLGENGDLEISCRAASVLSHILKDHLQCKEKVLQIDIEAAPMQSLGAPEPLMHRMVKYLAIASSMKSQVGKFSTSENSYVQAIILKLLITWLADCPSAVQCFLDARPHLTYLLELVSNLSETVCIRGFAAVVLGECVIYNKSTESGKDAFAIVDAISQKIGLSSYFLKFDEMQKSSIFVSVKSSLTYQSFTRSSTSSMVDIEDVDDNDLSEKKNLDHPILSSILDSNFVNLVKSLEADIREQIVEVFSRPKMKVAVVPAELEQRSGESDAEYIKRLKSFVEKQCSEIQDLVLRNATLAEDLAKTGSTLQPEQKGSGGSDRIQIETLRRDLQEASQRLEKLKEERAKVESEAIHYRNLAGKTEADLRSLSDAYNSLEQANLQLENEVKALKREGHSTFPDVEAIKSEAREEAQKESEAELNDLLVCLGQEQSKVDKLSARLLELGEDVDKLLEGIGDEAGAAGDEDDEDDE >Vigun04g198400.2.v1.2 pep primary_assembly:ASM411807v1:4:42305271:42313682:-1 gene:Vigun04g198400.v1.2 transcript:Vigun04g198400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDLMSGYKGVVGLLVGNENSSNEDRYVERLLDRISNGKLPDDRRNAIAELQGVVLENEAFQLAFGAMGFPIMLSVLKEERDDVEMVRGALETLVSALTPINHAKGSSNEVQPALMNTDLLSREADCISLLLSLLEEDDFYVRYYTLQILTALLTNSPQRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIREEGNSDGGVVVQDCLELLNNLLRSNASNQVLLRETVGLDSLVLILKLRGSSFTFNQQKTINLLSALETIKLLLKGGSESDPGKDMNKQANKTTLVQKKVLEHLLILGVESQWVPVAIRCAAMQCIGDLIVGDSKNRNLLAGKVLGEEPQVEPALNSILRILLRTSNMQEFIAADYILKSFCEKNADGQSMLASTLIPQPYSTNHAFLEEDVSMSFGSMLLQGLTLGENGDLEISCRAASVLSHILKDHLQCKEKVLQIDIEAAPMQSLGAPEPLMHRMVKYLAIASSMKSQVGKFSTSENSYVQAIILKLLITWLADCPSAVQCFLDARPHLTYLLELVSNLSETVCIRGFAAVVLGECVIYNKSTESGKDAFAIVDAISQKIGLSSYFLKFDEMQKSSIFVSVKSSLTYQSFTRSSTSSMVDIEDVDDNDLSEKKNLDHPILSSILDSNFVNLVKSLEADIREQIVEVFSRPKMKVAVVPAELEQRSGESDAEYIKRLKSFVEKQCSEIQDLVLRNATLAEDLAKTGSTLQPEQKGSGGSDRIQIETLRRDLQEASQRLEKLKEERAKVESEAIHYRNLAGKTEADLRSLSDAYNSLEQANLQLENEVKALKREGHSTFPDVEAIKSEAREEAQKESEAELNDLLVCLGQEQSKVDKLSARLLELGEDVDKLLEGIGDEAGAAGDEDDEDDE >Vigun06g021500.3.v1.2 pep primary_assembly:ASM411807v1:6:10053259:10063205:1 gene:Vigun06g021500.v1.2 transcript:Vigun06g021500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMTRDFGDTMKKDAVPAVSADVIFASLRFPNYKIGVNNQIMETKGDPKVLSMKEVVARETAQLLEQQKRMSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALQSLKGRVAGRNKEDVAEAIAMVEALAVQLTQREGELIQEKVEVKKLTNFLKQASEDAKKLVNEERAFARFEIENARAAVQRVEEALQEHERMSEASGKQDLDQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKSRLYLRLQKELSRTKEGEENVPHLYELEGAETLGSYLQIQPCSDNAPELSKCSIQWYRIATEGAKKELISGATKSVYAPEPFDVGRVLQVDILSKGQEITLSTTGPIDPAAGLGTYVEALVRKHDTEFNVVVTQTGSDHPTESIHVLHVGKMRMKLCKGKTTITKEYYSSSMQLCGVRGGGNAAAQAVFWQPKQGRSFVLAFESERERNAAIMLARRFAFDCNIILAGPDHKAPLET >Vigun06g021500.2.v1.2 pep primary_assembly:ASM411807v1:6:10053251:10063205:1 gene:Vigun06g021500.v1.2 transcript:Vigun06g021500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMTRDFGDTMKKDAVPAVSADVIFASLRFPNYKIGVNNQIMETKGDPKVLSMKEVVARETAQLLEQQKRMSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALQSLKGRVAGRNKEDVAEAIAMVEALAVQLTQREGELIQEKVEVKKLTNFLKQASEDAKKLVNEERAFARFEIENARAAVQRVEEALQEHERMSEASGKQDLDQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKSRLYLRLQKELSRTKEGEENVPHLYELEGAETLGSYLQIQPCSDNAPELSKCSIQWYRIATEGAKKELISGATKSVYAPEPFDVGRVLQVDILSKGQEITLSTTGPIDPAAGLGTYVEALVRKHDTEFNVVVTQTGSDHPTESIHVLHVGKMRMKLCKGKTTITKEYYSSSMQLCGVRGGGNAAAQAVFWQPKQGRSFVLAFESERERNAAIMLARRFAFDCNIILAGPDHKAPLET >Vigun06g021500.1.v1.2 pep primary_assembly:ASM411807v1:6:10052729:10063205:1 gene:Vigun06g021500.v1.2 transcript:Vigun06g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMTRDFGDTMKKDAVPAVSADVIFASLRFPNYKIGVNNQIMETKGDPKVLSMKEVVARETAQLLEQQKRMSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALQSLKGRVAGRNKEDVAEAIAMVEALAVQLTQREGELIQEKVEVKKLTNFLKQASEDAKKLVNEERAFARFEIENARAAVQRVEEALQEHERMSEASGKQDLDQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKSRLYLRLQKELSRTKEGEENVPHLYELEGAETLGSYLQIQPCSDNAPELSKCSIQWYRIATEGAKKELISGATKSVYAPEPFDVGRVLQVDILSKGQEITLSTTGPIDPAAGLGTYVEALVRKHDTEFNVVVTQTGSDHPTESIHVLHVGKMRMKLCKGKTTITKEYYSSSMQLCGVRGGGNAAAQAVFWQPKQGRSFVLAFESERERNAAIMLARRFAFDCNIILAGPDHKAPLET >Vigun11g004900.2.v1.2 pep primary_assembly:ASM411807v1:11:506337:517543:1 gene:Vigun11g004900.v1.2 transcript:Vigun11g004900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENINGSSEEANNNNKRGIPFLVFFKDARNILKVDSLCREILGIALPSALTVVADPIASLIDTTFIGHLGPLELAAAGVSIAVFNQASRITIFPLVSITTSFVAEEDTIQNLATKQTQNDNTKLETDNVDEAPKESNNVEATKSSNVEKVDAGNMDINNENENVMTKRKQKVGKKVIASASTALIFGTILGLLQTAILSFAAKPLLYAMGLKHDSPMLNPAEKYLRLRSIGSPAVLLSLAMQGIFRGFKDTTTPLYIIVSGYAFNVLLDPILIFYMKLGLKGAAMAHVISQYMMATTLLLFLMKRVHLLPPSIKDLQIFRFLKNGGLLLVRVVSVTFCMTLASSLAARLGSIPMAAFQPGLQIWLASSLLADGLAVAVQTILASSFAEKDYNKATAAATRTLQLSFLLGIGLSLSVALGLYFGASIFSKNASVVQLIKISMPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLDGNWNRTMVLS >Vigun11g004900.1.v1.2 pep primary_assembly:ASM411807v1:11:506337:517543:1 gene:Vigun11g004900.v1.2 transcript:Vigun11g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENINGSSEEANNNNKRGIPFLVFFKDARNILKVDSLCREILGIALPSALTVVADPIASLIDTTFIGHLGPLELAAAGVSIAVFNQASRITIFPLVSITTSFVAEEDTIQNLATKQTQNDNTKLETDNVDEAPKESNNVEATKSSNVEKVDAGNMDINNENENVMTKRKQKVGKKVIASASTALIFGTILGLLQTAILSFAAKPLLYAMGLKHDSPMLNPAEKYLRLRSIGSPAVLLSLAMQGIFRGFKDTTTPLYIIVSGYAFNVLLDPILIFYMKLGLKGAAMAHVISQYMMATTLLLFLMKRVHLLPPSIKDLQIFRFLKNGGLLLVRVVSVTFCMTLASSLAARLGSIPMAAFQPGLQIWLASSLLADGLAVAVQTILASSFAEKDYNKATAAATRTLQLSFLLGIGLSLSVALGLYFGASIFSKNASVVQLIKISMPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVLVSLVSIPVEIFLFRSKKFIGIWIALSIYMILRILVGIWRMGTGTGPWFYLRKQPWRHMRSHLLP >Vigun03g101500.1.v1.2 pep primary_assembly:ASM411807v1:3:8721505:8725719:-1 gene:Vigun03g101500.v1.2 transcript:Vigun03g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLGGGVSKKLLPLVSQELPNALLPVANRPVISYVLEYLELSNLKDLIVVVEGEEAALRVGAWISGAYADRLHVEVAAIPEDVGTAGAIRAISHHLTAKDILVVSGDLVTDVPLGAVAATHRRHDAVVTAMLCSAPVSGPSESVSSGGKDKTKKPGRYDLIGLDPTKQFLVHIATGAEVEKDLRIQKSILPAVGQIEIRADLMDAHLYAFKRSVLQEVLDQKSAFQSLKHDVLPYLVRSQLKSEVLFNGMPPVEENGTEKVISQSNQQMLSQILANASEPTFHLRHALGSYSSTSDRKTHKCCVYIAGSSKYCARLNSIQAYNDINRDVTGEASHLSGYSFSAQNNIIHPSAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGANVKVVNSVVMNHVSIGDCCSIQGSVICSNVQLQERAVVKDCQVGAGYVVTAGSECKGEVLAKK >Vigun03g101500.2.v1.2 pep primary_assembly:ASM411807v1:3:8721505:8725719:-1 gene:Vigun03g101500.v1.2 transcript:Vigun03g101500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLGGGVSKKLLPLVSQELPNALLPVANRPVISYVLEYLELSNLKDLIVVVEGEEAALRVGAWISGAYADRLHVEVAAIPEDVGTAGAIRAISHHLTAKDILVVSGDLVTDVPLGAVAATHRRHDAVVTAMLCSAPVSGPSESVSSGGKDKTKKPGRYDLIGLDPTKQFLVHIATGAEVEKDLRIQKSILPAVGQIEIRADLMDAHLYAFKRSVLQEVLDQKSAFQSLKHDVLPYLVRSQLKSEVLFNGMPPVEENGTEKVISQSNQQMLSQILANASEPTFHLRHALGSYSSTSDRKTHKCCVYIAGSSKYCARLNSIQAYNDINRDVTGEASHLSGYSFSAQNNIIHPSAELGAKTT >Vigun09g019800.1.v1.2 pep primary_assembly:ASM411807v1:9:1511188:1515585:-1 gene:Vigun09g019800.v1.2 transcript:Vigun09g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTTTFLYSCFLLVCLLSALSCVSMFSGIETGDLEKRDDLFPQILRDEAVARLYELGKVSDGKGYLERTFLSPASMRAINLIRRWMEDAGLRTWVDQMGNVHGRVDGANANAGALLIGSHMDTVVDAGMFDGSLGIVSAISALKAMHVNGKLEKLKRPVEVIAFSDEEGVRFQTTFLGSGAIAGILPATTLEISDKRDVMIKDFLKENSIDITEESLLKLKYDPKSVWGYVEVHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSMRQDPMAAAAEQIVVLESLCKHPEEYLSYDAHCSDSTVKSLSSSLVCTVGEISTWPSASNVIPGQVTYTVDIRAIDDLGREAVIYDLSKQIYQICDKRSVSCIIEHKHDAGAVICDSDLSSQLKSATYSALKKMEGDIQDEVPTLMSGAGHDAMAISHLTKVGMLFVRCRGGISHSPQEHVLDNDVWAAGLATLSFLENLS >Vigun09g019800.2.v1.2 pep primary_assembly:ASM411807v1:9:1511188:1515585:-1 gene:Vigun09g019800.v1.2 transcript:Vigun09g019800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAINLIRRWMEDAGLRTWVDQMGNVHGRVDGANANAGALLIGSHMDTVVDAGMFDGSLGIVSAISALKAMHVNGKLEKLKRPVEVIAFSDEEGVRFQTTFLGSGAIAGILPATTLEISDKRDVMIKDFLKENSIDITEESLLKLKYDPKSVWGYVEVHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSMRQDPMAAAAEQIVVLESLCKHPEEYLSYDAHCSDSTVKSLSSSLVCTVGEISTWPSASNVIPGQVTYTVDIRAIDDLGREAVIYDLSKQIYQICDKRSVSCIIEHKHDAGAVICDSDLSSQLKSATYSALKKMEGDIQDEVPTLMSGAGHDAMAISHLTKVGMLFVRCRGGISHSPQEHVLDNDVWAAGLATLSFLENLS >Vigun06g047300.1.v1.2 pep primary_assembly:ASM411807v1:6:17189571:17192701:1 gene:Vigun06g047300.v1.2 transcript:Vigun06g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHTGSVHSQQPDSFGRFGRFGGKYVPETLMSALTELEAAFDSVASDEHFQKELAEILRDYVGRESPLYFAERLTRHYARDGEGPEVYLKREDLNHTGAHKINNAVAQALLAKRLGKKRVVAETGAGQHGVATATVCARFELECVVYMGARDMERQALNVFRMRLLGAEVREVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFQAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFIDDEEVRLIGVEAAGLGLESGKHAATLTKGEVGVLHGAMSYVLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDEGRAEYYSVTDEEALEAFQRLSRLEGIIPALETSHALAYLETLCPTLPNGTKVVVNCSGRGDKDVQTALSYLH >Vigun06g047300.2.v1.2 pep primary_assembly:ASM411807v1:6:17189571:17192701:1 gene:Vigun06g047300.v1.2 transcript:Vigun06g047300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHTGSVHSQQPDSFGRFGRFGGKYVPETLMSALTELEAAFDSVASDEHFQKELAEILRDYVGRESPLYFAERLTRHYARDGEGPEVYLKREDLNHTGAHKINNAVAQALLAKRLGKKRVVAETGAGQHGVATATVCARFELECVVYMGARDMERQALNVFRMRLLGAEVREVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFQAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFIDDEEVRLIGVEAAGLGLESGKHAATLTKGEVGVLHGAMSYVLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDEGRAEYYSVTDEEALEAFQRLSRLEVAEGIRMFKLLSATCINLKVGVMFCYISALL >Vigun07g172500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28784023:28785423:1 gene:Vigun07g172500.v1.2 transcript:Vigun07g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPERCVLAALSVAALFAVVSGCENCPPTLNASMMKLSGNNSSGGAHTDVLCAAGPSTCPRDTLKLAACSGFLNGWMNFSTGMPPRAQCCAVVDGYADFEVAVCLCTALRANIMGFNLNIPIAFTKLINTCSKNVPDGFICK >Vigun03g277200.1.v1.2 pep primary_assembly:ASM411807v1:3:45418772:45422100:-1 gene:Vigun03g277200.v1.2 transcript:Vigun03g277200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSTPAPFLTKTYLLVDDPATDDVISWSETGNTFVVWKQADFAKDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANECFKRGQKELLAEIKRRKTVMQSPATGKSGGGNISASHSGGGDDMGSTSTGSVEGATTIQCADLSGENEKLKKDNEKLSGELARAKKQCNELVSFLKESLNVCPDVINRIIRQGTCGSSDDAVRFECIRDDDDENAVGGCEPKVDDESGGESLKLFGVWLKGQNGNEKEKLKIGSNCRKRGRDDPIGGSAKELKTLV >Vigun02g137700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28752803:28753945:-1 gene:Vigun02g137700.v1.2 transcript:Vigun02g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSSPSAKTTITTAWMKNGGDGAHASESFRRPSSIMVMDLSGRIKEFKQPVPAKTVLNDNPHCYLCNSESVHIGTCMPRVSDEEELLPGRIYFLVPLSHSDSPLSLTLLCDLAVKAGSALSNPKNNHTGTNRASVTRRR >Vigun02g135800.1.v1.2 pep primary_assembly:ASM411807v1:2:28557435:28559044:-1 gene:Vigun02g135800.v1.2 transcript:Vigun02g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPSGGTLGKATAVMRALNFYLSEHPVIVSFRWNHALWGSTCLSMSLISATIFAGLLLSATSEIRETRWLWRRSKTPLEWLLCFPLGTRPSGRVFFWSYAFYLSRYLHMLSTVAVVLRRRKLVFFKLFYHAISTLMSFLWLEFSQSFQVLAILFTTLAFFLIYGYRFWTSVATTGARLPLVLNCQIMLLGCNLVCHVGVLLLHFFTGGCNGIGAWVMNSVLNGAILMLFMRVYLGRKRKPSKNLGGYGCGENKIARSCSVFGA >Vigun08g144700.1.v1.2 pep primary_assembly:ASM411807v1:8:31772370:31774816:1 gene:Vigun08g144700.v1.2 transcript:Vigun08g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILFFVLWLVNSHDSHKVHAIHIDDMEIERQLQVINKPFVKSIQTDFGYIVDCVDIYKQPAFDHPLLKDHKLQRKPSYRDSFEKISMKDSSNELILGFRKEKCPKGTVPIRRTAKDELIQGKLSFYNQSMVQDVPGVHMAEIYVTPNFGPFYKVKGSNSIYNPRLKNKNQESISHIWVENGGTNKIAFGRHVAPLIYGGNTATYFYSAWTSDNFKKTGCYNLQCSGFVQINQYNFIGSRVPNVSIYGGTAVESVFSISLDRKTQNWWLNILGHDIGYYPGKLFSNLTSAEKVGWGGRTVTPPGNYSPQMGSEYFPDNNFVHACYFRLISIQDQERTDFGPTKQMTNAFVDNSDCFNAEHYGDEGGYGGNSLQFGGPGGNCGN >Vigun07g207500.2.v1.2 pep primary_assembly:ASM411807v1:7:32890657:32893936:-1 gene:Vigun07g207500.v1.2 transcript:Vigun07g207500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVSTLCATFGTHCTISHNPKPLFSQPFNLNRFPSHSYNLTFSPRSPLAPLPKSSESSVAQTETETGSTEPEPTQIVSAKAPSWEPGLFAVIMVGSRQYIVHPGRKLVVQRLAGANVNDKIALHKVLLVGTDTSCYIGRPIVTNAVVYATGLDPKVIVFKYKKKKHYRRNIGHRQPHTRIRINSIMGYENYPKVTMDDIKSKDSES >Vigun07g207500.1.v1.2 pep primary_assembly:ASM411807v1:7:32890657:32893936:-1 gene:Vigun07g207500.v1.2 transcript:Vigun07g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVSTLCATFGTHCTISHNPKPLFSQPFNLNRFPSHSYNLTFSPRSPLAPLPKSSESSVAQTETETGSTEPEPTQIVSAKAPSWEPGLFAVIMVGSRQYIVHPGRKLVVQRLAGANVNDKIALHKVLLVGTDTSCYIGRPIVTNAVVYATVEEQGLDPKVIVFKYKKKKHYRRNIGHRQPHTRIRINSIMGYENYPKVTMDDIKSKDSES >Vigun05g057800.2.v1.2 pep primary_assembly:ASM411807v1:5:4960744:4961960:1 gene:Vigun05g057800.v1.2 transcript:Vigun05g057800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKPPPSLLSLTIDSAVLNLSDISDLSSIPDHILLDLFLRILRAGKLTEKVLRLFIATGKDEVISFVEALNIQHVLTPVLPTIQGVLKNSKVRLNYSQR >Vigun08g147301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31999202:31999669:-1 gene:Vigun08g147301.v1.2 transcript:Vigun08g147301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTPQKLPPLLLLFCLTLSSSVHAITYSTKLEQPQVSHQPYTEVKCGSCPCGSPCDDQLLSPPPPPPSPPPPLPLPEISSPEICDESPPPPPPPRLRPPPSRPPPTPPPPPRFIYVIAPPGDAYAYYYSAAQNRVLGLLVLAALGALSVTILFG >Vigun04g135300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33922257:33924487:1 gene:Vigun04g135300.v1.2 transcript:Vigun04g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFTLVILTLLLTMSSLTLATNRDDRDDRDDRDDRDDRDDRDDRDDRDDEDDRDDKDDRDDKDDRDHHHRRSPPPPHHKYRPPPPPIPRPQRSPPPPPPNKPQRSPPPPPPPPHYNSPPPPPPPPKDSSPPPPPPYYKSPPPPPYYKSSPPPPPPRNFSPPPPPPKDSSPPPPPPKDSSPPPPPPKDSSPPPPPPKDSSPPPPPLKDSSPPPPPPKDSSPPPPPPKDSSPPPPPPRDSSPPPPPPKDSSPPPPPPKDSSPPPPPLKDSSPPPPPPKDSSPPPPPPKDSSPPPPPPKDSSPPPPPPRDSSPPPPPPMDSSPPPPPPKDSSPPPPPSKDSSPPPPPSKDSSPPPPPLKGSSPPPPRSKDSSPPPPPPKDSSSPPPPLKDSSPPPPPPKDFSPPPPPPKDSSPPPPPLKDSSPPPPPPKDSSPPPPPPPKNSSSPPPPPKDSSPPPPPTKDSSPPPPSTYYKNPPPPPPSKDSSPPPPSHYYKSPPPPPPFKESSPPPPPRDYQSPPPPPHHELYPPPPSPYYKRPPPPPHYPYHSPPPPVYHKSPPPPYYHSPPPPRRRHHD >Vigun11g203600.1.v1.2 pep primary_assembly:ASM411807v1:11:40101870:40107371:-1 gene:Vigun11g203600.v1.2 transcript:Vigun11g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWPREGWKWLSNIANSSVRFHGNVATVAAKHNRNPFTLARPFGSAIDGGNEVDLHRLTREFLANLWIDDRKMANPRGRKLVKSCGGYGGDPRWFSASSGVVGRGKRRPGLKQPPISQSVSEFFEPLSPLEAKVAPLLARSNLLITRDIEWANLVMGFEQENRYAIVDACYPQSPVGYIREQSNVVIRQLLRLRRPFVAHITDGLGTELFRVRRPFWWITSSIYAEVDGQEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPSSKIGLANAIEDLEVSRTLTLAERAVTVALAISLDNDYFSRHGGWGLPFLVAEE >Vigun01g196000.1.v1.2 pep primary_assembly:ASM411807v1:1:37282866:37288072:1 gene:Vigun01g196000.v1.2 transcript:Vigun01g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRVKLLFLLCALCYSLSAIAGKSYYDILQVSKGASDDQIKRAYRKLALKYHPDKNQGNEEANRKFAEINNAYEVLSDREKRGIYDRYGEEGLKQHAAGGGGGGGMNFQDIFSTFFGGGSMEEEEKIIKGDDVVVELDATLEDLYMGGTLKVWREKNVLKSAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCPNVKYVREGYFVTVDIEKGMQDGQEVLFYEDGEPIIDGESGDLRFRIRTAPHDLFRREGNDLHTTVTITLVQALVGFEKTITHLDEHLVDISTKEITKPKQVRKFKGEGMPLHMNNKKGDLYVTFEVLFPTSLTEDQKTKIKSILG >Vigun03g104200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9037365:9038723:1 gene:Vigun03g104200.v1.2 transcript:Vigun03g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISKLIPFLFLTTLFFSLQLNARESQFFSKVTPLTNNLKQTELENNEGSLNKPEQQQQQQPVFMPETENSYGLYGHETGLHPPNTTPTTFKPYKTTTTEEENANNFHSFKKDAYNTNQNELSETTPTATSFNTYFYSGKDAFQKQNQLSDTKFAEGGYTSMENQNNNNNNYFYNSKDAEGNQNSNDNAANDRYYNSNNNHNAANERYYNNNDNAANERYYYNNNNNDAANNRYYKTKAVNNGERQGLSDTRFMEGGKYFYDVNSEKYNNPTQYGGSSRGVNSEQWYNNRGYFGNNNVNSYENKNSMEGYQNQEQFEDDQEEFEP >Vigun02g141300.1.v1.2 pep primary_assembly:ASM411807v1:2:29006710:29010015:1 gene:Vigun02g141300.v1.2 transcript:Vigun02g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPTLIFSHSNSSSSPSPSPSPSPSPSPSSSSSTTTPTSSSATRKSSSPTSSSASHARKNTRSAWFFGATKKSTRSRKLSHVEDLDAVVITPLSRSSSTFDRSAAPSFGPQPLPLPRATDSRLPFSKDAAADKPNAASDKPNAAADKPNVAVDFALPPGFQMRRKKEYLIELNSICTDYNSACSCIREGREQKNMEHVETRLSPGVAPQQDTSSGASTSAQGDNFWLSAPARSAPTSPFASPRIIPNTAKTDDFVPYYYVSPMSNQFWSAPEMPTSDPSAGYPPPAFFDPQCPFFFFFSFSSIHTPRLSLDTSIARRETNPPYSVHPLPLPPWAGPGAPLVSPPATFSLPVAKTESLPMKNQWQKGKLIGRGTFGSVYAATNRKTGALCAMKEAEAFSDDPKSAECIRQLEQEIKVLSQLKHPNIVQYFGSEIVEDRFYIYLEYVHPGSMNKYVREHCGAITEWVLRSFTRHILSGLAYLHSKKTIHRDIKGANLLVDSAGVVKLADFGMAKHLTGHVADLSLKGSPYWMAPELMQAVVQKDNSVEVAFAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPIPETLSAEGKDFLRLCFTRNPAERPSASMLLDHRFLKNL >Vigun04g127000.1.v1.2 pep primary_assembly:ASM411807v1:4:32076327:32078400:1 gene:Vigun04g127000.v1.2 transcript:Vigun04g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFEFFLSLKFGKRAKGFLWRTTKAQAQPQLLKAPKSSVPVEERDLPWDLPGHNF >Vigun03g283100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46348805:46349882:1 gene:Vigun03g283100.v1.2 transcript:Vigun03g283100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLTEDPHHDHHHDHDHPEDGNIGEWLCLGLKGDVPVEAEEEEKSSKGHHQSKVFSCNFCMRKFYSSQALGGHQNAHKREREAARSYHQSHRMMMTARSPMGFAYTSLASRSLGIQPHSLVHKPGRERSAMVGRFSTDATVNGAGVASWTPFLLEQAMDFYWPGSFRVDLPKQESDVNKIDLDLRL >Vigun03g258250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42432288:42432593:-1 gene:Vigun03g258250.v1.2 transcript:Vigun03g258250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTTLYQILGICPAASEGEIKAAYRQLVKVYHPDVAPMGKKESSGSEFIKIHDAYRTLLNLDKRAKYDRNLIPRGRVFSTASSGVSGYNGRRWETDQCW >Vigun11g157100.2.v1.2 pep primary_assembly:ASM411807v1:11:36584876:36587976:-1 gene:Vigun11g157100.v1.2 transcript:Vigun11g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVPSSPELFVAFLFATSFLSSFPEFILATTSKHGSVTRHYKFDIRLSNVTRLCHIKSMVTVNGKFPGPRITAREGDRIVVKVVNHVPNNVTIHWHGVRQLRSGWADGPSYITQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATIYGPLILLPRLNESYPFEKPHKEVPILFGEWWNADPEAVIAQALQTGAGPNVSDAYTFNGFPGPLYNCSNNETETFRLKVKPGKTYLLRLINAALNDELFFSIANHTLVVVEADATYVKPFESDIIVLGPGQTSNVLLKTKPEYPNATFFMLARPYFTGTGTFDNSTVAGILEYKKNPLSAKNIPTLKPSLPAINDTSFVANFSSKFRSLNTAKYPAKVPQKVDKSFFFTVGLGTSPCPKNQTCQGPNNSSKFAASMNNISFTLPSIALLQQHFFGQANNGIYTTDFPAVPLRPFNYTGTPPNNTRVTNGTKTVVIPFNTSVQVVLQDTSILVAESHPLHLHGFNFYVVGQGFGNFNPNTDPPKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPNQKLPPPPLDLPKC >Vigun11g157100.1.v1.2 pep primary_assembly:ASM411807v1:11:36584876:36587976:-1 gene:Vigun11g157100.v1.2 transcript:Vigun11g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVPSSPELFVAFLFATSFLSSFPEFILATTSKHGSVTRHYKFDIRLSNVTRLCHIKSMVTVNGKFPGPRITAREGDRIVVKVVNHVPNNVTIHWHGVRQLRSGWADGPSYITQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATIYGPLILLPRLNESYPFEKPHKEVPILFGEWWNADPEAVIAQALQTGAGPNVSDAYTFNGFPGPLYNCSNNETETETFRLKVKPGKTYLLRLINAALNDELFFSIANHTLVVVEADATYVKPFESDIIVLGPGQTSNVLLKTKPEYPNATFFMLARPYFTGTGTFDNSTVAGILEYKKNPLSAKNIPTLKPSLPAINDTSFVANFSSKFRSLNTAKYPAKVPQKVDKSFFFTVGLGTSPCPKNQTCQGPNNSSKFAASMNNISFTLPSIALLQQHFFGQANNGIYTTDFPAVPLRPFNYTGTPPNNTRVTNGTKTVVIPFNTSVQVVLQDTSILVAESHPLHLHGFNFYVVGQGFGNFNPNTDPPKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPNQKLPPPPLDLPKC >Vigun03g073100.3.v1.2 pep primary_assembly:ASM411807v1:3:6036415:6038363:-1 gene:Vigun03g073100.v1.2 transcript:Vigun03g073100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCSSVRVPYCSPSCFWKPNGKNCEAPTKLPCAVHSNKNPLVGMGIGLVTAWVMGLTALDADATRIEYYATVGEPLCEYKYVKSGLGYCDIVEGFGVEAPLGELINVHYTARFADGIVFDSSYKRGRSLTMRIGVGKVIRGLDQGILGGEGVPPMRIGGKRKLQIPPQLAYGPEPAGCFSGDCNIPANATLLYDVNFVELYSGNRSR >Vigun03g073100.2.v1.2 pep primary_assembly:ASM411807v1:3:6036081:6038363:-1 gene:Vigun03g073100.v1.2 transcript:Vigun03g073100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCSSVRVPYCSPSCFWKPNGKNCEAPTKLPCAVHSNKNPLVGMGIGLVTAWVMGLTALDADATRIEYYATVGEPLCEYKYVKSGLGYCDIVEGFGVEAPLGELINVHYTARFADGIVFDSSYKRGRSLTMRIGVGKVIRGLDQGILGGEGVPPMRIGGKRKLQIPPQLAYGPEPAGCFSGDCNIPANATLLYDVNFVELYSGNRSR >Vigun03g073100.1.v1.2 pep primary_assembly:ASM411807v1:3:6036081:6038363:-1 gene:Vigun03g073100.v1.2 transcript:Vigun03g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCSSVRVPYCSPSCFWKPNGKNCEAPTKLPCAVHSNKNPLVGMGIGLVTAWVMGLTALDADATRIEYYATVGEPLCEYKYVKSGLGYCDIVEGFGVEAPLGELINVHYTARFADGIVFDSSYKRGRSLTMRIGVGKVIRGLDQGILGGEGVPPMRIGGKRKLQIPPQLAYGPEPAGCFSGDCNIPANATLLYDVNFVELYSGNRSR >Vigun02g135200.1.v1.2 pep primary_assembly:ASM411807v1:2:28516605:28518843:-1 gene:Vigun02g135200.v1.2 transcript:Vigun02g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSTGRMPTWKERENNKRRERRRRAIAAKIYTGLRAQGNYKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKRPTGSEIGGTPPNISACSSIQPSPQSSSFPSPVPSYHASPTSSSFPSPTRIDANPSSFLIPFIRNITSIPANLPPLRISNSAPVTPPLSSPRTSKRKADFDSLSNASFRHPLFATSAPSSPSRRHHVATSTIPECDESDASTVDSASGRWVSFQGQTAAGPPSPTFNLMKPAMHQIPAQEGVQWGSVAEIARGVSDFDFENGRVKPWEGERIHEVGMDDLELTLGFGKA >Vigun09g211600.1.v1.2 pep primary_assembly:ASM411807v1:9:38581921:38585116:1 gene:Vigun09g211600.v1.2 transcript:Vigun09g211600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSLILFLLIQFCFSLLPFSFAQSPATGSTEGYTIYGRVKIPSVGTKEYILPGKISNVKVILNGGQRVTFLRPDGYFSFHNVPAGTHLIEVAAIGYFFSPVRVDISARHHGKIQAALTENRRGLSEFVLEPLRDEQYYEVREPFSIMSVVKSPMGLMVGFMLIVVFLMPKLMENMDPEEMRRAQEEMRNQGVPSLASLLPGAARSN >Vigun03g162900.2.v1.2 pep primary_assembly:ASM411807v1:3:18368070:18379702:1 gene:Vigun03g162900.v1.2 transcript:Vigun03g162900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTIDPAPAASSAGASSPAVPMNVGSIDGSSHGQVSKAASLSCVGSQPPWTSLSTSAGGSSRSCRPWERGDLLRRLATFIPINWLGKPKIISSLACAQKGWINNGVDKIACESCGACLCFTALSSWTSAEAQNASESFARQLDSGHKVNCPWKGNNCPESLVQFPPTPPSALIAGYKDRCDGLVQFQRLPVIAISAIELMSASRGPQIERFLSQSQNFMSREVCIKPEIISEFDNSQDEAYCLYTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQIHLTQDPGSKAVSASTKLDARKGKASVNETTLDSKTPLLDCSLCGAAVRISDFLSVPCPTRFVPNSIDILDASKKLGLTRGASAASGISGWIAADDTEKDQIDDRDEVATTNEGKLVANDDLDLNLTMAGGFPFTPLGRTATSEYTHDEDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNFEKGGSSDERPILRLQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDPYCSPRQRDSSGAGPSHSRGFETHVSGNRVSSNPQGSDRPIGIQSTRDSTRASSVIAMDTIGHSVNDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSNLAQQSTCLQTATEVVPGEVGVSSTNYGEEHFNAETVTAQARDGISLGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEQRAEDAEHQGQTGEYVPDPGLMDEIIPDEMNREDPIGDSQEMMSHSAGRTDSGSKIGCSTKAESVESGEKISQNCNLLPANSDHPSRSCNANIYSGCENTKEEIMKDGKSSFANNSSLPESDFAIANGIGPPKGESNYEAAEFDPIAYHNQCCPWVNGNVAAAGCASSVMRTSSDAIALSGWQLTLDALDALQSLEHNVIPTAPSESAASLYKQNDQQAPGKKLFRNHSLSRSHGQL >Vigun03g162900.4.v1.2 pep primary_assembly:ASM411807v1:3:18368070:18379651:1 gene:Vigun03g162900.v1.2 transcript:Vigun03g162900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTIDPAPAASSAGASSPAVPMNVGSIDGSSHGQVSKAASLSCVGSQPPWTSLSTSAGGSSRSCRPWERGDLLRRLATFIPINWLGKPKIISSLACAQKGWINNGVDKIACESCGACLCFTALSSWTSAEAQNASESFARQLDSGHKVNCPWKGNNCPESLVQFPPTPPSALIAGYKDRCDGLVQFQRLPVIAISAIELMSASRGPQIERFLSQSQNFMSREVCIKPEIISEFDNSQDEAYCLYTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQIHLTQDPGSKAVSASTKLDARKGKASVNETTLDSKTPLLDCSLCGAAVRISDFLSVPCPTRFVPNSIDILDASKKLGLTRGASAASGISGWIAADDTEKDQIDDRDEVATTNEGKLVANDDLDLNLTMAGGFPFTPLGRTATSEYTHDEDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNFEKGGSSDERPILRLQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDPYCSPRQRDSSGAGPSHSRGFETHVSGNRVSSNPQGSDRPIGIQSTRDSTRASSVIAMDTIGHSVNDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSNLAQQSTCLQTATEVVPGEVGVSSTNYGEEHFNAETVTAQARDGISLGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEQRAEDAEHQGQTGEYVPDPGLMDEIIPDEMNREDPIGDSQEMMSHSAGRTDSGSKIGCSTKAESVESGEKISQNCNLLPANSDHPSRSCNANIYSGCENTKEEIMKDGKSSFANNSSLPESDFAIANGIGPPKGESNYEAAEFDPIAYHNQCCPWVNGNVAAAGCASSVMRTSSDAIALSGWQLTLDALDALQSLEHNVIPTAPSESAASLYKQNDQQAPGKKLFRNHSLSRSHGQL >Vigun03g162900.5.v1.2 pep primary_assembly:ASM411807v1:3:18368070:18379651:1 gene:Vigun03g162900.v1.2 transcript:Vigun03g162900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTIDPAPAASSAGASSPAVPMNVGSIDGSSHGQVSKAASLSCVGSQPPWTSLSTSAGGSSRSCRPWERGDLLRRLATFIPINWLGKPKIISSLACAQKGWINNGVDKIACESCGACLCFTALSSWTSAEAQNASESFARQLDSGHKVNCPWKGNNCPESLVQFPPTPPSALIAGYKDRCDGLVQFQRLPVIAISAIELMSASRGPQIERFLSQSQNFMSREVCIKPEIISEFDNSQDEAYCLYTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQIHLTQDPGSKAVSASTKLDARKGKASVNETTLDSKTPLLDCSLCGAAVRISDFLSVPCPTRFVPNSIDILDASKKLGLTRGASAASGISGWIAADDTEKDQIDDRDEVATTNEGKLVANDDLDLNLTMAGGFPFTPLGRTATSEYTHDEDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNFEKGGSSDERPILRLQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDPYCSPRQRDSSGAGPSHSRGFETHVSGNRVSSNPQGSDRPIGIQSTRDSTRASSVIAMDTIGHSVNDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSNLAQQSTCLQTATEVVPGEVGVSSTNYGEEHFNAETVTAQARDGISLGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEQRAEDAEHQGQTGEYVPDPGLMDEIIPDEMNREDPIGDSQEMMSHSAGRTDSGSKIGCSTKAESVESGEKISQNCNLLPANSDHPSRSCNANIYSGCENTKEEIMKDGKSSFANNSSLPESDFAIANGIGPPKGESNYEAAEFDPIAYHNQCCPWVNGNVAAAGCASSVMRTSSDAIALSGWQLTLDALDALQSLEHNVIPTAPSESAASLYKNDQQAPGKKLFRNHSLSRSHGQL >Vigun03g162900.1.v1.2 pep primary_assembly:ASM411807v1:3:18368070:18379702:1 gene:Vigun03g162900.v1.2 transcript:Vigun03g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTIDPAPAASSAGASSPAVPMNVGSIDGSSHGQVSKAASLSCVGSQPPWTSLSTSAGGSSRSCRPWERGDLLRRLATFIPINWLGKPKIISSLACAQKGWINNGVDKIACESCGACLCFTALSSWTSAEAQNASESFARQLDSGHKVNCPWKGNNCPESLVQFPPTPPSALIAGYKDRCDGLVQFQRLPVIAISAIELMSASRGPQIERFLSQSQNFMSREVCIKPEIISEFDNSQDEAYCLYTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQIHLTQDPGSKAVSASTKLDARKGKASVNETTLDSKTPLLDCSLCGAAVRISDFLSVPCPTRFVPNSIDILDASKKLGLTRGASAASGISGWIAADDTEKDQIDDRDEVATTNEGKLVANDDLDLNLTMAGGFPFTPLGRTATSEYTHDEDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNFEKGGSSDERPILRLQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDPYCSPRQRDSSGAGPSHSRGFETHVSGNRVSSNPQGSDRPIGIQSTRDSTRASSVIAMDTIGHSVNDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSNLAQQSTCLQTATEVVPGEVGVSSTNYGEEHFNAETVTAQARDGISLGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEQRAEDAEHQGQTGEYVPDPGLMDEIIPDEMNREDPIGDSQEMMSHSAGRTDSGSKIGCSTKAESVESGEKISQNCNLLPANSDHPSRSCNANIYSGCENTKEEIMKDGKSSFANNSSLPESDFAIANGIGPPKGESNYEAAEFDPIAYHNQCCPWVNGNVAAAGCASSVMRTSSDAIALSGWQLTLDALDALQSLEHNVIPTAPSESAASLYKQNDQQAPGKKLFRNHSLSRSHGQL >Vigun03g162900.3.v1.2 pep primary_assembly:ASM411807v1:3:18368070:18379651:1 gene:Vigun03g162900.v1.2 transcript:Vigun03g162900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTIDPAPAASSAGASSPAVPMNVGSIDGSSHGQVSKAASLSCVGSQPPWTSLSTSAGGSSRSCRPWERGDLLRRLATFIPINWLGKPKIISSLACAQKGWINNGVDKIACESCGACLCFTALSSWTSAEAQNASESFARQLDSGHKVNCPWKGNNCPESLVQFPPTPPSALIAGYKDRCDGLVQFQRLPVIAISAIELMSASRGPQIERFLSQSQNFMSREVCIKPEIISEFDNSQDEAYCLYTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQIHLTQDPGSKAVSASTKLDARKGKASVNETTLDSKTPLLDCSLCGAAVRISDFLSVPCPTRFVPNSIDILDASKKLGLTRGASAASGISGWIAADDTEKDQIDDRDEVATTNEGKLVANDDLDLNLTMAGGFPFTPLGRTATSEYTHDEDMGRDLMIGQPSGSEIGDRAASYESRGPSSRKRNFEKGGSSDERPILRLQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDPYCSPRQRDSSGAGPSHSRGFETHVSGNRVSSNPQGSDRPIGIQSTRDSTRASSVIAMDTIGHSVNDDSMESVENYPGDLDDVHFPSSSTYGNVDMNETSELNNSNLAQQSTCLQTATEVVPGEVGVSSTNYGEEHFNAETVTAQARDGISLGISGGSVGMCASHEAEIHGADISVHRADSVVGEMEQRAEDAEHQGQTGEYVPDPGLMDEIIPDEMNREDPIGDSQEMMSHSAGRTDSGSKIGCSTKAESVESGEKISQNCNLLPANSDHPSRSCNANIYSGCENTKEEIMKDGKSSFANNSSLPESDFAIANGIGPPKGESNYEAAEFDPIAYHNQCCPWVNGNVAAAGCASSVMRTSSDAIALSGWQLTLDALDALQSLEHNVIPTAPSESAASLYKQNDQQAPGKKLFRNHSLSRSHGQL >Vigun09g082100.2.v1.2 pep primary_assembly:ASM411807v1:9:9888520:9890302:1 gene:Vigun09g082100.v1.2 transcript:Vigun09g082100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVILFSIIVACGFVENVVSDNNQFPYRTIINFGDSLSDTGNSATKEPFAANSPYGSTYFKHPAGRLSDGRLIIDFIVKAYGLPVLPAYLNLTKGQHVKQGVNFAYSGSTANNKSFFDQRGLDVPLAAYSLTTQFEWFQKLKPSLCTDCATFFKNALVLVGEIGGNDINAIIPHKNLTEIREFVPHIVQEISTMISKLIEEGAVQLVVPGNFPIGCNSYVLNEVNSNKTEDYDEFGCLRNYNAAIKYFNDQLKTAIDDLRHKNTHVNITYFNYYSAARRLYETPEQYGFKGKPDTFKVCCGKGGRYNLKEFCGFGDSVICEEPSKHISWDGFHMTEAGYRAVAQGLLEGPYATPALKTPPFKIPKE >Vigun09g082100.1.v1.2 pep primary_assembly:ASM411807v1:9:9888520:9890302:1 gene:Vigun09g082100.v1.2 transcript:Vigun09g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVILFSIIVACGFVENVVSDNNQFPYRTIINFGDSLSDTGNSATKEPFAANSPYGSTYFKHPAGRLSDGRLIIDFIVKAYGLPVLPAYLNLTKGQHVKQGVNFAYSGSTANNKSFFDQRGLDVPLAAYSLTTQFEWFQKLKPSLCTGKEDCATFFKNALVLVGEIGGNDINAIIPHKNLTEIREFVPHIVQEISTMISKLIEEGAVQLVVPGNFPIGCNSYVLNEVNSNKTEDYDEFGCLRNYNAAIKYFNDQLKTAIDDLRHKNTHVNITYFNYYSAARRLYETPEQYGFKGKPDTFKVCCGKGGRYNLKEFCGFGDSVICEEPSKHISWDGFHMTEAGYRAVAQGLLEGPYATPALKTPPFKIPKE >Vigun06g000400.1.v1.2 pep primary_assembly:ASM411807v1:6:222220:224369:-1 gene:Vigun06g000400.v1.2 transcript:Vigun06g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSNPSTGSSQQLSAQDIRKQLKNQLALEYAQQFLETVGRKCFEKCITKPGSSLGGSESSCISRCVDRYIEATGIISKALFTSQ >Vigun05g018800.1.v1.2 pep primary_assembly:ASM411807v1:5:1527062:1532655:-1 gene:Vigun05g018800.v1.2 transcript:Vigun05g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHDDMNPFDQMDEDDFFDEIDYQNHGGDAALDEYEMLTKVTDTSAAQARKGKDIQGIPWERLNISRERYRLTRLEQYRNFENILTSGDAVDKECKQMEKGGNYYEFFYNTRMVKPTILHFQLRNLVWATSKHDVYLVSNYSVNHWSSISGVLSEIINFAGHVAPTERHAGNLLEGFSQTQISTLAVKDKLLVAGGFQGELTCKRLDQKGVSFCTRTTHDDNAITNAIEIYDSLSGATHIIASNNDCGVREYDTERFQLLNNFQFSWPVNHTSISPDRKLMTVVGDNLDGLLVDPQNGKTVATLVGHRDYSFASAWHPNGCTFATGNQDKTCRVWDVRNLSSPVAILRGNLGATRSIRFSSDGQYMVVAEPADFVHVYSTKADYKKRQEIDFFGEISGVCLSPDDECMYIGIWDRTYASLLQYNRKHQYQYLDAYY >Vigun01g165100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34734760:34736614:1 gene:Vigun01g165100.v1.2 transcript:Vigun01g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTANLHFVLFPLMAQGHMIPMVDIARILARRGVVVTIFTTPKNASRFNSVLSRAVSSGLQIRLVQLHFPTKEAGLPEGCENFDMITTPADMMNKMVHAINMLQNSAEELFETLTPKPSCIISDFCIPWTAQVAEKHHIPRISFHGFSCFAHHCLHQLQISEVCESISSESEYFTIPGIPDEIQVTKEQIPMIVTTSNDEKIFMEQIRDAEMKSYGAIINTFEELEKAYVRDYRKARNDNLWCFGPLSLCNKDSLDKAERGKQASINESHCLKWLDLQQPKSVVYVCFGSLCNLIPSQLVELALALEDTKRPFVWVIREGSQFQELEKWISEEGFEERTKGRGLIIGGWAPQVTILSHPSIGGFLTHCGWNSTLEGISVGVPLVTWPLFSDQFLNQKLVTDVLKIGVSVGVEVPLKWGEEEKMGVMVKKDDIKRAICMVMDEDEGESKERRERVRKLCEMGKRAVEEGGSSFLDITLVIQDIMQQQQSST >Vigun03g218000.2.v1.2 pep primary_assembly:ASM411807v1:3:36315497:36317388:-1 gene:Vigun03g218000.v1.2 transcript:Vigun03g218000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGIFSNLAHGVANASHGGHGYPPGAYPPPPGAYPPHQGYPPQQGYPPAGYPPGAYPPAGYPPAGYPASSHAPGQHGHGHGGMGAMLAGGVAAAGAAYGAHHVAHGSHGSHGHYAHGKFKHGKHGGKFKHGKGKHGKFGKHGGGFKKWK >Vigun03g218000.1.v1.2 pep primary_assembly:ASM411807v1:3:36315497:36317388:-1 gene:Vigun03g218000.v1.2 transcript:Vigun03g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGIFSNLAHGVANASHGGHGYPPGAYPPPPGAYPPHQGYPPQQGYPPAGYPPGAYPPAGYPPAGYPASSHAPGQHGHGHGGMGAMLAGGVAAAGAAYGAHHVAHGSHGSHGHYAHGGHMPHGKFKQQGHGKFKQHGHGKFKHGKHGGKFKHGKGKHGKFGKHGGGFKKWK >Vigun05g156625.1.v1.2 pep primary_assembly:ASM411807v1:5:25470630:25471713:-1 gene:Vigun05g156625.v1.2 transcript:Vigun05g156625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMNLEFEEDLIRKGIFRIPPFFEEFYDLRDLHYVCTVFCGDRYFRLRIFDLQWTEIEYRGIADSYIAEEDLVWSRFLSSFRILLSPKEATITLDAYFHLFWERKIVFDQEMEFVDPNSKTFKFKFHVTPNGTTILRGPIRKMFKYCNLKDEVYLHMSYVSLNVFLIKLFSVEGIEIAYTENAASCSGTAENLEDVPEDDSDNCLIKCLTAYDVVALSLYLNASFVANAFSTSKKECLLSNGNGMYWQCSIRWAQRARTECYLGCGWRRFVTENKLCAGDRIKLEVRKNEDNLIVVQKI >Vigun05g269900.2.v1.2 pep primary_assembly:ASM411807v1:5:46132634:46136773:1 gene:Vigun05g269900.v1.2 transcript:Vigun05g269900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQLQPPPLPIDVGQLLIEIEQLRQRVRELETENKHIQRDLGEIIQQLNNAHQEHINFKNSRRDEFLRQVDIVSRFVHVMRF >Vigun05g269900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46132634:46133830:1 gene:Vigun05g269900.v1.2 transcript:Vigun05g269900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQLQPPPLPIDVGQLLIEIEQLRQRVRELETENKHIQRDLGEIIQQLNNAHQEHINFKNSRRDEFLRQVDIVSRKLFGG >Vigun03g155200.1.v1.2 pep primary_assembly:ASM411807v1:3:16529773:16538649:1 gene:Vigun03g155200.v1.2 transcript:Vigun03g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYERIQKPQGGGGFSPGKLRNMLLGVEKKRKEEEELDSTFTTRSQNSEMDESGGSSSDHCKDVDVVSVLPEYSTSALVRTSSIEAVGGDDRFVKANAAVSSRNRILEDPSSDYDSGHENMSISSSVFEFQKAERSTQRVPVRPFSKPAPSKWDDAQKWIASPTSNRPKTVQAQGQGGHVGPRKVGSLGYGSRQPSMKVVVEVPDQREIALDEPDTKQIDTNQTKMESGGQKFVSWEADPYAIASSCVSLSQHNSSLAIQNATTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPMRSPNSSRPSTPPRSSPVSTLTDLHSDNLNLSKNELSEKELQMKTRREIMVLGTQLGKMNIAAWASKEEEDKDASTSLKTKAEQPKSVVEARAAAWEEAEKAKYMARFRREEMKIQAWENHQKAKTEAKMKKIEVEVERIRSKAHDKLMNKLAAARHKAEEKRAAAEANRNHQAAKTEEQADYIRRTGHVPSSYLSFSCCNWCS >Vigun03g155200.2.v1.2 pep primary_assembly:ASM411807v1:3:16529773:16538649:1 gene:Vigun03g155200.v1.2 transcript:Vigun03g155200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVEKKRKEEEELDSTFTTRSQNSEMDESGGSSSDHCKDVDVVSVLPEYSTSALVRTSSIEAVGGDDRFVKANAAVSSRNRILEDPSSDYDSGHENMSISSSVFEFQKAERSTQRVPVRPFSKPAPSKWDDAQKWIASPTSNRPKTVQAQGQGGHVGPRKVGSLGYGSRQPSMKVVVEVPDQREIALDEPDTKQIDTNQTKMESGGQKFVSWEADPYAIASSCVSLSQHNSSLAIQNATTFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPMRSPNSSRPSTPPRSSPVSTLTDLHSDNLNLSKNELSEKELQMKTRREIMVLGTQLGKMNIAAWASKEEEDKDASTSLKTKAEQPKSVVEARAAAWEEAEKAKYMARFRREEMKIQAWENHQKAKTEAKMKKIEVEVERIRSKAHDKLMNKLAAARHKAEEKRAAAEANRNHQAAKTEEQADYIRRTGHVPSSYLSFSCCNWCS >Vigun11g124100.1.v1.2 pep primary_assembly:ASM411807v1:11:33137304:33138587:1 gene:Vigun11g124100.v1.2 transcript:Vigun11g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNSAAATIRRLEGKVAIITGAASGIGEAIARLFSQHGAHVVIADIQDDVGLSLCNDLESAIYVHCDVTNEEDVQNCVNMAVSKYGKLDIMLNNAGITGANKASILDNTKSEFEAVINVNLVGVFLGTKHAARVMIPARRGSIVNTASVCGSIGGVASHAYTASKHGVVGLTRNSAVELGAFGVRVNCVSPYVVATPLAKNFFKLDDKGVHGIYANLKDAVLEPNDVAQAALYLASDESKYVSGHNLVVDGAFTVVNSGFCVFGQSS >Vigun05g279166.1.v1.2 pep primary_assembly:ASM411807v1:5:46850213:46851147:-1 gene:Vigun05g279166.v1.2 transcript:Vigun05g279166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGYLDWWDGKFESARSDYSDATEDLNQLTPIIHGAKENLNQLAPIIEDYEAKIKRLEDTEKIRKVKIARLEDVGKKRDKDLARLEDLAKKSEADLARLEDLEQIRIPAYKSEIGRLKRFERRSMARIHDLEEDKEELKMTLRMLRKDKNMCLYQLDVARCEKEELKAMSIKLKDDINRLHRNL >Vigun09g075400.1.v1.2 pep primary_assembly:ASM411807v1:9:8555235:8557337:-1 gene:Vigun09g075400.v1.2 transcript:Vigun09g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFKSVFCVPELNENMLGSKTKGALVPCSSTKVKSKGCSVLNNVFMGESVALDEKGLGYFSSKASSSVPVHAQATISVSKSLKWWEKNLKPNMIEIHSAQELVHSLLNAGDSLVVVDFYSPGCGGCRALDSKICQIAGLHPNAIFLRVNYDELKNMCHGLRIHVLPFFRFYRGVEGRVCSFSCTNATIKKFKDAMAKHSNERCSFGPAKGLEESELRILASMGEISNDSSVIVYPKQEKMKNLVNDFSGIWNMASNSRGVMKDTVF >Vigun02g113000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26699595:26702161:-1 gene:Vigun02g113000.v1.2 transcript:Vigun02g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVCDVNHLDADVLLPPRKRLLAGLKKQSSDGDAAAASPSRVVASCAIVSEAVASPPSSYSVEFEARLKNLLSSHPTNPNLITPEEVVEASKAEAVAAVKAARAARAAAEEKAEIAAKAVASAKRALDFIASFSEEAMGSKERNPKKNKLKKHLPVHFLYKKYQQIENCGTDEELARKLHRAMNSSPRISKNSPNSDSRGRKYKKPKNFSSLEMTEASDSHMVTGQDFLSMNNGHAVVVSKVDSEGSLQEACSSKEDKKGFRYHGSSQMEVIDNGEAEEKKSEDLCSVGKKRGRVKLKKLPLSICTSKDRAQPKEGVRARSVPFTENKALFPVESSSDRVMPIEATSTCKCQEFKTPACIKQSKAVQS >Vigun02g113000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26699657:26701954:-1 gene:Vigun02g113000.v1.2 transcript:Vigun02g113000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVCDVNHLDADVLLPPRKRLLAGLKKQSSDGDAAAASPSRVVASCAIVSEAVASPPSSYSVEFEARLKNLLSSHPTNPNLITPEEVVEASKAEAVAAVKAARAARAAAEEKAEIAAKAVASAKRALDFIASFSEEAMGSKERNPKKNKLKKHLPVHFLYKKYQQIENCGTDEELARKLHRAMNSSPRISKNSPNSDSRGRKYKKPKNFSSLEMTEASDSHMVTGQDFLSMNNGHAVVVSKVDSEGSLQEACSSKEDKKGFRYHGSSQMEVIDNGEAEEKKSEDLCSVGKKRGRVKLKKLPLSICTSKDRAQPKEGVRARSVPFTENKALFPVESSSDRVMPIEATSTCKCQEFKTPACIKQSKAVQS >Vigun02g086400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24093230:24096912:-1 gene:Vigun02g086400.v1.2 transcript:Vigun02g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQPLFLLLHHHNRKPFSSAAPFPHQPSLPSQIFLILSRPQWRKDPSLDSLIPALTPSLLSSLFNLNPDPLTALNFFRWIRHKHSFVHTLGTYESLLLILVRHGTLRAAENVRNSMIKCCASAHDARFVLNLLRRMNTAPEGHELSFKLSITSYNRLLMCLSHFSLFDEMISLYKEMLDDNGDGSGNGNGDVFPNLITLNTMLNSYCKLGNMYVARLFLTRLLICGFSPDSFTYASLILGYCRSNDVERAYRVFQIMPQGRNAVPYTNLIHGLCEAGRLDDALNLWSQMRENGCFPTVRTYTVLIGALCESGKEVVALSLFGEMVERGCEPNVYTYTVLIDYFCKQSRMEEAVAMLNKMVEKGMAPSIVSYNALIGGYCKQGRMEDAMGVLGLMESKKVCPDVRTYNELICGFCEGKSMDRAMALLNKMVENKLSPNVITYNTLIHGLCKTGVVDSASRLFHLMIKDGFSPDERTFSAFIGSFCRMGRVEEANQILESLKEKNVKANAHIYTALLEGYCQSGKIEDALLLFKRMVAEDCLPNSVMFNVLIDGLRKEGKTQDAMLLVEDMGKFGVKPTLYTYTILVEEALKEFDFGRANEILNQIISSGYQPNVVTYTAFIKAYCSQGRLEEAEEMVVKIKNEGILLDPLIYNLLINAYGCMGLLDSAFGVLKRMFDTGFEPSYQTYSILMKHLIFEKHKEGSNHVGLDLSNVSFNNADIWNKIDFEITTMLFEKMAVCGCVPNLNTYSKLIRGFCRVGRLDIAFSLYHDMRRSEISPSESIHNSLLSSCCKLGMFVEAMTLLDSMMECGHLAHLESYKLLICGLFEQMDKEKAEAVFHSLLRCGYNYDEVAWKILIDGLARNGYVDQCTELLSLMKKNGCHLHSGTCSMLMQELNRVEENDC >Vigun01g131000.1.v1.2 pep primary_assembly:ASM411807v1:1:30889016:30891837:1 gene:Vigun01g131000.v1.2 transcript:Vigun01g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >Vigun03g201270.1.v1.2 pep primary_assembly:ASM411807v1:3:30706306:30707189:1 gene:Vigun03g201270.v1.2 transcript:Vigun03g201270.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVAMEVEAVMVSVVAMVVVVMLVVVVVVVVVMVVVVMVAVMVVVLGVGVVVIMVTVVVVVVVAVVVVVEEVVVVVLYGGGGDDVVGDGGGRRGRDAGGGYGGNGGSGGGGSGGGGGGDYGGGGGGCGNGGGCGGGDGGGGGGDGGGGRRVIVVVVVVVVVVLVVVVVVAVEVVVVLVVVVLVVVVVVLVVVVVVVVMVVVVVVVVGVMLVVVVVVVVVIVIVVVVVVVVVMVVVVVVVVGVMLVVVVVVVVVIVIVVVGVQVG >Vigun09g242000.1.v1.2 pep primary_assembly:ASM411807v1:9:41145947:41147835:-1 gene:Vigun09g242000.v1.2 transcript:Vigun09g242000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALVVVAVFLLLCYFIKWDTAGSTRKPPEAAGGWPLIGHLRLFSRSGQPVYEALGGLADKYGPIFSIRMGVHQAVVVSSWELARECFTTLDAVVSYRPKYSAAKILTYDYASFAFSPYGDFWRDMHKITVSELFSTRRAELLRGIRDSEVRSSLKELYGTWVEKRGDLLVEMKEWFGNMNLNMILRTVAGKRYCVGNGDEERMRRVRRVLRDFFNLLGVIVIGDAIPFLGWLDLGGEVKEMKKTAVEMDSLISEWLEEHRQRRDSDGKEREEDFIDVLLSAVDGVDLAGYDADTVIKATCLTLIAAGTDTTTVTLTWALSLLLNNRDALKKVQDELDEHVGMEKVVDESDINKLVYLQAVVKESMRLYAAAPLPSPREFNSDCTLGGYRIEAGTRLILNIWKIQRDPRVWPNPLEFQPERFLTTHKGVDVKGQHFELLPFGGGRRSCPGISFALQMTHLALAAFLQAFEVTTLNNEPVDMSALFGLTLTKATPLEVLIKPRLPHQGFLNNVS >Vigun06g012300.1.v1.2 pep primary_assembly:ASM411807v1:6:5485112:5489424:-1 gene:Vigun06g012300.v1.2 transcript:Vigun06g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETPKPHIVCVPYPAQGHINPMMQLAKILRCKGFYITFVNSEYNHRRFVKSHGPEFVKGLPDFVYETIPDGLPPSDKDATQDIPMLCDSTRKTCYGPFKELVLKLKATEGVPPITGVIADGIMGFAGKVAKDMGIPEVQLWTASVCGYVGYLQFDELVAQGMVPFKDQKEIDDALDISLDWIKGMSNMRLRDLPTFCRVTSLDDILFDFLNSESRNCLKSSAVIINTFEDLDEEGLEILRSYNPNIYTIGPLDLLGRHFPEKEKGFMSVGSSLWKNDSNCLTWLNKWEPNSVVYVNFGSIAVMTAHHLKEFAWGLANSKLPFLWIKRADIVTDDSDPLPQEFFDEIKDRGYITSWCMQEQVLSHPSVGVFLTHCGWNSTLEGIYAGVPMVCWPFFSDQHTNCRYVCANWGVGMEINQDVKRDEISDLVTEMIKGEKGNAIRQKSLEWKKKAIKATDVGGTSYNHIHKLIKEALSGNAA >Vigun05g242100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43519252:43519626:-1 gene:Vigun05g242100.v1.2 transcript:Vigun05g242100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAKIVVLLCMLTLAWAGKTTVQITNTLEGKQNLNIHCKSKDDDLGPHLLHINESFQWSFGPHFFKITLFFCSVQWGNGPLLHFDAYDQIRDYSLCKHCHWYIESYGPCRYQLGVRKCYKWN >Vigun09g077500.10.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882122:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.3.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVVICLAIIWVDYIYV >Vigun09g077500.1.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.9.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882122:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQFQPQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.8.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.6.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQFQPQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.2.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFEKQRMRKESRQGKEDTLYYNSLEFHKVVSEGT >Vigun09g077500.4.v1.2 pep primary_assembly:ASM411807v1:9:8868978:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTTTLLNFTRDLPVRSWCDN >Vigun09g077500.7.v1.2 pep primary_assembly:ASM411807v1:9:8868977:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKYFLELMKVPRVESKLRVFSFKIQFGTQITEFKKSLQTVNSACEEVRNSFKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHFLCKVLAERFPGLLDFHLDLVSLEAATKIQLKSLAEEMQAIIRGLEKVKQELAASEKDGPVTEVFRKTSKEFIAVAGSEVASVTNLYSVVGRNADALALYFVTTTLLNFTRLFLKAHEENCKQTVLEKKKADKEAEMEKAKGINFIRKSGKDEEEES >Vigun09g077500.11.v1.2 pep primary_assembly:ASM411807v1:9:8876837:8882746:-1 gene:Vigun09g077500.v1.2 transcript:Vigun09g077500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDHLLEISDRLYVFDCCFSKNVLEEEEYKVYIGGVLGQLQDHYPDASFMVFNFREGDRRSRISDIMSQYEMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEHKTLEMVYKQAPRELLHLLSPLNPQPSHLRYLQYISRRHLGSQWPPSETPLYLDCIILRVLPLLDGGKGCRPVVRVYGPDPSKPSNRSSKLLSSTSMGQNHVRHYMQAECMLVKIDIRCHVQGDVVLECIHLSDDFVGEEVMFRVMFHTAFVRSNILTLSRDEIDIQWDAKDHFPKDFKFEVLFLDADAVMPNLNTVDASEDASDTECASPEAEEFYEAEEIFSNVIDVLEGKGDYDFPMVHDSGVDDGSHTEIWKEYSDPHTFLDSMLDDGIHQQVDDTRYKLDKRVVLDTHAVKDIGVDYGVTFMTKEVTVNALDKLAVKQNKYGEENNKTEEELDSEAGLQVLDISRPKSDKLLISSQKKHLPSNSKPMQEPHGFQAHYAKPNVTTRSVPSSKGASQDSMHVSYPLSRNNSSPLRLSYVTSEKEEIIAKGKSTSSSHVSEAIAFTDTINDPKSCHGDNSKSSNIVPEIGSNSPLLSLLSVKETSLQLANQAPQQSYGQMLQLHPPPPPPPPPGFGQNTHWKSVGQNNGALPTSPHSPPIANGVIPPKVSLPPPPPPPPPPPPPPLQSTNEPSLPSPSISPTTFKAPPPAPRPPHYPPPPLSKSTPLPPPPLSTAPPPPPPPPSLSRGSPPPPPLPPSSGAPPPPSSSSLSRAPPPPPPPNRGPPPPTMPGGTAPPPPPGGRGQPPTGTRAPLAHSPHVPLGGATFPSAIGTDTRGKGRGLGRPTGSGAMGTRKSSLKPLHWSKVTRALQGSLWDELQRRGELQITQEFDVSEIEKLFSANVPKPADSDGKSGGRRKSVGSKADKIHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDSVLDVDQVENLIKFCPTKEEIELLKGYTGDKENLGKCEKGNVPK >Vigun04g094500.1.v1.2 pep primary_assembly:ASM411807v1:4:19235255:19240864:-1 gene:Vigun04g094500.v1.2 transcript:Vigun04g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITAEESGFPKRCNVREPPLLQCAELVLPYLNPSELANISLICKPLLKLSRSITFRRASDASRTFETFPIPFLNTVDSHPYAYFLYTRSLVLSSPLPLLRQPWGSSAVSPFAQCRLLRADSVGFVDGTGRAVSGCDCEACDGGECPCAGLDGLDDVGRECGPGCRCGPECGKRLTRNGVAVRVKIVRHRRKGWGLHADQLIAKGEFVFEYAGELLTTKEAQRRHQYYDELASQGHSSSALLVVREHLPSGKACLRLNIDATRLGNIARFVNHSCDAGNLSTKLVRSSGALFPRLCFFASKDILVDDELTFSYGEIRKRSKGLPCFCNSPSCVGTLPSEDT >Vigun05g176750.3.v1.2 pep primary_assembly:ASM411807v1:5:32831159:32833058:-1 gene:Vigun05g176750.v1.2 transcript:Vigun05g176750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGRFFDV >Vigun05g176750.1.v1.2 pep primary_assembly:ASM411807v1:5:32824736:32833266:-1 gene:Vigun05g176750.v1.2 transcript:Vigun05g176750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGRSILFVIGC >Vigun05g176750.2.v1.2 pep primary_assembly:ASM411807v1:5:32824736:32833266:-1 gene:Vigun05g176750.v1.2 transcript:Vigun05g176750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGRSILFVIGC >Vigun05g203900.2.v1.2 pep primary_assembly:ASM411807v1:5:39212815:39214974:-1 gene:Vigun05g203900.v1.2 transcript:Vigun05g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQIIFVGCLGKKLGPRILGRRSESSVPEVIYQTLNEPLGKHELQGRFDIPQTLEEFMTEMKKGGYDAKTFAVKLREMVTLMEERTRMAKIQEYLYRHVASSSIPKQLHCLSLSLANEHTNNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVATSLVRNFLRPQKVVLHIITDRKTYYPMQAWFSLHSLSPAIVEVKALHHFDWFTKGKVPVLEAMEKDQKVRSHFRGGSSAIVANTTEKPKVIAAKLQALSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIEMNGKVNGAVETCTGEDKFVMSKKLKSYLNFSHPLIFKSFNPNECAWAYGMNIFDLEAWRKTNISNIYHYWVEQNIKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSFVDAESAGVVHYNGRAKPWLEIAFPQLRKLWTKYVDFSDKFIKSCHIRAS >Vigun05g203900.1.v1.2 pep primary_assembly:ASM411807v1:5:39212774:39216695:-1 gene:Vigun05g203900.v1.2 transcript:Vigun05g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVFPSKGFKEFIKVKVASRRVSYRMLFYFLLFFTFLLRFVFVLTAVDGIDGENKCSTIGCLGKKLGPRILGRRSESSVPEVIYQTLNEPLGKHELQGRFDIPQTLEEFMTEMKKGGYDAKTFAVKLREMVTLMEERTRMAKIQEYLYRHVASSSIPKQLHCLSLSLANEHTNNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVATSLVRNFLRPQKVVLHIITDRKTYYPMQAWFSLHSLSPAIVEVKALHHFDWFTKGKVPVLEAMEKDQKVRSHFRGGSSAIVANTTEKPKVIAAKLQALSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIEMNGKVNGAVETCTGEDKFVMSKKLKSYLNFSHPLIFKSFNPNECAWAYGMNIFDLEAWRKTNISNIYHYWVEQNIKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSFVDAESAGVVHYNGRAKPWLEIAFPQLRKLWTKYVDFSDKFIKSCHIRAS >Vigun01g054300.7.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNERQLVKLFNICHSKMENVVPRNASVRYLFHVPLFLLLV >Vigun01g054300.1.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNERQLVKLFNICHSKMENVVPRNASVRLVAFNLGYLPGGDKDIITVSETTSLALEAAKRILMPGGLISIVVYVGHPGGREELEAVESFATKLCVENWICCKLQMLNRPFGPIPIFLYRR >Vigun01g054300.6.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNERQLVKLFNICHSKMENVVPRNASVRLVAFNLGYLPGGDKDIITVSETTSLALEAAKRILMPGGLISIVVYVGHPGGR >Vigun01g054300.9.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNEVSTIYEQIVTTLNWLAKNIA >Vigun01g054300.10.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNEACCIQLGLSSWR >Vigun01g054300.3.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNEACCIQLGLSSWR >Vigun01g054300.8.v1.2 pep primary_assembly:ASM411807v1:1:10277823:10281941:1 gene:Vigun01g054300.v1.2 transcript:Vigun01g054300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRWFFSLATHSDLSSSPQRTLVFVPPLILKNTLSKNNLSCSCVEPNKKNGFFSVASDLQSSSSYHKDSPFSGLEDALMSYIFGKKRATDIAHMVWKQVVRKGDTVIDATCGNGFDTLAMLNLVADDSHDGYVYALDIQEDALNNTSLLLEKSLSSNERQLVKLFNICHSKMENVVPRNASVRYLFHVPLFLLLV >Vigun07g285700.2.v1.2 pep primary_assembly:ASM411807v1:7:39871637:39875755:-1 gene:Vigun07g285700.v1.2 transcript:Vigun07g285700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKLKLRAELSQQQNDINEVPSSSNSLKSLLDAATPTPRLSKRDKRRKLRSSEHPPKETNKETETEGSPKKKNKKRKRKVEGDDVANEVPDNTPHNNSKKKKKKKKLKKNKKKETKTAEENGSNGGGEMPEATELTKTNTTTSQDHGDVPTKVYVGGIPYYSTEDDIRSYFESCGTITEVDCMYFPESGKFRGIAIISFKTEAAAKRALALDGADMGGLFLKIQPYKATRANKTSDFAPEILEGYNRVYVGNLSWDITEEELRKFFNNCEITSVRFGMDKETGEFRGYAHVDFGDSQSLKTALTLDQNVLFGRPVKISCAVPLKKKPGTHKSSTVNGADGDKSTSSGSGKMNGADGEKPSSTVSGKMKRRTCYECGEKGHGFSECPKKQTDVAATT >Vigun07g285700.1.v1.2 pep primary_assembly:ASM411807v1:7:39873247:39876698:-1 gene:Vigun07g285700.v1.2 transcript:Vigun07g285700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKLKLRAELSQQQNDINEVPSSSNSLKSLLDAATPTPRLSKRDKRRKLRSSEHPPKETNKETETEGSPKKKNKKRKRKVEGDDVANEVPDNTPHNNSKKKKKKKKLKKNKKKETKTAEENGSNGGGEMPEATELTKTNTTTSQDHGDVPTKVYVGGIPYYSTEDDIRSYFESCGTITEVDCMYFPESGKFRGIAIISFKTEAAAKRALALDGADMGGLFLKIQPYKATRANKTSDFAPEILEGYNRVYVGNLSWDITEEELRKFFNNCEITSVRFGMDKETGEFRGYAHVDFGDSQSLKTALTLDQNVLFGRPVKISCAVPLKKKPGTHKSSTVNGADGDKSTSSGSGKMNGADGEKPSSTVSGKMKRRTCYECGEKGHGFSECPKKQTDVAATT >Vigun07g288500.2.v1.2 pep primary_assembly:ASM411807v1:7:40116072:40117114:1 gene:Vigun07g288500.v1.2 transcript:Vigun07g288500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLYMSLIKWQTFNEIPFLESPFEKFIRGSKVRTLEGPEYVRKVSENCVNFMKSDGNYGEAEEKAIEEFRYAFKDQHFPPGSTVFYRQSPTGTLGLSFSKDETIPENEYAVIENKALSEAVLETMIGEIPVSPALKESLATRFYEFLKEDNSKTE >Vigun07g288500.1.v1.2 pep primary_assembly:ASM411807v1:7:40114573:40117114:1 gene:Vigun07g288500.v1.2 transcript:Vigun07g288500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVTVEFLEFPAFVTPPSSTKPYFLGGAGVRGLNIEGEFVKFTGIGVYLEEKAVAWLGSKWKGKSAAELVESLEFYRDIIKGPFEKFIRGSKVRTLEGPEYVRKVSENCVNFMKSDGNYGEAEEKAIEEFRYAFKDQHFPPGSTVFYRQSPTGTLGLSFSKDETIPENEYAVIENKALSEAVLETMIGEIPVSPALKESLATRFYEFLKEDNSKTE >Vigun06g124600.1.v1.2 pep primary_assembly:ASM411807v1:6:25177483:25181721:-1 gene:Vigun06g124600.v1.2 transcript:Vigun06g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRSWTQIWRSIVGMCILNFVLNFKIVTLADDTGSNSIVSEGDCKNTNYQIFLPPPYQNISSTICKPVWHSYELRYTKTGDTTTIILSAPYTVGWVGIGFSRDGMMAGSSAMVGWVNKHGHAKVKQFYLRGRRQSEVIVDKGELPLNTVPAAVATNGAEIYIAFQLQATTPFGKQPILLAFSTKRPLNHHLSKHEDKTAVIFDFSSGSTGPVSNGLIQIRKSHGIVGVIGWGLILPVGAIIARYFRHKDPLWFYLHSVIQFVGFAFGLATVLLGLQLYRSVHVHIPAHRGIGIFVLVLSILQILAFFLRSDKDSKFRNIWNLYHSWFGRMALFFAALNIVLGMRAAGAGNDWKIGYGFLVSIILVAVIVLEVMAYLKRSQKRSLPHTFQMDSVGEATFPTNLAKG >Vigun06g231500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33791455:33792890:1 gene:Vigun06g231500.v1.2 transcript:Vigun06g231500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSVVESWVVYQPSILNFTWNPPHTPFSSPLFLTLSIITYLSLTLLLYLIPLPPFPPRLLKPITAVHNLTLLLLSLLMVVGCALTLLTHTPHLRWAICFPPATPPTGPLFFWAYVFYLSKFLEFLDTLFIILSRSTRRLSFLHVYHHSTVVLMSYLWLQTSQSLFPIALLTNASVHVIMYAYYFLTALSFRPSWKRAVTDCQIVQFLFSFAISALMLHYHFSTSGCSGIWGWCFNALFNASLFALFLDFHLKSYANRTNTTNNKHKDS >Vigun06g032850.1.v1.2 pep primary_assembly:ASM411807v1:6:14071377:14074151:1 gene:Vigun06g032850.v1.2 transcript:Vigun06g032850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGKCVPFLVIGSSNPPTSHCCDGAKVAFQRANNAQAIKNLSCLVDVGPYLNFGFDNLARLPEACSIRLSFSIDRDKLKYFIVILGH >Vigun06g127650.1.v1.2 pep primary_assembly:ASM411807v1:6:25440823:25443705:1 gene:Vigun06g127650.v1.2 transcript:Vigun06g127650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMHSLRSTLCTPSTHSSSPSPCSPSSPTQQHPRHPFLFSTHHCSLQRRRQARFFSSRRFSIFHDTLD >Vigun02g000600.1.v1.2 pep primary_assembly:ASM411807v1:2:282728:288354:-1 gene:Vigun02g000600.v1.2 transcript:Vigun02g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGIPRELSDLQKIRSLYQPELPPCLQGTTVRVEFGDATTTADPTDAPTIARAFPHTYGHPLAHFLRATAKVPDAQIITEFPPIRVGVVFCGRQSPGGHNVIWGLHNALKIHNSNSVLLGFLGGSEGLFAQKTLEITDSVLSTYKNQGGYDLLGRTKDQIRTTEQVNAALATCNNLKLDGLVIIGGVTSNTDAAQLAETFAVANCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFEITKQITDAVQTRAEQDKYHGVILLPEGLIESIPEVYALLKEIHGLLRQGVAVDKISSQLSPWASALFEFLPPFIRRQLLLYPESDDSAQLSQIETEKLLAYLVEAEINKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPVNKWRCGAAPIAAMMTVKRWSPNPGATSIGKPAIHPATVDLRGKAYELLRQKAASFLMDDIYRNPGPLQFDGPGADAKVITLSVEDQDYMGRIKKLQEYLEQVRTIVKPGCPQEVLKAALSVMASVTEVLAAMSTTSTNSLAAL >Vigun05g047600.1.v1.2 pep primary_assembly:ASM411807v1:5:3983944:3992467:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKARQEDDEVRYQLRKRKRHITF >Vigun05g047600.3.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992468:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSCLDQKIFLFYFKRK >Vigun05g047600.8.v1.2 pep primary_assembly:ASM411807v1:5:3983965:3992384:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPSFSRRCKIVINKLCGFHQGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSCLDQKIFLFYFKRK >Vigun05g047600.5.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992384:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPSFSRRCKIVINKLCGFHQGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKVS >Vigun05g047600.7.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992384:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKVS >Vigun05g047600.9.v1.2 pep primary_assembly:ASM411807v1:5:3987316:3992294:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVVLANHYLLFSKNFTFTPFCFLI >Vigun05g047600.6.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992387:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKRMMKFATNCGRGSGI >Vigun05g047600.4.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992384:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPSFSRRCKIVINKLCGFHQGLVRGKLDHLRQCFVVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKARQEDDEVRYQLRKRKRHITF >Vigun05g047600.2.v1.2 pep primary_assembly:ASM411807v1:5:3983966:3992387:-1 gene:Vigun05g047600.v1.2 transcript:Vigun05g047600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQSQVIEHFVWKTVISSNANALASVLVEATSHRNLFTFSEILYLPHLQQLKATENSVYLDMLWFFAYGTWSDYTSNAGHLPQLIPDQILKLKQLTVLTLASTSEVLPYDQLMQEIDVTNVSELQHFLIVECMYPGLVRGKLDHLRQCFVQFAVCRDLTPANLGSMIQTLSNWLSRSENLLVLLQEEIKLTDARHEIEKLRKKCVEENLQEVKNNLVKARQEDDEVRYQLRKRKRHITF >Vigun11g067850.1.v1.2 pep primary_assembly:ASM411807v1:11:19647787:19648975:1 gene:Vigun11g067850.v1.2 transcript:Vigun11g067850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLERTTQLFQFADKYRGSYNNALKPFVCPFYCSYFGYQDELLWGAASLHKATKNPMYLNLKLMARSLELQSLTTPLGEITSILEQEYLFPRVQSLHDYKGHEDNFVCSLIPGTSFSSTQYTPVIVCSTSEEFETNF >Vigun11g067850.2.v1.2 pep primary_assembly:ASM411807v1:11:19647787:19648975:1 gene:Vigun11g067850.v1.2 transcript:Vigun11g067850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLERTTQLFQFADKYRGSYNNALKPFVCPFYCSYFGYQDELLWGAASLHKATKNPMYLNLKLMARSLELQSLTTPLGEITSILEQEYLFPRVQSLHDYKGHEDNFVCSLIPGTSFSSTQYTPVCSTSEEFETNF >Vigun04g079500.1.v1.2 pep primary_assembly:ASM411807v1:4:11086207:11088620:-1 gene:Vigun04g079500.v1.2 transcript:Vigun04g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETNVFPSLPVTVIGLQCFNILGVMGHVGGIKSESSDIHFQILNEEEESSGVEATSLSLKPVEELEANSYSAAGKLRHTKLCARGHWRSAEDAKLKELVAQFGPQNWNSMAEHLPGRSGKSCRLRWFNQLDPRINRKGFSEEEEERLLNAHKVYGNKWAMISRLFPGRTDNAVKNHWHVIMARMQREKSCVYRRRKPTFQIHPSKNLDLILPLNRGSESTISSTTIDESASTGTNLSFTPSSAKPTPLPLHNHCPVQNLQAFSALMGLSGESRTPARDVSFCKNFGGGKGSCEAGHMGRVMGVDQSHYSDSNSSEVSASESFATNRITNLSMHGESDTSTNMLFIDFLGVGAT >Vigun09g095900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14384201:14385889:1 gene:Vigun09g095900.v1.2 transcript:Vigun09g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISCSRIMITILFFLVCVGFSDGANVTPSEGRRGKWQLLLNSTGVVGMHLALTYRNTVIMFDQTGAGPSSYKLRRRFNGSTCSRSRHDMMDSTCYAHSVEYDISTNKVRPLRLETDPWCSSGSFMSNGTLLQIGGNEKGAKRVRFFRPCENHRCDWMLSKKTLSDRRWYASSQLLPEHDRVVVVGGRRALTYEFVPKISPGEKSFDLPFLHQTNDRNEGGNNLYPFLHLSSDGNLFIFANRDSILLNLRRNKVIKTFPRIPGEGSRNYPSSGSSVILPLDHRDNFQKVEVMVCGGSSTGAFSAAAKRRFLEGLRSCGRMVITGDKNKWDMEHMPKPRLLHDMVILPRGDILIINGAERGSAGYDNARNASLEPFLYSPSKRLGARFTVLMSTKIARVYHSSATLLPDGRVLVAGSNPHGRYIFHNVAYPTELRLQAFVPYYMERRYHSLRPSNVTIEYSGTSHAIEYGNEFRVRFFLKRRPSNEMGFSAYAPPFTTHSFAMNQRMLKLRCRSLKRSGHGWVVAVLEAPPSSLVAPSGYYMLTVLNGGIPSMSQWVRFGHA >Vigun01g241300.1.v1.2 pep primary_assembly:ASM411807v1:1:41095125:41100215:-1 gene:Vigun01g241300.v1.2 transcript:Vigun01g241300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSSSSVSLFIFLCLCFESVWTKNVSESDRQEASIPPRGWNSYDSFCWTISEEEFLQSAEVVSQRLHDHGYEYVVVDYLWYRRKVEGAYHDSLGFDVIDKWGRMLPDPGRWPSSENGKGFAEVANRVHGMGLKFGIHVMRGISTQAVNANTPILDTKTGGAYQESGRVWYAKDIAIPERACAWMPHGFMSVNTKLGAGKAFLRSLYEQYASWDVDFVKHDCVFGDDFDLNEISYVSEVLKEFDRPIVYSLSPGTSVTPAMAKDVSGLVNMYRVTGDDWDTWGDVKSHFDIARDFSNANLIGAKGLTGKSWPDLDMLPFGWLTDPGSNEGPHRFSHLNLEEKKTQMTLWSMAKSPLMYGGDVRKIDPSTYDIITNPTLLEINSFSSNNMEFPYITSVKSEDQDLSLRRSGKEIKTTFTHSLGLTRCSESKAMGWASERLNLYLERICWKRSLGNKHLAPFCVHKRELYFPLDEVSMYHQGKHHLVATNRLKFCLDASPKRKLTSKEFKRGTFSPCRWDSNQMWELNPNGTLVNSYSGLCATVESSEDTINSGGLRSWVATGRKGEVYIAFFNLSEQKTVMSAKASDLAKFLPARDFSSCEGSEVWSGDAVEITKGKLSTAVEMHGSVLIVLNCS >Vigun01g241300.2.v1.2 pep primary_assembly:ASM411807v1:1:41095118:41100215:-1 gene:Vigun01g241300.v1.2 transcript:Vigun01g241300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSSSSVSLFIFLCLCFESVWTKNVSESDRQEASIPPRGWNSYDSFCWTISEEEFLQSAEVVSQRLHDHGYEYVVVDYLWYRRKVEGAYHDSLGFDVIDKWGRMLPDPGRWPSSENGKGFAEVANRVHGMGLKFGIHVMRGISTQAVNANTPILDTKTGGAYQESGRVWYAKDIAIPERACAWMPHGFMSVNTKLGAGKAFLRSLYEQYASWDVDFVKHDCVFGDDFDLNEISYVSEVLKEFDRPIVYSLSPGTSVTPAMAKDVSGLVNMYRVTGDDWDTWGDVKSHFDIARDFSNANLIGAKGLTGKSWPDLDMLPFGWLTDPGSNEGPHRFSHLNLEEKKTQMTLWSMAKSPLMYGGDVRKIDPSTYDIITNPTLLEINSFSSNNMEFPYITSVKSEDQDLSLRRSGKEIKTTFTHSLGLTRCSESKAMGWASERLNLYLERICWKRSLGNKHLAPFCVHKRELYFPDEVSMYHQGKHHLVATNRLKFCLDASPKRKLTSKEFKRGTFSPCRWDSNQMWELNPNGTLVNSYSGLCATVESSEDTINSGGLRSWVATGRKGEVYIAFFNLSEQKTVMSAKASDLAKFLPARDFSSCEGSEVWSGDAVEITKGKLSTAVEMHGSVLIVLNCS >Vigun07g111900.3.v1.2 pep primary_assembly:ASM411807v1:7:20806875:20809664:-1 gene:Vigun07g111900.v1.2 transcript:Vigun07g111900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYTLVDAFTESVFKGNPAAVCLLEEERDKEWFRAVAAEFNLSVTAYVTRITESHHNLNLLHGTSSNPRFHLRWFTPVTEIELCGHATLASAHTLFSSGLVDTDVIEFVTLSGVLTAKKIPAINITRDLDLQKGQAKDGFYIEVNFPVDPVIEFNSEETSQISAALNGASIIDLKKTQDGDDLFVVVESGRAVEELLPQLDAIVKCPGRGIIVSAIAPPGSGFDFCSRFFCPKYGVNEDPVCGGAHCALAPYWSKKLGKCDFNAYQASARGGVLNGHLDEQNQRVILRGKAVTVMEGCVLV >Vigun07g111900.2.v1.2 pep primary_assembly:ASM411807v1:7:20806875:20809598:-1 gene:Vigun07g111900.v1.2 transcript:Vigun07g111900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYTLVDAFTESVFKGNPAAVCLLEEERDKEWFRAVAAEFNLSVTAYVTRITESHHNLNLLHGTSSNPRFHLRWFTPVTEIELCGHATLASAHTLFSSGLVDTDVIEFVTLSGVLTAKKIPAINITRDLDLQKGQAKDGFYIEVNFPVDPVIEFNSEETSQISAALNGASIIDLKKTQDGDDLFVVVESGRAVEELLPQLDAIVKCPGRGIIVSAIAPPGSGFDFCSRFFCPKYGVNEDPVCGGAHCALAPYWSKKLGKCDFNAYQASARGGVLNGHLDEQNQRVILRGKAVTVMEGCVLV >Vigun07g111900.1.v1.2 pep primary_assembly:ASM411807v1:7:20806875:20809664:-1 gene:Vigun07g111900.v1.2 transcript:Vigun07g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYTLVDAFTESVFKGNPAAVCLLEEERDKEWFRAVAAEFNLSVTAYVTRITESHHNLNLLHGTSSNPRFHLRWFTPVTEIELCGHATLASAHTLFSSGLVDTDVIEFVTLSGVLTAKKIPAINITRDLDLQKGQAKDGFYIEVNFPVDPVIEFNSEETSQISAALNGASIIDLKKTQDGDDLFVVVESGRAVEELLPQLDAIVKCPGRGIIVSAIAPPGSGFDFCSRFFCPKYGVNEDPVCGGAHCALAPYWSKKLGKCDFNAYQITFVQASARGGVLNGHLDEQNQRVILRGKAVTVMEGCVLV >Vigun07g111900.5.v1.2 pep primary_assembly:ASM411807v1:7:20808569:20809598:-1 gene:Vigun07g111900.v1.2 transcript:Vigun07g111900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYTLVDAFTESVFKGNPAAVCLLEEERDKEWFRAVAAEFNLSVTAYVTRITESHHNLNLLHGTSSNPRFHLRWFTPVTEIELCGHATLASAHTLFSSGLVDTDVIEFVTLSGVLTAKKIPAINITRDLDLQKGQAKDGFYIEVNFPVDPVIEFNSEETSQISAALNGASIIDLKKTQDGDDLFVIIPVCSLWVSVIVTIFHRSYFWMNLHVC >Vigun07g111900.4.v1.2 pep primary_assembly:ASM411807v1:7:20807929:20809599:-1 gene:Vigun07g111900.v1.2 transcript:Vigun07g111900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYTLVDAFTESVFKGNPAAVCLLEEERDKEWFRAVAAEFNLSVTAYVTRITESHHNLNLLHGTSSNPRFHLRWFTPVTEIELCGHATLASAHTLFSSGLVDTDVIEFVTLSGVLTAKKIPAINITRDLDLQKGQAKDGFYIEVNFPVDPVIEFNSEETSQISAALNGASIIDLKKTQDGDDLFVVVESGRAVEELLPQLDAIVKCPGRGIIVSAIAPPGSGFDFCSRFFCPKYGVNENKAKDTMESKTNRTFQLNI >Vigun09g235200.1.v1.2 pep primary_assembly:ASM411807v1:9:40561979:40564664:1 gene:Vigun09g235200.v1.2 transcript:Vigun09g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPMLLLWLFLIQPRPVKPQASDRNIFILAGQSNMAGRGGVVNDTATGVTTWDGVVPPQSRPNPSILKLDAHLTWVEAREPLHADIDYKKTNGVGPGLAFANSVLEKHPEFGVIGLVPCAIGGTSISEWERGKELYSEMIKRAKASVRDGGTIRALLWYQGETDTVNLHDAQLYQRRLHNFFLGVRDDLQSPLLPIIQVALASGSGPYIEIVRQAQLGTDLLNLRTVDAHGLPLQPDGLHLSTPAQAHLGHTMADAFLQFVPSSNINYNLSPIRNEAIRLYNCAFCVYMLHLFITLHTILHKSFL >Vigun03g043300.1.v1.2 pep primary_assembly:ASM411807v1:3:3465841:3468747:1 gene:Vigun03g043300.v1.2 transcript:Vigun03g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTNGTDANNNTTFDTINAAAFAIASASHNRLSQPPSQKKGWGSWLSKIGCFGYQRNRKRIGHAILVPETTPNGADPAATVTSTQPPTITLPFAAPPSSPASFFQSEPPSTAHSPVGKISHTCVSASMYSPGGPASIFAIGPFAHETQLVSPPVFSASSTAPFTPPPESVHLTTPSSPEVPFAQLLDPNNKNADTFQRFQISHYDFQSYQFHPGSPVGQLISPRSAISASGASSPLPDSEINATLSHILDFQRADPPKLLSIDKLSAYENRKSNQGSGSLTPDAAKAGFLSNHWVSEIKMSPRPSNNRVNEISINHRVSFDVSAQKVLESLENKPAAASAWTKVMSKLKNDAPAAADREEKFAETGCSEKQVLAETHSDQPLETNLSGDDATVYEKDQSLTLASAKEFNFDKADGGDSLAPNIVADWWANEKVAGKERGATKDWSFFPMIQPGVS >Vigun05g191100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37126181:37129908:-1 gene:Vigun05g191100.v1.2 transcript:Vigun05g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRCLSMTLLQWCFLACLVCLSLGLDNNQVPCDPHDLSALKKFAGKLTSGSIIAAWSNDSVCCNWRGVVCDNVTGGGGTVTSRVTKLILPEMGLTGTISPSLAQLDQLSVLNLSLNHLKGGLPVEFSQLKLLKFLDVSHNMLSGPVAETLSGLQSIEILNISSNSLSGVLFPFGEFPRLLALNVSNNSFTGGFSSQICSAAKELHTLDLSANKFIGGLEGLDNCTTSLQRLHLDSNSFTGPLPDSLYSMSALEGLSVSANNISGQLSKQLSKLSNLKILVLCGNRFSGELPNVFGNLLQLEELEAHGNSFSGPLPPTLALCSKLRVLNLRNNSLSGPIDLNFTGLSNLQTLDLATNHFTGHLPTSLSFCGELKVLSLARNSLTGSIPENYANLSSLLFVSFSNNSIENLSRAVSVLQQCKNLTTLILTKNFHGEEILESTIVGFESLMILALGNCGLKGHIPSWLSNCRKLAVLDLSWNRLNGSVPSWIGQMDSLFYMDFSNNSLSGEIPKSLTELKGLMCANCNRENLAAFAFIPLFVKRNTSTSGLQYNQASSFPPSIYLSNNILSGNIWPEIGQLKALHVLDLSRNNITGTIPSTISEMENLETLDLSYNDLTGEIPSSFNNLTFLSKFSVAYNRLEGSIPTGNQFLGFPSSSFEGNQGLCREIDSPCKIVNNTKPISSSGSSKKLGRSNVLGITISIGIGLALLLAIILLRMSRRDDDKPMDNYDEELNSRPHRLSEALVSSKLVLFQNSDCKDLTVADLLKSTNNFNQANIIGCGGFGLVYKAYLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLKGYCRHGNDRLLIYSYLENGSLDYWLHECVDESAALKWDARLKIAQGAARGLAYLHKGCEPFIVHRDVKSSNILLDDKFEAHLADFGLSRLLQPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGRRPVEVIKGKNCRNLVFWVFQMKSENKEQEIFDPAIWHKDHEKQLLEVLAIACKCLDQDPRQRPAIEVVVSWLDSVRFDGSQQ >Vigun10g061300.2.v1.2 pep primary_assembly:ASM411807v1:10:12679577:12680121:-1 gene:Vigun10g061300.v1.2 transcript:Vigun10g061300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGATIALQRENLMLKEKIEALTKENNCFKNAFKIQLERLSDYDSKNQELQQLKQLVSQYQKQISNVQVYYSASLFFLP >Vigun04g181500.1.v1.2 pep primary_assembly:ASM411807v1:4:40548817:40551802:-1 gene:Vigun04g181500.v1.2 transcript:Vigun04g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTQSFSSGIVQAPFVTSSRLLLKTWGVISSRDEDMVPHVGNGLFWKVREESDLTIVVFEVRNDFDPQQKLVSSSYLKENNNFHRLEFLCTKKIPEFSLNGSAVSLVIDNLEKLDELKSKINSSHPLIVTGHGVGGAIASLFTVLLLDSIGSGKKRPLCITFGSPLVGDKRLQQAISRSSTWSSCFLHVVSCKDSLLKKLNPHPSAYMPFGTFIFCSDTGSSCFENPESVLELLVSSINDQSQGFEVPDYGKLVQNLNRKAICRDFNLQGMNLTHSTSLNASIGLQLCAALDMQKQQHQNIDITALATKLEELENKFMSQKKEKFDPSKKLNIMKIDMANFELYKKLCKSQGIGYYDCFKKEASTDDQDVIPSQKRLRNYWSDMVEEAEMKPQTEAAAFRTRWLFAGTNYRRMVEPLYIAEYYAKGLCKDYEAKGRSRHYAVLEKWLEEDKKQKADSNGNTRKNVESILTFDSCFWAKLEEALLLCGQLENLKENKEVEGKLLEFEKYVYESLKRYEVSPEIFLKGSSYMSWWNKYKGSAGNHRLASFMNNPQHFDQYTEGTYFFP >Vigun06g158700.1.v1.2 pep primary_assembly:ASM411807v1:6:28190066:28192334:-1 gene:Vigun06g158700.v1.2 transcript:Vigun06g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQQKAFRLVIWILGLFLIAYIAGRPLYWHLYESINSTSSPCPPCQCDCSLQPLISLPEGLTNNSILDCMRHDQEVSEEVGKNFSDLLAEEVKEKEAEAEEKQRKADSKLLEAKKIASQYQKEADKCNSGMESCEQARERAENTLENQLKETALWELRARQRGWNKDISKKARARS >Vigun03g014100.1.v1.2 pep primary_assembly:ASM411807v1:3:940529:941556:1 gene:Vigun03g014100.v1.2 transcript:Vigun03g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYKSGKIKLKLGNTLYDVSSGMNCAFSQDVVAINTAEKKCVHHWGDKQTCYGNPGYRWHT >Vigun03g096100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8118615:8119385:-1 gene:Vigun03g096100.v1.2 transcript:Vigun03g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSTVLLLSMILLASLCSCLEARKLALQNNHNKVSPSSRRDNLFLNALPKGTVQPSSPSKKGHDTEVEEKLISRHLITIDRVLLKSVPSPGAGH >Vigun05g092300.1.v1.2 pep primary_assembly:ASM411807v1:5:8937632:8939830:-1 gene:Vigun05g092300.v1.2 transcript:Vigun05g092300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDNNAALSSNSFLPYKSAKVFVAGHRGLVGAAIVRKLTQLGFTNLVLRSHAELDLTRQSDVEAFFAFEKPEFVIVAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGTKKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQYKWDAISGMPTNLYGPYDNFHPENSHVLPALMRRFHEAKVKGAKEVVVWGTGSPLREFMHVDDLADAVVFMMEKYSEVEHLNVGSGKEVTIKELAELMKEVVGFEGDLVWDSTKPDGTPRKLMDSSKLATLGWTPKVSLKEGLADTYKWYLENVNL >Vigun05g092300.2.v1.2 pep primary_assembly:ASM411807v1:5:8937632:8939830:-1 gene:Vigun05g092300.v1.2 transcript:Vigun05g092300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDNNALSSNSFLPYKSAKVFVAGHRGLVGAAIVRKLTQLGFTNLVLRSHAELDLTRQSDVEAFFAFEKPEFVIVAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGTKKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQYKWDAISGMPTNLYGPYDNFHPENSHVLPALMRRFHEAKVKGAKEVVVWGTGSPLREFMHVDDLADAVVFMMEKYSEVEHLNVGSGKEVTIKELAELMKEVVGFEGDLVWDSTKPDGTPRKLMDSSKLATLGWTPKVSLKEGLADTYKWYLENVNL >Vigun09g241500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41118805:41119525:1 gene:Vigun09g241500.v1.2 transcript:Vigun09g241500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAVVCPQNITGGAVVSSPAKTKKSVNRVLHIKGLNSFGGLKASNDVVALGLPLSTEKCFAKIMSSVKATASNGKGKGGGALSSTCNAAGEIFTIAAIINGLVLVGVAVGFVLLRVEAWVEESEAE >Vigun10g055000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9369018:9373059:-1 gene:Vigun10g055000.v1.2 transcript:Vigun10g055000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTLLWVFLLPFLSINYSASVFPANGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWHGVTCKEGRVVALDLSEESISGGIRNSTVLFRLQYIRSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPHEMFHLRRLVTLDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGIAIFVKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLKLSYSNMFSFVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLMGSLPNFQPRGSLRDLNISQTSFTGNVPDAISKLKLLSTIDLSYCQFNGTLPSSMSELSQLVYLDLSSNNFSGPLPSFNMSKNLTHLSLHHNNLTGVLPSSHFEGLKNLADIYLGFNFFKGNLPSSLLKLPYLRELKLPFNQLTGPLDESVMTSPTLEMLDLGGNNLEGPIPLSIFNLRTLGVIQLNSNKFNGTLQLDLIRRLSNLTTLGLSHNNLSVDIYSTYDRDLLAFPALRKIMLASCKLRGIPAFVKSQSTLLHLDLADNEIQGTIPYWIWQLKYLVILNLSKNFLTKLEGNVWNFSSNLLRLDLSSNQLQGPFPFLPTFVNILDYSNNRFNSVIPADIGNRLPFVTQLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAELSSTLRVLNFGGNKLQGYIPDTLPTSCTLHLLDLNDNLLEGTIPTSLAYCQKLQVLDLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSVGCPKNSGDWEMLHIVDVASNNFSGAIPKAVLNSWKAMRRDNDNVGPEFGHLFIDIIDNYDPKNFKDLWGQLDKTIKAKFSKIVDQSSSDFLQVDISQYQNSILITNKGRQIKLDKIQRAFTYVDMSSKYFEGPIPIELMQFKAMMALDLSNNALSGHIPSSIENLKNLESLDMSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9369018:9373059:-1 gene:Vigun10g055000.v1.2 transcript:Vigun10g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTLLWVFLLPFLSINYSASVFPANGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWHGVTCKEGRVVALDLSEESISGGIRNSTVLFRLQYIRSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPHEMFHLRRLVTLDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGIAIFVKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLKLSYSNMFSFVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLMGSLPNFQPRGSLRDLNISQTSFTGNVPDAISKLKLLSTIDLSYCQFNGTLPSSMSELSQLVYLDLSSNNFSGPLPSFNMSKNLTHLSLHHNNLTGVLPSSHFEGLKNLADIYLGFNFFKGNLPSSLLKLPYLRELKLPFNQLTGPLDESVMTSPTLEMLDLGGNNLEGPIPLSIFNLRTLGVIQLNSNKFNGTLQLDLIRRLSNLTTLGLSHNNLSVDIYSTYDRDLLAFPALRKIMLASCKLRGIPAFVKSQSTLLHLDLADNEIQGTIPYWIWQLKYLVILNLSKNFLTKLEGNVWNFSSNLLRLDLSSNQLQGPFPFLPTFVNILDYSNNRFNSVIPADIGNRLPFVTQLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAELSSTLRVLNFGGNKLQGYIPDTLPTSCTLHLLDLNDNLLEGTIPTSLAYCQKLQVLDLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSVGCPKNSGDWEMLHIVDVASNNFSGAIPKAVLNSWKAMRRDNDNVGPEFGHLFIDIIDNYDPKNFKDLWGQLDKTIKAKFSKIVDQSSSDFLQVDISQYQNSILITNKGRQIKLDKIQRAFTYVDMSSKYFEGPIPIELMQFKAMMALDLSNNALSGHIPSSIENLKNLESLDMSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9369018:9373059:-1 gene:Vigun10g055000.v1.2 transcript:Vigun10g055000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNTLLWVFLLPFLSINYSASVFPANGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWHGVTCKEGRVVALDLSEESISGGIRNSTVLFRLQYIRSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPHEMFHLRRLVTLDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGIAIFVKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLKLSYSNMFSFVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLMGSLPNFQPRGSLRDLNISQTSFTGNVPDAISKLKLLSTIDLSYCQFNGTLPSSMSELSQLVYLDLSSNNFSGPLPSFNMSKNLTHLSLHHNNLTGVLPSSHFEGLKNLADIYLGFNFFKGNLPSSLLKLPYLRELKLPFNQLTGPLDESVMTSPTLEMLDLGGNNLEGPIPLSIFNLRTLGVIQLNSNKFNGTLQLDLIRRLSNLTTLGLSHNNLSVDIYSTYDRDLLAFPALRKIMLASCKLRGIPAFVKSQSTLLHLDLADNEIQGTIPYWIWQLKYLVILNLSKNFLTKLEGNVWNFSSNLLRLDLSSNQLQGPFPFLPTFVNILDYSNNRFNSVIPADIGNRLPFVTQLSLSNNSFQGQIPESFLNASSLLLLDLSRNNFVGTIPKFFAELSSTLRVLNFGGNKLQGYIPDTLPTSCTLHLLDLNDNLLEGTIPTSLAYCQKLQVLDLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSVGCPKNSGDWEMLHIVDVASNNFSGAIPKAVLNSWKAMRRDNDNVGPEFGHLFIDIIDNYDPKNFKDLWGQLDKTIKAKFSKIVDQSSSDFLQVDISQYQNSILITNKGRQIKLDKIQRAFTYVDMSSKYFEGPIPIELMQFKAMMALDLSNNALSGHIPSSIENLKNLESLDMSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun05g156600.5.v1.2 pep primary_assembly:ASM411807v1:5:25459264:25461636:1 gene:Vigun05g156600.v1.2 transcript:Vigun05g156600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGKRETLKLGVRVVDHWYVQSRDSTLQLEMILMDENADKIHCIVRREEFDLWDSKLIEGETYIMHNFKILKNEGQYRVCEHPYKLLFIGATSVKLQAIAKLPMKAYNFKSIKDIVSGNFIPDLLIDLIGVVENVRIKPQFKSVESKNVVFTLVDLSGAAICCTLWDEYCKKFIQRYNDVANWEKLVVVITQAKIKPAAGEWPVSVSNTWNGTKLIMESDFPQIVDFKKRMKEYRLQVQVCDDSNNYANFVVWDQECSNIIGLSAADLQKQMIEAGEDDPLCFPDALDVMLGCTFAFKVRTQPRTKCASVIKVSNVSEIVAHVKSLIPPLQIGYGTDKCSMDLVSESSW >Vigun05g156600.4.v1.2 pep primary_assembly:ASM411807v1:5:25458457:25461636:1 gene:Vigun05g156600.v1.2 transcript:Vigun05g156600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAVEGSFTDKCPRSCVFILCLLFTISFFVYCLCPTPESAMAKKLDMIKDIDGKRETLKLGVRVVDHWYVQSRDSTLQLEMILMDENADKIHCIVRREEFDLWDSKLIEGETYIMHNFKILKNEGQYRVCEHPYKLLFIGATSVKLQAIAKLPMKAYNFKSIKDIVSGNFIPDLLIDLIGVVENVRIKPQFKSVESKNVVFTLVDLSGAAICCTLWDEYCKKFIQRYNDVANWEKLVVVITQAKIKPAAGEWPVSVSNTWNGTKLIMESDFPQIVDFKKRMKEYRLQVQVCDDSNNYANFVVWDQECSNIIGLSAADLQKQMIEAGEDDPLCFPDALDVMLGCTFAFKVRTQPRTKCASVIKVSNVSEIVAHVKSLIPPLQIGYGTDKCSMDLVSESSW >Vigun06g166000.1.v1.2 pep primary_assembly:ASM411807v1:6:28799389:28803785:1 gene:Vigun06g166000.v1.2 transcript:Vigun06g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQKKKQLHSKPTASTPTSPLLLPSPIQSPPPPPPPQGYFLPSATTYAPHCNNYAAPPPLAPPSQPPPPQTHSISFYYPNQGAYPNYANTVPNHLHYQPFYHSQPSAWPGATRSSASTPPPYVDHQAAKKIRNYVNVHKDTLRLEVDQENPDHHLISFVFDAVYDGSITVFYFAKEEEKCRFVPLFPDAFQPVRIPFQKGVGQTFSQPSGTGIDLGFFELDDLSKPSPGEDVFPLVICAETSLKTPSEDETPGESVLDASPHMQITQGVLEKSNGAGPFLIKVVKQILWIDGVRYELRELYGIASSSAADFEDNDPGKECVICLTEPKDTAVLPCRHMCMCSECAKALRIQSNKCPICRQSIEELIEIKVTVAINEIFYSDANKFPLRKFTPFLHVCSQVFVNITCDGKN >Vigun11g158300.1.v1.2 pep primary_assembly:ASM411807v1:11:36668458:36670328:1 gene:Vigun11g158300.v1.2 transcript:Vigun11g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERNSGSKSSRWCLQGMTALVTGGSRGIGYAIVEELAQLGATVHTCSRNEAELNESLNEWSTKGYRVTGSVCDVTSRVNREELIATVSSQFNGKLNILVNNVGTNVQKQTLDLTAEDFAFLINTNLESAFHLSQLAYPLLKASEAASIIFISAIAGVVATNLASVIYSAAKGAINQVTKNLACEWARDNIRTNCIAPGPIRTPLLEKHSKEDTVMNVVLSQTPLGRIGEAEEVSSLVAFLCLPAASYITGQTICVDGGFTVNGLHIL >Vigun06g070400.3.v1.2 pep primary_assembly:ASM411807v1:6:20051620:20054471:1 gene:Vigun06g070400.v1.2 transcript:Vigun06g070400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTIKSLKAAYHNLITFLLTCIGIKYGVSKINPFQEPTLSLLVTAVFSHVIASAADMDKPNTIITFYLSGIVACETLLWILIAHLSWFCLINFLLLLILKFLFFHFLTNLLLPYFNSITHLIWNSFYCITQLLSGTPPNTEQQPGLPGIEAHQLLVLHMLDCQIRFVPLLCIKYSTSTYHHQ >Vigun01g025300.1.v1.2 pep primary_assembly:ASM411807v1:1:2884765:2887724:1 gene:Vigun01g025300.v1.2 transcript:Vigun01g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNSWRFVLLLALVLLLTWLHLPSLPNSKFYSRWYTPNNTFYSSSPTYHHLESETPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAVVKRVSHVLTVDLEIGHVTSHETGLVSGYPMVTEEDLNGVGEATLRCPEFNNSLAKRGLNLDDLVCLSLSSGGYEKLEEEENRRLMKVQCFSKEGTVNFYMKPIEGFTALVDMDSKEVLAVSDDGKNIPVANASNTDYRYSIQKLRGEMRLLNPISLEQPKGPSFSVDGHMVKWANWEFHLRPDPRAGIIISQVKVRDPDTLMLRNVMYKGFVSELFVPYMDPTEDWYFRTYIDAGEYGLQSMPLNPLNDCPRNAYYMDGVFASYDGTPYLQPNMICIFESYAGDIAWRHAEAPVASLNVSEARPKVTLVVRMAAILQNYDYIMDWEFQTDGLIRAKVGLSGILLVKGTTYENMDQVPEKEYLYGTLLSENLIGVIHDHFITYYLDMDVDGSDNSFVKVNLKRQETAPGESPRKSYLKAVRKVAKTEKDAQIRLQLYEPYEFHVVNPLKKTKVGNPVGYKLVPGATAGSLLDPEDLPQKRAAFTNNQIWVTPYNKSEQWAGGLLAYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILAVPPNFEEDLPASKAHDSA >Vigun06g026000.1.v1.2 pep primary_assembly:ASM411807v1:6:11909530:11911555:1 gene:Vigun06g026000.v1.2 transcript:Vigun06g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLYSSALFFFIFLTLIVRKIGKKNKKDEETPCNMPHGPRKLPIIGNIHNMLSSLPHRKLRDMALKYGPLMHLQLGEVSTIVISSAECAKELMKTHDINFATRPKVLAIDVVSYNCTNIAFSPYGNYWRQLRKICTLELLSLKRVNSYQPIREEEFTNLVKRIDAHKGSPINLTENVLSTIYTIVSRAAFGKKCKDHDNFISVITKITKVASGFYIGDFFPSAVWLQHITGMRHKLERVHQEADQIMENIVNEHKEAKSKTKDHEREATDLVDVLIQYEDGSKQDFFLTRDNIKAIILDIFGGGGETSSTTIDWAMAEMMKDSRVMKKAQAEVREVFNMKGRVDENYLNELKYLNLVVKETLRLHPPTPLLLPRECGEACEIHGLHIPAKSKVIVNAWAIGRDPNSWTEPERFYPERFIDSNIDYKGRNFEFIPFGAGRRMCPGSTFGFRTIELGLAMLLYHFDWKFPSGMTGEELNMGEVFGITMRRKDDLYLVPSPYHPLPVT >Vigun10g066200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15122451:15122945:-1 gene:Vigun10g066200.v1.2 transcript:Vigun10g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLFLYFCLANTLLSLTNAANQPQIIFQSISTSSSNPPAQSPGDQRSETLEPFGRKLGKHQRNEIRSYDTRSPTPSEAPKSENKMHSGSEGSINERSSIEPENGGVLGSHHGQIHLTKQQHHSFDKSIAGAGVILGGLATTFLVSVFCYIRATERNKLETTA >Vigun07g201300.1.v1.2 pep primary_assembly:ASM411807v1:7:32214705:32221026:1 gene:Vigun07g201300.v1.2 transcript:Vigun07g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSTVNRELLHSNLINPKLQCRLQSLPLHLSTTAMAMVPQPLLILLFFLSLLSIVNSSPSQHIQIVDAERRIDLTSHIIKVYLTLKVENLGTSAASEVLLAFSPTEVEHLALLKAAATSGKRKKKTYVPLDVKSAKQPDGPNGTKFFSITLLTPLSKDETTTLEVLYMLTRSLEPFPVEISQSESQLVYFRDSAILLSPYHVKQQTTFLKTPSTRVESFTVVDPTKRAGTELKYGPYENHPPYSYSPVLVHFENNNPFAVVEELEREIEISHWGSIQVTERYSLVHAGAQHKGVFSRVEYQTRPAGTGVSSFKHLLAKLPPRVHSVYYRDGIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESPDGRRYLNFTFGCPLVETVVDKLIVKVVLPEGSKDPTAEIPFEVKQHLEIKYSYLDVVGRTVVVLEKRNVVPEHNIRLQVYYGFNPIFMLAEPLMLVSAFFLLFVASVAYLHIDLSIRK >Vigun11g068900.1.v1.2 pep primary_assembly:ASM411807v1:11:20095769:20096717:1 gene:Vigun11g068900.v1.2 transcript:Vigun11g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFTLIFTLTLIITGISRTSGTMAENQCMQVLNPYVCNLSWCRMMCAAQHHGIGYCPDDYPHKCVCVYNCPR >Vigun11g118333.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32444428:32445045:1 gene:Vigun11g118333.v1.2 transcript:Vigun11g118333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATNFHPKWVSFSVLLLCIVLHFSVATVVVGDGLGRRGGGGGGLGGGGGFDGRGGGGLEGGAGRGGGFGAGGGVGGGVGGGGGGGGGGGGGGGGLGGGSGQGGGFGAGGGVGVGGGGGGGGGGGGGGGGGGLGGGSGYGGGFGGGGGVGGGVGGGIGGGGGGGSGGGGGVGGGSGHDGGVGGGGIGGGGGGGGGGGGGGGGKH >Vigun05g118500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12742302:12746182:-1 gene:Vigun05g118500.v1.2 transcript:Vigun05g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVIMDPRLYGFSGPPNEVRLGNQRFENGFFDQSRDFGYLQSNLVTTKTPSSSSVLTHEEPSPEECEFSDAILSYISQILMEEDMEDKTCMLHDSLDLQIAERSFYEVIGEEYPSSLLENPGPANPDGGNGDHNFSENYGSCSYNDGDLSSIFTNFRQNLGELPNHNLRGNSISQSSCSSSNSVISSVEGPVDSPSSILQVPDLNSESQSILQFQKGVEEASKFLPSGNGLFANLGVANFSKLEPKIGCNELSVKVEKDVGESFLAGSKGRKHHLREEGDVEENRSSKQAAYFSEPTLPSSSIDVILLHSLGDGKKVLTARREALQTKNDKIVLSNGKSKASNGGKGRSKKQSGKKEVIDLRTLLVLCAQAVAADDYSGAQEFLKRIRRHSSPFGDGNQRLAHIFADGLEARLAGTGSQIYKGLVSKRTSAADFLKAYHLYLAACPFRKMSSFISNVTIRESSANSARVHVIDFGILYGFQWPTFIQRLSLAAVGGPPKLRITGIDFPQPGFRPAERILETGRRLAAYAESFKVPFEYNAIAKKWETIQLEELKIDREEYLVVTCFYRGKNVLDESVLADSPRNKFLSLIRKINPDLFIHGITNGAFNAPFFVTRFREALFHYSSLFDMLETIVPQEDWERMLIEKEIFGREALNVIACEGCERVERPETYRQWQARILRAGFSQQSFEHALVKRAIEKVSTSYHKDFVIDEDSQWLLQGWKGRIVYALSCWKPA >Vigun05g118500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12742375:12746150:-1 gene:Vigun05g118500.v1.2 transcript:Vigun05g118500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVIMDPRLYGFSGPPNEVRLGNQRFENGFFDQSRDFGYLQSNLVTTKTPSSSSVLTHEEPSPEECEFSDAILSYISQILMEEDMEDKTCMLHDSLDLQIAERSFYEVIGEEYPSSLLENPGPANPDGGNGDHNFSENYGSCSYNDGDLSSIFTNFRQNLGELPNHNLRGNSISQSSCSSSNSVISSVEGPVDSPSSILQVPDLNSESQSILQFQKGVEEASKFLPSGNGLFANLGVANFSKLEPKIGCNELSVKVEKDVGESFLAGSKGRKHHLREEGDVEENRSSKQAAYFSEPTLPSSSIDVILLHSLGDGKKVLTARREALQTKNDKIVLSNGKSKASNGGKGRSKKQSGKKEVIDLRTLLVLCAQAVAADDYSGAQEFLKRIRRHSSPFGDGNQRLAHIFADGLEARLAGTGSQIYKGLVSKRTSAADFLKAYHLYLAACPFRKMSSFISNVTIRESSANSARVHVIDFGILYGFQWPTFIQRLSLAAVGGPPKLRITGIDFPQPGFRPAERILETGRRLAAYAESFKVPFEYNAIAKKWETIQLEELKIDREEYLVVTCFYRGKNVLDESVLADSPRNKFLSLIRKINPDLFIHGITNGAFNAPFFVTRFREALFHYSSLFDMLETIVPQEDWERMLIEKEIFGREALNVIACEGCERVERPETYRQWQARILRAGFSQQSFEHALVKRAIEKVSTSYHKDFVIDEDSQWLLQGWKGRIVYALSCWKPA >VigunL059246.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:34533:35555:-1 gene:VigunL059246.v1.2 transcript:VigunL059246.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTMATTTTALATTTATTTATTTTPMTTTTTATTTTIITTTTTATTLNHHPHPYHTTTTITTTTTTTTPTITTATTKATKATTSTTTTTTTTTTTTTITTTTTITTTVTTTMATTTTITTNTITTMTTIATTTITTNTTTVTTTTIVTIRTSTTTTTTTTTATITTTTSITTTTTMTTPTTTTITTTSITTSTNTITTITITNTITTTTTTTTNTSPTTTTTTITNSIIHHHGHHHHHHNHHDNHHHDQQHYHHDHHHHHHHHHYHKNHHHHHHRRHHHHHHHNHHHHHSYSNHHHYHNDHHHTTTIATTTTPIETTTTVTTATTTATTTTLNPKQ >Vigun05g258700.1.v1.2 pep primary_assembly:ASM411807v1:5:45248764:45253448:1 gene:Vigun05g258700.v1.2 transcript:Vigun05g258700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSGRVRESDFEYYERGYYKDLKDGYYKLEISKSSYRCPFCRDNDYSLSELLRHAVRFERYSRTMRIKELAKHSALQLYIERHIGVNNRSEKVAHDRPRNAIIDGSTNDVHDKLRNVVNDTPVNIVSNRLGNIDGKSENVVNDRPVNIVSNRLGNVDDRSENVVNDRPVNIVSNRLGNVHYRSENVAKDHLFVWPWFGVVGNIATEFKDGRRVGESGANLRDEFTRKGFHPMRVHPLWNRYGHSGFAIVEFSKDWEGFTNAMNFERSFEAEHCGKRDYYISRNRGDKLYGWVARDDDYHSKTIIGDYLQKNGDLQSVSEKQAEEKRKTSLLVCDLTNTLKVKHEKLEQVCSKYDDVNVSLNRVMTEKEAMIESYNNEIKKMRQETRKNWETIYVAHEKARLDLRSQRKILEGREKDLQRCQVQNENERKKLDLEKKNNDMAMMEQNKADERVLHLAEEHKKEKQKMHKKILQLQKKLDAKQTLELEIQRLKGALQVMKHIGEGDIEEKKKLDEIKLELEDKEEELKEHEDLQQTLVVRERKTNDELQDARKELILWLGKSHSNRATIGVKRMGELDEKPFVGAARRKFSDDEADVRAVELCSQYEAYLRDPSWYPFKILTDKEGEKAKEILDEEDEKLKHLKDEYGDEVYRAVATALMEVNEYNPSGRYPIPEIWNAKEGRKAPLKEGISYLIRQWKVSKQRKK >Vigun03g142000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14305167:14307207:1 gene:Vigun03g142000.v1.2 transcript:Vigun03g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSYFTFATTIVLLFSFDPSSADSHEKFVQCLYNYPYVTNSISSVVYTQTNSLYSSVLDVSIQNLRFFNATSKPVVIVTPLDVSHIQATIICSQRHGLQIRIRSGGHDYEGLSYVAEVPFVVVDLINLRGIEVDVENSTVWVQAGATVGELYYRISQRSNTLAFPAGICPTVGVGGHLSGGGYGFLMRKYGLSADNVIDALIVDVNGNLLDKETMGEDLFWAIRGGGGASFGVIVAWKIRLVSVPSTVTVFQVARTLEENATGIIHKWQRVANNLNKNLTIRINFWRLNSSKNGNLTVQAQFESLYLGPADDLIPLVQKRLPELGLLREECIEMSWIESILFMAGFTNGESTDVLLNRTQLNGLSFFKAKSDYVRDPIPDVGLEGLWPFFYEDEAEHGYVQFTPYGGRMDEISESETPIPHRSGYIFHIQYGVNWQEKGDAAEQRHLNWIRRMYNYTEPYVSKSPRSAYLNYRDLDIGINNNGYTSFSQASIWGTKYFGNNFNRLARVKTRVDPRNFFRNEQSIPSLVGLFTRMVQIYGEFTKLGNFLKSYLYW >Vigun09g188700.1.v1.2 pep primary_assembly:ASM411807v1:9:36313626:36316075:1 gene:Vigun09g188700.v1.2 transcript:Vigun09g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTVGNLALKIPVASKPARSVVHPSSSPCFCKIKLKSFPLQSAVVPFIPPENNLPDSHVHPIAATFHLSKCDLDKLAGKSIFAGKLCLKISIYTGRRGTTCGVNSGRLLGKVSVPLDLAGTVAKTTVFHNGWIRIGKDAKGSSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNISQPVFTCKFSFRNTGDRNHRSRSLQSEPGGSRSWLSSFGSERERLGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSCSTPGSWLILRPSDGTWKPWGRLEAWRERGGSDGLGYRFELIPDTNGGMSAAGIVLAESTLSSSKGGKFVIDMSCHSGGNNNGGSNGRATPGSATSPACSPKSSGDYGYGLWPYCMYRGFVMSASVEGEGRCSKPKVEVSVPHVNCTEDAAAFVALAAAVDLSVDACRLFSQRLRKELCQQVELVG >Vigun03g313300.2.v1.2 pep primary_assembly:ASM411807v1:3:50613226:50618871:1 gene:Vigun03g313300.v1.2 transcript:Vigun03g313300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRVEGFLNMRNLVVVVAWLVNFNIVFCFAHIHGFGDQPLSKIAINKAVVSLHSAASITATPSLLGTKGEDTQWVRVDIDYPNPSADDWVGVFSPAKLNSSTCPPVSDPREEIPYICSAPVKFQFLNYSNSHYTKTGKGSLKFQLINQRADFSFALFSGGLLNPKLVAVSNFISFVNPKVPLYPRLAQGKSWDEMTVTWTSGYHINEAIPFVEWGPKGKTQVQSPAGTLTFGRNSMCGSPARTVGWRDPGFIHTSFLKSLWPNLVYTYRLGHLLSNGAYIWSKQYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYNAYQPGSLNTTDQLIKDLENIDIVFHIGDITYANGYISQWDQFTAQVEPIASRVPYMIASGNHERDWPNTGSFYNSTDSGGECGVLAQNMYFVPAENRANFWYATDYGMFHFCIADSEHDWREGTEQYKFIEHCLATVDRQKQPWLIFVAHRVLGYSSASGYAKDGSFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNDERSHYSGVVNGTIHVVTGGAGSHLSKFSEVTPKWSLYRDYDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVSRDYKDVLACVRDGCEATTSAT >Vigun03g313300.1.v1.2 pep primary_assembly:ASM411807v1:3:50613866:50619007:1 gene:Vigun03g313300.v1.2 transcript:Vigun03g313300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRVEGFLNMRNLVVVVAWLVNFNIVFCFAHIHGFGDQPLSKIAINKAVVSLHSAASITATPSLLGTKGEDTQWVRVDIDYPNPSADDWVGVFSPAKLNSSTCPPVSDPREEIPYICSAPVKFQFLNYSNSHYTKTGKGSLKFQLINQRADFSFALFSGGLLNPKLVAVSNFISFVNPKVPLYPRLAQGKSWDEMTVTWTSGYHINEAIPFVEWGPKGKTQVQSPAGTLTFGRNSMCGSPARTVGWRDPGFIHTSFLKSLWPNLVYTYRLGHLLSNGAYIWSKQYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYNAYQPGSLNTTDQLIKDLENIDIVFHIGDITYANGYISQWDQFTAQVEPIASRVPYMIASGNHERDWPNTGSFYNSTDSGGECGVLAQNMYFVPAENRANFWYATDYGMFHFCIADSEHDWREGTEQYKFIEHCLATVDRQKQPWLIFVAHRVLGYSSASGYAKDGSFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNDERSHYSGVVNGTIHVVTGGAGSHLSKFSEVTPKWSLYRDYDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVSRDYKDVLACVRDGCEATTSAT >Vigun06g049400.1.v1.2 pep primary_assembly:ASM411807v1:6:17431689:17441940:1 gene:Vigun06g049400.v1.2 transcript:Vigun06g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTASFTTAPYGSWKSPITGDAVSGATKDLGGTAVDGHGRLIWLEFRPLESGRGVLVLEPEKTGGEAVDITPKEFGVRTLAQEYGGAAFTVSGDVVFFANYNDQRLYTQSITSLDDPPVPVTPDYGGPVVSYADGILDVRFNRFLCVREDRRESSLNPPTTIVSIALGSKDVQEPVVLVGGSDFYAYPRLDSKSERIAWIQWNHPNMPWDKTELWVGYISENGEIYKRVCVAGNDPSLVESPTEPKWSSEGELFFITDRENGFWNLHKWIESENKVVSVYSLEAEFTRPLWTFGVNSYEFVQSSKKLIACSYRQHGKSYLGIVDVEGSELTVIDFPCTDINNITSGDNCLYVEGASEVLPSSVAKVTFDDDKSKAVDFNIIWSSSPDSLKYSSYISKPELIEFPTDVPGQNAYAYFYPPSNPDFQASEEEKPPLLLKSHGGPTQETRGILNLSVQYWTSRGWAVVDVNYGGSTGYGRAYRERLLRQWGIVDVNDCCSCATYLVESGKVDKERLCIMGGSAGGYTTLAVLAFRNTFQAGASLYGIADLNLLRAETHKFESHYVENLVGDDKDMYERSPINHVDDFSCPIIIFQGLEDKVVPPDQAEKIYQAVKEKGVPVALVEYEGEQHGFRKAENIKNTIEQEMVFFARLIGHFDVADDITPVKIDNFD >Vigun03g233000.1.v1.2 pep primary_assembly:ASM411807v1:3:38811416:38818734:1 gene:Vigun03g233000.v1.2 transcript:Vigun03g233000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLIWRDYRGDVTAIEAERFFTKLIEKEGDPQSHDPVVFDNGVTYLFIQHSNIFLMTATRQNCNAASLLFFLHRTVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYKMEVAQRPPMAVTNAVSWRSEGVTYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVENHSKSRIEIMVKARSQFKERSTATNVEVELPVPADATNPNVRTSMGSASYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSIVDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Vigun10g161600.1.v1.2 pep primary_assembly:ASM411807v1:10:38024954:38043473:-1 gene:Vigun10g161600.v1.2 transcript:Vigun10g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMAMDTSPNPGDSFFDPQNLSSRQQFRRYGKRHSSSGASIPLDNSASKLSETGLLYDGQSIHSPTNAALVLENIKQEVESFDTDYLEEKTPYSTRRRLSTDVPGVPGVDAGFDSVRHSLKACKTEGDTLGDGADTIFTLFASLLDSSLQGLMPIADLILRVENACRNVSESIRYGLNVRHRVVEDKLMRQKAQLLLDEAATWSLLWFLYGKVTEELSKDQILVSGTSHVVACEFVAEDHTAQLCLRIVQWLEGLASKALDLEAKVRGSHVGSYLPNCGVWHHTQRYLKRGTLDMNVVHHLDFDAPTRENANLLPDDKKQDESLLEDVWTLLRAGRLEEACGLCRSAGQPWRASSLYPFGGLNQFPSVEVLVKNGKNRTLQAVEFESGIGHQWHLWKWASYCASEKIAEQGGKCEAAVYAAQCSNLKRMLPLCNDWESACWAMAKSWLDVQVDLEITRSQPGGVDQLRTFGDVIDGSPGRVDASFEPSNGPENWPFQVLNQQPRQLSSLLQKLHSGEMIHESVTRQCKEQQRQIQMTLMLGDIPRVLDLIWSWIAPTEDNQNVFRPCGDPQMIRFGAHLVLVLRYLLAEEMKDTFKDKILSVGDNILHLYALFLFSKEHEELVGIYASQLACHRCIDLFVHMMELRLHSSVHVKYKIFLSAMEYLPFSSLDDSKGNFEDIIERILLRSREIKAGKYDNLSDVAEQHRLQSLQKAKVIQWLCFTPPSTITNVKDVSKKLLVRALVHSNILFREFALISMWRVPAMPIGAHTVLGFLAEPLKQLAETLETSEDYNVFDDLREFQDWREYYSCDATYRNWLKIEVENAEVPVTELSLEEKERSISAAKETLNASLSLLQRKETPWLASTDRMYESAEPVFLELHATAMLCLPSGECLCPDATVCTTLTSALYSSAGDEVVLNRQLMVNVSISSRDSYCVDVVLRCIAIAGDGLEPHELNDGGILGTILASGFKGELPRFQAGVTMEISRLDAWYSDKDGTLECPATYIVKGLCRRCCLPEVILRCMQVSVSLMGSGVVPDCHDTLIELVGGPETDFLHLFSQQQLQEFLLFEREYSIFKMEITEE >Vigun01g225900.1.v1.2 pep primary_assembly:ASM411807v1:1:39879321:39884261:1 gene:Vigun01g225900.v1.2 transcript:Vigun01g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATFSMSPTALMPAKASLAFSHHIVPSGKALYPVTFTGASSSRAPLKWRCSSLPSSYHVEEGAKFIEASKNGNLIPLFQCIFSDQLTPVLAYRILVKEDDREAPSFLFESAEPSYHASSVGRYSVVGANPTMEVVAKENKVTIIDHESGNLIEKTVDDPIMVPKTISEGWKPCFIDKLPDAFCGGWAGYFSYDTVRYVEKKKLPFSKAPKDDRNLADIHLGLYENVIVFDHVEKKAYVILWVRTDRYPSVESAYKDGMERLEKLVAKLQYGQPPRLAPGSVDLHTHHFGPQLKRSSMTKEAYKEAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMGYLQARGCILVASSPEILTRIKKNKIVNRPLAGTARRGKTPEEDARLESLLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEQLMNIERYSHVMHISSTVAGELQEHLSSWDALRSALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGYISFSGEMDVALALRTMVFPTGTRYDTMYSYKDLNQRREWIAYLQAGAGIVADSVPEDEHQECQNKAAALARAIDLAESSFVDK >Vigun01g225900.2.v1.2 pep primary_assembly:ASM411807v1:1:39879899:39884110:1 gene:Vigun01g225900.v1.2 transcript:Vigun01g225900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVEEGAKFIEASKNGNLIPLFQCIFSDQLTPVLAYRILVKEDDREAPSFLFESAEPSYHASSVGRYSVVGANPTMEVVAKENKVTIIDHESGNLIEKTVDDPIMVPKTISEGWKPCFIDKLPDAFCGGWAGYFSYDTVRYVEKKKLPFSKAPKDDRNLADIHLGLYENVIVFDHVEKKAYVILWVRTDRYPSVESAYKDGMERLEKLVAKLQYGQPPRLAPGSVDLHTHHFGPQLKRSSMTKEAYKEAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMGYLQARGCILVASSPEILTRIKKNKIVNRPLAGTARRGKTPEEDARLESLLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEQLMNIERYSHVMHISSTVAGELQEHLSSWDALRSALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGYISFSGEMDVALALRTMVFPTGTRYDTMYSYKDLNQRREWIAYLQAGAGIVADSVPEDEHQECQNKAAALARAIDLAESSFVDK >Vigun01g225900.3.v1.2 pep primary_assembly:ASM411807v1:1:39880080:39884098:1 gene:Vigun01g225900.v1.2 transcript:Vigun01g225900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAKENKVTIIDHESGNLIEKTVDDPIMVPKTISEGWKPCFIDKLPDAFCGGWAGYFSYDTVRYVEKKKLPFSKAPKDDRNLADIHLGLYENVIVFDHVEKKAYVILWVRTDRYPSVESAYKDGMERLEKLVAKLQYGQPPRLAPGSVDLHTHHFGPQLKRSSMTKEAYKEAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMGYLQARGCILVASSPEILTRIKKNKIVNRPLAGTARRGKTPEEDARLESLLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEQLMNIERYSHVMHISSTVAGELQEHLSSWDALRSALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGYISFSGEMDVALALRTMVFPTGTRYDTMYSYKDLNQRREWIAYLQAGAGIVADSVPEDEHQECQNKAAALARAIDLAESSFVDK >Vigun05g048700.1.v1.2 pep primary_assembly:ASM411807v1:5:4188508:4193791:1 gene:Vigun05g048700.v1.2 transcript:Vigun05g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVFLLHLILSSFLLSTFFLEPVRGTKKCYIVYLGAHSHGVNPTSVDLQIATDSHYNLLGSIMGSEEKAKEAIIYSYNKHINGFAALLEEEEAADIGKNPNVVSVFLSKKHKLHTTRSWEFLGLHRNGKNSAWQKGSFGENTIIANIDTGVWPESDSFSDKGYGPVPSRWRGGNVCQITKLPSTKKNLCNRKLIGARFFNKAFEAYNGQLVPPLQTARDFMGHGTHTLSTAGGNFVGSASVFAVGNGTAKGGSPRARVAAYKVCWALTDAADCYGADILDAIDHAIDDGVDLINLSVGGYHDVSPEGILTDEVSIGAFHAISRNILLVASAGNDGPIPGSVVNVAPWVFTIAASTIDRDFSSTLTINNNQQIEGASLFVNLPPNQAFSLILSTDAKLPNATFRDAQLCRAGTLDPRQVNGKIVSCSRENIKSVAEGQEALSAGAKGMIVSNQPQQGTTNEAEPHVFSAVGRPNRHPPPKTDATPPPPLATEFQIVDAEDPFKTGATIRMSPARTLFGRKPAPVMASFSSRGPNKLQPAILKPDVTAPGVNILAAYSEFASASTLLTDNRRGFKFNVMQGTSMSCPHVTGIAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNRPIHDGFVETTATPFAYGSGHVQPDLAIDPGLVYDLGLTDYLNFLCASGYNQQLISALNFNRTFICSGTHSVTDLNYPSITLPNLSLKAVTIARTVTNVGPPGTYTATFQLLGYNITVVPSSLTFSKIGEKKTFKVTVQARSETKRRTYEFGELRWTDGKHIVRSPITVKRRRCKPLQWSWLCWDS >Vigun02g168900.1.v1.2 pep primary_assembly:ASM411807v1:2:31221925:31224354:-1 gene:Vigun02g168900.v1.2 transcript:Vigun02g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGFGFATTSVSAAAGYRRRSNCRWRKPTILAVGWDPEGVFGPPQTGHLAGLEFRRRLERDAEAREAFQRQVQEEKERRQTLRQSRIEPDTPEELIEYFLDTEAQEIEFEIARMRPRLDEGFFAQLKFELGQLRFAVNKTEHMEERQIELEALEKAIQEGIEAYDKMQGQLIKARASLTKILTSKDVQATLLDMVEKNEINRSLLALLDENIANAHKGNQKKAAEYMEKLRGSVIRYMTV >Vigun06g024800.4.v1.2 pep primary_assembly:ASM411807v1:6:11454375:11460482:1 gene:Vigun06g024800.v1.2 transcript:Vigun06g024800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPFIWSQLVTIYSSLCLCFQFLKFQHHPNFLKMPRSLIKHHRFVNSSSPDDDPFSNFILHARRKLRRVETLSWTSEYTAFNIHRYPGFASLRLASRELGDAKEATIPIEFSEINKLHFKELPNLRGFSCGDMVEWPILKHVILNNSPNLKKFGLGTIKESQLKRFILEGQEQIDIDTKILHLFELSDQFSTMEEYSIEDNEELRKAIDDIRPSHFRNLLKLSIKYCDQRLNNFLSILMKRANKLQDISIKQCQTLEHLFDLNEFTPDNDGHDKYFTQIKALILIELHQVKCIWNKDPVGILGFENLQMVHIISCSSLDKLFTPSAAKKLSQLNELKLEACQMLQKVIDSGNIETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLIIEKCPELEEFTTGFATTNASQITNDRSFSELNELKLDNCHKLVCAVSSKALHELKSLKKLIVSHCNTLELVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFVRFCESMQSLQVKQCKLLKWLPISLMLISIEISNCEALEKIMTTDKDEGTRGKNTFPKLKFVCLKNLTNLYSLFSFTSEFPSLEELKITNCPNLTNFVEESKELKDLSISTTSNVFFPNSLSLETLKMLYMKNQDFEKLWQNNCHSKSFYELEYLTLSNNNKLLNVISSNIIIRLNKLKKLTLEQCDVLTEIFYLEDDKPTGIIQELLPQLQELALSYLRSFTCIWNMEPSVSFFQNLMSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVISIDNREDMTVSFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIVRARNIPRMKFFSVGKFHFAELFGFPS >Vigun06g024800.1.v1.2 pep primary_assembly:ASM411807v1:6:11454375:11460482:1 gene:Vigun06g024800.v1.2 transcript:Vigun06g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPFIWSQLVTIYSSLCLCFQFLKFQHHPNFLKMPRSLIKHHRFVNSSSPDDDPFSNFILHARRKLRRVETLSWTSEYTAFNIHRYPGFASLRLASRELGDAKEATIPIEFSEINKLHFKELPNLRGFSCGDMVEWPILKHVILNNSPNLKKFGLGTIKESQLKRFILEGQEQIDIDTKILHLFELSDQFSTMEEYSIEDNEELRKAIDDIRPSHFRNLLKLSIKYCDQRLNNFLSILMKRANKLQDISIKQCQTLEHLFDLNEFTPDNDGHDKYFTQIKALILIELHQVKCIWNKDPVGILGFENLQMVHIISCSSLDKLFTPSAAKKLSQLNELKLEACQMLQKVIDSGNIETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLIIEKCPELEEFTTGFATTNASQITNDRSFSELNELKLDNCHKLVCAVSSKALHELKSLKKLIVSHCNTLELVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFVRFCESMQSLQVKQCKLLKWLPISLMLISIEISNCEALEKIMTTDKDEGTRGKNTFPKLKFVCLKNLTNLYSLFSFTSEFPSLEELKITNCPNLTNFVEESKELKDLSISTTSNVFFPNSLSLETLKMLYMKNQDFEKLWQNNCHSKSFYELEYLTLSNNNKLLNVISSNIIIRLNKLKKLTLEQCDVLTEIFYLEDDKPTGIIQELLPQLQELALSYLRSFTCIWNMEPSVSFFQNLMSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVISIDNREDMTVSFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIVRARNIPRMKFFSVGIVITPLLRSIHVTFARKLWLGNLNETLSYISNNPGKFHFAELFGFPS >Vigun06g024800.3.v1.2 pep primary_assembly:ASM411807v1:6:11454217:11460482:1 gene:Vigun06g024800.v1.2 transcript:Vigun06g024800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPFIWSQLVTIYSSLCLCFQFLKFQHHPNFLKMPRSLIKHHRFVNSSSPDDDPFSNFILHARRKLRRVETLSWTSEYTAFNIHRYPGISRFASLRLASRELGDAKEATIPIEFSEINKLHFKELPNLRGFSCGDMVEWPILKHVILNNSPNLKKFGLGTIKESQLKRFILEGQEQIDIDTKILHLFELSDQFSTMEEYSIEDNEELRKAIDDIRPSHFRNLLKLSIKYCDQRLNNFLSILMKRANKLQDISIKQCQTLEHLFDLNEFTPDNDGHDKYFTQIKALILIELHQVKCIWNKDPVGILGFENLQMVHIISCSSLDKLFTPSAAKKLSQLNELKLEACQMLQKVIDSGNIETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLIIEKCPELEEFTTGFATTNASQITNDRSFSELNELKLDNCHKLVCAVSSKALHELKSLKKLIVSHCNTLELVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFVRFCESMQSLQVKQCKLLKWLPISLMLISIEISNCEALEKIMTTDKDEGTRGKNTFPKLKFVCLKNLTNLYSLFSFTSEFPSLEELKITNCPNLTNFVEESKELKDLSISTTSNVFFPNSLSLETLKMLYMKNQDFEKLWQNNCHSKSFYELEYLTLSNNNKLLNVISSNIIIRLNKLKKLTLEQCDVLTEIFYLEDDKPTGIIQELLPQLQELALSYLRSFTCIWNMEPSVSFFQNLMSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVISIDNREDMTVSFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIVRARNIPRMKFFSVGIVITPLLRSIHVTFARKLWLGNLNETLSYISNNPGKFHFAELFGFPS >Vigun06g024800.5.v1.2 pep primary_assembly:ASM411807v1:6:11454375:11460482:1 gene:Vigun06g024800.v1.2 transcript:Vigun06g024800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPFIWSQLVTIYSSLCLCFQFLKFQHHPNFLKMPRSLIKHHRFVNSSSPDDDPFSNFILHARRKLRRVETLSWTSEYTAFNIHRYPGFASLRLASRELGDAKEATIPIEFSEINKLHFKELPNLRGFSCGDMVEWPILKHVILNNSPNLKKFGLGTIKESQLKRFILEGQEQIDIDTKILHLFELSDQFSTMEEYSIEDNEELRKAIDDIRPSHFRNLLKLSIKYCDQRLNNFLSILMKRANKLQDISIKQCQTLEHLFDLNEFTPDNDGHDKYFTQIKALILIELHQVKCIWNKDPVGILGFENLQMVHIISCSSLDKLFTPSAAKKLSQLNELKLEACQMLQKVIDSGNIETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLIIEKCPELEEFTTGFATTNASQITNDRSFSELNELKLDNCHKLVCAVSSKALHELKSLKKLIVSHCNTLELVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFVRFCESMQSLQVKQCKLLKWLPISLMLISIEISNCEALEKIMTTDKDEGTRGKNTFPKLKFVCLKNLTNLYSLFSFTSEFPSLEELKITNCPNLTNFVEESKELKDLSISTTSNVFFPNSLSLETLKMLYMKNQDFEKLWQNNCHSKSFYELEYLTLSNNNKLLNVISSNIIIRLNKLKKLTLEQCDVLTEIFYLEDDKPTGIIQELLPQLQELALSYLRSFTCIWNMEPSVSFFQNLMSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVISIDNREDMTVSFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIETLVRKFK >Vigun07g226200.1.v1.2 pep primary_assembly:ASM411807v1:7:34837669:34839266:1 gene:Vigun07g226200.v1.2 transcript:Vigun07g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVLDECKLKFQELKAKRNYRFIVFKIENYEVMVEKLGSPEETYENFTSSLPANECRYAVFDFDFTTNENCQKSKIFFIAWAPDTSKVREKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAI >Vigun08g111300.1.v1.2 pep primary_assembly:ASM411807v1:8:27586489:27592465:-1 gene:Vigun08g111300.v1.2 transcript:Vigun08g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIKIIKEKKVRFCTSSSYSLVKLFTLPLLFVSGLQLKHSLLASRDSSSGMDNAAGQILYPLHRCKTVHLVRHAQGVHNVAGEKNHDEYMSYDYFDAHLTPLGWNQVDNLRKHVKATGLSKTVELVVVSPLLRTMQTAVGVFGGEVYTDGISEQPLMVENVGQSDHLAVSSLNCPPFIAVELCREQIGVHPCDKRRAISEYRNMFPAIDFSLIESEEDILWKPDVREKVEAVSARGLKFLEWLWTRNEKEIAVVTHSSFLFNTLRAFGKDCHPNIKSEICKHFTNCELRSMVIIDRGMIGSSESTTNYPGKIPRGPDVPSEAAD >Vigun11g154500.1.v1.2 pep primary_assembly:ASM411807v1:11:36358291:36361555:1 gene:Vigun11g154500.v1.2 transcript:Vigun11g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDAAVQSDIKLWPFKVISGPGDKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATTSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIASKLPADDKKKIEDAIDQAIQWLDGNQLGEADEFEDKMKELESICNPIIAKMYQGAGGDAGGAMDEDGPAPASGSGAGPKIEEVD >Vigun02g072301.1.v1.2 pep primary_assembly:ASM411807v1:2:22361834:22370589:1 gene:Vigun02g072301.v1.2 transcript:Vigun02g072301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLKSVLGSLASPAVAELKPFLCFRREKEKLESMFTAIKATLEDAEEKQFSDRAIKDWVGKLKDAAYELDDILDEFAYEQMRLEEEESEKVKCCISEMVLRSSLASFLLGIVQVPTFSSHESLFHLTSTIQEETRGVPEWRQTFSFITEPKVYGREQDIKKIVEFLAGAASRPENLPVYPIVGQGGLGKTTLAKLIFNHNDLKDFQLKIWVGVSEDFGLERILKAIIEAASEDVRKDLGLEATQRRLRKLLTGKRYLLVLDDVWDVMKQNWKENWQVLRSILDCGEKGSSVLVTTRFSNVAEIMGTIKHPHMLPELSVYYCWELFKHQAFGADEIEQEELVMIGRKIVRKCGGVPLAAKALGGLLRSHRNKNKWINILQSNLLTLSPNEKSIMPVLRLSYLNLPIELRQCFAYCAIFPKDELIGKQYLIELWMANGFISSDGRLDAEDVGDDVWNELYRRSLFQDIEVDEFGKVTSFKMHDLVHDLAQFVADEEVCCITDEDYAPVLFERKRIQHLSDYRWWLHSTQLHQVKSLRTYIKSKATKELSSDVLKCYSLRLLHVSLREELSASIVDLKHLKYLNLSCSDFKTLPESLYYCQRLQKLPDSLVRLKALQQLSLKVCRSLSRLAPYIGKLNSLRSLSMYFVGEQKGFLLAELGLLKLKRDLEIKHLERVKNITDAKESNMSSKKLNNLMLRWSIVREGELEGNDEEVLEALEPCTETLQSLRVEGYQGVRFPPWMSTPFLKNLTSLELWCCTNCIKLPVLRNLRSLKRLEITKAKYVKYVQEECYDNDVGFMALEYLSLRSLPSLIRLSSEDGENQFPCLSTLDIEDCPHFSLQGLPSLKLLRMSRNPKLKVCPGLECLPCLEDLTIDSCEEVEGLRFMTALKKLALVNLINIKSLPECFGDLPLLRELRIFGCYKLMRLPTSLGLSRLEVLYIQDCHPKLQMRCLKESGEDWPIIAHIPHLYF >Vigun11g147700.1.v1.2 pep primary_assembly:ASM411807v1:11:35669439:35674371:-1 gene:Vigun11g147700.v1.2 transcript:Vigun11g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGVLNFLTFLLSVPILGGGIWLSSRANNTDCLKFLQWPLIIIGVSIMVVSLAGFAGACYRNTFLMRLYLVVMFVVIAVLIGFIIFAYVVTDKGSGRRVMNRAYSEYYLEDYSGWLEERVASDSYWGKIGSCIRDSHVCARMGRTINGVPETAEMFYLRHLTPIQSGCCKPPTECGYVYQNETVWNLGSGLMGANADCTRWSNDQELLCYTCNSCKAGVLASLKKSWRKVSVINIVVMILLVIVYIVAYAAYRNNKRMDNDEPYGEARMTKAQPSAFHL >Vigun05g053400.1.v1.2 pep primary_assembly:ASM411807v1:5:4577022:4580973:-1 gene:Vigun05g053400.v1.2 transcript:Vigun05g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTQNHRGRSTKLLLLLHLAVAILCDICIAESNDGVTHIKEGPDSVVWVVQLSDLHFSVHHTNRALDFAEFVGPALSVINPSLVLITGDLTDGKSKDLLTMKQNENEWVEYRSVLNTVIERSGLDKSLFFDLRGNHDSFGVPFVGCSSDFFSKYSISGQLGRNGRVNSVTVETEERKHLFVGFDSTMSIGLRGPTNLFGHPTDQLLKDLDLKLSQWDSQSEKPVTKISFGHFPLSFSAPSISGRTLEDVFLKHSISAYLCGHLHIGFGLNLKRHHQLSDHFSPLQKFFQFNIHQRSLESTVNCSVGVTPIQDFWEWEMGDWRKSRALRILAIDRGQVSYADVDFKSGTKHIIILPTFPLDSRFMITSSCRHNYECKSVTPSSYEKIRALVFSVAPVASVVARIYDSKSGNLDLVVETHMIRHADENGTGDLYLAPWNYKAFEDASPDRYWLQIEANDSAGRSTLTELRPFSINGLSLKFSWSWKEFMVMGCQWAALYYPLFWSALYFFFLFLLLPKALIVFPKKRYTYKNFIANKGLVNGVLWFLQELCRINTLWFGWIAYLFYLILSPWFMGQVFNEGENMVYMTYKGWAIETSNGEGKLEYVGSPDILVVVLPHLLFVVLPAIFVTGALTAERAIFREHVLAFLEKKKDDLRMDSRKTVLNDHHSSIASNVHLCKRWIRKLLCVVCVAICWKHFMKCRTLLKAYEMNPVLHFLGYGISVPFLLAHAIIKTRNAG >Vigun03g279700.2.v1.2 pep primary_assembly:ASM411807v1:3:45774291:45778660:-1 gene:Vigun03g279700.v1.2 transcript:Vigun03g279700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNYSPDQRKDEESEGCSSLKTSIYGDDDNIKGKRVGSVSNSSSSAVVIEDGSEEEEEDEDEDEEGGRRSMKKRSSKIFGFSVTEDSESMDSDHPPVTRQFFPVEEADVAVASGGGSSTFPRAHWVGVKFCQSEALGAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAAKAYDKAAIKCNGKEAVTNFDPSIYENELNSESTGNGADHNLDLSLGNSSSKGGSNQALGNHHHGSNGGNHDQQGAPESNWRNGGSKPKLVNILPKPCNRGNKDTQGREVHGESEALRMLSQTHLHCPASSEMQRYGPYRSPGGESQMLQNFAPIHPPNFHFPSSSSSIGGRIGSDLSLSMADQQQWQSGPPHYLATAAASSGFPQQIRPSSQGWLQKNGFHTLMRPS >Vigun03g279700.1.v1.2 pep primary_assembly:ASM411807v1:3:45774291:45778660:-1 gene:Vigun03g279700.v1.2 transcript:Vigun03g279700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNYSPDQRKDEESEGCSSLKTSIYGDDDNIKGKRVGSVSNSSSSAVVIEDGSEEEEEDEDEDEEGGRRSMKKRSSKIFGFSVTEDSESMDSDHPPVTRQFFPVEEADVAVASGGGSSTFPRAHWVGVKFCQSEALGAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAAKAYDKAAIKCNGKEAVTNFDPSIYENELNSGAESTGNGADHNLDLSLGNSSSKGGSNQALGNHHHGSNGGNHDQQGAPESNWRNGGSKPKLVNILPKPCNRGNKDTQGREVHGESEALRMLSQTHLHCPASSEMQRYGPYRSPGGESQMLQNFAPIHPPNFHFPSSSSSIGGRIGSDLSLSMADQQQWQSGPPHYLATAAASSGFPQQIRPSSQGWLQKNGFHTLMRPS >Vigun03g303700.2.v1.2 pep primary_assembly:ASM411807v1:3:49368679:49371020:-1 gene:Vigun03g303700.v1.2 transcript:Vigun03g303700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNTESELCMKATTNHNRSLLHMENLTLPPVVVIAANMRCNGCRGRVFRVVSRMTGLTEYTVDLQKREVTIKGDFMANCNLQDESIRSSSLLSAKDEPKSLSTFLAHSH >Vigun03g303700.1.v1.2 pep primary_assembly:ASM411807v1:3:49368679:49371020:-1 gene:Vigun03g303700.v1.2 transcript:Vigun03g303700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINNTESELCMKATTNHNRSLLHMENLTLPPFQVVVIAANMRCNGCRGRVFRVVSRMTGLTEYTVDLQKREVTIKGDFMANCNLQDESIRSSSLLSAKDEPKSLSTFLAHSH >VigunL059916.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000661.1:46028:48815:-1 gene:VigunL059916.v1.2 transcript:VigunL059916.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQRSVGGATHKGIPPISFLAPYEFTHPLTRTHVRLLGPCFKTGRMGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun08g088400.1.v1.2 pep primary_assembly:ASM411807v1:8:20117655:20119560:-1 gene:Vigun08g088400.v1.2 transcript:Vigun08g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEFCTLTKLFHNPLVSALALLCIATTSLNPATASKRCDFPAIFNFGASNADTGGLAASFPFAQPKSPNGDTFFHRPAGRFCDGRLIIDFMAQSFGLPFLSPYLDSMGSNFSRGANFASAGSTIRLQQAAGQFRTSPFSLGLQYQQFERFKPNAKFIRGQGGVFAALMPKKEYFDEALYTFDIGQNDLTVGFLGNMTLQQVNASVPDIVSNFTSNIKNIYNLGARSFWIHNTGPIGCLPLILTNFESAERDMYGCAKAYNEVANSFNQNLKKALAHLRKDLPTAAITYVDIYSVKYSLFRDPKKYGFELPHVACCGYGGKYNFSNSVSCGGTTKVNDNDIFVGSCERPSVRVIWDGIHYTEAANKVVYDQISSGAFTDPPIPLNMACRRK >Vigun09g263700.2.v1.2 pep primary_assembly:ASM411807v1:9:42789726:42791718:1 gene:Vigun09g263700.v1.2 transcript:Vigun09g263700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRRTLGNVRSFVSNSMGGLRGGSNLASWVVAGTLAYYLWIKPSQELKREQQEKAALAAAEADPYRYVETRKPVPDPQVTGLIYGKRNKDRESKAED >Vigun09g263700.3.v1.2 pep primary_assembly:ASM411807v1:9:42789726:42790782:1 gene:Vigun09g263700.v1.2 transcript:Vigun09g263700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRRTLGNVRSFVSNSMGGLRGGSNLASWVVAGTLAYYLWIKPSQELKREQQEKAALAAAEADPYRYVETRKPVPDPQVTGLIYGKRNKDRESKAED >Vigun09g263700.1.v1.2 pep primary_assembly:ASM411807v1:9:42789648:42790820:1 gene:Vigun09g263700.v1.2 transcript:Vigun09g263700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRRTLGNVRSFVSNSMGGLRGGSNLASWVVAGTLAYYLWIKPSQELKREQQEKAALAAAEADPYRYVETRKPVPDPQVTGLIYGKRNKDRESKAED >Vigun08g126600.1.v1.2 pep primary_assembly:ASM411807v1:8:29645388:29651812:-1 gene:Vigun08g126600.v1.2 transcript:Vigun08g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLIVHFDKGTPALANEIKEALEANDVAAKIDALKKAIMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNESEIIEPLIPSILSNLEHRHPFVRRNAVLAVMSVYKLPQGEQLLDSAPEIVDKFLSSEQDPSSKRNAFLMLFSCAQDRAINYLFANIDRIIDWGEQLQMVVLELIRKVCRSNKGEKGKYIKIIIALLNASSTAVIYECASTLVSLSSAPTAIRAAASTYCQLLLSQSDNNVKLIVLDRLNELKSSNREIMVEMVMDVLRALSTPNHDIRRKTLDIALELITPRNIDEVVMMLKKEVVKTQSGEHEKNGEYRQMLVQAIHTCAIKFPEVASTVVHLLMDFLGDTNVASAMDVVVFVREIIETNPKLRISIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFYTVTDEGDGHDSSKPIQQVNSTTVSSRRPAILADGTYATQSAALETAMSPPTLVQGSLSSVGNLRSLILSGDFFLGAVVACTLTKLVLRLEEVQTSKVEVNKATTQALLIIVSMLQLGQSSALPHPIDNDSYDRIVLCIRLLCNTGDDIRKIWLQSCRQSFVKMLADKQRRETEEIKAKAQISNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYISPASCADVAFRTMWAEFEWENKVAVNTVLQDEREFLTHIIKSTNMKCLTPPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGSA >Vigun05g252600.1.v1.2 pep primary_assembly:ASM411807v1:5:44720472:44724002:-1 gene:Vigun05g252600.v1.2 transcript:Vigun05g252600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLVMHAPRVFNYKSGRLAHGGCGQILITRALSSAAVSPPSKAIVYDVHGPPDTVTKLVEVPAVDVKEKEVCVKMLAAPINPSDINRIQGVYPVRPNPPAVGGYEGVGEVLSVGSSVTSLSPGDWVIPSPPSFGTWQTYIVKNENVWHKIEKGVPMEYAATITVNPLTALLMLEHCVTLNSGDAIVQNGATSMVGQCVIQLANSRGIHSINIIRDRPGVNEVKEMLKNLGADEVFTESELEVKNVKSLLGGIPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWLSTDKAEESRGMIDRLLSLVQEGKLKYKMELAPFDDFSTALDKALGKLGSQPKQVIKF >Vigun05g075700.4.v1.2 pep primary_assembly:ASM411807v1:5:6860183:6878413:-1 gene:Vigun05g075700.v1.2 transcript:Vigun05g075700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIFVSTATESVFKFGENLVTRHLGYFYNYNGKFEEVKHRVEMLDDTRKRVQNDVMVAEMNAEEIEEDVKHWLKHVDEKIKEYENFLCDKRHEKTSCSIGFFPNNLQLRYRLGRKATKIVEEVIADELLNKKFDKVSYHIGPSMDAALSNTGYESFTSRKKIMGMIMQALEDSTISMIGVYGVGGVGKTTLVKEVAKQAKERKLFNKVVMANITRNPDIKKVQGQIAEMLGMRLEEESEIVRADRIRKRLKKEKENTLIILDDLWNGLDLNRLGIQRNEVDDVSQKVAKDVADFGYKRVETEKLSADSNKMKKEKLSSDYNKIKKENLSVDHKGFKIFLTSRNKEVLCNQMDVQERSTFPLGVLDQKEGEALLKKMAEISVTNSAFDDKVTEISKMCAGLPIALISIGKTLKNKSPYVWEDVCRQIERQNFTGGQEPIEFSAKLSYDHLKTEELKHIFLQCARMGSDFSIMDLVKFCIGFDMLQGVYTIRETKSRVNVLMEELTESSLLVKSYSNDCFNMHDIVRDVALSISSKEKHVFFMKNGKLNEWPHKDKLERYTTIVLHYCDIVELPESKYCSRLEVFHIDSKDDFLKIPDDLFKYMIELKVLILTGVNLSCLPSSITYLTNLKMLCLERCTLRNNLAIIGELRKLRILSLSGSNIECLPVELRQLDKLQLLDLSNCSQLRAIPSNMILGMNSLEEFYMRDDLILRETNEEIQSKNASLSELRHLKQLRSLDIHIPSVAHFPQNLFFDKLDSYKIIIGEINMLSVGEFKIPDKYEVVKFLALNLKDGINIHSEKWIKMLFKRVEYLLLGELNDVHDVFYELNVEGFPHLKHLFIVNNVGLLYIINSVKRFHPLLAFPKLESMCLYKLENLEKICDSQLTEASFCRLKIIKIKTCGQLESIFSFFMLSRLTMLETIEVCDCDSLKEIVYFEGEFDTVSDVQTDKIQFPQLRFLTLQSLPAFFGLYTNDKMPSISESSEDQMQNRELKEIGQDTNACFSLFNGKVAMPKLEFLELSSINIPQIWNEKSLHCFQSLLTLNVSDCGNLKYLLSLSMSESLVNLQSLFVSGCELMEDIFCAEDALHNIDILPKLKKMEINCMEKLSTLWQPYIGFHSFHSLDSLIIRECNKLETIFPSHTGEGFQSLQSVVITNCMSVETIFDFGNISQTCGTNVTNLHSVFLKGLPKLVHIWKVDTDEILNFSNLQSIVVYESKILKYLFPLSVAKGLEKLETLDVCNCWEMEEVVACDYQSNENLITFRFPQLNTLSLQHLFELRSFYLGPHDIEWPFLKKLFILFCGKLEETTNLQVKSIFLATEKVIHNLEYMSISLTEAEWLRDYIYSVHRMHKLQSLVLSGLENTEILFWLLHRLPNLESITLKNCLFEGVWASTNLAAHEKVGVVVQLKELIIDKLRYLQNIGFEHDLLLQRVERLVISECLNLKSLLPLSVSFSYLTYLEVSNCSGLRNLITSSTAMTLVQLTIMKVSLCQGIEKIVAEEEKTQVIEFRHLKAIELVSLPSLTCFCSSEKCDLKFPSLENLVVSDCLLMETFSEVQSAPNLRKIHVLVGEKDRWYWEGDLNSTLQKLSTDKVSFKHSKHLTITEDSELEEIWHSKAAFQDNYFHSLKTLVVMDITKDHVIPSHVLKNLEELEVESCGAVEVIFDVNDTATKKKGTVARLKKLTLTMLPNLSRVWKKNPQGIVSFPNLQEVSVFDCGQLASLFPLSLAINLFKLQTLEIQWCDNLVEIVEKDDAIEPGKAEMFKFPCLFSLLLYNLPLLACFYSGKHRLECHMLDVLDVSCCPMLKLFTSKFHDSYKEAVTESQQPLFLVEEVVPKLKELTVNEESIILLSHAHLPQDLFCRLNLLQLCFEDENNKKDTFPFHFLHKVPSLEHLQVYECFGLMEIFPSQTLQYHERILIRLRKLTLNNLPELDTIGLEHSWIKPYTEKLEVLKLEECPRLERLVFDVVSFSNLKQLAVDSCEEMKNLFTFSTAKSLVQLEILTVLNCESMKEIVKDEDEEAYEEIILGRLKTLKLNFLSRLVCFYSGNAMLQLPCLSTVTIVKCPEMKTFSEGGLNAPMFSGIKTSLKDSDFHFHNDLNSTVQWLHQHVSVEQSKHLTVADDSKLEKILHSKAAFQDNNFHSLKSLVVKDVTMDINHVIPSQVLPCLKSLEELQVQSCKAVGIIFEVNDIDTKKKGIVSRLKKLTLDTLPNLKCVWNKNPQGIVSFPNLQEVSVSDCGELTALFPSSLARNLVKLEELQIENCDKLVDIVGKDDEIELETTKVFKFPCLLFLILFRLPLLSCFYPGKHHLESPLLETLDVSYCPKLKLFTSEFHDSHKESVIEIQVSSTNTITHLQQPLFLIEKVVPKLKELSVNEENIILLSHANLPQDLFRKLNFLLLCQEDEDEEHRKDTLPFDFLRKVPGLEHLKLLGYFGLKEIFPSKKLRVHDKILSRLKHLTLDNLEELKSIGLEHPWVKPHSKRLESLELIECPKVVKLVSGAVSFMNMKWLHVTDCKRMEYLFTFSIAKSLVQLLDLSVQNCGSIKEIVKKENEDASREIIFGWVKTLNLDSLPLLGSFYSGNATLQFSRLKRVTISKCPSMKTFSQGDITAPFFCGVGSSIEDFDLTFHGDLNTTIKNLSHKQVEGDSVMESTDRGSSDDDNYTSE >Vigun05g075700.5.v1.2 pep primary_assembly:ASM411807v1:5:6860183:6870697:-1 gene:Vigun05g075700.v1.2 transcript:Vigun05g075700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIFVSTATESVFKFGENLVTRHLGYFYNYNGKFEEVKHRVEMLDDTRKRVQNDVMVAEMNAEEIEEDVKHWLKHVDEKIKEYENFLCDKRHEKTSCSIGFFPNNLQLRYRLGRKATKIVEEVIADELLNKKFDKVSYHIGPSMDAALSNTGYESFTSRKKIMGMIMQALEDSTISMIGVYGVGGVGKTTLVKEVAKQAKERKLFNKVVMANITRNPDIKKVQGQIAEMLGMRLEEESEIVRADRIRKRLKKEKENTLIILDDLWNGLDLNRLGIQRNEVDDVSQKVAKDVADFGYKRVETEKLSADSNKMKKEKLSSDYNKIKKENLSVDHKGFKIFLTSRNKEVLCNQMDVQERSTFPLGVLDQKEGEALLKKMAEISVTNSAFDDKVTEISKMCAGLPIALISIGKTLKNKSPYVWEDVCRQIERQNFTGGQEPIEFSAKLSYDHLKTEELKHIFLQCARMGSDFSIMDLVKFCIGFDMLQGVYTIRETKSRVNVLMEELTESSLLVKSYSNDCFNMHDIVRDVALSISSKEKHVFFMKNGKLNEWPHKDKLERYTTIVLHYCDIVELPESKYCSRLEVFHIDSKDDFLKIPDDLFKYMIELKVLILTGVNLSCLPSSITYLTNLKMLCLERCTLRNNLAIIGELRKLRILSLSGSNIECLPVELRQLDKLQLLDLSNCSQLRAIPSNMILGMNSLEEFYMRDDLILRETNEEIQSKNASLSELRHLKQLRSLDIHIPSVAHFPQNLFFDKLDSYKIIIGEINMLSVGEFKIPDKYEVVKFLALNLKDGINIHSEKWIKMLFKRVEYLLLGELNDVHDVFYELNVEGFPHLKHLFIVNNVGLLYIINSVKRFHPLLAFPKLESMCLYKLENLEKICDSQLTEASFCRLKIIKIKTCGQLESIFSFFMLSRLTMLETIEVCDCDSLKEIVYFEGEFDTVSDVQTDKIQFPQLRFLTLQSLPAFFGLYTNDKMPSISESSEDQMQNRELKEIGQDTNACFSLFNGKVAMPKLEFLELSSINIPQIWNEKSLHCFQSLLTLNVSDCGNLKYLLSLSMSESLVNLQSLFVSGCELMEDIFCAEDALHNIDILPKLKKMEINCMEKLSTLWQPYIGFHSFHSLDSLIIRECNKLETIFPSHTGEGFQSLQSVVITNCMSVETIFDFGNISQTCGTNVTNLHSVFLKGLPKLVHIWKVDTDEILNFSNLQSIVVYESKILKYLFPLSVAKGLEKLETLDVCNCWEMEEVVACDYQSNENLITFRFPQLNTLSLQHLFELRSFYLGPHDIEWPFLKKLFILFCGKLEETTNLQVKSIFLATEKVIHNLEYMSISLTEAEWLRDYIYSVHRMHKLQSLVLSGLENTEILFWLLHRLPNLESITLKNCLFEGVWASTNLAAHEKVGVVVQLKELIIDKLRYLQNIGFEHDLLLQRVERLVISECLNLKSLLPLSVSFSYLTYLEVSNCSGLRNLITSSTAMTLVQLTIMKVSLCQGIEKIVAEEEKTQVIEFRHLKAIELVSLPSLTCFCSSEKCDLKFPSLENLVVSDCLLMETFSEVQSAPNLRKIHVLVGEKDRWYWEGDLNSTLQKLSTDKVSFKHSKHLTITEDSELEEIWHSKAAFQDNYFHSLKTLVVMDITKDHVIPSHVLKNLEELEVESCGAVEVIFDVNDTATKKKGTVARLKKLTLTMLPNLSRVWKKNPQGIVSFPNLQEVSVFDCGQLASLFPLSLAINLFKLQTLEIQWCDNLVEIVEKDDAIEPGKAEMFKFPCLFSLLLYNLPLLACFYSGKHRLECHMLDVLDVSCCPMLKLFTSKFHDSYKEAVTESQQPLFLVEEVVPKLKELTVNEESIILLSHAHLPQDLFCRLNLLQLCFEDENNKKDTFPFHFLHKVPSLEHLQVYECFGLMEIFPSQTLQYHERILIRLRKLTLNNLPELDTIGLEHSWIKPYTEKLEVLKLEECPRLERLVFDVVSFSNLKQLAVDSCEEMKNLFTFSTAKSLVQLEILTVLNCESMKEIVKDEDEEAYEEIILGRLKTLKLNFLSRLVCFYSGNAMLQLPCLSTVTIVKCPEMKTFSEGGLNAPMFSGIKTSLKDSDFHFHNDLNSTVQWLHQHVSVEQSKHLTVADDSKLEKILHSKAAFQDNNFHSLKSLVVKDVTMDINHVIPSQVLPCLKSLEELQVQSCKAVGIIFEVNDIDTKKKGIVSRLKKLTLDTLPNLKCVWNKNPQGIVSFPNLQEVSVSDCGELTALFPSSLARNLVKLEELQIENCDKLVDIVGKDDEIELETTKVFKFPCLLFLILFRLPLLSCFYPGKHHLESPLLETLDVSYCPKLKLFTSEFHDSHKESVIEIQVSSTNTITHLQQPLFLIEKVVPKLKELSVNEENIILLSHANLPQDLFRKLNFLLLCQEDEDEEHRKDTLPFDFLRKVPGLEHLKLLGYFGLKEIFPSKKLRVHDKILSRLKHLTLDNLEELKSIGLEHPWVKPHSKRLESLELIECPKVVKLVSGAVSFMNMKWLHVTDCKRMEYLFTFSIAKSLVQLLDLSVQNCGSIKEIVKKENEDASREIIFGWVKTLNLDSLPLLGSFYSGNATLQFSRLKRVTISKCPSMKTFSQGDITAPFFCGVGSSIEDFDLTFHGDLNTTIKNLSHKQVEGDSVMESTDRGSSDDDNYTSE >Vigun05g075700.6.v1.2 pep primary_assembly:ASM411807v1:5:6860183:6870697:-1 gene:Vigun05g075700.v1.2 transcript:Vigun05g075700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIFVSTATESVFKFGENLVTRHLGYFYNYNGKFEEVKHRVEMLDDTRKRVQNDVMVAEMNAEEIEEDVKHWLKHVDEKIKEYENFLCDKRHEKTSCSIGFFPNNLQLRYRLGRKATKIVEEVIADELLNKKFDKVSYHIGPSMDAALSNTGYESFTSRKKIMGMIMQALEDSTISMIGVYGVGGVGKTTLVKEVAKQAKERKLFNKVVMANITRNPDIKKVQGQIAEMLGMRLEEESEIVRADRIRKRLKKEKENTLIILDDLWNGLDLNRLGIQRNEVDDVSQKVAKDVADFGYKRVETEKLSADSNKMKKEKLSSDYNKIKKENLSVDHKGFKIFLTSRNKEVLCNQMDVQERSTFPLGVLDQKEGEALLKKMAEISVTNSAFDDKVTEISKMCAGLPIALISIGKTLKNKSPYVWEDVCRQIERQNFTGGQEPIEFSAKLSYDHLKTEELKHIFLQCARMGSDFSIMDLVKFCIGFDMLQGVYTIRETKSRVNVLMEELTESSLLVKSYSNDCFNMHDIVRDVALSISSKEKHVFFMKNGKLNEWPHKDKLERYTTIVLHYCDIVELPESKYCSRLEVFHIDSKDDFLKIPDDLFKYMIELKVLILTGVNLSCLPSSITYLTNLKMLCLERCTLRNNLAIIGELRKLRILSLSGSNIECLPVELRQLDKLQLLDLSNCSQLRAIPSNMILGMNSLEEFYMRDDLILRETNEEIQSKNASLSELRHLKQLRSLDIHIPSVAHFPQNLFFDKLDSYKIIIGEINMLSVGEFKIPDKYEVVKFLALNLKDGINIHSEKWIKMLFKRVEYLLLGELNDVHDVFYELNVEGFPHLKHLFIVNNVGLLYIINSVKRFHPLLAFPKLESMCLYKLENLEKICDSQLTEASFCRLKIIKIKTCGQLESIFSFFMLSRLTMLETIEVCDCDSLKEIVYFEGEFDTVSDVQTDKIQFPQLRFLTLQSLPAFFGLYTNDKMPSISESSEDQMQNRELKEIGQDTNACFSLFNGKVAMPKLEFLELSSINIPQIWNEKSLHCFQSLLTLNVSDCGNLKYLLSLSMSESLVNLQSLFVSGCELMEDIFCAEDALHNIDILPKLKKMEINCMEKLSTLWQPYIGFHSFHSLDSLIIRECNKLETIFPSHTGEGFQSLQSVVITNCMSVETIFDFGNISQTCGTNVTNLHSVFLKGLPKLVHIWKVDTDEILNFSNLQSIVVYESKILKYLFPLSVAKGLEKLETLDVCNCWEMEEVVACDYQSNENLITFRFPQLNTLSLQHLFELRSFYLGPHDIEWPFLKKLFILFCGKLEETTNLQVKSIFLATEKVIHNLEYMSISLTEAEWLRDYIYSVHRMHKLQSLVLSGLENTEILFWLLHRLPNLESITLKNCLFEGVWASTNLAAHEKVGVVVQLKELIIDKLRYLQNIGFEHDLLLQRVERLVISECLNLKSLLPLSVSFSYLTYLEVSNCSGLRNLITSSTAMTLVQLTIMKVSLCQGIEKIVAEEEKTQVIEFRHLKAIELVSLPSLTCFCSSEKCDLKFPSLENLVVSDCLLMETFSEVQSAPNLRKIHVLVGEKDRWYWEGDLNSTLQKLSTDKVSFKHSKHLTITEDSELEEIWHSKAAFQDNYFHSLKTLVVMDITKDHVIPSHVLKNLEELEVESCGAVEVIFDVNDTATKKKGTVARLKKLTLTMLPNLSRVWKKNPQGIVSFPNLQEVSVFDCGQLASLFPLSLAINLFKLQTLEIQWCDNLVEIVEKDDAIEPGKAEMFKFPCLFSLLLYNLPLLACFYSGKHRLECHMLDVLDVSCCPMLKLFTSKFHDSYKEAVTESQQPLFLVEEVVPKLKELTVNEESIILLSHAHLPQDLFCRLNLLQLCFEDENNKKDTFPFHFLHKVPSLEHLQVYECFGLMEIFPSQTLQYHERILIRLRKLTLNNLPELDTIGLEHSWIKPYTEKLEVLKLEECPRLERLVFDVVSFSNLKQLAVDSCEEMKNLFTFSTAKSLVQLEILTVLNCESMKEIVKDEDEEAYEEIILGRLKTLKLNFLSRLVCFYSGNAMLQLPCLSTVTIVKCPEMKTFSEGGLNAPMFSGIKTSLKDSDFHFHNDLNSTVQWLHQHVSVEQSKHLTVADDSKLEKILHSKAAFQDNNFHSLKSLVVKDVTMDINHVIPSQVLPCLKSLEELQVQSCKAVGIIFEVNDIDTKKKGIVSRLKKLTLDTLPNLKCVWNKNPQGIVSFPNLQEVSVSDCGELTALFPSSLARNLVKLEELQIENCDKLVDIVGKDDEIELETTKVFKFPCLLFLILFRLPLLSCFYPGKHHLESPLLETLDVSYCPKLKLFTSEFHDSHKESVIEIQVSSTNTITHLQQPLFLIEKVVPKLKELSVNEENIILLSHANLPQDLFRKLNFLLLCQEDEDEEHRKDTLPFDFLRKVPGLEHLKLLGYFGLKEIFPSKKLRVHDKILSRLKHLTLDNLEELKSIGLEHPWVKPHSKRLESLELIECPKVVKLVSGAVSFMNMKWLHVTDCKRMEYLFTFSIAKSLVQLLDLSVQNCGSIKEIVKKENEDASREIIFGWVKTLNLDSLPLLGSFYSGNATLQFSRLKRVTISKCPSMKTFSQGDITAPFFCGVGSSIEDFDLTFHGDLNTTIKNLSHKQVEGDSVMESTDRGSSDDDNYTSE >Vigun04g124300.5.v1.2 pep primary_assembly:ASM411807v1:4:31564774:31569020:-1 gene:Vigun04g124300.v1.2 transcript:Vigun04g124300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRESEIECLEREWCEMLQDVKPPEEYPFDKQCIYKVPPKIRETNPKAYTPRIVSIGPYHRKAREVGEEDNSLEEMEELKLTYLKGFLNRTQLPMRELVLKIKELEEKIRNCYAAAIKCKSGDFLKMILVDACFIIELFLRWDEITGWVGKDPLIEEDWMRYDIKVDLLLLENQLPFFVLEELYNLMTGMTKHFLEITFKFFESVSFGKVCPRESPEHFTDLLRSSVISSSKLDLGRLEEFMDVKQVYSASQLMEAGLKFKISPNKSLLDLTYSKRDGALTMPILDIYDETEIVFRNIMAYEHCKFLCRKTISQYATILDFLINTEKDVNLLVDKKIIVNWTGDANKVVTMINNLCSHLILPGFNPHYFSLCNSLNAFYENPRNKRKAIFMHQYFNTPWKKASTISAIVILLFTFIQTLCSIISVFRAMLNVQVNKKMDHFKEGFTTLIV >Vigun04g124300.4.v1.2 pep primary_assembly:ASM411807v1:4:31564333:31569020:-1 gene:Vigun04g124300.v1.2 transcript:Vigun04g124300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRESEIECLEREWCEMLQDVKPPEEYPFDKQCIYKVPPKIRETNPKAYTPRIVSIGPYHRKAREVGEEDNSLEEMEELKLTYLKGFLNRTQLPMRELVLKIKELEEKIRNCYAAAIKCKSGDFLKMILVDACFIIELFLRWDEITGWVGKDPLIEEDWMRYDIKVDLLLLENQLPFFVLEELYNLMTGMTKHFLEITFKFFESVSFGKVCPRESPEHFTDLLRSSVISSSKLDLGRLEEFMDVKQVYSASQLMEAGLKFKISPNKSLLDLTYSKRDGALTMPILDIYDETEIVFRNIMAYEHCKFLCRKTISQYATILDFLINTEKDVNLLVDKKIIVNWTGDANKVVTMINNLCSHLILPGFNPHYFSLCNSLNAFYENPRNKRKAIFMHQYFNTPWKKASTISAIVILLFTFIQTLCSIISVFRAMLNVQVNKKMDHFKEGFTTLIV >Vigun04g124300.7.v1.2 pep primary_assembly:ASM411807v1:4:31564682:31569020:-1 gene:Vigun04g124300.v1.2 transcript:Vigun04g124300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRESEIECLEREWCEMLQDVKPPEEYPFDKQCIYKVPPKIRETNPKAYTPRIVSIGPYHRKAREVGEEDNSLEEMEELKLTYLKGFLNRTQLPMRELVLKIKELEEKIRNCYAAAIKCKSGDFLKMILVDACFIIELFLRWDEITGWVGKDPLIEEDWMRYDIKVDLLLLENQLPFFVLEELYNLMTGMTKHFLEITFKFFESVSFGKVCPRESPEHFTDLLRSSVISSSKLDLGRLEEFMDVKQVYSASQLMEAGLKFKISPNKSLLDLTYSKRDGALTMPILDIYDETEIVFRNIMAYEHCKFLCRKTISQYATILDFLINTEKDVNLLVDKKIIVNWTGDANKVVTMINNLCSHLILPGFNPHYFSLCNSLNAFYENPRNKRKAIFMHQYFNTPWKKASTISAIVILLFTFIQTLCSIISVFRGK >Vigun04g124300.6.v1.2 pep primary_assembly:ASM411807v1:4:31564333:31569020:-1 gene:Vigun04g124300.v1.2 transcript:Vigun04g124300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRESEIECLEREWCEMLQDVKPPEEYPFDKQCIYKVPPKIRETNPKAYTPRIVSIGPYHRKAREVGEEDNSLEEMEELKLTYLKGFLNRTQLPMRELVLKIKELEEKIRNCYAAAIKCKSGDFLKMILVDACFIIELFLRWDEITGWVGKDPLIEEDWMRYDIKVDLLLLENQLPFFVLEELYNLMTGMTKHFLEITFKFFESVSFGKVCPRESPEHFTDLLRSSVISSSKLDLGRLEEFMDVKQVYSASQLMEAGLKFKISPNKSLLDLTYSKRDGALTMPILDIYDETEIVFRNIMAYEHCKFLCRKTISQYATILDFLINTEKDVNLLVDKKIIVNWTGDANKVVTMINNLCSHLILPGFNPHYFSLCNSLNAFYENPRNKRKAIFMHQYFNTPWKKASTISAIVILLFTFIQTLCSIISVFRGK >Vigun11g211700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40672065:40675954:1 gene:Vigun11g211700.v1.2 transcript:Vigun11g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLVQLAAREANLLYGVEDRVQSLQYELQMIKELLNTTKRKKGMEHTVLNQIRDMAHVAEDVIDTFVAKVAIYKRRTILGKMLRGFAQARLLHHVADKIDNIKATLNEIRDNKDRYGAFKETNNQSAAEEEEEEKRVQSQLKKLRRNVEEEDVVGFVQESKDIIKRLLEGGSNRKAVSIIGMGGLGKTTLARKVYNSTQVEQHFMYRAWVYVSNECRVKDLLVGLLKHLMPNFEKQSRGNKKGKKGTGDIDSLNEEKLKIPVQNWSKRKMYLLMPNLEQQSRANKKGKKGTGDIDNLSEEELKILVRNCLERKRYLVVVDDLWKRQDWDELQEAFPDNNSGSRILITSRLKEAALHTANDVPHYLQFLSEEESWELFCKRVFRGEDCPSDLEALGKQMVQSCRGLPLSIIVLAGMLANKEKSHREWSKVVGHVNWYLTQDETQVKDIVLKLSYDNLPRRLKPCFLYLGLFPEDFEIPVTPLLRKWVAEGFIQYTRNRDPDDIAEDYLYELIDRSLVQVARVETNAGVKTCQVHDLLRDLCISESKEDKVFEVCTDQNILVPTKPRRLSIHSKMDHYISSSNNDHLCIRSLFFFGSDYYVDRREQKWLLKDFKLVRVLELAPKSCGKIPSNIGNLMHLRYLRIDSQHITFVPDSILNLCSLQTIDLGDWTQNDPISFPIKMWKLKHLRHLNTRGPIKLRGSCLGSGEKMWNLQTISPLVLNKQATSLIEKGTFPNIKRIGLNVVSGKGELSKLLQSLLLLRYLNKLVIVLRDSYDTTITVHEGVERKNGCKPQELFQSLGQFSSLTVLEIGNVMDLLTCALIFPPNITELTLSKIMYITDEGMNGLGNHAKLKILRLLGDLIFWPFNSYDLTCVGGSFPQLEVFQMEYLKVEKWNLGNGAMPRLQSLVINFCGKLDNLPNELWSLSDLKKVHVTKPSEQMTRILQNLEINNAVKLVTEDHPPEMDERDLNHLNYILKGFGYNDP >Vigun09g163700.1.v1.2 pep primary_assembly:ASM411807v1:9:33163774:33171785:1 gene:Vigun09g163700.v1.2 transcript:Vigun09g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYSILLLSILLAVSAAVSVAEPTPSAAYDRVLFPDRRCQKAVSENSTRRGAPPAAAECEVWSEACSEAVLSVARHSETVEWLRGIRRKIHANPELAFEEIETSRLVREELDLMEVSYRYPLAKTGIRAWIGTGGPPFVAIRADMDALPIQEAVEWEHRSKVAGKMHACGHDAHVAMLLGAAKILKTREHLLKGTVILIFQPAEEAGNGAKRMMQEGALEDVEAIFAAHVSHEHPTGIIGSRPGPLLAGCGFFRAVITGKKGFGVDPVLAASASVISLQGIVSRESNPLDSQVVSVTSFNGGDNLDMIPDTVVLGGTFRAFSNTSFYQLLERIEQVIVEQASVYRCWAEVDFFEKEYTIYPPTVNDERMYEHVKKVSIDLLGQKNFRVVPPMMGAEDFSFYSEVVPSAFFYIGIRNETLGSTHTGHSPYFMIDEDVLPIGAATHATIAERYLIQRA >Vigun01g098300.1.v1.2 pep primary_assembly:ASM411807v1:1:26493901:26496702:1 gene:Vigun01g098300.v1.2 transcript:Vigun01g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKIFLIYLTFFTLCCYAEQRSTLHINATEGAGRKIPDTFFGAFFEEINHAGAGGLWAELVRNRGFEEGGSTVPSNIYPWTMVGDESTIEISTDRSSCFDRNKVALRMNVLCHSSHAVGISNPGFWGMNIEKAHKYKVVFFVKASGSIDLDVSFVGSDNTKLASNSIRSFDRNVTEWTRMETVLLAKATDHNASLQITTTKKGVLWLDQVSAMPLDTFKGHGFRKDLAQRVADLKPTFFRFPGGCYVEGGYMRNAFRWKDSVGAWQERPGHFGDVWGYWTDDGFGYFEGLQFSEDIGALPVWVFNDGLSLTDEVDTSMIAPFIQEALDGLEFAKGSADSKWGSLRASMGHPDPFDLRIVAIGNEECAMSKYRENYLKFYDAIKQAYPDIQIISNCDASQKPLDHPADFYDFHIYTNAEDMFRKHTKFDDAPRYGPKAFVSEYAVWQSDAGNGTLLAAVAEAAFLIGLEKNSDVVHMVSYAPLFVNTNDRKWTPDAIVFDSHRSYGTPSYWIQQFFSSSSGATLLDSTLETSSEYIVASAIQYTNPAEKKKYLRIKVVNFDSDPHNFRFCISGLDSKVEASGATTTVMTGPNVKEENSFSEPNRIVPQHSSLEKASGDMNVVLAPYSLTSFDLLI >Vigun07g001700.1.v1.2 pep primary_assembly:ASM411807v1:7:146933:156305:-1 gene:Vigun07g001700.v1.2 transcript:Vigun07g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLLTAGKLSVYYSYEAFLEFGYMEIFISRETFGWTPQEAKMHVVTDYFSLSEWKVIAEVLGPNPRHLFELDALKQSNHHLKQTEDKASTFEDIVDAYIAYLQITVVNPAMDRALELLQKFAIDVHSGKISEDRLRFGAAWRHPPQIDDPKLHKEWAKLQLMDFVQSFANTGFAVNYRSDYSEEIFDDPSIVVLSQVGLLYAQRDPPFIRPISKGIQRCLVRWLVQQRMQLNSHNLMHFVWHRIIRGRYYRHLMVQIGYR >Vigun11g110600.2.v1.2 pep primary_assembly:ASM411807v1:11:31182776:31188792:1 gene:Vigun11g110600.v1.2 transcript:Vigun11g110600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSSFHFSTLTQSSQRNFTLSSNSPTFRFRVRFSCHYLGVRASSYASKMVVRCSSSSVTDPPTVSETKLNFLKEYKRPIPSIYNTVLQELIVQQHLMRYKRSYRYDAVFALGFVTVYEQLMEGYPSDEDRDAIFQAYIKALKEDPEQYRVDAKKLEEWARAQNPTSLVEFSSREGEVEGILKDIAERAGGKGDFSYSRFFAIGLFRLLELANAMEPTILEKLCAVLNVNKRSVDRDLDVYGNLLSKLVLNVNKRSVDRDLDVYVCVRVPMCVCVCPCTYVCVCTDKTNNFSIGDDMIMEYLRMHIILCL >Vigun11g110600.1.v1.2 pep primary_assembly:ASM411807v1:11:31182774:31190456:1 gene:Vigun11g110600.v1.2 transcript:Vigun11g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSSFHFSTLTQSSQRNFTLSSNSPTFRFRVRFSCHYLGVRASSYASKMVVRCSSSSVTDPPTVSETKLNFLKEYKRPIPSIYNTVLQELIVQQHLMRYKRSYRYDAVFALGFVTVYEQLMEGYPSDEDRDAIFQAYIKALKEDPEQYRVDAKKLEEWARAQNPTSLVEFSSREGEVEGILKDIAERAGGKGDFSYSRFFAIGLFRLLELANAMEPTILEKLCAVLNVNKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERAEPQKTNEAITQQQFSSL >Vigun11g110600.3.v1.2 pep primary_assembly:ASM411807v1:11:31182836:31188590:1 gene:Vigun11g110600.v1.2 transcript:Vigun11g110600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSSFHFSTLTQSSQRNFTLSSNSPTFRFRVRFSCHYLGVRASSYASKMVVRCSSSSVTDPPTVSETKLNFLKEYKRPIPSIYNTVLQELIVQQHLMRYKRSYRYDAVFALGFVTVYEQLMEGYPSDEDRDAIFQAYIKALKEDPEQYRVDAKKLEEWARAQNPTSLVEFSSREGEVEGILKDIAERAGGKGDFSYSRFFAIGLFRLLELANAMEPTILEKLCAVLNVNKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERAEPQKTNEAITQQQFSSL >Vigun04g170300.1.v1.2 pep primary_assembly:ASM411807v1:4:39449446:39456215:1 gene:Vigun04g170300.v1.2 transcript:Vigun04g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNRAFEKVKIMVGMDVEDEEQQAAALENSNSFAFVDDFNRNCTLSTTQRLYGFAICFAAGLTCTLLSMLVFFKPIKFAIAFTLGNLLSLGSTAFLIGPKRQVTMMLDPVRIYATSIYIASMIIALFCALYVHNKLLTFLALILEFGALVWYSLSYIPFARSMVSKIMASCFDTEF >Vigun04g170300.2.v1.2 pep primary_assembly:ASM411807v1:4:39449446:39456215:1 gene:Vigun04g170300.v1.2 transcript:Vigun04g170300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSNKPRHWKTATPSPLWMISTATAPCPPHSLTNKLSVCDQRLYGFAICFAAGLTCTLLSMLVFFKPIKFAIAFTLGNLLSLGSTAFLIGPKRQVTMMLDPVRIYATSIYIASMIIALFCALYVHNKLLTFLALILEFGALVWYSLSYIPFARSMVSKIMASCFDTEF >Vigun05g204000.2.v1.2 pep primary_assembly:ASM411807v1:5:39223796:39230203:-1 gene:Vigun05g204000.v1.2 transcript:Vigun05g204000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNDAQKQQLLHQGNGGGKTNGFIPSSFRALSSYLRIVSSGASTVARSAASVVASSVVDRDDVPDHDQVIWAGFDELEGEGGVIQQVLLLGYRSGFQVWHVDESNNVRDLVSRHDGPVSFMQMVPNPIASKRSEDNYANSRQLLVVCTDGFFVGGSNVQDGLATPNNGSILNSHEQMNGNYLPTTVRFYSMKSQSYVHVLKFRSVVYSVRCSSRVVAISQSTQIHCFEATTLIRAYILLTNPIVMSVPGSGGIGYGPLAVGPRWLAYSGSPVAVSNSGHVSGQHLMPSANFPSNGSLLAHYAKESSKHIATGIVSLGDMGYKKLSRYCSDNNGSFQTVNSGSKGTGTINGHSTDADNIGMVIVKDILSRNVIAQFRAHKSPISALSFDPSGTILVTASIQGHNINVFKIMPVQDNLSASDTGPSYVHLYKLQRGFTNAVIQDISFSDDSKWIMISSSRGTSHLFAINPQGGHVNILSYDDSFTTKNSGLGTTVNHSQGWSHSSAMQKPKQKSLVVAGPPITLSVVSRIRNGANGWRGSVTGAAAAATGRKTYLSGAIASSFRKYKSSEGNYSKYHMLVFSPTGSMIQYALRMLTSQDSGFASGLVPAYESLQQDDARLVVEPIQKWNICQSHSRREREDNIDIYGENGIADANKIYPEEVAEEHTISPKLSNGDIKVNPSLEEKNHLYISEAELQMHQAQTSLWGKPEIYFHSMLQEPNLMGEEAASKGEFEIERIPTCVIEARSKDLVPIFDYFQTPKLQQASLQASGNGRISSRSGDGDAVSELRNGIEGTDLDNHVVPSETESFVNNNDTFKPNTQHEIVNNRREHLDTEAQLMIVNSDRKHENEESF >Vigun05g204000.1.v1.2 pep primary_assembly:ASM411807v1:5:39223796:39230203:-1 gene:Vigun05g204000.v1.2 transcript:Vigun05g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNDAQKQQLLHQGNGGGKTNGFIPSSFRALSSYLRIVSSGASTVARSAASVVASSVVDRDDVPDHDQVIWAGFDELEGEGGVIQQVLLLGYRSGFQVWHVDESNNVRDLVSRHDGPVSFMQMVPNPIASKRSEDNYANSRQLLVVCTDGFFVGGSNVQDGLATPNNGSILNSHEQMNGNYLPTTVRFYSMKSQSYVHVLKFRSVVYSVRCSSRVVAISQSTQIHCFEATTLIRAYILLTNPIVMSVPGSGGIGYGPLAVGPRWLAYSGSPVAVSNSGHVSGQHLMPSANFPSNGSLLAHYAKESSKHIATGIVSLGDMGYKKLSRYCSDNNGSFQTVNSGSKGTGTINGHSTDADNIGMVIVKDILSRNVIAQFRAHKSPISALSFDPSGTILVTASIQGHNINVFKIMPVQDNLSASDTGPSYVHLYKLQRGFTNAVIQDISFSDDSKWIMISSSRGTSHLFAINPQGGHVNILSYDDSFTTKNSGLGTTVNHSQGWSHSSAMQKPKQKSLVVAGPPITLSVVSRIRNGANGWRGSVTGAAAAATGRKTYLSGAIASSFRKYKSSEGNYSKYHMLVFSPTGSMIQYALRMLTSQDSGFASGLVPAYESLQQDDARLVVEPIQKWNICQSHSRREREDNIDIYGENGIADANKIYPEEVAEEHTISPKLSNGDIKVNPSLEEKNHLYISEAELQMHQAQTSLWGKPEIYFHSMLQEPNLMGEEAASKGEFEIERIPTCVIEARSKDLVPIFDYFQTPKLQQARTSMDSKNDDQLLHNSLQASGNGRISSRSGDGDAVSELRNGIEGTDLDNHVVPSETESFVNNNDTFKPNTQHEIVNNRREHLDTEAQLMIVNSDRKHENEESF >Vigun05g204000.3.v1.2 pep primary_assembly:ASM411807v1:5:39223796:39230246:-1 gene:Vigun05g204000.v1.2 transcript:Vigun05g204000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNDAQKQQLLHQGNGGGKTNGFIPSSFRALSSYLRIVSSGASTVARSAASVVASSVVDRDDVPDHDQVIWAGFDELEGEGGVIQQVLLLGYRSGFQVWHVDESNNVRDLVSRHDGPVSFMQMVPNPIASKRSEDNYANSRQLLVVCTDGFFVGGSNVQDGLATPNNGSILNSHEQMNGNYLPTTVRFYSMKSQSYVHVLKFRSVVYSVRCSSRVVAISQSTQIHCFEATTLIRAYILLTNPIVMSVPGSGGIGYGPLAVGPRWLAYSGSPVAVSNSGHVSGQHLMPSANFPSNGSLLAHYAKESSKHIATGIVSLGDMGYKKLSRYCSDNNGSFQTVNSGSKGTGTINGHSTDADNIGMVIVKDILSRNVIAQFRAHKSPISALSFDPSGTILVTASIQGHNINVFKIMPVQDNLSASDTGPSYVHLYKLQRGFTNAVIQDISFSDDSKWIMISSSRGTSHLFAINPQGGHVNILSYDDSFTTKNSGLGTTVNHSQGWSHSSAMQKPKQKSLVVAGPPITLSVVSRIRNGANGWRGSVTGAAAAATGRKTYLSGAIASSFRKYKSSEGNYSKYHMLVFSPTGSMIQYALRMLTSQDSGFASGLVPAYESLQQDDARLVVEPIQKWNICQSHSRREREDNIDIYGENGIADANKIYPEEVAEEHTISPKLSNGDIKVNPSLEEKNHLYISEAELQMHQAQTSLWGKPESLT >Vigun08g016400.1.v1.2 pep primary_assembly:ASM411807v1:8:1382702:1385541:1 gene:Vigun08g016400.v1.2 transcript:Vigun08g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLELLIPVSSLSENASKSSTTSPTVTHNHTGQEALLKVIRSWASKKFVSGCVILLPIAITFYVTWGFIRFVDGFFSPIYNHLGINIFGLGFITSITFIFLVGIFMSSWLGVSLLTLGEWFIKKMPLVSYIYVASKQISVAISPDQSSNAFKEVAIIRHPRVGEYAIGFITSSVIIRNRDDEELYCVYVPTNHLYMGDIFLISPNDILRPNLSVREGIEIVISGGMSIPKILTIVDGHANFAPRKATYASKV >Vigun02g085900.1.v1.2 pep primary_assembly:ASM411807v1:2:24036839:24038813:-1 gene:Vigun02g085900.v1.2 transcript:Vigun02g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHVVMFPCPLQGHVRPMLKLAELLALHHLHVTFLNTQSSHNRLTRYGDIQSLSASYPTLHFNTIADCYSHGNHPGSGDTTMGDIILSTTLHAKPLLRNILLAHTPEIPKVTSIIQDGIFGSLSNDLASELGIRITILHFRTSSPCCFWPYFWLPNLFKTNELPIRGDEDMDRIISNMPGMENLLRCRDLPSFCRPGTKGNLSIDWVSFQTKQSLLADALILNTFEELDRLVLSQIRLHFPKVYTLGPLHHHLNVRKAETNEANDTPSIRNSFFEEDKSCMAWLDAQAQGSVLYVSFGSSTVVTRQELMEFWHGLVNSKKRFLWVMRPDLVVGRENGDRIPEELEEGTKERGFMVEWAPQEEVLAHKAIGGFLTHSGWNSTLESLVAGVPMICWPYFADQQVNSRFVSDVWKVGLDMKDVCDRDVVEKMVNDIMVHRRDEFLKSAQAMAVLAHQSVSPGGSSHSSMQELIPYIKSVSKENN >Vigun09g183000.3.v1.2 pep primary_assembly:ASM411807v1:9:35667020:35672922:1 gene:Vigun09g183000.v1.2 transcript:Vigun09g183000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVPKGRISRRSMTCLCLTHSLTQTHNPFASSLHNNRNPLFQFVSGILRPSIRPFSTNFKGGPTPAASSSALMANFSSDSVTYLTQRDAAEIDETLMGPLGFSVDQLMELAGLSVAASISEVYKPTEHSRVLTICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTPKPLYSGLVTQLEALSIPFLSVEELPSDLSNEFDILVDAMFGFSFHGSPRPPFDDLIQRLVSLHNINEIDQKRPVIVSVDIPSGWHVEEGDVDGTGIKPDMLVSLTAPKLGAKKFGGPHHFLGGRFVPPAIAEKYKLVLPPYPGTSMCVRIGKPPQIDISALRENYISPEFLEEQVETDPINQFRKWFDDAMAAGLKEPNAMALSTVGKDGKPSSRMVLLKGFEKDGFVWYTNYESRKARELSENPRASLLFYWDGLNRQVRVEGPVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYQEYEELVQKYADGSTIPRPNWGGYRLTPQLFEFWQGQKSRLHDRLQYTPYEINGQRLWKIERLAP >Vigun09g183000.1.v1.2 pep primary_assembly:ASM411807v1:9:35666991:35672938:1 gene:Vigun09g183000.v1.2 transcript:Vigun09g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVPKGRISRRSMTCLCLTHSLTQTHNPFASSLHNNRNPLFQFVSGILRPSIRPFSTNFKGGPTPAASSSALMANFSSDSVTYLTQRDAAEIDETLMGPLGFSVDQLMELAGLSVAASISEVYKPTEHSRVLTICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTPKPLYSGLVTQLEALSIPFLSVEELPSDLSNEFDILVDAMFGFSFHGSPRPPFDDLIQRLVSLHNINEIDQKRPVIVSVDIPSGWHVEEGDVDGTGIKPDMLVSLTAPKLGAKKFGGPHHFLGGRFVPPAIAEKYKLVLPPYPGTSMCVRIGKPPQIDISALRENYISPEFLEEQVETDPINQFRKWFDDAMAAGLKEPNAMALSTVGKDGKPSSRMVLLKGFEKDGFVWYTNYESRKARELSENPRASLLFYWDGLNRQVRVEGPVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYQEYEELVQKYADGSTIPRPNWGGYRLTPQLFEFWQGQKSRLHDRLQYTPYEINGQRLWKIERLAP >Vigun09g183000.2.v1.2 pep primary_assembly:ASM411807v1:9:35667020:35672906:1 gene:Vigun09g183000.v1.2 transcript:Vigun09g183000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVPKGRISRRSMTCLCLTHSLTQTHNPFASSLHNNRNPLFQFVSGILRPSIRPFSTNFKGGPTPAASSSALMANFSSDSVTYLTQRDAAEIDETLMGPLGFSVDQLMELAGLSVAASISEVYKPTEHSRVLTICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTPKPLYSGLVTQLEALSIPFLSVEELPSDLSNEFDILVDAMFGFSFHGSPRPPFDDLIQRLVSLHNINEIDQKRPVIVSVDIPSGWHVEEGDVDGTGIKPDMLVSLTAPKLGAKKFGGPHHFLGGRFVPPAIAEKYKLVLPPYPGTSMCVRIGKPPQIDISALRENYISPEFLEEQVETDPINQFRKWFDDAMAAGLKEPNAMALSTVGKDGKPSSRMVLLKGFEKDGFVWYTNYESRKARELSENPRASLLFYWDGLNRQVRVEGPVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYQEYEELVQKYADGSTIPRPNWGGYRLTPQLFEFWQGQKSRLHDRLQYTPYEINGQRLWKIERLAP >Vigun06g155000.2.v1.2 pep primary_assembly:ASM411807v1:6:27873774:27876498:1 gene:Vigun06g155000.v1.2 transcript:Vigun06g155000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAKDMGIDDKVKDNEVAGDDDASRVHEGKAGTDHEESADEGGGTLGRYKSESSVAATEDEDDDEERKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINSVGESLEPSVKILSLAIKAADRPDIVLAIPEGGNPKGMWFTLKEGSRYSLMFTFLVEKNIVSGLKYTNTVWKTGMKVDSTKEMIGTFSPQAEPYTHEMPEETTPSGMFARGAYTARIC >Vigun06g155000.1.v1.2 pep primary_assembly:ASM411807v1:6:27873774:27876498:1 gene:Vigun06g155000.v1.2 transcript:Vigun06g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAKDMGIDDKVKDNEVAGDDDASRVHEGKAGTDHEESADEGGGTLGRYKSESSVAATEDEDDDEERKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINSVGESLEPSVKILSLAIKAADRPDIVLAIPEGGNPKGMWFTLKEGSRYSLMFTFLVEKNIVSGLKYTNTVWKTGMKVDSTKEMIGTFSPQAEPYTHEMPEETTPSGMFARGAYTARSKFVDDDNKCYLEINYTFDIRKDWQ >Vigun02g077600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23000939:23002098:-1 gene:Vigun02g077600.v1.2 transcript:Vigun02g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVQPQTQPLMQQQQQPVQVYPTSVTYQSPPHHSNGSFGSVFVVLAIIVVISAVACCLGRLCNRRSHSHSHSQKHAKPQKQHQQQQNHTNFRQKEVDIEFGFDKRIAASKPNGHGAGRGMKPHPHGDMKSFEMKLGHQGKIRPGP >Vigun05g160300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25603171:25603689:-1 gene:Vigun05g160300.v1.2 transcript:Vigun05g160300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKDLSIDKNTQIRNIILCKEYVDYIKSKRQQFFSFTMGKDTIANIITYIRNADMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFFNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGEVLCYIW >Vigun09g112800.1.v1.2 pep primary_assembly:ASM411807v1:9:24665059:24681908:-1 gene:Vigun09g112800.v1.2 transcript:Vigun09g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQVGGKVVDRVDLLRKKQLPWRLDVWPFAILYGAWLSVILPSLDFVDAAIVLGALFALHILVWLFTGWSVDFKCFAHYSKAKNIDQADSCKITPAKFSGSKEVVPLHSRKSSAGSSSAVDLEENYFDFRKQCFVYSKEKGTFCKLSYPTKETFGYYIKCSGHGSEAKVLAATEKWGRNVFDYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLTELRRVRVDSQILMVHRCGKWVKLSGTDLLPGDVVSIGRSSSQNGEEKSVPADMLILAGSVIVNEAILTGESTPQWKISIAGRGLEEKLSAKRDKSHVLFGGTKILQHTPDKSFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVLVKGLEDPTRSKYKLILSCSLIVTSVIPPELPMELSIAVNTSLIALARRGVFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNGTTDLESDTSRVPVRTVEILASCHALVFVENKLVGDPLEKAALKGIDWSYKSDDKAVPKRGNGHPVQIVHRYHFSSHLKRMAVVVRIQDDFFSFVKGAPEVIQDRLIEVPPSYVETYKMYTRQGSRVLALAYKSLADMTVSEARSMDRDIVESGLTFAGFVVFNCPIRSDSATVLSELKESSHDLVMITGDQALTACHVASQVHIISKPTLILGPARNGEGYNWVSPDETENIRYSEKEVESLSETHDLCIGGDCIEMLQQTSAHLVVIPHVKVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQAHVGIALLNAIPPTQSGNSSSDSSKEEGSKSVKQKKSKSALETSGKSAGEGSSKSKVASKSDSSSHSSGNRHQAAVEMQRQKLKKMIDELNEEGDGRAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPSLSAERPHPNIFCAYVFLSLLGQFSIHLLFLISSVKEAEKYMPDECIEPDADFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENRPFRYALVAAVIFFTVITSDLFRELNDWLKLVPLPVGLRDKLLIWAFLMFLVCYSWERLLRWAFPGKIPAWKRRQRLAVSNLDKKKQV >Vigun05g227900.2.v1.2 pep primary_assembly:ASM411807v1:5:42087224:42091010:-1 gene:Vigun05g227900.v1.2 transcript:Vigun05g227900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHNLVALFLLLLHPVVLSGPTNGIIRFALKKNKFDQSKILKRHTGEEGTTVRDENSDDISNIRLKNYMNAQYFGQIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYLHSRYKSSQSGSYKRNGSSAEIHYGTGQISGFFSRDHVKIGDLNVYGQDFIEATREPSLTFLAAKFDGILGLGFQEISVGNAAPIWYNMLHQKLVAQPVFSFWLNRNSDEEQGGEIVFGGVDSDHYKGEHTYVPVTHKGYWQIEIGDVLIDNLTTGFCASKCSAIVDSGTSLLAGPTGVIAQINHAIGAVGLVSQDCKAVVAQYGKTILDKLINKALSQQICSQIGLCAFDGTQGVSKGIQSVVDKNKAKTSYSWNDAGCTACEMAVVWMKNRLRLNETEDQILDYANSLCDMLPSPNGESTVECSTLSKMPNVSFTIGGKVFELSPEQYILKVGKGATAQCISGFIALDIAPPRGPLWILGDIFMGRYHTVFDYGNMKVGFAESA >Vigun05g227900.3.v1.2 pep primary_assembly:ASM411807v1:5:42087224:42091010:-1 gene:Vigun05g227900.v1.2 transcript:Vigun05g227900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHNLVALFLLLLHPVVLSGPTNGIIRFALKKNKFDQSKILKRHTGEEGTTVRDENSDDISNIRLKNYMNAQYFGQIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSGCVQVACYLHSRYKSSQSGSYKRNGSSAEIHYGTGQISGFFSRDHVKIGDLNVYGQDFIEATREPSLTFLAAKFDGILGLGFQEISVGNAAPIWYNMLHQKLVAQPVFSFWLNRNSDEEQGGEIVFGGVDSDHYKGEHTYVPVTHKGYWQIEIGDVLIDNLTTGFCASKCSAIVDSGTSLLAGPTGVIAQINHAIGAVGLVSQDCKAVVAQYGKTILDKLINKALSQQICSQIGLCAFDGTQGVSKGIQSVVDKNKAKTSYSWNDAGCTACEMAVVWMKNRLRLNETEDQILDYANSLCDMLPSPNGESTVECSTLSKMPNVSFTIGGKVFELSPEQYILKVGKGATAQCISGFIALDIAPPRGPLWILGDIFMGRYHTVFDYGNMKVGFAESA >Vigun05g227900.1.v1.2 pep primary_assembly:ASM411807v1:5:42087224:42090881:-1 gene:Vigun05g227900.v1.2 transcript:Vigun05g227900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHNLVALFLLLLHPVVLSGPTNGIIRFALKKNKFDQSKILKRHTGEEGTTVRDENSDDISNIRLKNYMNAQYFGQIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYLHSRYKSSQSGSYKRNGSSAEIHYGTGQISGFFSRDHVKIGDLNVYGQDFIEATREPSLTFLAAKFDGILGLGFQEISVGNAAPIWYNMLHQKLVAQPVFSFWLNRNSDEEQGGEIVFGGVDSDHYKGEHTYVPVTHKGYWQIEIGDVLIDNLTTGFCASKCSAIVDSGTSLLAGPTGVIAQINHAIGAVGLVSQDCKAVVAQYGKTILDKLINKALSQQICSQIGLCAFDGTQGVSKGIQSVVDKNKAKTSYSWNDAGCTACEMAVVWMKNRLRLNETEDQILDYANSLCDMLPSPNGESTVECSTLSKMPNVSFTIGGKVFELSPEQYILKVGKGATAQCISGFIALDIAPPRGPLWILGDIFMGRYHTVFDYGNMKVGFAESA >Vigun02g113600.1.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKQGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKYHNSSHKDYAVYSKVVLVFKYLTYALLLMWFWRVPVASVHRQLVQPFGSLLSWKSGGVQSNSAPIGIISWLVVSARVCRFVRRAYSK >Vigun02g113600.3.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKQGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKYHNSSHKDYAVYSKVVLVFKYLTYALLLMWFWRVPVASVHRQLVQPFGSLLSWKSGGVQSNSAPIGIISWLVVSARVCRFVRRAYSK >Vigun02g113600.7.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKLFNICIAAYVVLACSCG >Vigun02g113600.8.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKQGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKLFNICIAAYVVLACSCG >Vigun02g113600.2.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKYHNSSHKDYAVYSKVVLVFKYLTYALLLMWFWRVPVASVHRQLVQPFGSLLSWKSGGVQSNSAPIGIISWLVVSARVCRFVRRAYSK >Vigun02g113600.5.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKWSLLSWKSGGVQSNSAPIGIISWLVVSARVCRFVRRAYSK >Vigun02g113600.6.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKQGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKLFNICIAAYVVLACSCG >Vigun02g113600.4.v1.2 pep primary_assembly:ASM411807v1:2:26747967:26752119:1 gene:Vigun02g113600.v1.2 transcript:Vigun02g113600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGSDERERLLAAPFIFLIVAAFQFAYYCLDKVAKQGGSHRETEARLREEIKQLSKEASLMSQPSTFAQAAKLKRLANAKEKELSKWSLLSWKSGGVQSNSAPIGIISWLVVSARVCRFVRRAYSK >Vigun06g204600.1.v1.2 pep primary_assembly:ASM411807v1:6:31887395:31889135:1 gene:Vigun06g204600.v1.2 transcript:Vigun06g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSPNFSISNHNSSVILFRNQNLKVPKVTKLKVSCNGDGDPGSKKEKGFVFDRRNVLIGIGSLYGTLSRDTLSLAAPISPPELTKCGPPDLPAGAKPINCCPPISSNIIDFTFPKNPQVKVRPAGHLVDATYLRNYEEALRRMKALPLNDPRNFTQQANIHCAYCDGAYHQVGFPDLDFQVHSSWLFFPFHRWTLTQIFALLFWNWDSPNGMPIPPMYTNSKSPLYDSLRNINHKPPKLVDLDYNGVEHIGSTQQQISTNLTTMYRQLVSSSKTPTLFFGSAYRGGEDSDPGGGTVENIPHGPVHVWIGDNTQPNLEDMGSLYSAARDPIFYSHHANVDRMWTIWKTLGGKRSDITDPDWLESGFLFYDEKKNLIRVKVKDCLDTTKLGYVYQDVDVPWLEAKPTPRLRRTVAMNFGGGAALAAETSKTTKFPVVLDSSVSTIVKRPKKSRNEREKEEEEEVLVIEGIEFERELGVKFDVYINDEDDVAGGPSKAEFAGSFMNVAHKHKHKHKKMKTNLRLGISELLEDLDAEDDEHVLVTLVPKFGKGHVTVGGITIEFHK >Vigun02g167800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31150905:31152269:1 gene:Vigun02g167800.v1.2 transcript:Vigun02g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWMKSLQCKSRAYEDVYHPNSKNLIPSSSCRKSVQNIKDVVVDTTKPRPKSKPKPRPEKPLQKHPSSRYPPSAAKPDFETTINRSRSVTATATRHADPRFPSLTELTEGHPSRNVVEIIFHTSWGPKPFSGRVEMIFKVHSGPRTVSRFEEYRETVKGRAGSGTGPAHDYEENARCVADGNEVMRFHCLGPTSSGGPYGGACALSFPGGKGSAICTFAGSGDAHESSGGGRGRRAMLVCRVVAGRVSKQNGFMESLLDGRVGFDSVSGDNGELLVFDSRAVLPCFLIIYKL >Vigun03g265400.1.v1.2 pep primary_assembly:ASM411807v1:3:43520699:43524826:-1 gene:Vigun03g265400.v1.2 transcript:Vigun03g265400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSICALCPSVPSHLTKTSLPLSQRSKCEMSRRTFAVKGIVASGVSVASSTLTAEAQPSSKGFERLPYKAEGYNYWTWRGHKIHYVVQGEGSPIVLIHGFGASAFHWRYNIPELAKKHKVYAIDLLGFGWSDKALIDYDAMVWRDQVVDFVTEIVKEPAVLVGNSLGGFTALVAAIGLPELVNGVALLNSAGQFGDEKRESKTSEETALQKFVLKPLKEAFQRVVLGFLFWQAKQPARIVSVLKSVYINSSNVDDYLVESISRPAQDPNAGEVYYRLMTRFMMNQSKYTLNAVLSELSCPLLLLWGDLDPWVGPGKANRIKEFYPKTTLVNLQAGHCPHDETPELVNKALLDWLTTLTPEVTLQTV >Vigun03g265400.2.v1.2 pep primary_assembly:ASM411807v1:3:43520699:43524826:-1 gene:Vigun03g265400.v1.2 transcript:Vigun03g265400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTFAVKGIVASGVSVASSTLTAEAQPSSKGFERLPYKAEGYNYWTWRGHKIHYVVQGEGSPIVLIHGFGASAFHWRYNIPELAKKHKVYAIDLLGFGWSDKALIDYDAMVWRDQVVDFVTEIVKEPAVLVGNSLGGFTALVAAIGLPELVNGVALLNSAGQFGDEKRESKTSEETALQKFVLKPLKEAFQRVVLGFLFWQAKQPARIVSVLKSVYINSSNVDDYLVESISRPAQDPNAGEVYYRLMTRFMMNQSKYTLNAVLSELSCPLLLLWGDLDPWVGPGKANRIKEFYPKTTLVNLQAGHCPHDETPELVNKALLDWLTTLTPEVTLQTV >Vigun03g262100.1.v1.2 pep primary_assembly:ASM411807v1:3:42930206:42934307:1 gene:Vigun03g262100.v1.2 transcript:Vigun03g262100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGREMEEIVRDLREYFKTEKTKSVTWRKNQLTGILDLIHENEDAIFKALHLDLGKHPAEAYRDEVGGVEKSANKSLSCVQKWMAPKKSDIPLLFFPAKGEVFSEPLGVVLIFSSWNFPIMLSLDPLIGAISAGNVVVIKPSEQAPACSEFLANTIPQYLDSNAIKVIEGGSTVCEQLLQQKWDKIFFTGSPSVGSMVMSAAAKNLTPVTLELGGKCPAILDSLPNPSEFKLAVKRIIGGKWGPCSGQACIGIDYLLVEENLSYSLIELLKKIIRRFYGENPAESKVISRIVNKHHFERIRNLLKEPLVAASIVHGGLIDEENLFIEPTILLDPPLDSQIMTEEIFGPLLPIITLNKIQESIEFINAKPKPLAIYAFTKDETFKRKIVSETSSGSVIFNDTLVQFLCDTLPFGGVGQSGFGRYHGKYSFDTFSHEKAVMHRKLFLEIEPRYPPWSRFKLEFLKLAYRLNYFGLLLHMLAFKLGLKKYN >Vigun05g006200.1.v1.2 pep primary_assembly:ASM411807v1:5:520853:524403:-1 gene:Vigun05g006200.v1.2 transcript:Vigun05g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVLTPLLLILPFLLLKLLYDNLSCYWFTPLRIKKIMEMQGVRGPKPSSFTGNILDMASLVSKTTSQDMKTISHDIVGRLLPHFLLWSSQFGKRFLYWNGTEPRLCLTETELIKEFLSKYSTVSGKSWQQRQGSKNFIGQGLLMANGEDWYHQRHIVAPAFMGDRLKSYAGHMVECTKEMLQSLKIALECGQTEVEIGHYMTKLTADIISRTEFGTSYQKGKKIFHLLTLLQSRCAQASRHLCFPGSRFFPSKYNREIKCLKMEVETLLMEIIQSRKDCVEIGRSNSYGNDLLGMLLNEMQKKKGNGNSINLQLVMDQCKTFFFAGHETTALLLTWTVMLLASNTSWQDKVREEVKNVCNGEIPSLDQLSKLTLLHMVINESLRLYPPASVLPRMVFEDIVLGDLYIPKGLSIWIPVLAIHHSEKLWGKDANEFNPERFSSKSFVPGRFLPFASGPRNCVGQAFALMEAKMILAMLISRFSFTISENYRHAPVVVLTIKPKYGVQVCLKPLEA >Vigun05g006200.2.v1.2 pep primary_assembly:ASM411807v1:5:520853:524403:-1 gene:Vigun05g006200.v1.2 transcript:Vigun05g006200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEDWYHQRHIVAPAFMGDRLKSYAGHMVECTKEMLQSLKIALECGQTEVEIGHYMTKLTADIISRTEFGTSYQKGKKIFHLLTLLQSRCAQASRHLCFPGSRFFPSKYNREIKCLKMEVETLLMEIIQSRKDCVEIGRSNSYGNDLLGMLLNEMQKKKGNGNSINLQLVMDQCKTFFFAGHETTALLLTWTVMLLASNTSWQDKVREEVKNVCNGEIPSLDQLSKLTLLHMVINESLRLYPPASVLPRMVFEDIVLGDLYIPKGLSIWIPVLAIHHSEKLWGKDANEFNPERFSSKSFVPGRFLPFASGPRNCVGQAFALMEAKMILAMLISRFSFTISENYRHAPVVVLTIKPKYGVQVCLKPLEA >VigunL059102.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000635.1:34546:35058:1 gene:VigunL059102.v1.2 transcript:VigunL059102.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPTITTTTTLSSTTTAIKTYITITTTATTTTITTTTSTTTTTTMATIATTAMATTITTTTATTTTTPTTVTTRTPTTTTTATTITTTITIITTTTTTIATTATTTITTTTATTTITTVTTTTTTMTTITTTTRSTTTTPQPPPPPPHPRKPPPRSPSVPPRPSPPP >Vigun01g168100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34976640:34978482:1 gene:Vigun01g168100.v1.2 transcript:Vigun01g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLLGVENLQNQQNPPHPLHHPQMVSYASHHDNETHQQHPPQSIKHGYNYSAGKTNKLQITLSDEDEPGFTAEDSCDPKRKMSPWHRMKWTDTMVRLLIMAVYYIGDEAGSEGADPTKKKATGLLQKKGKWKSVSRGMMEKGYYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQTLLDTMDLSPKMKEEVRKLLNSKHLFFREMCTYHNSCGHNNNCGTSNVSEAQPQHQQQQQRCLHSSENGVGGLRMLKEDEDEDIEEEDSEDFSDEEEEESGEGASRGMEEDENDVMRKRARKGGLGVLSSSSSISSQAMMQQLNGEVSGVLADVGKSAWEKKHWMKRRVVQLEEQQVSYQMQAFELEKQRLKWARFSSKKEREMERDKLQNERRRLEIERMVLLIRQKELELVNVHQQQQQQQHSST >Vigun01g246500.1.v1.2 pep primary_assembly:ASM411807v1:1:41461604:41466035:1 gene:Vigun01g246500.v1.2 transcript:Vigun01g246500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRFNATFIPLCVSSPSSLAQTSDNATCGSPAWIGKGLTCVCFKRKGNCQRFCISLTPLQEERLKRLQRRMKVYFDGSRLDHQEALRALWSASFPGQELQSLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKGQGGKEAVWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRAVFLQMLSENEWAFDLLYCVAFVVMDKQWQEKNATYMEFNDVLKSTRVQLERELLMDDVLRIEDMPSYNLLC >Vigun01g246500.6.v1.2 pep primary_assembly:ASM411807v1:1:41461604:41466035:1 gene:Vigun01g246500.v1.2 transcript:Vigun01g246500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKLITIEILPIHIFLAFFCKILIRNFFQEQEALRALWSASFPGQELQSLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKGQGGKEAVWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRAVFLQMLSENEWAFDLLYCVAFVVMDKQWQEKNATYMEFNDVLKSTRVQLERELLMDDVLRIEDMPSYNLLC >Vigun01g246500.3.v1.2 pep primary_assembly:ASM411807v1:1:41462396:41466035:1 gene:Vigun01g246500.v1.2 transcript:Vigun01g246500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPDWLYTDNATCGSPAWIGKGLTCVCFKRKGNCQRFCISLTPLQEERLKRLQRRMKVYFDGSRLDHQEALRALWSASFPGQELQSLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKGQGGKEAVWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRAVFLQMLSENEWAFDLLYCVAFVVMDKQWQEKNATYMEFNDVLKSTRVQLERELLMDDVLRIEDMPSYNLLC >Vigun01g246500.5.v1.2 pep primary_assembly:ASM411807v1:1:41461604:41466035:1 gene:Vigun01g246500.v1.2 transcript:Vigun01g246500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRFNATFIPLCVSSPSSLAQTSDNATCGSPAWIGKGLTCVCFKRKGNCQRFCISLTPLQEALRALWSASFPGQELQSLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKGQGGKEAVWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRAVFLQMLSENEWAFDLLYCVAFVVMDKQWQEKNATYMEFNDVLKSTRVQLERELLMDDVLRIEDMPSYNLLC >Vigun04g058500.2.v1.2 pep primary_assembly:ASM411807v1:4:5808966:5828177:-1 gene:Vigun04g058500.v1.2 transcript:Vigun04g058500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDTSDAGAAAAFSGSRGIGLSNTVHSELAACLPLPSLPVFCGASDQDLRLVDSPARLNRVDVLAQSGKIAELLRHTDVSYLNLRDEAKGVPYIYVEPLELHDEVLRCNPEAFEYSTAGPVKEQISGSAVPEKRQSESSFSVPSQTQKDYNATHSRQLDNFSTNDISTLSSKKSKVKKKGGDGISIAPDSAELQGAHIQKFCELLEDLCSKSELNSDDRDEAEWLSLPLSDLRLLVNEITSIREKKLLHLVPIEVLVRLLKVLDHQIHRAEGLSIEECDNSSDSELVSSVFIALESIHAALAVMAHTDMPKQLYKEEIIERILEFSRRQIMDVMCACDPSYRALHRPGENTGFEDDYEENDVEFGSASKKRRTNKTLKLKKSASSRVSTAVNTILQKLCTVLGLLKDLLLIERLSDSCILQLVKTSITTFLVDNIQLLQLKAISLLSAIFYLYIQHRIYVIDEMVQLLWKLPYSKRALRSYHVREEEPRQIQMVTALLIHLIHCSANLPDALRKASNGNSVLEASVDASTPIKSHEAVTEACCLFWSRVLQRFASVKTQDASELKSIIENLVTDLLTTLNLPEYPASAPILEVLCVLLLQNAGPKSKDVSARTMAIDILGIIAARLKRDALVCSQEKLWILQDFLSPDAHAEHQAKDICCVCLGGRVENLFTCHGCQRLFHADCLGIKEHEISSRNWSCQTCICHKQLLVLQSCCNSQNKNNLKKNSKASKDSEVSMHDIVQQLLLNYLQDVTSPDDLHLFICWFYLCLWYKDDSNCEQKSIYYIARMKSKIIVRDSGAVSSMLTRDSIKKITLALGQNSSFCRGFDKILHTLLASLRENSPVIRAKALKAVSIIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGFKYFEKIAERIKDTGVSVRKRAIKIIRDMCTSDANFSGFTRACTEIITRVSDDEASIQDLVCKTFSEFWFEEPHGSQTQSFGDGSTVPLEIVKKTEQIVQMLRGMPNNQLLVTVIKRNLALDFLPQSAKATGINPVSLATVRKRCELMCKCLLEKMLQVEEMNSDEVEVRALPYVLLLHAFCLVDPTLCAPASNPSQFVVTLQPYLKTQVDNRMVAQLLESILFIIDAVLPMLGKLPPIIVGELEQDLKQMIVRHSFLTVVHACIKCLCSASKMSGKGAVVVEQLVQFFFKCLDTQAVDNKQKVGRSLFCLGLLIRYGNRLLANSSNKLVDFGSSVRLFIKHLSAEDFVVKVRSLQALGFVLIARPEYMLESYVGKILEETLSSAADTRLKIQGLQNMYEYLLDAESQMGTDKGDDNVAGYTVGAGQIVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEQVRQSALKIVEIVLRQGLVHPITCVPYLIALETDPLESNSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFMFMQSISGGSENVDTKIQSKMPISGKGKPEAGPLAQAKLGVSRIYKLIRGNRVSRNKFLSSIVRKFDNPRWNKLVIAFLTYCTEVLALLPFISPDEPLYLIYAINRIVQVRAGPLEANFKAWSSSISNYGTPYGNGMHQQAPEESTVTTQVMSVDLNGSIQQNIDAHLNSNDLRSLDLNGSNHQQLDYSYMGSPDTNPHSTGYTDFYFSKDDLEKVQADCLSAIALQLLLKLKRHLKIMYSLDDARCQAYSPTELPKPGEVISRQNIGFSIGESQFSLPTTPQELVQRYQEFKHALREDTVDYSHYTANIKRKRPTSTPRKVQARKAVYVSSGNYNEEDDDADYSGGSTMRHITFSGSRRSSLRSSRQY >Vigun04g058500.1.v1.2 pep primary_assembly:ASM411807v1:4:5808966:5828177:-1 gene:Vigun04g058500.v1.2 transcript:Vigun04g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDTSDAGAAAAFSGSRGIGLSNTVHSELAACLPLPSLPVFCGASDQDLRLVDSPARLNRVDVLAQSGKIAELLRHTDVSYLNLRDEAKGVPYIYVEPLELHDEVLRCNPEAFEYSTAGPVKEQISGSAVPEKRQSESSFSVPSQTQKDYNATHSRQLDNFSTNDISTLSSKKSKVKKKGGDGISIAPDSAELQGAHIQKFCELLEDLCSKSELNSDDRDEAEWLSLPLSDLRLLVNEITSIREKKLLHLVPIEVLVRLLKVLDHQIHRAEGLSIEECDNSSDSELVSSVFIALESIHAALAVMAHTDMPKQLYKEEIIERILEFSRRQIMDVMCACDPSYRALHRPGENTGFEVDDYEENDVEFGSASKKRRTNKTLKLKKSASSRVSTAVNTILQKLCTVLGLLKDLLLIERLSDSCILQLVKTSITTFLVDNIQLLQLKAISLLSAIFYLYIQHRIYVIDEMVQLLWKLPYSKRALRSYHVREEEPRQIQMVTALLIHLIHCSANLPDALRKASNGNSVLEASVDASTPIKSHEAVTEACCLFWSRVLQRFASVKTQDASELKSIIENLVTDLLTTLNLPEYPASAPILEVLCVLLLQNAGPKSKDVSARTMAIDILGIIAARLKRDALVCSQEKLWILQDFLSPDAHAEHQAKDICCVCLGGRVENLFTCHGCQRLFHADCLGIKEHEISSRNWSCQTCICHKQLLVLQSCCNSQNKNNLKKNSKASKDSEVSMHDIVQQLLLNYLQDVTSPDDLHLFICWFYLCLWYKDDSNCEQKSIYYIARMKSKIIVRDSGAVSSMLTRDSIKKITLALGQNSSFCRGFDKILHTLLASLRENSPVIRAKALKAVSIIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGFKYFEKIAERIKDTGVSVRKRAIKIIRDMCTSDANFSGFTRACTEIITRVSDDEASIQDLVCKTFSEFWFEEPHGSQTQSFGDGSTVPLEIVKKTEQIVQMLRGMPNNQLLVTVIKRNLALDFLPQSAKATGINPVSLATVRKRCELMCKCLLEKMLQVEEMNSDEVEVRALPYVLLLHAFCLVDPTLCAPASNPSQFVVTLQPYLKTQVDNRMVAQLLESILFIIDAVLPMLGKLPPIIVGELEQDLKQMIVRHSFLTVVHACIKCLCSASKMSGKGAVVVEQLVQFFFKCLDTQAVDNKQKVGRSLFCLGLLIRYGNRLLANSSNKLVDFGSSVRLFIKHLSAEDFVVKVRSLQALGFVLIARPEYMLESYVGKILEETLSSAADTRLKIQGLQNMYEYLLDAESQMGTDKGDDNVAGYTVGAGQIVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEQVRQSALKIVEIVLRQGLVHPITCVPYLIALETDPLESNSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFMFMQSISGGSENVDTKIQSKMPISGKGKPEAGPLAQAKLGVSRIYKLIRGNRVSRNKFLSSIVRKFDNPRWNKLVIAFLTYCTEVLALLPFISPDEPLYLIYAINRIVQVRAGPLEANFKAWSSSISNYGTPYGNGMHQQAPEESTVTTQVMSVDLNGSIQQNIDAHLNSNDLRSLDLNGSNHQQLDYSYMGSPDTNPHSTGYTDFYFSKDDLEKVQADCLSAIALQLLLKLKRHLKIMYSLDDARCQAYSPTELPKPGEVISRQNIGFSIGESQFSLPTTPQELVQRYQEFKHALREDTVDYSHYTANIKRKRPTSTPRKVQARKAVYVSSGNYNEEDDDADYSGGSTMRHITFSGSRRSSLRSSRQY >Vigun03g076500.7.v1.2 pep primary_assembly:ASM411807v1:3:6347085:6348665:1 gene:Vigun03g076500.v1.2 transcript:Vigun03g076500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQTEQQGGGCSC >Vigun03g076500.5.v1.2 pep primary_assembly:ASM411807v1:3:6345931:6349463:1 gene:Vigun03g076500.v1.2 transcript:Vigun03g076500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQTEQQGGGCSC >Vigun03g076500.1.v1.2 pep primary_assembly:ASM411807v1:3:6345866:6349551:1 gene:Vigun03g076500.v1.2 transcript:Vigun03g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQTEQQGGGCSC >Vigun03g076500.2.v1.2 pep primary_assembly:ASM411807v1:3:6345886:6349216:1 gene:Vigun03g076500.v1.2 transcript:Vigun03g076500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKCSLCFVRLLLPCQEWKLFLLQSRKTWSM >Vigun03g076500.6.v1.2 pep primary_assembly:ASM411807v1:3:6345866:6349564:1 gene:Vigun03g076500.v1.2 transcript:Vigun03g076500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKSREFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQTEQQGGGCSC >Vigun08g177800.2.v1.2 pep primary_assembly:ASM411807v1:8:34765649:34770225:1 gene:Vigun08g177800.v1.2 transcript:Vigun08g177800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIQTSFCLPSFSIATSKPKPYFKSFTVSASKSISGRKLRAAVIGGGPAGSSAAEALASGGVETFLFERNPPSVAKPCGGAIPLCMLEEFNIPHHLIDRQVTQMRIFSPSNIAVDFGKTLKPHEFIAMLRREVLDSFLRSRAHSAGATAVAGLVTGLDLPTAPAAPYTIHYTANGSSRHSLAVDVVIGADGANSRVARSIGAGDYACAIAFQERITLPDEKMAHYENLAEMYVGGDVSPDFYAWVFPKCDHVAVGTGTVRAKKDIKVYQRGIRERVMPKINGGKVIKVEAHPIPEHPRPIRVKGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGNAVVKASEGGERMIDESDLRREYLKPWDAEYAMTFRFLDLLQRVFYGSNACREALVELCGDEYVQRMTFESYLYKKLAPGTPWEDAKLLINTIGTMDHIKEKKMMKTCIFQKVTSV >Vigun08g177800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34765458:34767077:1 gene:Vigun08g177800.v1.2 transcript:Vigun08g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIQTSFCLPSFSIATSKPKPYFKSFTVSASKSISGRKLRAAVIGGGPAGSSAAEALASGGVETFLFERNPPSVAKPCGGAIPLCMLEEFNIPHHLIDRQVTQMRIFSPSNIAVDFGKTLKPHEFIAMLRREVLDSFLRSRAHSAGATAVAGLVTGLDLPTAPAAPYTIHYTANGSSRHSLAVDVVIGADGANSRVARSIGAGDYACAIAFQERITLPDEKMAHYENLAEMYVGGDVSPDFYAWVFPKCDHVAVGTGTVRAKKDIKVYQRGIRERVMPKINGGKVIKVEAHPIPEHPRPIRVKGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGNAVVKASEGGERMIDESDLRREYLKPWDAEYAMTFRFLDLLQRVFYGSNACREALVELCGDEYVQRMTFESYLYKKLAPGTPWEDAKLLINTIGTMVRSKVVETRMNRFIL >Vigun05g111400.1.v1.2 pep primary_assembly:ASM411807v1:5:11599218:11600815:-1 gene:Vigun05g111400.v1.2 transcript:Vigun05g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAWTEEEDHLLKKCIQQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGNFAEEEVEMIIKLHKLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKRLNAQEAEEKGGSRDVEVIRPQARNIGSSSVKRRGEGESEREKVVEQESSMSSLTYDADGQNQVVESQEDNIYACLDQQGIVNEYSMDFQLEGFEDVMKGEGSGNQWDWGDLLLDMDLYK >Vigun06g207000.2.v1.2 pep primary_assembly:ASM411807v1:6:32075265:32078413:1 gene:Vigun06g207000.v1.2 transcript:Vigun06g207000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQEVEDPQLRDDEVLIGVHATALNRADTLQRKGFHPPPKGASPYLGLECSGTVLSIGKNVSKWKIGDQVCALLAGGGYAEKVAVPSGQVLPIPPGVSLTDAASFPEVACTVWSTIFMTSRLSQGETLLIHGGSSGIGTFAIQIAKYRGARVYVTAGSEEKLAFCKSIGADVAINYKTEDFVARVKEETGGQGVDVILDCMGASYYQRNIDSLNLDGRLFIIGFQGGVTTEVDFRPLLAKRLTVQAAGLRNRSLENKAVIISEVEKNVWPAIAEGKVKPVVYKSFPLAEAAEAHLLMESSEHIGKILLVP >Vigun06g207000.1.v1.2 pep primary_assembly:ASM411807v1:6:32075442:32078664:1 gene:Vigun06g207000.v1.2 transcript:Vigun06g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVITSPGGPEVLQLQEVEDPQLRDDEVLIGVHATALNRADTLQRKGFHPPPKGASPYLGLECSGTVLSIGKNVSKWKIGDQVCALLAGGGYAEKVAVPSGQVLPIPPGVSLTDAASFPEVACTVWSTIFMTSRLSQGETLLIHGGSSGIGTFAIQIAKYRGARVYVTAGSEEKLAFCKSIGADVAINYKTEDFVARVKEETGGQGVDVILDCMGASYYQRNIDSLNLDGRLFIIGFQGGVTTEVDFRPLLAKRLTVQAAGLRNRSLENKAVIISEVEKNVWPAIAEGKVKPVVYKSFPLAEAAEAHLLMESSEHIGKILLVP >Vigun04g164100.5.v1.2 pep primary_assembly:ASM411807v1:4:38826707:38830457:1 gene:Vigun04g164100.v1.2 transcript:Vigun04g164100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRLLCSYSYFSIGLHGIAKRNSRVTVPVFRIGSGNKFSSEAFNQTVSLHSRTRNQYHAPFDFDFDFDFDFNFNFNLDDPSPPEFLELLKKVAHSSSQAEGLHSSSFQANRDLICSAIWALREEWKPALLAFKWNCHYNDEKVCNLMVWVSATHGKFSPAWCIIRDMHHSSLSTHQAMLIMIDRYASVNNTSKAIQTFNFMDTFRLTPDQEAFHALLAALCKYGNVEEAEEFMLVNKKLFPLETKSFNIILNGWCNITRDVYEAKRVWREMSKYCITPDGTSYSYMISCFSKEGNLFDSLRLYDQMKKRGWTPGIEIYNSLVYVLTHANCLKEALRTIDKLKEQRLQPDSSTFNSMILPLCETGKLAEARVVFNTMVEENVCPTTETYHAFFEGTDYQGSLEFLSRMKDSGLGPNKDSFLIILTKFLNLKQPVNALKIWTEMKAYDLVPSCVHYKVMVEGLVNCRWFIKARYFYEEMISNGCSADPKLNKLFQKEVLS >Vigun04g164100.1.v1.2 pep primary_assembly:ASM411807v1:4:38826707:38830585:1 gene:Vigun04g164100.v1.2 transcript:Vigun04g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRLLCSYSYFSIGLHGIAKRNSRVTVPVFRIGSGNKFSSEAFNQTVSLHSRTRNQYHAPFDFDFDFDFDFNFNFNLDDPSPPEFLELLKKVAHSSSQAEGLHSSSFQANRDLICSAIWALREEWKPALLAFKWNCHYNDEKVCNLMVWVSATHGKFSPAWCIIRDMHHSSLSTHQAMLIMIDRYASVNNTSKAIQTFNFMDTFRLTPDQEAFHALLAALCKYGNVEEAEEFMLVNKKLFPLETKSFNIILNGWCNITRDVYEAKRVWREMSKYCITPDGTSYSYMISCFSKEGNLFDSLRLYDQMKKRGWTPGIEIYNSLVYVLTHANCLKEALRTIDKLKEQRLQPDSSTFNSMILPLCETGKLAEARVVFNTMVEENVCPTTETYHAFFEGTDYQGSLEFLSRMKDSGLGPNKDSFLIILTKFLNLKQPVNALKIWTEMKAYDLVPSCVHYKVMVEGLVNCRWFIKARYFYEEMISNGCSADPKLNKLFQKEVLS >Vigun04g164100.4.v1.2 pep primary_assembly:ASM411807v1:4:38826707:38830585:1 gene:Vigun04g164100.v1.2 transcript:Vigun04g164100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRLLCSYSYFSIGLHGIAKRNSRVTVPVFRIGSGNKFSSEAFNQTVSLHSRTRNQYHAPFDFDFDFDFDFNFNFNLDDPSPPEFLELLKKVAHSSSQAEGLHSSSFQANRDLICSAIWALREEWKPALLAFKWNCHYNDEKVCNLMVWVSATHGKFSPAWCIIRDMHHSSLSTHQAMLIMIDRYASVNNTSKAIQTFNFMDTFRLTPDQEAFHALLAALCKYGNVEEAEEFMLVNKKLFPLETKSFNIILNGWCNITRDVYEAKRVWREMSKYCITPDGTSYSYMISCFSKEGNLFDSLRLYDQMKKRGWTPGIEIYNSLVYVLTHANCLKEALRTIDKLKEQRLQPDSSTFNSMILPLCETGKLAEARVVFNTMVEENVCPTTETYHAFFEGTDYQGSLEFLSRMKDSGLGPNKDSFLIILTKFLNLKQPVNALKIWTEMKAYDLVPSCVHYKVMVEGLVNCRWFIKARYFYEEMISNGCSADPKLNKLFQKEVLS >Vigun04g164100.3.v1.2 pep primary_assembly:ASM411807v1:4:38826707:38830585:1 gene:Vigun04g164100.v1.2 transcript:Vigun04g164100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRLLCSYSYFSIGLHGIAKRNSRVTVPVFRIGSGNKFSSEAFNQTVSLHSRTRNQYHAPFDFDFDFDFDFNFNFNLDDPSPPEFLELLKKVAHSSSQAEGLHSSSFQANRDLICSAIWALREEWKPALLAFKWNCHYNDEKVCNLMVWVSATHGKFSPAWCIIRDMHHSSLSTHQAMLIMIDRYASVNNTSKAIQTFNFMDTFRLTPDQEAFHALLAALCKYGNVEEAEEFMLVNKKLFPLETKSFNIILNGWCNITRDVYEAKRVWREMSKYCITPDGTSYSYMISCFSKEGNLFDSLRLYDQMKKRGWTPGIEIYNSLVYVLTHANCLKEALRTIDKLKEQRLQPDSSTFNSMILPLCETGKLAEARVVFNTMVEENVCPTTETYHAFFEGTDYQGSLEFLSRMKDSGLGPNKDSFLIILTKFLNLKQPVNALKIWTEMKAYDLVPSCVHYKVMVEGLVNCRWFIKARYFYEEMISNGCSADPKLNKLFQKEVLS >Vigun11g194900.1.v1.2 pep primary_assembly:ASM411807v1:11:39403383:39403953:-1 gene:Vigun11g194900.v1.2 transcript:Vigun11g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFNQHLFLGILIMVLALPSELVVAKPFPTESVGVDGGPNCNGLKCSVGTEFCTTCCNGQGSKQGKCVPKGAFFVCQCQ >Vigun07g077200.1.v1.2 pep primary_assembly:ASM411807v1:7:10363370:10365597:-1 gene:Vigun07g077200.v1.2 transcript:Vigun07g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQSSGYDLSFKILLIGDSAVGKSSLLVSFISNSVEDISPTIGVDFKIKVFEVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRDTFTNLSEVWSKEVELYSTNQNCVKMLVGNKVDRDSERVVTKEEGLALAEELGCLFFECSAKTRENVNRCFEELAQKIMEVPSLLEEGSTVVKRNVLKQQPQPQASELGGCCS >Vigun11g047350.1.v1.2 pep primary_assembly:ASM411807v1:11:7311908:7316225:-1 gene:Vigun11g047350.v1.2 transcript:Vigun11g047350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDSHPAPLPSPTQTEDASTQTDDSTTTKKKSDSTSTSSTTQAATTQSISEDPKPLTSPSPTQSVKDDSSNSKKGDTTSTSSPTQADKNTSTSKEQKEKKESKSPSSPPPA >Vigun11g047350.2.v1.2 pep primary_assembly:ASM411807v1:11:7311908:7316225:-1 gene:Vigun11g047350.v1.2 transcript:Vigun11g047350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDSHPAPLPSPTQTEDASTQTDDSTTTKKKSDSTSTSSTTQAATTQSISEDPKPLTSPSPTQSVKDDSSNSKKGDTTSTSSPTQADKNTSTSKEQKEKKESKSPSSPPPA >VigunL013366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:3264:4250:1 gene:VigunL013366.v1.2 transcript:VigunL013366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDISSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPKSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun03g114300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10459232:10460146:-1 gene:Vigun03g114300.v1.2 transcript:Vigun03g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKKYSDLKEQAYLDDVEAGKESVNLDKFFEDVEDVKEDMRSVEMLYRKLQEANEESKTAHTAKAMKEIRARMDKDVELVLKRVKVVKGKLEALERSNAANRSLPGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRARMHMEYKETVERRYFTITGEKAEEETIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLMELHQVFLDMAAMVEAQGQQLNNIESHVAYASSFVRRGTEQLEDAKEIQKSSRKWTCYAIILGAVLIVLLLFPLFTSLLPVLLR >VigunL025100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:87669:89892:1 gene:VigunL025100.v1.2 transcript:VigunL025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun07g204900.1.v1.2 pep primary_assembly:ASM411807v1:7:32646601:32648994:1 gene:Vigun07g204900.v1.2 transcript:Vigun07g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKVVTVKAMEATPLTFKDYGQVVEASPDGDEFGPHDAQLDLTHGTPRFYIMHLENRPLKFSSITHHASVTQCLGSVGGHAWYLGVAKSSIVDSNETKDDTGKKIVQSRCGHSYVPPDIDGVQVFKVSGSKFLKLNRGTWHAGPLFKADAMDFYNLELSNTNVIDHTQHNFKDNGVVFLVEE >Vigun08g051300.1.v1.2 pep primary_assembly:ASM411807v1:8:5948008:5948988:-1 gene:Vigun08g051300.v1.2 transcript:Vigun08g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAYGKAVSQSKSTIITIRLDEKQLDMMRSERALFLLLLLLLHHHICATKDDQEHLCPPSSCGKISNITYPFRLKGDPENCGDKRYEIGCENNVTVLYLYSAKYHVEAINYKNYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYDDYTDSYQAGLRANDNRESLSFEHIVFLNCNHSVRENVKYVKTEECVRWDSKGCAYAVGGDLKAEDIEVGCDIKLVAPTSFTTFNNHSYTSMHWSLAYGFEISWLKLACHHFCPHGFCSFDYASQKFDDCGTSLISTKYFLNEKVIYIE >Vigun07g063100.1.v1.2 pep primary_assembly:ASM411807v1:7:7255529:7268869:-1 gene:Vigun07g063100.v1.2 transcript:Vigun07g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPNLSLHSQNLTSTPHCRVKGFLVYTRRKRSLHSANDAAKRLKTEEIKPEEESHHTDEDPVFKLPKVESREDPNSAEELTKTELNTPQKNVVVVSKKPVTVKELFETGLLEGVPVVYVGCKKDSTSELRGVITDGGILCSCRLCNGCRVIPPSQFEIHACNIYKRAAQYICLENGKSLLELLRACRAAPLHTLETTIQNFVSSPPEEKYFTCKSCRGCFPASNVERVGLLCLSCVESRKSESSSIHAVGKRVRSPRPVLFSRSCSCCTSELCISPQTKRHWKTRTKSSKLSLKLKTAPITSKCLSPQHKNQWRISKRYQRIHKLIFEEDGLPNGAEVAYYARGQKLLEGIKTRYGIVCRCCNTEISPSQFEVHAGWASRRKPYAYIYTSNGVSLHELAIFLSKDHKCTTKQNDYACVVCWDGGNLLLCDGCPRAFHKECASVSSIPRGEWYCQICQHTFLRERPVLYNADAVAAGRVEGVDPIEEIAKRCIRIVKDIGAEIGGCVLCRSSDFSRSGFGPRTIIICDQCEKEYHVGCLRDRKMAFLKELPEGDWLCCNDCTRIHTTLENLLVTGAERLPESLLDVIKKKRVERCLEPLNEIDVRWKLLNGKVASPETRPLLLEAVAMFNECFDPIVDPAAGRDLIPAMVYGRNLQTQDFGGMYCALLIVNSSVVSAGMLRIFGEDIAELPIVATRYKNRGKGYFQTLFSCIERLLAFLKVKNLVLPAAEEAESIWTEKFGFSKMKPDELTNYRMNCHQIMAFKGTIMLHKTVPRCRVINT >Vigun09g134600.1.v1.2 pep primary_assembly:ASM411807v1:9:29432897:29435830:1 gene:Vigun09g134600.v1.2 transcript:Vigun09g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMCVCFFVTKKVERKMIVKAEKSMGSGVREEGLKQHFVLVHGIGGGSWCWYKIRCLMENSGYKVSCIDLKSAGIDQSDADSVLFFDDYNKPLMDFMSSLPENEQVILVGHSAGGLSITQACHKFANKIRLAVYVAATMLKSGFLTQQDLKDGVPDLSEYGDVYELGFGLGHDKPPTSALVKKEFQRKILYPLSPQEDSTLAEMLLRPGPLVALTSAEFREEGGEEVEKVPRVYIRTKQDKVVKAEQQEAMIKKWPPSTVYELDTDHSPFFSSPFLLFGLLLKAAALDVGFHATAS >Vigun02g120600.3.v1.2 pep primary_assembly:ASM411807v1:2:27316912:27319965:-1 gene:Vigun02g120600.v1.2 transcript:Vigun02g120600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCQDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKSVFDAAIRVVLQPPKQKKKKGKGQKACSIL >Vigun02g120600.4.v1.2 pep primary_assembly:ASM411807v1:2:27316912:27319965:-1 gene:Vigun02g120600.v1.2 transcript:Vigun02g120600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCQDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKSVFDAAIRVVLQPPKQKKKKGKGQKACSIL >Vigun02g120600.2.v1.2 pep primary_assembly:ASM411807v1:2:27316912:27319965:-1 gene:Vigun02g120600.v1.2 transcript:Vigun02g120600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCQDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKSVFDAAIRVVLQPPKQKKKKGKGQKACSIL >Vigun02g120600.5.v1.2 pep primary_assembly:ASM411807v1:2:27316912:27319568:-1 gene:Vigun02g120600.v1.2 transcript:Vigun02g120600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCQDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENVKSVFDAAIRVVLQPPKQKKKKGKGQKACSIL >Vigun03g292900.2.v1.2 pep primary_assembly:ASM411807v1:3:47797732:47803908:-1 gene:Vigun03g292900.v1.2 transcript:Vigun03g292900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGMPEQDTPIFSGNNVRPRLDVYVWDMDETLVLLNSLLKSSYAEAFNGLKDVQKGVEIGRMWENLILQTCDDYFFYEQIENYNKPFLDALAQYDDGRDLSDYDFNKDELGAPQDDANKRKLAYRHRVIAEKYIQGLQNILDQETIKHWDELYDKTDEYTDRWLSSARTFLKECLGEDEDAVSSVASSDISYNSTNVKHVNVLVTSGSLIPSLVKCLLFRLDSLISHGNVYSSWEVGKTQCFRWIKERFNHPNVRFCVFGDGWEECEAAEIMRWPFVKIDPRPGKLHRFPGLTLTTVSHYFSVVYGRPNNENEDG >Vigun03g292900.1.v1.2 pep primary_assembly:ASM411807v1:3:47797732:47803908:-1 gene:Vigun03g292900.v1.2 transcript:Vigun03g292900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGMPEQDTPIFSGNNVRPRLDVYVWDMDETLVLLNSLLKSSYAEAFNGLKDVQKGVEIGRMWENLILQTCDDYFFYEQIENYNKPFLDALAQYDDGRDLSDYDFNKDELGAPQDDANKRKLAYRHRVIAEKYIQGLQNILDQETIKHWDELYDKTDEYTDRWLSSARTFLKECLGEDEDAVSSVASSDISYNSTNVKHVNVLVTSGSLIPSLVKCLLFRLDSLISHGNVYSSWEVGKTQCFRWIKERFNHPNVRFCVFGDGWEECEAAEIMRWPFVKIDPRPGKLHRFPGLTLTTVSHYFSVVYGRPNNENEDG >Vigun01g152100.1.v1.2 pep primary_assembly:ASM411807v1:1:33485769:33492489:-1 gene:Vigun01g152100.v1.2 transcript:Vigun01g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLKPENALKRAEELINVGQKQDALQTLHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLELLRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRVEQLKIATELELWQEAFRSVEDIHGLMCLVKKTPKPSLMVVYYVKLTEIFWISSSHLYHAYAWFRLFLLQKSFNKNLSQKDLQLIASSVVLAALSVPPHDRTHGASHLELEHEKERNLRMANLIGFNLETKPESREMLSRSSLLAELASKGVMSCVTQEVKDVYHLLEHEFHPSDLAVKALPLITKISKLGGKLSTASSVPEVQLSQYVPALERLATMRLLQQVSNVYQTMKIETLSGMIPFFDFSVVEKIAVDAVKQKFVSMKVDHMKNVVIFCKTSLESDGLRDHLANFAEQLNKARQMIYPPDRKPSKLGALLPTLTEVVAKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRLRLQKITEEAEQRRLATEYEQRKNQRILREIEEREIEEAQALLQEAEKRIKKKGKKPIIEGDKITKQTLMELTLTEQLRERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQQRLVEERLLHEREQQQEVELSKQRHEGDLKEKERLARMMGNKEIYEVRVVSHRQAEFNRLRREREERISRILQSRRQEREKMRKLKYYLKLEEERQQKMREEEEARKREEAERRKKEEAERLAKLAEIAEKQRQRERELEEKERQRREALLGRSVEAAPPARQSEPVTAPAAAAAAAAAAPNPGKYVPKFMRDRAERTGTAPPPETDRWGGNSSSRPDGDRWRGGDDRRSSYVSGGGSRSSSSWSRNPR >Vigun09g105100.1.v1.2 pep primary_assembly:ASM411807v1:9:19327660:19331554:-1 gene:Vigun09g105100.v1.2 transcript:Vigun09g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGNGMMSRSKTTQELAMEGQKYLEETIEFAFQILSSMNDELCNPVLWSTSPSATSTSPNAPSATTDSASDNSNLHSDGATASAGAGGALEEARLRYKNAVAALRTILTAIPNSQKSKSFDTGSASSPADEAEIDKLEERASSLRRELANKNLLLKTLIDQLRDLITDISTWQSPLST >Vigun09g105100.2.v1.2 pep primary_assembly:ASM411807v1:9:19328507:19331554:-1 gene:Vigun09g105100.v1.2 transcript:Vigun09g105100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLGNGMMSRSKTTQELAMEGQKYLEETIEFAFQILSSMNDELCNPVLWSTSPSATSTSPNAPSATTDSASDNSNLHSDGATASAGAGGALEEARLRYKNAVAALRTILTAIPNSQKSKSFDTGSASSPADEAEIDKLEERASSLRRIFV >Vigun04g003900.1.v1.2 pep primary_assembly:ASM411807v1:4:261408:264440:1 gene:Vigun04g003900.v1.2 transcript:Vigun04g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRMFGKPKQETNAVATLDKLNETLEMLEKKEKVLLKKVGAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTTPAAPVQVPAGRQPTRPVASKPTPEEDELAALQAEMAL >Vigun04g003900.3.v1.2 pep primary_assembly:ASM411807v1:4:261494:264291:1 gene:Vigun04g003900.v1.2 transcript:Vigun04g003900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRMFGKPKQETNAVATLDKLNETLEMLEKKEKVLLKKVGAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTTPAAPVQVPAGRQPTRPVASKPTPEEDELAALQAEMAL >Vigun04g003900.2.v1.2 pep primary_assembly:ASM411807v1:4:261408:263938:1 gene:Vigun04g003900.v1.2 transcript:Vigun04g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRMFGKPKQETNAVATLDKLNETLEMLEKKEKVLLKKVGAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTTPAAPVQVPAGRQPTRPVASKPTPEEDELAALQAEMAL >Vigun02g160000.1.v1.2 pep primary_assembly:ASM411807v1:2:30599401:30601782:1 gene:Vigun02g160000.v1.2 transcript:Vigun02g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >Vigun03g027200.2.v1.2 pep primary_assembly:ASM411807v1:3:2033953:2038974:-1 gene:Vigun03g027200.v1.2 transcript:Vigun03g027200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMSKLSCVRSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNIKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEQQANTNGRRDSGSGSCSSKEVLTHRDEMSAIVGVPQITTFNTALDIQQLKSDQFSFIPFRKTFDEVGIGEASTGREVQASRSCEEVHEGHHHHHQQQQQQNQHAQQQISSTAFHISRPSHPISTIISPPPLHHASIILDDNSYHVSRIMLQNENFQQPQQQHHKLGGRSASGLEELIMGCTSTDIKEESSITNQQEAEWLKYSSYWPDPGNMHDHHE >Vigun03g027200.1.v1.2 pep primary_assembly:ASM411807v1:3:2033953:2038974:-1 gene:Vigun03g027200.v1.2 transcript:Vigun03g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMSKLSCVRSSDLIDAKLEEHQLCGSKQCPGCGHKFEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKNIKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQNECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTGEGSGEQQANTNGRRDSGSGSCSSKEVLTHRDEMSAIVGVPQITTFNTALDIQQLKSDQFSFIPFRKTFDEVGIGEASTGREVQASRSCEEVHEGHHHHHQQQQQQNQHAQQQISSTAFHISRPSHPISTIISPPPLHHASIILDDNSYHVSRIMLQNENFQQPQQQHHKLGGRSASGLEELIMGCTSTDIKEESSITNQQEAEWLKYSSYWPDPGNMHDHHE >Vigun06g061001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18870748:18871728:-1 gene:Vigun06g061001.v1.2 transcript:Vigun06g061001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLYFSALIFFVFLTLIVRKKGNTNKKAEETPCNMPHGPRKLPIIGNIHNLLCSQPHRKLRDLALKYGPLMHLQLGEVSTIVISSPECAKEVMKTHDINFATRPKVVSMDVLSYNYTDIAFAPYGRYWRQLRKICMLELLSLKRVNSYQPIREEELFNLVKWVDAQKGSPINLTKAIISSIYTIASRSVFGKKCKDQQNFISVATKLIKVAGGFDIGDFFPSAIWLQHVTGMRRKLERLHQEVDQIMENIVNDHKDAKSKAKDYQREAADSSIAPLTTKEIISSTFLLELEEGYAQEARLHPELWNWPLQCCCITLIGSFQVE >Vigun11g039150.1.v1.2 pep primary_assembly:ASM411807v1:11:5468569:5469881:1 gene:Vigun11g039150.v1.2 transcript:Vigun11g039150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGMARICSQQDSISNTNRVVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIVSGRKNTSFYDDDHPINLIGHVWELWKEEKCFESVDPSLEEVFDYDEVQRCIRVGLICVEHYANDRPTMSEVISMLTNKSEKVSSPKRPAFYVQREMFNKNLSSTELCTTSTMEITTSSEIG >Vigun03g202700.2.v1.2 pep primary_assembly:ASM411807v1:3:32305193:32309810:1 gene:Vigun03g202700.v1.2 transcript:Vigun03g202700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGSPTPQMVGNAFVEQYYSILHQEPDQVHRFYHESSVLSRPEEDGSMTMVTTTVEINKKILSQDYTSFRVEILSADAQPSHKDGVIVVVTGCLTGSDNLKRKFTQSFFLAPQDKGYFVLNDIFRYIDEYKSVDIESVPVNEADESAPTDSFTAEPEVIQAAEDVPDSQTTVVDDDISVSKDVSQPLENGKLSVAEKMVPVNHVKESSHLEHHSHAEKAASSNSQEDTPKKSFASIVNALKENAAPFHMRASPVKAVEQPHVLSVPASEAPTPSVESPTEKNNENGGKAYAIFVANLPMNATVEQLERVFKKFGPIKRDGIQVRSSKGSCFGFVEFESATSMQSALEASPPVTLDNRRLSIEERRANSDRGRYSSGRGGYRNDRNDNFRGRGNFGGGGGRGGYGNRNDNFEKRGEFTGRPRGGNNGGGRSNGEVVARSYQNGGKVTRQPVKVQ >Vigun03g202700.4.v1.2 pep primary_assembly:ASM411807v1:3:32305193:32309810:1 gene:Vigun03g202700.v1.2 transcript:Vigun03g202700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGSPTPQMVGNAFVEQYYSILHQEPDQVHRFYHESSVLSRPEEDGSMTMVTTTVEINKKILSQDYTSFRVEILSADAQPSHKDGVIVVVTGCLTGSDNLKRKFTQSFFLAPQDKGYFVLNDIFRYIDEYKSVDIESVPVNEADESAPTDSFTAEPEVIQAAEDVPDSQTTVVDDDISVSKDVSQPLENGKLSVAEKMVPVNHVKESSHLEHHSHAEKAASSNSQEDTPKKSFASIVNALKENAAPFHMRASPVKAVEQPHVLSVPASEAPTPSVESPTEKNNENGGKAYAIFVANLPMNATVEQLERVFKKFGPIKRDGIQVRSSKGSCFGFVEFESATSMQSALEASPPVTLDNRRLSIEERRANSDGYYVIMCIVVCCS >Vigun03g202700.1.v1.2 pep primary_assembly:ASM411807v1:3:32305193:32309810:1 gene:Vigun03g202700.v1.2 transcript:Vigun03g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGSPTPQMVGNAFVEQYYSILHQEPDQVHRFYHESSVLSRPEEDGSMTMVTTTVEINKKILSQDYTSFRVEILSADAQPSHKDGVIVVVTGCLTGSDNLKRKFTQSFFLAPQDKGYFVLNDIFRYIDEYKSVDIESVPVNEADESAPTDSFTAEPEVIQAAEDVPDSQTTVVDDDISVSKDVSQPLENGKLSVAEKMVPVNHVKESSHLEHHSHAEKAASSNSQEDTPKKSFASIVNALKENAAPFHMRASPVKAVEQPHVLSVPASEAPTPSVESPTEKNNENGGKAYAIFVANLPMNATVEQLERVFKKFGPIKRDGIQVRSSKQQGSCFGFVEFESATSMQSALEASPPVTLDNRRLSIEERRANSDRGRYSSGRGGYRNDRNDNFRGRGNFGGGGGRGGYGNRNDNFEKRGEFTGRPRGGNNGGGRSNGEVVARSYQNGGKVTRQPVKVQ >Vigun03g202700.3.v1.2 pep primary_assembly:ASM411807v1:3:32305193:32309810:1 gene:Vigun03g202700.v1.2 transcript:Vigun03g202700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGSPTPQMVGNAFVEQYYSILHQEPDQVHRFYHESSVLSRPEEDGSMTMVTTTVEINKKILSQDYTSFRVEILSADAQPSHKDGVIVVVTGCLTGSDNLKRKFTQSFFLAPQDKGYFVLNDIFRYIDEYKSVDIESVPVNEADESAPTDSFTAEPEVIQAAEDVPDSQTTVVDDDISVSKDVSQPLENGKLSVAEKMVPVNHVKESSHLEHHSHAEKAASSNSQEDTPKKSFASIVNALKENAAPFHMRASPVKAVEQPHVLSVPASEAPTPSVESPTEKNNENGGKAYAIFVANLPMNATVEQLERVFKKFGPIKRDGIQVRSSKQQGSCFGFVEFESATSMQSALEASPPVTLDNRRLSIEERRANSDGYYVIMCIVVCCS >Vigun07g104825.1.v1.2 pep primary_assembly:ASM411807v1:7:19180151:19180986:1 gene:Vigun07g104825.v1.2 transcript:Vigun07g104825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNYVMVEFEDSLMQNRTFRITSEHAKFYKLDDLYWLVILYCGNRYFQFRIFSLPMEEIHYPAPNMVPILQRPLSSSRFMTCLNPTYWYLRHIN >Vigun06g211500.1.v1.2 pep primary_assembly:ASM411807v1:6:32418718:32419467:1 gene:Vigun06g211500.v1.2 transcript:Vigun06g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHRSLEATFLAFLLLSFSLAAATATAYSSIHELLRTHGLPAGLFPETVKSYNLDQMGRLEVNLDAPCLAKYETRVLFETVVRANLSFGQLKGLEGLSQEELFLWLPVKDIIVNDPSSGLILIDIGLAHKQLSLSLFEDPPVCRSQGLSQNIGGRKSIGFQDQR >Vigun06g234900.1.v1.2 pep primary_assembly:ASM411807v1:6:34045339:34048288:1 gene:Vigun06g234900.v1.2 transcript:Vigun06g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIRLRRTLRALSGITQSISVTHAPSFSAPVRCAVSWNCHSPKGVVQSRSFRSSSTSLLSVRSSQSNIPDEIGPDTILFEGCDYNHWLFVMDFPRDKLPPPEEMVRVYEETCAKGLNISVEEAKKKIYACSTTTYTGFQAVMTEEESKKFEGLPGVIFVLPDSYIDPVNKQYGGDQYINGTIIPRPPPVQYVRNQGRRDRNRSPGQYNRQENPVPSSQGNPSYNGQESMPGDGRNYAPPQNYPPPQNHGQASQNYPPRQNYGQASQNYPPQQNYGQASQNYPPQQNYGQASQNYSPQQKYGQTSPNYPPQQNYGQASQNYPPQQKYGQTSQNYPPQQNYSQASPNLPPQQNYGQASPNFPSQQNYSQASQNYPPQQNYGLASQNHPPQQNFDQAPQNYPQYAQQQGFGPPGGQGERSYMPQQNIGRPGQGERRDPVPRHGASDVRGDTFVPSYMKDFKPSYMDEFEQAERGNYPAKEQTESQQRYPPSGPDNFTGQGRY >Vigun03g255000.1.v1.2 pep primary_assembly:ASM411807v1:3:42135635:42140304:1 gene:Vigun03g255000.v1.2 transcript:Vigun03g255000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGCVSLAWVMLVVMLGLASESCYGLGTFGFHINHRLSDPVKGILGIVHDLPQKGTPQYYAAMTHRDRIFRGRRLAADHLTPLTFDAGNDTYRIPAFGFLYYANVSVGTPPLWFLVALDTGSDLFWLPCNCTRCVPELISRGKIIKLNTYNLDKSSTSNTISCTNSSYCTQRQQCPSSGSSCRYRVDYLSNDTSTRGILVEDVLHLITDDDITDAADTRIIFGCGQVQTGAFQDGGTPNGLFGLGMDNRSVPSVLAKKGLISNSFSMCFGDDGVGRITFGDTGKPDQRKTPFNVDKLHPTYNISITQIVVEDLVADLEFHAIFDSGTSFTYIKDPAYTRLGEMFNSRIKENRHSFQSPESVVPFEYCYDITSQNETIAVPSVNLTMKGGDDYYVTDPIVVVVSEKEGNFFCLGIHKSNSINIIGQNFMTGYKIVFDRENMNLGWKETNCSYDDVLSNTPPISPSPSPAVSPAVPPAIAVNPVATSSPSINPPNTSFIIKPTFTFIFLLLSLLAIF >Vigun05g240700.1.v1.2 pep primary_assembly:ASM411807v1:5:43393822:43397918:-1 gene:Vigun05g240700.v1.2 transcript:Vigun05g240700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFATGKVMVNCPFYFKIGACRHGDRCSFLHTKPSISPTILLSNMYQRPDMIALGVDAHDNPIDPRKIQDHFEEFYEDIFAELSKYGEIESLNVCDNLADHMAGNVYVQFREEEHAANAVRNLTGRFYAGRPVIVDFSPVTNFRGVTCRQYEENTCNRRGCNFMHLKRISRDLRHQLFGKHHRRHSRSRSKSPYGHRSHDERSHRSHNRKYDDRDHHHETRGRRQRSSSPRRRKHRSPVRDGSEERRARIEQWNREKEEQDPGNKTKAEDIDNGNKECSLNASKSHGHRHRHQEQEQQTPNEAY >Vigun06g084700.2.v1.2 pep primary_assembly:ASM411807v1:6:21696215:21707113:-1 gene:Vigun06g084700.v1.2 transcript:Vigun06g084700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKMIVNGISSFLHLSISGNKSSEPVSKYYQKAEEILKLLKPIIDEIVNSELASDEMLNKILEEIGLAVNELKEHVENWHLLSSKVYFVMQVEPLISRIRTSGLNIFQQLKVSQYSLPDELSSEDLQQCSHKLKLLGHEETSSVIKEAITAQLEYAGPSPEVLTKIADRLGLMSNQDVLIEAVALERLKENAEQSEKTDEVEYIDQMIAVITRMHERLVMLKQAQSSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVQLVDPTKSTNLNPPSVLHGYMESGTTRESPVFSHPRNNQPSSPEAARSRSFSSPGNNITSVGIQLEETSSPLHPRSTSEGSLSGIINGQYMDLARISPAGLDDRSASSDESTVDSASQPSMSPSRRESSSAFSSEQSQTHIRAVSDSSALSTANFPQETQDDDNNAPRLSTSPGHSRDASGELNAGPETAGTTVMPSTHREAESPARLLDTRRSQGIWRRPPDRLVPRIASPAIETRADLSGIEAQVRNLVEGLRSSDLDTQKEATAELRLLAKHNMDNRIAIANCGAINLLVGLLRSADIAIQENAVTALLNLSINDNNKTAIANAGAIEPLIHVLETGSPEAKENSAATLFSLSVIEENKIFIGRSGAIRPLVELLGNGTPRGKKDAATALFNLSIFHENKNRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGEEGGIPVLVEVVELGSARGKENAAAALLHLCIHSTKFLGKVLQQGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNAGRG >Vigun06g084700.1.v1.2 pep primary_assembly:ASM411807v1:6:21696215:21707202:-1 gene:Vigun06g084700.v1.2 transcript:Vigun06g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKMIVNGISSFLHLSISGNKSSEPVSKYYQKAEEILKLLKPIIDEIVNSELASDEMLNKILEEIGLAVNELKEHVENWHLLSSKVYFVMQVEPLISRIRTSGLNIFQQLKVSQYSLPDELSSEDLQQCSHKLKLLGHEETSSVIKEAITAQLEYAGPSPEVLTKIADRLGLMSNQDVLIEAVALERLKENAEQSEKTDEVEYIDQMIAVITRMHERLVMLKQAQSSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVQLVDPTKSTNLNPPSVLHGYMESGTTRESPVFSHPRNNQPSSPEAARSRSFSSPGNNITSVGIQLEETSSPLHPRSTSEGSLSGIINGQYMDLARISPAGLDDRSASSDESTVDSASQPSMSPSRRESSSAFSSEQSQTHIRAVSDSSALSTANFPQETQDDDNNAPRLSTSPGHSRDASGELNAGPETAGTTVMPSTHREAESPARLLDTRRSQGIWRRPPDRLVPRIASPAIETRADLSGIEAQVRNLVEGLRSSDLDTQKEATAELRLLAKHNMDNRIAIANCGAINLLVGLLRSADIAIQENAVTALLNLSINDNNKTAIANAGAIEPLIHVLETGSPEAKENSAATLFSLSVIEENKIFIGRSGAIRPLVELLGNGTPRGKKDAATALFNLSIFHENKNRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGEEGGIPVLVEVVELGSARGKENAAAALLHLCIHSTKFLGKVLQQGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNAGRG >Vigun07g060700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6866470:6866583:1 gene:Vigun07g060700.v1.2 transcript:Vigun07g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl36 MKINASVRKICEKCRLIRRRGRIIVICFNPKHKQRQG >Vigun10g141100.7.v1.2 pep primary_assembly:ASM411807v1:10:35802604:35810452:1 gene:Vigun10g141100.v1.2 transcript:Vigun10g141100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILQEEDAGKWVYRGEGAANLVLAYTGSFPTFIGKVMRIRKAPRSGAEVMTMRSPSALTAHERLLWKDVHELISSPDNEIANQHFVHHVMKPLLGSKFVDAGMLVGVTREFLESIEKKVIYQRPAWRVDNALVDMHRDSVLLLSDHSLFTHGNLGSSPCISVEIKPKWGFLPLSRYISEETAVKRTITRFQMHQVLKLQQGEISLLSEYNPLDLFSGSKEITFKAIKDLFTSPQNNFRVFMNGSLIFGGLGGGAENTNICIAKAFEDALESVIQSDDGLRTENLLTLVTEAVQTSGVVDRLLEVQKLDNVDIEGAIHAYYDVSHQQCMVCSQLNAEQQKRYTSLHSASLDESLRIVKDFLVAATAKDCSFMICFRPRKEGDTGSVCDNVYLQSTNQTFEFKVYFIDLDLKRMSKMEEYYELDKKIVSCYKEMSKMDHGRDL >Vigun03g369000.1.v1.2 pep primary_assembly:ASM411807v1:3:57190829:57197532:-1 gene:Vigun03g369000.v1.2 transcript:Vigun03g369000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKVVLLITFLMFQSSSEEASESWFKIGYWYSGSNSAVSDINSALYTHLICAFAQVNTSTFQISLPSTNHQPFSTFTHTVKLKNPSITTLLSTGGDQNTAAIRSMLSNASSRKPFIQSSITMARLYAFQGLDLSWSTIQTSSDADNLGHFFQEWRLAAKSEAQANNSSLPELILTAAVQASPDLNKGSYPVDSIRSNLNWVHVNTYSYRTPMSQNFTAAPSALYDPHDVTHINTDYYIRAWIGKGLDAKKLILGLAFFGCAWKLQNHDSNTIGAVATGPADDTDQGFISYRDIKDKVRENGVAVHYNESYVTNYCMFGSTWIGYDDVEAVERKVLYARDMGLLGYYVWQISQDDHNSTLARAAAEQVKDGKEEQWKLLVIILSVTATVILMLGISFYFQKKRIFRRTGTMLNAAKGCWTKTLNNKEAAEPFHGNTPDLHGYRFSDIKAATCDFSPQNKIGQGGYGPVYKGILPDDEEIAVKKLSKASTQGFQEFKNEVTLTAKLQHVNLVRLLGFCVERGEQMLVYEYMPNKSLDFYLFDAMRRHLLDWNKRVDIIEGVTQGLLYLQEYSRFTIIHRDIKASNVLLDAEMKPKISDFGMARIFIKNEQEANTNKIVGTYGYVPPEYVREGVYSTKSDVYSFGVLLLQIISGKKTHGFYGEKEDLKLLEYAYELWKEGKGMEVMDDSLDDRNSECKLVRCMEIALLCVQEHASDRPSMLQVCAMLRNQDKSHAIPKKPAFSRVEDKDDVNECQTLQNVSVDDGTITQIVGR >Vigun11g108700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30906991:30907893:-1 gene:Vigun11g108700.v1.2 transcript:Vigun11g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSTPKTQNQNTQTTHKNQHHQPPPPQPPPPPSPPPPPFEEESVKEVLSETPIPKPPQDPILTPETKTLSPLLQNPLPIFETKLPIEEHSEPVSQHSETCSMSESFSAATTTTTATVTTTTVTEVREEDEATSKVHKWDRSPSRKRPHAPDGNFAGGRDRRLKSPARKSEPSPEKKIKGGSRPIRGRETREPVTAANRRRNTGAAAIRRDSGEGSGRRSRSPARAMTGKVNAGGSRKEVAPPSVAEKKETAPEIAVGKENEKEKKGESEEGGEKNDVVSPEECLENPHVSMECFIFL >Vigun08g096201.1.v1.2 pep primary_assembly:ASM411807v1:8:23127637:23129895:-1 gene:Vigun08g096201.v1.2 transcript:Vigun08g096201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVIVVASSINFLFGFSIRLWLVFMTRAFHVGSFLNGLLPLTRKVSYNASETCSWILRL >Vigun03g093500.1.v1.2 pep primary_assembly:ASM411807v1:3:7913884:7916247:1 gene:Vigun03g093500.v1.2 transcript:Vigun03g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACETKLWLVGVFLLIATYMQRHCVVAGDPQVPGFFIFGDSLSDCGNNNNLKTDAKANHPPYGIDFPNGPTGRFTNGRTTVDILAELLGFDAYIPPFANTSGSDILKGVNYASAASGILNDTGSHWGEHFSLKKQMDNHNAIVSKIGMKLKSPEKAKEHLHKCLYYVSIGSNDYLNNYFVPEHYNSSKSFSTAEFAKALMKEYSRNLKELHSLGARKIVLMAVLPIGCLPGEVSARGKPGSLCVKEENEAVVPFNEELKSSVDLFNKEFPDSKFIYIDTAAAILTEKTKDIQGAVEGVECCKVGSNGLCVANEEPCKERSIRPFFDAYHTSEFANKANAAIAYNDVSGKAAYPMDISHLVKEKLET >Vigun06g208600.2.v1.2 pep primary_assembly:ASM411807v1:6:32195167:32198026:-1 gene:Vigun06g208600.v1.2 transcript:Vigun06g208600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEERQESESQSEGKVWNLLKLPFRHSTASTSNSLSSLARSLLPTRRRLKLDPSNKLYFPYEPGKQAKSAIRIKNTSKSNVAFKFQTNAPKSCFMRPPGGVLTPGESVIAIVFKFVEHPQNNEKPEKTGLKFKIMSMKVKGSIDYVPEMFGELKKDQVTVEQILQVVFLDPERSSPALEKVRLQLAEANAAAEARKRAPEDSGPKILGEGLVIDEWKERRERYLAKQNG >Vigun06g208600.1.v1.2 pep primary_assembly:ASM411807v1:6:32194902:32197880:-1 gene:Vigun06g208600.v1.2 transcript:Vigun06g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEERQESESQSEGKVWNLLKLPFRHSTASTSNSLSSLARSLLPTRRRLKLDPSNKLYFPYEPGKQAKSAIRIKNTSKSNVAFKFQTNAPKSCFMRPPGGVLTPGESVIAIVFKFVEHPQNNEKPEKTGLKFKIMSMKVKGSIDYVPEMFGELKKDQVTVEQILQVVFLDPERSSPALEKVRLQLAEANAAAEARKRAPEDSGPKILGEGLVIDEWKERRERYLAKQNG >Vigun02g117300.1.v1.2 pep primary_assembly:ASM411807v1:2:27023054:27028534:-1 gene:Vigun02g117300.v1.2 transcript:Vigun02g117300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIARMCSPMRTWERPIDRCFRTFPCLADPGRRSSLCLKLALVTIHIIYAGVLFLFDGDLIESTKTEPWYTALYLLLFVVTLIQYFATSISSPGYVVDAMMSVHDRNVAYKKTPDTSSQSASSRNGSFVVSMEGNLLGRSLSGSSKTAWSKLVADLYPLGSSIRTWTCTYCNAEQPPRAKHCHDCDKCVLQFDHHCVWLGNCIGQGNHCKFWWYLFEETALCLWTGVLYISYLKAHITRVWWQGAILILLLITLSVCLIFLLLLLLFHSYLILTNQTTFELVRRRRIHYLRGIPERVHPFSGGVRKNLYYFCCARSSVYRFEPMPTAQEIEEKSRPYTCSDVLTCRCC >Vigun04g067900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7741281:7741860:-1 gene:Vigun04g067900.v1.2 transcript:Vigun04g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSGSNYSYSMAEAPSGSFKNSTSPIPYLFGGLALMLALIGLALLILACSYSKSSSSNADAERAKRMGMEVDSEPKIVVIMAGETNPTYMAKPAPSIHHLQEQN >Vigun02g032900.3.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.8.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.5.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.7.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.10.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.4.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13847935:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.9.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.1.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.6.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDAVNVLTHIAEVKLNSEQLTAIEKLKENHFEQDKRELCGNDHDEETNTYMLNNSSSTINALDNQNSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun02g032900.2.v1.2 pep primary_assembly:ASM411807v1:2:13831576:13848374:1 gene:Vigun02g032900.v1.2 transcript:Vigun02g032900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKSKKEKEDIRKKKMLDAADDDGGVSVQRPSRKRKKDDENDELEMSGAEKPYGLRGRKQEMMSKVIKKRAKYCEKGHLTCHQCKRNDKGRVVRCSTCTKKKLCLFCIRAWYPHLKEEDIAKACPVCRGNCNCKACLSSVQLINQMKKNPTRDKGEKVEHSLYLLQVLLPFLRQLDEEQMIEKEIEAKIQGLSVSELNIVKADFSLQERVYCDNCKTSIFDYHRSCTKCSFDLCLICCRELRSGQLVGGADPIVLDFIWKGRGYLHGGKEIETENQNASNAGAKAEVHEWSRSGWHSQSNGSIPCPKVNNECNHGFLELRSILGQHFISELVCKGKELVQAYKIQNVFKPPDSFCSCLGLDRNTDVKNSNMRKAASRDNMADNYLYCPKAVDLQDKDLNHFQWHWEKGEPVIVSNVLECTSGLSWEPLVMWRALRHVASATKRGQHLDVETIDCLDWCEGGINIHQFFTGYTEGRMDWLGWPQILKLKDWPPSNLFEEQLPRHCAEFISSLPFKEYTDPLRGALNLAVMLPDGCLKPDMGPKSYIAYGFPSELGRGDSVTKIHCDMSDANSVQVMEHEGGLCDQKAVNQFHQSGNNEIATAKEDGLLCESEVKEVDKVDTKLENDLLFGGDASEGALWDIFRRQDVSKLQEYLKKHFREFRHVHCCPLKQVIHPIHDQTFYLTMEHKKKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECFRLTEEFRTLPINHKSTEDKLEVKKMTVFAIHDVVEKLEKSRLEIADI >Vigun03g385300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59085697:59088838:-1 gene:Vigun03g385300.v1.2 transcript:Vigun03g385300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVKASCTVRPMEATWCGRLALSELDQTGNIGQVSFVYFYHLPQNCLSQYNTIASTLKDSLSRVLVPFYPLAGRLHWTNNGRLELDCNDTGVPFIEAESSSTVQHLSHFSSSSEYHYLVPIVDYYSLPIHELPLLVVQLTKFKCGGICVGITVSHAVVDGSSTFHFIREWARFARGESLHTVPFLDRKVLRAGEPPLVPLTKCHVLEKLNDPPLLLGRTDNREEKEKKTTMAFLKISKTQIETLQKRANESSPKPINDGGYSRYESVTGHIWRCASKARKHKENQPTELNVTVDSRGRMKPPLPKAYFGNAILDSVTCCLAGDLVSEPLGYTASRIREAIERVSDEYVRSEIEFLKNQKNLRRFHRDFHEEGREREPFYGNPNLSVVSWLRLPIYGIDFGWGKEVRMSSATHDFDGDFVLLPDPDEDGSVLVCMGLQVLHIDAFKKHFYQDIQGYKSNL >Vigun03g203000.1.v1.2 pep primary_assembly:ASM411807v1:3:32515430:32531004:1 gene:Vigun03g203000.v1.2 transcript:Vigun03g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLPPKFPSQTRLPFPSSPKLKVVSETDVFPSFVPKEVHRIKDPFARSLSKRIQRLPVSVRFLEDPIMSSCVKPMIQNKENPVVLLHGFDSSCLEWRYTYPLLEEAGYETWAFDILGWGFSDLEKLPSCDVVSKRDHFYQFWKSHIKKAMILVGPSLGSAVAIDFAVNYPEAVEKLVLIDASVYAEGTGNLATLPRAAAYAGQLVTSVTQKRGKQVTKWVNLWNGKPMEEAYVLKSTPLRLYANFLSFTNLSFGTSLDWTNVGRLHCLFPWWEDATVDFMTSGGYNVVSQIKKVKQKTLIIWGENDRIISNKLGVRLHCELPDAVIRQIPDCGHLPHVERPDSVVKLIVEFVQSKTKTANECVSPL >Vigun03g022500.2.v1.2 pep primary_assembly:ASM411807v1:3:1649212:1652683:-1 gene:Vigun03g022500.v1.2 transcript:Vigun03g022500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLQSSPVQQMMAGNPNNWWNITTTPPPPPPPSQPSSPFFSAPSNFLTPYNTSSSLPLPSWHDNNQDLPESWSQLLMSGMVSEEEKGAMCQVQQQMLNQASRASIVDVKQEGSVNSYVYGHGNEEFHPAKPTWPQIVPASSPKSCVTSFSSSMLDFSNNNTDARPLPPDPSSECNSTAAGGAFKKARVQPPTTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNGSGNMRQQQSVQGEKNCIFPEDPGQLLNENCLKRKATTSEQESEEEAKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAFGGGFR >Vigun03g022500.1.v1.2 pep primary_assembly:ASM411807v1:3:1649278:1652683:-1 gene:Vigun03g022500.v1.2 transcript:Vigun03g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLQSSPVQQMMAGNPNNWWNITTTPPPPPPPSQPSSPFFSAPSNFLTPYNTSSSLPLPSWHDNNQDLPESWSQLLMSGMVSEEEKGAMCQVQQQMLNQASRASIVDVKQEGSVNSYVYGHGNEEFHPAKPTWPQIVPASSPKSCVTSFSSSMLDFSNNNTDARPLPPDPSSECNSTAAGGAFKKARVQPPTTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNGSGNMRQQQSVRNNTNPFLVQGEKNCIFPEDPGQLLNENCLKRKATTSEQESEEEAKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAFGGGFR >VigunL032626.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:228986:231495:1 gene:VigunL032626.v1.2 transcript:VigunL032626.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun04g099610.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23337555:23338382:-1 gene:Vigun04g099610.v1.2 transcript:Vigun04g099610.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIGTSSLDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETQLGKRVDYSGRSVIIVGPSLSLHRCGLPGEITIELFQTFLIRGLIRKHFASNIGIAKSKIRQKEQIVWEILQEVMHRHPVLLNRAPTLHRLGIHAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHMPLSLEAQAEARLLMFSHTNLLSPVIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPPKSYRYTKKKNLFFGIPMMQLELINRKE >Vigun03g180100.1.v1.2 pep primary_assembly:ASM411807v1:3:22754733:22760115:1 gene:Vigun03g180100.v1.2 transcript:Vigun03g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRQQSLEGSKNEVMPAGNEMNGVSPRSFLAMNEYEHIMAFLSDDNDNSQQEHVRKKSKTLPTTSEEEDSLAYLFQLDHPLSLQDILGQDQTLFSQPPQSLITNTTSTIQLNPINISVSTGIIVPNASKNMAVVNSIFSSENCSSSGLSLPAGSNALYAPETGTLFGDSIFPKTTGYSYTQFESPTFPVQPFACARTCFGTGASASASGFVGNYETQLQSPSLVHPYAGPSVGTASGANNLDGNSRTPFQSPCLVHPYAGPSAGRPSNLDENLLTQFQSPSLVHAYAGPSVGSGVGVNNLDANSLTQFQSPGLVHPYAGSGVGAKNLDGNSVTQHQLPGLVHPYAASSAAPSVGSASDASNLDGNSLTQFQSPSHEQFSREHRLESNPAAPSAPSAMGARPQYQTQLLSRQPFLRNFNDFELAWEGCLVEETYPYRPFFNRAKAWKKSISPISLTEWPSTMKVAVYVPRKAVINTMRLYGGPIDYIFFEITEFGNLDLYTRLMTEKNYAKIVLPSQTLILFTTESKHCYLGSVFKGDTVIVEHL >Vigun03g076800.2.v1.2 pep primary_assembly:ASM411807v1:3:6365734:6371132:-1 gene:Vigun03g076800.v1.2 transcript:Vigun03g076800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYGNNKSILDGEENLLTCLADLFSQISSQKKKTGVVAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESQAVKAADQETSPPSEKAVNGPKNGQANGVHKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSSQEYSSNTDHGYILFYESLGSGNRN >Vigun03g076800.1.v1.2 pep primary_assembly:ASM411807v1:3:6365602:6371148:-1 gene:Vigun03g076800.v1.2 transcript:Vigun03g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYGNNKSILDGEENLLTCLADLFSQISSQKKKTGVVAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESQAVKAADQETSPPSEKAVNGPKNGQANGVHKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSSQEYSSNTDHGYILFYESLGSGNRN >Vigun04g198000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42276485:42277987:-1 gene:Vigun04g198000.v1.2 transcript:Vigun04g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFYAAALFCIIYFFHRRLCCRYPLFTDYPIIGMLPDLLCNLWRIHDFSVEVLKSHGGTGEFTGPWFTNMNYLITSDPINVHHILSKNFKNYVKGPEFREIFQAFGDGIFTADGEAWKYNRDLFQSLFKHKSFELFLEKTIRKKVQNSLLVVLEHVQQHGSVVDLQDVFNRFTFDNICSTVLGYDPCCLSLDFPEVAIEKAFNEVEESIFYRHVMPKRVWKVQKWLQMGREKTMTEACKSFDEFIHALIASKFEALSRCNENEETGEDCADLLTTLMREGNKEQHDDIFLRDAVFNLFVAGRDTLTSALTWFFWLVATNPLVEEKILEEINQNFGSNEENAVLSAEEVKKLVYLHGAICETLRLFPPIPFERKQALEGDVLPSGHCVNPRTMILFSLYAMGRFEEIWGKDCMELKPERWISQKGGIVYVPSYKFVAFNAGPRTCLGKDLSFLQMKIVAAAILRNYHVQVVEGSVPTPSLSVVLLMKDGFKVKITKRET >Vigun01g201100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37748885:37751678:1 gene:Vigun01g201100.v1.2 transcript:Vigun01g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKAEVDYEKIVRDTCRSIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCGWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVSNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGHRFLKTAAYGHFGRDDPDFTWEVVKPLKSEKPQA >Vigun07g104700.1.v1.2 pep primary_assembly:ASM411807v1:7:19155425:19157030:-1 gene:Vigun07g104700.v1.2 transcript:Vigun07g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAATTTPSITCLVQKRPLGVSSPVLGLPKMGKVGKVRCSMEEKPCVKESSSSMGMGASLMAATCAAVMSGPAMALVDERLSTEGTGLPFGLSNNLLGWILLGVFALIWALFFVYTSTLEEDEESGLSL >VigunL013201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:96819:98305:1 gene:VigunL013201.v1.2 transcript:VigunL013201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRMISWSSAGTCGFKAGYAIRTVSNQGMQRAEIMIKGLGLGRDASLRAIRRSEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKVGIEESVHEIFMNLKKIVLKSNLYGTQGAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDETLKYIFIDQLELPPKIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun10g132500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34227926:34229493:1 gene:Vigun10g132500.v1.2 transcript:Vigun10g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVEIISREDIRPSSPTPSHLRLFRLSVLDHLIPSPYVPIILFYTSPKTNTTHLSEVPKRLELLKKSLSETLTKFYPLGGKIKEDLSIECNDEGAYFVLARVKCPLHEFLLQPQMTALHKFLPVGLVSEGSNSGTYVTKIQVNIFECGGIAIGMCVSHKIIDGASLSTFIKGWTERAKGCNQSTQPNFIAPSLFPTNSPWFRDLSMRTWASICKQGKWVTRRFLFRNSAIATLKAQTAGTENSTRLQMVSAMLWKSLMGVSKARFGTQRPSFVTHVVNLRGKMDEALCPEHAMGNIIWLIAAESVDADEMGLVELVGKLRTAISRVDKEFVEELRGDKGRSIMQESFGAIREMGSKSDHFGFTSWCNFGFYEADFGWGKPTWVNGAVSADSVSRSTNTIMLVDTRLGDGIEAWVTLREEDMTLLIADHELLTCATLDPSPLAMSSVA >Vigun03g168400.1.v1.2 pep primary_assembly:ASM411807v1:3:19743706:19746904:1 gene:Vigun03g168400.v1.2 transcript:Vigun03g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPNNPTKSCKARGADLRVHFKNTRETAFAIRKLPLVKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVRKEPETKLATSKKSQALRSGASS >Vigun11g163600.1.v1.2 pep primary_assembly:ASM411807v1:11:37119982:37123862:-1 gene:Vigun11g163600.v1.2 transcript:Vigun11g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDMVFSGYQFLLHFGLLTLLESFSITITQAAAPILDVSTLNRTSFPPAFIFGTASSAYQYEGAANEGGRGPSIWDTFSHKHPEKISDRSNGDVAVDQYHRYKEDVGIMKYMNTDAYRFSISWSRILPKGNISSGINQEGLKYYNNLINELLANDIQPFVTLFHWDLPQALDDEYGGFLSPRIINDFQDYAELCFKEFGDRVKHWITFNEPWSYSMGSKPYMSSHYQLLAHAAAVKVYKTKYQAFQNGLIGISLNCHWFIPFSNDTLDDGAAKRALDFMLGWFMQPLTRGKYPKTMHSLLGNRLPKFTEEQSKLLIGSFDFIGLNYYTTNYAAHISHPINNSANTGYFQDTRVNFTTERNGSPIGPRAASSWLYVYPRGLRELLLYIKIKYKNPVIYITENGMDESNDPTLSLEEALMDTYRIDYFYRHLYYILTALKDGVKIHGYFAWSLLDNFEWGAGYTLRFGINFIDYKDNLKRHQKLSAHWFRNFLQKQ >Vigun05g257900.1.v1.2 pep primary_assembly:ASM411807v1:5:45178801:45183511:1 gene:Vigun05g257900.v1.2 transcript:Vigun05g257900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKPLHPHHAPILCLPFHGHPSSSQSQSQLPLPMIPKVHVAVGKSIDKAVTLLQWAFNHFQNAEIVILHAYQPSLTIPTLLGKLPASQASPAVVSAFRSVEREQTMKLIDKYLTVCRRARVKASVIVTEVDQVQKGIVDLVLKHNIQKLVIGAVPENCMKVKRNSGKANYTAKNAPPFCEVWFIYKGKVIWTREASETPCSPCSSSLSAQPQPEIATAESLRCRSFQYGKNELFDSECLQPNSARSATGFGIRSWAHGEITETGATFSSKASSCSSHCSSQNSSRAHLDTYLEAMEEKINNQLIETKREAEAVADEASAELLKCERLEVEAMEAIRKVNLFDSAHVREVKLRKEAEDALRATVEEQQKLLSESEEIASKLQMTMRNIALLDSRAQEANRRRDEAADELSLIQESISTLWQERQQIRRQKMEALRWLERWRSRGQVGAAHCNGVIGFPEELPELAEFSSSDLQNATCNFSNSFKIVQGGFGCIYKGEMLGRTVTIKKFHQHNMQGPMEFRQEVQVLGSLQHPHLITLLGVCPEAWSIVYEYLPNGTLQDYLFRKSNNSPLTWNTRARMIAEIASALCFLHSFKPETIIHGDLKPETVLLDSSLRCKICGFGFCSLVSEESLLRPSFRLSTEPKGAFTYTDPEFHRTGILTTKSDIYSFGLIILQLLTGNNPVGLAALVRNAASCGKLSSILDSSAGEWPSPVASRMVELGLQFCQQNRRDRPDLTPTLVRELEQMHASEERPVPSFFLCPILQEIMHDPQVAADGFTYEGDAIREWLENGHDTSPMTNLKLNHLFLTPNHALRLAIQDWLCKS >Vigun05g299200.1.v1.2 pep primary_assembly:ASM411807v1:5:48346299:48348570:-1 gene:Vigun05g299200.v1.2 transcript:Vigun05g299200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEVAVAAEAPASIPGEPMDIMTALQLVLRKSLAYGGLARGLHESAKIIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKVTGCSCVVVKDFGEEHEAYNVVLQHVKAN >Vigun07g235000.1.v1.2 pep primary_assembly:ASM411807v1:7:35668188:35670577:-1 gene:Vigun07g235000.v1.2 transcript:Vigun07g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQGRVKEEFLGESSSFYGGYDPPTIMIVPQPVEGLHETGPPPFLTKTYDIVDDPSTDHIISWSKGNNSFVVWDPQAFSITLLPRFFKHNNFSSFVRQLNTYGFKKVDPDKWEFANEMFLRGKRILLKSIRRRKTNHQSHAAQQGVVDPCVEVGLFGLDGEVDRLKRDRQVLMVELVKLRQQQQNTRVHIQAMEGRLKKTEQKQQQMMNFLARAMQNPNFVQQLVQQKELRKELKEAFPKKRRSLDQGPSNVVVEVGELDCVEEYSSFVKLEPQVYTEKVLDFEVPDLDLVLNLEEQIGSQKRMEGDYIHVEGLDKDIDEVFWQDLLNEGIEDQGVIGVDVLAQQLGYLASTSK >Vigun07g235000.2.v1.2 pep primary_assembly:ASM411807v1:7:35668188:35670577:-1 gene:Vigun07g235000.v1.2 transcript:Vigun07g235000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQGRVKEEFLGESSSFYGGYDPPTIMIVPQPVEGLHETGPPPFLTKTYDIVDDPSTDHIISWSKGNNSFVVWDPQAFSITLLPRFFKHNNFSSFVRQLNTYGFKKVDPDKWEFANEMFLRGKRILLKSIRRRKTNHQSHAAQQGVVDPCVEVGLFGLDGEVDRLKRDRQVLMVELVKLRQQQQNTRVHIQAMEGRLKKTEQKQQQMMNFLARAMQNPNFVQQLVQQKELRKELKEAFPKKRRSLDQGPSNVVVEVGELDCVEEYSSFVKLEPQVYTEKVLDFEVPDLDLVLNLEEQIGSQKRMEGDYIHVEGLDKDIDEVFWQDLLNEGIEDQGVIGVDVLAQQLGYLASTSK >Vigun03g255200.1.v1.2 pep primary_assembly:ASM411807v1:3:42160416:42162887:1 gene:Vigun03g255200.v1.2 transcript:Vigun03g255200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHYPLAVPVEPCEYPKLPISNPPLSISSHCLNLSSTFRPKTHNPSLFPRLRRIAQKAKAKPQEPEVSVASDAFTEFKHLLLPITDRNPYLSEGTKQAIATTSALAKKYGADITVVVIDEQQKESLPEHEAQLSSIRWHLSEGGLKDYNLLERLGEGSKPTAIIGDVADDLNLDLVVISMEAIHTKHIDANLLAEFIPCPVMLLPL >Vigun03g255200.2.v1.2 pep primary_assembly:ASM411807v1:3:42160416:42162888:1 gene:Vigun03g255200.v1.2 transcript:Vigun03g255200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHYPLAVPVEPCEYPKLPISNPPLSISSHCLNLSSTFRPKTHNPSLFPRLRRIAQKVIDEQQKESLPEHEAQLSSIRWHLSEGGLKDYNLLERLGEGSKPTAIIGDVADDLNLDLVVISMEAIHTKHIDANLLAEFIPCPVMLLPL >Vigun07g191900.1.v1.2 pep primary_assembly:ASM411807v1:7:31018772:31028232:-1 gene:Vigun07g191900.v1.2 transcript:Vigun07g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEKDFDSKLKIQGNSSSSNGGGNVPRSKSFAFRAPQENYTIQDFELGKIYGVGSYSKVVRAKKKDTGTIYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDSFSLYMALESCEGGELFDQITRKGRLTEDEARFYAAEVVDALEYIHSLGVIHRDIKPENLLLTADGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIVARELRFPDYFSDEARELIDRLLDLEPSRRPGAGPDGYAILKAHPFFKGVNWNNLRAQIPPKLAPEPGTQSPAADDVHDSSWSPSHIGDGSSGSLRLPDGATSSDGSSHITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPNDLSIQVTTASNFKICTPKKVMSFEDAKQRAWQWKKAIEGLQNR >Vigun04g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3637357:3642078:1 gene:Vigun04g041900.v1.2 transcript:Vigun04g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEVWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPTTSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDMNRYTLKNGASGEIVIKHYNKEQEANQSNFRDPESSADFEVQEKLSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDFSDDGGEFDSE >Vigun02g100300.1.v1.2 pep primary_assembly:ASM411807v1:2:25528814:25529995:-1 gene:Vigun02g100300.v1.2 transcript:Vigun02g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAFQVGLGLNLKDRDLYFETWREFVSGQIATSIGFLHSKKCLIGDFSSLEIFFGFPLLIALSVLLPFDDQHRCIADPSFC >Vigun07g111700.3.v1.2 pep primary_assembly:ASM411807v1:7:20728597:20742392:-1 gene:Vigun07g111700.v1.2 transcript:Vigun07g111700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIFSFYRCLLRMLLDRTRNIITLGLERALSTGNFELKRFSMARKGVTQVLSRLSFISALAQMTRVSPQFEKSKEGKEPRLVHPSQFGLLCPIDTPVGQSCGLIKHLALMTRVTTDEEKDPLISMCYSLGVEDLELLSAEELHTPDSSLVIFDGLILGKHRKPRHFATAIRKLRRNGKIGKFVSVHFNWKQHRVYLAADGGRLCRPLVIAKKGKSRIKQHHIEDFIDGVCTFDDFLRNGLLEYVDADEENDIMIALSEAEATSETTHIEIHASTMFGVAAGLIPYLHHNDTWSDTYQCAIGRQAMGNIAFNQNSRMDSLLNGLAYPQLPLVTTMTIELIGYDQLGAGQNAMVAVMKSESSENSITMNKASLDRGFGRCLVMKKHNAVIQKYGNNTSDRILRPNRASNTAGCMLKLDDDGMVAPGEIIETGDIYINKQSPIDTHTPRTVSAGNLPDSAYRSNAQSFKGHGGEVVDKVVLCSDENNNLCIKFLIRHTRTPEIGDRFSDRHGQKGVCGAILPQEDFPFSENGICPDLMFNTCLFPPSAGWLFELLGGKAGVSCGRFFSSNAFGEEIGHAAYTKALGEILVSKGFSYTGHELLYSGTLGCPLQHSYIFMGPIYYQKSKHMVLDKMHAHGKGPQIPLTRQPAKGIRLGERERDCLIGYGASMLIYERLMLSSDPLEVQVCTACGLLGYYNHKLKTGVCSFCKNRTNISTMKMPYACKLLIQELQSMNIVPRIKLEDA >Vigun07g111700.2.v1.2 pep primary_assembly:ASM411807v1:7:20728595:20742393:-1 gene:Vigun07g111700.v1.2 transcript:Vigun07g111700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVTQVLSRLSFISALAQMTRVSPQFEKSKEGKEPRLVHPSQFGLLCPIDTPVGQSCGLIKHLALMTRVTTDEEKDPLISMCYSLGVEDLELLSAEELHTPDSSLVIFDGLILGKHRKPRHFATAIRKLRRNGKIGKFVSVHFNWKQHRVYLAADGGRLCRPLVIAKKGKSRIKQHHIEDFIDGVCTFDDFLRNGLLEYVDADEENDIMIALSEAEATSETTHIEIHASTMFGVAAGLIPYLHHNDTWSDTYQCAIGRQAMGNIAFNQNSRMDSLLNGLAYPQLPLVTTMTIELIGYDQLGAGQNAMVAVMKSESSENSITMNKASLDRGFGRCLVMKKHNAVIQKYGNNTSDRILRPNRASNTAGCMLKLDDDGMVAPGEIIETGDIYINKQSPIDTHTPRTVSAGNLPDSAYRSNAQSFKGHGGEVVDKVVLCSDENNNLCIKFLIRHTRTPEIGDRFSDRHGQKGVCGAILPQEDFPFSENGICPDLMFNTCLFPPSAGWLFELLGGKAGVSCGRFFSSNAFGEEIGHAAYTKALGEILVSKGFSYTGHELLYSGTLGCPLQHSYIFMGPIYYQKSKHMVLDKMHAHGKGPQIPLTRQPAKGIRLGERERDCLIGYGASMLIYERLMLSSDPLEVQVCTACGLLGYYNHKLKTGVCSFCKNRTNISTMKMPYACKLLIQELQSMNIVPRIKLEDA >Vigun07g111700.4.v1.2 pep primary_assembly:ASM411807v1:7:20728595:20742348:-1 gene:Vigun07g111700.v1.2 transcript:Vigun07g111700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVTQVLSRLSFISALAQMTRVSPQFEKSKEGKEPRLVHPSQFGLLCPIDTPVGQSCGLIKHLALMTRVTTDEEKDPLISMCYSLGVEDLELLSAEELHTPDSSLVIFDGLILGKHRKPRHFATAIRKLRRNGKIGKFVSVHFNWKQHRVYLAADGGRLCRPLVIAKKGKSRIKQHHIEDFIDGVCTFDDFLRNGLLEYVDADEENDIMIALSEAEATSETTHIEIHASTMFGVAAGLIPYLHHNDTWSDTYQCAIGRQAMGNIAFNQNSRMDSLLNGLAYPQLPLVTTMTIELIGYDQLGAGQNAMVAVMKSESSENSITMNKASLDRGFGRCLVMKKHNAVIQKYGNNTSDRILRPNRASNTAGCMLKLDDDGMVAPGEIIETGDIYINKQSPIDTHTPRTVSAGNLPDSSAYRSNAQSFKGHGGEVVDKVVLCSDENNNLCIKFLIRHTRTPEIGDRFSDRHGQKGVCGAILPQEDFPFSENGICPDLMFNTCLFPPSAGWLFELLGGKAGVSCGRFFSSNAFGEEIGHAAYTKALGEILVSKGFSYTGHELLYSGTLGCPLQHSYIFMGPIYYQKSKHMVLDKMHAHGKGPQIPLTRQPAKGIRLGERERDCLIGYGASMLIYERLMLSSDPLEVQVCTACGLLGYYNHKLKTGVCSFCKNRTNISTMKMPYACKLLIQELQSMNIVPRIKLEDA >Vigun07g111700.1.v1.2 pep primary_assembly:ASM411807v1:7:20728597:20747010:-1 gene:Vigun07g111700.v1.2 transcript:Vigun07g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCCCYDEMSNGYNFKKEEPSDKEYMGNKRFTLSGQLMSLLFEDLFDSMSSEIKNLTDKILGKPDRRPIDFDISTLLDRTRNIITLGLERALSTGNFELKRFSMARKGVTQVLSRLSFISALAQMTRVSPQFEKSKEGKEPRLVHPSQFGLLCPIDTPVGQSCGLIKHLALMTRVTTDEEKDPLISMCYSLGVEDLELLSAEELHTPDSSLVIFDGLILGKHRKPRHFATAIRKLRRNGKIGKFVSVHFNWKQHRVYLAADGGRLCRPLVIAKKGKSRIKQHHIEDFIDGVCTFDDFLRNGLLEYVDADEENDIMIALSEAEATSETTHIEIHASTMFGVAAGLIPYLHHNDTWSDTYQCAIGRQAMGNIAFNQNSRMDSLLNGLAYPQLPLVTTMTIELIGYDQLGAGQNAMVAVMKSESSENSITMNKASLDRGFGRCLVMKKHNAVIQKYGNNTSDRILRPNRASNTAGCMLKLDDDGMVAPGEIIETGDIYINKQSPIDTHTPRTVSAGNLPDSAYRSNAQSFKGHGGEVVDKVVLCSDENNNLCIKFLIRHTRTPEIGDRFSDRHGQKGVCGAILPQEDFPFSENGICPDLMFNTCLFPPSAGWLFELLGGKAGVSCGRFFSSNAFGEEIGHAAYTKALGEILVSKGFSYTGHELLYSGTLGCPLQHSYIFMGPIYYQKSKHMVLDKMHAHGKGPQIPLTRQPAKGIRLGERERDCLIGYGASMLIYERLMLSSDPLEVQVCTACGLLGYYNHKLKTGVCSFCKNRTNISTMKMPYACKLLIQELQSMNIVPRIKLEDA >Vigun05g022600.1.v1.2 pep primary_assembly:ASM411807v1:5:1908529:1909571:-1 gene:Vigun05g022600.v1.2 transcript:Vigun05g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARTRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKRGAATTA >Vigun09g142600.1.v1.2 pep primary_assembly:ASM411807v1:9:30492760:30493515:-1 gene:Vigun09g142600.v1.2 transcript:Vigun09g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYGASKFMTFVAVAALLLLMFSMVASADQPAIKLGRRLFQYELPPAYGSYPGGGGYNPKP >Vigun03g306200.6.v1.2 pep primary_assembly:ASM411807v1:3:49697916:49704295:-1 gene:Vigun03g306200.v1.2 transcript:Vigun03g306200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSLLSKTTCCSVVVWSWMLVALMRVQGAGARPNRREQGSIIKLPSEAVDADSDEVGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHDLNPRPGIIINHPQGPDVYAGVPKDYTGENVTSRNFYAVLLGDKSKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFMDVLKKKHASGGYKKMVIYVEACESGSIFEGIIPKDLNIFVTTASNAQENSWGTYCPGMIPSPPPEYITCLGDLYSVAWMEDSESHNLKRESVAQQYQSVKQRTSNLQDYGMGSHVMQYGDANITDEKLYLYQGFDPATVNFPPHNRLEAKMEVVNQRDAELFFMWQMYQRSNQQPEKKTDILKQITETVKHRKHLDGSVELIGVLLYGPGKASSVLQSVRTPGLPLVDDWTCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSETSMENACVAACGGYQAGQLHPSNIGYSA >Vigun03g306200.4.v1.2 pep primary_assembly:ASM411807v1:3:49697803:49704399:-1 gene:Vigun03g306200.v1.2 transcript:Vigun03g306200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSLLSKTTCCSVVVWSWMLVALMRVQGAGARPNRREQGSIIKLPSEAVDADSDEVGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHDLNPRPGIIINHPQGPDVYAGVPKDYTGENVTSRNFYAVLLGDKSKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFMDVLKKKHASGGYKKMVIYVEACESGSIFEGIIPKDLNIFVTTASNAQENSWGTYCPGMIPSPPPEYITCLGDLYSVAWMEDSESHNLKRESVAQQYQSVKQRTSNLQDYGMGSHVMQYGDANITDEKLYLYQGFDPATVNFPPHNRLEAKMEVVNQRDAELFFMWQMYQRSNQQPEKKTDILKQITETVKHRKHLDGSVELIGVLLYGPGKASSVLQSVRTPGLPLVDDWTCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSETSMENACVAACGGYQAGQLHPSNIGYSA >Vigun03g306200.1.v1.2 pep primary_assembly:ASM411807v1:3:49697797:49704485:-1 gene:Vigun03g306200.v1.2 transcript:Vigun03g306200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSLLSKTTCCSVVVWSWMLVALMRVQGAGARPNRREQGSIIKLPSEAVDADSDEVGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHDLNPRPGIIINHPQGPDVYAGVPKDYTGENVTSRNFYAVLLGDKSKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFMDVLKKKHASGGYKKMVIYVEACESGSIFEGIIPKDLNIFVTTASNAQENSWGTYCPGMIPSPPPEYITCLGDLYSVAWMEDSESHNLKRESVAQQYQSVKQRTSNLQDYGMGSHVMQYGDANITDEKLYLYQGFDPATVNFPPHNRLEAKMEVVNQRDAELFFMWQMYQRSNQQPEKKTDILKQITETVKHRKHLDGSVELIGVLLYGPGKASSVLQSVRTPGLPLVDDWTCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSETSMENACVAACGGYQAGQLHPSNIGYSA >Vigun03g306200.5.v1.2 pep primary_assembly:ASM411807v1:3:49698643:49704398:-1 gene:Vigun03g306200.v1.2 transcript:Vigun03g306200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSLLSKTTCCSVVVWSWMLVALMRVQGAGARPNRREQGSIIKLPSEAVDADSDEVGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHDLNPRPGIIINHPQGPDVYAGVPKDYTGENVTSRNFYAVLLGDKSKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFMDVLKKKHASGGYKKMVIYVEACESGSIFEGIIPKDLNIFVTTASNAQENSWGTYCPGMIPSPPPEYITCLGDLYSVAWMEDSESHNLKRESVAQQYQSVKQRTSNLQDYGMGSHVMQYGDANITDEKLYLYQGFDPATVNFPPHNRLEAKMEVVNQRDAELFFMWQMYQRSNQQPEKKTDILKQITETVKHRKHLDGSVELIGVLLYGPGKASSVLQSVRTPGLPLVDDWTCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSETSMENACVAACGGYQAGQLHPSNIGYSA >Vigun03g306200.3.v1.2 pep primary_assembly:ASM411807v1:3:49697681:49704501:-1 gene:Vigun03g306200.v1.2 transcript:Vigun03g306200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSLLSKTTCCSVVVWSWMLVALMRVQGAGARPNRREQGSIIKLPSEAVDADSDEVGTRWAVLVAGSNGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANHDLNPRPGIIINHPQGPDVYAGVPKDYTGENVTSRNFYAVLLGDKSKVKGGSGKVINSKPEDRIFIYYSDHGGPGVLGMPNMPYLYAMDFMDVLKKKHASGGYKKMVIYVEACESGSIFEGIIPKDLNIFVTTASNAQENSWGTYCPGMIPSPPPEYITCLGDLYSVAWMEDSESHNLKRESVAQQYQSVKQRTSNLQDYGMGSHVMQYGDANITDEKLYLYQGFDPATVNFPPHNRLEAKMEVVNQRDAELFFMWQMYQRSNQQPEKKTDILKQITETVKHRKHLDGSVELIGVLLYGPGKASSVLQSVRTPGLPLVDDWTCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSETSMENACVAACGGYQAGQLHPSNIGYSA >Vigun03g109000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9765428:9767951:1 gene:Vigun03g109000.v1.2 transcript:Vigun03g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEHCVSQQWKSIPSSVTVTENFDGCFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKEDICHTTMVPLYGRGQAIAHSDRDVKASYRGVFIPPRPPALGTQSLMPTSSQSGQQLPYRNPYQTQHFNPPLYQEEDESSSQMLLSPGAMAPGFPHLVVGMFGEMFYTRVFGNSENVYTYPNSYHLAGSNSPRLRRQEMQADKSLNRISIFLFCCFLLCLIVF >Vigun01g133700.2.v1.2 pep primary_assembly:ASM411807v1:1:31187565:31190575:1 gene:Vigun01g133700.v1.2 transcript:Vigun01g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAVETEDVSSDMYLSLGLKETDKGVGVPRVLSLLSSVLERLVLRNETLLEANHIKDVVTVFQGLRAPTLSVRKYIDRIFKYSGCSPSCFVVAHIYVDRFIQHTEMKLTSLNVHRLLITSIMLAAKFIDDAFYNNAYYAKVGGVSTSELNRLEMSFLFGVDFRLQVSVDTFGRYCRQLEKEAAETLQIERPMQACRIKESWSNKDDPTCASTIAR >Vigun01g133700.1.v1.2 pep primary_assembly:ASM411807v1:1:31187602:31190575:1 gene:Vigun01g133700.v1.2 transcript:Vigun01g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAVETEDVSSDMYLSLGLKETDKGVGVPRVLSLLSSVLERLVLRNETLLEANHIKDVVTVFQGLRAPTLSVRKYIDRIFKYSGCSPSCFVVAHIYVDRFIQHTEMKLTSLNVHRLLITSIMLAAKFIDDAFYNNAYYAKVGGVSTSELNRLEMSFLFGVDFRLQVSVDTFGRYCRQLEKEAAETLQIERPMQACRIKESWSNKDDPTCASTIAR >Vigun05g110900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11566223:11567446:1 gene:Vigun05g110900.v1.2 transcript:Vigun05g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTATADGVPAPYNSTVATSLGRRRIPIHTTFYWGHKVDILFRCWPGDSAAMYAVALLLVFFMAVLVEWLSFTNIVKLKPGGSNDVVGGLLKTGLYGVRSGLSYLVMLAVMSFNGGVFVVAISGHVIGFLIFGTRALRRKSNGLDSSKP >Vigun02g068300.1.v1.2 pep primary_assembly:ASM411807v1:2:21814543:21820511:1 gene:Vigun02g068300.v1.2 transcript:Vigun02g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAFTTPRGSLSSSIGDASELEANLTLSDRLKVFKSSSFDPNGYVASKSRSMNEKEIRHLCSYLIELKKASAEEMRKSVLANYSAFIRTSKEISDLEGELLSMRNLLSTQAALVHGLADGCELSPMISGNEDSELDDILDEKTELSKTEKWLIGYLETLEVLLAEKRVDEAMAALEEGENMVKEINKVGTLSPSLFEALQDAIAEHRQKLADQLAETICQPSTSSAEIRSTALSLKKLGDGPRAHTLLLSSRQGTLQRTMKTFQSSNYGGVGAFTAALSQLVFSTISQAALDSLSVFSEEPAYTSELVTWAVAEAEKHAALLKKCILASTAAAGGLRVASECVHVCMSHCYLLETTGLALSPVLIKYFRPFVEQALKTNLKRIEQSSSALAAAEDWVLAFAPTSNRPSGQPPSSHSNLGLLQPKLSSSAHKFNSMVQELFEDVGPLEILQLDVLAVEGLIHVFNFYVNLLINALPGSVVTENIEGHRIVKLAETEAQQIALMANAILLADELLPRAVAKLSHSTKGDDSQKKGSEKQRELKKRLQREVDRLRDSFCRQHALELIFTEEGEARLNALIYLGMDGNVEQPEWFPSPIFQEIFAKLTEVASVAADMFVGRERFATVLLMRLAETVVLFLSDDQAFWEEVETGSTPLGPIGLQQLYLDMQFVMIFASQGRYLSRHLHQAIKNIIERAINALAATGLDPNSVLPEDEWFVEVSEIAIKMLTGRAAFDSVEEDIPSPTSSIQT >Vigun10g178000.1.v1.2 pep primary_assembly:ASM411807v1:10:39632725:39634846:1 gene:Vigun10g178000.v1.2 transcript:Vigun10g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLPCNTFLQTTFVFNPSKLPSTTQTHACFIPQTKTLHRRTQVKCSAGENQGEKRAFLSLEEAGLVELSGLSTHERFLCRLTISSLNLLRVISEQEGCPIEELNAAKVCDWFLKDKLKREQNIGSAVLQWDDSEFQF >Vigun10g178000.2.v1.2 pep primary_assembly:ASM411807v1:10:39632725:39634846:1 gene:Vigun10g178000.v1.2 transcript:Vigun10g178000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLPCNTFLQTTFVFNPSKLPSTTQTHACFIPQTKTLHRRTQVKCSAGENQGEKRAFLSLEEAGLVELSGLSTHERFLCRLTISSLNLLRVISEQEGCPIEELNAAKGYLNWKML >Vigun04g076000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9765491:9766791:1 gene:Vigun04g076000.v1.2 transcript:Vigun04g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAVTIDGAVDARVTISTSFFPLRERYFVLVFCVRSQPRLAATVLTTAIGTREHHGNLTTDLRDPNHLCCAPSFSFLFLRESPRFGNRITEPHHNAPNHTRSYHHVHLGTKPTQIMKAQTIPLEAPGVPIPPSVLFLFLPLYICFFQLFVFSLVRMFRAKKNCVRSSVRSP >Vigun11g167900.1.v1.2 pep primary_assembly:ASM411807v1:11:37476386:37481564:1 gene:Vigun11g167900.v1.2 transcript:Vigun11g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPFQSVARPPPPPWFPILPSEPPNSTSFWENRNVSDRLRELQHTLNLAKAMQKELEMLMVIKDGKGALEGVKLVTDEPCLSGFLKCIEDGGVSVESHESFAVEAANSLMSKLRAQLEPFRYVADEASPWEEKSAVARLANKVNKSKRNKLWRKKKRKRVAEMIAKEREQFEQIDREADDWVAREKAKEIANSKVLKMKEIAKSKAKEEKKKLEAELELLLVVEKLQELRSIRIQRLKKQGHFLPEEDDKFLERVQAAVEEEEREALAAVEADAAKEAIATAEESRKAIQNQGKPSKEDNDDSEVKENKEQIVSSVTEDGSGALDENKSSKIGQISGGAYDPLANLPIEFYHYYHGSNNDMGTLIEVRRGWDAYIRPGGSRIPGHWVQPPPPANEIWASYLVRPR >Vigun08g126400.1.v1.2 pep primary_assembly:ASM411807v1:8:29638523:29640690:1 gene:Vigun08g126400.v1.2 transcript:Vigun08g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQIQTRQFGAACPRVKLAPASATKRSGSVWLRRKNGGPKCSVAAAASTAQLEVKSESKTKPFPAEVSRTLMELAKVGTLCTLTEEGLPLGTGVRFAVEPEHGTPLFCFNSSDNNNIPSSLHVQFEQFGLRTPQCTVQGTLTKPQDPKRFVSVWRKRFGEDVDQDSIYIIAVDRVLQLEDIQEDGVWVTSSDYSNAQPDPLRDSAHNLVTEINTNNMEDITRFCNVYVDLDFLVSEAKMIWVDRLGFDMRLSSPHRGVFEVRIPFPREVTDEKGAKSTFNCMSQLAWEVEKNFQHPHFPKVKELKPVRSSLL >Vigun06g080900.1.v1.2 pep primary_assembly:ASM411807v1:6:21278274:21282614:1 gene:Vigun06g080900.v1.2 transcript:Vigun06g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISCFLLLTFLFTTSTLDVVVGAVGVNWGTMASHPLPPLKVVKLLKSNNINKVKLFDANSDVLQSLSGSNVAVTVGIPNAMLRSLNSSKKAADSWVHDNVTRYIPNGGSVTRIEYVAVGDEPFHKSYGEQFHPFVIGAAMNIQAALKKAKLDSKVKVVVPCSFDSFETGFNTSSEVHFRPDVNKTMTELLMFLGKLGSPFFVTISPFITHLENKNISLDFSLFRETARPHSFSHKTYKNSFDLSYDTVVTALSSAGYPNMDIVVAKIGWPTDGAANASSNLAETFIKGLINHLRSNLGTPLRPHKPPLETYILSLLDEDQRSIASGNFERHWGLFTFDGQAKYRVDLGQGSNSLVNAQNVEYLSSKWCVVNNNKDLSNASASALEACANADCTALSPGGSCFNISWPSNISYAFNSYYQQHDQRAESCDFAGLGLITTVDPSMDHCRFPIEIRISHAEFHRLCNFQWLILLVTTLLAAAFSV >Vigun06g080900.2.v1.2 pep primary_assembly:ASM411807v1:6:21278312:21282575:1 gene:Vigun06g080900.v1.2 transcript:Vigun06g080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISCFLLLTFLFTTSTLDVVVGAVGVNWGTMASHPLPPLKVVKLLKSNNINKVKLFDANSDVLQSLSGSNVAVTVGIPNAMLRSLNSSKKAADSWVHDNVTRYIPNGGSVTRIEYVAVGDEPFHKSYGEQFHPFVIGAAMNIQAALKKAKLDSKVKVVVPCSFDSFETGFNTSSEVHFRPDVNKTMTELLMFLGKLGSPFFVTISPFITHLENKNISLDFSLFRETARPHSFSHKTYKNSFDLSYDTVVTALSSAGYPNMDIVVAKIGWPTDGAANASSNLAETFIKGLINHLRSNLGTPLRPHKPPLETYILSLLDEDQRSIASGNFERHWGLFTFDGQAKYRVDLGQGSNSLVNAQNVEYLSSKWCVVNNNKDLSNASASALEACANADCTALSPGGSCFNISWPSNISYAFNSYYQQHDQRAESCDFAGLGLITTVDPSMDHCRFPIEIRISHAEFHRLCNFQWLILLVTTLLAAAFSV >Vigun05g105650.1.v1.2 pep primary_assembly:ASM411807v1:5:10678446:10679111:-1 gene:Vigun05g105650.v1.2 transcript:Vigun05g105650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFLLTAFYHGLCFVFAILQQQRQKLRIDGRKWLEIEGMESLILHS >Vigun05g105650.2.v1.2 pep primary_assembly:ASM411807v1:5:10678446:10679111:-1 gene:Vigun05g105650.v1.2 transcript:Vigun05g105650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFLLTAFYHGLCFVFAILQQQRQKLRIDGRKWLEIEGMESLILHS >Vigun09g272600.1.v1.2 pep primary_assembly:ASM411807v1:9:43404047:43407806:-1 gene:Vigun09g272600.v1.2 transcript:Vigun09g272600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPRDGSQKGSLKSSEAEKEEFDFSKALDRPRSLYIERQRSCDERSLSELSVGFSPRHLISKIDISSRLAEHVDHIHSPLSKSGINTPRSAPWESHSLTSEAWEALRRSLVYFRGRPVGTIAALDSSDEQLNYDQVFVRDFVPSALSFLMHGEPEIVKNFILKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKQDAEGKEFMERIVKRLHALSYHMRSHFWLDLKQLNDVYRYKTEEYSHTAVNKFNVIPESLPDWVFDFMPQLGGYFVGNVSPARMDFRWFCLGNCVAILSCMATPEQSTAIMDLIESRWEELIGEMPVKVCYPALDSHEWMIITGCDPKNTRWSYHNGGSWPVLLWLLAAASIKTGRPQIARRALQIAEGKLLKDNWPEYYDGKGGRYIGKQARKFQTWSIAGYLAARMMLDDPSHIGLVALEEDKLLKPLLKRSNSWTL >Vigun09g272600.4.v1.2 pep primary_assembly:ASM411807v1:9:43404181:43407370:-1 gene:Vigun09g272600.v1.2 transcript:Vigun09g272600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPRDGSQKGSLKSSEAEKEEFDFSKALDRPRSLYIERQRSCDERSLSELSVGFSPRHLISKIDISSRLAEHVDHIHSPLSKSGINTPRSAPWESHSLTSEAWEALRRSLVYFRGRPVGTIAALDSSDEQLNYDQVFVRDFVPSALSFLMHGEPEIVKNFILKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKQDAEGKEFMERIVKRLHALSYHMRSHFWLDLKQLNDVYRYKTEEYSHTAVNKFNVIPESLPDWVFDFMPQLGGYFVGNVSPARMDFRWFCLGNCVAILSCMATPEQSTAIMDLIESRWEELIGEMPVKVCYPALDSHEWMIITGCDPKNTRWSYHNGGSWPVLLWLLAAASIKTGRPQIARRALQIAEGKLLKDNWPEYYDGKGGRYIGKQARKFQTWSIAGYLAARMMLDDPSHIGLVALEEDKLLKPLLKRSNSWTL >Vigun09g272600.3.v1.2 pep primary_assembly:ASM411807v1:9:43404050:43407784:-1 gene:Vigun09g272600.v1.2 transcript:Vigun09g272600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPRDGSQKGSLKSSEAEKEEFDFSKALDRPRSLYIERQRSCDERSLSELSVGFSPRHLISKIDISSRLAEHVDHIHSPLSKSGINTPRSAPWESHSLTSEAWEALRRSLVYFRGRPVGTIAALDSSDEQLNYDQVFVRDFVPSALSFLMHGEPEIVKNFILKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKQDAEGKEFMERIVKRLHALSYHMRSHFWLDLKQLNDVYRYKTEEYSHTAVNKFNVIPESLPDWVFDFMPQLGGYFVGNVSPARMDFRWFCLGNCVAILSCMATPEQSTAIMDLIESRWEELIGEMPVKVCYPALDSHEWMIITGCDPKNTRWSYHNGGSWPVLLWLLAAASIKTGRPQIARRALQIAEGKLLKDNWPEYYDGKGGRYIGKQARKFQTWSIAGYLAARMMLDDPSHIGLVALEEDKLLKPLLKRSNSWTL >Vigun09g272600.2.v1.2 pep primary_assembly:ASM411807v1:9:43404056:43407513:-1 gene:Vigun09g272600.v1.2 transcript:Vigun09g272600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPRDGSQKGSLKSSEAEKEEFDFSKALDRPRSLYIERQRSCDERSLSELSVGFSPRHLISKIDISSRLAEHVDHIHSPLSKSGINTPRSAPWESHSLTSEAWEALRRSLVYFRGRPVGTIAALDSSDEQLNYDQVFVRDFVPSALSFLMHGEPEIVKNFILKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKQDAEGKEFMERIVKRLHALSYHMRSHFWLDLKQLNDVYRYKTEEYSHTAVNKFNVIPESLPDWVFDFMPQLGGYFVGNVSPARMDFRWFCLGNCVAILSCMATPEQSTAIMDLIESRWEELIGEMPVKVCYPALDSHEWMIITGCDPKNTRWSYHNGGSWPVLLWLLAAASIKTGRPQIARRALQIAEGKLLKDNWPEYYDGKGGRYIGKQARKFQTWSIAGYLAARMMLDDPSHIGLVALEEDKLLKPLLKRSNSWTL >Vigun07g189900.2.v1.2 pep primary_assembly:ASM411807v1:7:30801093:30808779:-1 gene:Vigun07g189900.v1.2 transcript:Vigun07g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEGPRKHAASPPPKSPSLDSEEKPTYIRFLVSNSAAGSVIGKGGSTITEFQSQSGARIQLSRNHEFFPGTTDRIIMVSGAINEILTAVGLILSKLLSELHSEDDNDAEPKTKVKLIVPNGSCGGIIGKGGATIRSFIEDSQAGIKISPQDNNYYGQNDRLVTLTGTLEEQIRAIELIVSKLAEDPQYAQSMNSPFSYPGVYFSGYQGVPYTYVLPSVAPPAYNAVNYRPNGAGAKFQNNKEERSNSVTMGVADDHIGLVVGRGGRNIMEISQVSGARIKISDRGDYISGTTDRKVTITGAQRAIRTAESMILQKVAYASERVIE >Vigun07g189900.1.v1.2 pep primary_assembly:ASM411807v1:7:30801108:30808779:-1 gene:Vigun07g189900.v1.2 transcript:Vigun07g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEGPRKHAASPPPKSPSLADNNVDSEEKPTYIRFLVSNSAAGSVIGKGGSTITEFQSQSGARIQLSRNHEFFPGTTDRIIMVSGAINEILTAVGLILSKLLSELHSEDDNDAEPKTKVKLIVPNGSCGGIIGKGGATIRSFIEDSQAGIKISPQDNNYYGQNDRLVTLTGTLEEQIRAIELIVSKLAEDPQYAQSMNSPFSYPGVYFSGYQGVPYTYVLPSVAPPAYNAVNYRPNGAGAKFQNNKEERSNSVTMGVADDHIGLVVGRGGRNIMEISQVSGARIKISDRGDYISGTTDRKVTITGAQRAIRTAESMILQKVAYASERVIE >Vigun07g189900.3.v1.2 pep primary_assembly:ASM411807v1:7:30801108:30808779:-1 gene:Vigun07g189900.v1.2 transcript:Vigun07g189900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEGPRKHAASPPPKSPSLDSEEKPTYIRFLVSNSAAGSVIGKGGSTITEFQSQSGARIQLSRNHEFFPGTTDRIIMVSGAINEILTAVGLILSKLLSELHSEDDNDAEPKTKVKLIVPNGSCGGIIGKGGATIRSFIEDSQAGIKISPQDNNYYGQNDRLVTLTGTLEEQIRAIELIVSKLAEDPQYAQSMNSPFSYPGVYFSGYQGVPYTYVLPSVAPPAYNAVNYRPNGAGAKFQNNKEERSNSVTMGVADDHIGLVVGRGGRNIMEISQVSGARIKISDRGDYISGTTDRKVTITGAQRAIRTAESMILQKVAYASERVIE >Vigun07g259100.1.v1.2 pep primary_assembly:ASM411807v1:7:37605476:37607425:1 gene:Vigun07g259100.v1.2 transcript:Vigun07g259100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLAEAAATGNVDELERLMREEMLLLDGVSLHGAQTPLHIASMCGHVSFVQKMLELKNQFAYELNQDGFSPMHLASANGHLQVVLELVKVDHQLCDIEGREGSLPLHCASVKGRIDVMKALLSAAPLTVQSKTVRGETPLHIAVKNNHFDAVKLVVEHAKGLKMERAVLNDKDNQANTVLHIAASRKQYQIVDLLLVEDDVAKEVMVVNPVNERGMTPLDVLTLFQSEVGDLEIYRSLVKAGAKSGKDIENEGGRIGQAVAPLEIEIVHGNEVPPTNCTNEESSNLPTRTGYNWLGEEELELFEYKPNRDSTNDVRNILLTIAALMLTATYQAVLSPPGGLWQEDKDGNTAGKSIVSTKSKLAFLFFILGNSVGYYTSFYMIMWLTSGFPLQYPLQLLLFFMSFNYTVSMLFLVSGDNIYYMLAWLAISFGWFAPFVLPKLLRRLRFLLQN >Vigun07g188100.1.v1.2 pep primary_assembly:ASM411807v1:7:30484550:30488082:-1 gene:Vigun07g188100.v1.2 transcript:Vigun07g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGICSIQLQALTPEAATVVKQAVNLATRRGHAQVTPLHVASAMLATSTGLLRKACLQCHSHPLQCKALELCFNVALNRLPASTSSPLLAPQYSSPSLSNALVAAFKRAQAHQRRGSIENQQQHILALKIEVEQLIISILDDPSVSRVMREAGFSSTLVKTRVEQAVSMDVCSQKAPITESTKPHQAVLGDVSPSRPFVGGQFFKPLDHHVHNDDVTSVLSELVRKRRNTVIVGESVSIAEGVARGVMERFEVGNVPGELRYVQFVSLPLMCFRNISKEEVEHKVMEVRNLVKSYVGRGVILYLGDLKWLFEFWTNYCEQKTNYYCSVEHMVMEIKKLVSGGESSRLWLMGIATFKTYMKCKICHPSLETIWELHPFTIPVGSLSLSLNLDSGFQAKERSKAFSKDAAFEDVAERVQSNLTCCRDCSINFEKEAQSIVNSVSKKVCTITTSNLPTWLQNCKEEKSDTLEVQENAKLKDLCKKWNSVCNSIHRHPSVFEKPFFLVSSSPSSPTSVSSLERKSSFHHSHLNWPIICESEKSAKECELYSESGDDGYDSSFIMFMPDRDVPKPDLLSNPNSSPNSASSSEAVEGLESTEMFKEPNDENHKILCDALEKKVPQHKEVIPEIASTVLHCRSGMRKREKLSVKREDNQETWMLFLGVNCEAKESISRELAKVVFGSYSNFVTIGMSNFSSPEDDSSDEKSKRKRPREELKSTYVQRFGEAVNENPHRVFFLEDLDQVDYFSQKGLKQAIQSGRITLPCGESVPLKDAIVIFCCESFSSPKSRSPVRKTSSENQRKENTNDESFSILSLDLNIAIEDDSGNVGGYISILELVDKQINFDIEL >Vigun07g008600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:732960:733543:1 gene:Vigun07g008600.v1.2 transcript:Vigun07g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMSLCLLVILGMTLLVTASLADRRLLFTEESSVEDGHDHHHHHHHPPKKHWPPTTQQVENKEHTKEEDGHYKPPHKKNPWKKHPPLGN >Vigun02g032200.1.v1.2 pep primary_assembly:ASM411807v1:2:13567570:13569512:-1 gene:Vigun02g032200.v1.2 transcript:Vigun02g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEMCVLEERVELKSSAEKFFNFLKSQNQQIPSNVHSEKLHAVEIHEGEWNTPGSVKLWKYNIEGKEEIFKERVIMDEVNKKITYVAVGGNALELYKSYKAIVKVEKGILKLRIEYEKFNEHIPPPKKYQQFIVNIVKDIDANLVKG >Vigun09g099500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16055606:16055944:1 gene:Vigun09g099500.v1.2 transcript:Vigun09g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHNVVGLPATPIDVDHESLQTCELHSPLRRDSNHLRASTQGHCHRRRQPELESTSAAISLTRFISSLRRTMARIHVATPCGCSTSSFGGLARRRLPLFSDYNEWKGIGF >Vigun04g103300.1.v1.2 pep primary_assembly:ASM411807v1:4:24182433:24184066:-1 gene:Vigun04g103300.v1.2 transcript:Vigun04g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFFLIFLFLVLNNEAQNLKTNLYDYLELALRWPNSYCVTHEGGCRETVPQFFTISHLRPTKREGPDMQYCPTPLTLPNSTIETNKNDLLVFWPDLSTDNFIESKSLWRDQWKKFGSCYNMMPDDYFMNALNNRKRNDLKRILTSAGIVASGNSYPTHRILGSFRRALGVNVNIVCEPDRSGNFYLAEVHQCVDVSGTIPIDCDNKARGCEDDPIFPYAGFQPDLNLN >VigunL018866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000429.1:297:677:-1 gene:VigunL018866.v1.2 transcript:VigunL018866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRVITTPLTIGRLPSQHLDPALPKFFWFTPTFPTCPTVAEQFLDIKRTSPEGNFNVADFPSFAISFATAPAALANCPPFPSVISMLCMAVPKGISVEVDSSFDQSNLFPNCTSFLQSIRLSGCR >Vigun03g311100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50355010:50355753:-1 gene:Vigun03g311100.v1.2 transcript:Vigun03g311100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINSTQSLTHSNQASYFCSSPETSSSPNVLHSDEELHALASARPKKRAGRRIFKETRHPVYRGVRQRKNNKWVCEVRVPNDKSTRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSAWRLPLPASTNAKEIRRVAAAAVVAIAAEDSCVDKLQNENVNAVVECEVSRCGVGVDEDDNSRKVFREFCDLDEIRMPVTLGFEDMHEWLQNMADEPLRSPSFLTYVRDCTCLEDDAEVSLWTFSF >Vigun07g188800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30630995:30631303:1 gene:Vigun07g188800.v1.2 transcript:Vigun07g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAEAAGRLLYLKLGYSHEVELAVPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRTCKPPEVYKGKGIMYVDEVIKKKQGKKSK >Vigun07g188800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30629831:30632446:1 gene:Vigun07g188800.v1.2 transcript:Vigun07g188800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAEAAGRLLYLKLGYSHEVELAVPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRTCKPPEVYKGKGIMYVDEVIKKKQGKKSK >Vigun09g006350.1.v1.2 pep primary_assembly:ASM411807v1:9:460727:461699:-1 gene:Vigun09g006350.v1.2 transcript:Vigun09g006350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTDAQIGMFKHRTHSWVPNIDLQSLATFPNNAITWVQNNVLDFLPSAKIKYIAVGNEVNPSKESSKFAKYVLPAIQNIYKAIRDKGLQDQIKVTTAIDMSMLGNTYPPSQGSFRDDVKSYIKPIIGYLVYANAPLLANVYPYYGYSNNHNDVSLDYALFTSTQVVVLESGWPSDGESDASYYNAKTFLNNLIRRAKTGSPRRPSKPTEIYIFAMFDENQKVSGIENHFGLFSANKKKKYPFNFSG >Vigun10g187200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40334370:40337859:-1 gene:Vigun10g187200.v1.2 transcript:Vigun10g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAKPSHLHAQRDHLLLPPSSTSANVKLITFTFSHNWHTLHPPLRKVEHVCVSNSATTSLSNDHNPDSDICKLCLLGNLDRAMSYLDSMHELRIPVEDDAYVALIRLCEWKRARKEGSRVYSYVSGAKTLLSLQLGNALLSMFVRFGNLVDAWYVFGRMEKRNLFSWNVLVGGYAKAGFFDEALDLYHRMLWVGERPDVYTFPCVLRTCGGMPNLKRGREIHLHVIRYGFESDVDVLNALITMYVKCGDVSSARLVFDTMPIRDRISWNAMISGYFENGECLEGLGLFGMMIEYPVDPDLMTMTSVITACELLGDERLGREIHGFALKMGFGRDPSVHNSLIQMYSSVGLIQEAETVFSRTERRDVVTWTAMISGYENCLMPQKALETYKLMEAEGIMPDEITIALALSACSCVCNLDMGTNLHVMAKQTRLISYPIVANTLIDMYAKCKCIDKALDVFHSTRDKSIVSWTSIILGLRINNRCFEALFYFRDMILRLKPNSVTLVCVLSACARIGALTCGKEIHAHALRAGVSLDGFMPNAILDMYVRCGRMGYAWKQFFSVDHDVSAWNILLTGYAERGKGALASEIFQRMVESNVYPDEITFISILRACSRSGMVPEGLEYFNSMKYKYSITPNLKHYACVVDLLGRSGKLNEAYEFIQKMPMKPDPAVWGALLNACRIHHDVELGEHAAENIFKDDTTSVGYYILLSNLYADNGKWDKVAEVRKMMRQNGLIVDPGCSWVEVKGTVHAFLSDDNFHPQIVEINALLERFYEKMKEAGVRGPESSDMNIMEASKADIFCGHSERLAIVFGLINSGPGVPIWVTKNLYMCKNCHNIVKFISREVRREISVRDAEQFHHFKGGICSCMDEGYRS >Vigun02g026500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:9098739:9100082:1 gene:Vigun02g026500.v1.2 transcript:Vigun02g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMAAKFPHDSSFSFSRRYFHWKKKASDEDDDDNEEEILNFSTSSSHCLNEKQEDYNHPLEMPPHHATITAEHKKKPNLSKLKSALTVFTKRTQLGTRVVGTLFGHRRGHVHFAIQEDPKVGPAFLIQLATPTSVLVREMASGLVRIALECEKKKSEKSNSGLRLLEEGVWRTYCNGRKCGYASRRECGPEEWKILKAVEPISMGAGVLPVEGCEEGEVMYMRARYERVVGSRDSEAFYMMNPDGVGGPELSIYLIRV >Vigun08g224200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38190037:38193013:1 gene:Vigun08g224200.v1.2 transcript:Vigun08g224200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATCFLCPSPGSFKGSEMPIARFRCCSSSSGSSNSVSLNTRTEPRPVTTFVKAFAPATVANLGPGFDFLGCAVDGIGDIVSVRVDPQVHPGEIRISDITGQAPDKLSKNPLWNCAGIAAIEVMKMLSIRSVGLSLSLQKGLPLGSGLGSSAASAAAAAVAVNEMFGKRLSVEDLVVASLKSEEKVSGYHADNVAPSIMGGFVLIQSYEPLQLIELKFPAEKELYFVLVSPEFEAPTKKMRAALPGEIGMAHHVWNCSQAGALVASVLKGDVVGLGKALSSDKIVEPRRAPLIPGMEAVKKAALQAGAFGCTISGAGPTAVAVIDNEPTGHAIAQHMIDAFLHHGNLKASGKVLQLDRLGARRILD >Vigun08g224200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38190037:38193013:1 gene:Vigun08g224200.v1.2 transcript:Vigun08g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATCFLCPSPGSFKGSEMPIARFRCCSSSSGSSNSVSLNTRTEPRPVTTFVKAFAPATVANLGPGFDFLGCAVDGIGDIVSVRVDPQVHPGEIRISDITGQAPDKLSKNPLWNCAGIAAIEVMKMLSIRSVGLSLSLQKGLPLGSGLGSSAASAAAAAVAVNEMFGKRLSVEDLVVASLKSEEKVSGYHADNVAPSIMGGFVLIQSYEPLQLIELKFPAEKELYFVLVSPEFEAPTKKMRAALPGEIGMAHHVWNCSQAGALVASVLKGDVVGLGKALSSDKIVEPRRAPLIPGMEAVKKAALQAGAFGCTISGAGPTAVAVIDNEPTGHAIAQHMIDAFLHHGNLKASGKVLQLDRLGARRILD >Vigun01g197500.1.v1.2 pep primary_assembly:ASM411807v1:1:37411434:37427142:-1 gene:Vigun01g197500.v1.2 transcript:Vigun01g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATRSSSNYQKQFRETTKKVSRRILKTRIKQSANEIHAKQEKSIKEKVERHWSSLEPLFSEVKSVISERECLGSEENINILSSRIRSPLYKHLSSPKTIANADKVISNQDFSIEKSIKIPYLEKIPSYISWIYVNRNEKMAEDQSVIGKEQMYFDIKRGEMVICSDSEEENVKLEDVKHDFTEAEDQLLWKTLNEYGSNENVLSIIEEIVKTTASQIQERFEKLQEIKMSANKTSEDCHCRGCESDLGACLEESLSDTSKSLDKFFCRQCLIFNCALHGISQPLVYPEKQQPWSEPEGDRQPCSDQCVLLLKDVRLSSGQSTMHDPKLTVSGSSNHGERDKEVVELHASNLDWKPLERDLYLKGVEVFGKDSCLITRNLLSGLRTCLEVASYMIAEEEKMLHGSMPLTDANNNDQDNAESTEQETPSKSQLQRKKGKYSRKCIGLSPRSRKKSNGKKRSTTQYTPCRCHGICTKECTCHRDGTRCEKFCGCSRFCTNRFRGCYCAKGQCRSNLCPCFAINRECDPDICRNCWARCNDGSPGELAVDGDGQCVNMNLLLGKKERILLAKSNVAGWGVFAKNPINKDACLGEYTGELITHREAEKRGKLYNRINHSFLFDLNDKWVIDARRYGDKLKFANHSSKPNCYAKVMFVGGDHRVGIYAKENIKVGDEILYDYFYKKECAPSWARPHNEKASNQHRSIVSKHKTKVHESKEKRNKKRSLE >Vigun09g102100.2.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun09g102100.4.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTRYRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun09g102100.3.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVKISGVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun09g102100.5.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVKISGVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTRYRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun09g102100.6.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTSNRIYRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun09g102100.1.v1.2 pep primary_assembly:ASM411807v1:9:17452208:17465193:-1 gene:Vigun09g102100.v1.2 transcript:Vigun09g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRNSGSFSNANKRPSSSEDKTPSPSPKRQKVDNVAAASEKPMPPAENSKDLGMTEPPADPGECESGDAQIAGDVNPDGKADPTPPIADGSTPTVVADKPRGSFSSWAIFQKQNPNFEASVPWCRLLSQSAQNPNVLICTPNFTIGSSRGCNFPLKDQTISGNLCKIKHTQREGSAVAVLESTGSKGSVVVNGTLVKKITSCVLNSGDEVVFGLIGNHSYIFQQINPEVAVKAAEIQGGVSKYFQIERRAGDPSAVAGASILASLSSLRPDLTRWKSPSQTAGKPHQGTDVPSHSVLPDGTETGLDGLEGNSPPNIATDKAADVGASDKNLPMDCDSDDAGTEAGNVKISGVFEERHGTRDVQAASTSGTSVRSAVFKEDVHAAILDRNEIEVSFDNFPYYLSENTKNVLIAACFIHLKHREHAKYTTDLTTINPRILLSGPAGSEIYQEMLAKALAKHFGAKLLIFDSHSLLGGLTSKEAELLKDGFNGDKSCGCAKQSPLTTDMAGSMDPPASEPETQNSSNEPTPCGFESQLKFETDNLPSTSGAAKNCVFKLGDRVKYSSSSGGIYQLQTISTSNRIYRGPPNGSRGKVVLLFDDNPLSKIGVRFDKPIPDGVDLGGCCEGGQGFFCHVNDLRLENSGIEELDKLLINTLFEVVVSESRNEPFILFMKDAEKSIVGNGDPFSFKSRLENLPDNVVVIGSHTHTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEVLLASWKQQLDRDVETLKIKGNLHNLRSVLSRCGVECDGVESLCIKDQTLSIENAEKIVGWAISRHLMQNAETDPDAKLVLSCESIQYGIGILQSIQNESKSLKKSLKDIVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLANKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSGLDLNAIASMTDGYSGSDLKNLCVTAAHCPIKEILEKEKKERAAALAEGRPAPAISGSADIRSLNMEDFKYAHQQVCASVSSESVNMTELQQWNELYGEGGSRVKRTLSYFM >Vigun07g149200.1.v1.2 pep primary_assembly:ASM411807v1:7:25993321:25999141:-1 gene:Vigun07g149200.v1.2 transcript:Vigun07g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMALLLPPFHLSTPYLSTSTIVALCVFFTLLGTCVIIGHLLEENRWANESIIALLLGLCAGTVVLFVTNFQSTKILIFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILLFGVIGTVISFCLISVGALMLIQWIGMANLGIKDYLAIGAILSATDSVCTLQVLNQDETPLLYSIVFGEGVVNDATSIVLFNSVQSLDFSSSNSMTALKLLGTFLYLFCTSTALGIVVGLLSAYIMKKLYLGRHSTDRELALMMLLAYLSYMIAELLSLSGILTIFFCGIVMSHYTWHNVTLSSRTTTKHAFATLSFIAETFIFICVGMDALDIDKWKSSKGSAGISVAVSSTLFALVLIGRAAFVFPIAYIKNRINTRENTRIEFRSQFIIWWAGLMRGAVTIALSYNQFAEAKSTSSTQDSALMITSSIIVVLFSTVVFGSITKPLIEAVQPRHPKPPSFASTDNIEDLRFLLLENNGPIIQSNNQQIQRKSRISMLISHPSKTVHYFWRKFDDKFMRPVFGGRGFVPLVPGGAAEIF >Vigun11g104300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30248506:30252063:1 gene:Vigun11g104300.v1.2 transcript:Vigun11g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNMEETVPQNEKWKSSNEVIADSDINASSGFDCNICLECVQDPVVTLCGHLYCWPCIYKWLHFQRTSLDSEEQQNPQCPVCKSEVSQSSLVPLYGRGLTTRPSKGKTHQVGTVIPQRPHGPRSLSTSSVSQPISQSYQYPYGNPYYTQQFNSVPSGYTSPMLRTSGSLDNTFGIFGEMIYARVFGNQVTNMHPYANSYNLSGISNPRMRRHLMHVDKSLSRISCFLLCCLVLCLLLF >Vigun07g032100.1.v1.2 pep primary_assembly:ASM411807v1:7:3023620:3026259:1 gene:Vigun07g032100.v1.2 transcript:Vigun07g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYQTPSTSHAREEHSDYTDNEQQIREIHALTPPPRREPNWETHTHSHHSSSVSIEGGSSENFSVSREFSALVLAGSSIDHNTTTTSPMSVNMMHGNEGGGNSSINNENTNSNNLGRIGEDELLMMEETNPLAIVADSNPLGADSSSSPPRRGGNSSSSASGAALSEVTVQRVRKEEVEAKIAAWQNAKVAKINNRFKREDAVINGWENEQVQKATSWMKKVERKLEEKRARALEKMQNEIAKAHRKAEERKASAEAKRGTKVARVLEIANLMRAVGRAPTKRSFF >Vigun08g064300.2.v1.2 pep primary_assembly:ASM411807v1:8:8970080:8975365:-1 gene:Vigun08g064300.v1.2 transcript:Vigun08g064300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSGRRGVDGVAVPRKGMNHVFRDTANTRERTGQVCSKLGCSSRANIPKVAQARSSEKGKSVRPVFQSSSSSKDEIGSSSRSTSNPAKRTTEPQKVFPSQFEADSPESSSVQDEPESSELIPPSEEIQRGAKSRGPSSESSNARLMEVGSSSTVSNTRSQRNLNQRPGLRGQEIKSTGPVIHAVSSRYGLRNIRCNTISYVIPSSCSSSDSSHNRRKDTTKKRDGEGESSSTVRGKNVIGSSSEGRSSGSRNGISISDSRRTRNTFSHRNTSLAPVRTQRSLSGHVRARISSQGNENPLATSEFPHFGDVNPHGISCQISMETPLTCSSSCDSPGNSSEDLPLSPPAEDDITDSLNGDSFWHYNMSSIEGVLLALERIEQGGELTREQITDIYENGLNFYDHHRDMRLDIDNMSYEELLALEERMGTVSTALSEEALAESLKRSIYQSPPTYDTDENCNQDKDDIKCCICQEEYVIGDEVGDLPCNHRFHVDCIQEWMGLKNWCPICKLSAALSNNSSSSH >Vigun08g064300.1.v1.2 pep primary_assembly:ASM411807v1:8:8970002:8975388:-1 gene:Vigun08g064300.v1.2 transcript:Vigun08g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSGRRGVDGVAVPRKGMNHVFRDTANTRERTGQVCSKLGCSSRANIPKVAQARSSEKGKSVRPVFQSSSSSKDEIGSSSRSTSNPAKRTTEPQKVFPSQFEADSPESSSVQDEPESSELIPPSEEIQRGAKSRGPSSESSNARLMEVGSSSTVSNTRSQRNLNQRPGLRGQEIKSTGPVIHAVSSRYGLRNIRCNTISYVIPSSCSSSDSSHNRRKDTTKKRDGEGESSSTVRGKNVIGSSSEGRSSGSRNGISISDSRRTRNTFSHRNTSLAPVRTQRSLSGHVRARISSQGNENPLATSNSSEDLPLSPPAEDDITDSLNGDSFWHYNMSSIEGVLLALERIEQGGELTREQITDIYENGLNFYDHHRDMRLDIDNMSYEELLALEERMGTVSTALSEEALAESLKRSIYQSPPTYDTDENCNQDKDDIKCCICQEEYVIGDEVGDLPCNHRFHVDCIQEWMGLKNWCPICKLSAALSNNSSSSH >Vigun11g083566.1.v1.2 pep primary_assembly:ASM411807v1:11:24838933:24841814:1 gene:Vigun11g083566.v1.2 transcript:Vigun11g083566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFEHYGIRSPVDHPPELDPFVPPTGRSGNGSCSAPPGDDMDDTHPCQLYIWDGTEKTLVARGKVFEAATVLHGMELSEDEVKVTVEEVLMPYALVLVPTYEVYTVAQAFQCFLAWPRDLVDTDPPEKPQSKKILLSLDDPLGALLQLAEIIADKPMQVAWDANIFGRDLDIPLYLHSQDVLELAQGQEELNITIIQLWMMYLSGVTETVGLNDLYGFIDPQLTHEGNKFDDIQAYVTKCFQRGKEIYFVPYVAGRYWQLLVISLRENLAVWFCSLHRPPPNHLRQVIDCSIVAHNMLLGRSTAKAKGLVWCSLQCNRETGSYECGYYIMQWMTTIVRARITTNWETTFKSPAAIPAKSIKFGRIACAKYIIEMYNTID >Vigun08g124800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29495699:29497937:-1 gene:Vigun08g124800.v1.2 transcript:Vigun08g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSQILRWLNKPKVLRLVCLASSVVGLLCYALSSSFNHLLGNYWSWWKMLLYVVFSFIICLAVLFAPARSSSTSLRLEAHLAFLVLIVTSVYSFLFDNMVKGKPDAYSLISCAAFAIMSLGLSNLTQFGFQIDLLYFFCGGLTVQLMKIKLWLVIVGGGFSYSLLQLRDYPRDAQGENLQLEVHNQIAIQVDDSGRFSNTSHDMMSQQANADVDSTVDTPPQDEDLRFQDHILTQSNSASQDGSVGYGLIIEQQLMNFIKDLEKENQMLVPMVCSYVEKYLKAVCDSKEVPDPDINLVMDALPSEIMRRLKKTLKVMMDVGFKEELSDVYSKWRRDFLEQCLRALGLQFETPNNEDFEKWLKTCKAAGKILFPNERRLCDYLFSGIHVAADVSFEKVFKELMIGLVSFVDTTITTGSYSPNLLSNIVPKMSKSLGELIREFTSQLLYHKLSFIPYLDDVQQRLAMVNSFRDIIYPDNVPAPVTDGGLHLITKEVMNYILGICTSTNELAGRSHRIRNSSFLVVIGRMMELLVSELEAKSKDYYTDPALGYVFMINNLNYIAQKMHDLKFYDHWFREKVGENCILYLRRSWNKTLDFLKLETNESLEPDVVAKLMKDKLYLFNLHFEETCTIQSTWTISDKRLRKLIIKSIEAFLLPEYGKFSDRFRFVFGNQAYHYIKFGIVDIQSCLSHLFLLDEMTLKDAKSVLARI >Vigun06g158500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28178538:28179830:-1 gene:Vigun06g158500.v1.2 transcript:Vigun06g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQISSMHLSSFSFKRKHVTVSKFRPMPLLVPKISARNSFQTNGSIDTNPFEENVKPKTLYDESIRNLDTPNNKNKTTTTTTKFLAILEFVTDRIEMHHNVGEQRDNWNILLLNSITMITLSATTMSGLAATCPGSGAPLLALKLSSTLLFCAATGLQLVMNKIQPSQLAEEQRNATRLFKCLQTKMEGTVALGSPSEEDVNDVIERVLALDRAYPLPLLGAMIEKFPEKFEPVVWWPRPNKISQSHKGKREKMEEELMNGWSEELEMELREVVEVVKRKDFEDYERLGNMVLKMSKSLAMLGPLLMSIATIGSVFVENIGWWSWTYLVTLLAGSLGAIVNSFEHGGQVGMVFEMYRFCGGFLRLLEESVEATLEEKDMEKRENGEVFENKVAMQLGRSGFQLRELASKSASCRREGIAIDEFASKLF >VigunL058600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000447.1:9740:9862:1 gene:VigunL058600.v1.2 transcript:VigunL058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGRIPLWIIGIVTGITVIGLIGIFFYGSYSGLGSSL >Vigun03g011000.2.v1.2 pep primary_assembly:ASM411807v1:3:746794:748204:-1 gene:Vigun03g011000.v1.2 transcript:Vigun03g011000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATKGVFISCDIPMAQYIINMNASFPAADKFIIHVLDSTHMFVQPHMEGMIRSQIAKFREDNTYVKPT >Vigun03g011000.3.v1.2 pep primary_assembly:ASM411807v1:3:746784:748210:-1 gene:Vigun03g011000.v1.2 transcript:Vigun03g011000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATKGVFISCDIPMAQYIINMNASFPAADKFIIHVLDSTHMFVQPHMEGMIRSQIAKFREDNTYVKPT >Vigun03g011000.1.v1.2 pep primary_assembly:ASM411807v1:3:746763:748204:-1 gene:Vigun03g011000.v1.2 transcript:Vigun03g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATKGVFISCDIPMAQYIINMNASFPAADKFIIHVLDSTHMFVQPHMEGMIRSQIAKFREDNTYVKPT >Vigun10g060033.1.v1.2 pep primary_assembly:ASM411807v1:10:12132873:12134286:1 gene:Vigun10g060033.v1.2 transcript:Vigun10g060033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTFMSTVHLRPCFGGSRRLCRVQSLFCVFRSVALHWVCDFGGSQLLRFNACALSFQNHDRIAYHSNADVAIDLYHHYKRDVAMMKDIGFNAYRSPFLGQEYYLVELTEKTLYIKTIS >Vigun01g020300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2165664:2166212:1 gene:Vigun01g020300.v1.2 transcript:Vigun01g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFSVNASLVALVAALFFAVATAQDLSPAPAPGPDAGAAGSVSSSVAVIGASIVLSMFAIFKH >Vigun05g064100.1.v1.2 pep primary_assembly:ASM411807v1:5:5539866:5543363:-1 gene:Vigun05g064100.v1.2 transcript:Vigun05g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELYALDFDGVICDSCGESSVSAVKAAKVRWPGLFDGVDSVTEDWIIDQMHTVRPVVETGYENLLLVRLLLESRTPSIRKSSVSEGLTVEVILEKWSKLKPIIMEEWGENRDALIDLFGKVRDEWLEQDFAGWIGANRIYPGVSDALKFASSRVYIVTTKQSRFADALLRELAGVTIPPERIFGLGTGPKVEVLKQLQKKPEHQGLTLHFVEDRLATLKNVIKEPELDHWNLYLGNWGYNTQQEREEAAAIPRIHVLELSDFSKKLK >Vigun03g377800.2.v1.2 pep primary_assembly:ASM411807v1:3:58109054:58112407:1 gene:Vigun03g377800.v1.2 transcript:Vigun03g377800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGVWWCVSAVKMIVESIKIIACNKLVFASIMLLTTLPLSTLLISQSISTHSLTSQIHHLEALARYAPTRFEARHVWRQSRHDALSLLRIKALFSLPSYLLSLAAALSAVHSTRLALHADTTHSLLSAALRLFATTIFVYAILLAFSPIPRFLAALASSAAARLLLLAAGSALEVYLMAVMSVALVVSVAEERFGWDAIRVGSALMEGSRACGWALSGLFVLGSSLIGSKVERLMEAEDWIRVEDKANVIVWYGLLVLWSYVIMTIFYCDCRKRHPIRELQPEEMQLSAI >Vigun03g377800.3.v1.2 pep primary_assembly:ASM411807v1:3:58109057:58112407:1 gene:Vigun03g377800.v1.2 transcript:Vigun03g377800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGVWWCVSAVKMIVESIKIIACNKLVFASIMLLTTLPLSTLLISQSISTHSLTSQIHHLEALARYAPTRFEARHVWRQSRHDALSLLRIKALFSLPSYLLSLAAALSAVHSTRLALHADTTHSLLSAALRLFATTIFVYAILLAFSPIPRFLAALASSAAARLLLLAAGSALEVYLMAVMSVALVVSVAEERFGWDAIRVGSALMEGSRACGWALSGLFVLGSSLIGSKVERLMEAEDWIRVEDKANVIVWYGLLVLWSYVIMTIFYCDCRKRHPIRELQPEEMQLSAI >Vigun03g377800.1.v1.2 pep primary_assembly:ASM411807v1:3:58108712:58113666:1 gene:Vigun03g377800.v1.2 transcript:Vigun03g377800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGVWWCVSAVKMIVESIKIIACNKLVFASIMLLTTLPLSTLLISQSISTHSLTSQIHHLEALARYAPTRFEARHVWRQSRHDALSLLRIKALFSLPSYLLSLAAALSAVHSTRLALHADTTHSLLSAALRLFATTIFVYAILLAFSPIPRFLAALASSAAARLLLLAAGSALEVYLMAVMSVALVVSVAEERFGWDAIRVGSALMEGSRACGWALSGLFVLGSSLIGSKVERLMEAEDWIRVEDKANVIVWYGLLVLWSYVIMTIFYCDCRKRHPIRELQPEEMQLSAI >Vigun03g026700.1.v1.2 pep primary_assembly:ASM411807v1:3:1997045:1998297:-1 gene:Vigun03g026700.v1.2 transcript:Vigun03g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGTGHHLTAAAIIGHDGSVWAQSTSFPQIKGSEVSDIMKDFDEPGHLAPTGLHLAGTKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGLYEEPVTPGQCNMVVERLGDYLVDQGL >Vigun02g187200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32599635:32601091:1 gene:Vigun02g187200.v1.2 transcript:Vigun02g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYLTICVLFLLPLHFSLIHGNTEEGFTINLIRKTSLQPSFPQGFSAMSTIPQSPVSAYLGEYLMELSIGTPPFKIYAIADTGSDLMWTQCVPCNDCYKQINPMFDPTKSSSYTNVPCSSNLCHLLDTGVCSPQKECNYTYAYGDGSMTQGVLAQETVTFTSTTGASVPLKGIVFGCGHNDSKNGFNDHEMGLIGLGGGPASLISQMGSSFGGKMFSQCLVPFHTDERVSSKMSFGRGSKVSGSGVVSTPLVTKEDKTPYFVTLLGISVGNKYLPYSGGSSQNVAKGNVFLDSGTPPTILPTEFYDRVVAEVKNQVPMKPVVDDPSLGTQLCYRTKNNLGGPVLTAHFEGADVKLEPIQTFIPPKDGVFCLGFTNTSSDVGIYGNFVQSNYLIGFDLEAKMVSFKARDCTKNT >Vigun07g068400.1.v1.2 pep primary_assembly:ASM411807v1:7:8257681:8260248:1 gene:Vigun07g068400.v1.2 transcript:Vigun07g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHWKQWRNQQESEQQQHSPNTPKFLPQHHPPPASAPLSLFLPQPTTLPSFSDSTLTSSAPPRFPRIESCFSFAQWQELELQALIFRYMLAGAPVPPQLLQPIKKSFLHSPLPFLHLYHPPLLESGYYWGREAVDPEPGRCRRTDGKKWRCSREAVEGQKYCERHMHRGRNRSRKPVEQPHASSSPSSASFKHHHLHHHNQISSGAKADSKSLSENRDHVDGDGRSDSHVLRHFFDDWPKTVQEEEHGESNGSKNNNTGTYLSMSTQGITSSDVSLKLSTGHAENTCHVGSVGGPLAEALRSSTATSSPTSVLLQLPTTSACDTSVIST >Vigun07g068400.2.v1.2 pep primary_assembly:ASM411807v1:7:8257681:8260248:1 gene:Vigun07g068400.v1.2 transcript:Vigun07g068400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAPVPPQLLQPIKKSFLHSPLPFLHLYHPPLLESGYYWGREAVDPEPGRCRRTDGKKWRCSREAVEGQKYCERHMHRGRNRSRKPVEQPHASSSPSSASFKHHHLHHHNQISSGAKADSKSLSENRDHVDGDGRSDSHVLRHFFDDWPKTVQEEEHGESNGSKNNNTGTYLSMSTQGITSSDVSLKLSTGHAENTCHVGSVGGPLAEALRSSTATSSPTSVLLQLPTTSACDTSVIST >Vigun05g081900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7714097:7716861:1 gene:Vigun05g081900.v1.2 transcript:Vigun05g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSGSKGGMTMCLVLTLCLIIAILLVMVLLAFTVFRPHEPVSRVDTIKVADMNLGMDFFSMSVNVNVTLDVGVSVQNRNKFGFEFHNSSAILKYKGLLIGEGPIPDGEVSGGETMGMNLTLTIMADRLATSNGVTSDIASGLIPLSTLVRMFGMVKVLGFIRFHVASTTSCDFTLNISNKTIVDNKCLSKAVLSA >Vigun05g081900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7714202:7716703:1 gene:Vigun05g081900.v1.2 transcript:Vigun05g081900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSGSKGGMTMCLVLTLCLIIAILLVMVLLAFTVFRPHEPVSRVDTIKVADMNLGMDFFSMSVNVNVTLDVGVSVQNRNKFGFEFHNSSAILKYKGLLIGEGPIPDGEVSGGETMGMNLTLTIMADRLATSNGVTSDIASGLIPLSTLVRMFGMVKVLGFIRFHVASTTSCDFTLNISNKTIVDNKCLSKAVLSA >Vigun05g081900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7714202:7716805:1 gene:Vigun05g081900.v1.2 transcript:Vigun05g081900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSGSKGGMTMCLVLTLCLIIAILLVMVLLAFTVFRPHEPVSRVDTIKVADMNLGMDFFSMSVNVNVTLDVGVSVQNRNKFGFEFHNSSAILKYKGLLIGEGPIPDGEVSGGETMGMNLTLTIMADRLATSNGVTSDIASGLIPLSTLVRMFGMVKVLGFIRFHVASTTSCDFTLNISNKTIVDNKCLSKAVLSA >Vigun05g118000.1.v1.2 pep primary_assembly:ASM411807v1:5:12674041:12676765:1 gene:Vigun05g118000.v1.2 transcript:Vigun05g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAMSKTMMEEVMASWSEGSEEEELVRELLDDGSPLLVLSQEAIQNPITKPSSTHFLSNIYSGPTISDIENALSLTNHRDHFPPLSSPRISILERGLSKIENKYTLKIKCFGNGMGDDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCSAKKQVERSIEDPDTLIITYEGLHLHFAYPYFVMGQPNQSQSDQPIKKSKPTSSEDQVQAHIEDYVHEAQSSATSGVMPSTSLDSPQDMAQENMGSQGLLEDMVPFMVRNPSNSVNTKFSCSSFRSPPTSPMWLKF >VigunL086801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:95610:96874:1 gene:VigunL086801.v1.2 transcript:VigunL086801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSVNSPRRTLSLSKKRRATVSFFDPEDKTSGFGLSGDHGPKPSEVYGFVGSITTIVATVIFFIWAYGLQKTRSWCMKQCQFSCVVGLLGRDGGYWALAVPTYVMVTIVLMLGFYIGLNFISTPSPSSLNTVFDEFSRDPSSNECSLEDEKPINPISDIGLDRINDIMFNNAT >Vigun04g164800.1.v1.2 pep primary_assembly:ASM411807v1:4:38941894:38948223:-1 gene:Vigun04g164800.v1.2 transcript:Vigun04g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVQIRDAGIAADAVDGFNSPAKQKAAIRSAYSNRPLLSLRRAKQFLGAAKFKLFLALFALSVVVLITARLSSWMGWNLHNPSSGTSRASYTVLINTWREKSLLKETVAHYASCRNADAMHVVWTEGEQPSERLKTYLNKIVVSKSQKAHKPNFRFDISADYESNSRFRPIKDLKTDAIFSVDDNVIVPCSTLDFAFSVWQSAPSTMVGFVPRMHWLDKEQNNVAYYRYGGWWSVWWMGTYSMVLSKAAFFHRKYLDLYTQEMSSSIQGYVSRDRTCEDIAMSLLVANATGAPPIWVKGKINEIGASGISSLRGHSPRRNRCLNDLISLYKTSPLVLTNVKAVGARNEWLW >Vigun04g164800.4.v1.2 pep primary_assembly:ASM411807v1:4:38941894:38948223:-1 gene:Vigun04g164800.v1.2 transcript:Vigun04g164800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVQIRDAGIAADAVDGFNSPAKQKAAIRSAYSNRPLLSLRRAKQFLGAAKFKLFLALFALSVVVLITARLSSWMGWNLHNPSSGTSRASYTVLINTWREKSLLKETVAHYASCRNADAMHVVWTEGEQPSERLKTYLNKIVVSKSQKAHKPNFRFDISADYESNSRFRPIKDLKTDAIFSVDDNVIVPCSTLDFAFSVWQSAPSTMVGFVPRMHWLDKEVWLYGPMAESN >Vigun04g164800.2.v1.2 pep primary_assembly:ASM411807v1:4:38941894:38948223:-1 gene:Vigun04g164800.v1.2 transcript:Vigun04g164800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVQIRDAGIAADAVDGFNSPAKQKAAIRSAYSNRPLLSLRRAKQFLGAAKFKLFLALFALSVVVLITARLSSWMGWNLHNPSSGTSRASYTVLINTWREKSLLKETVAHYASCRNADAMHVVWTEGEQPSERLKTYLNKIVVSKSQKAHKPNFRFDISADYESNSRFRPIKDLKTDAIFSVDDNVIVPCSTLDFAFSVWQSAPSTMVGFVPRMHWLDKEVSYCKIMLHITGMEDGGLFGGWALIAWFSQRLHSFTGNTWIYILKRCLHQFRAMFPGTELVKTLQCPFSLLMLQVLLQYG >Vigun04g164800.3.v1.2 pep primary_assembly:ASM411807v1:4:38941894:38948223:-1 gene:Vigun04g164800.v1.2 transcript:Vigun04g164800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVQIRDAGIAADAVDGFNSPAKQKAAIRSAYSNRPLLSLRRAKQFLGAAKFKLFLALFALSVVVLITARLSSWMGWNLHNPSSGTSRASYTVLINTWREKSLLKETVAHYASCRNADAMHVVWTEGEQPSERLKTYLNKIVVSKSQKAHKPNFRFDISADYESNSRFRPIKDLKTDAIFSVDDNVIVPCSTLDFAFSVWQSAPSTMVGFVPRMHWLDKEVWRMVVCLVDGHL >VigunL017001.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:947894:948414:1 gene:VigunL017001.v1.2 transcript:VigunL017001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVDRCLADPLLPIWVGLAQKSSKKEWNHCADEVTRISPVVSLFPSVGRWEREVWDMFGVSSINHSDLRRISIDYGFEGHPLRKDLPFSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >VigunL017001.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:948082:948414:1 gene:VigunL017001.v1.2 transcript:VigunL017001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RYNSRIRVQTSADEVTRISPVVSLFPSVGRWEREVWDMFGVSSINHSDLRRISIDYGFEGHPLRKDLPFSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >Vigun02g015300.1.v1.2 pep primary_assembly:ASM411807v1:2:5587339:5589383:-1 gene:Vigun02g015300.v1.2 transcript:Vigun02g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINTPRLLPIISTLVLILVSVSSFASASLKVGFYASTCPSAEEIVRSAVNKAISQNAGIAAGLIRMHFHDCFVRGCDASVLLASTPGNPAERDHFANNPSLRGFEVIEDAKAQLEAACPQTVSCADILALAARDSALKVGGINYDVPTGRRDGRVSIADEAAQNLPAPTLSADELVSRFSRKGLSGDEMVTLSGAHSIGVSHCSAFSKRLYSFNDTFTQDPSMDSSYAETLKANCPAPPSISDPTVSLDPSTPIRLDTKYYDGLINHRGLLTSDQTLFNSQSTREMVLTNANDAAGWSHKFGKAMVQMGSIEVLTDSNGEIRNHCTFVN >VigunL059100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000450.1:4896:11439:-1 gene:VigunL059100.v1.2 transcript:VigunL059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEVRCCVRDCNGLRSQTGMEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPSFQF >VigunL059100.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000450.1:4896:11439:-1 gene:VigunL059100.v1.2 transcript:VigunL059100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEVRCCVRDCNGLRSQTGMEYDNLKTQLKECSDRINALQRRLEGHDLSMNVQMKVFEERIESIYAQYFKVIEALKEKNDDMLKETGAMKELDRERKELKEKKEELLKLEAMMKADKEVLVGEINERLSKLKDYMTTSEKDTAAMIANLTEKLSKVVKPS >Vigun02g159900.1.v1.2 pep primary_assembly:ASM411807v1:2:30594245:30596551:-1 gene:Vigun02g159900.v1.2 transcript:Vigun02g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFFFKKKSKSHPELPKGRKKKSQVVNGAPKSPSSVPSPRSITELFKEKERSFRIFSLKELVDATNGFNRMLKIGEGGFGKVYRGTINPHPKDGAAPIVVAIKKLNAHGLQGHKEWLAEVQFLSVANHPNLVKLLGYCSIDSQRGIQRLLVYEFMSNRSLEDHLFSVALPPLPWPTRLQIMLGAAQGLYYLHNGLEIQVIYRDFKSSNVLLDKEFHPKLSDFGLAREGPIGDKTHVSTAVVGTQGYAAPEYVQTGHLKVQSDIWSFGVVLYEILTGRRALNRNRPIGEQKLVEWVKHYPANSSRFSTIIDPRLKNHYSLAAARKLANLADSCLKKNPEERPSMNQIVETLKQALQDSQTQNTSPNTTSKSTPSRLVK >Vigun10g002500.2.v1.2 pep primary_assembly:ASM411807v1:10:233713:237926:-1 gene:Vigun10g002500.v1.2 transcript:Vigun10g002500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTPTATSTLKHSQMASPMKLTNCYFHRLKSNSAKCHAVFGDVAKRLVENSVGMEQFESIQSGIVQIERVTEGLSDVQKWVVLVFGGLTWIYLTARPGVLVGAIDAYLLAPLQLGLDSLVGRRNLKRSDFVVGEKLGEGSFGVVYSGVLVPKNGNGSNGSVDVVQKRGRGKTTQVEAKSKDKVILKKVKVEIKGAAEFGDYEEWFNYRLSRAAPETCADFLGSFVADQTNSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPSNLESVMFGRVLQGVDSSKRNALIIKQIMRQIITSLRKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRTLLDPDYCPPELYVLPEETPSPPPAPIAAFLSPILWQLGLYSFKWQYHP >Vigun10g002500.1.v1.2 pep primary_assembly:ASM411807v1:10:233713:237926:-1 gene:Vigun10g002500.v1.2 transcript:Vigun10g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTPTATSTLKHSQMASPMKLTNCYFHRLKSNSAKCHAVFGDVAKRLVENSVGMEQFESIQSGIVQIERVTEGLSDVQKWVVLVFGGLTWIYLTARPGVLVGAIDAYLLAPLQLGLDSLVGRRNLKRSDFVVGEKLGEGSFGVVYSGVLVPKNGNGSNGSVDVVQKRGRGKTTQVEAKSKDKVILKKVKVEIKGAAEFGDYEEWFNYRLSRAAPETCADFLGSFVADQTNSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPSNLESVMFGRVLQGVDSSKRNALIIKQIMRQIITSLRKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRTLLDPDYCPPELYVLPEETPSPPPAPIAAFLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSSAALKNFNLELKNCGYDLKKWRDYTRSRPDFQILDGESGRGWDLATRLVSERGSLRRGRLSAAAALRHPYFLLGGDQAAAVLSKLSLSRN >Vigun10g002500.4.v1.2 pep primary_assembly:ASM411807v1:10:233713:237926:-1 gene:Vigun10g002500.v1.2 transcript:Vigun10g002500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTPTATSTLKHSQMASPMKLTNCYFHRLKSNSAKCHAVFGDVAKRLVENSVGMEQFESIQSGIVQIERVTEGLSDVQKWVVLVFGGLTWIYLTARPGVLVGAIDAYLLAPLQLGLDSLVGRRNLKRSDFVVGEKLGEGSFGVVYSGVLVPKNGNGSNGSVDVVQKRGRGKTTQVEAKSKDKVILKKVKVEIKGAAEFGDYEEWFNYRLSRAAPETCADFLGSFVADQTNSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPSNLESVMFGRVLQGVDSSKRNALIIKQIMRQIITSLRKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRTLLDPDYCPPELYVLPEETPSPPPAPIAAFLSPILWQLGLYSFKWQYHP >Vigun10g002500.3.v1.2 pep primary_assembly:ASM411807v1:10:233713:237926:-1 gene:Vigun10g002500.v1.2 transcript:Vigun10g002500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTPTATSTLKHSQMASPMKLTNCYFHRLKSNSAKCHAVFGDVAKRLVENSVGMEQFESIQSGIVQIERVTEGLSDVQKWVVLVFGGLTWIYLTARPGVLVGAIDAYLLAPLQLGLDSLVGRRNLKRSDFVVGEKLGEGSFGVVYSGVLVPKNGNGSNGSVDVVQKRGRGKTTQVEAKSKDKVILKKVKVEIKGAAEFGDYEEWFNYRLSRAAPETCADFLGSFVADQTNSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPSNLESVMFGRVLQGVDSSKRNALIIKQIMRQIITSLRKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRTLLDPDYCPPELYVLPEETPSPPPAPIAAFLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSSAALKNFNLELKNCGYDLKKWRDYTRSRPDFQILDGESGRGWDLATRLVSERGSLRRGRLSAAAALRHPYFLLGGDQAAAVLSKLSLSRN >Vigun11g145800.2.v1.2 pep primary_assembly:ASM411807v1:11:35461569:35468794:-1 gene:Vigun11g145800.v1.2 transcript:Vigun11g145800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEPFSVPPNTVRDSLVHVQPPSSNPNNNNPNPSSNPAKRRRSLPGTPDPDAEVVALSPKSLMATNRFICEICSKGFQRDQNLQLHRRGHNLPWKLKQRTNKDQVRKKVYVCPEKSCVHNDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESVRITTTTTTVPPALSNLRNDHPLTNAQASRIPQIFSGFHSDQFGGGSGTSETLVNYAEANHHHHHHHHQQQQEQQLQQQKLRLPLWLDHQVNSQVLHHPLMNFPTKPGAFSSPGPNPVPDMVQTMDMFGPQFVNYRYPEASFGGANLSVLPPHGLKQEQEENKGELSHSASSNLYLSSNQNPPHYMSATTVLQKTVQMGSTRVNDNAFCSNRNSNHNNVVNNNSNVVVEVQKLDELVSVEGCTNLGGGGGGYLLNDDSNNNSFVVVNGTKGFEHMMMPVDEETRAASIMGKQLHSISKNQLGLTRDFLGVGDNNIESIRRPFSSKILLNLMQWGQPQALTCRGSTVDTMCRVSTFKLPF >Vigun11g145800.1.v1.2 pep primary_assembly:ASM411807v1:11:35465567:35469175:-1 gene:Vigun11g145800.v1.2 transcript:Vigun11g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEPFSVPPNTVRDSLVHVQPPSSNPNNNNPNPSSNPAKRRRSLPGTPDPDAEVVALSPKSLMATNRFICEICSKGFQRDQNLQLHRRGHNLPWKLKQRTNKDQVRKKVYVCPEKSCVHNDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESVRITTTTTTVPPALSNLRNDHPLTNAQASRIPQIFSGFHSDQFGGGSGTSETLVNYAEANHHHHHHHHQQQQEQQLQQQKLRLPLWLDHQVNSQVLHHPLMNFPTKPGAFSSPGPNPVPDMVQTMDMFGPQFVNYRYPEASFGGANLSVLPPHGLKQEQEENKGELSHSASSNLYLSSNQNPPHYMSATTVLQKTVQMGSTRVNDNAFCSNRNSNHNNVVNNNSNVVVEVQKLDELVSVEGCTNLGGGGGGYLLNDDSNNNSFVVVNGTKGFEHMMMPVDEETRAASIMGKQLHSISKNQLGLTRDFLGVGDNNIESIRRPFSSKILLNLMQWGQPQALTCRGSTVDTMCRVSTFKLPF >Vigun03g002900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:210030:211184:-1 gene:Vigun03g002900.v1.2 transcript:Vigun03g002900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFPAITFRASDSHPHSTISAAAAAVGGPQRAAESKKKKAIVAAERRRKWWWLCRDGDARPASLGEFLEVERRCGDGAFYGAAAELEGMVMDSPRNHGRALFSDGRVLPPSGVDDGASTAGSLCSRFPVLLTGICSGGAG >Vigun03g002900.1.v1.2 pep primary_assembly:ASM411807v1:3:210030:211184:-1 gene:Vigun03g002900.v1.2 transcript:Vigun03g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPATELLPPPSSPTNSSISSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRASDSHPHSTISAAAAAVGGPQRAAESKKKKAIVAAERRRKWWWLCRDGDARPASLGEFLEVERRCGDGAFYGAAAELEGMVMDSPRNHGRALFSDGRVLPPSGVDDGASTAGSLCSRFPVLLTGICSGGAG >Vigun08g029200.5.v1.2 pep primary_assembly:ASM411807v1:8:2660831:2662696:1 gene:Vigun08g029200.v1.2 transcript:Vigun08g029200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFFSLLLVVGATVVFFNILIPTTATPEFTGTNYGDKATLVAVSRKLKENGKNVKSGMSKNDDMSRVILTDYYPVDPPPNSRPKASLNPGPIQHGSPLNPYIPKPLPPDHPPKPGDSD >Vigun08g029200.3.v1.2 pep primary_assembly:ASM411807v1:8:2660831:2662696:1 gene:Vigun08g029200.v1.2 transcript:Vigun08g029200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFFSLLLVVGATVVFFNILIPTTATPGTNYGDKATLVAVSRKLKENGKNVKSGMSKNDDMSRVILTDYYPVDPPPNSRPKASLNPGPIQHGSPLNPYIPKPLPPDHPPKPGDSD >Vigun08g029200.2.v1.2 pep primary_assembly:ASM411807v1:8:2660831:2662696:1 gene:Vigun08g029200.v1.2 transcript:Vigun08g029200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFFSLLLVVGATVVFFNILIPTTATPEFTGTNYGDKATLVAVSRKLKENGKNVKSGMSKNDDMSRVILTDYYPVDPPPNSRPKASLNPGPIQHGSPLNPYIPKPLPPDHPPKPGDSD >Vigun08g029200.4.v1.2 pep primary_assembly:ASM411807v1:8:2660831:2662696:1 gene:Vigun08g029200.v1.2 transcript:Vigun08g029200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSTSLQGHCSLQVHCVANMKVSAFFSLLLVVGATVVFFNILIPTTATPGTNYGDKATLVAVSRKLKENGKNVKSGMSKNDDMSRVILTDYYPVDPPPNSRPKASLNPGPIQHGSPLNPYIPKPLPPDHPPKPGDSD >Vigun08g029200.1.v1.2 pep primary_assembly:ASM411807v1:8:2660831:2662696:1 gene:Vigun08g029200.v1.2 transcript:Vigun08g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSTSLQGHCSLQVHCVANMKVSAFFSLLLVVGATVVFFNILIPTTATPEFTGTNYGDKATLVAVSRKLKENGKNVKSGMSKNDDMSRVILTDYYPVDPPPNSRPKASLNPGPIQHGSPLNPYIPKPLPPDHPPKPGDSD >Vigun05g104200.1.v1.2 pep primary_assembly:ASM411807v1:5:10404080:10405997:1 gene:Vigun05g104200.v1.2 transcript:Vigun05g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDEDVRVGANRYGERQQIGTGAQTQDGKDYREAPPAPLFEPRELTSWSFYRAGIAEFVATFLFLYVTVLTVMGVGKSPNKCSTVGVQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRTVFYMIMQCLGAICGAAVVKGFQSNQYERLGGGANTLSKGYSKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKDQAWDNHWIFWVGPFVGAALAALYHQIVLRAIPFKSK >Vigun09g006900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:522379:524004:-1 gene:Vigun09g006900.v1.2 transcript:Vigun09g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNKSVVRLKSSGFPLEVNTRTLKYNYEDGSTGFSSEITYSLGNTVVTINVTQEIYSGRVNAVYMDLSEGTGMGSFANLGLRVTRNKRYGILCNYMNTLFGGSYDSLKENYCAPPLPEREVVRYICGESGCGGCFTLEKKKKEDGAVVRVQATHDFIVGEETMHVKVKIRNDDGGLKVEVEGPVKLTTDYMNHVVSGMRRKMRSAIEASTVPLVRNDVAQRSPNVVGPKFISDDRVHLCFQRMRMHQGF >Vigun07g005800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:460045:461037:1 gene:Vigun07g005800.v1.2 transcript:Vigun07g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVYIDAALPSSKPFQVYFFMKNKYVRLYYTPGTSGDELLTPLELVSTGFPSLAGTPFAQQGIDCCFNTEGSEAYIFSGKNCAYIDYAPNSGNDKILQGPSTIAEMFPDLRNTVFAEGLDGAFRSTIDKEVYIFKGEKYTRIKYDTKLLNEDIRDITKGFTVLKGTIFENGIDACFSSNVENEVYLFKGDEYVRMKVTPHAGNDELVGNVALIVEGWPPLKGIVPVTE >Vigun03g116700.2.v1.2 pep primary_assembly:ASM411807v1:3:10809336:10813706:1 gene:Vigun03g116700.v1.2 transcript:Vigun03g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTAPKYTNFLLLLLFLFSFHAFFSHAANSLTVGREIRDSNGTDNLVSEDRSFEMGFFGFDNSLRYVGIWYHNFPSSATAFIWVANREKPIMGRGGSIKIKGDGNLIVVDGENNEVWSTNKSMSTNNTKAVLGDDGNLVLSEESEHGKVVWQSFENPTDTFVPGMSLPINGGMGMFRSWKSATDPSPGNYSMGVDSGGSTIQILILDGEKRRWRTGYWDGRVFTGVSNMTGSSLFGFKNNGNEFTYTWNETEKVRFQITWDGFEKKFVSNEEETLWNNTQHEPYNKCEHYNFCGNFAVCDISKASVCSCLHGFQQGELSEWNGGNSGGCKRRTPLKAERNSSGTEVSVADDGFFVQRCTKLPDFARVESPGDDGDCKRFCLQNSSCTAYSYTIGIGCMIWYVDLVDVQHTENDIGSVLNIRLADSELEASDGEKKSKTWIIIIIAVVVGLICLGIFVLLVWRFKRKRKVSSASANNIAEFPIIDPTRSTDLSTEFSVSTDLGLEGNKAELPLFTFSFIAAATDNFSEKNKLGQGGFGPVYKGKLPGGEEIAVKRLSRKSSQGLEEFKNEMMLIAKLQHRNLVRLLGCSIQGEEKMLVYEYLPNKSLDCFLFDPVKQTQLDWTKRFEIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDESMHPKISDFGLARIFGGNQNEASTNRVWLYVARICNGRSLFG >Vigun03g116700.1.v1.2 pep primary_assembly:ASM411807v1:3:10809336:10813706:1 gene:Vigun03g116700.v1.2 transcript:Vigun03g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTAPKYTNFLLLLLFLFSFHAFFSHAANSLTVGREIRDSNGTDNLVSEDRSFEMGFFGFDNSLRYVGIWYHNFPSSATAFIWVANREKPIMGRGGSIKIKGDGNLIVVDGENNEVWSTNKSMSTNNTKAVLGDDGNLVLSEESEHGKVVWQSFENPTDTFVPGMSLPINGGMGMFRSWKSATDPSPGNYSMGVDSGGSTIQILILDGEKRRWRTGYWDGRVFTGVSNMTGSSLFGFKNNGNEFTYTWNETEKVRFQITWDGFEKKFVSNEEETLWNNTQHEPYNKCEHYNFCGNFAVCDISKASVCSCLHGFQQGELSEWNGGNSGGCKRRTPLKAERNSSGTEVSVADDGFFVQRCTKLPDFARVESPGDDGDCKRFCLQNSSCTAYSYTIGIGCMIWYVDLVDVQHTENDIGSVLNIRLADSELEASDGEKKSKTWIIIIIAVVVGLICLGIFVLLVWRFKRKRKVSSASANNIAEFPIIDPTRSTDLSTEFSVSTDLGLEGNKAELPLFTFSFIAAATDNFSEKNKLGQGGFGPVYKGKLPGGEEIAVKRLSRKSSQGLEEFKNEMMLIAKLQHRNLVRLLGCSIQGEEKMLVYEYLPNKSLDCFLFDPVKQTQLDWTKRFEIIEGIARGLLYLHQDSRLRIIHRDLKASNILLDESMHPKISDFGLARIFGGNQNEASTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIMSGRRNTSFRNTEDSSLIGHAWRMWSEQRVMELLDSSIGDSTPKSKALRFIHIAMLCVQDSASRRPNMASVLLMLASEATTLPLPKQPLVTASMRKFDDGDQSYSEGLDVSNDLTVTMVTGR >Vigun07g186600.1.v1.2 pep primary_assembly:ASM411807v1:7:30379084:30387101:1 gene:Vigun07g186600.v1.2 transcript:Vigun07g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFRFTMDQKDIVRFLTTTIDSFIQDRLINKEQRAQHKEQCAERLAAEEGSCDKDSEVEYSDQAVLANLDWGIEALEEAINTYNMETKLARLDYAEKMLQVCAMLNPKQKTAGVPNYYLSAWAHLNLSYLWKLRNSIQNCLHHALEMFIVDPFFSRIDFAPELWKNLFLPHMSSIVGWYSEERHRLMMEVIPDSSDLSFTADFDRFFNESLVFSMRPHQLDKLQKLEQLYAESLDENTRLYAKYYKDCMNSDSTSGKKAAPMLPIAEPPRTPLHELSRSVPDFVKFGPILPKSAGFSLTTRSKDGVNETISTENSTSSQTKAEKSSIWAAKESIIEENENEDDSDSELDDGSVDSDRRNNILSPGMKMVRDEDIEPKAPLSNHKSKIHSPDIFSPLDSPKAGPNSSSTNPDTNNKREPKYLRLLSTRLRDSTTSDSLSSSSLEMSTDHMFNSDKEIRGLKNINRKNSNQTPSMNHDSGNSLGLNDSSHGESDDENQSFTTLPKLEKLAIGSKPPKDFVCPITGQIFCDPVTLETGQTYERKAIQEWLRTGNTTCPITRQPLSANILPKTNYVLKRLITSWKEQNPELAHELSNSNTPRGSSCSPSAKDFQMLSVTQRATDSPGLKNKENYTRQRSNRFMRVSVATSPTSVLSQAAVETILNSLKPYVSSLSTSENLQECEEAVMEIARLWKDSKTDPHIHGYLSKPAIISGLVEILSASMSREVLRTSIYILSELIFLDESVGETLNSVDSDFDCLAALLKNGLAEAALLIYQLRPVFAQLLAHELVPSLVQVIQNENEPSEDFQLVIDPKDAAIAILEQILIGGDEYSRSLNALSVVSENGIPSLAKYLERMEGRRSVVSILLCCMQAEKGCKSLIANRIELSPVLELFHAGNDSVRGICVEFLSELVQLNRRTVCNQILQTIKDEGAFSTMHTFLVYLQMAPMEHQLAVASLLLQIDLLVEPRKMSIYREEAIEILIEALWQKDFSNTQMKALDALLFLIGQVTTSGKSYTEAWLLKIAGFDQPYNALLKAEQLGQYDNDSVETMEDEKNAMNTWQKRVAFVLCNHENGSIFQALEECLRSNSLKMAKSCLVLVAWLTHMLSTLPDTGIKDVARKSLLDELINILQSSKNLEEMILASLALKTFLSDPITQEALRAYAKRIYRTMRKLKRYSTVAVDIMKALLNLNSVDVTELWSCKEVVELDLSSNGEVLSLHYMKGQVLSGHSDGTIKVWDARKRIPRVIQETREHTKAVTSLCSSDDRLYSGSLDKTIRVWTMKPDEIKCIDVHDVKEPVYELTANATLACYVSQGSGVKVFKWSESPKLINFNKYVKCLAVAGDKLYCGCSGYSIQEVDLSKNTSNSFFSGTRKLLGKQTIYSLRVHDDLLFACGSSVDATAGKIFSLSSKTVVGTLSTGLDIHRVAINSDFIFAGTKFGTIEVWLKDKLTRVASIKMAGGHTKITSLVSDADGMMLFVGSSDGKIQVWALD >Vigun02g192100.1.v1.2 pep primary_assembly:ASM411807v1:2:32910671:32915598:-1 gene:Vigun02g192100.v1.2 transcript:Vigun02g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGWSDEERSVIGDKAEIGFLDFEEEKSVCSYVDYEGVPVVVSAPFPFVDGKPQSVFVGDTAVDLITVRNTTDEPVDLWSVHIFASNPTDSFTLSLTEPPPENSNADGEQSCLESFTLEDRMLQPRENLKIWLSCKTKEIGMYSSVVYFDAGDEKIERVVFLLVEDKISKSLASKRPYSRAKKKDKFVVDTYVAGSRPAAKPTRRYINRLPRYEIPRNMRELLESNQIPQVVEEGLTRRTYSSYFKTLIIMEEIQLEEDMRIYDMESISMRKRNNQFLSMEVPGLAERRPSLVHGDYIFVKLACQRDNSNRQVYQGFIHRVEADEIYLKFTPEFHYYHKDENIYDVHFTYNRINMRRLYQAAEAAENLGTDFLFPSTSKKRHIKTTALVPISGTFNEEQICSIKMILGCKGAPPYLIHGPPGTGKTRTIVEAILQLYKYHKNTRILVCAPSNSAADYILERLLAQQDIELRETEIFRLNASTRPYEDVKPEVIRFCFFDDMVFKCPPVNALSYYRIIISTYMSASLLFAEDVARGHFSHIFLDEAGQASEPETLIPVSHLCTMKTVVVLAGDQLQLGPVIYSKKADEYGLGVSYMERLSELPLYASGDTNYVTRLIRNYRCHPAILHLPSKLFYCGELIACRDSSTFVTIGELLPNKEFPILFYGIQGCDEREGNNPSWFNRIEASKVIEVVRRLIAGGNIKEENIGIITPYRQQVSKIKQTLENLDMPDIKVGSVEQFQGQEMEVIIISTVRSTIKHNEFDRVHCLGFLSNYRRFNVAITRAISLLVIIGNPHIICKDDHWSQMLWYCVDNSSYQGCSLPERVEPYDDEDTGENPYLTEDNAEWGQDSTNNAEWGQDSTNNAEWGQDSSQIGLPNPVTDEAEWSDGWK >Vigun02g192100.2.v1.2 pep primary_assembly:ASM411807v1:2:32910671:32915598:-1 gene:Vigun02g192100.v1.2 transcript:Vigun02g192100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGWSDEERSVIGDKAEIGFLDFEEEKSVCSYVDYEGVPVVVSAPFPFVDGKPQSVFVGDTAVDLITVRNTTDEPVDLWSVHIFASNPTDSFTLSLTEPPPENSNADGEQSCLESFTLEDRMLQPRENLKIWLSCKTKEIGMYSSVVYFDAGDEKIERVVFLLVEDKISKSLASKRPYSRAKKKDKFVVDTYVAGSRPAAKPTRRYINRLPRYEIPRNMRELLESNQIPQVVEEGLTRRTYSSYFKTLIIMEEIQLEEDMRIYDMESISMRKRNNQFLSMEVPGLAERRPSLVHGDYIFVKLACQRDNSNRQVYQGFIHRVEADEIYLKFTPEFHYYHKDENIYDVHFTYNRINMRRLYQAAEAAENLGTDFLFPSTSKKRHIKTTALVPISGTFNEEQICSIKMILGCKGAPPYLIHGPPGTGKTRTIVEAILQLYKYHKNTRILVCAPSNSAADYILERLLAQQDIELRETEIFRLNASTRPYEDVKPEVIRFCFFDDMVFKCPPVNALSYYRIIISTYMSASLLFAEDVARGHFSHIFLDEAGQASEPETLIPVSHLCTMKTVVVLAGDQLQLGPVIYSKKADEYGLGVSYMERLSELPLYASGDTNYVTRLIRNYRCHPAILHLPSKLFYCGELIACRDSSTFVTIGELLPNKEFPILFYGIQGCDEREGNNPSWFNRIEASKVIEVVRRLIAGGNIKEENIGIITPYRQQVSKIKQTLENLDMPDIKVGSVEQFQGQEMEVIIISTVRSTIKHNEFDRVHCLGFLSNYRRFNVAITRAISLLVIIGNPHIICKDDHWSQMLWYCVDNSSYQGCSLPERVEPYDDEDTGENPYLTEDNAEWGQDSTNNAEWGQDSTNNAEWGQDSSQIGLPNPVTDEAEWSDGWK >Vigun07g044600.1.v1.2 pep primary_assembly:ASM411807v1:7:4510977:4514741:1 gene:Vigun07g044600.v1.2 transcript:Vigun07g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDEGSGEGAMGSVVVEKVGGRSSATTCFSRYPLKFFIPKKVGSSKTDAVWVYALNYGGGIVSGDHISCKFCVGDSCTMVLTTQGSTKVYKSVGSKCSQQILEARVGSNALLTIIPDPVTCFSTARYYQKQVFNVSSDSNLVMVDWITSGRHESGEKWDFDLYKSTNNIFLEDGQPLFLDTTLLEKEKNGLIQEHMHNYQVIAMILLLGPKMQYIQNLVQDSVKEIMSKQLLHPSASLSHHHQREKADHFLTKPSFIASCSAFGPKKTGLVVRVAAETTESVYKFLRLQLAPMEAMIGVPPYKTSVI >Vigun06g070350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20032314:20044613:-1 gene:Vigun06g070350.v1.2 transcript:Vigun06g070350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSTRPSLLTLPTRMPPSPSHHHATTRSPPADSSATSSPFTPPRAKRCRTRSPPSLLQRAREPPQSHPVLQTRVTPSRASGAARATGDEANATRSVAGLRCAPSFSFFFSRETGAALIPFVASRTHLPETAVSGSSRR >Vigun10g164300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38350952:38351780:-1 gene:Vigun10g164300.v1.2 transcript:Vigun10g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITEIVFLFTILSCTSYAAVNDFCVADLKGPDSPSGYQCKPPNTVTVDDFVFSGLIAGNTTNTFNAALTSAFVTDFPGLNGLGVSAARLDIAKGGSIPMHTHPAATELLIVVEGQITAGFMTPSAVYSKTLKAGDVMVFPQGQLHFQVNSGKGKATAFLAFSSANPGAQLLDLLLFGNSLPSELIAETTFLDVAQVKKLKARFGGRG >Vigun08g200500.1.v1.2 pep primary_assembly:ASM411807v1:8:36515739:36516627:-1 gene:Vigun08g200500.v1.2 transcript:Vigun08g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLQFQSSLLLPLHAKLKHQQHHHISHTSKLFYLSTHQPPCFLHLPSSTPLSTKALPHLMVVPPAESGDLSSLLPIGGVLLSMYVVTNFVVPGFLANSFPKDESNEEKDDDDEDEEDDEEEDE >Vigun03g248800.3.v1.2 pep primary_assembly:ASM411807v1:3:41385751:41393442:1 gene:Vigun03g248800.v1.2 transcript:Vigun03g248800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHCTCTTDTLRISESITEYVARTPTLSDDGAVTLGSKRSTLFEVDAITGSIIQVYAMPDPVNASAPWSDGRQSVTNIPNINNRDLADPVKLNSPQLLLKIFRTDYSLQSVSPSSGIVLWTMALAEFQAVLLCQHSSSDIEDEYVSDSGLNFTMPYPCQEIRQVFRLKKNLFEPSLMLPLQPNIDRLFTGDDDNIMLPQPLIQITTPREIYLNRTIEWPTLLPLVLFTIFLLAISLIYPLVIKDQETPKDQNSESELKCSPAKKKKTHKSGRKNGIINKRESHMYSENEKVWTEKDNDREVWKHFNHVNENVDGRRVGKLFVSNKEISKGSNGTIVLEGIYEGRAVAIKRLVKAHHDIAFKEIKNLIMSDRHPNIVRWHGVEYDNDFVYLALERCTCNLDDLVQIYSDISVNSAFRKDQDIGCLIKSQIEMGKDSTQCLWKENGYPSPLLLKLMRDIVSGVIHLHELGIIHRDMKPQNVLITKERYLCAKLSDMGISKCLVENMSSLGNNATGGGSSGWQAPEQLVQGRQTRAVDIFSLGCVFFFCITGGRHPFGERIERDVNILKNRMDLFLVEFIPEAKDLISRLLNPYPDLRPKASEVLYHPFFWSSEMRLSFLRDTSDRVEVENRETNSDLLVTLESIATDALGGKWDERMEPAFIANIGHYRRYNFNSVRDLLRVMRNKLNHYRELPREIQELVGAVPEGFYEYFANRYPRLLIEVYKVILHYCKEEECFQRYFRCVD >Vigun03g248800.1.v1.2 pep primary_assembly:ASM411807v1:3:41385126:41393442:1 gene:Vigun03g248800.v1.2 transcript:Vigun03g248800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPLRFSFLFAFLIAFCLVQTSPTTLPKHHKNEECDGHNGLHRPSRSLLSLPPRVATTALVVTLDGTMYLVDRSPGSMKVIWSFSTGSPIYQSYRATVKRDTDKENASAALMSGFVECGDDWSLYMHDRHFGKMRISESITEYVARTPTLSDDGAVTLGSKRSTLFEVDAITGSIIQVYAMPDPVNASAPWSDGRQSVTNIPNINNRDLADPVKLNSPQLLLKIFRTDYSLQSVSPSSGIVLWTMALAEFQAVLLCQHSSSDIEDEYVSDSGLNFTMPYPCQEIRQVFRLKKNLFEPSLMLPLQPNIDRLFTGDDDNIMLPQPLIQITTPREIYLNRTIEWPTLLPLVLFTIFLLAISLIYPLVIKDQETPKDQNSESELKCSPAKKKKTHKSGRKNGIINKRESHMYSENEKVWTEKDNDREVWKHFNHVNENVDGRRVGKLFVSNKEISKGSNGTIVLEGIYEGRAVAIKRLVKAHHDIAFKEIKNLIMSDRHPNIVRWHGVEYDNDFVYLALERCTCNLDDLVQIYSDISVNSAFRKDQDIGCLIKSQIEMGKDSTQCLWKENGYPSPLLLKLMRDIVSGVIHLHELGIIHRDMKPQNVLITKERYLCAKLSDMGISKCLVENMSSLGNNATGGGSSGWQAPEQLVQGRQTRAVDIFSLGCVFFFCITGGRHPFGERIERDVNILKNRMDLFLVEFIPEAKDLISRLLNPYPDLRPKASEVLYHPFFWSSEMRLSFLRDTSDRVEVENRETNSDLLVTLESIATDALGGKWDERMEPAFIANIGHYRRYNFNSVRDLLRVMRNKLNHYRELPREIQELVGAVPEGFYEYFANRYPRLLIEVYKVILHYCKEEECFQRYFRCVD >Vigun03g248800.2.v1.2 pep primary_assembly:ASM411807v1:3:41385126:41393442:1 gene:Vigun03g248800.v1.2 transcript:Vigun03g248800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHCTCTTDTLRISESITEYVARTPTLSDDGAVTLGSKRSTLFEVDAITGSIIQVYAMPDPVNASAPWSDGRQSVTNIPNINNRDLADPVKLNSPQLLLKIFRTDYSLQSVSPSSGIVLWTMALAEFQAVLLCQHSSSDIEDEYVSDSGLNFTMPYPCQEIRQVFRLKKNLFEPSLMLPLQPNIDRLFTGDDDNIMLPQPLIQITTPREIYLNRTIEWPTLLPLVLFTIFLLAISLIYPLVIKDQETPKDQNSESELKCSPAKKKKTHKSGRKNGIINKRESHMYSENEKVWTEKDNDREVWKHFNHVNENVDGRRVGKLFVSNKEISKGSNGTIVLEGIYEGRAVAIKRLVKAHHDIAFKEIKNLIMSDRHPNIVRWHGVEYDNDFVYLALERCTCNLDDLVQIYSDISVNSAFRKDQDIGCLIKSQIEMGKDSTQCLWKENGYPSPLLLKLMRDIVSGVIHLHELGIIHRDMKPQNVLITKERYLCAKLSDMGISKCLVENMSSLGNNATGGGSSGWQAPEQLVQGRQTRAVDIFSLGCVFFFCITGGRHPFGERIERDVNILKNRMDLFLVEFIPEAKDLISRLLNPYPDLRPKASEVLYHPFFWSSEMRLSFLRDTSDRVEVENRETNSDLLVTLESIATDALGGKWDERMEPAFIANIGHYRRYNFNSVRDLLRVMRNKLNHYRELPREIQELVGAVPEGFYEYFANRYPRLLIEVYKVILHYCKEEECFQRYFRCVD >Vigun03g248800.4.v1.2 pep primary_assembly:ASM411807v1:3:41385142:41393442:1 gene:Vigun03g248800.v1.2 transcript:Vigun03g248800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPLRFSFLFAFLIAFCLVQTSPTTLPKHHKNEECDGHNGLHRPSRSLLSLPPRVATTALVVTLDGTMYLVDRSPGSMKVIWSFSTGSPIYQSYRATVKRDTDKENASAALMSGFVECGDDWSLYMHDRHFGKMRISESITEYVARTPTLSDDGAVTLGSKRSTLFEVDAITGSIIQVYAMPDPVNASAPWSDGRQSVTNIPNINNRDLADPVKLNSPQLLLKIFRTDYSLQSVSPSSGIVLWTMALAEFQAVLLCQHSSSDIEDEYVSDSGLNFTMPYPCQEIRQVFRLKKNLFEPSLMLPLQPNIDRLFTGDDDNIMLPQPLIQITTPREIYLNRTIEWPTLLPLVLFTIFLLAISLIYPLVIKDQETPKDQNSESELKCSPAKKKKTHKSGRKNGIINKRESHMYSENEKVWTEKDNDREVWKHFNHVNENVDGRRVGKLFVSNKEISKGSNGTIVLEGIYEGRAVAIKRLVKAHHDIAFKEIKNLIMSDRHPNIVRWHGVEYDNDFVYLALERCTCNLDDLVQIYSDISVNSAFRKDQDIGCLIKSQIEMGKDSTQCLWKENGYPSPLLLKLMRWWQLRLASTRTTCSRTSNTSSRYI >Vigun11g130700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33846617:33847854:-1 gene:Vigun11g130700.v1.2 transcript:Vigun11g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFVTCFSENAVNVSHSSISCSSYSNNACISPSNVAPSTQNSVSSVYKVILSTLKQILVTVTWCRSHSNQGLAITFNHEDPPPFRLNTNSRFFRKKKGTKILEPFSSDSSKVEILWDLSNAKYESGPEPVQGFHLVIIIDSEIGLVLGETAEETVLLSKKLNFRSTGLAAAKVSLLSRTEHCSGSTLYSTKAQFCDTGTWHDVMIRCSVEKENEGLFKSPVLCVCIDKKTVIRVKRLQWNFRGNQTLFVDGLLVDLLWDVHDWFFTPSSSSSSSSSGYAVFMFRTRSGMDSRLWLEEKNAQKDKDRVEFSLLIYACKTT >VigunL020900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000277.1:11240:13663:-1 gene:VigunL020900.v1.2 transcript:VigunL020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSKAALCLVEALKESESKHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEERREKGEASYEDSIFEDLVRRFEKPERRNRWDSPLFELWPHREETEKSSSAIIDVVSYLTKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun05g258400.1.v1.2 pep primary_assembly:ASM411807v1:5:45216220:45219601:1 gene:Vigun05g258400.v1.2 transcript:Vigun05g258400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTEEVKKIVTTLNEAKVPGEDVVEVVVSPPFVFLPLVKSLLRPDFHVAAQNCWVRKGGAFTGEISAEMLVNLEIPWVIIGHSERRQLLQESNEFVGDKVAYALAQGLKVIACIGETLEQREAGTTTAVVSEQTKAIAAKISNWDNVVLAYEPVWAIGTGKVATPAQAQEVHAALRKWFQDNVSAEVAASVRIIYGGSVNGGNSKELAGQADVDGFLVGGASLKPEFVDIINAATVKN >Vigun08g131100.1.v1.2 pep primary_assembly:ASM411807v1:8:30139985:30156447:-1 gene:Vigun08g131100.v1.2 transcript:Vigun08g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGENARSKSRPSSSSLAASLLPSGPAAAAAVGFDGFVGSSRLDLPSSSEDSLPFVDVDSELAVHLKRLGRKDPTTKLKALTALSMLLQEKSAKESILIVPQWAFEYKRLLLDYNREVRRATHDTMTALVTSVGRDLALHLKTLMGPWWFAQFDRVSEVSQAAKRSFQAAFPAQEKRLDALVLCTTQIFMYLEENLKLTPQNLSDKAVATDELDEIYQQVISSTLLALATLLDVLICLQQRPGFENVTTEPKHASKARAAAVSFTEKLFKDHKYFHDFLRSERPSIRSATYSVLKSLIKNMPQAINDGNLKSVAGAILGAFNEKDPTCHPSMWDVILLFSRQFPDGWSSLNIQKSILNPFWNFLRNGCFGSQKVSYPALVLFLDNVPPKSVGGDKFFLEFFKNFWAGRRISLSIDRLAFFQALQECFLWALKNASRYNDGEESICHFRVTLVDHVLVKLLWKDFLTAGTSRADDIINLGKATVSLEENVSESKKVDMLNMKYPMPYLQELGKCFIEILLGIFILDINVLSVFIEELQDNCMGALEQAVNVDIVERIILFMFLLEKHAVLKGAIWPLAYIVGPMLGKSFSLIKSSDSPDTVRLLSVAVSVFGPQMIVQEVFIKNKGHYSSQVSCNGDKVGEAEDFMQIFKNIFVPWCLQSNSCSTSARVDLLLALLDDEHFSEQWSFIINYVIGQSYSEFESKLLDADHAAILAMLLEKARDGRMKRKVKDDSTHSPGSNAKDWHHQYLELSAIAVSQSLPPFSTSHVQFICSLLGGLTEEKSSFLSRNALILIYEEIFRKLLCFLQTSPFFWVRNAASVLINDEKTSVEFDSSLNIIEIAKFALDILDGSFYSLKTLDGESGLVSGILSAIFVIEWECNLSKALGDSLDDNSMIQIKPRITFGEYVCAFHNKINVQFLKSLCSDSRKRLSNILVQSTRLALFAEDRLSNDEIVSLCCTWVLEVLEHVCLDENEEQSVLQYLLSKDEVWPVFVAPNFSLTKASGDKKFVAFIDRLISKIGIDRVISGCGVLNPSLLGKGQELASSAWLTAEILCTWRWPGSCAMSSFLPSFCAYAKGSNSVQEGLLDETLRILLDGSLVYGGTGTKNSVSMWPVPADEMEGVEEPFLRALISFLSALFKEKIWGPAKASSLIELFVNKLFIGEAVNTNCLKILPLLINILLEPFYGYEEPGTGVHHCTLEESFVQNTMIDWLERALSLPPLVTWKTGEDMEDWLQLVIGCYPFISVGGSQALKPARNISSDERKLLYKLFQKQRHVAGGSAIFNQLTVVQMLLSKLMIVSVGYCWNEFCKEDWDFLLSNLRCWIQSAVVMMEDVTENINGVVDSSADNLNLMSQKIEQIVLISDPFPIKICENALLSFLLLLKHCKLQQDEERDNLSTSKSENLDSVKDRILEGVLRLLFCTGISEAIASDCCKEAALVVASSRVEYTHFWNLVAFGVVNSSSQCRDKAVKSVEFWGLRKGSISSLYTLLFTSKPIPPLQFAAYFVLSNDPVLSIAILEDNACNSNVYAATDDDRQHDMSLEEKVHLKKEISVMVERAPFEVLGTDSLSHQRVNLFLAWSLLLSHLQSLSSSSQRERLIQYIQDSATPVVLDCLFQHIPVETFTVQSLKKKDAELSGGLSEAASAATRATTTGSLLFAVESLWPVELEKISSLAGAIYGLMLQVLPAYVRGWFSDLRDRNTSAVIESFTRTCCSPPLIANELSQIKKADFRDENFSVSVSKSANEIVATYTKDETGMDLVIRLPASYPLRPVDVDCTRSLGIAETKQRKWLMSMMLFVRNQNGALAEAIGIWKRNFDKEFEGVEECPICYSVIHTTDHSLPRLGCKTCKHKFHSACLCKWFSTSHKSSCPLCQSPFYGLHLE >Vigun01g106100.1.v1.2 pep primary_assembly:ASM411807v1:1:27733753:27735709:-1 gene:Vigun01g106100.v1.2 transcript:Vigun01g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGRRGSISLLHFPEPILECILKLLSPQELVGMSEVCTCLRDRCRSDSLWEIHIKQKWGGVIGDVAFKEWQWHMTAAKEKQLNHLDKEMNQKGSLGSFNGTWPMLYLRSYLEDSTHLNTSLSNSFMMALYFSLENAKFWFPAQVFRGVFVRDALVRYDSKTDNFQARLQSGGWHIMANNIQWDNLRAPPVDTLPCVRYVSDCLPELKPGDHIEIQRRYRRETPYDWCYAVIGHLESCNGHENFCSCRHSDTLMLEFKQYSEGSNMRRVKLQRNGEEPSGCYGGIRKLHSEEEIQRWEKHFPLRQTPVSIPLHTAFYNVLN >Vigun07g131400.1.v1.2 pep primary_assembly:ASM411807v1:7:24098070:24101201:-1 gene:Vigun07g131400.v1.2 transcript:Vigun07g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQRPLHSLQFVGAAVYAFLVVCFYTFLGLFLGNRTAELTLTLAFSVVATSVMFLFVRCTAIDPTDRTSFRKKKKKKRETLNATPKLNYGFILGQIVIRFFRRVERKLLRTFIKRKYLDPLKTSPQMEPLLPFPLVMKDDDDAIAPDLKEDDISFCALCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFFLLMIFVLLMLLIEGGVAIAIFIRCFADKRGIEKELQSKLHIEFPRGVLATICVLLLLLTAYSSAALGQLFFFHVVLIRKGMRTYDYILAMREENEAMELESLDDSDFSSEESFDFDSPEKPTLMSKFLCKGNQGSPRLSIRIERETEPSPLIKTKKFHVSINPWKLVKLTREKALLAAEKARERLVKEKPTGEQNSLKPLPLETKSGPLMNSDKTVDTEDIFSTSFIAKGRVTGSPGRFTSPRRRFSAGSPTVFSSNLIASPHHKYRSSFDLKLTDVSRELETHISRQVLCSVIGKDDSEASPRSIVF >Vigun04g104600.1.v1.2 pep primary_assembly:ASM411807v1:4:24791075:24822877:-1 gene:Vigun04g104600.v1.2 transcript:Vigun04g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKHAPLASPWFLVEATNKESKRCKMAPKKKPEPDPSGMFSGMVVFLVPKGVQARRLQIWKERLMQMGAMIEERFCKRVTHVFAMNSDTLLQQLDRDRLSRFKGRVLLYQWLEDCLKSGEIVSEDMYVLNLNPQGKPEKSLDPQPGQSDPQQLHNNKKTKYTEIINHESNDQGGKNDSFLSTSSHEEGDSLSYGNTRPQHLDSESDVSSSSYCPPDQNKNITEIFGKLVNIYRALGDDRRSFSYYKAIAVIEKLPFKIESADQINNLPSIGKSLKDHIQEIITSGKLSKLEHFETDEKVRTISLFGEVWGIGPSTAMKLYEKGHRSLDDLRNDGSLTNAQKLGLKYFDDIRQRIPRHEVQEMEHILQKAGEDVLPGIVIICGGSYRRGKATCGDIDIIITHPDGQSHKGFLPEFVKHLKHMNFLREDLIFSIHSEEGSDSGVDTYFGFCTYPGQELRRRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLIAESKGFRLDDTGLFPATLGSGNKRGAKGSASLKFNTEKEVFDFLNFPWLEPNERNL >Vigun06g082800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21443252:21443764:1 gene:Vigun06g082800.v1.2 transcript:Vigun06g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRSSTAKIIPGKAEPEKLSGLAAEHEKRNRETVKTVYKALRDGHTEELVKVVRTELEWWYHGPPHCEHMMRMLTGESTAKTFRFRPRRIRAVGDRVMVEGWEGAGEYWVHVWTLSHGTIAQLREYFNTFITVVLRVSEDGDEARLWRSSDRVRVRVHGSLPDLVVSV >VigunL010966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:16595:16942:-1 gene:VigunL010966.v1.2 transcript:VigunL010966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun07g108200.3.v1.2 pep primary_assembly:ASM411807v1:7:19974752:19986081:-1 gene:Vigun07g108200.v1.2 transcript:Vigun07g108200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKINLSLPIFLFFLLALFSTLACAKKETEVAADPELKTCKHQCLQQLQYTKADKQICLQSCDMYHGLKHEHEEQTEEKIRKKKKHEGTTQEHDEEEESGEKEEEGEAEEGGEEEEEYPYIFEENCDFDTKVETEDGRIRVLKKFTEKSKLLKGIENIRLAILEARAHTFVAPRHFDSDVLLFNIKGRAVLGWVKESETEKFILESGDVLAIPAGTQVYIINRDENEKLFLAMLHIPVSTPGKFEEFFGPGGRDPESVLSAFGWNVLQAALQSPKGELEKLFEQQNGGSIFQISREQVQKLAPKKTSWWPFSGPSTAEFNLFTMSPTFSNSHGTLTEAAPSDSRSLLHKHNIMISFTNITKESMSTILYNSHATKIAMVISGKGGLQIACPHITSRSQTKHEKSSPSYHRISAELKPGMLFVVPPGHPFVTFSSRKENLQILCFEINARDNKKFTFAGKDNIVSSMDDPAKELGFNYPSKIVNRIFDRKESLFFPFQLPRQGRDRRADA >Vigun07g108200.2.v1.2 pep primary_assembly:ASM411807v1:7:19974752:19986081:-1 gene:Vigun07g108200.v1.2 transcript:Vigun07g108200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKINLSLPIFLFFLLALFSTLACAKKETEVAADPELKTCKHQCLQQLQYTKADKQICLQSCDMYHGLKHEHEEQTEEKIRKKKKHEGTTQEHDEEEESGEKEEEGEAEEGGEEEEEYPYIFEENCDFDTKVETEDGRIRVLKKFTEKSKLLKGIENIRLAILEARAHTFVAPRHFDSDVLLFNIKGRAVLGWVKESETEKFILESGDVLAIPAGTQVYIINRDENEKLFLAMLHIPVSTPGKFEEFFGPGGRDPESVLSAFGWNVLQAALQSPKGELEKLFEQQNGGSIFQISREQVQKLAPKKTSWWPFSGPSTAEFNLFTMSPTFSNSHGTLTEAAPSDSRSLLHKHNIMISFTNITKESMSTILYNSHATKIAMVISGKGGLQIACPHITSRSQTKHEKSSPSYHRISAELKPGMLFVVPPGHPFVTFSSRKENLQILCFEINARDNKKFTFAGKDNIVSSMDDPAKELGFNYPSKIVNRIFDRKESLFFPFQLPRQGRDRRADA >Vigun06g199500.1.v1.2 pep primary_assembly:ASM411807v1:6:31473446:31475604:-1 gene:Vigun06g199500.v1.2 transcript:Vigun06g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWSEGKRRINYKEEEDDDEEEEEEEGSEYGEDGRKKRVVTNKRGSKAGGSMPPLCQVDGCNADLSDAKPYHRRHKVCEYHAKAPAVLIAEQHQRFCQQCSRFHELSEFDESKRSCRRRLAGHNERRRKNASEYGH >Vigun01g002701.1.v1.2 pep primary_assembly:ASM411807v1:1:330707:336079:-1 gene:Vigun01g002701.v1.2 transcript:Vigun01g002701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNEWLNGYLEAILDVGSSVRRKKKKNDDKVKIAKFEEDDKDERVEKLFNPTTKYFVEEVVNSFDEHELYRTWVKVTSTRNSRERSNRLEICAAHMAPHSQKEQIAWDDAQRLARKRLDREEGRNDAANDLSELSEGEKEKGDANNNGLEPHNLYIVLISIHGLVRGDNMELGRDSDTGGQVKYVVELARALANTKGIYRVDLLTRQIASPEVDSSYGEPIEMLSCPTDGSDCGGAYIIRLPCGPRDNEWWKANMAYVIHGHYADAGDVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREAINATYKIMRRIEAEEFSVDAAEMVVTSTRQEIEEQWGLYDGYDLKLERKLRVRRRRGVSCLGRHMPRMVVIPPGMDFSYVTTQDTVEGEGDLKSLIGSNRAQSKMNLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVMTLLKAFGECQALRKLANLTLILGNRDDIEEMSNSSSTVLTMVLKLIDKYDLYGQVAYPKHHKQSQVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKTSDPFIVHTIFTRCRKACNLHFIYLLFKVFVLFKMPQKRPKNIHRFSWPEHCRNYLSHVEHGRNRRSTSLLEITPIAEEPTSDSLKDVEDISFRFSTEGDSKLNGETDPAARQKQIIETIMSRVSSTGNSNNSYFPGRRQSLVVVAADCYDNDGNLAKAFQTIILNVMKVVRPGRIGVVLLTGLSLQETIEALNSCQVNIEEFDALVCNSGSEMYYPWKDLMADADYEAHVEYAWPGENISSTIPRLARIDDEEENDIVEYASACSSRCLSYSLKHEAKTRKIDELRQRLRMRGLRCNLVYTHAGVRLNVIPLFASRKQALRYLSVKWGIDLSKVVVFVGEKGDTDYEELMGGIQKTVVLRGAAECGSERLLRSEDSYKREDVFFQDSPNIVYAEKNYEDCDISAVLEHLKVS >Vigun07g127600.1.v1.2 pep primary_assembly:ASM411807v1:7:23489042:23493090:1 gene:Vigun07g127600.v1.2 transcript:Vigun07g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDDTVAKMAKSNGGIVANNLINRWNGKPYSQRYHDILEKRKTLPVWHQKEEFLQVLKDNQTLILVGETGSGKTTQIPQFVLEAVDIESPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVNIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKISKEISNLGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPLKEGGPPGRKIVISTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSVSAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYDNFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLSNFPPCEAKRVLEKLYKKREKEKDEARSRK >Vigun06g062600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19100319:19102562:-1 gene:Vigun06g062600.v1.2 transcript:Vigun06g062600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQELLKFRYQIGSAILVCLSIFSFLYLAPRFLNVLAYFWPLFLSTALFLLLVIFFAKTQTFPNSDASIHKPAEELLHYVAGDHHSDPPLDNHKSD >Vigun06g062600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19100325:19102566:-1 gene:Vigun06g062600.v1.2 transcript:Vigun06g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQELLKFRYQIGSAILVCLSIFSFLYLAPRFLNVLAYFWPLFLSTALFLLLVIFFAKTQTFPNSDASIHKPAEELLHYVAGDHHSDPPLDNHKSD >Vigun06g062600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19099554:19102562:-1 gene:Vigun06g062600.v1.2 transcript:Vigun06g062600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQELLKFRYQIGSAILVCLSIFSFLYLAPRFLNVLAYFWPLFLSTALFLLLVIFFAKTQTFPNSDASIHKPAEELLHYVAGDHHSDPPLDNHKSD >Vigun06g062600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19099554:19102566:-1 gene:Vigun06g062600.v1.2 transcript:Vigun06g062600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQELLKFRYQIGSAILVCLSIFSFLYLAPRFLNVLAYFWPLFLSTALFLLLVIFFAKTQTFPNSDASIHKPAEELLHYVAGDHHSDPPLDNHKSD >Vigun08g109850.2.v1.2 pep primary_assembly:ASM411807v1:8:27299762:27303204:1 gene:Vigun08g109850.v1.2 transcript:Vigun08g109850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCRSSNQRCLVFWVLFSLSMMVEWELSVLFNCLPWWPHLKSIATILLLIPYVGAAPCAYKFLIRPYCSWSPFTKISNIFTEKDTDSKSDEGGKLFDVSDQTTTSTQILEKELVDFSDQIITPSQIKETKLEACQDDSAGCHKTESSYSRLTSKKLVQKEWSCALCQISTTSENCLRAHHKGKKHKNKEKELRVEFHQTNSTYMLSSTQKRIKGMILIRNLNQIANILNPVSRSIRWCEWRKPEFGWTKLNTDGSINRDSASFGGLLRDYRGEPICAFVSKMPQGDVFLVELWAIWRGLVLCWGLGIKAIWVESDSMSVVNTVNRKQHCPKAQDYLKQIWKLLKNFDKYQISHSWRETNRAADHLAKMVVWGNDVVLWPVDFPPTLCSIIEDDARGKKYLRR >Vigun08g109850.1.v1.2 pep primary_assembly:ASM411807v1:8:27299762:27303204:1 gene:Vigun08g109850.v1.2 transcript:Vigun08g109850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEEPFYTLLTNSFTLLSWPPFTLLCPLFVSVRAMESDCRSSNQRCLVFWVLFSLSMMVEWELSVLFNCLPWWPHLKSIATILLLIPYVGAAPCAYKFLIRPYCSWSPFTKISNIFTEKDTDSKSDEGGKLFDVSDQTTTSTQILEKELVDFSDQIITPSQIKETKLEACQDDSAGCHKTESSYSRLTSKKLVQKEWSCALCQISTTSENCLRAHHKGKKHKNKEKELRVEFHQTNSTYMLSSTQKRIKGMILIRNLNQIANILNPVSRSIRWCEWRKPEFGWTKLNTDGSINRDSASFGGLLRDYRGEPICAFVSKMPQGDVFLVELWAIWRGLVLCWGLGIKAIWVESDSMSVVNTVNRKQHCPKAQDYLKQIWKLLKNFDKYQISHSWRETNRAADHLAKMVVWGNDVVLWPVDFPPTLCSIIEDDARGKKYLRR >Vigun05g085900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8162501:8166064:1 gene:Vigun05g085900.v1.2 transcript:Vigun05g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMNWYSVCVPLLVCLVLAIEVVVAQDFKPTDNILLDCGGPPSSTDTDGRVWTTDVGSKFGSSSEKSTTASQAATQDPAVPQVPYMTARVFHAPFTYAFPVASGWKFLRLHFYSASYSNLNASDALFAVTANSYTLLRNFSVAQTTLALNYAYILKEYAIYVEGKTLNVTFTPSTNASNAYAFVNGIEVVSMPDIYTSTDGTTMIVGTNTAYTIDNSTALENVYRLNVGGNDISPSHDTGMFRSWSDDVPFLFGAAFGVTEPADSNVKFEYPPGTPSYIAPLDVYTTARSMGPNPKINTNYNLTWIFNIDSGFSYLVRLHFAEVSSNITKVNQRVFDIFLNNQTATPQADVIAWANIFSLSHSNGVPVHKDYVVFIPDEGEPRVDLWLALHPDPTEKPMYYDAILNGVEIFKINATAGNLAGTNPIPPPVQDIIDPSTARTHNHGKSKNHTGIIAGGVVGGVVVVLVIGLFALAASRRRRQGKDSGASEGPSGWLPLSLYGNSHSAASAKTNTTGSYASSLPSNLCRHFSFAEIKSATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDHMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWAAHCYLKGILDQIIDPYLKGKIAPECFKKFAETAMKCVADQGIERPSMGDVLWNLEFALQLQESAEESGNGFGDIHNEEEPIYTDSKGKKDSDAMAGYDGNVTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Vigun02g000400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:204540:205118:1 gene:Vigun02g000400.v1.2 transcript:Vigun02g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLREVRDIRKYRPEVAPALLITQQKCSNRFPRLEPIIEEGSDEGFYTTPKRMMFLVPAFFSFLSYYILLHNNFD >Vigun06g144033.5.v1.2 pep primary_assembly:ASM411807v1:6:26982380:26986567:-1 gene:Vigun06g144033.v1.2 transcript:Vigun06g144033.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTMCSPSDKDLSLSPNLDITIDDGSPNSEQLLDIVDEGNELDNECGQLFEIDGSGRENGRDETTVVDSHSVESHGKDCPPPIVGMEFDTYDDAYNYYNTYAKEIGFATRVKSSWTKRNSKEKRGAVLCCNCEGFKTTKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSRAKRKVEPTLDVEVRTIKLYRMPVVDASGYGSSNSTEGATNNHINCSKRLKLKKGCGLLADETFETYIWLFRAWLTCMTGRPPQTMITNQCKALQSAIAEVFPRAHHRICLSQVMQSILGCFVQFQEYEAFQIALTKVIYDSKTVDEFERAWDDLTQHFGIRNHEKILTLHEEREHWAPVYSKDTFFAGISDYEKGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKHKTEVLDDLESCDLSSLLKTRCYYELQISKLYTNAVFRKFQDEVVMMPSCFSITQTQTSGSMVTYMVKEREVEDPATDGSRHFEVMYDKAGTEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDFKRLYVPHLSADNVDISNPVQCFDHLYKRAMRVVEEGMISQDHYMVSWQAFKESLNKIRLVADKIE >Vigun06g144033.1.v1.2 pep primary_assembly:ASM411807v1:6:26982380:26986567:-1 gene:Vigun06g144033.v1.2 transcript:Vigun06g144033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTMCSPSDKDLSLSPNLDITIDDGSPNSEQLLDIVDEGNELDNECGQLFEIDGSGRENGRDETTVVDSHSVESHGKDCPPPIVGMEFDTYDDAYNYYNTYAKEIGFATRVKSSWTKRNSKEKRGAVLCCNCEGFKTTKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSRAKRKVEPTLDVEVRTIKLYRMPVVDASGYGSSNSTEGATNNHINCSKRLKLKKGDPELISNYFCRIQLTNPNFFYVMDLNDDGQLRNVFWIDSRSRAAYSYFGDVVAFDSTCLSNNYEIPLVAFVGVNHHGKSILLGCGLLADETFETYIWLFRAWLTCMTGRPPQTMITNQCKALQSAIAEVFPRAHHRICLSQVMQSILGCFVQFQEYEAFQIALTKVIYDSKTVDEFERAWDDLTQHFGIRNHEKILTLHEEREHWAPVYSKDTFFAGISDYEKGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKHKTEVLDDLESCDLSSLLKTRCYYELQISKLYTNAVFRKFQDEVVMMPSCFSITQTQTSGSMVTYMVKEREVEDPATDGSRHFEVMYDKAGTEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDFKRLYVPHLSADNVDISNPVQCFDHLYKRAMRVVEEGMISQDHYMVSWQAFKESLNKIRLVADKIE >Vigun06g144033.2.v1.2 pep primary_assembly:ASM411807v1:6:26982380:26986569:-1 gene:Vigun06g144033.v1.2 transcript:Vigun06g144033.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTMCSPSDKDLSLSPNLDITIDDGSPNSEQLLDIVDEGNELDNECGQLFEIDGSGRENGRDETTVVDSHSVESHGKDCPPPIVGMEFDTYDDAYNYYNTYAKEIGFATRVKSSWTKRNSKEKRGAVLCCNCEGFKTTKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSRAKRKVEPTLDVEVRTIKLYRMPVVDASGYGSSNSTEGATNNHINCSKRLKLKKGDPELISNYFCRIQLTNPNFFYVMDLNDDGQLRNVFWIDSRSRAAYSYFGDVVAFDSTCLSNNYEIPLVAFVGVNHHGKSILLGCGLLADETFETYIWLFRAWLTCMTGRPPQTMITNQCKALQSAIAEVFPRAHHRICLSQVMQSILGCFVQFQEYEAFQIALTKVIYDSKTVDEFERAWDDLTQHFGIRNHEKILTLHEEREHWAPVYSKDTFFAGISDYEKGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKHKTEVLDDLESCDLSSLLKTRCYYELQISKLYTNAVFRKFQDEVVMMPSCFSITQTQTSGSMVTYMVKEREVEDPATDGSRHFEVMYDKAGTEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDFKRLYVPHLSADNVDISNPVQCFDHLYKRAMRVVEEGMISQDHYMVSWQAFKESLNKIRLVADKIE >Vigun06g144033.4.v1.2 pep primary_assembly:ASM411807v1:6:26982380:26986567:-1 gene:Vigun06g144033.v1.2 transcript:Vigun06g144033.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTMCSPSDKDLSLSPNLDITIDDGSPNSEQLLDIVDEGNELDNECGQLFEIDGSGRENGRDETTVVDSHSVESHGKDCPPPIVGMEFDTYDDAYNYYNTYAKEIGFATRVKSSWTKRNSKEKRGAVLCCNCEGFKTTKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSRAKRKVEPTLDVEVRTIKLYRMPVVDASGYGSSNSTEGATNNHINCSKRLKLKKGCGLLADETFETYIWLFRAWLTCMTGRPPQTMITNQCKALQSAIAEVFPRAHHRICLSQVMQSILGCFVQFQEYEAFQIALTKVIYDSKTVDEFERAWDDLTQHFGIRNHEKILTLHEEREHWAPVYSKDTFFAGISDYEKGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKHKTEVLDDLESCDLSSLLKTRCYYELQISKLYTNAVFRKFQDEVVMMPSCFSITQTQTSGSMVTYMVKEREVEDPATDGSRHFEVMYDKAGTEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDFKRLYVPHLSADNVDISNPVQCFDHLYKRAMRVVEEGMISQDHYMVSWQAFKESLNKIRLVADKIE >Vigun06g144033.3.v1.2 pep primary_assembly:ASM411807v1:6:26982380:26986567:-1 gene:Vigun06g144033.v1.2 transcript:Vigun06g144033.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDTMCSPSDKDLSLSPNLDITIDDGSPNSEQLLDIVDEGNELDNECGQLFEIDGSGRENGRDETTVVDSHSVESHGKDCPPPIVGMEFDTYDDAYNYYNTYAKEIGFATRVKSSWTKRNSKEKRGAVLCCNCEGFKTTKEANSHRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKRMDSRAKRKVEPTLDVEVRTIKLYRMPVVDASGYGSSNSTEGATNNHINCSKRLKLKKGDPELISNYFCRIQLTNPNFFYVMDLNDDGQLRNVFWIDSRSRAAYSYFGDVVAFDSTCLSNNYEIPLVAFVGVNHHGKSILLGCGLLADETFETYIWLFRAWLTCMTGRPPQTMITNQCKALQSAIAEVFPRAHHRICLSQVMQSILGCFVQFQEYEAFQIALTKVIYDSKTVDEFERAWDDLTQHFGIRNHEKILTLHEEREHWAPVYSKDTFFAGISDYEKGESVVPFFKGHVHQQTSLKEFFEIYELVQQKKHKTEVLDDLESCDLSSLLKTRCYYELQISKLYTNAVFRKFQDEVVMMPSCFSITQTQTSGSMVTYMVKEREVEDPATDGSRHFEVMYDKAGTEVRCICSCFNFKGYLCRHALYILNYNGVEEIPCQYILSRWRKDFKRLYVPHLSADNVDISNPVQCFDHLYKRAMRVVEEGMISQDHYMVSWQAFKESLNKIRLVADKIE >Vigun11g014600.14.v1.2 pep primary_assembly:ASM411807v1:11:1797878:1804308:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun11g014600.3.v1.2 pep primary_assembly:ASM411807v1:11:1797910:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.8.v1.2 pep primary_assembly:ASM411807v1:11:1797879:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.13.v1.2 pep primary_assembly:ASM411807v1:11:1797878:1804308:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun11g014600.2.v1.2 pep primary_assembly:ASM411807v1:11:1797910:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.11.v1.2 pep primary_assembly:ASM411807v1:11:1798824:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.15.v1.2 pep primary_assembly:ASM411807v1:11:1797878:1804308:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.10.v1.2 pep primary_assembly:ASM411807v1:11:1797879:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun11g014600.1.v1.2 pep primary_assembly:ASM411807v1:11:1797910:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun11g014600.16.v1.2 pep primary_assembly:ASM411807v1:11:1797878:1804308:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSMEKLKMLMGLQ >Vigun11g014600.12.v1.2 pep primary_assembly:ASM411807v1:11:1798824:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun11g014600.6.v1.2 pep primary_assembly:ASM411807v1:11:1797910:1804190:1 gene:Vigun11g014600.v1.2 transcript:Vigun11g014600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKTDALSILSQIKRQDKQIKLKSRWLLGIPPTRHERKKLKKHFKNRYLSESLIREDDMFYESVKTHLEDALGAHRSEQENDIPKGDMDFIQTLNMKRLISPLLDNLTTKGLYLLAMIVTGSSFKSEITRCKMKRVIKDSLSSVLGRKSHHNHLDTCKQIFSLLNNPQNFRDRCEPLGALRSLSYHAAAEKVLHNLQNLPSQTLIAMRRKLKGVKAPVPQLQPRKHGWARDHLIKLVKKISMEMLSKLDRESELQEPLAKAMAVADLSLKLTSGSHNIFSKDFYQFSPEVKSLQSDIMNAIWSVKKVTTVPELRNLQLLIEPKATISNRCIRTAFVNFLTEFLFECSDMDSVPKSLSQTLDVINRGPNNGGLHNALFKKKYIEDEVDCILNISALTKQFVLDLLPDDEFDKDFNDAYMEQLEESDDSDSDEDGGSQLQEDIQLGNGFDSIDTCYEAESIGDFVPFELLSCTIKIEENVSSSPLTVSDRLNSCSERLQSNTCFSVNLESEFHNTPRNMSSDQCQGERTEHFSTFMADKNNYSSFVSPDRELDEKVVKINHLFESDTKIAATDTTNLFSGEKEPVPTKYSACENQYLAIQEACDKTSMLAYSLIGRMLHEFVKTEGLDLNPSKSLYLNRNNLVEDVEDIEEQSSSGKHARDFVQVIEELIPSFPDSSMEKLKMLMGLQ >Vigun10g052200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8401729:8402503:1 gene:Vigun10g052200.v1.2 transcript:Vigun10g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAILQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKADA >Vigun03g381500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58587698:58588189:-1 gene:Vigun03g381500.v1.2 transcript:Vigun03g381500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHPNSSLHLTQPDDQINHLNLDLLLEPSSSSSSSPSPISPIEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSREMSSTMQSYGAPEQRSNFTPSHHLGRALGVNVVDNQGQTYVRLAGRKEYSFGPNEGVGSWSRGYASEHVQEDIGQLDLSLRL >Vigun10g074800.1.v1.2 pep primary_assembly:ASM411807v1:10:20142665:20145311:1 gene:Vigun10g074800.v1.2 transcript:Vigun10g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQENNSSEKTNSSGVWKKFWHFTIASLRYLFVNFNTLPFVSALVVTYKYVEIAVVSILMDYFNDSMEEENQRLAAIVTNLQDGLSSLFVVIVSLISEAYTGYYTMITICTAASIEGLMLLWTSASSTKPSTFRAVYAAIFFLALGSSGQKLIENFLEYQLEEKIKARHESEAVRSGTTENQKEEDFTDKVLIKSWLCAPFVVGYIITICLAFISIDTTYEHWFQFTALIMCGTYMLFLAGSAWYSHEQLPIESNLHKIYRILKAALGKRHAKYPTSPGRYYWKDSKRDHFYKYGEGVRLLPRVPRLFRWLDKAAILEAEASTVSLELQEKNEKLCTVEEVRDVKSLVPMFYLCFAFFGYSLLVATESTFFVAQASNMTSNITTNGNDISILVLIMEATSDVSRFIWFLIGRAFRHFTKFSCIDNVCNKKAAIARIGFGMVCAVICSLIAWQVEVGRLKISKQMEYEDGRNSTVALIPQFSVLGVTNGLVEGGITSLFHGNVAKSMWSFDDSYKELVIGSGKLMIIPLVLSIPSWFSDTLNSSRLDKFYLMLGILNAAFLLVFFYYSFRYAYKEVCPQDDQEVEEFTGANADFVSESTIEIESKDHSTDTD >Vigun07g214400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33566095:33567054:1 gene:Vigun07g214400.v1.2 transcript:Vigun07g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLRCLVVALCVVVLLYCPMAANGEQGLAEQICMESFEDKEGCMALVKQADPKIASARSLEDLAQATLEWAVSKSSEAQAFLKELAKMENNPAIVQCANFDYDGVVGSFKSALQELKEDPQTASYDAKAAGDGPDCCDRGLASANINNPAVTALNRQIFLLSNMAFTAVSKYSD >Vigun07g258800.1.v1.2 pep primary_assembly:ASM411807v1:7:37579867:37584649:1 gene:Vigun07g258800.v1.2 transcript:Vigun07g258800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLFAILCLISVQSIAISANSAAYPSIPGADAKDGCPLRGESRDALIPPRREVYDNGRVFDISHRYVPELPVWESTEGLGQDFLWLTTSIKNESRANNSKFKLGAHTGTHVDAPGHFYDHYYDAGFDVDSLDLTLLNGLALLIDTPRDKNITAEVMKSLNIPKGVRRVLFRTLNTDRRLMFKKEFDTSYVGFKEDGAKWLIQNTDIKLVGIDYLSAAAYDHSVESHLAFLASREIILVEGLKLDDVPAGVYSLSCLPLRLVHSEASPIRCILIT >Vigun06g167900.1.v1.2 pep primary_assembly:ASM411807v1:6:28961474:28967267:-1 gene:Vigun06g167900.v1.2 transcript:Vigun06g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNANQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSRMSSRGQAAYAEAGTVVEQTVGAIRTVASFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNGVVMEDIRGDIELKDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFQVRWIREHIGLVSQEPILFAASIKENIMYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEQGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQRQVSLRRDSSSRHSHSFTLSHRSGVHESVEIEDGDVEKSKGDAKKVSLRRLAYLNKPEVPVLVLGSVAAIINGLVFPMFGFLFSSAISMFFEPPEKQRKDSRFWALLYVGLGLITLVVIPVQNYFFGVAGGKLVERIRSLTFEKVVHQEISWFDDPANSSGAVGARLSSDASTVKSLVGDTLALIVQNLSTIIAGLVISFTANWILAFIILAVSPLVLMQGFLQMKFLKGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTNKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPTRPHIQIFKDLCLNIPAGKTVALVGESGSGKSTVISLLERFYNPHTGRILLNGVDIKEFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGVATEEEITAAAKASNANQFICALPEGYDTLVGERGTRFSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDRVSVNRTTVVVAHRLTTIRGADIIAVVKDGAVAEKGTHNELMKIIDGVYASLVALHTTAS >Vigun02g169400.1.v1.2 pep primary_assembly:ASM411807v1:2:31256242:31259907:-1 gene:Vigun02g169400.v1.2 transcript:Vigun02g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVALAKSLARRCRGIHHTTWKSFGNRCESQVQSAGPLGSLLKSRSVIRFRGPDTLKFLQGLLTNDVRRLGDPIGEKTENLPTPNVPATSVPPIYAALLTPQGRFLYDLFLYKPPTTDTKLDNTGSAPESNSDRPFHLFADVDASVLDELLQTFNKYRLRSKVDIDDVSSDFSCWQRYGTGLPEKSSQVEEPEAASVGWGAGVDSTAMSSSHGSDSGWQWFKDPRLTSLGFRGIFPSNITPPLIEVDKETDEQNFFMWRIEKGVAEGSTEIPKGEAVPLEYNLAGLNAISFDKGCYVGQELIARTHHRGVIRKRIVPLKFLDNDGKELVSKVIPGSEVINTASGKKSGTVTTVLGCRGLGLLRLEEALKGSSALSIQGQEDVKVVASRPDWWPSEWLQDHGQHAAFA >Vigun01g102950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27183207:27187828:1 gene:Vigun01g102950.v1.2 transcript:Vigun01g102950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVLRFRVLFCDLRLLFRKFGLAGCVEEKGNGVDDWVRLCRKIRLFYDLNAGKNTWELIRGNNSVGVGVVVKHGGEELIQEYFCRLGAKKEDVARLIVNRPKLLDFDLKMGMVNVLKLLKHFASYILV >Vigun02g033100.1.v1.2 pep primary_assembly:ASM411807v1:2:13932365:13944495:-1 gene:Vigun02g033100.v1.2 transcript:Vigun02g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPFRFRSRNLNSRFTHIPISHPFKDQRPFSTKLVNKPKNSTLTVRALRKAPIEGLSDELNAVARRNLDFAYTRRRVRDAFTEVHQQLDHCLFKNAPAGIRTEEWYERNSRGLEIFCKSWMPRPEIPLKASVCFCHGYGDTCTFFFEGIARRIAASGYGVFAMDYPGFGLSEGLHGYIPNFDYLVDDVIEHYTKIKARPDLRDLPRFILGQSMGGAVSLKVHLREPDNWDGMILVAPMCKIADDVLPSDGVMKVLTLLSKVMPKAKLFPNQELDELFFREPSKRKLAVYNVLCYDDNPRLRTGMELLRTTKEIESQVHKVSAPLLVLHGAEDKVTDPLVSKFLYEKASSKDKTLKLYEGGYHCILEGEPDDRISAVHDDIVKWLDFRSSSK >Vigun02g033100.2.v1.2 pep primary_assembly:ASM411807v1:2:13934047:13944495:-1 gene:Vigun02g033100.v1.2 transcript:Vigun02g033100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPFRFRSRNLNSRFTHIPISHPFKDQRPFSTKLVNKPKNSTLTVRALRKAPIEGLSDELNAVARRNLDFAYTRRRVRDAFTEVHQQLDHCLFKNAPAGIRTEEWYERNSRGLEIFCKSWMPRPEIPLKASVCFCHGYGDTCTFFFEGIARRIAASGYGVFAMDYPGFGLSEGLHGYIPNFDYLVDDVIEHYTKIKARPDLRDLPRFILGQSMGGAVSLKVHLREPDNWDGMILVAPMCKIADDVLPSDGVMKVLTLLSKVMPKAKLFPNQELDELFFREPSKRKLVGIFAFISYCVLV >Vigun02g033100.3.v1.2 pep primary_assembly:ASM411807v1:2:13932403:13944495:-1 gene:Vigun02g033100.v1.2 transcript:Vigun02g033100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPFRFRSRNLNSRFTHIPISHPFKDQRPFSTKLVNKPKNSTLTVRALRKAPIEGLSDELNAVARRNLDFAYTRRRVRDAFTEVHQQLDHCLFKNAPAGIRTEEWYERNSRGLEIFCKSWMPRPEIPLKASVCFCHGYGDTCTFFFEGIARRIAASGYGVFAMDYPGFGLSEGLHGYIPNFDYLVDDVIEHYTKIKARPDLRDLPRFILGQSMGGAVSLKVHLREPDNWDGMILVAPMCKMTCYHQMEL >Vigun01g038600.1.v1.2 pep primary_assembly:ASM411807v1:1:5193062:5196300:-1 gene:Vigun01g038600.v1.2 transcript:Vigun01g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPKDPRKVDHLLSLDGAKERLHLVKANLLEEGSFDSAVQGCHAVFHTASPFFNNAKDPQTELLDPAVKGTLNVLRSCVNSPTLKRVVLTSSIAAVAYSDRPKNPDVVVDETWYSDPEYCKRTGLWYNLSKTLAEDAAWKFVKENNIDMVTMNPALVIGPLLQPELNTSAAIVLSLVNGAKTFKNDSLGWVDVKDVAMAHILAYENDSANGRYLLVERVTHFGDAAKILRDLYPTLQVAEKCEDDKPWVPIFQVSKEKAKSLGIDYIPLEVSLKDTVESLKEKKFLKV >Vigun10g010800.1.v1.2 pep primary_assembly:ASM411807v1:10:1106522:1110890:-1 gene:Vigun10g010800.v1.2 transcript:Vigun10g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVLFCLVFCSQLTGGLNTPLPPPSYGDYVSILSIDGGGIRGLIPAAVLHYLDKTLKFMDRTASLAHYFDVISGTDTGGLMTAMLAAPSSYDPNHPLFTPSEVALFYKKYGPEIFKPRPIWDPIKCPKYDGVFIRNITSEILKDTRLSETLTNVVIPSFDEKKVKPVVFSKLKVSMLKTEAYLNAKLSDICVATSAAPTLLPSHQFENDGVQFDLVDGALAANNPAMAAVSEVIQEHHAEKEILLLSLGTGIPKAKDKLGDDNDDDSCLLRWLGEHSDTISEVISKTDMTHSYLATIFPGLFPAENYLRIEEYNLDPSMGAVDNTTEENMDSLVKVGNALLDKKAKRMNVNTFVPFKLHQTNAMALDMLAEKLYAERQLRLKRKSMEKGGRSFTETI >Vigun10g010800.2.v1.2 pep primary_assembly:ASM411807v1:10:1106522:1110890:-1 gene:Vigun10g010800.v1.2 transcript:Vigun10g010800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVLFCLVFCSQLTGGLNTPLPPPSYGDYVSILSIDGGGIRGLIPAAVLHYLDKTLKFMDRTASLAHYFDVISGTDTGGLMTAMLAAPSSYDPNHPLFTPSEVALFYKKYGPEIFKPRPIWDPIKCPKYDGVFIRNITSEILKDTRLSETLTNVVIPSFDEKKVKPVVFSKLKLKTEAYLNAKLSDICVATSAAPTLLPSHQFENDGVQFDLVDGALAANNPAMAAVSEVIQEHHAEKEILLLSLGTGIPKAKDKLGDDNDDDSCLLRWLGEHSDTISEVISKTDMTHSYLATIFPGLFPAENYLRIEEYNLDPSMGAVDNTTEENMDSLVKVGNALLDKKAKRMNVNTFVPFKLHQTNAMALDMLAEKLYAERQLRLKRKSMEKGGRSFTETI >Vigun03g349700.1.v1.2 pep primary_assembly:ASM411807v1:3:55004640:55028118:1 gene:Vigun03g349700.v1.2 transcript:Vigun03g349700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAAASAALSSAAPPLEEPEYLARYMVVKHSWRGRYKRILCISSVSVLTLDPSTLSVTNSYDVATDFEGAVPILGRDENSNEFNLSVRTDGRGKFKSMKFSSRYRASILTELHRIRWNRLAPVAEFPVLHLRRRASQWVPLKLKVTYVGVELIDTNSGDLRWCLDFRDMDSPAIILLSNSFGKKNIDHGSGFVLCPLYGRKSKAFQAASGCTTSAIISNLTKAAKSTVGVSLSVESSQSLSISEYIKQREKEAVGAEDTPLGGWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDSVSRQLILTKVSLVERRPENYEAVTVRPLSSVSALVRFAEEPQMFAIEFSDGCPIHVYASTSRDSLLAAFRDALQTEGQCAIPVLPRLTMPGHRIDPPCGRVFLLSGQQKPVTDVESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNATIPYSGVPQNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIGCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVASEAAGLVAVLIGGGPGDANVTDSKGEWHATIMHTKSVLFANQNYIIILVNRLKPTSVSPLLTMTVVEVLEAMICDPHGETTQYSVFVELLRQVAGLKRRLFALFGHPAESVRETVAMIMRSIAEEDAIAAESMRDASLRDGALLRHLLHAFFHPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRADEVLAENTNHEESSIGKRKRRLLQHRKGRIGRGLISQEQPFPSANNFDVSDSARQTGGTVVRGLDNFHKTGMDPSSGQTSNIQSSVVHTSEHLNNGSSTVDVHNGHSAFLASANAVSANSNEAPESEFQNSVDPDSNAVGLQNEGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFDLDHNRADLIWNERTRQELRESLKAEVHKLDVEKERTEDIVPGGTALEMVSGVESVPQISWNYPEFSVRYPSLSKEVCVGQYYLRLLLESGSAGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMTIVYEQHYMTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALVKVLSNVEACVLVGGCVLAVDLLTVVHETSERTSIPLQSNLIAATAFMEPLKEWMYIDKDGAQVGPMEKDAIRRLWSKKAIDWTTRFWASGMLDWKKLRDIRELRWALALRVPVLTPPQVGETALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPSIVEAAAALLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIGQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDDIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISFEDISSDNVNKRNSLDIADEASGLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGRDKFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRHGDVLEPFKYAGYPMLLSAVTVDKDDNNFLSSDRAPLLVAASELVWLTCASSKLNGEELVRDGGVHLLATLLSRCMGVVQPTTPGNEPSAIIVTNIMRTFSVLSEFEAARAEILEFSGLVEDIVHCTEFELVPAAVDAAIQTIANVSISSELQDALLKAGVLWYLLPLLLQYDSTAEESDATESHGVGASVQIAKNMHAIRASLALSRLSGLCSDESATPYNQAAADALRVLLTPKLSSMLKDQMPKDLLSKLNANLESPEIIWNSSTRAELLKFVDQQRLAQGPDGSYDIKDSHDFVYKALSKELFIGNVYLRVYNDQPDFEISEPETFCLALIDFISYLVHNLCEVASHKVEDANHNVENANHNVEDANDNVEDTSKASEDTSEAVGESVNEQRVVDNSGTMSEEHSVGKEELELIKSLHSALTSLQNLLTNNPNLASIFSNKDKLLPLFECFSVPEASVYTIPQLCLAVLSLLTAHAPCLQAMVADGSSLLLLLQMLHSAPSCREGSLHVLYALASTPELAWAAAKHGGVVYILELLLPLKEEIPLQQRAMAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIKDGPGEAVVVALEQTTETPELVWTPAMAASLSAQISTLSSELYREQMKGRVVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYEAQVVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAFEGRRETMSSGEVNSGRHAEQTFDPDIDSAENTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVRELLNNSDVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPPSTTSRTPPLSSADFNGKQDQFL >Vigun03g349700.2.v1.2 pep primary_assembly:ASM411807v1:3:55004640:55027613:1 gene:Vigun03g349700.v1.2 transcript:Vigun03g349700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAAASAALSSAAPPLEEPEYLARYMVVKHSWRGRYKRILCISSVSVLTLDPSTLSVTNSYDVATDFEGAVPILGRDENSNEFNLSVRTDGRGKFKSMKFSSRYRASILTELHRIRWNRLAPVAEFPVLHLRRRASQWVPLKLKVTYVGVELIDTNSGDLRWCLDFRDMDSPAIILLSNSFGKKNIDHGSGFVLCPLYGRKSKAFQAASGCTTSAIISNLTKAAKSTVGVSLSVESSQSLSISEYIKQREKEAVGAEDTPLGGWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDSVSRQLILTKVSLVERRPENYEAVTVRPLSSVSALVRFAEEPQMFAIEFSDGCPIHVYASTSRDSLLAAFRDALQTEGQCAIPVLPRLTMPGHRIDPPCGRVFLLSGQQKPVTDVESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNATIPYSGVPQNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIGCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVASEAAGLVAVLIGGGPGDANVTDSKGEWHATIMHTKSVLFANQNYIIILVNRLKPTSVSPLLTMTVVEVLEAMICDPHGETTQYSVFVELLRQVAGLKRRLFALFGHPAESVRETVAMIMRSIAEEDAIAAESMRDASLRDGALLRHLLHAFFHPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRADEVLAENTNHEESSIGKRKRRLLQHRKGRIGRGLISQEQPFPSANNFDVSDSARQTGGTVVRGLDNFHKTGMDPSSGQTSNIQSSVVHTSEHLNNGSSTVDVHNGHSAFLASANAVSANSNEAPESEFQNSVDPDSNAVGLQNEGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFDLDHNRADLIWNERTRQELRESLKAEVHKLDVEKERTEDIVPGGTALEMVSGVESVPQISWNYPEFSVRYPSLSKEVCVGQYYLRLLLESGSAGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMTIVYEQHYMTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKALVKVLSNVEACVLVGGCVLAVDLLTVVHETSERTSIPLQSNLIAATAFMEPLKEWMYIDKDGAQVGPMEKDAIRRLWSKKAIDWTTRFWASGMLDWKKLRDIRELRWALALRVPVLTPPQVGETALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILSGEPSIVEAAAALLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIGQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDDIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISFEDISSDNVNKRNSLDIADEASGLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGRDKFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRHGDVLEPFKYAGYPMLLSAVTVDKDDNNFLSSDRAPLLVAASELVWLTCASSKLNGEELVRDGGVHLLATLLSRCMGVVQPTTPGNEPSAIIVTNIMRTFSVLSEFEAARAEILEFSGLVEDIVHCTEFELVPAAVDAAIQTIANVSISSELQDALLKAGVLWYLLPLLLQYDSTAEESDATESHGVGASVQIAKNMHAIRASLALSRLSGLCSDESATPYNQAAADALRVLLTPKLSSMLKDQMPKDLLSKLNANLESPEIIWNSSTRAELLKFVDQQRLAQGPDGSYDIKDSHDFVYKALSKELFIGNVYLRVYNDQPDFEISEPETFCLALIDFISYLVHNLCEVASHKVEDANHNVENANHNVEDANDNVEDTSKASEDTSEAVGESVNEQRVVDNSGTMSEEHSVGKEELELIKSLHSALTSLQNLLTNNPNLASIFSNKDKLLPLFECFSVPEASVYTIPQLCLAVLSLLTAHAPCLQAMVADGSSLLLLLQMLHSAPSCREGSLHVLYALASTPELAWAAAKHGGVVYILELLLPLKEEIPLQQRAMAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIKDGPGEAVVVALEQTTETPELVWTPAMAASLSAQISTLSSELYREQMKGRVVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYEAQVVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAFEGRRETMSSGEVNSGRHAEQTFDPDIDSAENTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVRELLNNSDVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPPSTTSRTPPLSSADFNGKQDQFL >Vigun07g155800.1.v1.2 pep primary_assembly:ASM411807v1:7:26687678:26689737:1 gene:Vigun07g155800.v1.2 transcript:Vigun07g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKIAKESNDNLEDASNELILTDEEVVRFQIGEVFAHVPKDEVESRIEQMKEVTSQKLEKLEEEKESVLAQMAELKKILYAKFNDSINLEED >Vigun05g058400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4999054:5002483:1 gene:Vigun05g058400.v1.2 transcript:Vigun05g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKPNFVFPFPFMLFITHWFLLAFHGSAAESSTYIVHMDKSLFPSVFTTHHDWFESIIDSTKSGTLGHSSIQPQKLVYSYSQAMYGFSTVLTSEELEAVKNSHGFVAAYPDRGGTIDTTHTFQFLSLDSSSGLWHASNFGEDVIVGVIDTGVWPESKSFRDDGMTKKIPSKWKGTCQEGQDFNTSMCNFKLIGARYFNQGVIAANSKVRISMNSARDTYGHGTHTSSTVGGNYVSGASYFGYAKGVARGIAPRARLAMYKVIWEEGLYASDVLAGMDRAIADGVDVISISMGFDGVPLYEDPIAISSFAAMEKGVVVSSSAGNGGPDLGTLHNGIPWLLTVAAGTIDRTFGSLVLGNGQTIIGWTLFPANAIVENFPLIYNKNTSACNSVKALSKVAMEGIILCDLVSDPKIVIKQRRFVKKASLSGAVFISDQPLVNEGYARYPSIVISAKDAQTVIMYAKSHRKPTASIKFQETFVGIKSAPAVADYSSRGPSPSYPWVLKPDIMAPGSNVLAAYTPHTPAAEIGDNVLLPSDYNLLSGTSMACPHASGVAALLKGAHPEWSAAAIRSALVTTASPLDNTQNPIRDYGYPSQYASPLAMGAGQIDPNKALDPGLIYDATPQDYVNLLCALNYTQKQILTITRSGSYNCAKPSFDLNYPSFIALYSNKTRSVVHKFKRTVTNVGHGPAIYRAKVTEPKGSVVTVSPETLSFRYKNEKRSYDVLIKYRKYKKENISYGDLVWIEDGGTHTVRSPIVVAPRGIV >Vigun11g167600.2.v1.2 pep primary_assembly:ASM411807v1:11:37457712:37461045:-1 gene:Vigun11g167600.v1.2 transcript:Vigun11g167600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELGCGDDLLQLFSSYGEVEECKPMDAEDCEKYTDVYWIKFRLVSNARFAKRKLDDFVFFGNKLQVSYATQFESPSDTQDKLEGRRREVLARLNPRRSKETPATSSNAMITSNVNSAQHLDSNAWDVEFKGGSGSGNFPTRISSNEDYFASPSMNRTVNFVRDKLDKIRSSGEHTQAGSASKKQRVDNRRRI >Vigun11g167600.1.v1.2 pep primary_assembly:ASM411807v1:11:37457712:37461045:-1 gene:Vigun11g167600.v1.2 transcript:Vigun11g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKDESPAVRVYTVCDESRYLIVRNVPELGCGDDLLQLFSSYGEVEECKPMDAEDCEKYTDVYWIKFRLVSNARFAKRKLDDFVFFGNKLQVSYATQFESPSDTQDKLEGRRREVLARLNPRRSKETPATSSNAMITSNVNSAQHLDSNAWDVEFKGGSGSGNFPTRISSNEDYFASPSMNRTVNFVRDKLDKIRSSGEHTQAGSASKKQRVDNRRRI >Vigun07g277900.2.v1.2 pep primary_assembly:ASM411807v1:7:39279256:39283170:-1 gene:Vigun07g277900.v1.2 transcript:Vigun07g277900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGRVIQNCVYAPNPYHECTEACLQRIKETKPGKLIKNKKSSDYRRSVTDGELGKKMNEGKRRPSSGCPKASNPYHVCDDNCQKRMSGADPGTMSLNFDRKKKVGSKPELPVLDSIPPSKIGAIYLSDASSPLSSYSEQTKGESKRNELIPVSGEIHVLDVMPTNNKVQPKQNGDKNASPKVVPITSVYDMGGLTKPDGGSMKFCFSGALHDNDDSDDGEETESVVSEARVPVGKYHVKESFAPILKSIFEKYGDIGASCHLESVVMRSYYVECVCFVVQELQSTAVMDLTKSKIKELLAIIKDVESAQLRVAWLRSIVDEIADSIELIDEHQVAEMAKANSDREVETLNKELESSLESLAQKEEEVRDMKTRIEEIRKRLSELELRSSDLDKNIMLLRSKVDNLDSKSLLDELV >Vigun07g277900.3.v1.2 pep primary_assembly:ASM411807v1:7:39279256:39282839:-1 gene:Vigun07g277900.v1.2 transcript:Vigun07g277900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGRVIQNCVYAPNPYHECTEACLQRIKETKPGKLIKNKKSSDYRRSVTDGELGKKMNEGKRRPSSGCPKASNPYHVCDDNCQKRMSGADPGTMSLNFDRKKKVGSKPELPVLDSIPPSKIGAIYLSDASSPLSSYSEQTKGESKRNELIPVSGEIHVLDVMPTNNKVQPKQNGDKNASPKVVPITSVYDMGGLTKPDGGSMKFCFSGALHDNDDSDDGEETESVVSEARVPVGKYHVKESFAPILKSIFEKYGDIGASCHLESVVMRSYYVECVCFVVQELQSTAVMDLTKSKIKELLAIIKDVESAQLRVAWLRSIVDEIADSIELIDEHQVAEMAKANSDREVETLNKELESSLESLAQKEEEVRDMKTRIEEIRKRLSELELRSSDLDKNIMLLRSKVDNLDSKSLLDELV >Vigun07g277900.1.v1.2 pep primary_assembly:ASM411807v1:7:39279256:39283170:-1 gene:Vigun07g277900.v1.2 transcript:Vigun07g277900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFCFTVGSVDTKGEAGETQKEMAHNGRVIQNCVYAPNPYHECTEACLQRIKETKPGKLIKNKKSSDYRRSVTDGELGKKMNEGKRRPSSGCPKASNPYHVCDDNCQKRMSGADPGTMSLNFDRKKKVGSKPELPVLDSIPPSKIGAIYLSDASSPLSSYSEQTKGESKRNELIPVSGEIHVLDVMPTNNKVQPKQNGDKNASPKVVPITSVYDMGGLTKPDGGSMKFCFSGALHDNDDSDDGEETESVVSEARVPVGKYHVKESFAPILKSIFEKYGDIGASCHLESVVMRSYYVECVCFVVQELQSTAVMDLTKSKIKELLAIIKDVESAQLRVAWLRSIVDEIADSIELIDEHQVAEMAKANSDREVETLNKELESSLESLAQKEEEVRDMKTRIEEIRKRLSELELRSSDLDKNIMLLRSKVDNLDSKSLLDELV >Vigun11g041550.1.v1.2 pep primary_assembly:ASM411807v1:11:5987765:5988213:1 gene:Vigun11g041550.v1.2 transcript:Vigun11g041550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTALFPLLWCHFSRSFPSLSSSSSSTTRLQSRIKLVGVEFEEFGWWDCVIRVSGRIVLSKKMGREKRVSSSSSTSKQREKGV >Vigun07g123100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22787517:22787872:1 gene:Vigun07g123100.v1.2 transcript:Vigun07g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKDNADTSDNTVQPSSSSSSVNNPQKWHFGRALAYRAVYGFNASNHRKTKCNAAKISPSRLSKVSVPTGNSTQDK >Vigun03g078600.2.v1.2 pep primary_assembly:ASM411807v1:3:6489539:6495475:-1 gene:Vigun03g078600.v1.2 transcript:Vigun03g078600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKERALQTFRALVESADRKFGRVRDVEAYGGGTSRQQQQQHAFQKVFKAYTRLWKYQQENRSKLVECGLRRWEIGEIASRIGQLYFGQYTRTSECRFLVEAYVFYEAILSRRYFQGSEPNVKDLGVRSKELRFYARFLLVSVILNRTEMVNHLLERFGALVEDCKSTFRETNFKEWKQVVQEINRFTKVDKGFNFRPMRYCATFDTHRASLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDMYRMIQCLEWEPSGTFYQKHTFKPKENGDTIDHSGASGIIDMNLTADMTDPNIPPNPRKATLYRPSVTHVIAVMATICEELPPDSVVLVYLSASGNAGLNNVSQVENSGGSSKSSRHKVPSQTNHGQNSGLSETQNNGKRERGYYDNYLWFGPKGNSGTNNLYPGDLIPFTRKPLFLIIDSDNSHAFKAGFTRR >Vigun03g078600.1.v1.2 pep primary_assembly:ASM411807v1:3:6489539:6495475:-1 gene:Vigun03g078600.v1.2 transcript:Vigun03g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKERALQTFRALVESADRKFGRVRDVEAYGGGTSRQQQQQHAFQKVFKAYTRLWKYQQENRSKLVECGLRRWEIGEIASRIGQLYFGQYTRTSECRFLVEAYVFYEAILSRRYFQGSEPNVKDLGVRSKELRFYARFLLVSVILNRTEMVNHLLERFGALVEDCKSTFRETNFKEWKQVVQEINRFTKVDKGFNFRPMRYCATFDTHRASLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDMYRMIQCLEWEPSGTFYQKHTFKPKENGDTIDHSGASGIIDMNLTADMTDPNIPPNPRKATLYRPSVTHVIAVMATICEELPPDSVVLVYLSASGNAGLNNVSQVENSGGSSKSSRHKVPSQTNHGQNSGLSETQNNGKRERGYYDNYLWFGPKGNSGTNNLYPGDLIPFTRKPLFLIIDSDNSHAFKVLHGAERGETAALFLSPLRPIFKNPSDVNSNNGSQFTFFLTCPLSAFCQMIGVFPNEADTDVYNEAENIIANAFTEWEIILCSSTTMDLVWAQVISDPFLRRLILRFIFCRSVISFFCPPEESEQYLPICLPHLPTSVAPSSEAVRSAIVQLALHFDVADSFHFTET >Vigun07g134066.1.v1.2 pep primary_assembly:ASM411807v1:7:24377834:24378576:1 gene:Vigun07g134066.v1.2 transcript:Vigun07g134066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLNFGICVSGFGFRVTSVGFRVSGFVFWVLGFGCRVWNFGFRVSSFRIRVSGYRFRVTAFRFRVSG >Vigun04g154100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37248310:37251738:-1 gene:Vigun04g154100.v1.2 transcript:Vigun04g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVYSLLISTFGVCRETVCIESERETLLKLKYNLTDPSNRLSSWNASINPNCCHWDGVVCNNITSHVSELHLRTSFPDFDDNLPYPLGEEAWEEAWEEHTRRAFGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLDLSDVGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLSGYDEESLFLENINWLSSLSKIRYLTLSNIWSRKASMPIPSFIGSMTTLIHLDLSFSGFMGNIPPQIGNLSNLAYLDLSYSTNGTIPSQIGNLSNLLYLDLQSGYSIDKTLFIGNVDRLSSLTKLEYLNLGGANLSQSFHLLHTIQPLSSLLHLDLSGCTLPQYNQPSFLNFSSLLTLDISEISYHSTISFVPKWVFGLKKLVSLVSNFNFFEGQIPNGLRNLTLLENLDLSENSFSSSIPHWFYSSFPHLKFLDLSGNNLQGNISDAFGNMTSLVTLDLSYNQLEGPIPTSFGYLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEFGSSQISGNLTNQFEVYKKIATLNFYGNKIGGELPISLGKLSSLRSLSLSYNQLRGNPFESLRTLSELLYLGIDYNHFEGVITKDHLTNLTSLYEFSAPGNNLTLKVGPKWYPTFQLTYLDMSSWQLGPNFPSWIQSQDKLSYIAMSNTGILDSIPNWFWKTFSQAYFLNLSHNHIHGELGTTLKNPISIIAVDLSANKLSNKFPSLSNGVGFLDLSNNSFSKSMDDFLCKGKEKPMKLEFLNLASNNLSGEIPDCWDIWPYLLDVNLQNNNFVGNIPQSMSSLIELKSLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGERFLDMKVLILRSNRFSDHIPNKICDMSLLQVLDLAQNNLTGNIPTCFNSLKTMTQMNKSTTALIYCSARNYTFRYSDYTVISVRLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQLSGHIPQNIGNMGSLLSIDLSRNELSGEIPPTISNLSFLSMLDLSYNDLNGKIPTGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYDHNGKGNDDRHGVNFFYVGMTFGYMVGFWIVVGPLVICRSWRYAYFHFLDHVWFKLQHFF >Vigun01g138600.1.v1.2 pep primary_assembly:ASM411807v1:1:31885755:31890047:1 gene:Vigun01g138600.v1.2 transcript:Vigun01g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPSDTPADDFLEQILGLPTFASGDSGLAAADVGLSGTTSPAPMMLQLNSADPNAHLTGAAFHAPVYQLGLSLDQGKGGFMKPEEASASGKRYRDDVVDGRAKNVFHGQPMPTTMPSAPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGGEGARNRPAWDKLSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYQSQPPDSSSIVKPETNPPS >Vigun03g019800.15.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439511:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.10.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439511:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYCNVDADSPNRMEYQTYWFYLKTSETNHYSFDIFFLLELRSSDSSISQMYMQWSPPAFRLEKEPQFQNQGNSLAETILFEWALYRSNGSATNAHMLL >Vigun03g019800.18.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439683:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun03g019800.12.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.1.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYCNVDADSPNRMEYQTYWFYLKTSETNHYSFDIFFLLELRSSDSSISQMYMQWSPPAFRLEKEPQFQNQGNSLAETILFEWALYRSNGSATNAHMLL >Vigun03g019800.3.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.19.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439603:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun03g019800.9.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439604:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYCNVDADSPNRMEYQTYWFYLKTSETNHYSFDIFFLLELRSSDSSISQMYMQWSPPAFRLEKEPQFQNQGNSLAETILFEWALYRSNGSATNAHMLL >Vigun03g019800.14.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439603:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.13.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439683:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.17.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun03g019800.11.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439157:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYCNVDADSPNRMEYQTYWFYLKTSETNHYSFDIFFLLELRSSDSSISQMYMQWSPPAFRLEKEPQFQNQGNSLAETILFEWALYRSNGSATNAHMLL >Vigun03g019800.2.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYCNVDADSPNRMEYQTYWFYLKTSETNHYSFDIFFLLELRSSDSSISQMYMQWSPPAFRLEKEPQFQNQGNSLAETILFEWALYRSNGSATNAHMLL >Vigun03g019800.20.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439511:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun03g019800.21.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439157:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun03g019800.16.v1.2 pep primary_assembly:ASM411807v1:3:1434460:1439157:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQLKKDNTPAAEDDVPYGYGFFHFVFATGAMYFAMLLIGWNSHHSMRKWTIDVGWTSTWVKIVNEWLAVCVYLWMLIAPIVWNIRHIGST >Vigun03g019800.6.v1.2 pep primary_assembly:ASM411807v1:3:1434437:1439693:-1 gene:Vigun03g019800.v1.2 transcript:Vigun03g019800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGESNSGNERRIISKDSSWFSQFRNASNPWMARYAYALIFLLSNLLAWAARDYGRGALTEMKRLKGCNGGKDCLDAEGVLRVSLGCFIFFMIMFFSTARTSKLNDVRDTWHSGWWSVKIALWVVITIIPFLLPSEFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDCCESEKFAARCRIHVMLFATTAYVVCLVGIILMYIWYAPKPSCLLNIFFISWTLVLLQLMTSVSLHPKVDAGILTPGLMGLYVVFLCWCAIRSEPAGGSCIRKSDSATKTDWLSIISFVVAVLAIVIATFSTGIDSKCFQIPAAQEGQYTSSRG >Vigun01g056332.1.v1.2 pep primary_assembly:ASM411807v1:1:11105665:11108183:-1 gene:Vigun01g056332.v1.2 transcript:Vigun01g056332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSERENGKFRYEILVVAGNPCNKCLSVLNEKKLVSAEECEVEIRGMTIECTYYMNKTNQRLVNPNDRCIKAINDVYPKLSCWCNSLSRKVVFPIGGVVGETLDWKRVLHCFSYSRLPLPAGYKCWNFTVPPGLPPSNVEV >Vigun06g155700.1.v1.2 pep primary_assembly:ASM411807v1:6:27921991:27925630:1 gene:Vigun06g155700.v1.2 transcript:Vigun06g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVSPSSASSNSNTNHNHNHNHSHSSNASHLLLYKWTPITQSHNTTTNNSNSAIANGNTKDAQPEQPEEPPRRKFKYVPVALLEEQKNEAAENEGAEKLDESKPTDTDSGAAESARKSETLDEKPDINDVPMEESQSQYKNQVVRQDLNESTLDLSLGLTSHEDEHNSDSKPNQTRDGQQR >Vigun08g042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4364898:4365918:1 gene:Vigun08g042200.v1.2 transcript:Vigun08g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVMGLESPLFHTLHRMMDMSEEGAGEKTHNAPTQTYMRDAKAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDDNVLLISGERKREEEKEEKEGVKFLRMERRVGKFMRKFVLPENANTDAISAVCQDGVLSVTVQKLPPPQPKKPRTIEVKIA >Vigun05g083400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7881911:7884523:-1 gene:Vigun05g083400.v1.2 transcript:Vigun05g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYTSKISVLLLLLLSAMVLHKGKCSTHSCNGKDQSALLIFKHHVIDPFNYFSSWSDEKHCCAWKGVWCSNVTGRVTTLDLSNQYLEGEINLSLLQIEFLTYLNLSCNRFSAISLRNSEYQSLAIPSNAYANFSSLKYLDLSFNEDLQLDNLQWLSHLSSLKCLNLSAINLQSQTNWLQTMAMLPFLLELRLSMCRLKNINPSVMFVNFTSLATLDLSQNNFYSDLPYWLFNVSSDISHIDLSSNGLRGQIPKALLNLRKLKSLRLDDNALTGPIPDWLGKHQHLQNLNLTENLFNGSFPSSLGNLSSLTQFGVSSDSLSGNLPNSIGQLFNLRSLYIGGSLSGVLSEKHFSKLFNLESLVLLSAFSFDLDPNWIPPFQLREIDLRNTILGPTFPEWLYTQRTLETLDVSSSGISSINADRFWVLLANVRSIRLSKNKISADLSNVTLNSEYIIMNNNNFTGGLPLVSTNVFYLDLSYNFLSGPISHLLCSKLGREMNALYYLDVSHNLLTGGIPDCGENCRALALLKINNNKLGDETPSSMDLLNEGIGMDLNNNNLSGNSLEISNFKSLEYLNLAENKFSGVVPSKIPKSIQGLILRGNEFSGNIPAELCSLPSLRLLDLSQNKLSGSIPSCILNNSLLDGAGTIEYHLEFSFELFLRGREVVFQGGWVLGMLDLSTNNLSGEIPPQLFNLTQLSALNLSRNHLVGKISSNIGGMTNLEWLDLSNNHLSGEIPSSISNLSFLSSFNLSYNHFTGEIPLGGQLQSFDSWSYVGNPELCGPPLLTKNCSEEVNHEEAQQDGSNDSLNESFYIGIGVGYVAGFCGFWHSLLLSRAWRRIYFQFLDNIIDWLYVFVALKLNKFCELRASSR >Vigun03g065800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5461653:5462636:1 gene:Vigun03g065800.v1.2 transcript:Vigun03g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSFTSQLLHELLMDSSSRKLLLQDPVHESINVADLPKSPDSMSYNTTDSYFKGRDFDANVVMILAVLLCAVICSLGLNSIIKCVLRCSNIVISDPSSVSNPSSRLANTGIKKKALKTFPTVSYSTEFKLPGLDTECVICLSEFVNGDKLRILPKCNHGFHVRCIDRWLSSHSSCPKCRQCLIETCKKIVGSQPPVPQTIIRIQPLEHEGFERNYRELC >Vigun03g163900.1.v1.2 pep primary_assembly:ASM411807v1:3:18501520:18505080:1 gene:Vigun03g163900.v1.2 transcript:Vigun03g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKFVYLLLLVGVQNVVGEGAASWCVARSDASNDALQTALDYACGAGADCLPLQAEGLCFLPNTIQAHSSYAFNSYYQRRARAPGSCDFAGTATIATSDPSYGSCVYPSTASAAGGANTPITTPPMNNNPNVPTSTTTPLYGGGNSGGLTPGMTTPFPDTSTAPSEATATWFFVFFSSLVVTIIS >Vigun08g057000.2.v1.2 pep primary_assembly:ASM411807v1:8:7151631:7158841:-1 gene:Vigun08g057000.v1.2 transcript:Vigun08g057000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNCPVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGEKGVGPNTGKSLHYKGSFFHQIVKGSITRGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVIFGKLVQGHNILKKIEDVGDEEGLPSVTVKIINCGEHNEDGKKINKSKKGRDGSTEIHSPELHRGKHKKSSGDKRKRRKYYSSESSSSSDSDTSSSESDSDSDSDTSSSFTSSSSDDRRRKRKRSRKDKHRRGKRREQHRDKRRRKQDKRSRRKSRRELTCHTDSDSDNKSGNSSGGESCGAQAKDQKHKDHSQKHAEGQSSLVVEKDLPAVLLTKGEKLDMLEEEKIPNENGERRSNGNGANYRSDRSEGRQPDVMDDQPGKSRSQSMSISPKRESRSPSVSRKRRLSRSPSGSRSPQAPLRRSLSRSPNRRSISRSPARGRKGKSVSKSPVRSRGYRSVSTSPVRSLSRSHQRTSSRVPSRRSISRSPVINHNHRSVSRSPVRYRDHRSVSVSPARSLSRSRKSSPRARSQRSISRSPVRTHNHRSANRSPVRSSGRRSVSASPVRPLSRSRRRSSPRAPSRRSISRSPVKTNIRRNVSRSPVRSHGHRSASASPVRSPSRGRRRNSPRAQSRRSISISPVRVSRKTISRSPVRSSARSMSRSLSRSSGRVPLRSISRSPARVPSRGNRHSYSRSPRGRSLSRSVSPDVSPKRIRRGRGFSEQYSYARRYRTPSRSPVRSYRYNGRSYRDRYSGYRRYSPRRNRSPLPRRRTPPRFRRRSRTPSVSRSPRYRGRGRRYSRSHSRSPVRSGSPVGTYRPRVEKSRSLSRSRSPSRSRASIESQSPLKASRNSRSRSPSESPDAKKGLVSYGDGSPYSN >Vigun08g057000.4.v1.2 pep primary_assembly:ASM411807v1:8:7151631:7158839:-1 gene:Vigun08g057000.v1.2 transcript:Vigun08g057000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNCPVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGEKGVGPNTGKSLHYKGSFFHQIVKGSITRGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVIFGKLVQGHNILKKIEDVGDEEGLPSVTVKIINCGEHNEDGKKINKSKKGRDGSTEIHSPELHRGKHKKSSGDKRKRRKYYSSESSSSSDSDTSSSESDSDSDSDTSSSFTSSSSDDRRRKRKRSRKDKHRRGKRREQHRDKRRRKQDKRSRRKSRRELTCHTDSDSDNKSGNSSGGESCGAQAKDQKHKDHSQKHAEGQSSLVVEKDLPAVLLTKGEKLDMLEEEKIPNENGERRSNGNGANYRSDRSEGRQPDVMDDQPGKSRSQSMSISPKRESRSPSVSRKRRLSRSPSGSRSPQAPLRRSLSRSPNRRSISRSPARGRKGKSVSKSPVRSRGYRSVSTSPVRSLSRSHQRTSSRVPSRRSISRSPVINHNHRSVSRSPVRYRDHRSVSVSPARSLSRSRKSSPRARSQRSISRSPVRTHNHRSANRSPVRSSGRRSVSASPVRPLSRSRRRSSPRAPSRRSISRSPVKTNIRRNVSRSPVRSHGHRSASASPVRSPSRGRRRNSPRAQSRRSISISPVRVSRKTISRSPVRSSARSMSRSLSRSSGRVPLRSISRSPARVPSRGNRHSYSRSPRGRSLSRSVSPDVSPKRIRRGRGFSEQYSYARRYRTPSRSPVRSYRYNGRSYRDRYSGYRRYSPRRNRSPLPRRRTPPRFRRRSRTPSVSRSPRYRGRGRRYSRSHSRSPVRSGSPVGTYRPRVEKSRSLSRSRSPSRSRASIESQSPLKASRNSRSRSPSESPDAKKGLVSYGDGSPYSN >Vigun08g057000.3.v1.2 pep primary_assembly:ASM411807v1:8:7151629:7158842:-1 gene:Vigun08g057000.v1.2 transcript:Vigun08g057000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNCPVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGEKGVGPNTGKSLHYKGSFFHQIVKGSITRGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVIFGKLVQGHNILKKIEDVGDEEGLPSVTVKIINCGEHNEDGKKINKSKKGRDGSTEIHSPELHRGKHKKSSGDKRKRRKYYSSESSSSSDSDTSSSESDSDSDSDTSSSFTSSSSDDRRRKRKRSRKDKHRRGKRREQHRDKRRRKQDKRSRRKSRRELTCHTDSDSDNKSGNSSGGESCGAQAKDQKHKDHSQKHAEGQSSLVVEKDLPAVLLTKGEKLDMLEEEKIPNENGERRSNGNGANYRSDRSEGRQPDVMDDQPGKSRSQSMSISPKRESRSPSVSRKRRLSRSPSGSRSPQAPLRRSLSRSPNRRSISRSPARGRKGKSVSKSPVRSRGYRSVSTSPVRSLSRSHQRTSSRVPSRRSISRSPVINHNHRSVSRSPVRYRDHRSVSVSPARSLSRSRKSSPRARSQRSISRSPVRTHNHRSANRSPVRSSGRRSVSASPVRPLSRSRRRSSPRAPSRRSISRSPVKTNIRRNVSRSPVRSHGHRSASASPVRSPSRGRRRNSPRAQSRRSISISPVRVSRKTISRSPVRSSARSMSRSLSRSSGRVPLRSISRSPARVPSRGNRHSYSRSPRGRSLSRSVSPDVSPKRIRRGRGFSEQYSYARRYRTPSRSPVRSYRYNGRSYRDRYSGYRRYSPRRNRSPLPRRRTPPRFRRRSRTPSVSRSPRYRGRGRRYSRSHSRSPVRSGSPVGTYRPRVEKSRSLSRSRSPSRSRASIESQSPLKASRNSRSRSPSESPDAKKGLVSYGDGSPYSN >Vigun08g057000.1.v1.2 pep primary_assembly:ASM411807v1:8:7151629:7158842:-1 gene:Vigun08g057000.v1.2 transcript:Vigun08g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNCPVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGEKGVGPNTGKSLHYKGSFFHQIVKGSITRGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVIFGKLVQGHNILKKIEDVGDEEGLPSVTVKIINCGEHNEDGKKINKSKKGRDGSTEIHSPELHRGKHKKSSGDKRKRRKYYSSESSSSSDSDTSSSESDSDSDSDTSSSFTSSSSDDRRRKRKRSRKDKHRRGKRREQHRDKRRRKQDKRSRRKSRRELTCHTDSDSDNKSGNSSGGESCGAQAKDQKHKDHSQKHAEGQSSLVVEKDLPAVLLTKGEKLDMLEEEKIPNENGERRSNGNGANYRSDRSEGRQPDVMDDQPGKSRSQSMSISPKRESRSPSVSRKRRLSRSPSGSRSPQAPLRRSLSRSPNRRSISRSPARGRKGKSVSKSPVRSRGYRSVSTSPVRSLSRSHQRTSSRVPSRRSISRSPVINHNHRSVSRSPVRYRDHRSVSVSPARSLSRSRKSSPRARSQRSISRSPVRTHNHRSANRSPVRSSGRRSVSASPVRPLSRSRRRSSPRAPSRRSISRSPVKTNIRRNVSRSPVRSHGHRSASASPVRSPSRGRRRNSPRAQSRRSISISPVRVSRKTISRSPVRSSARSMSRSLSRSSGRVPLRSISRSPARVPSRGNRHSYSRSPRGRSLSRSVSPDVSPKRIRRGRGFSEQYSYARRYRTPSRSPVRSYRYNGRSYRDRYSGYRRYSPRRNRSPLPRRRTPPRFRRRSRTPSVSRSPRYRGRGRRYSRSHSRSPVRSGSPVGTYRPRVEKSRSLSRSRSPSRSRASIESQSPLKASRNSRSRSPSESPDAKKGLVSYGDGSPYSN >Vigun08g057000.5.v1.2 pep primary_assembly:ASM411807v1:8:7151631:7158739:-1 gene:Vigun08g057000.v1.2 transcript:Vigun08g057000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNCPVFMDVSIDGDPVERMVFELFYDVAPKTAENFRALCTGEKGVGPNTGKSLHYKGSFFHQIVKGSITRGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVADRDTLGSHFIITFKADPHLDRKHVIFGKLVQGHNILKKIEDVGDEEGLPSVTVKIINCGEHNEDGKKINKSKKGRDGSTEIHSPELHRGKHKKSSGDKRKRRKYYSSESSSSSDSDTSSSESDSDSDSDTSSSFTSSSSDDRRRKRKRSRKDKHRRGKRREQHRDKRRRKQDKRSRRKSRRELTCHTDSDSDNKSGNSSGGESCGAQAKDQKHKDHSQKHAEGQSSLVVEKDLPAVLLTKGEKLDMLEEEKIPNENGERRSNGNGANYRSDRSEGRQPDVMDDQPGKSRSQSMSISPKRESRSPSVSRKRRLSRSPSGSRSPQAPLRRSLSRSPNRRSISRSPARGRKGKSVSKSPVRSRGYRSVSTSPVRSLSRSHQRTSSRVPSRRSISRSPVINHNHRSVSRSPVRYRDHRSVSVSPARSLSRSRKSSPRARSQRSISRSPVRTHNHRSANRSPVRSSGRRSVSASPVRPLSRSRRRSSPRAPSRRSISRSPVKTNIRRNVSRSPVRSHGHRSASASPVRSPSRGRRRNSPRAQSRRSISISPVRVSRKTISRSPVRSSARSMSRSLSRSSGRVPLRSISRSPARVPSRGNRHSYSRSPRGRSLSRSVSPDVSPKRIRRGRGFSEQYSYARRYRTPSRSPVRSYRYNGRSYRDRYSGYRRYSPRRNRSPLPRRRTPPRFRRRSRTPSVSRSPRYRGRGRRYSRSHSRSPVRSGSPVGTYRPRVEKSRSLSRSRSPSRSRASIESQSPLKASRNSRSRSPSESPDAKKGLVSYGDGSPYSN >Vigun10g195150.1.v1.2 pep primary_assembly:ASM411807v1:10:40873783:40880912:1 gene:Vigun10g195150.v1.2 transcript:Vigun10g195150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDETERSVAKKLRHLGRKLLKGSSLHKLLQLLHKLELVLSTLDQEPTKLVQESLVPCMKALISDELLRHTDEDAKILVISCISEIARITAPDAPYDDEQMKEIFKLTVASFEKLSHISGRAYEKVLTILENVNKVRLCLVMLDLECNDLVIEMFQHFLRFIRSNHPCNAFHSMESIMTLVLQESEQISPDLLRPLLDSVGNENQKVIRNCTAKLKPYLVKAVELSGRALNEYAQIVTDICQNESESPPCDGSNVSKKTVVQEAENKLNVPKDAKEQPHDETKGHEPDVTGKRDVQNLDDTKSNRRAILDGKVIKKTGSKRRSCYEISKNSKKGSAKDKLETENLESCQEPKSETQLNTVSRKRGRKPNSLMNAEEGYDHSWICRETEVGKSTLSRKTRRDSKSPFQSFEKPTSRKDKLHRKPKTVSETLVHKPKSEDIAKTAKLRRTHNISNDFPPDDTSKGCEALTSKPKADDNADASPSTNNNISDGCHIKRGRRRKLNSTGNQGVHSNSVLMLKDNLNPLSEKISLDSPTVRLEKESETRNISEQKPIRKIKFSLRLDGKLVMGPESAANEGKHKSSMNDEPASIKEGRFSTQTNVKKRRRLDATPNEGFNKLSVVEDLTAESASKTLNSVKKTPQTRLRRRHSNVSAEASECLYKDNSLVGTRIKVWWPKDKTFYEGVIESYDPTKGKHKILYADGDVEVLNLKTQKWKVVDDLVDKEGLTLQRLAEASDIAEKGKEKTELVSGKSVTIKSQSRKDSKKLKNQKRNR >Vigun01g022366.5.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2367654:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKLQMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.7.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368842:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKLQMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.9.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368887:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.10.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368842:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.12.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368882:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.13.v1.2 pep primary_assembly:ASM411807v1:1:2358385:2368842:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNQRLVPGVLWRMFRHRARTLSDTVTSLLPPPPKLCRCDGRGCLGCTLDAKSFLLRPDDPSDYRELLNECYVVVSENAPSLSFSVSRSGLSQDEIVKRTIEQMLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQEY >Vigun01g022366.3.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368842:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNQRLVPGVLWRMFRHRARTLSDTVTSLLPPPPKLCRCDGRGCLGCTLDAKSFLLRPDDPSDYRELLNECYVVVSENAPSLSFSVSRSGLSQDEIVKRTIEQMLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.2.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368887:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNQRLVPGVLWRMFRHRARTLSDTVTSLLPPPPKLCRCDGRGCLGCTLDAKSFLLRPDDPSDYRELLNECYVVVSENAPSLSFSVSRSGLSQDEIVKRTIEQMLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.6.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2367654:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.1.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368842:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNQRLVPGVLWRMFRHRARTLSDTVTSLLPPPPKLCRCDGRGCLGCTLDAKSFLLRPDDPSDYRELLNECYVVVSENAPSLSFSVSRSGLSQDEIVKRTIEQMLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKLQMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.4.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2368882:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNQRLVPGVLWRMFRHRARTLSDTVTSLLPPPPKLCRCDGRGCLGCTLDAKSFLLRPDDPSDYRELLNECYVVVSENAPSLSFSVSRSGLSQDEIVKRTIEQMLHRRGPAVSNVLCSGYDRIKRSSHIVELLSSASWSLLLSRVGDDFMIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.8.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2367654:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKLQMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >Vigun01g022366.11.v1.2 pep primary_assembly:ASM411807v1:1:2355499:2367654:-1 gene:Vigun01g022366.v1.2 transcript:Vigun01g022366.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRNTSIFLPASLGNHHQVGGPPISRLCFDMLKGSPKFGYQTYREEKRKRADADDLPVEKRKCHISCSTNGPLGFSSNPGMTDKSSMQLIRHHGSRNYDVSVSEVPKLIRTETVIRKSESEGNQGSNCITPKLGKRSRPYSWQRRRCKMQKQLTLEENNINIQPDTSSLSYHAKMSLQCSCCLILQSLPAVPKRTNIQRQSIFYSLESCRSVLPKKNILYSLKPNLASSEYLIGNIFGFSDVNASAQPMSCLHSNDSCLIDSECLYHSLVKWFKHVIRRTQCCQHTKLLSKHCVSPLLNKNTIRRPSSGLKDNKQCINSLEEMSQFDGFKLYCPKNQVISFIWAVSRSLIPSELLGAPPTWRILRKNLSKFIHLRRYETFPLNLCMHKLKTSLFPFLSNKYFLKNRNDVVHIVKRKLLEKWIFWYFSYLVVPLLQANFYITENGKGKHDIYYYPKPIWEKFMTNTIDRFGDGRYSHLNDVDVHNILRARPFGFSKLRLQPKENGVRMVANLKGFSTMPLPLSNIGVRKYRTEGKVNHQKVNYKRYPSVNSVLRDAHIILKKILFEKPDNLGSSVFDYNGVYRKLCPYLLGQKRTPEISSKLFIVTSDVFQAFDSIDQNNLLGIVKDVLLKDEYFLKEYEQIVCTKKSLWVQKQSTMLDETSNNGVTQFTSFASFHSRHCVFVNQERCKLVKKKDLLSYLTEYVKHNVLQFDGKFYLQNVGIPQGGVLSSLLCSMYYGHLEKCLIFPFLEKILESGCCKENNDEQTSSHDKVSSSSYMLMRFLDDFLFISTSKKQADSFFSRLQRGFRGYNCYMNEKKFGANFDVESISGSSLNRVYVGEDGATSFLRWSGLLINCSTMEIQADYTKYLGNHLSSTLTVRWQGKPGINLKEKLCLYLRPKCYPIFFDSKINSAAVVRLNVFQAFLLCAMKFHCYIRDLSFVCKLPKRYCSNIIQRSLRYMHVLIKRRMHTVRLNSDVEPILELEKEEVEWLGFHAFIQVLKRKESRHKELLAILRSRLLSHTMSGRESPELKYAIDTKNSSLLWKIKY >VigunL074800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:195200:196014:1 gene:VigunL074800.v1.2 transcript:VigunL074800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPTQSSCNVDGWANASIRYLHSACSKDELNGKRKVEVDLLCDRCGMEPESTIHVLKGCPWASGIWLLCPLALHTQQMQALNFSDWVKEMGKCLDEEQLELMLVVAWALWSDINLLLFQDIQNYPVDVVNKAISFFEEYKKEKLKIVQTPIGWQAPPHGVYKMNTDAAIFNQPSKGLAACFIPPFPHLSHVPLI >Vigun08g151466.1.v1.2 pep primary_assembly:ASM411807v1:8:32424855:32425449:1 gene:Vigun08g151466.v1.2 transcript:Vigun08g151466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRVRRLAATNQGLRKNLTNSRSDQVSIDFLFGTRTRTFISIFT >Vigun09g142700.1.v1.2 pep primary_assembly:ASM411807v1:9:30509260:30512778:1 gene:Vigun09g142700.v1.2 transcript:Vigun09g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYVPLLFFSCFLFHHFHAILCDSNTDREALLSFKSQVTDPKNALSAWSTNSNHCNWYGVRCSKVGNRVQSLNLPGLSLSGKLPPHLSNLTYLHSLDLSNNNFHGQIPTHFAHLSLLNVIQLSSNNLSGTIPSQLGYLHRLQILDFSVNNLTGQIPPSFANLSSLKNLSLARNRLEGDIPTELGNLRNLSFLQISENNFSANFPTSIFNISSLVFLSVTKNNLTGELPQNFGLFLPNLKYLHLATNMFEGVIPNSISNASQLQEIDLANNKFTGPIPLFYNLKNLTRLVLGHNYLSSTTLLNVQFFDSLRNSTKLQELRIHENHLAGELPSSVANLSRNLEHFCVANNFLTGTIPQGMKKFKNLISLSFEINSFTGELPSEIGALNNLQQLLIYSNRLSGDIPDIFGNLTNLFILAMGNNQFSGTIHQSIGQCKRLTFLDLRLNRLGGTVPNEIFQLSSLRMLNLAGNSLQGPLPPMVGTMKQLETMHISDNQLSGNIPEQIENCLSLKWVTMARNRFNGSIPTKIGNLASLETLDLSLNSLTGPIPQSLEKLEYMQKLNLSFNHLEGEVPTKGLFTNLTKFDLRGNNQLCSLSKEIVQNLGVLPCVVGKKKRKFLLPTMLAVVGATALFISVLFLFWTMKKKRKERKTLVSSDPFMGLSKNITYGDIRIATNNFAAENLIGKGGFGSVYKGVFSFSTGETVTLAVKVLDLQQSKASKSFNAECEALKNVRHRNLVKVITSCSSLDYKGEEFKAIVMQFMPNGNLDFKIHPGDEESGSLLTLMQRLNIAIDVASALEYLHHDCDPPIAHCDLKPANVLLDENMTAHVVDFGLARFLCQNTSEKQSSTLGLKGSIGYIAPEYGFGSKASTEGDVYSFGILLLEMFTAKRPSDKMFKEGLSLNKFVSSMDENEVVKVADRRLIEDYECSTQSSNSDDGSSGFDSNTHWMHKAEDCIADVLRVGLSCAADQPKERWNMREALSKLHAINHSMLPF >Vigun04g121400.1.v1.2 pep primary_assembly:ASM411807v1:4:31099764:31103246:1 gene:Vigun04g121400.v1.2 transcript:Vigun04g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSVVMAKKFDMIKDIDDRRETLKLGVRVLDLWYIHNHESNVHLEMILIDQMGDKIHCIVKKDEFDIWDGKLKEGDTYIMHNFKIVKNDGQYRVCEHPFKLLFIEATSVRPQAIANVPNSFYQFRSIKEVVAGNFSPDLLIVSCTLWDQYCKKFLERYNDNPNSDKLVIIVTQAKVKAATGEWPVFVSNTWNGTKLLMDDDIPKIMQFKQRLNEMSVDDLTIMSQSGSQLTQSSQYSDAERFVYKCFVKSISEIPLIKKEIICVTVATTTKFTLDNDRWYYLVCNHCNKRTNEIGPFKYTYCDQENNIPTFKFVFYFIFSQLHMLMFLYFICYNIPNVYRYKLQLQVCDDTFNYANFVVWDQECRNIIGISAEELQKKMIKVGEDDPKCFPDELDVMLGCTLAFKLRTQPRNRCASVIKMSLSGTADNDPDNSSLGTPSKRILPNSGVSVQSSEDIESGELSATKPMKTIK >Vigun01g161300.2.v1.2 pep primary_assembly:ASM411807v1:1:34311438:34312724:1 gene:Vigun01g161300.v1.2 transcript:Vigun01g161300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLHIHHVSTTIFTALWCQIRISTPLARTKSFMAVKESNTSQNIQLQQQQQQQQQQQACDPCKSFGQKCSHLVKKQRAKFYILRRCIAMLLCWHERGET >Vigun01g161300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34312419:34312724:1 gene:Vigun01g161300.v1.2 transcript:Vigun01g161300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IPSGNLSHHVSTTIFTALWCQIRISTPLARTKSFMAVKESNTSQNIQLQQQQQQQQQQQACDPCKSFGQKCSHLVKKQRAKFYILRRCIAMLLCWHERGET >Vigun10g150200.1.v1.2 pep primary_assembly:ASM411807v1:10:36911243:36919308:-1 gene:Vigun10g150200.v1.2 transcript:Vigun10g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKSNLTSENEATAAEGATVDTAVAVAGSADVPLSPLPVKGTPARASPWPSPYPHGGVTPSPARGTPRRFFRRTFAPPSPAKHIRASLAKRLGHAKTPKEGPIPEEEAAGAVATEQSLDKSFGYGKNFGAKYEIGKEVGRGHFGHTCYAKGKKGELKDHPVAVKIISKAKMTTAIAIEDVRREVKILKALSGHEHLVKYHDAFEDANNVYIVMELCEGGELLDRILSRGGKYSEEDAKVIVLQILSVVAFCHLQGVVHRDLKPENFLFTSRSEDADIKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFDDLPWPTSTAEAKDFVKRLLNKDYRKRMTAVQALSHPWLRDDNRPLPLDILVYKLVKAYLLATPLKRAAVKALSKALPEDELPYLRAQFRLLEPNRDGLISLDNFKMALVQNATDAMRESRVLEIINAMEPLAYRKMDFEEFCAAAISTYQLEAHERWEDIASAAFEHFEAEGNRLISVEELARELNLGPSAYSILKDWIRNSDGKLNLLGYTKFLHGVTLRSSNPRHR >Vigun05g000400.3.v1.2 pep primary_assembly:ASM411807v1:5:66278:68947:1 gene:Vigun05g000400.v1.2 transcript:Vigun05g000400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMRVPFYVLAIFLLLSDPFAVINANSEGDALFAFRRAVKDPNNVLQSWDPTLVDPCTWFHVTCDDDKRVTRLDLGHAKLSGHLVPELGKLQRLQFLELYKNDLKGPIPKELGDLKNLVSLGLYQNNLTGSIPATLSNLSNIKFLRLNSNMLTGRIPRELTKLGNLKILDLSNNDLCGTFPTSGSFSKFSEQRSMPKLIYVCERIG >Vigun05g000400.2.v1.2 pep primary_assembly:ASM411807v1:5:66628:68947:1 gene:Vigun05g000400.v1.2 transcript:Vigun05g000400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMRVPFYVLAIFLLLSDPFAVINANSEGDALFAFRRAVKDPNNVLQSWDPTLVDPCTWFHVTCDDDKRVTRLDLGHAKLSGHLVPELGKLQRLQFLELYKNDLKGPIPKELGDLKNLVSLGLYQNNLTGSIPATLSNLSNIKFLRLNSNMLTGRIPRELTKLGNLKILDLSNNDLCGTFPTSGSFSKFSEQSFKNNTRLKGPELMGFVRYDTGESCK >Vigun05g000400.4.v1.2 pep primary_assembly:ASM411807v1:5:66278:69155:1 gene:Vigun05g000400.v1.2 transcript:Vigun05g000400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMRVPFYVLAIFLLLSDPFAVINANSEGDALFAFRRAVKDPNNVLQSWDPTLVDPCTWFHVTCDDDKRVTRLDLGHAKLSGHLVPELGKLQRLQFLELYKNDLKGPIPKELGDLKNLVSLGLYQNNLTGSIPATLSNLSNIKFLRLNSNMLTGRIPRELTKLGNLKILDLSNNDLCGTFPTSGSFSKFSEQSFKNNTRLKGPELMGFVRYDTGESCK >Vigun01g051300.1.v1.2 pep primary_assembly:ASM411807v1:1:8394350:8396576:-1 gene:Vigun01g051300.v1.2 transcript:Vigun01g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWASAFGMRVRIALAEKGISYEYKEEDLSNKSPLLLQMNPVHKKIPVLIHNGKPICESLIVVQYIDEVWHDTNPLLPSDPYQRAQARFWADYVDKKIYDLGKKVFLTSKGEEKEAAKKEFIEALRLLEEQLGEKIYFGGDDLGFVDIALIPFYTWFEAFETFGNLNVESECPKLISWVKRCLQKESVAKSLPDQHKVHELIAEIRKKLGFE >Vigun02g193200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32976354:32976934:1 gene:Vigun02g193200.v1.2 transcript:Vigun02g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFSVYLLTCLSCLWWRHESRDVVHIRELQSCTGTTQREALNLVPCLGERGNGAFWEQKDKVESYVKHDHLYFHHGLT >VigunL075500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:23015:25460:-1 gene:VigunL075500.v1.2 transcript:VigunL075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDKIPQYERDILTTIKPELLQSLKGGLTSERKIELEKLLKEKAKNYI >Vigun10g186900.3.v1.2 pep primary_assembly:ASM411807v1:10:40310052:40316557:-1 gene:Vigun10g186900.v1.2 transcript:Vigun10g186900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDIYRARNGVRESSSTAWRRSIMEGFSRSSHHEEGADEEALKWAALEKLSTYNRLKKGLLTTSRGVANEIDITELGFQERHKLLDRLINVAEEDNEKFLLKLKDRIDRVGIEIPTIEVRYEHLNVEAETYVGSRVLPTFVNFVINMVESVFTSLHILSSKKKHVTILKDVSGIIKPRRMTLLLGPPSSGKTTLLLAMSGKLDPNLKASGRVSYNGHGFDEFVPQRTAAYISQNDVHIAEMTVRETLAFSARCQGVGTRYDLVAELARREKEASIKPDPDIDVYMKAAATGGQEASLVTDYVLKILGLDICADTMMGDEMLRGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGTAVISLLQPAPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRDEAYRFVTVTQFAEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLATLTMTMFLRTEMHRDNLGDGGVYTGALFFAVVILMFNGLAAISLTIVKLPVFYKQRDLLFYPSWAYAIPSWILKTPITILEAAVWVILTYYVIGFDPNVGRFLKQYLVLLLINQMSSGLFRAIAALGRNMIVANTFGSFALLILFALGGFILSKNDIKNWWIWGYWTSPLMYAQNAIVVNEFLGNSWNHLTPNSNKTLGIQILESRGFLTHAYWYWIGIAALIGFIFLFNVIYTLALTYLNPFDKPQTTITEESEGERSGCVNSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKVSGYPQRQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLTEEVESKTRKMFIEEVMELVELNPLRNLLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSRQLIEYFESIEGVSKIKDGFNPATWMLEVTTPAQELTLGVDFHEIYRNSELYRRNKQLIAELGKPGDGSKDIHFPTQYAQSFLVQCLACLWKQHWSYWRNPPYTAVRFLSTTVIALMFGTMFWDLGGKYSSRQDLFNAMGSMYCAILFVGTQNASSVQPVVAIGRTVFYRERAAGMYSAFPYALAQVLIELPYIFVQATTYSVIVYSMMGYEWTLEKFFWFMFFMYFTLCYFTFYGMMTVAVTPNHHVASVVAAAFYGIWNLFSGFVIPRPNIPVWWRWYYWACPVAWTLYGLLASQFGDITDVMKLENISAQEFLRSYFGIRHDFVGVSAIVVSGFAVFFAFIFAVSIKAFNFQKR >Vigun07g236500.1.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796961:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.5.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796961:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.7.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796134:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.4.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796961:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.3.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796961:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.6.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796134:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun07g236500.2.v1.2 pep primary_assembly:ASM411807v1:7:35789410:35796961:-1 gene:Vigun07g236500.v1.2 transcript:Vigun07g236500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGELRQAHKTISEAFPNLLNDDSPCSSSGTGAEPHTPEVPHPIRSLLESVALQKDAFGFSSIQNTSKTSGESFEESPSGLSRKGLKQLNDMFGLSPLMAENQNVKAQNHSESERAQKAESEVQSLKKELEVIQSDKDSIFLQYQKSLEKLSELERELAKAQQDAGGLDDRASKAEIEIDVLKEALAELKYEKDAGLVQYKQCVERIASLETTLYLAQMDAKGNDERAAKADTEAKNLRKELAKLEAEKDAAHLQYKQCLEKISVLEAKITHAEENSLKLNQQLERTELEVKSLRKNLADLNEEKESVAALYKQCLLKVSTMESEILHAQEISKRLNREIEIGAEKLKTAEKQCDMLEKSNQSLQLEADVLLQKISMKDQKLLENHTELERLQTMMHEEQSRFLQIETTLHTLQKSYSQSQEEQRSLALELKHGLQLLEDIELSKQGFKEEMQHIVEENRTLHELNFSSTRSLENQQTEISELKKIKEKLEREFAIKVEESNVLQQESGQVKDEIQVLNNRYQTILEELGFVGLNPKSFAASVKDLRKEITMLKEVCKMEQDEKEVLREKSKDMDKLLSEKAFMESSLSNLNDELDGLRVTVKKLQESCGVLQEEKSSLAAEKSALLSQLQIITESMQDQLEKNTLLEKSLCDAKIELEGLRAKSSSLEEFCNLLNNEKHNLLNERSVLVSQLESVEAKLGNLERRFTKLEEKYADMEKDKESRVSQVEELHLLLLAQKEKHANHKNSSEARMANLENLVLRLQEERRLGKIEFEEELDKAVNAQVEMFILQKCVEDLEQKNMGLLFECQKHVEESKFSDKVISELESENLMQQMELEFLLDEIRKFKMGIHQVLAALQVDSGGGHGKGIKQEEMPISHILNNIEGLKGSLVKTQEEKLQLLVENSVLLTVLSQQESEGAELVTEKGILEQEFENTREQHAMLQKVKLELLEINMELRSEVTKGEEKENELQSKLEALHLDLIDLQRTNLLCQEENCRLLEEKSSLMGSVLDLKEAKSATEQEYSIILHEALALKNLSLVYESFFTEKVLEQRALAENLSDLHSLNSDLKRELGLLRKKFEVKEAENVYLKESVERMGKDMEESKAENEHLNCQIESSENLLEKKDEELLEMLERLKAAETLSAEFCRNIEKLKAEKEQLRLINENLERQILELSEGSMNHKKEIEHLTEANRSLLSQMRSLRQEVEQQRAREETLSSELLDKTNEFEIWEAEAATFYFDLQISSISEALLENKVNELSGVCMRLEDERDAKSMEIKQMTERVSQLEGEVGGLKGQLSAYTPAISSLKEDFASLEQTALLRIKTVPVKCNQEQKDAGIETCLQENGHQTSADNKSILTPDGVSDLLSMKARIRAVEMSLVQEIERHVKEENLTTKANPGALTNVSNVEVSPNSSRKEEKVLKDGSTCDLNSWRTKPESGSLMKDIPLDHISDTPATKSCRRENSGTDDQMLELWETAEQDCCDSSIDNEAMKQSSVPTEDVITYHQSDNSGKFLNTSSELDVEKELGVDRFQLSKSIKERTQDGKRKKILERLASDAQKLSMLKTSVQDLKQKMETKKRNKKGDYTEYETVKRQIEEVEGAVVKLADTNDQLTKDLEESAPSLNRETSVELEKSRHSQRKRVTEQARKGSEQIGRLQFDVQNIQYTLLKLADEKSKGKNRFTGKTVILLRDFIHSGKKSSKKRSKGFCGCSRPSTNED >Vigun05g005500.1.v1.2 pep primary_assembly:ASM411807v1:5:472734:474940:1 gene:Vigun05g005500.v1.2 transcript:Vigun05g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLRSFSTSARASKQLENHKFLPPNSFLGSWKAPRDPKEAEAKLAMLRRDYAKQMKEVRKEYIREMEVMKIEKERKDEARREALRIANEERKKLKVQAAQLRAQERDIERQQFRQMLLKERAEKLENWRMKVKMHAKKKEDKKELLRRQSSMWIDEDILEKRVLESIGAPQLF >Vigun05g005500.4.v1.2 pep primary_assembly:ASM411807v1:5:472231:474906:1 gene:Vigun05g005500.v1.2 transcript:Vigun05g005500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLRSFSTSARASKQLENHKFLPPNSFLGSWKAPRDPKEAEAKLAMLRRDYAKQMKEVRKEYIREMEVMKIEKERKDEARREALRIANEERKKLKVQAAQLRAQERDIERQQFRQMLLKERAEKLENWRMKVKMHAKKKEDKKELLRRQSSMWIDEDILEKRVLESIGAPQLF >Vigun05g005500.3.v1.2 pep primary_assembly:ASM411807v1:5:472887:474906:1 gene:Vigun05g005500.v1.2 transcript:Vigun05g005500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLRSFSTSARASKQLENHKFLPPNSFLGSWKAPRDPKEAEAKLAMLRRDYAKQMKEVRKEYIREMEVMKIEKERKDEARREALRIANEERKKLKVQAAQLRAQERDIERQQFRQMLLKERAEKLENWRMKVKMHAKKKEDKKELLRRQSSMWIDEDILEKRVLESIGAPQLF >Vigun05g090500.1.v1.2 pep primary_assembly:ASM411807v1:5:8736439:8742193:-1 gene:Vigun05g090500.v1.2 transcript:Vigun05g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFSSSSSATVAVDKATSDLLMGPDWTMNIEICDSINSNHWQPKDVVKAVKRRLQHRSSRVQLLALTLLETMVKNCGDFVHFQIAERNILEEMIKIIRKKTDMQVRDKILMLLDSWQEAFGGPGGKHPQYYWAYEELKRSGVVFPKRSPDAAPIFTPPPTHPNIRNMQAGYGMSSNSSKTLDETMATEIESLSMSSLDSMRHVLDLLGDMLQAMNPGDREAVKDEVIIDLVDRCRTNQKKLMQMLTSTGDEELLGRGLELNDSIQGLLARHDAIASGTVFPIQGASPSTVPTEAQPSVDQSNVNSSTPGVSSSTHKASSSAIVLSETGSQSDEEEEDEFAQLARRHSKAQPVISNDTATGSSQNSASVNTTNTTPHVPNPSTSVPSNALVLSNPPAPVTTAKDQDIIDLLSITLSVSPSPQTSYAPSPQTSYAPSASTQGMHQIPVQSTSEGYFASQTYPGNLSYNSYVVPWAQPQSKSEFQAQPQQQTYQSRSPSPTPPSSRNTHYEFEQQHHQPTQQDQSQPQSEQLQSQPILQSPHVLQQPQHNQYSQQYQQHLQPHNEQQPQQYQPQHQTLQSQPQPHPHPQPNPQQHSQYQPQTVHGHQPQPQQQFQNQPQPQQQFQNQPQSQQQFQNQPQAQQQFQNQPQSQQQFQNQPQSQQQFQNQPQSQQQFQNQHQQQFQNQHHQYPARYPPPPWAATPGYANYQNHSPATNVISTQANTTVSYPLAPGVRPQVLNNNSFSARGGTGGTSASATGQKTFVPSYRLFEDLNVFGNTDGKVSGSSSSMSGTMGPGMIGGRK >Vigun03g322400.2.v1.2 pep primary_assembly:ASM411807v1:3:51809518:51821348:1 gene:Vigun03g322400.v1.2 transcript:Vigun03g322400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLSGERRWASSSRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPNWGSRSSSSTSNAWGSSSLSPNADGGGSSPSHLSGRPSSGGSGTRPSTAGSDRVLEPTANSWGTNSRPSSASGVLSKNQSSLTSLRPRSAETRPGSSQLSRFAEPLTENSGAWNAARTTEKLGVTQPKNEEFSLSSGDFPTLGSDKDKSVLNSELQDPNSQAHPDSPSELRKEINETTVIDDTVNANIKGESVNSWRRDYQVYNEEGVRPGIEKWQGNSQLFPNAGIPPQHYEAWHGPPVNNPQGCVWFRGPPSGPPFGNPVTPSGFPMEPFPYYRPHMPPAGLANPPSVPPPGAGPRGHHKNGDVYRPHIADAFIRPGIPMRPGFYPGSMAYEGYYSPPMGYCNANERDVPFMGMAAGPPPVFNRYSNQNPPEPGNAHGRSAGYGNAGKQLTSEQVESGHPPDTAGPYRVLLKQQPESDGRNESTNFEDPEKTNAAYVDGRGQPRMTVRENEQRSNYRKNEEMDQRTMTRGEVSSQTSENQVSSSSVIKGRTPESSGNTKFDDNSARKLEGVASDMLEISHKPSAPKDASLIQKIEGLNAKARDNSSARIREEQRSKFHTSNAAIDHVENTVRADVFPARTHATEIVNPAHQEMGAAGAGKNFESLSSSGKATSRQSAHGMQGRGDHRNKGRSNNQDADGWRKKSVVEDSSALSGVQLEASNVLVGDHQISVQTYDRSGSYNQARHFGESVQTWSDSGDSHAQRAKMKELAKQRTRQLQEEEEERTRKQKAKALAKLDELNKRSQAGDGPTQKEYITNPQMQEEEEEWTRKQKASAFAKLDELNNQSQAPDGSTQKESVTNSAIQNKREELQPSESKTTAVNSAVNCNVMCQINEPSISRVEKSPVLPGEPTVKTLRSSVQDPIMKQNQVVALHHDINNADATNPLHAHNNVASKQKRMSYKQKQNLPFEKTSSDKVVSTTSTALKVENDARIDVSLSSGGVTNEIGSACGSDLPMNSTAVVESSANPKKKNIRNSKNKQKHEETSTQAVLPIPKETHLFKSSVEGDKSKASDFELEQGVLQPAQPLSKDPNQFPEQHRYSSNEESHGKQNSQWKSQHSRRLPRNMQTNRPAEKSHGTDAVMWAPVKPLNKSEIMDELVDKSKTEAVNPVKNEQQVHNSKNKRAEMERYIPKPVAKEMAQQGNILQVASSSSQSLTSDSITRVDSGSQGPQVIQHTNPVVGKVGFGMESKIRDGRHTKQGKAYGSWRQRNMTESTTVHDELDHDSNSELNVQKPTEHHHDHKSEVSFVKGGQTKHLSDTGEIDGPNNSNSNDSAAWASAPVKDHAATGRGRWAPFRGHKGAGGNREVDNKRNSWEADKVETLISSSEHGQPDVGMASKENRVVGERLMSQWQPKSQASNNHRGNLTSDQNVSSVVVGGNKRDPTHDGESLPVSGGKSSNAHVPQPFPDQPVFEKAKAGEAPHFINQEGKKERRNAPSKRQHYSPNLASVTSVEQAPTSADLLQDQRPSSGSGKNVNQNRFRRGHESHGNLKPPTQDNRHYNQPTNRERQGPSMHHEYHPLGPYDDGKSDNFERPKNGNHGERRFRERGSTHSRRGGGNSYGRQGGFESYD >Vigun03g322400.1.v1.2 pep primary_assembly:ASM411807v1:3:51809517:51821403:1 gene:Vigun03g322400.v1.2 transcript:Vigun03g322400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLSGERRWASSSRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSRSSSSTSNAWGSSSLSPNADGGGSSPSHLSGRPSSGGSGTRPSTAGSDRVLEPTANSWGTNSRPSSASGVLSKNQSSLTSLRPRSAETRPGSSQLSRFAEPLTENSGAWNAARTTEKLGVTQPKNEEFSLSSGDFPTLGSDKDKSVLNSELQDPNSQAHPDSPSELRKEINETTVIDDTVNANIKGESVNSWRRDYQVYNEEGVRPGIEKWQGNSQLFPNAGIPPQHYEAWHGPPVNNPQGCVWFRGPPSGPPFGNPVTPSGFPMEPFPYYRPHMPPAGLANPPSVPPPGAGPRGHHKNGDVYRPHIADAFIRPGIPMRPGFYPGSMAYEGYYSPPMGYCNANERDVPFMGMAAGPPPVFNRYSNQNPPEPGNAHGRSAGYGNAGKQLTSEQVESGHPPDTAGPYRVLLKQQPESDGRNESTNFEDPEKTNAAYVDGRGQPRMTVRENEQRSNYRKNEEMDQRTMTRGEVSSQTSENQVSSSSVIKGRTPESSGNTKFDDNSARKLEGVASDMLEISHKPSAPKDASLIQKIEGLNAKARDNSSARIREEQRSKFHTSNAAIDHVENTVRADVFPARTHATEIVNPAHQEMGAAGAGKNFESLSSSGKATSRQSAHGMQGRGDHRNKGRSNNQDADGWRKKSVVEDSSALSGVQLEASNVLVGDHQISVQTYDRSGSYNQARHFGESVQTWSDSGDSHAQRAKMKELAKQRTRQLQEEEEERTRKQKAKALAKLDELNKRSQAGDGPTQKEYITNPQMQEEEEEWTRKQKASAFAKLDELNNQSQAPDGSTQKESVTNSAIQNKREELQPSESKTTAVNSAVNCNVMCQINEPSISRVEKSPVLPGEPTVKTLRSSVQDPIMKQNQVVALHHDINNADATNPLHAHNNVASKQKRMSYKQKQNLPFEKTSSDKVVSTTSTALKVENDARIDVSLSSGGVTNEIGSACGSDLPMNSTAVVESSANPKKKNIRNSKNKQKHEETSTQAVLPIPKETHLFKSSVEGDKSKASDFELEQGVLQPAQPLSKDPNQFPEQHRYSSNEESHGKQNSQWKSQHSRRLPRNMQTNRPAEKSHGTDAVMWAPVKPLNKSEIMDELVDKSKTEAVNPVKNEQQVHNSKNKRAEMERYIPKPVAKEMAQQGNILQVASSSSQSLTSDSITRVDSGSQGPQVIQHTNPVVGKVGFGMESKIRDGRHTKQGKAYGSWRQRNMTESTTVHDELDHDSNSELNVQKPTEHHHDHKSEVSFVKGGQTKHLSDTGEIDGPNNSNSNDSAAWASAPVKDHAATGRGRWAPFRGHKGAGGNREVDNKRNSWEADKVETLISSSEHGQPDVGMASKENRVVGERLMSQWQPKSQASNNHRGNLTSDQNVSSVVVGGNKRDPTHDGESLPVSGGKSSNAHVPQPFPDQPVFEKAKAGEAPHFINQEGKKERRNAPSKRQHYSPNLASVTSVEQAPTSADLLQDQRPSSGSGKNVNQNRFRRGHESHGNLKPPTQDNRHYNQPTNRERQGPSMHHEYHPLGPYDDGKSDNFERPKNGNHGERRFRERGSTHSRRGGGNSYGRQGGFESYD >Vigun06g161700.2.v1.2 pep primary_assembly:ASM411807v1:6:28397390:28399318:-1 gene:Vigun06g161700.v1.2 transcript:Vigun06g161700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSFKLQHPFERRQAEASRIREKYPDRIPVIVEKADRTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFINNTLPSTAALMSAIYEENKDQDGFLYMTYSGENTFGSH >Vigun06g161700.1.v1.2 pep primary_assembly:ASM411807v1:6:28397390:28399318:-1 gene:Vigun06g161700.v1.2 transcript:Vigun06g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSFKLQHPFERRQAEASRIREKYPDRIPVIVEKADRTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFINNTLPSTAALMSAIYEENKDQDGFLYMTYSGENTFGSH >VigunL000500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:2568:2870:-1 gene:VigunL000500.v1.2 transcript:VigunL000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEHTLVLSAYLFSIGIYGLITSRNMVRALMYLELILNVININLVTFSDFLDRRQLKGNIFSIFVISVVAAEAAIGPTIVSSIYRNRKSTRINQSNLLNK >Vigun07g265600.1.v1.2 pep primary_assembly:ASM411807v1:7:38121371:38124073:1 gene:Vigun07g265600.v1.2 transcript:Vigun07g265600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTSSFLLNSSTLHSVFYQDKQDDGIIDLGLSLGTVQHEAYHSSSNLYDDDLMNWPQSNLNLKNSSTMHGRSVNENFDEEIEGVQSNERWAYVKVNMDGVTIGRKICVLDHGGYSSLALQLEDMFGNQTVSGLRLFQSRSDYSLFYKDRQDNWRPVGDVPWKEFIECVKRLRIARKNAGIVS >Vigun08g222700.2.v1.2 pep primary_assembly:ASM411807v1:8:38046226:38049078:-1 gene:Vigun08g222700.v1.2 transcript:Vigun08g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKKELKKEIVILVPYPAQGHVTPMQNLARAFLAQGFYPLIVLPHSFHRKIHGEKEEEEEDGLKWVGLGDGMGQEEGSPDFFAIESAMEKMMPSELEGLIEKVRGEGGEVACVVVDLLASWAIESAHKRGIPVAGFWPAMLASYLFIASIPLMLNRRLLSHTGLPQREGKFSLHPELPVISTEDLPWLVGTEAARKGRFRFWKRTLERSSALKWLLVNSFPDESKLEAANRKLSSEGCPRVLPIGPICRNGITKGVSFWEEDMSCLKWVAKQKRNSVIYISFGSWVSPIGEAKLRNLAVALEGSGRPFIWVLRSSWRQGLPNGFLERVEKEDRGRVVSWAPQKQILQHESVACYITHCGWNSILEALQFQKKLLCYPVAGDQFVNCAFVVEVWKVGLKLNGVEANDVEEGIVRVVEDKEMEERLRILNQRIMAGNNNTGASMFKTFLEDLKRASSTTTTTQDEIVK >Vigun08g222700.3.v1.2 pep primary_assembly:ASM411807v1:8:38046535:38049078:-1 gene:Vigun08g222700.v1.2 transcript:Vigun08g222700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKKELKKEIVILVPYPAQGHVTPMQNLARAFLAQGFYPLIVLPHSFHRKIHGEKEEEEEDGLKWVGLGDGMGQEEGSPDFFAIESAMEKMMPSELEGLIEKVRGEGGEVACVVVDLLASWAIESAHKRGIPVAGFWPAMLASYLFIASIPLMLNRRLLSHTGLPQREGKFSLHPELPVISTEDLPWLVGTEAARKGRFRFWKRTLERSSALKWLLVNSFPDESKLEAANRKLSSEGCPRVLPIGPICRNGITKGVSFWEEDMSCLKWVAKQKRNSVIYISFGSWVSPIGEAKLRNLAVALEGSGRPFIWVLRSSWRQGLPNGFLERVEKEDRGRVVSWAPQKQILQHESVACYITHCGWNSILEALQFQKKLLCYPVAGDQFVNCAFVVEVWKVGLKLNGVEANDVEEGIVRVVEDKEMEERLRILNQRIMAGNNNTGASMFKTFLEDLKRASSTTTTTQDASEINIPCY >Vigun08g222700.1.v1.2 pep primary_assembly:ASM411807v1:8:38046535:38049078:-1 gene:Vigun08g222700.v1.2 transcript:Vigun08g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKKELKKEIVILVPYPAQGHVTPMQNLARAFLAQGFYPLIVLPHSFHRKIHGEKEEEEEDGLKWVGLGDGMGQEEGSPDFFAIESAMEKMMPSELEGLIEKVRGEGGEVACVVVDLLASWAIESAHKRGIPVAGFWPAMLASYLFIASIPLMLNRRLLSHTGVRGLSDARVLIMTRKALTGIPQREGKFSLHPELPVISTEDLPWLVGTEAARKGRFRFWKRTLERSSALKWLLVNSFPDESKLEAANRKLSSEGCPRVLPIGPICRNGITKGVSFWEEDMSCLKWVAKQKRNSVIYISFGSWVSPIGEAKLRNLAVALEGSGRPFIWVLRSSWRQGLPNGFLERVEKEDRGRVVSWAPQKQILQHESVACYITHCGWNSILEALQFQKKLLCYPVAGDQFVNCAFVVEVWKVGLKLNGVEANDVEEGIVRVVEDKEMEERLRILNQRIMAGNNNTGASMFKTFLEDLKRASSTTTTTQDASEINIPCY >Vigun11g189400.1.v1.2 pep primary_assembly:ASM411807v1:11:38910937:38913377:-1 gene:Vigun11g189400.v1.2 transcript:Vigun11g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVSKQIEKRKAIKREGKTLSDLRNSGEDYPGSDYHPSDRKNWMSELNPENLAIKQIVWPGTHDSATNKIGIPCITRPFAQCQSLSIYHQLLMGTRVVDIRVQEDRKVCHGILLTYSIDVVIKDVKKFLSETQSEIIILEVRTEYGHEDPPEFDKYLEEQLGDYLVPQDDNVFEKTIAEVLPKRVICVWKPRKSPQPPAGSSLWSEGYLRDNWINTDLPSTKFESNMKHLSEQQSVTFRKYFYRVENTVTPVADNPVVCVRPVTERIHGFARLFISQCFSKGYADRLQVFSTDFIDHDFVDACVGLTNARVEGKT >Vigun11g189400.2.v1.2 pep primary_assembly:ASM411807v1:11:38910932:38913377:-1 gene:Vigun11g189400.v1.2 transcript:Vigun11g189400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVSKQIEKRKAIKREGKTLSDLRNSGEDYPGSDYHPSDRKNWMSELNPENLAIKQIVWPGTHDSATNKIGIPCITRPFAQCQSLSIYHQLLMGTRVVDIRVQEDRKVCHGILLTYSIDVVIKDVKKFLSETQSEIIILEVRTEYGHEDPPEFDKYLEEQLGDYLVPQDDNVFEKTIAEVLPKRVICVWKPRKSPQPPAGSSLWSEGYLRDNWINTDLPSTKFESNMKHLSEQQSVTFRKYFYRVENTVTPVADNPVVCVRPVTERIHGFARLFISQCFSKGYADRLQWVFDGNF >VigunL043300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:437767:439393:1 gene:VigunL043300.v1.2 transcript:VigunL043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFERGRSYWIGSYLNFSFARPVVKKPTFLRLRGSFEYEIQSWKHSIPLFFTTRGFDIFRNREISSGAVAIREQLADLDLRIIMDYSLIEWKELGKEGSPDNENEWEDRKVGRRKNFLVRRIELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVICQEKLVQAAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIIVGPSLSLHRCGLPGEIAIELFQTFLIRDLIRKHFASNIGIAKSKIRQKEPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPQKNYKYTKKKNLFFGIPMMQLELINRKE >Vigun09g050000.1.v1.2 pep primary_assembly:ASM411807v1:9:4924059:4925381:-1 gene:Vigun09g050000.v1.2 transcript:Vigun09g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQMKPIATLLLGLNFCMYVIVLGIGGWAMNRAIDHGFVIGPRFDLPAHFSPIYFPMGNAATGFFVTFALIAGVAGVGSLITGLNHVRSWTSETLPSAASVASIAWALTVLAMGFACKEIQLNVRNSRLKTMEAFMIILSATQLFYIAAIHGAASIRR >Vigun07g058600.8.v1.2 pep primary_assembly:ASM411807v1:7:6481008:6481379:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKSLDLLRIYQIILCSLRS >Vigun07g058600.3.v1.2 pep primary_assembly:ASM411807v1:7:6479438:6481521:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKYLGRVNRNNKGYSYYGAREGYFCYTEFQTFLLH >Vigun07g058600.1.v1.2 pep primary_assembly:ASM411807v1:7:6480513:6481379:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKYLGRVNRNNKGYSYYGAREGYFCYTEFQTFLLH >Vigun07g058600.4.v1.2 pep primary_assembly:ASM411807v1:7:6479438:6481521:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKYLGRVNRNNKGYSYYGAREGYFCYTEFQTFLLH >Vigun07g058600.9.v1.2 pep primary_assembly:ASM411807v1:7:6479438:6481521:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKESRSAAIGRARMVT >Vigun07g058600.2.v1.2 pep primary_assembly:ASM411807v1:7:6479438:6481521:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKRKVGLLLLEGHEWSHRRMQIWFKHLEGAMFKMKTTRIIVYS >Vigun07g058600.6.v1.2 pep primary_assembly:ASM411807v1:7:6479437:6481522:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKSLDLLRIYQIILCSLRS >Vigun07g058600.7.v1.2 pep primary_assembly:ASM411807v1:7:6479437:6481522:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKSLDLLRIYQIILCSLRS >Vigun07g058600.5.v1.2 pep primary_assembly:ASM411807v1:7:6479438:6481521:-1 gene:Vigun07g058600.v1.2 transcript:Vigun07g058600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSVGLKGNDVFSAAASSARETQRLRSGRLFWPVVRALPEERNHDILLKDRPDIKYLGRVNRNNKGYSYYGAREGYFCYTEFQTFLLH >Vigun05g298700.1.v1.2 pep primary_assembly:ASM411807v1:5:48301513:48305559:-1 gene:Vigun05g298700.v1.2 transcript:Vigun05g298700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQLLQFKPVKPSFSFFSRRSAPIICSAAPTPSKSQRSYTITLLPGDGIGPEVISVAKDVLILAGSLEGIKYEFRERLIGGAALDATGVPLPDETLSSAKQSDAVLLGAVGGYKWDNNDKHLKPETALLGLRQELKVFANLRPASVFPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNEDGEECGFNTEIYAQHEIDRIAHAAFKIARKRNGKLCSVDKANVLEASILWRRRVSAIAQEYPDVELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGASGPGLFEPIHGSAPDIAGQDKANPFATVLSAAMLLKYGLDEGKAAQRIENAVLDTLNKGLRTGDIYSPGTKLVGCKELGEEIMKSVESNVPSGATV >Vigun02g111200.1.v1.2 pep primary_assembly:ASM411807v1:2:26500426:26501518:1 gene:Vigun02g111200.v1.2 transcript:Vigun02g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMSYNRVSSGRGSSSSSSSSSSYCHGKCRGFRLNLRRLYFLRLRKRKNGFKRNYSNSSRSGLVRDERIKGQSDSGVSSYGRNNSFYAEAIADCLEFIKRTSISSIDQIQDPVRHIQDTNS >Vigun02g111200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26500426:26501518:1 gene:Vigun02g111200.v1.2 transcript:Vigun02g111200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMSYNRVSSGRGSSSSSSSSSSYCHGKCRGFRLNLRRLYFLRLRKRFTFLLKLFDTWKLSYSQALQLLKKVFRRKNGFKRNYSNSSRSGLVRDERIKGQSDSGVSSYGRNNSFYAEAIADCLEFIKRTSISSIDQIQDPVRHIQDTNS >Vigun05g173300.1.v1.2 pep primary_assembly:ASM411807v1:5:31584886:31586035:1 gene:Vigun05g173300.v1.2 transcript:Vigun05g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGATKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINNYEYKKIPKPNEGNQD >Vigun05g173300.2.v1.2 pep primary_assembly:ASM411807v1:5:31584915:31586030:1 gene:Vigun05g173300.v1.2 transcript:Vigun05g173300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGATKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINNYEYKKIPKPNEGNQD >Vigun01g098500.1.v1.2 pep primary_assembly:ASM411807v1:1:26534069:26535682:-1 gene:Vigun01g098500.v1.2 transcript:Vigun01g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKNRVAVLVGCNYPNTGNELHGCINDVLTMKETLVKRFGFDERNIEVLTDAPNSSKMPTGANIKQALAKMVDGAKTGDVLYFHYSGHGTRIPSKKHGHPFHHEEAIVPCDFNLITDLDFRQLVNRLAKGASLTILSDSCHSGGLIDKEKEQIGPSSSQKGLTSKTGYTLTKKAIPYDSILEHLSSLSKATATDIGTYMLELFGSDASLRFQTASHALLGAIGPDEGILLSGCQADETSADMNPGVAGKAYGAFSNAVETVVREEGGLSNREVVVRARKVLQGQGFDQHPCLYCSDEKAYATFLGHPQKTEHYM >Vigun01g191100.1.v1.2 pep primary_assembly:ASM411807v1:1:36947783:36953479:1 gene:Vigun01g191100.v1.2 transcript:Vigun01g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSPTWIQSFSCAFPVKQTSGDMLISTMLQWLRFFFLSPCPQRTILSSVDVVFLLFLFVFSVTKLWKRLTSHGGFGTHLNEPLVRNSRSINSTIRFKLTLTAEVVLTILYTVATVLAYSNSSKVPWKQVDDVFLIVHAITHAVLAFLIIHEKIFEAVTHPLALRVYWVVNFSVTSLFTVSAVMRLVIVDVNESNDFKVDDVVSFISLPLSLFLLFAGIKGSTGIVLSTEESQSRQLVEEETRLYDGSEGKSVVTGFASASMLSKAFFIWINPLLRKGYKSALKTDDVPSLSPQHRAERMLSIFESKWPKSNEKSKHLVRITLLRCFWKELVLNVVLAIIRLCVMFVGPVLIQSFVDFTSGKRSSEYEGYYLVLILLVAKFIEVVSTHHFNFQCQKLGTLIRSADHGIGTIVNYMAVDTQQLSDMMLQLNAAWMMPLQVAVGMFLLYNCLGASVITAFLGLLGVFVFAVIGTRRNNRFQYNVMKNRDSRMKAVNEMLNYMRVIKFQAWEEHFNQRIMGFREIEYGWLSKLMFSICGNIVVMWSTPLLISTLTFGTAILLGVQLDAATVFTTTTVFKILQEPIRTFPQSMISLSQALISLERLDKFMLSRELLDDSIEREERCGGQTAVEIIDGTFSWDDDNTQQDLKNINLEIKEGEISAIVGTVGSGKSSLLASILGELRKISGKVRVCGSVAYVAQTSWIQNRTIEENILFGLPMDRQRYNEAIRVCCLEKDLEMMDYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYLLDDVFSAVDAHTGSEIFKECVRGALKGKTTLLVTHQVDFLHNVDQILVMRDGMIIQSGKYNDLVDSGLDFKALVVAHETSMELVEQSVVMPAESLNRPTKSSVVASIHNGTSDENNSLEKAVSSKKSSKLIKEEERETGKVSLHIYKLYCTEAFGCDYWLAYETSVESGMFNPSLFIYIYTIITAVSVILVVTRWYFFTLLGLKTAQIFFTQILRSILHAPMSFFDTTPSGRILSRASSDQTNVDVLLPMFTGVVISMYITVLSIFIVTCQNSWPTVFLIFPLILLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESIAGVMTIRAFRQQKNFCEENLKRVNANLRMDFHNYSCNVWLGVRLELLGSFVFCISALFMIILPSSIIKPENVGLSLSYGLSLNGVLFWAVYMSCFIENKMVSVERIKQFTNIPSEPAWNIKDQLPPSNWPGQGNVDIRDLQVRYRPNTPLVLKGITLSIIGGEKVGVVGRTGSGKSTLVQVLFRLVEPSAGKIIIDGIDISILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYADEEIWKSLERCQLKDVVAAKPEKLDSLVVENGENWSVGQRQLLCLGRVILKRSKVLFMDEATASVDSQTDGVIQKIIREEFAACTIISIAHRIPTVMDCDRVLVVDAGLAKEYDKPSNLLQRESLFGALVQEYANRSTGL >Vigun09g204500.3.v1.2 pep primary_assembly:ASM411807v1:9:37896709:37902219:-1 gene:Vigun09g204500.v1.2 transcript:Vigun09g204500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGKACAQDEERPIRFTRAKARALRGIPPPPSRPSSKNEQKNMSRANSKRAAASGDQTSVVVPALGQNKRRAVLTDVTNICAESHDKCTDASKFRAKGVYRKKSTKRASGVTSELSSTQENVRAKLVEDLSTIRMVESNDTISERVMPGTKPSMQDSVKSEEFQSSSKNDVEVISEKPGTSNSLDIVDIDSELKDPQIWSSYASDMYNNIRVTELKMKPLTNYMEKLQTDINPSMRGILVDWLVEVSEEYKLVPDALYLTVNLIDRYLSTKFIQKQRLQLLGVTSMLIASKYEEMCPPRVEEFCFITDNTYTKEEVLKMEREVLNVIHFQLSVPTIKTFLRRFIQAAQSSYKAPCVELEFLANYLAELALVEYSFFQFLPSLVAASAVFLARWTLNDSEHPWNPTLEHYTNYKASELKTVVLELQDLQLNSKGCPLNAVRDKYKLQKFNCVANLSPKPVQSLFEAQV >Vigun09g204500.1.v1.2 pep primary_assembly:ASM411807v1:9:37896709:37902219:-1 gene:Vigun09g204500.v1.2 transcript:Vigun09g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGKACAQDEERPIRFTRAKARALRGIPPPPSRPSSKNEQKNMSRANSKRAAASGDQTSVVVPALGQNKRRAVLTDVTNICAESHDKCTDASKFRAKGVYRKKSTKRASGVTSELSSTQENVRAKLVEDLSTIRMVESNDTISERVMPGTKPSMQDSVKSEEFQSSSKNGLSKISSATARMQQTLDVEVISEKPGTSNSLDIVDIDSELKDPQIWSSYASDMYNNIRVTELKMKPLTNYMEKLQTDINPSMRGILVDWLVEVSEEYKLVPDALYLTVNLIDRYLSTKFIQKQRLQLLGVTSMLIASKYEEMCPPRVEEFCFITDNTYTKEEVLKMEREVLNVIHFQLSVPTIKTFLRRFIQAAQSSYKAPCVELEFLANYLAELALVEYSFFQFLPSLVAASAVFLARWTLNDSEHPWNPTLEHYTNYKASELKTVVLELQDLQLNSKGCPLNAVRDKYKLQKFNCVANLSPKPVQSLFEAQV >Vigun09g204500.4.v1.2 pep primary_assembly:ASM411807v1:9:37896709:37901497:-1 gene:Vigun09g204500.v1.2 transcript:Vigun09g204500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGKACAQDEERPIRFTRAKARALRGIPPPPSRPSSKNEQKNMSRANSKRAAASGDQTSVVVPALGQNKRRAVLTDVTNICAESHDKCTDASKFRAKGVYRKKSTKRASGVTSELSSTQENVRAKLVEDLSTIRMVESNDTISERVMPGTKPSMQDSVKSEEFQSSSKNDVEVISEKPGTSNSLDIVDIDSELKDPQIWSSYASDMYNNIRVTELKMKPLTNYMEKLQTDINPSMRGILVDWLVEVSEEYKLVPDALYLTVNLIDRYLSTKFIQKQRLQLLGVTSMLIASKYEEMCPPRVEEFCFITDNTYTKEEVLKMEREVLNVIHFQLSVPTIKTFLRRFIQAAQSSYKAPCVELEFLANYLAELALVEYSFFQFLPSLVAASAVFLARWTLNDSEHPWNPTLEHYTNYKASELKTVVLELQDLQLNSKGCPLNAVRDKYKLQKFNCVANLSPKPVQSLFEAQV >Vigun09g204500.2.v1.2 pep primary_assembly:ASM411807v1:9:37896709:37902219:-1 gene:Vigun09g204500.v1.2 transcript:Vigun09g204500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGKACAQDEERPIRFTRAKARALRGIPPPPSRPSSKNEQKNMSRANSKRAAASGDQTSVVVPALGQNKRRAVLTDVTNICAESHDKCTDASKFRAKGVYRKKSTKRASGVTSELSSTQENVRAKLVEDLSTIRMVESNDTISERVMPGTKPSMQDSVKSEEFQSSSKNDVEVISEKPGTSNSLDIVDIDSELKDPQIWSSYASDMYNNIRVTELKMKPLTNYMEKLQTDINPSMRGILVDWLVEVSEEYKLVPDALYLTVNLIDRYLSTKFIQKQRLQLLGVTSMLIASKYEEMCPPRVEEFCFITDNTYTKEEVLKMEREVLNVIHFQLSVPTIKTFLRRFIQAAQSSYKAPCVELEFLANYLAELALVEYSFFQFLPSLVAASAVFLARWTLNDSEHPWNPTLEHYTNYKASELKTVVLELQDLQLNSKGCPLNAVRDKYKLQKFNCVANLSPKPVQSLFEAQV >Vigun02g013600.1.v1.2 pep primary_assembly:ASM411807v1:2:5085628:5098712:-1 gene:Vigun02g013600.v1.2 transcript:Vigun02g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGVPETMAEVMAVAAVDLTDASNWWNDIDDSPVWQDRIFYTLAVLYGIVATVALVQLARIQLRVPEYGWTTQKVFHFLNFLVNSVRCVVFIFFRNVQRLKPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLKPSFYTINFVVYIIQITLWLILWWKPINGLLILSKMFFAGVSLFAAIGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFLCFLVRCIMMCFNAFNKDANLDVLDHPILNFIYYLVVEIVPSSLVLFILRKLPPKRGITQYHPIR >Vigun06g168000.11.v1.2 pep primary_assembly:ASM411807v1:6:28980894:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.14.v1.2 pep primary_assembly:ASM411807v1:6:28980087:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLITGFHFPFFSYHNSSFNFHGVAPQYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.13.v1.2 pep primary_assembly:ASM411807v1:6:28980084:28984565:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.4.v1.2 pep primary_assembly:ASM411807v1:6:28980084:28984565:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.9.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.3.v1.2 pep primary_assembly:ASM411807v1:6:28980061:28984564:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.5.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.1.v1.2 pep primary_assembly:ASM411807v1:6:28980588:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRSLKMAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.7.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYEYGSLKMAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.2.v1.2 pep primary_assembly:ASM411807v1:6:28980061:28984564:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.12.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYEYGSLKMAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.6.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28983697:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYSYFS >Vigun06g168000.10.v1.2 pep primary_assembly:ASM411807v1:6:28980590:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168000.8.v1.2 pep primary_assembly:ASM411807v1:6:28980088:28984504:1 gene:Vigun06g168000.v1.2 transcript:Vigun06g168000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENSPKDDPLMLWLTGGPGCSALSGLVFEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLIDHPNFLTNEVYIGGDSYSGIPVPAVVQEISRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKEEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun05g199850.1.v1.2 pep primary_assembly:ASM411807v1:5:38620398:38626975:1 gene:Vigun05g199850.v1.2 transcript:Vigun05g199850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFPARRQLMRSKMKLSLCASNDLASRKGYLEENLKLANDLKDGVGGEQSIQYLTKLEMISLSGFLN >Vigun04g056100.2.v1.2 pep primary_assembly:ASM411807v1:4:5481021:5487560:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSSTLCLLLAMVLVMMSTNFITIMTVTETHIPTTLDGPFEPVTRRFDPSLRRGSDDLPMTHPRLRKNVTLNFPEQIALAISSPTSMWVSWVTGDAQIGLNVTPVDPASVGSEVWFGKESGKYTGVGKGDSVVYSQLYPFEGLWNYTSAIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKVKWS >Vigun04g056100.6.v1.2 pep primary_assembly:ASM411807v1:4:5481716:5487556:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSSTLCLLLAMVLVMMSTNFITIMTVTETHIPTTLDGPFEPVTRRFDPSLRRGSDDLPMTHPRLRKNVTLNFPEQIALAISSPTSMWVSWVTGDAQIGLNVTPVDPASVGSEVWFGKESGKYTGVGKGDSVVYSQLYPFEGLWNYTSAIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKVCSLVFIFYFKGFCLETFFS >Vigun04g056100.8.v1.2 pep primary_assembly:ASM411807v1:4:5475420:5487556:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSSTLCLLLAMVLVMMSTNFITIMTVTETHIPTTLDGPFEPVTRRFDPSLRRGSDDLPMTHPRLRKNVTLNFPEQIALAISSPTSMWVSWVTGDAQIGLNVTPVDPASVGSEVWFGKESGKYTGVGKGDSVVYSQLYPFEGLWNYTSAIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQIGQGTYSSVFRAREVETGKLFALKKVRFDNFQPESIRFMAREITILRRLDHPNIMKLEGIITSRLSNSIYLVFEYMEHDLAGLVSRPEIVFTESQIKCYMRQLLSGLEHCHMRGIMHRDIKVSNILLNNEGVLKIGDFGLANTISTSNKHPLTSRVVTLWYRPPELLMGSTNYGVSVDLWSVGCVFAELFLGKPILKGRTEVILTIDVC >Vigun04g056100.4.v1.2 pep primary_assembly:ASM411807v1:4:5481070:5487556:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQVKWS >Vigun04g056100.10.v1.2 pep primary_assembly:ASM411807v1:4:5481021:5487560:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQVKWS >Vigun04g056100.5.v1.2 pep primary_assembly:ASM411807v1:4:5481716:5487560:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKVCSLVFIFYFKGFCLETFFS >Vigun04g056100.11.v1.2 pep primary_assembly:ASM411807v1:4:5481067:5487556:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQVKWS >Vigun04g056100.7.v1.2 pep primary_assembly:ASM411807v1:4:5482657:5487586:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSSTLCLLLAMVLVMMSTNFITIMTVTETHIPTTLDGPFEPVTRRFDPSLRRGSDDLPMTHPRLRKNVTLNFPEQIALAISSPTSMWVSWVTGDAQIGLNVTPVDPASVGSEVWFGKESGKYTGVGKGDSVVYSQLYPFEGLWNYTSAIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKVMVS >Vigun04g056100.9.v1.2 pep primary_assembly:ASM411807v1:4:5475420:5487556:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQIGQGTYSSVFRAREVETGKLFALKKVRFDNFQPESIRFMAREITILRRLDHPNIMKLEGIITSRLSNSIYLVFEYMEHDLAGLVSRPEIVFTESQIKCYMRQLLSGLEHCHMRGIMHRDIKVSNILLNNEGVLKIGDFGLANTISTSNKHPLTSRVVTLWYRPPELLMGSTNYGVSVDLWSVGCVFAELFLGKPILKGRTEVILTIDVC >Vigun04g056100.1.v1.2 pep primary_assembly:ASM411807v1:4:5481021:5487586:-1 gene:Vigun04g056100.v1.2 transcript:Vigun04g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSSTLCLLLAMVLVMMSTNFITIMTVTETHIPTTLDGPFEPVTRRFDPSLRRGSDDLPMTHPRLRKNVTLNFPEQIALAISSPTSMWVSWVTGDAQIGLNVTPVDPASVGSEVWFGKESGKYTGVGKGDSVVYSQLYPFEGLWNYTSAIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQERFFETFPKPGPNNYPARIAVVGDLGLTRNSTSTIDHLIHNDPSMILMVGDLTYANQYLTTGGKGVSCYSCAFPDAPIRETYQPRWDGWGRFMEPLTSEVPMMVIEGNHEIEPQAGGITFKSYLTRFAVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGAQFSWLKQDLQNIDRSVTPWLVAAMHPPWYNSYASHYQEFECMRLEMEEIFYQYRVDIVFNGHVHAYERMNRVYNYTLDPCAPIYITVGDGGNIEKVDVDHADDPGKCPSPGDNKPEFGGVCKSNFSTGPAKGNFCWNKQPEWSAFRESSFGHGILEAVNSTYALWTWHRNQENYKENAVGDQIYIVRQPELCMKDLKPQDSQQSLPYNSNPKSSHAPTHLSKNSIIISQILGLLFLNGLYSQVKWS >Vigun06g212200.2.v1.2 pep primary_assembly:ASM411807v1:6:32460999:32462135:1 gene:Vigun06g212200.v1.2 transcript:Vigun06g212200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYGFLICILVMVLDVVAGILGIEAEIAQNKEKHMKVWIFECRYPSYHAFKLGLAAATLLTLAHVIAHLLGGCICVWSREQYRSASANRQLAVAFLIFSWIVVAVGMSMLIIGTLANSRSRKSCAMFSHRFLTIGGIMCFIHGLFTVPYYVSATATRKEEEKMKENATQSVRRT >Vigun06g212200.3.v1.2 pep primary_assembly:ASM411807v1:6:32460957:32462135:1 gene:Vigun06g212200.v1.2 transcript:Vigun06g212200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSLMTVTLKSEIAQNKEKHMKVWIFECRYPSYHAFKLGLAAATLLTLAHVIAHLLGGCICVWSREQYRSASANRQLAVAFLIFSWIVVAVGMSMLIIGTLANSRSRKSCAMFSHRFLTIGGIMCFIHGLFTVPYYVSATATRKEEEKMKENATQSVRRT >Vigun06g212200.1.v1.2 pep primary_assembly:ASM411807v1:6:32461057:32462175:1 gene:Vigun06g212200.v1.2 transcript:Vigun06g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYGFLICILVMVLDVVAGILGIEAEIAQNKEKHMKVWIFECRYPSYHAFKLGLAAATLLTLAHVIAHLLGGCICVWSREQYRSASANRQLAVAFLIFSWIVVAVGMSMLIIGTLANSRSRKSCAMFSHRFLTIGGIMCFIHGLFTVPYYVSATATRKEEEKMKENATQSVRRT >Vigun11g204600.2.v1.2 pep primary_assembly:ASM411807v1:11:40184285:40188587:1 gene:Vigun11g204600.v1.2 transcript:Vigun11g204600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDDEVLGCATATTTTASGQSASTNSLPPPADNTLLKLNHLDLHADDAGSQASLAGKKKKRGQRAVGPDKSGRGLRQFSMKVCEKVENRGRTTYNEVADELVAEFSDPINSELTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSVNDIEEIKTERLALRNRIEKKTAYLQELEEQFVGLQNLIQRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQQDNMTHNFTAGGEGSSMSGLCQPQTPSTNLKVSNRPPTSPPLPGILKARVKQEH >Vigun11g204600.1.v1.2 pep primary_assembly:ASM411807v1:11:40184285:40188587:1 gene:Vigun11g204600.v1.2 transcript:Vigun11g204600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDDEVLGCATATTTTASGQSASTNSLPPPADNTLLKLNHLDLHADDAGSQASLAGKKKKRGQRAVGPDKSGRGLRQFSMKVCEKVENRGRTTYNEVADELVAEFSDPINSELTPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSVNDIEEIKTERLALRNRIEKKTAYLQELEEQFVGLQNLIQRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQQDNMTHNFTAGGEGSSMSGLCQPQTPSTNLKVSNRPPTSPPLPGILKRNWLQSVDRHNSYSAVQPNHSVG >Vigun09g240000.2.v1.2 pep primary_assembly:ASM411807v1:9:41006550:41008324:1 gene:Vigun09g240000.v1.2 transcript:Vigun09g240000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSIPTKIMKPCLSLLSSSLWIFSILFTKILNGIQRPSGYKIFQEIPINIEPCHRPPGVEEWEVSYLHYPQPKTFSREECACNPVRFFCIVSMQRSGSGWFETFLNSHINVSSNGEIFSVGKRRTNVSSILETMDQVYNLDWFSSASKNECSAAVGFKWMLNQGLMEHHEEIGEYFERRRVSIIFLFRRNLLRRMISVLANAYDKGAKALNGTHKSHVHSPLEAKILANYRPRLNITLLIPELKITKETATKAVTYFKNSRHIVLYYDDLVHNRTKLKDVQEFLRVPYRDLESRQVKIHTAPLSEQVENWDEVQKELIGTPFQWFLGKNYQIQ >Vigun07g281300.1.v1.2 pep primary_assembly:ASM411807v1:7:39598936:39601914:1 gene:Vigun07g281300.v1.2 transcript:Vigun07g281300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTLSLPPVPVRTVSASASTSYSVPVSSSWSVAARQRLWSHRTRRGFRSYSSAVPQLTVTCGAGVAEINESQFKETVLEAKRPVLVEFVATWCGPCRLISPAMESLAKEYEDRLTVVKIDHDANPRLIEEYKVYGLPTLLLFKNGEEVPASRREGAITKSKLKVYVDDLLKSISVS >Vigun11g065200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15451428:15451839:1 gene:Vigun11g065200.v1.2 transcript:Vigun11g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACAALIAAASISAVVATVEVSAPAPGPSSEASAIVPLVSSLVGASVLSFFALLH >Vigun05g152850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24171148:24171564:-1 gene:Vigun05g152850.v1.2 transcript:Vigun05g152850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITPCVPSFPCPLATWYSANPPGRKSLSIILSPNISNALEPAAPSLIPSTNCFKSNPACLITLHSNQNTNCRRVEQPKITPSPPVPPFQPAMLPPASPSPPSTASACAALEVADAEAALICSSTEVSATRRRTTRP >Vigun03g350900.6.v1.2 pep primary_assembly:ASM411807v1:3:55202489:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.1.v1.2 pep primary_assembly:ASM411807v1:3:55202489:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.5.v1.2 pep primary_assembly:ASM411807v1:3:55207002:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.7.v1.2 pep primary_assembly:ASM411807v1:3:55202909:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.3.v1.2 pep primary_assembly:ASM411807v1:3:55202489:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.9.v1.2 pep primary_assembly:ASM411807v1:3:55202938:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.15.v1.2 pep primary_assembly:ASM411807v1:3:55208508:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.13.v1.2 pep primary_assembly:ASM411807v1:3:55207882:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.4.v1.2 pep primary_assembly:ASM411807v1:3:55202489:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSCLLRTMLTWQHTELVSTWNQMFMRLLDQKMSRFGHYQL >Vigun03g350900.11.v1.2 pep primary_assembly:ASM411807v1:3:55207002:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.2.v1.2 pep primary_assembly:ASM411807v1:3:55202473:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.8.v1.2 pep primary_assembly:ASM411807v1:3:55202910:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.14.v1.2 pep primary_assembly:ASM411807v1:3:55208067:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.12.v1.2 pep primary_assembly:ASM411807v1:3:55207835:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun03g350900.10.v1.2 pep primary_assembly:ASM411807v1:3:55202939:55215146:1 gene:Vigun03g350900.v1.2 transcript:Vigun03g350900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAEDQVKSRRALHRSKDLRRKDRRRRSQCKQDKLQETGLDSQLVSSACKSLVFPARPGYGQLGTKCLVKANHFLADISASDLSHYNVTITPEVTSRKTSKAIVSELVRLHRNTDLGMRLPVYDGGRNLYTAGLLPFASKEFSIVLSQDDEGTGGTREREFEVVIKFAARVSMHQLRELLSGKQVDTPQEALTVIDIVLRELAAQSFVSIGRFLYSPDLRKPQQLGGGLESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVLSKPLSDADRVKIKKALRGVKVEVTHRGSFRRKYRITGLTSQPTRELNFPVDEKMNMKSVVDYFQEMYGYTIIYSHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLNEKQITSLLKVSCQRPREQETDILQTIQENNYEYNPYVKEFGISIDSKLASVEARVLPAPWLKYHDTGREKEHLPQVGQWNMMNKKVINGSTVRYWACINFSRSIQEIARGFCQQLVQMCQISGMEFSLDPVIPIYTARPDMVKKALKYVHSAVRDKLGGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLSKHVFKINRQYLANVALKINVKLGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDPCPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKCWKDPHHGVVYGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFSNNHDDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPDVHEIARSKDESVRPLPALKEKVKNVMFYC >Vigun04g153300.1.v1.2 pep primary_assembly:ASM411807v1:4:37061162:37062867:-1 gene:Vigun04g153300.v1.2 transcript:Vigun04g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLLQNLKLCPSSKLPLSCLVAASKLKANAGSSLQAEHPPLRVLCGCETLSVMGFSSLQSSVV >Vigun05g191300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37175389:37175993:1 gene:Vigun05g191300.v1.2 transcript:Vigun05g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKQGKPKPKEEPKKEAKETKKEEPKVEEKKD >Vigun06g146950.1.v1.2 pep primary_assembly:ASM411807v1:6:27217146:27217464:1 gene:Vigun06g146950.v1.2 transcript:Vigun06g146950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLGICAKWVVVDSQRHMLGRLASPHAGSTRVHCGEITSQRPKGSGGAVRRDLHPWRTRFLRKRMNTKPSHGPIRFRGPIRFRAPAKIFWRKFVE >Vigun11g150500.1.v1.2 pep primary_assembly:ASM411807v1:11:36076098:36079347:-1 gene:Vigun11g150500.v1.2 transcript:Vigun11g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSVQAQAASASTPRKKMTKQLTGKRDDTPLHSAARAGNLSVLKDTVSGCEGGELRALMTKQNHAGETVLYVAAEYGYVDMVREMIQYYDLAGAGIKARNGFDALHIAAKQGDLDIVKILMEAHSELSMTVDPSNTTALHTAALQGHIEIVKFLLEAGSSLATIARSNGKTALHSAARNGHVEVVKALMEKEPAVATRTDKKGQTALHMAVKGQNVEVVEELIKADPSTINMVDNKGNTPLHIATRKGRAQIVKLVLGQTETDSLAVNKSGETALDTAEKTGNSEVKDILLEYGVRSAKALKTQPVTATARELKQTVSDIKHEVHYQLEHTRQTRRGVQGIAKRINKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFADDPKDIPPGSGMTIGEANIAPQAAFLIFFVFDSIALFISLAVVVVQTSVVIIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGKDQKWLAIGVTIIGTTIMATTLGTMCYWVIRHRIEASNLRSIRKSSMGSRSRSFSVSVMSDSELLNNDRKILYAI >Vigun11g150500.2.v1.2 pep primary_assembly:ASM411807v1:11:36076098:36079331:-1 gene:Vigun11g150500.v1.2 transcript:Vigun11g150500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSVQAQAASASTPRKKMTKQLTGKRDDTPLHSAARAGNLSVLKDTVSGCEGGELRALMTKQNHAGETVLYVAAEYGYVDMVREMIQYYDLAGAGIKARNGFDALHIAAKQGDLDIVKILMEAHSELSMTVDPSNTTALHTAALQGHIEIVKFLLEAGSSLATIARSNGKTALHSAARNGHVEVVKALMEKEPAVATRTDKKGQTALHMAVKGQNVEVVEELIKADPSTINMVDNKGNTPLHIATRKGRAQIVKLVLGQTETDSLAVNKSGETALDTAEKTGNSEVKDILLEYGVRSAKALKTQPVTATARELKQTVSDIKHEVHYQLEHTRQTRRGVQGIAKRINKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFADDPKDIPPGSGMTIGEANIAPQAAFLIFFVFDSIALFISLAVVVVQTSVVIIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGKDQKWLAIGVTIIGTTIMATTLGTMCYWVIRHRIEASNLRSIRKSSMGSRSRSFSVSVMSDSELLNNDRKILYAI >Vigun09g216300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39049704:39054481:1 gene:Vigun09g216300.v1.2 transcript:Vigun09g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVPRSSTSCCALGDTNVYALSNNGFFGGTPFVKMKSLPNGSLVNWKKHGKRQLGIRVLEIRCAPEVVVAVNGKSKSRVSSEEVIGVLKSILDPNSALSYFKMVSQLPNLVHTPETCNYMLEFLRVHGRVEDMVFVFDFMQEQVINRNPNTYLTIFKALSIKGGIRQAPFALGKMRQAGFVLNAYSYNGLIHFLLQPGGFCKEALMVYKRMISEGMKPSMKTYSALMVALGKRRETGTIMDLLEEMKTLGLRPNIYTYTICIRVLGRAGRIDDAYGILKTMEDEGCGPDVVTYTVLIDALCAAGKLDKAMELYSKMRASGHKPDQVTYITLMGKFSNYGNLEMVKRFWNEMEADGYAPDVVSYTIIVEALCKSGKVDQAFDILDVMKEKGIFPNLHTYNTLISGLLALKRLDEALELFSNLESWGVEPTAYSYVLFIDYYGKLGDPEKALDTFQEMKKRGILPSIAACNASLYSLAETGRIREAKYIFNDLHNCGLLPDSVTYNMMMKCYSKAGQIDKSTKLLTEMLSEGCEPDIIVVNSLIDTLYKADRVDEAWKMFGRLKDFKLAPTVVTYNILLTGLGKEGKIRKALDLFGSMNESGCPPNTVTFNALLDCLCKNDSVDLALKMFCRMTIMNCSPDVLTYNTIIYGLLKEGRADYAFWFYHQMKKFLSPDRVTLYTLLPGVVKYGSIEDAIKIVMEFVHQPGSQTSSQFWGELMECILIEAEIEVAISFAEGLVCHSICLDDNLIIPLIRVLCKQNKALHAQNLFDKFTKTFGVHPSSESYNCLIDGLLGSNITELAFKLFIEMKNAGCCPNIFTYNLLLDAHGKSKRIDELFELYNDMLCRGCKPNTITHNIVISALVKCKNLNKALDLYYDLVSGDFSPTPCTYGPLIDGLLKAGRLDEAMNVFEEMTDYHCKPNCAIYNILINGFGKAGNVDIACDLFKRMVKEGIRPDLKSYTILVECLCMNGRVDDAVHYFEELKLTGLDPDTVSYNLMINGLGKSQRFEEALSLFSEMKNRGVTPDLYTYNSLILHFGNAGMVDRAGKMFEELQLMGLEPNVFTYNALIRGHTMSGNKDRAFSVLKKMMVVGCSPNAGTFAQLPNKS >Vigun03g126750.1.v1.2 pep primary_assembly:ASM411807v1:3:12113836:12114772:1 gene:Vigun03g126750.v1.2 transcript:Vigun03g126750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSQIHKHSEEQKKKIYFSWLYFFVRGCFALRFMSTTNNTKKVTTFLLLCDFCSSAIFKHFSLYFFSAGLMFSCEIHEHSEEHQKGNNFSSSL >Vigun09g029700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2453126:2453898:-1 gene:Vigun09g029700.v1.2 transcript:Vigun09g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTKFFWVLVLCIVAMTYQANALETVETLKGESLIKKVCTLSATRNLCIEVLSSDSYKSPNANLKDLAIISLRFAATNASGILAETKILIDDDKLSPDVQQGLADCKETILDAESQLEDSIAAIMVDSKGETQLWLKAALAAIDTCDASIPGDDDILSVESVAFRKLCNIAITVTKLLLHPIKL >Vigun02g063600.1.v1.2 pep primary_assembly:ASM411807v1:2:21159330:21162437:1 gene:Vigun02g063600.v1.2 transcript:Vigun02g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDAAQSSRSTSGISKTTPSSLSIPSYSEKSNALSLPTPRSEGEILSSPNLKSFTFNELKNATRNFRPDSLLGEGGFGCVYKGWIDEHTFTASKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLYHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWSVRMKVAIGAARGLSFLHNAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTIAGMEQNLVDWAKPYLSDKRRLFRIMDTKLEGQYPQKGAFMAATLALQCLNSEAKTRPPMTEVLATLEQIEAPKASSRNSHSEHHRVHTPVRKSPARNNRSPLNLTPTASPLHAQRQSPRVH >VigunL031166.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:185488:187156:-1 gene:VigunL031166.v1.2 transcript:VigunL031166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGISMNPIIEIAQDTLF >Vigun02g007300.1.v1.2 pep primary_assembly:ASM411807v1:2:3162666:3164964:1 gene:Vigun02g007300.v1.2 transcript:Vigun02g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFHVDGVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKQMKDKSESKNQYCKFDNELLTLFTSSLYLAALVASFFASTTTRKLGRKASMLIGGLFFLVGSLLNGFAMNIEMLIIGRMFLGIGVGFCNQSVPVYLSEVAPAKIRGALNIGFQMMITIGILVANLINYGTSKHENGWRISLGIAVVPAILLCIGSLCVVETPNSLIERGKFEKAKKMLKKIRGTEKIDEEYQDIVDASEMAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNDASLMSAVITGVVNVVATLVSIFTVDKFGRRVLLLEGGVQMFICQVIVGTMIGLRFGLNGQGAFSKVEADILLFFICAYVAAFAWSWGPLGWLVPSEICSLEIRPAGQAINVATNMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIASFLPETKNVPIEEMNILWTSHWFWKKIVPNDIDIKSKNNKSVV >Vigun07g106200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19421395:19421712:1 gene:Vigun07g106200.v1.2 transcript:Vigun07g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPCLLSHPIIHFQQDSSFYIGEDRCFECCSCAVWYLVLVSISFLLGICFSFPVIDFILSIYIIFIYIYIYIYNIFVESKIYYIYYNLLRFKIFLALYGFSV >Vigun02g148400.1.v1.2 pep primary_assembly:ASM411807v1:2:29585152:29592075:1 gene:Vigun02g148400.v1.2 transcript:Vigun02g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRTQLIAVVFLILSLAQWVVVYGDSGKVLLLPNEGSPPAMILPLQHSVPDSYVSDSSPRRQLQRSQSQRHPNARMRLHDDLLRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSTCQHCGKHQDPKFQPEDSETYQPLKCTWQCNCDADRKQCTYERRYAEMSTSSGVLGEDVVSFGNQSELSPQRATFGCENDETGDLYTQHADGIMGLGRGDLSIMDQLVEKKVISDSFSLCYGGMGVGGGAMVLGSISPPADMVFTHSDPGRSPYYNINLKEVHVAGKRLNLNPKVFDGKHGTVLDSGTTYAYLPESAFIALKHAIMKETHSLKQISGPDPRYNDICFSGAGSDVSELSKSFPAVDMVFENGNKLSLSPENYLFRHLKVRGAYCLGVFSNGNDPTTLLGGIVVRNTLVLYDREHTKIGFWKTNCSELWERLHVSDAPPPVSPKSEGTNLTEAFEPSVAPSPSPSQYNLELGELQIAQIIIVISFNVSYMEMKPHITELTGLIANELDVNTSQVHLLNVSSLGNASLSKWLITPRPYADFFTNATAMSMIARLSEHRMQIPDSLGSYKLLDWNAKPPLKRTWWQQYYLVVALAVLLTLLLGLATLGIFLIWKNRQQTEHSYEPVDVAVREQELQPL >Vigun08g042700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4412052:4413902:-1 gene:Vigun08g042700.v1.2 transcript:Vigun08g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFEKFHYFKTFLLLLILFVLPTTLAQPFSFTITNFNDTVSASLVGFTGVAKIENGTAVLNPLIKDGVGRILYGQPLLLKNTSNGHVTDFSTRFSFTIQASKTVYGDGFAFYVAPIAYQILNSDLAGYQLGLYDESVPIIAVEFDTYVNDLDPPMQHVGINNNSVASLNYTKFDIESNIGKMGHALITYNASAKLLAVSWFFDGTSSASTPNTDLSYHIDLGAILPEWVNVGFSGATGSFQEENVIHSWEFSSSLDLNSTKEEVNKENGIPTKCKVHMKVVIVTVICSIVFVLVVISTSWLTIKRRRAEDGFGFDREAMPRRFGYEEIVAPTNGFADDRRLGEGGSGQVYKGFLRDLGRVVAVKRIFSDVEDCERIFRNEVKIISRVIHRNLVQFMGWCHEKGELLLVFEYMSNGSLDNHLFGKRRSLTWDVRYKIALGVARALRYLHEDAEQCVVHRDIKSGNVLLDTDFNCKISDFGIAKLVDPRLRTQKTRVVGTYGYLAPEYVKEGRASKESDMYGFGVLALEIACGRRTCKDGEYNHVPLTIWVWKHYVDGNILNAADEELKGDFNAKEMTCLLTVGLWCTLQDHRARPTAEHVIDVLKHKCSLPMLCT >Vigun07g075100.1.v1.2 pep primary_assembly:ASM411807v1:7:9825706:9830115:-1 gene:Vigun07g075100.v1.2 transcript:Vigun07g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTEEKKGIFGFVGSEKPKLFGVNLYLFESSSSQVAMKCFPFYFGEKKDGPKTLQSISGRSNSSTNVEAEMRRSGSELNSMDASDTSTDSLRRNAFPSLSQRPSNLRVFTVPELKTATKSFSRSVMLGEGGFGCVYKGLIKSVDDPSTRIEVAVKQLGRRGIQGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLVYEYMPNRSVEHHLSPRSETPLPWNRRLKIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEQWKAKLSDFGLARLGPSDGLTHVSTAVVGTMGYAAPEYIQTGRLTSKSDVWSYGVFLYELITGRRPIDRNRPKGEQKMLEWIRPYLSDGRKFQLILDPRLERKHLFKSAQKLAVIANKCLVRNPKNRPKMSEVLQMVTQVVESSVSTNPQPPLKSVVASEEASTETVNNKITMDQQPDCNWLRLWRPKFLSTWL >Vigun02g012500.1.v1.2 pep primary_assembly:ASM411807v1:2:4791405:4795645:1 gene:Vigun02g012500.v1.2 transcript:Vigun02g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSPHLLSTTASSRFQPLILNSTVSIEPPFLGFKGTPCFVEKHNCCLSVKPFGVSQKRVTKISCSMNMSAQQSDDHGKMKLDHLIDKARKLWDNSPESVKIFPWNKALDNFIQLILDLILVVVKYLAVPVFAVTSLSEMSYCAHERKLRLVPIPVLFGVAVAGILKATALELSPHLKDAEVPWHLIAIAIFFTLIKLPGPYYPYWGRIIIPHFANGVLLRTLWFAILWYRRPKTVNMPGSS >Vigun02g012500.2.v1.2 pep primary_assembly:ASM411807v1:2:4791405:4793874:1 gene:Vigun02g012500.v1.2 transcript:Vigun02g012500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSPHLLSTTASSRFQPLILNSTVSIEPPFLGFKGTPCFVEKHNCCLSVKPFGVSQKRVTKISCSMNMSAQQSDDHGKMKLDHLIDKARKLWDNSPESVKIFPWNKALDNFIQLILDLILVVVKYLAVPVFAVTSLSEMSYCAHERKLRLVPIPVLFGVAVAGILKATALELSPHLKDAEVPWHLIAIAIFFTLIKLPGPYYPYWGRIIIPHFANGVLLRTLWFAILWYRRPKTVNMPGSS >Vigun01g119100.1.v1.2 pep primary_assembly:ASM411807v1:1:29537455:29540260:1 gene:Vigun01g119100.v1.2 transcript:Vigun01g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFSSSPFFRFNHHFPSSNPSPHAPYVHHNFINHSKTSDTTFLHYHHHHHHHNPATFSSFPPSPPLREELPLLRLSPAKQEEEEEEDLSCTGAMDVEERMHIHPKEEEDDEDATVTVALHIGLPSPSAAEMASVLSSSSETTDKDHGDAAAEDSSAGFRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGIDGFEEEDEPASEVEQDNDDSTQ >Vigun05g146550.1.v1.2 pep primary_assembly:ASM411807v1:5:20282921:20285820:1 gene:Vigun05g146550.v1.2 transcript:Vigun05g146550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVQDTSRQPSTQQGQASIQLGQPTTQPGEQGIESADATSEPAQPLEPSQPASESSQPSSEFSQPTSQYSQPNSEAEPVVVIPKKGRQSNHYWFVDAIDEDGVSKNLKVKVKDAHNLPNGLRVVVNYDDKYQPIGEASGLLAGVCGQLATNCILFPISFERWSSVPDTYKDKVWESLKPRFCFKINEDLAKRDVMSRIGKLWREYRWKLWNEFYDPLLSRNDLIKNVPDEITMDQWALFVDYRLKPSTMKMGNRNRDIRKRQTIPHTGGAMSLSRRRENLKLELGKNIGRAEMWKVTHKRKNGTYVNDEAMEIGEKIDELMLKNPETASEISPNDPIGVIFGKEHPGRVRGLSYASPHDKDKFVKIENELATVKNQVQALLAYIASKEDVPEHVAAMVAGLVCPSINEL >Vigun07g172000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28727320:28728581:-1 gene:Vigun07g172000.v1.2 transcript:Vigun07g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNIHNNIGHSLFASNPGEEDPNQNNNNGFRCLFCNLVFLTFHALITHIQSHFSLEDPAVRAVYAPILVNPRREMIPNLLQPIFSRLMVMQETNVFVNNRVFQAPLEPPMVMQEAQISVNNRFFHAQPEQPRVMMPPSRATNAASRSLQGSPYAQPEQPANTDVVEVAQILMEAMHSKEPEVSPNDGIQPYINQLDTPIDAEDFNQDVINGEAPDLNLRL >Vigun06g049600.1.v1.2 pep primary_assembly:ASM411807v1:6:17448272:17453618:-1 gene:Vigun06g049600.v1.2 transcript:Vigun06g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGETTRAPRPFPSTIATPSPDSDLQPHRRPSRRHPRTPARLKRLAGASAGKRSRPETPLSKWKIHGGVSGGGDPLEELDREKELPPPAAVSVRKLAAALWRLQLPETAAGDGGRRRGLRKIGEDRLGVVEHGTGHVDHQFLSHQNGMMHGSGMKNPSQSPRTISGTKGGHFCELKSPFQFSSTAMEGATKWDPVCLKTSDEVQNIYSQMKLLDQKVSTAAVSALEAELEQARAQIQELETERHSSKKKLEHFLKKVGEERASWRSKEHEKIRAYVDDIKSELSRERKSRQRIEIVNSRLVNELADTKLLAKRYIQDYEKERKARELIEEICDELAKEIGEDKAEIEALKRESMKLREEVEEERKMLQMAEVWREERVHMKLIDAKVALDEKYSQMNKLIADMETFVKSMNVNPNAKDMKEARSLQQAASAVDIQDIKEFSYEPANPDDIFSIFEDLNFVEPNEKDIESCVAYSPVSHASKIHTVSPEGNLISKDNNFQRCSNLFIDDNGEIEEDESGWETVSHVEDQGSSCSPEGSTLSVTKNRGESNASGRSVLEWEDNAGVETPITEISEVSSVPAKQSKKVSSIARLWRSGPNSGDNYKIISVEGMNGRVSNGRVSNGGVMSPDWGLDKGGLSPQDLLIQFSSPESANLHNRGMKGCIPRTVQKSSLKARLLEARMESQKVQLRHVLKQKI >Vigun01g226300.2.v1.2 pep primary_assembly:ASM411807v1:1:39906569:39909458:-1 gene:Vigun01g226300.v1.2 transcript:Vigun01g226300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVNLEGKIYSCKHCHTHLALYDDIYSKTFHCRHGKAYLFNKVVNISIGEMEDRQMMTGLHTVADIFCVGCGSIVGWQYETAYEKAQKYKEGKSVLERYKVSGPDGSNYWISHEAHVGGSDADDA >Vigun01g226300.3.v1.2 pep primary_assembly:ASM411807v1:1:39906569:39909442:-1 gene:Vigun01g226300.v1.2 transcript:Vigun01g226300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVNLEGKIYSCKHCHTHLALYDDIYSKTFHCRHGKAYLFNKVVNISIGEMEDRQMMTGLHTVADIFCVGCGSIVGWQYETAYEKAQKYKEGKSVLERYKVSGPDGSNYWISHEAHVGGSDADDA >Vigun01g226300.1.v1.2 pep primary_assembly:ASM411807v1:1:39906569:39909612:-1 gene:Vigun01g226300.v1.2 transcript:Vigun01g226300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPLSPQFYFIFCTYIVASVTTQTMGRLFVVNLEGKIYSCKHCHTHLALYDDIYSKTFHCRHGKAYLFNKVVNISIGEMEDRQMMTGLHTVADIFCVGCGSIVGWQYETAYEKAQKYKEGKSVLERYKVSGPDGSNYWISHEAHVGGSDADDA >Vigun04g032450.1.v1.2 pep primary_assembly:ASM411807v1:4:2664706:2668981:-1 gene:Vigun04g032450.v1.2 transcript:Vigun04g032450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGLSSLNPRVMRVEPGYARNSLSLFLSLSLSRWCHRWWLSVVLWRRWSTLGGGRSVVVELRKNAIPYQPSPVLIFNFDPHSAVPFPLSRVRRSGAHRWWSSVVLWRRWSTLVAVVRWWSRREKTQSLTLFSSHFHFRPTRTSLPSHSCSWRWCPEVVVVGRSLAAVVDVGTGRSVVVEPTLLLLLLLLCLATFSFYIQMCREWS >Vigun09g155700.1.v1.2 pep primary_assembly:ASM411807v1:9:32113464:32116945:1 gene:Vigun09g155700.v1.2 transcript:Vigun09g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWNSWWVLQIMQLLGFFSFWVSFAKFRTLLSRAFGYGHKAMKGDCSAWIFVSSNGLVKVGSFLFFLLVISWAYLFAFPTSPTVIKSYETSNGSYSPVQSHDIPNSSHSSIQSHDIPNSSHSFAQSYDEILKSNVSMDTCNVFEGSWVRDDSNPLYDASHCPFAERGFNCLANGRKDRGYTKWRWKPKNCEIPKFDARRILEQLRGKRVVFVGDSLSRTQWESMICMLMTGVENKKSVYEIKGNKITKQIRFLGVRFSTFDVRIDFYRSVFLVKPGSVPRHAPQRVKTTLRLDKIDDISNEWIDSDFLIFNSGHWWTRTKLFDMGWYFQVGNSLKLGMSINSAFKTALLSWASWVENAVNTNRTRVFFRTFESSHWSGQNHNSCKVSQKPWKRTNGKERSPISDMINKVVKNMSTGVTVLHVTPMTAYRSDGHVGTWSDKPSVPDCSHWCLPGVPDMWNEILLSYLLPKEEAN >Vigun09g155700.2.v1.2 pep primary_assembly:ASM411807v1:9:32113464:32116945:1 gene:Vigun09g155700.v1.2 transcript:Vigun09g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWNSWWVLQIMQLLGFFSFWVSFAKFRTLLSRAFGYGHKAMKGDCSAWIFVSSNGLVKVGSFLFFLLVISWAYLFAFPTSPTVIKSYETSNGSYSPVQSHDIPNSSHSSIQSHDIPNSSHSFAQSYDEILKSNVSMDTCNVFEGSWVRDDSNPLYDASHCPFAERGFNCLANGRKDRGYTKWRWKPKNCEIPKFDARRILEQLRGKRVVFVGDSLSRTQWESMICMLMTGVENKKSVYEIKGNKITKQIRFLGVRFSTFDVRIDFYRSVFLVKPGSVPRHAPQRVKTTLRLDKIDDISNEWIDSDFLIFNSGHWWTRTKLFDIQGVVFSGW >Vigun11g018300.1.v1.2 pep primary_assembly:ASM411807v1:11:2282322:2284520:1 gene:Vigun11g018300.v1.2 transcript:Vigun11g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGVMKTKMKSRMERDEELTLFRELRKRQNEHVSSLLHCVNSEEFECGTNNDTPGNFSLYRIASGKKEYGLEFLETNKNDYDWLKTPPATPLFPSLEMEPGPQLVVQKEIPISQAITRFAWKEMEAPKPKSNDGKTTHTNSTKPKLSTRSMTPSHTRQRPSTIKNTHEQQTNTSLTIPSKSSNVKSDHEAANSTKSTPQKQTNNADFLALNVKKSTETNESQRKPRTRGVSPSVKSRVALASNIMELSNEAPPNLRTDQRPSSTTRGRSTTRSSTVSGFQNRDPIPRTYRPSRSPSPSMANGSWNQLDRTQKNLRANKETFTLAASPNEGRTHFKGSKMVEKVVNARKLGMNQVDRETKAKAFKTREK >Vigun11g018300.2.v1.2 pep primary_assembly:ASM411807v1:11:2282315:2284156:1 gene:Vigun11g018300.v1.2 transcript:Vigun11g018300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGVMKTKMKSRMERDEELTLFRELRKRQNEHVSSLLHCVNSEEFECGTNNDTPGNFSLYRIASGKKEYGLEFLETNKNDYDWLKTPPATPLFPSLEMEPGPQLVVQKEIPISQAITRFAWKEMEAPKPKSNDGKTTHTNSTKPKLSTRSMTPSHTRQRPSTIKNTHEQQTNTSLTIPSKSSNVKSDHEAANSTKSTPQKQTNNADFLALNVKKSTETNESQRKPRTRGVSPSVKSRVALASNIMELSNEAPPNLRTDQRPSSTTRGRSTTRSSTVSGFQNRDPIPRTYRPSRSPSPSMANGSWNQLDRTQKNLRANKETFTLAASPNEGRTHFKGSKMVEKVVNARKLGMNQVDRETKAKAFKTRV >Vigun09g048800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4748802:4755017:-1 gene:Vigun09g048800.v1.2 transcript:Vigun09g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQNLCSMFRLAISRSKFMHTATNIGNNVVSKSHLLALETQDSLTTHLESLFRACSNASLLQQVRQVHTQVVVGGMNDICSLSSRILGLYVLCGRIKDAGNLFFRLELCYALPWNWMMRGLYMLGWFDFALLFYFKMLGSKVSPDKYTFPYVIKACGGLNNVPLCMVVHNMARLMGFHVDLFVGSALIKLYADNGYIHDARLVFDELPLRDTILWNVMLHGYVKIGNFENAIGTFLDMRTSYSRLNSVTYTCILSICAMKGNFCVGTQLHGLVIGSGFEFDPQVANTLVAMYSKCGNLLDARKLFNTMSQTDTVSWNGLIAGYVQNGFSDEAAPLFNAMISAGVKPDAVTFASFLPSILRTGSLKHCKEAHGYIVRHRVPFDVYLKSALIDIYFKGGDVKMAYNIFQQNTLVDVAVCTAMISGYVLNGLNRDAINIFRWLIKEGMVPNCLTMASVLPACAAMAATKLGKELHCDILKKRLENIVNVGSAITDMYAKCGRVDLAYEFFRRMSEKDSVCWNSMLSSFSQNGKPEMAIDLFRQMGISGAKYDTVSLSSALSAASNLPALYYGKEMHAYVIRNAFSFDTFVASALIDMYSKCGKLALARCVFDLMDGKNEVSWNSIIAAYGNHGFPRECLELFHEMLGAGIHPDHVTFLVILSACGHAGLVDEGIHYFRCMTTEYGISARMEHYACMVDLYGRAGRLQEAFDTMKSIPFTPDAGVWGTLLGACRLHGNVELAKLASRHLVDLDPNNSGYYVLLSNVHADAGEWASVLKIRSLMKEKGVQKIPGYSWIDVNGRTHMFSAADGNHPKSVEIYLILKSLLPELRKQGYIPQPYLPLHPQIMGRH >Vigun08g079250.1.v1.2 pep primary_assembly:ASM411807v1:8:16092417:16095961:1 gene:Vigun08g079250.v1.2 transcript:Vigun08g079250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRCRKFEGVLKNVLRHFIVPLRVREFPILVDQVKNVEQLEMRPNRKEKKNEKKEKEKKEKEKKEQEKEKEKEKEHENEKEKEKERENEREKEKEKEKKMEKEKMKEK >Vigun05g147360.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20958731:20958961:1 gene:Vigun05g147360.v1.2 transcript:Vigun05g147360.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFETFLTLFANIWLGLDFINSITFIFLVGVFLSLWLGASVLGLREWFIKRMPLVHLIGLERNRFFLYVSFSSFYL >Vigun02g196500.1.v1.2 pep primary_assembly:ASM411807v1:2:33174144:33184483:-1 gene:Vigun02g196500.v1.2 transcript:Vigun02g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANHLQSGIETARLVWSRIPNSDESQLLDDAVGLIKKNDGGGVESLDYEVIENFAYREEQAQRGKLYVSYLLVVKWFFALLIGICTGLAAVFINIAVENFAGWKFSATFDIIQKSYIAGFFVYVLFNLALVYSSVYIVTQFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHINSRWLQVFRSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRAAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFAELFPMAVIGVIGGLLGALFNQLTLYITTWRRNHLHMKGNRVKIIEACLVSILTSAISFGLPLLRKCTPCPESDPASGIECPRPPGMYGNYVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTINEYSSQSLLTFLVMFYALAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGIYEEQAQLRGIPLLESRPKYEMRNMTAKEACGSGRVVSFPRVVKVSDVVSILRSNKHNGFPVIDHTRSGEPLVIGLVLRSHLLVILQSKVDFQHSPLPSDSRGGARSIRHDSGEFAKPVSSKGICIDDIHLSSDDLEMYIDLAPFLNPSPYIVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDNKNVNTLELQSTSVRIGHQYKRLTTRNTDVERPLLNGLLQNQIPD >Vigun02g196500.2.v1.2 pep primary_assembly:ASM411807v1:2:33174144:33184483:-1 gene:Vigun02g196500.v1.2 transcript:Vigun02g196500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANHLQSGIETARLVWSRIPNSDESQLLDDAVGLIKKNDGGGVESLDYEVIENFAYREEQAQRGKLYVSYLLVVKWFFALLIGICTGLAAVFINIAVENFAGWKFSATFDIIQKSYIAGFFVYVLFNLALVYSSVYIVTQFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHINSRWLQVFRSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRAAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFAELFPMAVIGVIGGLLGALFNQLTLYITTWRRNHLHMKGNRVKIIEACLVSILTSAISFGLPLLRKCTPCPESDPASGIECPRPPGMYGNYVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTINEYSSQSLLTFLVMFYALAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGIYEEQAQLRGIPLLESRPKYEMRNMTAKEACGSGRVVSFPRVVKVSDVVSILRSNKHNGFPVIDHTRSGEPLVIGLVLRSHLLVILQSKVDFQHSPLPSDSRGGARSIRHDSGEFAKPVSSKGICIDDIHLSSDDLEMYIDLAPFLNPSPYIVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDNKNVNTLELQSTSDRASV >Vigun04g028200.1.v1.2 pep primary_assembly:ASM411807v1:4:2193067:2199222:-1 gene:Vigun04g028200.v1.2 transcript:Vigun04g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGLSDQNSGQQRLGITEPISLAGPSEDDVIKTRELEKYLQGVGLYESQEEAVGREEVLGRLDQIVKIWVKNISRGKGFNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRDDDFFGELGKMLSEMQEVTELHPVPDAHVPVMKFKFNGVSVDLLYARLALWVIPEDLDISQESILQNVDEQTVLSLNGCRVTDQVLRLVPNIQTFRTTLRCMRFWAKRRGVYSNVAGFLGGINLALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLPVWDPRRNPKDRYHLMPIITPAYPCMNSTYNVTSSTLRVMSDEFQRGSEICEAMEASKANWNTLFEPYPFFESYKNYLQIDITAENADDLRQWKGWVESRLRQLTLKIERHTYGMLQCHPHPGEFSDKSRSFHHCYFMGLQRKQGVPVNEGEQFDIRLTVEEFKHSVNAYTLWKPGMDIHVSHVKRRNIPAYIFPGGVRPSGPSKVSAENKQSSKLRASGHGQAEKSQGGKGVAVGADDVKKRRRSEDDMDNSSKNSKSPVSLPPPSREVNEDMTPIKWDESEVNSIDGQKSKKLCLRSQGEIPPGDSVTNGSVASNQQVNPMIAATDISSSKEEEKLAIEKIMSGPYDAHQAFPEEPEELEDDTQYGNQVKDTTGSMKNISESLVLKPAVTEEPVVYMETTCSSSLCSNEGVEELESAELTAPLLTVPPAPAPVKKPLIRLNFTSLVKAADKSS >Vigun11g042100.2.v1.2 pep primary_assembly:ASM411807v1:11:6102987:6104634:-1 gene:Vigun11g042100.v1.2 transcript:Vigun11g042100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETNFGWLIRLVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTVVFMLMHFSMIRLAVLEPSMIGEPADPFATPLEILLNGIFFPYFKYFVQCPISYWAFF >Vigun04g151800.1.v1.2 pep primary_assembly:ASM411807v1:4:36848152:36856357:1 gene:Vigun04g151800.v1.2 transcript:Vigun04g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWWGKSSSKETKKKANKESFINTFHRRFKIPSESKSSSRSGGSRRHCNDSISEKGAQSPPESRSPSPSKVARCQSFAERPHAQPLPLPVLHPSNISRADSEISISAKSRLEKGSKPSLFPLPKPACMRGRLNPADLDGDLVTASVSSESSADSDEPLDSRNRSPLATDCENGTRTAAGSPSSSMPKDLSSTVSQINSRETKKPANILGNHMSSTSPKRRPLSNHVSNLQIPPHGAFCSAPDSSKSSPSRSPLRVFGTEQVLNSAFWAGKPYSEVNLGGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQPSRGSPEYSPVPSPRMTSPGPSSRIQSGAVTPIHPRAGGTPNDSQTGRVDDGKQQSHRLPLPPLAVTNTLPFSHSNSAATSPSMPRSPGRADNPISPGSRWKKGKLLGRGTFGHVFVGFNKESGEMCAMKEVTLFSDDAKSKESAKQLMQEIALLSRLRHSNIVQYYGSETVGDKLYIYLEYVAGGSIYKLLQEYGQFGELAIRSFTQQILSGLAYLHAKNTVHRDIKGANILVDTNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPDHLSSEGKDFVRKCLQRNPHNRPSASELLDHPFVKYAAPLERPILGPDASSDPATSGITQGATALGIGQGRNPSTLDSDRLSRHSSRFLKTNPHASEIHIPRNISCPVSPIGSPLLRPRSPQHMNGRMSPSPISSPRTASGASTPLNGGSGAIPFSNHLVYIQEGLGNLPKSSNGVYISGPTHHDLNVDIFRGMQQTPHISSELVPGESDVLGKQFARSPRNEPYDVQSVLADRVCRQLLGDNVKINPSLDLNPNSLLSRANGL >Vigun06g027301.1.v1.2 pep primary_assembly:ASM411807v1:6:12194455:12194904:-1 gene:Vigun06g027301.v1.2 transcript:Vigun06g027301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIFVEVYLVGLEKCKNYLHGCILLTKGDKPLTHLDLCKKIDVAWKHLGKWKEISFGKGFYEFAFSSLEDMRRVLDVVTWNLSLEIFHIFSWTKDFVPASMKLIKTQYYWQPKVIFSIAHGIGTPLSLIDYTKNKS >Vigun11g095150.1.v1.2 pep primary_assembly:ASM411807v1:11:27761355:27761694:1 gene:Vigun11g095150.v1.2 transcript:Vigun11g095150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRKEEGVEEEEEEEEINLIKFIYLKRKCLWRDWTAMNSWEEKGVGSPQLNGITGKSPLPPRALVTVPFVGYPYNN >Vigun05g263600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45607764:45609798:-1 gene:Vigun05g263600.v1.2 transcript:Vigun05g263600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGFMTGMVLLLMALSSGVRSCPPSDRAALLAFRAALHEPHLGIFNSWTGADCCHNWYGVSCDQETHRVADITLRGESEEPIFERAHRTGYMTGYISPAICKLPRLSSIIIADWKGISGEIPRCITTLPFLRIVDFIGNRISGSIPADIGRLQRLTVLNFADNQISGTIPASLANLTSLMHLDLRNNLLSGPIPRNFGSLRMLSRALLSGNRLSGPIPGSVSLIYRLADLDLSRNQLSGLIPESLGKMAVLSTLNLDFNKLSGPIPVSLFSSGISDLNLSRNALQGNIPDAFGPRSYFTALDLSYNNLKGAIPKSVSSASYIGHLDLSHNHLCGKIPLGSPFDHLEASSFVYNDCLCGKPLKPC >Vigun06g001050.1.v1.2 pep primary_assembly:ASM411807v1:6:501367:515823:1 gene:Vigun06g001050.v1.2 transcript:Vigun06g001050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFSCSVKSCFFLSVSVFNGLLKGSNRFTRDMVKVRKLCGVPLFSGIADARGTRGQQGRDDSAA >Vigun06g179900.1.v1.2 pep primary_assembly:ASM411807v1:6:30000667:30003546:1 gene:Vigun06g179900.v1.2 transcript:Vigun06g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIRSYSSPHFLSSASNFAGQPEEERGLEGLATRVKLLLKLIQDHNGSGTKEHVERKDQRVNGMMLILDEVRNKVQTIQSTNKRRAELRRCKTDLRTVPTSKDKKHPPDVPIDEKEKLKRELNASLVARQSLHALCSSLGKEKQIMASELARKAQELTELEDLIGDLKAQNDMLMEKLHEWSSEHKEKKSNVVEMECNIVLRERNRVLSEQLQKSIDGYRSLKRRIRDLQEENRQIRDTMEQMDEEVDAGISRLGSLMEERMKTNNVGKNEIKDELLALGQVLHSLKMKISKYTQKRT >Vigun07g011200.1.v1.2 pep primary_assembly:ASM411807v1:7:961110:962462:1 gene:Vigun07g011200.v1.2 transcript:Vigun07g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSLGIVELVVGHSNLTKQSEFVSIFWKQVQNVTVAVMNCADNTVVG >Vigun06g186500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30566305:30572955:-1 gene:Vigun06g186500.v1.2 transcript:Vigun06g186500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAIFSSLRRRRSPTLDAFLAPVDLSDVALVQTLLSVAREIVSCFSNRCIFFQRKNSRSLIRRVEAFQLLLEYLRDSDSGSSCLPPTAVLCLKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPVKDVLLSKDIREQVQLLQNQSRRAKLFIDMKDDALRIRFFSFLEEFENGRIPDSAELRSFYVDKLQIADADSCRTEIEALEEQIVNHEGDIEPTISVLNGLVAMTRYCRFLLFGFEEDELGLENGSRKKPKKRLITREIAETFYTVPKDFSCPISLDLMRDPVIISTGQTYDRSSISRWMEEGHTTCPKTGQMLAHTRLVPNRALRNLIVQWCTAHGVPLDPSEVMDAMGEGFTTACPTKAALEANRATAKLLIQQLAGGSQAGKTVAAREIRLLAKTGKENRAFIAEAGAIPYLRNLLSSPNAVAQENSVTALLNLSIFDKNKSRIMDEEGCLGSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKIIADEMGAVEALAGLLQEGTPRGKKDAVTALFNLSTHPENCARMIEAGAVTALVGALGNEGVAEEAAGALVLIVRQPIGAKAVVNEEAAVAGLIGMMRCGTPRGKENAVAALLELCRSGGSAATERVVKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCEHASLPYGGLGVGYAFAGNSTAARDTSFAGDVSVPMSISVL >Vigun06g186500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30568123:30572429:-1 gene:Vigun06g186500.v1.2 transcript:Vigun06g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAIFSSLRRRRSPTLDAFLAPVDLSDVALVQTLLSVAREIVSCFSNRCIFFQRKNSRSLIRRVEAFQLLLEYLRDSDSGSSCLPPTAVLCLKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPVKDVLLSKDIREQVQLLQNQSRRAKLFIDMKDDALRIRFFSFLEEFENGRIPDSAELRSFYVDKLQIADADSCRTEIEALEEQIVNHEGDIEPTISVLNGLVAMTRYCRFLLFGFEEDELGLENGSRKKPKKRLITREIAETFYTVPKDFSCPISLDLMRDPVIISTGQTYDRSSISRWMEEGHTTCPKTGQMLAHTRLVPNRALRNLIVQWCTAHGVPLDPSEVMDAMGEGFTTACPTKAALEANRATAKLLIQQLAGGSQAGKTVAAREIRLLAKTGKENRAFIAEAGAIPYLRNLLSSPNAVAQENSVTALLNLSIFDKNKSRIMDEEGCLGSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKIIADEMGAVEALAGLLQEGTPRGKKDAVTALFNLSTHPENCARMIEAGAVTALVGALGNEGVAEEAAGALVLIVRQPIGAKAVVNEEAAVAGLIGMMRCGTPRGKENAVAALLELCRSGGSAATERVVKAPALAGLLQTLLFTGTKRARRKAASLARVFQRCEHASLPYGGLGVGYAFAGNSTAARDTSFAGDVSVPMSISVL >Vigun11g126000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33326979:33329290:1 gene:Vigun11g126000.v1.2 transcript:Vigun11g126000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIKWSWSSAIIGAASAVAATAIISAKPKDPTFHLISINLTSFKLNLPLLDAELLLTVHVTNPNIAPIHYSSTSMSIFYEGSLLGSAQVQAGSQPPRSCQLLRLPARLHALQLAHHAKRFLQDVARREMVLDATVDISGIAKVVWWDHNFKVHVDSHVTVDPVFLDVIDQENTSELEVFTTAAA >Vigun11g126000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33326979:33328871:1 gene:Vigun11g126000.v1.2 transcript:Vigun11g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIKWSWSSAIIGAASAVAATAIISAKPKDPTFHLISINLTSFKLNLPLLDAELLLTVHVTNPNIAPIHYSSTSMSIFYEGSLLGSAQVQAGSQPPRSCQLLRLPARLHALQLAHHAKRFLQDVARREMVLDATVDISGIAKVVWWDHNFKVHVDSHVTVDPVFLDVIDQENTSELEVFTTAAA >Vigun11g126000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33326928:33329290:1 gene:Vigun11g126000.v1.2 transcript:Vigun11g126000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIKWSWSSAIIGAASAVAATAIISAKPKDPTFHLISINLTSFKLNLPLLDAELLLTVHVTNPNIAPIHYSSTSMSIFYEGSLLGSAQVQAGSQPPRSCQLLRLPARLHALQLAHHAKRFLQDVARREMVLDATVDISGIAKVVWWDHNFKVHVDSHVTVDPVFLDVIDQENTSELEVFTTAAA >Vigun02g122700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27474671:27476429:-1 gene:Vigun02g122700.v1.2 transcript:Vigun02g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVAFQPSLPAVNFSGKALTSPSSKVRSRPIVAFATATATATEEARSSWTEKPRPSYLNSSCSSHYDILGIPAGASSQEIKAAYRRLARVCHPDVATIDRKNSSADEFMKIHAAYSTLSDPDKRANYDRSLFRRQRPLSTAAVFSGYTRRNWETDQCW >Vigun11g224300.1.v1.2 pep primary_assembly:ASM411807v1:11:41508805:41511109:1 gene:Vigun11g224300.v1.2 transcript:Vigun11g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPSLMNALQYDAYGGGPAGLKHVQVGVPTPKTNEVLLKLEAVSINPIDWKIQKGLLRALFLPRTFPHIPCTDVAGEIVEIGQQVKDFKVGDKVLAKLTHQYGGGLAEFAVASESLTASRPIEVSAAEAAALPIAALTARDALIQIAEVKLDGTGQLKNILVTAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKGLGADEVLDYRTPEGAALKSPSGRKYDAVIHCTTGIPWSTFEPNLTEKGVVVDLTPNASSLWTAALKKVTFSKKRLVPFVVTVQREGLEHLVQLLKDGKLKSVIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >Vigun05g117000.1.v1.2 pep primary_assembly:ASM411807v1:5:12512004:12513936:1 gene:Vigun05g117000.v1.2 transcript:Vigun05g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITPLNTMLPNVAPKLPACVAVSNTRVSFAAAPVLPCTSIQRNKSRSSRVVVSAVGDVSADSTVYLVAGAVAVALVGTAFPIFFSRKDTCPECDGAGFVRKADVRLRANAARKDQTQIVCARCNGLGKLNQIDK >Vigun03g126400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12008616:12009046:-1 gene:Vigun03g126400.v1.2 transcript:Vigun03g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKKWMIFAFVIAISFSLVMEGALAARHLMQGLTLPNYCFIPTMFGGFDYVICAPPPPLGSATRAP >Vigun09g268100.1.v1.2 pep primary_assembly:ASM411807v1:9:43098734:43099557:1 gene:Vigun09g268100.v1.2 transcript:Vigun09g268100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIDNLIMNMGSLGTSFPQKRGLSRYYSGKSRSFVCMEDVHSVEDLKKPEHSDSKKRKKRSHRKELLNLAPSPCRRAPSCTQLSTPYVNV >Vigun02g111400.1.v1.2 pep primary_assembly:ASM411807v1:2:26520361:26524350:-1 gene:Vigun02g111400.v1.2 transcript:Vigun02g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSLIASFVYLMAFFGITFILHPFLNSRTSPDDDLDLHITKPPAPPLSPPLPLPHDDRDLVLAVVSGSVPSYSLESRLGDCRRAAEIRREAVESLTGRSLRGLPVEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTIPMATTEGCLVASTNRGCKAIHLSGGASSMLLRDAMTRAPVVRFASAKRASQLKFFLEDPLNFESLAVVFNKSSRFARLQDIKATMAGKNLYIRFSCTTGDAMGMNMVSKGVQNVLNYLQSDFPDMDVLGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVEALVELNMLKNLTGSAMAGALGGFNAHASNIVSALYLATGQDPAQNVESSHCITMMEAVNDAKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRLLAAIVAGSVLAGELSLMSAISAGHLVNSHMKYNRSCKDISKVVS >Vigun03g096200.1.v1.2 pep primary_assembly:ASM411807v1:3:8127447:8130492:-1 gene:Vigun03g096200.v1.2 transcript:Vigun03g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVMRTAAKIAGIGVSRPGLRRFPVALPTEQSVGNASPPSLVAGVSSKGAKTAEVVPVHTAASWDEWDFADDGDLSLPRMVFGSAPTFEEAKEATAELKDAIDQVYFSPESSYYSSPGCEVSALSPTLYEPVNRSCVISNPSGPKHVIQAFHLLSTSPEAQAVVASLACDPNVLNAVMKNPAVSSFLQSQLTEETEEVEKLSSCASEAVETPEKMEASSKSHSRNIFSTISGGLQNVKLRVIELVSRCRVPGFLQNIFSSPDTEKEKMYAGAEADGNTKTSFFDSKYAQGGILVGLVLLVLMAIGAKRG >Vigun10g171600.1.v1.2 pep primary_assembly:ASM411807v1:10:39030260:39031578:-1 gene:Vigun10g171600.v1.2 transcript:Vigun10g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDPKPNDSISEKEVKSPNIFERAKEEFEAVFHHDKSPHHHKETHGRSDDIDEKTPSDEVKGPNVFERVKEEIEAVAEAIHPKKESESGTPKRDD >Vigun04g061600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6426536:6429956:1 gene:Vigun04g061600.v1.2 transcript:Vigun04g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFTFTFILSLFFFLLLQISTHLQAYNPEEVFTINCGTTGNSSDGQRTWTGDADTKYLSSQHATVSDKATTQSPSINQIPFSTARLSRSQFNYSFPVSAGTKFVRLFFYPADYPSFPRTQSSFTVKSNQFTLLNDFNASLNADAQATDTIFKEYVVNVNDGERLILTFTPSHPNSYAFINGIEVLSMPTDLYYTVNDTGFKFINSSTLYSVGTSFALQTEYRIKAGGQEIPPQNDTSLFRDWADEQGYFVKYNPKNNDLPGDMDGKMNITVNPDYLAPKELFRTARSMGTNATLNKMSNLTWEFPVDAGFTYVLRLHFCELDPNINDIGDRQFYIYIARQLAETRADVMKWSQKQKGLAVHRNYAVLIPGNGGQKKFNLSLQMHPYEKGVDTKYSDAILNGLEIFKISQADSNNLAGPNPDPVQTPQIPGQNRKTSSGSSTTIIGAIAGVVSGIVLILIIVFLVVFFRHKRTTKPKDYNKSKSSATSKWGPLSFTTTKSTTTNSSLPSDLCRHFSLVEIKAATNNFDNVFIVGAGGFGHVYKGYIDGGSTPVAIKRLKPGSQQGAHEFMNEIEMLSQLRHLHLVSLIGYCNEMNEMILIYDFMARGTLRDHLYNTDNPAIPWKERLKICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDDKWVAKVSDFGLSRIGPTGMSKAHVSTVVKGSIGYLDPEYYKRQQLTEKSDVYSFGVVLFELLCARPPLIRTAEKKQVSLADWARYCYQNGTIAQIVDPTLKGRIAPECLRKFCEIGVSCLLDDGTQRPSMNDVVWMLEFALQLQESAEQRDNDNVVGGEPGNERREEGNEDLFSSGTSVGQVSDFNKSSGTSVVSVSTSSEELSSSYKESDRLMSGTVFSEIVDPKPR >Vigun03g443500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64573261:64574699:1 gene:Vigun03g443500.v1.2 transcript:Vigun03g443500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNGRSRNSPCASPEFEFWMVRNPSFPQPNILSADQLFVNGVLLPLHLLNKPHPSQTPPDPEPKPEPEPSPAITDSTSAATPSKRWKDIFKKKNAESSNDAKKKEKKNGVASSAELNINIWPFSRSRSAGNAGTRPKLFAPAARKANSAPCSRSNSAGESKSRKWPSSPGRAGVHLGRSSPVWQVRRGKNPEPPPLHHENKPKSRRSKLSGGGWNNPKTKVLNLNVPMCIGYRHHLTCRSDENSAIGVRGASDNIPGSDSNSGNSNPAHANVGGKLFNLRSLFTKKSVVASH >Vigun06g103100.1.v1.2 pep primary_assembly:ASM411807v1:6:23292513:23293703:-1 gene:Vigun06g103100.v1.2 transcript:Vigun06g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKLSLFLLPLLFAFIAFSNSVLSDDKEESVFKGINSYRQSKKLAPLVEVSKAECLAGKVAEEIEKTPCENVNQFYPSTVSGGSNIPNLRKHIEKCDINITTTTDGVILPVCVPKLEPTIVLSNYTHSDRCAQFLNNSKYSGAGLGSEDDWMVLVLTTNTATGTFSASSATSLLHSNVASVGLFLFALLLLLTNFFH >Vigun08g202100.1.v1.2 pep primary_assembly:ASM411807v1:8:36619791:36620957:1 gene:Vigun08g202100.v1.2 transcript:Vigun08g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAHFNTILHATSRSSLLKSTTTNPFFNNTIKNYGQAINGNRSRLMEERAPSTAEEFQRVAEEKARETKEGPGTQNVEKLCDGAQIGDSKVGSVKN >Vigun10g003800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:336312:338924:-1 gene:Vigun10g003800.v1.2 transcript:Vigun10g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPPSFRSAIGNPNIRNPSSLFRPQPPSPPSKPLPSTKKKSPKPIPQPNPIFKSPNLEDAKKIFNSIANSSKDPRFPNSLLHSYAKVATSTSDSVKFLHHITKTLPSFSPDRSTFHILLSHSLPNPNSNPNSDSDSALSAIHQTLNAMHAAGVTPDVATADVAVRALCSAGHLDHAVHLIKEFASKHCLPDTYTFNFVVKHLCKARALSTVYAFIDEMREKFGVKPDLVTYTILIDNVCNGKNLREAMRLVSVLHEEGFKPDCFVYNTIMKGYCVLSRGSEAIEVYNKMKEEGVEPDLVTYNTLIFGLSKSGRVVEAKKLLRVMTEKGYLPDEVTYTTLMNGMCRKGEALAALALLGEMKGKGCSPTECTYNTLLHGLCKARLLEKATEFYGVIKEGGLKLDNASYGTFVRALCRDGRVAEAYEVFDYAVESKSLTDVAAYSTLESTLKWLKKAKEQGLAV >Vigun03g160300.3.v1.2 pep primary_assembly:ASM411807v1:3:17705159:17705459:-1 gene:Vigun03g160300.v1.2 transcript:Vigun03g160300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFYQFSRRIVVRWCYAYLKFGNPSACSVQSFNYWQAVDLCSWIVEAAGKVFEQLLL >Vigun10g180600.1.v1.2 pep primary_assembly:ASM411807v1:10:39850750:39852024:1 gene:Vigun10g180600.v1.2 transcript:Vigun10g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVTTIDSCISEWETKMNSNMASLLSVLCVVLAVMNGAAKIAAAREFKVGGDSGWHEPARNDTAFYNQWASTNRFQVGDSLVFEYQNDSVLNVERWEYFHCDSNNPISAFDDGNTTVILDSPGVFYFISGTEDQCQKGERLMVEVMSPRSVLKSPPSNASVPAQAFSPLPLSHHSHGSSVSGSMLSFCFCFLFLLTVFN >Vigun04g116000.1.v1.2 pep primary_assembly:ASM411807v1:4:29031905:29035559:1 gene:Vigun04g116000.v1.2 transcript:Vigun04g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQMSLLTHPYVQPRFPCKRYPTFSASCRTQKAATTKTEKVLFTESFDQTRCAQPLSGKRKRVFFLDVNPLCYEGSKPSLHSFGRWLSLFLSQVSLTDPVIAVIDGEGGSEHRRKLLPSYKAHRKKFTRHMSSGHVGRSHQVINDVLGKCNVPVVKVDGHEADDVVATLAGKVVNKGFRVVIGSPDKDFKQLISEDVQIVMPLPELQRWSFYTLRHYRDQYNCDPESDLSFRCIVGDEVDGVPGIQHLVPSFGRKTALKLIKKHGSLEALLNAAAIRTVGRPYAQDALKNHADYLRRNYEVLALKRDVNIQLYDEWLVKRDNQNDKTALSSFFKYLEESKELTYNGRHIIYNG >Vigun01g026600.1.v1.2 pep primary_assembly:ASM411807v1:1:3130750:3135314:-1 gene:Vigun01g026600.v1.2 transcript:Vigun01g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDKQKREEEKEPYRMEEEEKDEDSEEEEEAWDDWEGERESEFTCLFCDSQYSSCSSLFDHCASLHHFDFHAIRASLNLDFYASFKLINFVRSQVAENKCWSCGLTCQGKRDLQNHLHDLVDFNEIKALWNDDRYLIPFMQDDSLLYSFGECDEEGEDEQITPIDEDLIKDLMNIDERISDDHDASVSINHLNKDSSSKREIVNGEDSMGHALCSDKDPKKGPLIANSQNHIAKHIKKVNESYFGSYSSFGIHREMLSDKVRMDAYGQAILKNPSLLKGAVVMDVGCGTGILSLFSAKAGASRVIAVEASAKMAAVASQVAKDNGLWWNKSQSGIDGLQKGVIEVVHGMVEEIDKTVELQPHSVDVLLSEWMGYCLLYESMLGSVLYARDRWLKPGGAILPDTATIFVAGFGKGATSLPFWDNVCGFDMSCIGKELVVDAAQIPIVDVLDSQDLVTSSAILQSFDLATMKPNEVDFTATTTLELKPSASATWCWCYGVVLWFETGFTRRFCRETPSVLSTSPYTPRTHWSQTILTFREPIAMGFGKEKRGRLEAIGTEVYPALNIDLRISIVRSTEHRSIDISLEAAGVSPDGQKRSWPAQLFNLQ >Vigun01g077066.1.v1.2 pep primary_assembly:ASM411807v1:1:21714912:21715222:-1 gene:Vigun01g077066.v1.2 transcript:Vigun01g077066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFELILTETLQKSTRVYVSSRIYLAKVSKYMFLEGFILQKFQSTFISRIYENGSFLTGLLNNRSFPRN >Vigun01g077066.2.v1.2 pep primary_assembly:ASM411807v1:1:21714912:21715222:-1 gene:Vigun01g077066.v1.2 transcript:Vigun01g077066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFELILTETLQKSTRVYVSSRIYLAKVSKYMFLEGFILQKFQSTFISRIYENGFLTGLLNNRSFPRN >Vigun02g014700.1.v1.2 pep primary_assembly:ASM411807v1:2:5417455:5418265:-1 gene:Vigun02g014700.v1.2 transcript:Vigun02g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVVVVLFVSVLCFSSASARKISEKFYVEGKIYCDPCHFEFESRLSFPLSGVNVTLECINGKNNTMTYMKNSTTDANGLYRIPVRGDHQEDICVVVADSPNEGECKEVMPNKSDRIILTNNMGAASRARFVNPLGFMTQTIDSQCNIVVHELGLDNLDD >Vigun02g016700.7.v1.2 pep primary_assembly:ASM411807v1:2:6045389:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.5.v1.2 pep primary_assembly:ASM411807v1:2:6042939:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKMQSHHPVALRLYDQMGSKRKYTFIQAHRAWLPKFLLLWILLMALISWCIFSKMDDDTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVKSERERFEKEHGWVIKTMEEKSSLVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQKPTVEERIEATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.6.v1.2 pep primary_assembly:ASM411807v1:2:6044134:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.2.v1.2 pep primary_assembly:ASM411807v1:2:6042933:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKMQSHHPVALRLYDQMGSKRKYTFIQAHRAWLPKFLLLWILLMALISWCIFSKMDDDTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVKSERERFEKEHGWVIKTMEEKSSLVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQKPTVEERIEATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.1.v1.2 pep primary_assembly:ASM411807v1:2:6042119:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKMQSHHPVALRLYDQMGSKRKYTFIQAHRAWLPKFLLLWILLMALISWCIFSKMDDDTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVKSERERFEKEHGWVIKTMEEKSSLVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQKPTVEERIEATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.3.v1.2 pep primary_assembly:ASM411807v1:2:6042218:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKMQSHHPVALRLYDQMGSKRKYTFIQAHRAWLPKFLLLWILLMALISWCIFSKMDDDTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVKSERERFEKEHGWVIKTMEEKSSLVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQKPTVEERIEATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun02g016700.4.v1.2 pep primary_assembly:ASM411807v1:2:6042565:6049836:1 gene:Vigun02g016700.v1.2 transcript:Vigun02g016700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKMQSHHPVALRLYDQMGSKRKYTFIQAHRAWLPKFLLLWILLMALISWCIFSKMDDDTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRYPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVKSERERFEKEHGWVIKTMEEKSSLVRDEYAPVIFAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQKPTVEERIEATAGYVGGSFDVESLVENLLGQLAGNQAILVNVYDITNYTNPLIMYGKPYEEGDMSLAHESKLDFGDPYRKHQMICRYHQKAPTNWIAVTTAFLFFVILILVGYILYGAGNHIVKVEDDFHEMQELKVRAESAHVAKSQFLATVSHEIRTPMNGILGMLALLLDTELSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIITNLVGNSVKFTEHGHIFVKVHLGDNRKCIMNGKHETFLNGESDEVFLISDDYHFKTLSGREAADERNSWDNFKHLIADEKCCLDSSREMVATCETSEQVTLRVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISRPQIGSTFSFTAVCGTFKKSLVTDMKKNLEDLPSSFRGLKVIVVDGKPVRAAVTRYHLKRLGILVKVENSISKAVALCGKNGSLNSGMFLPDIIMVEKDTWISGEDGIFNMWKQNGHMFKMPKMILLATNISNTEFDKAKAMGFTDTVIMKPLRASMVAACLQQVLGMGKKRQLGKDMPNGSAFLHSLLYGKKILVVDDNGVNRRVAAGALKKFGADVKCAESGKAALEMLQLPHNFDACFMDIQMPEMDGFQATSQIRMMESKANEEMNNGSEWHVPILAMTADVIHATYDECLKRGMDGYVSKPFEEENLYQEVAKFFKTKTISDS >Vigun01g003300.2.v1.2 pep primary_assembly:ASM411807v1:1:364378:366428:-1 gene:Vigun01g003300.v1.2 transcript:Vigun01g003300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEWPHTIAFLSSTSYPYFLFFLLSIAVLKLTRRSKNKASFNLPPSPTKLPIIGNLHQIGTLPYRSFSSLSQKHGSLMWLQLGQTQTLVISSADLVREIMQTQDLAFSNRPKIAAARVHSFGLIREQEVADLVKKIGEASLRDASSVNLSELLLEASDNIICKCALGQKYSNNRVKELARRLMIQLAVHTVGDLFPLLSWVDFLTGKIQEFKAIFEAFDTLLDELIEEHKKVQSEADHCSTEKGFVDILIQHQKNAMPDSELTNNDIKSILLDMFVAGSDTTASTLEWAMAELMRNPMKLKKAQEEVRKVVGNKSKVEENDINEMEYLKCVVKETEVTSSCSTLGSKRDIMCIKTQGI >Vigun01g003300.1.v1.2 pep primary_assembly:ASM411807v1:1:364378:366428:-1 gene:Vigun01g003300.v1.2 transcript:Vigun01g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEWPHTIAFLSSTSYPYFLFFLLSIAVLKLTRRSKNKASFNLPPSPTKLPIIGNLHQIGTLPYRSFSSLSQKHGSLMWLQLGQTQTLVISSADLVREIMQTQDLAFSNRPKIAAARYILYGGNDIGFSSYGESWKLKRKICSLELLSAKRVHSFGLIREQEVADLVKKIGEASLRDASSVNLSELLLEASDNIICKCALGQKYSNNRVKELARRLMIQLAVHTVGDLFPLLSWVDFLTGKIQEFKAIFEAFDTLLDELIEEHKKVQSEADHCSTEKGFVDILIQHQKNAMPDSELTNNDIKSILLDMFVAGSDTTASTLEWAMAELMRNPMKLKKAQEEVRKVVGNKSKVEENDINEMEYLKCVVKETEVTSSCSTLGSKRDIMCIKTQGI >VigunL040726.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:374702:375820:-1 gene:VigunL040726.v1.2 transcript:VigunL040726.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTGCQERRGAPFDILRRVALWRAQYDESCELCSGGSYCLSLASMFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLCVDPEIPDIGQPFELLLNPRAGKRQPGELKHLSSQRKRKQKRFP >Vigun06g165300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28720087:28721685:1 gene:Vigun06g165300.v1.2 transcript:Vigun06g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFAIHFFLISISLFSVCSLSASHAPNTKPRPFLLPIKKDPATNLFYTSVGVGTPRHNFDLTIDLAGENLWYDCNTHYNSSSYRPVPCGSKQCPDVGCVGCNGPFKPGCTNNTCPANTINPLAKFIFGGGLAEDFIYLVQQKVSGLLSSCIDTDGFPSFTNDESPLNGLPKNSRGIIGLSRSNLTLPIQLASAYKLPSKFSLCLPSLNHQGFTNLLVGAGEYPLGISKFLQTTPLIVNPISTGPVSVEGVASKEYFIDVKAVKIDGHVVNLKPSLLSIDNKGNGGTKISTMSPFTELQTTVYKPFIRDFLKKASDRRLKKVAAVAPFEACFDSTSIGNSATGLVVPTIDLVLQGGVQWSIHGANSMVMAKKNVACLAFVDGGTEPRMSFVKASIVIGGYQLQDNYVVFDLASSKLSFSSSLLLHNATCSHS >VigunL054500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000391.1:16875:17857:1 gene:VigunL054500.v1.2 transcript:VigunL054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTWRLCLCHIENTMNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun05g110100.1.v1.2 pep primary_assembly:ASM411807v1:5:11389187:11392013:-1 gene:Vigun05g110100.v1.2 transcript:Vigun05g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMLFLPWTFALLSSSFASTSPSVVERIFRVQNLRIKRLCNERVIVAVNGQFPGPNINVREGDTVIVHLLNEGPYNITIHWHGVFQLFSAWADGPEYVTQCTISPGNKYTYKFNVTQQEGTLWWHAHASVLRATVHGAFIIQPRSGLFPFSKPYKQVPIILGDWYDANVVDVETQALASGGAPNVSNAFTINGLPGDLFNCSRSQTFKVKVKQGKTYMLRIINAALNNHLFFKIANHTFTVVAVDAAYTDHYITDIIVIAPGQTVDALFTTNQQPLGSYYMAASPYSLGVPIFDNTTTRGIVVYDHATPSSHPLMPILPPFNDTATAYKFFSNITGKVGAPHWVPVPSKVDEHMFITVGMNLEPCDPKNATNATCESPLGQRFSSSMNNESFVIPKGRGFSMLEAFFKNVSGVYTADFPDNPPVMFDFTNPNISFNTKLLFAPKSTKAKKLKFNSTVEVVFQNTAFIDVQSHPIHIHGFSFHVLAQGFGNFNSTTDSPKFNLVNPQLRNTIAVPVGGWAVIRFQANNPGVWFVHCHVEDHVPWGLDMAFEVENGPTSSTSLPQPPTDLPKC >Vigun01g071400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19355275:19356081:-1 gene:Vigun01g071400.v1.2 transcript:Vigun01g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYRKGTRGSFKGKLVPFYRNNAPKPSSTMQYKATDIKPNHYSSPPPTLGFVVPQDYATTKRDPKVRIKVADSWSNLEELYGFPGDESVDKKAEIYIAMVQKRLMLERLNA >Vigun02g103700.1.v1.2 pep primary_assembly:ASM411807v1:2:25810648:25833604:1 gene:Vigun02g103700.v1.2 transcript:Vigun02g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDSASPSQDGRDEDDEEEYEESGKGNRFLGFMFGNVDNSGDLDVDYLDEDAKEHLSALADKLGPSLTDIDLSGKSPQTPPDVVEQDCHEKAEDAVDYEDIDEEYDGPETEAANEEDYLLPKKEFFSAEASVCMESKASVFDDENYDEESEKEQDFLNEDSKPDNISVPEEQEEAVVDASKEESALERELHVDLLQTEELDADVQKPEEEGPEVQKRSMAMPLPVLYVEDGVAILRFSEIFGIHEPLRKGEKREHRQPNPRDRYKSLDFTDDFVEEDEEEFLKGSSQSLSHAKQVSVVHNDVSESNDVDLEFPKFGFLHAEPSVARKDDHQSKDSCHSAEPMKGDFEEDLSWKDHPFIWTNFYPLDQQDWEDEIIWGNSPVPSNNNIESCEVSGPELGVSGGSEIEIESGIQNIQLEPHKILEDKDHDVLLSSPVSLEAFGSRDSSEAKTNLISRSLFHPQLLRLESKSEVDSASLADGKEEELSKHNQSGQITRFNKAISQNRDMMEGSWLDEIIWEELDQPVVKPKLIFDLQDDQMHFEVLDSKDGAHLRLHAGAIILTRSSKSSSGDSSELPGHGSQYGWRYVSNDKHYSNRKTSQQLKSNSKKRSAHGVKVFHSQPALKLQTMKLKLSNKDIANFHRPKALWYPHDSEVAVKEQGKLPIHGPMKIIIKSLGGKGSKLHVDTEETLSTVKARASKKLDFKASETVKIFCLGRELEDQKSLAEQNVQPNSLLHLVRSKIHLWPKAQRVPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYYQKCSPDDQSGSLLRNTDSSLGHVISLDPADKSPFLGDLKPGCCQSSLETNMYRAPVFPHKVPLTDYLLVRSPKGKLSLRRIDKINVVGQQEPLMEVFSPGSKNLQTYMMNRLLVHMCREFQAAEKRHLPPHIRVDEFLSQFPYQSEASFRKKIKEYANLQRGANGQSILVKKRNFRMWSEDELRKMVPPELVCAYESMQAGLYRLKHLGITETHPTNISSAMSRLPDEAIALAAASHIERELQITPWNLSSNFVACTSQGKENIERMEITGVGDPSGRGMGFSYARAPPKAPVSSAMVKKKAAANRGGSTVTGTDADLRRLSMEAAREVLVKFNVPEEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAVNADENESDSEGNSDLDSFAGDLENLLDAEEFEEGEEGTNDLKRDKGDGVKGLKMRRRSTLAQAEEEIEDEAAEAAELRRLLMDDDEADRKKKKKTKVTGEETRSVSKMQSKFGFDNAEQVKQITNSLQLDGNIPLKEDAITDLREEENFGAKKSKSLKVNKAKKNDIAPISLPNKKIKLNMGEGIKNQVFKEKKPSRETFVCGACGQAGHMRTNKNCPKYGEDLETQLESADVEKSSGKTISVDPSSHSQPKAPSKKSSSKSNTKITPVDNSAKFH >Vigun02g094600.1.v1.2 pep primary_assembly:ASM411807v1:2:25011440:25016926:-1 gene:Vigun02g094600.v1.2 transcript:Vigun02g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVMSSMGGNVVVNSDTLFSSSIQNSNFNFIPTMPFQPFPSMQKEEDVMLRGKEEMESGSGSEQVEDKSGNEQESEQPTKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSHELGLKPRQVKFWFQNRRTQMKAQQDRADNIILRAENETLKSENYRLQAALRNVMCPNCGGQCIMGADLGLDEHQLRMENARLREELERVCCLTSRYTGRSLQTMTAGPTLMAPSLDLDMNIYPRHYSDPVAPCTEMIPVPMLPPEASPFAEGGGILMEEEKSLALELAASSMAELVKMCQTNEPLWIRSSDGEREVLNFEEHARIFPWPQNLKQRSELRTEASRDTSVVIMNSVTLVDAFLDGQKWMELFPTIVSRAKTVQIISSGASGHASGTLQLMYAEFQVLSPLVSTRETHFLRYCQQNAEEGTWAIVDFPVDNFHQNFHPSYPKYCRRSSGCVIQDMPNGYSRVTWVEHAKIEEKPVHQIFSNYVYSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMKLAQRMIKTFGLNMSTSGGQSWTAISDSPEDTVRITTRKVTEPGQPNGVILSAVSTTWLPYSHTKVFDLLRDERHRSQMDALSNGNSLNEVAHIANGSHPGNCISLLRINVASNSSQNVELMLQESCTDQSGSLVVYTTIDVDSIQLAMSGEDPSCIALLPQGFMIVPMLSSPNVDTPINANSSEPPSLNPGCLLTMGLQVLASTIPSAKLNLSSVTAINNHLCNTLHQIEAALSSSSENGTNFLCTEPTSSAPPKQ >Vigun04g113100.1.v1.2 pep primary_assembly:ASM411807v1:4:28405616:28413672:-1 gene:Vigun04g113100.v1.2 transcript:Vigun04g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTESFDIPRHRGGDIELGEYARNSGELGLESFFRKVQELDKHYAKLDKLLRNLQDAREEAKVVTKASSMKAIKEKMEKDVDEVKKTAYFIKSRIEELDKENLTNRQKTGCGKGSGVDRSRTATTISLKKKLKDKMAEFQTLREVIHQEYREVVERRVFTVTGARADEETIDRLIETGDSEQIFQKAIQEQGRGQIMDTLDEIQERHEAVRDVEKKLLDLQQIFLDIAVLVDAQGEMLDNIETQVSSAVDHVQQGNTALVKAKKLQKNSRKWMCVAILILLIFIIIIVVSVIKPWVHKNGA >Vigun06g088900.1.v1.2 pep primary_assembly:ASM411807v1:6:22111134:22115467:-1 gene:Vigun06g088900.v1.2 transcript:Vigun06g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGHRNMLRDDHAPPVAAQSCSMKKQKILFVKYCLYLSVELNHETCLLETTGYAAARQRHVQGEVTTNSFNSSQW >Vigun01g245200.3.v1.2 pep primary_assembly:ASM411807v1:1:41375169:41381409:1 gene:Vigun01g245200.v1.2 transcript:Vigun01g245200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRFSPGREPRADGHKRGRSLESGLLLREKDDDLTLFNEMQSKEKESFLLQLTDDLEDSFSTKLKHISDVNLGISIHGRGESSELLNDGDKNDYDWLLTPPDTPLFPSLDDETSLTSFGSRGRPQSKPISISRSSTMDKSHRSSRGSASPNRLSPSPRSGANTLQSRGRPSSVPNSSPSPNVRYATPTRRPSPPPSKPMTPASKHSTYTPRRISTGSSGSVVSSGVRGTSPVKTNRGNSASPKIRAWQTNIPGFSSEVPPNLRTSLADRPASYVRGSSPASRNGRDSASKFNRQSMSPTASRSSSSFQSHDRDQLSTRSKGSIASSGDDDLDSLQSITVGSVDRLSSRRGASFSTNKNPTISKKSARIMSPSSAPKRSFDSVIRQMDRKTPQNMFRPLLSSVPSTTFYAGKANSAHRSLVSRNSSVTTSSNASSDQGTTFALDTEGSEHNQDDMTSEADKILYPDMHEVFVFDKVDALNAKIEQEIKRESVHVMQNETTDPKTVLVPIEFADSISHIHIDTRVNESSEISRVRGGISDTDSFENSARCSYCGCRYEVTNNPEKNIGLCPECSRKTSLLRVFFPETTLAVSEDTPLIAANIPKEEKTLSEANQLELPEETNVVNLRFPYGEQDAEESQTSCSELKQDHSQNNSLPNSLGEGGRQTSGNQLEINQSGVDYNKPNNGFGDKHHSSDRPNLNVGPTEGTGISLLLKRTSNNKGPVVQSRSFTATTISYDDLSLARDSVSSFRSTRPGSYSASSSIDLGSTRQTDFRVQRQLSGRKLDVDGGYDLRNKPPSTASSFSGTSNHSRHELGLATQETGSTEYGFVEEVSQVFQEMPALANTMSEIIDASSIDLAVEEDEVECDDSTRLNNGCSSELLSQATAVQSDDNLVTSIPNHGDCVPHENVDDCPNNAKDVSDTETSAKTPELSSQEKHDVQNSDVNELEALVTTNCPPITEAEIEGENCCENMVDMVNGDLSKRADDFREPSAQNPSSDSCPTSVSEVNVSESHGFEGSTVTVECQGAGNTRSLTLEEATDTILFCSSIVHDLAYQAATLAMEKECSNPFEGSEPTVTFLGKFNSDRNSRSRAVSKRTSRSQKTKIKQRRVETDVKTHSGKAENDENIGESFTHNVGLPNKVDSMKPPKLESKCNCIIM >Vigun01g245200.2.v1.2 pep primary_assembly:ASM411807v1:1:41374498:41381549:1 gene:Vigun01g245200.v1.2 transcript:Vigun01g245200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRFSPGREPRADGHKRGRSLESGLLLREKDDDLTLFNEMQSKEKESFLLQLTDDLEDSFSTKLKHISDVNLGISIHGRGESSELLNDGDKNDYDWLLTPPDTPLFPSLDDETSLTSFGSRGRPQSKPISISRSSTMDKSHRSSRGSASPNRLSPSPRSGANTLQSRGRPSSVPNSSPSPNVRYATPTRRPSPPPSKPMTPASKHSTYTPRRISTGSSGSVVSSGVRGTSPVKTNRGNSASPKIRAWQTNIPGFSSEVPPNLRTSLADRPASYVRGSSPASRNGRDSASKFNRQSMSPTASRSSSSFQSHDRDQLSTRSKGSIASSGDDDLDSLQSITVGSVDRLSSRRGASFSTNKNPTISKKSARIMSPSSAPKRSFDSVIRQMDRKTPQNMFRPLLSSVPSTTFYAGKANSAHRSLVSRNSSVTTSSNASSDQGTTFALDTEGSEHNQDDMTSEADKILYPDMHEVFVFDKVDALNAKIEQEIKRESVHVMQNETTDPKTVLVPIEFADSISHIHIDTRVNESSEISRVRGGISDTDSFENSARCSYCGCRYEVTNNPEKNIGLCPECSRKTSLLRVFFPETTLAVSEDTPLIAANIPKEEKTLSEANQLELPEETNVVNLRFPYGEQDAEESQTSCSELKQDHSQNNSLPNSLGEGGRQTSGNQLEINQSGVDYNKPNNGFGDKHHSSDRPNLNVGPTEGTGISLLLKRTSNNKGPVVQSRSFTATTISYDDLSLARDSVSSFRSTRPGSYSASSSIDLGSTRQTDFRVQRQLSGRKLDVDGGYDLRNKPPSTASSFSGTSNHSRHELGLATQETGSTEYGFVEEVSQVFQEMPALANTMSEIIDASSIDLAVEEDEVECDDSTRLNNGCSSELLSQATAVQSDDNLVTSIPNHGDCVPHENVDDCPNNAKDVSDTETSAKTPELSSQEKHDVQNSDVNELEALVTTNCPPITEAEIEGENCCENMVDMVNGDLSKRADDFREPSAQNPSSDSCPTSVSEVNVSESHGFEGSTVTVECQGAGNTRSLTLEEATDTILFCSSIVHDLAYQAATLAMEKECSNPFEGSEPTVTFLGKFNSDRNSRSRAVSKRTSRSQKTKIKQRRVETDVKTHSGKAENDENIGESFTHNVGLPNKVDSMKPPKLESKCNCIIM >Vigun01g245200.1.v1.2 pep primary_assembly:ASM411807v1:1:41374455:41381549:1 gene:Vigun01g245200.v1.2 transcript:Vigun01g245200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRFSPGREPRADGHKRGRSLESGLLLREKDDDLTLFNEMQSKEKESFLLQLTDDLEDSFSTKLKHISDVNLGISIHGRGESSELLNDGDKNDYDWLLTPPDTPLFPSLDDETSLTSFGSRGRPQSKPISISRSSTMDKSHRSSRGSASPNRLSPSPRSGANTLQSRGRPSSVPNSSPSPNVRYATPTRRPSPPPSKPMTPASKHSTYTPRRISTGSSGSVVSSGVRGTSPVKTNRGNSASPKIRAWQTNIPGFSSEVPPNLRTSLADRPASYVRGSSPASRNGRDSASKFNRQSMSPTASRSSSSFQSHDRDQLSTRSKGSIASSGDDDLDSLQSITVGSVDRLSSRRGASFSTNKNPTISKKSARIMSPSSAPKRSFDSVIRQMDRKTPQNMFRPLLSSVPSTTFYAGKANSAHRSLVSRNSSVTTSSNASSDQGTTFALDTEGSEHNQDDMTSEADKILYPDMHEVFVFDKVDALNAKIEQEIKRESVHVMQNETTDPKTVLVPIEFADSISHIHIDTRVNESSEISRVRGGISDTDSFENSARCSYCGCRYEVTNNPEKNIGLCPECSRKTSLLRVFFPETTLAVSEDTPLIAANIPKEEKTLSEANQLELPEETNVVNLRFPYGEQDAEESQTSCSELKQDHSQNNSLPNSLGEGGRQTSGNQLEINQSGVDYNKPNNGFGDKHHSSDRPNLNVGPTEGTGISLLLKRTSNNKGPVVQSRSFTATTISYDDLSLARDSVSSFRSTRPGSYSASSSIDLGSTRQTDFRVQRQLSGRKLDVDGGYDLRNKPPSTASSFSGTSNHSRHELGLATQETGSTEYGFVEEVSQVFQEMPALANTMSEIIDASSIDLAVEEDEVECDDSTRLNNGCSSELLSQATAVQSDDNLVTSIPNHGDCVPHENVDDCPNNAKDVSDTETSAKTPELSSQEKHDVQNSDVNELEALVTTNCPPITEAEIEGENCCENMVDMVNGDLSKRADDFREPSAQNPSSDSCPTSVSEVNVSESHGFEGSTVTVECQGAGNTRSLTLEEATDTILFCSSIVHDLAYQAATLAMEKECSNPFEGSEPTVTFLGKFNSDRNSRSRAVSKRTSRSQKTKIKQRRVETDVKTHSGKAENDENIGESFTHNVGLPNKVDSMKPPKLESKCNCIIM >Vigun05g259300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45296918:45299613:1 gene:Vigun05g259300.v1.2 transcript:Vigun05g259300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVSSTINFANDEAESCFYESDVSAVLGYIKQMLMEEDTEEKYSMFHDSLALQHTERSFYQVITHQTSSSPSSTHHHHVQSFPSFRVESPDQNVSGCSSDNSSGSYSYGSTSISSESQWSVDFNTPALPNTFTFPDTFVSHSNSSSTAQSSMNTGFGILDNTLLDSAFQEQFKRGLEQGTRFLPKHTPFVVDTDSTAFSPSFTMVPTVVIKTEAEEGVEEEHFLSVSRRRNRDQEEYEADGRIRKTSATYTDESELSELLDKVVLGTGLGKGVPSDTGLYQNDATLLTNMFDVRKSSEEVVDLRALLMLCAQAVASDSASFAKQLLKQIKQHSSPIGDDTQRLAHYFGNALEACLDGTGFQVYRVLSSKRISAKDMIKAYCAYASVCPFEKLTIIFANKAICFLSENAETVHIIDFGIRYGFKWPSLISILSKRPGGPPKLRITGIDQPQPGFRPEERVLETGRRLEHYCKRFNVPFEFNAIARKWDTIRVEDLKIEKNEFVALNCMFQLEHLLDESVVLDNPRDAVLRLIKKANPDIFVHSIVNGSHDVPFFVSRFREALFHYSALFNMLETNMDREDPTRLMFEKELFGPEIMNIVACEGCERVERPQTYKQWQLQNMRIGFRLLPLDQRIIDRMKNRLRQDGHDTNFMLEEDGGWVLQGWKGRIVHASSCWIPA >Vigun05g220500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41173008:41173340:1 gene:Vigun05g220500.v1.2 transcript:Vigun05g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRSEIMGENGIVVVTNAIETEIRDFKSDALRKAEILMTNFRELVKIHKFVLPIVGSQKLGVYQTDFGWGKPKNCEAAHIESSRLISLSDRRDKKEELRLEWLLKGLI >Vigun08g089900.1.v1.2 pep primary_assembly:ASM411807v1:8:20766585:20771094:-1 gene:Vigun08g089900.v1.2 transcript:Vigun08g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNGSGGFGKRFRKAKPYLAMVSLQFGYSGMYIITMVSFKHGMSHWVLSVYRHIVATLIMAPFAFFLERKIRPKMTLPVFLRLAVLGFVEPVLDQNLYNMGMKNTSTTFASATVNVLPAITFIMALIFRLETVNLRKLHSVAKVIGTAVTVTGAMVMTLYKGSALHFVKGQATHHESGSTTQPSEQNWVLGTVMLLASCGGWASFFILQSFTLKMYPAELSVTAWICFLGIFEGSIATLIFERDMSVWSIGMDSRLLACVYSGVVCSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSIVLAEQVYMGSVIGAIIIVSGLYTVVWGKSKDNVKKSEAEKSEGQELPIKDSAASGSGIFDSIEINVPSGQGRNVLASPRT >Vigun10g028600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3516457:3517332:1 gene:Vigun10g028600.v1.2 transcript:Vigun10g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGRRRTTAGIGAWANVVMGVTIRLSRARKKTKKKRNREVLIRVGDGLDHEHCRGGNTGHPEMSGGELQNLLWLAREGERRGGDTSNVVMLVTSPTMLLNSHRGGDDSSMDRR >Vigun04g139101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34527860:34531463:1 gene:Vigun04g139101.v1.2 transcript:Vigun04g139101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKILSLRVNHFFGSVPVQLCCLSQIQVLDLSRNNLSGEMPTCLRNFTTLMEKSAFVGEIVRRRKISIQRFFEYIYDSYLLLSWKGQDYEFWEPENLLKSIDLSSNRLTGEVPKEVGYLVGLVSLNLSRNNFHGEIPSEIGNLSLLEFLDLSRNNLSGSIPSTLSNIDSLGVLDLSNNNLSGRIPLGRHLQTFDASSFEGNIDLCGEQLNKSCPGDETVQETQQPAMDGEEDDSILYGGLYMSLGLGFFAGFWGLLGSMLLWQPWRIAYIRVLNRMIDYILVMAELNFAKCHRWLKG >Vigun04g098901.1.v1.2 pep primary_assembly:ASM411807v1:4:22695580:22696161:1 gene:Vigun04g098901.v1.2 transcript:Vigun04g098901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILKKDQRTFIIFLGSLGLLLVAISSYLEEVCFLRWGFPGKNRRIILKYPMNEIKSIRIITRVQERSILTRTLTYERVYSLTRIEDNLTPPEIANRAGELSFFLSVPLLY >Vigun03g160000.1.v1.2 pep primary_assembly:ASM411807v1:3:17649852:17659768:-1 gene:Vigun03g160000.v1.2 transcript:Vigun03g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGGVRGEDRFYIPVKARKNQNQRKQGQRAKNGEHENADLTLKSKLDVSENSNSNSNSNLNQSLNPTLSPSVESVSNIDRFLHSTMPLVPAQYFSKTTMRGWKFCDVEYQSYFSLSDLWESFKEWSAYGAGVPLVLDQSESVVQYYVPYLSAIQLYGQSAEKPSAKPRYTSEDSDGDYYKDSCSDGSSDNEYGKRTEFTTQSSQYLTGGVSLQMNRLSINNKRSAVQEGFSSDDSETGNPQDVLFEYLEQHPPYSREPLADKIQDLARYYPALKSLRSCDLLPDSWMSVAWYPIYRIPTGPTLKDLDGCFLTYHKLHTPLTGSGCTHAPTLVYPSEIDAAPKISIPTFAMASYKLKGSIWMKKGGGETQQVSSFLQAADKWLRLVQVNHPDFQFFKSHGTYHR >Vigun10g160300.2.v1.2 pep primary_assembly:ASM411807v1:10:37926657:37929775:-1 gene:Vigun10g160300.v1.2 transcript:Vigun10g160300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVVLICMVVSWMLIHRWSQRNKKGPKTWPFFGAAIEQLMNYDRMHDWLVNYLSKSKTVVVPMPFTTYTYIADPANVEHVLKTNFNNYPKGEVYHSYMEVLLGDGIFNVDGESWKKQRKTASLEFASRNLREFSTKVFREYALKLSAILSHASILNQEIDMQELLMRMTLDSICKVGFGVEIGTLSSNLPKNTFADAFDTANIIVTLRFIDPLWKIKKILSIGSEAQLEKSIKVVDDFTYSVIRKRKAEIEDVRKSGQQNQMKHDILSRFIELGESNATDKSLRDIVLNFVIAGRDTTATTLSWAIYMVMTHAHVAEKLYLELKLFEENHAKEQNISLPQCDKEDSESFNQRVEQFSRLLNKDSLERLHYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAASFVPERWFKDGVLKNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAILCRFYKFNLVPGHTVKYRMMTILSMAHGLKVTIHKRC >Vigun10g160300.1.v1.2 pep primary_assembly:ASM411807v1:10:37926657:37929153:-1 gene:Vigun10g160300.v1.2 transcript:Vigun10g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLGDGIFNVDGESWKKQRKTASLEFASRNLREFSTKVFREYALKLSAILSHASILNQEIDMQELLMRMTLDSICKVGFGVEIGTLSSNLPKNTFADAFDTANIIVTLRFIDPLWKIKKILSIGSEAQLEKSIKVVDDFTYSVIRKRKAEIEDVRKSGQQNQMKHDILSRFIELGESNATDKSLRDIVLNFVIAGRDTTATTLSWAIYMVMTHAHVAEKLYLELKLFEENHAKEQNISLPQCDKEDSESFNQRVEQFSRLLNKDSLERLHYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAASFVPERWFKDGVLKNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAILCRFYKFNLVPGHTVKYRMMTILSMAHGLKVTIHKRC >VigunL059000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000449.1:49453:52924:1 gene:VigunL059000.v1.2 transcript:VigunL059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGEVGLKCENGVRMCDGKECFENESSTTSIEGAVKVLLMGLGEDINKEGIRKTPLRVAKALREGTRGYVQSVKEIVEGALFPEVGLDHTEFAGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVFQCKHIPFPDMESNSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLNFRGIDKDKILDKGSMDNQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLMNFKCSSIERVLNCWLGIRTNGALNTNEGLGFDEKLQSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKSLLQSIVHFYGIKLQVQERVTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIAALGRFSTDLAARAAFLHNIPKATYI >Vigun03g015600.1.v1.2 pep primary_assembly:ASM411807v1:3:1087175:1090055:-1 gene:Vigun03g015600.v1.2 transcript:Vigun03g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKQQERSLGAANFSNAQSQFFNFFSHVLAFSSGLLIGITIITFSLKNFSLNFQFHQLSLQSLPAPPFSASISTSHNHSKVTTIFTPNGSTKAMHNMTEEELLWRASMVPRIKELPYKHAPKVAFMFLTKGPVFLGPLWERFFKGNEGFYSIYVHSHPSFNETLPQNSVFHGRRIPSKEVRWGEFNIVGAERRLLANALLDFSNQRFVLLSESCIPLFNFSTVYNYLINSTTTFVESYDLPGPVGRGRYSPRMKPLLTLSQWRKGSQWFQIDRALATEIVSDQLYFPLFNKHCRNGCYGDEHYLPTFVTIKFSQSNSDRTLTWVDWSQGGPHPARFMRRNVTIEFLKWLRHGTTCEYNGKSTDVCYLFARKFMPQALDRLLRFAPKIMHFN >Vigun01g150050.1.v1.2 pep primary_assembly:ASM411807v1:1:33215852:33217259:1 gene:Vigun01g150050.v1.2 transcript:Vigun01g150050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGMWLAIVVEIWRHRNEVIFKQKKVDTEEIFSLAQVKAWAWLKHKIPSVSMKRIPMSKAMSSSSVILHTSLVTLLAGEVDIHRYGRRFDLILMDMDMPIMNGIQATKELRSMGIGSMIVGVSSRCTETEIRKFMESGLNDYHEKPLNTAKLNSILDKMNPNFTTG >Vigun03g443200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64547399:64547989:-1 gene:Vigun03g443200.v1.2 transcript:Vigun03g443200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGLILQESLRTEREARTILGLLTDQMDAVDGAQRRRRTLKERLRFSGMGCCGATWVFRPTLRDEGGTPQPPQLQQQTNPGQDPNPNRPECVGPRGSGSGSGMNLAAALAAERQLRESEEGRMSLMRLLEEKEGGEDAAVDNDSVCCVCMGRKKGAAFIPCGHTFCRVCSREMWLNRGNCPLCNRSVLDILHIF >Vigun09g082500.1.v1.2 pep primary_assembly:ASM411807v1:9:9974597:9978432:-1 gene:Vigun09g082500.v1.2 transcript:Vigun09g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRVATAASVSRISIPKNPAFISLPHFNCTLKFLNSASLHPRPNVLPTRPHHYIRAISEATVEPEISKKQKNDSPKDWKIKMLYDGDCPLCMREVNMLRERNKSYGTIKFVDIGSDDYSPDENQSIDYETAMGKIHAILSDGTVVTDVEAFRRLYEHVGLGWIYAITKYEPIAKIADSVYGVWAKYRLQITGRQPIQEILEARKKKGELCKDSNACKM >Vigun01g234800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40644281:40646665:1 gene:Vigun01g234800.v1.2 transcript:Vigun01g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESEVSESEPHPLSSQTVDACHDMNSLEDLANRGAWRSVIDKVSRARALSLLHKPHDHLTYLAFNALAFTKLRRFNEASAELDSLEDLDSSHYRYETYPKIYSNRVGSMVPFSLRWLHALIPIKLGQRQQGMDRFYTLLDFVRGKIREKGENSNLGVSLKLWRKREVFVVNCIIGHHLSQKEFGVCLSLMKELLSRDDGSDPLLVSQLGYMQLQIGDLEGAKVSFLKVESEGENNGSLSEVEFKNLVNRNKALVYLVGKDYVSAVREYEECIERDSADVVAVNNKALCLMYLRDLSDSIKVLESALERVPTVALNETLVVNLCSMYELAYVNHSDIKRTLSNWIARVAPDDFDASCTRT >Vigun02g046700.1.v1.2 pep primary_assembly:ASM411807v1:2:18548656:18553900:1 gene:Vigun02g046700.v1.2 transcript:Vigun02g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTNFYAIQDLSHHKTKDDCWILVDGKVYDVTQYLDDHPGGDDVILAATGKDATEEFEDAGHSKSARELMEQYCIGELDPSPPVSTKHCYSEKFMQLTKQYWAVPVTVVGISVVVSFLYLRKK >Vigun08g094400.3.v1.2 pep primary_assembly:ASM411807v1:8:22341276:22345492:1 gene:Vigun08g094400.v1.2 transcript:Vigun08g094400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTQLVLAKRMGIYDPFHQVNMWGDSFKIDGSLNSIATPMFMMKPSMQNKSECTPHESREPSGDDQDSNEKPDRKVLRRQAQNREAARKCRLRKKAYVQQLETSRVKLMQLELEIEKAKKQGMYIRSAPDVSYMGSSGTINPGITLFELEYGQWIEELDRQNEELRNALHTRESDMQLHLLVESCLSHYANLFRIKAEAAKADVFYLISGAWKASVERLYLWIGGSRPSQLLNIIVPQLEPLTDQQIVSINNLRLSSQQAEDALSLGLDKLQQSLVHNIPSDPLIIGHYGFEMAAAMDKGEALEGFVNQADHLRQQTLLYMSRILTTGQTAQGLVAMGEYFHRLRTLSSLWTAHSCDPSFPTHLSN >Vigun08g094400.1.v1.2 pep primary_assembly:ASM411807v1:8:22341239:22345497:1 gene:Vigun08g094400.v1.2 transcript:Vigun08g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTQLVLAKRMGIYDPFHQVNMWGDSFKIDGSLNSIATPMFMMKPSMQNKSECTPHESREPSGDDQDSNEKPDRKVLRRQAQNREAARKCRLRKKAYVQQLETSRVKLMQLELEIEKAKKQGMYIRSAPDVSYMGSSGTINPGITLFELEYGQWIEELDRQNEELRNALHTRESDMQLHLLVESCLSHYANLFRIKAEAAKADVFYLISGAWKASVERLYLWIGGSRPSQLLNIIVPQLEPLTDQQIVSINNLRLSSQQAEDALSLGLDKLQQSLVHNIPSDPLIIGHYGFEMAAAMDKGEALEGFVNQADHLRQQTLLYMSRILTTGQTAQGLVAMGEYFHRLRTLSSLWTAHSCDPSFPTHLSN >Vigun08g094400.2.v1.2 pep primary_assembly:ASM411807v1:8:22341043:22345497:1 gene:Vigun08g094400.v1.2 transcript:Vigun08g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTQLVLAKRMGIYDPFHQVNMWGDSFKIDGSLNSIATPMFMMKPSMQNKSECTPHESREPSGDDQDSNEKPDRKVLRRQAQNREAARKCRLRKKAYVQQLETSRVKLMQLELEIEKAKKQGMYIRSAPDVSYMGSSGTINPGITLFELEYGQWIEELDRQNEELRNALHTRESDMQLHLLVESCLSHYANLFRIKAEAAKADVFYLISGAWKASVERLYLWIGGSRPSQLLNIIVPQLEPLTDQQIVSINNLRLSSQQAEDALSLGLDKLQQSLVHNIPSDPLIIGHYGFEMAAAMDKGEALEGFVNQADHLRQQTLLYMSRILTTGQTAQGLVAMGEYFHRLRTLSSLWTAHSCDPSFPTHLSN >Vigun08g094400.5.v1.2 pep primary_assembly:ASM411807v1:8:22341255:22345479:1 gene:Vigun08g094400.v1.2 transcript:Vigun08g094400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSTQLVLAKRMGIYDPFHQVNMWGDSFKIDGSLNSIATPMFMMKPSMQNKSECTPHESREPSGDDQDSNEKPDRKVLRRQAQNREAARKCRLRKKAYVQQLETSRVKLMQLELEIEKAKKQGMYIRSAPDVSYMGSSGTINPGITLFELEYGQWIEELDRQNEELRNALHTRESDMQLHLLVESCLSHYANLFRIKAEAAKADVFYLISGAWKASVERLYLWIGGSRPSQLLNIIVPQLEPLTDQQIVSINNLRLSSQQAEDALSLGLDKLQQSLVHNIPSDPLIIGHYGFEMAAAMDKGEALEGFVNQADHLRQQTLLYMSRILTTGQTAQGLVAMGEYFHRLRTLSSLWTAHSCDPSFPTHLSN >Vigun08g094400.4.v1.2 pep primary_assembly:ASM411807v1:8:22341839:22345430:1 gene:Vigun08g094400.v1.2 transcript:Vigun08g094400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTQPPVFKSIACYYMNSSSTQLVLAKRMGIYDPFHQVNMWGDSFKIDGSLNSIATPMFMMKPSMQNKSECTPHESREPSGDDQDSNEKPDRKVLRRQAQNREAARKCRLRKKAYVQQLETSRVKLMQLELEIEKAKKQGMYIRSAPDVSYMGSSGTINPGITLFELEYGQWIEELDRQNEELRNALHTRESDMQLHLLVESCLSHYANLFRIKAEAAKADVFYLISGAWKASVERLYLWIGGSRPSQLLNIIVPQLEPLTDQQIVSINNLRLSSQQAEDALSLGLDKLQQSLVHNIPSDPLIIGHYGFEMAAAMDKGEALEGFVNQADHLRQQTLLYMSRILTTGQTAQGLVAMGEYFHRLRTLSSLWTAHSCDPSFPTHLSN >Vigun08g178300.2.v1.2 pep primary_assembly:ASM411807v1:8:34811051:34815758:-1 gene:Vigun08g178300.v1.2 transcript:Vigun08g178300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLMLLLLVAIALGNSDIDALLEFKKSIQNDPSGLVFSSWNPKSLDSDGCPRNWYGIWCSKGSVISITLDNAGLVGDFNFHAISGLAMLRNLSAVNNHFTGELSHATTMESLEYLDLSLNKFNGPLLSNFVQLRKLVYLNLSSNELGGTLTIEFHKLGKLKYVDLHMNNFSGDIMHIFYQMGSVLYIDLSSNRFSGALDLGLADESFLSSIQYLNVSHNSLSGELFAHDGMPYLDNLEVFDASNNQLEGNIPSFTFVVSLRILRLAFNQLTGLLPEALLKESSMMLSELDLSQNKLQGPIGIITSVTLRKLNLSSNKLSGPLPHRVGHCAVIDFSNNTLSGNFSRIGYWGNYVEVVQLSTNTLIGMLANETSQFLRLTELKASNNLLEGFLPPILGTFPELKEIDLSLNQLSGFLLPSFFYSTKLINLNLSNNKFSGSIPIQFQPPNTPLVSTENISLVFLDLSNNNLSGPLPSNMSRLHNLVYLNLCNNKLDGNIPDDLPDELRALNVSFNNFSGVVPENLKHFPESAFHPGNTMLVFPHSQSSPKDASNLGLREHRLHRRSATRIVLIACLVAGAFVMAFVGIIIYYKVHHEKERTSKQNEARGITQEGNFTSNIEAVYRNLEALPPSQRGSSDAARNIHPVGENPMSLGRSELGKTEEGMYSPMSILSPSNPSSSKSHQFENPGSLQVSSPDKLVGDLHIFDGSLVLTAEELSCAPAEVIGRSCHGTLYKATLDSGHALAVKWLREGITKGKKDLAREIKKLGTIKHPNLVSVQGYYLGPKEHEKLIISNFMNAQSLDIYLHEVDKTNLHPLTLDERLRVAIEVALCLHFLHDEKAIPHGNLKSTNILLETPNKNVLLTDYSLHRILTAAGTTEQVLNAGALGYRPPEFARSSKPCPSLTSDVYAFGVVLLELITGRNSGEIVSGIPGVVDLTDWVRFLAEQDRSSQCFDRFLVEKHNGEKHSKILDEMLKVALRCILPASDRPDMKTVSDDLSAIIR >Vigun08g178300.3.v1.2 pep primary_assembly:ASM411807v1:8:34811052:34815714:-1 gene:Vigun08g178300.v1.2 transcript:Vigun08g178300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLMLLLLVAIALGNSDIDALLEFKKSIQNDPSGLVFSSWNPKSLDSDGCPRNWYGIWCSKGSVISITLDNAGLVGDFNFHAISGLAMLRNLSAVNNHFTGELSHATTMESLEYLDLSLNKFNGPLLSNFVQLRKLVYLNLSSNELGGTLTIEFHKLGKLKYVDLHMNNFSGDIMHIFYQMGSVLYIDLSSNRFSGALDLGLADESFLSSIQYLNVSHNSLSGELFAHDGMPYLDNLEVFDASNNQLEGNIPSFTFVVSLRILRLAFNQLTGLLPEALLKESSMMLSELDLSQNKLQGPIGIITSVTLRKLNLSSNKLSGPLPHRVGHCAVIDFSNNTLSGNFSRIGYWGNYVEVVQLSTNTLIGMLANETSQFLRLTELKASNNLLEGFLPPILGTFPELKEIDLSLNQLSGFLLPSFFYSTKLINLNLSNNKFSGSIPIQFQPPNTPLVSTENISLVFLDLSNNNLSGPLPSNMSRLHNLVYLNLCNNKLDGNIPDDLPDELRALNVSFNNFSGVVPENLKHFPESAFHPGNTMLVFPHSQSSPKDASNLGLREHRLHRRSATRIVLIACLVAGAFVMAFVGIIIYYKVHHEKERTSKQNEARGITQEGNFTSNIEAVYRNLEALPPSQRGSSDAARNIHPVGENPMSLGRSELGKTEEGMYSPMSILSPSNPSSSKSHQFENPGSLQVSSPDKLVGDLHIFDGSLVLTAEELSCAPAEVIGRSCHGTLYKATLDSGHALAVKWLREGITKGKKDLAREIKKLGTIKHPNLVSVQGYYLGPKEHEKLIISNFMNAQSLDIYLHEVDKTNLHPLTLDERLRVAIEVALCLHFLHDEKAIPHGNLKSTNILLETPNKNVLLTDYSLHRILTAAGTTEQVLNAGALGYRPPEFARSSKPCPSLTSDVYAFGVVLLELITGRNSGEIVSGIPGVVDLTDWVRFLAEQDRSSQCFDRFLVEKHNGEKHSKILDEMLKVALRCILPASDRPDMKTVSDDLSAIISIQQQAL >Vigun08g178300.1.v1.2 pep primary_assembly:ASM411807v1:8:34811051:34815786:-1 gene:Vigun08g178300.v1.2 transcript:Vigun08g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLMLLLLVAIALGNSDIDALLEFKKSIQNDPSGLVFSSWNPKSLDSDGCPRNWYGIWCSKGSVISITLDNAGLVGDFNFHAISGLAMLRNLSAVNNHFTGELSHATTMESLEYLDLSLNKFNGPLLSNFVQLRKLVYLNLSSNELGGTLTIEFHKLGKLKYVDLHMNNFSGDIMHIFYQMGSVLYIDLSSNRFSGALDLGLADESFLSSIQYLNVSHNSLSGELFAHDGMPYLDNLEVFDASNNQLEGNIPSFTFVVSLRILRLAFNQLTGLLPEALLKESSMMLSELDLSQNKLQGPIGIITSVTLRKLNLSSNKLSGPLPHRVGHCAVIDFSNNTLSGNFSRIGYWGNYVEVVQLSTNTLIGMLANETSQFLRLTELKASNNLLEGFLPPILGTFPELKEIDLSLNQLSGFLLPSFFYSTKLINLNLSNNKFSGSIPIQFQPPNTPLVSTENISLVFLDLSNNNLSGPLPSNMSRLHNLVYLNLCNNKLDGNIPDDLPDELRALNVSFNNFSGVVPENLKHFPESAFHPGNTMLVFPHSQSSPKDASNLGLREHRLHRRSATRIVLIACLVAGAFVMAFVGIIIYYKVHHEKERTSKQNEARGITQEGNFTSNIEAVYRNLEALPPSQRGSSDAARNIHPVGENPMSLGRSELGKTEEGMYSPMSILSPSNPSSSKSHQFENPGSLQVSSPDKLVGDLHIFDGSLVLTAEELSCAPAEVIGRSCHGTLYKATLDSGHALAVKWLREGITKGKKDLAREIKKLGTIKHPNLVSVQGYYLGPKEHEKLIISNFMNAQSLDIYLHEVDKTNLHPLTLDERLRVAIEVALCLHFLHDEKAIPHGNLKSTNILLETPNKNVLLTDYSLHRILTAAGTTEQVLNAGALGYRPPEFARSSKPCPSLTSDVYAFGVVLLELITGRNSGEIVSGIPGVVDLTDWVRFLAEQDRSSQCFDRFLVEKHNGEKHSKILDEMLKVALRCILPASDRPDMKTVSDDLSAIISIQQQAL >Vigun08g178300.5.v1.2 pep primary_assembly:ASM411807v1:8:34811052:34815787:-1 gene:Vigun08g178300.v1.2 transcript:Vigun08g178300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLMLLLLVAIALGNSDIDALLEFKKSIQNDPSGLVFSSWNPKSLDSDGCPRNWYGIWCSKGSVISITLDNAGLVGDFNFHAISGLAMLRNLSAVNNHFTGELSHATTMESLEYLDLSLNKFNGPLLSNFVQLRKLVYLNLSSNELGGTLTIEFHKLGKLKYVDLHMNNFSGDIMHIFYQMGSVLYIDLSSNRFSGALDLGLADESFLSSIQYLNVSHNSLSGELFAHDGMPYLDNLEVFDASNNQLEGNIPSFTFVVSLRILRLAFNQLTGLLPEALLKESSMMLSELDLSQNKLQGPIGIITSVTLRKLNLSSNKLSGPLPHRVGHCAVIDFSNNTLSGNFSRIGYWGNYVEVVQLSTNTLIGMLANETSQFLRLTELKASNNLLEGFLPPILGTFPELKEIDLSLNQLSGFLLPSFFYSTKLINLNLSNNKFSGSIPIQFQPPNTPLVSTENISLVFLDLSNNNLSGPLPSNMSRLHNLVYLNLCNNKLDGNIPDDLPDELRALNVSFNNFSGVVPENLKHFPESAFHPGNTMLVFPHSQSSPKDASNLGLREHRLHRRSATRIVLIACLVAGAFVMAFVGIIIYYKVHHEKERTSKQNEARGITQEGNFTSNIEAVYRNLEALPPSQRGSSDAARNIHPVGENPMSLGRSELGKTEEGMYSPMSILSPSNPSSSKSHQFENPGSLQVSSPDKLVGDLHIFDGSLVLTAEELSCAPAEVIGRSCHGTLYKATLDSGHALAVKWLREGITKGKKDLAREIKKLGTIKHPNLVSVQGYYLGPKEHEKLIISNFMNAQSLDIYLHGKLIASYFDCSIKPSLSQFCLTLLVLLLCRSR >Vigun08g178300.4.v1.2 pep primary_assembly:ASM411807v1:8:34811052:34815714:-1 gene:Vigun08g178300.v1.2 transcript:Vigun08g178300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLMLLLLVAIALGNSDIDALLEFKKSIQNDPSGLVFSSWNPKSLDSDGCPRNWYGIWCSKGSVISITLDNAGLVGDFNFHAISGLAMLRNLSAVNNHFTGELSHATTMESLEYLDLSLNKFNGPLLSNFVQLRKLVYLNLSSNELGGTLTIEFHKLGKLKYVDLHMNNFSGDIMHIFYQMGSVLYIDLSSNRFSGALDLGLADESFLSSIQYLNVSHNSLSGELFAHDGMPYLDNLEVFDASNNQLEGNIPSFTFVVSLRILRLAFNQLTGLLPEALLKESSMMLSELDLSQNKLQGPIGIITSVTLRKLNLSSNKLSGPLPHRVGHCAVIDFSNNTLSGNFSRIGYWGNYVEVVQLSTNTLIGMLANETSQFLRLTELKASNNLLEGFLPPILGTFPELKEIDLSLNQLSGFLLPSFFYSTKLINLNLSNNKFSGSIPIQFQPPNTPLVSTENISLVFLDLSNNNLSGPLPSNMSRLHNLVYLNLCNNKLDGNIPDDLPDELRALNVSFNNFSGVVPENLKHFPESAFHPGNTMLVFPHSQSSPKDASNLGLREHRLHRRSATRIVLIACLVAGAFVMAFVGIIIYYKVHHEKERTSKQNEARGITQEGNFTSNIEAVYRNLEALPPSQRGSSDAARNIHPVGENPMSLGRSELGKTEEGMYSPMSILSPSNPSSSKSHQFENPGSLQVSSPDKLVGDLHIFDGSLVLTAEELSCAPAEVIGRSCHGTLYKATLDSGHALAVKWLREGITKGKKDLAREIKKLGTIKHPNLVSVQGYYLGPKEHEKLIISNFMNAQSLDIYLHEVDKTNLHPLTLDERLRVAIEVALCLHFLHDEKAIPHGNLKSTNILLETPNKNVLLTDYSLHRILTAAGTTEQVLNAGALGYRPPEFARSSKPCPSLTSDVYAFGVVLLELITGRNSGEIVSGIPGVVDLTDWVRFLAEQDRSSQCFDRFLVEKHNGEKHSKILDEMLKVALRCILPASDRPDMKTVSDDLSAIIR >Vigun04g004300.1.v1.2 pep primary_assembly:ASM411807v1:4:282113:283999:1 gene:Vigun04g004300.v1.2 transcript:Vigun04g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHFFNKRKPQHDPITVLEKLNETLELLEKKEDVLLKKVNAEKEKAIEFIRGKNKRGAAQCVKRKKMYEQQIEQLGNFQLRIHDQMIMLEGATVTTETVAALRTGAAAMKAVQKATKIDDVDKTMDEITQQTENMRMIQEALSSPIGAASEFDEDELEAELEELEACELEEQLLQPATTAPAVSVQAPAPAERKPTRPVMSTSELDELTALQAEMAL >Vigun02g152800.1.v1.2 pep primary_assembly:ASM411807v1:2:29937388:29938872:-1 gene:Vigun02g152800.v1.2 transcript:Vigun02g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNDRKRRFNEAIVNMLYPSSPQRERELEPAEPLIEESASDVISGTLDHSDNNSSTSVDEEHDSETEKLSRAQRKKIRKKKLKEEAVHRGKLIGPLLPLTSTRVARDAPPVRSNASEEGGEADCCGKSGKVKHRRMAKRLAKQKGNASTSDNTNQSSGEDLREARL >Vigun10g016700.3.v1.2 pep primary_assembly:ASM411807v1:10:1853913:1856820:1 gene:Vigun10g016700.v1.2 transcript:Vigun10g016700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWEKWFSDLEMDDDELHLFNEHNMNSLEEELMKIESSVPYYDSYFANSSSSNNNNMGNGSCLERNTQHANSNSEKNQMVITPCTLSFEDSTVVSNVPDESFHAKPEKRRSISQTSKHIMVERKRREKISTLLIELSAMLPGLKKMDKLSIISKTIDYVKYLQNRIKDLQEVNNKRGSIKYCKNNNTNVNISENSNDLDSTFLKIDSSVSVFCHWGVLF >Vigun10g016700.2.v1.2 pep primary_assembly:ASM411807v1:10:1853913:1856820:1 gene:Vigun10g016700.v1.2 transcript:Vigun10g016700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWEKWFSDLEMDDDELHLFNEHNMNSLEEELMKIESSVPYYDSYFANSSSSNNNNMGNGSCLERNTQHANSNSEKNQMVITPCTLSFEDSTVVSNVPDESFHAKPEKRRSISQTSKHIMVERKRREKISTLLIELSAMLPGLKKMDKLSIISKTIDYVKYLQNRIKDLQEVNNKRGSIKYCKNNNTNVNISENSNDLDSTFLKIDSSVSGKDCFATGEFYSEHFYHLQGGTVQHGNR >Vigun10g016700.1.v1.2 pep primary_assembly:ASM411807v1:10:1853913:1856820:1 gene:Vigun10g016700.v1.2 transcript:Vigun10g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWEKWFSDLEMDDDELHLFNEHNMNSLEEELMKIESSVPYYDSYFANSSSSNNNNMGNGSCLERNTQHANSNSEKNQMVITPCTLSFEDSTVVSNVPDESFHAKPEKRRSISQTSKHIMVERKRREKISTLLIELSAMLPGLKKMDKLSIISKTIDYVKYLQNRIKDLQEVNNKRGSIKYCKNNNTNVNISENSNDLDSTFLKIDSSVSGKDVRISVICEKREQIVSELLSKLASHNLSMVCSSVLPLGSSILNISIICKVEQCSMAIDELVKSLYEDLLKLYKLQN >Vigun05g059200.1.v1.2 pep primary_assembly:ASM411807v1:5:5078667:5081009:1 gene:Vigun05g059200.v1.2 transcript:Vigun05g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPESTVTTGAAADQSLLDKISEKLHGHDSSSSSDSDSEKTVTSSVKDKVFRLFGRERPVHSVLGAGKPADVLLWRNKKISAGVLGGATAVWVLFELLEYHFLTLVCHILILLLAVLFLWSNAHTFIHKSPPRIPEVHLPEEPFLQVASALRIEINRAFAVLHNIGSGRDLKKFLMVIAGVWILSIVGNWCNFLTLFYITFILLHTAPVLYEKYEDKIDPLAEKAVIEIKKQYVVFDAKVLSKIPLGALKAKLS >Vigun11g038200.1.v1.2 pep primary_assembly:ASM411807v1:11:5299086:5303334:1 gene:Vigun11g038200.v1.2 transcript:Vigun11g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSEHRKLHMLPILTYFLFLWWITCVHVEATSNILKPGDTLNATEGAKLCSEKGTYCMYFGTTYDDENVANLYIYAQKDEWAVWTANRDQPVDTNSAVLALNHSGVLKIESASLKKPIILYSPPQPINNTVAMLLDTGNFVLQQLHPNGSKMGVLWESFDFPTDTLLPGMKLGVNLKTGRKWSLVSWLSKHLPTPGSFSLEWEHKTKQLMIKKEQKLYWAAGENELQNIMGEAYDNIVFVSNENEAYITLKSSDEDLTKWTLLSTGQLINRNGGDVARADLCYGYNTDGGCRRWEDLPYCRDSGDAFELKQGYANLDLDLKRHEENSSYGVNDCQAICWSTCSCVAFTHLYDNETGCTFFLWNSTKGTNIASEGDKFYMLVKTNHNKTQKKWIWPIVAIGATILVICLCLLCCRVLRKRKHDLEELKTKRMGIENEDLEASSTSSCAEKLEVVLKEEHDLKVFKYASIMEATNDFSSENKLGQGGFGPVYKGILSTMQEVAVKKLSKSSRQGLVEFKNELTVISKLQHTNLVQLLGYCIHEEERILIYEYMPNKSLDCILFDSAQNQLLDWNKRFSVIEGIAQGLLYLHKYSRHRIIHRDLKASNILLDENMNPKISDFGIAKMFTQQDSESNTTRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLFEIVSGKRNNSFYTEERQLNLVGHTWELWKEGEVLKLVDPSLNDSFSEDEVVRCVHAGLLCVEENADDRPSISNVVSMLTNKTKVTTVPKKPAYYVRTKVLGEETSTKEFGLDFSHENSLNVCSI >VigunL059003.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000107.1:20639:22057:1 gene:VigunL059003.v1.2 transcript:VigunL059003.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKQENSRLRRRIEADANLKAKAKETSEAENEYNPTPHTFTSTQQTPLTTTHPQHFPPDHPRLTAPSTTHVPHNIPNTLHTAYVPPYNPPYLPTTHIPPHNITSTFPTMINHPPPPHILPPHQPRRRHPFTDFIANTPLPAQWEPFNLDRYTGETDPDEHLKVYITHVALYTSQDAEMILQCMTLTLQPGPFANNVYLHPPTSMHELKLRAADYVRMEEMQTLHTKFCNDYAANTSTPNPNPIPNPTPQPHPHPDTRPREPRQPRFTKYALLTVARSRILDEALQADLLPPPRKTTTPPNADMTKYCRYHRNHGHTTEECKALQDKIEELVRAGHFRRFIRRDDHTSSRTHHPPRHDHRRPSGVNHNNQPTQPNDH >Vigun05g169100.1.v1.2 pep primary_assembly:ASM411807v1:5:28983358:28986463:-1 gene:Vigun05g169100.v1.2 transcript:Vigun05g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVDQLRRIMDRNHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTSISLYYEMAEGDLKKFKGEREGNEFLMNLIESPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERVKPVLAVNKMDRCFLELNLDPEEAYLTFQRVVESENVIMATYEDALLGDIQVYPEKGTVAFSAGLHGWGFTLTNFAKMYASKFGIDEAKMMSRLWGSNFFDSATKKWTNKHTETATCKRGFVMLCYEPIKHIIELCMSDKRDELWPKLQKLGVNMKSEENDLTGKTSMKRVMQLATGK >Vigun02g107650.1.v1.2 pep primary_assembly:ASM411807v1:2:26165967:26166242:-1 gene:Vigun02g107650.v1.2 transcript:Vigun02g107650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRILVYAFFFPSMFIWVTLCFSSSCTFPERKKTNSKCFFFFFCFMTIARPHQISMMVAPHQSPIPSLTKNR >Vigun01g138700.1.v1.2 pep primary_assembly:ASM411807v1:1:31898763:31900536:-1 gene:Vigun01g138700.v1.2 transcript:Vigun01g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYETELNLSATELRLGLPGTDEPQEKRPCSGSVIRSSNKRSSQELEESRCKSNVNSDTSDSTTTSDHDQDSVQPAKVQVVGWPPIRSFRKNSLQQKKVEQGDGTGMYVKVSMAGAPYLRKIDLKVYTSYPELLKALQNLFKCTFGEYSEREGYNGSEYAPTYEDKDGDWMLVGDVPWNMFVSSCKRLRIIKGSEAKGLGCL >Vigun05g231800.1.v1.2 pep primary_assembly:ASM411807v1:5:42535927:42541297:-1 gene:Vigun05g231800.v1.2 transcript:Vigun05g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGSTSEIQSSADGLQTLYLMNPSYVPYADAPHHPTLLVNSNATNALNLATLTHAPPVSPSPNQQQHVIHGVANILGSGNSDDHAHTRPSLFGENIAAFHGFSGVASSAAAPRLHYNLWGSGVDQPGTPSSSSGGGGFRRPSQQGLSLSLSSQQTNFRSVSGELDIAGQGHVAGTGNSPSSAASTGVSGLILGSKYLKAAQELLDEVVNVGKGIYKEEKFSEKVKASRESNNSGAGGGDGSSGGGENSAGKQVVELSTAQRQELQMKKSKLVNMLDEVEQRYRQYHHQMQIVVSSFEQAAGYGAAKSYTALALRTISKQFRCLKDAISAQIKATSKTLGEDDCLGVKVEGSRLRYVDHHLRQQRALQQLGMIQPNAWRPQRGLPERAVSILRAWLFEHFLHPYPKDSDKVMLAKQTGLARSQVSNWFINARVRLWKPMVEEMYMEEMKDHEQANGSENTRSKELNKELGSTANVAPESGAIKRDNLQPKQDSFNNQNTSPNEISANSSMSPMGGSLQSHSGFHLAGSSEVHTSPNKPRSSEMQNSPSSILSVDMEMKHNIGEHANTREAIERHHKDGYPLMSGNANHSGGFGAFTMEDIGNRFNVSTEQLASRFHGNGVSLTLGLPHNENLSMSGTPHGFLSQNIHLGRRLEMGTSGNEFCSINTPPSSHSGTTYESIDIQNRKRFVAQLLPDFVA >Vigun07g238100.1.v1.2 pep primary_assembly:ASM411807v1:7:35971051:35971742:-1 gene:Vigun07g238100.v1.2 transcript:Vigun07g238100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYVVVLLVVCLVAGAGVDAQVDSMGQCVKSCLDNQCGESPSMLCSFVCKFGCASVGMQMAGLIEAHAEAPWAEAPWAEAPESSWTEEAEESSWAGAPGFPRKVLAPVGNDYTAEMQVYRIET >Vigun09g006100.11.v1.2 pep primary_assembly:ASM411807v1:9:441302:444666:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.16.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.4.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.18.v1.2 pep primary_assembly:ASM411807v1:9:441301:444686:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.9.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.21.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.8.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.20.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.15.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.1.v1.2 pep primary_assembly:ASM411807v1:9:441301:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKACESSYVFFSVCFSLVL >Vigun09g006100.14.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.17.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.10.v1.2 pep primary_assembly:ASM411807v1:9:441302:444666:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun09g006100.22.v1.2 pep primary_assembly:ASM411807v1:9:441301:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNVLIFGQVCTQLLSSTVGILLFIRSLWFVEKSSDRKKSETRLHQRF >Vigun09g006100.19.v1.2 pep primary_assembly:ASM411807v1:9:441301:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNVLIFGQVCTQLLSSTVGILLFIRSLWFVEKSSDRKKSETRLHQRF >Vigun09g006100.13.v1.2 pep primary_assembly:ASM411807v1:9:441302:445966:-1 gene:Vigun09g006100.v1.2 transcript:Vigun09g006100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVAKGGGVVVGKYELGKTIGEGSFAKVKFARHVNNGNKVAIKILDRKHVLRHNMMEQLQREISSMRLINHPNVTKIFEVMASKTKIYIVLELVDGGELFDKIAAKGKLEEDEARNYFQQLINAVDYCHSRGVYHRDLKPENLLLDSNSVLKVSDFGLSTYTQKEDELLRTACGTPNYVAPEVIHNKGYVGSTSDMWSCGVILFVLMAGFMPFDAPTPMALFKKIVKAEFSCPSWFPPQAKTLLKRILDPNPQTRIKIPELLKDEWFKKGYKPTSFTEEDLSVDDVAFAFNDSNKNLVTGKKVKPVYINAFELISRSQSFNLENLFEMQTDEVKQETCFTSQCPAIEIMHKIEEAAKPLGFIVQKKNYKMKLQGDQNGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWHTEEKK >Vigun08g117900.1.v1.2 pep primary_assembly:ASM411807v1:8:28547519:28550605:1 gene:Vigun08g117900.v1.2 transcript:Vigun08g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWETLALSPTPCSPNSSTNWLVENNRSTKWTPEENKLFENALAVHDKDTPDRWHKVAEMIPGKTVVDVIKQYKELEADVSNIEAGLIPVPGYSTATSPFTLDWVNTPGYDGFKGSGKRSSSVRPHEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVISRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETTTTSSEDTNRSTSPHVLSQQQQQQNSTPTTPTTHFQWSNQSSTGMAMTFNPAHERVFMSPYGANSFGVKMEARNLHNESSYLGPQTQNMVFQMQQSSQH >Vigun08g117900.3.v1.2 pep primary_assembly:ASM411807v1:8:28547572:28550499:1 gene:Vigun08g117900.v1.2 transcript:Vigun08g117900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGKTVVDVIKQYKELEADVSNIEAGLIPVPGYSTATSPFTLDWVNTPGYDGFKGSGKRSSSVRPHEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVISRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETTTTSSEDTNRSTSPHVLSQQQQQQNSTPTTPTTHFQWSNQSSTGMAMTFNPAHERVFMSPYGANSFGVKMEARNLHNESSYLGPQTQNMVFQMQQSSQH >Vigun08g117900.2.v1.2 pep primary_assembly:ASM411807v1:8:28547572:28550499:1 gene:Vigun08g117900.v1.2 transcript:Vigun08g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGKTVVDVIKQYKELEADVSNIEAGLIPVPGYSTATSPFTLDWVNTPGYDGFKGSGKRSSSVRPHEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVISRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETTTTSSEDTNRSTSPHVLSQQQQQQNSTPTTPTTHFQWSNQSSTGMAMTFNPAHERVFMSPYGANSFGVKMEARNLHNESSYLGPQTQNMVFQMQQSSQH >Vigun01g069600.3.v1.2 pep primary_assembly:ASM411807v1:1:18653527:18656845:-1 gene:Vigun01g069600.v1.2 transcript:Vigun01g069600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQSFWQLGDELRGHSKASEDHKWLMVASKLAEQTRLKGERVNNLDLSKGPIDTRSRDKFGFQEENKFDSLNLSMLNLDSKFTENVSKSSLRNSVYSMNAVYQKSNANLVSNVNSNKYSGNIQHNKESNNNSGNSNNNESNNSNATDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSAA >Vigun01g069600.1.v1.2 pep primary_assembly:ASM411807v1:1:18653527:18656970:-1 gene:Vigun01g069600.v1.2 transcript:Vigun01g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQSFWQLGDELRGHSKASEDHKWLMVASKLAEQTRLKGERVNNLDLSKGPIDTRSRDKFGFQEENKFDSLNLSMLNLDSKFTENVSKSSLRNSVYSMNAVYQKSNANLVSNVNSNKYSGNIQHNKESNNNSGNSNNNESNNSNATDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSAA >Vigun01g069600.2.v1.2 pep primary_assembly:ASM411807v1:1:18653527:18656971:-1 gene:Vigun01g069600.v1.2 transcript:Vigun01g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQSFWQLGDELRGHSKASEDHKWLMVASKLAEQTRLKGERVNNLDLSKGPIDTRSRDKFGFQEENKFDSLNLSMLNLDSKFTENVSKSSLRNSVYSMNAVYQKSNANLVSNVNSNKYSGNIQHNKESNNNSGNSNNNESNNSNATDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSAA >Vigun07g092466.1.v1.2 pep primary_assembly:ASM411807v1:7:14686301:14689287:1 gene:Vigun07g092466.v1.2 transcript:Vigun07g092466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTGQIFIQRVGYEGATTPFVISATKSGSRKLASESERTKTDKNNRSAKDNFDLDLDSDLSNDINGIVSALHLIRDKAQKDGQKKNEETISRVGFEVKSIIEGLGSKFEKDSITKALSKSSKRSYESSLQSETTKFQELHENFCEEKATSLQAPKDIISFHPCMNITDSSSFVTQDDKTFSILRKTLGSFLESTSEEDFPPNDPAMRRS >Vigun06g007160.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:3662228:3662680:-1 gene:Vigun06g007160.v1.2 transcript:Vigun06g007160.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDVGASSQSSVNPAEPPAPDLSHTLLDDNTRRAELDERAGFHFVGLSEEKKDKVLLAQVQIERAIEKALLSDGYSRDELSQKSKRDEIRGFLFYRNGKLLSIKKYESYVEEVKFGTHCNQPYQDLIHAISSSYLFFNKVKKIKRWER >Vigun03g048700.1.v1.2 pep primary_assembly:ASM411807v1:3:3876393:3878608:1 gene:Vigun03g048700.v1.2 transcript:Vigun03g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEETKVEEKKAEEPKEEKKPEQDKKAEESKDEKESKEEESPAPPPEIVLRVFMHCEGCARKVRRSLKGFPGVEDILTDCKSHKVVVKGEKADPLKVLERVQRKSHRKVELLSPIPKPPAEEEKKPEEEEKPKPEEKKEEPQVITVVLKVHMHCEACSQEIKRRIERMKGVESVETDLKSSEVSVKGVLEAEKLVEQVSKRTGKHAVIVKVEREKKEEEAKEEGKGEEGEKKEKENGEGEEKKEKKEGEGEGEGEGKGKGEEGGTEENTVVELKKSEYYYNPPRYGMELYAYPGPAYPPQIFSDENPNACSVM >Vigun05g221800.1.v1.2 pep primary_assembly:ASM411807v1:5:41389208:41391991:1 gene:Vigun05g221800.v1.2 transcript:Vigun05g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNSHSCLSLCLFLRVSLVPTRVEISFYYHDLFVCSLQLLSGIYSMTNPRFCLSILVLFFSLYTHPSLAALTTLSADKKLTGDQTLVSEGGVFELGFFKPGNSSNYYIGIWYKKVTQKTIVWVANRDNHVPDKNTATLTISDSNLVLLDGSSNQVWSTNTSSPRSGSVVEAVLLNSGNLVLRDRADDNASEPSWQSFDHPTDTFLPGGKIKLDHKTKKPQYLTSWKNTEDPATGLFSLELDPEGSTSYLITRNKTEQYWTSGAWNGHIFSLVPEMRLNYIFNFSFVSNENESYFTYSLYNSSIISRLLIDVTGQIKQMTWLENAQQWNLFWTQPRKQCDVYAFCGAFGSCSEFSTMGPCNCLKGFEPKSPSDWNLGDYSGGCQRKEKLQCENSNASNRNKDGFLAIPNVVLPKGAQSVGLGNAGECESICLSICSCAAYAYDSNGCSIWVGNLLNVEQLSSDDSSGETLYLKLAASEFHHDDKSSKGTIIGVVVGVVAGIGILLAILWRRKRMVASRKAAKGSLVAYGYRDLQAATRNFSEKLGGGGFGSVFKGTLDDSSVVAVKKLESFGQREKQFQTEVRTIGTIQHVNLVRLHGFCSEGTKRLLVYEYMPNGSLDFHLFQNKNFVVLDWKTRYQIALGIARGLAYLHEKCRDCIIHCNVKPENILLDAGFCPKIADFGLAKLVGRDFSRVLATIRGTKNYLSPEWISGVPITAKADVYSYGMMLFELVSGRRNSYYELSDSEDGKFRFFPTKAANIVAQGGNVLSLLDPCLEQNADAEEVTRMATVASWCIQDDETHRPTMGQVVHILDGILDVNLPPIPTFPQVSVDY >Vigun03g074300.1.v1.2 pep primary_assembly:ASM411807v1:3:6128424:6133411:1 gene:Vigun03g074300.v1.2 transcript:Vigun03g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSKAEKNEALSLCRERKRFIKVAIDSRYALAAAHVSYIQSLRNVGIALRRYAEAEVVVESSLSVSDKTPSQTSYPSPSPSYVAEVEVSESPLHNESPLSPPVASTLSYMKSGGSAAVTVTVNSCGNINYLDDESTVVPMHPPPPPPPESGASWDFFDPGEDSESFRFAVHCSDSRECRDEENRDQWLHIGSDGHCMMQPNLDEKFGKFSSDAMGNEGGNSYAPYLDHSIVSGGVEGGKQKVHGEVREIELPSDADKGAGGRSISKKEKNMAGKSVCAEREDPSEFITHRAKDFLSSIKDIEHRFVRASESGREVLRLLEANKIMVGYSEAKVKSSTMDMLSAFQPVCCGGKASPVFQEPAQKIISWKRTASSRSSSSRNALAAKTKEDVDDSGSDFVEEVCMIAGSHSSTLDRLYAWERKLYDEVKASESIMKEYDRKCHQLRHQFAKDQGSHVIDKTRSVVKDLHSRLRVAIYSVDSISKRIERMRDEELLPQLLELTEGLIRMWKAMLECHHAQYITISLAYHSRNSTETLLRGEVRREIMTRLLEEVELFGLSFANWINSLTSYVEALNAWLQNCILLPRERSKSRRPFSPRRLLAPPIFVLCRDWSAGIKALPSEELSQAILDFLSDLHLRTEQQNVQLLRKQNSADETTAETEESKTNEGNEDEPANLSCIHARLTKVLDRLTKFSEASLKMYEDIRQKSEAARNAYHNCRTIRAEKA >Vigun02g085650.1.v1.2 pep primary_assembly:ASM411807v1:2:24005236:24005803:-1 gene:Vigun02g085650.v1.2 transcript:Vigun02g085650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCPVHICNSCKSVADLRAIAATVTDISTAVSLACHRLFSDMVLSLSIEEDKRLCYMQTQHSGDDHALIHLGPERER >Vigun01g039300.1.v1.2 pep primary_assembly:ASM411807v1:1:5360350:5362409:-1 gene:Vigun01g039300.v1.2 transcript:Vigun01g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQQLRKPQADHEISDHGDLSGVSSAVNDRSSVLEKSVERLEPVVPTGIGSAAIDGDPITIGEALEAAAIAAGNKAVDQDDAAAISAAEIRASGEKSVRSGGMGETAQAAATFNSHVTRPQDKTKLSDILTDATEKLSVDKAVTKEDAEAVHAAEVQSTRRGEAEEVAAKPGGVAASMATAANLNQQN >Vigun04g147550.1.v1.2 pep primary_assembly:ASM411807v1:4:36303241:36303508:-1 gene:Vigun04g147550.v1.2 transcript:Vigun04g147550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEIKRMKLLFVMATCQQMKRGRTCAKVTEPRKRIQNGRTTCRRDYAV >Vigun07g026800.1.v1.2 pep primary_assembly:ASM411807v1:7:2431271:2435255:1 gene:Vigun07g026800.v1.2 transcript:Vigun07g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTDSVGVPEQLLQRVVSAQFRRPLLHLVSTQDAKGRYLSQCVPLHKAALKGDWKEASKILEQDWLLLTSAITKGWATVLHIAVGANHVHFVEELLNLMQPHELELQDFKSNTAFCFAAAVGNVHIAEMMERKNESLPTIRGGGDLTPLHLAVLQGRTEMAWHLFPKTKHIFQELDWTTLFFLSINCGLYDLALEMVKEKDTLAYARGDDNLTGLHVLARTSGCGCQTRRCRKHLLPFYKDTPILKLVKRMWEIVVSLDDQMMMDTLSEPSQVIFIAAEVGNFEFLSVVLSTYPDLIWELDTMDRSVIHIAVLHRHASIFNLIHEIGPIKEFILTFKDDQGNNLLHYAAKQAPPDQLNMVSGAALQMMLELSWFEEVKKIMPLSSIEEPNYSGIIPRQVFTAEHEELLEKGESWMKRTAKSCMVVSTLITTGVFTAAFSVPGGTDDNDGGSPNYLQKPAFLVFALSDSIALISSSTSILIFLSILVSRYAEDDFLMSLPLKLMSGLLALLISMISMMVAFSSAFFITYYDGVKWIPNLISAIAFVPIPVFIFLQFPLWSDIVYSAYICSFLFRPSRRMIH >Vigun07g026800.3.v1.2 pep primary_assembly:ASM411807v1:7:2431271:2435256:1 gene:Vigun07g026800.v1.2 transcript:Vigun07g026800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHELELQDFKSNTAFCFAAAVGNVHIAEMMERKNESLPTIRGGGDLTPLHLAVLQGRTEMAWHLFPKTKHIFQELDWTTLFFLSINCGLYDLALEMVKEKDTLAYARGDDNLTGLHVLARTSGCGCQTRRCRKHLLPFYKDTPILKLVKRMWEIVVSLDDQMMMDTLSEPSQVIFIAAEVGNFEFLSVVLSTYPDLIWELDTMDRSVIHIAVLHRHASIFNLIHEIGPIKEFILTFKDDQGNNLLHYAAKQAPPDQLNMVSGAALQMMLELSWFEEVKKIMPLSSIEEPNYSGIIPRQVFTAEHEELLEKGESWMKRTAKSCMVVSTLITTGVFTAAFSVPGGTDDNDGGSPNYLQKPAFLVFALSDSIALISSSTSILIFLSILVSRYAEDDFLMSLPLKLMSGLLALLISMISMMVAFSSAFFITYYDGVKWIPNLISAIAFVPIPVFIFLQFPLWSDIVYSAYICSFLFRPSRRMIH >Vigun07g026800.2.v1.2 pep primary_assembly:ASM411807v1:7:2431271:2435256:1 gene:Vigun07g026800.v1.2 transcript:Vigun07g026800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHELELQDFKSNTAFCFAAAVGNVHIAEMMERKNESLPTIRGGGDLTPLHLAVLQGRTEMAWHLFPKTKHIFQELDWTTLFFLSINCGLYDLALEMVKEKDTLAYARGDDNLTGLHVLARTSGCGCQTRRCRKHLLPFYKDTPILKLVKRMWEIVVSLDDQMMMDTLSEPSQVIFIAAEVGNFEFLSVVLSTYPDLIWELDTMDRSVIHIAVLHRHASIFNLIHEIGPIKEFILTFKDDQGNNLLHYAAKQAPPDQLNMVSGAALQMMLELSWFEEVKKIMPLSSIEEPNYSGIIPRQVFTAEHEELLEKGESWMKRTAKSCMVVSTLITTGVFTAAFSVPGGTDDNDGGSPNYLQKPAFLVFALSDSIALISSSTSILIFLSILVSRYAEDDFLMSLPLKLMSGLLALLISMISMMVAFSSAFFITYYDGVKWIPNLISAIAFVPIPVFIFLQFPLWSDIVYSAYICSFLFRPSRRMIH >Vigun07g056450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6200753:6202227:1 gene:Vigun07g056450.v1.2 transcript:Vigun07g056450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISTKTLNLSSSLVTLSLPGTQLRENLTDGIFCLPNLQHLDLSYNLYLRSHLPKVSCSTTSLNILDLSLSQFQGSIPPSFSNLSHLTSLDLSCNSLVDSIPSSLLTLPRLSFLNLLSNSLSGEIPNVFPQANKFKELHFNGNNLGGELPSTLSNLQHLTLLDLSVNKFSGQIPDVFVGLTKLNVLYLSYNNFEGKIPSSLFGLTQLSELKFSYNKLQGPLPDKISGFSSLVSLSFDNNLMNGSIPSWCLSLPSLEYLFLWKNEFTGLVYANISNSLMYLDFSYNKLQGNIPEIIFNLVNLTKLDLSSNNLSGYIHFSLFSKLPNLEYLLLSQNDLLSLNFESNVNYTFSSLLMLDLSSTSLVEFPKLAGKVPLKLGEEWYH >Vigun07g069500.2.v1.2 pep primary_assembly:ASM411807v1:7:8455952:8461565:-1 gene:Vigun07g069500.v1.2 transcript:Vigun07g069500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATDSSNHKNNQGHGTTGSRTDTPIQKGPASSEITEEFPRDQHGTRQNLIVDIPAVSQEEQKEDYVRINMPLTPPPRRVIFSPCPSPVYPRSKESPGPSSSKSRSNIKAFLPKLSFKFRNTSSEIEKAAFLALGGSATVAPKRPFLSRTLSLVTPRGKKTSSLPVTPIAHSNPGSVHGGNMAYAETVEIEMKLPIHRSRSVPMLNKEGSSPVPGMFRIVPTTLRVDDKIAATPMTSPIHDTVHNEDGGEDIPEEEAVCRICMVELGEGADTFKLECSCKGELSLAHKECAVKWFTIKGNRTCDVCKEEVQNLPVTLLRVQNGQAHNILGSDASHYRVWQDAPVLVVINMLAYFCFLEQLLVSNMGSGSIAMSLPFSCILGLLASMTATTMVRRNHVWIYATVQFCLVVLAGHLFFSLMADSYASCSCYPTSYTHWLWSCNVWSFYSYGDFKVEEEIPCSVKSTARFPRCSATRSIFYSCSSSSDCF >Vigun07g069500.1.v1.2 pep primary_assembly:ASM411807v1:7:8455952:8461565:-1 gene:Vigun07g069500.v1.2 transcript:Vigun07g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATDSSNHKNNQGHGTTGSRTDTPIQKGPASSEITEEFPRDQHGTRQNLIVDIPAVSQEEQKEDYVRINMPLTPPPRRVIFSPCPSPVYPRSKESPGPSSSKSRSNIKAFLPKLSFKFRNTSSEIEKAAFLALGGSATVAPKRPFLSRTLSLVTPRGKKTSSLPVTPIAHSNPGSVHGGNMAYAETVEIEMKLPIHRSRSVPMLNKEGSSPVPGMFRIVPTTLRVDDKIAATPMTSPIHDTVHNEDGGEDIPEEEAVCRICMVELGEGADTFKLECSCKGELSLAHKECAVKWFTIKGNRTCDVCKEEVQNLPVTLLRVQNGQAHNILGSDASHYRVWQDAPVLVVINMLAYFCFLEQLLVSNMGSGSIAMSLPFSCILGLLASMTATTMVRRNHVWIYATVQFCLVVLAGHLFFSLIHMQAVLAILLATLTGFGVVMCGASILMEILKWRRRSLAQSNQQQGSQDAVPPDQSSTVAHQVQTASEQTESNLGESPQQRS >Vigun07g215900.1.v1.2 pep primary_assembly:ASM411807v1:7:33780290:33781332:-1 gene:Vigun07g215900.v1.2 transcript:Vigun07g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHLDLHLLIYILLAVLCSGALICIYNITRPRGIHGAFVNGEGANLDMTEAEIRAEDISGAFNNADYAVQTHKKAKIGREPSGSGNSAEVQEIKNVLSQLIAYLFGEKYPPNYKGKNT >Vigun08g134100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30550567:30552273:1 gene:Vigun08g134100.v1.2 transcript:Vigun08g134100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRFKLSDMMPNAWFYKLKDMSRSRNRNGSHVMKSKVSSPTTSQRSLPRYSHYFSTEPIRTGKLYNTPIHTRDLDMPFTDSPTRSSKRRTRRKTIYKPSPTVVSSSFLPTSNYDSTDHWIKPYQDQSPPDYDVSSVESSSESDLHEYAYSESECDSFSVPDLLNGMAPNGSCRVSSSTNDIIIDMNNDSFLGNSENQDGFDAISELGLPPILTKPVNFDDKVIEATELRSYELQYDQSFSIEINKEEDSRTQRRRKSSHRKPFSNSSGIRLRINSPKLASRKIQTCARRSVSSTASRASRSTGFPDGFAVVKSSFDPQSDFRESMVEMIVENNIRASKDLEDLLACYLSLNSSEYHDLIVKAFEQIWFDLAQLRM >Vigun05g191550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37232263:37232748:-1 gene:Vigun05g191550.v1.2 transcript:Vigun05g191550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRRHHHSSGTWDARPPPLQRRPPSRALLATIPSFDSPRVRRFYTNVHNVFSSCSNAFQTGAAPIPFMAARSRLLETAISDSNHHRYPRSRPLATYPLPHERQVRADSAIRHCRASHRDLVCNHHATTLPPCSSICFFRIVFYAGECENDDGGVLCL >Vigun11g061600.1.v1.2 pep primary_assembly:ASM411807v1:11:13645414:13652887:-1 gene:Vigun11g061600.v1.2 transcript:Vigun11g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSNGSVSKRSLRRKVNLRNYDEDLMDELFEKQLGGGLKKRSRTKEDLEKETETEAMIAMSLGFPIDALLEEEVRAGVVRQLGGKEQNDYIVIRNHILALWRRGNVRLWLSKGMIRETVSNEYEHLINSAYDFLLYNGYINFGVSPAFTSQLPESNEGTAIVIGAGLAGLAAARQLLSFGYKVVVLEGRSRPGGRVYTQKVGREGKFSAIDLGGSIITGIHANPLGVLARQLSIPLHKVRDNCPLYKPNGAPVDTEMDARVEFVFNRLLDKVMELRQIMGGFASDTSLGSVLETLRQLYSVTKSTDERQLLDWHLANLEYANAGCLSNLSAAYWDQDDPYEMSGDHCFLAGGNTRLIKALCEGVPIFYGKTVNTIRYGDEGVEVIAGDQVFQADIALCTVPLGVLKKKAINFEPELPARKLAAIERMGFGLLNKVAMVFPYVFWGEDQDTFGCLNEYSHQRGEFFLFYCYHTVSGGPALVALVAGEAAQVFESTNPSILLHRVLTVLKDIIKKASRQGLLYGDKGNTQIVGYCDVMHIGLTLPLIKDLPLINVYSLEGILSSGRDRNRILWSISCRS >Vigun11g200900.2.v1.2 pep primary_assembly:ASM411807v1:11:39901685:39907174:1 gene:Vigun11g200900.v1.2 transcript:Vigun11g200900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSLNLKWRPLNLKATATATNKVTEVPLPEKIRNSRILVLGGTGRVGGSTAIALSNFCPDLQILVAGRNREKGEALTAKLGGNAEFVRVDIDDVNSLETALKCRSRNSCCWSLPMRRKVYVLEAAINTKTAYIDVCDDTNYAWRAKSLMKRASDANVPAIITTGIDPGVSNVMAAELVRAANESKDKPEKLGFYSYTAGTGGAGPTLLATTLLLLGEEVVVYNKGEKIRMKPYSAMLNIDFGNGIGKRDVYLMNLPQVRSAHQILRVPTVSARFGTAPFFWNWGMESLTTLVTPEFLRDRNRVQSLVQLFGPFVRALDGITGERVSMRVDLECASGRHTVGVFSHERLSVSVGISTAAFALAVLEGSTQPGVWFPEEPQGIPIEAREALLERASQGTFNFVMNRSPWRV >Vigun08g185600.1.v1.2 pep primary_assembly:ASM411807v1:8:35506498:35514793:1 gene:Vigun08g185600.v1.2 transcript:Vigun08g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGMASDLSRTGPVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWRTESRSEGIPSEANSPRTYTRRSSPLNSPFGSNESLKKDSGDHLRLHSPYESPPKNGLDKALDVVLYAVPQKAFYPPDSASASVHSVSSGGSDSMHGHMKTMGMDAFRVSLSSAVSTSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGNQRVGSCLGVKMDSLFPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGNGAYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSYISCGPWHTAVVTSAGQLFTFGDGTFGALGHGDRKSVSLPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGSKEEKLVPTCVALIEHNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKLPIRVEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTLVEALKDKDVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRMPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDNCFNKLRKTVETDSSSHSSVSRRGSVNHGSLELIDKDDKLDSRSRNQLARFSSIESFKQVESRSSKKNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDSLSQEVIKLRSQVENLTRKAQLQEVELERTTKQLKDAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGPARNVKSPSLASSFGSNPCSNDVNYASIDRLNIQALSPEADLTASNNQILSNGSGTASNRSAGHNKQSQSDSTNRNGSRTKDCESRSESEWVEQDEPGVYITLTSLPGGKIELKRVRFSRKRFSEKQAEQWWAENRARVYEQYDVLMIDKSSVGVGGEELAH >Vigun02g040833.1.v1.2 pep primary_assembly:ASM411807v1:2:16676736:16678577:-1 gene:Vigun02g040833.v1.2 transcript:Vigun02g040833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMFMLSNYSLDLLWNVAFQSTSPRWIHSVYLAAIVAYYAREVEAGLMEYKPDIIINAHPLMQHIPLWVLKY >VigunL032000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:204948:206456:-1 gene:VigunL032000.v1.2 transcript:VigunL032000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun03g409500.5.v1.2 pep primary_assembly:ASM411807v1:3:61654024:61667859:1 gene:Vigun03g409500.v1.2 transcript:Vigun03g409500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSIEEVEFAIRKLGGEASIPELVDFIFALQIAKKLKREPEDITYETDEVTNEKLFGIMAKTLQLFEMGFSENEVSSAIDKLGTEAPISELANFIFAEQNGVDYVMEYKFPTTPAYSVGIKDEPDLDLCGTAEVKAENFSHEPPQSSHVNVEEIYDDTRVKDEEGIDEFPSNVSDQYLNFVENDRGKRPKYEHHDSISFPDPCLVEERVDSIVAELSKRPKPNPFRCLSSVAAKPPFFLFGNVSSISYDSWGKMSQFLYGIEPEFVNTQSFSALDRIEGYIHNLPVENRFHILPKPPMTIEEAMPRTKKWWPPWDSRKQLSSIYCETSGVAQTCDRLGNILADAGGVFTYEMQKDIIRYCRGLNLVWIGKFKLGPVEPEQLELILGYPLNHTRAAEGNLAERLKSLKYCFQTDTLGYHLSVLKPIFPNGLTILSLFSGIGGAEIALHRLDIKIKAVVSVETSAQKRKILERWWRQSGQTGSLVQIEDIQKLTSKKLEGLISKFGGFDLVIYQNPCSYTNSRLQAGAGLSALDFSVFCECVRVLQRIRGLCQRK >Vigun03g409500.3.v1.2 pep primary_assembly:ASM411807v1:3:61649836:61667859:1 gene:Vigun03g409500.v1.2 transcript:Vigun03g409500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALRIYIDHFTFTVAVKSELLLFSSIIFCVWGISIVVNASVVRVRITRRHSLRLGNMAGNSNAREGKTVMVPKTEDLDYELPPFTTFSGDVGETNGASSSRGELRAFFIGMGFLPCVVDKVIEENGEENSETLLEALLKCSALQKSNSLSSDSLDSLFDDKDLPEVSNVNQAKEELDELNRDIDDKRGSLLMMNFSIEEVEFAIRKLGGEASIPELVDFIFALQIAKKLKREPEDITYETDEVTNEKLFGIMAKTLQLFEMGFSENEVSSAIDKLGTEAPISELANFIFAEQNGVDYVMEYKFPTTPAYSVGIKDEPDLDLCGTAEVKAENFSHEPPQSSHVNVEEIYDDTRVKDEEGIDEFPSNVSDQYLNFVENDRGKRPKYEHHDSISFPDPCLVEERVDSIVAELSKRPKPNPFRCLSSVAAKPPFFLFGNVSSISYDSWGKMSQFLYGIEPEFVNTQSFSALDRIEGYIHNLPVENRFHILPKPPMTIEEAMPRTKKWWPPWDSRKQLSSIYCETSGVAQTCDRLGNILADAGGVFTYEMQKDIIRYCRGLNLVWIGKFKLGPVEPEQLELILGYPLNHTRAAEGNLAERLKSLKYCFQTDTLGYHLSVLKPIFPNGLTILSLFSGIGGAEIALHRLDIKIKAVVSVETSAQKRKILERWWRQSGQTGSLVQIEDIQKLTSKKLEGLISKFGGFDLVIYQNPCSYTNSRLQAGAGLSALDFSVFCECVRVLQRIRGLCQRK >Vigun03g409500.4.v1.2 pep primary_assembly:ASM411807v1:3:61649836:61667859:1 gene:Vigun03g409500.v1.2 transcript:Vigun03g409500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSNAREGKTVMVPKTEDLDYELPPFTTFSGDVGETNGASSSRGELRAFFIGMGFLPCVVDKVIEENGEENSETLLEALLKCSALQKSNSLSSDSLDSLFDDKDLPEVSNVNQAKEELDELNRDIDDKRGSLLMMNFSIEEVEFAIRKLGGEASIPELVDFIFALQIAKKLKREPEDITYETDEVTNEKLFGIMAKTLQLFEMGFSENEVSSAIDKLGTEAPISELANFIFAEQNGVDYVMEYKFPTTPAYSVGIKDEPDLDLCGTAEVKAENFSHEPPQSSHVNVEEIYDDTRVKDEEGIDEFPSNVSDQYLNFVENDRGKRPKYEHHDSISFPDPCLVEERVDSIVAELSKRPKPNPFRCLSSVAAKPPFFLFGNVSSISYDSWGKMSQFLYGIEPEFVNTQSFSALDRIEGYIHNLPVENRFHILPKPPMTIEEAMPRTKKWWPPWDSRKQLSSIYCETSGVAQTCDRLGNILADAGGVFTYEMQKDIIRYCRGLNLVWIGKFKLGPVEPEQLELILGYPLNHTRAAEGNLAERLKSLKYCFQTDTLGYHLSVLKPIFPNGLTILSLFSGIGGAEIALHRLDIKIKAVVSVETSAQKRKILERWWRQSGQTGSLVQIEDIQKLTSKKLEGLISKFGGFDLVIYQNPCSYTNSRLQAGAGLSALDFSVFCECVRVLQRIRGLCQRK >Vigun03g409500.1.v1.2 pep primary_assembly:ASM411807v1:3:61649836:61667858:1 gene:Vigun03g409500.v1.2 transcript:Vigun03g409500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALRIYIDHFTFTVAVKSELLLFSSIIFCVWGISIVVNASVVRVRITRRHSLRLGNMAGNSNAREGKTVMVPKTEDLDYELPPFTTFSGDVGETNGASSSRGELRAFFIGMGFLPCVVDKVIEENGEENSETLLEALLKCSAHKSNCDSSDSLGDFHNTSSGTKAPNIYRDGHSREALQKSNSLSSDSLDSLFDDKDLPEVSNVNQAKEELDELNRDIDDKRGSLLMMNFSIEEVEFAIRKLGGEASIPELVDFIFALQIAKKLKREPEDITYETDEVTNEKLFGIMAKTLQLFEMGFSENEVSSAIDKLGTEAPISELANFIFAEQNGVDYVMEYKFPTTPAYSVGIKDEPDLDLCGTAEVKAENFSHEPPQSSHVNVEEIYDDTRVKDEEGIDEFPSNVSDQYLNFVENDRGKRPKYEHHDSISFPDPCLVEERVDSIVAELSKRPKPNPFRCLSSVAAKPPFFLFGNVSSISYDSWGKMSQFLYGIEPEFVNTQSFSALDRIEGYIHNLPVENRFHILPKPPMTIEEAMPRTKKWWPPWDSRKQLSSIYCETSGVAQTCDRLGNILADAGGVFTYEMQKDIIRYCRGLNLVWIGKFKLGPVEPEQLELILGYPLNHTRAAEGNLAERLKSLKYCFQTDTLGYHLSVLKPIFPNGLTILSLFSGIGGAEIALHRLDIKIKAVVSVETSAQKRKILERWWRQSGQTGSLVQIEDIQKLTSKKLEGLISKFGGFDLVIYQNPCSYTNSRLQAGAGLSALDFSVFCECVRVLQRIRGLCQRK >Vigun03g409500.2.v1.2 pep primary_assembly:ASM411807v1:3:61649836:61667858:1 gene:Vigun03g409500.v1.2 transcript:Vigun03g409500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSNAREGKTVMVPKTEDLDYELPPFTTFSGDVGETNGASSSRGELRAFFIGMGFLPCVVDKVIEENGEENSETLLEALLKCSAHKSNCDSSDSLGDFHNTSSGTKAPNIYRDGHSREALQKSNSLSSDSLDSLFDDKDLPEVSNVNQAKEELDELNRDIDDKRGSLLMMNFSIEEVEFAIRKLGGEASIPELVDFIFALQIAKKLKREPEDITYETDEVTNEKLFGIMAKTLQLFEMGFSENEVSSAIDKLGTEAPISELANFIFAEQNGVDYVMEYKFPTTPAYSVGIKDEPDLDLCGTAEVKAENFSHEPPQSSHVNVEEIYDDTRVKDEEGIDEFPSNVSDQYLNFVENDRGKRPKYEHHDSISFPDPCLVEERVDSIVAELSKRPKPNPFRCLSSVAAKPPFFLFGNVSSISYDSWGKMSQFLYGIEPEFVNTQSFSALDRIEGYIHNLPVENRFHILPKPPMTIEEAMPRTKKWWPPWDSRKQLSSIYCETSGVAQTCDRLGNILADAGGVFTYEMQKDIIRYCRGLNLVWIGKFKLGPVEPEQLELILGYPLNHTRAAEGNLAERLKSLKYCFQTDTLGYHLSVLKPIFPNGLTILSLFSGIGGAEIALHRLDIKIKAVVSVETSAQKRKILERWWRQSGQTGSLVQIEDIQKLTSKKLEGLISKFGGFDLVIYQNPCSYTNSRLQAGAGLSALDFSVFCECVRVLQRIRGLCQRK >Vigun05g272200.1.v1.2 pep primary_assembly:ASM411807v1:5:46312987:46316946:-1 gene:Vigun05g272200.v1.2 transcript:Vigun05g272200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGGIFGNNKQKIKGTVVLMRKNVLDINSILNPTNVIDSALDFAGSLLDAATAFATSISIQLISSTKADGQGKGKVGTATKLRGQISLPTLGAREEAYDVNIEWDSDFGIPGAFYIKNFMTNEFYLKSFTLEDIPNHGTIHFVCNSWVYNNTKYKTPRIFFANNTYLPGDTPAPLVKYREDELKVVRGDGTGERQEYDRIYDYDVYNDLGNPDKSDAYARPVLGGSVLPYPRRGRTGRGKTRKDPASEKPSDFVYLPRDEAFGHLKSSDFLAYALKSVSQDVLPVLTDAFDGNILSLEFDSFAEVHKLYDGGVTLPTSFLSKYAPIPIVKEIFRSDGEQFLKYPPPKVMQVDKSAWMTDAEFARETIAGVNPNVIKILKEFPPRSKLDTQAFGDHTSIITKEHLEPQLGGLTVEQAIENNKLFILDHHDYLIPYLRKINSSTTKTYATRTIFFLKDDGTLTPLAIELSKPHGQGDEFGPLSEVYVPQYEGVEAYIWLLAKAYVVVNDSCYHQIVSHWLSTHAVVEPFVIATNRQLSVVHPVYKLLFPHYRDTMNINSLARKSLVNADGIIEKTFLWGRYSLEMSAVIYKDWSFVDQALPNDLVKRGVAVKDPSAPHGVKLLIEDYPYASDGLEIWDAIKSWVEEYVAFYYKSDEALQKDPELQAWWKELVQVGHGDLKDKAWWPKMQTRGELVEVSTTLIWISSALHAAVNFGQYPYGGLILNRPTISRRFMPEKGSAAYDALAKNPEKEF >Vigun07g051100.1.v1.2 pep primary_assembly:ASM411807v1:7:5306645:5311302:1 gene:Vigun07g051100.v1.2 transcript:Vigun07g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGLVLSRPVTFVTGNAKKLEEVRAILGNSIPFQSLKIDLPELQGEPEDISKEKARMAALQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYDDKSAFALCVFSFAAGPDSEPITFSGKTPGKIVPPRGPNDFGWDPIFEPEGYDQTYAEMPKEEKNKISHRSKSLALVKSHFAEAGYTFQINNV >Vigun04g142500.3.v1.2 pep primary_assembly:ASM411807v1:4:35494615:35500282:-1 gene:Vigun04g142500.v1.2 transcript:Vigun04g142500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNIEALTFVVCVVLQVAYGEHHMRCIPKEREALLQFKAAIVDRYGMLSSWTTPDCCRWEGIRCSNLTGHVLRLHLPGQFNADISRDYMSGEIHTSLMELQQLEYLNLSSNYFRFKGIPEFLGSLINLKYLDLSSSQFGGKIPSELGSLFHLKYLNLAWNYYLEGSIPRELGNLSRLQYLDLRGHSYLVGYIPSQLGNLSNLHKLYLGGYDGALNIVTTDHWLSNLISLTHLSFQSISNFDRSPSWFQMAAKLPKLRELSLVGCGLSDHSVLSFNPSNFNFSASLTVLDLSQNSFTQSMIFQWVSNTTSSLVELYLSSNLLEGSTSNGFGLFMNSLERLDLSYNVFKGQDLKSFMNICTLCYLDMSGNNMTEDFLSIIHHLSSGCARYSLQELNFKFNHITRSLVDLSIFSSLKRLDLFENQLSGKTSEEIKLPSQLEQLSIGSNSLEGGVPKSFGNTCTLELLDLSRNKLSEDPTIIFDHLSGCSRFSLREIYLSQNKLNGTLPDFSMFSKLEMLDLSGNHLKDGILKSPHHNGTVLRSLRLSNNLLNGNLPTIIYHLSQYSRYSLQLLDLSMNQISGTLPNILSMFSSLKRLYLESNKLNGTISEDLLFSAELEVLNLRSNSLKGDISSSLGNCTKLVMLDMAENRLSGSIPHWIGSKLLKLQILSLGNNHFNGSLPLQICYLRSIRILDLSQNNLFGQIPKCIKNFSSMTQKASLRDHKVHQYSVNTTYVQGLAQIDRLTMLDLPHNYLSGKIPIDTQLQSFDASKYEGNVDLCGPPLEKLCPDGVLNQKPHEDNNLVFNHEFYVSMAIGFVINFGGVFGSILVIRSLRHVYFKFLSNIADTLYIMTTVKIFKWWRRT >Vigun04g142500.4.v1.2 pep primary_assembly:ASM411807v1:4:35494615:35500282:-1 gene:Vigun04g142500.v1.2 transcript:Vigun04g142500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPKLRELSLVGCGLSDHSVLSFNPSNFNFSASLTVLDLSQNSFTQSMIFQWVSNTTSSLVELYLSSNLLEGSTSNGFGLFMNSLERLDLSYNVFKGQDLKSFMNICTLCYLDMSGNNMTEDFLSIIHHLSSGCARYSLQELNFKFNHITRSLVDLSIFSSLKRLDLFENQLSGKTSEEIKLPSQLEQLSIGSNSLEGGVPKSFGNTCTLELLDLSRNKLSEDPTIIFDHLSGCSRFSLREIYLSQNKLNGTLPDFSMFSKLEMLDLSGNHLKDGILKSPHHNGTVLRSLRLSNNLLNGNLPTIIYHLSQYSRYSLQLLDLSMNQISGTLPNILSMFSSLKRLYLESNKLNGTISEDLLFSAELEVLNLRSNSLKGDISSSLGNCTKLVMLDMAENRLSGSIPHWIGSKLLKLQILSLGNNHFNGSLPLQICYLRSIRILDLSQNNLFGQIPKCIKNFSSMTQKASLRDHKVHQYSVNTTYVQGLAQIDRLTMLDLPHNYLSGKIPIDTQLQSFDASKYEGNVDLCGPPLEKLCPDGVLNQKPHEDNNLVFNHEFYVSMAIGFVINFGGVFGSILVIRSLRHVYFKFLSNIADTLYIMTTVKIFKWWRRT >Vigun04g142500.2.v1.2 pep primary_assembly:ASM411807v1:4:35494615:35500282:-1 gene:Vigun04g142500.v1.2 transcript:Vigun04g142500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPKEREALLQFKAAIVDRYGMLSSWTTPDCCRWEGIRCSNLTGHVLRLHLPGQFNADISRDYMSGEIHTSLMELQQLEYLNLSSNYFRFKGIPEFLGSLINLKYLDLSSSQFGGKIPRYIPSQLGNLSNLHKLYLGGYDGALNIVTTDHWLSNLISLTHLSFQSISNFDRSPSWFQMAAKLPKLRELSLVGCGLSDHSVLSFNPSNFNFSASLTVLDLSQNSFTQSMIFQWVSNTTSSLVELYLSSNLLEGSTSNGFGLFMNSLERLDLSYNVFKGQDLKSFMNICTLCYLDMSGNNMTEDFLSIIHHLSSGCARYSLQELNFKFNHITRSLVDLSIFSSLKRLDLFENQLSGKTSEEIKLPSQLEQLSIGSNSLEGGVPKSFGNTCTLELLDLSRNKLSEDPTIIFDHLSGCSRFSLREIYLSQNKLNGTLPDFSMFSKLEMLDLSGNHLKDGILKSPHHNGTVLRSLRLSNNLLNGNLPTIIYHLSQYSRYSLQLLDLSMNQISGTLPNILSMFSSLKRLYLESNKLNGTISEDLLFSAELEVLNLRSNSLKGDISSSLGNCTKLVMLDMAENRLSGSIPHWIGSKLLKLQILSLGNNHFNGSLPLQICYLRSIRILDLSQNNLFGQIPKCIKNFSSMTQKASLRDHKVHQYSVNTTYVQGLAQIDRLTMLDLPHNYLSGKIPIDTQLQSFDASKYEGNVDLCGPPLEKLCPDGVLNQKPHEDNNLVFNHEFYVSMAIGFVINFGGVFGSILVIRSLRHVYFKFLSNIADTLYIMTTVKIFKWWRRT >Vigun04g142500.1.v1.2 pep primary_assembly:ASM411807v1:4:35494614:35500282:-1 gene:Vigun04g142500.v1.2 transcript:Vigun04g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNIEALTFVVCVVLQVAYGEHHMRCIPKEREALLQFKAAIVDRYGMLSSWTTPDCCRWEGIRCSNLTGHVLRLHLPGQFNADISRDYMSGEIHTSLMELQQLEYLNLSSNYFRFKGIPEFLGSLINLKYLDLSSSQFGGKIPRYIPSQLGNLSNLHKLYLGGYDGALNIVTTDHWLSNLISLTHLSFQSISNFDRSPSWFQMAAKLPKLRELSLVGCGLSDHSVLSFNPSNFNFSASLTVLDLSQNSFTQSMIFQWVSNTTSSLVELYLSSNLLEGSTSNGFGLFMNSLERLDLSYNVFKGQDLKSFMNICTLCYLDMSGNNMTEDFLSIIHHLSSGCARYSLQELNFKFNHITRSLVDLSIFSSLKRLDLFENQLSGKTSEEIKLPSQLEQLSIGSNSLEGGVPKSFGNTCTLELLDLSRNKLSEDPTIIFDHLSGCSRFSLREIYLSQNKLNGTLPDFSMFSKLEMLDLSGNHLKDGILKSPHHNGTVLRSLRLSNNLLNGNLPTIIYHLSQYSRYSLQLLDLSMNQISGTLPNILSMFSSLKRLYLESNKLNGTISEDLLFSAELEVLNLRSNSLKGDISSSLGNCTKLVMLDMAENRLSGSIPHWIGSKLLKLQILSLGNNHFNGSLPLQICYLRSIRILDLSQNNLFGQIPKCIKNFSSMTQKASLRDHKVHQYSVNTTYVQGLAQIDRLTMLDLPHNYLSGKIPIDTQLQSFDASKYEGNVDLCGPPLEKLCPDGVLNQKPHEDNNLVFNHEFYVSMAIGFVINFGGVFGSILVIRSLRHVYFKFLSNIADTLYIMTTVKIFKWWRRT >Vigun03g238500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39792398:39794913:-1 gene:Vigun03g238500.v1.2 transcript:Vigun03g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFDSQICDGSDAMSNPTSISPSSCSSSAPLSYTSEYKKQLPPPLSSSSGTRISPAIVFIIVILAIVFFISGLLHLLVRFLIRHMSSSSISQSNRYPDMSESDPYQRQLQQLFHLHDSGLDQAFIDALPVFLYKDIIGLKEPFDCAVCLCQFSEQDMLRLLPLCNHAFHIDCIDTWLLSNSTCPLCRGSLYDEAGFENPVYDFEGLREEDGVSGSVAAETGSFNKHAENHIMSGKRVFSVRLGKFRSSNNGEGGESSTSNLDVRRCYSMGSFQYVVADSDLQVALCPNSGCSVRQFKGRLDHCGNSSTEGDVEGKKINIARKGESFSVSKIWQWSRKDKVSNSPQNHLGGSFVPAALPWMNRAQGT >Vigun02g168600.2.v1.2 pep primary_assembly:ASM411807v1:2:31205925:31209249:-1 gene:Vigun02g168600.v1.2 transcript:Vigun02g168600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVHHYLKKKVASKRIDPDVIKDIDLYKIEPWDLQELCKIGNDEEDEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYCKHFLTGMRKTLVFYRGRAPNGQKSDWIMHEYRLQTNENGTPQEEGWVVCRVFKKRTTTARKMGDYVSPCWYDDQVSFMQELESPMPMSNPNAPNRHPYPCKKELELQFNIPHDAFLQLPQLHSPVVPQSVTGLSFIPVVSYGGKNNGNALQCSSLTQEHRQFHYASNDQSMDHVMDWRVLDQFVDNQLSHHEHFSSVAQQMKVIANGSTKPETGQESASTSISGCQIDLWK >Vigun02g168600.1.v1.2 pep primary_assembly:ASM411807v1:2:31205851:31209250:-1 gene:Vigun02g168600.v1.2 transcript:Vigun02g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVHHYLKKKVASKRIDPDVIKDIDLYKIEPWDLQELCKIGNDEEDEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYCKHFLTGMRKTLVFYRGRAPNGQKSDWIMHEYRLQTNENGTPQEEGWVVCRVFKKRTTTARKMGDYVSPCWYDDQVSFMQELESPMPMSNPNAPNRHPYPCKKELELQFNIPHDAFLQLPQLHSPVVPQSVTGLSFIPVVSYGGKNNGNALQCSSLTQEHRQFHYASNDQSMDHVMDWRVLDQFVDNQLSHHEHFSSVAQQMKVIANGSTKPETGQESASTSISGCQIDLWK >Vigun07g093200.1.v1.2 pep primary_assembly:ASM411807v1:7:14909383:14914887:-1 gene:Vigun07g093200.v1.2 transcript:Vigun07g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLRSGESLGGADEVFPSSGSLASATKDFSASENSCLGEHIDKKPDTGNIEEAESSLRESGVLNYEEARALLGRYEYQKGNIVAALHVFEGIDIGVVTPKIKIALSRSRERRKKHSQNHAEPQMSIHSVGLLLESVFLKAKSLQILERFKEAAQSCKVILDIVESSLPEGLPDNFGAECKLQETLNKAVELLPELWKLADCPREAILSYRRALLHQWNLDAETIAKIQKEFVIFLLYSGGEATPPNLRSQMDGSFVPRNNIEEAILILMILLRKVTLNRIEWDPSILDHLSFALSVSGDLTALANQLEELFPRTIPRSERYYTLALCYYGAGRDLVALDFLRKLLRSREDQDHVPGLLMASKICSENCSLAEEGVSFAKRVLQNLDGRCNQLEDHGNFFLGVSLSAQSKLAVSDSERVKGQSEALHALETAARTRNPLVLYHLSLEYAEQRKLDAALHYAKCCIKLEGGSNIKGWLLLARILSAQKQFLDAESIIDSALDQTGKWDQGDLLRTKAKLQIAQCKLRNAIETYTQLLAVLQIQSKGFGSGKKLYKDNRDRARNLEVEIWHDITYVYISLLQWHDAEVCLSKSKAIKPLSASRCHAIGVMYEAKGHYKEALKSYGDALDIDPGHVLSLVSSAVVLKRCSSHSNPTVKSFLMEALRHDRFNASAWYNLALLHKAEGTSSSLVEAAECFQAAHFLEESEPVEPFR >Vigun07g093200.3.v1.2 pep primary_assembly:ASM411807v1:7:14909383:14914520:-1 gene:Vigun07g093200.v1.2 transcript:Vigun07g093200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLRSGESLGGADEVFPSSGSLASATKDFSASENSCLGEHIDKKPDTGNIEEAESSLRESGVLNYEEARALLGRYEYQKGNIVAALHVFEGIDIGVVTPKIKIALSRSRERRKKHSQNHAEPQMSIHSVGLLLESVFLKAKSLQILERFKEAAQSCKVILDIVESSLPEGLPDNFGAECKLQETLNKAVELLPELWKLADCPREAILSYRRALLHQWNLDAETIAKIQKEFVIFLLYSGGEATPPNLRSQMDGSFVPRNNIEEAILILMILLRKVTLNRIEWDPSILDHLSFALSVSGDLTALANQLEELFPRTIPRSERYYTLALCYYGAGRDLVALDFLRKLLRSREDQDHVPGLLMASKICSENCSLAEEGVSFAKRVLQNLDGRCNQLEDHGNFFLGVSLSAQSKLAVSDSERVKGQSEALHALETAARTRNPLVLYHLSLEYAEQRKLDAALHYAKCCIKLEGGSNIKGWLLLARILSAQKQFLDAESIIDSALDQTGKWDQGDLLRTKAKLQIAQCKLRNAIETYTQLLAVLQIQSKGFGSGKKLYKDNRDRARNLEVEIWHDITYVYISLLQWHDAEVCLSKSKAIKPLSASRCHAIGVMYEAKGHYKEALKSYGDALDIDPGHVLSLVSSAVVLKRCSSHSNPTVKSFLMEALRHDRFNASAWYNLALLHKAEGTSSSLVEAAECFQAAHFLEESEPVEPFR >Vigun07g093200.2.v1.2 pep primary_assembly:ASM411807v1:7:14909383:14914888:-1 gene:Vigun07g093200.v1.2 transcript:Vigun07g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLRSGESLGGADEVFPSSGSLASATKDFSASENSCLGEHIDKKPDTGNIEEAESSLRESGVLNYEEARALLGRYEYQKGNIVAALHVFEGIDIGVVTPKIKIALSRSRERRKKHSQNHAEPQMSIHSVGLLLESVFLKAKSLQILERFKEAAQSCKVILDIVESSLPEGLPDNFGAECKLQETLNKAVELLPELWKLADCPREAILSYRRALLHQWNLDAETIAKIQKEFVIFLLYSGGEATPPNLRSQMDGSFVPRNNIEEAILILMILLRKVTLNRIEWDPSILDHLSFALSVSGDLTALANQLEELFPRTIPRSERYYTLALCYYGAGRDLVALDFLRKLLRSREDQDHVPGLLMASKICSENCSLAEEGVSFAKRVLQNLDGRCNQLEDHGNFFLGVSLSAQSKLAVSDSERVKGQSEALHALETAARTRNPLVLYHLSLEYAEQRKLDAALHYAKCCIKLEGGSNIKGWLLLARILSAQKQFLDAESIIDSALDQTGKWDQGDLLRTKAKLQIAQCKLRNAIETYTQLLAVLQIQSKGFGSGKKLYKDNRDRARNLEVEIWHDITYVYISLLQWHDAEVCLSKSKAIKPLSASRCHAIGVMYEAKGHYKEALKSYGDALDIDPGHVLSLVSSAVVLKRCSSHSNPTVKSFLMEALRHDRFNASAWYNLALLHKAEGTSSSLVEAAECFQAAHFLEESEPVEPFR >Vigun04g080300.1.v1.2 pep primary_assembly:ASM411807v1:4:11437398:11440951:1 gene:Vigun04g080300.v1.2 transcript:Vigun04g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFDRLASPKVVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLDEIHYELTRCEAEAEIEFQTITYKVSNFFNATFSSFNKKIDSGLKEVLEKLEYLARQKGALGLKECTFAGDGSGSKEPQKLQSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDITAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISGKKFFLVLDDVWNERQEKWEAVRTPLSYGTPGSRILVTTRGEKVASNMMSEVHRLKQLQKDICWNVFEKHALRGDDHELNDEKEKIGRRIVEKCKGLPLALKTIGSLLRTKSSISDWQSVLKSDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWIAQDFLHCSQQSNNLEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKTTRHFSFQFDDVECFDGFGSLTDAKKLRSFFPIKEKGRSDVYHYPRQFRILVHELFSNFKFLREVPNSIGDLKHLHSLDLSRTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLDLHKLTKLRCLEFKNTKVTKMPMHFGELKNLQVLNTVFLDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKNLVKLELKWKSDHIPDDPRKEKKVLKNLQPSKNLEHLSIKSYGGTKFPSWVFDNSLSNLVFLRLEDCKYCLCLPPLGLLSSLKTLEIVGLDGIVSIGAEFYGSDSSSFASLKKLEFSKMKELEECERKTAAFPRLEFLRVYQCPKLKGLPDQLVNIKIISGCGSLTTFPLDFFPNLKDLSLFFCRNLQIISQKHTHYRLKHLTIVRCSRFDSFPSEGLSAPRLKTIDIYGANNLKLLPKRMQILLPSLNVLTIMNCPKVEMFPDGGLPPNVKDVSLSSFKLMPSLRETLGTNTCLQSLSIKNMDVEFFPDEVLLPHSITSLRIYRCPNLKKMHYKGLCHLSSLTLDDCPNLQCLPEDGLPKSISSLTIQDCPLLQQRCQNPEGADWEKIAHIQELIVCSKV >Vigun10g039100.3.v1.2 pep primary_assembly:ASM411807v1:10:5342884:5347306:1 gene:Vigun10g039100.v1.2 transcript:Vigun10g039100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSKLPRNYDVLISFNGEDIRRKFVSHLDSALSSVGLTTFLHYQNAVESMHVQQPILNLCRVVIVVFTKTYSQSAWCLHQLQQIIAWHESYCRHVLPVYYEIQPSDVRLQKGDFGKAFKETAQQTFSAQQLEDGMSRWSHALTKVASLFGWDESNHRSDAELVGKIVKSVLNLSALTATKFPVGLQDQVEDLIRTIRNKSTDVCTIGICGMGGSGKTTLAKAIYYQIHGTFMEKSFIEDIAQVSEPRERIHLQQQLLSDVLKTKVEIHSVEMGRSMIRDRLFRKRVLIVLDDIDDYFPLLDLRKSRSWLSEGTVIIMTARDEDLLRKHQVDSVFRINLMNEKESLELLSWHAFREPKPKKEYDYLARRVISCCGGLPLALELIGSSLFERREKEWHSVLVGLEGIHMDDVEQKLKISFDLCNQMEKALFLIVCRYYIGKDRSYAMKILNGPVVNADSGIRVLMERNLIKVKANNKFGIHRLLQQMGVEIFRENLKEEPWKHGRLWFDKGGEYALEVDTGRNASQTFPPILIPSREPSGFPEVVTVSKYIYTKLIWINLQGFSSEHLPKDFNMHGAVAIDLKHSLLRFFWKEPQVLRSLKVLNLSHSMYLTETPDFSRLPSLEQLILKDCPRLRKVHRSIGHLCYLILLNLKDCKCLSNLPREIYKLKSLRTLILSGCSKVGRMGKDIVRMKSLITLIAENTAVKQVPFSIVTSKAIGYMSIPGFKRLSCNLFPSIIIRSWMSPTMNPVSYIHSLCMDINNSWDDIVPLLGSVANLRSVLVQCETEFQLSKQVQYILAEYFSNITESGISKQHFRSSFIGVGAYYEFFNAVSGNISEVLLASSESSDISLLGDTHPYWFAYMGEGDSVSFTVPPDIDVKGMILCVVYLSTLEIEATECLRSVLIVNYTNCTLQIYKHSPVISFNDIDWHDIMSNLGPGDKVEIFVTFGHRLVVKNTILYLICGESNYLRKEPESKMNFLLRFIMKIVMCDF >Vigun10g039100.1.v1.2 pep primary_assembly:ASM411807v1:10:5342884:5347306:1 gene:Vigun10g039100.v1.2 transcript:Vigun10g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSKLPRNYDVLISFNGEDIRRKFVSHLDSALSSVGLTTFLHYQNAVESMHVQQPILNLCRVVIVVFTKTYSQSAWCLHQLQQIIAWHESYCRHVLPVYYEIQPSDVRLQKGDFGKAFKETAQQTFSAQQLEDGMSRWSHALTKVASLFGWDESNHRSDAELVGKIVKSVLNLSALTATKFPVGLQDQVEDLIRTIRNKSTDVCTIGICGMGGSGKTTLAKAIYYQIHGTFMEKSFIEDIAQVSEPRERIHLQQQLLSDVLKTKVEIHSVEMGRSMIRDRLFRKRVLIVLDDIDDYFPLLDLRKSRSWLSEGTVIIMTARDEDLLRKHQVDSVFRINLMNEKESLELLSWHAFREPKPKKEYDYLARRVISCCGGLPLALELIGSSLFERREKEWHSVLVGLEGIHMDDVEQKLKISFDLCNQMEKALFLIVCRYYIGKDRSYAMKILNGPVVNADSGIRVLMERNLIKVKANNKFGIHRLLQQMGVEIFRENLKEEPWKHGRLWFDKGGEYALEVDTGRNASQTFPPILIPSREPSGFPEVVTVSKYIYTKLIWINLQGFSSEHLPKDFNMHGAVAIDLKHSLLRFFWKEPQVLRSLKVLNLSHSMYLTETPDFSRLPSLEQLILKDCPRLRKVHRSIGHLCYLILLNLKDCKCLSNLPREIYKLKSLRTLILSGCSKVGRMGKDIVRMKSLITLIAENTAVKQVPFSIVTSKAIGYMSIPGFKRLSCNLFPSIIIRSWMSPTMNPVSYIHSLCMDINNSWDDIVPLLGSVANLRSVLVQCETEFQLSKQVQYILAEYFSNITESGISKQHFRSSFIGVGAYYEFFNAVSGNISEVLLASSESSDISLLGDTHPYWFAYMGEGDSVSFTVPPDIDVKGMILCVVYLSTLEIEATECLRSVLIVNYTNCTLQIYKHSPVISFNDIDWHDIMSNLGPGDKVEIFVTFGHRLVVKNTILYLICGESNYLRKEPESKMNFLLRFIMKIVMCDF >Vigun10g039100.2.v1.2 pep primary_assembly:ASM411807v1:10:5342884:5347306:1 gene:Vigun10g039100.v1.2 transcript:Vigun10g039100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSKLPRNYDVLISFNGEDIRRKFVSHLDSALSSVGLTTFLHYQNAVESMHVQQPILNLCRVVIVVFTKTYSQSAWCLHQLQQIIAWHESYCRHVLPVYYEIQPSDVRLQKGDFGKAFKETAQQTFSAQQLEDGMSRWSHALTKVASLFGWDESNHRSDAELVGKIVKSVLNLSALTATKFPVGLQDQVEDLIRTIRNKSTDVCTIGICGMGGSGKTTLAKAIYYQIHGTFMEKSFIEDIAQVSEPRERIHLQQQLLSDVLKTKVEIHSVEMGRSMIRDRLFRKRVLIVLDDIDDYFPLLDLRKSRSWLSEGTVIIMTARDEDLLRKHQVDSVFRINLMNEKESLELLSWHAFREPKPKKEYDYLARRVISCCGGLPLALELIGSSLFERREKEWHSVLVGLEGIHMDDVEQKLKISFDLCNQMEKALFLIVCRYYIGKDRSYAMKILNGPVVNADSGIRVLMERNLIKVKANNKFGIHRLLQQMGVEIFRENLKEEPWKHGRLWFDKGGEYALEVDTVLRSLKVLNLSHSMYLTETPDFSRLPSLEQLILKDCPRLRKVHRSIGHLCYLILLNLKDCKCLSNLPREIYKLKSLRTLILSGCSKVGRMGKDIVRMKSLITLIAENTAVKQVPFSIVTSKAIGYMSIPGFKRLSCNLFPSIIIRSWMSPTMNPVSYIHSLCMDINNSWDDIVPLLGSVANLRSVLVQCETEFQLSKQVQYILAEYFSNITESGISKQHFRSSFIGVGAYYEFFNAVSGNISEVLLASSESSDISLLGDTHPYWFAYMGEGDSVSFTVPPDIDVKGMILCVVYLSTLEIEATECLRSVLIVNYTNCTLQIYKHSPVISFNDIDWHDIMSNLGPGDKVEIFVTFGHRLVVKNTILYLICGESNYLRKEPESKMNFLLRFIMKIVMCDF >Vigun09g137100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29826610:29827846:1 gene:Vigun09g137100.v1.2 transcript:Vigun09g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMMAAIGICSGKQEKTVPPAPAENELKKRNEELEKELRESKEREEQMKRDLQSARERLRVAEEAEERLCSQLGELEAEAVYQARDYHAQIVSLMEQLSRAQSLLLKTGASSIPVSSSS >Vigun05g020100.2.v1.2 pep primary_assembly:ASM411807v1:5:1670397:1673052:-1 gene:Vigun05g020100.v1.2 transcript:Vigun05g020100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYITVRIIFINNKSSSQIPVKHTLHQYKFFECKMANVEHNGNTCQITLWLLFLTTTFLIANSARILDEVEAQPQAIGNLAASGTVNPSFITGPVTTSQQAGPATTLPINQTPAATTTPLVGIVANTDVTGLPFSKLNNNLFPITGGIPLVNPKLNGIITNNNLPNLVGLSGAQSSTVFKNSGTSNTVTGGDNQPFVSAGNLPAGFTIQKLMFGSVTVIDDQLTEGHELDSAVIGRAQGFYLASSLDGTSQTILLTIMVHGGEHDQHHEGLEDSISLFGIHRTASTESEIAVIGGTGKYENAKGYASLETLLKEDQHTTDGVDTILHFNVYLTE >Vigun05g020100.1.v1.2 pep primary_assembly:ASM411807v1:5:1670397:1673052:-1 gene:Vigun05g020100.v1.2 transcript:Vigun05g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYITVRIIFINNKSSSQIPVKHTLHQYKFFECKMANVEHNGNTCQITLWLLFLTTTFLIANSARILDEVEAQPQAIGNLAASGTVNPSFITGPVTTSQQAGPATTLPINQTPAATTTPLVVPATTLPSGQTPAATSISPVAAEESGDEDDNADPPVPETEAPPEDIPPVPTPTPEVLPAIPNATPVTPNPVAKESSFSFFMHDILGGSRPSARVVAGIVANTDVTGLPFSKLNNNLFPITGGIPLVNPKLNGIITNNNLPNLVGLSGAQSSTVFKNSGTSNTVTGGDNQPFVSAGNLPAGFTIQKLMFGSVTVIDDQLTEGHELDSAVIGRAQGFYLASSLDGTSQTILLTIMVHGGEHDQHHEGLEDSISLFGIHRTASTESEIAVIGGTGKYENAKGYASLETLLKEDQHTTDGVDTILHFNVYLTE >Vigun03g255400.1.v1.2 pep primary_assembly:ASM411807v1:3:42181837:42183308:-1 gene:Vigun03g255400.v1.2 transcript:Vigun03g255400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSIATTTAAAAATCNRNNNLNHKPLSSSLPSSQFSRQTPTTNNNTVSNKAMEDVWDGINLTSLTDHNTKAAKFQDFLPRPFNSFIVDTSPVTALTLSTRSEYPPPQNLQLVHTASKTEPFAHPFSNKRAPPPSRDMRDARLMKNRESAARSRARKQAYLFELKQKIKQLREENARLRKQQQLQLGESATNEWKKGNLYRTYTAPF >Vigun11g101675.1.v1.2 pep primary_assembly:ASM411807v1:11:29808842:29813133:1 gene:Vigun11g101675.v1.2 transcript:Vigun11g101675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEATVSARTTNLDSHYILDAYETDIMHRMTEWDGHQPNLNIHVPVSSSLVVYLNPHFNASGASSSIAHHNTRHTEIGIPILPYYGFGEYMITHDSNVTLTWGYERGRFVCSVLTLRDATCGEVRGLVDHMCWTTGGQVRRVGLRAGRFVEAGPRAGRFEVVEYFEQIGNVGTSLSEEIFTRVVKTKTFLAPNNLEGVTSNEQETDICVICQDEYKNQEEIGILRCRHEYHVDCIRRWLHEKNVCPICKSEVLDHE >VigunL059192.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000584.1:26155:26595:-1 gene:VigunL059192.v1.2 transcript:VigunL059192.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGVVGLVVVVLVVVGMVVVVEVAMVVVVVGVVVWVVVVVVVAAVVVVVVAMVVVVLVEVVVVAVVVVVVVVIVALVVVVVVVVVLLVVVVAVLVVIVVVVVMVVVVLVVLVVMLVVVVVVVVVIEVIVIVVVKVIIVVVVW >Vigun01g031850.1.v1.2 pep primary_assembly:ASM411807v1:1:4001189:4002091:-1 gene:Vigun01g031850.v1.2 transcript:Vigun01g031850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSAHGAVVLWKFTTIYIRNQDFGYVDRHFAAAFEADLTPNWVLSDSFGNKFNVTYNMDTANPRIVHGWIELEKSYVSQIWDAHVQFRYLGNSEFEITVFVGECSPENKRAFLRRANRVPEGSFFSVTLTKSQAERSHLDLSVPFGNLIRTKKLSEVVLLTPKTGVLCKILLSKTKNSTKFGMGWRQFCTEHGLKEGDTLLFEVDHSETEPIIDVFINECFCDVAESVNLV >Vigun03g201900.2.v1.2 pep primary_assembly:ASM411807v1:3:31090897:31091917:-1 gene:Vigun03g201900.v1.2 transcript:Vigun03g201900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIANIITYIRNADMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFYTLGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGETFKIRGRIIVICFNPKHKQRQG >Vigun06g001100.1.v1.2 pep primary_assembly:ASM411807v1:6:539632:549648:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHERFLRTMRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPALGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.6.v1.2 pep primary_assembly:ASM411807v1:6:539632:548556:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHELGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.7.v1.2 pep primary_assembly:ASM411807v1:6:539632:548564:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHERFLRTMRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPALGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.13.v1.2 pep primary_assembly:ASM411807v1:6:539632:549648:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHERFLRTMRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPASTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.3.v1.2 pep primary_assembly:ASM411807v1:6:539632:549648:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADITHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHELGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.14.v1.2 pep primary_assembly:ASM411807v1:6:539632:549648:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPALGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.15.v1.2 pep primary_assembly:ASM411807v1:6:539632:549648:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPASTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.8.v1.2 pep primary_assembly:ASM411807v1:6:539632:549112:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHERFLRTMRAIQGALIVASSIQIVLGYSQIWGLFSRFFSPLGMAPIVGLVGLGFIQRGFPALGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun06g001100.5.v1.2 pep primary_assembly:ASM411807v1:6:539632:549112:-1 gene:Vigun06g001100.v1.2 transcript:Vigun06g001100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETILLAFQNYILMLGTSVMIPSMLVPAMGGSSGDKAQVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIAYIISDSSLQRINDPHELGNCVEIGIPMLLLIVGLSQYLKHVRLYRDIPIFERFPVLICVTIVWIYSVILTASGAYRHKATITQNSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAAIYCVVFGLVAAVGISFLQFTNMNSMRNLIITGLTLFLGISVPQFFNQYWTSSHHGPVHTNAGWFNAFMNTIFSSPATVGLIVAVLLDNTLEVERSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Vigun02g064500.2.v1.2 pep primary_assembly:ASM411807v1:2:21321619:21327641:1 gene:Vigun02g064500.v1.2 transcript:Vigun02g064500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQSGIGISKILFIAGAGYTGTVLIKNGKLSDIIGNLQVLVKGLENGDQEGESEYADAIAAQVRRLANEVRQLTSSRPITVLNGGSGQSNLSSLIVPAAAVGALGYGYMWWKGISFSDLMYVTKQSMEKAVGDLTKKLQHASDVIADAKKHLIQRIQNLDDKMLKQIDLARSTKDEVAGVRSTITSLHEDLGFLQQTVEQMDERLTTLSSKQDYANYGLAYLIDFVHGKGQKMPELLQEQLKISGKSPNMITFKGAPNVMGLKDITETLSIGLDRAASDTIMPDGAEKLTQLRRPLLRTSSTRC >Vigun02g064500.1.v1.2 pep primary_assembly:ASM411807v1:2:21321619:21327641:1 gene:Vigun02g064500.v1.2 transcript:Vigun02g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQSGIGISKILFIAGAGYTGTVLIKNGKLSDIIGNLQVLVKGLENGDQEGESEYADAIAAQVRRLANEVRQLTSSRPITVLNGGSGQSNLSSLIVPAAAVGALGYGYMWWKGISFSDLMYVTKQSMEKAVGDLTKKLQHASDVIADAKKHLIQRIQNLDDKMLKQIDLARSTKDEVAGVRSTITSLHEDLGFLQQTVEQMDERLTTLSSKQDYANYGLAYLIDFVHGKGQKMPELLQQEQLKISGKSPNMITFKGAPNVMGLKDITETLSIGLDRAASDTIMPDGAEKLTQLRRPLLRTSSTRC >Vigun03g333500.1.v1.2 pep primary_assembly:ASM411807v1:3:53134850:53143451:-1 gene:Vigun03g333500.v1.2 transcript:Vigun03g333500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRQWRPTPPPNLCPTCSLSHFPFCPAPPPPPYHHPHQPPLPYASANPNFQNFKRPRIHDNNTHPLNFSDDQRRLQLIRDHGTNPSLPHTQNAFHPHDAVPPHHRHNAQFRSSPHPFHPYPDPSQCFPDVSPAPYHHSHAPHVGMQPPPPPHVNFPSHEGNHHNQHKLNGRVGHYPYPYPAGNNSNGSSDNNMEASRFLRGHPQPPLPSSPPPPLPMEPSMNQYKTYFSPPKKPASLFPVQLSSSPSSFSQVPEPHSLPQPYHPTGFPSEEPSKHYLGDSQPFSLNQFSADRPKFIDAANLFRHPHRASRPDHFVIIFRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKVEDSEASKSSSSGRNKKPVTKKVMEYCYEPEMEEAYRSSMLKAFKKTVEEGVFTFIIVDDRNLRVADFAQFWATAKRSGYEVYILEATYKDPVGCAARNVHGFTQEDIEKMSKQWEEAPSLYLQLDVKSLFHGDDLKESRIQEVDMDMEDDMGDVLPPVQGREAEKVVDPTVEEDASFLEKTGKKWDAEGEHPTEVRELGKSKWSEDFSEDDIGQTEGMKGNINALSGLIHQYGKERKSVHWGDQGGKTGFSIGAARKVNALSIVIGPGAGYNLKSNPLPEEDSPSRNSVESKKHSIFQERIRAERESFKAVFDRRRHRIGGLDVEED >Vigun03g333500.2.v1.2 pep primary_assembly:ASM411807v1:3:53134850:53143451:-1 gene:Vigun03g333500.v1.2 transcript:Vigun03g333500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRQWRPTPPPNLCPTCSLSHFPFCPAPPPPPYHHPHQPPLPYASANPNFQNFKRPRIHDNNTHPLNFSDDQRRLQLIRDHGTNPSLPHTQNAFHPHDAVPPHHRHNAQFRSSPHPFHPYPDPSQCFPDVSPAPYHHSHAPHVGMQPPPPPHVNFPSHEGNHHNQHKLNGRVGHYPYPYPAGNNSNGSSDNNMEASRFLRGHPQPPLPSSPPPPLPMEPSMNQYKTYFSPPKKPASLFPVQLSSSPSSFSQVPEPHSLPQPYHPTGFPSEEPSKHYLGDSQPFSLNQFSADRPKFIDAANLFRHPHRASRPDHFVIIFRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEDSEASKSSSSGRNKKPVTKKVMEYCYEPEMEEAYRSSMLKAFKKTVEEGVFTFIIVDDRNLRVADFAQFWATAKRSGYEVYILEATYKDPVGCAARNVHGFTQEDIEKMSKQWEEAPSLYLQLDVKSLFHGDDLKESRIQEVDMDMEDDMGDVLPPVQGREAEKVVDPTVEEDASFLEKTGKKWDAEGEHPTEVRELGKSKWSEDFSEDDIGQTEGMKGNINALSGLIHQYGKERKSVHWGDQGGKTGFSIGAARKVNALSIVIGPGAGYNLKSNPLPEEDSPSRNSVESKKHSIFQERIRAERESFKAVFDRRRHRIGGLDVEED >VigunL060637.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:20562:21481:1 gene:VigunL060637.v1.2 transcript:VigunL060637.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun08g070800.1.v1.2 pep primary_assembly:ASM411807v1:8:11377085:11378638:1 gene:Vigun08g070800.v1.2 transcript:Vigun08g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHHCGKGRNSRGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTKVPIKMGNTLPLTDMPLGTTIHNIEITLGKGGQLARAGVVAKLIAKEGKSATLKLPSGEVRLISKNCSVTVGQVGNVGVNQKNLGRARSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKTATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun09g078500.2.v1.2 pep primary_assembly:ASM411807v1:9:9118551:9120175:1 gene:Vigun09g078500.v1.2 transcript:Vigun09g078500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLHKDYCQQTPIHFNYRFFNWNLDLSCEEELVHGLKGLFACNIPDSGKLELRHLSRFSGFSASIGLLGDAEKGYSPVANLSGLIGTRILSLGANLAYDISATRTTNSLNAGLSLNTPYLVASVTLHDYFQTLKGCCYFEVNPLTKTAIAAEVKYDLADDETTGVTIGAQHALFPWTLLKARLSSNCRVGALIQQKLGRKFSITVAGEVNLNEKDKEKCPKVGVYMAMK >Vigun09g078500.1.v1.2 pep primary_assembly:ASM411807v1:9:9118153:9120175:1 gene:Vigun09g078500.v1.2 transcript:Vigun09g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGPGLYFDIGKKARDVLHKDYCQQTPIHFNYRFFNWNLDLSCEEELVHGLKGLFACNIPDSGKLELRHLSRFSGFSASIGLLGDAEKGYSPVANLSGLIGTRILSLGANLAYDISATRTTNSLNAGLSLNTPYLVASVTLHDYFQTLKGCCYFEVNPLTKTAIAAEVKYDLADDETTGVTIGAQHALFPWTLLKARLSSNCRVGALIQQKLGRKFSITVAGEVNLNEKDKEKCPKVGVYMAMK >VigunL014100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:244869:245360:1 gene:VigunL014100.v1.2 transcript:VigunL014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNLIVVVRLYYGFLTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVITIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSLIFLRFINIYLFQIKTKL >Vigun05g222200.1.v1.2 pep primary_assembly:ASM411807v1:5:41409996:41413677:1 gene:Vigun05g222200.v1.2 transcript:Vigun05g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSPDPPLPAFSPRFALMTGSQRKIAIAVDLSDESAYAVRWAVQNYLRPGDVVILLHVRPTSVLYGADWGSVDLSVAEEGGAGGDGGDEESRRKLEDDFDNFTSTKASDVAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASKRAAKGRLGSVSDYCVHHCVCPVVVVRYPEENDNGSGNGNGGDVLVGEQAELPPVPEEEHEVYHDASDEHRDA >Vigun07g108000.3.v1.2 pep primary_assembly:ASM411807v1:7:19918924:19921420:-1 gene:Vigun07g108000.v1.2 transcript:Vigun07g108000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPWSDDDESSSSHSDSEHDSEAGAENSKGKSRKQKSGVIDFEALRRHGYRGGPSVLKVPAPREGDESKQDWSWSSGKEKRAEKEIEESYEERQKTREAISQGEQLPAALTRNDKKNLSFSQKEKRKRELGQASRGKNYVEEEKRLLRENGIYSGFDA >Vigun07g108000.4.v1.2 pep primary_assembly:ASM411807v1:7:19918924:19921498:-1 gene:Vigun07g108000.v1.2 transcript:Vigun07g108000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPWSDDDESSSSHSDSEHDSEAGAENSKGKSRKQKSGVIDFEALRRHGYRGGPSVLKVPAPREGDESKQDWSWSSGKEKRAEKEIEESYEERQKTREAISQGEQLPAALTRNDKKNLSFSQKEKRKRELGQASRGKNYVEEEKRLLRENGIYSGFDA >Vigun07g108000.5.v1.2 pep primary_assembly:ASM411807v1:7:19918907:19921498:-1 gene:Vigun07g108000.v1.2 transcript:Vigun07g108000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPWSDDDESSSSHSDSEHDSEAGAENSKGKSRKQKSGVIDFEALRRHGYRGGPSVLKVPAPREGDESKQDWSWSSGKEKRAEKEIEESYEERQKTREAISQGEQLPAALTRNDKKNLSFSQKEKRKRELGQASRGKNYVEEEKRLLRENGIYSGFDA >Vigun07g108000.2.v1.2 pep primary_assembly:ASM411807v1:7:19918906:19921448:-1 gene:Vigun07g108000.v1.2 transcript:Vigun07g108000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPWSDDDESSSSHSDSEHDSEAGAENSKGKSRKQKSGVIDFEALRRHGYRGGPSVLKVPAPREGDESKQDWSWSSGKEKRAEKEIEESYEERQKTREAISQGEQLPAALTRNDKKNLSFSQKEKRKRELGQASRGKNYVEEEKRLLRENGIYSGFDA >Vigun03g092700.1.v1.2 pep primary_assembly:ASM411807v1:3:7820778:7828432:1 gene:Vigun03g092700.v1.2 transcript:Vigun03g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKHATKFREQVAKQQQAVKKQFTSSGYESSDVVVIDEVEMQRHQQLEKLYRATRAGKDFQKEIVKAAETFTAIGYKHIETGTKLSEDCCKYGAENNSENILAKAASVYGDARKHVEKEHEELNRLLSTQVLDPLRQMINGAPLEDARHLAQRYSRMRQEAEAQTEEIARRKIRVRESQSAEHVARLHAAEAKMQELKANMAVLGKEASAALAAVEAQQQRLTFQRLVAMVEGEKTFHLRVAAILGEIEAEMVSDRQKKESAPPVGISENGSEKTMYFLAEATHPFSAESEKELSFSKGDFVVVRKVNPSGWSEGECNGRAGWFPSAYVEKRQRIPSSNSASEVF >Vigun05g284200.1.v1.2 pep primary_assembly:ASM411807v1:5:47235818:47241502:1 gene:Vigun05g284200.v1.2 transcript:Vigun05g284200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADMSNNPPPTSHAKDFFASPALSLSLAGIFRHAGVAAEAEAATSNMEVEEWEEGSGGGERLEEISSENSGPTRSRSEDDFEGEGEHEDADADADGDRKKKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSEIEKLKEKNKTLRETINKACCPTCGVPTTSRDGAMPTEEQQLRIENAKLKAEVEKLRAALGKYAPGSTSPSCSSGHDQENRSSLDFYTGIFGIDNSRIMDIVNQAMEELIKMATMGEPLWLRSFETGREILNYDEYVKEFAVENSSSGKPKRSIEASRDTGVVFVDLPRLVQSFLDVNQWKEMFPCLISKAATVDVICNGEGPNRNGAVQLMFAELQMLTPMVPTREVYFVRFCKQLSAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSAVHSLYRTIVNSGLAFGAKHWITTLQLQCERLVFFMATNVPMKDSTGVATLAGRKSILKLAQRMTSSFCHAIGASSFHTWTKVTSKNGEDIRISSRKNLNDPGEPLGLILCAVCSVWLPVSQNVLFDFLRDETRRNEWDIMSSGGTVQSIANLSKGQDRGNAVTIQTIKLKENSVWILQDSCTNPYESMVVYASVDITGIQSVMTGCDSSNLAILPSGFSIIPDGLESRPLVITSRQEEKYTEGGSLFTMAFQILTNSSPTAKLTVESVDSVNTLVSCTLRNIRTCLQCEEG >Vigun03g287600.1.v1.2 pep primary_assembly:ASM411807v1:3:46934264:46938404:1 gene:Vigun03g287600.v1.2 transcript:Vigun03g287600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLQRALRATMARRFSTQALVETKPGEIGIVSGIPQEHLRRRVVIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYAHVGDSALTFDSEEAAKAFAEKHGWEYSVKKRHTPLLKVKSYADNFKWKGPPKPAEE >Vigun06g199600.1.v1.2 pep primary_assembly:ASM411807v1:6:31492832:31495171:1 gene:Vigun06g199600.v1.2 transcript:Vigun06g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNCEKMVVIPPTTNQWPQVDDHKVLMGSFGGSNNTKVMEKPDQELVQQQLQQQTLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPMTNSSAAAIDTASSSNSSSALTAASQPQIDTASTSNHINPLFYGLSSCSSDVNLPLFPRFASRLSSSGFDLQLNNALGLGFSSGVVSNEASENNSFRNGFGSNNALLSSYNSIFGSSSSSTSTTPAMSSLLSSTLLQQKFIGSGLKDGVDSNSFQHGLGLTPLEQLQMPSDNSEAGMVSLKDVKVELGQNNRLEWNGACQNQIQHVGMYDPSLYWNNSATALGVWNDQAANIGSSVTSLI >Vigun11g199200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39725322:39725981:-1 gene:Vigun11g199200.v1.2 transcript:Vigun11g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLIEMARKWQKMAAGKRKRISYPRHHNLHSSAPNKGHFVVYSVDHKRFVVPLKYLTTNVFKELLNWSEEEFGLPSNGPITLPCDSIFLEYVISLIREHVPEEVEKALITSMVTCHHLASSSSSSSSSSRMIIYGF >Vigun05g190100.1.v1.2 pep primary_assembly:ASM411807v1:5:36929482:36939866:1 gene:Vigun05g190100.v1.2 transcript:Vigun05g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRRGNGDGDGGGDAVGGGKNKTSEQAEAHHSHGHTLPASQTQESHQRTAADGREDLDEAAIDGKTFDDLGLADWVVKTCRELGMRMPRRVQQRCIPPVLEGRHVLGIDETGSGKTAAFALPILHRLAEHPFGVFALVVTPTRELAFQLAEQFRALGSAVHLRITVVVGGMDALKQAKDLVARPHLVIATPGRIHVLLRDNPDISAVFSRTKFLVLDEADRVLDACFQEELKFIFQCLPETRQNLFFSATTTSTLQKLRERYQDKMYVYEAYEGFKTVESLKQQVIFIPKKVKDVYLMHILSKMEDMGIRSAIVFISTCRDCHRLSLMLEVLDQEAAALYSFKSQTQRLEALHQFKSGKVSVLLATDVASRGLDIPTVDLVINYDVPRFPRDYIHRVGRTARAGRGGLALSLVTQNDVELIHEIEALIEKQLEMIEYKESEALSLMKKVFSAKNVAEMRMIDDGFEEKAKERKNQKLKMLAEKGLLKKNKRRKKSKEFSEQGKEVFGAKSVEEMKMGEDSIERETKTKSAKRKRFVNEKKVKSKEVKNFTGGGKKQKQKSRGIS >Vigun05g226400.3.v1.2 pep primary_assembly:ASM411807v1:5:41892204:41896015:1 gene:Vigun05g226400.v1.2 transcript:Vigun05g226400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESRPVPRRESPWGITGDNHPEPKAHRCNDRVEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLDFDPPKKEANPVKPDQ >Vigun05g226400.2.v1.2 pep primary_assembly:ASM411807v1:5:41892058:41896444:1 gene:Vigun05g226400.v1.2 transcript:Vigun05g226400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESRPVPRRESPWGITGDNHPEPKAHRCNDRVEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLDFDPPKKEANPVKPDQ >Vigun11g157500.1.v1.2 pep primary_assembly:ASM411807v1:11:36620505:36627448:1 gene:Vigun11g157500.v1.2 transcript:Vigun11g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELERRPMMGSNEGSFGDDLEKEIGMLLREQRRQEADDRERELNIFRSGSAPPTVEGSLSAVGGLFGGGAVAGAGGGGAGAFSEFHGAKDVNGIASEEELRSDPAYLTYYYSNVNLNPRLPPPLLSKEDWRFQQRLKGGSSVVGGIGDRRKVNRADDTGGRSFFSTPPGFNMRKQESEVDNEKTRGTAEWGGGGLIGLPGIGLSKQKSFAEIFQDDLVRNTSVTGPPSRPASRNAFDDNDILSSAEAELAHVRRESTTTDGLRSGSNVQGSSASQNTGLPASYSYAAAVGSSLSRSTTPDPQHVARAPSPCITPIGGGRATPSDKRVIAGPDGFNSVSSDINESADLVAALSVMNLSADNMLDGDNHLSAQVESDVDNHQRYLFGRQGGQDHGKQHAYLKKSESAHLQNASKSRNGSDLSNPSLDRQVELQKSNVPSNNSYFKGSPTSHFSRGGSLPPQYQPLDGSNSSYTNYDLSGYSGNPALASLMTNQLGTGNLPPLFENVAAASAMVAPGMDSRILGGGLASRAAAPSDVHNLGRMGNQIPGSALQAPFVDPMYLQYLRTSEYAAQLGALNDPSVDRNYLGNSYMSFLELQKAYLGSILSPQKSQYNVPLGGKSGSSTPHGYYGNPAYGVGLSYPGSPMANSVVSTSPVGSGSPVRHNEMRFASGMRNLAGVMGPWHVDTGNIDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEIMPHALALMTDVFGNYVVQKFFEHGLVAQRRELANKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVQELDGNVMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCKDLTTQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVHEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPQPA >Vigun07g182100.1.v1.2 pep primary_assembly:ASM411807v1:7:29859809:29864709:-1 gene:Vigun07g182100.v1.2 transcript:Vigun07g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSKESSHNFHCFVLEILCLSLSHTNTYNLKHKYKNTKICLLISLHHNLIMAFISSPFITCKKDLSFSALSSQSFTIGQRQTCKKTSSKKIVSVVSSQKNKRNPSATGSTKIPMTATEKILARAAEKCEVKPGENAWTNVDVLMINDITCPGITGIFKKQFGNTAKVWDREKVVVIPDHYIFTNDERAHRNVDIARDFCVEQDIKYFYDIQDRSNFRANPDYKGVCHVALAQEGHCRPGEVLFGTDSHTTSAGAFGQFATGVGNTDAAFILGTGKILLKVPPTLRFILDGEMPSYLLAKDLILNIIGEISMSGATYKTMEFVGTTTESLSMEERMTLCNMVVEAGGKNGIVAADRTTYKYLEDKTSSPYEPVFSDENARFLAQYRFDVSKMEPLIAKPHSPDNRALARKCNNVKIDRVYIGSCTGGKTEDFMAAAKVFLAGGKTVKVPTFLVPATQKVWMDLYTLEVPGSGGKTCSKIFEEAGCDPPASPSCAACMGGPRDTFGRLNEPQVCVSTTNRNFPGRMGHIEGQIYLASPYTAAASALTGFVTDPRKFL >Vigun11g154200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36341639:36343174:-1 gene:Vigun11g154200.v1.2 transcript:Vigun11g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFLCTFFILAVTTLTSPTGALILTLVNNCNYTVWPAIQPNAGHPVLAGGGFTLNTLTHQSIPVPDVHWSGRVWARTGCTHSGAGFSCASGDCGGRLQCNGAGGSPPASLAQVEVHHGNGDSVSYGVSLVDGFNVPMTVTPHEGKGVCPVVGCRADLLATCPPVLQHRVPAVHGPVVACKSGCEAFHTDELCCRNHFNSPHTCRESVYSTFFKHACPNTFTYAHDNPSLMHQCSSPHELKVIFCH >Vigun05g270200.1.v1.2 pep primary_assembly:ASM411807v1:5:46166516:46167886:-1 gene:Vigun05g270200.v1.2 transcript:Vigun05g270200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPYTTTPKKPSPHSNTHHNNIVDEAAALDNTNMHRSNQSHTSTSRSSDSSEPNEDGKWAPKLLRECAKAISERDSTKTHHLLWMLNELASPYGDCDQKLASYFLQALFCRATESGERCYKTLSSVAEKNHTFDSARRLILKFQEVSPWTTFGHVASNGAILEALEGEPKLHIIDLSNTLCTQWPTLLEALATRNDETPHLKLTVVALAGSVMKEVGQRMEKFARLMGVPFEFNVITGLRDLTKEGLGVQEDEAVAVNCVGALRRVEVEERENLIRVLKSLGPKVVTVVEEEADFCSSGEDFVKCFEECLKFYSLYFEMLEESFPPTSNERLMLERECSRSIVRVLACCGGGGGGDDMEDDGEEFECCERRERGTQWCERLRNAFSPVGFSDDVVDDVKALLKRYQPGWSLVVSQGDDHLSGIYLTWKEEPVVWASAWKP >Vigun06g102400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23247969:23249936:-1 gene:Vigun06g102400.v1.2 transcript:Vigun06g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRWNFSAHVPSWLDSLNIPFTIKAPTFKNPFLTTSKSVLDHTHLSSLLSVCGGDGNLNLGSSIHARIIKQPPFYDSDSSLRNALFVWNSLLSMYTKCGQLQDAVKLFDHMPVKDTVSWNTMVSGFLRNRDLDTGFRFFKQMSESRTVCCRFDKATLTTMLSACDGLEFSGATKMVHGLVFVGGFEREVTVGNALITSYFKCGCFSEGKQVFHEMLERNVITWTAVISGLAKNELYEDGLRLFNQMRCGSVSPNSLTYLSVLMACSGLQALSDGRKIHGMLWKLGMQSDLCIESALMDLYSKCGSLEAAWQIFEFAEELDEVSLTVILIAFTQNGLEEEAIKIFMRMVKLGIEVDPNMVSAILGVFVLGTSLAFGKQIHSLIIKKSFSQNLFVSNGLINMYSKCGDLYDSLQVFGEMTQKNSVSWNSVIAAYARYGDGFRALQFYDAMREEGIAPTDVTFLSLLHACSHAGLVEKGMEFLESMTRDHGLSPRSEHYACVVDMLGRAGFLEEAKRFIEGLPENPGVLVWQALLGACGIHGDSEMGKYAADQLFLATPDSPAPYVLMANIYSSEGKWKERAGAIKRMKEMGVAKEVGISWIEIEKKVNSFVAGDKMHPQADVIFWLLSVLLEHLKDEGYVPDKRCILYYLDQDKMD >Vigun08g001300.1.v1.2 pep primary_assembly:ASM411807v1:8:164430:167540:1 gene:Vigun08g001300.v1.2 transcript:Vigun08g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFCSGTRRKDVGLESSLRSNSKTKSKRSSATSYSFRELAAASRGFNKENLIGEGGFGKVYKGRLSTGQLVAIKQLRLDGETHQGNREFVTEVLMLSLLHHSNLVKLHGYCTHGDQRLLVYEYMPMGSLEQHISEKKALSWRTRLMIGLGAARGLQYLHCVANPPVIYRDLKSANILLDSNLHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAMDVTRKQREQSLVAWARPYLSDRRKVNQIVDPLLEGNYPLRCLQNAVAITAMCLQEQPNLRPSISDIVVALEYLASESVSEVTRHRSRSPPRQSLSISDTQTDPH >Vigun06g154200.1.v1.2 pep primary_assembly:ASM411807v1:6:27806609:27816285:-1 gene:Vigun06g154200.v1.2 transcript:Vigun06g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIDNDSLSEFLASAVHAAQKAGEIIRKGFYQTKHVEHKGQVDLVTETDKACEELIFNHLKQLYPTHKFIGEETTAAYGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTIGVVYNPIINELFTGIRGKGAFLNGDPIKVSSQTELISALLATEAGTKRDKETLDESTNRIKSLLFKVRSLRMSGSCALNLCGIACGRLDIFFELGFGGPWDVAGGAVIVREAGGVVFDPSGADFDITSQRVAASNPFLKDAFVDALRQMINCMGFCEG >Vigun11g027200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3558965:3561540:1 gene:Vigun11g027200.v1.2 transcript:Vigun11g027200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVLSHSKTAPFGFSIVSNKVSLRPFNKHFRAGVLANRPKSISFQLNCTYRNPFSSVPKPARKRADLLPPLSCSISSNASTESQNPVIDFFRSLSFDSIKATLLQLTPIKIVKWSGLLCIIIAATKWTMNMLFSPFFWMYFSWTWLFWPWLVAIALAVYGLYCFRRHLLGEANIFEQLAIVTSVFTWLTLVPPGHFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDLYPRPHDPKWNINVPTLSRLLFSAGVMVGHWLAAFEGPELHLIPGGWNNLGIWVLIFTTLLMQYNATLYLAKYSENVVEPSAVVQFGPYRWVRHPIYSSTMLLFVTYCIALRAPLSLLFIVAVCLLYYKQKAEMEEALMVETFGQSYTDYASKVKFKLIPFIY >Vigun11g027200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3558927:3561626:1 gene:Vigun11g027200.v1.2 transcript:Vigun11g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVLSHSKTAPFGFSIVSNKVSLRPFNKHFRAGVLANRPKSISFQLNCTYRNPFSSVPKPARKRADLLPPLSCSISSNASTESQNPVIDFFRSLSFDSIKATLLQLTPIKIVKWSGLLCIIIAATKWTMNMLFSPFFWMYFSWTWLFWPWLVAIALAVYGLYCFRRHLLGEANIFEQLAIVTSVFTWLTLVPPGHFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDLYPRPHDPKWNINVPTLSRLLFSAGVMVGHWLAAFEGPELHLIPGGWNNLGIWVLIFTTLLMQYNATLYLAKYSENVVEPSAVVQFGPYRWVRHPIYSSTMLLFVTYCIALRAPLSLLFIVAVCLLYYKQKAEMEEALMVETFGQSYTDYASKVKFKLIPFIY >Vigun09g247800.1.v1.2 pep primary_assembly:ASM411807v1:9:41553463:41558420:-1 gene:Vigun09g247800.v1.2 transcript:Vigun09g247800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLVEEHPYLCCHVGLLCFLLFCQKAWALWCTNNPSQPNIPPGNRGLPFLGETLQFMAAINNTKGVYEFVQARRHRYGKCFKTKLFRETHVFISGTESAKVILNNEEKFSKKYMKSIAELVGRDSLLCAAHQHHKLIRGSLFSLFSTQSLSSFVELFDSLLLEATSSWKCGSVIVIQDETIKLACKAMCKMLISIENGYELESMQNQVSRLGEAMLALPVRLPWTTFYKGLQARKRIMDILEKTISERRSGIASNHVDFLQQLLDDKLHDDGIPRLTDREIKDNILTMIIAGQDTIANAMTWMIKFVDENPLVFNTLMKEQLEIEKNGSRNSYLTVEALNEMPYASKVVKEALRKASVVQWLPRVALEDCVIEGYKIKKGWNINVDARSIHHDPTVHNDPDVFNPSRFPEESKAYSFLAFGMGGRACLGKNMAKAMMLVFLHRFITNCEWKVIDSDSSIQKGALFTKLKSGYPVRLISTRNDQNITM >Vigun09g247800.2.v1.2 pep primary_assembly:ASM411807v1:9:41553474:41558275:-1 gene:Vigun09g247800.v1.2 transcript:Vigun09g247800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLVEEHPYLCCHVGLLCFLLFCQKAWALWCTNNPSQPNIPPGNRGLPFLGETLQFMAAINNTKGVYEFVQARRHRYGKCFKTKLFRETHVFISGTESAKVILNNEEKFSKKYMKSIAELVGRDSLLCAAHQHHKLIRGSLFSLFSTQSLSSFVELFDSLLLEATSSWKCGSVIVIQDETIKLVNEMRLRVLFLMQLACKAMCKMLISIENGYELESMQNQVSRLGEAMLALPVRLPWTTFYKGLQARKRIMDILEKTISERRSGIASNHVDFLQQLLDDKLHDDGIPRLTDREIKDNILTMIIAGQDTIANAMTWMIKFVDENPLVFNTLMKEQLEIEKNGSRNSYLTVEALNEMPYASKVVKEALRKASVVQWLPRVALEDCVIEGYKIKKGWNINVDARSIHHDPTVHNDPDVFNPSRFPEESKAYSFLAFGMGGRACLGKNMAKAMMLVFLHRFITNCEWKVIDSDSSIQKGALFTKLKSGYPVRLISTRNDQNITM >Vigun03g073800.2.v1.2 pep primary_assembly:ASM411807v1:3:6083938:6089067:1 gene:Vigun03g073800.v1.2 transcript:Vigun03g073800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGHRQTKTTQNFNLPLKAVNLGNWLVIEGWMKPSLFDGIPNKDLLDGTQVQLMSTKLQKYLCAEKGGGSIVVANRTRAKGWETFRLWRVNETAFNFRVSSKQFVGLANQDEGNSLVADSDSPSDRETFEILRNDDEPNRVRIRASNGLFLQAISETAVVADYEGSSWDDNDPSVFKMNVLTGSIIRGEYQITNGYGPDKAPKIMQDHWNTYITEDDFKFMSENGLNGVRIPVGWWIAQDPTPPKPFVGGSLEMLDNAFTWAEKYGIKVIVDLHAAPGSQNGKPHSASRDGFLEWGDSYISDTVAAIDFLAQRYANRSGLVAIELMNEPQGVNLESLKSYYQAGYDAVRKHTSSAYVIMSNPLDRESKVLLSFAGAFNKVAIDVHYYNLFSDRFSNMNVQQNIDYIRKQRASDLSSLTTSDGPLIFVGEWSGDWKVEGASKESQQKFMQAQLQVYSGAKFGWAYWAYKCDSNSWSIKWMIENGYAKLS >Vigun03g073800.1.v1.2 pep primary_assembly:ASM411807v1:3:6086397:6089067:1 gene:Vigun03g073800.v1.2 transcript:Vigun03g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTLYSCVALTPGNKKCSKMNNQLFYANLVLAFYLSSYHALAQTQNFNLPLKAVNLGNWLVIEGWMKPSLFDGIPNKDLLDGTQVQLMSTKLQKYLCAEKGGGSIVVANRTRAKGWETFRLWRVNETAFNFRVSSKQFVGLANQDEGNSLVADSDSPSDRETFEILRNDDEPNRVRIRASNGLFLQAISETAVVADYEGSSWDDNDPSVFKMNVLTGSIIRGEYQITNGYGPDKAPKIMQDHWNTYITEDDFKFMSENGLNGVRIPVGWWIAQDPTPPKPFVGGSLEMLDNAFTWAEKYGIKVIVDLHAAPGSQNGKPHSASRDGFLEWGDSYISDTVAAIDFLAQRYANRSGLVAIELMNEPQGVNLESLKSYYQAGYDAVRKHTSSAYVIMSNPLDRESKVLLSFAGAFNKVAIDVHYYNLFSDRFSNMNVQQNIDYIRKQRASDLSSLTTSDGPLIFVGEWSGDWKVEGASKESQQKFMQAQLQVYSGAKFGWAYWAYKCDSNSWSIKWMIENGYAKLS >Vigun03g445000.1.v1.2 pep primary_assembly:ASM411807v1:3:64704964:64708023:-1 gene:Vigun03g445000.v1.2 transcript:Vigun03g445000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLWQLGQLVTRRLANGGKKAVVRRCFASEAELKKTVFHDFHIAHGGKMVPFAGWSMPIQYKDSIMDSTINCRQNGSLFDVSHMCGLSLKGKDAIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKDDLSKLYFGEFRVLDINGSQCFLTRTGYTGEDGFEISVPSEHGVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGADVILKQLEEGPSIRRVGFISSGPPPRSHSEIHDDGGKNIGEITSGGFSPCLQKNIAMGYIKSGLHKAGTKVKIIIRGKANEGVVTKMPFVPTKYYKPS >Vigun02g164300.9.v1.2 pep primary_assembly:ASM411807v1:2:30919912:30923471:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKWITEYNWFAVKIQQFCFLHMAILEAHNKI >Vigun02g164300.7.v1.2 pep primary_assembly:ASM411807v1:2:30920169:30922980:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun02g164300.2.v1.2 pep primary_assembly:ASM411807v1:2:30919901:30922963:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun02g164300.8.v1.2 pep primary_assembly:ASM411807v1:2:30919912:30922963:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun02g164300.6.v1.2 pep primary_assembly:ASM411807v1:2:30920179:30922963:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATVWYIMCAHISALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun02g164300.1.v1.2 pep primary_assembly:ASM411807v1:2:30920179:30922963:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATVWYIMCAHISALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun02g164300.5.v1.2 pep primary_assembly:ASM411807v1:2:30920169:30922963:1 gene:Vigun02g164300.v1.2 transcript:Vigun02g164300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFFPPWKNGSWLELASEDVKESEQFKLVSSLPPLAEPPTPREPMEFLSRSWSLSASEISKALSEKQKQTFLDTGPDTLQEPISAPQLAGGKMMVSGNCRRMGAIGKWLHQKQYGSTNVSVKKKDRARAENARLHSALSIAGLASAVAAVAATEDPRGGCRSKLDIALASATQLLASYCVEMAELAGADRERVAATVRSAVDIQTPGDLMTLTAAAATALRGEAALTARLPKEAKKNASISPYDRVIAETHWFRAFDGQMLERHPPCLGDLLQLTEKGTLRWRHVHVYIKKCQVKIKIKSKHVGGAFSKKNKCVVYGVCDKDNAWPYRKEREASEELYFGLKTAQGLLEFKCQNKLHKQKWVDGIEMLLRRVNSVEATEHSLEFLSISSST >Vigun03g397450.1.v1.2 pep primary_assembly:ASM411807v1:3:60392464:60393016:1 gene:Vigun03g397450.v1.2 transcript:Vigun03g397450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASCVSCGSCSSSDVGGKQKSSVNCNEGGCRSSNVTPSCYCGAKAVLRTARTAKNKGKRFWGCPNFKSGSEECGGCNYFNWFDQYRMGEGGSASTVVIEENLWKHVKNEEELGGNVKIEEHAVGGSLNTQEMRKSCVMSEEVVGDIKILYQL >Vigun05g241900.1.v1.2 pep primary_assembly:ASM411807v1:5:43509206:43513227:1 gene:Vigun05g241900.v1.2 transcript:Vigun05g241900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFAISVALLAAAAAVGGGSPVSLPLERAFPTNHGVELSELRARDALRHRRMLQSSNGVVDFPVQGTYDPFQVGLYFTKVQLGTPPVEFYVQIDTGSDVLWVSCSSCSGCPQTSGLQIQLNFFDPGRSSTSSLIACSDQRCNSGIQSSDASCSSQNNQCSYTFQYGDGSGTSGYYVSDMMHLNTIFEGSVTTNSTAPVVFGCSNQQSGDLTKSDRAVDGIFGFGQQEMSVISQLSSQGIAPRVFSHCLKGDSSGGGILVLGEIVEPNIVYTSLVPAQPHYNLNLQSISVNGQTLQIDSSVFATSNNRGTIVDSGTTLAYLAEEAYDPFVNAITATIPQSVRTVVSRGNQCYLLTTSVTDVFPQVSLNFAGGASMLLRPQDYLIQQNSIGGAAVWCIGFQKLQGQGVTILGDLVLKDKIVVYDLAGQRIGWANFDCSLSVNVSATTGTGRSEFVNAGEIGGSISLRDGLKLRKRGILAFLVHVTLIYCIGFL >Vigun09g180800.2.v1.2 pep primary_assembly:ASM411807v1:9:35364725:35367867:-1 gene:Vigun09g180800.v1.2 transcript:Vigun09g180800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNPQPLQYQDPAIPVDDDDDDDSDGADDDAMDELEDAHVSSVNVVANAASANHEPLPAMPSRTSELTLSFEGEVYVFPAVTPQKVQAVLLLLGGRDVHAGVPAVELPFDQSNRGMGDTPKRSNLSRRIASLVRFREKRKERCFDKKIRYSVRKEVAQRMHRKNGQFASLKESPGSSNWDSVRSSAQDGTSHSESVRRCHHCGVTENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNLSVEQSDLVCKTDLNFLPIYSLIVNAKGNRFYF >Vigun09g180800.1.v1.2 pep primary_assembly:ASM411807v1:9:35362898:35367508:-1 gene:Vigun09g180800.v1.2 transcript:Vigun09g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNPQPLQYQDPAIPVDDDDDDDSDGADDDAMDELEDAHVSSVNVVANAASANHEPLPAMPSRTSELTLSFEGEVYVFPAVTPQKVQAVLLLLGGRDVHAGVPAVELPFDQSNRGMGDTPKRSNLSRRIASLVRFREKRKERCFDKKIRYSVRKEVAQRMHRKNGQFASLKESPGSSNWDSVRSSAQDGTSHSESVRRCHHCGVTENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNLSVEQSDLDIPIDVKPTSVIEGELPGIHDEQGSSEDPSKSNTGDGSSGHAVNPSDEELPETADHFTNAPPLGLGHSSRNDTEQEPLVELSNPSDTDIDIPENFD >Vigun03g265500.1.v1.2 pep primary_assembly:ASM411807v1:3:43530130:43538215:1 gene:Vigun03g265500.v1.2 transcript:Vigun03g265500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSIVRELKEMRDGIGSTSKRSAESKLRLSRTKSHIAPDVLATTFEFIQQGQWANLPQELLFDIIQRVEESETSWPDRAVVVFCASVCKSWRSITKEIVKTPEQCGRITFPISLKQPGPRDSPIQCFIRRNKESSTYLLYFGLVPSENDNNKLLLAAKRIRRATGTDFIISLATDDFSRASNKYVGKLRSNFLGTKFTIYDSQPPHDAAIQQNCQSSRRFHSKQVSPRVPACSYLVSTVSYELNVLCTRGPRRMHCVMNSIPVSAIQEGGNAPTPTSFPQIFDEPFSPSPAAKEKVPVPDLNSASLSEPLISTQVSTEQLELKNKAPRWHEQLQCWCLNFKGRVSVASVKNFQLVAAVDPSHNVSAEEQDKVILQFGKIGKDIFTMDYRYPLSTFQAFAICLSSFDTKPACE >Vigun09g050200.1.v1.2 pep primary_assembly:ASM411807v1:9:4942907:4944433:1 gene:Vigun09g050200.v1.2 transcript:Vigun09g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFQDQKSKNMGRPKLILKPITNKKDRDLALMKRKKALMKTISEFCSVCGVKACLIIYDGKGDSPPLTWPQDPIEMHSIIQRYERIMFEKIPKNFDLNNFFEIRKNTVDTEISKVQREILDIKYPTWHPCFNNLGAEELKNFIAGLDTKLEACNQRTMMFKCKKQNEAQFINFKQCLIQPDCVAPNPIQPSFMQDIFQNQLLLAPMNTLNCNLAPSFQFNLEKGSSSQSLKLNFDPNLMRLKAENRGMVDTANACQIDGLENPSNKFDVPSDLLDESMNLFDQFGEIQSLNQHWGNIQHDAHMIGGSITTMNGNQCNPESSLCNYNGVMQFYNYDASLQNIGFQ >Vigun09g050200.3.v1.2 pep primary_assembly:ASM411807v1:9:4942907:4944433:1 gene:Vigun09g050200.v1.2 transcript:Vigun09g050200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFQDQKSKNMGRPKLILKPITNKKDRDLALMKRKKALMKTISEFCSVCGVKACLIIYDGKGDSPPLTWPQDPIEMHSIIQRKNTVDTEISKVQREILDIKYPTWHPCFNNLGAEELKNFIAGLDTKLEACNQRTMMFKCKKQNEAQFINFKQCLIQPDCVAPNPIQPSFMQDIFQNQLLLAPMNTLNCNLAPSFQFNLEKGSSSQSLKLNFDPNLMRLKAENRGMVDTANACQIDGLENPSNKFDVPSDLLDESMNLFDQFGEIQSLNQHWGNIQHDAHMIGGSITTMNGNQCNPESSLCNYNGVMQFYNYDASLQNIGFQ >Vigun09g050200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4942907:4944434:1 gene:Vigun09g050200.v1.2 transcript:Vigun09g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKLILKPITNKKDRDLALMKRKKALMKTISEFCSVCGVKACLIIYDGKGDSPPLTWPQDPIEMHSIIQRYERIMFEKIPKNFDLNNFFEIRKNTVDTEISKVQREILDIKYPTWHPCFNNLGAEELKNFIAGLDTKLEACNQRTMMFKCKKQNEAQFINFKQCLIQPDCVAPNPIQPSFMQDIFQNQLLLAPMNTLNCNLAPSFQFNLEKGSSSQSLKLNFDPNLMRLKAENRGMVDTANACQIDGLENPSNKFDVPSDLLDESMNLFDQFGEIQSLNQHWGNIQHDAHMIGGSITTMNGNQCNPESSLCNYNGVMQFYNYDASLQNIGFQ >Vigun09g050200.4.v1.2 pep primary_assembly:ASM411807v1:9:4942907:4944433:1 gene:Vigun09g050200.v1.2 transcript:Vigun09g050200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKLILKPITNKKDRDLALMKRKKALMKTISEFCSVCGVKACLIIYDGKGDSPPLTWPQDPIEMHSIIQRKNTVDTEISKVQREILDIKYPTWHPCFNNLGAEELKNFIAGLDTKLEACNQRTMMFKCKKQNEAQFINFKQCLIQPDCVAPNPIQPSFMQDIFQNQLLLAPMNTLNCNLAPSFQFNLEKGSSSQSLKLNFDPNLMRLKAENRGMVDTANACQIDGLENPSNKFDVPSDLLDESMNLFDQFGEIQSLNQHWGNIQHDAHMIGGSITTMNGNQCNPESSLCNYNGVMQFYNYDASLQNIGFQ >Vigun04g186201.1.v1.2 pep primary_assembly:ASM411807v1:4:41102980:41104410:1 gene:Vigun04g186201.v1.2 transcript:Vigun04g186201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNITLGVSFHFPTLRFSWHAHQIQCTLIKRFDTLGSELFYKKLKQLCTLEIFSTMADRISSLPDDILCDILSSLPTKEVVATSVLSKRWIHLWRSVPSFHFDYVGDLFDRDKDFDDYTRFLESVNSFLLSRNRDQPIHRFRFNCFSKFYDTTDILTSVNAAMSGRVQHMDINLHFTHVLPSVVLSCKTLVVLKLVSTRISQLLSGCPNLEDLEAKHVDCETIGKFNRLPKLVRASIHEHLLPLEIVKDVEVLFIDSIDHQNLDFEFQNLVQLKLTLGLGMDWFGVLEVLKHCPKLQTLAIRICNKVLYLFDRKGHEVVWQFPETAPACISLHLKTCFLEGYNGSADELQFQKYIMENAKYLQSMKVCPHFNLTHEEKHDMINALNSFENSFTLE >Vigun04g043700.1.v1.2 pep primary_assembly:ASM411807v1:4:3760817:3762009:1 gene:Vigun04g043700.v1.2 transcript:Vigun04g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIRRLCLYELTIKELPVSFQNLTGLQELYMECDVDELNSSVLTPELADFGVKKCKEWKWINSKDGEEVDTAVSSNLRGFWLPSCNLNDDFFSAGFTQLTNVRYLCLRESNITLLPECIREFHHLDDLDVSYCKRLEEIRGVPPNLKFFRAIECTSLTSLGSSMSLNQQFHEAGETNFIFSGGSIPRWLDKECRGPSISFWFRDKFPAKVLCLLIAPVLDVTTIQLLRPVLLINGKLQENTFDHKNVGMLELDHMQLFDLHVLPFREDLIKMASEKEWKHVEITYQGLFDTSLIKAMGIHVVKSERRGMEDIRYDDPYTTTKVCP >Vigun11g024600.6.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3153419:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAVLAWSEKRMDSSFLPGTHMVFFVLNIVEAVGLLMMFKPFLGEKKKPDQLQ >Vigun11g024600.1.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3153419:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGKQPLLERKKYYENCPGCKVDKAKELSEGQGVPFTELFIMWMVALSAALPISSLFPFLYFMVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAVLAWSEKRMDSSFLPGTHMVFFVLNIVEAVGLLMMFKPFLGEKKKPDQLQ >Vigun11g024600.4.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3152674:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGKQPLLERKKYYENCPGCKVDKAKELSEGQGVPFTELFIMWMVALSAALPISSLFPFLYFMVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAV >Vigun11g024600.5.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3153419:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAVLAWSEKRMDSSFLPGTHMVFFVLNIVEAVGLLMMFKPFLGEKKKPDQLQ >Vigun11g024600.2.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3153419:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGKQPLLERKKYYENCPGCKVDKAKELSEGQGVPFTELFIMWMVALSAALPISSLFPFLYFMVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAVLAWSEKRMDSSFLPGTHMVFFVLNIVEAVGLLMMFKPFLGEKKKPDQLQ >Vigun11g024600.7.v1.2 pep primary_assembly:ASM411807v1:11:3147010:3153419:1 gene:Vigun11g024600.v1.2 transcript:Vigun11g024600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAKTEADISTYAGYVGSVFMLGRCLTSFLWGTIADRFGRKPAILIGVTSVVIFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYASELFREEHQALGLSTVSSAWGIGLIIGPSLGGYLAQPVEKYPNIFPKDSFWDKFPYFLPNLITSTFAFVVAIGCIWIPETLHNHKCGNESTEDAEALENGSGGTDNEKITKKNENLFLNWPLMSSVITYCVFSLHDMAYQEIFSLWAVSPQKLGGLNFTTNDVGNILSVSGLALIIYQLALYPFVQRKSGAIGSARISAMLSIPLLQSYPFIALFSGLALYIGLSTASILKNILSITIVTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPATGGAVLAWSEKRMDSSFLPGTHMVFFVLNIVEAVGLLMMFKPFLGEKKKPDQLQ >Vigun07g126400.1.v1.2 pep primary_assembly:ASM411807v1:7:23317893:23325703:-1 gene:Vigun07g126400.v1.2 transcript:Vigun07g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTGSTSSTGGFKWLLGLLSCTVWFVVEYGECGRTLQQQTPSLSPAALPHVVDGDLPLLANAFGLKHLPAPIPSRANFTKGRVQLEPPGSAFKNIAPVHPIADAIPALAQPPLSPYVSDCCRQDMVWKRGSEVCQCAYPIKLDLLLLNVSLNPDWNVVFLNDLATQLELQTTQIEIIKFYLLSLSTLNISMDITPNKGISFSASEAAKINSSLSLHKVQLDSRYVGNYSVLNLTWFKAPPPSQAPTIATSPTKAPHRQAPPTTLSSTSDRAKHSNLPLILGIVTGVLFISIVCVLILCLCTMRPKPKTPPTETEKPRTENTVPAVGSLPHPTSTRFIAYEELKEATNNFELASVLGEGGFGRVFKGVLNDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSNRDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFNAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPTGQENLVTWARPILRDKDRLEELADPRLGGRYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRITESHDPVLTSSITRPNMRQSSTTYESDGTSSMFSSGPYSGLSAFDHDNISRTAVFSEDLHEGR >Vigun06g235600.1.v1.2 pep primary_assembly:ASM411807v1:6:34100360:34104745:-1 gene:Vigun06g235600.v1.2 transcript:Vigun06g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTLSYLFLALLCVHSIFLVFAYADSHFEGFEAEDDDEIEDASIDPASLRSPPLSDPTPLATDPTPQPPPSDLPKSPPATTTTTFDFWDDDEFEGVPVEHAAPEPPSDPKSTENATAAQTPPIPNSPRSFTVEILCGSFLIMFAINYFTGKRENENIALSWAAQFAAKDSIFEKNFSLLGIGDGGDDAPLLLKEGQTTFKFYASGRRYCQGLLATLELKSRHDLIARIYNMIVPCRDEIAFEVYMNDDAMDHVVFAMAKKKAAKAMHKDVRDLQRFGSVLSPPTSRKWVADDLAVISESKEVAADLITDAVIDQVFGEKAFEKFGKSLISLHFSDQHPGIHKKVLLFKFVLPAAKNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEGARQKAALEAQKELRNAQQEAMQRRKAERKKMMEEAEAKLSAEAIRKKEAKERARQMKKSMPRMKMARGA >Vigun06g235600.2.v1.2 pep primary_assembly:ASM411807v1:6:34100360:34104745:-1 gene:Vigun06g235600.v1.2 transcript:Vigun06g235600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTLSYLFLALLCVHSIFLVFAYADSHFEGFEAEDDDEIEDASIDPASLRSPPLSDPTPLATDPTPQPPPSDLPKSPPATTTTTFDFWDDDEFEGVPVEHAAPEPPSDPKSTENATAAQTPPIPNSPRSFTVEILCGSFLIMFAINYFTGKRENENIALSWAAQFAAKDSIFEKNFSLLGIGDGGDDAPLLLKEGQTTFKFYASGRRYCQGLLATLELKSRHDLIARIYNMIVPCRDEIAFEVYMNDDAMDHVVFAMAKKKAAKAMHKDVRDLQRFGSVLSPPTSRKWVADDLAVISESKEVAADLITDAVIDQVFGEKAFEKFGKSLISLHFSDQHPGIHKKVLLFKFVLPAAKNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEGARQKAALERKKMMEEAEAKLSAEAIRKKEAKERARQMKKSMPRMKMARGA >Vigun06g232100.1.v1.2 pep primary_assembly:ASM411807v1:6:33840062:33841561:-1 gene:Vigun06g232100.v1.2 transcript:Vigun06g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLGSNKMPYLKEVREKERAGGTAAILAIATATPPNCVHQDNFADFYFRITNSDHMTQLKAKLKRICERSMVKTRYTHMTEEMLIENPKLGSYKASLDARQDILIEEIPKLGEKAASKAIEEWGRDKSHITHLVFCSCTGMDMPGADYRLLKLLGLKPSTKRFMLYHQGCFAGAGALRLAKDLAENNAGARVLVVCSEIMVGGFRGSSEAHLDSLVGLALFGDGASSAIVGSDPETSTERPLFHIVSASQTIVPNSEGAMEGHLREMGITFHMKDSVPVLIGENVEKSLEESLKALRVSDWNSLFWVVHPGGPAILNQIEEKVGLNPSKLKASRKILSEYGNMSSACVLFVLDEMRKKSVKEGEGTTGEGFEWGVLLGFGPGLTLETIALHSAIIDSASG >Vigun03g205750.1.v1.2 pep primary_assembly:ASM411807v1:3:33321602:33323263:-1 gene:Vigun03g205750.v1.2 transcript:Vigun03g205750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPQNSHDSANDESFLHDHHDELSSPNEGEIKERGLMVKEIYDLPLGDKVVIPFDGNQPSATNVDGLLGGFLGRLAADSKMFPICFENWHLMLNNYKNRAWNDVIKAKLWWDPIYETAAKVYMMKDIGKKWKENRLKLFYTYYDDKKSRDENIKNPPLSIPEIKWATFIDYRLKENTKKMCKRNAENRSKLKINHTGGSKKLKRKRAEIEKIIEIENHGTTSVEISPNDSLGQVFGKEHPGRVRCVGDRVCPSQIFGSSNPRYGGIPTSTSCVQELKIEVQELKNQIETKDGNMKIMATLLAQLLRHSSMKVPAELLDVIQQQSSSDHPSSSNANTSQP >Vigun03g379300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58335565:58337596:1 gene:Vigun03g379300.v1.2 transcript:Vigun03g379300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANREPMNVGARAPVSPQQPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGNGETERDVEAGEGKTEQDQKPQRPYEEKILVIMAGQEKPTFLATPSVSSSSSCSTSRSSSFGDNTSTCTCEETRKSLEMAQTLKEGSAESGTTDTSSDQNP >Vigun01g043700.3.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRDQSGFIYSRKNMLQLTLPSLMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.12.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6266489:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDGNSFSTPSSSPSQPEGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRSLLFPRLFSILA >Vigun01g043700.11.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6266489:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDGNSFSTPSSSPSQPEGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRSLLFPRLFSILA >Vigun01g043700.9.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6266489:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLHPHHLNLRRSTIIEENLGSGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRSLLFPRLFSILA >Vigun01g043700.7.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLHPHHLNLRRSTIIEENLGSGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.4.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRDQSGFIYSRKNMLQLTLPSLMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.10.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6266489:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLHPHHLNLRRSTIIEENLGSGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRSLLFPRLFSILA >Vigun01g043700.2.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDGNSFSTPSSSPSQPEGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.5.v1.2 pep primary_assembly:ASM411807v1:1:6264031:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.8.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLHPHHLNLRRSTIIEENLGSGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.6.v1.2 pep primary_assembly:ASM411807v1:1:6264031:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.13.v1.2 pep primary_assembly:ASM411807v1:1:6262521:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRDQSGFIYSRKNMLQLTLPSLMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun01g043700.1.v1.2 pep primary_assembly:ASM411807v1:1:6262122:6267131:1 gene:Vigun01g043700.v1.2 transcript:Vigun01g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDGNSFSTPSSSPSQPEGDDILLALLENPNLISATSSFKANSERKFLASDESGSERSKWVYIFQKEYATVDPALVDFVGTDEATTCVGLVIRNQKNGMTSVAHMDSPNIVEMGLSQMLSSLVANSLETEFDVHLIGGFEEVSLQQANGSAISESPAYLDGYSFPLCSKIVHTLWSRHEKFHLRTLCVLGHNTRRDSDGNTYPFFNGFAVETASGIVIPAIFDGTSRCPDEIVRRIRVSVSYEDANWNEKLLETYDCGSDCFKIAPCRWTLRQYHIALSLLNCSDSEILSTCSTSPTAEAPDFVDNLRRQWTYLIEHPHWTETFPTKQARTFARSSDGKWIRC >Vigun03g318300.3.v1.2 pep primary_assembly:ASM411807v1:3:51274553:51278958:1 gene:Vigun03g318300.v1.2 transcript:Vigun03g318300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGKVLECWDRERKDMVAIKIIRGIKKYREAAMIEIQVLEQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIARQLLECIAFMHDLRMIHTDLKPENILLVSPEYVKVPDYKNTSRSPGSYFKRVPKSSAIKVIDFGSTTCEREDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGSLPLHMLKRVDRHAEKYVRRGRLHWPDGATSRESIKAVMKLPRLQNLIMHHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFMRDHFRR >Vigun03g318300.1.v1.2 pep primary_assembly:ASM411807v1:3:51274553:51278958:1 gene:Vigun03g318300.v1.2 transcript:Vigun03g318300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVFEFPHTHMDRRPRKRARLGWDIPEASKAQVGLFCGQDVGTISSYAPSSGPSEHGTSSLFVKAVAQNGSPSWRDDDKDGHYVFALGENLTSRYRIHSKMGEGTFGKVLECWDRERKDMVAIKIIRGIKKYREAAMIEIQVLEQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIARQLLECIAFMHDLRMIHTDLKPENILLVSPEYVKVPDYKNTSRSPGSYFKRVPKSSAIKVIDFGSTTCEREDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGSLPLHMLKRVDRHAEKYVRRGRLHWPDGATSRESIKAVMKLPRLQNLIMHHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFMRDHFRR >Vigun03g318300.4.v1.2 pep primary_assembly:ASM411807v1:3:51275460:51278958:1 gene:Vigun03g318300.v1.2 transcript:Vigun03g318300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGKVLECWDRERKDMVAIKIIRGIKKYREAAMIEIQVLEQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIARQLLECIAFMHDLRMIHTDLKPENILLVSPEYVKVPDYKNTSRSPGSYFKRVPKSSAIKVIDFGSTTCEREDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGSLPLHMLKRVDRHAEKYVRRGRLHWPDGATSRESIKAVMKLPRLQNLIMHHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFMRDHFRR >Vigun03g318300.2.v1.2 pep primary_assembly:ASM411807v1:3:51274553:51278958:1 gene:Vigun03g318300.v1.2 transcript:Vigun03g318300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVFEFPHTHMDRRPRKRARLGWDIPEASKAQVGLFCGQDVGTISSYAPSSGPSEHGTSSLFVKAVAQNGSPSWRDDDKDGHYVFALGENLTSRYRIHSKMGEGTFGKVLECWDRERKDMVAIKIIRGIKKYREAAMIEIQVLEQLGKHDKGVMHDLRMIHTDLKPENILLVSPEYVKVPDYKNTSRSPGSYFKRVPKSSAIKVIDFGSTTCEREDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGSLPLHMLKRVDRHAEKYVRRGRLHWPDGATSRESIKAVMKLPRLQNLIMHHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRHSFFMRDHFRR >Vigun02g037400.1.v1.2 pep primary_assembly:ASM411807v1:2:15469027:15498730:-1 gene:Vigun02g037400.v1.2 transcript:Vigun02g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPLAHNPFPKIPITPFHGEALPSSSSFIGSPLTLSRSFSLCGNHSLSRKQFVVFAKKVSGLEEAMNIRRERELRVVTKFKKRPPLKRGRVSPGLPVPDHILSPPYVGTNILPEIASEHQIHDSEGIAKMRAACELAARVLNHAGTLVRPSITTNEIDKEVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINIDVTVYLDGYHGDTSKTFFCGEVSDELKTFVKVTEECLEKGIAACRDGALFRKIGKKISEHAEKYGYGVVERFVGHGVGRVFHSEPIILHNRNEKPGRMVEGQTFTIEPILSMGSIKSITWPDNWTTLTADGSPAAQFEHTILITKTGAEILTTC >Vigun04g158400.2.v1.2 pep primary_assembly:ASM411807v1:4:38046328:38047542:-1 gene:Vigun04g158400.v1.2 transcript:Vigun04g158400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEETMVELKPQPNKKQKTGGEREDERDLLSLLPDDVLLHIMHFMDTDTAVRTSFLSKRWNNLWKCLKTLCFRRRDFNNVVSYNQFIYHVVSQRDTSIRLNRLDLEARIIAQDLLNLVVPLLHSVPHLGIHLDHISSKRFYCLIPQIFASHSLTSLTLALANQCVRRVFKLPHSLELPALKTLNLTNVSFTARDECAEPFSSCLLLNSLVLVRCSLSNPAKVLSISNPNLSRFTMKRYSEVKYKIVLSTPNLTHFAIKSSIFFSEVSSTCDLALLEEANIDITWSDSHAIVHGLLKMLSYAKILALSEYILTVILDGQLFRPPSIPIPCFVRLETLTVENIQQEAFVKELFQNSEPF >Vigun09g102750.1.v1.2 pep primary_assembly:ASM411807v1:9:17650651:17653973:-1 gene:Vigun09g102750.v1.2 transcript:Vigun09g102750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAQQMKRPFGIPEKPEFTVGLDEALKKLKMNVLSEGVSVMVLTGVGGSGKTTLATMLCWDEQVIGKFKENILFVTFSKAPELKIIVERLSEHLGYQKHLQTLKFSCIDGDQTLLLLKLSIDACMHVVQNERLLLRVVVQVLFSQ >Vigun05g268700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46031146:46032384:-1 gene:Vigun05g268700.v1.2 transcript:Vigun05g268700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKRTPTKILFPLYSILTIILCLVPSQTNCSTLQDDTLSLLAIKNAIDPNSITPSSYLSTWDFSVDPCESTGSQFLGILCYLPLDNSSSRVTEVDLDAIGYEGFLTPALGNLTQLTVLNLNNNKFRGPLPETIGKLKKLTRLAMSQNFFTGAIPQTITGLNNVRYIDLSGNRLSGLIPTDITALRTLSYLSLSNNEFAGRIPNLTGLWQLNTLDLSFNQFYGDLPNLPVSLRNMYFHHNILSGHLTPLKGLIHLKWLDVSDNRLSGAISGNVLSLRNVVHLNVSFNRFTALEVINYSLQEQSLQVLEAQGNHLKGHLPVNLVSFVNLTSINLANNQFSGSIPLEYGTKVKSSWRRLHLDYNFLTGNLPREFSHSTNVKGSLAKNCLKCPTSVGLCQGRQRPSTECVGQHNV >Vigun05g140200.1.v1.2 pep primary_assembly:ASM411807v1:5:17221410:17225892:-1 gene:Vigun05g140200.v1.2 transcript:Vigun05g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPLRVWKTILTILSVALIPSLSARVQDSHMTETFPSSDFDTGLGLSTTQIGETTTIPHRSSLRDLRKFYHFPKRGSFPPNFLFGAGTSAFQIEGAVSEGGRGLGIWDDRIRRNKEAFIDGDKFPTMIQHYKRYKEDVQHLKNLGINSYRMSISWSRVLPDGTIKGGVNQEGVDFYNHLIDELLANGITPFVTILHFDYPLAIQEKLHGFLNSSIVNYYKDFCELLFKTYGDRVKHWTTMNEPQIVGLITYMHGFDNDDPEPCQATNLCKQAYTVVHNYILCHAAAVKLYRQNFQATQRGEIGIVIGTESFEPYSSKGEDVAAAERLTDFFIGWILDPVVYGDYPKIMRELVGNRLPNFTEDEKNMVAGSTDFIGINYYTSHFAKHETNKTNMSLIDNYDALGKSEEFNAEGKTLGYLDKYSGNFVYPQGLYNILQHIKNKYQNPKIYITENGIPSINITNPLIDIHRIKYLATHLNYTKAAIDNGVRVGGYFVWAAFDTFEFRAGFSRNWGIIHVDFNHNLTRCTTVAGIWYKRFLNHVIPR >Vigun02g036700.1.v1.2 pep primary_assembly:ASM411807v1:2:15118660:15119642:1 gene:Vigun02g036700.v1.2 transcript:Vigun02g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSNDEIRSYFSWNLEMERVLAEVLRDQRNMCNKSDGAWKRVAYNAAVVVVSNNFKVQVTWENVKNRIKLWRSWYGVVSDILGQSGFDWDGTKHMITVGDENVWNEYVNSHKEARHIRFKAIPNWDDIVDLCAKDKATGHGAETAMDVDEVMNREVNEVNFVGLEDLNATIDLEEPSTSTQSQRRKINEKELMAASMKDVVESFNRLTHVYGEKVDENEIKEVLDKVRLMPNLTKEQWAKAVKWLADMPKQLAIVKAIPIEQK >Vigun03g355650.1.v1.2 pep primary_assembly:ASM411807v1:3:55703162:55704504:-1 gene:Vigun03g355650.v1.2 transcript:Vigun03g355650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVYFFYIRGKFTSPERFELSRGNPMYLAGTRLNHSAKATLMF >Vigun11g139400.4.v1.2 pep primary_assembly:ASM411807v1:11:34879020:34882089:1 gene:Vigun11g139400.v1.2 transcript:Vigun11g139400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLGELVMVESEIARLESQISQLQAGLKHEQEVTKESKSKTWNQGNFSNSNNHLSTSPLPNPSPIRRSVQERMAFETKALHFISKAIKGDYNLSDFSVNEKAGFLKTSVEQKENKFQEDVKFHERIPRKNGTVKPPSPMRDPRHPSPKLRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPISRSVHSSLSSRSFRVDTGSIPKPSLLLQKESRQQDPYGIFNTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKSLTNQQKLAFWINVYNACIMHGFIQYGVPSTPEKLLALMNKATLNVGGNLINAQAIEHFILRKRDISNMKEVQHKGEWEEKESVVRELYGLESVDPNVTFALCCGTRSSPAVRIYTADGVTTELEKSKLDYLQASILATSTKRIGFPELLLMNMLDFAADIESLVEWVCSQLPTSGTLRKSMVDCFRGHNNTNSVKVSTIVEKIPYDYEFQYLLTI >Vigun11g139400.3.v1.2 pep primary_assembly:ASM411807v1:11:34876741:34882088:1 gene:Vigun11g139400.v1.2 transcript:Vigun11g139400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNKPNGSAISIPNFLPPKMREVLGELVMVESEIARLESQISQLQAGLKHEQEVTKESKSKTWNQGNFSNSNNHLSTSPLPNPSPIRRSVQERMAFETKALHFISKAIKGDYNLSDFSVNEKAGFLKTSVEQKENKFQEDVKFHERIPRKNGTVKPPSPMRDPRHPSPKLRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPISRSVHSSLSSRSFRVDTGSIPKPSLLLQKESRQQDPYGIFNTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKSLTNQQKLAFWINVYNACIMHGFIQYGVPSTPEKLLALMNKATLNVGGNLINAQAIEHFILRKRDISNMKEVQHKGEWEEKESVVRELYGLESVDPNVTFALCCGTRSSPAVRIYTADGVTTELEKSKLDYLQASILATSTKRIGFPELLLMNMLDFAADIESLVEWVCSQLPTSGTLRKSMVDCFRGHNNTNSVKVSTIVEKIPYDYEFQYLLTI >Vigun11g139400.5.v1.2 pep primary_assembly:ASM411807v1:11:34876917:34882089:1 gene:Vigun11g139400.v1.2 transcript:Vigun11g139400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNKPNGSAISIPNFLPPKMREVLGELVMVESEIARLESQISQLQAGLKHEQEVTKESKSKTWNQGNFSNSNNHLSTSPLPNPSPIRRSVQERMAFETKALHFISKAIKGDYNLSDFSVNEKAGFLKTSVEQKENKFQEDVKFHERIPRKNGTVKPPSPMRDPRHPSPKLRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPISRSVHSSLSSRSFRVDTGSIPKPSLLLQKESRQQDPYGIFNTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKSLTNQQKLAFWINVYNACIMHGFIQYGVPSTPEKLLALMNKATLNVGGNLINAQAIEHFILRKRDISNMKELIYLMV >Vigun11g139400.1.v1.2 pep primary_assembly:ASM411807v1:11:34876741:34882088:1 gene:Vigun11g139400.v1.2 transcript:Vigun11g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHSHQHPQPQQRRLLSLCLNQLHEHPPESSFSSSQTLYKTKIPLCLIHSLTSTTPSSTHQHFHFHEPHTPSLRSCFNVSSRRWATFKLGCTSMDPLDLSSSLHSPQIIKKKKITGRQKREELEREVAMLQRLLDQEEKFHEILEMVHNKPNGSAISIPNFLPPKMREVLGELVMVESEIARLESQISQLQAGLKHEQEVTKESKSKTWNQGNFSNSNNHLSTSPLPNPSPIRRSVQERMAFETKALHFISKAIKGDYNLSDFSVNEKAGFLKTSVEQKENKFQEDVKFHERIPRKNGTVKPPSPMRDPRHPSPKLRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPISRSVHSSLSSRSFRVDTGSIPKPSLLLQKESRQQDPYGIFNTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKSLTNQQKLAFWINVYNACIMHGFIQYGVPSTPEKLLALMNKATLNVGGNLINAQAIEHFILRKRDISNMKEVQHKGEWEEKESVVRELYGLESVDPNVTFALCCGTRSSPAVRIYTADGVTTELEKSKLDYLQASILATSTKRIGFPELLLMNMLDFAADIESLVEWVCSQLPTSGTLRKSMVDCFRGHNNTNSVKVSTIVEKIPYDYEFQYLLTI >Vigun11g139400.2.v1.2 pep primary_assembly:ASM411807v1:11:34876741:34882088:1 gene:Vigun11g139400.v1.2 transcript:Vigun11g139400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHSHQHPQPQQRRLLSLCLNQLHEHPPESSFSSSQTLYKTKIPLCLIHSLTSTTPSSTHQHFHFHEPHTPSLRSCFNVSSRRWATFKLGCTSMDPLDLSSSLHSPQIIKKKKITGRQKREELEREVAMLQRLLDQEEKFHEILEMVHNKPNGSAISIPNFLPPKMREVLGELVMVESEIARLESQISQLQAGLKHEQEVTKESKSKTWNQGNFSNSNNHLSTSPLPNPSPIRRSVQERMAFETKALHFISKAIKGDYNLSDFSVNEKAGFLKTSVEQKENKFQEDVKFHERIPRKNGTVKPPSPMRDPRHPSPKLRERNPEMYLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPISRSVHSSLSSRSFRVDTGSIPKPSLLLQKESRQQDPYGIFNTEESIPRDIGPYKNLVIFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKSLTNQQKLAFWINVYNACIMHGFIQYGVPSTPEKLLALMNKATLNVGGNLINAQAIEHFILRKRDISNMKELIYLMV >Vigun03g034900.1.v1.2 pep primary_assembly:ASM411807v1:3:2662834:2667350:-1 gene:Vigun03g034900.v1.2 transcript:Vigun03g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSVLSEPSYVAVAVTALCFLLALYSLLRSFSLPRRFTPPRKHHCDCASDSPSVAAAAGAVPYLNGAAEMLEPSPVPAPVVLGERLTGSSMMEELVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSITPTNGWKAYYAATRAIVNINTEFFNIVRDKSLPAMSRFWLNADYVKCIHASGELFSGFNAVMQSWQLVFNWEQGLNFQVRDVRARVLADMAWVTMRTYVDMDTGPFNVTNVFEFHNGRWYMVHHHSSMMNGDVDHQIVHG >Vigun11g185100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38645543:38645821:1 gene:Vigun11g185100.v1.2 transcript:Vigun11g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRTSFTARQATSKSEDIPKGYFVVYVGEKQNRFVIPISYLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCNEDVFQHTMSSFKGQ >Vigun08g174400.3.v1.2 pep primary_assembly:ASM411807v1:8:34479211:34483179:-1 gene:Vigun08g174400.v1.2 transcript:Vigun08g174400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWEFVGKYGSVRVYIFNVGHCEKEEGTERREKTMEGEKENPDGNAAAMVHSFCEITSSSKEEALFFLESHNFDLDAAVSTFLDNAHNPITLPQNDDVVPNPNPNAGASSPPSDSHSPDFYPSRSPSHSPTPSPSRAPYELRSRRSLGKKPSSSRQGKIRTLGDLKHSSRDSDSDSDPDFQPDEYYTGGENSGMLVRDPSRGNNSVDDIFQHARDVAVDPPPENPRNSSRSFSGAARLLSGETVPSVSQPQRLEEVTHTVTFWRNGFSVNDGPLRRLDDPQNAPFLESIKKSVCPKELEPADRRTAVHVNLTRRDEDYPEPVKPRHLPFQGVGRTLGGPSSSSDEATQTTGASPTTAPLPTLGLVVDESQPVTSIQLRLADGTRMVSRFNHHHTIRDVRAFIDASRPGGVRSYQLQAMGFPPKQLTDWDQSIEQAGIANSVVIQKL >Vigun08g174400.4.v1.2 pep primary_assembly:ASM411807v1:8:34479211:34483179:-1 gene:Vigun08g174400.v1.2 transcript:Vigun08g174400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWEFVGKYGSVRVYIFNVGHCEKEEGTERREKTMEGEKENPDGNAAAMVHSFCEITSSSKEEALFFLESHNFDLDAAVSTFLDNAHNPITLPQNDDVVPNPNPNAGASSPPSDSHSPDFYPSRSPSHSPTPSPSRAPYELRSRRSLGKKPSSSRQGKIRTLGDLKHSSRDSDSDSDPDFQPDEYYTGGENSGMLVRDPSRGNNSVDDIFQHARDVAVDPPPENPRNSSRSFSGAARLLSGETVPSVSQPQRLEEVTHTVTFWRNGFSVNDGPLRRLDDPQNAPFLESIKKSVCPKELEPADRRTAVHVNLTRRDEDYPEPVKPRHLPFQGVGRTLGGPSSSSDEATQTTGASPTTAPLPTLGLVVDESQPVTSIQLRLADGTRMVSRFNHHHTIRDVRAFIDASRPGGVRSYQLQAMGFPPKQLTDWDQSIEQAGIANSVVIQKL >Vigun08g174400.2.v1.2 pep primary_assembly:ASM411807v1:8:34479513:34483132:-1 gene:Vigun08g174400.v1.2 transcript:Vigun08g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWEFVGKYGSVRVYIFNVGHCEKEEGTERREKTMEGEKENPDGNAAAMVHSFCEITSSSKEEALFFLESHNFDLDAAVSTFLDNAHNPITLPQNDDVVPNPNPNAGASSPPSDSHSPDFYPSRSPSHSPTPSPSRAPYELRSRRSLGKKPSSSRQGKIRTLGDLKHSSRDSDSDSDPDFQPDEYYTGGENSGMLVRDPSRGNNSVDDIFQHARDVAVDPPPENPRNSSRSFSGAARLLSGETVPSVSQPQRLEEVTHTVTFWRNGFSVNDGPLRRLDDPQNAPFLESIKKSVCPKELEPADRRTAVHVNLTRRDEDYPEPVKPRHLPFQGVGRTLGGPSSSSDEATQTTGASPTTAPLPTLGLVVDESQPVTSIQLRLADGTRMVSRFNHHHTIRDVRAFIDASRPGGVRSYQLQAMGFPPKQLTDWDQSIEQAGIANSVVIQKFS >Vigun11g204200.1.v1.2 pep primary_assembly:ASM411807v1:11:40135607:40146778:-1 gene:Vigun11g204200.v1.2 transcript:Vigun11g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNFISDVIVQLSSNDASFRSERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPLPAYRNLTLQCLTEVAALQFGNYYDVQYVKMYNIFMVQLQSILPPTSDIPEAYSKGSTEEQAFIQNLALFFTSFYKVHIRILESTQENIAALLVGLEYLINISYVDDTEVFKVCLDYWNSLVSELFEPHRSLDNPAAAATLMGLQVPAMLPGMVDGHGSQLLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLTGLPTTIMDLEPHQIHSFYESVGHMIQAESDAQKRDEYLQRLMELPNQKWMEIIGQAHQNVEFLKDQDVIRTVLNILQTNTSVASSLGTYFLPQISMIFLDMLNVYRMYSELISKSITEGGPFASRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIVNKYKSAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKKFQGSEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLLETGVLTEALWDVVTNPYPYPSNSAFVQEFTIKLLSTSFPNMTATEVTQFVKGLFESTNDLSTFKNHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPSELQDEMVDS >Vigun11g204200.2.v1.2 pep primary_assembly:ASM411807v1:11:40135614:40146760:-1 gene:Vigun11g204200.v1.2 transcript:Vigun11g204200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNFISDVIVQLSSNDASFRSERLYVNKLNIILVQILKHEWPARWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPLPAYRNLTLQCLTEVAALQFGNYYDVQYVKMYNIFMVQLQSILPPTSDIPEAYSKGSTEEQAFIQNLALFFTSFYKVHIRILESTQENIAALLVGLEYLINISYVDDTEVFKVCLDYWNSLVSELFEPHRSLDNPAAAATLMGLQVPAMLPGMVDGHGSQLLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLTGLPTTIMDLEPHQIHSFYESVGHMIQAESDAQKRDEYLQRLMELPNQKWMEIIGQAHQNVEFLKDQDVIRTVLNILQTNTSVASSLGTYFLPQISMIFLDMLNVYRMYSELISKSITEGGPFASRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIVNKYKSAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKKFQGSEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLLETGVLTEALWDVVTNPYPYPSNSAFVQEFTIKLLSTSFPNMTATEVTQFVKGLFESTNDLSTFKNHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPSELQDEMVDS >Vigun06g087600.1.v1.2 pep primary_assembly:ASM411807v1:6:21952896:21955195:1 gene:Vigun06g087600.v1.2 transcript:Vigun06g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTSFLLLSNLQSFWPSLLVIITCFTITIKTLRYNFIQNYSKKQKPNLPPGPKPWPIVGNLPEMLASKPAYKWIHNLMKQMNTEIACIHLGNAYVIPVTCPTIATEFLRKQDATFASRSFSVATDLITSGYSTTVLVPFGEQWKKMKKIITHDLLSPHKHLWLHDKRTEEADNLMFYVYNKCKMVNDGTYGLVNVRNVARHYCGNLVRKITFNSRYFGEGREDGGPGFEEAEHVDSIFDLLNYVYSFSVSDYMPCLARLDLDGHQKKVKEALRKIKKYHDPIVQQRIKQWNHGLKVDAEDWLDVLISLKDANNNPSLTMEEISAQIIELMLATVDNPSNAFEWALAEMINQPELLRRAVEELDSVVGKDRLVQESDIPKLNYVKACAKEAFRLHPIAPFIPPHVSMSDTVVGNYFIPKGSHVVLSRQELGRNPKVWNEPYKFKPERHLKSDADDVVLTEPNLKFISFGTGRRGCPGVMLGTTMTVMLFARLLHGFTWTAPPNVSTINLSESDDDILLAHPLVAIAQPRLQPKLYQRVNRS >Vigun11g224600.1.v1.2 pep primary_assembly:ASM411807v1:11:41520467:41523449:-1 gene:Vigun11g224600.v1.2 transcript:Vigun11g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPQNPPPPQEVPPLVDPVPVDNPPTTEPQPEPQPEPEVKPPTTEAQPETETLEKAQTAVEDKISESVSFKEETNVVGDLPEAQKKALDELKKLVQEALNKHELTAPKPEPEKKKPDIVKEPAEEAEKKEVEVIEEKKEVEVTEEKKDVEVTEEKKEVEVTEEKKEVELTEEKKDVEVKEEKKEVEVTEEKKDVEVKEEKKEIEVTEEKKEVEVTEEKKEVEVKEEKKDVEVTEEKKEVEVREEKKEVEVTEEKKEVEVTEEKKEVEVTEEKKEEEVTEEKEVVVPEEVEIWGIPLLADERSDVILLKFLRARDFKVKDAFTMLKNTVRWRKEFGIDALVLEDFGTDWDKVVFTEGHDKQGHPVCYNVFGEFENKDLYNKTFSDEEKRNKFIRWRIQVLEKSVRSLDFSPTAISTIVQVNDLKNSPGLGKSNLRQATNQALQLLQDNYPEFVAKQIFINVPWWYLAFSRMISPFFTQRTKSKFVFAGPSKSAETLFKYIAPELVPIQYGGLSREGEQEFTTADPVTEVTIKPATKHAVEFPVSEKSHLVWEIRVVGWDVSYQAEFVPSAEDGYTVIVQKNRKIAPADESVISNGFKIGEAGKVVLTIDNQTSKKKKLLYRSKTKPIAE >Vigun10g193900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40794707:40797027:-1 gene:Vigun10g193900.v1.2 transcript:Vigun10g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEELHLPDECWESVFKFLKPIPHFEPLSLVSTQFLSITNLLRTSLTISNPTLPFLPNLFRRFPSITSLHLTRLHHSHLHTLLLQLSRAALPLQSLNLSGHPSLPSNGLRAFARKIPTLTSLTCSHMGCLRNADLLLIADCFPFLEHLDVSFPENNDNSTDPVSDFGVKALSLALPNLRSVNLSGNYFINDPSILSLCKNCELLEEIVIFECHFITQRGIASAIRERPCLRSFCVSNFGYGIKNGDNARPYVTSDFITALVGLKGLTCLDLSCSSISDEFLCCVAEEKIPLKKLVLQGCCNYTYVGVLCLLSSCQTMEHLDLQNTEFLCDRRVEVLCEFLGNLVSINVSGCRTLTDLALFALIKNCPLLNEIKMEATDVGKKGIDVEEELLVNGVVNRGVKSLYLGRNSWLRDENVEMIASVCPSLEVLDLSYCCGISEGVVEVLRRCREVRNLSLAFCSGVKLKGMEFEVPKLEELNLSRSTVDDETLCVISKCCRWLLHLDLENCSGVTGNGVREVVEKCRRLREINLVSCCEVEASVVAWMVFSRPSLRRIMAPPSFDLSGGQRELLLRHGCIVSL >Vigun07g158900.1.v1.2 pep primary_assembly:ASM411807v1:7:27064015:27066014:1 gene:Vigun07g158900.v1.2 transcript:Vigun07g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEALKTQYQVNEEIGRGRFGTIFRCFDPLTNEPYACKVIDKSLLADSTDRECLQNEPKFMTLLSPHPNVLQIFDVFEDDDYLSIVMDLCQPHTLFDRIVNGPISEAQAAVLIKSLLEALAHCHRMGVAHRDIKPDNVLFDSADNLKLADFGSAEWFGDGSTMSGVVGTPYYVAPEVVMGREYDEKVDVWSCGVILYIMLAGIPPFYGDSAAEIFEAVVRANLRFPSRIFRNVSPAAKDLLRKMISRDPSRRFSAEQALRHPWILSAGDTAQLT >Vigun02g161500.2.v1.2 pep primary_assembly:ASM411807v1:2:30712553:30714918:-1 gene:Vigun02g161500.v1.2 transcript:Vigun02g161500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLLQQALFAPKLTFPSSSLRNVALISRVPSTPISWRCTPLIAKSSFVVRADSNLEGGGEATEDVPSDNVDEVSEGEAEQLSDSEAPKLPRKARVKLGDVMGILNQRAIEASEKERPTPDIRTGDVVEIKLEVPENKRRLSIYKGIVISKQNAGIHTTIRIRRIIAGTGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRFSTFK >Vigun02g161500.3.v1.2 pep primary_assembly:ASM411807v1:2:30712553:30714912:-1 gene:Vigun02g161500.v1.2 transcript:Vigun02g161500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLLQALFAPKLTFPSSSLRNVALISRVPSTPISWRCTPLIAKSSFVVRADSNLEGGGEATEDVPSDNVDEVSEGEAEQLSDSEAPKLPRKARVKLGDVMGILNQRAIEASEKERPTPDIRTGDVVEIKLEVPENKRRLSIYKGIVISKQNAGIHTTIRIRRIIAGTGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRFSTFK >Vigun02g161500.1.v1.2 pep primary_assembly:ASM411807v1:2:30712553:30714867:-1 gene:Vigun02g161500.v1.2 transcript:Vigun02g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLLQQALFAPKLTFPSSSLRNVALISRVPSTPISWRCTPLIAKSSFVVRADSNLEGGGEATEDVPSDNVDEVSEGEAEQLSDSEAPKLPRKARVKLGDVMGGKTEDGRSVKCMMLFLLLLMQILNQRAIEASEKERPTPDIRTGDVVEIKLEVPENKRRLSIYKGIVISKQNAGIHTTIRIRRIIAGTGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRFSTFK >Vigun11g080400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23762882:23764042:1 gene:Vigun11g080400.v1.2 transcript:Vigun11g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGELNLNPSCLKKQEPSPLSISLDPKNLLMGTVHQHTTFTIASSCHRAFMQLACRRRLLTLETSPSFSAAQRLVLAHSSNPRDHLPAATSQRRCWRQRRHYRPR >Vigun09g115301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25305257:25305556:1 gene:Vigun09g115301.v1.2 transcript:Vigun09g115301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPCFYQQPIFFIVQVSRGCTNFHLCISVPTNTSSCNVGLKVKLQSEKSNFPSFTCGLTSHFSSLPKPNLCYSFLSLLHVFFCQFHGAVLAATFSSP >Vigun09g102932.1.v1.2 pep primary_assembly:ASM411807v1:9:17907359:17908919:-1 gene:Vigun09g102932.v1.2 transcript:Vigun09g102932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPLESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISVLVTKEINMCRWCDFYGSVLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTQLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFELDAPIRLLPTSTEEHLYDVPIGNHYWYIVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLTFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFRRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYLQDLHGLGVL >Vigun01g039000.1.v1.2 pep primary_assembly:ASM411807v1:1:5292603:5305480:1 gene:Vigun01g039000.v1.2 transcript:Vigun01g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKREEFLRENDHNEDASVSRNDFPPNFVFGVATSAYQIEGGCKEGGRGPSIWDAFSHIDGNIIDKSNGDVAVDHYHRYMEDIDLIAKLGFDAYRFSISWSRIFPDGLGTKINDEGITFYNNIINALLERGIQPYVTLYHWDLPLHLHETMGGWLNKQIIEYFAVYADTCFASFGDRVKNWMTINEPLQTAVNGYDTAIFAPGRCQNTRIEPYLAAHHQILAHAAAVSIYRNKYKEKQGGQVGIVVDCEWAEANSDKIEDKSAAARRLDFQIGWYLHPLYFGDYPESMRERLGDQLPKFSDEDKKLLLNKLDFIGLNHYTSRFISHVTENAGGNYYYKVQEAKRTVEWEGGETIGEKAASEWLYVVPWGIRKVLNYVSQNYATPIFVTENGMDDEDSDTLPLHEMLDDKLRVRYFKGYLASVARAIKDGVDVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYQNGLSRHPKSSAYWFSRFLKAGENKNGKEQ >Vigun05g233500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42713740:42714225:1 gene:Vigun05g233500.v1.2 transcript:Vigun05g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKRKRFMRALFKTNGGCGCRNPKSYEVLQPSIHQNTTNPTTSGDNDNNVFSEVHTNHENPNNDNMRKPNSKLIDTVAVEKDSKDPHKDFRESMLQMIFQRQIFTKTDLQDLLECFLRLNAADNYQVIVQAFMEICHETFPKKNINDADANAASFNNKI >Vigun07g084200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12458819:12459564:1 gene:Vigun07g084200.v1.2 transcript:Vigun07g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRESEGATLESWDITNCLTMTDKTVSATFPEEAFECRTCNRKFSSFQALGGHKKVKVVEEKEKHLKRTRSDPKTHMRRRRAINQVVAKVSVMKRSSNAKPFCFDLNLTPLQNHFNFFFFTKLSPKLNSH >Vigun11g128300.1.v1.2 pep primary_assembly:ASM411807v1:11:33590901:33596432:1 gene:Vigun11g128300.v1.2 transcript:Vigun11g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESERKPEFFKGKTILMTGTTGFLAKVFLEKILRTQPEVKRLYLVVRAENIDLASKRFQNEVIVTDLFRVLRDQCGQDFDSFISSKIVVIPGDVSLLNFGLKDEKLKSKMLEEINVVVNFAASTKFDERFDISMGVNTMGALHVLNFAKNCRQIKLFVHTSTAYVCGEIKDKKIIVQEKPFEMGETLKETSKLDITAEMNLLKKKIDELRSENANENTIKYVMKDYGIERAKFYGWPNTYTFTKAMGEMQVVHHKDNLPLIIIRPTMVTSTLKDPFPGWIEGLRTLDSIICSYGQGKLTTFLGHPKTILDTIPADLVVNCMMTAIVGCSNEAPKNFIYHVSSSLSNPFRIADVRDLCYIYFTKFPNQNKIGNPITVPKPNLISSRTAFDIHMTLRYVLPLKVLNLVNKAFCQCSRDVYDDSFKKIKRVTRLVELYKPYLFFKGVFDDSNTQNLRRATGNNMEVDGLDFDPDSIDWKDYMMNTHIPGLVKYALK >Vigun03g170200.1.v1.2 pep primary_assembly:ASM411807v1:3:20300651:20310467:-1 gene:Vigun03g170200.v1.2 transcript:Vigun03g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRNLSPSPPRPPPPRPPPPRPPPPPLVVSNKSRIRIKPSKSKPGPSGSSKAPSKPDPVLETPLSLPTDLPFQIRRPSDAPSVSSSIETLPAGFFSSSHSASFAKIRRPLINPEPSVIEPVLTPTASNSRANSTDSRTRGKTRLPNLIGASAPMPTVKPRPCSGGDGNFVRLNLSGKRRKFLNKKGKSGGKRYKRGKFKSQHEREGEEKDIGELKQRGGCKIREIDCAVVEEAAAAALDEPSEENLVKILKLVYGYDCFRDGQVEAIKMVLAGKSGAVVLPTGAGKSLCYQLPALILPGITLVVSPLVALMTDQLRQLPYVIRGGLLSSTQTPEEASESLMQLRQGGIKVLFVSPERFLNEGFLSIISSLPAISLVVIDEAHCISEWSHNFRPSFMRLRASLLRKTLNVRAVLAMTATATTTTLDAIMSALDIPQSNLIQKAQFRDNFHLSVSMVRNRMKDLLILIKSPPFAEVQSIIIYCKFQYETDQVSRYLNDHSILAKSYHSGITAKERSYVQDLFNSNKIRVVVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFYDDETFFKLRSLMYSEGVDEYAVNKFLREVFPADKNSCGKIMSLIKESASRRLDMKEEVMLTLLTRLELGNVQYLQLLPQINTTCTLAFHKTTPPLLAQKVSAIAVILKRSENKRGQYTFDIPTVANDMGVTAVELTNQLYDLKLMGEITYEMKDMAYCYRIIEVPTDLLSLSEDITQWLSEVENCKVQKMDAMFSAVYYALNLCDKMQGCNGTNHTPCLQRKILEYFSGVDNTGFCKKTEGQSSPFLRADIKVFLQSNSQAQFTPRAVARIMHGIASPAYPSTTWSKTHFWGRYTRIDFKVVMEAAKEELKHFVGKNTL >Vigun03g170200.2.v1.2 pep primary_assembly:ASM411807v1:3:20300651:20310467:-1 gene:Vigun03g170200.v1.2 transcript:Vigun03g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRNLSPSPPRPPPPRPPPPRPPPPPLVVSNKSRIRIKPSKSKPGPSGSSKAPSKPDPVLETPLSLPTDLPFQIRRPSDAPSVSSSIETLPAGFFSSSHSASFAKIRRPLINPEPSVIEPVLTPTASNSRANSTDSRTRGKTRLPNLIGASAPMPTVKPRPCSGGDGNFVRLNLSGKRRKFLNKKGKSGGKRYKRGKFKSQHEREGEEKDIGELKQRGGCKIREIDCAVVEEAAAAALDEPSEENLVKILKLVYGYDCFRDGQVEAIKMVLAGKSGAVVLPTGAGKSLCYQLPALILPGITLVVSPLVALMTDQLRQLPYVIRGGLLSSTQTPEEASESLMQLRQGGIKVLFVSPERFLNEGFLSIISSLPAISLVVIDEAHCISEWSHNFRPSFMRLRASLLRKTLNVRAVLAMTATATTTTLDAIMSALDIPQSNLIQKAQFRDNFHLSVSMVRNRMKDLLILIKSPPFAEVQSIIIYCKFQYETDQVSRYLNDHSILAKSYHSGITAKERSYVQDLFNSNKIRVVVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFYDDETFFKLRSLMYSEGVDEYAVNKFLREVFPADKNSCGKIMSLIKESASRRLDMKEEVMLTLLTRLELGNVQYLQLLPQINTTCTLAFHKTTPPLLAQKVSAIAVILKRSENKRGQYTFDIPTVANDMGVTAVELTNQLYDLKLMGEITYEMKDMAYCYRIIEVPTDLLSLSEDITQWLSEVENCKSFPTSGYKGLFTEQFAGPIYAKSCCENNAWNCKPGVSFNNME >Vigun05g012900.1.v1.2 pep primary_assembly:ASM411807v1:5:1027732:1029329:-1 gene:Vigun05g012900.v1.2 transcript:Vigun05g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMVVNTTPSNSSTASSRTVLLLLRVLTSVFLLIALILIALVKQTDADTGEQIKFSDFYAYRYMISTIIIGFAYNLLQMAFSIFTVVSGNRVLSGNGGYLFDFFGDKVISYFMISGSAAGFGLTVELGRGVPSNSFTDKANASASLLLIGFVFTAIASTFTSFALPKKPN >Vigun06g127000.1.v1.2 pep primary_assembly:ASM411807v1:6:25399486:25401442:1 gene:Vigun06g127000.v1.2 transcript:Vigun06g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTLLKLPILPNKPKLPRPSTTKLLPFPSIHLNSDSSSPNTRNSSFLDQNMEPLKPAFLSLSAITFPFLLDSKDALAVGGEFGILEGRSFALVHPIVMGAFFFYTLWAGYLGWQWRRVRTIQNDINELKNQLKPTPVTPDGKQVEEASPSPSPSPVELQIQQLTEERKELIKGSYKDRHFNAGSILLGFGVLESIGGGVNTWFRTGKLFPGPHLFAGAGITVLWALAAALVPSMQKGNETARNLHIALNALNVLLFVWQIPTGIDIVFKVFEFTTWP >Vigun08g101750.1.v1.2 pep primary_assembly:ASM411807v1:8:25153333:25153936:1 gene:Vigun08g101750.v1.2 transcript:Vigun08g101750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IYYYYSHFILFYNYLYYYYHYFIYFILLLFYYYCYYYHCFVLLMISLLLLLFYYYYFILLLFYYYYYYFYYYYFYYYYYYYFDFIIIFIFINYYYFFLFYLLLFYYYLYYYQCFILFMILLSLLFVIIVLFL >Vigun08g132233.1.v1.2 pep primary_assembly:ASM411807v1:8:30325434:30326371:-1 gene:Vigun08g132233.v1.2 transcript:Vigun08g132233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLQRQWTLRDEEGNRHVVAYNKNLQKPMLIGGWTDLRHIYDLHDFHTIYFGYAYQPLFNGPKLHFKIFLNPNQCNASHLDLPADFGNYLRQGSFKYIYLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun05g234200.1.v1.2 pep primary_assembly:ASM411807v1:5:42790878:42794339:-1 gene:Vigun05g234200.v1.2 transcript:Vigun05g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSREAQMSIAASSMFPGFRFCPTDEELISYYLRKKLDGHEESVQVISEVELCKYEPWDLPAKSFIQSDNEWFFFSPRGRKYPNGSQSKRATECGYWKATGKERNVKSGSNVIGTKRTLVFHLGRAPKGERTEWIMHEYCINDKSQDSLVICRLKRNTEFRLSDHSNRASSSQRHPVNSHESGCAISEGGGIDQRDVCEQDKEVGCSSKRSSSSYGSPSNEQIDSVSESNPRPVNEATLTESSDQAKEVYEEDCYAEILKDDIIKLDESSISAEGHTGANEAAQMHPAQGTAQRRIRLRVGNSKRSSPAVVGFRSTIMQSSKSSFFAGISNSLVALLFLVFTLIFLVFVGWSQTSEMLRGCKL >Vigun05g234200.2.v1.2 pep primary_assembly:ASM411807v1:5:42790878:42794339:-1 gene:Vigun05g234200.v1.2 transcript:Vigun05g234200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSREAQMSIAASSMFPGFRFCPTDEELISYYLRKKLDGHEESVQVISEVELCKYEPWDLPDNEWFFFSPRGRKYPNGSQSKRATECGYWKATGKERNVKSGSNVIGTKRTLVFHLGRAPKGERTEWIMHEYCINDKSQDSLVICRLKRNTEFRLSDHSNRASSSQRHPVNSHESGCAISEGGGIDQRDVCEQDKEVGCSSKRSSSSYGSPSNEQIDSVSESNPRPVNEATLTESSDQAKEVYEEDCYAEILKDDIIKLDESSISAEGHTGANEAAQMHPAQGTAQRRIRLRVGNSKRSSPAVVGFRSTIMQSSKSSFFAGISNSLVALLFLVFTLIFLVFVGWSQTSEMLRGCKL >Vigun01g240100.1.v1.2 pep primary_assembly:ASM411807v1:1:41043131:41048563:1 gene:Vigun01g240100.v1.2 transcript:Vigun01g240100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTKWACAFLLCCCLITSGVYSVEGLHGSSKVRGVNLGGWLVIEGWIKPSLFDGIANGDMLDGAEVQFKSVTSQKYVSAENGGGMNVTVDRDVPSSWETFRLWRASESEFQFRTSEGQFLTCDGGGHSVSATAKSPSTYETYEIERSGKNRVHLKIKGGSYLQATTDGQLSANYPGTPGWDDNAATFEMTIVSNNLHGDYQLANGYGHNRAKDVLRRHRNSFITIEDFKFLYKHGINTVRIPVGWWIAFDPDPPSPYIGGTLEALDNAFLWAQEYDIKCIIDLHAAPGSQNGMEHSSSRDGFTGWPTSPDYISESLHVIEFLVSRYAKHPALLGIELLNEPSAGTVSLDVLIPYYKQGYQIVRKYSSSAYVIICQRIGVADPLELYQTNIGSHNIVLDLHFYNLFDPFFVNMSAVDNIQYIYKSREGQLQALNNSDGPLVLVGEWVNEWNVTNGSQKDYQDFGRAQLDVYNAASFGWCYWTVKNDREHWDLEWNIRNNYLQLGNSSSKRSLNILRLLGLALTWFSLLQFL >Vigun01g240100.2.v1.2 pep primary_assembly:ASM411807v1:1:41043131:41047949:1 gene:Vigun01g240100.v1.2 transcript:Vigun01g240100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFTKWACAFLLCCCLITSGVYSVEGLHGSSKVRGVNLGGWLVIEGWIKPSLFDGIANGDMLDGAEVQFKSVTSQKYVSAENGGGMNVTVDRDVPSSWETFRLWRASESEFQFRTSEGQFLTCDGGGHSVSATAKSPSTYETYEIERSGKNRVHLKIKGGSYLQATTDGQLSANYPGTPGWDDNAATFEMTIVSNNLHGDYQLANGYGHNRAKDVLRRHRNSFITIEDFKFLYKHGINTVRIPVGWWIAFDPDPPSPYIGGTLEALDNAFLWAQEYDIKCIIDLHAAPGSQNGMEHSSSRDGFTGWPTSPDYISESLHVIEFLVSRYAKHPALLGIELLNEPSAGTVSLDVLIPYYKQGYQIVRKYSSSAYVIICQRIGVADPLELYQTNIGSHNIVLDLHFYNLFDPFFVNMSAVDNIQYIYKSREGQLQALNNSDGPLVLVGEWVNEWNVTNGSQKDYQDFGRAQLDVYNAASFGWCYWTVKNDREHWDLEWNIRNNYLQLGNSSSKRSLNILRLLGLALTWFSLLQFL >Vigun01g240100.3.v1.2 pep primary_assembly:ASM411807v1:1:41044111:41047950:1 gene:Vigun01g240100.v1.2 transcript:Vigun01g240100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGAEVQFKSVTSQKYVSAENGGGMNVTVDRDVPSSWETFRLWRASESEFQFRTSEGQFLTCDGGGHSVSATAKSPSTYETYEIERSGKNRVHLKIKGGSYLQATTDGQLSANYPGTPGWDDNAATFEMTIVSNNLHGDYQLANGYGHNRAKDVLRRHRNSFITIEDFKFLYKHGINTVRIPVGWWIAFDPDPPSPYIGGTLEALDNAFLWAQEYDIKCIIDLHAAPGSQNGMEHSSSRDGFTGWPTSPDYISESLHVIEFLVSRYAKHPALLGIELLNEPSAGTVSLDVLIPYYKQGYQIVRKYSSSAYVIICQRIGVADPLELYQTNIGSHNIVLDLHFYNLFDPFFVNMSAVDNIQYIYKSREGQLQALNNSDGPLVLVGEWVNEWNVTNGSQKDYQDFGRAQLDVYNAASFGWCYWTVKNDREHWDLEWNIRNNYLQLGNSSSKRSLNILRLLGLALTWFSLLQFL >Vigun06g085400.1.v1.2 pep primary_assembly:ASM411807v1:6:21755889:21757369:-1 gene:Vigun06g085400.v1.2 transcript:Vigun06g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKISHANNNLINKTNFFFFMLSLLFTTTTTTNATTIACLDATKCPMLPKTHAEDHQGRVGHGMVFEGKAEVSSDWSRRFLVGPGSSPPLCTSKCGSCTPCRPVHVTVPPGTPVTAEYYPEAWRCKCGNKLNMP >Vigun03g124450.2.v1.2 pep primary_assembly:ASM411807v1:3:11721114:11721844:-1 gene:Vigun03g124450.v1.2 transcript:Vigun03g124450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDQAMVLLVQHLARAAPRPAPRRDNPAPVQSGGGSILSGIAGFGPRTIQHEKVVSEVAAFLNSYGDDISKCQFYMDIW >Vigun03g124450.4.v1.2 pep primary_assembly:ASM411807v1:3:11721114:11721879:-1 gene:Vigun03g124450.v1.2 transcript:Vigun03g124450.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVQHLARAAPRPAPRRDNPAPVQSGGGSILSGIAGFGPRTIQHEKVVSEVAAFLNSYGDDISKCQFYMDIW >Vigun03g124450.1.v1.2 pep primary_assembly:ASM411807v1:3:11721114:11721812:-1 gene:Vigun03g124450.v1.2 transcript:Vigun03g124450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDQAMVLLVQHLARAAPRPAPRRDNPAPVQSGGGSILSGIAGFGPRTIQHEKVVSEVAAVSYTLQILLEAIPAMCTQSF >Vigun03g124450.3.v1.2 pep primary_assembly:ASM411807v1:3:11721114:11721879:-1 gene:Vigun03g124450.v1.2 transcript:Vigun03g124450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVQHLARAAPRPAPRRDNPAPVQSGGGSILSGIAGFGPRTIQHEKVVSEVAAVSYTLQILLEAIPAMCTQSF >VigunL074651.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:176259:176651:1 gene:VigunL074651.v1.2 transcript:VigunL074651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EAQEPQAAAPENQDPLIPALHPPLLDDTTRIVDLSSRLRTNWLGYVYDETHMDSFVQTQLQIEKHIEAALVEDGYARQSVFQKRDQIRGFLFYPEGQALSLKAYSNHLTHSNWNCRYPKQYSISTGQKSP >Vigun07g246700.1.v1.2 pep primary_assembly:ASM411807v1:7:36703671:36707660:1 gene:Vigun07g246700.v1.2 transcript:Vigun07g246700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRNMFLSSSTNHVTFLLSLSLLVMEPICSSAAPVYNYCPTNASYNSSATFENNLKFLFQSLLSNISQSDGSYSTFMGLGTASVASGSFLCRGDVSLATCNDCITTAVTEITQLCPNKTESIIWYDECMLRFTNTYYNPINIEPGASLSNDENISASDLVSFNSTLFGLLDDLVEESANSNSARKFATGDREFAGSSPRRRVYALTECDPSFTNSVCEECLQNAISTLPSCCEGKQGARALLAMCNVRYELFQFYNTSATSASSSGNSNYVLRVIFIVALVIVSIILVCGVCYFIFKRSKKKYNTLLRENFGEESSTLESLQFDLAIIEVATKKFSHENRIGEGGFGDVYKGILPDGREIAVKKLSHSSGQGAAEFKNEILLIAKLQHKNLVTLLGFCLEAEEKMLIYEFVPNKSLDYFLFDSYKSSQMKWSERYKIIQGISQGILYLHEHSRLKVIHRDLKPSNILLDDNMNPKISDFGLARIVGVDQYQRKTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIILEIVSAKKNARDVFSDDDDLLSYAWDQWRDRTPLNILDQNIKESCNHSEVIKCIQIGLLCVQEKPHDRPTMTQVVSYLGSSLSELPFPEKPINSNQSGLVQKMIARGSSSGSAQTMNEMSVSIFIPR >Vigun02g177300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31890084:31891505:-1 gene:Vigun02g177300.v1.2 transcript:Vigun02g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELLLPKLLLHLLSLLGLIRKLLTILLCYMGFHDFFEPDIAWPDTLPELQSVSAVLIREILPVVKFSEMAGAEVEAAESCAVCLYEFQGEDEIRRLTNCRHIFHRGCLDRWMGYDQRTCPLCRTPFIPHHMQAAFNDRLWAASGIPQFYYNDE >Vigun06g037100.1.v1.2 pep primary_assembly:ASM411807v1:6:15265513:15280824:-1 gene:Vigun06g037100.v1.2 transcript:Vigun06g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSVAAEWQLLYNRYYRKPELYPMPWKHVDLARTKVAVAPFGGPIAVIRDDSKIVQLHAESALRKLRLFSSSGRPLADAVWRHSGGRLIGMSWTDDQTLLCVVQDGTVYRYDVHANLIEPNLSLGKECFEDNVADCAFWGNGLVCITEANQLFCIADFKNPRAVKLADPLIDEMPHCMAVIEPQYTVSGNVEVLLGVDDAVVLAVEEDGVQRLGEGVLRGPLQKMVVSRDGKWLASFTHDGRLLVTTSDLTGVIIERECESALPPEQIAWCGMDAVLLYWDDMLLMMGPDGEPVHYLYDEPIILIPECDGVRILSNTSMEFLQRVPDSTVSIFTIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACVDAAGHEFDVSRQRTLLRAASYGQAFCSNFQRDRIQEMCKILRVLNAVRSPEIGIPLSIQQYKLLTPSVLIGRLINAHQHLLALKISEYLGMNQEVVIMHWACSKITASLAIPDAALLEILLDKLKLCKGISYAAVAAHADKSGRRKLAALLVEHEPRSSKQVPLLLSIGEEDIALMKATECGDTDLVYLVLFHIWQKRQPLEFFGTIQARHLARDLFVTYARFYKDEFLKDFFLSTGQLQDVAFLLWKESWELGKNPMASKGSPLHGPRIKLIEKAQSLFAETKEHIFESKAAEEHAKLLRIQHELEVTTKQAIFVDSSINDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIKDWVALEKFSKEKKPPIGFRPFVEACIEADEKAEAIKYIPKLADPRERAESYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSIFDTLRDRLSFQGA >Vigun06g056300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18281261:18282720:1 gene:Vigun06g056300.v1.2 transcript:Vigun06g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSLHIAILPWFAKEHITPFLQLSNSLAERGHKISFFIPKHTHASFQHFNHHPNLISFIPICVPQNHHHHGLPHVAEVPSCTVSFFMTPMLLCEKDIEVHLLELKPNVVFFDHAYWVPKPRLTQCLKIKSLVYHVISSSSLTFESSYSHPLSKGHCCNIDEHHQLLHEPKLLAGSGKLDHGKAIVHTERCTNSILTQSYATGLKGSTVIEGAYVDYYHRRHVLLEGHVTVPKEATCLVDENWAKWLGSFEAGSVVYCSFGSECTLEPCQFQEVVLGLELSGMPFVAALKHPKGFECVESALPKGFKERVQGRGVVSGAYFPHRFILEHPSVGCFVTLCGHSVSLSDALVNKCQLVLLPNHGEMVFSAKVIGNTLKVGVEVEKLTTKMVCLPKKVCSKL >Vigun02g087301.1.v1.2 pep primary_assembly:ASM411807v1:2:24212293:24214987:1 gene:Vigun02g087301.v1.2 transcript:Vigun02g087301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAETEHPNKAFGWAARDTSAILSPFNFSRRATGEKDVAIKVMYCGICHSDLHMLKNVWGCSIYPIVPGHEVSGVVTEVGSKVQKFKVGDRVGVGGMAGSCNSCQNCSEGLENYCPKMIFTYSGKYVDGTITYGGYSDSMVVDEHFVVRIPDSLPLDAAAPLLCAGISVYSPLKYYGLDKPGLHIGVVGLGGLGHMAVKFAKALGLKVTEAIEHMGADSFVVSREQDEMMAVMGTFDGIIDTVSADHSLVPLIGLLKAHGKLVMIGAPEKPLEVPAFSLLTGRKMVGGSAIGGMKETQEMIDFAAKHDVKPDIEVIEMDYVNTAMERLLKADVKYRFVIDIGNTLKPSS >VigunL060215.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:76836:77195:1 gene:VigunL060215.v1.2 transcript:VigunL060215.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g284900.4.v1.2 pep primary_assembly:ASM411807v1:5:47263449:47266070:1 gene:Vigun05g284900.v1.2 transcript:Vigun05g284900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNDKGWPECDVILEEGSYHEFDDKGFTRRLQALIAVFTFVVVFTVFCLIIWGASRPYKAEVNVKSLTVHNMYVGEGSDFTGVITKMMTLNVTLRMSIYNPATFFGIHVHSTPINLVFSDITVASGQLKKYYQPRKSHRIVSVNVEGTKVPLYGAGSTITASQTGVEVPLTLNFEIRSRGNVVGKLVKTKHHKQITCPLIINSSGSKPIKFKRNSCTYE >Vigun05g284900.2.v1.2 pep primary_assembly:ASM411807v1:5:47263449:47266070:1 gene:Vigun05g284900.v1.2 transcript:Vigun05g284900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNDKGWPECDVILEEGSYHEFDDKGFTRRLQALIAVFTFVVVFTVFCLIIWGASRPYKAEVNVKSLTVHNMYVGEGSDFTGVITKMMTLNVTLRMSIYNPATFFGIHVHSTPINLVFSDITVASGQLKKYYQPRKSHRIVSVNVEGTKVPLYGAGSTITASQTGVEVPLTLNFEIRSRGNVVGKLVKTKHHKQITCPLIINSSGSKPIKFKRNSCTYE >Vigun05g284900.1.v1.2 pep primary_assembly:ASM411807v1:5:47263701:47266070:1 gene:Vigun05g284900.v1.2 transcript:Vigun05g284900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNDKGWPECDVILEEGSYHEFDDKGFTRRLQALIAVFTFVVVFTVFCLIIWGASRPYKAEVNVKSLTVHNMYVGEGSDFTGVITKMMTLNVTLRMSIYNPATFFGIHVHSTPINLVFSDITVASGQLKKYYQPRKSHRIVSVNVEGTKVPLYGAGSTITASQTGVEVPLTLNFEIRSRGNVVGKLVKTKHHKQITCPLIINSSGSKPIKFKRNSCTYE >Vigun03g426300.1.v1.2 pep primary_assembly:ASM411807v1:3:63160080:63163231:-1 gene:Vigun03g426300.v1.2 transcript:Vigun03g426300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRSDSFSIFSSLPEDVVLKIASLLQVRDLCALGCCSRFWRELCFSDCIWESLVRNRWPLLSSFHFPSSSTLTHSPNFKKWRKLYLDRHVELGVRARSVVKFVEACSPSESLEVGDHLKAVDTLIGTSFGFEDVQRFLFDPQMNVLINLVGVHYCLTTLGIRGDNLVETLRTHEISDRRVCVRWWKVGRWFYGFRMRDETHSRWVSLADLAAEDDEDVLGVLRRGTIHEVLRVQISVIGRTSTHWSHILE >Vigun03g162600.3.v1.2 pep primary_assembly:ASM411807v1:3:18227428:18247884:-1 gene:Vigun03g162600.v1.2 transcript:Vigun03g162600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGSCFPTMDLLRSEPMQMAQLIIPTESAHRAISYLGNLGLFQFIDLNVDKSPFQRTYASQIKRCGEMARRLRLFKDQMLKAGVSPSMSTAREDNVDLESLEVKLEELEADLLEINANNEKLKHTYNELSEYKLVQEKVGEFFSSAKNNAVAQQRKLEVQITVERSIDSPLLLEQEETSAKQIKLGFISGLVSREKSVPFERILFRATRGNVFLKQAVVDHSVLDLSSEEKVHKNVFVVFYSGESVKSKILKICDAFGANRYPFSDDLSKQLQTITEVSGRLSELKTTIDAGLLHRSTLLQTIADHYEQWSLQLKKEKSIYHTLNMLSINVTKKCLLAEGWCPVFATSQIHKVLQQATVECSSQVEAIFQVLETKESPPTYFCTNKFTSAFQEIVDAYGIAKYREANPGVYTIITFPFLFAVMFGDWGHGICLLLAALYLVIREKKFSSQKLGDIMEMAFGGRYIIMMMALFSIYTGLIYNEFFSVPFELFAPSAYGCRDSSCGDASTIGLIKVRDTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYYNAKYFENDINIWYQFVPQMIFLNSMFGYLSLLIIVKWSTGSQADLYHILIYMFLSPTDDLGENQLFFGQQLLQLVLLLLALVAVPWMLVPKPFLLQKQHRERHQGQSYSLLFNKDDPDESESQSVPCDTDDEFDFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALR >Vigun03g162600.1.v1.2 pep primary_assembly:ASM411807v1:3:18225881:18247884:-1 gene:Vigun03g162600.v1.2 transcript:Vigun03g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGSCFPTMDLLRSEPMQMAQLIIPTESAHRAISYLGNLGLFQFIDLNVDKSPFQRTYASQIKRCGEMARRLRLFKDQMLKAGVSPSMSTAREDNVDLESLEVKLEELEADLLEINANNEKLKHTYNELSEYKLVQEKVGEFFSSAKNNAVAQQRKLEVQITVERSIDSPLLLEQEETSAKQIKLGFISGLVSREKSVPFERILFRATRGNVFLKQAVVDHSVLDLSSEEKVHKNVFVVFYSGESVKSKILKICDAFGANRYPFSDDLSKQLQTITEVSGRLSELKTTIDAGLLHRSTLLQTIADHYEQWSLQLKKEKSIYHTLNMLSINVTKKCLLAEGWCPVFATSQIHKVLQQATVECSSQVEAIFQVLETKESPPTYFCTNKFTSAFQEIVDAYGIAKYREANPGVYTIITFPFLFAVMFGDWGHGICLLLAALYLVIREKKFSSQKLGDIMEMAFGGRYIIMMMALFSIYTGLIYNEFFSVPFELFAPSAYGCRDSSCGDASTIGLIKVRDTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYYNAKYFENDINIWYQFVPQMIFLNSMFGYLSLLIIVKWSTGSQADLYHILIYMFLSPTDDLGENQLFFGQQLLQLVLLLLALVAVPWMLVPKPFLLQKQHRERHQGQSYSLLFNKDDPDESESQSVPCDTDDEFDFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDRVLLLAWGYNNTVILVVGIFVFIFATVGVLLLMETLSAFLHALRLHWVEFQNKFYEGDGYKFFPFSFTLLTDEDDL >Vigun03g162600.2.v1.2 pep primary_assembly:ASM411807v1:3:18225881:18247884:-1 gene:Vigun03g162600.v1.2 transcript:Vigun03g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGSCFPTMDLLRSEPMQMAQLIIPTESAHRAISYLGNLGLFQFIDLNVDKSPFQRTYASQIKRCGEMARRLRLFKDQMLKAGVSPSMSTAREDNVDLESLEVKLEELEADLLEINANNEKLKHTYNELSEYKLVQEKVGEFFSSAKNNAVAQQRKLEVQITVERSIDSPLLLEQEETSAKQIKLGFISGLVSREKSVPFERILFRATRGNVFLKQAVVDHSVLDLSSEEKVHKNVFVVFYSGESVKSKILKICDAFGANRYPFSDDLSKQLQTITEVSGRLSELKTTIDAGLLHRSTLLQTIADHYEQWSLQLKKEKSIYHTLNMLSINVTKKCLLAEGWCPVFATSQIHKVLQQATVECSSQVEAIFQVLETKESPPTYFCTNKFTSAFQEIVDAYGIAKYREANPGVYTIITFPFLFAVMFGDWGHGICLLLAALYLVIREKKFSSQKLGDIMEMAFGGRYIIMMMALFSIYTGLIYNEFFSVPFELFAPSAYGCRDSSCGDASTIGLIKVRDTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYYNAKYFENDINIWYQFVPQMIFLNSMFGYLSLLIIVKWSTGSQADLYHILIYMFLSPTDDLGENQLFFGQQLLQLVLLLLALVAVPWMLVPKPFLLQKQHRERHQGQSYSLLFNKDDPDESESQSVPCDTDDEFDFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALRGDGSKCATTVAAMM >Vigun02g066400.4.v1.2 pep primary_assembly:ASM411807v1:2:21640119:21641084:1 gene:Vigun02g066400.v1.2 transcript:Vigun02g066400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTILPSTKPIKKPHTPTFSYIMPPTIYNFKSFRSSGSFNYSTPKKDSDSNILTFHTTDKWNAHFKAVKETNKLMVLDFTATWCKPCKLMDPVVEEFAAKYTDAEFIKIDVEELNCLKHSRFTDCRHSYSSRKGKLLIEWRG >Vigun02g066400.3.v1.2 pep primary_assembly:ASM411807v1:2:21640119:21641084:1 gene:Vigun02g066400.v1.2 transcript:Vigun02g066400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTILPSTKPIKKPHTPTFSYIMPPTIYNFKSFRSSGSFNYSTPKKDSDSNILTFHTTDKWNAHFKAVKETNKLMVLDFTATWCKPCKLMDPVVEEFAAKYTDAEFIKIDVEELNEVSQALQVYRLPTFILVKKGKVADRVEGVMKEELKRSIENHTK >Vigun06g025201.1.v1.2 pep primary_assembly:ASM411807v1:6:11653339:11661366:-1 gene:Vigun06g025201.v1.2 transcript:Vigun06g025201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMGSQGNGQQSHLQSSSLSRQGSWYNLTLDEVNSQLGDLGKPLGSMNLDELLQNVWTAEASKSSVIGADSENMSSSSSLQRQASLTLARALSGKTVDDVWREIQQGQKKRYGGEVKTQEGEMTFGETTLEDFLVQAGLFAEASISPAVGLDTMDFSAAQGFQQKTGLSSSPSIGSLSDTRPGRKRDAPDAYEKTLERRLRRKIKNRESAARSRARKQAYHNELVGKVSRLEEENVKLKKEKISIDSTQEASDRTLLFALPVQIIFSILILDIEGELSAIIRSKVIEIRTDRNKDKCLKHCFLSLSTNPRKLLDVSCIKSSRCIEIHGTTCQFSTSKQLSQYSWTHHTQVQKFVSNLVTGLYMGGGNREKLSCTTPQNQMYRPFAADWKEVF >Vigun07g051700.1.v1.2 pep primary_assembly:ASM411807v1:7:5421237:5423104:-1 gene:Vigun07g051700.v1.2 transcript:Vigun07g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEELLPLLDVVANKVKAIGQLRELLTTKLPMGIFPVKVVIPVVLTMRVLVTFTKFEELQPVQEFDAAGESICNRPREPCCATFLGIILVPMDKGFLSL >Vigun05g214550.1.v1.2 pep primary_assembly:ASM411807v1:5:40634311:40635060:-1 gene:Vigun05g214550.v1.2 transcript:Vigun05g214550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLCSKLNSLLPNINIPRACFRSLPAPCMNGFIQEKYSIGGALSRGDLIDEAANYIKNLETKVKIAAEKKESLMQKKRSRSGCSSTSQAPKIEIHEMGSSLQIILTCGFDSHFILTEIILILQEETIEAKSLHSSRNGNSMLQVLQAQCFYPQGTTAMSERLKRFVKEHRESF >Vigun05g219800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41115752:41115964:-1 gene:Vigun05g219800.v1.2 transcript:Vigun05g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKHRTTTKHNRGASSTNSETPLAVNNAFENVLHQAPKNTNVDAAHRFKKAEESLRTVMYLSCWGPN >Vigun03g274600.1.v1.2 pep primary_assembly:ASM411807v1:3:45040272:45044058:1 gene:Vigun03g274600.v1.2 transcript:Vigun03g274600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKCFLDISIAGELEGRIVIELFHDVVPKTAENFRALCTGEKGIGPNTNVPLHYKGVCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENLELKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRSAEHVVTGENDRPTQTVVIEDCGEIPEGQDDGVVNFFKDGDTYPDWPADLDVKPDELSWWTSAVDSIKALGNEQYKKQDYKMALRKYRKALRYLDVCWEKDDIDQENSASLRKTKSQIFTNSSACKLKIGDLQGALLDSDFAMHDGDNAKALFRKGQAYMLLNDLDAAVESFKKALELEPNDGGIKKEYATARKKVADRRDKEKKAYSKMFK >Vigun01g026200.1.v1.2 pep primary_assembly:ASM411807v1:1:3034374:3037092:-1 gene:Vigun01g026200.v1.2 transcript:Vigun01g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNPARIKTPSFKHSKLTSPSKPITIPCTTFPFHSHPKLIHFRTHSVSESTQKEAPEATLQEEDDPTAELRYLDPETDPATITDWELDFCSRPILDARGKKVWELVVCDKTLSLQYTKYFPNNAINSITLKDGIVAVSDELGVPLPRNIRFFRSQMQTIITNSCNELRIRPVPSKRCVSIILWLEERYETIYKRHPGFQEGAKTLLALDNPFPTELPDILYGKRWAFVQLPYSAVREEVSNFEKGFCGSGLDLDLLGLEIDDNTLIPGLAVASSSATALAAVLSGLEVCAVEADAARARLILSVGISTRYIFSTFKKTPQTSGEAEAWEAAKKACGGLHFLAIQPDLDSEDCAGFNLLLDLPFPPV >Vigun01g008500.1.v1.2 pep primary_assembly:ASM411807v1:1:1000091:1005456:1 gene:Vigun01g008500.v1.2 transcript:Vigun01g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSHVPPGFRFHPTDEELVDYYLRKKVSSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHELIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLTTMRKLSEHDSPCWYDEQVSFMQDMDSPKQSSQPNNLPYQFPYPCKKELDLPYHLHLPLENQKLLQSASNSITPYAVVEQNHVMHQVSIMHQVQHSAQQQNFQTVFGNSGNEQVADWRALDKYVASQLSQEDAPKENSFSNTNTNSTNNNNNMFLGKNNDNIEQLGDMEKEEMMPENASTSNSSCPIDMWK >Vigun03g324300.1.v1.2 pep primary_assembly:ASM411807v1:3:52010620:52015076:1 gene:Vigun03g324300.v1.2 transcript:Vigun03g324300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPIHHSSDTRTYVSDEESVVSDCESGVSGDEGTFSGAFVPAAEDGRHVRTRFVRSLALNGLRPEVLTIAKNAHSSVLARARAQCFQISARAVAELHGGHANVRFAWYGASGKEEIADIAQHGFGHAHCNGLRLSPLDCPLQSVKRSGVDSDGVRYLLLCSVILGKTEVVPHGSNQRRSSSEEYDSGVDDLLAPKEYVIWCNRINTHVLPEYVLSFTLPSLKGNVNIGEPLRPSSPWMPFPALVSVLSRILPADQVAIIVKIRKDYTELKISRHEMIQRVRNIAGDKLLVSIIRSFRAKKTPASSKANKGKQGPGERRARGQPVA >Vigun08g103600.1.v1.2 pep primary_assembly:ASM411807v1:8:25692386:25701732:1 gene:Vigun08g103600.v1.2 transcript:Vigun08g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKWIPLALLRKLLISAICCIAFVALFSVRIHIVPSSKDHRFNDKISTAQDLHSWTRELAPPHLSKAPVSTPKLNGTRGYLDYQKLWKPPSNRGFLPCTKPTPNYTTPAESRGYLLVHTNGGLNQMRSGICDMVAVARIINATLVIPELDKRSFWQDTSNFSDVFDEEHFMNSLANDVKIIKKLPNDLVNETKVVKQFISWSGMDYYENEIASLWEEYQVIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEQLGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTHDLSLVEAEELRMIRENISYWKIKEIDPIEQRSKGFCPLTPKEVGIFLTALGYPSTTPIYIAAGEIYGGESHMAELRSRYPLLMSKEKLASIEELEPFSNHASQMAALDYIVSIESDVFIPSYSGNMAKAVEGHRRFLGRGRTISPDKKVLVRLFDKLDQGLITEGKKLSNRIIDLHRRRLGSPRKRKGPISGTKGMERFRSEETFYANPLPDCLCRTEQPPPPPPLNTSHIV >Vigun08g036100.2.v1.2 pep primary_assembly:ASM411807v1:8:3471918:3478879:-1 gene:Vigun08g036100.v1.2 transcript:Vigun08g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITKNKEGIQFVTRTLLVTNLPNSQLLFLYITPLSLFNFPNHHYLLHSSLFALPMATTTSLPTFFLLLLATVALVAARRDLVGDFLRLPSDSGSDDNFQGTRWAILFAGSNGYWNYRHQADICHAYQILRKGGLKEENIIVFMYDDIAFNWDNPRPGVIINKPDGDDVYEGVPKDYTGEDATAHNFYAALLGDKSALKGGSGKVVNSGPDDRIFIFYSDHGGPGVLGTPAGPYLYASDLVETLKKKHASGTYKNLVFYLEACEAGSIFEGLLPEDINIYATTASNAEESSWGTYCPGEYPSPPPEYSTCLGDLYSIAWMEDSDRHNLRTESLHQQYKVVKDRTLSGGYYGSHVMQYGDVGLSKDSLFLYLGTDPANDNLTFVDENSLRASSTAVNQRDADLVHFWHKFRKAPEGSPKKNEARKQVLEVMSHRMHIDDSVKLVGKLLFGIEKAPEVLNAVRPAGSALVDDWECLKTMVRTFETHCGSLSQYGMKHMRSFANICNVGIKKEQMGEASAQACVSIPDSPWSSLQRGFSA >Vigun09g024300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1924323:1925786:1 gene:Vigun09g024300.v1.2 transcript:Vigun09g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKLITLVYHRFNTRKFHGTANRMSSNWRDVMKKELENGNRGKQEESHHPVCIYRVPSSLRQVEPKAYEPNNISIGPYHHGAPHLRDMELLKRTFYRRLFNNHANQPKLDQAFKFLEEQETRVRRFYNEDIKLSSDEFLQMVLVDGSFIVQLLRDLSACDFQNVTPCLSPWMLPIIRREMIMLENQLPMFVLSELFELTSVVSASSRPNNCLKDLALRFFYPLLQVDSDYALDTKKAGELRGLHFLDLLRSAIRPDLEGEKPKNFQPHMIHSVTELIEAGVKIKVDESKQLLNISFGKKWGFLTRQLTIPPLYINDHRGTVFRNIVAFENCHKDCNPDVTTYLFFFNGLINSARDVSLLHYKGVLHHSLGNDNTVSELINNITKEIVLDKHKSYLYKVVNEANKYFASFYARNRASLVHHYLTSWVVGVSTIGSLMALYFTFIQSVCAFADSFKAFENQSLGSVIVDIFCVPVHGIPTTGDEREN >Vigun06g194600.3.v1.2 pep primary_assembly:ASM411807v1:6:31073044:31075980:1 gene:Vigun06g194600.v1.2 transcript:Vigun06g194600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNSCNSVCGCGSGVNVVSSIWSSGLKKQQKRPRVPKRGPGVAELEKILREQGGGGGGVDITTEKGDSEGFPSFVSRPSSSLNFHPLQPSTTTSRTTPPSNLATNVSSAPIFDHPTTLERLERSGGSGLVSPEKELKELFPLNLNSCKSNLNINEPIDGNRYDSASSPSRNLSADWPYAKVQHINNHYSAPITSMTNHRGTSTIGPQNQPELPSNQSLCYNYTSRVPEEQNVGMKRSHTSALENSLIPPSNFHVLPSFSHYNRHHQSSINDSHSGFNSNKECYKDAKWGSTLELSNTRFNSEMTVPGHANFPPFVTPEVPSPPMHLFSGVISKGNALPGHVCEDKIDSCQHSESIEPNPRPFYNFLEVEDSEMTDKMSGENHGGREAGRFGIDLNLKL >Vigun06g194600.2.v1.2 pep primary_assembly:ASM411807v1:6:31073044:31075980:1 gene:Vigun06g194600.v1.2 transcript:Vigun06g194600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNSCNSVCGCGSGVNVVSSIWSSGLKKQQKRPRVPKRGPGVAELEKILREQGGGGGGVDITTEKGDSEGFPSFVSRPSSSLNFHPLQPSTTTSRTTPPSNLATNVSSAPIFDHPTTLERLERSGGSGLVSPEKELKELFPLNLNSCKSNLNINEPIDGNRYDSASSPSRNLSADWPYAKVQHINNHYSAPITSMTNHRGTSTIGPQNQPELPSNQSLCYNYTSRVPEEQNVGMKRSHTSALENSLIPPSNFHVLPSFSHYNRHHQSSINDSHSGFNSNKECYKDAKWGSTLELSNTRFNSEMTVPGHANFPPFVTPEVPSPPMHLFSGVISKGNALPGHVCEDKIDSCQHSESIEPNPRPFYNFLEVEDSEMTDKMSGENHGGREAGRFGIDLNLKL >Vigun05g064600.1.v1.2 pep primary_assembly:ASM411807v1:5:5593181:5600103:-1 gene:Vigun05g064600.v1.2 transcript:Vigun05g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGASRALCCSVFMMFVTFSFAGDIVHHDNIAPKRPGCENNFVLVKVPTWIDGVESCEYVGVGARFGPTLESKEKRANHTRIAIADPPDCCSLPKNKLTGEIILVHRGQCSFTTKANIAEQAGASAMLIINYRTELFKMVCEANETDVDIGIPTVMLPQDAGENLENHLLNNSVVSVQLYSPYRPMVDVAEVFLWLMAVGSILCASYWSAWTARESAIEQEKLLKDASDEYISTESAGYSAYVEISTAAAISFVVIASCFLVMLYKFMASWFVEVLVVLFCIGGVEGLQTCLVALLSCFRWFQHAAQTFVKVPFFGAVSYLTVAVTPFCIVFAVLWGVYRHEPFAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRDGYFLWAMTAYGLGLLITYMALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELKVLWTRGEPKIPCPHIQEDQSTNQ >Vigun05g064600.2.v1.2 pep primary_assembly:ASM411807v1:5:5593181:5600103:-1 gene:Vigun05g064600.v1.2 transcript:Vigun05g064600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLPRDLAVKVPTWIDGVESCEYVGVGARFGPTLESKEKRANHTRIAIADPPDCCSLPKNKLTGEIILVHRGQCSFTTKANIAEQAGASAMLIINYRTELFKMVCEANETDVDIGIPTVMLPQDAGENLENHLLNNSVVSVQLYSPYRPMVDVAEVFLWLMAVGSILCASYWSAWTARESAIEQEKLLKDASDEYISTESAGYSAYVEISTAAAISFVVIASCFLVMLYKFMASWFVEVLVVLFCIGGVEGLQTCLVALLSCFRWFQHAAQTFVKVPFFGAVSYLTVAVTPFCIVFAVLWGVYRHEPFAWIGQDILGITLIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRDGYFLWAMTAYGLGLLITYMALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELKVLWTRGEPKIPCPHIQEDQSTNQ >Vigun03g347800.1.v1.2 pep primary_assembly:ASM411807v1:3:54787287:54790841:1 gene:Vigun03g347800.v1.2 transcript:Vigun03g347800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMVAFSTFLAPTSLKPSTSLPSTTTRRNIKPNALPFSSSNAFSARVHAYRPLRIRAIDAAQPFDYESRVAQQFHDAQRLKIAIVGFGNFGQFLAQTLVRQGHTVLAHSRSDYTDEARKLGVTFFHNPHDLCEEHPEVIILCSSIISTQHVLQTLPLHSLKRSTLFVDVLSVKEFPKNLLLHALPSDFDILCTHPMFGPQSAPRAWTSLPFVFEKVRIGDDDRRIERCEKFLNIFEREGCRMVEMCCADHDMFAAGSQFITHTVGRVLEMLMLESTPINTKGYESLINLVENTYGDSFDLYYGLFMFNKNSLEMLERLDFAFEDLRKQLMGRLHGVVREQLFHNAGIGKGQSLPDDYGYKLLKKGQNGSAPVLSLPSKEHRSDDVAKLDKYQTNDSSQSADNSKLMIALNGFAPVLSRFTKELRSGDVTELSKFKPNISSQSEGSTKLKIAIIGFGNFGQFLAKAIVRHGHQVLAYSRSDYSHVAEEMGASYFNSVDDLFEQHPEVILLCTSILSTEKVLKSLPVQRLKRNTLFVDVLSVKEFPRNLFLQHLSHDFDILCTHPMFGPESGKNGWNGLAFVYDKVRIGTDESRTSRCDRFLDIFSGEGCRMVEMSCAEHDWHAAGSQFITHTTGRFLEKLKLEATPIDTKGYETLLSLVENTAGDSFDLYYGLFLYNANAMEQLERFDLAFESLKKRLFDRMHGFYRQQVFKHEENLHNVTERRMLPKGSEEK >Vigun08g057600.3.v1.2 pep primary_assembly:ASM411807v1:8:7270293:7284236:-1 gene:Vigun08g057600.v1.2 transcript:Vigun08g057600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNPVDGCAIFWQKSRFNLLYEECIEFNKLGLRDNVAQLCVLEIINRNGSFPSSLTGSSKVVVCNIHVLYNPNRGEIKLGQVRVLLDKAKAVSKLWNDAPIVICGDFNCTPKSPLYNFISEQKLDLSGIDRNKVSGQASAIRASKPYYGPNSGEISTTGSVQVISTEGVKEVIEQNNCRLDTTKLDTKCHSLDNQHTHSVLDRSVESCANVECGKENDSYAGKDTQETAVDHSKIFCEVGSIKEQDPSYSKGGVHIVPVNGDIHDITPVISSAPEAVNSEKTGMGSNEHIQDAVPTSNEELSKESNLHVPEENKLVEFEFSSTSLQEYHQSFTRVSIDDESTDLDNSVISPTKPSSQTSASNTFEVPSTEYGDSPSPEEIADDQNNSSSTAFLVDKSHQLSNINFPLDEKEKTFFDEIDKTIAVGKNIGDDRSFVSSLHNAEGVALDISSSMKSDLDKSYQYNKLNSSSNLVPVEGNEGEDNLSPRQISKSIWAEEATYNPALWTPKEIETATGNEDCTFLEHPLQLRSTYTEATDCSGTRDPYGEPLVTSYNRRFSGTVDYIWRSEGLQTTRVLAPMAKHAMEWTPGFPTKKWGSDHIALVTELAFLKDGTDISTKV >Vigun08g057600.2.v1.2 pep primary_assembly:ASM411807v1:8:7270293:7285133:-1 gene:Vigun08g057600.v1.2 transcript:Vigun08g057600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPSLHFLAATDTATATATATASNTGAASAASMSSRPLHRGRGRGFYGRPYSGGRGNFISGDAHLRSVRDANLGLRRGESGSFANQTPHNQNPPYNPRPHPPLHQDRPQFRNRPYSPHFPQHFPQYRQHPPPENRPTFRPQTHLRQRPPDYRDWELALTPPLPHCERFKVLSYNILADYLALDHRNKLYFHIPPYILDWQWRKRSILFELGLWSADILCLQEVDKFHELAEELKPKGYCGIWKMRTGNPVDGCAIFWQKSRFNLLYEECIEFNKLGLRDNVAQLCVLEIINRNGSFPSSLTGSSKVVVCNIHVLYNPNRGEIKLGQVRVLLDKAKAVSKLWNDAPIVICGDFNCTPKSPLYNFISEQKLDLSGIDRNKVSGQASAIRASKPYYGPNSGEISTTGSVQVISTEGVKEVIEQNNCRLDTTKLDTKCHSLDNQHTHSVLDRSVESCANVECGKENDSYAGKDTQETAVDHSKIFCEVGSIKEQDPSYSKGGVHIVPVNGDIHDITPVISSAPEAVNSEKTGMGSNEHIQDAVPTSNEELSKESNLHVPEENKLVEFEFSSTSLQEYHQSFTRVSIDDESTDLDNSVISPTKPSSQTSASNTFEVPSTEYGDSPSPEEIADDQNNSSSTAFLVDKSHQLSNINFPLDEKEKTFFDEIDKTIAVGKNIGDDRSFVSSLHNAEGVALDISSSMKSDLDKSYQYNKLNSSSNLVPVEGNEGEDNLSPRQISKSIWAEEATYNPALWTPKEIETATGNEDCTFLEHPLQLRSTYTEATDCSGTRDPYGEPLVTSYNRRFSGTVDYIWRSEGLQTTRVLAPMAKHAMEWTPGFPTKKWGSDHIALVTELAFLKDGTDISTKV >Vigun08g057600.1.v1.2 pep primary_assembly:ASM411807v1:8:7270293:7285133:-1 gene:Vigun08g057600.v1.2 transcript:Vigun08g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTPPSLHFLAATDTATATATATASNTGAASAASMSSRPLHRGRGRGFYGRPYSGGRGNFISGDAHLRSVRDANLGLRRGESGSFANQTPHNQNPPYNPRPHPPLHQDRPQFRNRPYSPHFPQHFPQYRQHPPPENRPTFRPQTHLRQRPPDYRDWELALTPPLPHCERFKVLSYNILADYLALDHRNKLYFHIPPYILDWQWRKRSILFELGLWSADILCLQEVDKFHELAEELKPKGYCGIWKMRTGNPVDGCAIFWQKSRFNLLYEECIEFNKLGLRDNVAQLCVLEIINRNGSFPSSLTGSSKVVVCNIHVLYNPNRGEIKLGQVRVLLDKAKAVSKLWNDAPIVICGDFNCTPKSPLYNFISEQKLDLSGIDRNKVSGQASAIRASKPYYGPNSGEISTTGSVQVISTEGVKEVIEQNNCRLDTTKLDTKCHSLDNQHTHSVLDRSVESCANVECGKENDSYAGKDTQETAVDHSKIFCEVGSIKEQDPSYSKGGVHIVPVNGDIHDITPVISSAPEAVNSEKTGMGSNEHIQDAVPTSNEELSKESNLHVPEENKLVEFEFSSTSLQEYHQSFTRVSIDDESTDLDNSVISPTKPSSQTSASNTFEVPSTEYGDSPSPEEIADDQNNSSSTAFLVDKSHQLSNINFPLDEKEKTFFDEIDKTIAVGKNIGDDRSFVSSLHNAEGVALDISSSMKSDLDKSYQYNKLNSSSNLVPVEGNEGEDNLSPRQISKSIWAEEATYNPALWTPKEIETATGNEDCTFLEHPLQLRSTYTEATDCSGTRDPYGEPLVTSYNRRFSGTVDYIWRSEGLQTTRVLAPMAKHAMEWTPGFPTKLDVNNGFLNDFLEETTYMTQPPGFEKWGSDHIALVTELAFLKDGTDISTKV >Vigun05g052900.1.v1.2 pep primary_assembly:ASM411807v1:5:4522467:4530560:1 gene:Vigun05g052900.v1.2 transcript:Vigun05g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVILKKRRNLFFNPLCSQPGRIIFGFSSVGHAQPLESSESEGLSRFPFCSSATTEDVQENKLFAVNKYDLAACAASRFVSRNSFRGSSFGFRSDKTELVYLSRLGWISQCTRHISTAAADQSGLGSSNRGSEQSAPKQKKEASPEECDEAVEGLSTIKAKAKAKQFQETQKSADSIIKKLWTKILGIGPAFRTIMSMSRDDWAKKFSHWWDEFKSTLQHYWFGTKLLWADIRISSRLMLKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMELLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMANEIQNSQSGEMKKTAEDLDEFMNKVRTGARVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDGYLRYMLRKRLQEIKNDDKLIQLEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTTLPSEDSVSERKRKLEYLEMQEELIKEEEKKEEAEKAKMVESVSTEGDLVMKERASTTKQTQGEVKTKTLDKQEHLSELSRALAVLASASSVSREREEFLRLVRKEMELYDSMVGKEGTEGEQAAMKAYKAARKESDGAIEAAISDKVSSALVDRVDTMLQTLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVVSAAMYLKDTLGKEGIHELISNLSKDSDGKILVEDIVKLGSKKEDAETEEVGRS >Vigun05g052900.2.v1.2 pep primary_assembly:ASM411807v1:5:4522814:4530560:1 gene:Vigun05g052900.v1.2 transcript:Vigun05g052900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVILKKRRNLFFNPLCSQPGRIIFGFSSVGHAQPLESSESEGLSRFPFCSSATTEDVQENKLFAVNKYDLAACAASRFVSRNSFRGSSFGFRSDKTELVYLSRLGWISQCTRHISTAAADQSGLGSSNRGSEQSAPKQKKEASPEECDEAVEGLSTIKAKAKAKQFQETQKSADSIIKKLWTKILGIGPAFRTIMSMSRDDWAKKFSHWWDEFKSTLQHYWFGTKLLWADIRISSRLMLKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMELLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMANEIQNSQSGEMKKTAEDLDEFMNKVRTGARVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDGYLRYMLRKRLQEIKNDDKLIQLEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTTLPSEDSVSERKRKLEYLEMQEELIKEEEKKEEAEKAKMVESVSTEGDLVMKERASTTKQTQGEVKTKTLDKQEHLSELSRALAVLASASSVSREREEFLRLVRKEMELYDSMVGKEGTEGEQAAMKAYKAARKESDGAIEAAISDKVSSALVDRVDTMLQTLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVVSAAMYLKDTLGKEGIHELISNLSKDSDGKILVEDIVKLGSKKEDAETEEVGRS >Vigun05g116700.2.v1.2 pep primary_assembly:ASM411807v1:5:12430455:12432520:1 gene:Vigun05g116700.v1.2 transcript:Vigun05g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAHEDLATEVEESPSFPPSISLDNVQEMVRNNPFQVPERYLASQEELEKANYMPHLSSEIPVINLELLLNGNKEELLKLDVACKEWGFFQIVNHGMQK >Vigun05g116700.1.v1.2 pep primary_assembly:ASM411807v1:5:12430455:12431336:1 gene:Vigun05g116700.v1.2 transcript:Vigun05g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAHEDLATEVEESPSFPPSISLDNVQEMVRNNPFQVPERYLASQEELEKANYMPHLSSEIPVINLELLLNGNKEELLKLDVACKEWGFFQIVNHGMQK >Vigun09g188500.4.v1.2 pep primary_assembly:ASM411807v1:9:36302218:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGKEWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.3.v1.2 pep primary_assembly:ASM411807v1:9:36302217:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.7.v1.2 pep primary_assembly:ASM411807v1:9:36303043:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEPVVEVEASRFLQVRVSLLSWTSSCFCQDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.1.v1.2 pep primary_assembly:ASM411807v1:9:36301475:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRENDDGGEKEEVVENDVTLAQNQWCPVVNAGFSSPKRLVVVGYALTTKKIKSFLQPKLEGLARNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.8.v1.2 pep primary_assembly:ASM411807v1:9:36302217:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.6.v1.2 pep primary_assembly:ASM411807v1:9:36303043:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEPVVEVEASRFLQVRVSLLSWTSSCFCQDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun09g188500.2.v1.2 pep primary_assembly:ASM411807v1:9:36301475:36307460:1 gene:Vigun09g188500.v1.2 transcript:Vigun09g188500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRENDDGGEKEEVVENDVTLAQNQWCPVVNAGFSSPKRLVVVGYALTTKKIKSFLQPKLEGLARNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQVLEDYRQSHPEVTVLDPPDAIQHLRSRQYMLQAVADMNLCDSYGIVDVPRQLVIKREACAIPELVNQAGLTLPLVAKPLVADGSAKSHELSLAYEKFSLQKLEPPLVLQEFVNHGGVLFKVYIVGDAIKVVRRFSLPDVSKWELSKDAGIYNFPRVSCAAASADDADLDPTVAELPPRPLLEKLAKELRWRLGLRLFNLDIIREYGTRDHFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQGKYKKKSG >Vigun08g198500.3.v1.2 pep primary_assembly:ASM411807v1:8:36393795:36397950:1 gene:Vigun08g198500.v1.2 transcript:Vigun08g198500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSGKATFPASIGSRGVSNPSPDWDLEPARPFQRRKPRTPGTRLRRHGGKRSRPETPLLKWKIHEDPLEEDQKSSFSGSRRRTSRSAKKQTEVAVSARRLAAGLWRLHLPETVSGDGWKGLENKHGNGHARHRFLGHPNGMIHESDLKKNPLQSPRSIFGAKNGHFCEPEPFRFSNTEREGATKWDPLCLKTSDEEAHHIYRHMKLLDQKASAVSVVSALGAELEQARARIQELETEHRSSKKKLEHFLKKVSEERAQWRSREHDKIHAYIDDIKSELSRERKNRQRIEIVNSRLVNELADAKLSAKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKHREEVEEERKMLQMAEVWREERVQMKLIDAKVSLEEKYSQMNKLVADLESFIKSRSADPITTELREAQSLQQAAATMNIQDIKGFSYEPPNSDDIFAIFEEANFGEANEREIEPLASHSSASHASNIHIVSPEANAITKDGIQRCSDVFVDDNGDVEGDESGWETVSHVDDQGSSYSPEGSTLSLNRNHRDSNVSGRSVLEWEETAGEETPITEISEVCSIPTKQSKKVSSITRLWRSYPNNGDSYKIISLEEMNGKLSNGRLSNGVIMSPDHGSGKGGLSPQDLLYQLSPDSGSPHAHKGGMKGCIPRGAQKNSLKARLLEARMESQKVQLRHVLKQKI >Vigun08g198500.1.v1.2 pep primary_assembly:ASM411807v1:8:36393683:36398010:1 gene:Vigun08g198500.v1.2 transcript:Vigun08g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSGKATFPASIGSRGVSNPSPDWDLEPARPFQRRKPRTPGTRLRRHGGKRSRPETPLLKWKIHEDPLEEDQKSSFSGSRRRTSRSAKKQTEVAVSARRLAAGLWRLHLPETVSGDGWKGLENKHGNGHARHRFLGHPNGMIHESDLKKNPLQSPRSIFGAKNGHFCEPEPFRFSNTEREGATKWDPLCLKTSDEEAHHIYRHMKLLDQKASAVSVVSALGAELEQARARIQELETEHRSSKKKLEHFLKKVSEERAQWRSREHDKIHAYIDDIKSELSRERKNRQRIEIVNSRLVNELADAKLSAKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKHREEVEEERKMLQMAEVWREERVQMKLIDAKVSLEEKYSQMNKLVADLESFIKSRSADPITTELREAQSLQQAAATMNIQDIKGFSYEPPNSDDIFAIFEEANFGEANEREIEPLASHSSASHASNIHIVSPEANAITKDGIQRCSDVFVDDNGDVEGDESGWETVSHVDDQGSSYSPEGSTLSLNRNHRDSNVSGRSVLEWEETAGEETPITEISEVCSIPTKQSKKVSSITRLWRSYPNNGDSYKIISLEEMNGKLSNGRLSNGVIMSPDHGSGKGGLSPQDLLYQLSPDSGSPHAHKGGMKGCIPRGAQKNSLKARLLEARMESQKVQLRHVLKQKI >Vigun08g198500.2.v1.2 pep primary_assembly:ASM411807v1:8:36394853:36397950:1 gene:Vigun08g198500.v1.2 transcript:Vigun08g198500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHESDLKKNPLQSPRSIFGAKNGHFCEPEPFRFSNTEREGATKWDPLCLKTSDEEAHHIYRHMKLLDQKASAVSVVSALGAELEQARARIQELETEHRSSKKKLEHFLKKVSEERAQWRSREHDKIHAYIDDIKSELSRERKNRQRIEIVNSRLVNELADAKLSAKRYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKHREEVEEERKMLQMAEVWREERVQMKLIDAKVSLEEKYSQMNKLVADLESFIKSRSADPITTELREAQSLQQAAATMNIQDIKGFSYEPPNSDDIFAIFEEANFGEANEREIEPLASHSSASHASNIHIVSPEANAITKDGIQRCSDVFVDDNGDVEGDESGWETVSHVDDQGSSYSPEGSTLSLNRNHRDSNVSGRSVLEWEETAGEETPITEISEVCSIPTKQSKKVSSITRLWRSYPNNGDSYKIISLEEMNGKLSNGRLSNGVIMSPDHGSGKGGLSPQDLLYQLSPDSGSPHAHKGGMKGCIPRGAQKNSLKARLLEARMESQKVQLRHVLKQKI >Vigun07g267100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38256269:38257351:1 gene:Vigun07g267100.v1.2 transcript:Vigun07g267100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQKFRLFATPCGVGQSPTQSPRTSPLVQFRRPKTSLRSLLSLNRSPRRAVEKDSMRRHSLKDLFVSSPPREEHVHDHDHETTPMLASVAAPHSWSSEPGSTNSPWTGFRCRSLLKRKYWRPLLLTIPE >Vigun09g219900.1.v1.2 pep primary_assembly:ASM411807v1:9:39359137:39364668:1 gene:Vigun09g219900.v1.2 transcript:Vigun09g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFHENMGLVCSKRRRFRDADAEENAQDAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDDAELKSYIPVIHANVFQTIKVLHDGSKELAQNDADSSKYVISNENQDIGEKLSDIGGRLDYPRLTKDLAQEIETLWEDAAIQETYARANELQVPDCTHYFMENLERLSDANYVPTKEDVLYARVRTTGVVEIQFSPVGENKRSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLYEDENKNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKILRVPLNVCEWFKEYQPVSTGKQEIEHAYEFVKKKFEELYFQSIAPDHVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Vigun08g134900.4.v1.2 pep primary_assembly:ASM411807v1:8:30609024:30611843:-1 gene:Vigun08g134900.v1.2 transcript:Vigun08g134900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVERKKEESSTLLGDKTISFREQMQDPSSNPNSNSNPNPHNANAASQPPRPAPSTAAAGAGASSSSIFARSGGPHHRRAHSEVSFRLPDDMMDLSPSDPFAGGSSTASMDEIGSEDDLFSTYIDVDKLGGANGSGGAGNGADPTGELEKSPAMARHRHSNSVDGSSSFGEIMEAKKAMPPDKLAELWTVDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKLRLQAMEQQAQLRDALKKEVERLKVATGEMMSHTESFNLGMHHMPFTGPTFSPIVSQSGPSGHQNIQLSSFGHSPSTMPTHPLHQTSSHTLSEILQNDQLGRFQGLDISSKGSTLVKSEGPSLSARMLTKKGKHPWGFVVKFTQSCFSKAFGVSGRWNIGNERSFPLYLCMLGYASIL >Vigun08g134900.3.v1.2 pep primary_assembly:ASM411807v1:8:30609024:30611843:-1 gene:Vigun08g134900.v1.2 transcript:Vigun08g134900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVERKKEESSTLLGDKTISFREQMQDPSSNPNSNSNPNPHNANAASQPPRPAPSTAAAGAGASSSSIFARSGGPHHRRAHSEVSFRLPDDMMDLSPSDPFAGGSSTASMDEIGSEDDLFSTYIDVDKLGGANGSGGAGNGADPTGELEKSPAMARHRHSNSVDGSSSFGEIMEAKKAMPPDKLAELWTVDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKLRLQAMEQQAQLRDALKKEVERLKVATGEMMSHTESFNLGMHHMPFTGPTFSPIVSQSGPSGHQNIQLSSFGHSPSTMPTHPLHQTSSHTLSEILQNDQLGRFQGLDISSKGSTLVKSEGPSLSASESSTTF >Vigun08g134900.1.v1.2 pep primary_assembly:ASM411807v1:8:30609024:30611843:-1 gene:Vigun08g134900.v1.2 transcript:Vigun08g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVERKKEESSTLLGDKTISFREQMQDPSSNPNSNSNPNPHNANAASQPPRPAPSTAAAGAGASSSSIFARSGGPHHRRAHSEVSFRLPDDMMDLSPSDPFAGGSSTASMDEIGSEDDLFSTYIDVDKLGGANGSGGAGNGADPTGELEKSPAMARHRHSNSVDGSSSFGEIMEAKKAMPPDKLAELWTVDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKVATGEMMSHTESFNLGMHHMPFTGPTFSPIVSQSGPSGHQNIQLSSFGHSPSTMPTHPLHQTSSHTLSEILQNDQLGRFQGLDISSKGSTLVKSEGPSLSARMLTKKGKHPWGFVVKFTQSCFSKAFGVSGRWNIGNERSFPLYLCMLGYASIL >Vigun08g134900.2.v1.2 pep primary_assembly:ASM411807v1:8:30609024:30611862:-1 gene:Vigun08g134900.v1.2 transcript:Vigun08g134900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVERKKEESSTLLGDKTISFREQMQDPSSNPNSNSNPNPHNANAASQPPRPAPSTAAAGAGASSSSIFARSGGPHHRRAHSEVSFRLPDDMMDLSPSDPFAGGSSTASMDEIGSEDDLFSTYIDVDKLGGANGSGGAGNGADPTGELEKSPAMARHRHSNSVDGSSSFGEIMEAKKAMPPDKLAELWTVDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKVATGEMMSHTESFNLGMHHMPFTGPTFSPIVSQSGPSGHQNIQLSSFGHSPSTMPTHPLHQTSSHTLSEILQNDQLGRFQGLDISSKGSTLVKSEGPSLSASESSTTF >Vigun05g290100.1.v1.2 pep primary_assembly:ASM411807v1:5:47663762:47667101:1 gene:Vigun05g290100.v1.2 transcript:Vigun05g290100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRFLSPSNLKSLHTALSPSRPRFAAPLLTRHVTAQSGTSSVRKRVEDVLPIATGHEREEIQASLEGRDILEINHPEGPFGTKEAPAIVKSYYDRRIVGCPGGEGEDEHDVVWFWLENGKPHECPVCTQYFELKVVGPGGDPYGHGDHH >Vigun07g282600.1.v1.2 pep primary_assembly:ASM411807v1:7:39678937:39681292:1 gene:Vigun07g282600.v1.2 transcript:Vigun07g282600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIHGDGVSESKLCINDVLRDDELRSILGRVETEKDKETFGLVCKRWLRLQSTERKKLAARAGPHMLRKMADRFTRLVELDLAQSVSRSFYPGVTDSDLAVIANGFTCLKILNLHNCKGITDAGMKAIGEGLSLLESLDVSYCRKLSDKGFSAVAKGCSDLRILHMAGCRFVTDGVLEALSKNCRSLEELGLQGCTSITDNGLIHLASGCHRIRFLDINKCSNVSDVGVSSISRACSSSLKTLKLLDCYKIGDETILSLAECCGNLETLIIGGCRDVSADAIKSLATACGSSLKNLRMDWCLNISDSSLSCVLSQCRNLEALDIGCCEELTDASFQFISNEGSGLSLKILKVSNCPKITVTGIGILVGKCSSLQYLDVRSCPYITKAGLDGAGFHFPESCKINFNGSIGEPAVLL >Vigun03g328400.1.v1.2 pep primary_assembly:ASM411807v1:3:52528453:52533032:1 gene:Vigun03g328400.v1.2 transcript:Vigun03g328400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIPNRQLFIDGDWKVPALGKRIPIINPSTQQIIGDIPAATKEDVEVAVAAAKAALSRNKGADWASASGAVRARYLRAIAAKVTEKKPELAKLEAIDCGKPLDEAAWDIDDVAGCFEFYADLAEKLDSKQKAPVSLPMDTFKSYVLKEPIGVVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASVTCLELGEICKEVGLPPGVLNILTGLGPEAGAPLAVHPDVDKIAFTGSSATGSKIMTAAAQLVKPVSLELGGKSPIVVFEDVDLDKAAEWTIFGCFWTNGQICSATSRLIVHESIATEFLNRIVKWIKNIKISDPLEEGCRLGPVVSEGQYEKVLKFISNAKSEGATILTGGSRPEHLKKGFFVEPTVITDVTTSMQIWKEEVFGPVLCVKTFSTEEEAIDLANDTVYGLGSAVISNDLERCERITKAFKAGIVWINCSQPCFTQAPWGGIKRSGFGRELGEWGLDNYLSVKQVTQYISDEPWGWYRSPSKM >Vigun09g109800.1.v1.2 pep primary_assembly:ASM411807v1:9:23522458:23528297:1 gene:Vigun09g109800.v1.2 transcript:Vigun09g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDENAGSTSREEQEEALVALIEHRTREVKNLRLRFAYYKTQLHDAEQKLQDTESKLARLRGHTKAVSSRNTVDDEIKTVKTERRSNSPIDRNEGSIRNRHQSKPELLIPSVNPKISQPVLLPKPSSKASVSSNSEATPGIHNSPITGDSSRGKSDKSHSHRLSSEQQKTEIKDKGTKRKFEQKEHKELIPLICKSSSPSLVRSQTSNHISSQHKRKLRSIALCPVNDQLFVTSALDGMVNFWQIQAKGAGASRLSTTDCASQKQRRWPEDLVWHPEGNSLFAVYSADGGDSQVSITNLNKGQQGGERVKFLEDKPHVKGIINGIVFMPWENICFVTGGSDHAVVLWSEQEGEKWKPKALHRNLHSSAVMGVAGMQHKQMVLSVGADRRIFGYDVHVGRADFKHQVDSKCMSVLPNPCDYNLFMVQTGTHERQLRLFDIRLRNTELHAFGWKQESSDSQSALINQSWSPDGLYITSGSADPVIHIFDIRYTAHKPSQSIRAHQKRVFRAMWLQSIPLVISISSDLNIGLHKVYA >Vigun09g109800.2.v1.2 pep primary_assembly:ASM411807v1:9:23522458:23526912:1 gene:Vigun09g109800.v1.2 transcript:Vigun09g109800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDENAGSTSREEQEEALVALIEHRTREVKNLRLRFAYYKTQLHDAEQKLQDTESKLARLRGHTKAVSSRNTVDDEIKTVKTERRSNSPIDRNEGSIRNRHQSKPELLIPSVNPKISQPVLLPKPSSKASVSSNSEATPGIHNSPITGDSSRGKSDKSHSHRLSSEQQKTEIKDKGTKRKFEQKEHKELIPLICKSSSPSLVRSQTSNHISSQHKRKLRSIALCPVNDQLFVTSALDGMVNFWQIQAKGAGASRLSTTDCASQKQRRWPEDLVWHPEGNSLFAVYSADGGDSQVSITNLNKGQQGGERVKFLEDKPHVKGIINGIVFMPWENICFVTGGSDHAVVLWSEQEGEKWKPKALHRNLHSSAVMGVAGMQHKQMVLSVGADRRIFGYDVHVGRADFKHQVDSKCMSVLPNPCDYNLFMVQTG >Vigun03g053100.1.v1.2 pep primary_assembly:ASM411807v1:3:4374276:4377973:1 gene:Vigun03g053100.v1.2 transcript:Vigun03g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKLSLLGFLCAYSFFTIGAVELGRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILQLLRRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMHTHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKNNPLFDVSTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEEVPKLDTILTSISPQVILRKQILLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDNTVFLKSGEKILNWTAGPVSDLKPW >Vigun02g100801.1.v1.2 pep primary_assembly:ASM411807v1:2:25575562:25578662:-1 gene:Vigun02g100801.v1.2 transcript:Vigun02g100801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIKKLSFPKYIEFMKESHNRLAEFKRSQINLPSNVTFYPFSYFPFI >VigunL040642.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:370510:370842:-1 gene:VigunL040642.v1.2 transcript:VigunL040642.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun11g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30116680:30123702:-1 gene:Vigun11g103600.v1.2 transcript:Vigun11g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHRHPPPPAAGAPGRDDEPFNIIPLHNLLTDHPSLRFPEVRAAVAALRAVGDLRRPPFGQWRQNMDLLDWLALFFGFQRDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDAGVLRRFRRKLLKNYGSWCSYLGKKSNIWISDNRRGGTGDDLRRELLYVSLYLLIWGEAANLRFMPECICYIFHNMANELNRILEDYIDENTGQPVMPSISGENAFLNMVVKPIYETVKREVDSSRNGTAPHSAWRNYDDINEYFWSRRCFEKLKWPLDVGSNFFVTAGGGKHVGKTGFVEQRSFWNLFRSFDRLWVMLILFLQAAIIVAWEERSFPWQALEDRTVQVRVLTIFFTWSGLRFVQSLLDVGMQYRLVSRETVGLGVRMVLKCVVAAAWTVVFGVFYARIWTQRNQDRRWSPAANNRVVNFLEVVFVFVIPELLALALFVLPWIRNFVEKTNWRIFYVLSWWFQSRSFVGRGLREGLVDNVKYSVFWVVVLATKFCFSYFMQVKPMIAPSKAVLDLKNVHYEWHEFFHSSNRLAVGLLWLPVVLIYLMDIQIWYSIYSSFAGAGVGLFAHLGEIRNMQQLKLRFQFFASAIQFNLMPEEQLLNTRGTLKSKFKDAIHRLKLRYGLGRPYRKLESNQIEANKFALIWNEIILSFREEDIISDKEFELLELPENSWNVRVIRWPCFLLCNELLLALSQAKELVDDSDKRLYKKICKSEYRRCAVIEAYDSIKHLLLEIIKQNTEEHSIVTVLFQEIGHSLEIEKFTKLFKTTALPKLHDKLIKLVQLLNRPVKDPNQVVNTLQALYEIAIRDFFKEQRNPDQLKEDGLAQQNPASGLLFENAIQLPDASNENFYRQVRRLYTILTSNDSMQNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVLFNKEQLRNENEDGVSILYYLQTIYDDEWKNFIERMRREGMTKDSDLWTDKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLTFLDSASEMDIREGARELVSMRPDRVRSSNSERSPSSKGLSRASSSVSLLFKGHEYGTALMKFTYVIACQIYGTQKEKKDPHADEILYLMQKNEALRVAYVDEKTTGRDEKEYYSVLVKYDQQLQKEVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRRPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYGFLWGRLYLALSGVENAMESNSNNNKALGTILNQQFIIQLGLFTALPMIVENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRSHFFGRTVLHGGAKYRATGRGFVVEHKRFAEIYRLFARSHFVKAIELGLILVIYASHSPVATDTFVYIALTITSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYSGSVFAKAEQSWERWWYEEQDHLKVTGLWGKLLEIILDLRFFFFQYGIVYQLGISAGSTSIAVYLLSWIYVLVISGIYVVVVYARNKYAAKEHIYYRLVQFLVIILAILVIVGLLEFTKFKFIDIFTSLLAFVPTGWGLISIAQVFRPFLQSTIIWDGVVSVARLYDIMFGIIVMAPVALLSWLPGFQNMQTRILFNEAFSRGLRIFQIVTGKKSQS >Vigun04g019500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1475582:1477197:1 gene:Vigun04g019500.v1.2 transcript:Vigun04g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREERRHMRIMNLKSSSSTSISKSKAPKKQSIAEIFKVEEQQQQQPPQLQQPPQIESVLKFWPFHEDVADEVSNTVSKFEWLSRRLEALRSTRGGGESSKSDRRDSAEEDEKLEMVCPVCRDFNAATVTAVNAHIDGCLAQAVREERRQMRRTVNCKSKPKAPKKHSIAEILTVTPHCILRSLQRCPQNTLTPGRSYHQLSLQL >Vigun10g163800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38313445:38314321:-1 gene:Vigun10g163800.v1.2 transcript:Vigun10g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTYASSSSSHRSLAMVLAFVSAVVLSPLYGNSRSDRRYYESKWSSGGFVLPMFLAGLIIAIRTTSSSVSSSSSTPRASFLPSPEPSWVFRVGSSSWGLGMILVMLMLVLHWQASVQEFLWR >Vigun06g173700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29466758:29472336:-1 gene:Vigun06g173700.v1.2 transcript:Vigun06g173700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAVRAKEIAEERMQNKDFNGARKFVLKAQQLYPDLENINQMLIVCDVHCFAEQKLLGNEMDWYKILQIDLTANDTTIKKQYRKFALQLHPDKNKFSGAEAAFKLIGEAQRVLLDREKRSRLDMNLHRAPMNRATMPSHHQQNVQMNFNPVMQTTVRPIFTNLNPHQQQQSRQTSHQGPNGGRRTFWTMCSFCFVRYEYFLEVLNRSLRCQHCNRPFIANDVRMQNTVPATNSTQQAFGVQNHSQNHGAFNVGVGSRGNVHTHRSNTESHKKKRHTVDDPIKPNGKRRRKRAAESSESSESVGSTDSESEEEVRFDNDAVPGFSTHREENPRRSTRQKHQVSYKENVSDDEGSGSPSVADEEEHEEAAIINEQNGLAADKKDQQQVKGKQNFYSEEGVQNIKEELKEVREKEAVGSSNTDKTSEHSPLKSADQPVEFIYPDAEFSDFDKDKKEGSFAAGQIWAIYDTVDGMPRFYALIRKVLSPGFKLRITWFEPDAEEKDEIHWINEQLPVACGKHRLGNTESTEDPLMFSHLIVCEKIGRSRYNVYPRKGETWALFKNWDIKWHMDAESHKQYDFEFVEILSDYIEGVGVVVAYLGKLKGFTSLFSQLDGGKRTIQIPSTELFRFSHRIPSFKMTGQERVGVPVGSWELDPVSLPRNIEEIDVSGNLDVNIGHWPSSGNGTRSSDMSKFSREVDASTTKLNLERNKSSKESKDPIEHTGSDSSFSATDAFEIPDPEFYNFDAGRSIEKFQVGQIWAFYGDEDGLPKYYGQIKKIRIRPEFELQVTYLTNCWIPENCVRWEDKDMLISIGRFKIKGAGPYTYTNTRSISHQVQTIADSKKEYEIFPRKGEIWALYKNWTTKIKRSDLENLKYDIVEVVGENDLWMDVVPLELVSGYKSVFKGNSNARSARTMKIFWKELLRFSHQIPAFKLSEEHGGNLRGFWELDPGALPPHYFNSK >Vigun06g173700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29466758:29472336:-1 gene:Vigun06g173700.v1.2 transcript:Vigun06g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAVRAKEIAEERMQNKDFNGARKFVLKAQQLYPDLENINQMLIVCDVHCFAEQKLLGNEMDWYKILQIDLTANDTTIKKQYRKFALQLHPDKNKFSGAEAAFKLIGEAQRVLLDREKRSRLDMNLHRAPMNRATMPSHHQQNVQMNFNPVMQTTVRPIFTNLNPHQQQQSRQTSHQGPNGGRRTFWTMCSFCFVRYEYFLEVLNRSLRCQHCNRPFIANDVRMQNTVPATNSTQQAFGVQNHSQNHGAFNVGVGSRGNVHTHRSNTESHKKKRHTVDDPIKPNGKRRRKRAAESSESSESVGSTDSESEEEVRFDNDAVPGFSTHREENPRRSTRQKHQVSYKENVSDDEGSGSPSVADEEEHEEAAIINEQNGLAADKKDQQQVKGKQNFYSEEGVQNIKEELKEVREKEAVGSSNTDKTSEHSPLKSADQPVEFIYPDAEFSDFDKDKKEGSFAAGQIWAIYDTVDGMPRFYALIRKVLSPGFKLRITWFEPDAEEKDEIHWINEQLPVACGKHRLGNTESTEDPLMFSHLIVCEKIGRSRYNVYPRKGETWALFKNWDIKWHMDAESHKQYDFEFVEILSDYIEGVGVVVAYLGKLKGFTSLFSQLDGGKRTIQIPSTELFRFSHRIPSFKMTGQERVGVPVGSWELDPVSLPRNIEEIDVSGNLDVNIGHWPSSGNGTRSSDMSKFSREVDASTTKLNLERNKSSKESKDPIEHTGSDSSFSATDAFEIPDPEFYNFDAGRSIEKFQVGQIWAFYGDEDGLPKYYGQIKKIRIRPEFELQVTYLTNCWIPENCVRWEDKDMLISIGRFKIKGAGPYTYTNTRSISHQVQTIADSKKEYEIFPRKGEIWALYKNWTTKIKRSDLENLKYDIVEVVGENDLWMDVVPLELVSGYKSVFKGNSNARSARTMKIFWKELLRFSHQIPAFKLSEEHGGNLRGFWELDPGALPPHYFNSK >Vigun02g071000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22178745:22180346:-1 gene:Vigun02g071000.v1.2 transcript:Vigun02g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPFLSAFLLLSLLLLDQSHFAKAGIGSSVASWFKSLNSEKIINYVKGKKAPKPEWDNEFYNYVKPDPKLPNLPLNKERKGFAPLKEYLSNFGYLPSSDSYNNTVDQQTLSAIKTFQESFDLPVTGDIDSRTLKLIKLPRCAVPDMDFNYSFTQNVSWPKAGHRWFRKRSLTYGFLPESEVQPNATRVFKTAFTRWANATGSLNLTETTYDDADIKIGFYNFSDSVVGDLYGFSFITENPPSDVLTAEINLNGNMYWALPSEKEFLSAEDGILDLESAAMHQIGHLLGFDHSFKNDSVMYPYILPSQERKVELSISDKNNIRKQFANADSANGGCLGVPSITTLLLSLGFACMLLMC >Vigun10g049200.6.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7574097:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFIRLLIFQLMPHQPQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEIRTSGNLCLTFSTTSCDDDASSSPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun10g049200.2.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7574097:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSFCLIAMPGGGSPVISSLEIRPLPQRAYTNGMTDFPNKLLRKSYRIDCGHSNDSIRYPLDPFDRIWDADRSFTPFHVAIGFKIQLSFRQSSLVEEPPAAVLQTGRVLARSNALTYNLPLDALGDYYIILYFAGILPVFPSFDVLINGELVKSNYKINSSETSALYLTRKGIGSLNITLKSISFYPQINAFEVYKIVDIPTDASSTTVSALQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEIRTSGNLCLTFSTTSCDDDASSSPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun10g049200.4.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7573935:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLSLSCGGRTSFRDSSNISWVPDTTYVTTGKTATITYSDGSNNSLNISARFFPNSRRRKCYRIPANNSTALVLVRAKFVYKNYDGLGKPPKFYVSIGTSIAATINLAEDDPWSEEFLWTVNMDTLSFCLIAMPGGGSPVISSLEIRPLPQRAYTNGMTDFPNKLLRKSYRIDCGHSNDSIRYPLDPFDRIWDADRSFTPFHVAIGFKIQLSFRQSSLVEEPPAAVLQTGRVLARSNALTYNLPLDALGDYYIILYFAGILPVFPSFDVLINGELVKSNYKINSSETSALYLTRKGIGSLNITLKSISFYPQINAFEVYKIVDIPTDASSTTVSALQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEIRTSGNLCLTFSTTSCDDDASSSPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun10g049200.3.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7574668:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDAKVGFFLFCSFCLVTFSEQDGFLSLSCGGRTSFRDSSNISWVPDTTYVTTGKTATITYSDGSNNSLNISARFFPNSRRRKCYRIPANNSTALVLVRAKFVYKNYDGLGKPPKFYVSIGTSIAATINLAEDDPWSEEFLWTVNMDTLSFCLIAMPGGGSPVISSLEIRPLPQRAYTNGMTDFPNKLLRKSYRIDCGHSNDSIRYPLDPFDRIWDADRSFTPFHVAIGFKIQLSFRQSSLVEEPPAAVLQTGRVLARSNALTYNLPLDALGDYYIILYFAGILPVFPSFDVLINGELVKSNYKINSSETSALYLTRKGIGSLNITLKSISFYPQINAFEVYKIVDIPTDASSTTVSALQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEISPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun10g049200.1.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7574668:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDAKVGFFLFCSFCLVTFSEQDGFLSLSCGGRTSFRDSSNISWVPDTTYVTTGKTATITYSDGSNNSLNISARFFPNSRRRKCYRIPANNSTALVLVRAKFVYKNYDGLGKPPKFYVSIGTSIAATINLAEDDPWSEEFLWTVNMDTLSFCLIAMPGGGSPVISSLEIRPLPQRAYTNGMTDFPNKLLRKSYRIDCGHSNDSIRYPLDPFDRIWDADRSFTPFHVAIGFKIQLSFRQSSLVEEPPAAVLQTGRVLARSNALTYNLPLDALGDYYIILYFAGILPVFPSFDVLINGELVKSNYKINSSETSALYLTRKGIGSLNITLKSISFYPQINAFEVYKIVDIPTDASSTTVSALQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEIRTSGNLCLTFSTTSCDDDASSSPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun10g049200.5.v1.2 pep primary_assembly:ASM411807v1:10:7566767:7574316:-1 gene:Vigun10g049200.v1.2 transcript:Vigun10g049200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFIRLLIFQLMPHQPQVIQQSTGLDLGWQDDPCLPSPWEKIHCEGSLVTSLDLSDINLRSVSPTFGDLLDLKILDLHNTSLTGEIQNLDGLQHIEKLNLSFNQLTSIGADLQNLINLQILDLQNNSLMGTVPDSLGELEDLHLLNLENNKLQGPLPQSLNKETLEIRTSGNLCLTFSTTSCDDDASSSPPIEAPQVTVVPQKKHNVHSHLAIILGMVGGAIIAFLLMCISVLIYKTKQHYEASHTSRGEMDMRNWGAAKVFSYKEIKVATRNFKEIIGKGSFGSVYLGKLPDGKSVAVKVRFDKSQLGADSFINEVNLLSKIRHQNLVSLEGFCHERKHQILVYEYLPGGSLADHLYGCQKTSLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMEMNGKVCDLGLSKQVTQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAFEIVDEDIRGSFDPLSMKKAAFIAIKSVERDASQRPSIAEVLTELKEAYNIQLRFLESCENEN >Vigun11g022400.2.v1.2 pep primary_assembly:ASM411807v1:11:2713601:2717300:1 gene:Vigun11g022400.v1.2 transcript:Vigun11g022400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPKTELNVRSLYFFVFMFLLSFKSLVTKAQPPFYLGDDCDFTPQKPLSNAYKTNINNMLSWLSSDAATSKGYNYKTIGNSTPLYGLYDCRGDVVGYFCQFCVSAASRRVLQHCPNRVSAVMYYNFCILRYSNQNFIGNVTINHPRHHVGNKSVSDAEEIQKGEDFMRSLIRKATVETNMLYYMDGFNLSSTQRRYGLVQCTRDLTSERCRQCLEAMLAQVPKCCEQKLGWLVGTASCHIKYDDYMFYLFDNQSYIVPGLTAKQGDGSKSRNLIIGLSVVGLVALCLSIYCLCYWNRVRKDGLITDTIPLSAYTNLPIIPLITILESTDNFSETSKLGEGGFGPVYKGILPDGRQVAVKRLSKASSQGSEEFKNEVTFIAKLQHCNLVRLVACCLDENEKILVYEYLSNASLDFHLFDDEKRKQLDWKLRSSIINGIARGLLYLHEDSRLKILD >Vigun11g022400.1.v1.2 pep primary_assembly:ASM411807v1:11:2713601:2717300:1 gene:Vigun11g022400.v1.2 transcript:Vigun11g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPKTELNVRSLYFFVFMFLLSFKSLVTKAQPPFYLGDDCDFTPQKPLSNAYKTNINNMLSWLSSDAATSKGYNYKTIGNSTPLYGLYDCRGDVVGYFCQFCVSAASRRVLQHCPNRVSAVMYYNFCILRYSNQNFIGNVTINHPRHHVGNKSVSDAEEIQKGEDFMRSLIRKATVETNMLYYMDGFNLSSTQRRYGLVQCTRDLTSERCRQCLEAMLAQVPKCCEQKLGWLVGTASCHIKYDDYMFYLFDNQSYIVPGLTAKQGDGSKSRNLIIGLSVVGLVALCLSIYCLCYWNRVRKDGLITDTIPLSAYTNLPIIPLITILESTDNFSETSKLGEGGFGPVYKGILPDGRQVAVKRLSKASSQGSEEFKNEVTFIAKLQHCNLVRLVACCLDENEKILVYEYLSNASLDFHLFDDEKRKQLDWKLRSSIINGIARGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARAFENGQNQANTNRVMGTYGYMAPEYAMEGLFSMKSDVFSFGVLVLEIICGKKNSGFFLSEHGQSLLLYSWRMWCAGKCLELMDPVLENSYISNEVEKFIQIGLLCVQEAATTRPTMSTIMVLLASDVMNIPKPNKPAFSVGRMTSVETSATESSKNISINDASISSIAPR >Vigun05g280700.1.v1.2 pep primary_assembly:ASM411807v1:5:46991201:47002876:1 gene:Vigun05g280700.v1.2 transcript:Vigun05g280700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTELTRHIESYVDTSSSSPSLQAASLNAIASLVKTDVLPLQALVRELGMYLTTTDNVIRARGILLLAEVVTRVESKPLDSATIHSLVGFFKDRLADWRAVRGALVGCLALIRRKSVLGTVTSSDATAISQSFFQYMQVQSLGQYDRKLCFELLDCLLEHYSDALTTLGDGLIYGVCEAIDAEKDPECLMLAFHIVQSLAQLYPDSSGLLASFAKDIFDILEPYFPIHFTHPTNGDTHVQRDDLSRSLMSAFSSTPLFEPFVIPLLLEKLSSSLHSAKIDSLKYLRVCSSKYGAERIAKYAKSIWFSIKDTLSTYLGEPNFSLNMAPVDGIGGIGFPENEFVMEALSLLQQLIVQNGSLLTSVIIDDEDVNIIFNTISSYDIYDAIPVQEKKKLHAIGRILYIASKSTITSCNAVFEGLFSRMIDNLGVSVSNTDSSPNGHIFPSQKVKFGYLYLCIELLAGFRELIVGSDEPALQYVIDHGTSCTWLHSFSSSLFNDFGSVLAASADRCPLDPDIYIGVKGLQTLAMFHSEVFSLQKSIFDNILKKFMSIIIEDFNKKVLWEAALKALCHVGSFVQEFHKSEKAMSYGSLVVEKIVELLFLDDIVVPFSLKVEALSNIGMTGMKNMVTCLQGMRKAVFANLSKVHTNLRSSEVTVGLLECYSCKLLPWIYENGGSEDFTVQFAMDIWSQAGNFTVFSTSFEEKGLLDALIKTMKLSVGSCSVESQNLIIQKAYSILSSRTNFQLKELESLPLSPGKYNISLTDERIISLFASVVIAVWPKTLIPNMRVLVHLFIVTLLRGIVPVAQALGSILNKLVSTSNSAENSCGITLEEALDAIFNTKIWFSSIDMLQRCNGTSNGKEIVLTDICLGFANDKLLQINAICGLSWIGKGLLLRGHEGIKDITMTFIECLIPGTKSALPLVMKSEDQIQDPLVMKSAADAFHVLMSDSEVCLNKKFHATIRPLYKQRFFSSMMPIFLQLIAKAYSLSSRSFLYRALAHVMSETPMVAVLNDAKKLIPVLLDCLSMLTEDIQDKDLLYGLLLVLSGILTEKNGQEAVVENAHIIINCLIKLLDYPYKTLVRETAIQCLVALSELPHGRIYPMRTQVLRAISKSLDDTKRVVRHEAVKCRQTWASMSSRTLHF >Vigun11g115100.1.v1.2 pep primary_assembly:ASM411807v1:11:31915519:31918310:-1 gene:Vigun11g115100.v1.2 transcript:Vigun11g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSYFKALIICLIAVIGSTQAQLQLDFYAKSCPNAEKIILKYVAEHIRNVPSLAAALIRLHFHDCFVNGCDGSVLVNSTPNNQAEKDSIPNLTLRGFSFIDAIKSLVEAECPGVVSCADILALTARDSIHAIGGPFWNVPTGRRDGLISRAADPLASLPAPFHNLTVQLTLFDNVGLDANDLVVLSGAHTIGVAHCTSISTRLYNFTGKGDTDPTLDSDYATNLKTLKCKNINDNTTLLEMDPGSRDTFDLGYYKQVVKRRGLFHSDAALLSSSTTTSIITKQLQSTQGFFAEFAKSMEKMGRINLKLGTQGEIRKHCARVNN >Vigun07g196200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31609011:31610211:1 gene:Vigun07g196200.v1.2 transcript:Vigun07g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHHSKTFHLPCKAFFLASLLVYLLLVGSCTAIRTGATMRLNKSSELLRPKQQPRFQYRGLVFNFFPKGVPIPPSGPSKRHNSVLDSTPQN >Vigun10g101900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29499887:29500186:1 gene:Vigun10g101900.v1.2 transcript:Vigun10g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GKEKCYSEGKEETKIGTEISFDSPILKKEISKVPSLSEKWEIHGKLESLPRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun03g203800.1.v1.2 pep primary_assembly:ASM411807v1:3:32809343:32819567:1 gene:Vigun03g203800.v1.2 transcript:Vigun03g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGTVLILAMMALVQMRICTSEINRENFPNGFVFGTASSAFQYEGAVKEDGRGPSVWDTFSHTFGKILDFSNADVAVDQYHRYEEDVQLMKDMGMDAYRFSISWSRIFPNGSGQINQAGVDHYNKLINALLAKGMEPYVTLYHWDLPQALEDKYNGWLNTSIIKDFSTYAETCFQKFGDRVKHWITFNEPHTFATQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVAHNVLLSHAAVADIYRKKYKSAQKGSLGIAFDVIWYEPEKNTQEDIDAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGNRLPKFSQVEAALVKGSLDFVGINHYTTFYARNNSTNLIGTLLHDSVADSGTITLPFNGTKAISDKANSIWLYIVPQSMRSLMIYIKQKYGNPPVFITENGMDDPNSPFISINDALKDEKRIRYHSGYLSYLLASIKDGCNVKGYFVWSLLDNWEWSAGYSSRFGLYFVDYKDKQKRYPKQSVQWFKSFLNPTK >Vigun03g203800.2.v1.2 pep primary_assembly:ASM411807v1:3:32814532:32819567:1 gene:Vigun03g203800.v1.2 transcript:Vigun03g203800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMGMDAYRFSISWSRIFPNGSGQINQAGVDHYNKLINALLAKGMEPYVTLYHWDLPQALEDKYNGWLNTSIIKDFSTYAETCFQKFGDRVKHWITFNEPHTFATQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVAHNVLLSHAAVADIYRKKYKSAQKGSLGIAFDVIWYEPEKNTQEDIDAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGNRLPKFSQVEAALVKGSLDFVGINHYTTFYARNNSTNLIGTLLHDSVADSGTITLPFNGTKAISDKANSIWLYIVPQSMRSLMIYIKQKYGNPPVFITENGMDDPNSPFISINDALKDEKRIRYHSGYLSYLLASIKDGCNVKGYFVWSLLDNWEWSAGYSSRFGLYFVDYKDKQKRYPKQSVQWFKSFLNPTK >Vigun02g003200.1.v1.2 pep primary_assembly:ASM411807v1:2:1689347:1692396:1 gene:Vigun02g003200.v1.2 transcript:Vigun02g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRHNRDVPLTNWPIMGMLLGVLQNLSNIHDYTILVLKHHGGTLMFEGPWFTNMNCILTADPMNVHHITSKNFSNYGKGSHLREIFDYFGEGIINSDNLHAWKLERSILHSVLQRETFNTFLRKTILKKLENDLIPFLDHTSEIGTEVDLQFDLRRFTFDIVCSCFLGCDPQSLPNKITQLSHPAYERATVVMEEVLFHRHITPRWLWKLQEWLQIGQEKKFKEAREIFDKFLYECIASKREEKNRCKSTKEVEDTHHDLLGVLMEEGAQKGRVIDDKYLRDTAVTFFAAGSGTISASLSWFFWLVSTHPYVETKILEEIKDNCIDQDGSWIASGVEEFGKLVYLHGAICEALRLFPTVPYDHKCAIKSDTLPSGHHVSPNTMILYSLYAMGRMEQIWGHDCMEFKPERWISESGDIVQIPSHKFIAFNAGPRSCLGKDIAFIEMKMVAVAVLWRFQMQVVDCHPITPRVFVLLTIEQGLKVKVTKRCT >Vigun08g124500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29463691:29467375:-1 gene:Vigun08g124500.v1.2 transcript:Vigun08g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLSYLRKNAMAMHNQILRWLKKTKVLKLVSLASSAVGLLCYALSSSFNHFLGNWSWWKMLLYIVFSFIICLAVLFTPARSSSTSFRLEFHLAFLVLIITSVCSFLFDNVVKGKPDAYSLISWAAFATMSLGLSNLTQFGFQIDLLYFFCGGLTVQLMKIKLWLVTIGGGFSYSLLQLRHYPSHSDRENLRLENQNQVIIQVNDSGIGSSSTSHEEANADVDSTPGTSPEDENLRFQDHLLTQSNSPSQDGSVDDGLIIRQQLINSIKELEKENEMLVPMFCRHIEKYLTNVLVDPEGAPNPDVNLVMDALPSEIMRRLKETVKLMVDAGFQEECSDIYSKWRREFLQHCLQKLGLQIELQLEEPNNENIMKWLDTCMVAEKILFPNERKLCDYLFSGFSVAADVSFDKVCEELTIGLLSFANTIITTGSYLSDLLLDFFPTMSKSLGELTLKFTSETSFVDDIEDVRQRLAMLNKFGLIIYTNNVQALVTDGRLHLFIMEAMHYIHWITKQRVDRSDNVGNFLFWVMIVRMIELLERELVAKSENYYSDPALGHDFMINNLSNIEQKTRDLKLSNDWFRQNAAKVEQYCNVHLRRLSEQANADIITLGTSPEYGDLRFRGHLITQSTSYSQDDVLIIQQLVNCVKELEKENQILVSMVCSHVGKYLKAVFDSKEVPDPDINFVMDLLQPKIMRRLKETVKLMVDAGFMLECSDIYSKWRRKFLEQCLRALGLQFQTPNNKDVEKWLKTCKAAAKVLFPNEKRLCDYLFSGFFFTLDVYFEKVCKELTIGLLSFVDTTITTGNYSPNLLFNIVPKMSESLDELLLEFTSQIFFHKPSFLRDLEDIKQILDMLNRFRDIIYPDNVSAPVTDSGLCLITEEAMNYIHMICKGKKRQRADQTYYVGNSSFWVVIGRMIELLESELEAKSKNYYADPALGSVFMINNLSYIAQKTHDLKLDDDWFRQNTTKVEHNCNLYLISSWNKVVELLKVETNDSAEADVVAELMKRKLHLFNMHFEETCTIQSTWTVSDKRLKERMIKSIEDFLLPKYGKFCDEFRVVFGNQAYHYIKFGFLDIQNCLNHLFLLDEEMNTEDKKNI >Vigun08g124500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29463691:29467375:-1 gene:Vigun08g124500.v1.2 transcript:Vigun08g124500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLSYLRKNAMAMHNQILRWLKKTKVLKLVSLASSAVGLLCYALSSSFNHFLGNWSWWKMLLYIVFSFIICLAVLFTPARSSSTSFRLEFHLAFLVLIITSVCSFLFDNVVKGKPDAYSLISWAAFATMSLGLSNLTQFGFQIDLLYFFCGGLTVQLMKIKLWLVTIGGGFSYSLLQLRHYPSHSDRENLRLENQNQVIIQVNDSGIGSSSTSHEEANADVDSTPGTSPEDENLRFQDHLLTQSNSPSQDGSVDDGLIIRQQLINSIKELEKENEMLVPMFCRHIEKYLTNVLVDPEGAPNPDVNLVMDALPSEIMRRLKETVKLMVDAGFQEECSDIYSKWRREFLQHCLQKLGLQIELQLEEPNNENIMKWLDTCMVAEKILFPNERKLCDYLFSGFSVAADVSFDKVCEELTIGLLSFANTIITTGSYLSDLLLDFFPTMSKSLGELTLKFTSETSFVDDIEDVRQRLAMLNKFGLIIYTNNVQALVTDGRLHLFIMEAMHYIHWITKQRVDRSDNVGNFLFWVMIVRMIELLERELVAKSENYYSDPALGHDFMINNLSNIEQKTRDLKLSNDWFRQNAAKVEQYCNVHLRRLSEQANADIITLGTSPEYGDLRFRGHLITQSTSYSQDDVLIIQQLVNCVKELEKENQILVSMVCSHVGKYLKAVFDSKEVPDPDINFVMDLLQPKIMRRLKETVKLMVDAGFMLECSDIYSKWRRKFLEQCLRALGLQFQTPNNKDVEKWLKTCKAAAKVLFPNEKRLCDYLFSGFFFTLDVYFEKVCKELTIGLLSFVDTTITTGNYSPNLLFNIVPKMSESLDELLLEFTSQIFFHKPSFLRDLEDIKQILDMLNRFRDIIYPDNVSAPVTDSGLCLITEEAMNYIHMICKGKKRQRADQTYYVGNSSFWVVIGRMIELLESELEAKSKNYYADPALGSVFMINNLSYIAQKTHDLKLDDDWFRQNTTKVEHNCNLYLISSWNKVVELLKVETNDSAEADVVAELMKRKLHLFNMHFEETCTIQSTWTVSDKRLKERMIKSIEDFLLPKYGKFCDEFRVVFGNQAYHYIKFGFLDIQNCLNHLFLLDEEMNTEDKKNI >Vigun08g128875.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29947515:29948591:-1 gene:Vigun08g128875.v1.2 transcript:Vigun08g128875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSRLTSHVFPLPSHVPSLMSHILRPTSHVLHLTSHVSRLSSNVSCSTLTSYNFRLTSHVLRSTSHVPRPTFHVLRVKSHVSPPMPHVSRPTSYVSRHTSHVARPTSFVSRPMFIVLRLTSHVPRRLRSHFPCRLTFHVLRLTFHVVRPTYRTHVLTFTSNVSRPMFPVSRLTSYVSHCLTFHVSRLTSSQVSRFTSSRVPRPTSHISRLTSHVLRLTSHISRPTSHNSCPCLTFHIPPPMSHVAHPTSYVHVSRSTFHVSRLTSYVSRSTIHVLRLTYYVQRLTFYISCLTSHVPRLMSHVSRFTYHVLRLAFQFQRRLTSHVSHRLTFHVVSRSTAHVSRPTTHVSRLTFISHV >Vigun08g083550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17906606:17909054:1 gene:Vigun08g083550.v1.2 transcript:Vigun08g083550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLPATMGSLNLAEETQDPSEKISILRRVLHDPSSPSWLTLSIKELAIVYLTEQYMEGNRAVDLFSLLTHLRFFFSLIPTDQTVDVMRRVIDSVGAIPGSSDLQITICKDMVQWARAERRRFLRLRLERRLAALLEENKEYSEALALLNSGLIKELTRIDDKNLLVEIHLLESKLHFSLRRIPKAKVAIKAARTAANAVNVPLAQQGAIDLQSGILYAEEKNYNTAYRYFREAFECFSFLHKYDPREELSLKYMLLCKIMMGEAHHVPRTISCYVVPRIMTCAGSYPNDLTPDLAVMKAVADAICRGSLKLFKIVLKNYKPQLEEDPIVHGHLLFLHGVILEYKLRRLVEPFSRVEIAHVSELIELPVDDVERKLSQMILEKKLGGTLDQSGCLVIFDDPKTDALYTSTLQTISNIGKVVDSLYARSAKIIA >Vigun04g146000.2.v1.2 pep primary_assembly:ASM411807v1:4:36148677:36153498:1 gene:Vigun04g146000.v1.2 transcript:Vigun04g146000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLISDEFHENIIWPDLPYLTDEHGNIYFQVKNGEDVLQSLTTENNFVQVIVGINSMEMISEMDLSGPSEIDFGIEEIDEEDNEDFEDSDEEDEDEDEDENEDYDSEWVAAFTDDDEQDDDDETLADWAKLETMQSSHPMYFAKKLAEIASDDPVDWMEQPPACVAIQGVIRAAFVEEQSTIQKHLSTGQSSDTDTSKSIESKGENIGVINGHVLNSGSSGDDASQQVENNENSIVPISEAPFYKLEMIKIQVFSAQGQPTVLEVEDYMKAQPDVIAHSASKIISRLKADGEKTLQALKSLCWRCKGIQVEEAQLICVDSLGFDLRVCSGTQIQTLRFSFKKRATSEYSAERQLNDILFPRTNPKQQKMKQTHQNEC >Vigun04g146000.1.v1.2 pep primary_assembly:ASM411807v1:4:36146640:36153498:1 gene:Vigun04g146000.v1.2 transcript:Vigun04g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIEPPIAVRFHGGAAAPPHNNRSMWSADDVNGVRYVASCRLACSCGFDVPRVRSKIYTGTPFTRRNKLVKNRIRASSEHLGSAQDPIKKNEKSSYHPFEELAVSSSENTEDATLSAAETSRTIIEVNNKATLMFSSLISDEFHENIIWPDLPYLTDEHGNIYFQVKNGEDVLQSLTTENNFVQVIVGINSMEMISEMDLSGPSEIDFGIEEIDEEDNEDFEDSDEEDEDEDEDENEDYDSEWVAAFTDDDEQDDDDETLADWAKLETMQSSHPMYFAKKLAEIASDDPVDWMEQPPACVAIQGVIRAAFVEEQSTIQKHLSTGQSSDTDTSKSIESKGENIGVINGHVLNSGSSGDDASQQVENNENSIVPISEAPFYKLEMIKIQVFSAQGQPTVLEVEDYMKAQPDVIAHSASKIISRLKADGEKTLQALKSLCWRCKGIQVEEAQLICVDSLGFDLRVCSGTQIQTLRFSFKKRATSEYSAERQLNDILFPRTNPKQQKMKQTHQNEC >Vigun05g096200.1.v1.2 pep primary_assembly:ASM411807v1:5:9351811:9354590:1 gene:Vigun05g096200.v1.2 transcript:Vigun05g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSKVSPFSGLSLTEAVGGTVKNPTSSVSLGFLNKKVKSRNLGVSASTAPLTGVIFEPFEEVKKEELAVPTAPQVSLARQYYADECESAINEQINVEYNASYVYHSLFAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNTRGGRVVLHPIKNVPSEFEHVEKGDALYAMELALSLEKLVNEKLRSVHSVAGSNNDPQLADFIESEFLSEQVESIKKISEYVAQLRRVGKGHGVWHFDQSLLHA >Vigun08g074300.1.v1.2 pep primary_assembly:ASM411807v1:8:12746124:12748917:1 gene:Vigun08g074300.v1.2 transcript:Vigun08g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKFTGSVQGLAFQSLSISTISELLSKQHWSELRPLFKTTKPAIFIDQLFNAGVDSELVLRFFQWSQKEFRISYGLETTAKILHLLANSKRYSKVRSFLDKFVKNEKHTVSSVFHSLLSVSVRPCTNALIIDMLILAYARNLQIRAACETFRRSHDYGYKLSLNSCNSLLSGLVKENETGEMEYVYKEMIKRRVMPNLITFNIYINGLCKAGKLNKAEDVIEDIKAWGFSPNVVTYNTLIGGHSKKGSAGKMYRAEAILKEMLANKISPNERSFNSLIDGFCKDENVLAAKKALEEMQRLGLKPNLVTYNSLINGLSKNGKLDEAIALWDKMDGIGLKPNIVTYNVLMNGLCKMKMTWEARKLFDDIAKQGLVPNAITFNTLIDAFCKDGMVEDAFALHKSMREEGIFPNVSTYNTLIAGLCRNQNGRAAKELNEMLTYGRKADVITYNILIDGCCKDNESSEGEKLLGEMVNLGVKPNHITYNTLMDGYCMERNVKAALKVRTQMEKEGKRVNVATYNVLIKGFCRTGKLEDASRLLNEMLEKGLNPNRTTYDIVRLEMLDKGFIPDIEGHLYNISSMS >Vigun05g158166.1.v1.2 pep primary_assembly:ASM411807v1:5:25562235:25564370:-1 gene:Vigun05g158166.v1.2 transcript:Vigun05g158166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPRVPFRTPGDKDASWKTLINSEKEEVLTKIHSFGLFVLYVQIQIGQIFTQKRLLFLGQDVDSEISNQLITIYDTMQFVQPDVQIVCLGLDASMGSFLLAKGTITKQICKCRNKIHRRKYSTLSIEEKMNCIGTKVVCINTQKMLVRVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVILEDMERDVFMSAVEAQKKYVLNECILMDFVRME >Vigun03g258000.1.v1.2 pep primary_assembly:ASM411807v1:3:42404515:42407163:-1 gene:Vigun03g258000.v1.2 transcript:Vigun03g258000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSAAVSFPSTKSTSLQSRTSIVAPERVIFNKVPFQYRDVSSNGRVVSIRAQVTTEAPAKVEKESKKQDEGVIVNKFKPKAPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDSGEIVKGVCSNFLCDLKPGSEVTITGPVGKEMLMPKDPNATVVMLATGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMQEKYPENFRLDFAVSREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAARDGIDWIDYKKQLKKAEQWNVEVY >Vigun03g345500.1.v1.2 pep primary_assembly:ASM411807v1:3:54479827:54488444:1 gene:Vigun03g345500.v1.2 transcript:Vigun03g345500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFAALGRTYTQEEFEDLCFSFGIELDDVTTEKAIVRKEKHLDEEEADEDEEVIYKIEVPANRYDLLCLEGLAQALRVFCEIQEIPNYRLSDISKNEMLKIHVKPETSLVRPFVVCAVLRDVTFDKARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPSSIAFTPLKQERNFRADELMEFYKSDLKLKKFLHIIEDSPVFPVLYDSKRTVLSLPPIINGAHSAITLETKNVFIECTATDLTKAKIVLNTMVTAFSEYCANKFVIEPVEVISSDGKSNIYPDLSVYNMEVSLSYINGLIGVSLEAEEVTKFLNRMQLHAKQSTSAKKQCNFIVSVPPSRSDVLHPCDVMEDVAIAYGFNSIKDKAVADNKGSKRLAGSLTLLPLNEISDLIRKEVALIGFTEVLTFILCSKKENFAMLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTVAHNKDHPKPIKIFEVGDVALLDDTRDVGAKNLRQLAALYCGANAGFEIIHGLVDRVMEKNGVPFVSPGDKSGYYIERSDEPEFLAGRQARIIYKGKHAGTFGIVHPEVLNNFDIPDPCSFVELNIESFL >Vigun07g223400.1.v1.2 pep primary_assembly:ASM411807v1:7:34552101:34556161:-1 gene:Vigun07g223400.v1.2 transcript:Vigun07g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLAMASSSLLCPSAVSHTKFRAFHLSSMFTPLGRGLFSPWSGLKHLGISAKPKPLLHIARKGGCKGMVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKTTLEREIGLDDNISPTQNRYNSNASNTRSTSSSTVDPNGTPDPKNAYSSEEYLKITEEQLKASAAQQQEQTPPKDEPQIQSPAKETGTGVPPQQKPESETLPLDS >Vigun07g223400.2.v1.2 pep primary_assembly:ASM411807v1:7:34552101:34556161:-1 gene:Vigun07g223400.v1.2 transcript:Vigun07g223400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLAMASSSLLCPSAVSHTKFRAFHLSSMFTPLGRGLFSPWSGLKHLGISAKPKPLLHIGNKTIISRVARNLGKTLRAFQPTIRELQDVSREFKTTLEREIGLDDNISPTQNRYNSNASNTRSTSSSTVDPNGTPDPKNAYSSEEYLKITEEQLKASAAQQQEQTPPKDEPQIQSPAKETGTGVPPQQKPESETLPLDS >Vigun02g045100.1.v1.2 pep primary_assembly:ASM411807v1:2:18046915:18060386:-1 gene:Vigun02g045100.v1.2 transcript:Vigun02g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGPSMAKTLERYHRCSYGALELHHQPEIETQRRYQEYLKLKSKVEALQQTQRNLLGEELEHLDVKELEQLERQLDSSLKQIRSNKTQQMLDQLSDLHRKEEMLLETNNILRNKLEEINVALQPTWEAREQTAPYDGHPHPSEGYYDTAHCNSTLRIGYDSSGLNEAGGAAGTSAQNASEFMHGWMN >Vigun03g259800.1.v1.2 pep primary_assembly:ASM411807v1:3:42600776:42602393:1 gene:Vigun03g259800.v1.2 transcript:Vigun03g259800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKKQNELFVAAEGLYTGQFIYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSDCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >Vigun08g102000.1.v1.2 pep primary_assembly:ASM411807v1:8:25193534:25195452:1 gene:Vigun08g102000.v1.2 transcript:Vigun08g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSLLSLHGYGILITLMLSLKLIPIKGSSYNNECEELSCGANQPPIRFPFQLVKGMHECAHPGYCLYCTQENNTMFVLPTIKLQVENIDYKKFQIYLKDPNNCLPKTLLNHFNSFKDYYITVSSSLSFFDCSSVGNTQLRNQYGSYQDMNSCPIYVTDSQASVLEWDLTFCSKMFDVPAATVSALEMLQNQLTLTWSKPACTACKKGKICKWKNNSTEVIECFDCYDRRSLQKRITNFRSFIVSTTVIVGSVLLGLLIIVAFKTFRYFREKEEDQARVDKFLEDYRAEKPTRFTYADVKRITGAVFRGKLSNEILVAVKIINNTDGDGNEFINEVGIMGKIHHINVVRLLGFCAEGIHRALVYNFFPKGSLQSFIFPPDDKDNFLGWEKMQRVALGIAKGIEYLHQGCNHPIIHFDINPRNVLLDDNFTPKISDFGLAKLCSKNPSLVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNVDTSSPQNIHVLYPDWIYNLVDGDVHIHVEDEDDVKIAKKLAIVGLWCIQWQPVNRPSMKSVIQMLETKEEDQLTVPPNPFHSTTSTTASLTSARRPLELEAIHE >VigunL059608.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:27485:27844:-1 gene:VigunL059608.v1.2 transcript:VigunL059608.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun01g090000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24997548:25000031:1 gene:Vigun01g090000.v1.2 transcript:Vigun01g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIISQFSVLLLSLFGAFTFQKIVCNNLSQVRCNEKDRETLEMFKEGVIDPSNNLLTWSTQQDCCQWKGVHCDNTTSRVTKLDLSQQFLQGEIKLSLLELQFLYHLDLSCNKFNVISIPPTQNNVIFGSNLHYLDLYALDISLEDHISMDNLDWLSQLSSLRYLNLGFINLHNANWLQLLVMLPSLADLRLCFCELNITSSLKHVNFTSLVTLDLSGNYFTSKSLRCLFNLSTAISHLDLGEISLSGYFDVQWLSQLHSVKYLDLSYIDLHEETNWLLAMPPSLSVLHLSKCQLTNISPTLKHVNLTSLVTLDLSYNHFNSELPHWLFNLSRDVSYLKLKDNSFYGEIPSSLFNYQNLEYLDLSGNMFSGSIPFTLGNLTYLVVLCIGYNSFSGIISEMHFSRLHNLEELDLSKTRFHFSPEWIPLFQLKRLYLDDTNQGPQFPPWIYTQKSLSHLCMSSSKISYVEEEKFRGLIASIDDRIDLSNNSIRGMLPHLSPKVKYVDFSQNSFTGSIPHAWKNLKHLVLISLWNNDLFGEALMILSCLTRLAVINLEKNDFFGAIPNNMSRKLEVVILRSNKFEGSIPPQLFLLSNLIHLDLAHNKLSGSIPQITYNTKEMIVDHYYAPLLVDDSNIDFYEKGQVYECKLDFNRRTIDLSANKISGEIPTELFRLIRVQTLNLSYNHLTGTIPKTIGGMKNLESLDLSNNKLFGEIPENMANLSFLSYLNLSCNNFSGQIPIGTQLQSFGVSSYNGNPELCGAPLTKCSMEKNVVNTIQHRINKDGEFDEESVYLGMGVGFAVGFCGVFASLVIFRKWRQLLSIAQSNV >Vigun06g125600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25270659:25275435:1 gene:Vigun06g125600.v1.2 transcript:Vigun06g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPQTLQFLSECFLHTLSPAPEPRRRAEASLAEAADRPNYGLVVLRLVAEPSVDEQIRQAAAVNFKNHLRTRWSSETPILPPEKEQIKSLIVPLMLSATRKIQSQLSEALAVIGKHDFPKAWPALLPELVSNLKNASQASDYASVNGILGTADSIFNKFRFVFKTNDLLLDLKYCLDNFAAPLLEVFLKTASLIDASVNSGANLRPLFESQSLCCSIFYSLNFQELPEFFEDHMKEWMGEFRKYLTTTYPALEGNGGDGLAIVDELRAKVCENINLYMEKNEEEFQAYLNDFALAVWTLLGNVSQSSSRDQLAITAIKFLTTVSTSVHHTLFASEGVVPQICQGIVIPNVKLRDDDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATHYGDVVRNIVSSQIQSLLSSFAANPVGNWKDKDCAIYLVVSLATKKAGTSYVSTELVDVQSFFESVIVPELQSPDVNGYPMLKAGALKFFTMFRNQISKSVALRFFPDLVRFLASESNVVHSYAASCIEKLLLVKDEVGGARYTSADINPIFPVLMNNLFSALKHQESEENQYVMKCIMRVLGVADLSADVARVCIEGLTFILGEVCGNPKNPVFNHYLFESVAILVKRGCENGLPVSVFETSLFPKLEIILTNDVTEFFPYTFQLLAQLVELNKSPIPPIYMQIFVILLSPDSWKRSPNVPALVRLLQAFLQKAPNEINQGDRLTKVLGIFDTLIQSSSTSDQGFYVLNTVIESLEYGVIKPYISHIWAALFRELQRRRTVKLIKSLLIFISLFLIKHGTSNLVDTMNGVQPDIFNVILSQFWIPNLKLITGAIELKLAAVASTRLICESPILLDTAAAESWGKMVDSIVTLLSRPEQERADEETDVPDISENVGYTATFVRLYNAGKKEEDPLKDIRDPREFFIASISRLSALSPGRYPKVINEVVDPANQAALLQLCNAYNLTIV >Vigun09g088200.1.v1.2 pep primary_assembly:ASM411807v1:9:11993528:12001762:-1 gene:Vigun09g088200.v1.2 transcript:Vigun09g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRLSFLSVLSCLLPLLCSITKGGASDVYVKFLKVPHAFSHSKSATFAFQVLNSSSGGHCSNCTLRCKLDDEITSVCKNGKVSYRSLRDGNHTFEVCTRHEGLGCATYNWTVDTTPPTAYVTASTSFTSSLNVSVNISFTEPCIGGGGFGCKSVNACNLLVYGAGQVIPSSFRVLQPNLTYSLLVSLSSTVQYGRAILVMDRNFCTDLAGNNFMRMPNSSVYIHFDRRKVFVNIRTRVPEELLQLNSETRTVQATNDFDRLKIYLYFSAPVLNSSTEILNSINISQGSLHLNNSKSLGDRRFGFRVTNISSTAIISINFNSESIITRQGTQVSPIAPVTFLYDTTRPAVMLSTYSMRTREHNLQILIKFLKPVFGFNSSCVSISGGLLKSFHEIRRDIYMVELLADDGLVFVSVPENVTRDVAGNKNLASNFLQVRHYSMPLISSVVSAFATASFVLTSIAAGFLTISSASLQSVGTFTRSSSFSVFDPARNLLRILSHIQVFALSRWLAVKLPVEFYEFARHLQWTIPYFTVPWESEPMNLFMVSSNPFGTSKANNKAPEIIPNKLVKSLNFAASVYGSPLTSSEYQQYFESENLKPEAEYVLDSQHSSGWTEFYRSMFWLAVICGGLMVLHAFLLIVLKFGKRNSEKHTIHGALTFPRFEIFLIFLALPNICKSSAVLIQGGSPSGVAVGTILFIFVCIVLLALFLFLSIGITFGRLLQYKEVHQEGLTFHWYQELERVTLGPGKRGQWTWKEQPRSVYLTIFGPLFEDLRGPPKYMLSQISGGTGNTPSQRDRIIASDDETEDAEAPFIQKLFGILRIYYVFLESIRRVSLGILAGRFVPTQSSKTPLIIMLSMTSFQLFFMLLKKPFIKKRVQLVEIISLTCEVALFATCFVLLKKEFSVRAETKTGIFMLVLFLVEYCAQIMNEWYALYVQTKMLDPEEKSLLTGLKNALVGFLLYFIPQKCIKDLVKRLPQNGNGNNEESRDTASGGDRSRFSSSRSSGTPDGAWLKQIREFTKSSFGRERSVNDPSTSGTTAWSGLWGTKRSGSSSSEFKSKSSSLYKDLEDIFSSK >Vigun09g088200.2.v1.2 pep primary_assembly:ASM411807v1:9:11993528:11999906:-1 gene:Vigun09g088200.v1.2 transcript:Vigun09g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFCTDLAGNNFMRMPNSSVYIHFDRRKVFVNIRTRVPEELLQLNSETRTVQATNDFDRLKIYLYFSAPVLNSSTEILNSINISQGSLHLNNSKSLGDRRFGFRVTNISSTAIISINFNSESIITRQGTQVSPIAPVTFLYDTTRPAVMLSTYSMRTREHNLQILIKFLKPVFGFNSSCVSISGGLLKSFHEIRRDIYMVELLADDGLVFVSVPENVTRDVAGNKNLASNFLQVRHYSMPLISSVVSAFATASFVLTSIAAGFLTISSASLQSVGTFTRSSSFSVFDPARNLLRILSHIQVFALSRWLAVKLPVEFYEFARHLQWTIPYFTVPWESEPMNLFMVSSNPFGTSKANNKAPEIIPNKLVKSLNFAASVYGSPLTSSEYQQYFESENLKPEAEYVLDSQHSSGWTEFYRSMFWLAVICGGLMVLHAFLLIVLKFGKRNSEKHTIHGALTFPRFEIFLIFLALPNICKSSAVLIQGGSPSGVAVGTILFIFVCIVLLALFLFLSIGITFGRLLQYKEVHQEGLTFHWYQELERVTLGPGKRGQWTWKEQPRSVYLTIFGPLFEDLRGPPKYMLSQISGGTGNTPSQRDRIIASDDETEDAEAPFIQKLFGILRIYYVFLESIRRVSLGILAGRFVPTQSSKTPLIIMLSMTSFQLFFMLLKKPFIKKRVQLVEIISLTCEVALFATCFVLLKKEFSVRAETKTGIFMLVLFLVEYCAQIMNEWYALYVQTKMLDPEEKSLLTGLKNALVGFLLYFIPQKCIKDLVKRLPQNGNGNNEESRDTASGGDRSRFSSSRSSGTPDGAWLKQIREFTKSSFGRERSVNDPSTSGTTAWSGLWGTKRSGSSSSEFKSKSSSLYKDLEDIFSSK >Vigun03g069100.7.v1.2 pep primary_assembly:ASM411807v1:3:5730677:5734794:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.6.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLTSYALYLRALLQEVQLELLLKQLYTQSTPLKHACRASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.3.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLILKGLYSGLAGNLAGVLPASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.8.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTLSVMVNDSAISLPDACSKRKQNLLLKNSFASVSMGDEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLILKGLYSGLAGNLAGVLPASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAVH >Vigun03g069100.1.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTLSVMVNDSAISLPDACSKRKQNLLLKNSFASVSMGDEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLILKGLYSGLAGNLAGVLPASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.4.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLTSYALYLRALLQEVQLELLLKQLYTQSTPLKHACRASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.2.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLILKGLYSGLAGNLAGVLPASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun03g069100.5.v1.2 pep primary_assembly:ASM411807v1:3:5730427:5738768:-1 gene:Vigun03g069100.v1.2 transcript:Vigun03g069100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLTSYALYLRALLQEVQLELLLKQLYTQSTPLKHACRASALFVGVYEPIKQKLLRIFPENLSALSHLTAGAIGGIAASLIRVPTEVIKQRMQTGQFTSASSAVRFIASKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQIRIGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIADCVQTIIKEEGPRAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPIVSQHTYSDRSKDK >Vigun01g134900.2.v1.2 pep primary_assembly:ASM411807v1:1:31352000:31354613:1 gene:Vigun01g134900.v1.2 transcript:Vigun01g134900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLMSVLPFPLSNRLPTQTHNPNSHHRFPSSFTFPTSFPNKTIVFGINPSDSKDPSFFDENGVVNDMEGYLNHLSLEYDSVWDTKPSWCQPWTIALTGLAVIAISWLILNSLLVTSFVSSLICAWWYIFLYSYPKAYSEMIAERRERVTNGVEDTFGQGKKNQ >Vigun01g134900.3.v1.2 pep primary_assembly:ASM411807v1:1:31352000:31353547:1 gene:Vigun01g134900.v1.2 transcript:Vigun01g134900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLMSVLPFPLSNRLPTQTHNPNSHHRFPSSFTFPTSFPNKTIVFGINPSDSKDPSFFDENGVVNDMEGYLNHLSLEYDSVWDTKPSWCQPWTIALTGLAVIAISWLILNSLLVTSFVSSLICAWWYIFLYSYPKVPARF >Vigun10g144000.1.v1.2 pep primary_assembly:ASM411807v1:10:36128099:36132410:1 gene:Vigun10g144000.v1.2 transcript:Vigun10g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRVRSDSGPLSLQKHNHVCVKLGLSIFLVGLAVRLLLWDSFSFLSVVESPSVEDAKAESPVLPSSDLHASDSDDFPEIHRNQTQISKDEKCDLFVGEWVQDKSGPVYTNESCRVIEPHQNCMKNGRPDSGYLYWRWSPRDCELPKFNPKKFLKFMRNKSLAFIGDSISRNHVQSLLCILSKVEPAVEIYHDKEYRSKIWKFRSHNFTLSVIWTPFLVKAAIFEDMNGVTSSEIQLYVDTLDKWTKQYKNFDYVVIGGGKWFLKTAIYHENNTVTGCHYCPGKNLTELGFDYAYRKVLQEVFKFFTNSNHKATVLFRTTTPDHFENGEWFSGGYCNRTVPFKEGQIHMIDVDSIMRGIELEEFEKAASLGSQRVNLQLLDTTLLSLLRPDGHPGPYRKFQPFAEDKNAKVQNDCLHWCLPGPIDSWNDIIMQMLINA >Vigun08g100700.1.v1.2 pep primary_assembly:ASM411807v1:8:24807782:24811281:1 gene:Vigun08g100700.v1.2 transcript:Vigun08g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCFSLLTVRKEKYKGSGRSSKENFSTLLAKLQSSKIASVPTTFDATVSDGIPRNSSFNSGVMSPDTCVEAEVHEAYEGEDEDEDSPKIKREISDFHLQHHEAVADKGYGATDKEIEYPTLYENQVTEEFDDRTDKYSQKSVETTESGHVSDPGIAKADSWTSPKFKRHFSNLEKFDEHEKSHYSADRVVLKRHSSSQLLPSGRKNLWWKMILRSHRNIHRTFSGNSTLVPATAALNSGYSTDTLEQPKQGKTLRPVKSSGSITAESFNKRRTGKGIDNKRGSRFQRDQWLAFSKESSSFARVDAWVKGLEIQQLLPEDDFDVDNDKSITFPPSPDTGRTMMRATSQLTYADANVSKQTLTAISVVQSLNPASSIAHISGIGVKSIPAISHLSNLRSINLSNNFIAHISPGFLPKGIQTLNLSRNKINTLDGLRELTKLRVIDLSYNRISRIGQGLSSCTLVKELYLVGNKISDAEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLKALNLLGNPIERNISHGQLSKAVSGLLPKLVYLNKQPLKAHRTREILSDSVARAALGNSTISCDKRSIRRLSHGGSSLSKGYRRSASASHKSTNRTRR >Vigun09g114100.1.v1.2 pep primary_assembly:ASM411807v1:9:25066172:25069391:1 gene:Vigun09g114100.v1.2 transcript:Vigun09g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEAVKELGAGNFGVARLMRNRVTKELVAMKYIERGPKIDENVAREIMNHRSLRHPNIIRYKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVHFCHTMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCRQLLSRIFVANPLRRITIKEIKKHPWFLKNLPRELTESAQAMYYQRDSPNFHLQSVDEIMKIVGEARNPPPVSRLVKGFGWEGEEDLDEEVEEEEDEEDEYDKRVKEVHASGEFQIS >Vigun06g036600.1.v1.2 pep primary_assembly:ASM411807v1:6:15149020:15150381:1 gene:Vigun06g036600.v1.2 transcript:Vigun06g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPDYGHTKGVILCLIEKEVEFETVHVDGFKGEHKQPEYLKLQPFGLFPVIEDGDYVLYESRAIMRYYAEKYKNQGSNLMGKTMEERGLVEQWLEVEAHHYTPPIYNLIKMYFGSVLNGEAMDPKAVEENEEKLGKVLDIYEKRLSETKYLAGDFFSLADLNHLQFTSYLVNEMERGFMVRERKNVSRWWDDISNRPSWKKVLQSYRNVYDALKEMK >Vigun05g126700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14319220:14319801:1 gene:Vigun05g126700.v1.2 transcript:Vigun05g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQYKKKLIIKISPSCPKNHEGVCELKNKREYYATAIWVDVKNTSNSCESNQKIRYEKCDKREDKEMSQKEWKKREKKWKVEDATRMDNCKRMQCWTMMKRLMVGRYAWVFKKNDLNKKIMSLQDIELKLKRLEYSKVDDFADDMRKVFSYPLGYPSKSEVHRIAREISQSFEFKWKITKRKWILEKQNL >Vigun10g140500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35750736:35752301:-1 gene:Vigun10g140500.v1.2 transcript:Vigun10g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQPIEIFFLGRPIIRHISLSVALSCPNSATHTYLASNHQLPRPYRTNHHRPIAGIMLRLAKPNPFLSGHHLIQRCSVSGTAKGKAKIKAGQALKRSRITTKKSGSASQTGAPPMSRERQERENLYERCLQAPTPLRYLTPRQREREAEREKMGLISKERKREIEMMRRKDDKFKVSEKPTIIGTPGLDYVSLGLVDVEKLPKYELTVEDGRRLAKEYSRVLMRKHRARQAAESNLLRMKKEAIEALPEGLREAALVPDLSPFPVNRFMATLTPPIEGYIEQVREAASKISGTEKIR >Vigun04g075033.1.v1.2 pep primary_assembly:ASM411807v1:4:9168037:9168691:1 gene:Vigun04g075033.v1.2 transcript:Vigun04g075033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKVEMIDPMGKNYEVFIEGSYIGRIFARHGLPEMVTYYDLREDHVLRLNFIGDHKFLFRIFSLTGNEINYSKPLIKNEPTINEDDGLESEFFYATIKTLTDYDVHSSSLYLDFEFVARALVKNRKNHELRNTNGCSWSCTVRWAKKRTYVCFLSCGWKKFCAENGFQAGDVITFGVDRNRSTVINVRKD >Vigun11g202300.2.v1.2 pep primary_assembly:ASM411807v1:11:40022609:40030874:1 gene:Vigun11g202300.v1.2 transcript:Vigun11g202300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKLNSSGKTSMSCSQAENGFVNSFSLFPEKAVEEILQSPIQGSDDHLIEFSEALRTVAKALRQVAEGKASAQAEAAEWKRKFELERDRNLKFEHTEKSCFEHQVDLDDMRTNSPAKQPTSCNVANGQSGKCCSRNGICSHEVLKDGTPTSDSKIVKKASFKLSWFCKDDQSDQYKHDIVSFERGNITTAQRSSKQISLKWQSCPQTVLILTKPNSVSVQILCSEMVRWLRQQKNLHIYVEPRVRVELLAESSYFNFVETWNDDEEVLRLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFYSEHYKECLESILKGPISITLRHRLQCHVIREAAKNEYETEEPMLVLNEVTIDRGISSFLTNLECYCDDSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPMIFPEHVTLRVQVPFNSRSPAWASFDGKDRKQLAPGDALVCSMAPWPVPTACLDDSTNDFLRSIHEGLHWNLRKTQSFDGPRET >Vigun11g202300.1.v1.2 pep primary_assembly:ASM411807v1:11:40022609:40030874:1 gene:Vigun11g202300.v1.2 transcript:Vigun11g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKLNSSQGKTSMSCSQAENGFVNSFSLFPEKAVEEILQSPIQGSDDHLIEFSEALRTVAKALRQVAEGKASAQAEAAEWKRKFELERDRNLKFEHTEKSCFEHQVDLDDMRTNSPAKQPTSCNVANGQSGKCCSRNGICSHEVLKDGTPTSDSKIVKKASFKLSWFCKDDQSDQYKHDIVSFERGNITTAQRSSKQISLKWQSCPQTVLILTKPNSVSVQILCSEMVRWLRQQKNLHIYVEPRVRVELLAESSYFNFVETWNDDEEVLRLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFYSEHYKECLESILKGPISITLRHRLQCHVIREAAKNEYETEEPMLVLNEVTIDRGISSFLTNLECYCDDSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPMIFPEHVTLRVQVPFNSRSPAWASFDGKDRKQLAPGDALVCSMAPWPVPTACLDDSTNDFLRSIHEGLHWNLRKTQSFDGPRET >Vigun05g234500.1.v1.2 pep primary_assembly:ASM411807v1:5:42813917:42819342:-1 gene:Vigun05g234500.v1.2 transcript:Vigun05g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQHSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNIDLSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNSRECEEVANLFSRILNAYAKVPPKSKVSSTKSEFEELEAVPTMAVMDGPLEPPSSTTSNVVDVPDDPSFVNFFSAAMAIGNTSNVPITGQPYQSSATISSSSVQTHAATPTVPTLQIPPLSASTPPIPHHDVSESISSNRTTKLVKPSFFAPPPSSAMMIPPASSSTPTAPPLHPAVNVQRPYGAPLLQPFPPPNPPPSLAPAPSPNYSPVISREKVRDALLVLVQDNQFIDMVYRALLNAHQS >Vigun04g060900.14.v1.2 pep primary_assembly:ASM411807v1:4:6281553:6288766:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.18.v1.2 pep primary_assembly:ASM411807v1:4:6281105:6288743:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.21.v1.2 pep primary_assembly:ASM411807v1:4:6281061:6288765:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.10.v1.2 pep primary_assembly:ASM411807v1:4:6281061:6288765:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.1.v1.2 pep primary_assembly:ASM411807v1:4:6281553:6288766:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.3.v1.2 pep primary_assembly:ASM411807v1:4:6280957:6288853:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.9.v1.2 pep primary_assembly:ASM411807v1:4:6281091:6288766:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.15.v1.2 pep primary_assembly:ASM411807v1:4:6280983:6288721:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.7.v1.2 pep primary_assembly:ASM411807v1:4:6281045:6288853:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.16.v1.2 pep primary_assembly:ASM411807v1:4:6281553:6288721:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.5.v1.2 pep primary_assembly:ASM411807v1:4:6280957:6288765:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.19.v1.2 pep primary_assembly:ASM411807v1:4:6280983:6288721:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.4.v1.2 pep primary_assembly:ASM411807v1:4:6280957:6288765:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.2.v1.2 pep primary_assembly:ASM411807v1:4:6280957:6288853:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.20.v1.2 pep primary_assembly:ASM411807v1:4:6281553:6288721:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.6.v1.2 pep primary_assembly:ASM411807v1:4:6281091:6288766:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEKFQTINSRNGKVSDYFCAQLFSANLLECGGFINVCYQYSSINTLNPNTTTRMLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.17.v1.2 pep primary_assembly:ASM411807v1:4:6281105:6288743:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun04g060900.8.v1.2 pep primary_assembly:ASM411807v1:4:6281045:6288853:-1 gene:Vigun04g060900.v1.2 transcript:Vigun04g060900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRKPLYRFPFIPVSVLLICLSFLFLYHYYVKPSSQISRFSNISTSHTPQCSSQALTLGEKFMWYAPHSGFSNQLSEFKNAILMAGILNRTLVVPPILDHHAVALGSCPKFRVVDPEDIRKSVWDHVIELVQSRRYISIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACVTDTKLHSPVSKSLNQCGSLLAGLHGSIEKCIYAVNDDCRTTVWTYNEDGHGDGMLDSFQPDEKLKQKKKISYVRTRKDVFKTLGPGSEAESASLLAFGTLFSAPYKGSELYVDIRESNQNQRFLSLKEETKLLSFVPEILIAGKEFAKQTIRAPFLCAQLRLLDGQFKNHQKATFQGLKQKLESLRKEGTLPIHIFIMTDLPGDNWTNTYLGDLVRENDKYMLYFLKENDKLIKRAAIKLMTAGHGQRFLSNSYSTIGKKYCSSQRLPDLLLYVEQTVCSCASLGFVGTPGSTIAENIELMRKFGSSSQKC >Vigun07g096800.3.v1.2 pep primary_assembly:ASM411807v1:7:16096532:16097972:-1 gene:Vigun07g096800.v1.2 transcript:Vigun07g096800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVLWAQRSDKVYLTVALPDAKDVSVKCEPQGLFSFSASGIQDESYSFSLELYGSIEPEGCKTKSGLRNILCLIQKGEKGWWKRLLKSEEKPAPYLKVDWNRWCDEDEESICKLL >Vigun07g096800.1.v1.2 pep primary_assembly:ASM411807v1:7:16093152:16097972:-1 gene:Vigun07g096800.v1.2 transcript:Vigun07g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVLWAQRSDKVYLTVALPDAKDVSVKCEPQGLFSFSASGIQDESYSFSLELYGSIEPEGCKTKSGLRNILCLIQKGEKGWWKRLLKSEEKPAPYLKVDWNRWCDEDEESISDLVSDDDSRFVGQDEGSSDDDGMLYLPDLEKARG >Vigun07g096800.2.v1.2 pep primary_assembly:ASM411807v1:7:16093152:16097972:-1 gene:Vigun07g096800.v1.2 transcript:Vigun07g096800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVLWAQRSDKVYLTVALPDAKDVSVKCEPQGLFSFSASGIQDESYSFSLELYGSIEPEKGEKGWWKRLLKSEEKPAPYLKVDWNRWCDEDEESISDLVSDDDSRFVGQDEGSSDDDGMLYLPDLEKARG >Vigun04g155401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37578426:37580864:-1 gene:Vigun04g155401.v1.2 transcript:Vigun04g155401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHGGEKNCVWRTKGQKDGVGRMVCGRRGRMRLALQVGEKGRRRLAGEKGRRGEGGLRGRRGEGSLLQCGGEGEKEARSKIPKDVVQCRGNLLFIGVRWRGFGGAADVRAVRGAGIWGCSAVKLKKETVKLFLTKGNVGNKIRFGGTGVFFGGAGRSPPLFTLDLSHNELEGPILTFSRNVTSLIVLDLSYNRLSSLTNQFEVYKSIITINFCSNKIGGKIPRSIGKLSSLRYLTLSNNQLSENPFENLRSLSELSFLDIGDNRFEGVVMEDHLSNLTSLSEFYASGNYLTLKVDPNWNPTFQLTDLDMSTGKLGPNFPSWIHSQSNLHHLSMSNTGILDSIPSWFWETFSQVSFVNLSHNYIHGNLGATLTIPISMDVVDLSANNLCGQLPPISRGVAFLDLSSNSFYKSMDKFLCNNDDNIMWFLNLASNNLWGEIPNCWMFWPNLVYINLQSNNFVGNLPPSMGALESMEFLQLGNNSLSGTFPTSLMENELLTLLDMRGNKLVGTIPKWVGEKFLNMRILCLSSNIFSGHIPNEICKMSLLQVLDLAQNNLSGGIPTCFNKFSAMTLMNKSTNSIIEYSPTLTDYSSVIGTVGVLLLLKGRIVEHKNILGLVTSIDLSNNQLTGEVPIEITYLNGLIFLNLSHNQLIGHIPRSIGNMGSLLSIDFSKNQLSGEIPQTISDLSFLSLLNLSYNHLKGKIPTGTQLQTFDASDFIGNDLCDSPLPINCSSNTKVDNFDHIEKGSDKHGINWSFVSMTFGFVVGFWIVIGPLLIVDHGVIPIFISLTMFGLNFNLFFDASTLYSIMKNKL >Vigun09g114800.1.v1.2 pep primary_assembly:ASM411807v1:9:25180654:25193310:1 gene:Vigun09g114800.v1.2 transcript:Vigun09g114800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICGASLFLSVKTQLQLQLQQRHHQQRAFFQSERSRTRRTTTRFHSSKRCFSCSFPNASTFRRSVVCQVASTDFESAAKAGEHRLSKVPVRNIRNFSIIAHIDHGKSTLADKLLQVTGTVHQREMKDQFLDNMDLERERGITIKLQAARMRYVFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIKEIEEIVGLDCSNAILCSAKEGIGIIDILNAIVARIPPPEDTSKRPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDRVYFMASGKDYFADEIGVLSPNQLQVEELYAGEVGYLSASIRTVADARVGDTITHYGRKADKSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVNGDTVECSNPSLLPEPGQRKSIEEPFVKIEMLTPKDYIGSLMELAQERRGQFKELKFIAENRASIVYELPLAEMVGDFFDQLKSRSKGYASMEYTFVGYIESNLIKLDIRINGDCVEPLATIVHNDKAYSVGRALTLKLKELIPRQMFKVPIQACIGSKVIASEAISAIRKDVLAKCYGGDITRKKKLLKKQAEGKKRMKSIGKVDVPQEAFMAVLKLEKEVI >Vigun09g114800.2.v1.2 pep primary_assembly:ASM411807v1:9:25180654:25191366:1 gene:Vigun09g114800.v1.2 transcript:Vigun09g114800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICGASLFLSVKTQLQLQLQQRHHQQRAFFQSERSRTRRTTTRFHSSKRCFSCSFPNASTFRRSVVCQVASTDFESAAKAGEHRLSKVPVRNIRNFSIIAHIDHGKSTLADKLLQVTGTVHQREMKDQFLDNMDLERERGITIKLQAARMRYVFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIKEIEEIVGLDCSNAILCSAKEGIGIIDILNAIVARIPPPEDTSKRPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDRVYFMASGKDYFADEIGVLSPNQLQVEELYAGEVGYLSASIRTVADARVGDTITHYGRKADKSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVNGDTVECSNPSLLPEPGQRKSIEEPFVKIEMLTPKDYIGSLMELAQERRGQFKELKFIAENRASIVYELPLAEMVGDFFDQLKSRSKGYASMEYTFVGYIESNLIKLDIRINGDCVEPLATIVHNDKPPTATRILL >Vigun03g149200.1.v1.2 pep primary_assembly:ASM411807v1:3:15320036:15323807:-1 gene:Vigun03g149200.v1.2 transcript:Vigun03g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLITLTTTKHLFLCFSLFYLFFTYLFLAFYVTSSQSKCFFRSDPFHPIQSSSLFSYPSTYGQHKYAISTSRSTCSSPLNFSDYWDVLKEIQNLRRNPECSTRNVRYMQMQGGGGDSFGGNLSSHLRFSFFDHQNDSREVPCGFFKKFPISDSDEIAMEKCESVVVVSAIFNEHDKIRQPRGLGSETLENVCFFMFIDDVTLKGLQYHGLISTKNSSGYNKIGVWRIVKVRKEDLYHNPAMNGVIPKYLVHRLFPNSQFSVWIDAKLQLMVDPLLLIHSLVISQNADMAISKHPHFLHTMEEAMATARWNKWWDVNALKMQMETYCENGLQPWSPTKMPYSSDVPDSALILRKHGLRSNLFSCLIFNELEGFNPRDQLAFAFVRDHVNPKLKLNMFQVEVLEQVTVEYRHNLKPSDASIAKKLSMTRKTVRAKPDLLHQNGSSSSKCQQYLATMWGLTNNAAKAKNEQLFDKGGSRNKSKIK >Vigun10g150850.1.v1.2 pep primary_assembly:ASM411807v1:10:37007581:37008266:-1 gene:Vigun10g150850.v1.2 transcript:Vigun10g150850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNEKSGGWRDTVCEKPELTDRCGFVECPQVCMDLYKEKYAAGECIAGLSNHLECVCFYHCSPTQSNTTLTNIPN >Vigun06g228400.1.v1.2 pep primary_assembly:ASM411807v1:6:33602891:33605034:1 gene:Vigun06g228400.v1.2 transcript:Vigun06g228400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMKGVMNAMHGLKPVLLMVLVQIAYTAVNVLFKLAINDGMSVKVATTYRLAFGSAFTVPLALISERKKRPKMTWRVLFMAFLCGLFGGSLFQNLFYESLALTSATFASALYNLIPAITFVLAISCGLERLNLRAAAGRAKVLGTVIGIGGAMVLTFIRGAEINIWPFHINLMHPRQHQESHVAESSNTLLGALCSIASCFSFSLWLTIQAKMSKEYPCHYSSTAMMSTLGAIQAAAFGFCFERDFSQWKLGWNIRLLAVAYSGIVASGIVVIITAWCIQMRGPLFASVFNPLMLVLVAIAGSLMLNESLYVGSVVGAVLIVCGLYMVLWGKSKEMKNITQLVPSETIREAEAVEVVVVSTPMDYEKCDHNNNRSEITATTRNVDKDCEDFNKK >Vigun08g209500.2.v1.2 pep primary_assembly:ASM411807v1:8:37205545:37208113:1 gene:Vigun08g209500.v1.2 transcript:Vigun08g209500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNVKEPLLSSLNETQKAKRLLNKRRLHRSRSAPNTDLVPSETQCKESTPPTTSIFQNLHPNHKRVAIYLAVYLCVGALIFFLVRDQIKGTKTDGVLDALYFTIVTMTTVGYGDLVPDSHLTKLLACAFVFSGMALIGLTVSKAADYLVEKQELLLVKAMHQKVGSTRILRELETNKTRYKLFVVFFLLLVLFTAGIVFLVTVEKLDVIDAFYCVCSTITTLGYGDKSFSTQAGRIFAVFWILTGTITLAQFFLYVAELNTEIRQKELVKWVLTRKVTNLDLEAADLDEDGTVGAAEFVIYKLKEMGKISQEDISLVMQEFEQLDVDDSGTLSTSDITLAQSS >Vigun08g209500.1.v1.2 pep primary_assembly:ASM411807v1:8:37205606:37208066:1 gene:Vigun08g209500.v1.2 transcript:Vigun08g209500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNVKEPLLSSLNETQKAKRLLNKRRLHRSRSAPNTDLVPSETQCKESTPPTTSIFQNLHPNHKRVAIYLAVYLCVGALIFFLVRDQIKGTKTDGVLDALYFTIVTMTTVGYGDLVPDSHLTKLLACAFVFSGMALIGLTVSKAADYLVEKQELLLVKAMHQKVGSTRILRELETNKTRYKLFVVFFLLLVLFTAGIVFLVTVEKLDVIDAFYCVCSTITTLGYGDKSFSTQAGRIFAVFWILTGTITLAQFFLYVAELNTEIRQKELVKWVLTRKVTNLDLEAADLDEDGTVGAAEFVIYKLKEMGKISQEDISLVMQEFEQLDVDDSGTLSTSDITLAQSS >Vigun08g209500.3.v1.2 pep primary_assembly:ASM411807v1:8:37205662:37208066:1 gene:Vigun08g209500.v1.2 transcript:Vigun08g209500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNVKEPLLSSLNETQKAKRLLNKRRLHRSRSAPNTDLVPSETQCKESTPPTTSIFQNLHPNHKRVAIYLAVYLCVGALIFFLVRDQIKGTKTDGVLDALYFTIVTMTTVGYGDLVPDSHLTKLLACAFVFSGMALIGLTVSKAADYLVEKQELLLVKAMHQKVGSTRILRELETNKTRYKLFVVFFLLLVLFTAGIVFLVTVEKLDVIDAFYCVCSTITTLGYGDKSFSTQAGRIFAVFWILTGTITLAQFFLYVAELNTEIRQKELVKWVLTRKVTNLDLEAADLDEDGTVGAAEFVIYKLKEMGKISQEDISLVMQEFEQLDVDDSGTLSTSDITLAQSS >Vigun05g103501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10342699:10344318:1 gene:Vigun05g103501.v1.2 transcript:Vigun05g103501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHEFFPVAILIAERVPTSNKMFDDRLLKRSKIAIFCLIIFSAIIFIILCDDLIYQDSLHLQVLQARPHRNGEGIRSTRVLTHTPLRSLQQNEGVRKENPGALVAPFNSTEEERIGWFKGKLHEFKILQSDELSRKFHARIVRFFSHECESKFFMTWEYPAGSFAERELLSIKSIFKVHPKACLVILSRTLDSILGCRILKPLLDGRFRVQAMAPDLPFLFKGTSAEAWLNELVKGKKDPGEISLFQNPSNLMRLAVLYKYGGVYLDMDFIVLKPLTVLRNCIGAQSMDSGNKNWTRLNNAVLIFDTNHPLLLRFINEFVLTFNGNKWGHNGPYLVSRVVERLGERPGFNFTILPPLAFYPADWKKIGGLFREPKTRGESKWVQAKLLQLSSESYGVHLWNKESRNLKIEDGSVVARLISDRCNICKYL >Vigun01g028000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3332638:3333291:1 gene:Vigun01g028000.v1.2 transcript:Vigun01g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKRDYERVMKYFDEDGDGKISPWELRKKLGMMGDELVLKEVELLVQELDSDGDGCVSMEDLVKMMEGAGEEEKMRDLEEAFEMYNDSEMLGFITPNSLQTMLGRLGESKSIHQCKAMIHHFDLNGDGLLSFHEFTLMMH >Vigun04g099490.1.v1.2 pep primary_assembly:ASM411807v1:4:23331060:23332867:-1 gene:Vigun04g099490.v1.2 transcript:Vigun04g099490.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTAQFLSESCDLVFDATTADSVVRAAIRARCHYVNKKWLGGMLTNWDLRMQQKTGRFHSFPKKDVAILKIQLAELETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLVDISIPANDDAIASIRLILNKLVFAIWVHRFMESLTILVRNCTKMKIENKQKFYDNMNVLFCSINALKRLYDIFVVEVVLITSWVIIAILLVSAILVIRNLQTIPTFDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLAYFSKYIQPTPILLPINILEDFTKPLSLSFRLSKNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALLFATLAVAYIGESIEGHH >Vigun09g099400.1.v1.2 pep primary_assembly:ASM411807v1:9:16007579:16012652:-1 gene:Vigun09g099400.v1.2 transcript:Vigun09g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEARKAPRPGKGGYEAHGLSEEEARVRAIAEIVNSMVDLSHKGQNVDLNALKSAACRKYGLARAPKLVEMIAALPDAERETLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSVNVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIIARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHQIRPEEVELVRRDYMANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIACREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLVK >Vigun06g090800.1.v1.2 pep primary_assembly:ASM411807v1:6:22334729:22337008:1 gene:Vigun06g090800.v1.2 transcript:Vigun06g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADSDFLSNKEFDDEDSEFETDFERYFVFSTAKNRFFGRKIPLRVTLGSGLIADIILWRKKKISLSVLVGVTLIWLLFKRMDYTVISFICDSLMLLMAMLFIRSLLTSVIRILPPLELSSFLLPKGLLVNTAISVTRILNNLLITFGIIASGQDLKKFILVTGTLGAISFLDYRYPAATLIYIASVILLIVPVVYEKHGDIIDILAERTLFELNNLYENLMMKIFGKSQPSQEYIQD >Vigun06g090800.2.v1.2 pep primary_assembly:ASM411807v1:6:22334729:22337008:1 gene:Vigun06g090800.v1.2 transcript:Vigun06g090800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADSDFLSNKEFDDEDSEFETDFERYFVFSTAKNRFFGRKIPLRVTLGSGLIADIILWRKKKISLSVLVGVTLIWLLFKRMDYTVISFICDSLMLLMAMLFIRSLLTSVIRILPPLELSSFLLPKGLLVNTAISVTRILNNLLITFGIIASGQDLKKFILVTGTLGAISFLDYRYPAATLIYIASVILLIVPVVYEKHGDIIDILAERTLFELNNLYENLMMKIFGKSQPSQEYIQD >Vigun06g090800.3.v1.2 pep primary_assembly:ASM411807v1:6:22334729:22338749:1 gene:Vigun06g090800.v1.2 transcript:Vigun06g090800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADSDFLSNKEFDDEDSEFETDFERYFVFSTAKNRFFGRKIPLRVTLGSGLIADIILWRKKKISLSVLVGVTLIWLLFKRMDYTVISFICDSLMLLMAMLFIRSLLTSVIRILPPLELSSFLLPKGLLVNTAISVTRILNNLLITFGIIASGQDLKKFILVTGTLGAISFLDYRYPAATLIYIASVILLIVPVVYEKHGDIIDILAERTLFELNNLYENLMMKIFGKSQPSQEYIQD >Vigun04g177700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40088988:40090484:1 gene:Vigun04g177700.v1.2 transcript:Vigun04g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRETNNKEDSIHTIVFTAGTFLLMVCLKHFLVEQWRAWVFLILNVILLAILFMSLRPSNLDHCSQTQSSVEQVKSDNKEKKRPSGGCQETEEGKDCYIKQCWSSSSSSTSSNSNTYVDAENEVAEEDEEDEEEEEEQVPVLSKEELNERVEAFITMFRQHLISDVKQAENFRLHKIEVSCC >Vigun08g071000.1.v1.2 pep primary_assembly:ASM411807v1:8:11391513:11395157:1 gene:Vigun08g071000.v1.2 transcript:Vigun08g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKEMQKQLTRLTVLLLMALEAASQALRGCPNSCGSVSIPYPFGIGNSNHTGANCFLMKELNLTCTNSTLYHGQGNIQILNISLAGKIDMLVHISEVYKNESLGKKYNIGNRPRFSVPVFTISSEDNKFVTVGCDTYGYVSSIRKVTEFSTGCSTRCNTLEIVESMQRSGNCTGIGCCQVDIPPGMKNITFRAFSFYNFNSTSDFNKCGYSFVVKNGNYTFSVDHLKGVPFNMTPLVMEWSVGKDTCDISKQRTDYACKNNSDCENSPFDDGYRCKCKPGFQGNAYHPDGCQDILECSNGEHNCSSKEYCRETNGSFECHPRADTFMGIVIGACVGLTVKFIGVSWLYLMYQKRKVLKLKHKFFQQNGGIILRQQLSKIEDSSKTTTIFSVEQLNKATKNFDESLIIGKGGYGTVFKGLLSNNKVVAIKRSKIVDQRQVEQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVNNGTLFEYLHNQGQKFNVSWKTRLTIATEVAAALSYLHSAASIPIIHRDVKTANILLDDTYTAKVSDFGASRFVPLDQTAIATIVQGTFGYLDPEYMQSSQLTEKSDVYSFGVVLVELLTGEKPFSFDRAEEKRSLTFYFLHGLKEDRLFDVLQMGLLDEDNQQEIMEVAILASRCLKLIGEERPGMKEVAMILEGLKSYGEKPLDQYQERLRGESILAS >Vigun03g097400.1.v1.2 pep primary_assembly:ASM411807v1:3:8260945:8262738:1 gene:Vigun03g097400.v1.2 transcript:Vigun03g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLTILLFLLPTITISVSEPLDPKQVKALQSLNIPTTEDPCSQPSLVCDTSTPFRHLTTLQLSNCTSPHLSLSFAALKSLSTLDSLLLLNCPVTSTPSPTRFPLQLASTLRSLTSVNSLRNISAVSLSHLRNLTHLTLSNLTIKASAPYVLFSHISNLQSLTISHANLAGSLPKHIHSPNLTYIDLSSNNLRGNIPSSITMLDNLQVLILSSNQLKGEIPSSIGDLISLKNLSLDSNSFSGSLPDSFSAIPGLVHLDLSSNQLNGTIPDFISQMSNLKHLNLANNLLHGVLPFNSTFIHGLQVFKVGGNGNLCYNRSVLPSKLKLDIAPCDKFGRPVSPPPSKRSSADDSSDDGDYDGDYDDGGASVSHKKEHHHGPNKVVLGVAIALSSMRRVDGAEGECKRNERDCENERWHPIES >Vigun11g225800.1.v1.2 pep primary_assembly:ASM411807v1:11:41607224:41615086:-1 gene:Vigun11g225800.v1.2 transcript:Vigun11g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPFTHKYEDSKGRLHISMATLGLGTATAKSTLQCNVGNRSPVYLCSLYPGNAESLQLNLELEEVDQVLFSVIGPRSIHLCGYYLATARNANLIDDSESYGEDIADTETERSDYSDEDGYEDSFIDDDIVPEASSPSLISKEEEASHDNGPKIRKGSLRRLRKMYRSGESDDDGCGGEKIIVDDRMHDQNQETDNEDSLPISSLYKNKASGRVLDKEVDASVVRGAGYASNKNGGGNNIFETNLEAGHVLVDSQTQREAVPSKHLVDPCTSLDVGDIKSPKKKKKKKEKGTKSSCNGHSIKLDNVIDELKIEEMNQVVVAGGKQEQHVDDMETETTDKILPSSQVDHGLGEKPKKKRKERSKEGMVSIATDGYQNSLVNLPLRNEQHTVNEDVKISGNVALSSAEMDPKKNRAKRKKKEQVNKSDDGYNEDIFQEDKVNRDAAGSQNAIPNFFEEKEQHQKRTNEKMVDNGAYDLPDGNQSENRKVKKRKKMSKSQGNGEVVNSNVAVYVERSGETEMEEDRSKGDNAKPSNVRTLTNGLVIQEQEKGKKDGKIAASGKKISIYYTGKLKENGAVFESNAGQTPFKFRLGKGEVIEGWDVGLEGMQVGEKRRLVIPPTLTSESDGHSDKIPQNSWLIYDIELVKVH >Vigun11g225800.2.v1.2 pep primary_assembly:ASM411807v1:11:41607224:41615086:-1 gene:Vigun11g225800.v1.2 transcript:Vigun11g225800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPFTHKYEDSKGRLHISMATLGLGTATAKSTLQCNVGNRSPVYLCSLYPGNAESLQLNLELEEVDQVLFSVIGPRSIHLCGYYLATARNANLIDDSESYGEDIADTETERSDYSDEDGYEDSFIDDDIVPEASSPSLISKEEEASHDNGPKIRKGSLRRLRKMYRSGESDDDGCGGEKIIVDDRMHDQNQETDNEDSLPISSLYKNKASGRVLDKEVDASVVRGAGYASNKNGGGNNIFETNLEAGHVLVDSQTQREAVPSKHLVDPCTSLDVGDIKSPKKKKKKKEKGTKSSCNGHSIKLDNVIDELKIEEMNQVVVAGGKQEQHVDDMETETTDKILPSSQVDHGLGEKPKKKRKERSKEGMVSIATDGYQNSLVNLPLRNEQHTVNDRDVKISGNVALSSAEMDPKKNRAKRKKKEQVNKSDDGYNEDIFQEDKVNRDAAGSQNAIPNFFEEKEQHQKRTNEKMVDNGAYDLPDGNQSENRKVKKRKKMSKSQGNGEVVNSNVAVYVERSGETEMEEDRSKGDNAKPSNVRTLTNGLVIQEQEKGKKDGKIAASGKKISIYYTGKLKENGAVFESNAGQTPFKFRLGKGEVIEGWDVGLEGMQVGEKRRLVIPPTLTSESDGHSDKIPQNSWLIYDIELVKVH >Vigun03g049800.2.v1.2 pep primary_assembly:ASM411807v1:3:3997179:3998274:1 gene:Vigun03g049800.v1.2 transcript:Vigun03g049800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCPFIYIFCSGQNTAQQTRKILATFNTVKGSYLADDNNSMAVLRSTATSSLFILGVFAAFVAGCAMGAVMNEKCSKSSMEVSQSPTVPLPSGIPQYTVEMANTCSRSNCSVSHIHLKCGMFTSATLINPKIFKRLRYNDCLVNDGNPLSSGVILSFKYASSFPYPLSVSYLRCD >Vigun05g247400.1.v1.2 pep primary_assembly:ASM411807v1:5:44068217:44073217:1 gene:Vigun05g247400.v1.2 transcript:Vigun05g247400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETEMKQSKFKRICVFCGSSPGNKTTYKDAAIELGRELVSRNIDLVYGGGSIGLMGLISQAVYEGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKRSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNTFLSFIDKAVEEGFISPTARHIIVSAPTPKELVKKMEEYFPQHERVVSKVSWESEQLH >Vigun03g219700.2.v1.2 pep primary_assembly:ASM411807v1:3:36536586:36540623:-1 gene:Vigun03g219700.v1.2 transcript:Vigun03g219700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKDGKPVTQPDKGRIVPMAVIFVVLCGCSFYMGIIFCSEKNSFESIYSQKSIESPKESSTSSLQIKYTSFPECSADYQDYTPCTDPRRWRKFGSYRLTLLERHCPPKSERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFIFPGGGTMFPNGVGKYVDLMQDMIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWNTTVEEQRSDYEKLRELLTSLCFKMYKKKGDIAVWQKSPDNSCYNKLPKDTYPPKCDDSLEPDSAWYTPLRGCMVVPDPKFKKSGLSSISKWPERLHVTPERISMLYHGSGGTFKHDESEWKKKVAYYKKLIPELGTDKVRNVMDMNTVYGGFAAALIDDPVWVMNVVSSYASNSLPVVFDRGLIGTIHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKNVLLEMDRILRPWGYAIIRESSYFTNAIATLGKGMRWECRKEDTESGSETQKVLVCQKKLWYSSNKASR >Vigun03g219700.1.v1.2 pep primary_assembly:ASM411807v1:3:36536443:36540633:-1 gene:Vigun03g219700.v1.2 transcript:Vigun03g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKDGKPVTQPDKGRIVPMAVIFVVLCGCSFYMGIIFCSEKNSFESIYSQKSIESPKESSTSSLQIKYTSFPECSADYQDYTPCTDPRRWRKFGSYRLTLLERHCPPKSERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFIFPGGGTMFPNGVGKYVDLMQDMIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPINYERRWRGWNTTVEEQRSDYEKLRELLTSLCFKMYKKKGDIAVWQKSPDNSCYNKLPKDTYPPKCDDSLEPDSAWYTPLRGCMVVPDPKFKKSGLSSISKWPERLHVTPERISMLYHGSGGTFKHDESEWKKKVAYYKKLIPELGTDKVRNVMDMNTVYGGFAAALIDDPVWVMNVVSSYASNSLPVVFDRGLIGTIHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKNVLLEMDRILRPWGYAIIRESSYFTNAIATLGKGMRWECRKEDTESGSETQKVLVCQKKLWYSSNKASR >VigunL027800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:111009:113520:-1 gene:VigunL027800.v1.2 transcript:VigunL027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:accD MEKWWFNSKLLNRKFEYRCELSKSMESLGPIENTSLSEEPKILTDIDKKIQRWDDRDNSSYNSFDYLVGVDNIQDFLSDKTFLVRDNKKNSYSIYLDIENKTLEINNNHSFLRVFSSYLNTVIKSDYDHSMYDTPFSWNNYINSCIDSYFHSQICVDSCIFGNIVEYNDSYFYSYFLGKVRNCSESENSSFSLITSTNDTNDSDSTLGENYMNLHESEQLTHLWIECENCYELNYKKFWKSKRNICEYCGYHLKMDSSDRIEFSIDSGTWNPMDEDMVSLDLIEFHSEEEPYKDRIDSYQRKTGLTEAVQTGTGQVNGIPVAIGIMDFKFMGGSMGSVVGEKITRLVEYATNQLLPLILVCSSGGARMQEGSLSLMQMAKISSALYYYQTIQKLFYVSILTSPTTGGVTASFGMLGDIIIGEPNAYIAFAGKRVIEQTLNKTVPEDSQVAESLFNKGLIDSIVPRNLLKGVVTELLQFHNFFSLTKKERNYGIEIQNQNIQDQSNKIKE >Vigun05g300200.3.v1.2 pep primary_assembly:ASM411807v1:5:48399803:48402260:1 gene:Vigun05g300200.v1.2 transcript:Vigun05g300200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNINGTTLSLALYTDVTNSKELLESMQTGTLEPEVAFLNALLIPNIFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNYSGSKHITESLKRCGISDSTTYILAARFDASPDEIQAIEKLINGKEIDLEELEGRANQSQIQKHYKISASELGVSSLADAIVCRIAARDAL >Vigun05g300200.1.v1.2 pep primary_assembly:ASM411807v1:5:48399604:48402456:1 gene:Vigun05g300200.v1.2 transcript:Vigun05g300200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNINGTTLSLALYTDVTNSKELLESMQTGTLEPEVAFLNALLIPNIFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNYSGSKHITESLKRCGISDSTTYILAARFDASPDEIQAIEKLINGKEIDLEELEGRANQSQIQKHYKISASELGVSSLADAIVCRIAARDAL >Vigun05g300200.2.v1.2 pep primary_assembly:ASM411807v1:5:48399604:48402449:1 gene:Vigun05g300200.v1.2 transcript:Vigun05g300200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNINGTTLSLALYTDVTNSKELLESMQTGTLEPEVAFLNALLIPNIFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNYSGSKHITESLKRCGISDSTTYILAARFDASPDEIQAIEKLINGKEIDLEELEGRANQSQIQKHYKISASELGVSSLADAIVCRIAARDAL >Vigun08g181200.2.v1.2 pep primary_assembly:ASM411807v1:8:35069346:35070404:-1 gene:Vigun08g181200.v1.2 transcript:Vigun08g181200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRKIWTEVGKQKTKEIVPLITRPSAVLFDAIESGNDDEVIWCFMESVAIFTALKDSNGRNLMHLFLLYRRLDIFNSFINDKKQDLVRAMDKEGNNVLHLAALLPLEFKSFSGLSAKIQMQRELGWFEDAERSVPDELRSMRNKKGKTPIDVFYDEHNQLSKDIKESAKGIADSGMVVATLVATVAFAAALTVPGNKNSVWFIVFIVTNAIALFTSSASILSFLSNFTSSRFANTEFVMSLHPSLTFGPELLIISVAAMVVAFIAASFLIFDHTTKWVSYAVTPMGFFPLLVFIVFQSKLCDDSYWSKYYRPKLR >Vigun06g029232.1.v1.2 pep primary_assembly:ASM411807v1:6:13080608:13082635:1 gene:Vigun06g029232.v1.2 transcript:Vigun06g029232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIDAGIDLHQHISRNHHTKLDKLGMSCANANSTTTNYDVGSTILVDLKAVYLKSAMKVTTLGHGLS >Vigun04g134300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33735287:33739560:1 gene:Vigun04g134300.v1.2 transcript:Vigun04g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYFLTTLYALLLLLHASDFALGFISAEAKCREKERQALLNFKQSLIDDYGILSTWTYDQNNTDCCNWKGIQCNHQTGNVHLLDLHGKDSHYLKGPINLSSLIHLQYIQQLDLSNNDFLWNHIPQAIGSFNNLQYLNLSFSQFSGRIPFQLGNLSKLRYLDLRTNFLQGSVPFQIGNLRQLQYLDLGANSLSGEIPFQLSNLHQLQYLDLGWNYLSGAIPFRNGDLPNLQTLCISGDLDIEAEDSEWLSNLHSLTTLGLRSLHNLSTSRHWLQAINMLIPNLIQLRLVDCSLSDTNIKSLFHPRSNFSTSLTVLDLCFNVLTSSAFQLLFNFSLHLQELYLTDNDIALSSFLYPNFPSLRILDLSRNNLTSSVFQDDFKFGSNLRDLRLYNCSLTDRSFPVSYASTINSSSSLAILGLSSNLLKSSTVFYWLVNSTKNLQTLNLCDNMLEGPIPEGFGKVMNSLEHFYVFNNRLQGKIPSFFGNMCRLQTLDLSNNKLNGEISHFFQNSSWCNRHILQNLVLSYNQITGKIPKSIKLLSELEYLHLDWNYLEGDVTESHLSKFSKLLHLDLSYNSLSLKFDSKWVPPFQLNYLYLASCKLGPSFPGWLQTQNSLMWLDITDNELNDSVPAWFWNKLQNLEWLNMSHNNLNGAIPDIPLKLPYKPSIILNSNQFEGTLPPFLLQASELLFSKNTFSDLYSFLCNQIIAPNLATLDLSNNHITGQIPDCWQSLDQLLFLDLSKNKLSGNIPISMGKLVKLEALVLRKNNLTGGLHSSLKNCTKLIMVDVSENNLSGRIPSWIGESMQQLIILNMQGNHFSEHFPIQLCYLRHIQLLNLSGNKLSKAIPTCLKNFTAMSEKSINRTETQRLIYGNNISDWEIYGPFMFFDYTFDITSMWKGVEHVFRDPQLNLKSIDLSSNNFTGEIPKEVVYLFGIVSLNLSRNNLIGEIPSEIGNLSSLESLDLSRNHLHGKIPSSLSQLDFLGKLDLSYNSFSGRIPLGRHLQTFEDSSFEGNIDLCGEQLNKSCPGDHTTAKLKEAVEGDRDDFVFYEALYMSMGLGFFAGFWGLIGPILIWKPWRIAYLRFLNRLTTEIQLC >Vigun10g122400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32999987:33000605:1 gene:Vigun10g122400.v1.2 transcript:Vigun10g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGTLLEITVICCENLRVTEDPYVVDMPLHARSITFEVQSNRFKGLRPVGVARIALSDFLTPENSMHVLSYRLRDWEGRRNRVIFFAVRVAVPEPVKRMVVSMNSGDEFVEINQSNEVVVGVPVSVEPLHL >Vigun09g079100.2.v1.2 pep primary_assembly:ASM411807v1:9:9288742:9293753:-1 gene:Vigun09g079100.v1.2 transcript:Vigun09g079100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCAKADVVEDNSKKSSDGNRARKKEANQKKPNPFSDEPGRSAAPIRVLKDVIPMSHRTRISDKYILGRELGRGEFGITYLCTDRETKEALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYSERAAAAVARTIAEVVRMCHSNGVMHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGERFAEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVRRMLEPDPKKRLTAEQVLEHPWLQNAKKAPNVPLGDIVRSRLKQFSVMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGRVTYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFHKAFKFFDKDGSGYIESGELQEALADESGETDADVLHDIMREVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGITGQAVVV >Vigun09g079100.3.v1.2 pep primary_assembly:ASM411807v1:9:9288742:9293753:-1 gene:Vigun09g079100.v1.2 transcript:Vigun09g079100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCAKADVVEDNSKKSSDGNRARKKEANQKKPNPFSDEPGRSAAPIRVLKDVIPMSHRTRISDKYILGRELGRGEFGITYLCTDRETKEALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYSERAAAAVARTIAEVVRMCHSNGVMHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGERFAEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVRRMLEPDPKKRLTAEQVLEHPWLQNAKKAPNVPLGDIVRSRLKQFSVMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGRVTYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFHKAFKFFDKDGSGYIESGELQEALADESGETDADVLHDIMREVDTDKV >Vigun09g079100.1.v1.2 pep primary_assembly:ASM411807v1:9:9288693:9293816:-1 gene:Vigun09g079100.v1.2 transcript:Vigun09g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCAKADVVEDNSKKSSDGNRARKKEANQKKPNPFSDEPGRSAAPIRVLKDVIPMSHRTRISDKYILGRELGRGEFGITYLCTDRETKEALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYSERAAAAVARTIAEVVRMCHSNGVMHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGERFAEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVRRMLEPDPKKRLTAEQVLEHPWLQNAKKAPNVPLGDIVRSRLKQFSVMNRFKKRALRVIAEHLSVEEVEIIKDMFTLMDTDKDGRVTYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFHKAFKFFDKDGSGYIESGELQEALADESGETDADVLHDIMREVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGITGQAVVV >Vigun09g079100.4.v1.2 pep primary_assembly:ASM411807v1:9:9288742:9293753:-1 gene:Vigun09g079100.v1.2 transcript:Vigun09g079100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCAKADVVEDNSKKSSDGNRARKKEANQKKPNPFSDEPGRSAAPIRVLKDVIPMSHRTRISDKYILGRELGRGEFGITYLCTDRETKEALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYSERAAAAVARTIAEVVRMCHSNGVMHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGERFAEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVRRMLEPDPKKRLTAEQVLEHPWLQNAKKAPNVPLGDIVRSRLKQFSVMNRFKKRALRVSICPGLCLIVYSSLCD >Vigun03g279801.1.v1.2 pep primary_assembly:ASM411807v1:3:45779108:45779677:1 gene:Vigun03g279801.v1.2 transcript:Vigun03g279801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGATGGQKRKVIRGGGEGYFGEQQVFNWEKGNENNSSKRKLFPEKSNPRVREEGHLCLFRSPSDQCPSYAVPCIYDPILHYLTLLKLRVLPLSELLYKRK >Vigun03g279801.2.v1.2 pep primary_assembly:ASM411807v1:3:45779108:45779433:1 gene:Vigun03g279801.v1.2 transcript:Vigun03g279801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGATGGQKRKVIRGGGEGYFGEQKGNENNSSKRKLFPEKSNPRVREEGHLCLFRSPSDQCPSYAVPCIYDPILHYLTLLKLRVL >Vigun06g032000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13847829:13848308:1 gene:Vigun06g032000.v1.2 transcript:Vigun06g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKVVGVLMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMDLCVSGP >Vigun06g162300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28457987:28459304:-1 gene:Vigun06g162300.v1.2 transcript:Vigun06g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLTTSRSERFLGTYDYSNSRGSAAVDPSEFREEDIWSTGDDSGEPEWEPHVSSGSNGGNYRRRIPRETQDHRRVGGLSLAFEAPASGSSQRIVHQFRAREEMAATPRGRHVATSLPVNVPDWSKILRVDSVESMNDEEEDDESEMEPPHEYLARSQKMVANSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >Vigun05g176100.1.v1.2 pep primary_assembly:ASM411807v1:5:32543896:32549777:1 gene:Vigun05g176100.v1.2 transcript:Vigun05g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSNVSGTSLLVPSVQELAKQNLVTVPQRYIQPQNHQQMLHISQQPNVGSLQIPVIDMHRLLSQESGTSELDKLHLACKEWGFFQLINHGISSSMVEKVKLEMEDFFNLPMTEKEKFWQTPEHMEGFGQAFVFSEDQKLDWSDMFYMITRPKHSRMPHLFPQLPLPFRDTLKVYSEKMKDLAMDILGHLAKALGIEEVEIKELFENGLQMMRMNYYPPCPEPEKVIGLTNHSDPTALTILLQLNEQEGLQIRKDGMWVPVKPLPEAFIVNVGDILEIITNGTYRSVEHRATVNSEKERLSFGTFYSPREDAVIGPSPCLITDQTPPQFKSIRVDQYFKDFFARKLKGKSNRDNMKIDHPN >Vigun08g088000.1.v1.2 pep primary_assembly:ASM411807v1:8:19924296:19940745:1 gene:Vigun08g088000.v1.2 transcript:Vigun08g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYIFGVILPLLVTLLVRNKNPPKRRGVPVQTSGEAGLVIRNSRLESPVQSAWEGVATLAELFEEACKTHSERLFLGTRVVLARELESGPDGRSFEKLHLGDYGWLSYDTAFDVVSSFASGLAFLAHAREERAAIFADTRQEWFMALQGCFRRNVTVVTMYASLGEEALSYSLNETEVTTVICGRKELKTLVNISGQLDTVKRVICMDDDIPSDASSIAYNWTITSFAEVERLGRENPVEADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATLSAVMTIVPDIGTKDIYLAYLPMAHILELAAENLMAAVGVPIGYGSPLTLTDTSNKIKKGTKGDATALSPTLMAAVPAILDRVRDGVFKKVNATGGLPKKLFYLAYARRLQAVNGSWLGAWGLEKALWDFLVFKKVRAILGGRIRFILSGGAPLSGDTQKFINICLGAPIGQGYGLTETCAGGTFSDVDDTSVGRVGPPLPCSFIKLIDWPEGGYLTNDSPMPRGEIVIGGPNVTLGYFKNEEKTNESYKVDERGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPFVDNIMVHADPCHSYCVALVVGSQFTLEEWASKQGISYINFSDLCAKEETVKEVHASLVKEGKKVHLEKFEIPAKVKLLSDPWTPESGLVTAALKLKREAIKKTFNEELTKLYAS >Vigun03g032700.1.v1.2 pep primary_assembly:ASM411807v1:3:2523674:2527070:-1 gene:Vigun03g032700.v1.2 transcript:Vigun03g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLRYLLGSAGPSGFGSKSTAEQVTENRADLRFLTAIITGATSGIGAETARVLAKRGARLILPARSVKAAEDAKARIVSECPDSEIIVMALDLSSLNSVRSFVSQFHSLGLPLHLLINNAGKFAHEHAISEDGVEMTFATNYLGHFLLTKLLVKTMAETAKETGVQGRIVNVSSSIHGWFSGDAVSYLALISRNKSHYDATRAYALSKLANVFHTKELARRLQQMGANVTVNCVHPGIVRTRLTREREGLLTDLVFFLASKLLKTIPQAAATTCYVATHPRLSDISGKYFADCNETSTSKLGSNLAEATRLWATSEFMISRGPKAAFDLLKHLEF >Vigun09g130900.2.v1.2 pep primary_assembly:ASM411807v1:9:28910588:28916631:1 gene:Vigun09g130900.v1.2 transcript:Vigun09g130900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQENLLSSTLPSHLLSIDEELWQMAEERAQEILWTIEPNVLSEVNRKDVIDYVQKLIRGCYGSEVLPFGSVPLKTYLPDGDIDLTALSHEDAEEDLAQSVLNVLQSVDDPEYQVKDVQDIRAQVRLLKCTVKNIAVDISFNQMAGLYTLRFLEQVDQLVGKNHLFKRSIILIKAWCYYESRLLGGHHGLLSTYAIEILVLYIINRFHSSVRGPLEVLYTFLDYYGSFDWDHNYVSIWGPKALTSLPKIAETPECDQDGFLLQKEFLRNYKNMCAFPARASEPTAHEFPVKFMNILDPLRNDNNLGRSVNIASLHRLRFALSYGARRLKQILTLPGENMGAALEKFFFSTLDRNGKGERADVDVPVSPFGTGRSEECVLRGDCESYYGGLQYIQLYRNYTMPVTAHSSSPSRPSQDDIHALSRQQNWSMIYKGGTDVYIPGQTLYHPTYNLEEGGKSRGTGTYIPDLNYNSYWDIRARANRPRRYTSAKHIALPKSPPKKQQEEEVHYETDTSNGNSDSRFFDLSNEDFPLLPCNCKATESTQVQDYAPLANINSETAIYGNSSMSESSNKDLSLPLVKVHSETDIDGSTPRLFELFKEDFPLLPKVCSETLMDCSSMSVELSTKDYPFLQSNHKTICSESGQLTKHTKSFPSLKEAKWKGIEFGSFKKSQSLTEPSLSSKDEKPDCGVSLTENAVTVVPMVPTERKEKSRMINEKMY >Vigun09g130900.1.v1.2 pep primary_assembly:ASM411807v1:9:28910580:28916631:1 gene:Vigun09g130900.v1.2 transcript:Vigun09g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQENLLSSTLPSHLLSIDEELWQMAEERAQEILWTIEPNVLSEVNRKDVIDYVQKLIRGCYGSEVLPFGSVPLKTYLPDGDIDLTALSHEDAEEDLAQSVLNVLQSVDDPEYQVKDVQDIRAQVRLLKCTVKNIAVDISFNQMAGLYTLRFLEQVDQLVGKNHLFKRSIILIKAWCYYESRLLGGHHGLLSTYAIEILVLYIINRFHSSVRGPLEVLYTFLDYYGSFDWDHNYVSIWGPKALTSLPKIAETPECDQDGFLLQKEFLRNYKNMCAFPARASEPTAHEFPVKFMNILDPLRNDNNLGRSVNIASLHRLRFALSYGARRLKQILTLPGENMGAALEKFFFSTLDRNGKGERADVDVPVSPFGTGRSEECVLRGDCESYYGGLQYIQLYRNYTMPVTAHSSSPSRPSQDDIHALSRQQNWSMIYKGGTDVYIPGQTLYHPTYNLEEGGKSRGTGTYIPDLNYNSYWDIRARANRPRRYTSAKHIALPKSPPKKQQEEEVHYETDTSNGNSDSRFFDLSNEDFPLLPCNCKATESTQVQDYAPLANINSETAIYGNSSMSESSNKDLSLPLVKVHSETDIDGSTPRLFELFKEDFPLLPKVCSETLMDCSSMSVELSTKDYPFLQSNHKTICSESGQLTKHTKSFPSLKEAKWKGIEFGSFKKSQSLTEPSLSSKDEKPDCGVSLTENAVTVVPMVPTERKEKSRMINEKMY >Vigun01g154900.4.v1.2 pep primary_assembly:ASM411807v1:1:33760207:33763808:1 gene:Vigun01g154900.v1.2 transcript:Vigun01g154900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFAFVEFSDPRDAEDARYNLDGRDIEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKMSSRRGRSLSRSPVRSYSPRRGRSRDRSYNRERSYSRSRSPVRRARSPVSEDRSRSPRPSKIREHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPARNHHNERAYESPKSNGRSRSPTRSPRDDRSPIDEDDDNHRRSQSP >Vigun01g154900.2.v1.2 pep primary_assembly:ASM411807v1:1:33760207:33763807:1 gene:Vigun01g154900.v1.2 transcript:Vigun01g154900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDAEDARYNLDGRDIEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKMSRRGRSLSRSPVRSYSPRRGRSRDRSYNRERSYSRSRSPVRRARSPVSEDRSRSPRPSKIREHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPARNHHNERAYESPKSNGRSRSPTRSPRDDRSPIDEDDDNHRRSQSP >Vigun01g154900.3.v1.2 pep primary_assembly:ASM411807v1:1:33760207:33763808:1 gene:Vigun01g154900.v1.2 transcript:Vigun01g154900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFAFVEFSDPRDAEDARYNLDGRDIEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKMSRRGRSLSRSPVRSYSPRRGRSRDRSYNRERSYSRSRSPVRRARSPVSEDRSRSPRPSKIREHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPARNHHNERAYESPKSNGRSRSPTRSPRDDRSPIDEDDDNHRRSQSP >Vigun01g154900.1.v1.2 pep primary_assembly:ASM411807v1:1:33760207:33763807:1 gene:Vigun01g154900.v1.2 transcript:Vigun01g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDAEDARYNLDGRDIEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKMSSRRGRSLSRSPVRSYSPRRGRSRDRSYNRERSYSRSRSPVRRARSPVSEDRSRSPRPSKIREHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPARNHHNERAYESPKSNGRSRSPTRSPRDDRSPIDEDDDNHRRSQSP >Vigun01g154900.5.v1.2 pep primary_assembly:ASM411807v1:1:33760416:33763601:1 gene:Vigun01g154900.v1.2 transcript:Vigun01g154900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDKYNTRLYVGRLSHNTRSRDLERAFSRYGRVRGVDMKNDFAFVEFSDPRDAEDARYNLDGRDIEGSRIIVEFAKGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKMRRGRSLSRSPVRSYSPRRGRSRDRSYNRERSYSRSRSPVRRARSPVSEDRSRSPRPSKIREHSASPERGSPQKKSDISPRNEDRLVTQRDGSDFSDGPRGKSRSPASPARNHHNERAYESPKSNGRSRSPTRSPRDDRSPIDEDDDNHRRSQSP >Vigun03g239600.2.v1.2 pep primary_assembly:ASM411807v1:3:39964100:39968938:1 gene:Vigun03g239600.v1.2 transcript:Vigun03g239600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDEHQPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPLEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWIYAHLLTVGGAYRNSAPKTQITCRTDRAGIIGGAPWIRIPYPFQWGAPTFDAGEAFAMMTASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKGYGPVHTRARWFNDMMNVPFASEAFVGGTLALVLDVTLRKKDNQSRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun03g239600.1.v1.2 pep primary_assembly:ASM411807v1:3:39964621:39968982:1 gene:Vigun03g239600.v1.2 transcript:Vigun03g239600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDEHQPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPLEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWIYAHLLTVGGAYRNSAPKTQITCRTDRAGIIGGAPWIRIPYPFQWGAPTFDAGEAFAMMTASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKGYGPVHTRARWFNDMMNVPFASEAFVGGTLALVLDVTLRKKDNQSRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun03g239600.4.v1.2 pep primary_assembly:ASM411807v1:3:39964100:39968982:1 gene:Vigun03g239600.v1.2 transcript:Vigun03g239600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDEHQPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPLEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWIYAHLLTVGGAYRNSAPKTQITCRTDRAGIIGGAPWIRIPYPFQWGAPTFDAGEAFAMMTASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKGYGPVHTRARWFNDMMNVPFASEAFVGGTLALVLDVTLRKKDNQSRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun03g239600.5.v1.2 pep primary_assembly:ASM411807v1:3:39964100:39968901:1 gene:Vigun03g239600.v1.2 transcript:Vigun03g239600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDEHQPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPLEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWIYAHLLTVGGAYRNSAPKTQITCRTDRAGIIGGAPWIRIPYPFQWGAPTFDAGEAFAMMTASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKGYGPVHTRARWFNDMMNVPFASEAFVGGTLALVLDVTLRKKDNQSRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun03g239600.3.v1.2 pep primary_assembly:ASM411807v1:3:39964100:39968983:1 gene:Vigun03g239600.v1.2 transcript:Vigun03g239600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPQPKQDEHQPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPLEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYELGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWIYAHLLTVGGAYRNSAPKTQITCRTDRAGIIGGAPWIRIPYPFQWGAPTFDAGEAFAMMTASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKGYGPVHTRARWFNDMMNVPFASEAFVGGTLALVLDVTLRKKDNQSRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Vigun09g013000.1.v1.2 pep primary_assembly:ASM411807v1:9:971821:973301:-1 gene:Vigun09g013000.v1.2 transcript:Vigun09g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISQAKRALVFAVNRRGYAVASDVSSSSSMRGGMSGIEKGVAKNGSGPSSAWAPDPVTGYYRPINHRDEIDPVELRKMLLNHKGKSS >Vigun01g108700.1.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123021:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQDNNRLDSISEGLSYEDFGSE >Vigun01g108700.4.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123021:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQDNNRLDSISEGLSYEDFGSE >Vigun01g108700.2.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123021:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQDNNRLDSISEGLSYEDFGSE >Vigun01g108700.5.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123022:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQGKNHFHSPVFCLFCSSMLIPLLSWF >Vigun01g108700.7.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123021:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQDNNRLDSISEGLSYEDFGSE >Vigun01g108700.3.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123021:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQDNNRLDSISEGLSYEDFGSE >Vigun01g108700.6.v1.2 pep primary_assembly:ASM411807v1:1:28113636:28123022:-1 gene:Vigun01g108700.v1.2 transcript:Vigun01g108700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVRRVSRQDIQLVQNLIERCLQLYMSQKEVVETLLAQAKIEPGFTELVWQKLEEENEEFFKAYYARLVLKQQILQFNKLLDQQVQLMQLHSTAVASLPTTNGSHIPAVSSLPNSNGSHIPAMPENAACYTADRTQTSLKPENMQHSVDSRLSNVFNNGGSSLHTNMHAAVDMSAHGNRINGPPSMLSAQSANMGLIQGINGGMIKSEPGYSGCSPYMFGTDGNVLEPRPAIGGSSVTSFANVESNSHSLNDAVLDPDTSSFGFLGQIPRNFSLSDLTADFSQSSDILETYSRSPFLATDNENFLDRGEQGKNHFHSPVFCLFCSSMLIPLLSWF >Vigun07g283000.1.v1.2 pep primary_assembly:ASM411807v1:7:39697882:39699568:-1 gene:Vigun07g283000.v1.2 transcript:Vigun07g283000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLLHNLVVGTPVISATYDQIQKPVNRYLPGPATQCQRSTTKSKQMRVRTDISETVMRKLSLGARILRVGGVDNVFKQFFSVREGERLLKASQCYLSTTSGPLPGFLFISTDKVAFCSERSMKVFTHTGQMLRIRYKVAIPLKKIKCVNQSKNVQKPTQKYIEIVTEDNFDFWFMGVLKYQKTFKYLEQALSQA >Vigun09g130500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:28858811:28861461:1 gene:Vigun09g130500.v1.2 transcript:Vigun09g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPQRPPLPQHNAHTNPLSRLNQYVAKTRLGKWFKISQRNSTFTTELRAGTATFLTMAYILAVNASILADSGGTCSVSDCLPLCSDPSVPLSACNTSSLRVLHPDHSCKFDPVNPGYAACLENTRKDLIVATIASSLIGCFIMGTFANLPLGLAPGMGSNAYFAYTVVGFHGSGNVSYQSALAAVFIEGIIFLLISAIGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLIGYSPSTLLTLGACPSSSRASLAPVITVANGTVSLLPGGTVSGDILCLRDRMESPTLWLGLVGFVIIAYCLVKNVKGAMIYGIVFVTVVSWFRNTKVTAFPNTDAGNSAHQYFKKVVDVHLIKSTAGALSFKSIGKGYFWEAVVTFLYVDILDTTGTLYSMARFAGFTDDKGGFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGITALTVAAYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIDWEDMREAIPAFVTLILMPLTYSIAYGLIGGIGTYVVLNLWDWGREILGHFGVTKRRSVPPDEDSHRQHHHPERVNGVLQNQHSPQNPTSKALQLDP >Vigun04g132700.1.v1.2 pep primary_assembly:ASM411807v1:4:33289392:33291166:-1 gene:Vigun04g132700.v1.2 transcript:Vigun04g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFAAVENGRQYESKVTTFVLVSCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPSVYEKMKDESDHESQYCKFDNELLTLFTSSLYLAALVASFFASTTTRMMGRKASMFAGGLFFLVGALLNGFAINIEMLIVGRLLLGFGVGYCNQSVPVYLSEMAPTSIRGALNMGFQMMITIGILVANLINYGTGKLEYGWRISLGVGAVPAIMLCVGSLFLGDTPNSLLERGKEEEAKKMLQKIRGMDNVDEEFQDLVNATKAAKDVEHPWKNITKPKYRPQLTFCSLIPFFQQFTGINVIMFYAPVLFKTLGFGNDTSLMSSVITGGVNVVATFVSIFSVDKFGRRILFLEGGIQMLICQLAVGIMIALKFGVSGEGYFTNGEASLLLFFICAYVAAYAWSWGPLGWLVPSEICSLEVRSAGQGTNVAVNMLFTFVIAQVFLTMLCHLKFGLFFFFAGFVLIMTVFVVLLLPETRNVRIEEMNRVWKSHWFWGKYIPDDIAIGGHPHITTV >Vigun06g151800.1.v1.2 pep primary_assembly:ASM411807v1:6:27624646:27627560:1 gene:Vigun06g151800.v1.2 transcript:Vigun06g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHICISHSFLFHNHQSFPSSSSSSSSSFSLHNFLFSNFASSFKRFTLLSKASLHHPNTHAPHQDAVSRDVDTNSSSSSKSRVWVNPSSSRAKHFRTKSSTSRHSYLTRLAESLNSCIPTAQHVSTILKGLGDNVSESDAVFILDKMVNSVTAPFVLRYFLDKIKPSGDTEVILFNITLKTFRKSRDFEGAEKLFDEMIQRGVKPDNITFSTLINSARMCSLPNKAVEWFEKLPSFGCEPDAMLYSAMVSAYAQTNNVDMALRLYDRAKAERWSLDASTFSSLIKMHGVLGNYDEGLRIFQEMKALGVKPSVVTYNTLLGGLFKARRSWQAKNIYKEMVTDGVLPDFITYSTLLRIYAAAQYSDGAFGVYEEMKRHGMDMSVDLYNRLLAMCANVGCIEEAVEIFEDMKSSGTCQPNSLSFSSLITVYSCNGMVSEAEGTLNEMVQSGFQPTIYVLTSLVQCYGKAKRTDDVVKIFKQLVDLHIVPDVQFCCCLLNVMTQTPKEELGKIIECIEKANTQLGSVIRYLVEEKEDDGNFRKEISELLNSIDVKAKKPLCNCLIDLCVRLNEPNRARDLLELGLTLEIYKNLQSRSQNQWSLHLKKLSVGAAMTALNVWINDLSKALESGEDLPPLLGVNTGYGKHKYVSDKGLASVCESHLRELNAPFHEAPDKAGWFLVTKDAAKSWLECRSSTESIADLNFQVSETEELISRLGRSLCSCSRV >Vigun03g258500.2.v1.2 pep primary_assembly:ASM411807v1:3:42462566:42468668:1 gene:Vigun03g258500.v1.2 transcript:Vigun03g258500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFLEALEHQLLKDRKKNVRKRPLQGGNCLPPVPTTVSNQGRASGNEARAVKLVLVDSQNILKLGPSKSSMKRNINMGVSRSTTKGDSNTMKSTRQRKKSGMISTAAYRKWEKAAIAGVSLVADAAEHLERAATVREIEQDQENPGEKCSDPTDYVLPSLPSCPQNQFVDNNVNSMKLKLQLFPIDEPTRRALEVDKHNPHLELTLSTRKKISSILEHLNRKWGHSSIAVGELMLFPYGVQRENLFNYQRWTQESTLSAADIYAMVGSPPIFRLRYGWFSNSELGLLNMQVPVASGSVLTQSKSSVYNSKDQIVNSVSFPTPSANTHSMELSEGCRISMNRNHTLMTSSSGVPNTRGNNAYLNTSSEESRRPTANTSWHEKDVRDGAVTTQLEDLDELRLSGGTGLSAGEWADSLTNISVGDLLSGVSQDLEDDCINRPIAENCHDVQQIPFSSDSFDAAIAAHISRHQDKMGQSSLAAHMSSIWDAEETCDAFLFRKDPILHADRPCLSPLASFESEKKVPERSFEKLDELSPEREGLGDDFVQSDRMPMDSSESDADIQDHLGKDFSALADIYWPDSLGPLDLDIPSSTKYHTGDLIFSDSLSGLNRLIASSLDAFQNCSFFGFDKKEVPSTVEARESATLSDFKIGSGI >Vigun03g258500.3.v1.2 pep primary_assembly:ASM411807v1:3:42461628:42468668:1 gene:Vigun03g258500.v1.2 transcript:Vigun03g258500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQISHDSNTQFQPEPVVSVQNGDPGVSSSVANVVIPQQPATKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFLEALHQLLKDRKKNVRKRPLQGGNCLPPVPTTVSNQGRASGNEARAVKLVLVDSQNILKLGPSKSSMKRNINMGVSRSTTKGDSNTMKSTRQRKKSGMISTAAYRKWEKAAIAGVSLVADAAEHLERAATVREIEQDQENPGEKCSDPTDYVLPSLPSCPQNQFVDNNVNSMKLKLQLFPIDEPTRRALEVDKHNPHLELTLSTRKKISSILEHLNRKWGHSSIAVGELMLFPYGVQRENLFNYQRWTQESTLSAADIYAMVGSPPIFRLRYGWFSNSELGLLNMQVPVASGSVLTQSKSSVYNSKDQIVNSVSFPTPSANTHSMELSEGCRISMNRNHTLMTSSSGVPNTRGNNAYLNTSSEESRRPTANTSWHEKDVRDGAVTTQLEDLDELRLSGGTGLSAGEWADSLTNISVGDLLSGVSQDLEDDCINRPIAENCHDVQQIPFSSDSFDAAIAAHISRHQDKMGQSSLAAHMSSIWDAEETCDAFLFRKDPILHADRPCLSPLASFESEKKVPERSFEKLDELSPEREGLGDDFVQSDRMPMDSSESDADIQDHLGKDFSALADIYWPDSLGPLDLDIPSSTKYHTGDLIFSDSLSGLNRLIASSLDAFQNCSFFGFDKKEVPSTVEARESATLSDFKIGSGI >Vigun03g258500.1.v1.2 pep primary_assembly:ASM411807v1:3:42461628:42468668:1 gene:Vigun03g258500.v1.2 transcript:Vigun03g258500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQISHDSNTQFQPEPVVSVQNGDPGVSSSVANVVIPQQPATKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFLEALEHQLLKDRKKNVRKRPLQGGNCLPPVPTTVSNQGRASGNEARAVKLVLVDSQNILKLGPSKSSMKRNINMGVSRSTTKGDSNTMKSTRQRKKSGMISTAAYRKWEKAAIAGVSLVADAAEHLERAATVREIEQDQENPGEKCSDPTDYVLPSLPSCPQNQFVDNNVNSMKLKLQLFPIDEPTRRALEVDKHNPHLELTLSTRKKISSILEHLNRKWGHSSIAVGELMLFPYGVQRENLFNYQRWTQESTLSAADIYAMVGSPPIFRLRYGWFSNSELGLLNMQVPVASGSVLTQSKSSVYNSKDQIVNSVSFPTPSANTHSMELSEGCRISMNRNHTLMTSSSGVPNTRGNNAYLNTSSEESRRPTANTSWHEKDVRDGAVTTQLEDLDELRLSGGTGLSAGEWADSLTNISVGDLLSGVSQDLEDDCINRPIAENCHDVQQIPFSSDSFDAAIAAHISRHQDKMGQSSLAAHMSSIWDAEETCDAFLFRKDPILHADRPCLSPLASFESEKKVPERSFEKLDELSPEREGLGDDFVQSDRMPMDSSESDADIQDHLGKDFSALADIYWPDSLGPLDLDIPSSTKYHTGDLIFSDSLSGLNRLIASSLDAFQNCSFFGFDKKEVPSTVEARESATLSDFKIGSGI >Vigun07g254100.1.v1.2 pep primary_assembly:ASM411807v1:7:37254714:37256315:1 gene:Vigun07g254100.v1.2 transcript:Vigun07g254100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGITKSNLLLLGGAKRAKSGLSKFSATARASAAESSGEISKSGGGRVEDSAWWVPHPRTGIYFPKGHEWVMEDVPEDAARLNQTFWFRNVDGVDIPKHQP >Vigun01g126200.5.v1.2 pep primary_assembly:ASM411807v1:1:30322897:30329233:-1 gene:Vigun01g126200.v1.2 transcript:Vigun01g126200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEDQQKLPDLVKELVHRLLSQNLPSNSPPLNPNSAEFRNSLRYALRILSSRLTPSVAPDAAAIADSIKRRLATHARSADALSFADLFSKFASKAQSVNNKWAVIYLLKIISEDRHKTASIPATTTPLLPNLAFSEPASNKGWSNGVLLVSKDPENRREVAFREFVNLVKEENEVSEEVIVRDVLYACQGVDGRFVNFESESKRYVIPDSVRVPRGTRSMVHNLCELGVLFRKVSGYISQSMDRFPNEDVGTVGQAFCSALQDELSEYYKLLAVLEAQASNPIPLVSESASSGNYLSLRRLAVWLAEPMVKMRLMADLVEKCRVLRGGAMAGAIHLHAQHGDPMVHEFMRRLLQRVCSSLFEMVRRWVLEGELEDIFSEFFIVGQPVKAESLWREGYRLHDAMLPSFIPPSLAQRILRTGKSINFLRVCCEDRGWADAATEVITDNEVTARRGGFGYGETDTLELLVDKAAKRIDKHLLDVIFKRYKFKEHCLAIKQYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLETAIRASNAQYEDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALTGAWKTMKPNCITSNSFTRLQHAVKMQLVSTLRRCQVLWVEINHFISNIQYYIMFEVLEISWSNFLAEMEVAKDLDDLLAAHEKYLHSIVEKSLLGDLSQSLYKSLLVIFDLILRFRSRADRLYEGIHELQARITESSLSSRDQNKTRSRKQLNDKTEEPGSWIVDGRKALTQRAGEFLRNMEQDLDAIAKEYSSLQEEFISQLPIQQHVDLKFLFFRLDFNEFYRRLCPSV >Vigun01g126200.4.v1.2 pep primary_assembly:ASM411807v1:1:30322897:30329234:-1 gene:Vigun01g126200.v1.2 transcript:Vigun01g126200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEDQQKLPDLVKELVHRLLSQNLPSNSPPLNPNSAEFRNSLRYALRILSSRLTPSVAPDAAAIADSIKRRLATHARSADALSFADLFSKFASKAQSVNNKWAVIYLLKIISEDRHKTASIPATTTPLLPNLAFSEPASNKGWSNGVLLVSKDPENRREVAFREFVNLVKEENEVSEEVIVRDVLYACQGVDGRFVNFESESKRYVIPDSVRVPRGTRSMVHNLCELGVLFRKVSGYISQSMDRFPNEDVGTVGQAFCSALQDELSEYYKLLAVLEAQASNPIPLVSESASSGNYLSLRRLAVWLAEPMVKMRLMADLVEKCRVLRGGAMAGAIHLHAQHGDPMVHEFMRRLLQRVCSSLFEMVRRWVLEGELEDIFSEFFIVGQPVKAESLWREGYRLHDAMLPSFIPPSLAQRILRTGKSINFLRVCCEDRGWADAATEVITDNEVTARRGGFGYGETDTLELLVDKAAKRIDKHLLDVIFKRYKFKEHCLAIKQYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLETAIRASNAQYEDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALTGAWKTMKPNCITSNSFTRLQHAVKMQLVSTLRRCQVLWVEINHFISNIQYYIMFEVLEISWSNFLAEMEVAKDLDDLLAAHEKYLHSIVEKSLLGDLSQSLYKSLLVIFDLILRFRSRADRLYEGIHELQARITESSLSSRDQNKTRSRKQLNDKTEEPGSWIVDGRKALTQRAGEFLRNMEQDLDAIAKEYSSLQEEFISQLPIQQHVDLKFLFFRLDFNEFYRRLCPSV >Vigun01g126200.2.v1.2 pep primary_assembly:ASM411807v1:1:30322078:30329233:-1 gene:Vigun01g126200.v1.2 transcript:Vigun01g126200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEDQQKLPDLVKELVHRLLSQNLPSNSPPLNPNSAEFRNSLRYALRILSSRLTPSVAPDAAAIADSIKRRLATHARSADALSFADLFSKFASKAQSVNNKWAVIYLLKIISEDRHKTASIPATTTPLLPNLAFSEPASNKGWSNGVLLVSKDPENRREVAFREFVNLVKEENEVSEEVIVRDVLYACQGVDGRFVNFESESKRYVIPDSVRVPRGTRSMVHNLCELGVLFRKVSGYISQSMDRFPNEDVGTVGQAFCSALQDELSEYYKLLAVLEAQASNPIPLVSESASSGNYLSLRRLAVWLAEPMVKMRLMADLVEKCRVLRGGAMAGAIHLHAQHGDPMVHEFMRRLLQRVCSSLFEMVRRWVLEGELEDIFSEFFIVGQPVKAESLWREGYRLHDAMLPSFIPPSLAQRILRTGKSINFLRVCCEDRGWADAATEVITDNEVTARRGGFGYGETDTLELLVDKAAKRIDKHLLDVIFKRYKFKEHCLAIKQYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLETAIRASNAQYEDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALTGAWKTMKPNCITSNSFTRLQHAVKMQLVSTLRRCQVLWVEINHFISNIQYYIMFEVLEISWSNFLAEMEVAKDLDDLLAAHEKYLHSIVEKSLLGDLSQSLYKSLLVIFDLILRFRSRADRLYEGIHELQARITESSLSSRDQNKTRSRKQLNDKTEEPGSWIVDGRKALTQRAGEFLRNMEQDLDAIAKEYSSLQEEFISQLPIQQHVDLKFLFFRLDFNEFYRRLCPSV >Vigun01g126200.3.v1.2 pep primary_assembly:ASM411807v1:1:30323380:30329233:-1 gene:Vigun01g126200.v1.2 transcript:Vigun01g126200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEEDQQKLPDLVKELVHRLLSQNLPSNSPPLNPNSAEFRNSLRYALRILSSRLTPSVAPDAAAIADSIKRRLATHARSADALSFADLFSKFASKAQSVNNKWAVIYLLKIISEDRHKTASIPATTTPLLPNLAFSEPASNKGWSNGVLLVSKDPENRREVAFREFVNLVKEENEVSEEVIVRDVLYACQGVDGRFVNFESESKRYVIPDSVRVPRGTRSMVHNLCELGVLFRKVSGYISQSMDRFPNEDVGTVGQAFCSALQDELSEYYKLLAVLEAQASNPIPLVSESASSGNYLSLRRLAVWLAEPMVKMRLMADLVEKCRVLRGGAMAGAIHLHAQHGDPMVHEFMRRLLQRVCSSLFEMVRRWVLEGELEDIFSEFFIVGQPVKAESLWREGYRLHDAMLPSFIPPSLAQRILRTGKSINFLRVCCEDRGWADAATEVITDNEVTARRGGFGYGETDTLELLVDKAAKRIDKHLLDVIFKRYKFKEHCLAIKQYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLSGLLETAIRASNAQYEDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALTGAWKTMKPNCITSNSFTRLQHAVKMQLVSTLRRCQVLWVEINHFISNIQYYIMFEVLEISWSNFLAEMEVAKDLDDLLAAHEKYLHSIVEKSLLGDLSQSLYKSLLVIFDLILRFRSRADRLYEGIHELQARITESSLSSRDQNKTRSRKQLNDKTEEPGSWIVDGRKALTQRAGEFLRNMEQDLDAIAKEYSSLQEEFISQLPIQQHVDLKFLFFRLDFNEFYRRLCPSV >Vigun08g072500.2.v1.2 pep primary_assembly:ASM411807v1:8:11941678:11945738:-1 gene:Vigun08g072500.v1.2 transcript:Vigun08g072500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDQNHATGVPSSIHRTLGKTSKVQDDDGKGSGQFSDHSLAKAFLDSREFIEKKSCSVCSSNPALFGLCCNHSEEESCGNACDENPLAIVSVQANDSVSKPGWPLLHKTITSDRKCSERSLFRQISVVQWAMQLPSRNLSYAAHLEQNTNNCGPNKDQFLGLDSKSGALVPVDAEIGTPSVPEHNSRSIPKELDGLHERYSSSCRLFKYQELVLATSNFLPENLIGKGGSSQVYRGCLPDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCFEDGNLLLVYDFLSRGSLEENIHGNKKNPPVFGWTERYKVAMGVAEALEYLHNNEGQSVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASTSSSHIICTDVAGTFGYMAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSDYPKGQESLVMWANPILNSGKVLQLLDPSLGDNYDPEEMERMVLAATLCIRRAPRARPQMSLIKKVLGGDGEVMKWARLEVNAVEAAEMGDDEACPPPSNLQSHLNLALRDVEDDSLSMCSVEQNISLEDYLRGRWSRSSSFD >Vigun08g072500.1.v1.2 pep primary_assembly:ASM411807v1:8:11941678:11945738:-1 gene:Vigun08g072500.v1.2 transcript:Vigun08g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPTSTAGEASHGGRTVVVGVKMDSHSTELLTWALFKVAQPCDVVLALHVLGNDEIVNRDGKSSLFSLVKAFDSVLAVYEGFCNLKQVDLKFKICRGSSVRRILVREANAYSATHIIVGSPQGLHRIRPYISVARYCAKKLPRDCCVLAVNNGKIVFKRDGSPVTAADMKGVDQNHATGVPSSIHRTLGKTSKVQDDDGKGSGQFSDHSLAKAFLDSREFIEKKSCSVCSSNPALFGLCCNHSEEESCGNACDENPLAIVSVQANDSVSKPGWPLLHKTITSDRKCSERSLFRQISVVQWAMQLPSRNLSYAAHLEQNTNNCGPNKDQFLGLDSKSGALVPVDAEIGTPSVPEHNSRSIPKELDGLHERYSSSCRLFKYQELVLATSNFLPENLIGKGGSSQVYRGCLPDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCFEDGNLLLVYDFLSRGSLEENIHGNKKNPPVFGWTERYKVAMGVAEALEYLHNNEGQSVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASTSSSHIICTDVAGTFGYMAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSDYPKGQESLVMWANPILNSGKVLQLLDPSLGDNYDPEEMERMVLAATLCIRRAPRARPQMSLIKKVLGGDGEVMKWARLEVNAVEAAEMGDDEACPPPSNLQSHLNLALRDVEDDSLSMCSVEQNISLEDYLRGRWSRSSSFD >Vigun03g400700.3.v1.2 pep primary_assembly:ASM411807v1:3:60762295:60763068:1 gene:Vigun03g400700.v1.2 transcript:Vigun03g400700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVSKVSGDIISSNFDRIRPPPPSPPDSSLLLATRPPRHAVSYWTCSKLCAICFVAGMVFGYSLRGRVKRWASNILKKLN >Vigun03g400700.4.v1.2 pep primary_assembly:ASM411807v1:3:60762340:60762723:1 gene:Vigun03g400700.v1.2 transcript:Vigun03g400700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVSKVSGDIISSNFDRIRPPPPSPPDSSLLLATRPPRHAVSYWTCSKLCAICFVAGMVFGYSLRGRVKRWASNILKKLN >Vigun03g400700.2.v1.2 pep primary_assembly:ASM411807v1:3:60762295:60763068:1 gene:Vigun03g400700.v1.2 transcript:Vigun03g400700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVSKVSGDIISSNFDRIRPPPPSPPDSSLLLATRPPRHAVSYWTCSKLCAICFVAGMVFGYSLRGRVKRWASNILKKLN >Vigun02g158500.1.v1.2 pep primary_assembly:ASM411807v1:2:30411908:30414928:-1 gene:Vigun02g158500.v1.2 transcript:Vigun02g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLFQAKCIKQMEALTRRCISRWSITPYARYSDTSQKKSKLAPLQERRMIDQCKIFAKAGDGGSGCSSLRKGRPDGGNGGRGGDVILECSPRVWDFSGLQRHLIAEKGGPGSSKKLIGSRGADKVARVPIGTVLHLVNGDIPSVVKTQSSTDVDPWDIPGALVDDSPDTGYGSTSSDTLGEVKATHSIGCSSTQAEETNAKKCDKSRDVASTDAFSQLSTSNGAPEFCTEDIEENQEIIYNVAELTEEGQQIVIARGGEGGLGNVSSSKDSRKSMVMKAEACQHIANLRDPNSIHSYLLAGSPGVETVLILELKSIAHVSFVGMPNAGKSTLLGAISRAKPAVGDYAFTTLRPNLGNLNYDDLSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALNGRKGIPPWEQLRELILELEYHQDGLSNRPSLIVANKIDEEGADEVYNELKKRVQGVPIFPVCAVLGDGIPDLKAGLKMLVNAETSYKLCLDQILVA >Vigun02g158500.2.v1.2 pep primary_assembly:ASM411807v1:2:30411908:30414928:-1 gene:Vigun02g158500.v1.2 transcript:Vigun02g158500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLFQAKCIKQMEALTRRCISRWSITPYARYSDTSQKKSKLAPLQERRMIDQCKIFAKAGDGGSGCSSLRKGRPDGGNGGRGGDVILECSPRVWDFSGLQRHLIAEKGGPGSSKKLIGSRGADKVARVPIGTVLHLVNGDIPSVVKTQSSTDVDPWDIPGALVDDSPDTGYGSTSSDTLGEVKATHSIGCSSTQAEETNAKKCDKSRDVASTDAFSQLSTSNGAPEFCTEDIEENQEIIYNVAELTEEGQQIVIARGGEGGLGNVSSSKDSRKSMVMKAEACQHIANLRDPNSIHSYLLAGSPGVETVLILELKSIAHVSFVGMPNAGKSTLLGAISRAKPAVGDYAFTTLRPNLGNLNYDDLSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALNGRKGIPPWEQLRELILELEYHQDGLSNRPSLIVANKIDEEGADEVYNELKKRVQGVPIFPVCAVLGDGIPDLKAGLKMLVNAETSYKLCLDQILVA >Vigun02g158500.3.v1.2 pep primary_assembly:ASM411807v1:2:30412030:30414755:-1 gene:Vigun02g158500.v1.2 transcript:Vigun02g158500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLFQAKCIKQMEALTRRCISRWSITPYARYSDTSQKKSKLAPLQERRMIDQCKIFAKAGDGGSGCSSLRKGRPDGGNGGRGGDVILECSPRVWDFSGLQRHLIAEKGGPGSSKKLIGSRGADKVARVPIGTVLHLVNGDIPSVVKTQSSTDVDPWDIPGALVDDSPDTGYGSTSSDTLGEVKATHSIGCSSTQAEETNAKKCDKSRDVASTDAFSQLSTSNGAPEFCTEDIEENQEIIYNVAELTEEGQQIVIARGGEGGLGNVSSSKDSRKSMVMKAEACQHIANLRDPNSIHSYLLAGSPGVETVLILELKSIAHVSFVGMPNAGKSTLLGAISRAKPAVGDYAFTTLRPNLGNLNYDDLSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALNGRKGIPPWEQLRELILELEYHQDGLSNRPSLIVANKIDEEGADEVYNELKKRVQGVPIFPVCAVLGDGIPDLKAGLKMLVNAETSYKLCLDQILVA >Vigun08g111200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27577068:27578764:-1 gene:Vigun08g111200.v1.2 transcript:Vigun08g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDSSPRSRDPDADNHHHHHSFDEPPPSLHSKVKLMCSYGGRIQPRPHDNHLTYVAGDTKILAVDRHIKLPALLTRLSSLANAPADATFFKYQLPGEDLDALISVTNDEDLHHMMLEYDRLSRTSPRPARLRLFLFSLHNNSNGNGNINFALTDSKPERQWFVDALNSVQVPLVEGSPPPPTAAPNPDFLFGLDKPPSASNTPAKNPTPETECISEDRPAVRDPETETERAPESEIRRLQIGNNNEQQLLQQKMKIEEDNNGGVHGVNGAEGFSQKNTENVTPLVTQGQMQAQGFHSGTVSFLQERNNVGYSLAVPTTGSEIYLIQTPSGIFQAVRPVTGPVGQPVYLVPAPSSVGVAAERGYSAGQGAARW >Vigun05g212200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40310669:40313562:1 gene:Vigun05g212200.v1.2 transcript:Vigun05g212200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIELPVNGAVLSLQQDNGSMHVGTSVWPCSLVLVKFMERWASPSDNNPYARVLDFGGKRAVELGTGCGVAGMGLFLLGLTDLVLTDIAPVMPALKRNLKVNKPILRKNLKHSVLYWNNAQQIAALNPPFDFVVATDVVYIRESVPSLVSAMEALVSDDGVVLLGYQLRAPEAHELFWELCDKAFHIEKVPHEHLHPDYAYEEADVFLLRKKRRSCERVSESDG >Vigun05g212200.1.v1.2 pep primary_assembly:ASM411807v1:5:40310669:40313562:1 gene:Vigun05g212200.v1.2 transcript:Vigun05g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIELPVNGAVLSLQQDNGSMHVGTSVWPCSLVLVKFMERWASPSDNNPYARVLDFGGKRAVELGTGCGVAGMGLFLLGLTDLVLTDIAPVMPALKRNLKVNKPILRKNLKHSVLYWNNAQQIAALNPPFDFVVATDVVYIRESVPSLVSAMEALVSDDGVVLLGYQLRAPEAHELFWELCDKAFHIEKVPHEHLHPDYAYEEADVFLLRKKRRSCERGVMECGSPWCLCQSSK >Vigun05g212200.2.v1.2 pep primary_assembly:ASM411807v1:5:40310669:40313562:1 gene:Vigun05g212200.v1.2 transcript:Vigun05g212200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIELPVNGAVLSLQQDNGSMHVGTSVWPCSLVLVKFMERWASPSDNNPYARVLDFGGKRAVELGTGCGVAGMGLFLLGLTDLVLTDIAPVMPALKRNLKVNKPILRKNLKHSVLYWNNAQQIAALNPPFDFVVATDVVYIRESVPSLVSAMEALVSDDGVVLLGYQLRAPEAHELFWELCDKAFHIEKVPHEHLHPDYAYEEADVFLLRKKRRSCERVSESDGV >VigunL050800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:10145:11413:1 gene:VigunL050800.v1.2 transcript:VigunL050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGNPCRQNLVWRVGRRAMQQIKPSGRLMLATGIVGAAFCLRLVFASLSVRLPEVVHETGMTAWQVGLLTTLPVLCLGLCAPLAPRLAHRLGTERALFGALALIGLGTAGRALGAVWALFAFSILAGAAIAIANVLLPTLVKRDFEDRTAIVTGAYVTAISGGAALAAAVTVPIETALGGGWRVGLSIWAAPVVGALLLWSLQLGRKVERDDLVVEPVVGLWRDRLAWSVALFMGLQSALAFCVLGWLAPILRERGLDAVTAGLVLSVLIVVQLATSLTVPSLATRKPAQSMIAVVLSVAAAAGLLGLLLAPLSGVWVWAVIQGLAQGGLFALALTMVLLRSANSHVASHLSGMAQSVGYVPAAFAPLSVGLVRQWTGGFQAVAGLVVVIGAGLVITGLIAGRASLVSAQVSPTSIKLARA >Vigun03g118900.1.v1.2 pep primary_assembly:ASM411807v1:3:11080559:11081143:1 gene:Vigun03g118900.v1.2 transcript:Vigun03g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKIAEAECYKPSAHFKGACFQSDNCNAQCTSEGHPGGECQGLFPRRCMCIC >Vigun04g068950.1.v1.2 pep primary_assembly:ASM411807v1:4:7964309:7965617:1 gene:Vigun04g068950.v1.2 transcript:Vigun04g068950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTFRLPPTEPRGKKIRSIRSRSPERPNSNLFRDPQRQERYEKIKHWVFIKERKVVLLLDEYDPFLNGLIRRNWMKLADPLPMSNPEIVKQFYANSYYEDSPREKRSKVRGRKRRSQEPYDENEVSRNICTPNRSYQVGPTRNPLRILRGDMKTLAQVWTTILLANIVPISHVLDLNVPRCHLLYCIIREDLTVDVATIISEEIHKFVRYEVNKNTEKAKGALGFSALITALCQDQGVEVELTEKIQPHITKKFIEHFCTHPEGLEQPEMPQLDQQAENNHLTFPGGSGPAAGEGPSRAADKDDFAAETNGVDIEEEIDFDREIGGD >Vigun02g079200.1.v1.2 pep primary_assembly:ASM411807v1:2:23159703:23166049:1 gene:Vigun02g079200.v1.2 transcript:Vigun02g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLVFWLLGLLLLQLMEISSAALSPSGINYEVVALMAIKGDLTDPHNVLENWDSSSVDPCSWRMVTCSTDGSVSVLGFPSQNLSGTLSPGIGNLTNLQSVLLQNNAISGRIPPSIGNLKKLQTLDLSNNAFSGEIPSSLGGLKNLNYLRLNNNSLTGSCPQSLSNIEGLTLVDLSYNNLSGSLPRISARTLKIVGNPLICGPKANNCSSVLPEPLSFPPDALRGQSDSGKKSHHVALAFGASFGAAFVIVIIVGFLVWWRYRRNQQIFFDVNEHYDPEVRLGHLKRFSFKELRTATDHFNSKNILGRGGFGIVYKACLNDGSVVAVKRLKDYNAAGGEIQFQTEVETISLAVHRNLLRLSGFCSTQHERLLVYPYMSNGSVASRLKDHIHGHPALDWSRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDFGRAANQKGVMLDWVKKLHQDGRLSQMVDKDLKGNFDMIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAERWEASQRIETPRFRSCEPQRYSDLIEESSLIIEAMELSGPR >Vigun05g187800.1.v1.2 pep primary_assembly:ASM411807v1:5:36344922:36351212:-1 gene:Vigun05g187800.v1.2 transcript:Vigun05g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLTSHRSIFLILWIVILCGHAHARFFSRDPLSDGVSANTGEGLLRLPSAITAESSCEQTYGFLPCTTTVIGNLFLIIVYGFLMFKAATFLSGGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSKETAQSQVSVGMGLLAGSTVLLLTIIWGTCVIVGKCDIENSIAIDSRDTRGFSLTGSGVSTDIWTSYAARIMVISVLPFLIVQLPQILNSTSGRHLAVLIALIVSLCLLASYCLYQIFQPWIQRRKLEYIKHKHVILGFLRNLNALERLLNDNGEPDEEVIKKLFATIDENQDGSLTHNELRALVIGIQFEEIDLDHDDAVKRIMNDFDTSGNELVDRDEFVNGVSRWLRRALRTRVASGDAGQHTMKFLSDFHTETKREHDLLNVGDQSTEEAEGTENAKWTSIKAVLLLLLGTIIAAAFADPLVDAVDNFSEATSIPAFFISFIALPLATNSSEAVSAIIFASRDKRQTASLTFSELYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILVVCIVVGVFASFRTVFPLWTSIAAILLYPFSLALVYVLDYVFGWS >Vigun06g184600.1.v1.2 pep primary_assembly:ASM411807v1:6:30403724:30405208:-1 gene:Vigun06g184600.v1.2 transcript:Vigun06g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDKSPEPTPAKGPEPTPGKAMGVGQHMIDKGAMMIQSLEPIKQISHHTCTFAIYSHDMSRQIETHHYCSRLHQNFIQCAVYDSDKSDARLLGVEYIVSDDIFETMPPEEQKLWHSHAYEVKSGLLVNPRVPELIAKPDLENLARTYGKFWCTWQADRGDRLPMGAPALMMSPQAASPGVVRAELVQERDAKYGICSESLKSSRVEIPEPEMINPLADYWKQHGKGFAIDIEDTEMKLRAPFP >VigunL056400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000424.1:63206:63374:-1 gene:VigunL056400.v1.2 transcript:VigunL056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQARFEFIKSAFIFSSPSKKGPSSNWRFESSF >Vigun09g179250.1.v1.2 pep primary_assembly:ASM411807v1:9:35159936:35161215:1 gene:Vigun09g179250.v1.2 transcript:Vigun09g179250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNPPKPHFRKGHHRCEGKKKEEEKMIIIVWKHRMGKDV >Vigun11g057000.1.v1.2 pep primary_assembly:ASM411807v1:11:11403833:11419688:1 gene:Vigun11g057000.v1.2 transcript:Vigun11g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEALVAQIQGLSSTSSDVNRLQVILKQADDSLRSKSTRLPPLLTQLDPSIHSLGFLYILDAYMTSPITKTQAETAVPIVTRFIGACNDQIRLAPEKFLSVCRRLKDQVMLLEAPIRGVAPLFTALRKVQVSAEHLTPLHSEFLLLCLLSKCYKTGLSILDDDVFEVKHPRDFFLYCYYGGMICIGMKCFQKALDLLHNVVTAPMSVINAIAVEAYKKYILVSLIRNGQFSTSLPKYSSSAAQRNLKNFCQPYVELANTYGNGKIAELEAFVKTNAEKFESDSNLGLAKQVVSSMYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRVMALSRKLTGMDEQISCDQLYLSKTGRERQRYDFDDFDVPQKFNI >Vigun02g128000.1.v1.2 pep primary_assembly:ASM411807v1:2:28003587:28007970:1 gene:Vigun02g128000.v1.2 transcript:Vigun02g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSISQTPFTLFQGSNCLKRRNLKNTSLPHFFSPSRSLLCSGFLSRRPLSRRNSFWVSKTMDGSVRTSAFRDGEGDENIQVFEQEAFIDGPSPLQSKFLSSEVEYKLNRLSKWIVTALFGGFILWRHDAEALWFTSGSILNALLSVLLKRILNQERPSTLKSDPGMPSSHAQSIFFAVFFVILSGVEWLGLNVFTIAISGLVLTFGSFFSYLRVSQQLHTVSQVVVGAVIGSIFSILWYWLWNGFILDAFVSSLWVRIVVVLGSVGLCIGFVLFTIRYWLQDD >VigunL009902.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:25347:27177:-1 gene:VigunL009902.v1.2 transcript:VigunL009902.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSHASNQLAWFTGAAAFLNEVYPNRISTSQIRLGLSSSAGRRGPGCASDRHIGGGCPGFSAWYPAPRVHDIYIQLCPGDTVEARPVCFFHDMLWSRVSSSGLLALEEVVSRQEHFPARPYYNQPHRSHSIFYTDVSYSLDQVIQRKDCEIFPKGSLLQAPPNLYKVLLAFSQPPVAYVVVGIPTCSSLPPTYLRIQRSPLAVVLTLWLRESPGSRFMDGFSQRNTPPVLIRSGPWSSVSGLPADKISEIDQPAGWAGRTCDGSQRSNMTTEVYVATILFYGSGRAVISSARFFLVGVVGEGLTFLTHIQSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFALPNSLLDNKAETPDPTFISFE >Vigun07g261600.11.v1.2 pep primary_assembly:ASM411807v1:7:37774348:37777965:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLLLLFRTVGVVLPIYFMVRAVALIQRHRRQHREHLNALAISSDDESEQADLQPQPQPHIIHVL >Vigun07g261600.15.v1.2 pep primary_assembly:ASM411807v1:7:37774922:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLFNSCCCFGLWELFFQYTSWLEQWH >Vigun07g261600.10.v1.2 pep primary_assembly:ASM411807v1:7:37774922:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLLLLFRTVGVVLPIYFMVRAVALIQRHRRQHREHLNALAISSDDESEQADLQPQPQPHIIHTETSFLRTWSLLRMGTLKFRCS >Vigun07g261600.14.v1.2 pep primary_assembly:ASM411807v1:7:37774922:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLFNSCCCFGLWELFFQYTSWLEQWH >Vigun07g261600.6.v1.2 pep primary_assembly:ASM411807v1:7:37774347:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLFNSCCCFGLWELFFQYTSWLEQWH >Vigun07g261600.12.v1.2 pep primary_assembly:ASM411807v1:7:37774414:37777965:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLLLLFRTVGVVLPIYFMVRAVALIQRHRRQHREHLNALAISSDDESEQADLQPQPQPHIIHVL >Vigun07g261600.5.v1.2 pep primary_assembly:ASM411807v1:7:37774347:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLFNSCCCFGLWELFFQYTSWLEQWH >Vigun07g261600.9.v1.2 pep primary_assembly:ASM411807v1:7:37774347:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLLLLFRTVGVVLPIYFMVRAVALIQRHRRQHREHLNALAISSDDESEQADLQPQPQPHIIHTETSFLRTWSLLRMGTLKFRCS >Vigun07g261600.13.v1.2 pep primary_assembly:ASM411807v1:7:37774561:37777730:1 gene:Vigun07g261600.v1.2 transcript:Vigun07g261600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFELLVDRLLTESTLEAAIESRNKSMLAASSAVNDAKIDLNLMKVGMDDIKFPGKLVECRICHDDDEDTNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQQFRPGYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNITTSNYDQYSASAAGSLICCRSIAVIFMVLLILRHTLPLVISGNKEYSFPLFLLLLFRTVGVVLPIYFMVRAVALIQRHRRQHREHLNALAISSDDESEQADLQPQPQPHIIHVL >Vigun08g181900.3.v1.2 pep primary_assembly:ASM411807v1:8:35154979:35158141:-1 gene:Vigun08g181900.v1.2 transcript:Vigun08g181900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPDVEVSDESYIQIITVSRDYLSHNQTLPSVATSQAVSQGEWHEMKPIVENDPASVRVPLNPDGDTVLHLAVISDNDKMVEELVNVMAIEDMLKPNSAGWLPVHLAAMPVRYTRMKYLYSQHLLDRMAHHDIEFLFFVALESNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181900.2.v1.2 pep primary_assembly:ASM411807v1:8:35154979:35158141:-1 gene:Vigun08g181900.v1.2 transcript:Vigun08g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPDVEVSDESYIQIITVSRDYLSHNQTLPSVATSQAVSQDYIEVLYRLALVGEWHEMKPIVENDPASVRVPLNPDGDTVLHLAVISDNDKMVEELVNVMAIEDMLKPNSAGWLPVHLAAMPVRYTRMKYLYSQHLLDRMAHHDIEFLFFVALESNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181900.5.v1.2 pep primary_assembly:ASM411807v1:8:35154978:35158141:-1 gene:Vigun08g181900.v1.2 transcript:Vigun08g181900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181900.4.v1.2 pep primary_assembly:ASM411807v1:8:35154978:35158141:-1 gene:Vigun08g181900.v1.2 transcript:Vigun08g181900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >Vigun08g181900.1.v1.2 pep primary_assembly:ASM411807v1:8:35154979:35158141:-1 gene:Vigun08g181900.v1.2 transcript:Vigun08g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPDVEVSDESYIQIITVSRDYLSHNQTLPSVATSQAVSQDYIEVLYRLALVGEWHEMKPIVENDPASVRVPLNPDGDTVLHLAVISDNDKMVEELVNVMAIEDMLKPNSAGWLPVHLAAMPVRYTRMKYLYSQHLLDRMAHHDIEFLFFVALESNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVEKSVPFEIRSEKNKNGKTPIEVFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLFASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLR >VigunL078701.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000578.1:91781:92112:-1 gene:VigunL078701.v1.2 transcript:VigunL078701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYRKDFKHISQKIKKLEDKLEKDSSKIEALMKEGEESTDLIPKLEDNIPKLQKLLLDEEKILDEITKSSKGG >Vigun11g202900.1.v1.2 pep primary_assembly:ASM411807v1:11:40059853:40065337:1 gene:Vigun11g202900.v1.2 transcript:Vigun11g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETRGKVVCVTGASGFIASWIVKFLLQRGYTVRATVRDPGNPKKVSHLANLEGAQERLHLFKADLLEEGSFDSVVEGCHAVFHTASPVRFVVNNPQVELIDPAVKGTLNVLKSCAKSSSVKRVVLTSSISAVAFTGRPKTPETVVDETWFSDPDFCRELELWYTLSKTLAEDAAWKFVNENNIDMIVLNPTMVAGPLLPAEINESVEPILNLINGKPFPNRSFGWVNVKDVAIAHILAYEIASASGRYILSERVIHYSELARTLRQLYPTLQIPDKCEVDEPYMPTYQISTDKAKKDLGIEFTPLEVSVRETVESFREKKILNF >Vigun03g308350.1.v1.2 pep primary_assembly:ASM411807v1:3:50022160:50026576:1 gene:Vigun03g308350.v1.2 transcript:Vigun03g308350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTTANSLKSLHNLHFLRVTSSLPLPFKDKQQFETQPHSPTCSPDNDHIGKSTLAMDLASLLEEPKPKPKPKSRMELKRFFELRIKKRVKEKHANGKFHDLMKTVISNAETLRDAYNCIRINSNTLDAASSSSHDTSFLYDLAEELEKGDFDVCANTTSFSTRRGSVNKEILVLPNLRLKVVLEAMRIALEIVYKPHFSKISHGCRSGRGCDAALKFVCKGVLSPDWWFTVLVVKKLDAAVLEKLISTMEEKIEDPSLYGVIRNMFDAGVLNLEFGGYPKGHGLPQEGVLSPILMNIYLDLFDSEFCRLSMKYEGICGGGLNERDRFGSALRDWFRRQLDGDDVRKSSGVKVYSCRYMDEMFFAVSGSRDAAVNFMSEVHSYLRSSLLLDVGDQTDVLPCDGSHGIHFLGTLVRRTIRESPAVKAVHKLKEKVELFTLQKVEAWNYGTVRIGKKWLGHGLKKVKESEIKHLADSSSLLNKVSCFRKSGMETDHWYKHLLKIWMQDVQAKNVNSEESILSKFVAEPALPQELRDSFYEFTKQAEQYISAEADSILKLLPDNNSSTEHPVAKTEIVAPINAIKMRLLRYGLATSKGYPRSANLLIMLDTTEIVDWFAGLSCRWLKWYENCSNFDEIKLLIAYHVRKSCIRTLAAKYRIHETEIERRFGEELIRIPSTQETEKEMRNEALDVQAFDNDEALMYGIASSGVCLLSLARIVTQARPCNCFVTGCSSSAPRVYTLHVMERQKSPSWKTGFSTCIHPSLNKRRLGLYGTLAASHSFINSPRTEVIYGAYGNQFRGFQMGNPTLQSSKHRREDKDPFSVQ >Vigun03g308350.2.v1.2 pep primary_assembly:ASM411807v1:3:50022160:50026572:1 gene:Vigun03g308350.v1.2 transcript:Vigun03g308350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTTANSLKSLHNLHFLRVTSSLPLPFKDKQQFETQPHSPTCSPDNDHIGKSTLAMDLASLLEEPKPKPKPKSRMELKRFFELRIKKRVKEKHANGKFHDLMKTVISNAETLRDAYNCIRINSNTLDAASSSSHDTSFLYDLAEELEKGDFDVCANTTSFSTRRGSVNKEILVLPNLRLKVVLEAMRIALEIVYKPHFSKISHGCRSGRGCDAALKFVCKGVLSPDWWFTVLVVKKLDAAVLEKLISTMEEKIEDPSLYGVIRNMFDAGVLNLEFGGYPKGHGLPQEGVLSPILMNIYLDLFDSEFCRLSMKYEGICGGGLNERDRFGSALRDWFRRQLDGDDVRKSSGVKVYSCRYMDEMFFAVSGSRDAAVNFMSEVHSYLRSSLLLDVGDQTDVLPCDGSHGIHFLGTLVRRTIRESPAVKAVHKLKEKVELFTLQKVEAWNYGTVRIGKKWLGHGLKKVKESEIKHLADSSSLLNKVSCFRKSGMETDHWYKHLLKIWMQDVQAKNVNSEESILSKFVAEPALPQELRDSFYEFTKQAEQYISAEADSILKLLPDNNSSTEHPVAKTEIVAPINAIKMRLLRYGLATSKGYPRSANLLIMLDTTEIVDWFAGLSCRWLKWYENCSNFDEIKLLIAYHVRKSCIRTLAAKYRIHETEIERRFGEELIRIPSTQETEKEMRNEALDVQAFDNDEALMYGIASSGVCLLSLARIVTQARPCNCFVTGCSSSAPRVYTLHVMERQKSPSWKTGFSTCIHPSLNKRRLGLCKQHLRDLYLGHISLQSIDFSAWKS >Vigun03g308350.3.v1.2 pep primary_assembly:ASM411807v1:3:50022160:50026572:1 gene:Vigun03g308350.v1.2 transcript:Vigun03g308350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTTANSLKSLHNLHFLRVTSSLPLPFKDKQQFETQPHSPTCSPDNDHIGKSTLAMDLASLLEEPKPKPKPKSRMELKRFFELRIKKRVKEKHANGKFHDLMKTVISNAETLRDAYNCIRINSNTLDAASSSSHDTSFLYDLAEELEKGDFDVCANTTSFSTRRGSVNKEILVLPNLRLKVVLEAMRIALEIVYKPHFSKISHGCRSGRGCDAALKFVCKGVLSPDWWFTVLVVKKLDAAVLEKLISTMEEKIEDPSLYGVIRNMFDAGVLNLEFGGYPKGHGLPQEGVLSPILMNIYLDLFDSEFCRLSMKYEGICGGGLNERDRFGSALRDWFRRQLDGDDVRKSSGVKVYSCRYMDEMFFAVSGSRDAAVNFMSEVHSYLRSSLLLDVGDQTDVLPCDGSHGIHFLGTLVRRTIRESPAVKAVHKLKEKVELFTLQKVEAWNYGTVRIGKKWLGHGLKKVKESEIKHLADSSSLLNKVSCFRKSGMETDHWYKHLLKIWMQDVQAKNVNSEESILSKFVAEPALPQELRDSFYEFTKQAEQYISAEADSILKLLPDNNSSTEHPVAKTEIVAPINAIKMRLLRYGLATSKGYPRSANLLIMLDTTEIVDWFAGLSCRWLKWYENCSNFDEIKLLIAYHVRKSCIRTLAAKYRIHETEIERRFGEELIRIPSTQETEKEMRNEALDVQAFDNDEALMYGIASSGVCLLSLARIVTQARPCNCFVTGCSSSAPRVYTLHVMERQKSPSWKTGFSTCIHPSLNKRRLGWNTCGQSLIY >Vigun06g172500.1.v1.2 pep primary_assembly:ASM411807v1:6:29340605:29344231:1 gene:Vigun06g172500.v1.2 transcript:Vigun06g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEREPTASSSNGVPRKQVVERDKSIFRGRRPRTGFSDSFSSIELETIDHDEGGAPEHSPRSQGSETPSSRTSTSDSEGQGSLAVNSPNANNQWRGFFKLLKKGSQMPFQTFHPLKNVPKLTRRKSKRIREDMCPSLNSPALRSSFDSEFGYLKSSWKNFTLAEIMTATNDFSQANLIGEGGYAEVYLGKLEDGNFVAIKRLTRGNQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLVLQLSPHGSLSSILYGPREKLNWSLRYKIILGTAEGLRYLHEGCQRRIIHKDIKASNILLSEDFEPQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQRSLVMWAKPLLSANNIKALVDPVLADAYDAGQMELVTLTASLCVDQSSIQRPDMSQVLEILKGEEDIIRIMKERTKVKLQRTYSEELFDAEEYNSTKFLNERDRHMETILGSATDE >Vigun05g273200.2.v1.2 pep primary_assembly:ASM411807v1:5:46392173:46398063:1 gene:Vigun05g273200.v1.2 transcript:Vigun05g273200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPCATALRKCLQVCASRDIIQILSRISFEGLDDQRMHLVTRMKEIAHGVIDKQPQVAIARPNLLAEEVGFVDEGMQDSPVVESAKICNGDALIDGAQSMTNEDEMLDVEHVLRFDNGMDEIQESLLPCFEQFLMWPPNDCVTIEWVQDVMVILEHASQKMLPSEFCHVVPTLLVDKLTGAACSILCKEPNCVEINCQGEDSRVIVVGDIHGQIHDLMFLFKHEGVPSENQIYVFNGNYVDKGAWGIEVFLVLLAWKVLMPHRVFLLRGNHESRYCTARYGFKKEVWAKYGDQGDDVYNKFLECFKELPLASVIANCVYTTHGGLFRSIHAAPSQRLKRNKAHRVDLGSLAELYKVKRSCVDCPYEGPNILLSDVLWSRPSNRYGLRDSTGQKLGLWWGPDCTEAFLKNHNLKLIIRSHDEPDSRAGKDDDLGDMLRGYSIDHDGESGKLCTLFSAPDYPKFGKRRCNNKGAYAVLKSPDFASPSFHSFKGTERPMVDPYVDFGANNIDSSKLDSSQSASTSTLSASERFYPEGMRPEFDFGALGIYNAPCWSVELPDGSGGTQVVEVPRASSLVEGLPLPPNIQEPHKAAYEYLFELVAGLKHMIVTRETENMARVSALRSRARKRKGQG >Vigun05g273200.1.v1.2 pep primary_assembly:ASM411807v1:5:46392173:46398063:1 gene:Vigun05g273200.v1.2 transcript:Vigun05g273200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKERIKSGCQKILEGINSMSLANLDDQQVHSLVMMREIARGVVDDRDRKVTRSDVITALRYSIEKMVCASRDIIQILSRISFEGLDDQRMHLVTRMKEIAHGVIDKQPQVAIARPNLLAEEVGFVDEGMQDSPVVESAKICNGDALIDGAQSMTNEDEMLDVEHVLRFDNGMDEIQESLLPCFEQFLMWPPNDCVTIEWVQDVMVILEHASQKMLPSEFCHVVPTLLVDKLTGAACSILCKEPNCVEINCQGEDSRVIVVGDIHGQIHDLMFLFKHEGVPSENQIYVFNGNYVDKGAWGIEVFLVLLAWKVLMPHRVFLLRGNHESRYCTARYGFKKEVWAKYGDQGDDVYNKFLECFKELPLASVIANCVYTTHGGLFRSIHAAPSQRLKRNKAHRVDLGSLAELYKVKRSCVDCPYEGPNILLSDVLWSRPSNRYGLRDSTGQKLGLWWGPDCTEAFLKNHNLKLIIRSHDEPDSRAGKDDDLGDMLRGYSIDHDGESGKLCTLFSAPDYPKFGKRRCNNKGAYAVLKSPDFASPSFHSFKGTERPMVDPYVDFGANNIDSSKLDSSQSASTSTLSASERFYPEGMRPEFDFGALGIYNAPCWSVELPDGSGGTQVVEVPRASSLVEGLPLPPNIQEPHKAAYEYLFELVAGLKHMIVTRETENMARVSALRSRARKRKGQG >Vigun06g132300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25828832:25830743:-1 gene:Vigun06g132300.v1.2 transcript:Vigun06g132300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVEVSDSYGSKSSLICVDNLRGVSEFSLSFLNDRVKVRASCNGLLCCSSIPDKGVFYVCNPVTREYRLLPKSRERPVTRFYPDGEATLVGLACDASFQKFNVVLAGYHRTFGHRPDGSFICLVFDSELNKWRKFVSLQDDHFTHMNKNQVVFVNNALHWLTVSSTYILVLDLSCDVWRKMELPYDLIYGNGYRIYLLDFDGCLSVIKISEAWMNIWVLKDYWKDEWCMVDKVSLRCIRGMVPGIFPISQTGEYVFLATHKQILVYHCKSQVWKEMYSVKYSSTLPLWFSAHAYRSTMFSCN >Vigun06g132300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25828827:25830753:-1 gene:Vigun06g132300.v1.2 transcript:Vigun06g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMRGDGIFPDEVVIQILARLPVKSLFRFKTVCKLWNRLSLDKYFVQLYNEVSRKNPMILVEVSDSYGSKSSLICVDNLRGVSEFSLSFLNDRVKVRASCNGLLCCSSIPDKGVFYVCNPVTREYRLLPKSRERPVTRFYPDGEATLVGLACDASFQKFNVVLAGYHRTFGHRPDGSFICLVFDSELNKWRKFVSLQDDHFTHMNKNQVVFVNNALHWLTVSSTYILVLDLSCDVWRKMELPYDLIYGNGYRIYLLDFDGCLSVIKISEAWMNIWVLKDYWKDEWCMVDKVSLRCIRGMVPGIFPISQTGEYVFLATHKQILVYHCKSQVWKEMYSVKYSSTLPLWFSAHAYRSTMFSCN >Vigun04g064400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6958493:6959415:1 gene:Vigun04g064400.v1.2 transcript:Vigun04g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILKKRSKEVLIYSITESRKISNIFSAFIIFLGSLGLLLVAISSYLGMGLFLFSQEISNFPFIPQGAAMAFYGIGGLFISFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNKIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFFLGVPLLY >Vigun07g274400.2.v1.2 pep primary_assembly:ASM411807v1:7:39011736:39013601:-1 gene:Vigun07g274400.v1.2 transcript:Vigun07g274400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLGQRSATLPIHFFKIILETNGERIKVPSKFTRKHGSCLSNPVSLSTPDSKKWIVYWTQEDGEVWFDKGWKEFAENYSLSYGYFVLFRFKGTSQIDVIILDKSSVELDYFSSGKGPVNTMNATQMGQEARPMPLMVPSQPRGKTQMWNVERDPNVQQVYADKGGPSHQGTNVQKKTKQQPDRMSPTQGGTCLNRPRSLKAQKVTKKFKSKHPYFTLLIEQSKLEENATKHVPKFLDCTRGQVDVMLQMENRVWWVKLICCREKYDLFSSGWPKFAKESNLEDGDVCVFELINTAIPQLQVHVFRS >Vigun07g274400.1.v1.2 pep primary_assembly:ASM411807v1:7:39011736:39013601:-1 gene:Vigun07g274400.v1.2 transcript:Vigun07g274400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSELSRNLHTGSQIQIHLMASHLGQRSATLPIHFFKIILETNGERIKVPSKFTRKHGSCLSNPVSLSTPDSKKWIVYWTQEDGEVWFDKGWKEFAENYSLSYGYFVLFRFKGTSQIDVIILDKSSVELDYFSSGKGPVNTMNATQMGQEARPMPLMVPSQPRGKTQMWNVERDPNVQQVYADKGGPSHQGTNVQKKTKQQPDRMSPTQGGTCLNRPRSLKAQKVTKKFKSKHPYFTLLIEQSKLEENATKHVPKFLDCTRGQVDVMLQMENRVWWVKLICCREKYDLFSSGWPKFAKESNLEDGDVCVFELINTAIPQLQVHVFRS >Vigun10g124400.1.v1.2 pep primary_assembly:ASM411807v1:10:33256161:33266841:1 gene:Vigun10g124400.v1.2 transcript:Vigun10g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVATSSLKKLKRKRTVARSDPELERLNSLPWNSSLPQNDDDGAFSLFIGTNELEGGFLSLEEIDEAEYGLDIPEPEDGKRKTKKKKSIQNEDVKKQQQDGVDGASSDEVEAELDESVKAKEKKKKKKKKTKKKDATDGQKVEQPDAGLDANVEDGNGEEDSDETEFYAWNELRLHPLLMKAIYKLGFKEPTPIQKACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRILEEREKDANMVEEPGKYSPKGVLRALIIAPTRELAVQVTDHLKAVAKYINVRVTPIVGGILAEKQERLLKAKPEIVVGTPGRLWELMSAGEKHLVELHSLSFFVLDEADRMVQSGHFKELQSIIDMLPMSNISTEDNSQNVQKGCVTVSSYQRKKRQTLVFSATVALSSDFRKKLKRGSSQKKQSSTDGLNSIETLSERAGMRSNAAIIDLTNPSILAAKLEESFIECKEEDKDAYLYYILTVHGQGRTIVFCTSIAALRHISSILRILGINVWTLHAQMQQRARLKAIDRFRENENGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASAEGCSIALISPRDTSKFASLCKSFSKDNFQRFPLENSYMPEVLKRLSLARQIDKITRKDSQVKAEKSWFDRNASAVELVTENYDSEEEQVNKHKQMKASSRQLKKLQEELNMLISRPLQSKSFSHRYLAGSGVTPLMQEQLQQLARQKLSDHQGSTLGKKGKLVVIGQDCMDALQALRSAGEEVRMDIKDFAGKQRNVENLKRKRKEEKKRLRDQRRKQKKKLKYGDE >Vigun04g187300.1.v1.2 pep primary_assembly:ASM411807v1:4:41193755:41196147:-1 gene:Vigun04g187300.v1.2 transcript:Vigun04g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSAASSAVRSLLSSSSSTFSRNLHAQGERKKIVGVFYKGGEYAKSNPNFVGCVEGALGIREWLESKGHQYIVTDDKEGPNSELDKHLPDAHIIISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHVDLNAAAAAGLTVAEVTGSNVVSVAEDELLRILVLVRNFLPGYHQAVNGEWNVAGIAHRAYDLEGKTIGTVGAGRIGKLLLQRLKPFNCNLLYYDRLRMNTELEKEIGAKFEEDLDAMLPKCDVIVINMPLTEQTRGLFDKNRIAKCKKGVVIVNNARGAIMDTQAIADACTSGHVAGYGGDVWPVQPAPKDHPWRFMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRHFRGEDFPQQNYIVKEGQLASQYR >Vigun10g080050.1.v1.2 pep primary_assembly:ASM411807v1:10:21957502:21958858:1 gene:Vigun10g080050.v1.2 transcript:Vigun10g080050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKFIGALNEKLTVAQKEYIASTPFWWFPMLKQSLKISRNVLSQLCIKWVERRGGFDVGGEVVDFSLLDVCLGLGLRVVGEKIDLDEEVVESETWNTFGRQRVDVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPVIFKLVDDMENIGKYNWGTLLWSFDHLVVCQSTFKCKMNFMGDKVMKTAFDYNMAIVNVVVSKEELDHAIVREAFEHFGTEYKTQDLKDKEELELDLEQSMSALDELVANWKGQQPKDEVRDEVGDDVSIDPRASVMSDEKDDGAQESNMYDRMKARPRMRFKSVATKTPYSVYGKKKLKSLQIG >Vigun11g046500.2.v1.2 pep primary_assembly:ASM411807v1:11:7147891:7153669:-1 gene:Vigun11g046500.v1.2 transcript:Vigun11g046500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKGVIAFLPLLLSSLLLHHLSPTSVIRAQSDNHTRRLLSLEDDEYDIDEAQCPVDTQTENALQNSDADIQIDSYIPPFPPTIQIESDVSIDSNLEVNTNVEVQKAEEYASNNDRVLHDEL >Vigun11g046500.1.v1.2 pep primary_assembly:ASM411807v1:11:7147891:7153669:-1 gene:Vigun11g046500.v1.2 transcript:Vigun11g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKGVIAFLPLLLSSLLLHHLSPTSVIRAQSDNHTRRLLSLEDDEYDIDEAQCPVDTQTENALQNSDADIQMTQSDPHTSLLLSPTQTQKNVPNDSNNIEVNSDSYIPPFPPTIQIESDVSIDSNLEVNTNVEVQKAEEYASNNDRVLHDEL >Vigun07g196450.1.v1.2 pep primary_assembly:ASM411807v1:7:31635983:31636270:1 gene:Vigun07g196450.v1.2 transcript:Vigun07g196450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLPFTQTTRCHMLFKGDTVPAFRPVRFNQGDCSQMP >Vigun01g222200.1.v1.2 pep primary_assembly:ASM411807v1:1:39552350:39553713:-1 gene:Vigun01g222200.v1.2 transcript:Vigun01g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSTDDAQSLAEREGLSFLETSALEAFNVEKAFQTILFDIYQIISKKALAAQEAASTANIPHGTTINVSNMSASVEKKSCCSN >Vigun03g228300.1.v1.2 pep primary_assembly:ASM411807v1:3:37906173:37909540:1 gene:Vigun03g228300.v1.2 transcript:Vigun03g228300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPGLEHTRKAIGWAARDTSGVLSPFNFTRRETGEKDVAIKVLYSGICHTDLHNAKSEWGPSFYPLVPGHEIAGEVIEVGSKVEKLKVGDRVGVGCMVLSCRSCKSCDENLENYCPKMILTYGAKYVDGSITQGGYSDLVVVDEHFVITIPDGLPLEAAAPLLCAGITVYSPLIYFGLDKPGTHVGVVGLGGVGHMAVKFAKAFGAKVTVISTSPSKRKEAIEHLGADSFVVSREEDQMQAVKGTLDGIIDTVSAVHPLLPLLDLLKSHGKLVIVGVPDKPLELPVFPLLARKGVTGSSIGGIKETQEMMDFAVKHDITPDIEIIPIDYVNKAMERLVKADVKYRFVIDIGNTLKPSS >Vigun03g228300.2.v1.2 pep primary_assembly:ASM411807v1:3:37908123:37909540:1 gene:Vigun03g228300.v1.2 transcript:Vigun03g228300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSCRSCKSCDENLENYCPKMILTYGAKYVDGSITQGGYSDLVVVDEHFVITIPDGLPLEAAAPLLCAGITVYSPLIYFGLDKPGTHVGVVGLGGVGHMAVKFAKAFGAKVTVISTSPSKRKEAIEHLGADSFVVSREEDQMQAVKGTLDGIIDTVSAVHPLLPLLDLLKSHGKLVIVGVPDKPLELPVFPLLARKGVTGSSIGGIKETQEMMDFAVKHDITPDIEIIPIDYVNKAMERLVKADVKYRFVIDIGNTLKPSS >VigunL016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:884298:884420:1 gene:VigunL016400.v1.2 transcript:VigunL016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGRIPLWIIGIVTGITVIGLIGIFFYGSYSGLGSSL >Vigun09g061000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6309403:6312439:1 gene:Vigun09g061000.v1.2 transcript:Vigun09g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKPVISTCEQDQHVVAAAQHILKALAASKNVSSDLRKTLLDVETQLSQLSAISIVNERKGRGIKQLENRLKCVEDKVTMWVANPSMTWDSSPNECSDYLKLVGEIQTLIQSLESFSVVNDNWRQKELLERAYAVLQDAMSRLERELDHILVEHKQFFEPECMSFNSKMDMAYDESFRAVEDEQVNEVRRSTGSGQSETNIVDLMNPAVLEYLKSIANVMFHSKYHQEFSQVFISSRRDALADYFVILKMEKLSIEDVLKLEWQCLNHEIKKWIRAMKIIVQVYLVSEKRLCKHILGDFGSFYQCCFSEISQSFMLLLLNFGEAVAMGTHTPERMFRLLDMHEVLEHVSVDVDILFFEEVGAFVRGEFHKLQTSIGDTIKSTFLAFRNAIATNHSKIPFPQGGVHHVTKYVMNYIMTLVEYGDTLNHLLVEECSTDPAGYKPEASGLSLSPMAFYFRSIATALESNLSNKSKLYKDLALQHIFMMNNIRYMVQKVKCSDLSHFFGDFWLRQHTAEYQRDARCYERVTWGPILCMLKDENLPNCVSHRTLEKSCKKFCNAFREVYRTQTGWCVQDCELREDLQISVSQKVVLAYGSYTGRNSSNIADKYIKYTVEDLQSYILDLFQGSPKSLQQRN >Vigun05g025200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2053075:2054179:1 gene:Vigun05g025200.v1.2 transcript:Vigun05g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINTVSSSSSSSVSVTGIKIWKSPIPYLFGGLALMLALISVALVILVCSYRKRDSSPESSSSSSSSEAENMKSQPMNKILYTNSEPEVVVIMAGDHNPTYLAKPSTSSSSSSSSVYCTCGAQSQPEPEPEPQRNPSSTSSTE >Vigun04g056500.1.v1.2 pep primary_assembly:ASM411807v1:4:5527309:5529990:-1 gene:Vigun04g056500.v1.2 transcript:Vigun04g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRMKKRVFSPPIVLLFLAFSLLLLLPEASATKFTVGDNKFWNPNINYTEWAKGKHFYLGDWLYFVYDRNQANVLEVNKTDYETCNSDHPLQNWTRGAGRDVVPLNVTKTYYIISGKGFCFSGMKIAVHVEKLPPPPKAAPIKSGAPTLFSTGHILLTPAIFAVGAAWDAFIHFW >Vigun08g180700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35032597:35034748:1 gene:Vigun08g180700.v1.2 transcript:Vigun08g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEATHWCYACEEPIVLDGGHTLCPYCDGSFVVQLDELQRAAPSAPSSSHLLARNSNRDSVGASSPEQSWGLTFHGQVPEITFATATPSGGAPRRVDFGDSPSITPELQEFIHQLISFLVKPLVSLFLVIIKFLSSNNGRRNL >Vigun01g041985.1.v1.2 pep primary_assembly:ASM411807v1:1:5938894:5943621:-1 gene:Vigun01g041985.v1.2 transcript:Vigun01g041985.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQSATHKQKKKRELGGGLRELTQRRKKIGKGRDSKSSEKQEERRTEAKKRGENRLSHKETDREDCKRIATRENQLVNQQFLESKAEL >Vigun08g085000.1.v1.2 pep primary_assembly:ASM411807v1:8:18644941:18645778:-1 gene:Vigun08g085000.v1.2 transcript:Vigun08g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRVVLFLCVILAALMALASSQSIAPAPAPTSDGASVDQAVAYVLMLVALVLTYIMH >Vigun07g285500.1.v1.2 pep primary_assembly:ASM411807v1:7:39861225:39861488:-1 gene:Vigun07g285500.v1.2 transcript:Vigun07g285500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELKNVVKDKKFWMASFIIAWAAALQGHMMWLQRQNSFKEKFGNPQDHPQNPN >Vigun07g270500.2.v1.2 pep primary_assembly:ASM411807v1:7:38611924:38613319:-1 gene:Vigun07g270500.v1.2 transcript:Vigun07g270500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKNAANAVGGKTARACDSCITKRARWYCAADDAFLCQACDSSVHSANPLARRHERVRLKTASYKSTDEQQQQQQPPTWHTKKARTPRHGKHSRSNNPFHLVPEVGSEEVNSHDENEEQLLYRVPIFDPFVAELCGTNSSSPSSVTSTDQGAAAAVAEAENKGFQSNVFHHSNNEMENLHGMLPSDAELAEFAADVENLLGRGLENECVGMEDLGLIDAKEEDPEMEMEMVGRDVSFEFSFDYETCEEVKEKICDFELGKEKGNEEELGENDEVKKKKISLQLDYEAVIIAWASQKSPWTTPDKPNLDPDECWHECIESYGRGIHDPYGEVGGFGIHPVIIDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAPSTFPLLNH >Vigun01g130284.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30794212:30794599:-1 gene:Vigun01g130284.v1.2 transcript:Vigun01g130284.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun07g201700.3.v1.2 pep primary_assembly:ASM411807v1:7:32281954:32290521:1 gene:Vigun07g201700.v1.2 transcript:Vigun07g201700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASATALPYLDKTDFLKLQNGSDIRGVAIDGVEGEKVNLTEPVAEAIGAAFAAWLMEKKKADSSQHLRVSIGHDTRISAKLLQNAISRGLAGAGVEFVHYGLASTPAMFNCTLTKDEAFLCPADGSIMITASHLPFNRNGFKFFTNAGGLGKADIKDILERAADIYNQFTPESLKNSEKKALLTFKKVDYMIAYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLKPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDFTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLAAARASGNGGGSKVLTDLIEGLEEPAFATELRLKINQFHPDLKEGSFREYGEAVLKQLENSIGSDPSLHKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNDDAVKLGLAVLEAVKGFGGLDTSALKKFVGAS >Vigun07g201700.1.v1.2 pep primary_assembly:ASM411807v1:7:32281954:32290521:1 gene:Vigun07g201700.v1.2 transcript:Vigun07g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKIVQNVFVSHSFQQNTQLFASQSQGRRDYYFAPYPRNSNLPSPLGKLAWTASSMQLRTLYKPQNHFSVQRNFHCNASSSASATALPYLDKTDFLKLQNGSDIRGVAIDGVEGEKVNLTEPVAEAIGAAFAAWLMEKKKADSSQHLRVSIGHDTRISAKLLQNAISRGLAGAGVEFVHYGLASTPAMFNCTLTKDEAFLCPADGSIMITASHLPFNRNGFKFFTNAGGLGKADIKDILERAADIYNQFTPESLKNSEKKALLTFKKVDYMIAYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLKPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDFTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLAAARASGNGGGSKVLTDLIEGLEEPAFATELRLKINQFHPDLKEGSFREYGEAVLKQLENSIGSDPSLHKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNDDAVKLGLAVLEAVKGFGGLDTSALKKFVGAS >Vigun07g201700.2.v1.2 pep primary_assembly:ASM411807v1:7:32281954:32290521:1 gene:Vigun07g201700.v1.2 transcript:Vigun07g201700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKIVQNVFVSHSFQQNTQLFASQSQGRRDYYFAPYPRNSNLPSPLGKLAWTASSMQLRTLYKPQNHFSVQRNFHCNASSSASATALPYLDKTDFLKLQNGSDIRGVAIDGVEGEKVNLTEPVAEAIGAAFAAWLMEKKKADSSQHLRVSIGHDTRISAKLLQNAISRGLAGAGVEFVHYGLASTPAMFNCTLTKDEAFLCPADGSIMITASHLPFNRNGFKFFTNAGGLGKADIKDILERAADIYNQFTPESLKNSEKKALLTFKKVDYMIAYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLKPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDFTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKLAAARASGNGGGSKVLTDLIEGLEEPAFATELRLKINQFHPDLKEGSFREYGEAVLKQLENSIGSDPSLHKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEVKARTARIGEFICNF >Vigun03g359601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56243309:56249200:1 gene:Vigun03g359601.v1.2 transcript:Vigun03g359601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVEEDKVVATPDVKNAPVSTGFQPSNDLVNSENQSDHSVEISEPQPDNDLSNFQAEPNKVLAASEVQDPSGNNTEGTLPNAEEPPSSELENLETPPNNQSNNDEGPLNNQHVDSVASFKNPSESSEDVADDKLVSSGAPLDQKPVSEAPSSDQLVNSETLPDNGVVDSEHQTSNDVVVSETQHSDEVVAEQNNEVVLPATVESEEVVLSETQQRDETVLSETQRGDEAVLSEKQQSQEAVLSETQQSQETVLSETQQSQETVLSETQQTNGAVLSERQQINGVVLSETQQINGADLSETQEVVLSETQEVVLTETQEVVLSETQEVVLSETQQSNEAFLSETQQNNEMLTSETQPSNDVVMSDAQPNSEPLVSGSLPSSDIVVPERQLSNETIVHESQTSNDVIMSEALPENETVHSAADPNNQLSNSDSLSQNHHYTNLHMIPEDQLPQPESLPNSDPLPASEPLPDSHLTDIKPISHNHLAQYDTLPNSHMHHSEAVANHELVPHSEALSHEHIANSHLLPHYGLQNSETLLDNQLVNSQPHYEIVNASNIPSYEIVNAETPLNSEEPTPETQPSKRRKKKSIVWEHFTIETVSPGCRRACCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRSQDHNQFSPYTPRSRGSDAGNASSAPKRRYRSPNTPYIIFDQDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPQFNMVTFNTVQGDCVATYLMEKQCVMKYFEGLPGRLCLTLDVWTSSQSVGYVFITGHFVDSDWKLQRRVLNVVMEPYPNSDSALSHAVSVCISDWNLEGRVFSITCDQTPSEVSLGNLRPLLSVKNPLILDGQLLVGNCIARTFSNVANELLGSVHLVVKKIRDSVKYVKTSESHEEKFLELKQHLQVPSERNLFIDDQTQWNTTYQMLVAASELKEVFSCLDTSDPDYKGAPSMQDWKLVETLCTYLKPLFDAANILTTATHPTIITFFHEVWKLQLDLSRAVVNEDPFISNLTKPMQHKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGEDAHVYVKIVDDGIHELFHEYVTLPLPLTPAYADEGSAGSHMKTEGSPGGTLLSDNGLTDFDVYIMETSSHQTKSELDQYLEESLLPRVPDFDVLGWWKLNKLKYPTLSKMARDILSVPVSSVPPESVFDTKVKEMDQYRSSLRPETVEAIVCAKDWMQYGAAEASNALVKMEF >Vigun05g001200.1.v1.2 pep primary_assembly:ASM411807v1:5:110651:112368:1 gene:Vigun05g001200.v1.2 transcript:Vigun05g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHSTLAFAFGMLGNVISFLVFLAPITTFYRIVKKKSTEGFQSLPYLVALFSSMLWLYYALLKKDAFLLLTINSFGCVIEIIYIILYITYATRDARKLTLKLFLAMNVSSFILILLVTHFAVHGSLRVQVLGWICVSLSISVFAAPLSIVAQVVRTRSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFALGLIQMLLYAIYRNGNKKVDKMQKKAQVEELKSVVIASAGALESGEVFHVEENEEGQKKEETMAEKEKSDEPNDSRV >VigunL082100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:24129:26176:1 gene:VigunL082100.v1.2 transcript:VigunL082100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDGLMRGMEVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGLINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSVVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADNLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGGEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPGQAFYLVGNIDEATAKATNLETESNLKK >Vigun01g248000.1.v1.2 pep primary_assembly:ASM411807v1:1:41549205:41553678:1 gene:Vigun01g248000.v1.2 transcript:Vigun01g248000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQGYSSAAPYLGSNAPSSIYLSVPPYGSSLFNGSSVPPYDVPFSGGSAYHYNYGSRLSAGSPYRPLHLSGPAPYTGGPVMGNGGIYGMPQLLDRYGLGVPIGPGTMGARPGFFHDDKSQKKDATRDNDWTCPKCGNVNFSFRTVCNMRKCNTPKPGSQASKSDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESDKSPSPSADQNDQ >Vigun03g100000.4.v1.2 pep primary_assembly:ASM411807v1:3:8550890:8553499:-1 gene:Vigun03g100000.v1.2 transcript:Vigun03g100000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVEPPNRIKPKGKHYYTIWQTLFEIDTKYVPIKPIGRGAYGVVCSSINRETNERVAIKKIGNIFENSIDALRTLRELKLLRHIRHENVIALKDVMMPIQRTSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNGVDGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISVLGSQHESHIEFIDNAKARRFIKSLPYTRGRHFSQLYPQADPLAIDLLQKMLVFDPTKRITVLEALQHPYMSGLYDPRCNPPAQVPINLDIDEHWGEQMIREMMWNEMLHYHPEAASANA >Vigun02g111600.2.v1.2 pep primary_assembly:ASM411807v1:2:26571001:26573798:1 gene:Vigun02g111600.v1.2 transcript:Vigun02g111600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWTSVDGVVEEIMRIHRSLPPRPSIEEVEVARGLIVNVEKEDQARLEAIARQSKGVDVPEELFMVLQEMQRNVVYYQSKEQKREALKLLDLDNVHSLFDELIQRASKCVSSPSAPASRKTSYSNGPVSSVSTNLSMNSVSASVSVGGFDKPPPVPAASVPAAASAATSRMFHADKERPELVTRDDSYVKKSKSKSSFYSNGYGIEPTIPSKASILNPSLKPTAPAGQDGDKLSLIKLASLIEVSAKKGTRDLNLQNKLADQVDWLPDSIGKLSSLVTLDLSENRIMALPATIGGLSSLTRLDLHSNRISELPDSVGNLLSLVYLDLRGNQLTLLPASFTRLIRLEELDLSSNQISALPDTLGSLVRLKVLNVETNDVEELPHSVGNCSSLRELRLDYNRLKALPEAVGKIQSLEILSVRYNNIKQLPTTMSSLTNLKELNVSFNELESVPESLCFATSLVKMNIGNNFADMRYLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLRILRAEENPLEVPPRDIADKGAQAVVQYMAELVEKREKKDVKSQPLKQKKSWAQICFFSKSNKRKRDGVDYVKT >Vigun02g111600.1.v1.2 pep primary_assembly:ASM411807v1:2:26569915:26573833:1 gene:Vigun02g111600.v1.2 transcript:Vigun02g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWTSVDGVVEEIMRIHRSLPPRPSIEEVEVARGLIVNVEKEDQARLEAIARQSKGVDVPEELFMVLQEMQRNVVYYQSKEQKREALKLLDLDNVHSLFDELIQRASKCVSSPSAPASRKTSYSNGPVSSVSTNLSMNSVSASVSVGGFDKPPPVPAASVPAAASAATSRMFHADKERPELVTRDDSYVKKSKSKSSFYSNGYGIEPTIPSKASILNPSLKPTAPAGQDGDKLSLIKLASLIEVSAKKGTRDLNLQNKLADQVDWLPDSIGKLSSLVTLDLSENRIMALPATIGGLSSLTRLDLHSNRISELPDSVGNLLSLVYLDLRGNQLTLLPASFTRLIRLEELDLSSNQISALPDTLGSLVRLKVLNVETNDVEELPHSVGNCSSLRELRLDYNRLKALPEAVGKIQSLEILSVRYNNIKQLPTTMSSLTNLKELNVSFNELESVPESLCFATSLVKMNIGNNFADMRYLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLRILRAEENPLEVPPRDIADKGAQAVVQYMAELVEKREKKDVKSQPLKQKKSWAQICFFSKSNKRKRDGVDYVKT >Vigun03g255500.1.v1.2 pep primary_assembly:ASM411807v1:3:42186644:42192850:1 gene:Vigun03g255500.v1.2 transcript:Vigun03g255500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRANTNFEQLFPQLYTLFSGVEQDLCSEGCSRGRETQFHGFQYLPINVLYLSGSFQRNSFQAMDKRLEHGAKASQLPSNGIGSRFSNLEKSFKYSIRSLLTSCSKEEFYKAFSSFSNTEREFLHRLFLQVITSLHENLEEGFQTICLQTQAGATLDAVEEIVEEQDLDVLFSDRSNITDVSENLSMAKKKEIQHLMHMVQSGEEHNQVLRTRLQMLREDNKVLSGASQAVKKFKSMNLNYGANSGDGIHDV >Vigun03g255500.2.v1.2 pep primary_assembly:ASM411807v1:3:42186621:42192855:1 gene:Vigun03g255500.v1.2 transcript:Vigun03g255500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRANTNFEQLFPQLYTLFSGVEQDLCSEGCSRGRETQFHGSFQRNSFQAMDKRLEHGAKASQLPSNGIGSRFSNLEKSFKYSIRSLLTSCSKEEFYKAFSSFSNTEREFLHRLFLQVITSLHENLEEGFQTICLQTQAGATLDAVEEIVEEQDLDVLFSDRSNITDVSENLSMAKKKEIQHLMHMVQSGEEHNQVLRTRLQMLREDNKVLSGASQAVKKFKSMNLNYGANSGDGIHDV >Vigun03g255500.4.v1.2 pep primary_assembly:ASM411807v1:3:42186644:42192851:1 gene:Vigun03g255500.v1.2 transcript:Vigun03g255500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRANTNFEQLFPQLYTLFSGVEQDLCSEGCSRGRETQFHGSFQRNSFQAMDKRLEHGAKASQLPSNGIGSRFSNLEKSFKYSIRSLLTSCSKEEFYKAFSSFSNTEREFLHRLFLQVITSLHENLEEGFQTICLQTQAGATLDAVEEIVEEQDLDVLFSDRSNITDVSENLSMAKKKEIQHLMHMVQS >Vigun03g255500.3.v1.2 pep primary_assembly:ASM411807v1:3:42186644:42192850:1 gene:Vigun03g255500.v1.2 transcript:Vigun03g255500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRANTNFEQLFPQLYTLFSGVEQDLCSEGCSRGRETQFHGSFQRNSFQAMDKRLEHGAKASQLPSNGIGSRFSNLEKSFKYSIRSLLTSCSKEEFYKAFSSFSNTEREFLHRLFLQEGFQTICLQTQAGATLDAVEEIVEEQDLDVLFSDRSNITDVSENLSMAKKKEIQHLMHMVQSGEEHNQVLRTRLQMLREDNKVLSGASQAVKKFKSMNLNYGANSGDGIHDV >Vigun03g026900.1.v1.2 pep primary_assembly:ASM411807v1:3:2010312:2013338:1 gene:Vigun03g026900.v1.2 transcript:Vigun03g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIVESLALKLPSPTKPPPLSGVHPSTTPCFCKIRTNTFPTHTALLPLSASASTPDTTTSTPAFHLDPAALRRLSGKPLSLVLSVYNGPMGRSCWVRGAKLLGRVHLTINLSTALSHSNTFHSGWFNLAGPHSTNKPSAQLHLVVRSEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNYRSRSLPSDFRSNGSTGDRERQGKERKGWMIMIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPNGASVSSWKPWGRLEAWRERGPVDGLGYKVELFSDSGPANRIPIAEGTMSVKKGGHFCIDYKVMKDAGLGWRLPVAEGFVMGSTVDGEGKVSKPVVEVGAQHITCMPDAALFIALSAAIDLSMDACTLFSHKLRKELCHHQQNSLS >VigunL035600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:295054:295299:1 gene:VigunL035600.v1.2 transcript:VigunL035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun03g043200.2.v1.2 pep primary_assembly:ASM411807v1:3:3451967:3462082:1 gene:Vigun03g043200.v1.2 transcript:Vigun03g043200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPSKRLSLSRNTLLGLISPAPLQLNCGVGYFQNTAKRNIRYKHSVASEVNFQKKYSPLYTPLPRVKQVSKRLICAVATEDLPKQVEESKMETPKEIFLKDYKMPDYYFDTVDLKFYLEEEKTIVSSKISVYPRIEGSSPPLVLDGQDLRLVSVKLNGKALKEEDYHLDVRHLTIPSPPSGKYDLEIVTEIHPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLAEQGDLEDGRHYAIWEDPFKKPCYLFALVAGQLQSRDDAFITRSGRNVSLRIWTPAEDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSNLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTVTGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFSAMRDANDADFANFLSWYSQAGTPVVKINTSYNSEAHTFSLKISQEIPPTPGQSVKEPTFIPVAVGLLDSKGKDILLSSLYHNGTLQSVSNSNQPVYTTVLRVTKKEEEFVFTDIFERPVPSLLRGYSAPVRLESDLTESDLFFLLANDSDEFNRWEAGQILARKLMLNLVDDFQHNKPLVLNPNFVNGFKSILCDLSLDKEFVAKAITLPGEGEIMDMMKIADPDAVHAVRTFIRNQLASELRLEFFNTVVNNRSTETYVFNHPNLARRALKNIALAYLGCLGEQEFTELALHEYKSATNMTEQFAALAAIAQKPGKTRDDVLADFYGKWKHDFLVVNKWFALQSTSDIPGNVENVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYEFLGELVLQLDKLNPQVASRMVSAFSRWKRYDESRQNLAKAQLEKIVSSNGLSENVFEIASKSLAV >Vigun03g043200.1.v1.2 pep primary_assembly:ASM411807v1:3:3451967:3462082:1 gene:Vigun03g043200.v1.2 transcript:Vigun03g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPSKRLSLSRNTLLGLISPAPLQLNCGVGYFQNTAKRNIRYKHSVASEVNFQKKYSPLYTPLPRVKQVSKRLICAVATEDLPKQVEESKMETPKEIFLKDYKMPDYYFDTVDLKFYLEEEKTIVSSKISVYPRIEGSSPPLVLDGQDLRLVSVKLNGKALKEEDYHLDVRHLTIPSPPSGKYDLEIVTEIHPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLAEQGDLEDGRHYAIWEDPFKKPCYLFALVAGQLQSRDDAFITRSGRNVSLRIWTPAEDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSNLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGMVYEKGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFSAMRDANDADFANFLSWYSQAGTPVVKINTSYNSEAHTFSLKISQEIPPTPGQSVKEPTFIPVAVGLLDSKGKDILLSSLYHNGTLQSVSNSNQPVYTTVLRVTKKEEEFVFTDIFERPVPSLLRGYSAPVRLESDLTESDLFFLLANDSDEFNRWEAGQILARKLMLNLVDDFQHNKPLVLNPNFVNGFKSILCDLSLDKEFVAKAITLPGEGEIMDMMKIADPDAVHAVRTFIRNQLASELRLEFFNTVVNNRSTETYVFNHPNLARRALKNIALAYLGCLGEQEFTELALHEYKSATNMTEQFAALAAIAQKPGKTRDDVLADFYGKWKHDFLVVNKWFALQSTSDIPGNVENVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYEFLGELVLQLDKLNPQVASRMVSAFSRWKRYDESRQNLAKAQLEKIVSSNGLSENVFEIASKSLAV >Vigun10g048300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7279476:7280987:-1 gene:Vigun10g048300.v1.2 transcript:Vigun10g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGYGELLVAFLLFVLIHYWRLNRHAPTNWPVLGMLPGLLLNVSTVFDYITTILKHNGGNFMFQGPWLSNMNMFLTSDPMNVQHVTSTKFGNYSKGDEFREIFEALGDGIFRSEFQMWKYNRSITHSIFKKVGFQSFIHKTIKNKTESCLLPFLDHAWIQGTEVDLQEAFQRLSFDVICSTVLGFDPTSLSIDLPEVACEKAFTELLEGFFYRHVVPKCLWKLQKWLQLGKEKKMKESQEILDQMLYTEGISRCKMQGQSSGNSTPEEEPPFSLLDILISEEGKEKIDDKFLRDTALNLLAAGRDTISAGLTWFFWLVATHPSVESNILEEIREKLPAREGNGKDFGVEWLSKLTYLHAAISESLRLYPPVPLEHTCALKSDMLPTGHWINANTIVMYSLYSMGRREEIWGEDCLKFRPERWISKSGGIIHMPSHKFIAFNAGPRSCLGKEISFIEMKMIAANILWNYRIHLVEGQAISPRVSVVLHMKHGLKVTVTKRSI >Vigun11g036000.1.v1.2 pep primary_assembly:ASM411807v1:11:4850307:4856975:1 gene:Vigun11g036000.v1.2 transcript:Vigun11g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRIESSEVVAQPKKEDAAPERPARTLLGWKDKRQVTDEVDDNKVVSPIFRNKEKVLVTCSRRISYRYRHLMLNLVSLMPHCKKDNKVESKETKGATLNELVELKSCSSCLFFECRKQKDLYLWMAKCPSGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSANFEKDAHWRLLKQMLLQIFEIPKDHRKAKPFHDHVFVFSIADDHIWFRNYQISAHHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKKKKSGKFAKKVKAKTRRKMHEMSNPLEPDEFADMWKD >Vigun11g036000.2.v1.2 pep primary_assembly:ASM411807v1:11:4850486:4856932:1 gene:Vigun11g036000.v1.2 transcript:Vigun11g036000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRIESSEVVAQPKKEDAAPERPARTLLGWKDKRQVTDEVDDNKVVSPIFRNKEKVLVTCSRRISYRYRHLMLNLVSLMPHCKKDNKVESKETKGATLNELVELKSCSSCLFFECRKQKDLYLWMAKCPSGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSANFEKDAHWRLLKQMLLQIFEIPKDHRKAKPFHDHVFVFSIADDHIWFRNYQISAHHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKKKKSGKFAKKVKAKTRRKMHEMSNPLEPDEFADMWKD >Vigun05g112000.1.v1.2 pep primary_assembly:ASM411807v1:5:11730350:11731500:-1 gene:Vigun05g112000.v1.2 transcript:Vigun05g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQEEVTLLGFVGSPFVCRVKIALKLKGVEYKYVEENLGIKSEQLLKYNPVHKKVPVFVHGDKPLAESLVIVEYIDETWKNNPILPSDPYQRALARFWSKFIDDKIVGVSWKSVFTVDEKEREKNVAETYEGLQFLENEIKEKKFFGGEELGLVDIAAVYVAYWIPLVQEIAGLELLTTEKFPNLYRWTQEFVNHPIVKESLPPRDPVFAFFKGRYEALLASK >Vigun05g179300.2.v1.2 pep primary_assembly:ASM411807v1:5:33891546:33893665:-1 gene:Vigun05g179300.v1.2 transcript:Vigun05g179300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEMKFTLWLCPLLLGSAFVWAVAPPPPSQGCDFSEGKWVVDEPSSHPLYDASGDCPFIGQGFDCLRNGRPDKEYLNYRWKPSGCDLPRFDGKKFLERNTGKKIMFVGDSISNNMWQSLTCLLHIAVPNSTYTSTSQTQQLSVFSFPEYKASIMWLKNGFLVDLVIDKEKGRILKLDSISSGDQWKGVDVLIFNSYHWWTHTGHSQAWDYFQIGTELIKDMDHMEAFKIGLTTWAKWVDSNIDPSKTRVLFQGIAASHQKGVLEAN >Vigun05g179300.1.v1.2 pep primary_assembly:ASM411807v1:5:33891546:33893665:-1 gene:Vigun05g179300.v1.2 transcript:Vigun05g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEMKFTLWLCPLLLGSAFVWAVAPPPPSQGCDFSEGKWVVDEPSSHPLYDASGDCPFIGQGFDCLRNGRPDKEYLNYRWKPSGCDLPRFDGKKFLERNTGKKIMFVGDSISNNMWQSLTCLLHIAVPNSTYTSTSQTQQLSVFSFPEYKASIMWLKNGFLVDLVIDKEKGRILKLDSISSGDQWKGVDVLIFNSYHWWTHTGHSQAWDYFQIGTELIKDMDHMEAFKIGLTTWAKWVDSNIDPSKTRVLFQGIAASHVDKKGCLRQTKPEEGAVAAYPGVDIVKTVISNMEKAVQLLDITVLTQLRRDGHPSIYTGRGTSFDDCSHWCLAGVPDAWNEILYAVLLGN >Vigun05g205600.2.v1.2 pep primary_assembly:ASM411807v1:5:39458083:39465153:-1 gene:Vigun05g205600.v1.2 transcript:Vigun05g205600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFGMELNSYLSVSTATSVIVDKSSDGDYLRIDFNMSFPALSCEFAAVDVSDVLGTNRLNLTKTVRKFSIDSNLRTTGHEFHSEPATINIKHDNEVHEESIGGSLELTTDNFDKYAHQFPITVINFYAPWCYWSQRLKPSWEKASKIIRERYDPETDGRIVMGRVDCTQNGELCRSHHVQGYPSIRIFRKGSDVRSDHGHHDHESYYGDRDTDSLVKTMDNLVASIPSESQKLSLGDKSNFASHNKRPAPSAGGCRIEGYVRVKKVPGNLVISARSDAHSFDASQMNMSHVINHLSFGRKVSPRVMSDVKRLIPYVGSSHDRLNGLSFINTRDLGANVTMEHYLQVVKTEVITRKDYKLVEEYEYTAHSSVAQSLHIPVAKFHLELSPMQVLITENPKSFSHFITNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >Vigun05g205600.1.v1.2 pep primary_assembly:ASM411807v1:5:39458083:39466878:-1 gene:Vigun05g205600.v1.2 transcript:Vigun05g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIIAALCMVFLFGMELNSYLSVSTATSVIVDKSSDGDYLRIDFNMSFPALSCEFAAVDVSDVLGTNRLNLTKTVRKFSIDSNLRTTGHEFHSEPATINIKHDNEVHEESIGGSLELTTDNFDKYAHQFPITVINFYAPWCYWSQRLKPSWEKASKIIRERYDPETDGRIVMGRVDCTQNGELCRSHHVQGYPSIRIFRKGSDVRSDHGHHDHESYYGDRDTDSLVKTMDNLVASIPSESQKLSLGDKSNFASHNKRPAPSAGGCRIEGYVRVKKVPGNLVISARSDAHSFDASQMNMSHVINHLSFGRKVSPRVMSDVKRLIPYVGSSHDRLNGLSFINTRDLGANVTMEHYLQVVKTEVITRKDYKLVEEYEYTAHSSVAQSLHIPVAKFHLELSPMQVLITENPKSFSHFITNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >Vigun02g116900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26990617:26991483:1 gene:Vigun02g116900.v1.2 transcript:Vigun02g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMYHVRSNSFPSVSHPSSIRIEEELSKMRTWEATSTSNSESIGTGLSLLEDLFICFEDFLNMASTQKVISNHQGQKCMEEVLDGSVRVLDICGITRNTVSQVKENAQALHSALRRRKGDSSIEKSVAEYNLFTRKMKRSAKKLISSLKQMESKFGSSTVLNQDQDAVIRVLREVITMNLSIFQTILSYLAVPASKAKNTKWLMVAKLMHKRVTSCEENSQNLNELQCVEGSLSTLLGEGANVLKVQALREGLEGLENAIESIENGLERMFRRLVRTRANLLNIMTP >Vigun08g184400.3.v1.2 pep primary_assembly:ASM411807v1:8:35360444:35373602:1 gene:Vigun08g184400.v1.2 transcript:Vigun08g184400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRSDASDSIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGAEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIGSHSQENRMTQSAVAACMAPLLLRPLLAGECELEDEFDVSGDSSAQLLAAANAANNAQAIITTLLEEYENIFDEENIQRCSMSADSRVENSGSEDSTDDDNIDVKENGYHDAENEVDQETDEDADRVQSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSSSNHNKTENGNLNAVPDTPPTEDQNKQRKGSENPVDDNDPSNLLPSTESYRSMGEILSSMDPGNHLPIPVVESGSGKQTGKASSASFSSKRSTFWGRSNQPRKTPSVESVDSSGEEELAIQRLEIAKSDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLSNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGDRYQHAQNHSQQRFLQQDFDSTLAFCNHERKQRTEENLMGTDWRNIKGQVLASGNGSRQPSRKQFVESSPSDSKSTEASTSISVDDLGAVDSASVPSTSRAAEVGEYARHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDFVYKPSSPSWS >Vigun08g184400.1.v1.2 pep primary_assembly:ASM411807v1:8:35360398:35373603:1 gene:Vigun08g184400.v1.2 transcript:Vigun08g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRSDASDSIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGAEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIGSHSQENRMTQSAVAACMAPLLLRPLLAGECELEDEFDVSGDSSAQLLAAANAANNAQAIITTLLEEYENIFDEENIQRCSMSADSRVENSGSEDSTDDDNIDVKENGYHDAENEVDQETDEDADRVQSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSSSNHNKTENGNLNAVPDTPPTEDQNKQRKGSENPVDDNDPSNLLPSTESYRSMGEILSSMDPGNHLPIPVVESGSGKQTGKASSASFSSKRSTFWGRSNPRKTPSVESVDSSGEEELAIQRLEIAKSDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLSNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGDRYQHAQNHSQQRFLQQDFDSTLAFCNHERKQRTEENLMGTDWRNIKGQVLASGNGSRQPSRKQFVESSPSDSKSTEASTSISVDDLGAVDSASVPSTSRAAEVGEYARHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDFVYKPSSPSWS >Vigun08g184400.2.v1.2 pep primary_assembly:ASM411807v1:8:35360444:35373602:1 gene:Vigun08g184400.v1.2 transcript:Vigun08g184400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRPGASNTQVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRSDASDSIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGAEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIGSHSQENRMTQSAVAACMAPLLLRPLLAGECELEDEFDVSGDSSAQLLAAANAANNAQAIITTLLEEYENIFDEENIQRCSMSADSRVENSGSEDSTDDDNIDVKENGYHDAENEVDQETDEDADRVQSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSSSNHNKTENGNLNAVPDTPPTEDQNKQRKGSENPVDDNDPSNLLPSTESYRSMGEILSSMDPGNHLPIPVVESGSGKQTGKASSASFSSKRSTFWGRSNQPRKTPSVESVDSSGEEELAIQRLEIAKSDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLSNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLTDVGDRYQHAQNHSQQRFLQQDFDSTLAFCNHERKQRTEENLMGTDWRNIKGQVLASGNGSRQPSRKQFVESSPSDSKSTEASTSISVDDLGAVDSASVPSTSRAAEVGEYARHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDFVYKPSSPSWS >Vigun06g235200.1.v1.2 pep primary_assembly:ASM411807v1:6:34061023:34062989:1 gene:Vigun06g235200.v1.2 transcript:Vigun06g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFFCIFIFFSLIASNHAFDSLDPFGNITITWDYFSDNGDTIDVRVSIYNFQLFRHVEEPGWKLGWAWKGDEVIWAMLGAEAKEQGNCTRFRGQQKPHCCKKEPVIIDLMPGTPYNMQTANCCKGGVLTSLKQDHTKRGATFQMNYAKPSLSASAMPENFTLGIPGYSCGTPFQVPPTKFTKDGHRWQQVLETWNVTCIYSQFLASPAPKCCVSLSAFYNSTIVHCPTCSCNCRGLPGATCVDSDKTSVLQLPQPNRGEASASVIRCSHHMCPIRIHWHVKQSYKEHWRVKITITNMNFVKNYSQWNLVVLHPNLRSVTQVFSFNYEALRVYGNLSDVGMFWGLAYYNEMLLTHGENGNVQTEVLLHKDRGEFSFREGWSFPRKVSFNGDECVMPSPDAYPTLPNTAHTATTTLNTIFFSLLLILF >Vigun06g235200.2.v1.2 pep primary_assembly:ASM411807v1:6:34061186:34062989:1 gene:Vigun06g235200.v1.2 transcript:Vigun06g235200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEAKEQGNCTRFRGQQKPHCCKKEPVIIDLMPGTPYNMQTANCCKGGVLTSLKQDHTKRGATFQMNYAKPSLSASAMPENFTLGIPGYSCGTPFQVPPTKFTKDGHRWQQVLETWNVTCIYSQFLASPAPKCCVSLSAFYNSTIVHCPTCSCNCRGLPGATCVDSDKTSVLQLPQPNRGEASASVIRCSHHMCPIRIHWHVKQSYKEHWRVKITITNMNFVKNYSQWNLVVLHPNLRSVTQVFSFNYEALRVYGNLSDVGMFWGLAYYNEMLLTHGENGNVQTEVLLHKDRGEFSFREGWSFPRKVSFNGDECVMPSPDAYPTLPNTAHTATTTLNTIFFSLLLILF >Vigun07g019700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1634592:1635743:-1 gene:Vigun07g019700.v1.2 transcript:Vigun07g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETSLQEFKRVCIHKSSSRSSLQDLPEELIQNILLRVSIKTLLQLKLLCKSWNSIISHPQFAKHHLLASTTHHQFLSSATAAADTKIHIFSINSFFQKPPTPLGFCTITAHNLILGSCNGIVCLCHVHQCHITLFNPSTGFASPPLPTHLSPGEVFSTFHGFGYDHVNDKYKVLLVTRVFSETVTKVYTFGENCRIRVVENAPLDPHPSGFLGKCVSGTLNWMAPKLGQNDSIDQWVILSFDLASETFSEVMLPDRNIDNNICKPMINVVRSCLCVCFFERKKGCWGVWVMKEYGVQDSWTMLMMVPRFVTDHWPCTRNELCACRGCPPLDPLCVSEDGVALFKTTSSKLLLYHSKHGTFNCFRFRGDIWSYHESLVSPSS >Vigun04g163200.2.v1.2 pep primary_assembly:ASM411807v1:4:38712777:38716865:1 gene:Vigun04g163200.v1.2 transcript:Vigun04g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGYFAGRSSKRGVVVGGGGGGAAAAAADAETQAPSGCMCAVFQFFDFHPFHFPNITQQQNSFKPPSCTLEDHATVSKGAEAPRNSLESEDADGPVSSLSSKEEDFKIPNIMQIKTSGGTRTGGGNLNDLSAEITSSPGTKTPTLVARLMGLDLLPHSPSFSSPSSSSSCLSAPNTHGNAPHLHHHHLRHKQHVQTKHRNSIDSSEIAATRSLPETPRISSARRSDGDYYHHRLSLQINKENMNLAEDLELPRLSFSKRKCDENNGRSPSHYARQIVKQVKDSVSRKVGQDITNTLKTREENSGQQHKSKKSSKTSPLKAIDETETSPPKPHSNTSSYSPRLRFIDKHKPSPLTPKDQNMMKLPAPPPPVNTQLSRVLTKAKAQTLSLPEQQDFHQNSKSVPKCKMGSNEKFNSRLKRPPQTSDIIRNKQEESFVIRPTSPTRASDTKTAKSKKTHPLSSNLLNNINTVPNLLPVKTDPSPPATKIPPKQSQVCDSQESKSSSQLSSCSRQRYKQEGTTTLATRETSQNKHNSASSATIGAPQPHSHTPQELHYITAILARTTALNVEGTPTNPPLPSHQHHHWFSPTHPLDPSIFFHLEADKDLSFSPKEQLGQHWNRKLVFDLVDEVLKEILQDRGKNGLWFSKGVGGCWHGGNVVERVWKRVGEFPRAKCEVLEDIDGLIEGEDMQRDKDGERLEEEGEGLVAEIEGNIWDTLVHETVMLTDCLGNVRKEKLLDDDVKISHVCTVSQF >Vigun04g163200.1.v1.2 pep primary_assembly:ASM411807v1:4:38712777:38716865:1 gene:Vigun04g163200.v1.2 transcript:Vigun04g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGYFAGRSSKRGVVVGGGGGGAAAAAADAETQAPSGCMCAVFQFFDFHPFHFPNITQQQNSFKPPSCTLEDHATVSKGAEAPRNSLESEDADGPVSSLSSKEEDFKIPKNIMQIKTSGGTRTGGGNLNDLSAEITSSPGTKTPTLVARLMGLDLLPHSPSFSSPSSSSSCLSAPNTHGNAPHLHHHHLRHKQHVQTKHRNSIDSSEIAATRSLPETPRISSARRSDGDYYHHRLSLQINKENMNLAEDLELPRLSFSKRKCDENNGRSPSHYARQIVKQVKDSVSRKVGQDITNTLKTREENSGQQHKSKKSSKTSPLKAIDETETSPPKPHSNTSSYSPRLRFIDKHKPSPLTPKDQNMMKLPAPPPPVNTQLSRVLTKAKAQTLSLPEQQDFHQNSKSVPKCKMGSNEKFNSRLKRPPQTSDIIRNKQEESFVIRPTSPTRASDTKTAKSKKTHPLSSNLLNNINTVPNLLPVKTDPSPPATKIPPKQSQVCDSQESKSSSQLSSCSRQRYKQEGTTTLATRETSQNKHNSASSATIGAPQPHSHTPQELHYITAILARTTALNVEGTPTNPPLPSHQHHHWFSPTHPLDPSIFFHLEADKDLSFSPKEQLGQHWNRKLVFDLVDEVLKEILQDRGKNGLWFSKGVGGCWHGGNVVERVWKRVGEFPRAKCEVLEDIDGLIEGEDMQRDKDGERLEEEGEGLVAEIEGNIWDTLVHETVMLTDCLGNVRKEKLLDDDVKISHVCTVSQF >Vigun05g044200.1.v1.2 pep primary_assembly:ASM411807v1:5:3657881:3660755:-1 gene:Vigun05g044200.v1.2 transcript:Vigun05g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLFSLLVCAYAVAVFAATSDWEGQESQDWLNHGGDLLNRRYAYKERKISPETAPNLRLKWKFYAGKDITATPAIYDGTLYFPSWNGNIYAVKEGDGSLVWKQNLQILTGLKATGLIQNVNWTVSRSTPTVAGDLLIVGIYGPAVVIGVRRTNGELVWKTTLDNHPAALITMSGTFYNGSYYVGTSSLEEGATIEQCCVFRGSFTKLDVQSGAILWKTYVLPDNNNTRGNYAGAAIWGSSPSIDVYRNHVYIATGNLYSAPSHILQCQERQQNQTKPTQPDECVEPENHSNSILALDLNSGNITWFRQLGGYDVWFFACTNASTPNCPPSGPTPDADFGEAPMMLTAYVNGSKKDMVVAVQKSGFAWALDRNNGSLIWFTEAGPGGFAGGGTWGAATDERRVYTNIANSEAKNFTLAPLNITTTTGGWVAMDSNKGKILWSTANPSNSTANGPVSVANEVVFAGSTDRMGSIYAINAKSGKILWSYKTGASVYGGMSIDNGCIYVGHGYNVGLGFATNLTGGTSLFAFCV >Vigun08g213600.1.v1.2 pep primary_assembly:ASM411807v1:8:37443551:37448217:1 gene:Vigun08g213600.v1.2 transcript:Vigun08g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGISVADGNLMVLGKKVLSHVHDNVVVNPACGGALLNGAFIGVESHQKGSRTVFPIGKLEGLRFMCVFRFKMWWMTQRMGTCGKEVPFETQFLLVEAQSGSDIDAGEGQTAATYAVFLPLLEGDFRAVLQGNDQNEIEICVESGCPAVEDFDGTHLVYIGAGSDPYEVITNSVKAVEKHLHTFAHRERKKMPDILNWFGWCTWDAFYTNVTSENVKQGLQSFEKGGIPAKFVIIDDGWQSVDMDPNGTEWKSDHAANFANRLTNIKENHKFQKDGKEGERVEDPALGLRHITNEIKQEHDIKYVYVWHAITGYWGGVKPGVTGMEHYDSKMAFPVSSPGVESNQPDEALATIAINGLGLVNPEKVFHFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASISRNFPDNGIICCMSHNTDGLYSAKRSAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHAAARSVGGCPIYVSDKPGHHDFDLLKKLVLPDGSILRAKLPGRPTKDCLFTDPARDGKSLLKIWNMNDFSGVVAVFNCQGAGWCKVDKKNLIHDDNPGTVTGFIRAKDVDYLSRITDDEWTGDAVIYSHLGGEVVYLPKDATLPVTLKSKEYEVFTIVPVKDLNNDIKFSPIGLIKMFNSGGAVKEFFSLGSNESTNVAMKVRGCGQFGAYSSVRPKLITVDSEEVEFKYEEETGLVTVELRVPEKELYQWSISIDF >Vigun08g189600.1.v1.2 pep primary_assembly:ASM411807v1:8:35750040:35759183:1 gene:Vigun08g189600.v1.2 transcript:Vigun08g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNREKKGEALHRQTPKVDEVTRIRISQILEQFRASKDEVYKFDAGLSNQERALVHQMSQKMGFRSKSSGLGNDRRVSVQKIKKKSDTDNGFRNLPHFTFSEEAKWVLGDLFAHYPPGDGDLWEMVGENSDSTAEKTKQKQDDIFSRPSMSKNEIAKRLEALSSRINKVPNLKQIIEDRSKLPIASFKDAITSTVESHQVVLISGETGCGKTTQVPQFILDHMWGKGEVCKIVCTQPRRISATSVSERISAERGETIGENVGYKIRLESRGGRQSSIVLCTTGVLLRVLVSKGSRSSKIGHMKDDISGITHIIMDEIHERDRYSDFMLAIIRDMLPSYPHLRLILMSATIDAVRFSQYFGGCPVISVPGFTYPVKTFYLEDVLSIVKSKNDNHLDSTTSSTSINTRELSEEEKLSMDEAINLAWSNDEWDMLLELVSSEGTPELFNYQHSLTGITPLMVFAGKGRVGDMCMLLSCGADCNLKAQDGMTALEIAERQKQPEAAEILKKHLNNDFSNSTEENKLLDKYLSTVNPELVDIVLIEQLIRKICIDSTDGGILVFLPGWDEINRTREKLFASYFFKNSSKFMLISLHSMVPSMEQKKVFRRPPHGCRKIVLSTNIAETAITIDDIVYVIDTGRMKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGICYHLYSRTRAASLPDFQIPEIRRIPIEELCLQVKLLDPNCKVEEFLGKTLDPPVFESIRNAIIILQEIGAFSSDEKLTKLGEKLGSLPVHPSICRMLFFAILMNCLDPALTLACASEYRDPFTLPMLPEEKKRATAAKSELASLYGGCGDQFAVLAAFECWNNSKKMGLEARFCSQYFVSSSAMHMLSGMRRQLQTELIRNGFINEDASSYSVNAYDPGVLHAVLVAGLYPMVGRFIPNKMGKRFIVETANGDKVRLHNHSTNFKLSFRKYLDHTLIVYDEITRGDVGMNIRNCTVVGQLPLLLLSTEIAVAPADENDDDEDDAGGSEDEVGSEDGMEVDAKSSGGREDKLMSSPDNMVKVIMDRWLYFRSTAIDVAQLYCLRERLSAAILYKVTYPRNDLPPIMAASMHAIACILSCDGCIGMPAISEGVDTLTTMVNAATLGKPGSRRFGKRPKGSLAELINYEGRQNSGPSKA >Vigun10g135700.1.v1.2 pep primary_assembly:ASM411807v1:10:34763853:34764923:1 gene:Vigun10g135700.v1.2 transcript:Vigun10g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNEEKRIPLKYWVNDETKRVSVAEASGELVDVLFSFLTLPLGTIIRLCNSSQQQERRENTSEQQEGHENTSEQQAVPEQQVGLGCINELYKSVNHLQPDVFRNKICQKMLHFPRNPLESSCQRLKVKVDDTEPTKYFMCHNCSRKGSKLLVSSFIDVKCNCGSLMRKEIALLDEAAGDDGVFVKEKAMFFIYDNLTVRQSSPSEFIQAKQKKLQKYKEVLLDSEKILNILKQALTPKPLLLMSYWETQNPSDRSLSHELLAQVIPKII >Vigun08g150200.1.v1.2 pep primary_assembly:ASM411807v1:8:32281575:32284319:-1 gene:Vigun08g150200.v1.2 transcript:Vigun08g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSELEGTLLKDSDAFSYFMLVAFEASGLVRFALLLALWPVIRLLDMVGMNDASLKLMIFVAVAGVPKSEIESVARAVLPKFYMDDLDMEKWKVFSSYEKRIVVTKTPKIMVEMFVKEHLHADEVVGTQLSFNRFDLATGFVHADSSNTVSQRVANLLNNGEPTLVMDQSHPPDLTGKNYDQQLLRPLPVIFHDGRLVKRPTPSTSLLILLWIPVGIVLAIIRIAIGSIIPFWAIPYMSWLFGGKVVVKGKPPLPPSGGNSGVLFVCTHRTLMDPVVLSSVLLRRIPAVTYSISRLSEILSPIPTVRLTRTRHVDAEKIKRELSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSPTFSLVDQMVKVVRTFIQ >Vigun06g119000.2.v1.2 pep primary_assembly:ASM411807v1:6:24715089:24718072:-1 gene:Vigun06g119000.v1.2 transcript:Vigun06g119000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECKRSGQIPAFGNWDYANELPITQYFETARQAGLVRYSSSSGESDPYVRPHRDLYAVDFKKPLRNMPPSTVKKATRSKERRCAQVMVMVNDKEKESVKVNMRKQGKVCDVTEQARKPVRKEMHLHDAVPLSPPPRHVRLPKPVDEDLYKIPPELLRTTKRVSYLTSPHAFYLFIHL >Vigun06g119000.1.v1.2 pep primary_assembly:ASM411807v1:6:24715089:24718072:-1 gene:Vigun06g119000.v1.2 transcript:Vigun06g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECKRSGQIPAFGNWDYANELPITQYFETARQAGLVRYSSSSGESDPYVRPHRDLYAVDFKKPLRNMPPSTVKKATRSKERRCAQVMVMVNDKEKESVKVNMRKQGKVCDVTEQARKPVRKEMHLHDAVPLSPPPRHVRLPKPVDEDLYKIPPELLRTTKRKKMLGFISKCLVPAACVS >Vigun09g110100.11.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.15.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23591663:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIGTSSPSWII >Vigun09g110100.7.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.8.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.9.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.13.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.5.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.14.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23591663:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIGTSSPSWII >Vigun09g110100.1.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.12.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.2.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.6.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEVVQVLVFRVYDVDTQFHNLDIKMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun09g110100.10.v1.2 pep primary_assembly:ASM411807v1:9:23585094:23593987:1 gene:Vigun09g110100.v1.2 transcript:Vigun09g110100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEVTAGRAAIGGTAGDFRSLAGAPHDAVENFLRSRGHHGLFSQIELSFSASGLGDRDVLFKNNPMMVLYAKGKTGALEEICRTEVVLNSSSPTWITKHTLIYHFEMLELDEQQFLGEATCVLSQIITQRDQSLTIDLHIEDSLRSTLPKNCGKLLVHAEECVSSKTAIEMVFRCSDLECKDLFSRNDPFLLISKVAEGGVQIPICKTEVIKNDLNPIWKSVFVNIQQVGSKESILIIECYNYNSNGKHDLIGKVQRSLAELENIHNNGQGENLFLPSSGGQNHDNKVLRSQLFVDRFIESVQYTFLDYLAGGFELNFMVAVDFTGNQLVLQIHDTSFEPICGCCVTSGQCGIYLFWIASNGNPRLPDSLHYIDPSGRPNAYQRAIVEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMMAYTSALHNVSLAGPTLFGPVISTAALIASQSVANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEVLDADKGERLESSYGRVASRDIVQFVPFREVQSGFSVVQALLAELPTQFLTYVRSRDIQPSL >Vigun03g212600.1.v1.2 pep primary_assembly:ASM411807v1:3:35246670:35249039:1 gene:Vigun03g212600.v1.2 transcript:Vigun03g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYTDIGKRARDLLFKDYQNDHKFTITTQTSTGVEITSTGVRKGELYLADISTKLKNKNITSDIKVDTNSSLRTTITVDEPAPGVKAIFSFIFPDQKSGKVELQYLHEYAGISTSIGLTANPIVNFSGVVGNNLVAVGTDLSFDTASGNFTKYNAGLNITHADLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELSHNFSSNENSLTIGTQHALDPLTHLKARVNNYGLASALIQHDWSPKTRFSLVGEVDTGAIGKSAKVGLAVALKP >Vigun03g186400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24683863:24684699:-1 gene:Vigun03g186400.v1.2 transcript:Vigun03g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSFLDFQYNLSRRKYLRKPSRLFSRDRQNSGVNSVYLQPNADEMKRVFDKFDSNKDGKISQQEYKVTMKALGMGDSVHEVRNIFKVVDLDGDGFINFKEFMEAQNKGGGVRAMDIHSAFRTFDRNGDGKISAEEIKEMLARLGERCSIDDCRRMVRAVDTDGDGMVDIDEFTTMMTHSLRPV >Vigun03g071300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5883389:5885945:1 gene:Vigun03g071300.v1.2 transcript:Vigun03g071300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHYCGGVSACINSSWTLFTWNSKIRHLVNQGHAHNALLLFRHMKRTSLTPNNSTFPFLLKACATLSHLLNSKIIHAHVLKSRFQANIYVQTAMVDMYVKCGQLEDAHNVFVEMPVRDIASWNAMLLGFAQSGFLDRLSCLLRQMRLSGIRPDSVTVLLLMDAILRVKSLTFLGAVHSFGIRIGIRDDLSVANTLVAGYAKCGDLGSAEMVFDEIETGLRSVVSWNSMIAGYAKFEKYVKAVNCYKLMLDGAFSPDICTILNLLSSCVQPMALFHGLLVHSHGVKLGCDSDVCVVNTLICMYSKGGDVHSARSLFDCLSDKTCVSWTVMISGYAEKGFMSEALTLFNAMESAGEKPDLVTVLALLSGCGQTGSLELGKWVDNYSVNKGLKDNVIVCNALIDMYAKCGSFNDSKEVFYGMANRTVVSWTTMITACALNGDVKDALDLFFMMLEMGMKPNHITFLAVLQACAHGGLLESGLECFNMMTQKYGISPGIDHYSCMVHLLGRKGHLTEALEIIRSMPFEPDAGIWSALLSACKLHDKMEMGKFVSEQLFELEPQVSVPYVEMANIYASAEMWDGVADIRRKMKYLQLRKSPGQSIIQVNGKSTVFTVEDRKHPETLYIYDMLDAFTSHSKKGLLGYAEEILEGWE >Vigun03g071300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5883389:5885945:1 gene:Vigun03g071300.v1.2 transcript:Vigun03g071300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHYCGGVSACINSSWTLFTWNSKIRHLVNQGHAHNALLLFRHMKRTSLTPNNSTFPFLLKACATLSHLLNSKIIHAHVLKSRFQANIYVQTAMVDMYVKCGQLEDAHNVFVEMPVRDIASWNAMLLGFAQSGFLDRLSCLLRQMRLSGIRPDSVTVLLLMDAILRVKSLTFLGAVHSFGIRIGIRDDLSVANTLVAGYAKCGDLGSAEMVFDEIETGLRSVVSWNSMIAGYAKFEKYVKAVNCYKLMLDGAFSPDICTILNLLSSCVQPMALFHGLLVHSHGVKLGCDSDVCVVNTLICMYSKGGDVHSARSLFDCLSDKTCVSWTVMISGYAEKGFMSEALTLFNAMESAGEKPDLVTVLALLSGCGQTGSLELGKWVDNYSVNKGLKDNVIVCNALIDMYAKCGSFNDSKEVFYGMANRTVVSWTTMITACALNGDVKDALDLFFMMLEMGMKPNHITFLAVLQACAHGGLLESGLECFNMMTQKYGISPGIDHYSCMVHLLGRKGHLTEALEIIRSMPFEPDAGIWSALLSACKLHDKMEMGKFVSEQLFELEPQVSVPYVEMANIYASAEMWDGVADIRRKMKYLQLRKSPGQSIIQVNGKSTVFTVEDRKHPETLYIYDMLDAFTSHSKKGLLGYAEEILEGWE >Vigun03g071300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5883404:5885945:1 gene:Vigun03g071300.v1.2 transcript:Vigun03g071300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHYCGGVSACINSSWTLFTWNSKIRHLVNQGHAHNALLLFRHMKRTSLTPNNSTFPFLLKACATLSHLLNSKIIHAHVLKSRFQANIYVQTAMVDMYVKCGQLEDAHNVFVEMPVRDIASWNAMLLGFAQSGFLDRLSCLLRQMRLSGIRPDSVTVLLLMDAILRVKSLTFLGAVHSFGIRIGIRDDLSVANTLVAGYAKCGDLGSAEMVFDEIETGLRSVVSWNSMIAGYAKFEKYVKAVNCYKLMLDGAFSPDICTILNLLSSCVQPMALFHGLLVHSHGVKLGCDSDVCVVNTLICMYSKGGDVHSARSLFDCLSDKTCVSWTVMISGYAEKGFMSEALTLFNAMESAGEKPDLVTVLALLSGCGQTGSLELGKWVDNYSVNKGLKDNVIVCNALIDMYAKCGSFNDSKEVFYGMANRTVVSWTTMITACALNGDVKDALDLFFMMLEMGMKPNHITFLAVLQACAHGGLLESGLECFNMMTQKYGISPGIDHYSCMVHLLGRKGHLTEALEIIRSMPFEPDAGIWSALLSACKLHDKMEMGKFVSEQLFELEPQVSVPYVEMANIYASAEMWDGVADIRRKMKYLQLRKSPGQSIIQVNGKSTVFTVEDRKHPETLYIYDMLDAFTSHSKKGLLGYAEEILEGWE >Vigun06g005500.1.v1.2 pep primary_assembly:ASM411807v1:6:2967922:2971841:1 gene:Vigun06g005500.v1.2 transcript:Vigun06g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVLALPAQKERNVIESERKRGSKKRKPHQGDIEEKVGSDLYSYGCDIGFVGDKLLSDGKIQSKQKMKKTVEDEQQENANDTEASKFILKRSEPHIYSREKIDQELCSYGCGIGFVEDKLLVEGNIKSKDKKKKKKKVVDHKLQEDDFALGCIDDKLLVDGMIKSKEKRKKKFADNKLQKNGGDTKTSNFILKKREPQGDNREMIDPDSRFYGSELGFVKDILLVDEKIKSKEKNKRKKTVKDDDAETSKFILKMCGPQGVNREKVDVELCCYGSEGNVGEIATEKMESERKRRSRKRKPRQVDIEENSGPDLCSSGSEIVFVGDKLLSDGKVKSKQKKKKTVEDEQRENGDETKTSKLILKRRDPQIDSREKIDPELCSYGCGIGFVEDKLLEDGNIKSKKKKKKKKKKKVVDHKLQEDEFAHGFVDDKLLVDGMIKSKEKRKKKFVDNKLQKKGDDSETSNFILKKREPQGDNREMIDPDSRFYGSEIGFVEDNLVLDGKIKLKEKNKRKKTVKDDDAETSKFVLKMCEPQGDNGEKIDVELCCYGGAGNVGELDTEKMESEQKKRLKKRKPHQGDIEENSGPDLCSSACEIVFVGDKLLSDGKIKSKQKKKKTVEEELDDETETRKFILKRREKIGPELCSYGGGIEFVEDKLLVEGNIKSKDKKKKKKVVDHKLQQDDLALCFVDDKLLVDGMIKSKEKRKKKYADNKRQKNGDHTETHNFILKKREPQGDNRGIIDPDSGFYGSEFGFVEDDLLVDGKIKSKEKNKRKKTVKDKLSENCDDAETSKFILKKREPQSDYRENIDLENNLQMNGNDTNAYVNPKKLKKNLSASEKWDEAYKRKTPDNTWKPPRSETVLIQEDHAHDPWRVLVICMLLNRTSGRQTKEIVLDFFKLCPDAKSCTEVATDEIEETIRTLGLQHKRAKMLQRLSEEYLDESWTHVTQLHGVGKYAADAYAIFVNGKWDRVTPTDHMLNYYWEFLRRIYQA >Vigun01g053900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:10199731:10201050:-1 gene:Vigun01g053900.v1.2 transcript:Vigun01g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLFFCLAFFSVSSLSSAEANESPNGFTVDLIHRDSPVSPFYNPSLTPSQRIINAALRSNSRLNRVYHHFLDQTNKPPQSVLIPDKGEYLMKFYIGTPPVERLAIADTGSDLIWVQCSPCASCFPQDTPLFKPLQSSTFVGTSCGSHPCTLLTSSHSQCGNSGECVYAYQYGDSSFTAGLLSTETLTFDDSQGGAQTVAFPNTIFGCGLYNNFTVYSSTKLTGLVGLGAGPLSLVSQIGDQIGRKFSYCLLPFSSTSTSKLRFGNEATITGNGVVSTPMIIKPSLPTFYFLNLEAVTIAQKTVPTGGTDGNVIIDSGTVLTYLEPTFFNTFAAWLQEALGVELVQEDVPSPLNFCFPYSENLAIPEIAFQFTGATVPLKPVNLFINVQERNMLCLTIVPSTITGISIIGSFSQFNFHVEYDLEGKKVSFHPTDCSKD >Vigun03g058300.1.v1.2 pep primary_assembly:ASM411807v1:3:4738891:4753435:-1 gene:Vigun03g058300.v1.2 transcript:Vigun03g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVSSLNAAQKAIDSIGLGFDITQDIDFDNFKKGSRLIFVDEKQCRLLEISGGGISVPNVPNSIKCVRGESIRVFSEVLPLQQMLDHFNQEMCLGGRTASGHFCASFGLSSRGIKDLTSIKSLAYDGWFIKRYAIELETYHGELLDHVKEAVPSSWDPEALARFIERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLNDTADTKFKDQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTVDSEPDVISLFLLPLTSLLRSIHGSGFVSHAINLYLRYKPPNEDLHQFSEFQLPRQWAPVHSEIPLGSHSKHQENTWLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFRIKFGGILAFISREGQRGLPMPGDKTIGSDIYSSGPPAPVHTPKLQRFVDTTEMMRGPADTPGYWVVSGARLSVEHDKIYLLVKYSLLSFVM >Vigun03g058300.2.v1.2 pep primary_assembly:ASM411807v1:3:4738891:4753435:-1 gene:Vigun03g058300.v1.2 transcript:Vigun03g058300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLIMSKKQCHRRGTLRPWQERFGTHVIVGVSMGGKDVLYLRQGDTSYLGPTSIQKLLNDTADTKFKDQASEDFSKEKENLVMIHSRRGGSNQTMYHDEWLDTVDSEPDVISLFLLPLTSLLRSIHGSGFVSHAINLYLRYKPPNEDLHQFSEFQLPRQWAPVHSEIPLGSHSKHQENTWLRFSILGPKLYVNTIPVDVGNRPVVGLRLQLEGRRSNRLAIHLQHLASLPKSLPLSDNANTYLSCDSYNCNLHKKVKWNSFSYVCTAPVESDDSVSIVTGAQLQVEKKCLLLRLRFSKVIGAILQKPPEWDQSSSLGQFRIKFGGILAFISREGQRGLPMPGDKTIGSDIYSSGPPAPVHTPKLQRFVDTTEMMRGPADTPGYWVVSGARLSVEHDKIYLLVKYSLLSFVM >Vigun02g126800.1.v1.2 pep primary_assembly:ASM411807v1:2:27917295:27921276:1 gene:Vigun02g126800.v1.2 transcript:Vigun02g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKSRKRSSSPSYVSTLTIFLFIALCVFAVWMLSSKSVVTPQTQSDDDIATRTSIDTSVTNDELSASHEIAAKIAETAKDTASSVFGDNPGQLPDDAIKSDEKTSNIESQNQHIMASMDAQLSEESSLTQKEQASVIHEAGADSDVKITEPEKPQQTIPKASNKNKKDEEIAAAAVENQEQSIGKPQEVQGFDTKPEEQFREDKGAVEANPKPEMLQQDSEAALKGITSEQVPEKIENQKVEDVVEVTPKPKAEKKGRKSKKQWSTQAAQSQKENKRQKVESNSEEKLEDHEWYLCNVTANEDYIPCLDNEKAVKQLRTTRHYEHRERHCPQDPPTCLVPLPKGYRTPIEWPNSRDKIWYHNVPHKLLAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIDFLQQAQPSIAWGKHTRVILDVGCGVGSFGGFLFERDVITMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSLVFDAIHCARCRVPWHVDGGMLLLELNRLLRPGGYFIWSATPVYQKLEEDVEIWKEMTTLTKSICWDLVTINKDELNQVGAAIYRKPTSNGCYNQRAQSEPPLCKDDDDPNAAWYVPLQACIHRVPVDKTERGGKWPEPWPRRLNKPPYWLNNSQTGIYGKPPAQDFAADTNRWKNVVEELTNIGITWTNVRNVMDMRSIYGGFAAALKDLPVWVFNVVTVDAPDTLPVIYERGLFGMYHDWCESFSTYPRSYDLLHADNLFSKLKDRCKLTAVMAEVDRITRPGGKLVVRDESSTLSEVRTLLNSLHWQILHDKIKEGVLCAKRGKWRPKAIAVS >Vigun07g234800.1.v1.2 pep primary_assembly:ASM411807v1:7:35650430:35651665:1 gene:Vigun07g234800.v1.2 transcript:Vigun07g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYAMQSILANPMMGMSTRSRVNHFGIPAMYLRRNVSLRVRSMAEEEQQSEPAEPMKQPATTASPKVSTKFSDVLAFSGPAPERINGRLAMIGFVAAMAVEVAKGQGVFEQISNGGIPWFLGTSVVLTLASLVPLFQGVSVESKSKGFMSSDAELWNGRFAMLGLIALAFTEYVKGGTLV >Vigun04g069666.1.v1.2 pep primary_assembly:ASM411807v1:4:8058807:8072981:-1 gene:Vigun04g069666.v1.2 transcript:Vigun04g069666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREAIIALRKRARTTMSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSEPTWIMFPGTHLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGVLKTLITLNDKRK >Vigun05g032700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2607362:2609913:-1 gene:Vigun05g032700.v1.2 transcript:Vigun05g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEVESIAPSSESKELPTEASFALTFFDILWLRLPPVQRVFFYEFHHPSDVFFDTLLPKLKRSLSLALGHFYPLAGHLTWPTHSTKPLIVYNQGDTLSLTVAESDADFNHLAGTNFTEATETHPFVPPLTISHEQTTLFAVQVTLFPNAGFAIGITSHHAVLDGKASTTFVKSWAYLCREAQPPYSLPAEWVPFFDREIVKDPTKIGEKYSRDWLKMGGPNNRSLKVSEMPVPEEATRGLFHLSSSGIEKLKQIVQSKENNTNLHLSTFVLAAAYSMVCRVKAEGGQSTSTGFGLNVDCRRQMEPPVPPTYLGNCVGITVAITETKDLLGEDGLVVAVKALSEALGTLKKEGFLHEAEDWWKMVYESYKVGDTKTAAFAGSPRFDIYSSDFGWGKPTKVEMVSIDRTAAFCFSDSRTGDGIEIGFVTKKKAMETFASLFEEGLRS >Vigun01g178700.1.v1.2 pep primary_assembly:ASM411807v1:1:35947992:35948678:1 gene:Vigun01g178700.v1.2 transcript:Vigun01g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQKGLCCVFHIRNHNVSSLNLSSAVVCTATNFHAPNPPSKTNLQSMTRGKARRILKPLPAALPVPPPLDLTEDNVRQALEDARQELGQIFDTSVGMTGVVELADLDGPFVKLSLRGRFWHKRSTVVARIANYLKQRIPEILEVDIEDEKQLDDSPENF >Vigun01g178700.3.v1.2 pep primary_assembly:ASM411807v1:1:35947992:35948637:1 gene:Vigun01g178700.v1.2 transcript:Vigun01g178700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQKGLCCVFHIRNHNVSSLNLSSAVVCTATNFHAPNPPSKTNLQSMTRGKARRILKPLPAALPVPPPLDLTEDNVRQALEDARQELGQIFDTSVGMTALGVAFGTSVPRSLPESPIISNRGFLRFWRLI >Vigun01g178700.2.v1.2 pep primary_assembly:ASM411807v1:1:35947842:35951179:1 gene:Vigun01g178700.v1.2 transcript:Vigun01g178700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQKGLCCVFHIRNHNVSSLNLSSAVVCTATNFHAPNPPSKTNLQSMTRGKARRILKPLPAALPVPPPLDLTEDNVRQALEDARQELGQIFDTSVGMTGVVELADLDGPFVKLSLRGRFWHKRSTVVARIANYLKQRIPEILEVDIEDEKQLDDSPENF >Vigun01g178700.4.v1.2 pep primary_assembly:ASM411807v1:1:35947842:35951179:1 gene:Vigun01g178700.v1.2 transcript:Vigun01g178700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQKGLCCVFHIRNHNVSSLNLSSAVVCTATNFHAPNPPSKTNLQSMTRGKARRILKPLPAALPVPPPLDLTEDNVRQALEDARQELGQIFDTSVGMTGVVELADLDGPFVKLSLRGRFWHKRSTVVARIANYLKQRIPEILEVDIEDEKQLDDSPENF >Vigun01g178700.5.v1.2 pep primary_assembly:ASM411807v1:1:35947842:35951179:1 gene:Vigun01g178700.v1.2 transcript:Vigun01g178700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQKGLCCVFHIRNHNVSSLNLSSAVVCTATNFHAPNPPSKTNLQSMTRGKARRILKPLPAALPVPPPLDLTEDNVRQALEDARQELGQIFDTSVGMTALGVAFGTSVPRSLPESPIISNRGFLRFWRLI >VigunL060527.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:37609:37731:1 gene:VigunL060527.v1.2 transcript:VigunL060527.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun11g221300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41328602:41329492:-1 gene:Vigun11g221300.v1.2 transcript:Vigun11g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSKHLITFLFVILSSSFTAHSAGQRPYQEASTVFIRTSCSSTTYPRLCYSSLVKHADLIQTNRVVLAGTALNVTLASAKSTSAMMTALGKRQGLKPREVAAMKDCVEELGDSVDELRRSIDEMSHLRASNFEMTMSDVQTWVSAALTDESTCTDGFQETATTAGGTNIKSTVRGQVVQVAQLTSNALALINQLANSHG >Vigun04g063100.1.v1.2 pep primary_assembly:ASM411807v1:4:6749548:6754742:1 gene:Vigun04g063100.v1.2 transcript:Vigun04g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPFTFTPMIRSFFKPCPCILLLLLFSCTCFTSSEAYDPLDPNGNITIKWDIISWTPDGYVAVVTMNNYQKYRHISTPGWSLGWTWAKKEVIWSMVGGQTTEQGDCSRFKGNIPHCCKKNPIVVDLLPGTPYNQQIANCCKGGVLSSWAQDQSKAVAAFQISVGSAGTTNKTVKLPQSFTLKAPGPGYTCSPAKIVKPTQFIQPDKRRVTQALMTWNVTCTYSQFLAQRTPTCCVSLSSFYNDTVVPCSTCACGCQGNSSLTGDCVNPDSPHLASVVSNSGHDKSSITPLVRCTRHMCPIRVHWHVKLNYKEYWRVKVTVTNFNYRMNYSDWNLVIQHPNFENLTQLFSFNYQSITPYGSINDTAMLWGVKFYNDFLMQAGSLGNVQSELLFRKDKSTFTFDKGWAFPRRVYFNGDVCVMPPPDAYPWLPNAGSRQEVPLLVLMMTSLVALVLLHAYA >Vigun07g195500.5.v1.2 pep primary_assembly:ASM411807v1:7:31497986:31500034:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVENFCIANILKLAMVFMLSYIVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun07g195500.6.v1.2 pep primary_assembly:ASM411807v1:7:31497986:31499315:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHVLCRKFLHCQYPEISHVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun07g195500.1.v1.2 pep primary_assembly:ASM411807v1:7:31497935:31499361:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIDSFASGLGQAVGKLFSSPIEFLSGKSCSSVCGPTWDFMCYVENFCIANILKLAMVFMLSYIVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun07g195500.4.v1.2 pep primary_assembly:ASM411807v1:7:31497936:31500034:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVENFCIANILKLAMVFMLSYIVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun07g195500.2.v1.2 pep primary_assembly:ASM411807v1:7:31497936:31500034:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIDSFASGLGQAVGKLFSSPIEFLSGKSCSSVCGPTWDFMCYVENFCIANILKLAMVFMLSYIVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun07g195500.3.v1.2 pep primary_assembly:ASM411807v1:7:31497986:31500034:-1 gene:Vigun07g195500.v1.2 transcript:Vigun07g195500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIDSFASGLGQAVGKLFSSPIEFLSGKSCSSVCGPTWDFMCYVENFCIANILKLAMVFMLSYIVLLFFYLVHKLGICGCLCRSSCKMIWACFSSCFHVWEYSCTFLCIKLHNIRRTRRIRRKVRMDMKKKFYSGEEDYSGESLSHHFPTSAKRIRSISRSRDYKASHLRKCLEPRRHHARVEISRDLRCKSKRNHSLGEPNFTSNAIKHGNHIGTINDIKVIRTSKFARKGISRRNRVLPRQRR >Vigun09g165300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33328240:33329687:-1 gene:Vigun09g165300.v1.2 transcript:Vigun09g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLNEIARLLKNLQTQENVPADSEKTESQAPKRRRLCRDDGSQHWVSHDPNKPLQEKLPSPPEDQAAPTGETNFFDILETLIRAAGVQSEERSKALSQSQEELAIANKRIADLTKQLDYAREECDSHYAKLNLTSKDYEKCRNRSHQDKLEWEAQEKDLKREKSQLSSALEEAKDEIARYFMDGFQGAIEQASILFPNTDFSALDPLKIVVDGKIVSE >Vigun09g221700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39504034:39505215:-1 gene:Vigun09g221700.v1.2 transcript:Vigun09g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYALDISHTNAKKNPSFSSSLLDTIYRSIDRGDTTANDMKLRTQTMIKKQSRILVHEELTASTIPRSCLVQKWKGSDKTVKAQMEERKPISRLHDHDHDREVMFFSSTSSCSDSSSGLLSSSDTESLYGSRSRVSCFAPSRPKPLMTSASNEERLIKSKSRALKMYNNLKKVKQPISPGGRLSTFLNSLLGNGKKAKTLEEAKPKSVQESTCSSASSFSRSCLSKASPSSNKLRDGVKRTVRFYPVSVIVDEDCRPCGHKCLYEEDTTRVMAVSVPTAWKIGRNKNEEEEKVVDKSRRVEEAAREFLKEYHRSQKRSNFINFRDFPNNVAEDKDEDEDDDDTDDDDDAASYSSSDLFELDHLSVMGNNRYREELPVYETTHVSTNRAIANGLV >Vigun11g033500.1.v1.2 pep primary_assembly:ASM411807v1:11:4412445:4415959:1 gene:Vigun11g033500.v1.2 transcript:Vigun11g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVLGLVSATLLVIVAGYVLYCKKRVSRCESKDIESSEHKEEEEVAQKEDLMIFQGGEDLTICDILDAPGEVIGKSNYGTLYKALLQRSNKVRLLRFLRPVCTARGEELDEMIQFLGRIRHPNLVPLLGFYTGPRGEKLLVHPFYRHGNLTQFIRDGNGECYKWSNICRISLGIVKGLEHLHTSQEKPIIHGNLKSKNILLDRSYQPYISDSGLHLLLNPTAGQEMLESSAAQGYKAPELIKMKDATEESDIYSLGVILLELLSGKEPINEHPTPDEDFYLPNFMRNAVLGHRIADLYHPAILLRNSREDSVPVTEEFILKVFQLAMACCSPSPSVRPNIKDLKGNYSDSFTVKNVVLLSKNFKPECEFSCLDNHRIC >Vigun03g196950.1.v1.2 pep primary_assembly:ASM411807v1:3:27885597:27887336:-1 gene:Vigun03g196950.v1.2 transcript:Vigun03g196950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMCLATIQTVLTNKSMKLDHRRIVTLENQTKGILCLLKFDLRGCNSLIVRSWSPMLGILPGLDVIYVESKDDKS >Vigun03g093100.1.v1.2 pep primary_assembly:ASM411807v1:3:7855278:7864125:1 gene:Vigun03g093100.v1.2 transcript:Vigun03g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGFLPLLKSIMVPINIKDLKGCSVAVDTYSWLHKGALSCSTELCKGIPTTRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKNEQEIKRARARKDNFARAVEHESDGNSAAAYECYQKAVDISPQVARELIQVLKQENVQYIVAPYEADAQMTFLAISGQVDVVITEDSDLIPFGCPRILFKMDKFGQGVQFQYSMLQKNKELNFEGFNRQMVLEMCILSGCDYLQSLPGMGLKRAHASIKKFGSYDKVLKHLRYSGVSVPPLYEESFKKAIRTFQYQRVYDPINENIVHLSNIPDDIGDELDFLGPPIPKDIAQRIAKGDLDPITKMPFEGENLTARLTIAGTSQFETLRSESTKKKIDLPVQKNLLTKYFCVASLEAKRKFRAPRVSPTAANETKNCTASKIDYEISGSARHPNCHTEYDSATKLSEFTESPYHVSMIHEEKKNPEHALLQQPRQPIHKPCLGLHKEHGHTNAQDTVEEKTREVSGKVIVRSAYFQHKQVEKNACDEKHEYQSSGIVIDERKKAISDSDLCNDHLKNKDQGFHEKVEGKTRETGKAIVRSAYFQHKQVEKNVRDEKQECQSSGIVIDERKNGIFDSYLYNNHLKNKDQGFHDIVEGKTRETTRKVIVRSAYFQHKQVQKNVCDEKQDYLSSGIVTDNRSAISDSDLCNNQLKNKDLKRKLSPNDNIQDENLHPRQVQRASPPQSNGCCDHNVEGPVTENNGEEEKFGTNISHLGQYSEIAEKSMERFVSVISSFRCTSGTRVSGLRAPLKDVRNTSNNRPKTVDFGQYAYVPKNTKIRRIAPEN >Vigun03g093100.2.v1.2 pep primary_assembly:ASM411807v1:3:7855299:7864035:1 gene:Vigun03g093100.v1.2 transcript:Vigun03g093100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGFLPLLKSIMVPINIKDLKGCSVAVDTYSWLHKGALSCSTELCKGIPTTRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKNEQEIKRARARKDNFARAVEHESDGNSAAAYECYQKAVDISPQVARELIQVLKQENVQYIVAPYEADAQMTFLAISGQVDVVITEDSDLIPFGCPRILFKMDKFGQGVQFQYSMLQKNKELNFEGFNRQMVLEMCILSGCDYLQSLPGMGLKRAHASIKKFGSYDKVLKHLRYSGVSVPPLYEESFKKAIRTFQYQRVYDPINENIVHLSNIPDDIGDELDFLGPPIPKDIAQRIAKGDLDPITKMPFEGENLTARLTIAGTSQFETLRSESTKKKIDLPVQKNLLTKYFSKRKFRAPRVSPTAANETKNCTASKIDYEISGSARHPNCHTEYDSATKLSEFTESPYHVSMIHEEKKNPEHALLQQPRQPIHKPCLGLHKEHGHTNAQDTVEEKTREVSGKVIVRSAYFQHKQVEKNACDEKHEYQSSGIVIDERKKAISDSDLCNDHLKNKDQGFHEKVEGKTRETGKAIVRSAYFQHKQVEKNVRDEKQECQSSGIVIDERKNGIFDSYLYNNHLKNKDQGFHDIVEGKTRETTRKVIVRSAYFQHKQVQKNVCDEKQDYLSSGIVTDNRSAISDSDLCNNQLKNKDLKRKLSPNDNIQDENLHPRQVQRASPPQSNGCCDHNVEGPVTENNGEEEKFGTNISHLGQYSEIAEKSMERFVSVISSFRCTSGTRVSGLRAPLKDVRNTSNNRPKTVDFGQYAYVPKNTKIRRIAPEN >Vigun06g037500.1.v1.2 pep primary_assembly:ASM411807v1:6:15375008:15376253:-1 gene:Vigun06g037500.v1.2 transcript:Vigun06g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMMHRASNRRQPLLQRQPSKLATRVGEVVGGTAAECVAVCCCVPCGVANFLVLAIYKLPAALCRRMLRQRRHRKIAKEGLLRPTRRHCSCGCCEDVTGRIFPMCANDAFDVERLHSPEPDSDDDTLALEKEMWDQFYNTGFWRSSSNRERREASQTQTQLQLQLQNVVPQPNPTSFLDPSLHNTSTYTICMHNFFYLRFRLCEVLSTFW >Vigun02g036600.2.v1.2 pep primary_assembly:ASM411807v1:2:15100329:15111944:-1 gene:Vigun02g036600.v1.2 transcript:Vigun02g036600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQLIVVVESTAAMGPYWETVLMDYLDKMIRSFGGNDPTGQKLSAPNVEFALITYNTHGCYSGCLVQRTGWTRDPDVFFLWLSSIPFNGGGFNDAAIAEGLSEALMMFPNSQSGGPNQQSVDMHKHCILIAASNPYPLQTPVYVPRPQNLEQSETIDSDSGNRLYDAEAVAKAFPQFSISLSVICPKQLPKIKAIYNAGKRSNRAADPPLEAKTPHFLILISEGFREARSALSRSGITSLPSNQSPVKVDSVSVTPVTGAPPTSMPSANGSIANRQPVPAGNVAPPATVKVEQVPVTSMVSGPSFPHNSSVPRATSTSQGVPSLQTSSPSSVSQDIITNNENTQDTKPTVSMLQPLRPVNPTQANVSILNNLSQARQVMSSAALSGGTSMGLPSMGQTPVAMHMSNMISSGMTSSVPAAQNVFSSGQPGITSITSSGPLSVPAQVGQNAGLGSLTSNTSNLSSSSNIGISQPLGNLQGAVSMGQQASGMSQGNLSGPQMVQGGVPMSQNAMSGLGPSVVSSGNGTMIPTPGMSQQVPSGMQPIGNNAAANMPLSQQTSGGMQSAQSKYVKVWEGSLSGQRQGQPVFITKLEGYRNSSASETLAANWPSVMQIVRLISQDHMNNKQYVGKADFLVFRAMNPHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAFRLIGMLFPGDMVVFKPQLSSQQQQQMQQQHQQMQSQQQHLPQLQQQQQLPHMQQQQLPQMQQQQQQLQQQQQLPQMQQQQQQLPQLQQQQQLPPVQQQQLSQLQQQQLPQIQQQQQLPQLQQQIPQLQQQQQLPQLQQLQPQQQQMVGAGMGQAYVQGPGRSQMVSQGQVSSQGTTNIGGGGFMN >Vigun02g036600.1.v1.2 pep primary_assembly:ASM411807v1:2:15100329:15111944:-1 gene:Vigun02g036600.v1.2 transcript:Vigun02g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQLIVVVESTAAMGPYWETVLMDYLDKMIRSFGGNDPTGQKLSAPNVEFALITYNTHGCYSGCLVQRTGWTRDPDVFFLWLSSIPFNGGGFNDAAIAEGLSEALMMFPNSQSGGPNQQSVDMHKHCILIAASNPYPLQTPVYVPRPQNLEQSETIDSDSGNRLYDAEAVAKAFPQFSISLSVICPKQLPKIKAIYNAGKRSNRAADPPLEAKTPHFLILISEGFREARSALSRSGITSLPSNQSPVKVDSVSVTPVTGAPPTSMPSANGSIANRQPVPAGNVAPPATVKVEQVPVTSMVSGPSFPHNSSVPRATSTSQGVPSLQTSSPSSVSQDIITNNENTQDTKPTVSMLQPLRPVNPTQANVSILNNLSQARQVMSSAALSGGTSMGLPSMGQTPVAMHMSNMISSGMTSSVPAAQNVFSSGQPGITSITSSGPLSVPAQVGQNAGLGSLTSNTSNLSSSSNIGISQPLGNLQGAVSMGQQASGMSQGNLSGPQMVQGGVPMSQNAMSGLGPSVVSSGNGTMIPTPGMSQQVPSGMQPIGNNAAANMPLSQQTSGGMQSAQSKYVKVWEGSLSGQRQGQPVFITKLEGYRNSSASETLAANWPSVMQIVRLISQDHMNNKQYVGKADFLVFRAMNPHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAFRLIGMLFPGDMVVFKPQLSSQQQQQMQQQHQQMQSQQQHLPQLQQQQQLPHMQQQQLPQMQQQQQQLQQQQQLPQMQQQQQQLPQLQQQQQLPPVQQQQLSQLQQQQLPQIQQQQQLPQLQQQIPQLQQQQQLPQLQQLQPQQQQMVGAGMGQAYVQGPGRSQMVSQGQVSSQGTTNIGGGGFMN >Vigun07g103300.1.v1.2 pep primary_assembly:ASM411807v1:7:18578300:18581333:1 gene:Vigun07g103300.v1.2 transcript:Vigun07g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLCFALLTDMHKEYNGKPTFTQIVVASSIGLIFAAAMHYRLKKMRDGKVIPRLRISKANHTPKLEKFPHYVARLMGFKDRRCCPHLCKLASEYIRKSEGCENDLYAFFENEPDADSLFVKLVEEFERCILSYFAFHWRHGDILISQVFSSEIEPRAKLRHIVMAATREQRIDRVTKNLKVARVFNTLVEEMKAMGLTNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGAAGNAVIIEADAFKESDVIYKALSARGHQDMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWLPFVVQTITMARNVHRRRYRMGPGYKLNDDGTVTENYWQRNEEEQDRVEGKRRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVKSQLTSHKRFADAFLTYCQLVDNARLYSTNSLEAPPKLIGWKDRDKTLLVDPDEIDCLKRVATLNESANNIYELYKPPNPACEAGSIWKDIVLSPSRLNVQKELKYSIEKIERLKL >Vigun02g126600.1.v1.2 pep primary_assembly:ASM411807v1:2:27870053:27876859:1 gene:Vigun02g126600.v1.2 transcript:Vigun02g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSAFAIFFTLQQDGGISFKDAWMHLADEYPIKYEAERLPPPLVADLNGDGKKEVLVATNDAKIQVLEPHSRRVDEGFSEARVLAEVSLLPDKVRVMSGRRPVAMATGNIDRYKIGQPQKQVLVVVTSGWSVMCFDSNLQKLWENNLQEDFPHNAHHREVAISISNYTLKHGDTGLIIVGGRMEMQPHIFMDPFEEMGMGARFSEQQRRSTTEKEASENSGTVDLRHFAFYAFAGRSGVERWSRKNENIEVHSSDASQLLPQHNYKLDVHALNARQPGEFECREFRESILGVMPHRWARREDTLLKLAHFRRHKRKSLKRTPGKSMSYPFHKPEENHPPGKDTTKKISNIIGKAANYAGSAKSKKHLPYVPTITNYTQVWWVPNVVVAHQKEGIEALHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVASGSMEVLRPCWAVATSGVPVREQLFNVSICHYTHFNLFQHGELYRSYGQGSDSASLEVATPILIPRSDGHRHRKGSHGDVIFLTNRGEITSYSPGLHGHDAIWQWQQSTGVTWSNLPSPSGMMEGGLVVPTLKPLPLRLHDNQEMILAAGEQEAVIMSPGGSILATIELPGPPTHVLICEDFSNDGLTDLILVTSNGVYGFVQTRQPGALFFSMLVGCLIVVMGVIFVTQHLNSTKGKPRPSSVPR >Vigun10g196500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40986694:40986849:1 gene:Vigun10g196500.v1.2 transcript:Vigun10g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRGCFTFFTGTVFGIYLAQSYQVPDVKKEADTALIQAKQVEEKYRKSK >Vigun07g192600.2.v1.2 pep primary_assembly:ASM411807v1:7:31156055:31172605:1 gene:Vigun07g192600.v1.2 transcript:Vigun07g192600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKHRATRTTSLRDVSDFSKMEVTGSWDALEWTKIEPITHFVSNANFDFLIEAEQVVAEGHGVVLVNTDDAGTLMVTNFRLIFLSEGTRKVISLGTIPLATIEKFNKIVVKVQSNNRYVDKTPAQRLLQVIGKDMRILVFSFRPRTKQRRVVFDALLRCTKPTRLWDLYAFASGPSRFKNATPLVRLLDEYCRLLCLGSYHASMDIIENGSFTWSNELWRISSVNSNYTMCQSYPFALVVPKIISDDEVLQASSFRARGRLPVVSWCHPLTGAVVARSSQPLVGIMMNMRSNMDEKLVAALCGKLDSGSRRKLYIVDARPRKNALANGAMGGGSESSSNYFQSEVVFLGIDNIHAMRDSFVRLREYMDTHGRASSDGMSSFLRQGGSTWGGGNLSSMSASVSTLGDSGWLLHVQNVLAGAAWIAARVAMENSSVLVHCSDGWDRTSQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPGTGNMPFELSRQPSTGNFAPSPVRQSTGTFSSQPPASSHSHNSNNYSPIFLQWVDCVSQLLRMYPFAFQFSAAFLVDFIDCMLSCRFGNFLCNRYFVCNLSSPWNGCGH >Vigun07g192600.1.v1.2 pep primary_assembly:ASM411807v1:7:31156055:31172605:1 gene:Vigun07g192600.v1.2 transcript:Vigun07g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKHRATRTTSLRDVSDFSKMEVTGSWDALEWTKIEPITHFVSNANFDFLIEAEQVVAEGHGVVLVNTDDAGTLMVTNFRLIFLSEGTRKVISLGTIPLATIEKFNKIVVKVQSNNRYVDKTPAQRLLQVIGKDMRILVFSFRPRTKQRRVVFDALLRCTKPTRLWDLYAFASGPSRFKNATPLVRLLDEYCRLLCLGSYHASMDIIENGSFTWSNELWRISSVNSNYTMCQSYPFALVVPKIISDDEVLQASSFRARGRLPVVSWCHPLTGAVVARSSQPLVGIMMNMRSNMDEKLVAALCGKLDSGSRRKLYIVDARPRKNALANGAMGGGSESSSNYFQSEVVFLGIDNIHAMRDSFVRLREYMDTHGRASSDGMSSFLRQGGSTWGGGNLSSMSASVSTLGDSGWLLHVQNVLAGAAWIAARVAMENSSVLVHCSDGWDRTSQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPGTGNMPFELSRQPSTGNFAPSPVRQSTGTFSSQPPASSHSHNSNNYSPIFLQWVDCVSQLLRMYPFAFQFSAAFLVDFIDCMLSCRFGNFLCNSEKERQKCNVFEACGCLWAYLADLRTSEGGSHVHYNPFYDSLKHNGPLLPPAAALAPTLWPQFHLRWACPEEAQAGEIEAQCRKIVMKCSEMQKAKEMAERKVKEVTNSMESLNAELRREKQLNSSAMNMAKSVSKENMAIKRAIQSMGCKVHVSGSGECTVDIESNPDIVCCSSRKESNSNVRDEKTEAPVSVLVTTEDDDGNNGIVRVCETLCPFGSAAGGCRWPKGGCAQLGSQYVGLKANFDAFDQLSIDDTYFKSE >Vigun03g392100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59841572:59842752:1 gene:Vigun03g392100.v1.2 transcript:Vigun03g392100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAFIASWLTPSSLFIFVNIVIGTIAITSRFTPKNHHHPHLAPSPSLLQRLSSFNLTHHPDPDFTHPQLVPTPSLLQRVKSFNLSFRTHEPTHAETQHLQPTNPELVRTPSLLERLASFDLSLKNQEPTHAQTQHVQPEPEPEPEQPELVRSPSLLQRLQSINLSRLYRSEAVHGEDPGKAEMRKSASERRGPIEREEEEEVERRRPQTARVEATSCREDEEVDAKADDFINRFKKQLRLQRIDSLLRYRAGS >Vigun02g152500.1.v1.2 pep primary_assembly:ASM411807v1:2:29913327:29919420:-1 gene:Vigun02g152500.v1.2 transcript:Vigun02g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGSHTPQSARILHFLCLWASAVLVFADEHSLSLTQNATLQLSSGLPVGNSPGSKPGATVVVERVYIHGLSRFKSLGKFAHSFKVKVLPLPTDSNVRLPNIEVCFHRNVSLVAGMCSHSQWEKVAKGAWTRSVSPFDHKILDIRTAGSTLENFEVSVEEEFFVYRIVLLVLGITLMSLAAFISNSLAFYYSSAMAIGIILVVLIILYQGMKLLPTGRKSSLAIFMYSTAVGFGTFLLRYIPGLVRSVLTELGIDEDMYNPLAIFLLTFVAIVGAWLGFWVVHKLVLTEDGSVDINTAQFVAWALRILAAIMILQSSMDPLMGTLALLCGSLLSLLKRMRRVRFLRHLRRRLFKSPKKNRRRSQVPDSSPFDGSHDEHMYNKMQNEEDSPLFHPRLKSPTLSPCKSSAKGFIRTPLKTQKEALYPSIIHDTPERKKYSAAEWDAFTKESTEKALEELVASPDFSKWLSTNADRISVTPNTKTDRGRRWLLWS >Vigun02g152500.2.v1.2 pep primary_assembly:ASM411807v1:2:29913327:29919421:-1 gene:Vigun02g152500.v1.2 transcript:Vigun02g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHSQWEKVAKGAWTRSVSPFDHKILDIRTAGSTLENFEVSVEEEFFVYRIVLLVLGITLMSLAAFISNSLAFYYSSAMAIGIILVVLIILYQGMKLLPTGRKSSLAIFMYSTAVGFGTFLLRYIPGLVRSVLTELGIDEDMYNPLAIFLLTFVAIVGAWLGFWVVHKLVLTEDGSVDINTAQFVAWALRILAAIMILQSSMDPLMGTLALLCGSLLSLLKRMRRVRFLRHLRRRLFKSPKKNRRRSQVPDSSPFDGSHDEHMYNKMQNEEDSPLFHPRLKSPTLSPCKSSAKGFIRTPLKTQKEALYPSIIHDTPERKKYSAAEWDAFTKESTEKALEELVASPDFSKWLSTNADRISVTPNTKTDRGRRWLLWS >Vigun06g085300.1.v1.2 pep primary_assembly:ASM411807v1:6:21742724:21745620:-1 gene:Vigun06g085300.v1.2 transcript:Vigun06g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEQVAMEDTALFGKYELGRVIGCGAFAKVHYARNVQTGQSVAVKIINKKKLNGTGLAGNVKREITIMSKLHHPNIVRLHEVLATKNKIFFIMDFIRGGELFGKISKGRFSEDLSRKYFHQLISAVGYCHSRGVFHRDLKPENLLLDENGDLRVTDFGLSAVRDQIRPDGLLHTLCGTPAYVAPEILSKKGYDGAKIDIWSCGVVLFVLSAGYLPFNDPNLMVMYKKIYKGEFRCPRWMSPELRRFLSKLLDTNPETRITVDGMLRDPWFKKGYKEVKFHEEDFMSGSGIGYGPKDERIMNLNAFDIISFSAGLDLSGLFGESVEGDRLVTRESPEKVLEMAEEVGAAADMAVRWKKECGVELEDFNGRFGFEVEVYRLTAELTVVEAWKRCGDGVTFKSVWEEKLKPLLVGGATTSHNSEEEQPLQQPESQPVAGD >Vigun02g065200.15.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQWSL >Vigun02g065200.1.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTSGMYGPVPPPEPRSDTHQRSASAGSSLETLVTNDPYARYDAKVDFGIINKHSDVDEEEGWITIPCKELPENWNCAPDILSLCSLDRSFLFPGEQVHILACLSACKQDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.2.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTSGMYGPVPPPEPRSDTHQRSASAGSSLETLVTNDPYARYDAKVDFGIINKHSDVDEEEGWITIPCKELPENWNCAPDILSLCSLDRSFLFPGEQVHILACLSACKQDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.6.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMHAMMPRWILASLISTPMLTRKKDGLPFHARNFQKTGIVHQIYCLCALLIVPFFSLDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.12.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDLIDPILVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.5.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMHAMMPRWILASLISTPMLTRKKDGLPFHARNFQKTGIVHQIYCLCALLIVPFFSLDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQAEIIRITFYTAIIHHHN >Vigun02g065200.9.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTSGMYGPVPPPEPRSDTHQRSASAGSSLETLVTNDPYARYDAKVDFGIINKHSDVDEEEGWITIPCKELPENWNCAPDILSLCSLDRSFLFPGEQVHILACLSACKQDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQAEIIRITFYTAIIHHHN >Vigun02g065200.11.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.14.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQWSL >Vigun02g065200.4.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTSGMYGPVPPPEPRSDTHQRSASAGSSLETLVTNDPYARYDAKVDFGIINKHSDVDEEEGWITIPCKELPENWNCAPDILSLCSLDRSFLFPGEQVHILACLSACKQDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQAEIIRITFYTAIIHHHN >Vigun02g065200.13.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDLIDPILVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.8.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMHAMMPRWILASLISTPMLTRKKDGLPFHARNFQKTGIVHQIYCLCALLIVPFFSLDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQWSL >Vigun02g065200.3.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMHAMMPRWILASLISTPMLTRKKDGLPFHARNFQKTGIVHQIYCLCALLIVPFFSLDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQFLTLQASNLTSEDLTLTVVALASFTSKASLNSSTNPTSASIGFSDISERVNDDRGIGATQGQSFTSVVKDNEKQSNEDNAQAVSTSDEVIPSANLSCTHLWFHSRVPLGCVSSRSNATIKLQLLPLTDGIIVLDTLQIDVEEKGVTYIPERSLKIYATSGIS >Vigun02g065200.7.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTSGMYGPVPPPEPRSDTHQRSASAGSSLETLVTNDPYARYDAKVDFGIINKHSDVDEEEGWITIPCKELPENWNCAPDILSLCSLDRSFLFPGEQVHILACLSACKQDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQWSL >Vigun02g065200.10.v1.2 pep primary_assembly:ASM411807v1:2:21399384:21410103:-1 gene:Vigun02g065200.v1.2 transcript:Vigun02g065200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMHAMMPRWILASLISTPMLTRKKDGLPFHARNFQKTGIVHQIYCLCALLIVPFFSLDTSLFKIAAAISENGTGHNPMKENGNTENINNTMSGEGELSTGDEQQLDDVSDVENLLEKEGLKKQTSILLQKFENSHFFVRISESDDLLWSKTSSSENTSNANNEKGSKITSEGSTLSSICAVIDKGNFDSNVSGGVARNSAKCGALPNGDIVVILQVNVAVNFLRDPCIEVLQFEKLQERMSSPDSRVDTIYTDRDSCADLLNWILPLDNGKPSNCPPSPHLTSTSGINNSSQRSNMSGSSSSQIFSFSNFRSYSMSSLPQPVNPPPAPVKAASSKPSFDIEEWDQISSQKYLWKKMGAEGLLSFRGVSLERDRFYVCCGLEGLYTPGRRWRRKLEIIQPLDIHSFAADVNSDDFLCVQLKNVAPTHAPDIMIFIDTITLVFEELAQNGSVSSLPISCIEAGNDHSLPNLVLRRGEEHSFILRPATSTWKGPEIQNDRSSPLSKFQLRNKTSKINLKRQKTALINDQYSILVSCRCNYTASRLFFKQPTSWRPRSSRDIMISIMSDTSRQSPSACEKTCQPPVQAEIIRITFYTAIIHHHN >Vigun03g347100.1.v1.2 pep primary_assembly:ASM411807v1:3:54630857:54635948:1 gene:Vigun03g347100.v1.2 transcript:Vigun03g347100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMNKNKKNKKKRDKKRPRDVAIEEPPEEEENVNETDSDGESSRKKNKKKKKEVEGESEVEERKVKNNGGSGIMSSESFESLGLSEPTYKAIMDMGFQHMTQIQARAIPPLLIGKDVLGAARTGSGKTLAFLIPAVELLYNVKFTPRNGAGVVVICPTRELAIQTHAVAKELLKYHSQTLGLVIGGSTRKLEAERLAKGINLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKILPKSRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRTKVTNEGLLQGYVVVPCAKRFIVLYSFLKRHQSKKVMVFFSSCNSVKFHTDILNLIQLNCSSIHGKQKQQTRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELQFLRYLKAAKVPVKEYAYDEKKVANVQSHLESLVVNNFYLNKMAKEAYRSYILAYNSHSMKDIFNVHRLDFQAVASSFCFSNPPNVTLNINSSKQRKKMRKVDGSRHGFSDNNPYGKRNADDKRQFVRH >Vigun06g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14150225:14150794:-1 gene:Vigun06g033000.v1.2 transcript:Vigun06g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMGYGLAITRLSEGQMPATCDEYKQLFAPCVPYLVSQEFSTPTPSCCVGAAQQLTKGNNPAALKNLCTCLDASTANLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun05g156650.1.v1.2 pep primary_assembly:ASM411807v1:5:25495515:25495851:1 gene:Vigun05g156650.v1.2 transcript:Vigun05g156650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKIRTHQSPIEASEVGEPTQLLVSTPFRGGPFTLFYYIRLAKRMFFDTPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFSVTHQMALSSYL >Vigun09g073300.1.v1.2 pep primary_assembly:ASM411807v1:9:8119361:8121805:-1 gene:Vigun09g073300.v1.2 transcript:Vigun09g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSFLKVVLKNFDVLAGPVLSLAYPLYASVRAIESKSPVDDQQWLTYWVLYSLITLFELTFAKALEWIPIWSYAKLILTSWLVIPYFSGAAYVYEHYVRPFFVNSTENVNIWYVPSKKDSLGKPEDMLTAAEKYIEEHGTEAFENLLNKAGKTRKSSKHANGSY >Vigun03g201451.1.v1.2 pep primary_assembly:ASM411807v1:3:30889946:30890670:-1 gene:Vigun03g201451.v1.2 transcript:Vigun03g201451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILQDQTLWELTNDERKEKKKSGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >Vigun07g036700.2.v1.2 pep primary_assembly:ASM411807v1:7:3527604:3543918:1 gene:Vigun07g036700.v1.2 transcript:Vigun07g036700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANEDAAQEFEPKKKPPTEDEKRKKKITAGSLMKALIRPGGGDAGPSDGDQIIYHCTIRTLDGVLVESTRSDYGGKGTPIRHVLGKSKILLGLLEGIPTMLKGEVAMFKMQHQLHYGEDDCPITAPDGFPKEDELHFEIELIDFFKAKVVTDDLGVVKKVVREGQGWESPREPYEVKAWISAKTVTGELIMSHTEGEPYLFTFGKSELPKGLEMAIGTMVREEKAVIYVTTQYLTESPLMPVIEEYDEVHFEVELVHFIQVRDMLGDGRLIKRRIRDGKGDFPMDCPLHDSLLRVHYKGTLLNGEKKVFYDTRVDNDGQPLEFCSGEGLVPEGFEMSVRLMLPGEIALVTCPPDYAYDKFPRPSNVPEGAHIQWEIELLSFETPRDWTGLDFKTIMDEAESIRNTGNKLFKQGKFELAKAKYEKLLREFNHINPQDDEEGKIFTDTRNLLHLNVAACYLKLGECKKCIETCNKVLDANPAHVKGLYRRGMAYMTAGDFEEARADFKMMMKVDKSTESDATAALQKLKQKEQEVENKARKQFKGLFDKKPGEIAEAKADDDDDGDQITSESQQKDEVHEDSDETNFEDSHEGPPDTPRTRWFSLFWPSGRRLFESLGLNRCAIL >Vigun07g036700.1.v1.2 pep primary_assembly:ASM411807v1:7:3527604:3543918:1 gene:Vigun07g036700.v1.2 transcript:Vigun07g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANEDAAQEFEPKKKPPTEDEKRKKKITAGSLMKALIRPGGGDAGPSDGDQIIYHCTIRTLDGVLVESTRSDYGGKGTPIRHVLGKSKILLGLLEGIPTMLKGEVAMFKMQHQLHYGEDDCPITAPDGFPKEDELHFEIELIDFFKAKVVTDDLGVVKKVVREGQGWESPREPYEVKAWISAKTVTGELIMSHTEGEPYLFTFGKSELPKGLEMAIGTMVREEKAVIYVTTQYLTESPLMPVIEEYDEVHFEVELVHFIQVRDMLGDGRLIKRRIRDGKGDFPMDCPLHDSLLRVHYKGTLLNGEKKVFYDTRVDNDGQPLEFCSGEGLVPEGFEMSVRLMLPGEIALVTCPPDYAYDKFPRPSNVPEGAHIQWEIELLSFETPRDWTGLDFKTIMDEAESIRNTGNKLFKQGKFELAKAKYEKLLREFNHINPQDDEEGKIFTDTRNLLHLNVAACYLKLGECKKCIETCNKVLDANPAHVKGLYRRGMAYMTAGDFEEARADFKMMMKVDKSTESDATAALQKLKQKEQEVENKARKQFKGLFDKKPGEIAEAKADDDDDGDQITSESQQKDEVHEDSDETNFEDSHEGPPDTPRTRWFSLFWPSGRRLFESLGLNRCAIL >Vigun08g107300.1.v1.2 pep primary_assembly:ASM411807v1:8:26675491:26678842:-1 gene:Vigun08g107300.v1.2 transcript:Vigun08g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVSDPNPNPVRVKRKTLEAMLEQCQRALELINASDGQQQEQEEEEEEEDSDESHPSTPPDPETDQLCDLIKSRVECPDFLEKLEHAQASVSLSVVEEGGSWDFVNENDLWEGEEANSDQEDYVLVKQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQDALSKTFSVQKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPVVARIATKAFWTSCQVISKLL >Vigun08g107300.2.v1.2 pep primary_assembly:ASM411807v1:8:26675491:26678842:-1 gene:Vigun08g107300.v1.2 transcript:Vigun08g107300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVSDPNPNPVRVKRKTLEAMLEQCQRALELINASDGQQQEQEEEEEEEDSDESHPSTPPDPETDQLCDLIKSRVECPDFLEKLEHAQASVSLSVVEEGGSWDFVNENDLWEGEEANSDQEDYVLVKQEDILEEKLNCSKLTVVYLLVLQLSLVWYTPSAPKFN >Vigun04g091900.10.v1.2 pep primary_assembly:ASM411807v1:4:17333317:17342137:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.8.v1.2 pep primary_assembly:ASM411807v1:4:17333318:17342112:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYLCWFFIFNLEKLMMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.14.v1.2 pep primary_assembly:ASM411807v1:4:17333312:17342147:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.1.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342147:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.15.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342215:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.16.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342127:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.3.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342215:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.13.v1.2 pep primary_assembly:ASM411807v1:4:17333236:17342215:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.7.v1.2 pep primary_assembly:ASM411807v1:4:17333318:17342112:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYLCWFFIFNLEKLMMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.4.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342127:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.2.v1.2 pep primary_assembly:ASM411807v1:4:17333201:17342215:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLVNLLHGTVLFNQLCFNDLPKLFKQKRYAHTGKFAKFLEFNGAPLPLQGSGDLTNHAANLINHKIYFSNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun04g091900.12.v1.2 pep primary_assembly:ASM411807v1:4:17333317:17342137:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.11.v1.2 pep primary_assembly:ASM411807v1:4:17333249:17342137:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKKPWQ >Vigun04g091900.9.v1.2 pep primary_assembly:ASM411807v1:4:17333249:17342137:1 gene:Vigun04g091900.v1.2 transcript:Vigun04g091900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDSNILVKRECDRKNQSDSNAVYTIKYLHKSGAKVILVSDWNMNTPELHTDSVTDFLSEVLQTQIVPLHANYFKKQAKMNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFVGMMSFQIMHALGVSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKKCNPKQLQVFPSHGILDDWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLVRPEKSSLSFINIIENASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPLVVDIGSGNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGVRSDWEKHVLERRAPMYRMMFSKSSGIGEV >Vigun03g051566.1.v1.2 pep primary_assembly:ASM411807v1:3:4174553:4176294:1 gene:Vigun03g051566.v1.2 transcript:Vigun03g051566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIARCHGFPMYLSNFVKNFILSLPHYEQKSGVAPQLYTPFVLRTLVDSELRSQRNQTFDGPALFYALLYPERKISLAPMGARRSRGSREGKRRTHFLLHLARNDKERASSIDEQRIDGALGIALFSLLSYQRIPIFLFEISSFVPNRLQKQILFHKILYQLYILLAFVPKTSPVLWDLDYAKRVVRNEQKDTTTSPLCWSTDANTVVSDQDQEQNRIWILTCQWFLTVGIMPGSWLAHHELGRGGWWFRDPVENASFMPWVLAIARIHSVIIPLLHSWISFLNIVTLPCCVSGTFSIRSGLLASVHSFTTDDTRGIFLWRFFLLMTGISMILFSRMNTFVHLRHLAREQPCPVMLWKN >Vigun07g047400.1.v1.2 pep primary_assembly:ASM411807v1:7:4899092:4903197:-1 gene:Vigun07g047400.v1.2 transcript:Vigun07g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLSSPSTAGSTLLSSSAFLPRQTQGFSLGTFKLSKKESRCIRKAGRIKITAKFELKPPPYPLNALEPIMSQETLEYHWGKHHRTYVDNLNRQIDGTDLEEKSLENVVVISYNKGDFLPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLKLIKRDFGSFENFLDEFKTAASTQFGSGWAWLAYKESKIDVGNAVNPLPSDEDKKLVVVKTPNAVNPLVWNYYHPLLTIDVWEHAYYIDFQNQRPDYISVFMDKLVSWDSVSSRLEQAKARIVEREKEAERNKREQKPRSSESIPEVYPDSDADLGADE >Vigun07g047400.2.v1.2 pep primary_assembly:ASM411807v1:7:4899092:4903197:-1 gene:Vigun07g047400.v1.2 transcript:Vigun07g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLSSPSTAGSTLLSSSAFLPRQTQGFSLGTFKLSKKESRCIRKAGRIKITAKFELKPPPYPLNALEPIMSQETLEYHWGKHHRTYVDNLNRQIDGTDLEEKSLENVVVISYNKGDFLPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLKLIKRDFGSFENFLDEFKTAASTQFGSGWAWLAYKESKIDVGNAVNPLPSDEDKKLVVVKTPNAVNPLVWNYYHPLLTIDVWENQRPDYISVFMDKLVSWDSVSSRLEQAKARIVEREKEAERNKREQKPRSSESIPEVYPDSDADLGADE >Vigun02g062700.1.v1.2 pep primary_assembly:ASM411807v1:2:20997730:21003718:1 gene:Vigun02g062700.v1.2 transcript:Vigun02g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRGFEFWIKGCTLLALISTISALEPELGSTRVVFQTKYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHFFRVDKGFVAQVADVSNGRSAPMNEDQKREAEKTVVGEFSDVKHVRGILSMGRYDDPDSGSSSFSILLGDAPHLDGKYAIFGKVTKGDETLTKLEQLPTRKEGIFVMPMERITILSSYYYDKETENCQQDRSVLKLRLAASAVEVERQRMKCFP >Vigun10g095000.2.v1.2 pep primary_assembly:ASM411807v1:10:27941387:27943308:-1 gene:Vigun10g095000.v1.2 transcript:Vigun10g095000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HLFTTSFLAISSLFLFQPNSWIDSTTESSPFAINSVLHKVHVMSRSFQPRNFKRTRVDLESTKPGGDKCCVPHSSFENINLPLAKNFLKTNISTPHDEWSQNRQCWRKKSDSVNKPYNRNIKSNYVAIGGQKLDSSVNKPEFRPYDICFFGKRNHGFIGATFHGENQESRIEIQKEWTKGSVLRPGMVLLKNFISHDEQVEIVKVCRELGLGLGGFYQPGYANGAKLRLKMMCLGMDWDPQSYKYGNKRVFDGSTPPSIPNHFSKLVTRAILESQSLTEKEYGACNVANVLPSMTPDICIVNFYTENGKLGLHQDRDESKESLQKGLPVVSFSIGDSADFLYGDKRNVEEAKRVVLESGDVLIFGGESRHVFHGVPSIFPNSAPKELLRDSGLSPGRLNLTFRQY >Vigun02g180500.1.v1.2 pep primary_assembly:ASM411807v1:2:32137295:32142747:1 gene:Vigun02g180500.v1.2 transcript:Vigun02g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSQNRLSGNSFGSRVSALMLAMISTMATIYVAARLFQEAESRAYLVEELEKRTGQGQSAVSLDDTLKVIACREQSKKLSVLETELAAARQEGFVPKRLLGNNEKHPTKKVLLVVGVMTTFGRRKNRDAIRKAWMPAGIARRELVDKKGIIVRFVIGRSANGGDSLDKEIEAEISHTNDFVILGNQVEAPEEKAKKIKSFFIHAVGKWDAEFYAKVNDDVYVNLDSLGVVLASHLDKPRVYIGCMKSGKVFSERTHKWHEPDWWKFGDGKSYFRHASGEVYVISKSLAQFISINSFMLRTYVHDDVSIGSWFIGLDVQHIDETKLCCSSWSPGAICVAV >Vigun07g181800.9.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29853134:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPFPLSATQVGTYFVGQYYHVLETKPELVYQFYSDASTMVRIDGNARDTANAMLQIHALIMSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEDEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.3.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29854299:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPFPLSATQVGTYFVGQYYHVLETKPELVYQFYSDASTMVRIDGNARDTANAMLQIHALIMSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEDEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.10.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29853134:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPFPLSATQVGTYFVGQYYHVLETKPELVYQFYSDASTMVRIDGNARDTANAMLQIHALIMSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEGYYEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.12.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29853134:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEDEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.11.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29853134:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEGYYEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.1.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29854299:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFPFPLSATQVGTYFVGQYYHVLETKPELVYQFYSDASTMVRIDGNARDTANAMLQIHALIMSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEGYYEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.4.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29854299:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEGYYEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun07g181800.6.v1.2 pep primary_assembly:ASM411807v1:7:29848592:29854299:1 gene:Vigun07g181800.v1.2 transcript:Vigun07g181800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFTGIGIKTVQCLESWSGGVLISVFGSAQHKGYSVRRKFTQLFFLAPQEKGFFVLNDIFHFVEEEPVHNLQPVFLAQRDSKLNAPSTMNKPVSNYMLDGDIQARDFIATNEVKENGAVNTHGFSQQQMAEVHESEHVREDVIAEESHGFLQPSVNDVQEHAPSSAEEPPEEPLKHTYASILQVAKGQVTPSVASQPSLKKSSSLDKEHSTPTNSQQTPTLNKAFERSETDAVEDITTAEDEDEIKSVYVRNLSPTVSASEIEEELKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMNGVHNAIKAGSVEVAGRQVYIEERRPNSNIPSRGGRRGRGRSSYQSETQRGRFGPRSYGRGNGQDGSEREYNKTRGNAFYRPTTRQERGY >Vigun02g057400.1.v1.2 pep primary_assembly:ASM411807v1:2:20167852:20169015:1 gene:Vigun02g057400.v1.2 transcript:Vigun02g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKVGLKKGPWTPEEDKKLLDYVRKHGHGNWRSVPAIAGLQRCGKSCRLRWINYLKPDIKRGNFSPEEDHTIIQLHALLGNKWSIIAAHLPQRTDNEIKNYWNTNIKKRLITMGLDPITHKTIKPNTFEVCDGGHDQSKNAISICHVAQWESARLEAEARGSKLQVGSGSSQLSGLILSRIPTQPCLSSHSLSTEHNTEYNMYALVLATNHDFRSSMSTLSIPTLPAVSNIPQMKNTESLLSYKGDNNVVGASSQSKKVMEGCVSNLQDDDIMVAVEAFRTARSESIEDLFYEPTSI >Vigun06g041200.1.v1.2 pep primary_assembly:ASM411807v1:6:16200766:16202395:1 gene:Vigun06g041200.v1.2 transcript:Vigun06g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCRASVALLVSVLLAITTLALGGNFYEDFDNLFGDVRVDIKDAGQSMTLTMDQYSGSGIASKNEFLFGRFDMQIKLVPGNSAGTVTAFYLSSQGGNHDEIDIEFLGNLTGEPYLLSTNIYADGVGGREMQYYLWFDPTEDFHTYTIDWNPHRIVILVDDIPIRVMLNRETIGVPFPTSRPMRMYTTLWNGDAWATRWGAVKLDLAYAPFVASFRNFNANACIAKEGGENCKGFNGGKATGLSEEKKSEMQRVLSKWVVYDYCRDFRRYSHGLPYECRRENLLDQIE >Vigun05g150100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22298109:22299358:1 gene:Vigun05g150100.v1.2 transcript:Vigun05g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSLPQAIPTPMAGAAVALPTDGERRHRHGQSPSEVSLTRTELPGSSPLSFLFRTRDRPDPGAPPSHTMPVQPRVRQLRLPRPGLPPRCYCRLQRAPSEMPAATPVVLLFQSVLGCDDES >Vigun06g225400.1.v1.2 pep primary_assembly:ASM411807v1:6:33365019:33372302:1 gene:Vigun06g225400.v1.2 transcript:Vigun06g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFMSNTNPNPNKSFEVNQPPTDSVSSLSFSPKANFLVATSWDNQVRCWEVARNGVNVATVPKASITHDHPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPERCYAMTVRYPLMVVGTADRNLVVYNLQNPQVEFKRIVSPLKYQTRCLSAFPDQQGFLVGSIEGRVGVHHLDDSQQGKNFTFKCHREGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMLRCNQPIPCSTFNSDGSMFAYSVCYDWSKGAENSNPATAKTYIFLHLPQESEVKGKPRIGVTGRK >Vigun11g200000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39819764:39822391:1 gene:Vigun11g200000.v1.2 transcript:Vigun11g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIAAYFMESLADRILKTWPGIHRALNSTKVTMLSEEILVQKLFFELFPFLKVAYVLTNQAIIEAMEGEKVIHIIDLNAAEAAQWIALIQVLSARPEGPPHLRITGIHQKKEILDQVAHRLTEEAEKLDIPFQFNPVVSKLENLDFDKLRVKTGEALAISSILQLHSLLAFDDEALRRKSPRLLKGSNGFHLQRVLPLSQTTLGDMLEKDMVNGYTPSPDSTSSSPASLTPSNSMNMESFLNALWGLSPKVMAVTEQDCNHNGPTLMDRLLEALYSYAALFDCLESTVSRTSLERLRVEKMIFGEEIKNIIACEGPERKERHEKLEKWFQRFDQAGFGNVPLSYYGMLQARRFIQSYGCEGYRMRDENGCVLICWQDRPMFSISAWRSRK >Vigun11g200000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39819764:39822391:1 gene:Vigun11g200000.v1.2 transcript:Vigun11g200000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIAAYFMESLADRILKTWPGIHRALNSTKVTMLSEEILVQKLFFELFPFLKVAYVLTNQAIIEAMEGEKVIHIIDLNAAEAAQWIALIQVLSARPEGPPHLRITGIHQKKEILDQVAHRLTEEAEKLDIPFQFNPVVSKLENLDFDKLRVKTGEALAISSILQLHSLLAFDDEALRRKSPRLLKGSNGFHLQRVLPLSQTTLGDMLEKDMVNGYTPSPDSTSSSPASLTPSNSMNMESFLNALWGLSPKVMAVTEQDCNHNGPTLMDRLLEALYSYAALFDCLESTVSRTSLERLRVEKMIFGEEIKNIIACEGPERKERHEKLEKWFQRFDQAGFGNVPLSYYGMLQARRFIQSYGCEGYRMRDENGCVLICWQDRPMFSISAWRSRK >Vigun04g168400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39297576:39299689:1 gene:Vigun04g168400.v1.2 transcript:Vigun04g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNLNEHVLETLSKCNHLNHLKQLQGYLTALGHAHTHFYAFKLIRFCALTLSDLSYARLIFHHHPSPNTHLFTAIITAYAAHPANYPSVLILFRRMLRSQTTRPNQFIFPHALKACPDSCAVDSLHAQIVKSGFLFYPVVQTALVDSYSKISGGLPDAKKVFDEMSERNVVSFTVMVSGFARVGDVESAVRVFDEMPERDVPSWNALISGCAQNGAFTQGIELFRRMVWERNMPNGVTVVCALSACGHTGMLQLGRWIHGYVYKNGFVFDSFVSNALVDMYGKCGSLGKARKVFGMNPEKGLTSWNSMINCFALHGQSESAIAIFEQMVGSGGGVRPDEVTFIGLLNACTHGGLVEQGYRYFEMMGREYGIEPRIEHYGCLIDLLGRAGRFDEAMEVVNGMSMEPDEVVWGSLLNGCKVHGRTDLAEFAAKKLLEIDPHNGGYGIMLANVYGELGQWDEVRNLRRTLKQQKSYKVPGCSWIEVDDQVHQFYSLDKSNPKIEELYGLLESLVGFKNEVVVDL >Vigun07g092433.1.v1.2 pep primary_assembly:ASM411807v1:7:14657727:14658406:-1 gene:Vigun07g092433.v1.2 transcript:Vigun07g092433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWGQLSNGQEIVVQRLSRDYRQGDLEFKNEVLLVAKLQHRNLVKLLGFDPKEEKGYSSMNLFLIKVLIT >Vigun02g197600.1.v1.2 pep primary_assembly:ASM411807v1:2:33267820:33269909:-1 gene:Vigun02g197600.v1.2 transcript:Vigun02g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRFPLILTLLLSSILLSNHFVKCDHDEEDDLYQEINNYRKSLNLISLTKNENANCFADEMADQFKNQPCTNTTGANTVPGTEPEFSNYPDLLNKCHLNISNTRDGAVMPVCVPGLVSSVVLTNFTQSLYSGNLNDSKFTGIGIGSEDNWIVVVLTTSTPEGSFVPDTSNGANLFSKTGLVYCSIFLIVANFFLL >Vigun07g035900.1.v1.2 pep primary_assembly:ASM411807v1:7:3437483:3438477:-1 gene:Vigun07g035900.v1.2 transcript:Vigun07g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYEDFEPSYEWAQDAESDTLILVLKGFRKENLRVQIGTNRRLKLSGEEEISEKKRRRINKEFSIPSHSSTNGIKAKLEGGLLYIRIPKNITEVKPPTQQPYENQKYPRETREAPTPTPEEAKKGEDDRKVDEDRVKATSSKAKEMHEGVNQVEKKVYQKLPTTLYGLVGDIMKQNKLPNLVVAIFLFLAMGIYVKNVIMSAFGGSSNQDH >Vigun04g144932.1.v1.2 pep primary_assembly:ASM411807v1:4:35957334:35962345:1 gene:Vigun04g144932.v1.2 transcript:Vigun04g144932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCMFLAQSRKDVHEDALNSTVSILFQTLIFHSFSRRYISGEIHKSLIELRLLQYLNLSFNSFPDTNIPQFLGSLTNLRYLDLSSCGFDGKIPTQFRSLSHLKYLNLASNHLEGSIPRYLGNLSRLQYLDLKYNYFNGNIPSQLGNLSQLQHLDLRGNSFEGNIPSQLGNLFHLQELYLGGFYGPLKISDRGQWLSNLISLTHLHLLFVSNLNRSHSWLQQIANLPKLRQLSLVYCSLSDHSILSFRPFKFNSSTSLSILDLSLNTFTSPMIFRWISNITSNLVELNLRGNLLEGSTSSDFGVVMNSLRQLDLSYNNLKARDLKSFMNVCTLHSLNMRQNNLTEDLPFVLDHLSSGCVRHSLQDLDLSNNFITDTMPDLSVFSSLKTLILDENHLSGTIPKGVKLPSTLEGLSIRSNFLEGGIPTSLGNACYLLSLDMSNNSLSDELPKIISHLSGCARYSLEELILNSNQINGRIPDFSTFTSLQELHLHNNKLNGEIPKDIQFPPNLEALYMYSNSLKGVLTDYHFANMSKLQYLNLTGNSLGLAFTQNWVPPFQLLRIELGSCKLGPTFPKWLQTQNKFISIDISNATISDIVPEWFWTKLLLQKVMTVNIAHNNMHEEIPIEIEKLFGLISLNLSRNNLIGKIPSNIGRLASLNSLDLSRNQLVGSIPSSLVQIYGLGMLGLSHNHLAGEIPTGTQLQSFSTSSYEDNPGLCGPPLEKLCIKDGSTQEPNVEVHVLDDMPISTS >Vigun02g119700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27233690:27234031:-1 gene:Vigun02g119700.v1.2 transcript:Vigun02g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSLVSLVSNLIGLAEGQRNKDAPLPSYITNFNEDCWCCVCLSRLKARDEIRVLPCSHKFHKICVNRWLKGHHKTCPLCRFSLVAEDKSHRAEIFTEEMLIWFSSFHVAGM >Vigun07g233700.1.v1.2 pep primary_assembly:ASM411807v1:7:35547557:35550539:1 gene:Vigun07g233700.v1.2 transcript:Vigun07g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNESDDSTHTSPSSPKNIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNANFRNAMAHPVNKELAHRQQFYFWKNYRNNRLKHILPRSLPEPSATSAVPAPVSTPAQAPASALPPVPATSVAVTAAPTQAPSPMSFGMPPGSGLAKNDMRNPTVDNRRKRK >Vigun08g117600.1.v1.2 pep primary_assembly:ASM411807v1:8:28504429:28505548:-1 gene:Vigun08g117600.v1.2 transcript:Vigun08g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRFQEQQPKTGVQHAQDFLRKIGLGKENYYFWKQIGKALVCTYAVMGAVWWYNETSPLGWWTLKPKPKEEKELAHLYERRMFPYPGDEEAMKEFIAKGGMIGTSIGPKGAAEEDKDESDYKKEMKDKKFKQEAQKLWLRMRNEVIAEFKEKGFDVE >Vigun05g216200.1.v1.2 pep primary_assembly:ASM411807v1:5:40808798:40810654:-1 gene:Vigun05g216200.v1.2 transcript:Vigun05g216200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDSFEDQSPTRERYEDDNNYSGMGMEYESQHYDYDDQQPQPNADPNNNDFNNNPQSPPGFGFGASTPNPDFVSPFESAPADDTTFTSDGPILPEPSQMQEEGHARREWRRLNAIHLEEKETKEKEMRNQIIKEAEEFKEAFYEKRKLNCESNKKTNREREKIYLSNQEKFHKEAHQHYWKAIAEIIPREVPNIEKRRGKKEPENNKPSVHVIQGPKPGKPTDLARMRQMILKLKQNPPSHMMPPPPKEEKDGKEDKDKKDAKDDKDKKDGKEGKENKGGKNSTSVAGNKPTSPAKGVAANGEPQDSGIVEGEQVARSESTTA >Vigun09g193700.1.v1.2 pep primary_assembly:ASM411807v1:9:36832406:36838184:-1 gene:Vigun09g193700.v1.2 transcript:Vigun09g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIGGKFKTGRKIGSGSFGEIYIASNMDTSEIVAIKMESKRTKHPQLLYEAKLYSILQGGNGVPSMKWCGTDGDNNVLVIDLLGRSLEDFFVYCGRKFSLKTVLMLADQMLTRIEYLHSKGFLHRDIKPDNFLMGLGKKSNQVYIIDFGLAKRYRDPNTNKHIPYRENKSLTGTARYASCNTHMGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAATKKEKYDSICDKKLSTPIEVLCKSYPVEFATYFHYCQSLTFDQHPDYGYLKRLFSDLFKRERYDSDCVYDWTILKYQQSQQTKKQNQSSPSTALPSNLEPAVVENHKGANGSTHANITNMLASLELPSARAQPKPSNTRNVDAKIRTEKHGVNNVPSSSSALPKSSTGNVSKPEKSTGTSNLGRVFGSNSRVSSSWIPSLRRISSAK >Vigun04g119766.1.v1.2 pep primary_assembly:ASM411807v1:4:30740380:30741688:-1 gene:Vigun04g119766.v1.2 transcript:Vigun04g119766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPPTSGPEVSSIEKKNLGHIDQIIGLVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINELLLYGVYPMLNAKAHNR >Vigun05g167300.1.v1.2 pep primary_assembly:ASM411807v1:5:28060171:28064255:1 gene:Vigun05g167300.v1.2 transcript:Vigun05g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKFLLKHRLQNRIQTSSQHSDSFGVTTRPEEVVAAANPSFADGVATKDIHMDPLTSLSIRIFLPESALNAPESRSKPRTRSIPEPQTRSAHLDSITRRKSYGPPLLEERRSNSFGGGSGVECLNLMTEGPYLGYSPPSVAQGERRRLPVVLQFHGGGWVSGGSDSVANDVFCRRIAELCDVIVIAVGYRLAPENRYPAAFEDGVKVLNWLAKQANLAECSRSMSGGKSGGHGGGEFKKSDAHKHIVDSFGATVVEPWLAAHADPSRCVLLGASCGANIADYVARKAVEGGKLLDPVMVVAQVLMYPFFIGSVPTRSEIKLANSYFYDKAMCTLAWKLFLPEGEFSLDHPSANPLVPGRGPPLKLMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKSPQAQVCAEDIAIWMKKYISLRGHEFSY >Vigun09g065700.1.v1.2 pep primary_assembly:ASM411807v1:9:6914516:6920336:1 gene:Vigun09g065700.v1.2 transcript:Vigun09g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVKIWNPVEVAEQASHDYDSQIHSSQLTIDPSLELPKMTPLVLNMCKDMFKAWADLNDSCFAVEKISGGITNLLLKVSVKQENCIEEIITIRLYGPNTEYIIDRQRELQATKFITAAGFGAKWLGIFGNGMVQSFINAQTLSPSDMREPKLAAKIAKQLQRFHDVEIPGSKEPQLWNDVWKFFEKASVLEFDDSEMQKTYETISFKELHDEIVELKGLCDLLKSPVIFAHNDLLSGNIMINYEEDKLYFIDYEYASYNYRGYDLGNHFAEYAGFDCDFDLYPNINEQYHFFRHYLQPERPHEVSEKDLEALYVEANTFSLASHVFWALWGLIQAKMSPIEFDYLGYFFLRYNEYKRQKEKNFLLARTYLTGCKNE >Vigun11g058000.1.v1.2 pep primary_assembly:ASM411807v1:11:12137919:12141509:-1 gene:Vigun11g058000.v1.2 transcript:Vigun11g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTKVRTVFNSFNHPLSMQSLMDRRIQSLFPIALYSILILGAARLVLDSLKMKQSSVLRLYGMPHGGRSRLPVVVLAEDRFEDNCNVFEGTWVWDNVSYPQYEEESCPYLVKQTTCHKNGRPDSFYKNWRWQPKGCNLPRFNALKMLHMLRDKRIMFIGDSLQRGQFESMICLIQSVIPEGKKSLQRIPPMKIFKIEEFNASIEYYWAPFIVESISDHATNHTVHKRMVKLDSINNHGKHWKGVDILVFESYVWWMHKPLINATYGSPHHVKEYEVTTAYKLALETWANWLESNIKPMTQKVFFMSMSPTHLWSWEWKPGSNENCFNESYPIQGPYWGTGSNLEIMKLIHDALQVLKIDVTLLNITQLSEYRKDAHTSVYGERKGKLLTKEQRANPTDFADCIHWCLPGVPDAWNEILYAYLLKGYQNFS >Vigun11g058000.2.v1.2 pep primary_assembly:ASM411807v1:11:12137919:12141509:-1 gene:Vigun11g058000.v1.2 transcript:Vigun11g058000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTKVRTVFNSFNHPLSMQSLMDRRIQSLFPIALYSILILGAARLVLDSLKMKQSSVLRLYGMPHGGRSRLPVVVLAEDRFEDNCNVFEGTWVWDNVSYPQYEEESCPYLVKQTTCHKNGRPDSFYKNWRWQPKGCNLPRFNALKMLHMLRDKRIMFIGDSLQRGQFESMICLIQSVIPEGKKSLQRIPPMKIFKIEEFNASIEYYWAPFIVESISDHATNHTVHKRMVKLDSINNHGKHWKGVDILVFESYVWWMHKPLINATYGSPHHVKEYEVTTAYKLALETWANWLESNIKPMTQKVFFMRAGNGNLEAMKTASMSRTQSKVHTGVQAQILKL >Vigun11g081650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24177062:24177316:-1 gene:Vigun11g081650.v1.2 transcript:Vigun11g081650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQQLRIGSVSPQQISAWAKRILPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIKDKKDDP >Vigun04g116900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29399394:29402993:-1 gene:Vigun04g116900.v1.2 transcript:Vigun04g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRVSVMSPIGLKVIIFIVCLVAFQIVGGEKEIRCLEREREALLRFKASIVDEFDMLSSWTTADCCQWKGIRCSNLTANIVSLDLHGEYDYETESWSYYISGKIHQSLIELQQLKYLNLSDNSFSHIPEFLGSLSNLRYLDLSWCDFDGKIPSQFGSLSHLKYLNLARNYHLEGSIPTQLGNLSKLEYLDLADNSLVGTIPSQLGNLSKLEHLDLTRNSFEGNIPSEFGNLSNLQQLYLGDYYNGVLQINDGGKFLSNLISLTHLYLWSVNGLNTSHTFLQNIVMLPKLRELGLIDCSLSDHFILSLRPFEFNFSTSLLAFHLSDNTFTSPVIFQWVANITCNLVELDLSYNNLEGSVSNHFGLAMNSLERLDLSFNNFKGEVLKSFVNICTLHSLYMRGNNLTEDLPSILHNMSSGCIKYSLQDLDLGDNYITGSLSNFSTFSTSKSLHLSNNRLVGMLKEGNKLPFRLEFLSLSSNLLEGGIPKSFGSACALRSLDITDNNLSDELSTIIHHLSGCAKYTLEHLSLQGNQINGTIPDLSAFSALMSLDLSNNHLNGKIREDSNLPFHLETLSISSNFLEGGIPRSFGNACALHSLRMEDNRLSVEFSIIIHHLSGCARYSLKELYLHMNEINGTLIDLSIFTSLRVLSIGENKLSGKILRNIQFPPQLEELDIQSNSLNGVFTDCHFVNVTKLFYLDLSNNPLTLTFTQNWVPPFQLSSIRLKSCLLGTFPKWLRKQNKYDELDISNSKILDVVPRWFWAKLASGNVGSIDISNNSLHGIIPNFSGKNIIGFLSLASNQFEGPIPPFLRGSIFLDLSNNNFSDSHSFLCASGLEETLYQLDLSHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLSLQALLLRNNNLKHEIPFSLRRCTNLVMLDMSNNNLSGQIPTWIGSEMQELQILSLGSNNFNGILPLQICYLNSIKIFDLSLNNLSGQIPACINNFTSMVNKTFSSDYGSHDYFIYISDFKSYRQYNLNVFLMWKGSEQIFKTIELLLLKGIDLSGNNFSAEIPVEIENLVELVSLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIGSIPLSLAQIDRLTMLDLSHNHLSGVIPTGTQLQSFNASSYEDNLNLCGPPLEKLCIHDGLSQDPIFEIDEYSLFGHEFYISMTLGFTITFWITFGSILFLKTS >Vigun05g238300.2.v1.2 pep primary_assembly:ASM411807v1:5:43143409:43146840:1 gene:Vigun05g238300.v1.2 transcript:Vigun05g238300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMDETILVGDDLMTGPPSPVVPPEIASHVLQGVDLCDGLLRNLFLCLQINDIEPFCQDQIALYKECAERRDKEIRKRLQDSELKLGLSMPLDEAKGRASQLEAEVTSLERRLILASGVEGIEGFRQRWSLHGRLTDSKNRLQFLKQGIDSRKKKD >Vigun05g238300.1.v1.2 pep primary_assembly:ASM411807v1:5:43143033:43146877:1 gene:Vigun05g238300.v1.2 transcript:Vigun05g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMDETILVGDDLMTGPPSPVVPPEIASHVLQGVDLCDGLLRNLFLCLQINDIEPFCQDQIALYKECAERRDKEIRKRLQDSELKLGLSMPLDEAKGRASQLEAEVTSLERRLILASGVEGIEGFRQRWSLHGRLTDSKNRLQFLKQGIDSRKKKD >Vigun08g025800.3.v1.2 pep primary_assembly:ASM411807v1:8:2249934:2255068:-1 gene:Vigun08g025800.v1.2 transcript:Vigun08g025800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPSARFSTPWLPVLPVRRGSPPLLLNQEEREPGGGFNGSDLIKELNLYDHDPFELPDAACFPYGYRGRKKHWFCYTVKETKRRSRKVKSGFWLRKGRVRDIRDNGGIGNAVLGTRSRFVFYVGNSLKNAIRTDWILYEYALVDQILGSFVLCRVVNKPHHKNSPSEIGRSCCAEESADVAVRHIGVQHDGCVGGDDVCDDGFVNREIEAVENPIRRGGEHDHAATVSVAQGSQPVQERLSGLPCDGALLIEAVIPRQHMLSILEEDFIELNDLI >Vigun08g025800.5.v1.2 pep primary_assembly:ASM411807v1:8:2250898:2255068:-1 gene:Vigun08g025800.v1.2 transcript:Vigun08g025800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPSARFSTPWLPVLPVRRGSPPLLLNQEEREPGGGFNGSDLIKELNLYDHDPFELPDAACFPYGYRGRKKHWFCYTVKETKRRSRKVKSGFWLRKGRVRDIRDNGGIGNAVLGTRSRFVFYVGNSLKNAIRTDWILYEYALVDQILGSFVLCRVVNKPHHKNSPSEIGRSCCAEESADVAVRHIGVQHDGCVGGDDVCDDGFVNREIEAVENPIRRGGEHDHAATVSVAQGSQPVQERLSGLPCDGALLIEAVIPRQHMLSILEEDFIELNDLI >Vigun08g025800.4.v1.2 pep primary_assembly:ASM411807v1:8:2250898:2255068:-1 gene:Vigun08g025800.v1.2 transcript:Vigun08g025800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPSARFSTPWLPVLPVRRGSPPLLLNQEEREPGGGFNGSDLIKELNLYDHDPFELPDAACFPYGYRGRKKHWFCYTVKETKRRSRKVKSGFWLRKGRVRDIRDNGGIGNAVLGTRSRFVFYVGNSLKNAIRTDWILYEYALVDQILGSFVLCRVVNKPHHKNSPSEIGRSCCAEESADVAVRHIGVQHDGCVGGDDVCDDGFVNREIEAVENPIRRGGEHDHAATVSVAQGSQPVQERLSGLPCDGALLIEAVIPRQHMLSILEEDFIELNDLI >Vigun08g025800.6.v1.2 pep primary_assembly:ASM411807v1:8:2253056:2255068:-1 gene:Vigun08g025800.v1.2 transcript:Vigun08g025800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPSARFSTPWLPVLPVRRGSPPLLLNQEEREPGGGFNGSDLIKELNLYDHDPFELPDAACFPYGYRGRKKHWFCYTVKETKRRSRKVKSGFWLRKGRVRDIRDNGGIGNAVLGTRSRFVFYVGNSLKNAIRTDWILYEYALVDQILGSFVLCRVVNKPHHKNSPSEIGRSCCAEESADVAVRHIGVQHDGCVGGDDVCDDGFVNREIEAVENPIRRGGEHDHAATVSVAQGSQPVRN >Vigun08g025800.2.v1.2 pep primary_assembly:ASM411807v1:8:2249665:2255068:-1 gene:Vigun08g025800.v1.2 transcript:Vigun08g025800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRPSARFSTPWLPVLPVRRGSPPLLLNQEEREPGGGFNGSDLIKELNLYDHDPFELPDAACFPYGYRGRKKHWFCYTVKETKRRSRKVKSGFWLRKGRVRDIRDNGGIGNAVLGTRSRFVFYVGNSLKNAIRTDWILYEYALVDQILGSFVLCRVVNKPHHKNSPSEIGRSCCAEESADVAVRHIGVQHDGCVGGDDVCDDGFVNREIEAVENPIRRGGEHDHAATVSVAQGSQPVQERLSGLPCDGALLIEAVIPRQHMLSILEEDFIELNDLI >Vigun01g203600.4.v1.2 pep primary_assembly:ASM411807v1:1:37977590:37980719:1 gene:Vigun01g203600.v1.2 transcript:Vigun01g203600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCGIVGFKYDCLLFDMDDTLYPLSSGLNLACRKNIEEYMLKHLHMEESEVPKICLDFYKEYGTTMAGLKAFGYEFDNDEFHAYVHGTLPYWKLKPDPVLRNLLLSMPQRKIIFTNADEAHAHQVLNRLGLIECFDSVICFETLNPPDHTDNPVLAWGNSLNKEGCNQVESGCFNKTQILCKPSVEAIEAAIQIANVDPRKTLFFDDSARNIASGKAAGLNTVIVGRSDLVPGADHALNSIHNIKEALPEIWEAEGDQQQMIQSQAVETMVLA >Vigun01g203600.3.v1.2 pep primary_assembly:ASM411807v1:1:37977343:37980719:1 gene:Vigun01g203600.v1.2 transcript:Vigun01g203600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCGIVGFKYDCLLFDMDDTLYPLSSGLNLACRKNIEEYMLKHLHMEESEVPKICLDFYKEYGTTMAGLKAFGYEFDNDEFHAYVHGTLPYWKLKPDPVLRNLLLSMPQRKIIFTNADEAHAHQVLNRLGLIECFDSVICFETLNPPDHTDNPVLAWGNSLNKEGCNQVESGCFNKTQILCKPSVEAIEAAIQIANVDPRKTLFFDDSARNIASGKAAGLNTVIVGRSDLVPGADHALNSIHNIKEALPEIWEAEGDQQQMIQSQAVETMVLA >Vigun01g203600.2.v1.2 pep primary_assembly:ASM411807v1:1:37977343:37980719:1 gene:Vigun01g203600.v1.2 transcript:Vigun01g203600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCGIVGFKYDCLLFDMDDTLYPLSSGLNLACRKNIEEYMLKHLHMEESEVPKICLDFYKEYGTTMAGLKAFGYEFDNDEFHAYVHGTLPYWKLKPDPVLRNLLLSMPQRKIIFTNADEAHAHQVLNRLGLIECFDSVICFETLNPPDHTDNPVLAWGNSLNKEGCNQVESGCFNKTQILCKPSVEAIEAAIQIANVDPRKTLFFDDSARNIASGKAAGLNTVIVGRSDLVPGADHALNSIHNIKEALPEIWEAEGDQQQMIQSQAVETMVLA >Vigun01g203600.5.v1.2 pep primary_assembly:ASM411807v1:1:37977511:37980719:1 gene:Vigun01g203600.v1.2 transcript:Vigun01g203600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCGIVGFKYDCLLFDMDDTLYPLSSGLNLACRKNIEEYMLKHLHMEESEVPKICLDFYKEYGTTMAGLKAFGYEFDNDEFHAYVHGTLPYWKLKPDPVLRNLLLSMPQRKIIFTNADEAHAHQVLNRLGLIECFDSVICFETLNPPDHTDNPVLAWGNSLNKEGCNQVESGCFNKTQILCKPSVEAIEAAIQIANVDPRKTLFFDDSARNIASGKAAGLNTVIVGRSDLVPGADHALNSIHNIKEALPEIWEAEGDQQQMIQSQAVETMVLA >Vigun03g357700.1.v1.2 pep primary_assembly:ASM411807v1:3:55971490:55972820:1 gene:Vigun03g357700.v1.2 transcript:Vigun03g357700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMARSRNVGILVVITVMLLKTTEAEDYEVGGSTGWTSFPPGGASFYSKWASNFTFQVNDTLVFNFESGSHSVVELTKPNYENCAFDNNIKTFNIGPARVTLTAPGEFYFSCPFSGHCSSGQKLSVTVITDSSSPPPNKTPTQAPAPRTATVEGPSPSPSASASVPTTRAPSPQTHSTDIAPPPHGSATPLTSTFSLFIITILINFLSQF >Vigun07g125500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23221565:23224974:-1 gene:Vigun07g125500.v1.2 transcript:Vigun07g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRIAHATLKGPSVVKEILIGITLGLAAGSVWKMHHWNEQRKIRTFYDLLEKGEIGVVVEEQ >Vigun03g072300.1.v1.2 pep primary_assembly:ASM411807v1:3:5959066:5962252:1 gene:Vigun03g072300.v1.2 transcript:Vigun03g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSPLLPPSPVTEIDLEAAPSQQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAQYHLSVHDAYRKWRTFKFRFFVTRDILFIFLAVQLVIAALAYLVYLIDGSQQYQLRLVFGFDNVLSFYYICGAVLFFVFIGLSGCFITCSDRAVLNDLDQPCREICLCCCQPGVRANYNYGGTSFTWLECNSCLESCGNMETECCGCMRGSEDTELPVLLIMALIFVVLFTVIGIFYSVLVATMVGQRIWQRHHHILAKRMLTKEYVVEDIDGEITGPDWCPPSIPAEHVQHLKTLGLL >Vigun10g128100.2.v1.2 pep primary_assembly:ASM411807v1:10:33607650:33611820:-1 gene:Vigun10g128100.v1.2 transcript:Vigun10g128100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQPRTQQLKPTTFFSSLFCPKCPLNHNLLLLLPKPNKKPTISASMPPGPASGPDQALISLLRSIPDWADTVQERGMQKKRSLYTHLNWRDHRSSLRHLRHLLSSLSSRVILSLVPPVLFFTGFAAAIASYNQALILHILPDFLPLLHSSSLPYQLTAPALALLLVFRTEASYARFVEGKKAWTNVIAGTHDFARQAAAILDDVDNADFSLKHALLQYIIAFPIALKCHVLYGSDIRRDLQDLLEVDDLAVVMNSKHQPRCIIEFISQSIRLLKLEDSRRNVLLVKTRIS >Vigun10g128100.1.v1.2 pep primary_assembly:ASM411807v1:10:33607650:33611820:-1 gene:Vigun10g128100.v1.2 transcript:Vigun10g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQPRTQQLKPTTFFSSLFCPKCPLNHNLLLLLPKPNKKPTISASMPPGPASGPDQALISLLRSIPDWADTVQERGMQKKRSLYTHLNWRDHRSSLRHLRHLLSSLSSRVILSLVPPVLFFTGFAAAIASYNQALILHILPDFLPLLHSSSLPYQLTAPALALLLVFRTEASYARFVEGKKAWTNVIAGTHDFARQAAAILDDVDNADFSLKHALLQYIIAFPIALKCHVLYGSDIRRDLQDLLEVDDLAVVMNSKHQPRCIIEFISQSIRLLKLEDSRRNVLESKISCFHEGIGICEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVIPATFISAASLFCIEEVGVLIEEPFPMLALDELCQKAQNDIQEAIATGNLIHARFVAKKNSHSEEHSPNGWPNS >Vigun01g061650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:13159398:13160057:-1 gene:Vigun01g061650.v1.2 transcript:Vigun01g061650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSLDEVKKFYRSYGIRSDFGIRTKTSTRGEDNEINYVKLVCSREGNYVSAIPPKLKTVPTKAKQCKASITAAKKDGQWFIRTVVTEHSHDISPKKSKLIRGNRKVDMHSRQTVETNDDAGVRINKSFRSLVSEAGGYEHVNFIERDVRNYIGQQRRALCKDGDGQALLRHFSKMRELNNDFFFEIDMDEDNCICNVFWVDARSRAACEDFISLVHC >Vigun06g057100.1.v1.2 pep primary_assembly:ASM411807v1:6:18375292:18384185:1 gene:Vigun06g057100.v1.2 transcript:Vigun06g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFSLFLYITRHSTHFKVAKLLDFFSCRYSRKFDGNSNFVVGMWNSRAILCLLSLLHSLLLHSVLPLVSAQGSKTTPWNTLSGSPPLVIARGGFSGIYPDSSDLAYSLAAQTSLANVIVWCDVQLTKDREGICIPSIKLENATDIGHISKYKSKTYSVNGVQTSGYFSVDYTLKELLSNVILIQRDYTRTNKFDRSNCRILTVNDLVRTEAPNPPGLWLNIQHDAFYAQHNLSMKNFVLTVSRTVNVSYISSPEASFLRSLRAHVNPSITKLVFRFLEKDKVDPSTNQTYGSLLKNLTSIKTFASGILVPKEYIWPVDPSSLYILPHTSLVSDAHKVGLEVFASDFMNDLSISYNYSYDPVAEYLNFIDNGNFSVDGVLSDFPLTPSEAIDCFAHIGLNAPKKVNTLVISKYGASGDYPPCTDLAYEKAISDGVDVLDCPVQMSKDGIPFCLSSIDLIESTNVAQSSFSRLGKTIPEIKSGNGIFTFDLAWDNIKSLSPLMLNPHSINSLYRNPEYNKKGQFLTLSDFLNLIKTHTSTLNVMIIIENAAYLARKQNLSVTDAVIDTLSKSGYDKPGAPKVMIQSTNSSVLLKFKEKAKYELVYKIDEIVGGAVDSAISDIKRFAHSVVVKKESVYPVSELFVIASTKIVSKFKSSNLSVYVETFSNEFLSQAWDFMSDAYVEINTFVQDAAIDGVITDFPKTANRYRRNKCLNLGDKTPMYMEPVQVGDLLQVMDKSLLPPAQAPAPPLTEDEVTEPPLPPLSKIAPSSPISGTEAGAPPPRNAQAKVTVCIFLSTLTLLVASLVL >Vigun11g053750.1.v1.2 pep primary_assembly:ASM411807v1:11:9669622:9673372:-1 gene:Vigun11g053750.v1.2 transcript:Vigun11g053750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNNLLTDWHSFTRALELRFGPSSYTNHQAELFKLQQHGSVSEYQTRFEKLSNCKPMPQHCVGGGKQLNTGDSWSVDVPEGTKGGCQTGYGTPPNTLAEYGLNGFNNLDFFDISLVDGFNVPMEFNPTSNECSRGISCTANINGQCPAELKTEGGCNNPCTVYKSNKYCCNSGSCSATPLSKFFKDRCPDAYSYPKDDPTSTFTCPMELTISKTAAVSDKIPATANGTKTFERATCAATMRVSFQRRKMLPSSSDESFLPSTIRVF >Vigun03g204900.2.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155959:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.4.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155959:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.3.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155857:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.6.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155760:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQLNGCACSMVTVREKGEKL >Vigun03g204900.1.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155959:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLMKQIRVKLTGAEEENKADIGGSRDQKVSTQKISSFKDNKKKGQNWFQRQFSRNMSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.5.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155868:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.8.v1.2 pep primary_assembly:ASM411807v1:3:33153540:33155820:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDYDTEMEHATAVAAAAYAIFSQEVSLIPQQKKMRETPLSRGKSKVDDTKPPFSQFGSTSRQFSGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun03g204900.7.v1.2 pep primary_assembly:ASM411807v1:3:33153539:33154852:-1 gene:Vigun03g204900.v1.2 transcript:Vigun03g204900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMHEYLFKPPNDKSKNGTVDIKRPEKGMNQASSMRRSSTFGEKLKSNSDGKKPEIQPPKRTPTFSDEHLVNTGETKPETPHPKVPLPVHQPEPLKPEPLRPPPPPPPPIRQNSARPGANETKADAWEREELEKIKERYEKLLETIESWEKRKKAKAIRKLNKLQHGDSERKRGKALKKYEEKMNYINQIAGGARAQAEERRRNEVMKAKEKANIIRTTGKIPGPCSCF >Vigun01g024500.2.v1.2 pep primary_assembly:ASM411807v1:1:2674108:2723096:-1 gene:Vigun01g024500.v1.2 transcript:Vigun01g024500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSALGRWRVCNSEMFMAEGGGYNFGIGMRSAGGWNSASPSRSRSVVTGVVGVRFDGPVPLNGDVLEKEVLFEQCVTRTLSPALTLEEGLEKLKDALHALKLQRPPSSTGFLRFQVAVPPGSNAFALFCSQPLSSSVFPLAYLSKNDADPKSLYVNGARGVCAIGAAVCFLPLTSNHRTFVNRYVSSDSTNVVAYGLMDVNVDDGNVSHQEGSFWFFIPQIELDELESVSVLTMTLAWDDFSHSTFQEAYYLLEVSLDQVMCHVWTTIIDKGKSKCTRGALRKLNLVEDKSIPRVHMNTIAPGGRECLSDIMELKESPSCSQFCLRLSATLAFSNNMLDHANELSHSLKESANINAVWASLIVEECTRLGLMYFCIAPGSRSSPLAVAAASHKLVTCISCFDERSLAFHAVGYGRGSQIPAVVITSSGTAVSNLLPAVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPARMVLTTLDSAAHRATSSPCGPVHINCPFREPLESSPCKWLSSCLTGLDFWMSNAEPFTKYIHMRLSNTSTNVPGEMSEVLDLIQKSKSSLLLFGAIHTEDEMWAALLLAKHLQWPVVADILSGLRLRTLLSSFPDIERNFIFVDNLDQALLSDTVKGWLEVDVVIQIGSRLTSKRVCQILEDRAPFSYIMVDKHPQRHDPSHIITHRIQTSIFEFVGCLRKASVTHTRSMWGISLQLLSKMVEWEIKFQITAECSLTEPYVAHVMSDALSSESALFLGNSMPIRDANLYGRSWSMCNQSVSSLMLNSDLPINLMRVAANRGASGIDGLLSTAIGFAAGCNKKVFCVVGDISLLHDTNGLAILKQRKLRKPMTILVVNNHGGAIFSNLPLADKVETSIMHQYFYTSHNISIRELCMAHGIKHLHVTTKEELKEALCVAQHEQMDCMIEIESSIDANASFHSILKKFALQTVQHTMNYLSWISNEGSILDEFCLYKIRKIQCSKYRIALEAPPTSAFVVDSCKEFYKEGFILSLELEDGSVGYGEVAPIGIHKENLVDAEYQLRFLIHVMEHVDVSCFLSLLRGSFSYWIWHELGIMPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPSINDNNKCETSSNVQICALIDSNGSPSEVANVAAKLIEEGFSAIKLKVARRGDPMLDAEIIQEVRKKVGCQVIIRADANRSWTYEEAMKFSSFVKDCNLQYIEEPVQDEDNILKFCEESGLSIALDETIDNIQENPMEKLVKFTHPAIAAVVIKPSVVGGFENAALIAQWAYHMGKMVVVSAAFESSLSLSAYTQFSCYLEMLSLGTFKVSDNVAAPAVAHGLGTYRWLKEDVTPNPLFICRNPKSGFVEASVADASRLVRDFQVNKKVVSYVIVKEQVRQYQCRIELNNVSCSFEVRETGLKTNDNVLVFLHGFLGNGQDWINIMKTFSGSAKCISVDLPGHGKSILHGLEGVGEEPWLSLETVADILHELIHHIAPAKVTLVGYSMGARIALFMALKFGTKIKGAILISGSPGLNDKLSRKIRAAKDDSRASTVITHGLQLFVSSWYAGELWKSLRSHPHSSRIIASRLQHDDVQSLAQMLSGLSIGRHLHLLLHHLFRFIVAVIDHNHHHVTPLQCQLLCLLRL >Vigun01g024500.4.v1.2 pep primary_assembly:ASM411807v1:1:2674347:2723097:-1 gene:Vigun01g024500.v1.2 transcript:Vigun01g024500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSALGRWRVCNSEMFMAEGGGYNFGIGMRSAGGWNSASPSRSRSVVTGVVGVRFDGPVPLNGDVLEKEVLFEQCVTRTLSPALTLEEGLEKLKDALHALKLQRPPSSTGFLRFQVAVPPGSNAFALFCSQPLSSSVFPLAYLSKNDADPKSLYVNGARGVCAIGAAVCFLPLTSNHRTFVNRYVSSDSTNVVAYGLMDVNVDDGNVSHQEGSFWFFIPQIELDELESVSVLTMTLAWDDFSHSTFQEAYYLLEVSLDQVMCHVWTTIIDKGKSKCTRGALRKLNLVEDKSIPRVHMNTIAPGGRECLSDIMELKESPSCSQFCLRLSATLAFSNNMLDHANELSHSLKESANINAVWASLIVEECTRLGLMYFCIAPGSRSSPLAVAAASHKLVTCISCFDERSLAFHAVGYGRGSQIPAVVITSSGTAVSNLLPAVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPARMVLTTLDSAAHRATSSPCGPVHINCPFREPLESSPCKWLSSCLTGLDFWMSNAEPFTKYIHMRLSNTSTNVPGEMSEVLDLIQKSKSSLLLFGAIHTEDEMWAALLLAKHLQWPVVADILSGLRLRTLLSSFPDIERNFIFVDNLDQALLSDTVKGWLEVDVVIQIGSRLTSKRVCQILEDRAPFSYIMVDKHPQRHDPSHIITHRIQTSIFEFVGCLRKASVTHTRSMWGISLQLLSKMVEWEIKFQITAECSLTEPYVAHVMSDALSSESALFLGNSMPIRDANLYGRSWSMCNQSVSSLMLNSDLPINLMRVAANRGASGIDGLLSTAIGFAAGCNKKVFCVVGDISLLHDTNGLAILKQRKLRKPMTILVVNNHGGAIFSNLPLADKVETSIMHQYFYTSHNISIRELCMAHGIKHLHVTTKEELKEALCVAQHEQMDCMIEIESSIDANASFHSILKKFALQTVQHTMNYLSWISNEGSILDEFCLYKIRKIQCSKYRIALEAPPTSAFVVDSCKEFYKEGFILSLELEDGSVGYGEVAPIGIHKENLVDAEYQLRFLIHVMEHVDVSCFLSLLRGSFSYWIWHELGIMPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPSINDNNKCETSSNVQICALIDSNGSPSEVANVAAKLIEEGFSAIKLKVARRGDPMLDAEIIQEVRKKVGCQVIIRADANRSWTYEEAMKFSSFVKDCNLQYIEVIKPSVVGGFENAALIAQWAYHMGKMVVVSAAFESSLSLSAYTQFSCYLEMLSLGTFKVSDNVAAPAVAHGLGTYRWLKEDVTPNPLFICRNPKSGFVEASVADASRLVRDFQVNKKVVSYVIVKEQVRQYQCRIELNNVSCSFEVRETGLKTNDNVLVFLHGFLGNGQDWINIMKTFSGSAKCISVDLPGHGKSILHGLEGVGEEPWLSLETVADILHELIHHIAPAKVTLVGYSMGARIALFMALKFGTKIKGAILISGSPGLNDKLSRKIRAAKDDSRASTVITHGLQLFVSSWYAGELWKSLRSHPHSSRIIASRLQHDDVQSLAQMLSGLSIGRHLCHL >Vigun01g024500.1.v1.2 pep primary_assembly:ASM411807v1:1:2674347:2723096:-1 gene:Vigun01g024500.v1.2 transcript:Vigun01g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSALGRWRVCNSEMFMAEGGGYNFGIGMRSAGGWNSASPSRSRSVVTGVVGVRFDGPVPLNGDVLEKEVLFEQCVTRTLSPALTLEEGLEKLKDALHALKLQRPPSSTGFLRFQVAVPPGSNAFALFCSQPLSSSVFPLAYLSKNDADPKSLYVNGARGVCAIGAAVCFLPLTSNHRTFVNRYVSSDSTNVVAYGLMDVNVDDGNVSHQEGSFWFFIPQIELDELESVSVLTMTLAWDDFSHSTFQEAYYLLEVSLDQVMCHVWTTIIDKGKSKCTRGALRKLNLVEDKSIPRVHMNTIAPGGRECLSDIMELKESPSCSQFCLRLSATLAFSNNMLDHANELSHSLKESANINAVWASLIVEECTRLGLMYFCIAPGSRSSPLAVAAASHKLVTCISCFDERSLAFHAVGYGRGSQIPAVVITSSGTAVSNLLPAVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPARMVLTTLDSAAHRATSSPCGPVHINCPFREPLESSPCKWLSSCLTGLDFWMSNAEPFTKYIHMRLSNTSTNVPGEMSEVLDLIQKSKSSLLLFGAIHTEDEMWAALLLAKHLQWPVVADILSGLRLRTLLSSFPDIERNFIFVDNLDQALLSDTVKGWLEVDVVIQIGSRLTSKRVCQILEDRAPFSYIMVDKHPQRHDPSHIITHRIQTSIFEFVGCLRKASVTHTRSMWGISLQLLSKMVEWEIKFQITAECSLTEPYVAHVMSDALSSESALFLGNSMPIRDANLYGRSWSMCNQSVSSLMLNSDLPINLMRVAANRGASGIDGLLSTAIGFAAGCNKKVFCVVGDISLLHDTNGLAILKQRKLRKPMTILVVNNHGGAIFSNLPLADKVETSIMHQYFYTSHNISIRELCMAHGIKHLHVTTKEELKEALCVAQHEQMDCMIEIESSIDANASFHSILKKFALQTVQHTMNYLSWISNEGSILDEFCLYKIRKIQCSKYRIALEAPPTSAFVVDSCKEFYKEGFILSLELEDGSVGYGEVAPIGIHKENLVDAEYQLRFLIHVMEHVDVSCFLSLLRGSFSYWIWHELGIMPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPSINDNNKCETSSNVQICALIDSNGSPSEVANVAAKLIEEGFSAIKLKVARRGDPMLDAEIIQEVRKKVGCQVIIRADANRSWTYEEAMKFSSFVKDCNLQYIEEPVQDEDNILKFCEESGLSIALDETIDNIQENPMEKLVKFTHPAIAAVVIKPSVVGGFENAALIAQWAYHMGKMVVVSAAFESSLSLSAYTQFSCYLEMLSLGTFKVSDNVAAPAVAHGLGTYRWLKEDVTPNPLFICRNPKSGFVEASVADASRLVRDFQVNKKVVSYVIVKEQVRQYQCRIELNNVSCSFEVRETGLKTNDNVLVFLHGFLGNGQDWINIMKTFSGSAKCISVDLPGHGKSILHGLEGVGEEPWLSLETVADILHELIHHIAPAKVTLVGYSMGARIALFMALKFGTKIKGAILISGSPGLNDKLSRKIRAAKDDSRASTVITHGLQLFVSSWYAGELWKSLRSHPHSSRIIASRLQHDDVQSLAQMLSGLSIGRHLCHL >Vigun01g024500.5.v1.2 pep primary_assembly:ASM411807v1:1:2674108:2723096:-1 gene:Vigun01g024500.v1.2 transcript:Vigun01g024500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSALGRWRVCNSEMFMAEGGGYNFGIGMRSAGGWNSASPSRSRSVVTGVVGVRFDGPVPLNGDVLEKEVLFEQCVTRTLSPALTLEEGLEKLKDALHALKLQRPPSSTGFLRFQVAVPPGSNAFALFCSQPLSSSVFPLAYLSKNDADPKSLYVNGARGVCAIGAAVCFLPLTSNHRTFVNRYVSSDSTNVVAYGLMDVNVDDGNVSHQEGSFWFFIPQIELDELESVSVLTMTLAWDDFSHSTFQEAYYLLEVSLDQVMCHVWTTIIDKGKSKCTRGALRKLNLVEDKSIPRVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPARMVLTTLDSAAHRATSSPCGPVHINCPFREPLESSPCKWLSSCLTGLDFWMSNAEPFTKYIHMRLSNTSTNVPGEMSEVLDLIQKSKSSLLLFGAIHTEDEMWAALLLAKHLQWPVVADILSGLRLRTLLSSFPDIERNFIFVDNLDQALLSDTVKGWLEVDVVIQIGSRLTSKRVCQILEDRAPFSYIMVDKHPQRHDPSHIITHRIQTSIFEFVGCLRKASVTHTRSMWGISLQLLSKMVEWEIKFQITAECSLTEPYVAHVMSDALSSESALFLGNSMPIRDANLYGRSWSMCNQSVSSLMLNSDLPINLMRVAANRGASGIDGLLSTAIGFAAGCNKKVFCVVGDISLLHDTNGLAILKQRKLRKPMTILVVNNHGGAIFSNLPLADKVETSIMHQYFYTSHNISIRELCMAHGIKHLHVTTKEELKEALCVAQHEQMDCMIEIESSIDANASFHSILKKFALQTVQHTMNYLSWISNEGSILDEFCLYKIRKIQCSKYRIALEAPPTSAFVVDSCKEFYKEGFILSLELEDGSVGYGEVAPIGIHKENLVDAEYQLRFLIHVMEHVDVSCFLSLLRGSFSYWIWHELGIMPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPSINDNNKCETSSNVQICALIDSNGSPSEVANVAAKLIEEGFSAIKLKVARRGDPMLDAEIIQEVRKKVGCQVIIRADANRSWTYEEAMKFSSFVKDCNLQYIEEPVQDEDNILKFCEESGLSIALDETIDNIQENPMEKLVKFTHPAIAAVVIKPSVVGGFENAALIAQWAYHMGKMVVVSAAFESSLSLSAYTQFSCYLEMLSLGTFKVSDNVAAPAVAHGLGTYRWLKEDVTPNPLFICRNPKSGFVEASVADASRLVRDFQVNKKVVSYVIVKEQVRQYQCRIELNNVSCSFEVRETGLKTNDNVLVFLHGFLGNGQDWINIMKTFSGSAKCISVDLPGHGKSILHGLEGVGEEPWLSLETVADILHELIHHIAPAKVTLVGYSMGARIALFMALKFGTKIKGAILISGSPGLNDKLSRKIRAAKDDSRASTVITHGLQLFVSSWYAGELWKSLRSHPHSSRIIASRLQHDDVQSLAQMLSGLSIGRHLFIVAVIDHNHHHVTPLQCQLLCLLRL >Vigun01g024500.3.v1.2 pep primary_assembly:ASM411807v1:1:2674108:2723096:-1 gene:Vigun01g024500.v1.2 transcript:Vigun01g024500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGSALGRWRVCNSEMFMAEGGGYNFGIGMRSAGGWNSASPSRSRSVVTGVVGVRFDGPVPLNGDVLEKEVLFEQCVTRTLSPALTLEEGLEKLKDALHALKLQRPPSSTGFLRFQVAVPPGSNAFALFCSQPLSSSVFPLAYLSKNDADPKSLYVNGARGVCAIGAAVCFLPLTSNHRTFVNRYVSSDSTNVVAYGLMDVNVDDGNVSHQEGSFWFFIPQIELDELESVSVLTMTLAWDDFSHSTFQEAYYLLEVSLDQVMCHVWTTIIDKGKSKCTRGALRKLNLVEDKSIPRVHMNTIAPGGRECLSDIMELKESPSCSQFCLRLSATLAFSNNMLDHANELSHSLKESANINAVWASLIVEECTRLGLMYFCIAPGSRSSPLAVAAASHKLVTCISCFDERSLAFHAVGYGRGSQIPAVVITSSGTAVSNLLPAVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPARMVLTTLDSAAHRATSSPCGPVHINCPFREPLESSPCKWLSSCLTGLDFWMSNAEPFTKYIHMRLSNTSTNVPGEMSEVLDLIQKSKSSLLLFGAIHTEDEMWAALLLAKHLQWPVVADILSGLRLRTLLSSFPDIERNFIFVDNLDQALLSDTVKGWLEVDVVIQIGSRLTSKRVCQILEDRAPFSYIMVDKHPQRHDPSHIITHRIQTSIFEFVGCLRKASVTHTRSMWGISLQLLSKMVEWEIKFQITAECSLTEPYVAHVMSDALSSESALFLGNSMPIRDANLYGRSWSMCNQSVSSLMLNSDLPINLMRVAANRGASGIDGLLSTAIGFAAGCNKKVFCVVGDISLLHDTNGLAILKQRKLRKPMTILVVNNHGGAIFSNLPLADKVETSIMHQYFYTSHNISIRELCMAHGIKHLHVTTKEELKEALCVAQHEQMDCMIEIESSIDANASFHSILKKFALQTVQHTMNYLSWISNEGSILDEFCLYKIRKIQCSKYRIALEAPPTSAFVVDSCKEFYKEGFILSLELEDGSVGYGEVAPIGIHKENLVDAEYQLRFLIHVMEHVDVSCFLSLLRGSFSYWIWHELGIMPSSIFPSVRCGLEMAILNAIADAKGSNMLNILHPSINDNNKCETSSNVQICALIDSNGSPSEVANVAAKLIEEGFSAIKLKVARRGDPMLDAEIIQEVRKKVGCQVIIRADANRSWTYEEAMKFSSFVKDCNLQYIEEPVQDEDNILKFCEESGLSIALDETIDNIQENPMEKLVKFTHPAIAAVVIKPSVVGGFENAALIAQWAYHMGKMVVVSAAFESSLSLSAYTQFSCYLEMLSLGTFKVSDNVAAPAVAHGLGTYRWLKEDVTPNPLFICRNPKSGFVEASVADASRLVRDFQVNKKVVSYVIVKEQVRQYQCRIELNNVSCSFEVRETGLKTNDNVLVFLHGFLGNGQDWINIMKTFSGSAKCISVDLPGHGKSILHGLEGVGEEPWLSLETVADILHELIHHIAPAKVTLVGYSMGARIALFMALKFGTKIKGAILISGSPGLNDKLSRKIRAAKDDSRASTVITHGLQLFVSSWYAGELWKSLRSHPHSSRIIASRLQHDDVQSLAQMLSGLSIGRHLFIVAVIDHNHHHVTPLQCQLLCLLRL >Vigun02g017001.1.v1.2 pep primary_assembly:ASM411807v1:2:6105350:6109000:1 gene:Vigun02g017001.v1.2 transcript:Vigun02g017001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQQLAFLVDASHCRNRIVPALYVFGDSTVDAGNNNNLNTLAKANLFSYGIDFNSCSTGRFSNGKTFADLIEFLGGHVHFSFALLRNICYFPLKKCFFSSKAICASLEAIFGSPPHSLSPSSLLLQSIFSTLGKPSSLRGSCFPVIYSKRQMLQMHQEMLYLEIFEYIFNKREKGEVCVASSLVFVQEGIYDEFEEKK >Vigun02g017001.3.v1.2 pep primary_assembly:ASM411807v1:2:6105350:6109000:1 gene:Vigun02g017001.v1.2 transcript:Vigun02g017001.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQQLAFLVDASHCRNRIVPALYVFGDSTVDAGNNNNLNTLAKANLFSYGIDFNSCSTGRFSNGKTFADLIEFLGGHVHFSFALLRNICYFPLKKCFFSSKAICASLEAIFGSPPHSLSPSSLLLQSIFSTLGKPSSLRGSCFPVIYSKRQMLQMHQEMLYLEIFEYIFNKSKF >Vigun02g017001.2.v1.2 pep primary_assembly:ASM411807v1:2:6105350:6109000:1 gene:Vigun02g017001.v1.2 transcript:Vigun02g017001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQQLAFLVDASHCRNRIVPALYVFGDSTVDAGNNNNLNTLAKANLFSYGIDFNSCSTGRFSNGKTFADLIEFLGGHVHFSFALLRNICYFPLKKCFFSSKAICASLEAIFGSPPHSLSPSSLLLQSIFSTLGKPSSLRGSCFPVIYSKRQMLQMHQEMLYLEIFEYIFNKSNVPKPIYM >Vigun05g260800.1.v1.2 pep primary_assembly:ASM411807v1:5:45384421:45389410:-1 gene:Vigun05g260800.v1.2 transcript:Vigun05g260800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAASNNLSTAILPSRRTKLSRSSSVKCNLLPSKPNDSNPSAPPPPLFKAIAISSAASILLHCTPLTPFLSNPLAGGGNSGGGGGAGGDGGWFGGNGGGGGENGGFLSRMFAAIADESQSQEWDSHGLPANIVVQLNKMSGFKKYKVSEIMFFDRNRKVKVGSEDSFFEMVSLRPGGVYTKAQLQKELETLATSGMFEKVDLEGKTNPDGTIGVTISFSESTWQSADGFRCINVGLMQQTKPIEMDSDMTDKERLEYYLSQEREYKRRIDRARPCLLPRHVHDEILEMLKRQGMVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITKLDIQFQDKLGNVVEGNTQVPVIQRELPRQLRPGFTFNIEAGKQALRNVNSLALFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGHPTLASLQPGGTVSFEHRNLQGLNRSVNGSITTSNFLNPQDDLAFKLEYVHPYLDGVYYPRNRTLRVSCFNSRKLSPVFTGGPGVDEVPPIWVDRTGVKANITENFTRQSKFTYGLVMEEITTRDESSHICSNGQRVLPSGGISADGPPTTLSGTGIDRMAFLQANITRDNTRFVNGTVVGDRNMFQVDQGLGIGSQFPFFNRHQLTVTRFVQLMSVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNILELAAELRIPVKSTHVYAFAEHGNDLGSSKGVKGNPTEVYRRLGHGSSYGLGVKLGLVRAEYAVDHNSGTGALFFRFGERF >Vigun10g017400.6.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954069:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYFNGQYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.5.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.2.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954067:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.4.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954067:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.3.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCERVEAVCANPCAMLEDKNVESKSCFGHLMASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.1.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun10g017400.8.v1.2 pep primary_assembly:ASM411807v1:10:1946113:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTMIIADLCIFHSSFS >Vigun10g017400.9.v1.2 pep primary_assembly:ASM411807v1:10:1946113:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIIPVVSSYWFENEKKDFVSLSSLTLLFSISEIECDLGEKVFLHGKTDDGLHKIYKQIIGWRFELTYEQPEISVLSKDKNWITLQRPRKSFESTIRTILVTVYFLHFLRRNPEESHISIWDKVKKAFSTFDIPPSENDILNHVSLMREAVKRDNDLAKSKYLHDLMEKGCSNEGFQEYNDEENVQSDELNPDGQHNIGYDTVCSICDNGGEILPCEGSCLRSFHATKEAGMDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTMIIADLCIFHSSFS >Vigun10g017400.7.v1.2 pep primary_assembly:ASM411807v1:10:1944385:1954045:-1 gene:Vigun10g017400.v1.2 transcript:Vigun10g017400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFCESLGYTSAQVKAFPNFYCRNCKYKQHQCFACGKLGSSDVSSKTEVFPCVTANCGHYYHPDCVARLLSNGIDTEQEEMRRKVAIGKAFVCPLHACSLCKKGEVKNVHHLQLAICRRCPKAYHRKCLPKEISFTLDYDKGIEQRAWDGLLDHRILIYCMDHEIDSKLGTPARDHLVFPDMKVKMKVLFNYKLFDKGKDTTNLGKSFKDLPPKETPVPNWVAKQSVSIQEGDVAKDVEKICYKKDTLFSSASVRFDRDRKYLKVKNMPVLNHSSPSSSKKLPLKVAKLSCNSRLCEAKSLQKKLVCGRIEKTGFEKPLVNKIRTSLDFDNAEMENSVLSLVKESMSTFNEQEFIKNHQAFSSTSGLTETEFHKNLTQGKVEGSIKAVQTALQRLEEGCSIEEAKTICDPGVLHQLFIWQKQLKVYLAPFLHGMRYTSFGRHFTKIDKLKEVVNRLHWYVQNGDTVLDFCCGSNDFSCLMKTKLEQMGKSCSFKNYDLFQPKNDFNFEKRDWMSVNAEELPHGSKLIIGLNPPFGVKGFLANKFINKALTFNPKLLILIVPKVTKRLDKRKGGYDLIWESDEMFSGKSFYLPGSVDVRDKQLEDWNMKPPPLYLWSHPDWTTKHRKIAQEHGHIKEKYDVHVKNYLMEENHDCYQDYSGLHAPGDVLSIFDDIPYDNDDGADEVATCNAQGQVKGTVFSDCGREMKLENRFPFDEAEDMCIDMELSTP >Vigun05g303800.2.v1.2 pep primary_assembly:ASM411807v1:5:48647493:48652200:-1 gene:Vigun05g303800.v1.2 transcript:Vigun05g303800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPHPKGGAGIRKGEGGGNGIVAVAIDKDKGSHYALKWAVDCLLGRGQTLILLHVMHGTSSHVSGGSEAVICNITSSSASPQRYQLDTTTKDLFLTFHCYCTRKDVHCLDVLLEDNDVVKAITEYVSYAAIENLVIGATSRHGFIRFKSSSSASSSISKGAPDFCTVFIISKGKISSVRNATRPAAHTSPLLIHIRNLKNKDENHQEIPFRNTNTRDRTSFKPQSWHEESIHRSPFSRGRGTTGLSCVDFPDSDTEISFVSSDRPSSCRSSSVYDYIDASRTPRVSTSSDHSFGSTRLGLKFNDLGSPDTSFSHESSRTSFSYSSQSMDEEAAEADMKRLKLELKQTMDMYSTACREALTAQHKLVELTHWRIEEERKLEEARLAEEAALAIAEKEKARCRAAMETAEASKKIAQVETHRRATVEVKALKEAEEMRKLLDNLAQTDVRYRRYCIEEIEAATNFFSESQKIGEGGYGPVFKCFLDHTSVAVKVLRPDAAQGKAQFQQEVDILSCMRHPNMVLLLGACPQYGILIYEYMANGSLEDCLFRKRKNKVLSWQLRLRIAAEITTGLLFLHQTKPEPLVHRDLKPGNILLDQNYVSKISDVGLARLVPAVAEDVTQCCMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQLLTGRPPMGLAHHAAQAIEKDTFEEMLDPSVTDWPLQQALCLAKIAVKCAELRRKDRPDLAQVVLPELDKLRDFAEENMTVRLFPINLECTAPSPCHSETSVQQDVMSDQRLVHSVGSSAPSTPTEEKH >Vigun05g303800.1.v1.2 pep primary_assembly:ASM411807v1:5:48647493:48652178:-1 gene:Vigun05g303800.v1.2 transcript:Vigun05g303800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPHPKGGAGIRKGEGGGNGIVAVAIDKDKGSHYALKWAVDCLLGRGQTLILLHVMHGTSSHVSGGSEAVICNITSSSASPQRYQLDTTTKDLFLTFHCYCTRKDVHCLDVLLEDNDVVKAITEYVSYAAIENLVIGATSRHGFIRFKSSSSASSSISKGAPDFCTVFIISKGKISSVRNATRPAAHTSPLLIHIRNLKNKDENHQEIPFRNTNTRDRTSFKPQSWHEESIQSPFSRGRGTTGLSCVDFPDSDTEISFVSSDRPSSCRSSSVYDYIDASRTPRVSTSSDHSFGSTRLGLKFNDLGSPDTSFSHESSRTSFSYSSQSMDEEAAEADMKRLKLELKQTMDMYSTACREALTAQHKLVELTHWRIEEERKLEEARLAEEAALAIAEKEKARCRAAMETAEASKKIAQVETHRRATVEVKALKEAEEMRKLLDNLAQTDVRYRRYCIEEIEAATNFFSESQKIGEGGYGPVFKCFLDHTSVAVKVLRPDAAQGKAQFQQEVDILSCMRHPNMVLLLGACPQYGILIYEYMANGSLEDCLFRKRKNKVLSWQLRLRIAAEITTGLLFLHQTKPEPLVHRDLKPGNILLDQNYVSKISDVGLARLVPAVAEDVTQCCMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQLLTGRPPMGLAHHAAQAIEKDTFEEMLDPSVTDWPLQQALCLAKIAVKCAELRRKDRPDLAQVVLPELDKLRDFAEENMTVRLFPINLECTAPSPCHSETSVQQDVMSDQRLVHSVGSSAPSTPTEEKH >Vigun02g067000.2.v1.2 pep primary_assembly:ASM411807v1:2:21683684:21685697:-1 gene:Vigun02g067000.v1.2 transcript:Vigun02g067000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSLTSSLHFRKTHSRISPFNFRPLFQKGTQSLKSNFSFSTLHGTPHFRPSIAIAPFSPTQTRASSDECFDPADEAERLLLSGEKPVKFAFWVIFWASLSLAWFAVSKDANAAVDSIKASGFGLKIANSLRKLGWPDGVVVFTLATLPVLELRGAIPVGYWMQLNPTTLTILSILGNMVPVPFIVLYLKRFASFIAARSSTLSRFLDMLFKNAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAFIAAILDMPFWAAVSANFFGVVFAGLLVNLLVNLGLKWSIISIGKLKTYLTFNFVVHLFFLKCKI >Vigun02g067000.1.v1.2 pep primary_assembly:ASM411807v1:2:21683685:21685697:-1 gene:Vigun02g067000.v1.2 transcript:Vigun02g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSLTSSLHFRKTHSRISPFNFRPLFQKGTQSLKSNFSFSTLHGTPHFRPSIAIAPFSPTQTRASSDECFDPADEAERLLLSGEKPVKFAFWVIFWASLSLAWFAVSKDANAAVDSIKASGFGLKIANSLRKLGWPDGVVVFTLATLPVLELRGAIPVGYWMQLNPTTLTILSILGNMVPVPFIVLYLKRFASFIAARSSTLSRFLDMLFKNAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAFIAAILDMPFWAAVSANFFGVVFAGLLVNLLVNLGLKYAIITGIILFFVSTFMWSILRNLKKGLNPSN >Vigun06g209300.1.v1.2 pep primary_assembly:ASM411807v1:6:32260939:32265203:-1 gene:Vigun06g209300.v1.2 transcript:Vigun06g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIHNEAPRRLEGKFQAMVVCFILGLGSLVAWNSMLTVGDYYYTLFPRYHPLRVLTLVYQPFALGTMAILAYNESRINTRLRNLIGYSLFSVSTLLVLVLDLATSGKGGMGPYIGVCVLSACFGIADAHVQGGMVGDLSFMCPEFIQSFLAGLAASGALASGLRLLTKVGFENSKNGLRKGTILFFAISTLFEFFCIILYAFYFPKLSIVKYYRSKAASEGSKTVSADLAAAGIHNETNHQVGFDAKIQDRLSNKQLVLQNIDLAAALFLIYVLTLSIFPGFLYENTGSHQLGTWYPLVLIAMYNVLDLISRYIPLIKCLTLESRKGILIAVLSRFLLIPAFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCVFTIAPEGYKGPEQNALGNLLVLFLLGGIFSGVALDWLWLMGKSGF >Vigun06g016400.1.v1.2 pep primary_assembly:ASM411807v1:6:7708229:7709248:1 gene:Vigun06g016400.v1.2 transcript:Vigun06g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPNKGHERPNFLLFYFHSYASKYKFGSYISCHSKYFCRENQNLYMYDLLDPLLSLEAKVKFLISRDIKRK >Vigun07g098466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17228319:17228714:1 gene:Vigun07g098466.v1.2 transcript:Vigun07g098466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNYDQHKFSSETVASSFVEIMPKSLIPERKVKLNPREYDQFRLDLERRNWQKVLADLPNKIDEVLVIEFYANAYQQVRDDLRQCRMRGKLIKYDRKTIHTIFRRTLAPTGQVIPFVNSQVVTRTMMKLP >Vigun04g053301.1.v1.2 pep primary_assembly:ASM411807v1:4:5002241:5003342:1 gene:Vigun04g053301.v1.2 transcript:Vigun04g053301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIPSNKSTSEQQRQRWRINAANRRRRMTSQQRLIEQSKRRKNNPENTTNIVQMPNRAPRLRMTSIRQMARSATNAGSSHAPQRFEKYNVYEALSSTSPVSNIDYGYGFAPQRFPRRNDSEAGPSNTHITNRFPGRNDNEAGPSNTHITDRFPGHNDNEAGPSNTHITCTEHG >Vigun07g244000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36537883:36541202:-1 gene:Vigun07g244000.v1.2 transcript:Vigun07g244000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTKLLLLILTVAVSSAMASDDERVGELLSLQSRSKSGVIRLNDQSLSRFLTAVKTPRPYSILLFFDATQLHDKQELRLTELRNEFSIVASSFLTNNPSNSKLFFCDIEFKESQLSFSQFGVNALPHIRLIGPNMGLKDSEPMDQGDFSRLAESMAEFVEAKTKLSVGPIHRPPVFSRNQLILIAVVIIIWIPFFIKKVISGQTLLHDPKVWLAGSVFVYFFSVSGAMHNIIRKMPMFLVDRNDPSKLVFFYQGSGMQLGAEGFTVGFLYTVVGLLLAFLTHGLVKVRNVSVQRVVMIFALLVSFLAVKQVVYLDNWKTGYGIHGFWPSSWN >Vigun06g024200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11253316:11255434:1 gene:Vigun06g024200.v1.2 transcript:Vigun06g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDNGKQAVSDHGLLESSTKEKMKRSVLGSYKAEVESMADEENPSPKLSTCDICFKTFGCGKALGGHRRSHFMKQQQQKKVKTRFTDNHASKPGGDSNIRVICDFDDDDGKHICCICKKEFPTKNALFGHMRSHPERPWRGVSPPTQKNSSSPSSSYYHSPSSSLNSDSMEKNKEDHDADDGGHRLISPDEQHRRNEIDLSLCTSPSWLCKATRGRSSTGAYEAAETLFYMSCSKCFSSHERNRVFPKNDEVLPKTAPALIKQRKRKTFESSSSKKDKVKKIKFLFKGELKLGSTSNGAGECDDGEGKELNRCKGLSESGVEHDRDEKNKKKSFDDLNAESVIPTMQDHVGASDVKKINMDKKGKNSKKLVLNSKTKDSESEKASEEKADWYKCGACGKSFKTFQGLGGHRSVHKEKNTVSIDEPNPSEAVAVAVAEQNNSSSSSNMNKIEEPLFNETTLADQQPCQSSGVKKLNFDLNELPCAMDED >Vigun06g024200.2.v1.2 pep primary_assembly:ASM411807v1:6:11253316:11255434:1 gene:Vigun06g024200.v1.2 transcript:Vigun06g024200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDNGKQAVSDHGLLESSTKEKMKRSVLGSYKAEVESMADEENPSPKLSTCDICFKTFGCGKALGGHRRSHFMKQQQQKKKEFPTKNALFGHMRSHPERPWRGVSPPTQKNSSSPSSSYYHSPSSSLNSDSMEKNKEDHDADDGGHRLISPDEQHRRNEIDLSLCTSPSWLCKATRGRSSTGAYEAAETLFYMSCSKCFSSHERNRVFPKNDEVLPKTAPALIKQRKRKTFESSSSKKDKVKKIKFLFKGELKLGSTSNGAGECDDGEGKELNRCKGLSESGVEHDRDEKNKKKSFDDLNAESVIPTMQDHVGASDVKKINMDKKGKNSKKLVLNSKTKDSESEKASEEKADWYKCGACGKSFKTFQGLGGHRSVHKEKNTVSIDEPNPSEAVAVAVAEQNNSSSSSNMNKIEEPLFNETTLADQQPCQSSGVKKLNFDLNELPCAMDED >Vigun02g085150.1.v1.2 pep primary_assembly:ASM411807v1:2:23927047:23928284:1 gene:Vigun02g085150.v1.2 transcript:Vigun02g085150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVKATKKEKHHSLRLIAIIVSVVASLLILLVILTIYWMRKRSNKPSLDSPTIDQLPKVSYQSLYNGTNGFSTSNLIGSGSFSSVYKGTLEQEDKIVAIKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQEFKAIIFEYMTNGSLEQWIHPETPSAQHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGIARLLSTFNHTTSGQTSTNGIKGTVGYAPPEYGERYKVSTYGDVYSFGILILEMLTGRRPTDEMFKDGQNLRNFVAISFPNNILQILDPRIISEYEATTVEGNCGNLNAEAEKCVVSLFRIGLACSVESPKERMNLVDVIRELNQITKV >Vigun09g208600.1.v1.2 pep primary_assembly:ASM411807v1:9:38272560:38275403:-1 gene:Vigun09g208600.v1.2 transcript:Vigun09g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSLSDSVVKKIVLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAVLLVRVFRLVEPVSMSREVYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLRKESYKNDTMLNMLSISLGVGVAAYGEARFDAWGVLLQMGAVAFEATRLVMIQILLTSKGISLNPITSLYYVAPCCLVFLSVPWIFVEYPVLRDTSTFQFDFVVFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKTAQADEEEGRLLEEREADGMDSREKKSVRGK >Vigun06g149900.1.v1.2 pep primary_assembly:ASM411807v1:6:27462929:27465440:1 gene:Vigun06g149900.v1.2 transcript:Vigun06g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPGGGDADAPPQTTELERPQTDENAAGKTDADPPVLSKNAQKKLAKQQRFEAKKAEKKAAAKEQKRRDVERKRKEWEESLAGVSEEERAKLLESRKTLRKERMEKRSLEKETKRERLTVAREQGQNVVVDLQFSHLMNPNEIHSLVQQVMYCYAVNGRCASPAHLWLTGCDGEMDSALKRIPGFDKWIIEKENRSYIEALCDRKEDLVYLTADSEIVLEELDLKKIYIIGGLVDRNRWKGITLKKAQEQGIQTAKLPIGNFMKMSSSQVLTVNQVVEILLKFLETRDWKTSFFAVIPQRKRCQSDSEGNAEDTVEEEHEQNDGLTASKKKCVEEVPSIS >Vigun07g007800.1.v1.2 pep primary_assembly:ASM411807v1:7:670093:674035:1 gene:Vigun07g007800.v1.2 transcript:Vigun07g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMCSFNASFSSSYSSCQNHYLSHPLKSPLPFIRSFTLPRNTKKKSSASVWPLFCALNNQPLQYRNVGDSDLNISEITLGTMTFGEQNTEKEAHDILNYAFDRGINALDTAEAYPIPMKKETQGRTDLYIGSWLKSQPRDKIIVATKVCGYSERSSYVRENANVLRVDAENIRESVEKSLKRLGTDYIDLLQIHWPDRYVALFGEFTYDPSKWRPSVPFVEQLQAFQELINEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPKNCNIGLLSYSPLGGGSLTGKYIDINSEASKRGRLNLFPGYMERYNKSVAREATIKYLELAKKHGLTPVQLALGFARDRPFMTSSIIGATSVEQLKEDIDAFTTTERPLPEAVMADIEDIFKRYKDPAIF >Vigun09g266000.2.v1.2 pep primary_assembly:ASM411807v1:9:42989309:42991637:-1 gene:Vigun09g266000.v1.2 transcript:Vigun09g266000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVKTAEVLNVSEGGLEDKAKNLTSSLDNGDRQHVQIDSGTALQQFLDRIPINSIPGIKNSPVLELKAGDSLRDAIHTLYEKDVFSAAIVDMDSDAVNMTFSDQYIGLVDFTSMVLWCLEEYEKITDNLKSIKNGGFFSVLDQIPQIGQTKISELAMSFLWEPFFPVNLDDTVLHALLLLSKHQVHVLPVVQQREDGIIGFVTQNAVVQHLLQSSELEWFDNIADKNLSDLRFEGLENPSCVFADQTVADALKTFCQNQTSAVAVVDRETKKLLGNVRKSDVHILVKNNDIFRSRTILTVGEFIHMETEKAETKPSIERDQGAFLTAGSLRLKNSFIPRMDLPVASNENETLKQIMEHTTETNSSFSFLVNDKEQVTGFLTLRDIILQFAPPCVNSTINGGGFFEFALEQSGCHVKDGTMIRNH >Vigun09g267200.1.v1.2 pep primary_assembly:ASM411807v1:9:43045743:43051163:-1 gene:Vigun09g267200.v1.2 transcript:Vigun09g267200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDEKRERRSDFENSEDERRTRIGSLKKKALNASSKFKHSLRKKSGRRKSDGRVSSVSIEDVRDFEELQAVDAFRQSLIMDELLPEAFDDYHMMLRFLKARKFDIDKAKHMWCDMLQWRKEFGADTIMQDFVFEELDEVVKYYPHGHHGIDKEGRPVYIERLGKVDPNKLMQVTTIDRYVKYHVQEFEKAFAVKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARELVTRLQKIDGDNYPETLCQMFIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPEIFKMVLNGGAWRSKQVVKVLNNERKVIVYAKPGYPTVKGSDTSTAESGSEAEDISSPKAMRSYSHLKLTPVHEEAKIVGKTSYASNLSGYDEYVPMVDIPVDAGWNKKTTLQRSYTSKGTSSLPVNQKTPEGLQARIWVALSVFFLTVLTLLRQVSYPVTKKISALSSNDDRSTSEPPTDTTNMEVRPTTSNPPSTEENILPSMLKRLGELEEKVDTLQSKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHDALMRQEELLAYIDRQEEARFRKKKFCW >Vigun03g036100.1.v1.2 pep primary_assembly:ASM411807v1:3:2779669:2781595:1 gene:Vigun03g036100.v1.2 transcript:Vigun03g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQALKRIPRIKFPNRRANASGSASETQAASPATDANLSFFSSSNASAAVGGKASLQPRRTPVSKEEIEAVLLGGCF >Vigun03g375700.4.v1.2 pep primary_assembly:ASM411807v1:3:57827043:57832253:1 gene:Vigun03g375700.v1.2 transcript:Vigun03g375700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLRCLRQLRPAFQRLDCVLFSVNVAEESPCHFGKSCHIHSSAYRVVGPSETNFVKNDSFVAITRALSTDAAKLTNTVTETTKAGPLVEYERRIANGELVEGDACQVDTLSELQRLYDELVESADVCQLDRNSEKPVRSGWLWSRLLSHPSYSPVKGLYLFGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSMLQKHKGLSDPLDVVAGEISDDAILLCLDEFMVTDVADALVLNRLFRHLFNKGIILVATSNRAPDKLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEQGFYLVGGDLSGFLKQKFQQLIGEGTPTPQEVEVVMGRKLQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGIPIFGLHNKSAAHRFVTLVDVIYENKARLLCSAEGTPQDLFEKIVTISEAKNMAPRTSSRSRKNDDSNLCVDNELGFAKDRTISRLTEINSREYLEHHAAMLSEKKERMDQNAIEA >Vigun03g375700.5.v1.2 pep primary_assembly:ASM411807v1:3:57827232:57832254:1 gene:Vigun03g375700.v1.2 transcript:Vigun03g375700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLRCLRQLRPAFQRLDCVLFSVNVAEESPCHFGKSCHIHSSAYRVVGPSETNFVKNDSFVAITRALSTDAAKLTNTETTKAGPLVEYERRIANGELVEGDACQVDTLSELQRLYDELVESADVCQLDRNSEKPVRSGWLWSRLLSHPSYSPVKGLYLFGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSMLQKHKGLSDPLDVVAGEISDDAILLCLDEFMVTDVADALVLNRLFRHLFNKGIILVATSNRAPDKLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEQGFYLVGGDLSGFLKQKFQQLIGEGTPTPQEVEVVMGRKLQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGIPIFGLHNKSAAHRFVTLVDVIYENKARLLCSAEGTPQDLFEKIVTISEAKNMAPRTSSRSRKNDDSNLCVDNELGFAKDRTISRLTEINSREYLEHHAAMLSEKKERMDQNAIEA >Vigun03g375700.1.v1.2 pep primary_assembly:ASM411807v1:3:57826564:57832253:1 gene:Vigun03g375700.v1.2 transcript:Vigun03g375700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLRCLRQLRPAFQRLDCVLFSVNVAEESPCHFGKSCHIHSSAYRVVGPSETNFVKNDSFVAITRALSTDAAKLTNTVTETTKAGPLVEYERRIANGELVEGDACQVDTLSELQRLYDELVESADVCQLDRNSEKPVRSGWLWSRLLSHPSYSPVKGLYLFGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSMLQKHKGLSDPLDVVAGEISDDAILLCLDEFMVTDVADALVLNRLFRHLFNKGIILVATSNRAPDKLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEQGFYLVGGDLSGFLKQKFQQLIGEGTPTPQEVEVVMGRKLQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGIPIFGLHNKSAAHRFVTLVDVIYENKARLLCSAEGTPQDLFEKIVTISEAKNMAPRTSSRSRKNDDSNLCVDNELGFAKDRTISRLTEINSREYLEHHAAMLSEKKERMDQNAIEA >Vigun03g375700.3.v1.2 pep primary_assembly:ASM411807v1:3:57826490:57832274:1 gene:Vigun03g375700.v1.2 transcript:Vigun03g375700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLRCLRQLRPAFQRLDCVLFSVNVAEESPCHFGKSCHIHSSAYRVVGPSETNFVKNDSFVAITRALSTDAAKLTNTETTKAGPLVEYERRIANGELVEGDACQVDTLSELQRLYDELVESADVCQLDRNSEKPVRSGWLWSRLLSHPSYSPVKGLYLFGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSMLQKHKGLSDPLDVVAGEISDDAILLCLDEFMVTDVADALVLNRLFRHLFNKGIILVATSNRAPDKLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEQGFYLVGGDLSGFLKQKFQQLIGEGTPTPQEVEVVMGRKLQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGIPIFGLHNKSAAHRFVTLVDVIYENKARLLCSAEGTPQDLFEKIVTISEAKNMAPRTSSRSRKNDDSNLCVDNELGFAKDRTISRLTEINSREYLEHHAAMLSEKKERMDQNAIEA >Vigun03g375700.2.v1.2 pep primary_assembly:ASM411807v1:3:57826350:57832253:1 gene:Vigun03g375700.v1.2 transcript:Vigun03g375700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVLRCLRQLRPAFQRLDCVLFSVNVAEESPCHFGKSCHIHSSAYRVVGPSETNFVKNDSFVAITRALSTDAAKLTNTETTKAGPLVEYERRIANGELVEGDACQVDTLSELQRLYDELVESADVCQLDRNSEKPVRSGWLWSRLLSHPSYSPVKGLYLFGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSMLQKHKGLSDPLDVVAGEISDDAILLCLDEFMVTDVADALVLNRLFRHLFNKGIILVATSNRAPDKLYEGGLQRDLFLPFIAALKERCVVHEIGSSVDYRKMTSGEQGFYLVGGDLSGFLKQKFQQLIGEGTPTPQEVEVVMGRKLQVPLGANGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGIPIFGLHNKSAAHRFVTLVDVIYENKARLLCSAEGTPQDLFEKIVTISEAKNMAPRTSSRSRKNDDSNLCVDNELGFAKDRTISRLTEINSREYLEHHAAMLSEKKERMDQNAIEA >Vigun11g226200.1.v1.2 pep primary_assembly:ASM411807v1:11:41655521:41657056:-1 gene:Vigun11g226200.v1.2 transcript:Vigun11g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIRSLRYPFLLALISLHLQLLSGLDNNPSDAKNENEYMNHHASRSSVGFKIIIICLGMVTVIAFCVFLFKLWQRKKREEQHARLLKLFEDDDELEVELGMRD >Vigun03g397500.1.v1.2 pep primary_assembly:ASM411807v1:3:60396363:60400902:1 gene:Vigun03g397500.v1.2 transcript:Vigun03g397500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNQTTLTPKPLNPTPSPNHHHQPPSPPPPSSSSSTAQTMTNTNPILDSNPPNLEGDSDSDIDALINAFFEPNPQFPSLDHLQGSTSDAKESSLKRGLDTGDDSSQAPIPLKRGRIDNVFFHRADLHAVIQIQNPIPSPLPLSQSLSHAAATNGDTQAVEGQGKRPVFDSDFLSRALREAEAESVFPQNSDDNDDNFDVEPADFSAPYVSLSDYRRIRNMERFRQIAKESATHYARFTSEDSADERPSSSAAPQGIDDSTSPFSISMKAIKEGATKKKGRETWVAKSQKREKRVCVPSLQELCLRTLADNADAMVSLEGVPDELRHKLCKLLCDSRKMNSHFLELLLSGSPTEIRLRDCSWLTVEQFAECFHSCDTGRLEVLQLDQCGRCIPDYALFGPLRPSPWWLPRLVNLSLSGACRLSDKGLHVLVSSAPSLRSINLSQCSLLSSVSVNILAQSLGSLLKELYLDDCLTIDAAQIVPALKKLEHLEVLSLAGIQTVSDEFIGDYITARGQNMKELVLKDCRKLTNASIKVIAEHCPGLCALDLMYLDNLTDLSMGYLTNNCRVLHTLKLCRNPFSDEAIAAFLEIAGGSLTELSLNNIKKVGHHTALSLASHSKNLHTLDLSWCRNLTDNELGLIVDSCFSLRLLKLFGCSLVTDVFLNGHSNPEIQILGLKMSPLLQNVRMPDTCEAPLRYSSVSADLMYK >Vigun03g397500.2.v1.2 pep primary_assembly:ASM411807v1:3:60396363:60400902:1 gene:Vigun03g397500.v1.2 transcript:Vigun03g397500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNQTTLTPKPLNPTPSPNHHHQPPSPPPPSSSSSTAQTMTNTNPILDSNPPNLEGDSDSDIDALINAFFEPNPQFPSLDHLQGSTSDAKESSLKRGLDTGDDSSQAPIPLKRGRIDNVFFHRADLHAVIQIQNPIPSPLPLSQSLSHAAATNGDTQAVEGQGKRPVFDSDFLSRALREAEAESVFPQNSDDNDDNFDVEPADFSAPYVSLSDYRRIRNMERFRQIAKESATHYARFTSEDSADERPSSSAAPQGIDDSTSPFSISMKAIKEGATKKKGRETWVAKSQKREKRVCVPSLQELCLRTLADNADAMVSLEGVPDELRHKLCKLLCDSRKMNSHFLELLLSGSPTEIRLRDCSWLTVEQFAECFHSCDTGRLEVLQLDQCGRCIPDYALFGPLRPSPWWLPRLVNLSLSGACRLSDKGLHVLVSSAPSLRSINLSQCSLLSSVSVNILAQSLGSLLKELYLDDCLTIDAAQIVPALKKLEHLEVLSLAGIQTVSDEFIGDYITARGQNMKELVLKDCRKLTNASIKVIAEHCPGLCALDLMYLDNLTDLSMGYLTNNCRVLHTLKLCRNPFRLGTIRPYLLLVIQKICIL >Vigun01g096300.1.v1.2 pep primary_assembly:ASM411807v1:1:26065133:26065920:1 gene:Vigun01g096300.v1.2 transcript:Vigun01g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMMNVLIGEFGISVGGNRMKSIKDFLPLLSRCQLHSRMKLCLYMCSSWNLYK >Vigun04g080600.3.v1.2 pep primary_assembly:ASM411807v1:4:11540802:11544023:-1 gene:Vigun04g080600.v1.2 transcript:Vigun04g080600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPSKGIGASSSSFGNAGIPSNSIPANHGFSQSQGQGQIPVGFQGQFPLSQAHAIVQAQSKAQAQAQAHAQAAAAAHAQIQAHLQAQGLSLNQNQGGGLGSLGLSSAISTPGNASGKRIPLKPPIRPVGFSPPNSFSPLRPMELTPASRRKKQKLPEKQLQDKVAAILPESALYTQLLEFESRVDAALARKKADIQEALKNPPCIQKTLRIYVFNTFANQIRTIPKKPTAEPPTWTLKIVGRILEDGVDPDQPGVVQKSTPLYPKFSAFFKRVTISLDQRLYPDNHIIMWENARSPAPHEGFEVKRKGDKEFAVNIRLEMNYVPEKFKLSPALTEVLGIEVDTRPRIVAAIWHYVKARKLQNPNDPSFFHCDQPLQKVFGEEKMKFTMVSQKISSHLFPPQPILLEHKIKLSGNSPVGTACYDVLVDVPFPIQRELSALLANVEKNKEIETCDEAICGIIRKIHEHRRRRSFFLGFSQSPVEFINALIESQSRDLKIVSGEPSRNAEKERRSDFFNQPWVEDAVIRYLNRKPAVGSDAPGST >Vigun04g080600.2.v1.2 pep primary_assembly:ASM411807v1:4:11540801:11544024:-1 gene:Vigun04g080600.v1.2 transcript:Vigun04g080600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPSKGIGASSSSFGNAGIPSNSIPANHGFSQSQGQGQIPVGFQGQFPLSQAHAIVQAQSKAQAQAQAHAQAAAAAHAQIQAHLQAQGLSLNQNQGGGLGSLGLSSAISTPGNASGKRIPLKPPIRPVGFSPPNSFSPLRPMELTPASRRKKQKLPEKQLQDKVAAILPESALYTQLLEFESRVDAALARKKADIQEALKNPPCIQKTLRIYVFNTFANQIRTIPKKPTAEPPTWTLKIVGRILEDGVDPDQPGVVQKSTPLYPKFSAFFKRVTISLDQRLYPDNHIIMWENARSPAPHEGFEVKRKGDKEFAVNIRLEMNYVPEKFKLSPALTEVLGIEVDTRPRIVAAIWHYVKARKLQNPNDPSFFHCDQPLQKVFGEEKMKFTMVSQKISSHLFPPQPILLEHKIKLSGNSPVGTACYDVLVDVPFPIQRELSALLANVEKNKEIETCDEAICGIIRKIHEHRRRRSFFLGFSQSPVEFINALIESQSRDLKIVSGEPSRNAEKERRSDFFNQPWVEDAVIRYLNRKPAVGSDAPGST >Vigun04g080600.1.v1.2 pep primary_assembly:ASM411807v1:4:11540760:11544072:-1 gene:Vigun04g080600.v1.2 transcript:Vigun04g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNPSKGIGASSSSFGNAGIPSNSIPANHGFSQSQGQGQIPVGFQGQFPLSQAHAIVQAQSKAQAQAQAHAQAAAAAHAQIQAHLQAQGLSLNQNQGGGLGSLGLSSAISTPGNASGKRIPLKPPIRPVGFSPPNSFSPLRPMELTPASRRKKQKLPEKQLQDKVAAILPESALYTQLLEFESRVDAALARKKADIQEALKNPPCIQKTLRIYVFNTFANQIRTIPKKPTAEPPTWTLKIVGRILEDGVDPDQPGVVQKSTPLYPKFSAFFKRVTISLDQRLYPDNHIIMWENARSPAPHEGFEVKRKGDKEFAVNIRLEMNYVPEKFKLSPALTEVLGIEVDTRPRIVAAIWHYVKARKLQNPNDPSFFHCDQPLQKVFGEEKMKFTMVSQKISSHLFPPQPILLEHKIKLSGNSPVGTACYDVLVDVPFPIQRELSALLANVEKNKEIETCDEAICGIIRKIHEHRRRRSFFLGFSQSPVEFINALIESQSRDLKIVSGEPSRNAEKERRSDFFNQPWVEDAVIRYLNRKPAVGSDAPGST >Vigun06g184300.1.v1.2 pep primary_assembly:ASM411807v1:6:30397654:30398091:1 gene:Vigun06g184300.v1.2 transcript:Vigun06g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSVHQVALFVFLILVASGFMQQAFGRGDQCKQDKDCAKACSHRPHCPALCLGGFCLCNCDSTKIDVQQNVNKPF >Vigun09g272700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43409197:43410443:1 gene:Vigun09g272700.v1.2 transcript:Vigun09g272700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYCYKRRTYSSHTSDVIVMLGVALMLLCIPWLFTREQVVVVEEKKTNWSAFITPILVLFILLLLSLIGSPRRVYAKPTCYRCKHGCYCYW >Vigun03g360900.1.v1.2 pep primary_assembly:ASM411807v1:3:56403106:56406220:-1 gene:Vigun03g360900.v1.2 transcript:Vigun03g360900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHTKCVVKRKPRETDETFSFTQSKETMKKNLCDRHRPKRYPLLSFWELPDYMKDNEYILRYYRANWPFKQALFSLFRWHNETINVWTHFIGFLLFLGLTLANLMKPRVVDLLQLLSRSFSSSAEKNVSHNIKDLFLGTTILFDLNNEMPLTIELESTALVIARWPFFVFLGGSMFCLFSSSMCHLFCCHSHNLNMFLWRLDYVGIVVMIITSFFPQIYYVFLCEPHWQIMYLAGITAMGVFTIATMLSPTLSTSKYRAFRAMLFCSMGLFGIVPAIHACFVNWTNPRRNITLAYESTMALSYLTGTLFYVTRIPERWKPGWFDLAGHSHQIFHALVVVGALSHYAATLQMLEWRDSFGCDTLP >Vigun09g124500.1.v1.2 pep primary_assembly:ASM411807v1:9:27709640:27712863:-1 gene:Vigun09g124500.v1.2 transcript:Vigun09g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLGRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGSQCAARRAASFVRGDDVLHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQAKPPTPQPPQRAPLDPWTRSRLSRQFAPPKEEKSGSDF >Vigun09g044400.1.v1.2 pep primary_assembly:ASM411807v1:9:4149668:4154202:1 gene:Vigun09g044400.v1.2 transcript:Vigun09g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTAALDQPLHLMGFEIEELSPQKISGRLPVTHKCCQPFKVLHGGVSALIAESLASMGAHMASGYKRVAGIQLSINHLKRAEIGDLVHAEAKPLNVGKTIQVWEVILWKIDPSDAQKRSLVSSSRVTLVTNMPVPVHAKDAAEPLKKFAKL >Vigun09g164800.1.v1.2 pep primary_assembly:ASM411807v1:9:33286722:33296328:1 gene:Vigun09g164800.v1.2 transcript:Vigun09g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLMEIDSDSDDHSISAGENDIVATDLTSFGKAIPWVEKYRPQSLDDVAAHRDIVDTIDRLTTENRLPHLLLYGPPGTGKTSTILAVARKLYGPRFKNMILELNASDDRGIEVVRQQIQDFASTQSLSFGVKASVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDAVHVTERLKHVIKAEGLDVEDDGLAALVRLSNGDMRKALNILQSTNMATQQITEEDVYLCTGNPLPKDIEQISYWLLNEQFAESFKRIDEMKSRKGLALVDIIREVIMFVFKIKMPSAVRVQLMNDLADIEYRLSFGCNDKLQLGSVIASFTRARSALVAAAT >Vigun03g235300.2.v1.2 pep primary_assembly:ASM411807v1:3:39161176:39165809:1 gene:Vigun03g235300.v1.2 transcript:Vigun03g235300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFLVSLLALLIAAHGVAGSMMCDSIGMLEELKSLESFDIEEEPEIEELSDIPSWRSEHGGKVLVNIDSFGAAGDGESDDTEALQKAWGVACSTPKSVLLIPQDRRYLVNATRFKGPCADKLIIQIDGTLVAPDEPKNWDPKLPRVWLDFSKLDKAIFQGSGVIDGSGSKWWAASCKKNKSNAFTIDTSTSIRVKGLTIQNSQQMHFTISRCDSVRINGVKVSAPGDSPNTDGIHISESTNVIIQDSKIGTGDDCISIVNASSNIKMKRIYCGPGHGISIGSLGKDNSTGIVTKVILDTAVLRETTNGLRIKTWQGGSGYVRGVRFQNVRVENVSNPIIIDQFYCDSPSSCQNQTSAVEISEVMYQNISGTTMSAKAIKFDCSDTTPCNNLVLSNVDLDKQDGTVETYCHSAQGFPYGVVHPSADCLSSTDKISQVAESTMEEDIRHTEL >Vigun03g235300.1.v1.2 pep primary_assembly:ASM411807v1:3:39161176:39165808:1 gene:Vigun03g235300.v1.2 transcript:Vigun03g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFLVSLLALLIAAHGVAGSMMCDSIGMLEELKSLESFDIEEEPEIEELSDIPSWRSEHGGKVLVNIDSFGAAGDGESDDTEALQKAWGVACSTPKSVLLIPQDRRYLVNATRFKGPCADKLIIQIDGTLVAPDEPKNWDPKLPRVWLDFSKLDKAIFQGSGVIDGSGSKWWAASCKKNKSNPCKGAPTAFTIDTSTSIRVKGLTIQNSQQMHFTISRCDSVRINGVKVSAPGDSPNTDGIHISESTNVIIQDSKIGTGDDCISIVNASSNIKMKRIYCGPGHGISIGSLGKDNSTGIVTKVILDTAVLRETTNGLRIKTWQGGSGYVRGVRFQNVRVENVSNPIIIDQFYCDSPSSCQNQTSAVEISEVMYQNISGTTMSAKAIKFDCSDTTPCNNLVLSNVDLDKQDGTVETYCHSAQGFPYGVVHPSADCLSSTDKISQVAESTMEEDIRHTEL >Vigun07g294400.1.v1.2 pep primary_assembly:ASM411807v1:7:40532765:40535490:-1 gene:Vigun07g294400.v1.2 transcript:Vigun07g294400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIVLVCLTTVILLPLLQLPHSFASAKTNVSIGDTLIAGNEGAQWLSPSGEFAFGFHQPEGYSLFLVAIRYQNIPHPSFIWYANGDNPAPKGSTLELSKSRGLVLKTPQDENSQMLWDSFSNPTDTLVPTQIMEVKGTLSSRQRETNYTRGRFQFRLLPDGNAVLNPINLPTNRTYEAHYISNTYDPNNTTNCGFRVMFDNSGFYVLKRSYEKVYITNQNDMLSIDSYYYRATMNFDGTFTISRYPKSGASNPSWSVIKTLPDDICMDLKAITAGSGVCGFNSICTLEADQRPKCTCPEGYSLLDSTDEYGSCRPNLELGCGGSGQSLQEDMYFMKEMVNTDWPESDFELYLHYNSEDCKNSCLQDCLCAVSIFRDDSCWKKKLPLSNGRRGGRVQASAFIKLRENDAVSDTPSNSFIEEKENEKDQDTLVTVIAVLLGGSVFLNLMVISAVCIGFHFYYKRSSTNNTVAETNLRSFSFRELVQATDNFREELGRGSCGIVFKGKTDLATIAVKKLDKLLKDSDKEFKTEVNVIGQTHHKNLVRLIGYCDELENRILVYEFMSNGSLANFLFRDFKPNWNQRVQIAFGISRGLVYLHEECFTQIIHCDIKPQNILLDEHYNARISDFGLSKLLMINQSRTETDVRGTKGYVAPDWFRSAPITTKVDVYSFGVLLLEIICCRRNVDDKFEIDEKSILTDWAYDCYRNGRIDILVENDDEAINDINKLERFVMVAIWCLQEDPSLRPTMKKVMLMLEGIAPVTIPPSPCPYASVTVSCG >Vigun10g076400.3.v1.2 pep primary_assembly:ASM411807v1:10:20629815:20632429:-1 gene:Vigun10g076400.v1.2 transcript:Vigun10g076400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPQHGMYDTYSKQALLITHCCFYLVWTICWYKFAIFDTTLLWILLLEALQQKGGFKLMFNSSINRFIDPLRILRVHAGEHHLHVIVSFEVNAFHG >Vigun10g076400.2.v1.2 pep primary_assembly:ASM411807v1:10:20629779:20634086:-1 gene:Vigun10g076400.v1.2 transcript:Vigun10g076400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPQHGMYDTYSKQALLITHCCFYLVWTICWYKFAIFDTTLLWILLLEALQQKGGFKLMFNSSINRFIDPLSLMYQNSQQKSVQLPLLVLEGNIYSEFSAQIHLSILLSCVD >Vigun10g076400.1.v1.2 pep primary_assembly:ASM411807v1:10:20631877:20632429:-1 gene:Vigun10g076400.v1.2 transcript:Vigun10g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPQHGMYDTYSKQALLITHCCFYLVWTICWYKFAIFDTTLLWILLLEALQQKGGFKLMFNSSINRFIDPLSLMYQNSQQKSVQLPLLVLEGNIYSEFSAQIHLSILLSCVD >Vigun01g073600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:20441446:20441826:-1 gene:Vigun01g073600.v1.2 transcript:Vigun01g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQAATSWGSYVTPRNAVVGDPLERIERLASENAVVIFSVSTCCMCHAIKRLFCGMGVNPTVHELDEDPRGKDLERALMRLLGSPSVVPVVFIGGKLVGTMDRVMACHINGTLVPLLKEAGALWL >Vigun03g074000.1.v1.2 pep primary_assembly:ASM411807v1:3:6115124:6118769:1 gene:Vigun03g074000.v1.2 transcript:Vigun03g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATFKCQHTGLYGYAIDASAPRSSRNFGRFYSMRFACNTRCNTLRHVHTPLSISQDSSTESSLILIRHGESLWNEKNLFTGCCDVPLTQRGVEEAIEAGKRISYIPVDMIFTSALIRAQMTAMLAMTQHLQKKIPIIIHNESEEATTWTRVYSEKTTKQSIPVITAWELNERMYGELQGLNKQETAERYGKEKVHEWRRSFDIPPPKGESLEMCSQRAVAYFKHFIEPQLKSGKHVMVAAHGNSLRSIIMYLDRLTSQEVTTLELSTGVPLLYIYTEGKYMSRGSPVGPTEAGVYAYTQSLAVYRQQLDEMLP >Vigun03g074000.4.v1.2 pep primary_assembly:ASM411807v1:3:6115124:6118770:1 gene:Vigun03g074000.v1.2 transcript:Vigun03g074000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATFKCQHTGLYGYAIDASAPRSSRNFGRFYSMRFACNTRCNTLRHVHTPLSISQDSSTESSLILIRHGESLWNEKNLFTGCCDVPLTQRGVEEAIEAGKRISYIPVDMIFTSALIRAQMTAMLAMTQHLQKKIPIIIHNESEEATTWTRVYSEKTTKQSIPVITAWELNERMYGELQGLNKQETAERYGKEKVHEWRRSFDIPPPKGESLEMCSQRAVAYFKHFIEPQLKSGKHVMVAAHGNSLRSIIMYLDRLTSQEVTTLELSTGVPLLYIYTEGKYMSRGSPVGPTEAGVYAYTQV >Vigun03g074000.2.v1.2 pep primary_assembly:ASM411807v1:3:6115124:6118769:1 gene:Vigun03g074000.v1.2 transcript:Vigun03g074000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATFKCQHTGLYGYAIDASAPRSSRNFGRFYSMRFACNTRCNTLRHVHTPLSISQDSSTESSLILIRHGESLWNEKNLFTGCCDVPLTQRGVEEAIEAGKRISYIPVDMIFTSALIRAQMTAMLAMTQHLQKKIPIIIHNESEEATTWTRVYSEKTTKQSIPVITAWELNERMYGELQGLNKQETAERYGKEKVHEWRRSFDIPPPKGESLEMCSQRAVAYFKHFIEPQLKSGKHVMVAAHGNSLRSIIMYLDRLTSQEVTTLELSTGVPLLYIYTEGKYMSRGSPVGPTEAGVYAYTQSLAVYRQQLDEMLP >Vigun03g074000.3.v1.2 pep primary_assembly:ASM411807v1:3:6115124:6118770:1 gene:Vigun03g074000.v1.2 transcript:Vigun03g074000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATFKCQHTGLYGYAIDASAPRSSRNFGRFYSMRFACNTRCNTLRHVHTPLSISQDSSTESSLILIRHGESLWNEKNLFTGCCDVPLTQRGVEEAIEAGKRISYIPVDMIFTSALIRAQMTAMLAMTQHLQKKIPIIIHNESEEATTWTRVYSEKTTKQSIPVITAWELNERMYGELQGLNKQETAERYGKEKVHEWRRSFDIPPPKGESLEMCSQRAVAYFKHFIEPQLKSGKHVMVAAHGNSLRSIIMYLDRLTSQEVTTLELSTGVPLLYIYTEGKYMSRGSPVGPTEAGVYAYTQV >Vigun03g090400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7638134:7641965:1 gene:Vigun03g090400.v1.2 transcript:Vigun03g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEPFVSPLVGAVGTIGIGEVRNTLASKFAFSKNLDDNYHILVKDTEMLQAIMKDKEIEAQRNHHRDTSNAYKLWTNKVSNVTEEVQELKLKYEIKTLPRWRIQKRSRMSEEMEKKSNWIRQLINDGCLKNFLVDKPPEPVLKELNVPQISGYPTLQGTLDNILDLLKNNKIKIIGVCGTKGVGKTTIMRNLNNNEEIAKLFEIVIFVKVTSNDHKLQEKIAHRLMLYKGTTNNEDSDDVARRIYRELDNKRYLLILDEVEDAINLELLGIPSNGSKVVIVTRFPRVYKLNRVQRVINVEKLSLDEAWKMFRDTVHAFNPKIDSPDIQLPAKLVCKRCCCGLPLLIYNIANSFRLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSMYPADSKVYSDYLVECWAAQGLLGDINDERSYQSARNRGIDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMGQVHDLRTSQDCSTILTLLLRKIPELPESFFENMSSLLLLDLYSSMITQLPSSLSKLTGLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGFLTKLRCLRIPFIASEDNQVQNVHAISKLHRLEELTIQVISYEQWCNHAENVLQHVASLENVTHLRCCFPSSTILGEFLSRSKSWHKKQSSFRFTVGCQNSRRPQILESFEYKITNYLRYCNGGQKDDPAIIEVLPKTDAFELVCHKDMKKISNFAGIACLERIRGLLIKRCNQVLTIVSGETSSNAMNGIQIETTVILPNLEQLYLENLLNLKCAFRGPLHSGTFSRLQALSLKNCPRLSQIFSNGAIQHFSELQKLKLEDCSKIEELIGEDIERERDVLPKLEILLLVNLPNLKNICATHTLAWSSLELLRIHNCPMFKTLPLDSTNAVNLKSIKGQQEWWTNLDWTNNDKVHKRFQPIFVASNEYFS >Vigun03g090400.5.v1.2 pep primary_assembly:ASM411807v1:3:7638134:7641965:1 gene:Vigun03g090400.v1.2 transcript:Vigun03g090400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEPFVSPLVGAVGTIGIGEVRNTLASKFAFSKNLDDNYHILVKDTEMLQAIMKDKEIEAQRNHHRDTSNAYKLWTNKVSNVTEEVQELKLKYEIKTLPRWRIQKRSRMSEEMEKKSNWIRQLINDGCLKNFLVDKPPEPVLKELNVPQISGYPTLQGTLDNILDLLKNNKIKIIGVCGTKGVGKTTIMRNLNNNEEIAKLFEIVIFVKVTSNDHKLQEKIAHRLMLYKGTTNNEDSDDVARRIYRELDNKRYLLILDEVEDAINLELLGIPSNGSKVVIVTRFPRVYKLNRVQRVINVEKLSLDEAWKMFRDTVHAFNPKIDSPDIQLPAKLVCKRCCCGLPLLIYNIANSFRLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSMYPADSKVYSDYLVECWAAQGLLGDINDERSYQSARNRGIDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMGQVHDLRTSQDCSTILTLLLRKIPELPESFFENMSSLLLLDLYSSMITQLPSSLSKLTGLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGFLTKLRCLRIPFIASEDNQVQNVHAISKLHRLEELTIQVISYEQWCNHAENVLQHVASLENVTHLRCCFPSSTILGEFLSRSKSWHKKQSSFRFTVGCQNSRRPQILESFEYKITNYLRYCNGGQKDDPAIIENFRS >Vigun03g090400.2.v1.2 pep primary_assembly:ASM411807v1:3:7638134:7641965:1 gene:Vigun03g090400.v1.2 transcript:Vigun03g090400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEPFVSPLVGAVGTIGIGEVRNTLASKFAFSKNLDDNYHILVKDTEMLQAIMKDKEIEAQRNHHRDTSNAYKLWTNKVSNVTEEVQELKLKYEIKTLPRWRIQKRSRMSEEMEKKSNWIRQLINDGCLKNFLVDKPPEPVLKELNVPQISGYPTLQGTLDNILDLLKNNKIKIIGVCGTKGVGKTTIMRNLNNNEEIAKLFEIVIFVKVTSNDHKLQEKIAHRLMLYKGTTNNEDSDDVARRIYRELDNKRYLLILDEVEDAINLELLGIPSNGSKVVIVTRFPRVYKLNRVQRVINVEKLSLDEAWKMFRDTVHAFNPKIDSPDIQLPAKLVCKRCCCGLPLLIYNIANSFRLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSMYPADSKVYSDYLVECWAAQGLLGDINDERSYQSARNRGIDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMGQVHDLRTSQDCSTILTLLLRKIPELPESFFENMSSLLLLDLYSSMITQLPSSLSKLTGLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGFLTKLRCLRIPFIASEDNQVQNVHAISKLHRLEELTIQVISYEQWCNHAENVLQHVASLENVTHLRCCFPSSTILGEFLSRSKSWHKKQSSFRFTVGCQNSRRPQILESFEYKITNYLRYCNGGQKDDPAIIELVWNAFVVF >Vigun03g090400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7638134:7641965:1 gene:Vigun03g090400.v1.2 transcript:Vigun03g090400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEPFVSPLVGAVGTIGIGEVRNTLASKFAFSKNLDDNYHILVKDTEMLQAIMKDKEIEAQRNHHRDTSNAYKLWTNKVSNVTEEVQELKLKYEIKTLPRWRIQKRSRMSEEMEKKSNWIRQLINDGCLKNFLVDKPPEPVLKELNVPQISGYPTLQGTLDNILDLLKNNKIKIIGVCGTKGVGKTTIMRNLNNNEEIAKLFEIVIFVKVTSNDHKLQEKIAHRLMLYKGTTNNEDSDDVARRIYRELDNKRYLLILDEVEDAINLELLGIPSNGSKVVIVTRFPRVYKLNRVQRVINVEKLSLDEAWKMFRDTVHAFNPKIDSPDIQLPAKLVCKRCCCGLPLLIYNIANSFRLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSMYPADSKVYSDYLVECWAAQGLLGDINDERSYQSARNRGIDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMGQVHDLRTSQDCSTILTLLLRKIPELPESFFENMSSLLLLDLYSSMITQLPSSLSKLTGLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGFLTKLRCLRIPFIASEDNQVQNVHAISKLHRLEELTIQVISYEQWCNHAENVLQHVASLENVTHLRCCFPSSTILGEFLSRSKSWHKKQSSFRFTVGCQNSRRPQILESFEYKITNYLRYCNGGQKDDPAIIEVLPKTDAFELVCHKDMKKISNFAGIACLERIRGLLIKRCNQVLTIVSGETSSNAMNGIQIETTVILPNLEQLYLENLLNLKCAFRGPLHSGTFSRLQALSLKNCPRLSQIFSNGAIQHFSELQKLKLEDCSKIEELIGEDIERERDVLPKLEILLLVNLPNLKNICATHTLAWSSLELLRIHNCPMFKTLPLDSTNAVNLKSIKGQQEWWTNLDWTNNDKVHKRFQPIFVASNEYFS >Vigun03g090400.4.v1.2 pep primary_assembly:ASM411807v1:3:7638134:7641965:1 gene:Vigun03g090400.v1.2 transcript:Vigun03g090400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEPFVSPLVGAVGTIGIGEVRNTLASKFAFSKNLDDNYHILVKDTEMLQAIMKDKEIEAQRNHHRDTSNAYKLWTNKVSNVTEEVQELKLKYEIKTLPRWRIQKRSRMSEEMEKKSNWIRQLINDGCLKNFLVDKPPEPVLKELNVPQISGYPTLQGTLDNILDLLKNNKIKIIGVCGTKGVGKTTIMRNLNNNEEIAKLFEIVIFVKVTSNDHKLQEKIAHRLMLYKGTTNNEDSDDVARRIYRELDNKRYLLILDEVEDAINLELLGIPSNGSKVVIVTRFPRVYKLNRVQRVINVEKLSLDEAWKMFRDTVHAFNPKIDSPDIQLPAKLVCKRCCCGLPLLIYNIANSFRLKESASSWWAGLEDLKPWPELQSQGLEELYSCLKFCYDELNDKRKQKCFLYTSMYPADSKVYSDYLVECWAAQGLLGDINDERSYQSARNRGIDILEHLANVSLLEKGEAMIYVNMNHCMRQLALHISSKDPECSFYLQDGEESENLSNSRAWQQARWVSMGQVHDLRTSQDCSTILTLLLRKIPELPESFFENMSSLLLLDLYSSMITQLPSSLSKLTGLRGLFLNRCELLESLSSEIGLLQFLEVLDIRDTKVTFIPLQIGFLTKLRCLRIPFIASEDNQVQNVHAISKLHRLEELTIQVISYEQWCNHAENVLQHVASLENVTHLRCCFPSSTILGEFLSRSKSWHKKQSSFRFTVGCQNSRRPQILESFEYKITNYLRYCNGGQKDDPAIIENFRS >VigunL036900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:309917:310168:-1 gene:VigunL036900.v1.2 transcript:VigunL036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFSRSF >Vigun07g070700.1.v1.2 pep primary_assembly:ASM411807v1:7:8744550:8748878:-1 gene:Vigun07g070700.v1.2 transcript:Vigun07g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMITFMDSKEKSKEAERCLDPQLWHACAGGMVQMPAVNSKVYYFPQGHAEHACGAVNFRTCPKVPPLVPCRVAAIKYMADPDTDEVYAKLRLAPLTVNDADYDRDVVGPETQDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQNILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKKGIGGGLEGSSGWNPTGGSCPMPYAGFSPFLREDDNRILRNGNTNGLNPSVSMTGRGKVRPEAVVEAANLAANKQPFEVVYYPRASTPEFCVKAPLVEAALQIRWCSGIRFKMAFETEDSSRISWFMGTISSVQVSDPLNWPNSPWRLLQVTWDEPDLLQNVRRVSPWLVELVSNMPAIHLSPFSPPRKKLRLAQHPDFPLEGQIPLPTFSGNLLGPSNTNQFGCAPESTPAGMQGARHGHYGLPISDLQFSKLHPGLFPAGFPPLDHAATPPMRISNNQPPLQKPSMSENVSCLLSMANSTPSSKKTDEGKTPQLVLFGQRILTEQQISLSSSVDTFSPVLTKNCCSDGHADKAPNVSDCSGSALHQQQGQQEQQRSSCERFQWYKDNPQETETGHCKVFMESEDVGRTMDLSSLRSYDELYRRLADMFGIEKSEMLSQVLYRDSNGAVKHIGDEPLSDFKKSARRLTILTDSGSNNVGV >Vigun03g223500.2.v1.2 pep primary_assembly:ASM411807v1:3:37137523:37142044:-1 gene:Vigun03g223500.v1.2 transcript:Vigun03g223500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNDFVRWTFEFILQNVPDTEINGNMLKNAVRIAAGFSVLDSRLRKAILLKTLRYHLCTHSITEPLLETLEFLEELFHCEASPVTATMTAAYCAVAVECTTKYLKLNPHHHNPLYLDAVNRIWRVRIALMNSSGERRALLSGELEQWRKDIETSLLDSEVMERLASIDTKRDAMVKLKAFLGEACPDLDPSSHADTKRDAMVKLKAFLDEACTDLDPSSQADTKRDAMVKLKAVLDEACTDLDPSSHAGHI >Vigun03g223500.4.v1.2 pep primary_assembly:ASM411807v1:3:37137523:37142044:-1 gene:Vigun03g223500.v1.2 transcript:Vigun03g223500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNDFVRWTFEFILQNVPDTEINGNMLKNAVRIAAGFSVLDSRLRKAILLKTLRYHLCTHSITEPLLETLEFLEELFHCEASPVTATMTAAYCAVAVECTTKYLKLNPHHHNPLYLDAVNRIWRVRIALMNSSGERRALLSGELEQWRKDIETSLLDSEVMERLASIDTKRDAMVKLKAFLGEACPDLDPSSHADTKRDAMVKLKAVLDEACTDLDPSSHAGHI >Vigun03g223500.1.v1.2 pep primary_assembly:ASM411807v1:3:37137523:37142044:-1 gene:Vigun03g223500.v1.2 transcript:Vigun03g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNDFVRWTFEFILQNVPDTEINGNMLKNAVRIAAGFSVLDSRLRKAILLKTLRYHLCTHSITEPLLETLEFLEELFHCEASPVTATMTAAYCAVAVECTTKYLKLNPHHHNPLYLDAVNRIWRVRIALMNSSGERRALLSGELEQWRKDIETSLLDSEVMERLASIDTKRDAMVKLKAFLGEACPDLDPSSHADTKRDAMVKLKAFLDEACTDLDPSSQADTKRDAMVKLKAVLDEACTDLDPSSHADTKRDAMVKLKAVLDEACTDLDPSSHAGHI >Vigun03g223500.3.v1.2 pep primary_assembly:ASM411807v1:3:37137523:37142044:-1 gene:Vigun03g223500.v1.2 transcript:Vigun03g223500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNDFVRWTFEFILQNVPDTEINGNMLKNAVRIAAGFSVLDSRLRKAILLKTLRYHLCTHSITEPLLETLEFLEELFHCEASPVTATMTAAYCAVAVECTTKYLKLNPHHHNPLYLDAVNRIWRVRIALMNSSGERRALLSGELEQWRKDIETSLLDSEVMERLASIDTKRDAMVKLKAFLGEACPDLDPSSHADTKRDAMVKLKAVLDEACTDLDPSSHADTKRDAMVKLKAVLDEACTDLDPSSHAGHI >Vigun06g010300.1.v1.2 pep primary_assembly:ASM411807v1:6:4749918:4753261:-1 gene:Vigun06g010300.v1.2 transcript:Vigun06g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRPEAQVRGLQTSLSLVSSDPLRSPDEPGSNSDNLRESPAESASSQETWPTAAAKKMENRKTEINSLEQKVIHRVSGAGKVTLQDIARESVGTICEKMRCLPPVYLEELKNGLRVILEGGNASQHREEFFILQKLVQNRADLTEKTLVRAHRVQLEILVAINTGIQGFLHPSINLSQTSLIEIFGYKRCRNIACQNLLPADDCTCEICTNTNGFCNLCMCVICNKFDFDVNTCRWIGCDLCSHWTHTDCAIREQLICMGPSSKSEAGPSEMVFRCQACNRTSELVGWVKDVFQHCAASWDGEALMRELDYVSRIFHGNKEPRGRKLFWKCGDIIEKLKTKNMDSKAASRAILMFFQELEVDSTEGLENGESGRSIAPQDACNRIAEVVAEAIRKMEMVADEKMRMFKKARMDLEACDHELADKSREVAELKMERQKKKLQIEELEKIVRLKNAEAEMFQVKANEAKQEAERLQRIALAKQDKSEEEFTSNYLKQRLNEAEAEKQYLYKKIKLQDSSRSSGGDPSQMLIYSKIHDLLYSVPTKPETQGKEHHPFGTSP >Vigun06g010300.2.v1.2 pep primary_assembly:ASM411807v1:6:4749918:4753261:-1 gene:Vigun06g010300.v1.2 transcript:Vigun06g010300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRPEAQVRGLQTSLSLVSSDPLRSPDEPGSNSDNLRESPAESASSQETWPTAAAKKMENRKTEINSLEQKVIHRVSGAGKVTLQDIARESVGTICEKMRCLPPVYLEELKNGLRVILEGGNASQHREEFFILQKLVQNRADLTEKTLVRAHRVQLEILVAINTGIQGFLHPSINLSQTSLIEIFGYKRCRNIACQNLLPADDCTCEICTNTNGFCNLCMCVICNKFDFDVNTCRWIGCDLCSHWTHTDCAIREQLICMGPSSKSEAGPSEMVFRCQACNRTSELVGWVKDVFQHCAASWDGEALMRELDYVSRIFHGNKEPRGRKLFWKCGDIIEKLKTKNMDSKAASRAILMFFQEGLENGESGRSIAPQDACNRIAEVVAEAIRKMEMVADEKMRMFKKARMDLEACDHELADKSREVAELKMERQKKKLQIEELEKIVRLKNAEAEMFQVKANEAKQEAERLQRIALAKQDKSEEEFTSNYLKQRLNEAEAEKQYLYKKIKLQDSSRSSGGDPSQMLIYSKIHDLLYSVPTKPETQGKEHHPFGTSP >Vigun01g100200.1.v1.2 pep primary_assembly:ASM411807v1:1:26755084:26762215:-1 gene:Vigun01g100200.v1.2 transcript:Vigun01g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSENPNPELRKDAVWNRWVIFSPARAKRPSDFKSKSPTDPNPNQQCPFCIGHEHECAPEIFRVPPRDLDWKIRVIQNLYPALSRTLPEPQHAPSGLLQTGFGFHDVVIETPVHSVQLSDLPPPEIGRVFITYTERIQQLASHKSIQYVQVFKNHGASAGASMSHSHSQMMALPIVPATVSARLASMKDHFDQTGKCFICEIQREDLLIDSSTNFFSLVPFAATFPFEIWIVPRYHSAHFHELDVEKAVELGGLLKLMLRKMSLQLNNPPFNYMIHTSPLHSNGSELAYTHWFIQIVPQLIGIAGFELGTGCYINPVFPEDAAKVLREVKVPE >Vigun01g100200.3.v1.2 pep primary_assembly:ASM411807v1:1:26755084:26762216:-1 gene:Vigun01g100200.v1.2 transcript:Vigun01g100200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSENPNPELRKDAVWNRWVIFSPARAKRPSDFKSKSPTDPNPNQQCPFCIGHEHECAPEIFRVPPRDLDWKIRVIQNLYPALSRTLPEPQHAPSGLLQTGFGFHDVVIETPVHSVQLSDLPPPEIGRVFITYTERIQQLASHKSIQYVQVFKNHGASAGASMSHSHSQMMALPIVPATVSARLASMKDHFDQTGKCFICEIQREDLLIDSSTNFFSLVPFAATFPFEIWIVPRYHSAHFHELDVEKAVELGGLLKLMLRKMSLQLNNPPFNYMIHTSPLHSNGSELAYTHWFIQIVPQLIGIAGFELGTGCYINPVFPEDAAKVLREVKVPE >Vigun03g333100.2.v1.2 pep primary_assembly:ASM411807v1:3:53110194:53112001:-1 gene:Vigun03g333100.v1.2 transcript:Vigun03g333100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPGIYFDIGKKAKDVLHKDYSNLSPIHFHYQFMDYNVDLSCQLNELLPGFRSLFKCTIPDSGKVEVQHLTNYTGITGCIGLEGNLEKGYDPVLNLSGLVGTNILSLGANVALDIPSRTFSNLNAGLNLNTPFLVASLTMHNSFDILKASCYHEVNPLSKTAIAAELKHSLSMGETSATIGAQHAVLPETLVKARFDTYGKAGAVVQQGFWERFYVAMAGEVDFKTTDNNLHPKVGVSVALKP >Vigun03g333100.1.v1.2 pep primary_assembly:ASM411807v1:3:53109257:53112193:-1 gene:Vigun03g333100.v1.2 transcript:Vigun03g333100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPGIYFDIGKKAKDVLHKDYSNLSPIHFHYQFMDYNVDLSCQLNELLPGFRSLFKCTIPDSGKVEVQHLTNYTGITGCIGLEGNLEKGYDPVLNLSGLVGTNILSLGANVALDIPSRTFSNLNAGLNLNTPFLVASLTMHNSFDILKASCYHEVNPLSKTAIAAELKHSLSMGETSATIGAQHAVLPETLVKARFDTYGKAGAVVQQGFWERFYVAMAGEVDFKTTDNNLHPKVGVSVALKP >Vigun09g196400.2.v1.2 pep primary_assembly:ASM411807v1:9:37097903:37106112:-1 gene:Vigun09g196400.v1.2 transcript:Vigun09g196400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILILRIKEFSEWAQCLVLELVSKYIPADSSEIFDMMNLLEDRLQHANGAVVLATVKLFLQLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAVLSHLHLLVMRAPYIFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSEALVLVKDLLRKYPQWSQDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETKKALGAALAAGLADFHQDVHDRALFYYRLLQYNVSVAESVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEFADELGNLSITAESGESVVPAQRVEANDKDLLLSTSEKDEGREPGSNGSAYNAPSYNGSSAPSATSQPLADLAFPSTGLSGQAPASSLAIDDLLGLDFAVGTAATPPPPSLNLNPKAVLDPGTFQQKWRQLPISTSEEYSLSPQGIASLITPNALLRHMQSHSIHCIASGGQSPNFKFFFFAQKAEAASIYLVECIINTSSAKSQIKVKADDQSSSQAFSTLFQSALTKFGLP >Vigun09g196400.1.v1.2 pep primary_assembly:ASM411807v1:9:37097903:37106112:-1 gene:Vigun09g196400.v1.2 transcript:Vigun09g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQQSHRSPSPSQPSGKSEVSDLKSQLRQLAGSRAPGADDSKRDLFKKVISNMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDNNSYVRMVAVIGVLKLYHISASTCIDADFPATLKHLMLNDPDTQVVANCLFALQEIWTLESSTSEEAARERETLLSKPIVYHLLNRIKEFSEWAQCLVLELVSKYIPADSSEIFDMMNLLEDRLQHANGAVVLATVKLFLQLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAVLSHLHLLVMRAPYIFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSEALVLVKDLLRKYPQWSQDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETKKALGAALAAGLADFHQDVHDRALFYYRLLQYNVSVAESVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEFADELGNLSITAESGESVVPAQRVEANDKDLLLSTSEKDEGREPGSNGSAYNAPSYNGSSAPSATSQPLADLAFPSTGLSGQAPASSLAIDDLLGLDFAVGTAATPPPPSLNLNPKAVLDPGTFQQKWRQLPISTSEEYSLSPQGIASLITPNALLRHMQSHSIHCIASGGQSPNFKFFFFAQKAEAASIYLVECIINTSSAKSQIKVKADDQSSSQAFSTLFQSALTKFGLP >Vigun08g015000.1.v1.2 pep primary_assembly:ASM411807v1:8:1272446:1273923:1 gene:Vigun08g015000.v1.2 transcript:Vigun08g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGLVFALVLSVTLHSVLCDDNPWQSVIEQAKSEAAKAGLSEDTIFGAEKAVSDGSAKRAAEEALNSGSLNDWVQEARVMGSSGAVQSLLDDEEEDNLGELEFAPRRSPSEGPSEAPAWAPSEQILLEELTMELREEEASEAPQAQSPSA >Vigun09g172164.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34197155:34197508:1 gene:Vigun09g172164.v1.2 transcript:Vigun09g172164.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSFGFSGFRFWVTSFGLPVSGFEFCGSSLGFRVRVSCFEFGVSGFGFRVSGFRFRDLAFGFQVSGFGFGFWGVGFRVSGYELRVLGSCFRYQISGFWFRILGFEVSGLGFRVTS >Vigun01g202700.2.v1.2 pep primary_assembly:ASM411807v1:1:37874164:37878342:1 gene:Vigun01g202700.v1.2 transcript:Vigun01g202700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTLAEVVLVLALLSCSPGIVWGNTLCQQTNDEVRPHSVSITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSTNPEDWPVVDPLPSYGRGRELPGRRHKSLIYGRNLTDVIITGNNGTIDGQGSIWWSMFRNKTLDNTRPHLIELMNSTGVLISNLTFLNSPFWTVHPVYCSHVTVQKVAIFAPLDSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGIAYDRPCTNIIIHRLVGKTQTSAGIAIGSEMSGGVSEVHVEDVQFYDSHTAIRIKTSPGRGGYVRNIYVSNVTLTNVDIAIRFTGLYGEHPDDGYDPNAMPVIEKITIKDVVGENIKSAGLIEGIEGDNFVNVCLSNIVLNVSSNHPWNCSYVKGYSDLVLPEACEPLKERIFPEHCSDCYYMSNQIQSSKSQNRGQRNLR >Vigun01g202700.1.v1.2 pep primary_assembly:ASM411807v1:1:37874164:37878342:1 gene:Vigun01g202700.v1.2 transcript:Vigun01g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTLAEVVLVLALLSCSPGIVWGNTLCQQTNDEVRPHSVSITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSTNPEDWPVVDPLPSYGRGRELPGRRHKSLIYGRNLTDVIITGNNGTIDGQGSIWWSMFRNKTLDNTRPHLIELMNSTGVLISNLTFLNSPFWTVHPVYCSHVTVQKVAIFAPLDSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGIAYDRPCTNIIIHRLVGKTQTSAGIAIGSEMSGGVSEVHVEDVQFYDSHTAIRIKTSPGRGGYVRNIYVSNVTLTNVDIAIRFTGLYGEHPDDGYDPNAMPVIEKITIKDVVGENIKSAGLIEGIEGDNFVNVCLSNIVLNVSSNHPWNCSYVKGYSDLVLPEACEPLKERIFPEHCSDCYYMSNQIQSSKSQNRAGQRNLR >Vigun01g202700.3.v1.2 pep primary_assembly:ASM411807v1:1:37874164:37878195:1 gene:Vigun01g202700.v1.2 transcript:Vigun01g202700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTLAEVVLVLALLSCSPGIVWGNTLCQQTNDEVRPHSVSITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSTNPEDWPVVDPLPSYGRGRELPGRRHKSLIYGRNLTDVIITGNNGTIDGQGSIWWSMFRNKTLDNTRPHLIELMNSTGVLISNLTFLNSPFWTVHPVYCSHVTVQKVAIFAPLDSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGIAYDRPCTNIIIHRLVGKTQTSAGIAIGSEMSGGVSEVHVEDVQFYDSHTAIRIKTSPGRGGYVRNIYVSNVTLTNVDIAIRFTGLYGEHPDDGYDPNAMPVIEKITIKDVVGENIKSAGLIEGIEGDNFVNVCLSNIVLNVSSNHPWNCSYVKGYSDLVLPEACEPLKERIFPEHCSDCYYMSNQIQSSKSQNRGAWFMSW >Vigun03g212500.1.v1.2 pep primary_assembly:ASM411807v1:3:35227634:35237677:-1 gene:Vigun03g212500.v1.2 transcript:Vigun03g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTLSFDPLFSFNHCVNREREPSIHSSKPKLFSLTSSSTLTLFNSSNNNCSYNFASWKPHKFHTPKFEAFATNTDTLESLQSSDVLFDQTFPINRTELVEGKIFVRLDHGKDLGNWELTVGCNLTGKWILHWGVSRVDDVGSEWDQPPRDMIPPGSVPIKDYAIETPMLKSLSSAEGDALHEVKIDLKPNNDISAINFVLKDEETGAWYQHKGRDFKVPLVNYLKEDANIIGPKKGFSLWPGALGQISNILLKSDATHDKVQDGDTGSRNTQVENSQLEGFYVELPITKEIIVDNSITVSITKCSETAKNNLYLETDIPGDILLHWGVCRDDLRWWEIPPAPHPPETIAFKDRALRTKLQSIDNGVGSSLQLSLGEEFSGFLFVLKLNDGTWINDMGDDFYISLPRSSSLIIGKKDDQFEGVQREVTEVTEEAGQEESASTFTDEIINEIRHLVTDISSEKNRKTKSKEAQESILQEIEKLAAEAYSIFRSSVPTFSEETIVESEAAVESKTVIFPELPPQISSGTGTGYEILCQGFNWESHKSGRWYMELKEKAAELASFGITVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDQLKDVVKSFHEVGIKVLGDVVLNHRCAHYKNPNGIWNLFGGRLNWDERAIVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLLWLRREIGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATGGTAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYTLTHPGTPSVFYDHLFSHYKTEVSTLLSIRKRNKIHCRSTVKICKAERDVYAALIDEKVAMKIGPGQFEPPSGSQNWSSALEGRDYKIWEAS >Vigun09g220800.1.v1.2 pep primary_assembly:ASM411807v1:9:39449694:39455685:-1 gene:Vigun09g220800.v1.2 transcript:Vigun09g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSSNRRRSDGEGSSASAEDNRRKRARVYFDFDGAHAAIASSSNAGKSTASAEYGDYDDIQGSSVRSNDDALQLMSSGEGSNFDEGDDSDIANVDDLVTKMDLTDDLLHMVFSFLDHSNLCKAARVCKQWRTASAHEDFWKSLNFEDRNISVEQFEDICRRYPKIATIRLSGPPSYQLVMKAVSSLRNLEALTLGRGNIMDSFFHALADCSMLRRLSINDAVLGSGIQEISVNHDRLCHLQLTKCRVMRIAVRCPQLETMSLKRSNMAQTVLHCPLLQELDIGSCHKLPDSAIRSAVTSCPQLVSLDMSNCSCVSDETLREIAQNCANLSFLDASYCPNVSLETVRLPMLTVLKLHSCEGITSASMAAIAYSSMLEVLELDNCSLLTSVSLDLPRLQNIRLVHCRKFADLNLMTLMLSSILVSNCPVLHRINITSNSLQKLTIPKQDSLTTLALQCQSLQEVDLSECESLNNSVCNVFNDGGGCPVLKSLILDNCESLTSVQFISTSLICLSLGGCRAITNLDLTCPNLEKLVLDGCDHLERASFCPVGLSSLNLGICPKLSTLSIEAPYMVSLELKGCGVLSEAFINCPLLTSLDASFCSQLTDDCLSATTVSCPLIESLILMSCPSIGSDGLRSLFCLPNLTVLDLSYTFLVNLQPVFDSCLQLKVLKLQACKYLTETSLEPLYKGGALPALQELDLSYGTFSQSAIDELLACCTNLTHVSLNGCLNMHDLNWGCSCGQSKNLPAANTLSRASSTENVPESSEQSPRLLQNLNCVGCPNIRKVVIPFRANCCHLLILNLSLSANLKEVDVTCLNLCFLNLSNCSSLENLKLECPRLTSLFLQSCNVDEEAVEAAISKCTILETLDVRFCPKISSMSMGRLRTICSSLKRIYSSSSTLLP >VigunL059158.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:8044:8457:1 gene:VigunL059158.v1.2 transcript:VigunL059158.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFDFSGVEFWVTGFWFRVSWFGFEVWGFGFRLSAFEFRIKSYGFRDSCFGCRISAFWFRVSDFGFRVSGFKIWVLCFDFGVSSLGFRVSNFELQVSSLGFRMSVFNFGFSGFRFWVTSFGLPISGLGFRVFEFGI >VigunL008001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:70955:71314:-1 gene:VigunL008001.v1.2 transcript:VigunL008001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g077200.2.v1.2 pep primary_assembly:ASM411807v1:5:7121021:7124390:-1 gene:Vigun05g077200.v1.2 transcript:Vigun05g077200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKATVGNDKKMNNGLIHDTLVKIFLCLNVVDVAVASLVCKSWNSACRDPALWNKIDLSKLGSYCFNTPLNRVGAYRHSSSKITQFLKYVLDLSNGSTTFIIFNFYVYLTNEQFIMVAQRTPNLKRLVLPETGDFSRDGVDTALSLWKGLESITVTSAVSSYYMILAIGKHCNNITEMKFSAGNFEEKHAVAMAKYTPKLKKLSIRNLATSLKALWCVVSFLGDLEKVNISHCLIMDTAYPGTVFVGVSDLKKRLPPTGVEKLVYCEKGRCLRCVNGRDTTRSRQPDGPYEDIWGEDEIASLAHLPQPSEAQIAARHVRAIPIVRLFCSSTRNQHR >Vigun05g077200.1.v1.2 pep primary_assembly:ASM411807v1:5:7121021:7124390:-1 gene:Vigun05g077200.v1.2 transcript:Vigun05g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKATVGNDKKMNNGLIHDTLVKIFLCLNVVDVAVASLVCKSWNSACRDPALWNKIDLSKLGSYCFNTPLNRVGAYRHSSSKITQFLKYVLDLSNGSTTFIIFNFYVYLTNEQFIMVAQRTPNLKRLVLPETGDFSRDGVDTALSLWKGLESITVTSAVSSYYMILAIGKHCNNITEMKFSAGNFEEKHAVAMAKYTPKLKKLSIRNLATSLKALWCVVSFLGDLEKVNISHCLIMDTAYPGTVFVGVSDLKKRLPPTGVEKLVYCEKGRCLRCVNGRDTTRSRQPDGPYEDIWGEDEIASLAHLPQPSEAQIAARHVRAIPIVRLFCSSTRNQHR >Vigun10g078600.4.v1.2 pep primary_assembly:ASM411807v1:10:21386624:21388896:1 gene:Vigun10g078600.v1.2 transcript:Vigun10g078600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKGKGAVKVSKEALKPVDDRKVGKRKASSKPDKGSAPKAKKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKAENPNVKAVSAVGKAGGEKWKSLSSAEKAPYEAKAAKRKAEYEKLMKAYDKKQASSADDDESDKSKSEVNDEDDEASAEDHQEEEEEDEEEDEEDEDDD >Vigun10g078600.2.v1.2 pep primary_assembly:ASM411807v1:10:21385680:21388896:1 gene:Vigun10g078600.v1.2 transcript:Vigun10g078600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKGKGAVKVSKEALKPVDDRKVGKRKASSKPDKGSAPKAKKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKAENPNVKAVSAVGKAGGEKWKSLSSAEKAPYEAKAAKRKAEYEKLMKAYDKKQASSADDDESDKSKSEVNDEDDEASAEDHQEEEEEDEEEDEEDEDDD >Vigun10g078600.3.v1.2 pep primary_assembly:ASM411807v1:10:21385795:21388896:1 gene:Vigun10g078600.v1.2 transcript:Vigun10g078600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKGKGAVKVSKEALKPVDDRKVGKRKASSKPDKGSAPKAKKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKAENPNVKAVSAVGKAGGEKWKSLSSAEKAPYEAKAAKRKAEYEKLMKAYDKKQASSADDDESDKSKSEVNDEDDEASAEDHQEEEEEDEEEDEEDEDDD >Vigun10g078600.1.v1.2 pep primary_assembly:ASM411807v1:10:21385680:21388896:1 gene:Vigun10g078600.v1.2 transcript:Vigun10g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKGKGAVKVSKEALKPVDDRKVGKRKASSKPDKGSAPKAKKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKAENPNVKAVSAVGKAGGEKWKSLSSAEKAPYEAKAAKRKAEYEKLMKAYDKKQASSADDDESDKSKSEVNDEDDEASAEDHQEEEEEDEEEDEEDEDDD >Vigun07g009000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:776621:777067:-1 gene:Vigun07g009000.v1.2 transcript:Vigun07g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSKGKAMDEEEHVKYRGVRRRPWGKYAAEIRDSMRHGQRLWLGTFPTAEEAARAYDRAAYAMRGPFAVLNFPDEYPPSPASASSSSSSSSSVSFSGYGHVEGRGRGRGRGRNEEGSQVFEFEYFDDKLLEELLGYDEDKNKHGK >Vigun03g023800.1.v1.2 pep primary_assembly:ASM411807v1:3:1763449:1766245:1 gene:Vigun03g023800.v1.2 transcript:Vigun03g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIVEGGERGKRAHLYEHKFTHYFAFTCIVGALGGSLFGYDLGVSGGVTSMDDFLKEFFPGVYRRKNMHLNETDYCKYDDQVLTLFTSSLYISALVMTFFASSLTRSKGRKASIIVGALSFLAGAILNAAATNIAMLIIGRVLLGGGIGFGNQAVPLYLSEMAPAKNRGAVNQLFQFTTCAGILVANLVNYFTNEIHPYGWRISLGLAGVPAILMLIGGFMCAETPNSLVEQGRLDEARKVLEKVRGTKNVDAEFEDLKEASEEARAVKSPFKTLLKRKYRPQLIIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGANAALFSSFITNGALLVATIISMFLVDKFGRRKFFLEAGFEMICCMIITAVVLAVDFGHGKELGKGPSAVLVVVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSMVVCVNMIFTALVAQLFLMSLCHLRYGIFLLFASLIVFMSCFVFFLLPETKKVPIEEIYLLFQNHWFWKSVVTNDQNASEETPVAIV >Vigun09g139200.1.v1.2 pep primary_assembly:ASM411807v1:9:30086797:30088595:-1 gene:Vigun09g139200.v1.2 transcript:Vigun09g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPVVDAAADAGAEPQVPSVEDAVLKKKPQPQEDDAPILEDVKDDEKDETEDEDEDEDDDKDDAQGGTEGSKQSRSEKKSRKAMLKLGLKPVSGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDVGSVLAKQDQDAAAAAAQPEEEEEVDETGVEPHDIDLVMTQAGVSRSKAVKALKTHDGDIVGAIMELTT >Vigun05g055100.3.v1.2 pep primary_assembly:ASM411807v1:5:4716000:4721477:1 gene:Vigun05g055100.v1.2 transcript:Vigun05g055100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNFLSLFIILLLAHWIHVQAAPPQSRVTQLPGFNSSFPSNHYSGYISIDGDYKSGKNLFYYFVSSERSAEKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKSKENLPTLHINPYSWSKVSNIIYLDSPAGVGLSYSKNTSKYVTGDLQTASDTHLFLLKWFQQFPEFQANPFYIAGESFAGVYVPTLAFEVAKGIQSGTKPVINFKGYLVGNGVTDEIFDGNALIPFVHGMGLISDSIYEDLQATCKGNYYDAYSLDENDPCYKSIVKVDRAIDGLNVYNILEPCYHFPDEAIENGSLPKSFKQLGVTEKPLPVRKRMFGRAWPFRAPVKPGLVTLWPQLSETTQTRHVACVNDEVASSWLNNDAVRKAIHAESESVAGAWELCTDRIEYYHNAGSMIPYHKNLTKRGYKALIFSGDHDMCVPFTGSEAWTRSLGYKIVDEWRPWNLNDQVAGYLQEYENNLTFLTIKGAGHTVPEYKPREALEFFSHWLEGKKI >Vigun05g055100.1.v1.2 pep primary_assembly:ASM411807v1:5:4715587:4721477:1 gene:Vigun05g055100.v1.2 transcript:Vigun05g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNFLSLFIILLLAHWIHVQAAPPQSRVTQLPGFNSSFPSNHYSGYISIDGDYKSGKNLFYYFVSSERSAEKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKSKENLPTLHINPYSWSKVSNIIYLDSPAGVGLSYSKNTSKYVTGDLQTASDTHLFLLKWFQQFPEFQANPFYIAGESFAGVYVPTLAFEVAKGIQSGTKPVINFKGYLVGNGVTDEIFDGNALIPFVHGMGLISDSIYEDLQATCKGNYYDAYSLDENDPCYKSIVKVDRAIDGLNVYNILEPCYHFPDEAIENGSLPKSFKQLGVTEKPLPVRKRMFGRAWPFRAPVKPGLVTLWPQLSETTQTRHVACVNDEVASSWLNNDAVRKAIHAESESVAGAWELCTDRIEYYHNAGSMIPYHKNLTKRGYKALIFSGDHDMCVPFTGSEAWTRSLGYKIVDEWRPWNLNDQVAGYLQEYENNLTFLTIKGAGHTVPEYKPREALEFFSHWLEGKKI >Vigun05g055100.2.v1.2 pep primary_assembly:ASM411807v1:5:4715498:4721477:1 gene:Vigun05g055100.v1.2 transcript:Vigun05g055100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNFLSLFIILLLAHWIHVQAAPPQSRVTQLPGFNSSFPSNHYSGYISIDGDYKSGKNLFYYFVSSERSAEKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKSKENLPTLHINPYSWSKVSNIIYLDSPAGVGLSYSKNTSKYVTGDLQTASDTHLFLLKWFQQFPEFQANPFYIAGESFAGVYVPTLAFEVAKGIQSGTKPVINFKGYLVGNGVTDEIFDGNALIPFVHGMGLISDSIYEDLQATCKGNYYDAYSLDENDPCYKSIVKVDRAIDGLNVYNILEPCYHFPDEAIENGSLPKSFKQLGVTEKPLPVRKRMFGRAWPFRAPVKPGLVTLWPQLSETTQTRHVACVNDEVASSWLNNDAVRKAIHAESESVAGAWELCTDRIEYYHNAGSMIPYHKNLTKRGYKALIFSGDHDMCVPFTGSEAWTRSLGYKIVDEWRPWNLNDQVAGYLQEYENNLTFLTIKGAGHTVPEYKPREALEFFSHWLEGKKI >Vigun05g055100.4.v1.2 pep primary_assembly:ASM411807v1:5:4715703:4721477:1 gene:Vigun05g055100.v1.2 transcript:Vigun05g055100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNFLSLFIILLLAHWIHVQAAPPQSRVTQLPGFNSSFPSNHYSGYISIDGDYKSGKNLFYYFVSSERSAEKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKSKENLPTLHINPYSWSKVSNIIYLDSPAGVGLSYSKNTSKYVTGDLQTASDTHLFLLKWFQQFPEFQANPFYIAGESFAGVYVPTLAFEVAKGIQSGTKPVINFKGYLVGNGVTDEIFDGNALIPFVHGMGLISDSIYEDLQATCKGNYYDAYSLDENDPCYKSIVKVDRAIDGLNVYNILEPCYHFPDEAIENGSLPKSFKQLGVTEKPLPVRKRMFGRAWPFRAPVKPGLVTLWPQLSETTQTRHVACVNDEVASSWLNNDAVRKAIHAESESVAGAWELCTDRIEYYHNAGSMIPYHKNLTKRGYKALIFSGDHDMCVPFTGSEAWTRSLGYKIVDEWRPWNLNDQVAGYLQEYENNLTFLTIKGAGHTVPEYKPREALEFFSHWLEGKKI >Vigun09g070200.1.v1.2 pep primary_assembly:ASM411807v1:9:7636293:7642133:1 gene:Vigun09g070200.v1.2 transcript:Vigun09g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAFAALLLLAFTSSSSATWCVCKEGSDTILQKTLDYACGAGADCNPLHQNGPCFQPNTVRAHCNYAVNSYFQKKSQAQGSCDFAGTATVTASDPSSAGCSYPASSSNAGTGTNSGTTTVSSGTSSSPPFSSTPGVLGGIGSGSNTDDSHGDLINTTFFISFFSGFIIIMSWWC >Vigun11g033000.1.v1.2 pep primary_assembly:ASM411807v1:11:4360479:4361460:-1 gene:Vigun11g033000.v1.2 transcript:Vigun11g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLRIKMDIFVVLERPGYRDGRRRCCHRSRHCKYRVGIQHRVECLLVHKAEQCMQIHLNFHWLYWAIN >Vigun10g046800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:6912659:6913981:-1 gene:Vigun10g046800.v1.2 transcript:Vigun10g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYDIISKDTIKPSSPTPNHLHHFKLSFLDQLAPSFRVPILLFYSASDVRTFATDLTALSQKLKTSLSQVLTLYYPFCGRLRDSSIIECNDEGVLFIHSKLPIELSNILKNPQLHTLYELLPCPPYNLEPQQSDTSGIMAVQLNQFKCGGIALGVCFSHKIADASTAASFLNAWAATSRGHGTNNLVPPPLEQTSLLFPPRNISVDLTSGLVGQEKTVTKRLMFSGSSISRLRQTIACPGFNPSRVEAVTTLLWKSSLEAAKESLDSGEEKIVASAVSHTVNIRSRMVPSLSKHSVGNIWQYALSSLVEAEGVVGLRDLAERMRETIGKVDGDYIKKLQSDEFVEVVQTIEEARRVVAEKGIEGYGFTSWVGFEFYEVDFGWGKPSYATTIAVPIKNFGILMSTKDGDGIEAWLTLTEREMARLEYNAELLNFASFDS >Vigun03g293100.1.v1.2 pep primary_assembly:ASM411807v1:3:47829021:47834958:-1 gene:Vigun03g293100.v1.2 transcript:Vigun03g293100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTMREKHIRANRRPRSAKPDPDSCDKDAISKSIAESGLKPFRYHTAANDSSPSLNSNPNVEETGWGYCTEEQLEEILLKNLEFIYNEAVSKLVALGYDEDVAVKAMLRNGHCYGGMDALTNILHNSLAYLNSNSNSHSDSNSHSDGGGGGGGGNMNETQPVFSNLRQLEEYSVAALVCLVQQVRPHLSKGDAMWCLLMNDLHVGRASSMDIPVPGTGNTAPATGEAGGNSVGVMGSAFCRFHGGWGFGNGGGLDFPVNGVFSCGTDMNLQLQRDIEFPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQLQAQAKTISGRSTAHSLDSFAATGTGVPAEQSGVDSQNGDNQDAVNSVLSKFRDLNLDENLELVAEDQKDEVIVTLFHQIKDLEKQVNERKDWAHQKAMQAARKLSSDLTELKMLRMEREETQKLKKGKPALEDTTMKRLSEMENALRKASGQLDLANAAVRRLETENAEMKAEMEASKLSASESVTACLEVAKREKKCLKKLLAWEKQKAKLQQEISGEKQKISETQEMLVQIRQCQKEAEVKWKEELKGKEEALAVVEEERRSKEAAESNNKRRIEALRLKIEIDFQRHKDDLLRLEQELSRLKASAQSAELHHQSSTSPTSNSEGTKPQRETIARLLQQLDNLDHFSDKEVNSNRECIICMKDEVSIVFLPCAHQVMCATCSDEYGRKGGKAACPCCRVQIQQRIRVFGASS >Vigun11g162400.2.v1.2 pep primary_assembly:ASM411807v1:11:37000462:37005065:1 gene:Vigun11g162400.v1.2 transcript:Vigun11g162400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVSVFVVLVLCGCAAAVKECTNIPTQSHTFTHDLLSSKNETWKQEVMSHYHLTPTDESAWADMLPRKFLSEQQQHDWAVMYKKIKNMGVFKSPEGFLKEVPLEDVRLHKNSIHGTAQQTNLEYLLMFDVDRLIWSFRKTAGLPTPGTPYGGWEEPGGELRGHFVGHYLSATSLMWASTQNDELKQKMASLVAGLSACQEKIGTGYLSAFPSEFFDRFEAIQQVWAPYYTIHKIMAGLLDQYTFAGNSQALKMVTRMVDYFYNRVQNVITKYTVNRHYQSLNQETGGMNDVLYRLYSITGDSKHIFLAQLFDKPCFLGLLAVQANDIADMHANTHIPIVVGSQRRYEITGEPLHKEIATFFMETINSSHSYATGGTSVGEFWRNPKRIADNLKTTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGPGVSKAHTYHSWGTPFNTFWCCYGTGIESFSKLGDSIYFEEEVENPTLYIIQYISSSFNWKSGKILVNQTIAPAASWDPYLRVTFTFSPLQKTSTTLKFRVPTWTSLDGAKGILNGEPLSLPAPGNFLSITRQWNASDKLTLQLPLTLRTEAIKDDRPEYASTQAILYGPYLLAGHSLGGDWHLKSGANNSDWITPVPASYNSQLVSFSQSFENSTFVLANSNHSLSMQKLPKSGTGLALQATFRLVPRESSSKFSTLADAKDRSVMLEPFDLPGMNVVHQGADKPLLIEDSSNGKPSSVFVVVPGLDGRNESISLESQSLKGCYVYSGLSSSAGVKLRCKSDSDAKFNEATSFISQKGLSQYNPISFVAKGVNRNFLLEPLFSFRDEYYTVYFNIEG >Vigun11g162400.1.v1.2 pep primary_assembly:ASM411807v1:11:37000537:37005002:1 gene:Vigun11g162400.v1.2 transcript:Vigun11g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVSVFVVLVLCGCAAAVKECTNIPTQSHTFTHDLLSSKNETWKQEVMSHYHLTPTDESAWADMLPRKFLSEQQQHDWAVMYKKIKNMGVFKSPEGFLKEVPLEDVRLHKNSIHGTAQQTNLEYLLMFDVDRLIWSFRKTAGLPTPGTPYGGWEEPGGELRGHFVGHYLSATSLMWASTQNDELKQKMASLVAGLSACQEKIGTGYLSAFPSEFFDRFEAIQQVWAPYYTIHKIMAGLLDQYTFAGNSQALKMVTRMVDYFYNRVQNVITKYTVNRHYQSLNQETGGMNDVLYRLYSITGDSKHIFLAQLFDKPCFLGLLAVQANDIADMHANTHIPIVVGSQRRYEITGEPLHKEIATFFMETINSSHSYATGGTSVGEFWRNPKRIADNLKTTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGPGVSKAHTYHSWGTPFNTFWCCYGTGIESFSKLGDSIYFEEEVENPTLYIIQYISSSFNWKSGKILVNQTIAPAASWDPYLRVTFTFSPLQKTSTTLKFRVPTWTSLDGAKGILNGEPLSLPAPGNFLSITRQWNASDKLTLQLPLTLRTEAIKDDRPEYASTQAILYGPYLLAGHSLGGDWHLKSGANNSDWITPVPASYNSQLVSFSQSFENSTFVLANSNHSLSMQKLPKSGTGLALQATFRLVPRESSSKFSTLADAKDRSVMLEPFDLPGMNVVHQGADKPLLIEDSSNGKPSSVFVVVPGLDGRNESISLESQSLKGCYVYSGLSSSAGVKLRCKSDSDAKFNEATSFISQKGLSQYNPISFVAKGVNRNFLLEPLFSFRDEYYTVYFNIEG >Vigun11g162400.3.v1.2 pep primary_assembly:ASM411807v1:11:37000475:37005002:1 gene:Vigun11g162400.v1.2 transcript:Vigun11g162400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVSVFVVLVLCGCAAAVKECTNIPTQSHTFTHDLLSSKNETWKQEVMSHYHLTPTDESAWADMLPRKFLSEQQQHDWAVMYKKIKNMGVFKSPEGFLKEVPLEDVRLHKNSIHGTAQQTNLEYLLMFDVDRLIWSFRKTAGLPTPGTPYGGWEEPGGELRGHFVGHYLSATSLMWASTQNDELKQKMASLVAGLSACQEKIGTGYLSAFPSEFFDRFEAIQQVWAPYYTIHKIMAGLLDQYTFAGNSQALKMVTRMVDYFYNRVQNVITKYTVNRHYQSLNQETGGMNDVLYRLYSITGDSKHIFLAQLFDKPCFLGLLAVQANDIADMHANTHIPIVVGSQRRYEITGEPLHKEIATFFMETINSSHSYATGGTSVGEFWRNPKRIADNLKTTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGPGVSKAHTYHSWGTPFNTFWCCYGTGIESFSKLGDSIYFEEEVENPTLYIIQYISSSFNWKSGKILVNQTIAPAASWDPYLRVTFTFSPLQKTSTTLKFRVPTWTSLDGAKGILNGEPLSLPAPGNFLSITRQWNASDKLTLQLPLTLRTEAIKDDRPEYASTQAILYGPYLLAGHSLGGDWHLKSGANNSDWITPVPASYNSQLVSFSQSFENSTFVLANSNHSLSMQKLPKSGTGLALQATFRLVPRESSSKFSTLADAKDRSVMLEPFDLPGMNVVHQGADKPLLIEDSSNGKPSSVFVVVPGLDGRNESISLESQSLKGCYVYSGLSSSAGVKLRCKSDSDAKFNEATSFISQKGLSQYNPISFVAKGVNRNFLLEPLFSFRDEYYTVYFNIEG >Vigun08g121300.1.v1.2 pep primary_assembly:ASM411807v1:8:28970784:28976554:-1 gene:Vigun08g121300.v1.2 transcript:Vigun08g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSRAKRVRVLELSRRLKHRGPDWSGLHQHGDCFLAHQRLAIVDPASGDQPLFNEDKSVIVTVNGEIYNHEELRGQLPNHKFRTGSDCDVIAHLYEEHGEDFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKERGFRRWYNPPWFSESIPSAPYDPLVLRRAFEQAVIKRLMTDVPFGVLLSGGLDSSLVASITSRYLANTKAAEQWGSKLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKSTFAWGLEARVPFLDKEFINAAMNIDPEYKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAKHVTDRMMLNAGNIYPHNTPVTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDASWSGNLDPSGRAALGVHISAYEKQNNLANKSVEIEKIISMDAAPLGVAIQG >Vigun03g174200.1.v1.2 pep primary_assembly:ASM411807v1:3:21409953:21414152:1 gene:Vigun03g174200.v1.2 transcript:Vigun03g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLVLPTIWLSYLFLLSFVLITCCQVEATDGDSEVISVGAIIDDNSRIGKEQLVAMELAAQTYNTTSNTYKLLLHFQQPTKDPFRPTSLARRMIKTQKMQVIIGMQTWTEAASVAELGRKSQVPVISFAAPSITPSFMPIRWPSLVTMAHNGTAYARCVADMVHAFSWQRVVVIYEDGEYEMLALLSETLQDVGSLIEYRLALPSPSYLSNPGEFIRQELFKVIENTQSRVFIVLQSSLEMVIHLFREASEMGLVDGESAWIIPESTTNLLDSVNKSAISYMEGALGIKTYYSERSSEYQNFEARFRKSFRARYPEEDNSNPGFYALQAHDSIKIVAQAIDRMASGRNTLLREILSSNFVGLSGEIGFEAAQLLQNPTFRIVNVDTKNYRELDFWTPEGGFMSTLPTEKGSGSVSRNTESLSGVVIWPGKLTRIPKGWNLPTKQKPMRIAIPGRTSFSKFVKVDHDKHTNSYEYTGFCIEIFEKALPLLGYDLPYDFYPVNASYPDLVQLVYNKTYEAVVGDVTILEERLQYVDFTVPYAESGLSMIVTEKSEDSTWMFMKPFTWQMWVATGALLMYTMIVVWCLERKPNPDFHGDLKSQISTALMFTFSSLFFAHREKIYSDLTRVVMVSWLFLVLILNSSYTASLSSMLTVQRLRLNVTDIEFLKKNNMKIGCDGDSFVKTYLEKVEDFKPENIVHIDNEYSYEDAFKNNSIAAAFLELPYEKVYMSKYCKGFSAFVPTTKFGGLGFMFRKGSPMARDFSKAILTLLERGEIKKLEDKWLNSADDCSNNSTSESSESLRLGSFWVLYVISGATSTICFLLYTIQSRKSSHTSQDEAEERNGNLSDESRWKRMFVIAKQIYSRKHAPLIHDHQLPMPPQLPDIIMVSSPPTVTIHNS >Vigun05g253500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44812904:44814122:-1 gene:Vigun05g253500.v1.2 transcript:Vigun05g253500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFRQYTFDNSFEQVYFSNTVLKEYQIALTFATDYDELDQPTNGIFRPVWNLAQVTPEAIKRFKEKAGSMDVEVKVFISIGNRGNRHPFKSLDREAWIVNATDSLTRLIQEVNLQVDGIDVLYETIDASPDDFIYCVRGLIKNLKDNGVITVASISPTFSLNTDFYSTLYTSVPSLFDYVDYQFQTELDRVPDPTALAQRYDELTQIYPIRKLLAGYSAENADWATVSPIVFFLGAMDILQQKNAPGASIYYHNFSAPQ >Vigun11g072000.2.v1.2 pep primary_assembly:ASM411807v1:11:20590342:20591401:-1 gene:Vigun11g072000.v1.2 transcript:Vigun11g072000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHEIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIFVARQSWSMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGNIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun06g213900.1.v1.2 pep primary_assembly:ASM411807v1:6:32550504:32553993:-1 gene:Vigun06g213900.v1.2 transcript:Vigun06g213900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTDLLKMDFEYILGGITASTMVLLFVLFSSASKKEAKALVHAENNGSSMITAKPETGNQHQEISPTTDIIIVGAGVAGAALAYTLGKEGRRVHVIERDLTEQDRIVGELLQPGGYLKLIELDLQDCVGEIDAQQVFGYALYKDGKNTKLSYPLEKFDSDVSGRSFHNGRFIQRMREKASTLPNVKLEQGTVTSLLEENGIVKGVNYKTRNGQEVTAKAPLTIVCDGCFSNLRRSLCNPQVDVASHFVGLVLENCNLPYANHGHVILGDPSPILFYPISSTEIRCLVDVPAGKKLPSLGNGEMARYLKTVVAPQVPPELHASFIAAVDKGNIRSMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLRNLLRPLHDLHDANALCKYLESFYTLRKPMASTINTLAGALYKVFCASPDPASKEMRQACFDYLSLGGVFSDGPISLLSGLNPRPLSLVLHFFAVAIYSVARLLIPFPSPNRLWIGARIISGASAIIFPIIRAEGIRQVFFPVTVPAYYRTPPSNLHD >Vigun06g213900.2.v1.2 pep primary_assembly:ASM411807v1:6:32550504:32553995:-1 gene:Vigun06g213900.v1.2 transcript:Vigun06g213900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYILGGITASTMVLLFVLFSSASKKEAKALVHAENNGSSMITAKPETGNQHQEISPTTDIIIVGAGVAGAALAYTLGKEGRRVHVIERDLTEQDRIVGELLQPGGYLKLIELDLQDCVGEIDAQQVFGYALYKDGKNTKLSYPLEKFDSDVSGRSFHNGRFIQRMREKASTLPNVKLEQGTVTSLLEENGIVKGVNYKTRNGQEVTAKAPLTIVCDGCFSNLRRSLCNPQVDVASHFVGLVLENCNLPYANHGHVILGDPSPILFYPISSTEIRCLVDVPAGKKLPSLGNGEMARYLKTVVAPQVPPELHASFIAAVDKGNIRSMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLRNLLRPLHDLHDANALCKYLESFYTLRKPMASTINTLAGALYKVFCASPDPASKEMRQACFDYLSLGGVFSDGPISLLSGLNPRPLSLVLHFFAVAIYSVARLLIPFPSPNRLWIGARIISGASAIIFPIIRAEGIRQVFFPVTVPAYYRTPPSNLHD >Vigun03g275500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45158673:45159259:-1 gene:Vigun03g275500.v1.2 transcript:Vigun03g275500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTHTCVLFVVMVLSLELLGSEGRSLRQSIESPDAKKATSIVRSATKSGIASQSYRIIRSLAGDVEAFRPTTPGHSPGVGH >Vigun05g108100.2.v1.2 pep primary_assembly:ASM411807v1:5:11079513:11081683:1 gene:Vigun05g108100.v1.2 transcript:Vigun05g108100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAPSNEEVYKVPLKVLVDRNENKVLFAEARKDFVDVLKLGIRDMDAIEEQTLDISKREVVDLLKLSFISKNPLTDFVFKKEPRVDDFNPINQSWLERGDESSDESKGRKIVVRALVRKSNAKIVLVDGEEDFADFLFSFLTLPLGRVLHMLEGSSSLSCIDKLSKSISELSPDRYLRSHRVKVELATPKCASLFSVSDQILPIGEVSLPVYYCNTFFDGREYRFSLSTSARPRSYGYDENARLEIMNPKSCIGDSRSGKGFVKGPSTFMVTDDLVVTPMSSISTISYLNRSKIPLSDLEERVISVGVKEGLAILKASLTSTSALTQGLQQFTKIKSLRWRFDKLFPSCRCYKICSYQL >Vigun05g108100.1.v1.2 pep primary_assembly:ASM411807v1:5:11079671:11081242:1 gene:Vigun05g108100.v1.2 transcript:Vigun05g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAPSNEEVYKVPLKVLVDRNENKVLFAEARKDFVDVLVSFLALPLGTITRLVGKESNIPPLKIGSLSSLYEGVSHLEEEHLWTQKCKEMLLHPRTSMESYCQPLKLNIDDTHPTEYFLCEDLGCSRKTNGSLLSIFSNQRCSCGKLMNRIVSPENITLENGFVKETASFIICDDLSVLPNVLVTNVNLLQKLGIRDMDAIEEQTLDISKREVVDLLKLSFISKNPLTDFVFKKEPRVDDFNPINQSWLERGDESSDESKGRKIVVRALVRKSNAKIVLVDGEEDFADFLFSFLTLPLGRVLHMLEGSSSLSCIDKLSKSISELSPDRYLRSHRVKVELATPKCASLFSVSDQILPIGEVSLPVYYCNTFFDGREYRFSLSTSARPRSYGYDENARLEIMNPKSCIGDSRSGKGFVKGPSTFMVTDDLVVTPMSSISTISYLNRSKIPLSDLEERVISVGVKEVRHVLSY >Vigun04g042900.3.v1.2 pep primary_assembly:ASM411807v1:4:3706085:3717401:1 gene:Vigun04g042900.v1.2 transcript:Vigun04g042900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSSVIYDVFLSFRGEDTRHGFTGNLYKALCDKGIHTFIDDEDLQSGEEITPALVKAIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVIPVFYKVNPSVVREHKGSYGDALSEHERRFEDLEKVLKWRMALSQVAEFSGYHVEDGVGYDYKFIKRIVEEVYHRIIHASFVHIAEAFQMIQTMGMGGVGKSTLARAVYNDLIREMITSFGSHVSLKGLEPTKELAKLTVLNVEQCEVLTEIPDLSNLPNLEKLSLKELRIFPLRNLTPIKSLQLSNCSILENFPRLTTDFAGSMFPTTIIYSFQGK >Vigun04g042900.2.v1.2 pep primary_assembly:ASM411807v1:4:3706041:3717401:1 gene:Vigun04g042900.v1.2 transcript:Vigun04g042900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSSVIYDVFLSFRGEDTRHGFTGNLYKALCDKGIHTFIDDEDLQSGEEITPALVKAIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVIPVFYKVNPSVVREHKGSYGDALSEHERRFEDLEKVLKWRMALSQVAEFSGYHVEDGVGYDYKFIKRIVEEVYHRIIHASFVHIAEAFQMIQTMGMGGVGKSTLARAVYNDLIREMITSFGSHVSLKGLEPTKVKDIPHAHYDRSNCLPFTFDIFWKELAKLTVLNVEQCEVLTEIPDLSNLPNLEKLSLKDRLRIFPLRNLTPIKSLQLSNCSILENFPRLTTDFAGSMFPTTIIYSFQGK >Vigun07g006200.1.v1.2 pep primary_assembly:ASM411807v1:7:498259:502421:1 gene:Vigun07g006200.v1.2 transcript:Vigun07g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNVFLCNGRIKKFPAQKFQNSKLQCFSRLPFYSFSSIHPFTVQISWFSLSLTVTTEQINQFVIDLFHTDMATRRGSGDDGAAVRPRPISASRRFPSPSLLKENSNPRRSTSRSNPSLKTLPRGRSSSPSDLSRASAQKPTRDPTPKLSTQKSKLSTSNPQKLKPSTTTTSQEPNHGSSNNSNAKYPSRLHEKLAFLEGKVKRIASDIKKTKEMLDMNNPDASKVILSDIQDKISGIEKAMVHVVSNKDSEGDTLEEDKKLVQGPRLKNEELEARLFPHQKLLRERTVVAESGKDKHYLEQEKVLSPVDDNSVAVEFLAFIDKVNAGEEVKEKGGGNGGCSDPRNGIDTMLTANEKLEEFDEQENKEGAVVEEVDEAFNFRLNEIGNKVACGGWFVAEGEAVLLTHHDGSCSYYDIANCEEKAVYMPPAEVSPNIWRDCWVIRAPGSDGCAGRFVVAASAGNTMDSGFCSWDFYTREVRALQVDSGTTSSRIALRPLPNNVVQRRNSTSGIVAAEAKQSWYRPCGPLIISTASSQKAVKVFDVRDGEQIMKWDVEKPVLAMDYCSPLQWSNRGKIVVAEAESISLWDVNSLTPQALFSIPLDGRKVSALHVSNTDAELGGGVRKRMSSSEAEGNDGVFCTSDSINVMDFRQKSGVGLRISKVGVNVQSVFSRGDSVFIGCSNSSSMGKKQSTMLQQFSLRRQGLFSTYALPESNAHSHHAAISQVWGNSDFAMGVCGQGLFVFDAVKDDALRGLNTDHSSAQSFREVIGPDDMYCPSFDYLGSRALLISRDRPAMWRHLIV >Vigun03g157000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16892827:16896683:1 gene:Vigun03g157000.v1.2 transcript:Vigun03g157000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPSSLLKVEEIQRRGRGMVASQPLKAGQIVLKDSPILLYSALPLIRQSLSSSSSASTSCFCDHCFKTLPPFLQGDSSSSTVLCPNCRYHRFCSSSCLSKALNSSHSSWVCQALSSLRGNSLLLEQPLERQVQANFLIAAYNVANISPSDFQILLSLQGSPDDTTIAAAKFLHPLISSLCSLSLISPQNGFSLELTSALLVKDKLNAFGIMQPFSADDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDSNPHEGSHNTDFIIRMIHDVPQGREICLSYFPVNENYSGRQKRLIEDYGFSCNCDRCNVESNWSDNDSVEDNAEDEEEVMDEDQYEVMAASDNDKHALEDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPQGDTPSNVMECNVCGKLKSDDDADEGLMED >Vigun03g157000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16892553:16896741:1 gene:Vigun03g157000.v1.2 transcript:Vigun03g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPSSLLKVEEIQRRGRGMVASQPLKAGQIVLKDSPILLYSALPLIRQSLSSSSSASTSCFCDHCFKTLPPFLQGDSSSSTVLCPNCRYHRFCSSSCLSKALNSSHSSWVCQALSSLRGNSLLLEQPLERQVQANFLIAAYNVANISPSDFQILLSLQGSPDDTTIAAAKFLHPLISSLCSLSLISPQNGFSLELTSALLVKDKLNAFGIMQPFSADDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDSNPHEGSHNTDFIIRMIHDVPQGREICLSYFPVNENYSGRQKRLIEDYGFSCNCDRCNVESNWSDNDSVEDNAEDEEEVMDEDQYEVMAASDNDKHALEDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPQGDTPSNVMECNVCGKLKSDDDADEGLMED >Vigun03g157000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16892827:16896682:1 gene:Vigun03g157000.v1.2 transcript:Vigun03g157000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPSSLLKVEEIQRRGRGMVASQPLKAGQIVLKDSPILLYSALPLIRQSLSSSSSASTSCFCDHCFKTLPPFLQGDSSSSTVLCPNCRYHRFCSSSCLSKALNSSHSSWVCQALSSLRGNSLLLEQPLERQVQANFLIAAYNVANISPSDFQILLSLQGSPDDTTIAAAKFLHPLISSLCSLSLISPQNGFSLELTSALLVKDKLNAFGIMQPFSADDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDSNPHEGSHNTDFIIRMIHDVPQGREICLSYFPVNENYSGRQKRLIEDYGFSCNCDRCNVESNWSDNDSVEDNAEDEEEVMDEDQYEVMAASDNDKHALEDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPQGDTPSNVMECNVCGKLKSDDDADEGLMED >Vigun03g157000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16892702:16896609:1 gene:Vigun03g157000.v1.2 transcript:Vigun03g157000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPSSLLKVEEIQRRGRGMVASQPLKAGQIVLKDSPILLYSALPLIRQSLSSSSSASTSCFCDHCFKTLPPFLQGDSSSSTVLCPNCRYHRFCSSSCLSKALNSSHSSWVCQALSSLRGNSLLLEQPLERQVQANFLIAAYNVANISPSDFQILLSLQGSPDDTTIAAAKFLHPLISSLCSLSLISPQNGFSLELTSALLVKDKLNAFGIMQPFSADDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDSNPHEGSHNTDFIIRMIHDVPQGREICLSYFPVNENYSGRQKRLIEDYGFSCNCDRCNVESNWSDNDSVEDNAEDEEEVMDEDQYEVMAASDNDKHALEDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPQGDTPSNVMECNVCGKLKSDDDADEGLMED >Vigun03g157000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16892553:16896765:1 gene:Vigun03g157000.v1.2 transcript:Vigun03g157000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPSSLLKVEEIQRRGRGMVASQPLKAGQIVLKDSPILLYSALPLIRQSLSSSSSASTSCFCDHCFKTLPPFLQGDSSSSTVLCPNCRYHRFCSSSCLSKALNSSHSSWVCQALSSLRGNSLLLEQPLERQVQANFLIAAYNVANISPSDFQILLSLQGSPDDTTIAAAKFLHPLISSLCSLSLISPQNGFSLELTSALLVKDKLNAFGIMQPFSADDDQRSVRAYGIYPYASFFNHDCLPNACRFDYVDSNPHEGSHNTDFIIRMIHDVPQGREICLSYFPVNENYSGRQKRLIEDYGFSCNCDRCNVESNWSDNDSVEDNAEDEEEVMDEDQYEVMAASDNDKHALEDNNDFPHAYFFLKYMCDRTNCWGTLAPLPPQGDTPSNVMECNVCGKLKSDDDADEGLMED >VigunL082732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:47320:47841:-1 gene:VigunL082732.v1.2 transcript:VigunL082732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFTLMSRRGTTEEKTAKFDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARHVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun11g056500.1.v1.2 pep primary_assembly:ASM411807v1:11:11116452:11124371:1 gene:Vigun11g056500.v1.2 transcript:Vigun11g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASDA >Vigun08g180300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35009783:35012716:-1 gene:Vigun08g180300.v1.2 transcript:Vigun08g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLPIKHVTKHRLRSKSLVSSIINPCSSHAACASLAASPSPSTPSTSNSVLVTKKDVASSFKTWFATRKFQLDPLVNRIYQLLTTSADDDDLSAALSALSLPLSECLVLRVLRYTATHRDILSCLKFFDWAGRQPNFHHTRITFVAIFHILVRANHKPIVLDFLDAFRRRSFLHNVRFHDILVVGYAIAGKPQNALHAYAKMRFIGLDLDSFAYHVLLGSLVEKNYLNAFDIILRQIRARGFENHATNIVVLKHLCKQRRLEEAEGFLNDLMCRGEGLKGPEVSFLVGALCESFRFERAVELVKQFGSSGLVPLDHAYGAWVKGLVQGGRVDEALEFFSQKKDSEGYCMSTFRYNILIYRLLRENRLHQVHDLLMDMNESCIPPDAVTMNAVLCFFCKVGMADVARELYNSRSEFGLSLNHLACKYLILTLCWDGGVVEAYNVLRSLVDKSYFPDAQTLRTLGSALCRECKIDEMKELMNLSVGRNIVPPASMYDQFISALCQAGRVEDGYLVHGELKTVAARASYVKMIKGFVKLGRGDIAARLLVEMKMKGHKLNYPLCTAVICCLLNMDNSRGRFFNLLEMLTRCELPRHAYNFFIEGAGRALKPDLCREVFELMQRNGVEPNLLSRVFVLRGYLLSGRLSDALSFFNVVRSQGLARKTLYTTLVVGLCKSNRIDMSLGFFLSMLRVGLNPSLECYELLVQKLCSLQRYQEAIRIVKAYEEMGRPISSFIGNVLLQHSLISPKLYDTCVYLRGVEEEGFSGNSTLNLVIGAFSGCLRVTHYISDLERLIETCFPPDIFTYNLLLKELSKSDMDKARLLFARICQKGYEPNGWTYDIMVRGFLNHGRKDEAKRWSEEMFRTGLS >Vigun06g004600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:2366216:2367635:1 gene:Vigun06g004600.v1.2 transcript:Vigun06g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTMSGPNDWLQFYNQNPAPSIPDFTVTTTSTAATVPTTSDFPNTSPSTSTHLGPEGRVGKPTRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPNAPFAPSPSAAPQVLPNLLGFGFPSRPIPSLSPTPLVMSSSPPLSYHHLQQGQHHQQQQNMFQQQNQHYNLYSDGGSQGEDHNSNSNMFFQRLSNNPVSPPASNVIVSNNNRDGVVNLDQGRFFPNTSS >Vigun09g098950.1.v1.2 pep primary_assembly:ASM411807v1:9:15733548:15735120:-1 gene:Vigun09g098950.v1.2 transcript:Vigun09g098950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGAGSNICSQPYPTSRDWKGHRKSEQKKKRELHNKKGKIHEKEEDFSLQRRMKGETEQRGDFINKRGEGSVSWLEEKEAVSSLSFISKVPPDVY >Vigun05g076800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7047977:7050068:1 gene:Vigun05g076800.v1.2 transcript:Vigun05g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSTYFLNHAKKALFSPAPTQPTACNSFLHHHLSTLVSCINTRFHALTFIHLLCFNQKIVVLVDSLNYQHATANKESFSPITRFLYLSWKAQHLLKRNNSHHHHSPAQTKKQQPKVYSPPPTHTTSKHPKSTHGESTKRQKENKVKRKKEWKPRRGLLLL >Vigun09g178100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35047017:35048747:-1 gene:Vigun09g178100.v1.2 transcript:Vigun09g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCHPSAESTNTSITTSSGSNTRDQYLRHLNKLSQKISKPIIKKPTFDHPHPQPQPQPQPQSQPQPQAQPQNPNPQPQAQQNLQHQPPVYNINKNEFRDVVQRLTGSPAHDRISTPPPIQQPKPPSSRLQRIRPPPLPQITNRPPPLLNPTTLPRPQLPIVNSNSVPFNNFSVFARPPAPLSPLPPFPTVHAPAESPISAYMRDLQNLVGSNPKHFSGFSPLPPQLHPQQEQQQQQQQQSQQSQQQAMVPLQASFQMPSSPVPFGCLNSQLASYPLLSPGLLFSPTSGQLAFPPLPLSPTVPVPSPRWRGI >VigunL033600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:254681:256177:1 gene:VigunL033600.v1.2 transcript:VigunL033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMQVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVGNIDEATAKATNLETESNLKK >Vigun04g159900.1.v1.2 pep primary_assembly:ASM411807v1:4:38225442:38226259:1 gene:Vigun04g159900.v1.2 transcript:Vigun04g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKSVLGCQLAVLVLLVVGNVSLMARAQRNACTTELSNLNVCAPFVVPGASNTRPSATCCNALQAVDRGCLCNTLRIASQLPSQCRLPTLTCGAN >Vigun10g103000.1.v1.2 pep primary_assembly:ASM411807v1:10:29525830:29527515:1 gene:Vigun10g103000.v1.2 transcript:Vigun10g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRADEISKIIRERIEQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLLIQEGSSVKATGRIAQIPVSEAYLSRVINALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAVGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGATLAEYFMYREPQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGEAEALLKDAIKEQMELFLFQEQVEKN >Vigun10g054050.1.v1.2 pep primary_assembly:ASM411807v1:10:9033529:9036483:-1 gene:Vigun10g054050.v1.2 transcript:Vigun10g054050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEEVKQEKVISLRPLNMQDFKEARSQESREPNISYMTLGVHEYVLFFAWLLLGKEVKSKKRKMFAEEVMNFVEPQGIWSKKCL >Vigun04g113550.1.v1.2 pep primary_assembly:ASM411807v1:4:28488668:28490262:1 gene:Vigun04g113550.v1.2 transcript:Vigun04g113550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNEIEVGPGKVTVKTLLDIDFRKGHIGIKSFDVEVVDEDGNFFAVKYIENITMSQYIKETQDLHNGIEYERNDGACQGESRGTSSNLPDPFAVEVGNPRKIKNGFKEKWLFSIMVIDTRGTHDRKGCTECRCKLLNIPKDFYNVTTGINGQLLSRNYRGLFCCEDNLQCKLRNGFRGLTRKLSLRYKIRWVDWDEHQVPLKFYILDSTDRVTLNGSTLIHDCQYTIPRNYDIDSPHVKKANIPMTKGGYLIYGTAHMHTGVVNDGRVLCTSSPKYGTGKEAGNKNGYLAGMSVCYPKPESIYENKFRTGTMGHFYIYLAEQIPNKDLKF >Vigun09g173000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34315282:34318446:-1 gene:Vigun09g173000.v1.2 transcript:Vigun09g173000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKYLSHITSMFYSLILLRKAKLAFPKRTPERRRTGEAGLKTLFHSELKSPTRVVHRCTPEEAVESEPSSPAPPTISRMWGPASWAFRTTLMGDVIGTESGDCMITYVEEWRVEPDSPIVMMRCRERIEKRKRQLPPPLTLMREMGEMPWAFTRECNGDGRLIITAERVSRGHDGHEHCIMEVRTEGERVTMRLVPEDDDCCELCGYPIVDDDDGEMQFNDGFEIEESLRKSLEFEEELAKKVSRESERCGDLRDCVSLNFYASGPDWLLQPHLSDSFPDIYLGRPASAPIRPMIPSRRLCGQFLSGAAAFVEKPCEAANRSLTFV >Vigun09g173000.1.v1.2 pep primary_assembly:ASM411807v1:9:34315282:34318446:-1 gene:Vigun09g173000.v1.2 transcript:Vigun09g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGYLREDEVTRQHSLTAQYQRNSGRHICVEMLSKYLSHITSMFYSLILLRKAKLAFPKRTPERRRTGEAGLKTLFHSELKSPTRVVHRCTPEEAVESEPSSPAPPTISRMWGPASWAFRTTLMGDVIGTESGDCMITYVEEWRVEPDSPIVMMRCRERIEKRKRQLPPPLTLMREMGEMPWAFTRECNGDGRLIITAERVSRGHDGHEHCIMEVRTEGERVTMRLVPEDDDCCELCGYPIVDDDDGEMQFNDGFEIEESLRKSLEFEEELAKKVSRESERCGDLRDCVSLNFYASGPDWLLQPHLSDSFPDIYLGRPASAPIRPMIPSRRLCGQFLSGAAAFVEKPCEAANRSLTFV >Vigun09g173000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34315282:34318270:-1 gene:Vigun09g173000.v1.2 transcript:Vigun09g173000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKYLSHITSMFYSLILLRKAKLAFPKRTPERRRTGEAGLKTLFHSELKSPTRVVHRCTPEEAVESEPSSPAPPTISRMWGPASWAFRTTLMGDVIGTESGDCMITYVEEWRVEPDSPIVMMRCRERIEKRKRQLPPPLTLMREMGEMPWAFTRECNGDGRLIITAERVSRGHDGHEHCIMEVRTEGERVTMRLVPEDDDCCELCGYPIVDDDDGEMQFNDGFEIEESLRKSLEFEEELAKKVSRESERCGDLRDCVSLNFYASGPDWLLQPHLSDSFPDIYLGRPASAPIRPMIPSRRLCGQFLSGAAAFVEKPCEAANRSLTFV >Vigun01g048100.2.v1.2 pep primary_assembly:ASM411807v1:1:7203567:7207547:1 gene:Vigun01g048100.v1.2 transcript:Vigun01g048100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGQDLPIKSAQVTESTDFNELPEKEPWLLSSKLVVKPDMLFGKRGKSGLVALNLDLAEVASFVKERLGKEVEMSGCKGPITTFIVEPFIPHKEEFYLNIVSERLGNSISFSECGGIEIEENWDKVKTVFMPTGLSLTSESIAPLVATLPLEIRGEVEEFLKVIYTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSSTEAFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYAPELGNYAEYSGAPKEDEVLQYARVVIDCATSNPDDQKRALVIGGGIANFTDVAATFSGIIRALKEKEQKLKEAKMHIYVRRGGPNYQSGLAKMRALGEEIGIPIEVI >Vigun01g048100.1.v1.2 pep primary_assembly:ASM411807v1:1:7203567:7207547:1 gene:Vigun01g048100.v1.2 transcript:Vigun01g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLSGQDLPIKSAQVTESTDFNELPEKEPWLLSSKLVVKPDMLFGKRGKSGLVALNLDLAEVASFVKERLGKEVEMSGCKGPITTFIVEPFIPHKEEFYLNIVSERLGNSISFSECGGIEIEENWDKVKTVFMPTGLSLTSESIAPLVATLPLEIRGEVEEFLKVIYTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSSTEAFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYAPELGNYAEYSGAPKEDEVLQYARVVIDCATSNPDDQKRALVIGGGIANFTDVAATFSGIIRALKEKEQKLKEAKMHIYVRRGGPNYQSGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIQYITAAA >Vigun08g037600.1.v1.2 pep primary_assembly:ASM411807v1:8:3607978:3613212:-1 gene:Vigun08g037600.v1.2 transcript:Vigun08g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKENPSENRSKASKFSDQNQPPKPQTTKGTNPNNNGSRTRLWGAHIVKGFSADKKAKPFATKKQTVTSSTATSDNVVVATQKSNGSNPFVPSHSRVKRSLIGDLSCSINPAQVHPHAFPTHRRQSSTDLFTELDHMRGLLQESKERECKLNAELAECRKRVSEVDEIVKRVGLLEQEKATLTEQLGALSCEEVKGEVEQHKEVKVQNLELEVVELRRLNKELQMQKRNLTCRLSSLEAQSGEPNKSSESDIVAKIKAEASLLRVTNEDLCKQVEGLQISRLNEVEELAYLRWVNSCLRNELKNTCSALDSDKPSSPHTVVSSSGDCVSSFSDQANRYLNCGSANRFNMMKKPKKWPITSQEEFQGSLIEKTCIESDVAGGSPRRRHSISGSNYSEEEVVLSKRRQSDCFVCSKEMEKESVSLSFQQSGVEISNGNCQEANKLLVSSDVEKRALRIPNPPPRPSSSISNKIKQESSAQVLLPPPPPPPPPPPMNFASKSNTATVKRAPQVVELYHSLMKRDSRKDSSNGGLSDAPDVADVRSSMIGEIENRSSHLLAIKADIETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFGYQDLKKLESEVYSYKDDPRLPCDIALKKMVALSEKMERTVHNLLRTRDSLMRHCKEFQIPIEWMLDNGTIGKIKLSSVKLAKKYMKRVAMELQVKSALEKDPAMDYMLLQGVRFAFRIHQFAGGFDAETMHAFEELRNLASLLNKT >Vigun05g136000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16269215:16273503:1 gene:Vigun05g136000.v1.2 transcript:Vigun05g136000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSALHQGIKPAGVAIVLQCTHIHFPDIESVFLDSNHEGWVKILVSSGSGDFENKSADVWNDFFSLLKFRGINMDKIQFRGSSDPCWCPSQSSLSAKVSSKIGPVNPTMISAVASIIESLGEDPLRNELIGTPSRFVKWLMNFQNSKFDVKLNGFLRGGIDALNVNEEINLNKKITSELNIPFWSQCEHHLLPFHGVVHIGYLMSDGFNPLGKSLLQSIVHFYGFKLQVQERLTRQIAETISPLLGGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDPSARASFLQSIPSPTSTGEQ >Vigun05g136000.1.v1.2 pep primary_assembly:ASM411807v1:5:16269215:16273503:1 gene:Vigun05g136000.v1.2 transcript:Vigun05g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDDGRFAVEIENGVSNGCGKVGFGNDGGAIEEAVKVLLEGLGEDVNREGLRKTPLRVAKALSEGTRGYRQKVKDIVQGALFPEAGLDNRVGHAGGAGGLVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGERVVGLSKLSRVADVFAKRLQEPQRLADEMCSALHQGIKPAGVAIVLQCTHIHFPDIESVFLDSNHEGWVKILVSSGSGDFENKSADVWNDFFSLLKFRGINMDKIQFRGSSDPCWCPSQSSLSAKVSSKIGPVNPTMISAVASIIESLGEDPLRNELIGTPSRFVKWLMNFQNSKFDVKLNGFLRGGIDALNVNEEINLNKKITSELNIPFWSQCEHHLLPFHGVVHIGYLMSDGFNPLGKSLLQSIVHFYGFKLQVQERLTRQIAETISPLLGGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDPSARASFLQSIPSPTSTGEQ >Vigun05g136000.2.v1.2 pep primary_assembly:ASM411807v1:5:16269215:16273503:1 gene:Vigun05g136000.v1.2 transcript:Vigun05g136000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKCHVGYVPSGERVVGLSKLSRVADVFAKRLQEPQRLADEMCSALHQGIKPAGVAIVLQCTHIHFPDIESVFLDSNHEGWVKILVSSGSGDFENKSADVWNDFFSLLKFRGINMDKIQFRGSSDPCWCPSQSSLSAKVSSKIGPVNPTMISAVASIIESLGEDPLRNELIGTPSRFVKWLMNFQNSKFDVKLNGFLRGGIDALNVNEEINLNKKITSELNIPFWSQCEHHLLPFHGVVHIGYLMSDGFNPLGKSLLQSIVHFYGFKLQVQERLTRQIAETISPLLGGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDPSARASFLQSIPSPTSTGEQ >Vigun10g169800.1.v1.2 pep primary_assembly:ASM411807v1:10:38903694:38907734:1 gene:Vigun10g169800.v1.2 transcript:Vigun10g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVEKMDAIVERRKLVKGKVVLIRKSVVQTMSTVNGLFATGKNIIHSSLQFDITKSVSFKLISRTKSYNLLNVAGKVGKETYLENNVSVLRTLGERPEEFDIYFEWDDKDMGIPGAFYVKNLMDDEFLLVSVTLEYPPQTSVTHEHNQKNIHDQNHILDQNLILDQNLILDHIHDQKHIHFDCNSWVHNHKCYKTDRIFFANIPYLPGKSPVQLRVYREEELKNLRGDGTGKREKWDRIYDYDVYNDLGFLDSDAQQDHPILGGLKYPYPRRVRTGRNLIHNKKNDGSYEEPGESYYVPRDENFSQEKVKEFLQLGTKTIAGRIEPLLLSLYLKNTSNEFNGIEELQKMYEGGVDLPLSITANGTPSVIAFPPPHLIKESKFAWMTDEEFAREMIAGVNPAVIRLLKKEELAWPRKIVCSCIQPSTVTKETLEINMDGVKLDEAFADRRLFILDYSDVFMPYLSKINNLPYAKAYATRTFLFLKDDGTLKPLAIQLSKPLRCGCGSSNTESTVVLPADKGVESTIWQLAKAHVTVNDTNYHQLISHWLNTHAVIEPFAIATHRNLSVLHPIYKLLHPHFRDTININSLARKSLINAGSIIEQTFLAGPYSMEMSSAVYKNWVFTDQALPKDLIKRGLAVEDITAPHGLSLAIEDYPYAVDGLEIWSATKKWVQGYVSLYYSEEGAVEGDRELQAWWKEVVEKGHGDLKGQWPKMQSPKDVVETCTTIIWIASALHAAVNFGQYPYGGYIMNRPTQSRRWIPKPGTQEYEEMTNNPHEAFLKTITPKYQTVMDLTVMELLSTHASDEVYLGQRNSLNWTADQHAKQLFAEFTENLGKIEKEISDRNNNQELRNRTGPIKLPYTLLLPTSEPGMTFRGIPNSVSI >Vigun03g052700.1.v1.2 pep primary_assembly:ASM411807v1:3:4324286:4327192:1 gene:Vigun03g052700.v1.2 transcript:Vigun03g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPNPTEHHQNGVASSRQEHEETLLALIEHRTRDVKHISYCISYYTSQLEEAEKSLLESKSKLARLRGLTGASSSLVDTEHVKIERESKIGSFHKSDGSSKNHLRSKLRLVRVGSKFSHSVPLAPSSFARSTVKSDNTQRIPAEQESIEVQGRGCKRRFFEQKEAEEVIPLICKSSSPESVLYQASNHFSSQHKRRVRCIAVCPVNDHQFVTSALDGVVNLWEIHSSQSGACLLRSTNCISPQQRWPEDIVWHPDGNTLLSVYSADGRDSQISVTDFGRVKTDGRANHVSFLEDKPHVTGVINSIAFLPWEENTSFVTGGTDHAVVLWRENGHNKWKPEALHRNLHSSAVMGVSGMQQKQLVLSVGKDKRILGYDANVGRKDFMHQVDSKCLSVLPNPCDFNLFMVQTGTPERQLRLFDMRSRRSEVHGFGWKQESSESQSALINQDWSPNGVYITSGSADPMIHIFDIRYNGHKPSQSIEAHQKRVFRAMWLRSLPLLLSISSDLHIGLHKIV >Vigun09g215500.2.v1.2 pep primary_assembly:ASM411807v1:9:39005881:39008725:1 gene:Vigun09g215500.v1.2 transcript:Vigun09g215500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQKHLFLKSPNLNLCPVAHSHSLHRRLRIADILLADQDHDGVDCMDAFRETPSKKCFSPRRLVESLLAPLRLGKGVRLQQHRQNGTGDLDAPPSATNDLKGSDDCTGQLHESDTSFKIGVGCGLLYLLAASKNELGKMVELRKEMEVLLQNMKGELQSKDVLLKPLKQNDALAFSITDIQEVSSSDSQISIHSQTQYVQPESKRNMVPNHFLECNISEQGECAEEINDLQAEFEIELQRLQLYLDGEAEFEDAKHEGVKVTVKDCSSKSSHSSSFGEIIMEPIGADYDVSFGVSPIELERRLHELLEARLEERITELESALECTTEKLIKKEIEATWWKDTARLVSQHVPETSRFTFPLDPEIAVKLSKFVG >Vigun09g215500.1.v1.2 pep primary_assembly:ASM411807v1:9:39005881:39008725:1 gene:Vigun09g215500.v1.2 transcript:Vigun09g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQKHLFLKSPNLNLCPVAHSHSLHRRLRIADILLADQDHDGVDCMDAFRETPSKKCFSPRRLVESLLAPLRLGKGVRLQQHRQNGTGDLDAPPSATNDLKGSDDCTAGQLHESDTSFKIGVGCGLLYLLAASKNELGKMVELRKEMEVLLQNMKGELQSKDVLLKPLKQNDALAFSITDIQEVSSSDSQISIHSQTQYVQPESKRNMVPNHFLECNISEQGECAEEINDLQAEFEIELQRLQLYLDGEAEFEDAKHEGVKVTVKDCSSKSSHSSSFGEIIMEPIGADYDVSFGVSPIELERRLHELLEARLEERITELESALECTTEKLIKKEIEATWWKDTARLVSQHVPETSRFTFPLDPEIAVKLSKFVG >Vigun01g041200.1.v1.2 pep primary_assembly:ASM411807v1:1:5749475:5751644:-1 gene:Vigun01g041200.v1.2 transcript:Vigun01g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKPRTVSRNPELIRGIGKYSRSQMYHKRGIWAIKAKNGGVFPRHAPTPKPDAPAQKPPKFYPADDVKRPLVNKHKPKPAKLRASVTPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVNVDKFDDKYFSKDAKKKKTKGEGEFFEAEKEEKNVLPQEKKDDQKTVDAALLKAIESVPDLKSYLGARFSLKAGMKPHELVF >Vigun02g060500.2.v1.2 pep primary_assembly:ASM411807v1:2:20591266:20595286:1 gene:Vigun02g060500.v1.2 transcript:Vigun02g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDFWNRLCKGLQARKVSLNDISQKKGMELRLVNGIAYSESWFSRWGYKFGRGSYGVTQSMYQKAIEAIRSMPLYLITHHITNSNHAIPLIFSKYQTLSDQTLVTLGDLFSYMLDLKSRLPPETCIASYSTTALVETNCRWSPKRIEMATRVIVEALKKTEFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHVVGNYLVRRSLNPVTKVLEYCLEDISKVYPCNESVVMSNKVKDKYKITRTQVMKDMLFLYKYILIDPKPIMGSEFLSAIPLAARIILDTKYFIRDYWEDFSLQVEVGSEEKLNLYCTILVRNDGDGDVYLNKAFMPPYECVILKRNSTVNELKVEVERRFREIYWGLKSFVVESVQNLSVNDGTEMVFGVTKVGEKIVVEGRDSNMRENMIEQMCERDPNSGAVDCSCGTIEDDGERMVSCDICEILQHTRCVRIPNDEEIPHIFLCKKCEQEIVLLPSLP >Vigun02g060500.1.v1.2 pep primary_assembly:ASM411807v1:2:20591266:20595286:1 gene:Vigun02g060500.v1.2 transcript:Vigun02g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVDVNGNNKRKRCGRVFRFKNFAEPGYPVMFGGPFRENVNSLLEYANLETHLSMPMWSFQLEVHHHPPLHILLFVIEEPTQALLNRHCKHCQYVGWGNHLICNKKYHFVLPSKEALGSCRNCEGCCEGSATVNNGKSKLIELQGHMMHGVFHSNGFGHLLCVNGLEMGSNLAGNQIMDFWNRLCKGLQARKVSLNDISQKKGMELRLVNGIAYSESWFSRWGYKFGRGSYGVTQSMYQKAIEAIRSMPLYLITHHITNSNHAIPLIFSKYQTLSDQTLVTLGDLFSYMLDLKSRLPPETCIASYSTTALVETNCRWSPKRIEMATRVIVEALKKTEFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHVVGNYLVRRSLNPVTKVLEYCLEDISKVYPCNESVVMSNKVKDKYKITRTQVMKDMLFLYKYILIDPKPIMGSEFLSAIPLAARIILDTKYFIRDYWEDFSLQVEVGSEEKLNLYCTILVRNDGDGDVYLNKAFMPPYECVILKRNSTVNELKVEVERRFREIYWGLKSFVVESVQNLSVNDGTEMVFGVTKVGEKIVVEGRDSNMRENMIEQMCERDPNSGAVDCSCGTIEDDGERMVSCDICEILQHTRCVRIPNDEEIPHIFLCKKCEQEIVLLPSLP >Vigun03g214600.1.v1.2 pep primary_assembly:ASM411807v1:3:35642676:35643940:-1 gene:Vigun03g214600.v1.2 transcript:Vigun03g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFNKAKAVKLRSHLGKYLVADDDHQKLRQSRNGSTGKAIWLVEEVKGKSHHVRLRNWNGRYLTATDAPFLLGVTGKKVVQGSLEEGWDGKLEWEPITEGFQVRLRSWCGKYLRGNGGTLPWRNSITHDDPYSSVTHDWILWGVEPLEFPDKLLSLPETRFSSEEPASPTSLSSLSQVATTCLD >Vigun06g175900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29612992:29613745:1 gene:Vigun06g175900.v1.2 transcript:Vigun06g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAQMVSEKPVVIFSRSSCCMCHTIKTLFSDFGVNPNVHELDEIPRGKDIEQALLRLGCNPSVPAVFIDGKLVGGTNEVMSLHLNRTLIPMLRNAGALWV >Vigun06g100900.1.v1.2 pep primary_assembly:ASM411807v1:6:23095284:23100793:-1 gene:Vigun06g100900.v1.2 transcript:Vigun06g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELHSQDNAPVVADSPRVSRDQKFGYSSTEEFRVRVSSDGNASSTIDRLTEENDGDRFPGSNSGSLLSEFDEYVAAERHVSRDLGLGFEVGDMVWGKVKSHPWWPGHVYNEAFASPSVRRLKREGHVLVAFFGDSSYGWFEPVELIPFDANFAEKSQQTNSRTFLRAVEEAVDEACRRRGLGLSCRCRNADNFRPINVEGYFCVDVEDYEPGGLYSEGQIRKARDRFKPSETLAFVKQLAISPHDGGRGSIGFINNKATLSAYRKAVFEQFDETYAQAFGVQPVRTTHSPNNKIDQPGIVRHTPRAPLSGPLVIAEALGGENSKSVEFKEALKKDKYLLKRRDDPNNSVQSVYTEDKSNAANSYLFQKRGLAVPLTLHNLEKKEDTGFVSHDVAASTSDAKEDLMGQVQADKCGLTSLSIFSDAKALLNKGKESSDEVTLSFELDNASSKSMVRSDLSGESVVPSTVDDMCQPSDPENKVVYAIHDGNAKLSRQREDFNQTEQGLMINAGGLNNMHQVKSENNVYGSPVEAKHHKISVVKKIKGLKRPADELNSEASAVGQEKKKKKKKTDLNLHPTLGFPERNSTFGKSVSVKSTGKAVSVGLASKDDLTAEQVKVDVNADNSMPMDTIGNSSLALPQLLGDLQALALNPFHGIERKIPGAVQLFFLRFRSLVYRKSLFVSPPTEIETPEIRLTKSPTSLRTSDSPDEYVKASPIVKPVKHVIRPAEPTKAGRKRAPSDRQEEIAAKRLKKIKDIKALASEKAVTNQKTSDARREDGMESFSQAPSKVVKPDTMKKVNTPAKAVEPTMLMIKFPPETTLPSIPELKARFARFGPMDPSGFRQFWNSSTCRVVFLHKADAQAAYKYSFGNQSLFGSAGVRCFLREFGDPAPEVSEAAKGKVDDGGSDIARVKDPPVVHRLASASSMQPLPQPIQLKSCLKKSTGDESGVITGNGSSSKGNSRVKFMLGGEESSKGDQIMVGNRNKFNNASFADAVATDFNSKNVQKMTLQPPLPILPLPTQFSKPPQHNLRNSELAMAPRISPNFINVTASATASTVDISQQMIHLLTRCSDVVTNLSGLLGYVPYHPL >Vigun01g022200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2347178:2347903:-1 gene:Vigun01g022200.v1.2 transcript:Vigun01g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFFSVGFSAIPLILFIPPIRILNLFVETMEDMARELRIYTNRVYPLLHVACTRLISCILCR >Vigun07g031300.1.v1.2 pep primary_assembly:ASM411807v1:7:2899380:2904396:1 gene:Vigun07g031300.v1.2 transcript:Vigun07g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLLFSFAESLLSKLASGAVQEASLALGVHGELQQMQATMALIRGVLLDAEQKNPQSNALGEWLRQIKQVVSDAEDIVDDFECEALRKHVVNTYGGCSRKVRRFFSTSNPLLYRLRMAHQIQDINTRLATLATQRSIFGLQVIDQDTRVVHVREMTHSHVKPSSITGREHDKNEIVKLLVQDCDHESLSVIPIVGMGGLGKTTLAKLVFNDTTIHACFTLRMWVCVSNDFELKSVLIKILNSAPNPTKENFNSFEIERLQNHLRNKLEDQKFLLVLDDMWNEDPARWDELKEIIDLNVKGSKILVTTRSHAVVAAMRTKSSNSYLLKCLSEEDSLSLFVKYAFEVGDEKQHPQLLKVGRKIVKKCGGLPLAVKTLGSSLFSKFDKKEWECIRDNEIWNSKQNEKGILPALKLSYDQLPSYLKPCFASFSLFQQDTHISAVDVSMLWGALGFLPPSKQGESMTDIATQLLRELWTRSFLLDFVDLEGHCYFKLHDLVSDLAVFVGKGEFERIDHSNPKISENVQHLAFEENNFMVKLFPPLISELSFFVMECKSLRILDLQNCEYESLPRCIGKLKHLRFLCLAQNEKLKQLPDSLCKLQNLQTLTLSGCIKLQMLPKGIENLINLRHLAITTAQTTFPEEVIGKLSSLEKLYIIDCDNLESLFEEVKLFTFKTFYLYNCGSLKWVSFHAIRNLEVLVIKDCDNLDLSFGLGSRISNSRLKYVVFSDLPQLVTLPRWLQGCANTLRSLLIKRCMNLKEFPDWLPTLIHVRVLEIAYCPNLLSFPVHHLTNLEDLHITGCPELSKRFHPTIGLDWHKISHIKRVHISNTNEFHDKPNIYEK >Vigun08g121350.1.v1.2 pep primary_assembly:ASM411807v1:8:29000242:29001094:1 gene:Vigun08g121350.v1.2 transcript:Vigun08g121350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDKVKQFLSQEEEYKRRLLYLVGADYFHSHSTRSLEAIVICVVL >Vigun10g006500.1.v1.2 pep primary_assembly:ASM411807v1:10:637055:640491:-1 gene:Vigun10g006500.v1.2 transcript:Vigun10g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYKTCGSALSETEFEMVKHIIDMPELEELRLSECSIYKVPYNLRTVNDDAYTPQWISIGPIHLTKHQLKPMQEHKKRYFHCFWERVSNEQAMKSFKHYLQDKEENIRECYADKFPDIPREKFVDMMLLDAVFIMELLLRNCHWKSERSKHEHDYKQTKSFRVKHSDDLILTQSWLCKNITRDLILLENQIPFFVLQRLYDTVVPSESKKDEHSAGFVDLAIEYFAFYDTQMSSSDETKRVLDKNQSRKNYFSGSLRSSKKYSKSKNKDRCNKSTKHFTDLIRYFYLPTDWGRSNGCALHVLRTATKLQESGVSFEKHLNRRLLDITFEKKPFLSSFLCLGCLPYLNHFKARFRIPQLKVDHTTECVLRNLIAFEQCHYPEKPYICNYVNLIDSLIHTQLDVELLVEKEVIVHELGSDKEVATLVNSLSKHVVANSTCYFETINELNKHYQNVWNRTMAALWLVYFRDPWRASSTLVGVAFIVFAVFQFLRAVRAVFG >Vigun02g076700.4.v1.2 pep primary_assembly:ASM411807v1:2:22899625:22902339:-1 gene:Vigun02g076700.v1.2 transcript:Vigun02g076700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAANSARKTIQRVSSASRTLFSRRSSHPIKLNELPSPPKERSLSFSRLPVQLAGAQLSLTPLHSATASALFTSLLSLHNTNWGCLSEGFATPL >Vigun02g076700.3.v1.2 pep primary_assembly:ASM411807v1:2:22899767:22902339:-1 gene:Vigun02g076700.v1.2 transcript:Vigun02g076700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAANSARKTIQRVSSASRTLFSRRSSHPIKLNELPSPPKERSLSFSRLPVQLAGAQLSLTPLHSATASALFTSLLSLHNTNWGCLSEGFATPL >Vigun02g076700.2.v1.2 pep primary_assembly:ASM411807v1:2:22899656:22902340:-1 gene:Vigun02g076700.v1.2 transcript:Vigun02g076700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAANSARKTIQRVSSASRTLFSRRSSHPIKLNELPSPPKERSLSFSRLPVQLAGAQLSLTPLHSATASALFTSLLSLHNTNWGCLSEGFATPL >VigunL059941.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:37126:37248:-1 gene:VigunL059941.v1.2 transcript:VigunL059941.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun05g280100.1.v1.2 pep primary_assembly:ASM411807v1:5:46952617:46955795:-1 gene:Vigun05g280100.v1.2 transcript:Vigun05g280100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSRNEVSPQLLDLIPNEREWQMNRNEGKSSEERKLELRLGPPGEDWSLGGKMKNANSEREESLLSLGCFPSSNMSHAILSPNNGFQSKASPWPNYHNQVNNKTSSPFLQFPSAPPVMGKDSSQNCCPKVVELQNGCGGGDSKVFSPSSANTAVSQPNTSQKRTAPAPVVGWPPIRSFRKNLASSSTSKPPTESQHEQHNKVAGKKPVDNYGGKGLFVKINMDGVPIGRKVDLNAYDSYENLSSAVDELFRGLLAAQRDSSAGGVHNKQDEEKAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSAFTLGSKQEKISLDSAMK >Vigun05g151600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:23198952:23203662:1 gene:Vigun05g151600.v1.2 transcript:Vigun05g151600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEAPSKVPSGCSSPSPEIDKNELREALCALKNGASENGVGFIGQGNQGLGDGGGVEVVKDRVSETKFSDEMGFAGRERDDSCQGLADSEMNGVSSLLKMRESGRNLMFSHGGESDSTRKLNTEDGSFEVGMEGGRDLTKSESEDNQMGKTVSVDVSIADISENKDVEMEDLGGEGCGGFSIGDFVWGKVKSHPWWPGRIYDPSDASDLALKLRQKNRLLVAYFGDGTFAWCHPSQLKPFEENIEDMVKQSASRAFINAVQEAVNEVGRLLELKMSFTFAVKETEFTRPLAGNSGVKERILIPENGTEKLSDVLIDPAELLSRLKQIAEIISIANVLELEILKARLSAFYLSRGGYRLPMYQAPQPIPGLEDSIEDNNVGSSEGAVEIPVHGPFEEDYSTVPVSPKSGALNPLGISGNRLNHRIKQKSIAEIMGEDKDVNAKNKERDATEKVTVRRMRKGTEDTIVSKPMQKRKELFPNTDKNMAGAENDGYSWGKEISDDGALAQLKKKKKLFGIGKVSSSASKKETDQEGKAKGSSEKGSLPRERKKSKYLSPPFTIPTREQKKGEIETESPKVSGKDQVSEPMTGASDKLLESPVPWKLNGEPFQEKFSEGLTIEHDLPDSSNYPTSKYDENKTIDTTKVQVPLEEVLREVRCAAINPQTPADTNSLERLADFIFIYRNCIYRQGSNYKVYKKLKPGKKRKKPESDIGILGKDQTQSDHISANKDSEPKKRRRKNETTLGLPKEKQSATPKASKKGTDKNAAGAATLFASFEAGSSLPSKSDLITLYSKFGVLNESETAMFSSDYTAQVFFLKASDAEKALSDSLNMNPFGSSKATFRLQYLSSGSKSEKSKSKASSTKKKDKTPAKPSTSLSTGSEASKLNYIKEKLQSLTSILEASDAKSSDIKTKLESEMKGLLEDVNKMVESSS >Vigun05g151600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:23198952:23203662:1 gene:Vigun05g151600.v1.2 transcript:Vigun05g151600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEAPSKVPSGCSSPSPEIDKNELREALCALKNGASENGVGFIGQGNQGLGDGGGVEVVKDRVSETKFSDEMGFAGRERDDSCQGLADSEMNGVSSLLKMRESGRNLMFSHGGESDSTRKLNTEDGSFEVGMEGGRDLTKSESEDNQMGKTVSVDVSIADISENKDVEMEDLGGEGCGGFSIGDFVWGKVKSHPWWPGRIYDPSDASDLALKLRQKNRLLVAYFGDGTFAWCHPSQLKPFEENIEDMVKQSASRAFINAVQEAVNEVGRLLELKMSFTFAVKETEFTRPLAGNSGVKERILIPENGTEKLSDVLIDPAELLSRLKQIAEIISIANVLELEILKARLSAFYLSRGGYRLPMYQAPQPIPGLEDSIEDNNVGSSEGAVEIPVHGPFEEDYSTVPVSPKSGALNPLGISGNRLNHRIKQKSIAEIMGEDKDVNAKNKERDATEKVTVRRMRKGTEDTIVSKPMQKRKELFPNTDKNMAGAENDGYSWGKEISDDGALAQLKKKKKLFGIGKVSSSASKKETDQEGKAKGSSEKGSLPRERKKSKYLSPPFTIPTREQKKGEIETESPKVSGKDQVSEPMTGASDKLLESPVPWKLNGEPFQEKFSEGLTIEHDLPDSSNYPTSKYDENKTIDTTKVQVPLEEVLREVRCAAINPQTPADTNSLERLADFIFIYRNCIYRQGSNYKVYKKLKPGKKRKKPESDIGILGKDQTQSDHISANKDSEPKKRRRKNETTLGLPKEKQSATPKASKKGTDKNAAGAATLFASFEAGSSLPSKSDLITLYSKFGVLNESETAMFSSDYTAQVFFLKASDAEKALSDSLNMNPFGSSKATFRLQYLSSGSKSEKSKSKASSTKKKDKTPAKPSTSLSTGSEASKLNYIKEKLQSLTSILEASDAKSSDIKTKLESEMKGLLEDVNKMVESSS >Vigun05g151600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:23198952:23203662:1 gene:Vigun05g151600.v1.2 transcript:Vigun05g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEAPSKVPSGCSSPSPEIDKNELREALCALKNGASENGVGFIGQGNQGLGDGGGVEVVKDRVSETKFSDEMGFAGRERDDSCQGLADSEMNGVSSLLKMRESGRNLMFSHGGESDSTRKLNTEDGSFEVGMEGGRDLTKSESEDNQMGKTVSVDVSIADISENKDVEMEDLGGEGCGGFSIGDFVWGKVKSHPWWPGRIYDPSDASDLALKLRQKNRLLVAYFGDGTFAWCHPSQLKPFEENIEDMVKQSASRAFINAVQEAVNEVGRLLELKMSFTFAVKETEFTRPLAGNSGVKERILIPENGTEKLSDVLIDPAELLSRLKQIAEIISIANVLELEILKARLSAFYLSRGGYRLPMYQAPQPIPGLEDSIEDNNVGSSEGAVEIPVHGPFEEDYSTVPVSPKSGALNPLGISGNRLNHRIKQKSIAEIMGEDKDVNAKNKERDATEKVTVRRMRKGTEDTIVSKPMQKRKELFPNTDKNMAGAENDGYSWGKEISDDGALAQLKKKKKLFGIGKVSSSASKKETDQEGKAKGSSEKGSLPRERKKSKYLSPPFTIPTREQKKGEIETESPKVSGKDQVSEPMTGASDKLLESPVPWKLNGEPFQEKFSEGLTIEHDLPDSSNYPTSKYDENKTIDTTKVQVPLEEVLREVRCAAINPQTPADTNSLERLADFIFIYRNCIYRQGSNYKVYKKLKPGKKRKKPESDIGILGKDQTQSDHISANKDSEPKKRRRKNETTLGLPKEKQSATPKASKKGTDKNAAGAATLFASFEAGSSLPSKSDLITLYSKFGVLNESETAMFSSDYTAQVFFLKASDAEKALSDSLNMNPFGSSKATFRLQYLSSGSKSEKSKSKASSTKKKDKTPAKPSTSLSTGSEASKLNYIKEKLQSLTSILEASDAKSSDIKTKLESEMKGLLEDVNKMVESSS >Vigun09g094301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13776545:13779677:1 gene:Vigun09g094301.v1.2 transcript:Vigun09g094301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQEQEQFEEPNVGESNLNEQNDIPINSTPRETKSSTPTVNSRKLILDVWNHFKRQKIDGNWKVVCNYCKKKLLGDGRQGTSHLRNHFKTCKLRTTRDIKQEFLKTRKVENETVIIGNYVFNQQTTRHALRKMIILHEYLMSMVDNIGFKEFCCALQPLFKVLSRNSIKNDIMKEYNEEKEKIKLLLSRIQSQVVITTDMWTASNQNKGYMTITTHFIDDLWRLQS >Vigun09g094301.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13776545:13779677:1 gene:Vigun09g094301.v1.2 transcript:Vigun09g094301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQEQEQFEEPNVGESNLNEQNDIPINSTPRETKSSTPTVNSRKLILDVWNHFKRQKIDGNWKVVCNYCKKKLLGDGRQGTSHLRNHFKTCKLRTTRDIKQEFLKTRKVENETVIIGNYVFNQQTTRHALRKMIILHEYLMSMVDNIGFKEFCCALQPLFKVLSRNSIKNDIMKEYNEEKEKIKLLLSRIQSQVVITTDMWTASNQNKGYMTITTHFIDDLWRLQS >Vigun01g204700.1.v1.2 pep primary_assembly:ASM411807v1:1:38062140:38063964:-1 gene:Vigun01g204700.v1.2 transcript:Vigun01g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSLGILIDIVDEEWMRDTLPDDDLPLPPTLVVRTDDTEDSNQETQQVNVDAWHDLALGQE >Vigun02g052800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19480448:19484082:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19481565:19484082:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19481540:19484096:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19480448:19484082:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19481585:19484097:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19480448:19484082:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun02g052800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19481540:19484096:-1 gene:Vigun02g052800.v1.2 transcript:Vigun02g052800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSFASNALTSIRMKRCSKQLSETNAECSDDEVCSNSSRDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVNFPTQQIRIPFFISCPWCHLLSFRIIYKGNLKYPCKNFFLLWMVESLNGDRHKLGSSCGDTQPIWSPKCNLLGSQVSTCNQRRASMSPYSRQVGSDRDVGASGETPYFSLHKSLDFFLRFTSKFPLIVIFLLIALFAVPGSVVILILYLLLTILFAIPSFLVLYFAYPTIQRLIREITS >Vigun03g194400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27209106:27210548:-1 gene:Vigun03g194400.v1.2 transcript:Vigun03g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPKLTHVVLVSSPGLGHLIPAVELAKHFVLLYNFKVTVIAVTSQTSRAETQILDSVLTPSLCHLIDIPSPDITAFVAQNDAVVTRLCVVMREARPAIRSVLSEITPRPSAVIVDLFSTETIPIARELNILGYVFIASHAWMLALLLYSPVLDELVEGQYVDQTEPIKIPGCKPVRPEDVLDPMLDRNDRQYTEYLKLANGVLQSDGVLVNTWEELQREELEVLREGGSLSEALNMKIPIYAVGPLVREPLLETNSSTELLVRWLDQQPSKSVVYVSFGSGGTVSSEQMKELAWGLELSEQRFVWVVRPPTEGVADAAFFTTGSSGIDEVGKYLPEGFVSRTSEVGLLVLEWAQQVTILGHRSIGGFLSHCGWGSTLESVTNGVPLIAWPLYAEQRMNAALVAEGLGLAVRPRVLPTKKVVRREEIAEMVREVIEGVEGVKGNRVRERVKELQKSAMKAVSKGGSSYVALSQLANRIAG >Vigun03g356400.1.v1.2 pep primary_assembly:ASM411807v1:3:55773122:55775056:1 gene:Vigun03g356400.v1.2 transcript:Vigun03g356400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCVFCQIVKRRGRIYVICSGNPKHKQRQGLATFASEVPPPPVSSSETSSCKMVLKPFQILRPSMISATPQRHSLSTLYGWRVGLASIFSMK >Vigun06g150700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27547943:27552232:1 gene:Vigun06g150700.v1.2 transcript:Vigun06g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGVVAPVALLLTLSLLFFPMVSSNNDSLPITLPQSHISPIKFPLYPKTPRPPLIRPPPPPPPTRSPPPPPPRIRRRPPPPPIRRPPPPVRRPPPPPPIRRRPPPPPVRRPPPPVRRPPPPPPVRRPPPPVRRPPPPPPVRRPPPPPPVRRPPPPPLTKPLPPPPPITTPPPPPTSLSPISTPSLSPSTLPPLSPPVSPLLSPFIPPLKSPPLSPPDTSPLLPPVLPPLSPPDLPPSLPPISPSLLSPSTLPPLSPPIYPLLPPLESPPDIPPITPPLLSPSTLPPSSPPISPPLLSPSTSPPSSAPISPLLPPLKSPSDIPPTIPPLLSPSMLPPLSLPISPPLLSPLDSPPLLPSDSPPLLPPSTLPPLSPPTSPPMLSPLESPPISPFNSQPLSPPILPPSLPPLESSPLSPPDLSPSLSPISPPLLSPSMLPPLSPPISLPTLPPLESPPQSPPNSPPLSPPNLSPLLPPISPPLFSPSSILPPFPPPISQPTLAPLELTPLSPPVSPPLSPLLLPPLESSPLSPPDLSPSLSPISPPLLSPSTLPPLSPPISLPSLPPLESPPQSPPNSPPLSPPNLSPLLTPISPPLFSPSDILPPFPPPISQPTLAPLELTPLSPPVSPPLSPLLLPPLESSPLSPPDLSPSLPPTSPPSLSPSTLPPLSPPISLPSLPPLESPPQSPPNSPPLSPPNLSPLLPPISPPLFSPSDILPPFPPPISQPTLAPLELTPLSPPISPPLSPLLLPPLESSPLSPPDLSPSLPHISPPLSPLTLPPLSPPISLPTLPPLESPPQSPPNSPPLSPTNLSPLLPPISPPLFSPSDILPPFPPPISQPALAPLELTPLSPPVSPPLSPLLLPPLESPPLSPPDLSPSLPPISPPLSPSTLPPLSPPISLPTLPPVGSPPQSPPNSPPLLPPNLSPSLPPISPPLFSPSDTLPPLSPSISQPILPPLESTPLSPPVSPPLFSPFESPPISAPDSPSLFPPISPPLSPPLKSPPLSPPNSPPSLPPISPPLLSPSTLPPLLSPDSSPISPPLESPSISPPMSPPSLPPIPPPISPSPPPPLPIMTPPPLPVLPSPPPPPPPITSPPPPPPVSPPPPPPPPQPFTPPPPPPLVSPSPPPPYMSLPPPSPPPPRPVTPPPPPPFISPPPPPYRPVTSPPPPPSISPPPPPRPVTPPPPPPRVSPPPPPLTRPVTPPPPPPQPTRCTTLRICTNLLSSFPGLKGLCCTFVSARSLDEAARCMCSNGKTAYPHMTPSALTSRMRHVMGQCGHNANNFSCN >Vigun09g197000.1.v1.2 pep primary_assembly:ASM411807v1:9:37211978:37215633:1 gene:Vigun09g197000.v1.2 transcript:Vigun09g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIDNEESVSRCKDRKNLMKDAVVARNAFAAGHSGYAVALKNTGAALSDYALGETHDPHPPPPLDPPTVTSGPPPPPPPPIEDSLPPPPPPLPEFSPVPLTRAATMPAGAMHHSSPVPLTIAEEEEEETPKPGKKTAGSLSPEMKTPPPPMPESKGMAWDYFFMVDNMPGASLNAEDDDDDNNDNDDNGDDEGKMENVVEDEVEPKTPEKVQEHVHENIHEEHDEISEAKKHIEHSKTAPAEFRRAIKVVPSVTLMQILGVLDDHFLKASESAQEVTKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFKGVSNGDAGKDDIDSEEYETHATVLDKLLAWEKKLYEEVKQGELMKFEYQRKVAILNKQKKRGASAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNQIRDAQLYPKLVALVIEMANMWEHMCTYHDSQLKIVTDLKSLDISQAPKETTKHHYERTVQLWNVVQEWHLQFEKLVTQQKHYIKALNSWLKLNLIPIESNLKEKISSPPKVQNPPIQYLLHAWHDYVDKLPDELAKSAISSFAAVIKTIILQQEEEMKLKERCEETRKEYLKKKQAFEEWYQKHLLRKGPEEAEHERGEEVNTNNPVSERQFVVESLQKKLEEEIESHQKHCVQVREKSLQSFKTRLPELFRALSDYSHACFDAYEKLKSITQSRGGGA >Vigun09g269400.1.v1.2 pep primary_assembly:ASM411807v1:9:43212959:43215045:1 gene:Vigun09g269400.v1.2 transcript:Vigun09g269400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGVVEIAGTPVQELVLNRENVPKNYIYEEGGSGFRDALFPSQDDDIPVIDLHRLSSPSTAQQELVKLHNALHSWGCFQAINHGMESTFLDKVREVSLQFFQLPKEEKKKCAREPNDIEGYGNDTIYSKKQRLDWTDRVYLKVLPEDQRQFKFWPQSPNDFRSTVLQYTESLRLLSEVILKAMAKSLNLEENCFLNEIGERSNMFLRFNYYPPCPMPDHVLGTKPHADGSTITFLLQDKAVEGLQVLKDDQWFKVPIIPDALLINVGDQIEIMSNGIFRSPVHRVVINKEKERLTAAMFCIPDPEKVIKPLDKLVDESRPILYRPVKNYVEIYFQYYQQGKRPMEASKI >Vigun06g119500.1.v1.2 pep primary_assembly:ASM411807v1:6:24742505:24744971:1 gene:Vigun06g119500.v1.2 transcript:Vigun06g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDLINDIDGKRETLKLGVRITDLWIVQNRDSTKHIQMILVDHKGNIIPAFVKKEDVGLWEDKLIEGQTYVMHNFKILKNQGQYKVCEHPYKLMFIGATAIKPQEIANVPIRVYNFKSIKEIVDGTYSTTDILIDIIGAVDNVKNRLNSNCVFFNVMDLSGSVIGCTLWDNYATKFLSHWNEKQNSDTTIVILTQAKIKAPSGTWPVSISNSWHGSKLFTDDDIPEIREFKEKYIEMPLSEISQSQHQTQMPTSSQYSKQERFMFKATVKSISEINAIKEEMTCVTVGTTIKFNLSDDGWSYFACTHCNKKTNQVGAFKCNKCDKYNDNPVLKYKLEVQVCYGKDYANFVFWDQDCASIIGMSAANLRKSMIEVGEDDPKCFPNDLDVILGCTLAFKVKVQSRSKSMSVMKVSNDVEIIEEIKGQLQPEQESFCGSTNHDPNTSFSVTPCKRLCSDIDNDNQLSQELKNAQMSTTKMSKTVKKEKN >Vigun09g112500.2.v1.2 pep primary_assembly:ASM411807v1:9:24544510:24563473:1 gene:Vigun09g112500.v1.2 transcript:Vigun09g112500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEPDVVAVRGYELRLIRCTLSPSQPSDLRHDGESLDGLINDLLNSVERGSYVEALTSGPSSTVFQLGGHDSLPLDDADRFYSELVHRAESFITDAASNGAEQRRRAMIVMCLAVAAFLGFTQANFTGPLKGTELPKCPICLDGSDEWENWARNQLMSAGSDLLGKFSNLQYIVFSKMLLMRMKDLRVEIGSLSWWIARVLLLQQRVLNERSSSLSDLLHVYMSEALQQFGTSELVRSYWEDSLRSSESLDIVSMLQLEAGIIEYRYGRVDSCRMHFELAEMAAGLQLSVTGVLGFRSVHQAEPKAQMVLVTNTSTSNVDSLTGTGIQTCDSSNGEGNWNLHQFETSEASDILRIPKLLENDDSRAKSQGVENGAHVTPSLTATQQAVILAYCLLIEKSSRHDELQRWDMAPYIEAIDSQHSFYFIIRCLCDTLRIRWESSRSRTKERALLMMDNLVKRIHDSSPSIVERIPFSYAVYMPSIPALRKEYGLLLVRCGLIGEAMKEFEDLELWDNLIYCYSLLEKKASAVELIRKCLSERPNDPRLWCSLGDTTANDAYYEKALEVSNNRSARAKCSLARSAYCRGDYVTSKILWESAMSMNSMYPDGWFSFGAAALKAQDKEKALDAFTRAVQLDPENGEAWNNIAGLHMIKKKNKEAFIAFKEALKFKRNSWQMWENYGFVAVDIGNISQALEAVQMILHMTNNKVVDSELLERITTEVEKRVSMSNVPPLITENEPKADQFCVVDSGSEYQEQVSVAGKSRETEQLFLLLGKVLQQIVKSGSGCGPDIWGLYAKWHRINGDLTMCSEALLKQVRSLQGSDTWKDRDRFKMFAKASLALCQVYVEISSSTGSIKQLSTAEMHLKNVIRQAESFSDTDEFRDLQACYDEVKIKLQSNSMRG >Vigun09g112500.1.v1.2 pep primary_assembly:ASM411807v1:9:24544525:24564505:1 gene:Vigun09g112500.v1.2 transcript:Vigun09g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEPDVVAVRGYELRLIRCTLSPSQPSDLRHDGESLDGLINDLLNSVERGSYVEALTSGPSSTVFQLGGHDSLPLDDADRFYSELVHRAESFITDAASNGAEQRRRAMIVMCLAVAAFLGFTQANFTGPLKGTELPKCPICLDGSDEWENWARNQLMSAGSDLLGKFSNLQYIVFSKMLLMRMKDLRVEIGSLSWWIARVLLLQQRVLNERSSSLSDLLHVYMSEALQQFGTSELVRSYWEDSLRSSESLDIVSMLQLEAGIIEYRYGRVDSCRMHFELAEMAAGLQLSVTGVLGFRSVHQAEPKAQMVLVTNTSTSNVDSLTGTGIQTCDSSNGEGNWNLHQFETSEASDILRIPKLLENDDSRAKSQGVENGAHVTPSLTATQQAVILAYCLLIEKSSRHDELQRWDMAPYIEAIDSQHSFYFIIRCLCDTLRIRWESSRSRTKERALLMMDNLVKRIHDSSPSIVERIPFSYAVYMPSIPALRKEYGLLLVRCGLIGEAMKEFEDLELWDNLIYCYSLLEKKASAVELIRKCLSERPNDPRLWCSLGDTTANDAYYEKALEVSNNRSARAKCSLARSAYCRGDYVTSKILWESAMSMNSMYPDGWFSFGAAALKAQDKEKALDAFTRAVQLDPENGEAWNNIAGLHMIKKKNKEAFIAFKEALKFKRNSWQMWENYGFVAVDIGNISQALEAVQMILHMTNNKVVDSELLERITTEVEKRVSMSNVPPLITENEPKADQFCVVDSGSEYQEQVSVAGKSRETEQLFLLLGKVLQQIVKSGSGCGPDIWGLYAKWHRINGDLTMCSEALLKQVRSLQGSDTWKDRDRFKMFAKASLALCQVYVEISSSTGSIKQLSTAEMHLKNVIRQAESFSDTDEFRDLQACYDEVKIKLQSNSMRG >Vigun09g124150.1.v1.2 pep primary_assembly:ASM411807v1:9:27664768:27665076:-1 gene:Vigun09g124150.v1.2 transcript:Vigun09g124150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVPPHVLCFTRYTSAHLTSRTNIEENQCNTHCSFDLLFEWPMVECFEIFMR >Vigun02g159700.2.v1.2 pep primary_assembly:ASM411807v1:2:30571469:30574270:-1 gene:Vigun02g159700.v1.2 transcript:Vigun02g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELLDHHNQSPMLFNNGGSNSRKRGRETTAAPNLVNSFSLQSQSQSQSPQFIDLTQLHNQNVVSTGLGLSFGDQQLQQQQHGCYSSSLLSLLPDAFTLQIKQHHDQIDQFLQLQGEQLRRALTEKRKRHYRALLRAAEESVLRRLREKEVEVEKATRRNAELEARAAQLGVETQLWQAKAKAQEATAASLQAQLQQAMMSGEDGGGGVSCAGGEAEDAESACVDPERVGPKCRGCAKRVASVVVLPCRHLCICAQCNTHFRACPVCLTVKNSTVQVHLS >Vigun02g159700.1.v1.2 pep primary_assembly:ASM411807v1:2:30571469:30574270:-1 gene:Vigun02g159700.v1.2 transcript:Vigun02g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLFLNCSKTGKEPYDYSMAGELLDHHNQSPMLFNNGGSNSRKRGRETTAAPNLVNSFSLQSQSQSQSPQFIDLTQLHNQNVVSTGLGLSFGDQQLQQQQHGCYSSSLLSLLPDAFTLQIKQHHDQIDQFLQLQGEQLRRALTEKRKRHYRALLRAAEESVLRRLREKEVEVEKATRRNAELEARAAQLGVETQLWQAKAKAQEATAASLQAQLQQAMMSGEDGGGGVSCAGGEAEDAESACVDPERVGPKCRGCAKRVASVVVLPCRHLCICAQCNTHFRACPVCLTVKNSTVQVHLS >Vigun07g262300.1.v1.2 pep primary_assembly:ASM411807v1:7:37819972:37828283:-1 gene:Vigun07g262300.v1.2 transcript:Vigun07g262300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSANIVLAIFEKKTNTVDMYRPLRNYVAYHYSEREAQNVEDDLQTLKQLRYEIERHLDPSLPARRDVLQTYFKSLCLVETRFPISSDPDHVNALTFVWFDAFKPKQKASQQNIHLEKAAVLFNLGAVYSQIGCSYDRNTVDGRRQASHAFIAAAGSFAFLRDNASMKASVGNSTTVDLSVECAGMLEKLMLAQAQECVFENTIAKGSTPGVCAKISRQVGIYYEEALAALNVAPLSQHFEKSWIVHVQLKAALFYAEACYRYGLELHDKEEIAEEIARLRSAVSVLTEAKKSSKGAAVQILDAIGKLEANINRNLERAVKENDRVYLMRIPSPSSLPPIPSFSMVKPMAMNEVLDASKEKLFASLVPDNSAKALSRYTEMVDDVIRTQAEKLQQASELTRVRLKEMELPDSILALEGNFTLPTSLKEDVEAVQISGGPAGLEAELQQLKDLRRVNQELLVQTEELLQKEAREDSQFRSQFGTKWTRPQSSTLTKNLQDRLNRFAGNLKQAAESDGRIERSVREHSALMSILDARPIESALPSLARPIMSFDQNEDAIVGSLKQSLRQLETLGAQRAGLEDMLKDMKRKDDILPKLMTSTGSYDDLFKKELAKYDHICDEIAQNIEAQEQLLLQIQAQNDEFSVIFNLEDYKASREKAYKQIEAAIAKFREIKDNINEGLKFYVTLQDAITNVKQQSNDFVMTRNIQCREMIEDVQRQVAGLSFQDKNSGASFNSNYPSVGSQNQRASPQTDPRPQAPYYQPVEQPPVAPYGHHAPSPYGAPAHHHQPPPPYHIPPSSTAPYPPHQVHQQPPPGNHEYGQPAYPGWRGPYYNTQAQQPGSVPRPPYTIPSPYPPPHQSGYYKQQ >Vigun09g010900.1.v1.2 pep primary_assembly:ASM411807v1:9:831025:833056:-1 gene:Vigun09g010900.v1.2 transcript:Vigun09g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTERVGVLIMYFALVYHVGAAQKVMPVAGPDIFKNKNTALDKLLPGEKINNVISFGAKPDGKFDCTQAFMDAWQATCHSKVQARFLVPEGTFLVSSMFFAGPCSTPEPVTIQVVGTIVATTDLSEYENNEWLLFEDMDGIKLIGGGTFDGVGKKSWKTASNCKEKPHVACVRNPSSIYFHRIKNGIIQNIKSTNPKGFHIFVTNCANIRLRRLKLTAPDESPNTDGIHISHSIGVKVARNTIETGDDCISMIHGSHQVSINDVNCGPGHGISIGSLGKYEEELEVKEIRVKNVSMVGTQNGLRIKTWPDLYPGHASDISFSDITMQNVKNPIVIDQEYQCSSQCKASLVKIKDVVFSNIKGTTTTPIAVDLRCSNKFPCESIKLENIDLSLKTKPSGSRCANIKPIYKGLQNPPGCL >Vigun07g197600.1.v1.2 pep primary_assembly:ASM411807v1:7:31770550:31772854:1 gene:Vigun07g197600.v1.2 transcript:Vigun07g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQFTLIPLPYTAMYSPISPFEGYKCNYIFTEYNTIKARRRAELVAEKAEVARADMVAKRGHALTCFVRLSLFLFALTLTSARQTTVQDIARKLKLEDNQLLRTEKKFKVFMENYGRNYSTREEYLQRLEIFALNMLRAAENQALDPTAIHGVTQFSDLTEDEFQRHYTGVNGGFSLNNGAGGVAPPLEVDGLPDDFDWREKGAVTEVKMQGKCGSCWAFSTTGSIEGANFIATGKLLNLSEQQLVDCDSQCDITERATCDNGCNGGLMTNAYKYLLGSGGLEEESSYPYTGEKGECKFDSGKVAVRITNFTNIPVDENQIAAYLVKHGPLAIGLNAIFMQTYIGGVSCPLICSKNWLNHGVLLVGYRAKGFSILRLGNKPYWIIKNSWGKNWGVDGYYKLCRGHAMCGMNTMVSAAMVAQTQTPTQSYASY >Vigun10g060900.1.v1.2 pep primary_assembly:ASM411807v1:10:12523201:12528885:1 gene:Vigun10g060900.v1.2 transcript:Vigun10g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSSGINTIRVFGVTKHQSRFLHSSMLHLRSNRVCGTGIGVEEKKKRKQCFVMAASVGGSKVGHFENTLPSKEVLELWRKGDAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFNPSWSQLQNFLQQKPPRISPGIEELVQKLKANGIDVYLVSGGFRQMIIVRRIVEINGFSDPLCVWKEMNSFYGML >Vigun02g191400.11.v1.2 pep primary_assembly:ASM411807v1:2:32885498:32891358:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.14.v1.2 pep primary_assembly:ASM411807v1:2:32885494:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.9.v1.2 pep primary_assembly:ASM411807v1:2:32885494:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.6.v1.2 pep primary_assembly:ASM411807v1:2:32885481:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSFVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.13.v1.2 pep primary_assembly:ASM411807v1:2:32885494:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSCMVLAQPQNFTGGSSTPDNPLDDDFVKPLAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.7.v1.2 pep primary_assembly:ASM411807v1:2:32885494:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSCMVLAQPQNFTGGSSTPDNPLDDDFVKPLAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.8.v1.2 pep primary_assembly:ASM411807v1:2:32885498:32891358:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSCMVLAQPQNFTGGSSTPDNPLDDDFVKPLAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.2.v1.2 pep primary_assembly:ASM411807v1:2:32885480:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.15.v1.2 pep primary_assembly:ASM411807v1:2:32885498:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSFVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.16.v1.2 pep primary_assembly:ASM411807v1:2:32885493:32891358:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.4.v1.2 pep primary_assembly:ASM411807v1:2:32885481:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSFVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.3.v1.2 pep primary_assembly:ASM411807v1:2:32885480:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.5.v1.2 pep primary_assembly:ASM411807v1:2:32885497:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSCMVLAQPQNFTGGSSTPDNPLDDDFVKPLAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKL >Vigun02g191400.1.v1.2 pep primary_assembly:ASM411807v1:2:32885498:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMSAENKKIKPKTDIELFLNNANQCVWKKLNNDSGAGANAASRVDMTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSCMVLAQPQNFTGGSSTPDNPLDDDFVKPLAVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.10.v1.2 pep primary_assembly:ASM411807v1:2:32885498:32891357:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKGLSLRCADSSFADKNTSLFRELGTSFVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun02g191400.12.v1.2 pep primary_assembly:ASM411807v1:2:32885493:32891358:-1 gene:Vigun02g191400.v1.2 transcript:Vigun02g191400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATDPLSEIVWSPDKVVCAKGDIAEADAPTMHATGDSGVKAKFKAYEEDDIGSVGHKDIVNTTATTPNLPCDESGNLVNNCEKVAGDQGNIGTNYMSGIEGNKFSAMSGTLDNLFLQSEEIKPNMDQNPSPGRHSDGGVDIALGKKAVVTGNLHTAVEPVVEFKGSDAPGTNLASSSRRPLQKLEFSAENDLRIDNFEAACAGTSGINVHGIENKLQDNEMMLPCDKILPAMHSPCHSRIYMAINKGKEKSLSDGDANVVLSREDNDSHSSVESCNSAGFFPTGKKRRNFQQQLIIGSKRVKRQMEETSGSKSYVKQDSSFMNWISNMVKGLSQSIQNDSNTLALSLANPKHHNLQPDERLIACDTNQDSEQKITGFKSIFQSIYCPSLKNVETRIHQEGKSSEDLEPVNTEHGINATPITCCAENNSPSKLCLRSNKFEVSTGRHEAGPSSQPQIKPLNFFNCQESSKSNIMETKNNSIFSFSRDKEEVAPHSSSTKQNTDNNDNIDSNVLSDRKEEENTCHRRENLGSLWITRFSPKFTAPLREQPSNETEVSTDLKEENDLKSKYKFKALSSSPGLRNLEPMSSMFARRFGAIKHIMPANTADNAKQVNMLCLFCGKRGHQLSDCSEIAENKLADLQKNIDSCGGLEECPCICIICFEPNHWAVSCPTSISVRKHELKANQESVRLDEDERVLSGGSVNGETDHRAGQDICLKRKSNEIMTFKEGNSASFKKDCGSSSEENKFRENPMSTPSKLTEKQTSHLPKKIFDAVKKLRLSRTEILKWINTHGSISQLDGFFLRLRLGKWKEGIGGTGYLVACINETQSRRQSSEQNTRKSFSVKVGSIKCMVESQYISNHDFLEEEIMEWWFNTSEAGAEIPSEEDLIEKFKKKNMLGL >Vigun03g112500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10272396:10273796:1 gene:Vigun03g112500.v1.2 transcript:Vigun03g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEKLVQSYPHYWGFTPEQDYYNHQGIRGTKSEFRTARGLKLFTRSWLPNPTITPRAVVFMVHGYGNDISWTFQATPIFLAQSGFACFAVDLQGHGHSEGLKAFVPSVNLVAHDCLSFFNSIKQQNPQFQNLPSFLFGESMGAAICLLIHFLSPEPFNGAVLVAPMCKISDAVRPRWPIPQILTFLARFFPTLPIVPTPDLLFKSVKVPRKKLIANMNPLRYRGKPRLGTVVELLRVTDFLNRSLSDVNLPFIVLHGSADAVTDPDVSRELYREARTLDKTIKVYDGMMHSLLFGETDENVEIVRNDVLSWLLARSGGETRLQ >Vigun06g076300.1.v1.2 pep primary_assembly:ASM411807v1:6:20667671:20670615:-1 gene:Vigun06g076300.v1.2 transcript:Vigun06g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTLLLLCTVLLFGTLALAQAKKSKEELKEVTNKVFFDVEIDGKAAGRIEIGLYGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSTFHRIIPSFMIQGGDFTHGNGMGGESIYGEKFADENFKLKHTGPGILSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGRQSGTPKSKVVIADSGELPL >Vigun06g076300.2.v1.2 pep primary_assembly:ASM411807v1:6:20667671:20670615:-1 gene:Vigun06g076300.v1.2 transcript:Vigun06g076300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTLLLLCTVLLFGTLALAQAKKSKEELKEVTNKVFFDVEIDGKAAGRIEIGLYGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSTFHRIIPSFMIQGGDFTHGNGMGGESIYGEKFADENFKLKHTGPGILSMANAGPDTNGSQFFITTVTTSW >Vigun03g240800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40156510:40157886:1 gene:Vigun03g240800.v1.2 transcript:Vigun03g240800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYNNPITIFLFLSLSFLPSMQNKLTPDYYKKTCPNFLETVRKIVKEKQHNTPSTAAATLRLLFHDCMVGGCDASILVTSNAYNTAERDHAVNLQLSGDGFDAVLRVKNVLELECPNVVSCADILAAAASELVANSGGPTFTVQFGRKDSLESKISSSENQFPLPNMTMSQVIPLFAKKGFSVQEMVALIGAHTIGMSHCDQFVHRLFRFSKTSETDPAYNPEYAAGLRKLCENYTKDPTMAAYNDVITPVKFDNMYFKNLRRGLGLLATDTALFTDPRTKPFVEKYADDEAKFFQDFALAIEKLSALDVKTGKDGEVRNRCDAFNALYG >Vigun10g086250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24697105:24699375:1 gene:Vigun10g086250.v1.2 transcript:Vigun10g086250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELVSPLLAMPLFWLCLYNNLVSVSGQCLEDQRSLLLQLKNNLTFTSSTKLKSWNPSNDCCSWIGISCDKEGHVTALDLSEESGTGALGNSSSLFSLQHLQTLNLAYNNFTSFIPSAFKRLEILTSLNLSCAGFLGQIPIEISQMTRLVTLDLSSTWFLPCEWNNELKLENPNLRKLVQNLTSIRQLYLDGVSISAPGHEWSSALMSLHDLQEVRMSQCNLSGPLDPSLASLENLSVIVLDENGLSSAVPETFADFKFLTFLSLSRCQLTGTFPQKIFNMVTLVVVDVSWNHDLQGFFPDFPPGSLHTLIVSGTKFSGAFPRSIGNMRNLSDLEFSYCEFNGTIPNSLSNLTELRYLSLSDNNFTGQIPSFNMAKSLIYLDLSYNGLSGSIPFSHFEGLNNLVTIYLDHNSISGILPSSLFTLPQLEDINLSNNQFGQLDEFTNVSSSQLYSLDLRSNNLKFAFCNLKTFPGFLSNHSNIEFLDLSDNYIHGIVPNWIWKLENLWVLNISHNMLTHLEGPLQNLSTRFSYLDLHHNKLEGPMIPSFPENLKYLDFSSNKLNSVIPRNIGNYLTFTSFISLSNNAFSGSIPDSFCNVSHLDVLDLSNNNIDGKIPLCLLEASTEILNLRQNSLMGPLPDIFSATCTLEILDLRDNKLDGKIPKSLSNCTWLEILNLGENKIVNVFPCMLMKISTLRVLVLRENNLDGHIGCPNTNATWPVLQILDLAINHFSGKLPQTLFKRWEAMMSAKSNVEN >Vigun03g081300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6773711:6776726:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6775600:6776019:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6775600:6776070:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQVLTFGHRRWKFVEMELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6773711:6776726:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6773684:6776726:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6773745:6776726:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun03g081300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6773684:6776726:-1 gene:Vigun03g081300.v1.2 transcript:Vigun03g081300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNVTIPKVGQKPSAKLKFPTPKELISHYESQGMDSQEASMKVIEDLQKALFGVVSSGKGRNDRLLAESSKKIDSISNRLTVLDLKLDSKPGYVETFAIGLASGAALKGIGALVPPILAPLAQILNSVSAATKSSPQ >Vigun09g123700.1.v1.2 pep primary_assembly:ASM411807v1:9:27568183:27571280:1 gene:Vigun09g123700.v1.2 transcript:Vigun09g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTAGKVIKCKAAVAWEAGKPLVIEEVEVAPPQANEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECDHCKSEESNMCDLLRINTDRGVMLNDGKSRFSINGEPIYHFVGTSTFSEYTVVHVGCVAKINPTAPLDKVCVLSCGISTGLGATLNVAKPRKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSKRFAEAKKFGVTEFVNPKDYDKPVQEVLVEMTDGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFRTHPINVLNEKTLKGTFFGNYKPRSDLPSVVEMYMKKELELEKFITHEVPFSEINKAFEYMLKGEGLRCIIRMTA >Vigun09g123700.2.v1.2 pep primary_assembly:ASM411807v1:9:27568436:27571280:1 gene:Vigun09g123700.v1.2 transcript:Vigun09g123700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLRINTDRGVMLNDGKSRFSINGEPIYHFVGTSTFSEYTVVHVGCVAKINPTAPLDKVCVLSCGISTGLGATLNVAKPRKGSSVAIFGLGAVGLAAAEGARLAGASRIIGVDLNSKRFAEAKKFGVTEFVNPKDYDKPVQEVLVEMTDGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFRTHPINVLNEKTLKGTFFGNYKPRSDLPSVVEMYMKKELELEKFITHEVPFSEINKAFEYMLKGEGLRCIIRMTA >Vigun02g026800.2.v1.2 pep primary_assembly:ASM411807v1:2:9211756:9219600:-1 gene:Vigun02g026800.v1.2 transcript:Vigun02g026800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKVWPNAAAPFTPHRGGAVTVSASAKGKAVADGPPPPPLGSLTETTVAVGFDTGNADDWRRFTELGLLDESVMQRKDHEALMEKVSRLEKELFDYQYNMGLLLMEKKEWNSKFDQLRQELAETEEILKREQSAHLIALFEVEKREENLRKALSTERQCGADLERALRAMHEEHAQVQSNSNTKLAEASALVDGIEEKSSVVDKKLLDAEAKLSEVNRKNAELDMKLQEVEARESLLQKERLSLATDRQSFDATFYKEREDLKEWERKLQQRENMLSNGRQNISEKEKMIVETEKNLKQKERDLDMLEKKVNSSSSLLKEKEAEIIRRIADINVEEKKVNSLKSTLEIKEKELYALESKLNSREREGIQKLLGEQKATLDLQMQQVDFEMEHQRKSLLDEFSIKEEALEQREVEVNHREKKVEKEEQALSKKAERIKEQTAEIEAKLKSLKEKEKTLKIKEKELEKENQQLVADRESMENLNAELQRIKAEISLQELQIRQETENLKLVEDNKLENSRLRLELKQEIEHTRLQKDSLVKEAENLREERERFEKEWEVLDEKREEITRKQHDIDVEKESLRKLQNSEEERLKSEKQNMQEHIKKELEKLELEKESFRDSMNQEKHLLSEKVKNEQAKMIQDFESKMRNLENEIQKRQEETEKDLQERERNFQEKMQRELDNINILKNVSEKEWEEAKAEGIRLEKERKELELNKQQLMSGQLEMREDSEMLMNLSQKVKKGRQRLVAERKNFLELVENLKNCKVCGEVVENFVISDTKLPDLKESVVIPLPISPVLNYKPPKNSQDIVATSDINNSGSVRPVSWLRKCTSKIFKLSPSKRDEAIRASDMAGTSPPSNVNDSVNKADEPGSLANIEGARVILDERQPAGGMAYHSLDTPHLQSDHIDKEVGDEYSLSIGDHSWVDSLVDGDRDDSQQSVPKLRRGRPGRKSKSGIARTRSVKAVVKEAREFLAKEDSREDSSHIEKANTGRKRQRAQTSRVTESEQNAGDSEGQSESITAGGRRKKRQTVAPPAQVTGEKRYNLRQHKT >Vigun02g026800.1.v1.2 pep primary_assembly:ASM411807v1:2:9211756:9219600:-1 gene:Vigun02g026800.v1.2 transcript:Vigun02g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKVWPNAAAPFTPHRGGAVTVSASAKGKAVADGPPPPPLGSLTETTVAVGFDTGNADDWRRFTELGLLDESVMQRKDHEALMEKVSRLEKELFDYQYNMGLLLMEKKEWNSKFDQLRQELAETEEILKREQSAHLIALFEVEKREENLRKALSTERQCGADLERALRAMHEEHAQVQSNSNTKLAEASALVDGIEEKSSVVDKKLLDAEAKLSEVNRKNAELDMKLQEVEARESLLQKERLSLATDRQSFDATFYKEREDLKEWERKLQQRENMLSNGRQNISEKEKMIVETEKNLKQKERDLDMLEKKVNSSSSLLKEKEAEIIRRIADINVEEKKVNSLKSTLEIKEKELYALESKLNSREREGIQKLLGEQKATLDLQMQQVDFEMEHQRKSLLDEFSIKEEALEQREVEVNHREKKVEKEEQALSKKAERIKEQTAEIEAKLKSLKEKEKTLKIKEKELEKENQQLVADRESMENLNAELQRIKAEISLQELQIRQETENLKLVEDNKLENSRLRLELKQEIEHTRLQKDSLVKEAENLREERERFEKEWEVLDEKREEITRKQHDIDVEKESLRKLQNSEEERLKSEKQNMQEHIKKELEKLELEKESFRDSMNQEKHLLSEKVKNEQAKMIQDFESKMRNLENEIQKRQEETEKDLQERERNFQEKMQRELDNINILKNVSEKEWEEAKAEGIRLEKERKELELNKQQLMSGQLEMREDSEMLMNLSQKVKKGRQRLVAERKNFLELVENLKNCKVCGEVVENFVISDTKLPDLKESVVIPLPISPVLNYKPPKNSQDIVATSDINNSGSVRPVSWLRKCTSKIFKLSPSKRDEAIRASDMAGTSPPSNVNDSVNKADEPGSLANIEGARVILDERQPAGGMAYHSLDTPHLQSDHIDKEVGDEYSLSIGDHSWVDSLVDGDRDDSQQSVPKLRRGRPGRKSKSGIARTRSVKAVVKEAREFLAKEDSREDSSHIEKANTGRKRQRAQTSRVTESEQNAGDSEGQSESITAGGRRKKRQTVAPPAQVTGEKRYNLRQHKTAGKGSSKQAIPNATRSMGKETAGKLEGQMSPEVVETSLAEADDNVQVEDKSMVQVTTTKTVDVSDERVVRFEVPRDIVDDNGAAEENGTPEYRDEDGSTIHDVENDDDDEDDDDDEEEDDEEHPGEVSIGKKIFRFFTT >Vigun01g172600.1.v1.2 pep primary_assembly:ASM411807v1:1:35483196:35485824:-1 gene:Vigun01g172600.v1.2 transcript:Vigun01g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKCRRRSLMEFPILLVHILCLLLFPQPLLSGHNYFVRQPAGQLIITPHQRSHSDPQQVHISLVGKEKMRVSWITEEKHAESVREIDGEHTSYRYFLYNSGKIHNVVIGPLKPGTTYFYRCGGSGPEFSLKTPPPNFPLEFVVVGDLGQTEWTASTLKHVESREYDVALLPGDLSYADSQQPLWDSFGRLVEPYASKRPWMVTEGNHEIEIFPIIYPQPFQAYNARWPMPFQHSASTSNLYYSFQLVATHVIMLGSYTDFHAQSQQYNWLQSDLANIDRVKTPWVIVLLHAPWYNTNEAHQGEGESMRQAMEHLLYDARVDLVFAGHVHAYERFTRIYDNKFDSCGPMYVTIGDGGNREGLALMFKNPPSPLSLYREPSFGHGRLRIVNETHAHWSWHRNNDTDAVVADGVWIESLSSSKACSKQDAPNEEL >Vigun05g065400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5673676:5674154:1 gene:Vigun05g065400.v1.2 transcript:Vigun05g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVRSVFLVLWLRLLSSTNGFEIRSHKSHVSVTNSLEEGLILTLHCKSADDDLGLQILSPNSSFVWSFAVNFFSSTLFHCSFQWKKDVIHKFAIYEANRDEFRCMRCNWTIKQDGPCLFLYNHFNSCYPWKD >Vigun03g206800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33649414:33651365:1 gene:Vigun03g206800.v1.2 transcript:Vigun03g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDEQLQQLRSKATELFIREEWNASIEAYSHFITLCTQTLSHSLPNQKLRRPLCIALCNRAEARSKLKDFHHALQDCDHALELDATHSKTLLCKGKILLCLNRYASALECFRTATLDGNTENVSGYLERCKSLELLSKTGCLDLSEWVSNGFRGKVPELAEHIGAVEIRRSEISGRGLFATKNIDAGSLILVTKAIVMERSIIMGGVQDLSEDAQLAMWKNFIDKVSEYVGKCPRTRGLINRLSSGENEEQLEVPDVGLFRPESVEKDGEVEVVVDMVKLVGILDVNSLTEDAVSANVLRRGNDCYGVGLWLLPSLINHSCCPNARRLHVGDYLVVHASKDLKAGEEVTFAYFDPLCGLSKRKEMSVNWGIHCKCKRCRFEGEVLSKEEIREIEIGVERGMDVGGVVFKLEEQMKRLKVRGKEKGYLRASFWDAYSEAYRSERAMKRWGRRIPSAEAVVDSISDVVGGDHRLLKLLMEEFKKNSGGVVERDKVFKMAKEVFGKVVKKQAMKTLLQLCIAD >Vigun03g404100.3.v1.2 pep primary_assembly:ASM411807v1:3:61125088:61126615:-1 gene:Vigun03g404100.v1.2 transcript:Vigun03g404100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIGQRVSRGMLPLLALHTFSEYYRSDHKPPVTAALIAANTLIYLRPSLFDSLIPPIQQVWFNPHLILKHKDLKRFLLSPFYHIGEPHLVYNMLSLLWKGFQLETSMGSVNFASMVASLLLLSQGVTLILSKSLLLLFDYDKPYYNEYAVGFSGVLFAMKVVLNSQSSDYSYVHGVIVPSRYAAWAELILVQLLVPGVSFIGHLGGILAGLLYVKLRNTYSGSNPITLLVRGVTDFLKWPFKFLPRRGRITGRGTVGTNRGWRCQTCTYDNDSGLRSVCEMCGTSRGVDGLSSFQRSSRPDGLPLDELRRRRLDRFLR >Vigun03g404100.4.v1.2 pep primary_assembly:ASM411807v1:3:61123743:61126616:-1 gene:Vigun03g404100.v1.2 transcript:Vigun03g404100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIGQRVSRGMLPLLALHTFSEYYRSDHKPPVTAALIAANTLIYLRPSLFDSLIPPIQQVWFNPHLILKHKDLKRFLLSPFYHIGEPHLVYNMLSLLWKGFQLETSMGSVNFASMVASLLLLSQGVTLILSKSLLLLFDYDKPYYNEYAVGFSGVLFAMKVVLNSQSSDYSYVHGVIVPSRYAAWAELILVQLLVPGVSFIGHLGGILAGLLYVKLRNTYSGSNPITLLVRGVTDFLKWPFKFLPRRGRITGRGTVGTNRGWRCQTCTYDNDSGLRSVCEMCGTSRGVDGLSSFQRSSRPDGLPLDELRRRRLDRFLSR >Vigun03g404100.2.v1.2 pep primary_assembly:ASM411807v1:3:61123743:61126615:-1 gene:Vigun03g404100.v1.2 transcript:Vigun03g404100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIGQRVSRGMLPLLALHTFSEYYRSDHKPPVTAALIAANTLIYLRPSLFDSLIPPIQQVWFNPHLILKHKDLKRFLLSPFYHIGEPHLVYNMLSLLWKGFQLETSMGSVNFASMVASLLLLSQGVTLILSKSLLLLFDYDKPYYNEYAVGFSGVLFAMKVVLNSQSSDYSYVHGVIVPSRYAAWAELILVQLLVPGVSFIGHLGGILAGLLYVKLRNTYSGSNPITLLVRGVTDFLKWPFKFLPRRGRITGRGTVGTNRGWRCQTCTYDNDSGLRSVCEMCGTSRGVDGLSSFQRSSRPDGLPLDELRRRRLDRFLR >Vigun03g404100.1.v1.2 pep primary_assembly:ASM411807v1:3:61121683:61126542:-1 gene:Vigun03g404100.v1.2 transcript:Vigun03g404100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIGQRVSRGMLPLLALHTFSEYYRSDHKPPVTAALIAANTLIYLRPSLFDSLIPPIQQVWFNPHLILKHKDLKRFLLSPFYHIGEPHLVYNMLSLLWKGFQLETSMGSVNFASMVASLLLLSQGVTLILSKSLLLLFDYDKPYYNEYAVGFSGVLFAMKVVLNSQSSDYSYVHGVIVPSRYAAWAELILVQLLVPGVSFIGHLGGILAGLLYVKLRNTYSGSNPITLLVRGVTDFLKWPFKFLPRRGRITGRGTVGTNRGWRCQTCTYDNDSGLRSVCEMCGTSRGVDGLSSFQRSSRPDGLPLDELRRRRLDRFLRNPFVH >Vigun09g077000.1.v1.2 pep primary_assembly:ASM411807v1:9:8806269:8822350:-1 gene:Vigun09g077000.v1.2 transcript:Vigun09g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRKRSMIKLKFSKIYSFACGKTTLKREHSRIGGHGHSRVVFCNEPDRFEGGVFNYADNSVRSTKYTVATFLPKSLFEQFRRVANFYFLVAGILAFTKLTPYTAVSAILPLSIIVGATMVKEGIEDWRRKKQDIEVNNRRVILHKGDGNFEYTEWKNLRVGNIVKIMKDEFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQGLDVTSSLQEDFKIRDFRAVIKCEDPNANLYSFVGSMEFEEQKYPLSAQQLLLRDSKLRNTDYVFGVVVFTGHDTKVIQNSTDPPSKRSKIEKKMDKIIYFLFCVLFLIAFVGSIIFGIITKGDLNNGLMKRWYLRPDRSTIFFDPERAAAAAIFHSLTALMLYNFFIPISLYFSIEIVKVLQSIFINQDIHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMAFIKCSIAGVPYGHGVTEVEQAMGRSNGSPILHEHINGLKSKSNEIGDSPDRKEPIKGFNFTDERIMNGNWVNEPYADIIQFFFRLLAICHTAIPEVDEETGNVSYEAESPDEAAFVIAAREVGFKFYKRTQNCLSTYELDPVSGHQVESRGKMHSGEAGVGTSWKTPKPSAFGNLGAVCPVKAKGHASDKSGKGLEGKGKWTYKLLNVLEFSSSRKRMSVIAKDEEGRILLLSKGADSVMFERLANNGRKFEEKTMEHVHEYADAGLRTLILAYRELDEEEYKVFDGKLSEVKNSVSEDRETLIEELLDTVERNLILLGATAVEDKLQNGVPDCIDKLAQAKIKIWVLTGDKMETAINIGFSCRLLRQGMKQIIIHLEIPEIQALEKVGDKMAIAKVSRESVHHQLYEGAQLLFASKGTCQQTFALIIDGKSLTYALEDNMKNMFLELASHCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADVGIGISGVEGMQAVMSSDIAIAQFQYLERLLLVHGHWCYRRMSSMICYFFFKNITFGFTLFLYEVYASFSGEPAYNDWFLSLYSVFFSSLPVIALGVLDQDVSARYCLKFPILYQEGVQNVLFSWRIVLSWMLNGFINATMIFFFCTKAIEPQAFDEEGRTAGRDMLGVTMYTCVVWVVNLQMALAIRYFTLIQHVFIWGSIAFWYLFLLAYGAMPPKFSTNVYQVFIETLAASPSFWAVTFFVAISTLIPYLTFSVIQMWFFPMYHQMVQWIRYERKTNGTE >Vigun02g061800.3.v1.2 pep primary_assembly:ASM411807v1:2:20760733:20766110:1 gene:Vigun02g061800.v1.2 transcript:Vigun02g061800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTGKLAVVYKGKKERNVGDGRPFWKLVVSEATGCGDEDYFEEVYEYYARGDAWHLPDGAHEAITLLKDAGVKMAVVSNFDNRLRKLLKDLNVLNLFDAVIISSEVGYEKPDSRIFQAALDEVNVEACKALHIGDDEKADKLGANNVGIDCWLWGIDVKTFSDIQNRILNSEA >Vigun02g061800.1.v1.2 pep primary_assembly:ASM411807v1:2:20759844:20766110:1 gene:Vigun02g061800.v1.2 transcript:Vigun02g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTALMRCSHTNALFRAFGAKSRYSSLSISSMPINKGTGGKKAYDALLLDAGGTLLQLAKPVADTYAAIGSKYGVIMDPAKIKQGFKRAFAAPWPEKLRYQGDGRPFWKLVVSEATGCGDEDYFEEVYEYYARGDAWHLPDGAHEAITLLKDAGVKMAVVSNFDNRLRKLLKDLNVLNLFDAVIISSEVGYEKPDSRIFQAALDEVNVEACKALHIGDDEKADKLGANNVGIDCWLWGIDVKTFSDIQNRILNSEA >Vigun02g061800.2.v1.2 pep primary_assembly:ASM411807v1:2:20759844:20765367:1 gene:Vigun02g061800.v1.2 transcript:Vigun02g061800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTALMRCSHTNALFRAFGAKSRYSSLSISSMPINKGTGGKKAYDALLLDAGGTLLQLAKPVADTYAAIGSKYGVIMDPAKIKQGFKRAFAAPWPEKLRYQGDGRPFWKLVVSEATGCGDEDYFEEVYEYYARGDAWHLPDGAHEAITLLKDAGVKMAVVSNFDNRLRKLLKDLNVLNLFDAVIISSEVGYEKPDSRIFQAALDEVNVEACKALHIGDDEKADKLGANNVGIDC >Vigun03g346900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54610528:54612837:1 gene:Vigun03g346900.v1.2 transcript:Vigun03g346900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVMDDTTSTPQPQDSSSKRHFHWTNKVGNEDIQVPPTSQTMSKIIEEEDTHTKGKEEQQDDKGVPLPGPSTTSQRRKLQAVAISRLRSVLTVFGKNRSNLPFGLGSRVVGTLFGYRRGHVHFAFQKDPTSQPAFLIELATPITGLVREMASGLVRIALECDKDKDSEKKSLRLLQESVWRTYCNGKKCGFATRRECGAKDWEILKAVEPISMGAGVLPVSNNSDGADGEVMYMRARFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >Vigun01g079500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22499710:22501513:-1 gene:Vigun01g079500.v1.2 transcript:Vigun01g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKYHQGSLHSADWNFFTHGGFPAFCSLKNKNNTFILTFSTPYNPTQYHRASSPPPLTIITVPTLLHYHLPSPSTYNQKNQANPLHRHSSSSSDHIHQIRNGEIGKNRNGGGDRVTFADLVTV >Vigun09g259300.2.v1.2 pep primary_assembly:ASM411807v1:9:42461378:42472044:1 gene:Vigun09g259300.v1.2 transcript:Vigun09g259300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRGLSTTQFDFKLRVAPLNVVPLHKSHVLELAPSKRRKLCFEFADRVRLGSGAIRCCCSDSVTPIRRTSGPGNGGDKNEEWRFETKKNPHIHRVRVQASPAAMPFASPPSFLKQEKFSPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVNESGTNEDGSTWYRESGEELGENGYRCRWTRMGGQSHDGSSEWKETWWEKSDWTGYKELAGVEKSGRNSEGDSWWETWQENLHQDEWSNIARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEERFFKGIGSRHGETWHVSPSSERWSRTWGEEHFGNGKVHKYGNSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIEPRERPPGVFPNIDFGSPLSPEANDDSPQDLPPSQ >Vigun09g259300.1.v1.2 pep primary_assembly:ASM411807v1:9:42461185:42472094:1 gene:Vigun09g259300.v1.2 transcript:Vigun09g259300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRGLSTTQFDFKLRVAPLNVVPLHKSHVLELAPSKRRKLCFEFADRVRLGSGAIRCCCSDSVTPIRRTSGPGNGGDKNEEWRFETKKNPHIHRVRVQASPAAMPFASPPSFLKQEKFSPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVNESGTNEDGSTWYRESGEELGENGYRCRWTRMGGQSHDGSSEWKETWWEKSDWTGYKELGVEKSGRNSEGDSWWETWQENLHQDEWSNIARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEERFFKGIGSRHGETWHVSPSSERWSRTWGEEHFGNGKVHKYGNSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIEPRERPPGVFPNIDFGSPLSPEANDDSPQDLPPSQ >Vigun05g156800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25541542:25542009:-1 gene:Vigun05g156800.v1.2 transcript:Vigun05g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTTEEKTVKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIRSAQGKTLAIRWLLGASRKRPGRNMAFKLSSKLVDAVKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun07g177600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29296785:29298531:-1 gene:Vigun07g177600.v1.2 transcript:Vigun07g177600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIHRWWLHVRRLIYWWWLHMRRFIHRRWLHVGRLIHRGWLLMRRLIHRWWLLVRRFIHRWWLDVRRLIYWWWLHVRRLIHRRWLHVRRLIHRRWLHVRGLIHRWLLVRRLIWWWLHMRRLIHRMWLHVRRLIHRWWLLVRRLIHRWWLHVRRLIHRRWLHVGRFIHRWWLLVRWFLMRRFVHRWLLMRGLVLWWWFFNRRLIHWWWLLIWRMEQWWREKWGVI >Vigun07g177600.1.v1.2 pep primary_assembly:ASM411807v1:7:29296784:29298531:-1 gene:Vigun07g177600.v1.2 transcript:Vigun07g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIHWWWPNIGRLIHRWWLHVRRLIHWRWLLMRRLIHWWWLNIGRLIHRWWLHVRRLIHWWLFVRRLIHRRWLLVRRFIHRWWLHVGRLIHWWWLHMRRFIHRWWLHVRRLIYWWWLHMRRFIHRRWLHVGRLIHRGWLLMRRLIHRWWLLVRRFIHRWWLDVRRLIYWWWLHVRRLIHRRWLHVRRLIHRRWLHVRGLIHRWLLVRRLIWWWLHMRRLIHRMWLHVRRLIHRWWLLVRRLIHRWWLHVRRLIHRRWLHVGRFIHRWWLLVRWFLMRRFVHRWLLMRGLVLWWWFFNRRLIHWWWLLIWRMEQWWREKWGVI >Vigun09g116200.1.v1.2 pep primary_assembly:ASM411807v1:9:25414895:25416956:1 gene:Vigun09g116200.v1.2 transcript:Vigun09g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLSFPIFPTTSTFRFKASAEVATPATKVVPAVIVGGGRVGQALQTMGSGQDLLVRRGEAVPLNFEGPILVCTRNDDLETVLQSTPSSRWDDLVFFQNGMMEPWLESKGLDDANQVLAYFAVSKIGEAPIDGITDTNPEGLTAAYGKWASVIAARLNAGGLSCKVLDKKEFQKQMLEKLIWICSVMLVGARHGGVSVGVVEKEFRTELSSLIAELASAAASEKGLTFEEAMEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAQGNTDPCPLHSQWLKELKIV >Vigun11g121300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32774573:32775775:-1 gene:Vigun11g121300.v1.2 transcript:Vigun11g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMEVPALFLCPVSLQLMREPVTVCTGITYDRENIERWLFSCKNNKTCPVTRQSLSHTDLTPNHTLRRLIQAWCDHNVCLGHETFSSPNPTTIDQTEIVKLLLEAKKFPEKQLKCLSRLQCIALESESSKICLESAGAIDFLASTMMINSTGMSEAAIEIMFHLNPSESQLKNLMNNEGIQFVESLVHVLKLGKHQSRGYTTLLLKSAFKVASPTQLNNATREVFVEIVRVLRDQISMEASKAALKLLVELCSWGRNKIKAVESGGVLVLVELLLEVSERRACELMLIALEKLCGCAEGRAELVNHGAGFAVVAKKILRVSQVASDRGVKILSSICRYSATPRVLHEMLLFGAVSKLCLVLQVEGCFKAKERARETLKLHSLVWRNSTCIPLPLLASYP >Vigun07g210000.5.v1.2 pep primary_assembly:ASM411807v1:7:33180073:33180473:1 gene:Vigun07g210000.v1.2 transcript:Vigun07g210000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRATFYVYHPCDFLQEAVRAVLKCLGIESSQPKEERNSSPQTHAAADPTTNSPTKTQTSLDDAADPPSNASLFARRDGSRRSAISHGPGPQHN >Vigun07g210000.3.v1.2 pep primary_assembly:ASM411807v1:7:33179891:33181505:1 gene:Vigun07g210000.v1.2 transcript:Vigun07g210000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRATFYVYHPCDFLQEAVRAVLKCLGIESSQPKEERNSSPQTHAAADPTTNSPTKTQTSLDDAADPPSVTDRSINASLFARRDGSRRSAISHGPGPQHN >Vigun07g210000.2.v1.2 pep primary_assembly:ASM411807v1:7:33179891:33181505:1 gene:Vigun07g210000.v1.2 transcript:Vigun07g210000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRATFYVYHPCDFLQEAVRAVLKCLGIESSQPKEERNSSPQTHAAADPTTNSPTKTQTSLDDAADPPSVTDRSINASLFARRDGSRRSAISHGPGPQHN >Vigun07g210000.4.v1.2 pep primary_assembly:ASM411807v1:7:33179891:33181505:1 gene:Vigun07g210000.v1.2 transcript:Vigun07g210000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRATFYVYHPCDFLQEAVRAVLKCLGIESSQPKEERNSSPQTHAAADPTTNSPTKTQTSLDDAADPPSNASLFARRDGSRRSAISHGPGPQHN >VigunL059308.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:9374:9637:1 gene:VigunL059308.v1.2 transcript:VigunL059308.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun03g266200.1.v1.2 pep primary_assembly:ASM411807v1:3:43626763:43627705:1 gene:Vigun03g266200.v1.2 transcript:Vigun03g266200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNMKFVVVVVCLAVFIGTTWGNVLESAKTTAGDAKDAAAPTVKAAKDSAASAAETAKDAAAPAFDAFAPSEEGAGAPSESFAQWAYEKISGGLGLRTEEDKSKDEDKSR >Vigun06g131100.1.v1.2 pep primary_assembly:ASM411807v1:6:25742764:25745668:1 gene:Vigun06g131100.v1.2 transcript:Vigun06g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKREEEAHPNNEPSTTMAFSDEIPNNFTFPSQPLTIFDAMPPPPFSSSCDHKASPFGFLDLLGVHDYHAPLFDWLPSAAPSRASSNVPDSSEVLNTPASPNLSSISSSSNEATATAMTTTMAGQSGKGGFEHEEAEAEEEEEGGNGGREDQDQDKTKKQLKPKKKNQKKQREPRFAFMTKSEVDHLDDGYKWRKYGQKAVKNSPYPRSYYRCTTTGCGVKKRVERSSDDPSIVVTTYEGQHTHPCPASSRASFGFVNEPGGLGHAGSSHFALPHQHHHQPQASALIYNSNPPFVNSASNFMNTTSFGGFGHDPANRQGFGHEAMLRDNGLLQDIIVPSQVRKEEKE >Vigun11g055700.2.v1.2 pep primary_assembly:ASM411807v1:11:10522286:10528094:-1 gene:Vigun11g055700.v1.2 transcript:Vigun11g055700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSYKTELGCIACDELRELGAGKPNWLVETPHLLCAIDTHSLLLANRSTILLLSWSDNSAASPPLKIRPELSPIDAESITALEWLVFGDNRVIVAGTSSGYLLLYSLRGDLIHRQMIYPGRVLKLRVRGTKKDLIQDTSSEEFCLIMPGVIARFDGSDVQNMLQKWFEEAQSRFWDQKPKSQDSEDFGNTDVKIPYQLWNVGKNGTCSDAAITGIMPPPLMEQQSSQRYYCAVAVGEDAVISAYRLSESKGRSLVGAILSKVVPATFSTLGSFSKLIWRSEPSPSKKSPKKSDQKPQPFARASSLTSLIDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEMLVSKDIASSSSSYSEPSKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTISCAKGCKMLQPSYRFGASMSTPYVPLEVFLLNGDSGQISILNRTLDS >Vigun11g055700.4.v1.2 pep primary_assembly:ASM411807v1:11:10522286:10528094:-1 gene:Vigun11g055700.v1.2 transcript:Vigun11g055700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPGRVLKLRVRGTKKDLIQDTSSEEFCLIMPGVIARFDGSDVQNMLQKWFEEAQSRFWDQKPKSQDSEDFGNTDVKIPYQLWNVGKNGTCSDAAITGIMPPPLMEQQSSQRYYCAVAVGEDAVISAYRLSESKGRSLVGAILSKVVPATFSTLGSFSKLIWRSEPSPSKKSPKKSDQKPQPFARASSLTSLIDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEMLVSKDIASSSSSYSEPSKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTISCAKGCKMLQPSYRFGASMSTPYVPLEVFLLNGDSGQISILNRTLDS >Vigun11g055700.1.v1.2 pep primary_assembly:ASM411807v1:11:10522788:10528155:-1 gene:Vigun11g055700.v1.2 transcript:Vigun11g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSYKTELGCIACDELRELGAGKPNWLVETPHLLCAIDTHSLLLANRSTILLLSWSDNSAASPPLKIRPELSPIDAESITALEWLVFGDNRVIVAGTSSGYLLLYSLRGDLIHRQMIYPGRVLKLRVRGTKKDLIQDTSSEEFCLIMPGVIARFDGSDVQNMLQKWFEEAQSRFWDQKPKSQDSEDFGNTDVKIPYQLWNVGKNGTCSDAAITGIMPPPLMEQQSSQRYYCAVAVGEDAVISAYRLSESKGRSLVGAILSKVVPATFSTLGSFSKLIWRSEPSPSKKSPKKSDQKPQPFARASSLTSLIDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEMLVSKDIASSSSSYSEPSKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTISCAKGCKMLQPSYRFGASMSTPYVPLEVFLLNGDSGQISILNRTLDS >Vigun11g055700.3.v1.2 pep primary_assembly:ASM411807v1:11:10522286:10528094:-1 gene:Vigun11g055700.v1.2 transcript:Vigun11g055700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSYKTELGCIACDELRELGAGKPNWLVETPHLLCAIDTHSLLLANRSTILLLSWSDNSAASPPLKIRPELSPIDAESITALEWLVFGDNRVIVAGTSSGYLLLYSLRGDLIHRQMIYPGRVLKLRVRGTKKDLIQDTSSEEFCLIMPGVIARFDGSDVQNMLQKWFEEAQSRFWDQKPKSQDSEDFGNTDVKIPYQLWNVGKNGTCSDAAITGIMPPPLMEQQSSQRYYCAVAVGEDAVISAYRLSESKGRSLVGAILSKVVPATFSTLGSFSKLIWRSEPSPSKKSPKKSDQKPQPFARASSLTSLIDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGC >Vigun11g055700.5.v1.2 pep primary_assembly:ASM411807v1:11:10522862:10528101:-1 gene:Vigun11g055700.v1.2 transcript:Vigun11g055700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMFRLCNVEMQNMLQKWFEEAQSRFWDQKPKSQDSEDFGNTDVKIPYQLWNVGKNGTCSDAAITGIMPPPLMEQQSSQRYYCAVAVGEDAVISAYRLSESKGRSLVGAILSKVVPATFSTLGSFSKLIWRSEPSPSKKSPKKSDQKPQPFARASSLTSLIDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEMLVSKDIASSSSSYSEPSKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTISCAKGCKMLQPSYRFGASMSTPYVPLEVFLLNGDSGQISILNRTLDS >Vigun08g211900.1.v1.2 pep primary_assembly:ASM411807v1:8:37342233:37346283:1 gene:Vigun08g211900.v1.2 transcript:Vigun08g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEREDEIEDMSTSPPSLGSMQFAGSNGFGHSMEFMSQAYLPNIYTEIDIKIEDSNFNQDPPLPIYLKFEDVEYKVRGSHAASTNPVKSVMSKVAIQHNLGEDKYKKILKGMTGSIGPGEILALMGPSGSGKTTLLRVVGGRLVDNVKGKITYNDVRFNAAVKRRIGFVTQEDVLFPQLTVEETLIFSAFLRLPGNMSEQQKYARVETTIKELGLERCRHTKIGGGYLKSISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTAANRLLLTLQGLAKGGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKAKDSMPYFSSLSFTPEIPMNPAEFMLDLATGQVNNISFPQDIFKDQESTDRSKSVIKYLQLKYKETLEPKEKDENHGATNTPEHLQLAIQVKKDWTVSWLDQFFILYKRTFRARCKDYFDKLRLVQALGIALLLGLLWWKSSTNTEAQVRDQVGLMFYICIFWTSSCIFGAVYVFPFEKVYLVKERKADMYRLSVYYVSSTLCDMVAHVFYPTFFMLILYFMAGFKRTVACFFLTLFAVLLIAITSQGAGELFGAAVMSIQRAGIVASLILMLFLLTGGYYVQHVPKVMQWLKYLSFVYYGFRLLLKVQYSGEQLYECESERGCRTLQSSPSFDTVNLKGGLTEAWILVAMALCFRVLAYFCLRRRIEVRN >Vigun08g211900.2.v1.2 pep primary_assembly:ASM411807v1:8:37342233:37346283:1 gene:Vigun08g211900.v1.2 transcript:Vigun08g211900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEREDEIEDMSTSPPSLGSMQFAGSNGFGHSMEFMSQAYLPNIYTEIDIKIEDSNFNQDPPLPIYLKFEDVEYKVRGSHAASTNPVKSVMSKVAIQHNLGEDKYKKILKGMTGSIGPGEILALMGPSGSGKTTLLRVVGGRLVDNVKGKITYNDVRFNAAVKRRIGFVTQEDVLFPQLTVEETLIFSAFLRLPGNMSEQQKYARVETTIKELGLERCRHTKIGGGYLKSISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTAANRLLLTLQGLAKGGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKAKDSMPYFSSLSFTPEIPMNPAEFMLDLATGQVNNISFPQDIFKDQESTDRSKSVIKYLQLKYKETLEPKEKDENHGATNTPEHLQLAIQVKKDWTVSWLDQFFILYKRTFRARCKDYFDKLRLVQALGIALLLGLLWWKSSTNTEAQVRDQVGLMFYICIFWTSSCIFGAVYVFPFEKVYLVKERKADMYRLSVYYGAGELFGAAVMSIQRAGIVASLILMLFLLTGGYYVQHVPKVMQWLKYLSFVYYGFRLLLKVQYSGEQLYECESERGCRTLQSSPSFDTVNLKGGLTEAWILVAMALCFRVLAYFCLRRRIEVRN >VigunL087502.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:32180:34034:1 gene:VigunL087502.v1.2 transcript:VigunL087502.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFACEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVCRVIPTGKSLLLQTLL >Vigun05g259150.1.v1.2 pep primary_assembly:ASM411807v1:5:45286078:45286503:1 gene:Vigun05g259150.v1.2 transcript:Vigun05g259150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTRSDHMIERVPRGGIWLCVGPSNCVEPVFRCLIIDLLYPVPFLAITESSGHTDIHNERKAQISKSPPS >Vigun09g060100.6.v1.2 pep primary_assembly:ASM411807v1:9:6129245:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun09g060100.5.v1.2 pep primary_assembly:ASM411807v1:9:6129245:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQAIFNQTEEFIIFIDELSNEIVIWDAMTTEKVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKETV >Vigun09g060100.2.v1.2 pep primary_assembly:ASM411807v1:9:6129204:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun09g060100.10.v1.2 pep primary_assembly:ASM411807v1:9:6129330:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun09g060100.4.v1.2 pep primary_assembly:ASM411807v1:9:6129245:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun09g060100.11.v1.2 pep primary_assembly:ASM411807v1:9:6129330:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun09g060100.8.v1.2 pep primary_assembly:ASM411807v1:9:6129331:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQAIFNQTEEFIIFIDELSNEIVIWDAMTTEKVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKETV >Vigun09g060100.3.v1.2 pep primary_assembly:ASM411807v1:9:6129204:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQAIFNQTEEFIIFIDELSNEIVIWDAMTTEKVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKETV >Vigun09g060100.1.v1.2 pep primary_assembly:ASM411807v1:9:6129328:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQAIFNQTEEFIIFIDELSNEIVIWDAMTTEKVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKETV >Vigun09g060100.9.v1.2 pep primary_assembly:ASM411807v1:9:6129328:6134381:1 gene:Vigun09g060100.v1.2 transcript:Vigun09g060100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSEQAVLDGKLYRHLNSLIVAHLRDNNLTQAASVVASATMTPLNAEAPPNRLLDLVAKGLAAEKGDITRGTDFSASLPLSHTAAIDFSTAPDMKGSSKSFPKHETRHLSEHKNIARCARFSADGRFVATGSADTSIKLFEVSKIKQMLLPEAKDGPVRPVIRTYYDHIQPINDLDFHPQGTILISGAKDQTIKFFDISKTNAKRAYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSSNIPETSPSGAINQVRYSCTGAMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAIFTKDQRFVLSCGKDSTVKLWEVGSGRLVRQYLGAIHTQLRCQVIIVLLGTFGRTVYHSRTSIQNL >Vigun02g071400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22269925:22271097:1 gene:Vigun02g071400.v1.2 transcript:Vigun02g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKDICDLSQTWDGDNGNSTEKRLRLFGFELNPSKESCVKESGEGDESVNSSNSVSSGGDKTLQEKTSAKDPDEKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQSINRYLQHPFQTSHGFAYQTSDSPWFYDPSTYNNSEFTLCEEPQISFNSNVLDTNFSCDQKSSWYSVASHPSSQQDTCMFTFSNANNNSPFIYKPCHYPSSNQSHSKALDLQLGLNLESNTIRRM >Vigun02g119800.1.v1.2 pep primary_assembly:ASM411807v1:2:27235616:27237336:-1 gene:Vigun02g119800.v1.2 transcript:Vigun02g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSKEVLQKAAKTLTDILVCPLSKQPLRYCEESNSLISDAIGVAFPIKNGVPCLVPTDGKILEEEDASKHDSDTNLSALNEESHGRSA >Vigun02g119800.2.v1.2 pep primary_assembly:ASM411807v1:2:27235595:27237366:-1 gene:Vigun02g119800.v1.2 transcript:Vigun02g119800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSKEVLQKAAKTLTDILVCPLSKQPLRYCEESNSLISDAIGVAFPIKNGVPCLVPTDGKILEEEDASKHDSDTNLSALNEESHGRSA >Vigun11g017200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2160865:2162436:1 gene:Vigun11g017200.v1.2 transcript:Vigun11g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGPYEEEKLLLSTLPKDTGWQSQHLYLFQGFWCPSFHLQGVNSFQKHFEAKDNDVIVAAFPKSGTTWLKALTFSILNRQRFSPSHNHPLLTSISHELVPFLEFIFHPHNLQYKRPYPLKDMTEPRLFATHIPFPSLSNSIKDSNCKIVYICRNPFDTFVSAWVFFNKIKPHSLHDLTIEESLEKYCKGICGYGPHWDHMLGYWKQSMATPNKVLFLKYEELKEDVNFYVKRVAEFLDCPFTEEEESNGVIESIIKLCSFDKMKNLEVNKSGTIDRNIEKKYFFRKGEIGDWVNYFSPAMIDKLSKTIEEKLRGSGLSFKTCS >Vigun02g183500.1.v1.2 pep primary_assembly:ASM411807v1:2:32358287:32362885:1 gene:Vigun02g183500.v1.2 transcript:Vigun02g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGKSDAGAAAANGRKRRRYLPHNKTVKKGSYPLRPGVQGFFITCDGGREHQASREALNILDSFYEELVGGERSSVKELPSKPLNKKITFADSDSSGSEDDDDDDNAEEEERQEEDEAKADKKPKLDTLKDENVGLDGGTGGESDPCKVDEPHTQALDKLSEGKGDIDNIKTAEAVVDELPAVKECSKTNVVEKSIDKLIDEELKELGDKNKRRFVKLDSGCNGVVFIQMRKKDGDRSPKDIVHQIVTSAASTRKHMSRFILRILPIEVSCYASKEEISKAMQPLVEQYFPEETQNPHKFAVLYEARANTGVDRMEIIDAVAKSVPGPHKVDLKNPDKTIVVEIAKTVCLIGVIEKYKEFSKYNLRQLTS >Vigun02g004500.1.v1.2 pep primary_assembly:ASM411807v1:2:2240228:2242967:-1 gene:Vigun02g004500.v1.2 transcript:Vigun02g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSTLIFTFTLLLFAIITFLKVLTSLLSPNKTKPNLPPGPKGLPVVGNLLQLGAKPHQTLATLAKTHGSIMSLKLGQVTTIVMSSAEAAKGVLQIHDHFLSNRKIPDAMKGANHDHFSLPFIPVSQQWRELRKLCNGLLFSNKNLDATVELRSKKVRELYSDIHHSSLKGEPVNIGRMAFKTIINQLSNTIYSEDLIQSAEKAGEMKELVTNIMKEVGRPNLADCFPVLKMIDPHGIRRRTGSYFEKLLNIFKSLIHKRLELRNDAAGYCTKRDMLDAMLNDAEHKMDIVKIQRLSLDLFVAGTDTVTSTVEWVMAELLHNPHVMSKAKEELERVIGKGNLVEESDIAKLPYLRAIVKETFRLHPAVPLLLPRKAEVEFEMHGHTIPKGAQVLVNVWAIGRDPNLWDKPSLFWPERFLESEIDFKGRSFELTPFGGGRRICPGLPLAIRLVFLMLGLFINSFDWELEGGIKAEDMNMDENFGLTLEKAQPVLAVPIIPKH >Vigun06g172300.1.v1.2 pep primary_assembly:ASM411807v1:6:29327976:29329702:-1 gene:Vigun06g172300.v1.2 transcript:Vigun06g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPQDCLSHRIGVPSPGFSRRRHYANNANVYRAQRKPAIRPEQRKRVVEKRPSSDDSRVSRSTGMVMEKVTILRRGESLDSKLKSGALRKEGDNLVVVGTQRLGPDPEKVTKQIRIVDFWSGRDVYAGSAFAMSPSPSALPLPSFSKRQDRFRISGRARPGYNRPVFLSFVPFLILESFSTSPLRPSSFGVLTRP >Vigun11g006200.3.v1.2 pep primary_assembly:ASM411807v1:11:659430:667366:1 gene:Vigun11g006200.v1.2 transcript:Vigun11g006200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPFSSAVVKDAKVLMVGAGGIGCELLKTLALSGFPDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPHINITPYHANVKDPEFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAANVPLVESGTTGFLGQVTIHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCVVWAKDLLFAKLFGDKNQGNDLNVRSSDGASSSENVEDVFERRKDEDIDQYGRKIFDHVFGYNIELALSNEETWKNRNRPKPIYSKDVLSDDLAQQNGNLEKNCVCDDELSVSAMTSLGMKNPQDIWSLRENSKIFLEALRLFFTKREKEIGNLGFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLQNDIESCRMTYCLEHPSRNMLLMPVEPFEPNKSCYVCSETPLSLEINTNRSKLKDFVEKIVKAKLGMNLPLIMCASNLLYEAGDVEDDMIAIYEANLEKVLAELPSPVTGGTMLTVEDMQQEFVCSINIKHREEFDEEKEPDGMVLSGWTQPVSATENKDKSVGNGASTSDAVVTAVESEKDEEISIVSTLKKRKLPDETDISSATAEEVKHQKQLEVIDDEDDLVMLDGDLDSFKKRRLS >Vigun11g006200.2.v1.2 pep primary_assembly:ASM411807v1:11:659430:667154:1 gene:Vigun11g006200.v1.2 transcript:Vigun11g006200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPFSSAVVKDAKVLMVGAGGIGCELLKTLALSGFPDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPHINITPYHANVKDPEFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAANVPLVESGTTGFLGQVTIHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCVVWAKDLLFAKLFGDKNQGNDLNVRSSDGASSSENVEDVFERRKDEDIDQYGRKIFDHVFGYNIELALSNEETWKNRNRPKPIYSKDVLSDDLAQQNGNLEKNCVCDDELSVSAMTSLGMKNPQDIWSLRENSKIFLEALRLFFTKREKEIGNLGFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLQNDIESCRMTYCLEHPSRNMLLMPVEPFEPNKSCYVCSETPLSLEINTNRSKLKDFVEKIVKAKLGMNLPLIMCASNLLYEAGDVEDDMIAIYEANLEKVLAELPSPVTGGTMLTVEDMQQEFVCSINIKHREEFDEEKEPDGMVLSGWTQPVSATENKDKSVGNGASTSDAVVTAVESEKDEEISIVSTLKKRKLPDETDISSATAEEVKHQKQLEVIDDEDDLVMLDGDLDSFKKRRLS >Vigun09g046400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4405984:4406833:1 gene:Vigun09g046400.v1.2 transcript:Vigun09g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLMLLFCFVAVMNTEVVPSSARNLKSTTTATTSPEVVTSTENIEHHHKVEADARSNYKVEKKEDKYDKNQIFPPMLPLPPLPLPNIPGLPPALPLPPVTITHAHFSAPSPPT >Vigun03g275300.6.v1.2 pep primary_assembly:ASM411807v1:3:45115843:45121147:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQMQVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g275300.5.v1.2 pep primary_assembly:ASM411807v1:3:45115843:45121147:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTRTTLHSVKPPLNDSKGKMETGGKRTMGGHKNGRRSNRERKMALIQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g275300.1.v1.2 pep primary_assembly:ASM411807v1:3:45115665:45121236:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTRTTLHSVKPPLNDSKQGKMETGGKRTMGGHKNGRRSNRERKMALIQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g275300.4.v1.2 pep primary_assembly:ASM411807v1:3:45115755:45120206:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKRTMGGHKNGRRSNRERKMALIQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g275300.3.v1.2 pep primary_assembly:ASM411807v1:3:45115665:45121236:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQMQVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g275300.2.v1.2 pep primary_assembly:ASM411807v1:3:45115665:45121236:-1 gene:Vigun03g275300.v1.2 transcript:Vigun03g275300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTRTTLHSVKPPLNDSKGKMETGGKRTMGGHKNGRRSNRERKMALIQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNTIRSSKHQRSKSMSQSEFNSTMMGRPHPSLARSASSRKLLFSSDTINEHAGKLVHGKQLHRKQDSFSSIPEEGRGKENRSFGNFVKDKQSPEKKTPTKVTSPIKKSPLKQESAEKCMDHLRLQLDWRLADHERAQSSSSSSDDKVSEVDITPNRVSEDIVKCLSSIFVRIGTSKDKIGEAKTPSRSASAFNQFGKEKDQFCDPYSICSESKTREVGPYKRLCEVKASTVDMNRASNAVFLIHRLKFLLGKLASLNLKGLTHQEKLAFWINVYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITLEHFILRLPYHLKFTCPKAAKNDEVKAQSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDDELEAAKRDYLQASVGITKSNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPDEMRNQAVECLEKRGRDSLSQMVQMMPYDFSFRLLLHQ >Vigun03g172000.3.v1.2 pep primary_assembly:ASM411807v1:3:20865098:20867810:1 gene:Vigun03g172000.v1.2 transcript:Vigun03g172000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGGIISPSKLRMKLLGPHHHRKKDGSNSNSSRTSPSRLEEDAEFVNSLLASKNDNLEDEVTSPSLEVLSLKPSGDAVVERKQSGKISHEAKETTAKENGDTGRVKMQHFQKVVGGGSSSTIHALRSVEDENLDYDSNASSSSFEFDKGERAGNNAATRSLFRPIPSKWNDAEKWIMNRQNIHANHSKKHVAHNQANRMASSMVRVAPESAHYDHKLPTGKVTETKRVDYCQPTSHTGFEKFSFVPSDAHSVSGQTHGGNPVVESFPQSKDLKEVNELVLSSSRGIDDQSVMPGIRSVAMRDMGTEMTPVPSQEPSRTATPVGSATPLRSPVSSMPSTPRRGAPAPTPLDNMTDEDSQFPVENGRTHLSEEEMKIKTRREIAALGVQLGKMNIAAWASKDEKEKNQSSPQGTNVQEQERIEFEKRAAMWEEAEKSKHTARFKREEIKIQAWESQEKAKLEAEMRRTEAKVEQMRAQTHAKMVKKIAMARQRSEEKRAAAEARKNREAERTVAQAEYIRQTGRLPSSSYICCGWL >Vigun03g172000.1.v1.2 pep primary_assembly:ASM411807v1:3:20864280:20867810:1 gene:Vigun03g172000.v1.2 transcript:Vigun03g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKVQGGIISPSKLRMKLLGPHHHRKKDGSNSNSSRTSPSRLEEDAEFVNSLLASKNDNLEDEVTSPSLEVLSLKPSGDAVVERKQSGKISHEAKETTAKENGDTGRVKMQHFQKVVGGGSSSTIHALRSVEDENLDYDSNASSSSFEFDKGERAGNNAATRSLFRPIPSKWNDAEKWIMNRQNIHANHSKKHVAHNQANRMASSMVRVAPESAHYDHKLPTGKVTETKRVDYCQPTSHTGFEKFSFVPSDAHSVSGQTHGGNPVVESFPQSKDLKEVNELVLSSSRGIDDQSVMPGIRSVAMRDMGTEMTPVPSQEPSRTATPVGSATPLRSPVSSMPSTPRRGAPAPTPLDNMTDEDSQFPVENGRTHLSEEEMKIKTRREIAALGVQLGKMNIAAWASKDEKEKNQSSPQGTNVQEQERIEFEKRAAMWEEAEKSKHTARFKREEIKIQAWESQEKAKLEAEMRRTEAKVEQMRAQTHAKMVKKIAMARQRSEEKRAAAEARKNREAERTVAQAEYIRQTGRLPSSSYICCGWL >Vigun03g172000.2.v1.2 pep primary_assembly:ASM411807v1:3:20864280:20867810:1 gene:Vigun03g172000.v1.2 transcript:Vigun03g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKVQGGIISPSKLRMKLLGPHHHRKKDGSNSNSSRTSPSRLEEDAEFVNSLLASKNDNLEDEVTSPSLEVLSLKPSGDAVVERKQSGKISHEAKETTAKENGDTGRVKMQHFQKVVGGGSSSTIHALRSVEDENLDYDSNASSSSFEFDKGERAGNNAATRSLFRPIPSKWNDAEKWIMNRQNIHANHSKKHVAHNQANRMASSMVRVAPESAHYDHKLPTGKVTETKRVDYCQPTSHTGFEKFSFVPSDAHSVSGQTHGGNPVVESFPQSKDLKEVNELVLSSSRGIDDQSVMPGIRSVAMRDMGTEMTPVPSQEPSRTATPVGSATPLRSPVSSMPSTPRRGAPAPTPLDNMTDEDSQFPVENGRTHLSEEEMKIKTRREIAALGVQLGKMNIAAWASKDEKEKNQSSPQGTNVQEQERIEFEKRAAMWEEAEKSKHTARFKREEIKIQAWESQEKAKLEAEMRRTEAKVEQMRAQTHAKMVKKIAMARQRSEEKRAAAEARKNREAERTVAQAEYIRQTGRLPSSSYICCGWL >Vigun08g115800.7.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.10.v1.2 pep primary_assembly:ASM411807v1:8:28264707:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWLPFECSGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.8.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.2.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268063:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRTTSYAIASSMQDNQHQPPFITCTTFNILAPIYKRLNHEDQNCRESDFKACWLARNQRILDWLLYERSSIICLQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.9.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.6.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.5.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28267996:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRTTSYAIASSMQDNQHQPPFITCTTFNILAPIYKRLNHEDQNCRESDFKACWLARNQRILDWLLYERSSIICLQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.14.v1.2 pep primary_assembly:ASM411807v1:8:28264707:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWLPFECSGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.13.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.11.v1.2 pep primary_assembly:ASM411807v1:8:28264707:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWLPFECSGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.12.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28268071:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.1.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28267996:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRTTSYAIASSMQDNQHQPPFITCTTFNILAPIYKRLNHEDQNCRESDFKACWLARNQRILDWLLYERSSIICLQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.4.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28267996:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRTTSYAIASSMQDNQHQPPFITCTTFNILAPIYKRLNHEDQNCRESDFKACWLARNQRILDWLLYERSSIICLQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQLNLIGHCHGLSVEETKDLWVQADINGNGVIDYKGFLQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >Vigun08g115800.3.v1.2 pep primary_assembly:ASM411807v1:8:28263898:28267996:1 gene:Vigun08g115800.v1.2 transcript:Vigun08g115800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRTTSYAIASSMQDNQHQPPFITCTTFNILAPIYKRLNHEDQNCRESDFKACWLARNQRILDWLLYERSSIICLQEFWIGNKELVDLYDKRLGDTGYINFKLARTNNRGDGLLIAVQKEYFTVVNYKELHFNDCSDRVAQLLHVELAFPFSQCQNSDVRHEILIVNTHLLFPHDPSLCLVRLHQVYKLLQYIQSYQNEHQLKPLPIILCGDWNGSKRGHVYKFLRSQGFVSSYDVAHHYTDADAHKWVSHHNHLGNICAVDFIWLLNPDKYQKLLQTSWSEALFSMFKYLLRRDSLTERDAFAFLKVDNEDCITYSSFCAALIQQQIWNPTGSDQRDDKNGQHDDETDGSETIGFNVKNAVLFPPEVEKGRWPEDYSLSDHATLTVVFSPIRMLCHTTK >VigunL068300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000476.1:17007:17728:-1 gene:VigunL068300.v1.2 transcript:VigunL068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKYRTTMSKYVSKITEFALDKLKVIWVVALWLVINLVLYIWKFKQYRQKGAYEVMGYCVRFAKGAAKTLKLNMALIVLTMCRRTLTKLRE >Vigun03g158000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17160213:17164198:1 gene:Vigun03g158000.v1.2 transcript:Vigun03g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNHVQLLPHLTVTLLVITVLFPVTECAAVSSIKTDAQALLMFKRMIQKDQSGVLSGWKLNKNPCTWYGVSCTHGRVTQLDISGNNDLAGTISLDPLSSMDMLSVLKLSLNSFSVNSTSLLHLPYGLTQLDLSFGGVTGPVPDNLFSKCPNLVVVNLSYNNLTGPIPEIFLQNCDKLQTLDLSSNNLSGSIFGLKMDCISLLQLDLSGNNLSDSIPLSLSNCTSLQSLNLAKNMISGEIPKGLGQLNKLQTLDLSNNQLTGWIPSELGNACASLLEVKLSFNNISGSIPSGFSSCTWLQLLDVSNNNLSGPLADSIFQNLGSLQELRLGNNAISGQFPSSLSSCKKLRIADFSSNKISGSIPRDLCPGAASLEELRMPDNLITGEIPAELSKCSQLKTIDFSLNYLNGTIPEELGQLENLEQLIAWFNGLEGKIPSKLGQCKNLKDLILNNNHLTGELPIELFNCSNLEWISLTSNELSGEIPREFGLLTRLAVLQLGNNSLSGEIPAELANCSSLVWLDLNSNKLTGEIPSRLGRQLGAKSLFGILSGNTLVFVRNVGNSCKGVGGLLEFSGIRPERLLQVPTLRTCDFTRLYSGPVLSYFTKYQTLEYLDLSYNELRGKIPDEFGDMVALQVLELSHNQLSGEIPSTLGQLKNLGVFDASHNRLQGHIPDSFSNLSFLVQIDLSNNELTGQIPSRGQLSTLPASQYANNPGLCGVPLPDCKSDNNQPTTNPTDDAGKGGHKTATATWANSIVMGILISVASVCILIVWAIAMRARRKEAEEVKMLNSLQACHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAESLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHGRIKTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMESRVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLSGKRPTDKEDFGDTNLVGWAKMKVREGKQMEVIDQDLLLATQGTDEAEAKEVKEMIRYLEITLQCVDDLPSRRPNMLQVVAMLRELMPGSTDGSSNSA >Vigun08g020600.1.v1.2 pep primary_assembly:ASM411807v1:8:1790199:1793374:-1 gene:Vigun08g020600.v1.2 transcript:Vigun08g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPFIFTKHYSIPSIFSRYFPFSSHNRHSFDDPVAGCSAVYRHALQFQRPATIRWSPRLENTASFIGSVTRKPARVNSKTGDFGAYTVLKVPTSNQPNSSFIWVLLMMWNSVAELAVEHLKPNDFIYASGSLGSYTKPDAGGIPRLNYKVDVKEFKFVTQRSGYQGHKKLESAKANVGMQNNQNRLHLWQVFFSNPNEWWDQRKRKLNPKQPDFKHKDTGEVLWLSTYDPPWVRRQLQLLDSKVAGGPVGPHHSHVSNWVYDD >Vigun07g281500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39608443:39611034:1 gene:Vigun07g281500.v1.2 transcript:Vigun07g281500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGGDSGKPFFCHVCTQRITCTDESEPFCPICLEGFVEEWNPNNHSHNPNPNFTHESGGESMDPEFPFDLIDTMLPLLLNAGSRTRREAADMFDPRTYLQNYLQNLRADGANIQFSFGQNSDTGFRPNPPPNLGDYYLGPGFEQLIQLLADNDPNRYGTPPAAKDAVENLPTITVDDELLNSEMNQCAVCQDEFEKGTQVTQMPCKHAYHADCLIPWLKLHNSCPVCRYELPTDDADYENRTRTSGDDGGSRSDVSDSGSGASGGNGRPVHRTVRIYLRHPFDGGDSAQDSPERGWEGWD >Vigun09g027500.1.v1.2 pep primary_assembly:ASM411807v1:9:2220828:2223645:-1 gene:Vigun09g027500.v1.2 transcript:Vigun09g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVKPFIQSKGLVGIEEKIVEVELLIRKDPEDTTFIGIWGMAGIGKTTLAEQVFNKLRSEYEGCYFLANEKEQSHRHGIISLKNEMFSALLGHAVKIDTPTSLPQEILRRISCMKVLIVLDDVNDLDHTEKLLGTLDNFGSGTRIIVTTRDKQVLKANKVDKIYQVNEFSSKEALELFNLIAFNQSDHEMEFNELSQRVVDYAHGIPLVVKVLARLLCGRNRQVWESELHKLKKMSLTEVYDVMKLSYNGLDRKEKQIFLDLACFFLGSHVRVNAGDLKYLLKEDENDDTIVVGLERLKDKALITSFDDNFVSMHDALQEMAWEIVHQESRKPGSSTWLLDPNDDVYKALKNDKCLCAIRSLRIHLPTTGKKKLIPGIFAEMSSRLQFLEISVENNDDLFDQVYPLAEELQFLETELRFLCGLHYPLKSLPENFSTDKLVILKLQHGRMEKLWEGLKNLVNLKELDLMHSKELKKLPDLSQATNLEVLVLLGCSMLTSVDSSIFSLPKLESIDLWGCESLTLLTSNSQFCNFSYLNLDFCNNLREFSLISQNMKELRLGFTKVKVLPSSFECHSKLKSLHLTRSDIEMLPSSFKNLTQLQHLDMNNCNKLRTIPELPPSLKTLEVSKCNSLQNLPKLPSSLKTLNAVDCKSLETVSFHSTADEQLTENKKRYLFWNCRNLDESSAEAIVVNAEVNLMELANQPLPTPSQEHEFYNDYEYNYHSYQGVYVYPGSSVPAWFKHTETNGDIIIDLSSASPFELFGFIFCFVLNKFHETDITGRLEFNITISNVDVGEGKIGSVKIYTDYYSDWSYHVCVMFDQRCSVTLNNIAREQKRFKINVTVGARIEFYDNYHELPQEVLKGFGVSISAYNTQQIEL >Vigun09g048000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665560:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665560:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665560:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665560:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4666488:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661441:4666422:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665560:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun09g048000.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4661450:4665561:-1 gene:Vigun09g048000.v1.2 transcript:Vigun09g048000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVNQCQIQEWYPKFKSVSIKTVIHQLPESFIQYLLDDSGPFLLPASVLNEDALPNRIHNPTEEEDFQVSEGSGDEAEDSPPPSFPELELKIKESIESLGGAVFPKMNWSAPKDSAWISTAGSLRCTSFSEIALLFRASDSLVHDLCHAYDSCQDKSSTRPHNFFLALRKWYPSLQPDMEFRCFIRDQKLIGISQREVTTFYPILLEKKNDILSLILAFFNNYVRAKFESENYTFDVYITKDERVKIVDFNPWGAFTLPLLFAWDELESIHTEGNDVEFRIVEDRCAVRPGLKTAVPFDYLDTSEGSGWDQFLRSADEEFRQQSAEAGA >Vigun05g099000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9685357:9689393:1 gene:Vigun05g099000.v1.2 transcript:Vigun05g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHQIFFHIFIPLCIIYLNIIINVATSHSLHHQQLLLLHLKDSLVFNPATSKKMVYWNQSSDCCQWNGVTCSKGDVIGLDLSQEFIFGGLDYSSLFELEYLQRLNLAYNHFNSSIPVNFGKLKSLRYLNLSNAGFHGQIPGEISHLTDLTTLDLSTPLTSQPILKLQNPNIAMFLQNLENITELYLDGVKVSAEGKEWCHALSSLQNLQVLSMSSCNISGPIDSSLATLEKLSIIRLSLNNISSSVPEFFANFSNLNVLEISSCSLTGLFPNGIFQLKTLKVFDISNNRDLHGVLPNFLPDAAFHSMNLSNTNFSGKLPGSISNLTQLVHLDLSFNRFTGPLPSFKMVKNLRYLSILHNNLTGVITTTHFEGLENLLSIDLGDNYLSGNIPMSLFTLLSLQELTLSRNGLDGLLDEFPNASSSKLELIDLSSNSLSGPIPASIFHIKGLRFLQLSDNDFNGTIRLDMVQRLQNLHTLGLSNNKLSVNVTLNDDHLISSFPSMKYLLLGSCNLGEFPGFLRNQTQINALDLSNNQIQGEIPNWIWRFKSLVYLNLSNNFLTNMEGPFDDLNSNLYILDLHSNQLTGSVPTFTKYVNHLDYSNNKFSTVPLNIDKYVPFVYFLSLSNNNIQGKIPESFCNLSSLRLLDLSYNRFNGLIPKCLMRRNSTLRVLNLSQNKLQGYVSDTISSSCSLIFLNLNGNLLEGVIPNTLANCKNLQVLNLGNNMFDDRFPCFLMKISILRVLILRSNKLNGPIECPHSTNNWEMLHIIDLASNNFTGILPGPVLRSLKQMMLSETKPQEKYENLYFDMFDNHDIVLYNSLLSNINKLQVIKLHKLLETEPYDVVVHLANYYRFTNENGGRYMDSVTVVYKALQMELTKIPTIFASLDLSSNHFEGPIPQELVSLRALNVLNLSHNIFSGHIPLSIGDLKNIESLDLSNNSLSGKIPSELAGLNFLAYLNLSFNHLWGEIPTGTQIQTFDPSSFEGNEGLCGPPLRDCSSVRGGHSSPTPAYEMHGSIDWSFLSVELGFIFGFGIVLLPLILFECWRVLYWKHVDDLLYMFVPQLGFLYEHHKGQRYRSLRWTG >Vigun11g204300.1.v1.2 pep primary_assembly:ASM411807v1:11:40149396:40156105:-1 gene:Vigun11g204300.v1.2 transcript:Vigun11g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGKPPKLPVEGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRIRGYNTIYICGTDEYGTATETKAMEENCSPKEICDKYYAIHKEVYNWFNISFDEFGRTSCPQQTEVCQEIFKKIFENNWLSENTMQQLYCDTCERFLADRLVEGTCPTPGCEYDSARGDQCEKCGKLLNPTELKNPRCKVCQKTPRIRDTDHLFLELPLLKDRLEKYITQMSVAGGWSQNAIQTTNSWLREGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITSCYTRDWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDVKDTEIPVEVWRYYLLTNRPEVSDTLFTWPDLQAKLNSELLNNLGNFINRVLSFIAKPAGQGYDSIIPSIPDDVSGDSHNLTKKLADKVAAYIDQYIEAMEKVKLKQGLKTAMSISSEGNAYLQEAQFWRLYKENKSLCALVMKTAVGIVYLLACLLEPFIPSFTLEVFNQLNLPAEIHVSLCDDKGDVDRVKKPWDIVSSGHKIGTPKPLFKELKDEEVDFYRKKFAGSQADRIVRAEAEAENVAEQLRKTKVSDGNGKKKAAKSSNEAKNKAAPEPDITITRLDIRVGLITKAQKHPDADSLYVEEIDVGEEQTRTVVSGLVKYIPLDEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNDDHSKVELVEPPSSAKVGERITFPGSEGNPDELLNPKKKVWETLQVDLHTNEDLVACYKNVPLTTSAGVCKVSSIRSGSIK >Vigun02g096100.1.v1.2 pep primary_assembly:ASM411807v1:2:25190585:25194063:1 gene:Vigun02g096100.v1.2 transcript:Vigun02g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSRVFKGAAVKLERRPAEAPHSLADIVFTLAEAIRFFYAETLGKWHLLDLPRAILFSIMDKTKKSVPMECGERSDCVQLKDPELLKELYELKKCLTRTMLFSKKSFRAFLFAAGFSKEDVLLRKRIARLLKPAFTVIRDKESNCLFVFIRGTQSVRDTLTDAIGAPVSFSPFIYIDGELKQDMVSGYGHRGMVAAARWIKKHCTPILLDELRKNHDYQIKVVGHSLGGGTAALLTYMLREIKQFSSCTCVTFGPAASVSSELSEFGKPFIISVINDLDIVPTLSASSVHEFIYEGKVKHENILSAARGTITAIGSRLPFASSAKAISDRAVSLGSQVVKRHKQRTQSLLSWSQKRDNVDTLPSSKSDTLAEVSRSSERSYEEIIISESTTDEDDSNFSDEDDDNDEIDEEEQLLSAFQNIITSSASDEELLSQLEKLELQKQENIIPIKEEEVITKDIAEEETIEVNHTEEKGDVITKSDNNMDIHPLYPPGRILHIVPAPSSDNSDSDYDSDQKHLYLYETPKQLYGKLRVSRRMIFDHMTNKYLKMLQKLINQLEDQHSQNHG >Vigun07g234100.1.v1.2 pep primary_assembly:ASM411807v1:7:35596867:35606635:-1 gene:Vigun07g234100.v1.2 transcript:Vigun07g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHSDEPASERRESPEKPECSCTFSDSLDSETDDSAAVDVTGKSVEFPEAENAEDSAESLYVYKNIYSLIPKSVSRLARLRTLKFFGNEINLFAPEVGNLTALECLQMKISSPGIGGLPLHSLHGLKELELSKGPPRTSAFPILTEISGLQCLTKLSICHFSIRYLPAEIGCLKKLEYLDLSFNKMKTLPVEISYLSGLISMKVANNKLMELPSAISSLSRLESLDLSNNKLTSLGSLELASMHSLKKLNLQYNKLLGTFQIPSWICCNMEGNDEARSKDDCGSSSVEMDLNESNFQENDETLSDGPQHISSSMLTSSSSSSRCFVSRKSGKRWKRRYYLQQKARQERLNNSRKWKAVDHDQLLSKKIHRISELVNHDSLDSETRAEIVSENGNLNDDKRIFSEQAINDNEVGNVNNDEVIIEKRFSEEDCCTAESEDEKDACLCSVENKQSEQDEASCLELLECVSKSKRHLDRDLDNPKPCKSRKSISSCSSLSCKYSKISFCGIEDHLSDGFYDAGRDRPFLPLERYEQNQCLASREVILLDRKRDEELDAVLLAAQALVQNLKQLNGFNRHGNQDVVDNLQTASLLALFVSDHFGGSDRGAIIERTRKSVSGSNYNKPFFCTCSVGSNTNIRASTEPVVNTIEDFTLSKISEKSLDSIKKRRNSIIVPIGSLQYGVCRHRALLFKYLCDHMEPPIPCELVRGYLDFSPHAWNIVLIKRGAKWVRMLIDACRPLDIREEENTEYFCRYIPLNRTTIPLSSRGIPGSDYSFPSLTACDELETKASTTLIKCKIGSVEAAAKVRTLADQGSSADKIKNFEYNCLGEIRILGALKHPCIVEMYGHQMSCQWSVSAEGNPEHRVFRSAIFMEYVKGGSLKNYLERLSETGKTYVPVELALHVAKDVSCALSELHSRHIIHRDIKSENILLDLDRKRDNGAPTVKLCDFDSAVPLRSTLHACCIAHVGTPPPCVCVGTPRWMAPEVMRTMYKKKSYGLEADIWSFGCLLLEMLTLQIPYSGLSDSHFLDSLQMGKRPQLTDELEALSSMNEPSMIPSGEEIEKSDVEEDMLKFLVDLFHKCVEENPNKRPTAEEIHKMLLAHTHKDRLQV >Vigun07g100500.2.v1.2 pep primary_assembly:ASM411807v1:7:17783279:17785772:-1 gene:Vigun07g100500.v1.2 transcript:Vigun07g100500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKNINVLVAAMNSIDECCNDENGKITVGVPLLYHFMENNKNESCLKEDLQEYHDCEDDEDDDDDDDLEGSTYGDGNQSPAELKLKIKKSLLELRCKVENAILGNFLLGEPNKDLEPEDVAVMREQLREISIWGVPLLPSKAHEGTDVVLRKFLKAKDYKVNEAFDMLQKTLIWRRENNIDGITDEDLGPESANAGFLCSRDREGRPVCYQVCGVFKDRRVYKKTFGSHNRCDRYLRWKIQLIEKAVKKLNFRDGGVDSIIQVFDLKNTPMQGTKELNSLSKKALILFQNYYPEIIHKHVIIYAPFWFYTSQVLFSRFINQRNKKKFILARPQKVTQTLHKFIAPEHLPCEYGGLRRNNDEDFSPADKATEIKIKGNTVSKVEFPVAEV >Vigun07g100500.1.v1.2 pep primary_assembly:ASM411807v1:7:17783279:17785766:-1 gene:Vigun07g100500.v1.2 transcript:Vigun07g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKNINVLVAAMNSIDECCNDENGKITVGVPLLYHFMENNKNESCLKEDLQEYHDCEDDEDDDDDDDLEGSTYGDGNQSPAELKLKIKKSLLELRCKVENAILGNFLLGEPNKDLEPEDVAVMREQLREISIWGVPLLPSKAHEGTDVVLRKFLKAKDYKVNEAFDMLQKTLIWRRENNIDGITDEDLGPESANAGFLCSRDREGRPVCYQVCGVFKDRRVYKKTFGSHNRCDRYLRWKIQLIEKAVKKLNFRDGGVDSIIQVFDLKNTPMQGTKELNSLSKKALILFQNYYPEIIHKHVIIYAPFWFYTSQVLFSRFINQRNKKKFILARPQKVTQTLHKFIAPEHLPCEYGGLRRNNDEDFSPADKATEIKIKGNTVSKVEFPVAELGVTIMWDVTVVGWDVCYKEEFIPDDEGSYTVLLQNQNVEGSSTRNSFYISEPGKIVITVENGTYKKRKMFYRSKARTTVPMFILL >Vigun05g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4088433:4089743:-1 gene:Vigun05g048000.v1.2 transcript:Vigun05g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHHAPTLLRPHCTTQLQSTQTVQKMDKMMNANMPQLRSSSSVSPPLTSLTNKVGKRWKEYQGVNNWNGLLDPLDENLRAEILRYGHFVEAAYKSFQFDPSSPNYATCKFTKTTHLERCGLHKTGYKVTKHLRATSGIKLPSWVDKAPSWVATQSSYIGYVAVCHNKEEIKRLGRRDIVVAFRGTTTCLEWLENLRATLTNVVPSTATGITAAEPCSVEENGTMVESGFLSLYTSKVSDKPSFMSLQDMVRKEIARILRTYEGENLSLTITGHSLGAALATLTAYDIRNSFLRPPHVTVISFGGPRIGNRSFRRRLEEQGTKVLRIVNSDDVITKIPGFVFEEVEEKEGDDVEVNGGEHMGSFQRWMRKRVEEVKWLLYSEIGEELRLCSRDSPYLKGINIATCHDLNIYLHLVDGFVSSTCPFRSTAKRFLRH >Vigun07g229100.1.v1.2 pep primary_assembly:ASM411807v1:7:35073887:35078825:-1 gene:Vigun07g229100.v1.2 transcript:Vigun07g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRRIFGAKKPSDSKPPKKRWAFLKPTPRNKSLPPPPPPSAFNTHFDSSTSLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGATAATSTSNSTRPAATAARVSQLRLPEQTAAVNIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQSADMLRRMQTLVRLQAQARASRAHLSYNTPSFKSSLSHYPVPEEREHPPRVLNTKFDGSSILKRCSSNANLRNVDSERARFDSNWLNRWMELDNKSKQTGDASVRTGRPDDDKSDKILEVDTWRPHFKSHHSSSSFQTAHYYLSSDNNNDNFMANESPSKRSAKALNQSFSSREVLQLNSLKFQKGKEEATSRTADNSPQAFSANSRNGSGARRGPFTPTRSECSWGFFSGYSGHPNYMANTESFQAKVRSQSAPRQRLEFDRHSSTRRPVHVVGPNSDQDSDLRNKVLPASNTVNRIGSSNLR >Vigun07g229100.2.v1.2 pep primary_assembly:ASM411807v1:7:35073887:35078825:-1 gene:Vigun07g229100.v1.2 transcript:Vigun07g229100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRRIFGAKKPSDSKPPKKRWAFLKPTPRNKSLPPPPPPSAFNTHFDSSTSLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGATAATSTSNSTRPAATAARVSQLRLPEQTAAVNIQSAFRGYLVPEEREHPPRVLNTKFDGSSILKRCSSNANLRNVDSERARFDSNWLNRWMELDNKSKQTGDASVRTGRPDDDKSDKILEVDTWRPHFKSHHSSSSFQTAHYYLSSDNNNDNFMANESPSKRSAKALNQSFSSREVLQLNSLKFQKGKEEATSRTADNSPQAFSANSRNGSGARRGPFTPTRSECSWGFFSGYSGHPNYMANTESFQAKVRSQSAPRQRLEFDRHSSTRRPVHVVGPNSDQDSDLRNKVLPASNTVNRIGSSNLR >Vigun07g229100.3.v1.2 pep primary_assembly:ASM411807v1:7:35073887:35078825:-1 gene:Vigun07g229100.v1.2 transcript:Vigun07g229100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMQTLVRLQAQARASRAHLSYNTPSFKSSLSHYPVPEEREHPPRVLNTKFDGSSILKRCSSNANLRNVDSERARFDSNWLNRWMELDNKSKQTGDASVRTGRPDDDKSDKILEVDTWRPHFKSHHSSSSFQTAHYYLSSDNNNDNFMANESPSKRSAKALNQSFSSREVLQLNSLKFQKGKEEATSRTADNSPQAFSANSRNGSGARRGPFTPTRSECSWGFFSGYSGHPNYMANTESFQAKVRSQSAPRQRLEFDRHSSTRRPVHVVGPNSDQDSDLRNKVLPASNTVNRIGSSNLR >Vigun03g339400.2.v1.2 pep primary_assembly:ASM411807v1:3:53774181:53776124:-1 gene:Vigun03g339400.v1.2 transcript:Vigun03g339400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLHQRRVSFSDPHSPKHHGSSDSKSDKTWNWLPRITSHDDHIRDDEYVTSVAATAFAIHSLEEAELRDSQKMREHPKSSRPQTMRREEDGISKRLSNGETSMKRSLGQDPRTKQTAFPVRRSNSTSSPRPVSPAVGYQRKQGVPLPHKNTKTRPETCERALKKIIQKQYEIMKSKILSWEFVKTIQASVQGARKMQNYHSKVKREDMIAQGARAGLERRRREQFEARKNSIKNRKTSKVPMKCLCFNS >Vigun09g108676.1.v1.2 pep primary_assembly:ASM411807v1:9:22832480:22832651:-1 gene:Vigun09g108676.v1.2 transcript:Vigun09g108676.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQARFEFIKSAFIFSSPSKKGPSSNWRFESSF >Vigun11g160900.1.v1.2 pep primary_assembly:ASM411807v1:11:36828278:36831546:-1 gene:Vigun11g160900.v1.2 transcript:Vigun11g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIEEVRTLWIGDLQYWVEESYLSQCFAHSGEVVSIKIIRNKMTGQPEGYGFVEFVSHAAAEAFLRTYNGTQMPGTEQTFRLNWASFGDSGPDHSIFVGDLAPDVTDFLLQETFRAHYPSVKGAKVVTDPATGRSKGYGFVKFTDETQRNRAMTEMNGVYCSTRPMRISAATPKKNASFQNQYAPPKAMYQFPAYTAPVNTVAPENDVNNTTICIGNLDLNVTEEELKQTFMQFGDIVSVKIYAGKGYGYVQFGTRASAEDAIQRMQGKIIGQQVIQISWASTLTARQDVPSGWGAQMDPNQWSAYYGYGQGYEAYAYGAAHDPSLYAYGAYAGYSAQYPQQVEGGQDMSVSIPVEESYDPLALPDVDKLNAAYLSTHGSSILGRSLWQRTSQSLQQA >Vigun09g143800.3.v1.2 pep primary_assembly:ASM411807v1:9:30664720:30668364:1 gene:Vigun09g143800.v1.2 transcript:Vigun09g143800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVCSVGPWNLNPKALSTPSFSFKSLKFPPFWPTQKVKMGPLSVSPMGFGTWAWGNQFLWGYQESMDDELQQIFNMALDYDINLFDTADSYGTGRLNGQSEKLLGRFIREFQEKKGSKRDIVIATKFAAYPWRLTPGQFVNACRASLDRMQIEQIGIGQLHWSTANYAPLQELALWDGLVAMYEKGLVKGVGVSNYGPKQLLKIHDYLKDRGVPLCSAQVQFSLLSTGKDQLEIKSICDSLGIRMIAYSPLGLGMLTGKYSPSKLPNGPR >Vigun09g143800.2.v1.2 pep primary_assembly:ASM411807v1:9:30664720:30668364:1 gene:Vigun09g143800.v1.2 transcript:Vigun09g143800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVCSVGPWNLNPKALSTPSFSFKSLKFPPFWPTQKVKMGPLSVSPMGFGTWAWGNQFLWGYQESMDDELQQIFNMALDYDINLFDTADSYGTGRLNGQSEKLLGRFIREFQEKKGSKRDIVIATKFAAYPWRLTPGQFVNACRASLDRMQIEQIGIGQLHWSTANYAPLQELALWDGLVAMYEKGLVKGVGVSNYGPKQLLKIHDYLKDRGVPLCSAQVQFSLLSTGKDQLEIKSICDSLGIRMIAYSPLGLGMLTGKYSPSKLPNGPRALLFKQILPGLDPLLSSLRDIANERRKTMSQVRGK >Vigun09g143800.1.v1.2 pep primary_assembly:ASM411807v1:9:30664720:30668364:1 gene:Vigun09g143800.v1.2 transcript:Vigun09g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVCSVGPWNLNPKALSTPSFSFKSLKFPPFWPTQKVKMGPLSVSPMGFGTWAWGNQFLWGYQESMDDELQQIFNMALDYDINLFDTADSYGTGRLNGQSEKLLGRFIREFQEKKGSKRDIVIATKFAAYPWRLTPGQFVNACRASLDRMQIEQIGIGQLHWSTANYAPLQELALWDGLVAMYEKGLVKGVGVSNYGPKQLLKIHDYLKDRGVPLCSAQVQFSLLSTGKDQLEIKSICDSLGIRMIAYSPLGLGMLTGKYSPSKLPNGPRALLFKQILPGLDPLLSSLRDIANERRKTMSQVAINWCICKGTVPIPGVKSIKQAEENLGALGWRLSSDELLRLDYAANESPRRMIQNIFQTR >Vigun09g242800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41184358:41184690:1 gene:Vigun09g242800.v1.2 transcript:Vigun09g242800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTTQKRQKPVSNGKEMETVSHERGTEEDELLGELEKKESLSVTRQLCIKPTHNSEKLDKEVVLRRIRHRKRMNKIRAAVGGFLFSTTTSDAAAQGKKWVDDAFAAL >Vigun09g083675.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10302901:10303497:-1 gene:Vigun09g083675.v1.2 transcript:Vigun09g083675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKLDAHFPKRKYSSPLLPREIAEHLPFSSEKINEIFEILALEPNSEKAENVEFALNMCEEPALNGEEKYCATSIEFMVDFVTSILGKIVHVTSTENENKSEKFLVKDGVKILADKNIITCHPMNYPYVVFYCHKISNSSVHFMPLEGEDGTRVKAVAVCHKDTSECDPNHNAFQVLKVKPGTIPVCHFFPDCNLL >Vigun09g113300.1.v1.2 pep primary_assembly:ASM411807v1:9:24821953:24824323:1 gene:Vigun09g113300.v1.2 transcript:Vigun09g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKEGDSHNSWVAKTHKAVKKANNSVLGYVSSKSHWALSHSHISLRAPQPKSVEKIVSKGIDIFKHKKPLNVELVHLLRLLDNHFMQWRFANARACAVNHTIFHKVWGNFICALNSQAKLRYSLVLTKIEIEREKLEMKLEGILQSQMKLLKTWRTMKKKYVAAITLLQECLYSVACRVHLLEGAKVDLKSTSDYEKRALNLTNSMISLLYTYLPLADGIAGLLSELAKVVVQEKFLLQEFNDIFHTMCLLQLEESNLRCSLIQLNS >Vigun05g282000.2.v1.2 pep primary_assembly:ASM411807v1:5:47100709:47104582:-1 gene:Vigun05g282000.v1.2 transcript:Vigun05g282000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMFYLAVRTNDITTFSSLVKENEEILQQRTADSFSTPLHLASRYGCTKIVSDIVRLCPDMVSAEDKNMETPVHEACRQDNVVVLKLLLDANSSAVCKLNLDGKNACFLACSHGHLDMVKLLLNLSEKVEPVAAGFDQSCIHIAASRGHTDVVRELLNKWSELTQVIDDNGNSPLHHACERGHREIAWILLRRDPNLGLLHNNNGYTPLHLAVMNGKVSILQDFASSIAASLNRITREEETVFHLAVRYGWHDALEFLVHASNGTNLLHCQDRYGNTVLHLAVIGKRYKMAEFLINKTKMDINARNSGGITALDILVQYKDSAENRQLQATFIRAGGRRSIQSVSPVASNSVSPVASSLSMSWRFTPNPVELPNQNESVTAYYDSATSTKSRSPSQPQMSEITENGNYKPYPTNSGKQKKHQTKRKVENLSNFFYSQSNRKKHYEMHREAILNARNTIIIVAVLIATVTFAAGISPPGGVNQAGAVGESTVGKTTAFKVFVISNNIALFTSLCIVIVLVSIIPFRRKAHTRLLAITHKVMWVAVAFMATGYVAAIWVILPHSPEMQWLSVVLLALGGGSLGTIFIGLNVMLIEHWLRKSKWKKTRKEGDDFCKKMSSSYGAGPSHMFGTTAMTPPSAYYPGSSSSMPFYPPPIPSQSPQIPQTVQSHQDEDDDDDDEPQQQQPQTRPNRPRRRPTCGTRGHR >Vigun02g205401.1.v1.2 pep primary_assembly:ASM411807v1:2:33897684:33902309:-1 gene:Vigun02g205401.v1.2 transcript:Vigun02g205401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VCAYVRVCVGACVRMCVRACCVSTCVCAYVRVCIRACVHACVGAWVCVRVCVCVCACARVCVCACVCTCLRICMCACMRVCVCACVRGCVDVCVHCVCVCVSAWVCACVGVCICMCVCVCTCVRAACVCVCVRGCVRVCVCVFSCVCVCGVRACVCACESAWVRACVHASVRVYACACVWVCRAWVRMCGCVCVSVRACVCVWCVCVRACVRVCMCACLRACVCACARVCVGSCMRVSECPCVCAYVCVFMRVCVGACCVCVCACVLVCMHACVCSCLRVYVRACVRVCARGCVGMCVHVCVCICVIACVCACVGACVGVYACAWVRVCVRACVRACVVQALVCAWLCACRRASVRASVRVC >Vigun01g094500.1.v1.2 pep primary_assembly:ASM411807v1:1:25807925:25809115:-1 gene:Vigun01g094500.v1.2 transcript:Vigun01g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSPLQFLLILLLALSCVMSSAAAVQTRRLLPNRENLSTQISSDKGVEELRSGEGLFDMAEEFMERRIDFENNDYPGTGANNRHDPKTPGTP >Vigun01g047100.4.v1.2 pep primary_assembly:ASM411807v1:1:7039376:7045247:1 gene:Vigun01g047100.v1.2 transcript:Vigun01g047100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIEADDTYTRILGRWPVFYYGMGHMLNDITAACWFTYLLLFLTDIGLSPRNAAVVMLSGQVADGFATIFIGELIDRFGHFKIWHGAGSVLVAVSFSSVFGVCLPCKMFSSNSSTFETVSYSIFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVALIVFSVIDGKTHTDVQNQYRWIAYLSIFIGCCFVSVFHLSTKEPREIVKKKVNFWYMGELTIVELQPSKTLVHASQLLKVGIHGKVHARISWDYWFKRILYYQVALIYMITRLVLNVSQAYLAFFVINDLQMAQSAKALVPALIYICSFVVSLVLQEIAWTGRMLKAYYSAGCILWIFCGAVILLLSANMSYVMYIVSVLIGIANALMMVTGVSMQNFLIGENLNGCAFVVGSLSFLDKISCGLALYVLQSNQNISSELQGTQFPFSVTRYGLGLVPAICSLIGVIVTYTMDFHNPSKSLTAPLLV >Vigun01g047100.1.v1.2 pep primary_assembly:ASM411807v1:1:7039376:7045247:1 gene:Vigun01g047100.v1.2 transcript:Vigun01g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIEADDTYTRILGRWPVFYYGMGHMLNDITAACWFTYLLLFLTDIGLSPRNAAVVMLSGQVADGFATIFIGELIDRFGHFKIWHGAGSVLVAVSFSSVFGVCLPCKMFSSNSSTFETVSYSIFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVALIVFSVIDGKTHTDVQNQYRWIAYLSIFIGCCFVSVFHLSTKEPRLKVGIHGKVHARISWDYWFKRILYYQVALIYMITRLVLNVSQAYLAFFVINDLQMAQSAKALVPALIYICSFVVSLVLQEIAWTGRMLKAYYSAGCILWIFCGAVILLLSANMSYVMYIVSVLIGIANALMMVTGVSMQNFLIGENLNGCAFVVGSLSFLDKISCGLALYVLQSNQNISSELQGTQFPFSVTRYGLGLVPAICSLIGVIVTYTMDFHNPSKSLTAPLLV >Vigun01g047100.5.v1.2 pep primary_assembly:ASM411807v1:1:7040807:7045247:1 gene:Vigun01g047100.v1.2 transcript:Vigun01g047100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGQVADGFATIFIGELIDRFGHFKIWHGAGSVLVAVSFSSVFGVCLPCKMFSSNSSTFETVSYSIFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVALIVFSVIDGKTHTDVQNQYRWIAYLSIFIGCCFVSVFHLSTKEPRLKVGIHGKVHARISWDYWFKRILYYQVALIYMITRLVLNVSQAYLAFFVINDLQMAQSAKALVPALIYICSFVVSLVLQEIAWTGRMLKAYYSAGCILWIFCGAVILLLSANMSYVMYIVSVLIGIANALMMVTGVSMQNFLIGENLNGCAFVVGSLSFLDKISCGLALYVLQSNQNISSELQGTQFPFSVTRYGLGLVPAICSLIGVIVTYTMDFHNPSKSLTAPLLV >Vigun01g047100.2.v1.2 pep primary_assembly:ASM411807v1:1:7039376:7045247:1 gene:Vigun01g047100.v1.2 transcript:Vigun01g047100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIEADDTYTRILGRWPVFYYGMGHMLNDITAACWFTYLLLFLTDIGLSPRNAAVVMLSGQVADGFATIFIGELIDRFGHFKIWHGAGSVLVAVSFSSVFGVCLPCKMFSSNSSTFETVSYSIFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVALIVFSVIDGKTHTDVQNQYRWIAYLSIFIGCCFVSVFHLSTKEPRLKVGIHGKVHARISWDYWFKRILYYQVALIYMITRLVLNVSQAYLAFFVINDLQMAQSAKALVPALIYICSFVVSLVLQEIAWTGRMLKAYYSAGCILWIFCGAVILLLSANMSYVMYIVSVLIGIANALMMVTGVSMQNFLIGENLNGCAFVVGSLSFLDKISCGLALYVLQSNQNISSELQGTQFPFSVTRYGLGLVPAICSLIGVIVTYTMDFHNPSKSLTAPLLV >Vigun01g047100.3.v1.2 pep primary_assembly:ASM411807v1:1:7039376:7045247:1 gene:Vigun01g047100.v1.2 transcript:Vigun01g047100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIEADDTYTRILGRWPVFYYGMGHMLNDITAACWFTYLLLFLTDIGLSPRNAAVVMLSGQVADGFATIFIGELIDRFGHFKIWHGAGSVLVAVSFSSVFGVCLPCKMFSSNSSTFETVSYSIFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAVALIVFSVIDGKTHTDVQNQYRWIAYLSIFIGCCFVSVFHLSTKEPREIVKKKVNFWYMGELTIVELQPSKTLVHASQLLKVGIHGKVHARISWDYWFKRILYYQVALIYMITRLVLNVSQAYLAFFVINDLQMAQSAKALVPALIYICSFVVSLVLQEIAWTGRMLKAYYSAGCILWIFCGAVILLLSANMSYVMYIVSVLIGIANALMMVTGVSMQNFLIGENLNGCAFVVGSLSFLDKISCGLALYVLQSNQNISSELQGTQFPFSVTRYGLGLVPAICSLIGVIVTYTMDFHNPSKSLTAPLLV >Vigun07g270100.3.v1.2 pep primary_assembly:ASM411807v1:7:38583460:38584208:1 gene:Vigun07g270100.v1.2 transcript:Vigun07g270100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFAIQNAVVPSHDEISVVCPKPRRLGLFNFPVNDHPARNFRWHLSCQVEPCDSNSSGSNPLDNILTKDGDFDVEHSWPVAASSPPFFCGSPPSRTTNPLIQDARFGDETFSPLSPQSWVVVPTASGLPPSPSSSARKGGCVRANFGNNPAVRIEGFDCLDRDRRNCSIPALA >Vigun07g070300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8642580:8644054:-1 gene:Vigun07g070300.v1.2 transcript:Vigun07g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKSLKEPERDRKKTKNIKHNGNISLDDVIEIARVMSPRSMAKDLSGTIKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEVPLE >Vigun05g013400.1.v1.2 pep primary_assembly:ASM411807v1:5:1057533:1062000:-1 gene:Vigun05g013400.v1.2 transcript:Vigun05g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKSTTLFTFAEKCKNVLVSNWQGSLNTIKADAKGSKGSIHTSKVKYIVRRGQPYLWVPENDMHNVNTIIDERGSFAVASPFPGPLGAILKSLKKLPARIALSGDVLPLKEEKAKSLEEKLQEVIVSEQKAIKEFSYTVSGVLSSSVSRTSRSDNLQGLLGDNERYTVYRFKTRSCTFIDVHGGTFDVDVQDLETSKADPLAQFSARIIDGINQSKARRTALMLFCFVYKDVNAKDVYVTSIDRKGFDVLAKVTSPALKDGIGDHQWKEFRFMFKDEAKDVEMFFSQLVEMEEEVINKVSASSGLN >Vigun02g019440.1.v1.2 pep primary_assembly:ASM411807v1:2:6803378:6804806:1 gene:Vigun02g019440.v1.2 transcript:Vigun02g019440.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSLILSFLFVTVAMEVCYGHSLSKESDIVVIACQNVGDCHVHSSALPCDPEYISCYEGYCVCYHKTFKPGNLF >Vigun10g143100.1.v1.2 pep primary_assembly:ASM411807v1:10:36004125:36008731:-1 gene:Vigun10g143100.v1.2 transcript:Vigun10g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENVKTGESAVTTIVNLAEEAKLAREGVVKAPNYALASICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYMYQQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEASPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKSNAFGLADNSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWNHAASVLTGDGRGKVPLEYTGMVDAFRKTVQHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDILGVEIRISD >Vigun07g052200.1.v1.2 pep primary_assembly:ASM411807v1:7:5460552:5463328:-1 gene:Vigun07g052200.v1.2 transcript:Vigun07g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTMILAEYTEFSGNFTTIASQCLQKLPSTNNRFTYNCDGHTFNYLVESGFTYCVVAVESAGRQLPIAFLERVKEEFSKKYGAGKAATASAKSLNREFGPKLKQQMQYCVDHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEMLVDKTDNLRSQAQDFRTQGTKVKRKMWVQNMKIKLIVFGIVLALILVMFMSICRGISCLQ >Vigun10g148800.1.v1.2 pep primary_assembly:ASM411807v1:10:36718087:36720074:1 gene:Vigun10g148800.v1.2 transcript:Vigun10g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMNGVEAEKQQENGNGKMGYDDVVEKIDYVFKVVVIGDSAVGKTQILSRFAKNEFCLDSKSTIGVEFQTKTVTINAKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFEHVARWLEELRAHADSSIVIMLVGNKGDLVEQRMVATEDAVEFAEEQGLFFSETSALSGDNVESAFLKLLEEINGVVSKKALECACGKVNGDTNFASFKGTKVDILSVPELEISEMKKLSSCSC >Vigun08g006332.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:560486:561113:1 gene:Vigun08g006332.v1.2 transcript:Vigun08g006332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFTMPPCEFPTSCIAPYLCFYWMTISNRFAKSNTFLLMPKQHILLSTKLKLGENFKQEQHNNYDLQNGSNQTRANRSNNNHTYTITSNLGKPILGLRKS >Vigun08g088233.1.v1.2 pep primary_assembly:ASM411807v1:8:20026361:20026962:-1 gene:Vigun08g088233.v1.2 transcript:Vigun08g088233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRRKKRRRRRKKEKGKEKEKEKGKEKEKEKEKEKKKKKKKKNEKKKKKEKKEKKKKKEKKKKKKKKRKKRKKKKKKKKNERKKKNEKKKKKNKKKRKKEKKRKKKKKKKKERKKKRKTMMMMRILKS >Vigun10g037900.1.v1.2 pep primary_assembly:ASM411807v1:10:5150486:5155040:1 gene:Vigun10g037900.v1.2 transcript:Vigun10g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRCVHQFPGPDTRKNFVSHLYSALANAGVNTFQDDEKLSKGQRLTELFHAIEVSQISIVVFSGNYICSTWCLDELVKIMECHAFRGQVVLPVFYDVNPSSLRSRSCVSYEVIFEYDSDLHRVKKRKRKKALSEAAGLLGWNVSNYRNENVVVKEIVSEVLERLDRTCMSTTDFPVGLKCRVQHCIGFLRKQTRGAYVLGIWGMGGIGKTTIAKAIYNKIRYEFKHKSFLESIREVWQRDQGQIDLQERLLSDILETEKVKVCSIYWGKAMIKKTLCKTRVLIVLDDVNTLEQFKALCGNGNGMVEGSVIIITTRDLGLLNMLDVDHVYEVEEMNEIESLELFSWHAFKEANPPEGFLELSKQVVTYCGALPLALEVLGSYLYKRRKKEWESVVSKLKEIPNDKIQEKLKISYDGLTDHTEKDIFLDICCFFIGKDRGDVTEILNGCGLYAEVGIEILVERSLVKVEKNNKLGIHDLLRDMGREIVRQSSPQEPQKRSRLWVHDDVLDILTEHTGTRVIEGLALKMQRTREVCFSTEAFEKMKRLRLLQLDHVQVAGDYGRLPKNLRWVHWKAFSLTHIPENFYQKNIVAIDLKYSSLKLVWRVPQLLERLKFLNLSHSKNLSKTPDFSKLPNLEKLILKDCPSLYEVHHSIGDLNNLLLLNLKDCTCLGNLPMVIYKLKSLQTLILSGCSNIDKLEEAIGQMESLTTLFADNTRLKQVPFAIVRSKKIGYVSLCGYKGLARNVFPSLVWSLMSHTRGALSCFQPFGIMPTSIVSMDIQDTNLVNLSNFREFSKLRSVCIQCDSDFQLTQEQRIVLHELCNVNFSGSENAYLSPISENSMVSYLIGMGGYKQVFTMLSNSISEVLRSSSSTDFVLPGDNYPHWLAYKGEGHSVPFQVPKASNFRMKGMLLCVVYSSTPENMATQALTNVFIFNYTKCTVQIYKKATTMFFSDEDWQCVISKLGPGDNVEIFVGDGHGITAKKTAVYLICGQTIKMRMESLGMRAQQTTDMEMEAKRPKKNIFGTIRNK >Vigun03g051200.1.v1.2 pep primary_assembly:ASM411807v1:3:4143290:4145692:-1 gene:Vigun03g051200.v1.2 transcript:Vigun03g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDQSRHSLVPGFLYSSKTLSHLSNNTNHGLPLLPSSSSSLPAQNGAKNPVILSPKEKIRMFSPAYYGACSAGGVFSCGLTHMAVTPLDLVKCNMQIDPVKYKNITSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGAENAVKYKTIIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFIKAEGFSGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKNVIPIPKEKCSKNKQLGVSFAAGYIAGVLCAVVSHPADNLVSFLNNAKGATIGDAVKKIGVVGLFTRGLPLRIVMIGTLTGAQWGLYDSFKVFVGLPTTGGSAPAPVK >Vigun09g222900.1.v1.2 pep primary_assembly:ASM411807v1:9:39582791:39585806:1 gene:Vigun09g222900.v1.2 transcript:Vigun09g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQLAYDATVKLMLSALERNLLPDAVTRRLTRLLLATRLRSSSDTSSELQLFNLLHFAHSLREMPIAINTEKPKSQHYELPTAFFKLVLGSNLKYSCCYFSSPSMTLEDAEEAMLKLYCERSNLKDGHTVLDVGCGWGSLALYIAKNYTNCRVTGICNSTTQKAYIEEKCRDLQLQNINIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISQWMKEDSLLFVHYFCHKAFAYHFEDKNEDDWITRYFFTGGTMASANLLLYFQDDVTVINHWLVNGKHYAQTSEEWLKRMDQRMTYIKPIMQSTYGNDSATKWTAYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Vigun09g222900.2.v1.2 pep primary_assembly:ASM411807v1:9:39583094:39585806:1 gene:Vigun09g222900.v1.2 transcript:Vigun09g222900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAINTEKPKSQHYELPTAFFKLVLGSNLKYSCCYFSSPSMTLEDAEEAMLKLYCERSNLKDGHTVLDVGCGWGSLALYIAKNYTNCRVTGICNSTTQKAYIEEKCRDLQLQNINIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISQWMKEDSLLFVHYFCHKAFAYHFEDKNEDDWITRYFFTGGTMASANLLLYFQDDVTVINHWLVNGKHYAQTSEEWLKRMDQRMTYIKPIMQSTYGNDSATKWTAYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >Vigun04g054600.2.v1.2 pep primary_assembly:ASM411807v1:4:5247849:5249705:1 gene:Vigun04g054600.v1.2 transcript:Vigun04g054600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVGFFLLGCLSIMISYANGYVTNWGWNNAHATFYGGSDASGTMGGACGYGNLYSQGYGTDTAALSTALFNNGLSCGSCYQIKCANDPQWCLPGTIVVTATNFCPPGGWCDPPNHHFDLSQPVFQQIAQYKAGVVPVVYRRVRCRRRGGIRFSINGHSYFNLVLVTNVGGAGDVHSVAIKGSRNRWQAMSRNWGQNWQSNSYLNGQSLSFLVTTSDGRSVLSYNAAPPSWSFGQTYTGRQFRY >Vigun04g054600.1.v1.2 pep primary_assembly:ASM411807v1:4:5247770:5249705:1 gene:Vigun04g054600.v1.2 transcript:Vigun04g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKMVHVGFFLLGCLSIMISYANGYVTNWGWNNAHATFYGGSDASGTMGGACGYGNLYSQGYGTDTAALSTALFNNGLSCGSCYQIKCANDPQWCLPGTIVVTATNFCPPGGWCDPPNHHFDLSQPVFQQIAQYKAGVVPVVYRRVRCRRRGGIRFSINGHSYFNLVLVTNVGGAGDVHSVAIKGSRNRWQAMSRNWGQNWQSNSYLNGQSLSFLVTTSDGRSVLSYNAAPPSWSFGQTYTGRQFRY >Vigun05g072200.1.v1.2 pep primary_assembly:ASM411807v1:5:6355613:6357632:-1 gene:Vigun05g072200.v1.2 transcript:Vigun05g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLRYAVVTGANKGIGFETVKQLASNGVKVVLTARDENRGQEAIGKLKDYGLSDLVTFHQLDVTHSASIDSLAEYVKTQFGKLDILVNNAGISGVNLDEVEEGSKFSWEELTQTYAMVEECLTTNYYGAKKVTEAFLPLLQLSNSPRIVNVSSQGGLLKLIANEWAKGVLNDAENLTEEKIDEVLKEFIKDFKEDSLKTKGWPTFLSAYMVSKAAMNSYTRILAKEHQNMIINSLCPGFVKTDINKNSGILSVDEGAASVVRLALLPDGSPSGLFYVRQELSNF >Vigun07g136300.3.v1.2 pep primary_assembly:ASM411807v1:7:24654585:24659128:-1 gene:Vigun07g136300.v1.2 transcript:Vigun07g136300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQCSKLHSLIMAKEASLCLLFLFFLIISCSGSLVGFSYRERGDTSTSAKTISFLRQKNVSMSQIRIFVTDHRILSTLSNSIVPTDLYLNRSQVQSLITSKPSELKARLLNFLPHSNIKSIIASCGSECLAQNEMPLFSRALKSIGSVLRELHIGREVKISVAFPLSYLEKLNTSHENSIRRILSFIREMKSFVMVEDSIDGELSMESHFVHAIIKRASLAASVLPCKDVPIVLTMKSTVVPTSLELAQFSERVSKYLEAKAHVTKRIAALYAEVHGTEDFTSKELKREEEELFPVSRREMLSKSHKRRSLDDTTSPTNVVFPTNPASSTPIITPPDTPTIITVPATNPVTISPSNPAGVPVTVPSTTPVSFPPTNPANTPVPVSNPATTTPIGIPPPTTNPVTSPPSSGNVPVTTNPQPQPPPANTNAPAIQGQSWCVAKQGSPEASLQAALDYACGMGGSDCSQIQQGGNCYSPVTLQNHASFAFNSYYQKNPAPTSCDFGGTATLVNTNPSSGSCVFPSSSSSTTATPKSSPPTPPTLSSPTLPPPSSVTPTPSIPTAPPTTSVTGPFGYGTPPSVLNSSNPASGTMPDFGSESPPVVNTTSASHSMSLRPSVGCILLMVSLVTMRLGVHP >Vigun07g136300.2.v1.2 pep primary_assembly:ASM411807v1:7:24654585:24659128:-1 gene:Vigun07g136300.v1.2 transcript:Vigun07g136300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSISEKVVILLHSLIMAKEASLCLLFLFFLIISCSGSLVGFSYRERGDTSTSAKTISFLRQKNVSMSQIRIFVTDHRILSTLSNSIVPTDLYLNRSQVQSLITSKPSELKARLLNFLPHSNIKSIIASCGSECLAQNEMPLFSRALKSIGSVLRELHIGREVKISVAFPLSYLEKLNTSHENSIRRILSFIREMKSFVMVEDSIDGELSMESHFVHAIIKRASLAASVLPCKDVPIVLTMKSTVVPTSLELAQFSERVSKYLEAKAHVTKRIAALYAEVHGTEDFTSKELKREEEELFPVSRREMLSKSHKRRSLDDTTSPTNVVFPTNPASSTPIITPPDTPTIITVPATNPVTISPSNPAGVPVTVPSTTPVSFPPTNPANTPVPVSNPATTTPIGIPPPTTNPVTSPPSSGNVPVTTNPQPQPPPANTNAPAIQGQSWCVAKQGSPEASLQAALDYACGMGGSDCSQIQQGGNCYSPVTLQNHASFAFNSYYQKNPAPTSCDFGGTATLVNTNPSSGSCVFPSSSSSTTATPKSSPPTPPTLSSPTLPPPSSVTPTPSIPTAPPTTSVTGPFGYGTPPSVLNSSNPASGTMPDFGSESPPVVNTTSASHSMSLRPSVGCILLMVSLVTMRLGVHP >Vigun07g136300.4.v1.2 pep primary_assembly:ASM411807v1:7:24654585:24659128:-1 gene:Vigun07g136300.v1.2 transcript:Vigun07g136300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQCSKLHSLIMAKEASLCLLFLFFLIISCSGSLVGFSYRERGDTSTSAKTISFLRQKNVSMSQIRIFVTDHRILSTLSNSIVPTDLYLNRSQVQSLITSKPSELKARLLNFLPHSNIKSIIASCGSECLAQNEMPLFSRALKSIGSVLRELHIGREVKISVAFPLSYLEKLNTSHENSIRRILSFIREMKSFVMVEDSIDGELSMESHFVHAIIKRASLAASVLPCKDVPIVLTMKSTVVPTSLELAQFSERVSKYLEAKAHVTKRIAALYAEVHGTEDFTSKELKREEEELFPVSRREMLSKSHKRRSLDDTTSPTNVVFPTNPASSTPIITPPDTPTIITVPATNPVTISPSNPAGVPVTVPSTTPVSFPPTNPANTPVPVSNPATTTPIGIPPPTTNPVTSPPSSGNVPVTTNPQPQPPPANTNAPAIQGQSWCVAKQGSPEASLQAALDYACGMGGSDCSQIQQGGNCYSPVTLQNHASFAFNSYYQKNPAPTSCDFGGTATLVNTNPSSGSCVFPSSSSSTTATPKSSPPTPPTLSSPTLPPPSSVTPTPSIPTAPPTTSVTGPFGYGTPPSVLNSSNPASGTMPDFGSESPPVVNTTSASHSMSLRPSVGCILLMVSLVTMRLGVHP >Vigun07g136300.1.v1.2 pep primary_assembly:ASM411807v1:7:24654390:24657980:-1 gene:Vigun07g136300.v1.2 transcript:Vigun07g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEASLCLLFLFFLIISCSGSLVGFSYRERGDTSTSAKTISFLRQKNVSMSQIRIFVTDHRILSTLSNSIVPTDLYLNRSQVQSLITSKPSELKARLLNFLPHSNIKSIIASCGSECLAQNEMPLFSRALKSIGSVLRELHIGREVKISVAFPLSYLEKLNTSHENSIRRILSFIREMKSFVMVEDSIDGELSMESHFVHAIIKRASLAASVLPCKDVPIVLTMKSTVVPTSLELAQFSERVSKYLEAKAHVTKRIAALYAEVHGTEDFTSKELKREEEELFPVSRREMLSKSHKRRSLDDTTSPTNVVFPTNPASSTPIITPPDTPTIITVPATNPVTISPSNPAGVPVTVPSTTPVSFPPTNPANTPVPVSNPATTTPIGIPPPTTNPVTSPPSSGNVPVTTNPQPQPPPANTNAPAIQGQSWCVAKQGSPEASLQAALDYACGMGGSDCSQIQQGGNCYSPVTLQNHASFAFNSYYQKNPAPTSCDFGGTATLVNTNPSSGSCVFPSSSSSTTATPKSSPPTPPTLSSPTLPPPSSVTPTPSIPTAPPTTSVTGPFGYGTPPSVLNSSNPASGTMPDFGSESPPVVNTTSASHSMSLRPSVGCILLMVSLVTMRLGVHP >Vigun05g158300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25566630:25566761:-1 gene:Vigun05g158300.v1.2 transcript:Vigun05g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTLIAISIFGLLVSFTGYALYTAFGQPSQQLRDPFKEHGD >Vigun03g397000.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341024:60344863:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341019:60344875:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341020:60344865:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.8.v1.2 pep primary_assembly:ASM411807v1:3:60341024:60344874:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVHGFLVCHCFSSLPLSYSYSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341024:60344863:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341020:60344865:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341024:60344872:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun03g397000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60341020:60344865:-1 gene:Vigun03g397000.v1.2 transcript:Vigun03g397000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTWHTKFMAFSFVTAFRASHYRTLTQVPLSEKGLIITKPDSWFVKIVSTLFLCSTSFDDRFLDYFRKHLTPSHVSEIVKRLNNPNLGFKFYQFTREKLSMSHSFWTYNMLLRSLCQVNLHSSAKLLYDSMRSDGQFPDSGLLGFLVSSFALADRFDVSKELLAEALRNGIQIKVIVNNFLNMLIKHNKLDDAICLFRELMRTRSCLETFTFNILMRGLCIAGEVDEALKLLSDMRSFGCSPDIVTYNTLLHGLCRIDQVHRACGLLKEVCLTCEFAPNVVSYTTLISGYCRLSKMKEASSLFDEMIRSGIKPNVFTFTALIDGFVKAGDMVSALGMHKKMLLHGCPPNVVTLTSLINGYCRIGWVNHGLDLLHEMKARHVPANLYTFSVLISALCKSNRLQEAHNLLRLLKQSDIVPQSFVYNPVIDGYCKSGNIDEANAIVAEMEEKCKPDKLTFTILIIGHCMKGRTPEAIGIFYKMLEAGCTPDDITIRTLSSCLLKAGMPCEASRIKETIFEKQSTLLKV >Vigun07g127650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23495789:23496145:1 gene:Vigun07g127650.v1.2 transcript:Vigun07g127650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRSDVAELVKARQILKDKEIELGDKQTELTTLKAKVDKLTPKMETFETQVRELAKNCKKLENEKEEMADQLCTTLNQGFQLALNQIKVLCPDANISSADITKEVVDGQLVKITDD >Vigun01g138900.3.v1.2 pep primary_assembly:ASM411807v1:1:31916864:31922326:-1 gene:Vigun01g138900.v1.2 transcript:Vigun01g138900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSYNGRSVSAIQLKAFEIRVKMEATFSSTTGRGYWNKARALAEPLLLRVVENRDRNPSSLSIARSSKFVQLSLRTRKSSLFKVLSAEKEQIHVVEGSCVDELYDALVARLLPSVLLSSNPNDKLLVGLAGPPGAGKSTLGHEVAGRINKIWLEKGSSFDSKVQPPDVAIVVPMDGFHLYRSELDAMENPEEAHARRGAPWTFNPLRLLTCLKNLRIDGSVYVPSFDHGVGDPVEDDIFVNLQFIDIDIDKAMQRVLKRHISTGIYRVLFPNVYQFPP >Vigun01g138900.2.v1.2 pep primary_assembly:ASM411807v1:1:31916864:31922326:-1 gene:Vigun01g138900.v1.2 transcript:Vigun01g138900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSYNGRSVSAIQLKAFEIRVKMEATFSSTTGRGYWNKARALAEPLLLRVVENRDRNPSSLSIARSSKFVQLSLRTRKSSLFKVLSAEKEQIHVVEGSCVDELYDALVARLLPSVLLSSNPNDKLLVGLAGPPGAGKSTLGHEVAGRINKIWLEKGSSFDSKVQPPDVAIVVPMDGFHLYRSELDAMENPEEAHARRGAPWTFNPLRLLTCLKNLRIDGSVYVPSFDHGVGDPVEDDIFVNLQFIDIDIDKAMQRVLKRHISTGKSPDIAKQRIDNNDRLNAELIMKSKKNADIVIKSVDF >Vigun01g138900.4.v1.2 pep primary_assembly:ASM411807v1:1:31916864:31922326:-1 gene:Vigun01g138900.v1.2 transcript:Vigun01g138900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSYNGRSVSAIQLKAFEIRVKMEATFSSTTGRGYWNKARALAEPLLLRVVENRDRNPSSLSIARSSKFVQLSLRTRKSSLFKVLSAEKEQIHVVEGSCVDELYDALVARLLPSVLLSSNPNDKLLVGLAGPPGAGKSTLGHEVAGRINKIWLEKGSSFDSKVQPPDVAIVVPMDGFHLYRSELDAMENPEEAHARRGAPWTFNPLRLLTCLKNLRIDVY >Vigun01g138900.1.v1.2 pep primary_assembly:ASM411807v1:1:31916864:31922326:-1 gene:Vigun01g138900.v1.2 transcript:Vigun01g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSYNGRSVSAIQLKAFEIRVKMEATFSSTTGRGYWNKARALAEPLLLRVVENRDRNPSSLSIARSSKFVQLSLRTRKSSLFKVLSAEKEQIHVVEGSCVDELYDALVARLLPSVLLSSNPNDKLLVGLAGPPGAGKSTLGHEVAGRINKIWLEKGSSFDSKVQPPDVAIVVPMDGFHLYRSELDAMENPEEAHARRGAPWTFNPLRLLTCLKNLRIDGSVYVPSFDHGVGDPVEDDIFVNLQHKVVIVEGNYLLLEDGVWKEISSLFDEKWFIDIDIDKAMQRVLKRHISTGKSPDIAKQRIDNNDRLNAELIMKSKKNADIVIKSVDF >Vigun01g068500.1.v1.2 pep primary_assembly:ASM411807v1:1:18355244:18358347:-1 gene:Vigun01g068500.v1.2 transcript:Vigun01g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFSSFLSFLLPPKPQLHPPSLTRFSPRSHKVCAQHQDHHSPELSSHAIMNPSHAHANMLFFRSAYNVQVVVDENEPEERLLNRFRREVMKAGVIQECKRRRFFENKQEEKKRKNREAAKRKSRSRRPQSRFQAQNKPDVATTKKVEDDDNWDLPEVDAPYISTT >Vigun05g137700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16718395:16719667:1 gene:Vigun05g137700.v1.2 transcript:Vigun05g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPRKKRVTYPFDDQARARLVSADQWNFNSSTQYSDDSDSLSLSNLVHGFFEEEDTNSSSEDSAFDESDSDRVDSVKDLADSVTDSSGLAISLYNADTYRNLLSAHVSEAAEKFGFLREREAALFRQKVVAFLRDRRHNAAVCETTPDALGGSHEFIDVVHSDAAKWRYFVDLDFHAQFKIVRPTRRFSDVLAAVPCIFVGCEEELKRTVSKLCEALMWCFRSKGLPVPPWRKNRYMQNKWFGPCRRTADPVQMAVNSTSCRSVGFDDTDFEAEGVVLFGQDDPSRNVY >Vigun05g130500.1.v1.2 pep primary_assembly:ASM411807v1:5:15141066:15144703:-1 gene:Vigun05g130500.v1.2 transcript:Vigun05g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFANHANLFFVLFILCFFVLDVCIAIDSITSSQSIKDPETLRSKDGNFTLGFFTPQNSTNRYVGIWWKSQSTVIWVANRNQPLNDSSGIVTISEYGNLVVLNGQKQVIWSTNVSKTLSNTSSEFSDSGKLVLMETATGNILWDSFHQPSDTLLPGMKLSTNTKTNKKIELTSWKSPSDPSVGSFSSGVVQRPDILEAFIWNETRPYWRSGPWNGGVFTGIPTMSTYLNGFKGGDDGEGNINIYYTVPSELDFVIYMLNSKGQYEQKLWDAEKNEMGVNWTSKVSDCDVYGMCGPFTSCNAQSTPICSCLKGFEPRNKDEWNGKNWSGGCVRRTPLKCERVRDQNTSTDAKEDGFFKLQMVKVPDFSEGSPVETDICRSQCLGNCSCVAYSHDDEIGCMSWTGNLLDIQQFSEGGLDLYLRVAYSELDKGSNKKIIITTAVIVATVIMVTGAYFMWRTSNHPAKFWQLINSVRKVNNDAFIEYNNGGTPEHESHSVIEELSQVKLQELLLFDFKRAAAATDNFHLSNKLGQGGFGPVYKGQLEDGQEIAVKRLSRASGQGLEEFMNEIVVISKLQHRNLVKLFGCCVEGDEKMLIYEYMPNKSLDVFIFDPSKCKLLDWRKRSSIIEGIARGLLYLHRDSRLKIIHRDLKASNILLDEDLNPKISDFGMARIFGGTQDQVNTSRVVGTYGYMSPEYAMEGLFSEKSDVFSFGVLVLEIISGRRSSSFFGNMHALSLLGFAWTQWKEGNALSLVDSELYDPIHHKDILRCIHIALLCVQELSVDRPTMATVISMLSNEFVLPAPSNSAFIQRQKMMNSTSSEETQRFRSINVVSITEIQGR >Vigun05g130500.2.v1.2 pep primary_assembly:ASM411807v1:5:15141066:15144703:-1 gene:Vigun05g130500.v1.2 transcript:Vigun05g130500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFANHANLFFVLFILCFFVLDVCIAIDSITSSQSIKDPETLRSKDGNFTLGFFTPQNSTNRYVGIWWKSQSTVIWVANRNQPLNDSSGIVTISEYGNLVVLNGQKQVIWSTNVSKTLSNTSSEFSDSGKLVLMETATGNILWDSFHQPSDTLLPGMKLSTNTKTNKKIELTSWKSPSDPSVGSFSSGVVQRPDILEAFIWNETRPYWRSGPWNGGVFTGIPTMSTYLNGFKGGDDGEGNINIYYTVPSELDFVIYMLNSKGQYEQKLWDAEKNEMGVNWTSKVSDCDVYGMCGPFTSCNAQSTPICSCLKGFEPRNKDEWNGKNWSGGCVRRTPLKCERVRDQNTSTDAKEDGFFKLQMVKVPDFSEGSPVETDICRSQCLGNCSCVAYSHDDEIGCMSWTGNLLDIQQFSEGGLDLYLRVAYSELDKGSNKKIIITTAVIVATVIMVTGAYFMWRTSNHPAKFWQLINSVRKVNNDAFIEYNNGGTPEHESHSVIEELSQVKLQELLLFDFKRAAAATDNFHLSNKLGQGGFGPVYKGQLEDGQEIAVKRLSRASGQGLEEFMNEIVVISKLQHRNLVKLFGCCVEGDEKMLIYEYMPNKSLDVFIFDPSKCKLLDWRKRSSIIEGIARGLLYLHRDSRLKIIHRDLKASNILLDEDLNPKISDFGMARIFGGTQDQVNTSRVVGT >Vigun09g120300.1.v1.2 pep primary_assembly:ASM411807v1:9:26504692:26509893:1 gene:Vigun09g120300.v1.2 transcript:Vigun09g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNRKGVVYTPVKDVDLGFYSTEFYLQANVKAPRMTGLLVKIFTHLLECPIIGTFLLFILKGNNLIHRLITNAEFQEPPLFVPLHDFKDLKEREVKCLDSSLPPPEKVHLALDCLPTSSQETLDGTDSSFCRWTIMDYAKAYRSGDITPSLVAERFIAAIDESTKPSLQMGFFIHYSVDDILRQAAESTHRYRRGEPISVLDGVLVAIKDEIDCLPYPTTGGTTWLHKERPCNDDACCVKRLRLCGAILVGKTNMHELGSGTSGINPHYGPARNPYDSSKIAGGSSSGSASLVSAGLCPVTLGVDGGGSVRMPASLCGVVGLKPTFARIPHDGVLPLNWTVGMVGILAGTVEDALIVYAAISGEIPYQQIFSVLTHINLPRLSLTKSISDIKLAKYGKWFDDCSNDVRICCSKTLLKLQDHYNWKIIDVTIPEIEAMRLAHYITIGSESSTALDSFKEKNFAELGWDVRVAQSIYGAFSGMEYLKAQKMRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDAIQTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGFDRLGLPIGLQFIGRPWSEATLIHLAFAVQAMCMSEYRKPKVYYDLLRKKNESNGM >Vigun09g120300.4.v1.2 pep primary_assembly:ASM411807v1:9:26504692:26509890:1 gene:Vigun09g120300.v1.2 transcript:Vigun09g120300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNRKGVVYTPVKDVDLGFYSTEFYLQANVKAPRMTGLLVKIFTHLLECPIIGTFLLFILKGNNLIHRLITNAEFQEPPLFVPLHDFKDLKEREVKCLDSSLPPPEKVHLALDCLPTSSQETLDGTDSSFCRWTIMDYAKAYRSGDITPSLVAERFIAAIDESTKPSLQMGFFIHYSVDDILRQAAESTHRYRRGEPISVLDGVLVAIKDEIDCLPYPTTGGTTWLHKERPCNDDACCVKRLRLCGAILVGKTNMHELGSGTSGINPHYGPARNPYDSSKIAGGSSSGSASLVSAGLCPVTLGVDGGGSVRMPASLCGVVGLKPTFARIPHDGVLPLNWTVGMVGILAGTVEDALIVYAAISGEIPYQQIFSVLTHINLPRLSLTKSISDIKLAKYGKWFDDCSNDVRICCSKTLLKLQDHYNWKIIDVTIPEIEAMRLAHYITIGSESSTALDSFKEKNFAELGWDVRVAQSIYGAFSGMEYLKAQKMRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDAIQTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGFDRLGLPIGLQFIGRPWSEATLIHLAFAVQAMCMSEYRKPKVYYDLLRKKNESNGM >Vigun09g120300.2.v1.2 pep primary_assembly:ASM411807v1:9:26504680:26509891:1 gene:Vigun09g120300.v1.2 transcript:Vigun09g120300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNRKGVVYTPVKDVDLGFYSTEFYLQANVKAPRMTGLLVKIFTHLLECPIIGTFLLFILKGNNLIHRLITNAEFQEPPLFVPLHDFKDLKEREVKCLDSSLPPPEKVHLALDCLPTSSQETLDGTDSSFCRWTIMDYAKAYRSGDITPSLVAERFIAAIDESTKPSLQMGFFIHYSVDDILRQAAESTHRYRRGEPISVLDGVLVAIKDEIDCLPYPTTGGTTWLHKERPCNDDACCVKRLRLCGAILVGKTNMHELGSGTSGINPHYGPARNPYDSSKIAGGSSSGSASLVSAGLCPVTLGVDGGGSVRMPASLCGVVGLKPTFARIPHDGVLPLNWTVGMVGILAGTVEDALIVYAAISGEIPYQQIFSVLTHINLPRLSLTKSISDIKLAKYGKWFDDCSNDVRICCSKTLLKLQDHYNWKIIDVTIPEIEAMRLAHYITIGSESSTALDSFKEKNFAELGWDVRVAQSIYGAFSGMEYLKAQKMRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDAIQTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGFDRLGLPIGLQFIGRPWSEATLIHLAFAVQAMCMSEYRKPKVYYDLLRKKNESNGM >Vigun09g120300.3.v1.2 pep primary_assembly:ASM411807v1:9:26504680:26509890:1 gene:Vigun09g120300.v1.2 transcript:Vigun09g120300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNRKGVVYTPVKDVDLGFYSTEFYLQANVKAPRMTGLLVKIFTHLLECPIIGTFLLFILKGNNLIHRLITNAEFQEPPLFVPLHDFKDLKEREVKCLDSSLPPPEKVHLALDCLPTSSQETLDGTDSSFCRWTIMDYAKAYRSGDITPSLVAERFIAAIDESTKPSLQMGFFIHYSVDDILRQAAESTHRYRRGEPISVLDGVLVAIKDEIDCLPYPTTGGTTWLHKERPCNDDACCVKRLRLCGAILVGKTNMHELGSGTSGINPHYGPARNPYDSSKIAGGSSSGSASLVSAGLCPVTLGVDGGGSVRMPASLCGVVGLKPTFARIPHDGVLPLNWTVGMVGILAGTVEDALIVYAAISGEIPYQQIFSVLTHINLPRLSLTKSISDIKLAKYGKWFDDCSNDVRICCSKTLLKLQDHYNWKIIDVTIPEIEAMRLAHYITIGSESSTALDSFKEKNFAELGWDVRVAQSIYGAFSGMEYLKAQKMRNRQLQFHKKIFAEADVIVSPTTGVTAYPIQDDAIQTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGFDRLGLPIGLQFIGRPWSEATLIHLAFAVQAMCMSEYRKPKVYYDLLRKKNESNGM >Vigun08g026300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2328279:2328821:-1 gene:Vigun08g026300.v1.2 transcript:Vigun08g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMEDREHCCSNDSTIITTNRRRRRSRSRSPTTTTLEKSKKQHREKPMKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPSARLNFPELLFQDEVDGANNDDDSVNMSADSIRRKATQVGARVDALQTALHHHAPSHNPTPISLKPDLNEFPKLEDLQD >Vigun06g050400.2.v1.2 pep primary_assembly:ASM411807v1:6:17573329:17575661:-1 gene:Vigun06g050400.v1.2 transcript:Vigun06g050400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGMMQATTRCKELLSMWLLAASANYALRRFMKVIERVVFAEFTCIVALGAISGHTTEAGFVDGACKGAVTGAIAALQLLNNAAAAEPLSKFALLRSLLNGKVFMEWICPVVAKVYQCHMNAHGTSYREESSDINNGVVRGITVKEMTWNIIRELPVQQFNSSEMLNLYNESCCSICFQDFEDEEFVKTLPNCGHFFHLVCIDKWLVKQGSCPICRIYVPQL >Vigun06g050400.3.v1.2 pep primary_assembly:ASM411807v1:6:17573328:17575661:-1 gene:Vigun06g050400.v1.2 transcript:Vigun06g050400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGMMQATTRCKELLSMWLLAASANYALRRFMKVIERVVFAEFTCIVALGGSIMGIIAGAISGHTTEAGFVDGACKGAVTGAIAALQLLNNAAAAEPLSKFALLRSLLNGKMNAHGTSYREESSDINNGVVRGITVKEMTWNIIRELPVQQFNSSEMLNLYNESCCSICFQDFEDEEFVKTLPNCGHFFHLVCIDKWLVKQGSCPICRIYVPQL >Vigun06g050400.1.v1.2 pep primary_assembly:ASM411807v1:6:17573329:17575661:-1 gene:Vigun06g050400.v1.2 transcript:Vigun06g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGMMQATTRCKELLSMWLLAASANYALRRFMKVIERVVFAEFTCIVALGGSIMGIIAGAISGHTTEAGFVDGACKGAVTGAIAALQLLNNAAAAEPLSKFALLRSLLNGKVFMEWICPVVAKVYQCHMNAHGTSYREESSDINNGVVRGITVKEMTWNIIRELPVQQFNSSEMLNLYNESCCSICFQDFEDEEFVKTLPNCGHFFHLVCIDKWLVKQGSCPICRIYVPQL >VigunL076701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:83724:84173:-1 gene:VigunL076701.v1.2 transcript:VigunL076701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECTVAVELCEKEEPPPHPENNGSTKKVKIRTEEEDPPPVVEIFEEEVDNGSEMISEEGRDKKDMVQEEVMPSIQFSSRIDDLLNQSMRLAVVVKQLVRSMGYRRLHDKIMNLWKRTVPLQLADLEGDSFIVKFQNAQDYQNALLGGPW >Vigun07g183900.5.v1.2 pep primary_assembly:ASM411807v1:7:30094885:30101065:1 gene:Vigun07g183900.v1.2 transcript:Vigun07g183900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSVTATPTFTLTLTKTLISLPKPYSISYKFNSLVSHCSLSDREEQRWLREEQRWLRDEQRWLREEQRWARERDQLLREISDLKLQIQEMERRLSTREVSTPASVSDAVANVTLLLQVLKDKNMVLESGSSIRKMEDVIRHTHKNGEEQGKRTSNIEEKQIEEEKRFEEVIEREKEVVVVEESVTVEKMSLLRKGSEGEEVRQMQEALLNLGFYSGEEDMGYSSFSSGTERAVKTWQAALGVPEDGIMTAELLERLYLEIRKKDAGSMTEDKQSTSVLPKEGENGAAVPSVTDISEVQQNVVKSDKGTEVPERGVFLLGENRWEEPSRLIASEGVDRSKNKNATTKCLQCRGEGRLLCTGFLRGLSKHGLSTI >Vigun07g183900.4.v1.2 pep primary_assembly:ASM411807v1:7:30094885:30101065:1 gene:Vigun07g183900.v1.2 transcript:Vigun07g183900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSVTATPTFTLTLTKTLISLPKPYSISYKFNSLVSHCSLSDREEQRWLREEQRWLRDEQRWLREEQRWARERDQLLREISDLKLQIQEMERRLSTREVSTPASVSDAVANVTLLLQVLKDKNMVLESGSSIRKMEDVIRHTHKNGEEQGKRTSNIEEKQIEEEKRFEEVIEREKEVVVVEESVTVEKMSLLRKGSEGEEVRQMQEALLNLGFYSGEEDMGYSSFSSGTERAVKTWQAALGVPEDGIMTAELLERLYLEIRKKDAGSMTEDKQSTSVLPKEGENGAAVPSVTDISEVQQNVVKSDKGTEVPERGVFLLGENRWEEPSRLIASEGVDRSKNKNATTKCLQCRGEGRLLCTGFLRGLSKHGLSTI >Vigun07g183900.3.v1.2 pep primary_assembly:ASM411807v1:7:30094874:30101064:1 gene:Vigun07g183900.v1.2 transcript:Vigun07g183900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSVTATPTFTLTLTKTLISLPKPYSISYKFNSLVSHCSLSDREEQRWLREEQRWLRDEQRWLREEQRWARERDQLLREISDLKLQIQEMERRLSTREVSTPASVSDAVANVTLLLQVLKDKNMVLESGSSIRKMEDVIRHTHKNGEEQGKRTSNIEEKQIEEEKRFEEVIEREKEVVVVEESVTVEKMSLLRKGSEGEEVRQMQEALLNLGFYSGEEDMGYSSFSSGTERAVKTWQAALGVPEDGIMTAELLERLYLEIRKKDAGSMTEDKQSTSVLPKEGENGAAVPSVTDISEVQQNVVKSDKGTEVPERGVFLLGENRWEEPSRLIASEGVDRSKNKNATTKCLQCRGEGRLLCTECDGSGEPNIEPQFLEWVDEGTKCPYCDGRGYTICDLCGGKAMV >Vigun07g183900.2.v1.2 pep primary_assembly:ASM411807v1:7:30094886:30101064:1 gene:Vigun07g183900.v1.2 transcript:Vigun07g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLSVTATPTFTLTLTKTLISLPKPYSISYKFNSLVSHCSLSDREEQRWLREEQRWLRDEQRWLREEQRWARERDQLLREISDLKLQIQEMERRLSTREVSTPASVSDAVANVTLLLQVLKDKNMVLESGSSIRKMEDVIRHTHKNGEEQGKRTSNIEEKQIEEEKRFEEVIEREKEVVVVEESVTVEKMSLLRKGSEGEEVRQMQEALLNLGFYSGEEDMGYSSFSSGTERAVKTWQAALGVPEDGIMTAELLERLYLEIRKKDAGSMTEDKQSTSVLPKEGENGAAVPSVTDISEVQQNVVKSDKGTEVPERGVFLLGENRWEEPSRLIASEGVDRSKNKNATTKCLQCRGEGRLLCTECDGSGEPNIEPQFLEWVDEGTKCPYCDGRGYTICDLCGGKAMV >Vigun05g205300.1.v1.2 pep primary_assembly:ASM411807v1:5:39435446:39438664:-1 gene:Vigun05g205300.v1.2 transcript:Vigun05g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTDSIASSSYPTKKAITKLLAIHLFLFLSLHVSDVGAQKTETPHSLELDSCDGVFISYTLVSREKEYPHVKNTTKQAWAFKAEATLTNVGDEEVQGWKMFVGFQHREILVSADGAILIDAGDFPAEVGNGTTMVGSTVADLKTAIETAGDLNQMSAKIDIVGTQFGLGAVATPMPKTISLQNDGFKCPAPTRKDSRMFACCRKDPKVKAKPVTQTKYPPRRPGDLIIEYDVLQAFQNNYYVQVTIENKHPLGRLDHWNLTWEWPKGEFIYSLKGAYARRKDPSDCLYGSAGKFYGDMDFSQVATCQKKPTISDLPAERKEDEKVGKLPWCCRNGTVLPPLMDKNRAKSMFQMQVFKMPPDTDNRTAITPPMKWNIDGVINPHYQCGAPVRVDPSVFPEPSGLSAISTAVATWQIVCNITKPKPQQNRCCVSFSAFYNESAIPCNTCACGCTDTSKCNSRASPLLLPPEALLVPFANRTLKARAWAKLKHLRVPSRLPCGDNCPVSINWHVNSDHKEGWTARITIFNWEDFSFDDWFTAIELTKTFEDFEDVYSFNGTRIPGLKTIFLEGLKGLNYLAGETNGTYAKDPRVPGKQQSVLSFTKKHGKHFDITKDGFPSKVFFNGMECSLPPIRPHKSSGHKPSISFIALIFIAFVTLLLI >Vigun05g205300.2.v1.2 pep primary_assembly:ASM411807v1:5:39435498:39438492:-1 gene:Vigun05g205300.v1.2 transcript:Vigun05g205300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTDSIASSSYPTKKAITKLLAIHLFLFLSLHVSDVGAQKTETPHSLELDSCDGVFISYTLVSREKEYPHVKNTTKQAWAFKAEATLTNVGDEEVQGWKMFVGFQHREILVSADGAILIDAGDFPAEVGNGTTMVGSTVADLKTAIETAGDLNQMSAKIDIVGTQFGLGAVATPMPKTISLQNDGFKCPAPTRKDSRMFACCRKDPKVKAKPVTQTKYPPRRPGDLIIEYDVLQAFQNNYYVQVTIENKHPLGRLDHWNLTWEWPKGEFIYSLKGAYARRKDPSDCLYGSAGKFYGDMDFSQVATCQKKPTISDLPAERKEDEKVGKLPWCCRNGTVLPPLMDKNRAKSMFQMQVFKMPPDTDNRTAITPPMKWNIDGVINPHYQCGAPVRVDPSVFPEPSGLSAISTAVATWQIVCNITKPKPQQNRCCVSFSAFYNESAIPCNTCACGCTDTSKCNSRASPLLLPPEALLVPFANRTLKARAWAKLKHLRVPSRLPCGDNCPVSINWHVNSDHKEGWTARITIFNWEDFSFDDWFTAIELTKTFEDFEDVYSFNGTRIPGLKTIFLEGLKGLNYLAGETNGTYAKDPRVPGKQQSVLSFTKKHGKHFDITKDGFPSKVFFNGMECSLPPIRPHKSSGHKPSISFIALIFIAFVTLLLI >Vigun01g144700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32672075:32673116:1 gene:Vigun01g144700.v1.2 transcript:Vigun01g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTMRPPSRRAPLPLNGGGKRKERHHGHSSQKPISSPTVTKLPKLAGSDPVSTNVLMAGYLAHEFLSKGTLLGRKMGQSPSPQEESQQQKYERYVELTLLLKKEGAHLFDIVNPNQLAQFLKL >Vigun09g046900.2.v1.2 pep primary_assembly:ASM411807v1:9:4450783:4453071:-1 gene:Vigun09g046900.v1.2 transcript:Vigun09g046900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLTNFTVPIYIITLPTDVHAAAPSQQIKFSERMALENGEKVEVAEAKAKAKKKDWVVLSLRVVAFFATASATLLMALNKQTKTFVVANVGSTPITATLAAKFSQTPAFVFFVVANANASLHNLVMIAMDVLGAQYDYKGLRLVCIAILDMMAMALASAGDGAATFMSELGKNGNSHARWDKICDKFERYCNRSGGALVASFIGFILLLVISLMSIIKLLKPNRINHASP >Vigun11g002400.1.v1.2 pep primary_assembly:ASM411807v1:11:240538:246646:-1 gene:Vigun11g002400.v1.2 transcript:Vigun11g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIKHRKKAKSKNKGSKKESGSSSSLVPQIPAKVWQPGVDKLEEGEELQCDPSAYNSLHAFHIGWPCLSFDILHDSLGLVRTEFPHTVYFMAGTQAEKPSWNSIGIFKVSNISGKRREPVPKVETDDSEMDEDSDSDADREDEDEGVVQGPSLQLRKVAHQGCINRIRSMPQNPHICAAWADTGHVQVWDLGSHLNALAETETEGVQGVAAVFNQDPLHKFKHKDEGYAIDWSPLVSGRLVSGDCDNSIYLWEPTSAGTWNVDNAPFTGHAASVEDLQWSPTEPHVFASSSVDGNIAIWDIRLGKSPAASFKAHNADVNVISWNRLASCMLASGSDDGTISIRDLRLLKEGDSLVAHFEYHKHPITSIEWSPHEASSLAVSSADNQLTIWDLSLEKDEEEEAEFKAKTKEQVNAPEDLPPQLLFIHQGQKDLKELHWHAQIPGMIVSTAADGFNILMPSNIQTTLPSNG >VigunL059657.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:15619:16107:1 gene:VigunL059657.v1.2 transcript:VigunL059657.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSFRFSDFRFWVTSFGLPISGFRFRVSWFGFGVPSSGFVFRVWDLGFWRFGIRLLGFRFRVWVLGCRVLSFGTGFGLMFRDLGFGIRFLGFGFRVSIFELEVSRFRFRMSVFRFFGFFGFRVSALGFRISGFGLRVSNFEFHGSGLGFHVWSFGFQVSS >Vigun04g140500.1.v1.2 pep primary_assembly:ASM411807v1:4:34810969:34817310:-1 gene:Vigun04g140500.v1.2 transcript:Vigun04g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFWSMACGETDCPGNGGKPPFCYDFKFLKDPSTCTIQFLIICFDVLLMIMLAFILIQKSLFKPFRGQFQVERYSNLQVLSAMTNGFLGLLYVCLGIWVLEEELRKRHTLFPLKLWLAELFQGFRWLFVGLSVSLQLKQLSRSWLWLFSLVTLFVSFIFCVLSMSYAISSRKLIFKEALDFLSLPVSMLLLLCTYKVHKREDTDGEIDEGLYDPLNDHCSEVDPDNHVTPFAKAGFLSKMSFWWLNPLMKRGQEKTLQDEDMPKLRESDRTESCYLSFLERLNREKGKEPLSQSSVLWTIVWCHRREILMTGFFALLKVLSLSTGPVLLNAFILVSEGNGSFKYEGYVLVISLFIIKIIESLSQRQWYFRSRLVGMKVRSLLTAAIYKKLLRLSSAARLTHSGGEIMNYVTVDAYRIGEFPYWFHQSWTTCLQICIAVVILFHAIGIATISSLVVIVLTVLCNTPLAKLMHKLQSKLMVAQDERLKASSEALTNMKVLKLYAWETHFRKAIERLRNLELKLLRSVQLKKAYNIFLFWSSPVLVSAASFGTCYFLNIPLHANNLFTFVATIRLVQEPITAIPDVIGVVIQAKVAFARIIQFLNAPELQSANFRNKSFDGSKGSIKIKSADFSWEGNESKSTLRNINLEIRHGQKFAICGEVGSGKSTLLATILGEVPMIKGTIEVYGKFAYVSQTAWIQTGTIRENILFGSDLDGHRYQETLRRSSLLKDLELFPHGDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATNLFNEYIMDGLKEKTVLLVTHQVDFLPAFDSVLLMSNGEILESAPYHHLLSTSREFQDLVNAHKKTAGSNKPMNVSSSKRPSISAKEITQASKENQLKETYGNQLIKEEEREIGDTGLKPYLQYLNQTKGYIYFFVTSLCHLLFVICQILQNSWMAANVDNNQVSTLRLIVVYCMIGALSTVFLLIRTLLLVALGIQSSKYLFLQLTNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDIDIPFIIAYTVGGTTNFYTNLMVLAIITWQILFIAVPMVYIAIRLQKYYFSTAKEVMRMNGTTKSFVANHVAETNAGVVTIRAFEEDNRFFEKSLDLIDINASPFFHSFASNEWLIQRLEIVSAVLLSSTALCMVTLPPGTFSSGFIGMALSYGLTLNAQLVFSIQSQCNLANYIISVERINQYMHIPSEAPEVVEGNRPPSDWPVAGKVELNDLQVRYRPDGPLILHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEAAGGKIVVDGIDISSIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQYSDHEIWEVLGKCQLREVVQEKFQGLNSPVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGRLVEYDEPTKLMTKEGSLFKQLVKEYWSHFQSAESH >Vigun04g099320.1.v1.2 pep primary_assembly:ASM411807v1:4:23142774:23144707:1 gene:Vigun04g099320.v1.2 transcript:Vigun04g099320.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKLRYKIFSKLFFLEEEEETEDKRRSKGSANHCLCGRNSPFSKLSIDIGLATQFSPYKIREWWAESVNDGLNPCSSGSKMASHNHASSSDNPHTSCICKVAARSSVNWACT >Vigun03g266500.1.v1.2 pep primary_assembly:ASM411807v1:3:43676039:43686261:1 gene:Vigun03g266500.v1.2 transcript:Vigun03g266500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAEYHEDARNVRTPFVHGSAGSNNLFVVLCVLVVALGPIQFGFTCGYSSPTQVDMIRDLNLSISRFSMFGSLSNVGAMVGATVSGQIAEYFGRKESLIFAAVPNIFGWLAISIAKDSSLLFMGRLMEGFGVGIISYVVPVYIAEISPRTLRGTLGSVNQLSVTIGIMLAYLLGLFVNWRVLAVLGVLPCGILIPGLYFIPESPRWLAEMGMIEKFEASLKFLRGPNVDITMEAQEIQGSLVSNNRTDTLQFGDLKRKRYWFPLMVGIGLLVLQQLSGINGVFFYSSNIFASAGISSSDVATFGLGAMQVAMTGIATSLVDRSGRRMLLILSSSIMTLSLLLVATSFYLERVVSNDSDLHQLLAMLSVMGLVALVIGFSLGIGPIPWIIMSEILPPNIKGLAGSTATFLNWFTASVITMTANLLLNWSTSGTFTIYAIFSAISVVFSILLVPETKDRTLEEIQASFIR >Vigun08g120500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28898796:28901717:1 gene:Vigun08g120500.v1.2 transcript:Vigun08g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLIILHNKPWPSLLIPSHSPSQLEWQGSTRVHASSNNVSMIKLSHPKLIDTQLNKLCVNGQLSEAVAILDSLAQQGSEVRPMTFINLLQACIDRDCIWVGRELHARVGLVRKVDPFVETKLVSMYAKCGLLEEARKVFDEMRERNLFTWSAMIGACSRDLKWEEVVELFYDMMENGVLPDDFLLPKILKACGKCRDFEAGRLIHSMVIRRGRCSSLRVINSILAVYAKCGEMSYAEKLFRRMDERSYVSWNVIITGYCQKGEIEQARKYFDAMQEEGIEPGLVTWNILIASYSQLGHCEIAIDLMRKMESFRITPDVYTWTSLISGFTQKGRINDAFELLREMFIVGVEPNSITIASAVSACASVKSLSMGLEVHSIAVKAGLVDDMIIGNSLIDMYAKGGNLEAAQRIFDEMLKRDVYSWNSIIGGYCQAGFCGKAHELFLKMQESDSPPNVVTWNVMITGFMQNGAEDEALDLFQRIEKDGNIKPNVASWNSLISGFLQSGQKEKALQIFRRMQFSNMAPNLVTVLTILPACANLVAAKKVKEIHCCAIRRNLVSELYVSNTFIDNYAKSGNIMYSRRVFDGLSPKDIISWNSLLSGYVLHGCSESALDLFDQMKKDDRLHPNRVTLASIISAYSHAGMVDKGKHTFSNMSEDFKIRLDLEHYSAMVYLLGRSGKLAEALKFILNMPVEPNFSVWATFLTACRIHRNFGMAIFAGERLLELDPENIITQHLLSQAYSLCGKSWEAPKMTKLEKEKFPVGQSWIEMNNMVHTFVVGDQSKPYLDKLHSWLKRVGVNVKAHISDIGDCIEEEEKEDVGSVHSEKLAIAFALIGSHHTPQILRIVKNLRMCKDCHDTAKYTSLAYGCEIYLSDSNCLHHFKDGHCSCRDYW >Vigun11g165400.4.v1.2 pep primary_assembly:ASM411807v1:11:37256391:37264562:-1 gene:Vigun11g165400.v1.2 transcript:Vigun11g165400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQDGPDLRQVRSSDRIKTRPSIYGRPYLFYNQNLRRTRKNKNKTRTAASQIAKMLRPGNRKQQDSNANSGSANLRRSTRKRRLNVNLEDFTDSSGAEDEDLMRPAYPSLRNRIKNRVKQDGLMSSKRKRAADTKPTPRREGLRPRRSKGAVIERLISESDDEQDLSEEKVDQDETENGNDAEENDADDGQNEIEGDAEGEDEGEDEGDEDGDDEEGEEEQDGRRRYDLRNRSDVRRFSMEERKARPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARPEDSDDSLLVDELDQGPAISWGRGGNRSGPPWLFGGLDTHGTTAFGLNLAASGWGHQGDALATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPPNELKKELAASCVGYCGADLKALCTEAAIHAFRQKYPQVYTSDDKFVIDVDSVKVEKTHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQQHLEKAMSVISDIFPPASITSELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFSEARRTTPSILYLPQFDVWWETSHEQLRAVLLTLLEELPSDLPILLLGTSSVEPAEVEEVPTSVFPHRTIYQVNMPCAKDRTLFFNLLIEAVMSILLEGINKKSQDTGYLPELPKAPKLATGPKVSELKAKVEAEQHALRRLRMCLRDICNRILYDKRFNAFHYPVSDEDAPNYRSIIQNPIDMATILQHVDNGQYITCAAFMQDINLIVSNAKAYNGEDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPVQLSDELEDSTFPASPVVQLGTGIRMSARLRHVQPEVNVDKSYEALKRTKKITEMHTAEEKSQDSVPPKSSQEHQPNDTNAKRLEPMSIDGNLHGTCTNNLADANSPQDVTVLDGEFLREVESVKQLFVKRSENFSIPQLERLYTRIMKGVFETKNKGVNGDLKSSVLKFLLNFVEDDANF >Vigun11g165400.6.v1.2 pep primary_assembly:ASM411807v1:11:37256391:37264562:-1 gene:Vigun11g165400.v1.2 transcript:Vigun11g165400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYPSLRNRIKNRVKQDGLMSSKRKRAADTKPTPRREGLRPRRSKGAVIERLISESDDEQDLSEEKVDQDETENGNDAEENDADDGQNEIEGDAEGEDEGEDEGDEDGDDEEGEEEQDGRRRYDLRNRSDVRRFSMEERKARPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARPEDSDDSLLVDELDQGPAISWGRGGNRSGPPWLFGGLDTHGTTAFGLNLAASGWGHQGDALATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPPNELKKELAASCVGYCGADLKALCTEAAIHAFRQKYPQVYTSDDKFVIDVDSVKVEKTHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQQHLEKAMSVISDIFPPASITSELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFSEARRTTPSILYLPQFDVWWETSHEQLRAVLLTLLEELPSDLPILLLGTSSVEPAEVEEVPTSVFPHRTIYQVNMPCAKDRTLFFNLLIEAVMSILLEGINKKSQDTGYLPELPKAPKLATGPKVSELKAKVEAEQHALRRLRMCLRDICNRILYDKRFNAFHYPVSDEDAPNYRSIIQNPIDMATILQHVDNGQYITCAAFMQDINLIVSNAKAYNGEDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPVQLSDELEDSTFPASPVVQLGTGIRMSARLRHVQPEVNVDKSYEALKRTKKITEMHTEEKSQDSVPPKSSQEHQPNDTNAKRLEPMSIDGNLHGTCTNNLADANSPQDVTVLDGEFLREVESVKQLFVKRSENFSIPQLERLYTRIMKGVFETKNKGVNGDLKSSVLKFLLNFVEDDANF >Vigun11g165400.2.v1.2 pep primary_assembly:ASM411807v1:11:37256391:37264562:-1 gene:Vigun11g165400.v1.2 transcript:Vigun11g165400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQDGPDLRQVRSSDRIKTRPSIYGRPYLFYNQNLRRTRKNKNKTRTAASQIAKMLRPGNRKQQDSNANSGSANLRRSTRKRRLNVNLEDFTDSSGAEDEDLMRPAYPSLRNRIKNRVKQDGLMSSKRKRAADTKPTPRREGLRPRRSKGAVIERLISESDDEQDLSEEKVDQDETENGNDAEENDADDGQNEIEGDAEGEDEGEDEGDEDGDDEEGEEEQDGRRRYDLRNRSDVRRFSMEERKARPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARPEDSDDSLLVDELDQGPAISWGRGGNRSGPPWLFGGLDTHGTTAFGLNLAASGWGHQGDALATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPPNELKKELAASCVGYCGADLKALCTEAAIHAFRQKYPQVYTSDDKFVIDVDSVKVEKTHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQQHLEKAMSVISDIFPPASITSELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFSEARRTTPSILYLPQFDVWWETSHEQLRAVLLTLLEELPSDLPILLLGTSSVEPAEVEEVPTSVFPHRTIYQVNMPCAKDRTLFFNLLIEAVMSILLEGINKKSQDTGYLPELPKAPKLATGPKVSELKAKVEAEQHALRRLRMCLRDICNRILYDKRFNAFHYPVSDEDAPNYRSIIQNPIDMATILQHVDNGQYITCAAFMQDINLIVSNAKAYNGEDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPVQLSDELEDSTFPASPVVQLGTGIRMSARLRHVQPEVNVDKSYEALKRTKKITEMHTEEKSQDSVPPKSSQEHQPNDTNAKRLEPMSIDGNLHGTCTNNLADANSPQDVTVLDGEFLREVESVKQLFVKRSENFSIPQLERLYTRIMKGVFETKNKGVNGDLKSSVLKFLLNFVEDDANF >Vigun11g165400.1.v1.2 pep primary_assembly:ASM411807v1:11:37256391:37264562:-1 gene:Vigun11g165400.v1.2 transcript:Vigun11g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQDGPDLRQVRSSDRIKTRPSIYGRPYLFYNQNLRRTRKNKNKTRTAASQIAKMLRPGNRKQQDSNANSGSANLRRSTRKRRLNVNLEDFTDSSGAEDEDLMRPAYPSLRNRIKNRVKQDGLMSSKRKRAADTKPTPRREGLRPRRSKGAVIERLISESDDEQDLSEEKVDQDETENGNDAEENDADDGQNEIEGDAEGEDEGEDEGDEDGDDEEGEEEQDGRRRYDLRNRSDVRRFSMEERKARPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARPEDSDDSLLVDELDQGPAISWGRGGNRSGPPWLFGGLDTHGTTAFGLNLAASGWGHQGDALATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPPNELKKELAASCVGYCGADLKALCTEAAIHAFRQKYPQVYTSDDKFVIDVDSVKVEKTHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQQHLEKAMSVISDIFPPASITSELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFSEARRTTPSILYLPQFDVWWETSHEQLRAVLLTLLEELPSDLPILLLGTSSVEPAEVEEVPTSVFPHRTIYQVNMPCAKDRTLFFNLLIEAVMSILLEGINKKSQDTGYLPELPKAPKLATGPKVSELKAKVEAEQHALRRLRMCLRDICNRILYDKRFNAFHYPVSDEDAPNYRSIIQNPIDMATILQHVDNGQYITCAAFMQDINLIVSNAKAYNGEDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPVQLSDELEDSTFPASPVVQLGTGIRMSARLRHVQPEVNVDKSYEALKRTKKITEMHTAEEKSQDSVPPKSSQEHQPNDTNAKRLEPMSIDGNLHGTCTNNLADANSPQDVTVLDGEFLREVESVKQLFVKRSENFSIPQLERLYTRIMKGVFETKNKGVNGDLKSSVLKFLLNFVEDDANF >Vigun11g165400.5.v1.2 pep primary_assembly:ASM411807v1:11:37256391:37264562:-1 gene:Vigun11g165400.v1.2 transcript:Vigun11g165400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQDGPDLRQVRSSDRIKTRPSIYGRPYLFYNQNLRRTRKNKNKTRTAASQIAKMLRPGNRKQQDSNANSGSANLRRSTRKRRLNVNLEDFTDSSGAEDEDLMRPAYPSLRNRIKNRVKQDGLMSSKRKRAADTKPTPRREGLRPRRSKGAVIERLISESDDEQDLSEEKVDQDETENGNDAEENDADDGQNEIEGDAEGEDEGEDEGDEDGDDEEGEEEQDGRRRYDLRNRSDVRRFSMEERKARPRSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARPEDSDDSLLVDELDQGPAISWGRGGNRSGPPWLFGGLDTHGTTAFGLNLAASGWGHQGDALATLTSGIQTAGPSSKGGADIQPLQVDDSVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPPNELKKELAASCVGYCGADLKALCTEAAIHAFRQKYPQVYTSDDKFVIDVDSVKVEKTHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQQHLEKAMSVISDIFPPASITSELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFSEARRTTPSILYLPQFDVWWETSHEQLRAVLLTLLEELPSDLPILLLGTSSVEPAEVEEVPTSVFPHRTIYQVNMPCAKDRTLFFNLLIEAVMSILLEGINKKSQDTGYLPELPKAPKLATGPKVSELKAKVEAEQHALRRLRMCLRDICNRILYDKRFNAFHYPVSDEDAPNYRSIIQNPIDMATILQHVDNGQYITCAAFMQDINLIVSNAKAYNGEDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIASQGGPVQLSDELEDSTFPASPVVQLGTGIRMSARLRHVQPEVNVDKSYEALKRTKKITEMHTEEKSQDSVPPKSSQEHQPNDTNAKRLEPMSIDGNLHGTCTNNLADANSPQDVTVLDGEFLREVESVKQLFVKRSENFSIPQLERLYTRIMKGVFETKNKGVNGDLKSSVLKFLLNFVEDDANF >Vigun02g038500.3.v1.2 pep primary_assembly:ASM411807v1:2:16176082:16180533:1 gene:Vigun02g038500.v1.2 transcript:Vigun02g038500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKKFEVDRNEDPMGYSSGMHSDWRFEGANLANSSAGLVAMGNSMNASRGDLIGSSSCSSASMVDSFGASYWDNTTGSQNLGFCDFNVHNNGGSSNTAGIRKEGFGFGRVAQVHHGTLEMAWNPANSMVPNGPGLFPHSLSQFPTDSGFIERAARFSCFSGGNFTDMVNSYGIAQSTGVYGARDAIAGHGLKSVTEGQSQGGDMNVVEATKDLSPSVEHLASKGSPLKSDKRSEGHIMSQDDGKLSLVRPANESDRAESSDDGGGQDDSPLLEGASGEPSIKGLNSKKRKRSGLDADNGKGNGATEIPNDAAQDNSENQQKVDHQPIPATKSSGKNAKLGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILQHRPGPSSGLGFPLDISMAFPPLHSSQPGLIHPIIPNMANSADILQRNIHQQLAPLNGELKEPNQLSDAWDDELHNVVQMSFATTTSLSNQHVDGTVSASQMKVEL >Vigun02g038500.5.v1.2 pep primary_assembly:ASM411807v1:2:16175654:16180346:1 gene:Vigun02g038500.v1.2 transcript:Vigun02g038500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKKFEVDRNEDPMGYSSGMHSDWRFEGANLANSSAGLVAMGNSMNASRGDLIGSSSCSSASMVDSFGASYWDNTTGSQNLGFCDFNVHNNGGSSNTAGIRKEGFGFGRVAQVHHGTLEMAWNPANSMVPNGPGLFPHSLSQFPTDSGFIERAARFSCFSGGNFTDMVNSYGIAQSTGVYGARDAIAGHGLKSVTEGQSQGGDMNVVEATKDLSPSVEHLASKGSPLKSDKRSEGHIMSQDDGKLSLVRPANESDRAESSDDGGGQDDSPLLEGASGEPSIKGLNSKKRKRSGLDADNGKGNGATEIPNDAAQDNSENQQKVDHQPIPATKSSGKNAKLGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILQHRPGPSSGLGFPLDISMAFPPLHSSQPGLIHPIIPNMANSADILQRNIHQQLAPLNGELKEPNQLSDAWDDELHNVVQMSFATTTSLSNQHVDGTVSASQMKVEL >Vigun02g038500.1.v1.2 pep primary_assembly:ASM411807v1:2:16175439:16180486:1 gene:Vigun02g038500.v1.2 transcript:Vigun02g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKKFEVDRNEDPMGYSSGMHSDWRFEGANLANSSAGLVAMGNSMNASRGDLIGSSSCSSASMVDSFGASYWDNTTGSQNLGFCDFNVHNNGGSSNTAGIRKEGFGFGRVAQVHHGTLEMAWNPANSMVPNGPGLFPHSLSQFPTDSGFIERAARFSCFSGGNFTDMVNSYGIAQSTGVYGARDAIAGHGLKSVTEGQSQGGDMNVVEATKDLSPSVEHLASKGSPLKSDKRSEGHIMSQDDGKLSLVRPANESDRAESSDDGGGQDDSPLLEGASGEPSIKGLNSKKRKRSGLDADNGKGNGATEIPNDAAQDNSENQQKVDHQPIPATKSSGKNAKLGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILQHRPGPSSGLGFPLDISMAFPPLHSSQPGLIHPIIPNMANSADILQRNIHQQLAPLNGELKEPNQLSDAWDDELHNVVQMSFATTTSLSNQHVDGTVSASQMKVEL >VigunL059114.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:1885:2425:1 gene:VigunL059114.v1.2 transcript:VigunL059114.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPSRGLGPGPPLRTLLQTTIRTPKAIDSHDGLFPVRSPLLGESLLVSFPPLIDMLKLSG >Vigun11g156000.1.v1.2 pep primary_assembly:ASM411807v1:11:36514056:36516535:-1 gene:Vigun11g156000.v1.2 transcript:Vigun11g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSVNHQQPHQNGHLSPSKFAKLFDPEASWDKDQLGDVLHWIRQGLGLVCGFLWGSIPLVGGIWFILFLALSTSIIYSYYAVILKVDEEEFGGHGALLQEGLFASITLFLLSWILVYSLAHF >Vigun07g168500.1.v1.2 pep primary_assembly:ASM411807v1:7:28226957:28230399:1 gene:Vigun07g168500.v1.2 transcript:Vigun07g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVLLLLFSLAFLLSNPTWVCGNGELRALMDLKASLDPESLYLPSWTVNGDPCGGYFEGVACNEKGQVANVSLQGKGLSGKLSPAIAGLKHLTGLYLHYNSLYGGIPREIANLTQLVDLYLNVNNLSGEIPREIATMENLQVLQLCYNNLTGSIPTQLGALKKLSVVALQSNQLTGAIPASLGDLGLLARLDLSSNNLFGSIPTSLAEAPSLRVLDVHNNTLSGNVPPALKRLEDRFLYEYNLGLCGVGFSSLKACNASDHVIPSRPEPYGAATRDIPETANVKLPCNGTQCLNSSKSNQSTSITVGIFVVIIAVSAIGILTFTLYRRRKQKLGGSFHISDNREEAMGGGVCRKDGSPLVSLEYSTGWDPLAESRNFNGYSQDMVQSLRFNLEEVESATQYFSELNLLGKNSFSATYRGVLRDGSVVAVKSISKTSCKSDEGEFMKGLNMLTSLRNDNVVRLRGFCCSRGRGECFLIYDFVSNGNLSRFLDVNEGDGEVLEWSTRVSIVKGVAKGMAYLHAYRGNKPVLIHQNISADKVLIDQRFNPLLADCGIYKLLTNDIVFSALKGSAAKGYLGPEYATTGRLAQTSDVYAFGVLLFQILSGKQEITSSIRVAAECSKFQEFMDPNLHGRYFEYEAAKLAKIALLCSHDSPFERPSMEAIVQELGNCSSCL >Vigun04g135200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33899492:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun04g135200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33899492:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun04g135200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33898462:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun04g135200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33898519:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun04g135200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33898513:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun04g135200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33894534:33899492:1 gene:Vigun04g135200.v1.2 transcript:Vigun04g135200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPPIYRLPHDTLHQIFSSLPLRQIILCRSLSKFFLHLLSAPSFLHLLSISHPPLHLHLLALRHRHTPHTSHTSHTSLSLFDPDHNTWLHFPLDFLPFHSPLPVASSHGLLYLWAQPKPKPNANPSQPDTTATKSLIACNPLTRTFRVLPHLGSAWSRHGAVLVDADHRVMVLTELAALYCHRTPKWLKFSSNLPSKPRSPLLINHSAFALCDVGSPWRSQWKLFSCNVAATPSPSWSRLERHEWGDVFDVLKRPRLVRGHGNRILMVGGLKSSFALNAPCSTILVLRLDLDKLEWDEAARMPLEMFRAFRESTKFKVFGGGDRVCFSAKRIGKLALWDRCAAEGEQWRWIDNLPGNGDGLYRGFVFEGRLNAVP >Vigun03g383100.1.v1.2 pep primary_assembly:ASM411807v1:3:58775258:58780798:1 gene:Vigun03g383100.v1.2 transcript:Vigun03g383100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSGVVSVRRPITHVVFDMDGLLLDTEKFYTEVQEKILARYNKTFDWTLKAKMMGKKAIESARIFVDETGISDSLSPEQFLVEREDMLQSLFPTSELMPGASRLVKHLHAKGVPIAVATGSHRRHFELKTQRHHEIFSLMHHIVLGDDPEVKQGKPSPDGFLAAAKRFEGGPVDPCNVLVFEDAPSGVLAAKNAGMSVVMVPDPRLDKSFHDAADQVLNSLLDFNPSEWALPPFEDNAS >Vigun08g130100.1.v1.2 pep primary_assembly:ASM411807v1:8:30044744:30051452:1 gene:Vigun08g130100.v1.2 transcript:Vigun08g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLDCAIALGKDNSNLTNMLYEHVKECVEDYSKLQVIDQNFVIDALPMGKMNDLRITIQQMVGVGLRKECCEVYCNWRRESLKECLMSLLGFPEINTEEFKDDIIRRRVEAVEVALTILVPSERRLCDSVFSGFPSVADLCFDDVCRGAIIQLLNIAVVTANGIHSNWRLFEILDMLQAWVFPESLIKKAMAIFHDELGEASMVSFMKVINMVIDYRQARVMVIRCGVHLTTLNVMRYLVSTCLNQRTSKHNVAGTSSFSVYIDRMMKHLEKHESCMALPFHDNNWRFVELSAERSGLDCLKKYSAKVQQNLKFYQRTWNTVLEFLKLENNAYAESLKSNINLFNHGTQSTWVVFDMQLREQIKMSVQNILLPAYGSFVGRFQNILGKDAFKHIRYGMFDIQDQLNNRFLERTKMHHHSEKEGLLNLCSK >Vigun07g034900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3341772:3343422:1 gene:Vigun07g034900.v1.2 transcript:Vigun07g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDENIPHETKVVVLVIPSPAQSHLNQLLRLSRRIVAHNIPVHYVSTVTHIRMVTLRHQNSTSDIHFHAFQVPPFVSPPPNPNDQESAFPSHLIPSFEASTHLREPVGKLLQSLSSQAKRVIIIHDALMAPVTQDAINMPNVENYTFHIFPAFIASVFFWEGQGKPPVEDIHFPEVPSDEGCLPAHFNDFLSSHSYNEFQNSYGDIYNTSRVIDGPYVDYMQRHSGGKKVWALGPLNLLAIDKNDSTGFRHPCLEWLDKQEPDSVIYVSFGTTTTLTDEQIKQIATGLEQSKQKFIWVLRDADKGDIFDEKEAKRHDLPNGFEERVNGMGVVVRDWAPQWEILRHPSTGGFMSHCGWNSCLESLSMGIPIATWPMHSDQPRNAALITEVLKVGLGVKDWSQRNALVSASDIENGVRRLMQTKEGEEMRERAMELKNGIHRSMEEGGVSRMEMESFISHITQ >Vigun05g237300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43042038:43044668:-1 gene:Vigun05g237300.v1.2 transcript:Vigun05g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNTTLRTFSNTSTNPILRLGRYQFHCHSNPLLQSHAVALNSKTLLRDTDPPIAQQLFDQSPIRDLKQPNRLPFGSFSNEQSQEALHHFVSICRSGLSPDELSMCYVLDHCAGSSDGTVGELVHCQCVKCGFVHHVSVGNSVVDMYMKTGNVRDGRRVFDEMGDKNVVSWNSLLTGYSLNGFNDQVWELFCQMQVEGYRPNYYTVSTVIPALAKLSVVAVGMQTHAMVVKLGFEAEKLVCNSLISMLSKSGMLRGARAIFYGMENKDSVSWNSMIAGHVINGQDLEAFEVFNKMQLAGAKPTSATFASVIKSCANLKELGLLRVLHCKTLKSGFSAHQKILTTLMVALTKCKEMDDAFSLFSLMDGVQSVVSWTAMISGYLQNGGTDQAVNLFSQMRGEGVKPNHFTYSAILTVQHVAFISEIHAEVIKTNYEKSSSVGTALLDAYVKIGIIDDAVKVFELIEVKDVIAWSAMLAGYAQGGETEEAAKIFHQLTKEGIKPNEFTFCSIINACTAPTASVEQGKQFHAYAIKLRLHNALCVSSSLVTMYAKRGNIDSAHEVFKRQKERDLVSWNSMISGYAQHGQAKKALEVFEEMQKQKLQVDAITFIGVISACTHAGLVEKGQNYFNAMINDHHVNPTMEHYSCMIDLYSRAGMMEKAMDIINGMPFSPAATVWRIVLAASRVHHNIELGKLAAEKIIALEPEDSAAYVLLSNMYAAAGNWQEKVNVRKLMDKRKVKKEPGYSWIEVKNKTYSFLAGDLSHPLSDHIYSKLSELNTRLRDAGYQPDTNYVFHDIEDEQKETILSHHSERLAIAFGLIATVPGIPLQIVKNLRVCGDCHSFIKLVSLIEQRYIVVRDSNRFHHFKEGLCSCGDYW >Vigun10g056700.1.v1.2 pep primary_assembly:ASM411807v1:10:10433868:10436315:-1 gene:Vigun10g056700.v1.2 transcript:Vigun10g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYLALLILLFLFSLKLLFQTRRFRNLPPGPMSYPIIGNLLQLKQPYHRTFAQMSQKYGQVFSLWFGSRLVVVVCSQSAVQECFTKNDIVLANRPHFLFGKYISYDNSTILHSSYGDHWRHLRRILSLEVVSNNRLTSFYEVRRDEIMRLVQKLANLSRNQFTKVDLKNMFMETSFNTMTRIVAGKRLFGDDCDVNDVEKAKEFKIIIKELVILAGINNRGDFLPFVRWFDFDNLEKKLKGFGKRTDAFLQELIEERRNGNSNGNTMIDHLLAQQRSQPEQYTDQIIKGLSLSLLLAGTDTSALTLEWTMANLLNHPEVIKKATNEINTHVGSNRLVEESDMSKLPYIQSIVYETLRLHPAAPIWSPHLSSEDCTVGKYNLPKDTIVLVNAWAAHMDPKMWSEPTQFKPERFENESEVNRLLSFGLGRRACPGSNLAQRTVSLSIALLLQCFEWKRIGKEEIDMSEGNGITISRTNSLEAMCQLRSSMV >Vigun01g085900.1.v1.2 pep primary_assembly:ASM411807v1:1:24235081:24239154:1 gene:Vigun01g085900.v1.2 transcript:Vigun01g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWILRWVLVVGVMCWCGEGIGVNWGTQATHKLPPETVVQMLKDNGIQKVKLFDADDSTMSALAGTGIEVMVAIPNIQLAEMNDYGRAKQWVKKNVTRYNFNGGVNIKYVAVGNEPFLKSYNNSFLNITFPALQNIQNALNEAGLGDTVKATVPLNADVYQSPDNNPVPSAGIFRPDISGLMTQIVQFLSKNDAPFTVNIYPFLSLYGNDDFPLNYAFFDGVDNPVNDNGTPYTNVFDANFDTLVAALKSVGFGEMPILVGEVGWPTEGDKNANVGYATRFYNGLLPRLASNRGTPRRPGHIEVYLFGLIDEDAKSIAPGNFERHWGIFKYDGQPKFPMDLSGQNQIKFLIGAQNVKYLAPRWCMFNPDAKDLSNLPENINYACTFGDCTALGYGSSCNNLDANGNASYAFNMYFQVQNQNPMACNFQGLAKITTDNISTPTCNFIVQIAASSASSLIPSLVAFLFTTLFMILFW >Vigun03g201500.1.v1.2 pep primary_assembly:ASM411807v1:3:31080982:31082518:-1 gene:Vigun03g201500.v1.2 transcript:Vigun03g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWLYRKTWKGMFLCQQQKPKLMEL >Vigun01g254400.1.v1.2 pep primary_assembly:ASM411807v1:1:41954814:41956820:1 gene:Vigun01g254400.v1.2 transcript:Vigun01g254400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSKRVRDATLFSFILVLLLVGFGNSDLSKDREECAEKLMGLASCVPYVGGEAKTPTIDCCSGLKVVLEKSKKCICILIKDRDDPNLGIKINATLAIQLPSACHAPANISHCVDLLHLAPNSPDAKVFEGLQKSAKTNGSTPVSSGSSSSAEENSGVSTKRWPVPHLLSSILPLLFLLV >Vigun03g098200.2.v1.2 pep primary_assembly:ASM411807v1:3:8355309:8362467:-1 gene:Vigun03g098200.v1.2 transcript:Vigun03g098200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYEMSDEQVDDSSIGSSQKCSSFDLNEEASSEDNSNGNDKRYEEAKDEGTSTNKSSSITREGNSERRGGVRQYVRSKMPRLRWTPELHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSETYKSTHELGRISHVSHPRQHFKMGNGGIILASDYTDHSYFHGLLHPSNLSHPHSRHQQWFFNNHQPFRKPSYFSNEVGSATLQTQGRSMSSNQIQVMDATSIAPMRPSQFLEEKRWPPLEIMNNHPWKKRLPSNTDSQSLLLHQFGSTTSPNKTRIREHLSNSDEHRSYSNTFKLEFEPPFRIKSNQEKMQNEKQWVPDLRLSLSQRDGNSDGKNDHCRETQEINTKLSLS >Vigun03g098200.3.v1.2 pep primary_assembly:ASM411807v1:3:8355309:8362004:-1 gene:Vigun03g098200.v1.2 transcript:Vigun03g098200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYEMSDEQVDDSSIGSSQKCSSFDLNEEASSEDNSNGNDKRYEEAKDEGTSTNKSSSITREGNSERRGGVRQYVRSKMPRLRWTPELHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSETYKSTHELGRISHVSHPRQHFKMGNGGIILASDYTDHSYFHGLLHPSNLSHPHSRHQQWFFNNHQPFRKPSYFSNEVGSATLQTQGRSMSSNQIQVMDATSIAPMRPSQFLEEKRWPPLEIMNNHPWKKRLPSNTDSQSLLLHQFGSTTSPNKTRIREHLSNSDEHRSYSNTFKLEFEPPFRIKSNQEKMQNEKQWVPDLRLSLSQRDGNSDGKNDHCRETQEINTKLSLS >Vigun03g098200.1.v1.2 pep primary_assembly:ASM411807v1:3:8355309:8362467:-1 gene:Vigun03g098200.v1.2 transcript:Vigun03g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEYEMSDEQVDDSSIGSSQKCSSFDLNEEASSEDNSNGNDKRYEEAKDEGTSTNKSSSITREGNSERRGGVRQYVRSKMPRLRWTPELHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSETYKSTHELGRISHVSHPRQHFKMGNGGIILASDYTDHSYFHGLLHPSNLSHPHSRHQQWFFNNHQPFRKPSYFSNEVGSATLQTQGRSMSSNQIQVMDATSIAPMRPSQFLEEKRWPPLEIMNNHPWKKRLPSNTDSQSLLLHQFGSTTSPNKTRIREHLSNSDEHRSYSNTFKLEFEPPFRIKSNQEKMQNEKQWVPDLRLSLSQRDGNSDGKNDHCRETQEINTKLSLS >Vigun07g153800.1.v1.2 pep primary_assembly:ASM411807v1:7:26504530:26510987:1 gene:Vigun07g153800.v1.2 transcript:Vigun07g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLIQCSVTSFSATRGGDSAGFFSPFTTRFSKNRKCRVRCSLDDNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIVGAGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLMKKVGANDNLLVKDHTHTFVNKGGQIGELDFRFPVGAPIHGIRAFLTTNQLNTYDKARNAVALALSPVVRALVDPDGALRDIRNLDSISFSDWFLSKGGTRMSIQKMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITDRGGRFHLRWGCRELLYDKTADGSIYVTGLSMSKATEKKIVKADAYVAACDVPGIKRLIPSEWREQQFFNNIYELVGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFADLALSSPENYYIEGQGSLLQCVLTPGDPYMPLPNDEIIARVAKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEGLVALRKELDAEFKDDLKFTNTKDKLSLV >Vigun07g153500.2.v1.2 pep primary_assembly:ASM411807v1:7:26452167:26454915:-1 gene:Vigun07g153500.v1.2 transcript:Vigun07g153500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVATASNEDRENMFLTLAVEEAYKGVESGDGYPYGAVIVRNDEIVASCHNMVVRNTDPSAHAEITAIRQACRKLNQVELSECEIYASCEPCPMCFGAILFSKIKKVVYGASAEAAVAVGLESNIADALMDDNFYEKVNLEIKKAQECAWCSGSSHGLRTLHTRYSPQRCSTPPQMHVEGYILWTIAPCIKMSGSCKKTITVYVPYAMYAIALFKSICEHEIHALTVFFYSDIV >Vigun07g153500.1.v1.2 pep primary_assembly:ASM411807v1:7:26453393:26455370:-1 gene:Vigun07g153500.v1.2 transcript:Vigun07g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVATASNEDRENMFLTLAVEEAYKGVESGDGYPYGAVIVRNDEIVASCHNMVVRNTDPSAHAEITAIRQACRKLNQVELSECEIYASCEPCPMCFGAILFSKIKKVVYGASAEAAVAVGLESNIADALMDDNFYEKVNLEIKKAQGSIAVIAEQVFENTKDKFVLP >Vigun07g153500.4.v1.2 pep primary_assembly:ASM411807v1:7:26452029:26454915:-1 gene:Vigun07g153500.v1.2 transcript:Vigun07g153500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVATASNEDRENMFLTLAVEEAYKGVESGDGYPYGAVIVRNDEIVASCHNMVVRNTDPSAHAEITAIRQACRKLNQVELSECEIYASCEPCPMCFGAILFSKIKKVVYGASAEAAVAVGLESNIADALMDDNFYEKVNLEIKKAQECAWCSGSSHGLRTLHTRYSPQRCSTPPQMHVEGYILWTIAPCIKMSAI >Vigun07g153500.3.v1.2 pep primary_assembly:ASM411807v1:7:26452167:26454915:-1 gene:Vigun07g153500.v1.2 transcript:Vigun07g153500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLAVEEAYKGVESGDGYPYGAVIVRNDEIVASCHNMVVRNTDPSAHAEITAIRQACRKLNQVELSECEIYASCEPCPMCFGAILFSKIKKVVYGASAEAAVAVGLESNIADALMDDNFYEKVNLEIKKAQECAWCSGSSHGLRTLHTRYSPQRCSTPPQMHVEGYILWTIAPCIKMSGSCKKTITVYVPYAMYAIALFKSICEHEIHALTVFFYSDIV >Vigun07g153500.5.v1.2 pep primary_assembly:ASM411807v1:7:26453461:26455370:-1 gene:Vigun07g153500.v1.2 transcript:Vigun07g153500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDVATASNEDRENMFLTLAVEEAYKGVESGDGYPYGAVIVRNDEIVASCHNMVVRNTDPSAHAEITAIRQACRKLNQVELSECEIYASCEPCPMCFGAILFSKIKKVVYGASAEAAVAVGLESNIADALMDDNFYEKVNLEIKKAQGSIAVIAEQVFENTKDKFVLP >Vigun11g082250.1.v1.2 pep primary_assembly:ASM411807v1:11:24421029:24421966:-1 gene:Vigun11g082250.v1.2 transcript:Vigun11g082250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLERQWTLRDKEGNRHVVTYNKNLQKPMLIGGWTELRHIYELHDFHTIYFGYVGDSCFHITIFPSKCKPSSIARFLKRIEADQPLFNGPKLHFFIFLNPNQCNASHLDLPADFGNYLRQGRFKYIFLYGPHKTVKCKLLLRNHPKKSSKIGSGWKEFCNAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun02g200101.1.v1.2 pep primary_assembly:ASM411807v1:2:33501952:33503039:-1 gene:Vigun02g200101.v1.2 transcript:Vigun02g200101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLSKVRYAFDSFRSVNQGVNVSRIVIKTGIVTTEIIAVS >Vigun09g173800.1.v1.2 pep primary_assembly:ASM411807v1:9:34473069:34480332:1 gene:Vigun09g173800.v1.2 transcript:Vigun09g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGDQSLETKEIDLRSMSSSSHGQVPQVHKVAVPPRQNLFKEFQDTIKETFFADDPLRPFKDQSKSKKFILGIEAIFPILKWGRSYNLKKFRGDLISGLTIASLCIPQDIGYAKLAHLAPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLSNEIDPIKNAEEYQRLAFTATFFAGITQATLGVLRLGFLIDFLSHAAIVGFMGGAAITISLQQLKGFLGIKSKMFTTKTDIVSVLHSVIHTAHHGWNWQTIVIGVSFLSFLLVTKYIGKKNKTLFWLPAIAPLISVILSTFFVFITRADKQGVEIVRKIEKGINPSSVKDLYLTGENLGKGFKIGIVAGLIALTEASAIGRTFASMKDYQLDGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNFMAGCQTAVSNIVMSVVVFLTLEFITPLFKYTPNAILSAIIISAVINLIDYEAAILIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTNVYRNIQQYPEASKVPGVLIVRVDSAVYFSNSNYVKERTLRWLMDEEEKVKGEYPTKIQFLIVEMSPVTDIDTSGIQAFQELHKSLEMRGIELVLANPGPVVTDKLYASNFANTIGEDKIFLTVAEAVAYCSPKVVEDP >Vigun03g429200.1.v1.2 pep primary_assembly:ASM411807v1:3:63366637:63370325:-1 gene:Vigun03g429200.v1.2 transcript:Vigun03g429200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCCGEHNSSEDGSRDYRETGFVRSHRKNILAQRSLKRAPVPSHNFILEYTFLTQRGYYPDSPDKENQDSFCISTNLQGNPNVHFFGVYDGHGQYGSKCSNFVKDRLIEKLVNDPALLEDPVQAYNSAFLATNQELRSSSEIDDSMSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRIVAEDLSSDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPDIQSWGDEESRGGDPPRLWVPNGMYPGTAFTRSIGDSLAETIGVTALPEVKTFKLTPDHLFFVVASDGIFEFLTSQTVVDMAASYMDPRDACAAIAEKSYKLWLELENRTDDITIILVQIKGLSNSGTFGVGSNETNVGAVLNSRAGTPEIPAAHESDVHRSVRTSFSELHSAQPAASVISPAVEAHSAAYPRPIE >Vigun02g176300.1.v1.2 pep primary_assembly:ASM411807v1:2:31831678:31834972:1 gene:Vigun02g176300.v1.2 transcript:Vigun02g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKSESVSRAPISLREEVTGKIQTKAASNTKSKLRFEHLKNLAEWATTNDPPIQSLGAFYGRQFATFGEAIGVPPDNSLIICQRCETVLQPGFNSTVRIEKNKLKARHKQKKSGNITQNNVVYKCHHCLYQNLKRGTPKGHIKGICPSKVKSSLESTPPSKSISSDSYEIEKGIASKDEVNEINVFPSRVVAKDVTLMETQSTSSSTNTRTLLEGKRRRHDSTFSNDIIKTTNMSGKGANPVSTSSKRRRKSWMSLKEIAQSNEHKNSQIGNLTIPFFL >Vigun02g176300.2.v1.2 pep primary_assembly:ASM411807v1:2:31831444:31834972:1 gene:Vigun02g176300.v1.2 transcript:Vigun02g176300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKSESVSRAPISLREEVTGKIQTKAASNTKSKLRFEHLKNLAEWATTNDPPIQSLGAFYGRQFATFGEAIGVPPDNSLIICQRCETVLQPGFNSTVRIEKNKLKARHKQKKSGNITQNNVVYKCHHCLYQNLKRGTPKGHIKGICPSKVKSSLESTPPSKSISSDSYEIEKGIASKDEVNEINVFPSRVVAKDVTLMETQSTSSSTNTRTLLEGKRRRHDSTFSNDIIKTTNMSGKGANPVSTSSKRRRKSWMSLKEIAQSNEHKNSQIGNLTIPFFL >Vigun02g176300.4.v1.2 pep primary_assembly:ASM411807v1:2:31831678:31834972:1 gene:Vigun02g176300.v1.2 transcript:Vigun02g176300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKSESVSRAPISLREEVTGKIQTKAASNTKSKLRFEHLKNLAEWATTNDPPIQSLGAFYGRQFATFGEAIGVPPDNSLIICQRCETVLQPGFNSTVRIEKNKLKARHKQKKSGNITQNNVVYKCHHCLYQNLKRGTPKGHIKGICPSKVKSSLESTPPSKSISSDSYEIEKGIASKDEVNEINVFPSRVVAKDVTLMETQSTSSSTNTRTLLEGKRRRHDSTFSNDIIKTTNMSGKGANPVSTSSKRRRKSWMSLKEIAQSNEHKNSQIGNLTIPFFL >Vigun02g176300.3.v1.2 pep primary_assembly:ASM411807v1:2:31831444:31834972:1 gene:Vigun02g176300.v1.2 transcript:Vigun02g176300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKKSESVSRAPISLREEVTGKIQTKAASNTKSKLRFEHLKNLAEWATTNDPPIQSLGAFYGRQFATFGEAIGVPPDNSLIICQRCETVLQPGFNSTVRIEKNKLKARHKQKKSGNITQNNVVYKCHHCLYQNLKRGTPKGHIKGICPSKVKSSLESTPPSKSISSDSYEIEKGIASKDEVNEINVFPSRVVAKDVTLMETQSTSSSTNTRTLLEGKRRRHDSTFSNDIIKTTNMSGKGANPVSTSSKRRRKSWMSLKEIAQSNEHKNSQIGNLTIPFFL >Vigun06g216600.1.v1.2 pep primary_assembly:ASM411807v1:6:32752983:32753205:1 gene:Vigun06g216600.v1.2 transcript:Vigun06g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLLISESFRMKNHSFILFADRARASLLVVNHTFRDLTWRTRKL >Vigun01g148900.3.v1.2 pep primary_assembly:ASM411807v1:1:33031758:33040136:-1 gene:Vigun01g148900.v1.2 transcript:Vigun01g148900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSRSRDHASSSSHNSNSSGSNVFNLLARREISPRTKYVAKWHWGEASKSKSSPYSRPKNEVVRDARRGLLSWVEAESLRHLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIIDCETGRCLKVLVGHRRTPWAVRFHPLHPHILASGSLDQEVRLWDANTSECIISHQFYRPIASIAFHAKGEIIAVASGHKLYIWHHSKRGEASSPIFVLKTKRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEATSLGYLQYSPPAVFVTNIQPTEHISLSSELPYVSLPFFFMPSYTLDESRTELQHSSHDVGSSSMQIESSAVVQLQTDTSATEQYEATVSPMDTFSEMPTSSQTGTEYPAHTAFSNGMGIGLSNLTMDGMETDETRPAEGSQHGNSTNTYSFNGVLHGLSRQTANRGVPSELGQFNQFFPSSSRDSSAWELPFLQGSLMSQSQVGVPSMLPHMGASRDILAQQIGSSTVPSNLSSSNNDAAMPSSAITGSISIPGSSTRSGLRNHFSQSRQPVSESGNLAVSINNPHDGSDIQTIMSRIQSELAATSVAAAELPCTVKLRVWSHDIKNPCVPLNADRCRLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPHIEADPGLQTPAHQEPGVATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSKHILLAYGRPHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDGVNVACFHPFAGGGLVYGTKEGKLRVLQYDGAHAVNGNGPSYFPEETIIGVSQ >Vigun01g148900.2.v1.2 pep primary_assembly:ASM411807v1:1:33031568:33040136:-1 gene:Vigun01g148900.v1.2 transcript:Vigun01g148900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSRSRDHASSSSHNSNSSGSNVFNLLARREISPRTKYVAKWHWGEASKSKSSPYSRPKNEVVRDARRGLLSWVEAESLRHLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIIDCETGRCLKVLVGHRRTPWAVRFHPLHPHILASGSLDQEVRLWDANTSECIISHQFYRPIASIAFHAKGEIIAVASGHKLYIWHHSKRGEASSPIFVLKTKRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEATSLGYLQYSPPAVFVTNIQPTEHISLSSELPYVSLPFFFMPSYTLDESRTELQHSSHDVGSSSMQIESSAVVQLQTDTSATEQYEATVSPMDTFSEMPTSSQTGTEYPAHTAFSNGMGIGLSNLTMDGMETDETRPAEGSQHGNSTNTYSFNGVLHGLSRQTANRGVPSELGQFNQFFPSSSRDSSAWELPFLQGSLMSQSQVGVPSMLPHMGASRDILAQQIGSSTVPSNLSSSNNDAAMPSSAITGSISIPGSSTRSGLRNHFSQSRQPVSESGNLAVSINNPHDGSDIQTIMSRIQSELAATSVAAAELPCTVKLRVWSHDIKNPCVPLNADRCRLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPHIEADPGLQTPAHQEPGVATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSKHILLAYGRPHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDGVNVACFHPFAGGGLVYGTKEGKLRVLQYDGAHAVNGNGPSYFPEETIIGVSQ >Vigun03g117200.1.v1.2 pep primary_assembly:ASM411807v1:3:10853765:10858036:1 gene:Vigun03g117200.v1.2 transcript:Vigun03g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAKSLSSFVMSQYHKHGIYLLCAKILLFSFSFCSSSDTIFADKGIRDGELLVSKAQKFALGFFSPAKSNFRYVGIWYNNVQEQTVAWVANRNSPINDTSGFLSINPDGNLVLQHKYSTFPVWSTNISTSQSNTTKVMAKLTDIGNLVLILDNTEAVIWQSFDHPTDTLLQYLRVGFDRRTNQSWFLQSWKTDDDPGAGSYTLKISSAGKPQMIFYHQNLPFWRGGSWNGELFMGIPDMKRDMYTFNVSYIEDDNQAVLSYSMVDQSVISRVVVQPSGFFQVFTWDDQKSQWNRYWSEPTNQCDNYGTCGSNGNCDPLNFEEFRCTCLPGFEPKSPHEWYDNRDGSEGCVRKKGVSVCGNGEGFVKLEGLKLPDTSEATAKGGLSLDECEEDCLRNCSCTAYAVLDVRNGGSGCLAWHGNLLDIQKLSDQGQDLFVRVDAVELAIYNKKTKGVSGKQRMVAIPTASVLTSVILFSCVYYMWKRKRKDKVMQHLNQFSPEDVHDIPSNTHPNLPFFSLKVIMEATRNFGDENKLGQGGFGSVYKGCLANGQEIAVKRLSEHSGQGTEEFKTEVRLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDEKRRSSLTWDKRFEIILGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDQIQARTRRVVGTYGYMSPEYAMDGRYSTKSDVFSFGVLLLEIIAGKRNTDSERGRSSPNLIGHVWMLWTEGRALDIVDSTLAQSYSAALVLRCIQIGLLCVQENAADRPSLSEVVFMLGNETPLSPPQKPAFLFNGDRDWPEPSTSGGGSSINEVTATTIIAR >Vigun01g043250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6184593:6185009:1 gene:Vigun01g043250.v1.2 transcript:Vigun01g043250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PALSTPPIVSGSTNVRPLSHDEQKNRLQAWNHFIQLEPKLDKSAQCKYCNVIIRYEKGTTSMCNHVLRYPNNPNKEVNKRQKPSASSTIDGNINSPSYDRFDQELFQEELVKMFMESELPFLFVEHATFKFKCFVTKI >Vigun07g233600.1.v1.2 pep primary_assembly:ASM411807v1:7:35545188:35546457:-1 gene:Vigun07g233600.v1.2 transcript:Vigun07g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETAKKKHIREPPSVPFIWEVKPGIPKKYWKPETEVSSVSHFPQTPLKLIASVPFVWEEKPGKPLPNFSDVSLDPVLPKPEKILIHVASSSGFSVACNFGHDGKGKGTCNYDSESITSLDLEAFTFDADESFANCVVPSEKVSTAIPPAETPSSPASSETDSSISSYATGRSSPIGATFLESLFPLYAPQFSFLARDENKEKETSRTQEVGIKDFDDEDVGSDMIRRPPTLGELIMMSRRSSCRRKAVQMKKWDQPKKMTRQQAFGCFPIVTNGKMMEGLLKRKYFPRLKLI >Vigun03g237300.1.v1.2 pep primary_assembly:ASM411807v1:3:39507919:39511444:1 gene:Vigun03g237300.v1.2 transcript:Vigun03g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVFSCSISMKFQLLLLLLSITLCLGSSQTSVHNCVLDIQSSSALVSSNCELYNWGGFINGCCGPYFGDYLYALGVRANETGKVFLNPSEQKNCTASMEAFDNKFTCSGMEKLTSGTGGCSDYTIIDVVNQLGNNLRRLEEECMPLSTNGRPNETCIECFKAWEDISAKPDTTRGSESANISSYLCRFAVLVSLTSTRIYDEESILEVYKCLGDHALSGGLASGNEEVKASRNASINSGLWIAFGITGIIVLVVLAALALFVTRMRSAPSVQHESDSPSLKITLKDVYVATDNLSASNFIGQGIAGKVYKGVLSNNQSVAVKHITNECYMETFVREVRSLSHVRHHNLVALLGYCESESECFLVYELCHNGNLSEWLFGNGKVLSWIQRLEIAIDSARGLEFLHTYPNGCIVHRDIKPSNILIDANFQAKLSDFGLSRVMELGQSYVSSEVRGTFGYIDPEYRTNHHVKASADVYSFGIVLLQLLSGQRILNIDFQRPMSLGKMARDVVRGGDISEFADPKLKGEYSVEAFDIVLKLALSCIGLKRHRPSIDEVLYSLEKTLHISL >Vigun03g237300.2.v1.2 pep primary_assembly:ASM411807v1:3:39507785:39511444:1 gene:Vigun03g237300.v1.2 transcript:Vigun03g237300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVFSCSISMKFQLLLLLLSITLCLGSSQTSVHNCVLDIQSSSALVSSNCELYNWGGFINGCCGPYFGDYLYALGVRANETGKVFLNPSEQKNCTASMEAFDNKFTCSGMEKLTSGTGGCSDYTIIDVVNQLGNNLRRLEEECMPLSTNGRPNETCIECFKAWEDISAKPDTTRGSESANISSYLCRFAVLVSLTSTRIYDEESILEVYKCLGDHALSGGLASGNEEVKASRNASINSGLWIAFGITGIIVLVVLAALALFVTRMRSAPSVQHESDSPSLKITLKDVYVATDNLSASNFIGQGIAGKVYKGVLSNNQSVAVKHITNECYMETFVREVRSLSHVRHHNLVALLGYCESESECFLVYELCHNGNLSEWLFGNGKVLSWIQRLEIAIDSARGLEFLHTYPNGCIVHRDIKPSNILIDANFQAKLSDFGLSRVMELGQSYVSSEVRGTFGYIDPEYRTNHHVKASADVYSFGIVLLQLLSGQRILNIDFQRPMSLGKMARDVVRGGDISEFADPKLKGEYSVEAFDIVLKLALSCIGLKRHRPSIDEVLYSLEKTLHISL >Vigun11g177100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38152601:38155679:-1 gene:Vigun11g177100.v1.2 transcript:Vigun11g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPFSPKSHSHHQSRSKSLPCKPHPLILQCNQHLGSLEASASASDATSSSSSLFRQKLTGLQTLHDCIEKLVLLPYSQEVLVQERQEKWVEELLDGSLRLLDVCAAAKDALLHTKECARELQSIMRRKRGGEMEVAAEVRKFLASRKVVKKVILKALENLQATVKKAKFSPSNKDHRTTTLANLFKDVEVITLSILESLMNFISGSAQAKPSKWSLVSKLMHNKKVTSTQESDQNEFSNVDAALQSFVFHMTRKSDNINSLQNHLQDLESVIQDFVEGLETLFKRCIKIRVSLLNILNH >Vigun07g212500.1.v1.2 pep primary_assembly:ASM411807v1:7:33370666:33377202:-1 gene:Vigun07g212500.v1.2 transcript:Vigun07g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFILHPSKFLCFPNCTTIPVKDTTLLANTFIKKPNTSPSKHQKFRSFAKITLSTPRASIVSKKDSILGYTHFTLCSRSDGFGGYSTDVSQEAIDDETTAQELEKLELLNKPSPVLVTEEPSPEVEVEQQEKPSEEEALAPFLKFFKGKNSGEDDEEESEGLEAEKKEGDKKVNVEYYDPKPGDFVVGVVVSGNENKLDINVGADLLGTMLTKEVLPLYDKEMENLLCDVNRDAEDFMVQGKIGIVKNEEAISGVSVPGRPVVEIGTILFAEVLGRTISGRPLLSTRRLFRRIAWHRVRQIKQLNDPIEVRITEWNTGGLLTRIEGLRAFVPKAELVKKATSFTELKENVGRRMYVQITQIDETKNNLILSEKEAWEKLNLREGTLLDGTVKKILPYGAQIKLGKSNRSGLLHVSNISRAEITSVSDVLSVDENVKVLVMRSMFPDKISLSIAELESEPGLFLSNKERVYLEADMMAKKYKEKLPPSVETQRLEPIPTSTLPFENEALYANWKWFKFEK >Vigun06g165100.1.v1.2 pep primary_assembly:ASM411807v1:6:28688567:28693622:-1 gene:Vigun06g165100.v1.2 transcript:Vigun06g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFSSSVVSPPEELVAAGSRTPSPKTTAGKLLTRFVENKASAVSLQVGEHVQLAYTHHSESPWHPRSFAVKDEIFCLFEGALDNLGSLRQQYGLAKSANEVILVIEAYKALRDRAPYPANRVVCHLSGSFAFIVFDKSTSTVFVASDQAGKVPLYWGITADGYVAFADDADLLKGSCGKSLASFPQGCFYSTAVGGLRCYENPKNKITAVPAEEEEIWGATFKVEGSAVLAATEY >Vigun03g086000.1.v1.2 pep primary_assembly:ASM411807v1:3:7127855:7135434:1 gene:Vigun03g086000.v1.2 transcript:Vigun03g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVAVAPSPSFNPSQNQALTWRLSLFSPNAAFCSAHLIKKSKKQKKKPITWSICAHSLPFDLSPPPIDHDFLDAVKTAGTEVSGDGIIETFHNDDEALDAVDNGVVVVDLSHFGRIRVSGEDRIQFLHNQSTANFECLHEGQGCDTVFVTPTARTIDIAHAWLMKNAITLVVSPETCTTIMEMLNKYIFFADKVEIQDITKQTSFFALVGPNSGQVMEKLSLGDLVGKPYGTHQHFNVDKQPVTIGVGNIISEGGFSLLMSPAAAPSIWKAILDQGAIPMGSNAWNKLRIIRGRPAPGMELTNEFNVLEACLWSSVSLNKGCYKGQETISRLITYDGIKQRLWGINLSAAAEPGSIITVDGKKVGKLTSYTSGRKQSEHFGLGYIKRRAASEGDTVIVGDNIKGTVVEVPFLSQQRPPSSTSTS >Vigun05g115800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12302337:12302891:1 gene:Vigun05g115800.v1.2 transcript:Vigun05g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCYFPFTCQRKMEFSTPIYKKSEIRWSSEEEGGPGQVKSYSCSFCKRGFSNAQALGGHMNIHRRDRAKLKQSSEESLLSLDISIKTTSDHSNDPSDLEEKILFRLGSGEDQKHARNRKMPFNFPLKSDHDYAPERGMLIGSAEIPSFVLGQRIEENKADLDLELRLGLHPQESATLNTRSFF >Vigun10g091400.1.v1.2 pep primary_assembly:ASM411807v1:10:26323757:26324457:1 gene:Vigun10g091400.v1.2 transcript:Vigun10g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFVCAFLLIFVMAFVTQLVYGGGEGSLTVQECPRACDYRCSKANARQACLFYCNLCCDKCLCVPSGTFGNKEECPCYNDWKNKEGKPKCP >Vigun07g038000.1.v1.2 pep primary_assembly:ASM411807v1:7:3649454:3652101:-1 gene:Vigun07g038000.v1.2 transcript:Vigun07g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEQHPMIPVLDFSMEDSKPGSDSWLERCRSVRQALEEHGCFVAVYDKACEELENGVFCSMKELFDLSHETKQRNTYEGSPLKGYVGQLPQIPLHQSMGIDEGTTLEGIQSFAHKMWPKGNHQFCKYIFEYAKVAEELDRMVARMIFESYGLSEYYDSYIGSTSYLLRLLAHTAPEKVEPQLGLVAHTDKSFTTILHQNHVNALMVETRNGAWIHVDFSSTSSFVVMAGDGLMAWSNDRIQSPNHKVVMNGNETRYSLGLFAFSKGILKVPEELIDEEHPVQYKPFHHLGLLNFTYSANMKAYCGV >Vigun07g038000.2.v1.2 pep primary_assembly:ASM411807v1:7:3649452:3652101:-1 gene:Vigun07g038000.v1.2 transcript:Vigun07g038000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEQHPMIPVLDFSMEDSKPGSDSWLERCRSVRQALEEHGCFVAVYDKACEELENGVFCSMKELFDLSHETKQRNTYEGSPLKGYVGQLPQIPLHQSMGIDEGTTLEGIQSFAHKMWPKGNHQFCKYIFEYAKVAEELDRMVARMIFESYGLSEYYDSYIGSTSYLLRLLAHTAPEKVEPQLGLVAHTDKSFTTILHQNHVNALMVETRNGAWIHVDFSSTSSFVVMAGDGLMVKRTSTT >Vigun02g114700.4.v1.2 pep primary_assembly:ASM411807v1:2:26845322:26852011:1 gene:Vigun02g114700.v1.2 transcript:Vigun02g114700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRNHFRHSVHAEIVGRRFPICLVHIKGSVVEVTSFETVARTSNVKEQFLYSQLPKCSNKKDLFRCKNSLRRDFTINSLFYDPFANKIYDYTNGMADLRTLKLETVIPAQLSFKEDPGRILRGFRLAARLGLSLSRDIEAAFWAYSSLVMNLDKNKIMIELNYMLSYGAAEPSLRLLWKFKLLKFLLPLHGAYLDEKAIEEDDQASNMLLKLFFHLDKSLACDRPCDCTLWIGLLAFHLALVNDPQDPLVVWAFASVLYHGEWKKGVKFAKEQAGMSVNFVPEIRKCNIYKSDEEIAMAVTKLASLVMRSTHALVEKTSLHHFMCMPRYSSSPQSDMVFVSRKAGQRAQTIFKRLANDGKFYTSGRRKNLKINYDMLGKGELSETGFVLGKIVLETMSSGIVGDGEDSEAGQCHLKTKGTEEIGLSPHPDLVNHQVASMDGEGQLLSIPNSECGQGKNKKRKLVKDSCIARKKMGSGNHKLSVEYECKENKKEQQKVVKLSQKADPSLTKDSTENNIYLRKQLTNNRKKITSANTFLDQAMQMKTDEYSTCTASQSAESDNHQVIAHNLDMDAKTTDNNLDVDAKTPNESDLKKKIMRLSMIELFKVK >Vigun02g114700.2.v1.2 pep primary_assembly:ASM411807v1:2:26844998:26852011:1 gene:Vigun02g114700.v1.2 transcript:Vigun02g114700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRNHFRHSVHAEIVGRRFPICLVHIKGSVVEVTSFETVARTSNVKEQFLYSQLPKCSNKKDLFRCKNSLRRDFTINSLFYDPFANKIYDYTNGMADLRTLKLETVIPAQLSFKEDPGRILRGFRLAARLGLSLSRDIEAAFWAYSSLVMNLDKNKIMIELNYMLSYGAAEPSLRLLWKFKLLKFLLPLHGAYLDEKAIEEDDQASNMLLKLFFHLDKSLACDRPCDCTLWIGLLAFHLALVNDPQDPLVVWAFASVLYHGEWKKGVKFAKEQAGMSVNFVPEIRKCNIYKSDEEIAMAVTKLASLVMRSTHALVEKTSLHHFMCMPRYSSSPQSDMVFVSRKAGQRAQTIFKRLANDGKFYTSGRRKNLKINYDMLGKGELSETGFVLGKIVLETMSSGIVGDGEDSEAGQCHLKTKGTEEIGLSPHPDLVNHQVASMDGEGQLLSIPNSECGQGKNKKRKLVKDSCIARKKMGSGNHKLSVEYECKENKKEQQKVVKLSQKADPSLTKDSTENNIYLRKQLTNNRKKITSANTFLDQAMQMKTDEYSTCTASQSAESDNHQVIAHNLDMDAKTTDNNLDVDAKTPNESDLKKKIMRLSMIELFKVK >Vigun02g114700.3.v1.2 pep primary_assembly:ASM411807v1:2:26845299:26852011:1 gene:Vigun02g114700.v1.2 transcript:Vigun02g114700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRNHFRHSVHAEIVGRRFPICLVHIKGSVVEVTSFETVARTSNVKEQFLYSQLPKCSNKKDLFRCKNSLRRDFTINSLFYDPFANKIYDYTNGMADLRTLKLETVIPAQLSFKEDPGRILRGFRLAARLGLSLSRDIEAAFWAYSSLVMNLDKNKIMIELNYMLSYGAAEPSLRLLWKFKLLKFLLPLHGAYLDEKAIEEDDQASNMLLKLFFHLDKSLACDRPCDCTLWIGLLAFHLALVNDPQDPLVVWAFASVLYHGEWKKGVKFAKEQAGMSVNFVPEIRKCNIYKSDEEIAMAVTKLASLVMRSTHALVEKTSLHHFMCMPRYSSSPQSDMVFVSRKAGQRAQTIFKRLANDGKFYTSGRRKNLKINYDMLGKGELSETGFVLGKIVLETMSSGIVGDGEDSEAGQCHLKTKGTEEIGLSPHPDLVNHQVASMDGEGQLLSIPNSECGQGKNKKRKLVKDSCIARKKMGSGNHKLSVEYECKENKKEQQKVVKLSQKADPSLTKDSTENNIYLRKQLTNNRKKITSANTFLDQAMQMKTDEYSTCTASQSAESDNHQVIAHNLDMDAKTTDNNLDVDAKTPNESDLKKKIMRLSMIELFKVK >Vigun02g114700.1.v1.2 pep primary_assembly:ASM411807v1:2:26844311:26852011:1 gene:Vigun02g114700.v1.2 transcript:Vigun02g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFRMNHNKHGMLARLKTLVNSQNFHSPAPKPGKQSKQDRPSPKQDLDYSICRDRIGISESKTLDAEELGVTSSMISHSSMFVLKLLRSKGFESYLVGGCVRDLILNRTPKDFDVITTAKLMQVRNHFRHSVHAEIVGRRFPICLVHIKGSVVEVTSFETVARTSNVKEQFLYSQLPKCSNKKDLFRCKNSLRRDFTINSLFYDPFANKIYDYTNGMADLRTLKLETVIPAQLSFKEDPGRILRGFRLAARLGLSLSRDIEAAFWAYSSLVMNLDKNKIMIELNYMLSYGAAEPSLRLLWKFKLLKFLLPLHGAYLDEKAIEEDDQASNMLLKLFFHLDKSLACDRPCDCTLWIGLLAFHLALVNDPQDPLVVWAFASVLYHGEWKKGVKFAKEQAGMSVNFVPEIRKCNIYKSDEEIAMAVTKLASLVMRSTHALVEKTSLHHFMCMPRYSSSPQSDMVFVSRKAGQRAQTIFKRLANDGKFYTSGRRKNLKINYDMLGKGELSETGFVLGKIVLETMSSGIVGDGEDSEAGQCHLKTKGTEEIGLSPHPDLVNHQVASMDGEGQLLSIPNSECGQGKNKKRKLVKDSCIARKKMGSGNHKLSVEYECKENKKEQQKVVKLSQKADPSLTKDSTENNIYLRKQLTNNRKKITSANTFLDQAMQMKTDEYSTCTASQSAESDNHQVIAHNLDMDAKTTDNNLDVDAKTPNESDLKKKIMRLSMIELFKVK >Vigun01g197300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37403568:37404980:-1 gene:Vigun01g197300.v1.2 transcript:Vigun01g197300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRITHATLKGPNVVKEIIIGMVLGSACATVWKMNQWNEKKKVRSFYDFLEKGEIGVVVEEE >Vigun01g197300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37403568:37404987:-1 gene:Vigun01g197300.v1.2 transcript:Vigun01g197300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRITHATLKGPNVVKEIIIGMVLGSACATVWKMNQWNEKKKVRSFYDFLEKGEIGVVVEEE >Vigun07g181300.1.v1.2 pep primary_assembly:ASM411807v1:7:29803018:29807141:-1 gene:Vigun07g181300.v1.2 transcript:Vigun07g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVFDQPLPPKAEPQQQLPIEEISSPFSARLFDFYNAELFSEALPNSEVTSSSNCCYEENSSYATTNKSLTVDVENKLNSNSNTVTTPTSTTTTTNNNTTNSSNLSIIFDDPQEDMENDISASIDFSLSPSFNVPPFLPVTSQQEQFDFSSVQPQVQLPAFSVVEGFSQYPTDPVAPPLMGAPLPSVFEEDCISSVPSYVPLNPSSPCTYLSPGMPPYMPTGPLTTALSTDSSGYFGGNILLGSELQTQELEYQGENGRMYCTDSMQRVFNPPDLQALGTESQQLVPGGGSSTTLTPEISNLEDSSFKVGKLSVEQRKEKINRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRTTSSNHEDDDEEEVVVKDEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >Vigun07g181300.2.v1.2 pep primary_assembly:ASM411807v1:7:29804358:29807141:-1 gene:Vigun07g181300.v1.2 transcript:Vigun07g181300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVFDQPLPPKAEPQQQLPIEEISSPFSARLFDFYNAELFSEALPNSEVTSSSNCCYEENSSYATTNKSLTVDVENKLNSNSNTVTTPTSTTTTTNNNTTNSSNLSIIFDDPQEDMENDISASIDFSLSPSFNVPPFLPVTSQQEQFDFSSVQPQVQLPAFSVVEGFSQYPTDPVAPPLMGAPLPSVFEEDCISSVPSYVPLNPSSPCTYLSPGMPPYMPTGPLTTALSTDSSGYFGGNILLGSELQTQELEYQGENGRMYCTDSMQRVFNPPDLQVSSLKGRSSKVLDNKEGFYRR >Vigun07g094001.1.v1.2 pep primary_assembly:ASM411807v1:7:15140187:15140890:1 gene:Vigun07g094001.v1.2 transcript:Vigun07g094001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGNLYALVIVDDFFSFYMPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun09g012800.1.v1.2 pep primary_assembly:ASM411807v1:9:954549:957880:1 gene:Vigun09g012800.v1.2 transcript:Vigun09g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFVFGVIGNIISILMFLSPVPTFWKITKHGSTEDFSSLPYICTLLNCSLWTYYGTIKSGEYLVATVNGFGILVETIYIILFLIYAPKGIRARTAILAVILDVVIFAAAVATTLLALEGDSRSGAVGIMGAGLNIVMYSSPLSVMKTVVTTKSVEYMPFLLSFFFFLNGGVWLLYAVLVRDVILGVPNGSGFLLGAMQLVLYAIYRNGGKEDSEKRLEEGLQHEPLISETNNESYQREHRAT >Vigun06g169500.2.v1.2 pep primary_assembly:ASM411807v1:6:29104333:29115188:-1 gene:Vigun06g169500.v1.2 transcript:Vigun06g169500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFYQNRFQRKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATHTIKRASHYLSALQTSDGHWPAHLGGCQFFTPIMIISIYSTGHLDSVISEEHRKEILRFLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLRQELFTEPYDEKTWIKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVDDPNGDSFKKHLARVADYLWLSEDGMCVQGINTQSWDVGFAVQALLATGLIDDLGPTLEKAHDFIKKSQVVENRSGDFESMFHHISKGAWTLADRDHGLQISDGTAECLKCCLLLSMLPQEIVGKNLEPERMYDSVNFILQLQSKNGGLSVWESAKAQKWLENLNPAEFLSDIVIEHEYVEGTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWHGNWGVCFTYSSWFALGALAAAGNTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEALGMYKSSCLIHYAFYRNVFPLLALSEYRTNVSVNSTSV >Vigun06g169500.3.v1.2 pep primary_assembly:ASM411807v1:6:29104333:29111378:-1 gene:Vigun06g169500.v1.2 transcript:Vigun06g169500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFYQNRFQRKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATHTIKRASHYLSALQTSDGHWPAHLGGCQFFTPIMIISIYSTGHLDSVISEEHRKEILRFLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLRQELFTEPYDEKTWIKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVDDPNGDSFKKHLARVADYLWLSEDGMCVQGINTQSWDVGFAVQALLATGLIDDLGPTLEKAHDFIKKSQVVENRSGDFESMFHHISKGAWTLADRDHGLQISDGTAECLKCCLLLSMLPQEIVGKNLEPERMYDSVNFILQLQSKNGGLSVWESAKAQKWLENLNPAEFLSDIVIEHEYVEGTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWHGNWGVCFTYSSWFALGALAAAGNTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEALGMYKSSCLIHYAFYRNVFPLLALSEYRTNVSVNSTSV >Vigun06g169500.1.v1.2 pep primary_assembly:ASM411807v1:6:29104333:29115297:-1 gene:Vigun06g169500.v1.2 transcript:Vigun06g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFYQNRFQRKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATHTIKRASHYLSALQTSDGHWPAHLGGCQFFTPIMIISIYSTGHLDSVISEEHRKEILRFLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVCPVTPLITNLRQELFTEPYDEKTWIKARHKCAKEDLYYPHHWIQDLIWDTAYVLTEPLLTRWPFNKIREKALEVAIKGIHYEDENTRYIHGGCINKSGSLLACWVDDPNGDSFKKHLARVADYLWLSEDGMCVQGINTQSWDVGFAVQALLATGLIDDLGPTLEKAHDFIKKSQVVENRSGDFESMFHHISKGAWTLADRDHGLQISDGTAECLKCCLLLSMLPQEIVGKNLEPERMYDSVNFILQLQSKNGGLSVWESAKAQKWLENLNPAEFLSDIVIEHEYVEGTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWHGNWGVCFTYSSWFALGALAAAGNTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEALGMYKSSCLIHYAFYRNVFPLLALSEYRTNVSVNSTSV >Vigun11g097850.1.v1.2 pep primary_assembly:ASM411807v1:11:28772520:28773015:1 gene:Vigun11g097850.v1.2 transcript:Vigun11g097850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSHPLSSCSCGSRNHSVSSPGTISGSVSGTPHCHCGEIAVLRVARTAKNCGKQFWGCPHYKRSVGEDFKAYNYFKWCTEDNGDERDATIARQSQRIRQLEKDLIDSEKWMMYLFRIIGLFGLIVILLLFVLLKK >Vigun04g028500.1.v1.2 pep primary_assembly:ASM411807v1:4:2211402:2213562:-1 gene:Vigun04g028500.v1.2 transcript:Vigun04g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSTLKKKLLEASDCNFGELFESFQQLYDLLWFNSFLGFPIIPFDQELEVINPNNSQHTFSDNSLDTTPSSVSHRICRKRSDVTLVLDLDETLIHSSTFPRDADFTFTMMSKGEASTVYVRKRPFLEEFLEKVAEMFEVVIFTASRSSYSATLLDILDPHNKLFARRFYRDSCKREDGHCLKDLTVLGIDMAKVFIIDNSPGVFRLHVNNGIPIKSWYGECPDYALIDLLPFLKKLVDVDDVRPIIAAKFWKKFNSAHSSPAPLPIRRI >Vigun06g062300.1.v1.2 pep primary_assembly:ASM411807v1:6:19043859:19046364:-1 gene:Vigun06g062300.v1.2 transcript:Vigun06g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQELHLSKIANGVKETQFLEDNSFHGPTSRKKLYYRWFRIVIHSVLVLVCGSAATLLGRLYYEKGGKSKWMGTLVQLGGFPILLPYYFITTPKNLTTNNNIHPNQPSATMLAFVYVSIGLLLALGCYLYSVGLWYLPVSTYSLICSSQLAFNALFSYFLNSLKFTPYIVNSLVLLTISSTLLVFQNESSSDDSGSTQISKKKYVIGFIATVCASAGYGLWLSLTQLVFKKVMKRETLKVIMDMIIYTFLVGTCAIIVGLFASGEWKGLKNEMKEYETGKASYLLNLTFTAIFWQLFTIGCLGLTSEVSSLFCNAITVLRVPLVPMLAVIFFHDKMHGIKVISMMLAIWGIISYLYQQYLDDTESKNRNTIHHPKASASLEEVHK >Vigun11g160100.1.v1.2 pep primary_assembly:ASM411807v1:11:36758998:36762991:1 gene:Vigun11g160100.v1.2 transcript:Vigun11g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSLCWWPSHVKSNLHDLSDNDDDEKKNEKDPWGGFSEYSLDQLRVATSGFSPDNIVSEHGEKAPNVVYKGRLEDDRTVAVKRFNKSAWPDSRQFLEEARAVGQLRNERLANLVGCCCEGEERLLVAEFMPNETLSKHLFHWEAQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRILFDQEGNPRLSCFGLMKNSRDGRSYSTNLAFTPPEYLRTGRITAESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLLLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPLQKETSVPSYVLMGIPDRSLSSKETVSLTPFGDACSRRDLTAVHEILDKVGYKDDEDVANELSFQMWTNQIQDTLNSKKQGDSAFHARDFSTAIDCYTQFIDGGTMVSPTVYARRCLCYLMNDMAQEALGDAMHAQSISPTWPTAYYLQAAALFSLGMDNDAQESLKDGTTLETRKYRN >Vigun05g293000.1.v1.2 pep primary_assembly:ASM411807v1:5:47924934:47927643:1 gene:Vigun05g293000.v1.2 transcript:Vigun05g293000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNINITDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKITTSTVDIKDDSRGRPVQKAKIEIVLGKTANFDELMAAAAAEDGENGEAEEQNA >Vigun05g293000.3.v1.2 pep primary_assembly:ASM411807v1:5:47924934:47927643:1 gene:Vigun05g293000.v1.2 transcript:Vigun05g293000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNINITDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKSEIQFFPISFL >Vigun05g293000.2.v1.2 pep primary_assembly:ASM411807v1:5:47924934:47927643:1 gene:Vigun05g293000.v1.2 transcript:Vigun05g293000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNINITDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKITTSTVDIKDDSRGRPVQKAKYLGKQQTSMN >Vigun03g159400.1.v1.2 pep primary_assembly:ASM411807v1:3:17527376:17528978:-1 gene:Vigun03g159400.v1.2 transcript:Vigun03g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSFSLHVPKALPLLPTPSLRTPSFFFASKHKNTTRPSQSLSVTCCTHKPDNDVSLNYTNLALHIGTLLALAEQPVLAVTGENNRPELSWVLTQWGIGMFGYFLVVPPIIMIWLWKRWYRRGLLEMYLQFMFVFIFFPGVILWAPFLNFRKFPRDPNMQYPWSVPEDPSKIRSSYSKYPFAEPEDYDNL >Vigun06g114100.1.v1.2 pep primary_assembly:ASM411807v1:6:24223787:24229506:1 gene:Vigun06g114100.v1.2 transcript:Vigun06g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDQNASAEHEAEETVEAELQGGEEPVVAEKKWPGWPGESVFRMLVPAQKVGGIIGRKGEFIKKIVEETRARVKILDGPPGTAQRAVMISGKDDPDSALPPAVDGLLRVHKRIIDGLESDFTHAPSGVAGKVSTKLLVAASQAGSLIGKQGGTVKSIQEASNCIVRVLGAEDLPIFALQDDRVVEVVGDAAGVHKALELIASHLRKFLVDRSVIPIFEMNMQIANPHHAEPMPPHQSWGPPQGLPPNAGGGPGFGPPSQYIPPPRQLDSYYPPVEIPPPVERQPHQGISAYGRDASIGIHASANTQSAPSVVTQMTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAAGGAPAPPQTGGGGAEQGYSSYPAHASVYASPPSNPGHGGGYSSVYGANYGY >Vigun09g203150.1.v1.2 pep primary_assembly:ASM411807v1:9:37780393:37781868:-1 gene:Vigun09g203150.v1.2 transcript:Vigun09g203150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKMRYCYVIQNVFFNVNTKKEGRKKGNEENGGRGRRWRKKKKTYWSFHNSTECMLKCTGGARRSFINMKRGVLWTFNTPKELGDKIMGWQKEEAAFPMPL >Vigun01g073300.1.v1.2 pep primary_assembly:ASM411807v1:1:20362431:20364091:-1 gene:Vigun01g073300.v1.2 transcript:Vigun01g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMTLLLLLPLFTQFLEVEPAKPKLSGKTRFPISQISVMGFVYCDFCSNNSFSGHSYFLPGAEVKVDCMFKALSEKTAEQISLSVNRTTNKYGMYKLEIPSVDGVKCAEDSAVVSSCQASLIGSSSSACNVPGYRTTSNVIAIKARKANLCIYSFNALTFRPSKRDITLCGN >Vigun07g080100.4.v1.2 pep primary_assembly:ASM411807v1:7:11190136:11192485:1 gene:Vigun07g080100.v1.2 transcript:Vigun07g080100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVRKHPRSASRVQLPDGRFMAYDEQGVSSETARFSIVAPHSFLSSRLAGLPGVKSSLLEEYGIRFITYDLPGFGESDPHPNRNLNSSAMDVLHLVNAVNVTDKFWLLCHSTGCIHAWAFLRYVPERIAGAAMLAPMVNPFDPHMTKEETKRTWEKWLPRRKMMYSLARRFPKLLPFFYRKSFLPEEHDEIDKLLSFSKGKKDKLVIEEPEFEEFWQRDVEESVRQGNIRPFIEEVVLQVSNWGFDLKELHVQKKCQARGLLLWLKSMYSQVDCELAGFLGLTHIWQGLDDRLVPPSMMEYIERVLPEAVIHKLPNEAHFSYFYFCDQCHRQIFSTLFGTPQGPVQRQQETAFEEAKEEAVLQIPS >Vigun07g080100.2.v1.2 pep primary_assembly:ASM411807v1:7:11188972:11192485:1 gene:Vigun07g080100.v1.2 transcript:Vigun07g080100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRGRDTWTEELASLIEDSGVHYGGDPNAASAPSFEVRKSVYAPQSEWVESGESLKEQALGFVMAWCEILLELGRGFRDILRQNLMNEDSYVVRKFGGPFSKVSKRLRFLNEFLPEDRDPVHAWSVVFFVFILALAAISVDPSREAVAPMMKVRKHPRSASRVQLPDGRFMAYDEQGVSSETARFSIVAPHSFLSSRLAGLPGVKSSLLEEYGIRFITYDLPGFGESDPHPNRNLNSSAMDVLHLVNAVNVTDKFWLLCHSTGCIHAWAFLRYVPERIAGAAMLAPMVNPFDPHMTKEETKRTWEKWLPRRKMMYSLARRFPKLLPFFYRKSFLPEEHDEIDKLLSFSKGKKDKLVIEEPEFEEFWQRDVEESVRQGNIRPFIEEVVLQVSNWGFDLKELHVQKKCQARGLLLWLKSMYSQVDCELAGFLGLTHIWQGLDDRLVPPSMMEYIERVLPEAVIHKLPNEAHFSYFYFCDQCHRQIFSTLFGTPQGPVQRQQETAFEEAKEEAVLQIPS >Vigun07g080100.1.v1.2 pep primary_assembly:ASM411807v1:7:11188768:11192485:1 gene:Vigun07g080100.v1.2 transcript:Vigun07g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRGRDTWTEELASLIEDSGVHYGGDPNAASAPSFEVRKSVYAPQSEWVESGESLKEQALGFVMAWCEILLELGRGFRDILRQNLMNEDSYVVRKFGGPFSKVSKRLRFLNEFLPEDRDPVHAWSVVFFVFILALAAISVDPSREAVAPMMKVRKHPRSASRVQLPDGRFMAYDEQGVSSETARFSIVAPHSFLSSRLAGLPGVKSSLLEEYGIRFITYDLPGFGESDPHPNRNLNSSAMDVLHLVNAVNVTDKFWLLCHSTGCIHAWAFLRYVPERIAGAAMLAPMVNPFDPHMTKEETKRTWEKWLPRRKMMYSLARRFPKLLPFFYRKSFLPEEHDEIDKLLSFSKGKKDKLVIEEPEFEEFWQRDVEESVRQGNIRPFIEEVVLQVSNWGFDLKELHVQKKCQARGLLLWLKSMYSQVDCELAGFLGLTHIWQGLDDRLVPPSMMEYIERVLPEAVIHKLPNEAHFSYFYFCDQCHRQIFSTLFGTPQGPVQRQQETAFEEAKEEAVLQIPS >Vigun07g080100.3.v1.2 pep primary_assembly:ASM411807v1:7:11189130:11192485:1 gene:Vigun07g080100.v1.2 transcript:Vigun07g080100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRGRDTWTEELASLIEDSGVHYGGDPNAASAPSFEVRKSVYAPQSEWVESGESLKEQALGFVMAWCEILLELGRGFRDILRQNLMNEDSYVVRKFGGPFSKVSKRLRFLNEFLPEDRDPVHAWSVVFFVFILALAAISVDPSREAVAPMMKVRKHPRSASRVQLPDGRFMAYDEQGVSSETARFSIVAPHSFLSSRLAGLPGVKSSLLEEYGIRFITYDLPGFGESDPHPNRNLNSSAMDVLHLVNAVNVTDKFWLLCHSTGCIHAWAFLRYVPERIAGAAMLAPMVNPFDPHMTKEETKRTWEKWLPRRKMMYSLARRFPKLLPFFYRKSFLPEEHDEIDKLLSFSKGKKDKLVIEEPEFEEFWQRDVEESVRQGNIRPFIEEVVLQVSNWGFDLKELHVQKKCQARGLLLWLKSMYSQVDCELAGFLGLTHIWQGLDDRLVPPSMMEYIERVLPEAVIHKLPNEAHFSYFYFCDQCHRQIFSTLFGTPQGPVQRQQETAFEEAKEEAVLQIPS >Vigun04g055400.1.v1.2 pep primary_assembly:ASM411807v1:4:5411846:5414089:1 gene:Vigun04g055400.v1.2 transcript:Vigun04g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNTMMCVHKHTHEQRHHLIYSLFQVNLIMATLFMVPCQHQLLKKLQSHCHPKPPPSPPSNSIIRSQKTSSFSFKSNANVPIHELPGASFDQYMDDKHRVLSAVFSGDKATTKQINEEEWRINMPTIQCLFLSVKPVADVRLTFKSKGEDYPPHIPHHITKVLELHFTRWELQGLSALYNDPYQINLDVRGTIYPERTGKHSWLKNQMEMEIAFCVSPATAFIPESVLQNAIELIFKTVWDEMKQEFHGRLLQDYNRFKRNKTKKIPVQT >Vigun11g089450.1.v1.2 pep primary_assembly:ASM411807v1:11:26953897:26957446:-1 gene:Vigun11g089450.v1.2 transcript:Vigun11g089450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSLTIQFLHQILLVCLLHRPSFAAKKSYVVYFGAHSHGPELSSSFVDFDQVTQSHYEFLGSYLGSFNRAKDSIFYSYTRHINGFAATLEEEVAAEIAMFKNRGRKLHTTRSWEFMGLEHEGVIPSSSIWKKARFGEGVIIGNLDTGVWPESNSFSEEGLGPIPSKWRGICDNGVDHTFQCNRKLIGARYFNKGYVSAVGPLNSSFQSPRDKEGHGSHTLSTAAGNAVPGVSVFGQGFGTAKGGAPKAHVAAYKVCWPPVVPGECFDADILAAFDEAIHDGVDVLSLSLGGFSSSLLDDSVAIGSFHATMRGIVVVCSAGNSGPVDGTVRNLAPWHVTVAASTMDREFPAYVVLGDNIILKVLSLFSTTFFFLNNEQGFPVRVCLK >Vigun03g347700.2.v1.2 pep primary_assembly:ASM411807v1:3:54766398:54769523:1 gene:Vigun03g347700.v1.2 transcript:Vigun03g347700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNIAVVVEDVDAARTALQWALHNIIRYGDIITLLHVYPLTRSKSKNKARLLRLKGFQLALSFQDICNNFSNTKVEIVVTEENREGMKIVATVRELGASMLVVGLHDHSFLYSLSMVHNNVANYFNCRVLAINQPLASPVSPKMGVQGSSTNMDFSQIDLSGLQMPETPQPKVKYRICPDPTAIICRLKWSRRRR >Vigun03g347700.5.v1.2 pep primary_assembly:ASM411807v1:3:54766398:54769523:1 gene:Vigun03g347700.v1.2 transcript:Vigun03g347700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNIAVVVEDVDAARTALQWALHNIIRYGDIITLLHVYPLTRSKSKNKARLLRLKGFQLALSFQDICNNFSNTKVEIVVTEENREGMKIVATVRELGASMLVVGLHDHSFLYSLSMVHNNVANYFNCRVLAINQPLASPVSPKMGVQGSSTNMDFSQIDLSGLHV >Vigun03g347700.3.v1.2 pep primary_assembly:ASM411807v1:3:54766398:54769523:1 gene:Vigun03g347700.v1.2 transcript:Vigun03g347700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNIAVVVEDVDAARTALQWALHNIIRYGDIITLLHVYPLTRSKSKNKARLLRLKGFQLALSFQDICNNFSNTKVEIVVTEENREGMKIVATVRELGASMLVVGLHDHSFLYRVLAINQPLASPVSPKMGVQGSSTNMDFSQIDLSGLQMPETPQPKVKYRICPDPTAIICRLKWSRRRR >Vigun03g347700.6.v1.2 pep primary_assembly:ASM411807v1:3:54767304:54769523:1 gene:Vigun03g347700.v1.2 transcript:Vigun03g347700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCYNLRIVLQTKVEIVVTEENREGMKIVATVRELGASMLVVGLHDHSFLYSLSMVHNNVANYFNCRVLAINQPLASPVSPKMGVQGSSTNMDFSQIDLSGLQMPETPQPKVKYRICPDPTAIICRLKWSRRRR >Vigun03g347700.4.v1.2 pep primary_assembly:ASM411807v1:3:54766398:54769523:1 gene:Vigun03g347700.v1.2 transcript:Vigun03g347700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLQTKVEIVVTEENREGMKIVATVRELGASMLVVGLHDHSFLYSLSMVHNNVANYFNCRVLAINQPLASPVSPKMGVQGSSTNMDFSQIDLSGLQMPETPQPKVKYRICPDPTAIICRLKWSRRRR >Vigun01g156100.1.v1.2 pep primary_assembly:ASM411807v1:1:33845694:33848212:-1 gene:Vigun01g156100.v1.2 transcript:Vigun01g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITRLVKRTPYFPCAARRFLSHAPPHKERNVQWVFLGCPGVGKGTYASRLCNLLGVPHIATGDLVRSELASNGPLSSQLSEIVNQGKLVSDEIIMNLLSKRLADGEAKGESGFILDGFPRTIKQAEILEGVTDIDLVVNLKIQEEALLAKCLGRRICNQCGGNFNVASISLKGENGRPGMVMAPLLPPAHCMSKLVTRSDDTEEVVKERLRIYSEKSQPVEEFYRSRGKLLEFDLPGGIPESWPKLLQALNLYDYEEKQSVAA >Vigun03g349100.1.v1.2 pep primary_assembly:ASM411807v1:3:54947543:54949460:1 gene:Vigun03g349100.v1.2 transcript:Vigun03g349100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTLWSYEEEKAFENAIAMHWIEEASKEQWEKIASAVPTKSMEEVKQHYQVLVEDVSAIEAGHIPFPNYASEETTSSNKDFHASSKATNSDKRSNCNFGTGFSGLGHDSTTHSSGKGALSRSSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVASNQAPITGQHSSTVSSSTMGVGQSLKHRVQGHIPPGLGMYGTPVGHPVAAPPGHMASAVGTPVMLPPGPHPHAHAHAHPHPHPHPHPPYVLPLAYPMAPPTMHQ >Vigun08g040800.2.v1.2 pep primary_assembly:ASM411807v1:8:4056265:4067134:-1 gene:Vigun08g040800.v1.2 transcript:Vigun08g040800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWCCLCFTEEEQDNLNLMKEEDISENDVVTGVGAAAVADHHAEENRDDDRFAMTSVQPRTEALISWTGECSAAACSDSTVAGGVENRDLSHKRAKFYADFEERYFSTNAGKCGASNECGDYDYINDSLRPNGEACCDTFALMGGGEESGFDSSIVEDGEGDNIDILKVEDVEIRMDLTDDLLHMVFSFLDHPNLCKAARVCKQWRSASAHEDFWKSLNFEDRNISVEQFEDMCRRYPNATAVSISGSAIYLLVMRAISSLRNLEVLTLGRGQIADTFFHALADCSMLKKLNINDSTLGNGIQEITINHDRMCHLQLTKCRVMRIAVRCPQLETMSLKRSNMAQVVLNCPLLHELDIGSCHKLPDAAIRAAATSCPQLVSLDMSNCSCVSDETLREIALSCANLSFLDASYCPNISLESVRLLMLTVLKLHSCEGITSASMAAIAHSDMLEVLELDNCSLLTSVSLDLPRLQTIRLVHCRKFADLNLRTMMLSNILVSNCPALHRINITSNSLQKLALQKQESLTTLALQCQSLQEVDLSECESLTNSICDVFNDVGGCPMLKSLVLANCESLTSVRFFSTSLVSLSLADCRAITTLELTCPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNILSIEAMVMVSLELKGCGVLSEASVNCPLLTSLDASFCSQLTNECLSATTASCPMIESLILMSCSSIGLDGLCSLQRLPNLTLLDLSYTFLVNLQPVFESCTQLKVLKLQACKYLTDSSLEPLYKRGALPALQELDLSYATLCQSAIEELLSCCTHLTHVNLTGCANMHDLNWGCSRGHIAGVNVLSVTSSYENLHELSEQPTRLLQNLNCVGCLNIRKVFIPLAAHCSCLLFLNLSLSTNLKEVDVACLNLSWLNLSNCYSLEVLKLDCPRLTSLFLQSCNIDEEAVETAITKCAMLETLDVRFCPKISSTSMGRLRAACPNLKRIFSSLSTS >Vigun08g040800.1.v1.2 pep primary_assembly:ASM411807v1:8:4056021:4067134:-1 gene:Vigun08g040800.v1.2 transcript:Vigun08g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWCCLCFTEEEQDNLNLMKEEDISENDVVTGVGAAAVADHHAEENRDDDRFAMTSVQPRTEALISWTGECSAAACSDSTVAGGVENRDLSHKRAKFYADFEERYFSTNAGKCGASNECGDYDYINDSLRPNGEACCDTFALMGGGEESGFDSSIVEDGEGDNIDILKVEDVEIRMDLTDDLLHMVFSFLDHPNLCKAARVCKQWRSASAHEDFWKSLNFEDRNISVEQFEDMCRRYPNATAVSISGSAIYLLVMRAISSLRNLEVLTLGRGQIADTFFHALADCSMLKKLNINDSTLGNGIQEITINHDRMCHLQLTKCRVMRIAVRCPQLETMSLKRSNMAQVVLNCPLLHELDIGSCHKLPDAAIRAAATSCPQLVSLDMSNCSCVSDETLREIALSCANLSFLDASYCPNISLESVRLLMLTVLKLHSCEGITSASMAAIAHSDMLEVLELDNCSLLTSVSLDLPRLQTIRLVHCRKFADLNLRTMMLSNILVSNCPALHRINITSNSLQKLALQKQESLTTLALQCQSLQEVDLSECESLTNSICDVFNDVGGCPMLKSLVLANCESLTSVRFFSTSLVSLSLADCRAITTLELTCPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNILSIEAMVMVSLELKGCGVLSEASVNCPLLTSLDASFCSQLTNECLSATTASCPMIESLILMSCSSIGLDGLCSLQRLPNLTLLDLSYTFLVNLQPVFESCTQLKVLKLQACKYLTDSSLEPLYKRGALPALQELDLSYATLCQSAIEELLSCCTHLTHVNLTGCANMHDLNWGCSRGHIAGVNVLSVTSSYENLHELSEQPTRLLQNLNCVGCLNIRKVFIPLAAHCSCLLFLNLSLSTNLKEVDVACLNLSWLNLSNCYSLEVLKLDCPRLTSLFLQSCNIDEEAVETAITKCAMLETLDVRFCPKISSTSMGRLRAACPNLKRIFSSLSTS >Vigun08g040800.3.v1.2 pep primary_assembly:ASM411807v1:8:4056513:4067134:-1 gene:Vigun08g040800.v1.2 transcript:Vigun08g040800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWCCLCFTEEEQDNLNLMKEEDISENDVVTGVGAAAVADHHAEENRDDDRFAMTSVQPRTEALISWTGECSAAACSDSTVAGGVENRDLSHKRAKFYADFEERYFSTNAGKCGASNECGDYDYINDSLRPNGEACCDTFALMGGGEESGFDSSIVEDGEGDNIDILKVEDVEIRMDLTDDLLHMVFSFLDHPNLCKAARVCKQWRSASAHEDFWKSLNFEDRNISVEQFEDMCRRYPNATAVSISGSAIYLLVMRAISSLRNLEVLTLGRGQIADTFFHALADCSMLKKLNINDSTLGNGIQEITINHDRMCHLQLTKCRVMRIAVRCPQLETMSLKRSNMAQVVLNCPLLHELDIGSCHKLPDAAIRAAATSCPQLVSLDMSNCSCVSDETLREIALSCANLSFLDASYCPNISLESVRLLMLTVLKLHSCEGITSASMAAIAHSDMLEVLELDNCSLLTSVSLDLPRLQTIRLVHCRKFADLNLRTMMLSNILVSNCPALHRINITSNSLQKLALQKQESLTTLALQCQSLQEVDLSECESLTNSICDVFNDVGGCPMLKSLVLANCESLTSVRFFSTSLVSLSLADCRAITTLELTCPNLEKVILDGCDHLERASFCPVGLRSLNLGICPKLNILSIEAMVMVSLELKGCGVLSEASVNCPLLTSLDASFCSQLTNECLSATTASCPMIESLILMSCSSIGLDGLCSLQRLPNLTLLDLSYTFLVNLQPVFESCTQLKVLKLQACKYLTDSSLEPLYKRGALPALQELDLSYATLCQSAIEELLSCCTHLTHVNLTGCANMHDLNWGCSRGHIAGVNVLSVTSSYENLHELSEQPTRLLQNLNCVGCLNIRKVFIPLAAHCSCLLFLNLSLSTNLKEVDVACLNLSWLNLSNCYSLEVLKLDCPRLTSLFLQSCNIDEEAVETAITKCAMLETLDVRFCPKISSTSMGRLRAACPNLKRIFSSLSTS >Vigun04g020900.1.v1.2 pep primary_assembly:ASM411807v1:4:1580727:1581724:1 gene:Vigun04g020900.v1.2 transcript:Vigun04g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDNFFFVPNLCQIILLLFIFNLPNIFSQDSSPSNPTIAQCTSTLISLIPCTPFVQGTVPSPGSLCCGNLKQIYSQEPHCLCLLLNGTTFSSLPINRTLAMQLPALCNLQVNANISTCLVNQVQRPATAPRPHVSSGTKNNSTVIASPAFSVPPTPVMMGFGFRSNEAINLNIMKSLVDVITVAILLFILVT >Vigun03g256900.1.v1.2 pep primary_assembly:ASM411807v1:3:42301337:42311037:-1 gene:Vigun03g256900.v1.2 transcript:Vigun03g256900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLAAKTLSAFLWLFFYATLVSSKYIRYNTGATIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVVSLQKDPNRKFVFVEMAFFHRWWVEQSPETQEEVRKLVDAGQLEIINGGWCMHDEAATHYIDMIDQTTLGHRFIKDEFNKTPTVGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKADKSLEVVWRASKTFGSSAQIFANAFPVHYSAPNGFNFEVNNVDVNVVPVQDDPLLFDYNVEQRVQDFIEAAITQANVTRTNHIMWTMGDDFQYQYAETWFKQMDKLIHYVNKDGRVNALYSTPSIYTNAKNAANQTWPLKTDDYFPYADSANAYWTGYFTSRPALKRYVRLASGYYLATRQLEFFAGKQSAKYSTFGLGDALGIAQHHDAVSGTAKQHTTNDYAKRLAIGVSEAEAVVSSSLACITSKKSNGQCSAPASAFAQCQLLNISYCPPTEDNIPDAKSLVAVVYNPLGWKRTDIVKIPVNDANLVVQDSLGNNIEVQYVDVDDVTTNLRNFYVKAYLGVSPKQAPKYWLLFQASVPPLGWSTYFISKATGTGSRTKNLSNLGSQRGDTIVIGPGDLKMSFSSTSGQLKRMYNSRTGVDIPIQQSYLYYGSSEGDSDPQASGAYIFRPNGSPPTIISRSVPTKVIRGPLVDEVHQKFSSWIYQVTRLYKDKDHAEVEFTIGPIPTDDGVGKEVVTRMTANMATNKEFYTDSNGRDFLKRVRDHRDDWPLQVTQPVAGNYYPLNLGIYTKDKKSEFSVLVDRATGGASIKDGEVELMLHRRILHDDGRGVGEALDEQVCVNNNKTCEGLTVRGNYYISIDKLGAGARWRRTTGQEIYSPFLLAFTHENSESWKSSHWTKGTIMDPNYSLPPNVALITLEELDGGVVLLRLAHLYEASEDAEYSTLTKVELKKLFAKKTIKELKEVSLSANQEKSEIKRMTWKVEGDNGEEPQGLKGGPVRNPNFVVELGPMEIRTFLLKL >Vigun10g053200.2.v1.2 pep primary_assembly:ASM411807v1:10:8847582:8850506:1 gene:Vigun10g053200.v1.2 transcript:Vigun10g053200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADIHNPSQGFCVDGVHHKVNIIEWNKKRKFQSNQLDLLRPKHKCWVGNSPSEHASTFDDENPALESMQIYVLKGRTDAENSEADSVKDSNSNCLSEDSITSMSVNEEGKLVAESAMAYQHGRPSTLLGREDYSAKDNHHTLDDSAADKGCTGEADTFHDKECDPSYHYADLQALKNLEEKILEIESCRDNLLQEYAKDSTEESTDMEFEDRLTKRESHHKYVLSSGRWDVNQEAQSGTTRAPTIDQEFEQYFSMLML >Vigun10g053200.1.v1.2 pep primary_assembly:ASM411807v1:10:8847586:8850506:1 gene:Vigun10g053200.v1.2 transcript:Vigun10g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADIHNPSQGYRFCVDGVHHKVNIIEWNKKRKFQSNQLDLLRPKHKCWVGNSPSEHASTFDDENPALESMQIYVLKGRTDAENSEADSVKDSNSNCLSEDSITSMSVNEEGKLVAESAMAYQHGRPSTLLGREDYSAKDNHHTLDDSAADKGCTGEADTFHDKECDPSYHYADLQALKNLEEKILEIESCRDNLLQEYAKDSTEESTDMEFEDRLTKRESHHKYVLSSGRWDVNQEAQSGTTRAPTIDQEFEQYFSMLML >Vigun04g122500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31311693:31315774:-1 gene:Vigun04g122500.v1.2 transcript:Vigun04g122500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRHWYRFDFALATKLADYLGKERKFSKCRDVFDDIINQGRVPSESTFHILVVAYLSAPVQGCLDEACGIYNRMIQLGGYQPRLSLHNSLFKAIVSNPGILSKHYLKQAEYIYHHMVTTRLDVQKDIYAGLIWLHSYQDSIDKERIAELREAMQCAGYEEDIEVLLSILRICAREGEVEEAEKTWVKLLKFESDPPALAFVYKMEAYSKVDMPMKSLEIFREMQSKLGKADVAAYNQIIEILCKAQESELAESIMADFVKSGLKPLTSSYVQLLNMYYNSELYDKLEETLSQCLGKCRPNCTIYTIYLDYLVKIGNIDKAENIFYRMNNDAFVGVNARSCNTILSGYLSSGNHLKAEKIYDFMCLKKYEIESPLMEKLDYILSLKRKVVKRPINLKLSKEQREILIGLLLGGLRIDSDDRRRNHIIRFDFDGNSNSQYVLKSHIYRQFYEWLHPSCKPSDDSENVPDKFCTIASSHFGFYADQFWAKGEPTIPKLIHRWMSPCVLAYWYMYGGLRNSSGDIFLKIKGSQEGVENIVKKFKAMSMDCKVKRKGRVFWIGILGSNSTWFRKLVEPYMIEDRDFSEAGDETEKHDAMATEEINFNSDSGE >Vigun04g122500.3.v1.2 pep primary_assembly:ASM411807v1:4:31311693:31315774:-1 gene:Vigun04g122500.v1.2 transcript:Vigun04g122500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLLYYINAAYKFNSLPIHYLCLHQMVLVNMEEGTTILREQVYKWMMQRHWYRFDFALATKLADYLGKERKFSKCRDVFDDIINQGRVPSESTFHILVVAYLSAPVQGCLDEACGIYNRMIQLGGYQPRLSLHNSLFKAIVSNPGILSKHYLKQAEYIYHHMVTTRLDVQKDIYAGLIWLHSYQDSIDKERIAELREAMQCAGYEEDIEVLLSILRICAREGEVEEAEKTWVKLLKFESDPPALAFVYKMEAYSKVDMPMKSLEIFREMQSKLGKADVAAYNQIIEILCKAQESELAESIMADFVKSGLKPLTSSYVQLLNMYYNSELYDKLEETLSQCLGKCRPNCTIYTIYLDYLVKIGNIDKAENIFYRMNNDAFVGVNARSCNTILSGYLSSGNHLKAEKIYDFMCLKKYEIESPLMEKLDYILSLKRKVVKRPINLKLSKEQREILIGLLLGGLRIDSDDRRRNHIIRFDFDGNSNSQYVLKSHIYRQFYEWLHPSCKPSDDSENVPDKFCTIASSHFGFYADQFWAKGEPTIPKLIHRWMSPCVLAYWYMYGGLRNSSGDIFLKIKGSQEGVENIVKKFKAMSMDCKVKRKGRVFWIGILGSNSTWFRKLVEPYMIEDRDFSEAGDETEKHDAMATEEINFNSDSGE >Vigun04g122500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31311693:31315774:-1 gene:Vigun04g122500.v1.2 transcript:Vigun04g122500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRHWYRFDFALATKLADYLGKERKFSKCRDVFDDIINQGRVPSESTFHILVVAYLSAPVQGCLDEACGIYNRMIQLGGYQPRLSLHNSLFKAIVSNPGILSKHYLKQAEYIYHHMVTTRLDVQKDIYAGLIWLHSYQDSIDKERIAELREAMQCAGYEEDIEVLLSILRICAREGEVEEAEKTWVKLLKFESDPPALAFVYKMEAYSKVDMPMKSLEIFREMQSKLGKADVAAYNQIIEILCKAQESELAESIMADFVKSGLKPLTSSYVQLLNMYYNSELYDKLEETLSQCLGKCRPNCTIYTIYLDYLVKIGNIDKAENIFYRMNNDAFVGVNARSCNTILSGYLSSGNHLKAEKIYDFMCLKKYEIESPLMEKLDYILSLKRKVVKRPINLKLSKEQREILIGLLLGGLRIDSDDRRRNHIIRFDFDGNSNSQYVLKSHIYRQFYEWLHPSCKPSDDSENVPDKFCTIASSHFGFYADQFWAKGEPTIPKLIHRWMSPCVLAYWYMYGGLRNSSGDIFLKIKGSQEGVENIVKKFKAMSMDCKVKRKGRVFWIGILGSNSTWFRKLVEPYMIEDRDFSEAGDETEKHDAMATEEINFNSDSGE >Vigun04g122500.1.v1.2 pep primary_assembly:ASM411807v1:4:31311693:31315774:-1 gene:Vigun04g122500.v1.2 transcript:Vigun04g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPQTLTLTIFSTPNFTPHFTTSSMRSSLRSLTFSLSHHLTRPFLSSPRLPQLRLPQLRRLAVTAPEQEALRSAELESRKLRGPEVEVGELSAVPEEWRRARVAWLCKELPAHKAGMLVKILNAQRKWLTQEDATYIIVHCLRIRENETGFKVYKWMMQRHWYRFDFALATKLADYLGKERKFSKCRDVFDDIINQGRVPSESTFHILVVAYLSAPVQGCLDEACGIYNRMIQLGGYQPRLSLHNSLFKAIVSNPGILSKHYLKQAEYIYHHMVTTRLDVQKDIYAGLIWLHSYQDSIDKERIAELREAMQCAGYEEDIEVLLSILRICAREGEVEEAEKTWVKLLKFESDPPALAFVYKMEAYSKVDMPMKSLEIFREMQSKLGKADVAAYNQIIEILCKAQESELAESIMADFVKSGLKPLTSSYVQLLNMYYNSELYDKLEETLSQCLGKCRPNCTIYTIYLDYLVKIGNIDKAENIFYRMNNDAFVGVNARSCNTILSGYLSSGNHLKAEKIYDFMCLKKYEIESPLMEKLDYILSLKRKVVKRPINLKLSKEQREILIGLLLGGLRIDSDDRRRNHIIRFDFDGNSNSQYVLKSHIYRQFYEWLHPSCKPSDDSENVPDKFCTIASSHFGFYADQFWAKGEPTIPKLIHRWMSPCVLAYWYMYGGLRNSSGDIFLKIKGSQEGVENIVKKFKAMSMDCKVKRKGRVFWIGILGSNSTWFRKLVEPYMIEDRDFSEAGDETEKHDAMATEEINFNSDSGE >Vigun06g054700.1.v1.2 pep primary_assembly:ASM411807v1:6:18094195:18096569:-1 gene:Vigun06g054700.v1.2 transcript:Vigun06g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGSRLSRASSRYGASTVFTGPVRKWKKKWVHVTPSSSATNTTATTNNNGHSHANSNSSSRLLLRRWTPTTADDAAAVSDEPPRRKFRYTPIAVLEEQKRMMVVKKENEPTTGSDQSAVKHTNNTHEKQGKFNMNEILEETKDSNIVKLDHGLDLQSNNDETSDTQLETNI >Vigun10g156900.1.v1.2 pep primary_assembly:ASM411807v1:10:37629497:37640855:1 gene:Vigun10g156900.v1.2 transcript:Vigun10g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRDEGSKPPKNSSSATSFPLILDIEDFKGDFSFDELFGNLVGELLPAYKLEDAEGDGGDSQPNGHLRAPSDASKYSSQVVASPLFPEVEKLLSLFKDSCKELLELRKQIDGRLYNLKKDVSVQDSKHRKTLSELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRHGIPVPMGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQKRELTTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTKLVLGEQGAQASPNNVARGLSSLYKEITDTVRKEAATITAVFPTPSEVMSILVQRVLEQRITALLDKLLEKPSLVNLPSVEEGGLLLYLRMLAVAYEKTRELARDLQAVGCGDLDVEGLTESLFSSHKDEYPEYEQASLRQLYKVKVEELRAESMQISDSSGSIGRAKGASVVASQQQISVTVVTEFVRWNEEAISRCNLFASQPATLANNVKAVFTCLLDQVSQYIADGLERARDGLTEAANLRERFVLGTSVTRRVAAAAASAAEAAAAAGESSFRSFMIAVQRSGSSVAIIQQYFSNSISRLLLPVDGAHTAACEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRATSACTRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLHKVLLNHWQKYTFNPSGGLRLKRDITEYGDFLRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLRDDYKAAKLASKLSSLFS >Vigun06g020600.1.v1.2 pep primary_assembly:ASM411807v1:6:9812023:9812569:1 gene:Vigun06g020600.v1.2 transcript:Vigun06g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIASIFMVMLLLSTSIENEGALKIVEGTRVEKTLYEICVEIMYKQCQDNDKCFTVCRKKYGNLASGVCNYKEECVCRHPC >Vigun02g022400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7590835:7591842:1 gene:Vigun02g022400.v1.2 transcript:Vigun02g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSLLLSLLFFASTLSRLVLTVTAGHASGFTSGDTDFIRESCNATLYPDLCFSSLSRYAATVQRSPGSLARVAVAVALAKAHGAAAYLSHQTAAVVDEDSGAGAGAAVNDCFANLEDAVDEIRGSLKQMRRLRPNEDSGSVRFGVSNVLTWMSAALTDEETCTDGFEGVEEGPVKTSVCDRVTRVKKFTSNALALVNGFANKL >Vigun07g014900.1.v1.2 pep primary_assembly:ASM411807v1:7:1375232:1394779:-1 gene:Vigun07g014900.v1.2 transcript:Vigun07g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPCVLFSQTFVHPHLDEYVDEVIFAEPIVITACEFLEQSASSVAQAVALIGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSQSSSNVLEVEAVVTSHLVVRGSYRSLSLVIYGNTAEDLGQFNIDIDDNALTDLVDSTEGKLEDLPPALHSTNFTIRDSRSSLNVLSIPVPATNISLEVNIFLQLMLKILEFSDLGDAGHKIVNTVVSAISSYISSDICESISGRYQMWKGSENLEELHSAINEARKELLEVYKVLHKKSRSESSECSSEGNYLEMEAEMLDSKMLVDMFNRYFHFQIHSSCIGDNCLSQKEHALLGLSMSYLLCSARESCFQFVSGGGMEHLRMFFSKDGQNSTTIMLLLLGVIERATRYSVGCEAFLGWWPREDESIPSGISEGYSHLVKLILSKPRHDVASLATYLLHRLRFYEIASRYESAVLSVLENVSTVGRITDVTLNMLSSAEILLRKLLKLINSRGPIEDPSPIACASRSLITGQTDGLLSYKTTSSLISSSSCCFSDCDIDSHLLGLLKEKGFLSLSTALLSSSFLRSERGHALGLFMDVTSSVEAVILSFLFSRSGLIFLLQDAELSSTLILALRGGHRGNKENCIPLQYASILISKGFFCSPLEIGMIIEMHLKMANVTDSLLSSNPQSEEFLWIVWELSMLLRSDCGRQALLALGNFPEAVSILIEALCSFKESESLGKNSGSSAVNLTIFHSVAEIIEAIVTDSTSSSLGSWIGHAMELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYHKHGGIGLLRYAAVLASGGDAQLTSTSILVSDLTDVENVVGDPSSGSDINVMENLGKFISEKSFDGVTLRDSSLAQLTTAIRILSFISENPIVAATLYDEGAVIVIYAILVNCRFMLERSTNNYDYLVDEGTECNTTSDLLLERNRELNIVDLLVPSLVLLITLLQKLQEAKEQHRNTKLMNALLRLHREISPKLAACAADLVSPYPDYAVGYGAVCHLIASALAFWPVHGWSPGLFNTLLASVQSSSLLTLGPKETCSLLYLLSDLFPEEDIWLWTSGMPLLTARRMLGIGTILGPQKERHVNWYLESGHLEKLVGQLGPHLDKIAEIIEHYAISALGVIQDLLRVFVIRISCQNPKYASMLIKPVLSSIIHHVSESSSPSDTDAYKILRLLDFLVSLLEHPLGKALLLREGTLQILTKLLDRCFVIIDDGKQTPDRSSARCSFNIYNWCLPIFKFIMLLFHSETSEHYPQRHDFKNFEKMSDEDCALILRYILKGCQVLPVGKELLACLTAFKELASCGEGQMAFGTTHLGIHSLSYELDPQKGDRNMNYSVSSVAEWRKCPPLLSCWMKLLKSMDDTKEGLSTYAIEAVYALSVGSIQFCMNGNSLNSDRVVALKYLFGILDDTTTSVGFPEENINYILQLSALLSSKAAMDDCLVTAYSQIPLHQVSESVKSLSLILESPAGSMKFEDAVLPQYEVLGFSNTHQLLENSVEKIDDHLYAGGLGDKFLWECPEILPDRLTQTNLAAKRKLPSMDGPVRRARGENFQGDISSQNAFSRGAAQSTVSSGTTRRDAFRHRKPNTSRPPSMHVDDYVARERIVEGVTNVISVPRAGSTGGRPPSIHVDEFMARQRERQNPSATVVGEAVGHLKNASPVKPSDMEKLNKSKQLKTDLDDDLQGIDIVFDGEESDPDDKLLFPQLDDNLQQPAPVIVEQSSPHSIVEETGSDVVDSGQFSQVGTPLRSNIDENAQSEFSSKISGSRPDMSLTRESSVSSDRKYVEQADDLKNVQVKPSGRYDSTASNTSFPMSLYNNPSSSMQLPADSRMVSQNYLLKNSPQHGGIASGSQGLYDQRFLPNQPPLPPMPPPPTVSPIISHATDSVPSQSTSFVNSQAGTQRPVAFQVQLDYPSPFNNGSTALASSIPMPDSKYSRTSVSSPGGPNRVAPPLPPTPPPFVSSQYNLSSIKTSGSQPSMYNQTSMGTTELSQASIASSGARLSSYPNPPMGFSRPASMPLTMFGNVPNQQQTENQPNILQNVSVPPSSYQSMHTVTQLQPLQPPQLTRPPQPPQLRPPVQALQQLEQGMAVQSNVQVHQINMLQQSQVPSMQTYYQTQQQQFSHDQLQPQPHVEYTQQPGDGQSQQQADAGMSLHEYFKSPEAIQSLLRDRDKLCQLLEQHPKLMQMLQDKLGQL >Vigun11g111500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31334312:31334878:1 gene:Vigun11g111500.v1.2 transcript:Vigun11g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLLKRRRGLRICLCLSSLLILVAVIMILIFTIFKPKDPSVFVHPVDLKHFQVLSPNSRGAPLALVITIQNPNYASFKHRNCSGYLKYGDTIIAGIPLEQRSYPARSTTDVTTTADIQTHKLIKDPNFLSAVEGGVFNMTSEAKLSGKVRMANIIRLKAKVYLSCTISLNISAFQTTSTCISKLKL >Vigun02g058700.2.v1.2 pep primary_assembly:ASM411807v1:2:20331168:20334313:1 gene:Vigun02g058700.v1.2 transcript:Vigun02g058700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNEPFWRTNSSFSPPPTRWDFRFQSEGMQYTVNDSIQLYGSSTSSNDKESGSWVRGNHLYDLHYSASDGTGILLSSPSDLSQAPQWTPPAIQEISIDNYENSARKDPHPSAGRVSFTPTKEGTSVNPNSGGSTSSLSESSESESTTKSHLSFTDFTEFDTSTPLRDGQRWSSASSSQEFADVTESFELETPGQSHFPSNGFRCGLCERFLSQRSPWSSRRIVRSGDMPTIGVLPCCHAFHAECLEQTTPKTRKSDPPCPVCVKMEEENSPDYRGFLRLTNGFPRLKSSRGDGPSRPWGCVQVGDCVEGALHAPPRNAMFMLNRNRVKKNLSLKGSLSKEFPGKVRKNGTFSSQLLSGGSSADGKEVGCSKATAGSSVWR >Vigun02g058700.1.v1.2 pep primary_assembly:ASM411807v1:2:20331168:20334313:1 gene:Vigun02g058700.v1.2 transcript:Vigun02g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNEPFWRTNSSFSPPPTRWDFRFQSEGMQYTVNDSIQLYGSSTSSNDKESGSWVRGNHLYDLHYSASDGTGILLSSPSDLSQAPQWTPPAIQEISIDNYENSARKDPHPSAGRVSFTPTKEGTSVNPNSGGSTSSLSESSESESTTKSHLSCQRNFSNLRSFISKPIHPMSFNDLTTTRDAFGPAVTDFTEFDTSTPLRDGQRWSSASSSQEFADVTESFELETPGQSHFPSNGFRCGLCERFLSQRSPWSSRRIVRSGDMPTIGVLPCCHAFHAECLEQTTPKTRKSDPPCPVCVKMEEENSPDYRGFLRLTNGFPRLKSSRGDGPSRPWGCVQVGDCVEGALHAPPRNAMFMLNRNRVKKNLSLKGSLSKEFPGKVRKNGTFSSQLLSGGSSADGKEVGCSKATAGSSVWR >Vigun05g176200.1.v1.2 pep primary_assembly:ASM411807v1:5:32609747:32616459:1 gene:Vigun05g176200.v1.2 transcript:Vigun05g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFNKSGTSVLVPSVQELSKESVSNVPQRYFQPQHRQQKLLISQQSHATLQIPVIDMHNLLSQESGSSELPKLHLACKEWGFFQIINHGVSSSLVDKIKLDIKEFFNLPMSEKKKFWQTSGNMEGFGQLFVVSEDQKLDWNDMFYMTTLPTKSRMPHLFPQLPLPFRENLELYSQKMKDLAMIIIGQMGKALEIEEREMRELFEDGTQRMRMNYYPPFPQPEKVIGFTNHSDASTLTILLHVNQVEGLQIRKDGMWIPVKPLLNAFVVNVGDIMEIITNGTYRSVEHRVTVNSEMERISFATFYSPREDAVIGPSPCLITDQTPSQFRSIRVNQYFKEYFARKLEGKSNRDNMRIEHPN >Vigun05g176200.3.v1.2 pep primary_assembly:ASM411807v1:5:32609747:32615462:1 gene:Vigun05g176200.v1.2 transcript:Vigun05g176200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFNKSGTSVLVPSVQELSKESVSNVPQRYFQPQHRQQKLLISQQSHATLQIPVIDMHNLLSQESGSSELPKLHLACKEWGFFQIINHGVSSSLVDKIKLDIKEFFNLPMSEKKKFWQTSGNMEGFGQLFVVSEDQKLDWNDMFYMTTLPTKSRMPHLFPQLPLPFRENLELYSQKMKDLAMIIIGQMGKALEIEEREMRELFEDGTQRMRMNYYPPFPQPEKVIGFTNHSDASTLTILLHVNQVEGLQIRKDGMWIPVKPLLNAFVVNVGDIMEVIYMIIMVTQWR >Vigun05g176200.2.v1.2 pep primary_assembly:ASM411807v1:5:32609747:32616459:1 gene:Vigun05g176200.v1.2 transcript:Vigun05g176200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFNKSGTSVLVPSVQELSKESVSNVPQRYFQPQHRQQKLLISQQSHATLQIPVIDMHNLLSQESGSSELPKLHLACKEWGFFQIINHGVSSSLVDKIKLDIKEFFNLPMSEKKKFWQTSGNMEGFGQLFVVSEDQKLDWNDMFYMTTLPTKSRMPHLFPQLPLPFRENLELYSQKMKDLAMIIIGQMGKALEIEEREMRELFEDGTQRMRMNYYPPFPQPEKVIGFTNHSDASTLTILLHVNQVEGLQIRKDGMWIPVKPLLNAFVVNVGDIMEVIYMIIMVTQWRLLQMEPIEVLSIE >Vigun04g133000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33354592:33358565:-1 gene:Vigun04g133000.v1.2 transcript:Vigun04g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKLQYLSIGGNTFIYRRHMENYISNSLSGAIPFRIGNLPLLHTLRLGGNFYMKAKDAKWLSTLHSLSILELSSMHRLSSSHQWLQSLSKLIPNLTELRLVDCNLSDNDIRSLFFSRSFNISTSLTILDLSSNILTSSTLQLLFNFGLHLQELHLSHNNIALSPSLRLNFPSLKFLDLSYSNLESSMFLANFNISSKLQKLHLVNCSIIDRSFLVSSTSNAFSSLSYLDLSHNLVKACSVFYWLSNFTTNLRTLYLSYNLLEGPIPNEFGKAMNSLEYLFLSNNKLQGKIPSFFGSMCRLQRLDLSNNKLNGKFPSFIRNSSWCSRHIFRQLSLSYNQITGKIPKSIKLLSELEILSLEGNSLEGDVNETHLSSFPKLYYLFLSHNSLSLKFLSNWVPPFQLIYLGIASCKLGPSFPSWLQTQNSLIELDISDNGLNDFVPEWFWNKLQIMYELNMSQNNLIGSVPDMKLQLPLRPSINLNSNNFEGKASLFMLQASELLLSANKFSDFSCGNIKASNLATLDLSDNQIKGQLPDCWKSLDQLLFLDLSNNELSGRIPISMGSLDKLESLVLRNNSLMGRFPYSLKNCNNLVMLDVSENMLSGPIPSWIGESMQQLIILIMRENQFSGNLPLHVCYLKRIQLLDLSRNKLSKGIPTCLNNFTIFSEKSINRTETERRVHWYNGTYYEIYNVFGGRYYTFHITSMWKGVERSFTHPELILQSIDLSCNNLTGEMPKEITYMIGLISLNLSRNNLSGEIPSEIGNLSSLESLDLSRNKFYGGIPSSLSEMDFLQKLDLSRNSLSGRIPLGRHMDTFDASCFEGNVDLCGKPLEESCPGDEAVTKSKGVEVDDEDDKSVFYGTLYMSLGVGFFIGFWGLLGSLLLWHPWRIAYLRFLNRLIDYLLEMVVVNIAKCQR >Vigun06g235700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34113749:34114947:1 gene:Vigun06g235700.v1.2 transcript:Vigun06g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFSSTVDTSRPFTSVKEAVAIFGERLLLGEFHSPKPFSSGTPVKGPSPSPSPSPPPTKTPQDSGDLVDVIKKLEAELEKTKVELKLLKERGSETEVALATLNAQLHKNMSKLAQAEAAAAGKAAAAAATPTKTVRFEISEDEKKKREVVRKKEESQSLGHILSLGENDHVFGTRKTRKHKPIIPLVGDFFFRRKSSSTTHHHNPLYASPF >Vigun07g243500.1.v1.2 pep primary_assembly:ASM411807v1:7:36488419:36489949:-1 gene:Vigun07g243500.v1.2 transcript:Vigun07g243500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSTSNTKKRRMVLPKFYLCFWLLLSSLVILLMNYPVKCDDDEEDNLYQGINKYRASINLKALTRNDNADCLADKIADQFKKQPCTNTTGANTVPGTEPQFSNYPDLLSKCHLAISNTRGGNIMPVCVPGLVPGLVLTNFTKSLYSDSLNDTKYTGIGIGSEDNWIVVVLTTNTPAGTFSPYTSNGANLISGSGLFYCSIIFLIGYIFLS >Vigun04g052900.1.v1.2 pep primary_assembly:ASM411807v1:4:4942417:4944569:1 gene:Vigun04g052900.v1.2 transcript:Vigun04g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEVAVAAEAPASIPGEPMDIMTALQLVLRKSLAYGGLARGLHESAKIIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAN >Vigun10g048251.1.v1.2 pep primary_assembly:ASM411807v1:10:7265553:7269863:-1 gene:Vigun10g048251.v1.2 transcript:Vigun10g048251.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVRRSRITSGQVSDININVLVEVCTPFVSIQGERFSVGKESNFHDVVYERIQNAYFNCLPVFILYLDSFHLESSIPYSRTTFTLFYSFRNLYSPHPLFSSSSVSSERGNQRDRDRERAQARAGGKTKQPRNDGLTPEQRRERDAKALQEKAAKKAAQAAGGNNAGGGRVKK >Vigun07g262500.3.v1.2 pep primary_assembly:ASM411807v1:7:37837627:37840452:-1 gene:Vigun07g262500.v1.2 transcript:Vigun07g262500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRHLHLNLRSSRRRFATVSAAVRQDTTVWTPAPLSEVQPAADSLFHVAIDVSDAPDLAASHTIAGQYLQLRVPYSSKPSFLAIASPPKLAAKHGVFEFLVKSVPGSTAEALCALKRGDVVELSPIMGNGFNIDMIEPPEKYGTVIVFATGSGISPIRSLIESGFDAGNRSDVRLYYGARNLQRMAYQDRFKDWESSGVKIVPVLSRPDDSWTGETGYVQAAFSKAKQISNPLATGAVLCGHKQMTEVH >Vigun07g262500.2.v1.2 pep primary_assembly:ASM411807v1:7:37837103:37840452:-1 gene:Vigun07g262500.v1.2 transcript:Vigun07g262500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRHLHLNLRSSRRRFATVSAAVRQDTTVWTPAPLSEVQPAADSLFHVAIDVSDAPDLAASHTIAGQYLQLRVPYSSKPSFLAIASPPKLAAKHGVFEFLVKSVPGSTAEALCALKRGDVVELSPIMGNGFNIDMIEPPEKYGTVIVFATGSGISPIRSLIESGFDAGNRSDVRLYYGARNLQRMAYQI >Vigun07g262500.1.v1.2 pep primary_assembly:ASM411807v1:7:37837103:37840451:-1 gene:Vigun07g262500.v1.2 transcript:Vigun07g262500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRHLHLNLRSSRRRFATVSAAVRQDTTVWTPAPLSEVQPAADSLFHVAIDVSDAPDLAASHTIAGQYLQLRVPYSSKPSFLAIASPPKLAAKHGVFEFLVKSVPGSTAEALCALKRGDVVELSPIMGNGFNIDMIEPPEKYGTVIVFATGSGISPIRSLIESGFDAGNRSDVRLYYGARNLQRMAYQDRFKDWESSGVKIVPVLSRPDDSWTGETGYVQAAFSKAKQISNPLATGAVLCGHKQMTEEVTSILVADGVSADKILKNF >Vigun02g113900.2.v1.2 pep primary_assembly:ASM411807v1:2:26765505:26768868:1 gene:Vigun02g113900.v1.2 transcript:Vigun02g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRYPLVPLISGSRNPKLQMIPNLLHDLQVIYKLDRKEEDKDTALGSTREECQGNRNNFQPSEKVFPVLDQDLNYLPYEEGESELLDNETDVESSPGLLGKKKRAPSDLVAKISLSDLVQYFGMPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQEEAKNQELENMDAAMAVAKRQRMLECEKENIEKKPFMDIQTETKRFRQDVFKRRHRARAVGKHNSTVSNT >Vigun02g113900.5.v1.2 pep primary_assembly:ASM411807v1:2:26763448:26768868:1 gene:Vigun02g113900.v1.2 transcript:Vigun02g113900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQTNNTTLLTNLLLFNNTLQPELIRSVHVYRQGDEEERAVEREFLFSECGSYGEMQATPILKFVKSHVSQVFEGYKNGVWLCIFAFHAHQTPQFSRIPPFLLVSRNPKLQMIPNLLHDLQVIYKLDRKEEDKDTALGSTREECQGNRNNFQPSEKVFPVLDQDLNYLPYEEGESELLDNETDVESSPGLLGKKKRAPSDLVAKISLSDLVQYFGMPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQATLTDSQRWNTCRKKQRTKNWRTWMQQWQWQRDKGCWSVKRKT >Vigun02g113900.4.v1.2 pep primary_assembly:ASM411807v1:2:26766288:26768908:1 gene:Vigun02g113900.v1.2 transcript:Vigun02g113900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNLLHDLQVIYKLDRKEEDKDTALGSTREECQGNRNNFQPSEKVFPVLDQDLNYLPYEEGESELLDNETDVESSPGLLGKKKRAPSDLVAKISLSDLVQYFGMPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQEEAKNQELENMDAAMAVAKRQRMLECEKENIEKKPFMDIQTETKRFRQDVFKRRHRARAVGKHNSTVSNT >Vigun02g113900.3.v1.2 pep primary_assembly:ASM411807v1:2:26765505:26768868:1 gene:Vigun02g113900.v1.2 transcript:Vigun02g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNLLHDLQVIYKLDRKEEDKDTALGSTREECQGNRNNFQPSEKVFPVLDQDLNYLPYEEGESELLDNETDVESSPGLLGKKKRAPSDLVAKISLSDLVQYFGMPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQEEAKNQELENMDAAMAVAKRQRMLECEKENIEKKPFMDIQTETKRFRQDVFKRRHRARAVGKHNSTVSNT >Vigun02g113900.1.v1.2 pep primary_assembly:ASM411807v1:2:26763448:26768868:1 gene:Vigun02g113900.v1.2 transcript:Vigun02g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQTNNTTLLTNLLLFNNTLQPELIRSVHVYRQGDEEERAVEREFLFSECGSYGEMQATPILKFVKSHVSQVFEGYKNGVWLCIFAFHAHQTPQFSRIPPFLLVSRNPKLQMIPNLLHDLQVIYKLDRKEEDKDTALGSTREECQGNRNNFQPSEKVFPVLDQDLNYLPYEEGESELLDNETDVESSPGLLGKKKRAPSDLVAKISLSDLVQYFGMPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQEEAKNQELENMDAAMAVAKRQRMLECEKENIEKKPFMDIQTETKRFRQDVFKRRHRARAVGKHNSTVSNT >Vigun04g148600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36413286:36414279:-1 gene:Vigun04g148600.v1.2 transcript:Vigun04g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGPSKFYGTSLPRPRIYTDVKFNDHRVDPPAPVSDPLMSWAEEAHWSMGGLSFKRLRLQGKIEGNVQRLRSQRDRAQSQSPIPPHSGSKRDASPAPPPAPFVRKRRRCVDLIEEEVCEGRKSRLVRKLGDDFDRVASLEIEASNTVPFKTPRRRLVKGGETVKKTVAEAAEKSNPKTQKSSGEGAKSPRVRTSPRFAKRVPN >Vigun08g203500.1.v1.2 pep primary_assembly:ASM411807v1:8:36719209:36721284:1 gene:Vigun08g203500.v1.2 transcript:Vigun08g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTWKMGKREFSDATMLMVCGIVAMVMVPLISAQFECGNVFAIYWECDQYIQRSGPMVPPSGTCCRALDGSNVTCLCQYFAEYESRYSTEKVVYVLSECGMPLASGTKCGNYTAP >Vigun10g009000.1.v1.2 pep primary_assembly:ASM411807v1:10:847837:852966:1 gene:Vigun10g009000.v1.2 transcript:Vigun10g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLGNEETVMRCKERHRLMKDAVYARHHLAAAHSDYCHCLRLTGSALYTFAAAEPLSVADDTPAVLLHKTTNNSQPSPRPPPSADAASASPKLPRIVTSGATRRRQQPSRLPHVLSESSLCSSPRSEYSNFSAAQQQPEPTSTSHAPQPPLPAVTRRRKPPPKLPHILSESSLFSSPRSEYSNFFATAHQTSTPTSQASSVWNWENFYPPPSPPGSDYFRQRQNHKPQTTPNFETSDSESESMYNPFRSNSQRNRKIDNSTNVDSHSQQQKTEPGISESETESEEKYLHEPVQTEREEVRCSEWEDRYNSSSSSEQEERGARVTSPSKTEYVAGGYAPAAKSEKVSDDVAEAKVAVRHRDLKEIVEAVRENFEKAAAAGDQLSEMLEVSRAHLDRSFNQLRKTLYHSNSVLSKLSSTWTSKPPLVVKYRLDAGSLDGPDGSKSLCSTLERLLAWEKKLYQEVKARECVKIEHEKKLSALQSVECKGGDEAKVDKTKASITRLQSLIVVTSQAVSTTSDAINGLRDAHLVPQLLHLCRGIMYMWKSMHEYHEIQSNIVQQVRGLVNQSSKGHSTSESHKQATRDLESAVSAWHSSFCRLIKFQRDFILSLHGWLKLNLIPVNNENINNNTGSSSSHVFSFCDEWKLALDRVPDTVASEAIKSFINVVHVISVKQSEELKIKKRTESASKELEKKSSSLRSIERKFYNSYSMVGITPPELDPANGQGLDARDPLAEKKLKLASYQRRVEDEMVRHSKAVEVTRAMTLNNLQTGLPGVFQAMASFSSLFTEALESVCSHSHAMK >Vigun10g198500.1.v1.2 pep primary_assembly:ASM411807v1:10:41114705:41119528:1 gene:Vigun10g198500.v1.2 transcript:Vigun10g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGVEVKHVEVVPEENCSAKPTKQGEGLRHYYSLNIHEHQLLLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Vigun07g266000.1.v1.2 pep primary_assembly:ASM411807v1:7:38147936:38152423:-1 gene:Vigun07g266000.v1.2 transcript:Vigun07g266000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLTSCGSPTFGREKKRLPRVATVAGVLSELDDESSTSVGSDTPSSVSQERMIIVGNQLPLRARRKDNGTWEFTWDEDSLLLQLQDGLGDDVETIYIGCLKEEIEPSEQDDVAQYLLDTFKCVPTFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVRLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGISYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVMSLAETESKVAELKSQFRDQTVLLGVDDMDIFKGISLKLLAMEQLLLQHPDKRGRVVLVQIANPARGRGKDVQEVQSETYATVKRINNTFGRPGYTPVVLIDRPLQSYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGSEKIDEILGTDPLAQKRSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKHRAILLDYDGTMVQPGSMSTTPNAEAVGILNILCRDTKNCVFIVSGRERSTLTEWFSSCERMGIAAEHGYFVRTNQNSEWETCVPVPDFEWKQIAEPVMQLYMETTDGSNIEAKESALVWNYEYADRDFGSCQAKELLDHLESVLANEPVSVKSSPNIVEVKPQGVSKGIVAERLVSTMKQKGVIPDFVLCIGDDRSDEDMFGVIMNARALLSPVAEVFPCTVGQKPSKAKYYLEDTSEILRMLQGLANASEHSTRTSSQPSH >Vigun08g150600.1.v1.2 pep primary_assembly:ASM411807v1:8:32326452:32328083:-1 gene:Vigun08g150600.v1.2 transcript:Vigun08g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFMQPFIFLCILISIHLTGGTQLILVNNCKESVWPGILGSPGHPTPKDGGFHLCGGEEVVLDVPEEWSGRIWGRQGCCFDPITGKGSCETGDCGGVLKCGGMGGVPPATLVEMTLGTSESALHFYDVSLVDGFNLPVSMKAVRGGVGCGVAACEANLNVCCPASLVVKRQGRVVGCKSACLAAKSDRYCCTGEFSTPKTCKPTAFSRLFKTLCPQAYSYAYDDSTGLKICKAQRYLITFCPPT >Vigun05g106200.1.v1.2 pep primary_assembly:ASM411807v1:5:10742047:10745817:-1 gene:Vigun05g106200.v1.2 transcript:Vigun05g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKIIGTINAGDFRDLSNLEQLTLDNNYDLDNEFFRNIGELTSLKVLSVFGCGINDTLPPADWFKLKNLEELDLSQNQFEGSLPSSFLNMTSLRKLELSRNKFSGQFDSNIASLTSLEYFGFKDNQFEVPVSFTPFANHSNLKFIYGEGNKVILDLQPSLQTWIPQFQLQVLSLPSITNTDSLPLPRFLLYQNNLTSLDFSSWRLEGTFPHWLFNNNTKLTELLVRNCSFTGDILLPLHPLTNMRRIDVSNNNITGQIPSNISSILPNLQFLNLSINHIQGSIPVGFGQMSLLDTLDLSDNYLSGEIPKNISGDGSVLKYLKLSHNKLDGPIFPNLKYLEELYLDDNSFYGSIPSSFLDSSLIYLDLSYNNLVGKLPSVVGNLSYLRTLSLSNNHLEGSIPTRLVELEYLSYLDISVNNLTGSVPSFVNASVNYIHLNNNRLSGLSKRMFSKRSFLMMLDLSYNEIIGSIQDMMQDLSHTRLNILLLKGNRFTGHLPVQLCQLVDLNILDLSYNNFFGPIPNCLGKMPFENDDPDSLRGAFNGFIHGENAHSGVLVRNINEEANFTTKERSYTYTSSILAYMSGIDLSKNKLNGSIPFELGNLTRLRALNLSHNDLIGQIPTTFSNLIHVESLDLSFNMLSGQIPPQLNQLSSLAVFSVAHNNLSGDTPEQKGQFITFDERSYEGNSLLCGPPLLKSCHPYAQSPTISPNDEDNDSPVDMHAFVVSFGVAYISILLVIFATLYVNPYWRRSWFSSMELIILSCYYFMQDSWRRFSNSRNL >Vigun04g122000.1.v1.2 pep primary_assembly:ASM411807v1:4:31220659:31221609:1 gene:Vigun04g122000.v1.2 transcript:Vigun04g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTHDCDIRLEFAVGLEFLRREGSQYRKEDDGFRVYVFCVYGGIPVMYRV >Vigun05g131100.2.v1.2 pep primary_assembly:ASM411807v1:5:15247827:15249512:1 gene:Vigun05g131100.v1.2 transcript:Vigun05g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSTNQIEAAMDSDYDRKAEIKAFDETKTGVKGLVDSGVKKVPRMFHSGIDITENIVTDSKLSVPVIDLQNIHTNPDLRKEAVTKIRSACQEWGFFQVINHGIPIPVMDEMIDGIRRFHEQDADVRKQFYSRYLKDKILYFSNASLYMDKFTNWRDTVGCSMAPNPPKPEELPEVFRDIITEYSKEAMALGYTIFELLSEALGLNSSYLKDLNCAEGLFIQGHYYPPCPQPELTLGTSKHTDMAFITILLQDQLGGLQILHDNQWLNVPPVDRGLVVNVGDVLQL >Vigun05g131100.1.v1.2 pep primary_assembly:ASM411807v1:5:15247827:15249512:1 gene:Vigun05g131100.v1.2 transcript:Vigun05g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSTNQIEAAMDSDYDRKAEIKAFDETKTGVKGLVDSGVKKVPRMFHSGIDITENIVTDSKLSVPVIDLQNIHTNPDLRKEAVTKIRSACQEWGFFQVINHGIPIPVMDEMIDGIRRFHEQDADVRKQFYSRYLKDKILYFSNASLYMDKFTNWRDTVGCSMAPNPPKPEELPEVFRDIITEYSKEAMALGYTIFELLSEALGLNSSYLKDLNCAEGLFIQGHYYPPCPQPELTLGTSKHTDMAFITILLQDQLGGLQILHDNQWLNVPPVDRGLVVNVGDVLQLITNDKFSSVYHRVLANRGGPRVSVATFFSNSHDPEKGITKVYGPIKELLSEENPPIYRNSSIGEIMAHHFAKGLDGNHALNPFRL >Vigun04g070700.1.v1.2 pep primary_assembly:ASM411807v1:4:8196782:8198391:1 gene:Vigun04g070700.v1.2 transcript:Vigun04g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKAKRDLHTGEKKKKRNREQNDEVEIQATVEDVEVDNEIHVSDTKKEKASVNKKRKNKDKSLVRKRKPKGEEVDLEEQSDEVVDNCHSSAEEIQDFGGHRDLDTGAVTKPCRSKKDKKKRKKEDRNSQDKGEWYNNLKEVYTISSVDDDCSKGMKRFFVSGTEEHQRNRTNLKRF >Vigun11g066450.1.v1.2 pep primary_assembly:ASM411807v1:11:16327561:16327855:-1 gene:Vigun11g066450.v1.2 transcript:Vigun11g066450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAILNQLRDERGSCVVVCKSFGCDFLGEAVIGGEKQQKKNEVVDGKIRKAGIG >Vigun03g041200.1.v1.2 pep primary_assembly:ASM411807v1:3:3236896:3239228:-1 gene:Vigun03g041200.v1.2 transcript:Vigun03g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVKLDLHDEKAKQKAMKSVSSLPGIDSISMDMKEKKLTVVGDIDPVSVVSKLRKTWHTEIVTVGPAKEPEKKKDEEKKDDGKKDDNKKKDDEKKKDPNQQIEELVKLYKAYNPHMTTYYYVQSAEENPNACAIS >Vigun03g285600.1.v1.2 pep primary_assembly:ASM411807v1:3:46709303:46710954:-1 gene:Vigun03g285600.v1.2 transcript:Vigun03g285600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCVLRPSLQWIETAEAQGHATVFVAKFFGRADLMSFISNVPENQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELMGLASPAAAADETSEVACSDIWRIRDPNPTCGFTNTRSHNRVSSASKRKRLEEPAKLQAAPNLDLRLTPIFLQKKVENRRPVSPSMTSEESGTTTACLESKFGDQWGHSGDRKVLNLFI >Vigun06g198400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31371813:31373079:1 gene:Vigun06g198400.v1.2 transcript:Vigun06g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSNCIFFLLFTTLLLALQISARDSQFFSKVSHFDNNNVKETELPNKEAPEASKVDQQPPFVPETENSYGLYGHDESNQVPSTTTTKNSDSYTTPTSYHPYKPEFENNNNFNNDAYNNRFAETNNNKNSYGGDQDELSDTKYAEEGYNNNNQKYYNNDAASYKSYDNNNQKYYNKDAASYKSYDSNNNQNYYNNDAASHKYYSSNNNYNGNANRYNGEKQGMSDTRFLEGGRYFHDIYAEENHPTNYDDSSRGVNNNNWYNNRGGNYNGYQNQEVFEDEHENFEP >Vigun03g215300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35740291:35742321:1 gene:Vigun03g215300.v1.2 transcript:Vigun03g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSQDGIQTNNLKCIFSCKRSLQKNQLHLLVKNMDHHLLQVVALLTLIAFGGTSWSIEACSPIDLEALMSFKNGIQKDTSGRLAKWIGASCCKWEGIVCENATFRVIQINLPGLISTDTDLSQTQMIGRISPSITLLSSLEIIDLGGLVGLSGAIPDTIGLHLPKLQKLYLYGNNLTGPIPESIGKFPNLQELALQENKLWGSIPMSLGSLQGLKRLLLYSNKLSGIIPDSLGNLINLTELDAHDNALVGNIPNSVGEMKALTKLDLSNNFLSGKMPSSLTNLTAISVLYLNTNSLEGTIAFPSRSGEMSSLGFLRLHNNLLGGNIPSNIGYLVSLQRLSLSNNKLEGALPSSLGNLVSLTELYLSGNFLSDQIPKSLGQLSRLIMLNISRNLIEGPLPQEISSLQNLQTLDLSFNHLQLSAIPKWMANMSSLSNVYLAGCGIQGQIPDFFQTTNSPIQELDLSVNILSGSIPSWIGSLSQLYLLNLSGNSLYSDIPDSFRNLQDLGVLDLHSNRLTGSIAPVFEIEQGIFGGSLKFVDLSENSFSSGIEEIGGGQLSIQFLNLSHNHLEGRLPNSIGSLNSLNCLDLSFNELGSNIPEVLANLTSLKILKLQENLFTGKIPNGFLKLLKLKELNVSNNHLEGEIPEGKPLVDFPESSYSGNKGLCGKPLGPCKL >Vigun09g168900.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33802498:33811570:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33805705:33811570:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33802483:33811676:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33805706:33811570:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33802478:33811715:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33802483:33811652:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun09g168900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33802478:33811715:1 gene:Vigun09g168900.v1.2 transcript:Vigun09g168900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWTLDAALQRCEWPRHFREILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLRIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHTHVVRFGFHQDVYVRNTLINFYAVCGSMPSARQVFEESPVPDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMIFGYAQHECFSEALALFQEMQLHGVRPDETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRAGFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVNEFLAGDKTHPQISDIEHMLDEVAAKLKIEGYVPTTSEVSLDIDDEEKEIALFRHSEKLAVTFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >VigunL018900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000199.1:1052:4159:1 gene:VigunL018900.v1.2 transcript:VigunL018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTWDPNYHPYPFTLPAIDSPSTSYSLSGGRWMDRAKQAQKKKDLSQSQVQWLVGKPFNSSQSLDSHSCETVATDPAYQSSAAYSNGTKPRPWKYGYSPIPLKKVFEDYPKPTSRQDFQLQCLGWASLDAPTPNKLLVGIVKLSLFGRPGGRQGYALGSAAHLFRVQGRRGVLTRPPNPAGQGRAGHRFESCHLSCGSSCPVHEMSIIYEFFHLSLFPGLFVAFTYNKKQPPAFGAAPAFWTWSNHEGSILSWCRIPRFYGFLLCYRGRPQSHNVSKRGGHREVLYYFVSNFVKNSILSLPRYEQKSGAAPQLYTPFVLRTLVDSELRSRRNRTKISLAPLGARRSRGSREGKRRTHPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKKGTLLRSAGCVGSRITSELFTKKFKHVVAKCYPALLSASGHGGEQAKRVVRNEQKDTTTSPLCWSAGANTVVSDQDQEQIRIWILTCRWFLTVGIMPGSWWAHHELGRATARIHSVIIPLLHSWISFLNIVTLPCCVSGTFSIRSGLLASVHSFATDDTRGIFLWRFFLLMTGISMILFSRMKQQASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWKN >Vigun11g178800.1.v1.2 pep primary_assembly:ASM411807v1:11:38297265:38300236:1 gene:Vigun11g178800.v1.2 transcript:Vigun11g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGVSITNNAQTQRVPKPCVSSTHHNGTLVSALFKREESFLVLLVLGNSKPSFSQHQDGKTRKTDTMKKMMMSRSFQLSDFELSRSSSLSQPRKSPNYMKPTSSSEAKKELFSVSHRHKQSSSDGKSLPQKGMRNSKASFVSCKEHAKSLSRSCSLNSVRTLTKSHSFKPCKACSKKFTSEVMFEDVNAPERATCSSTLKEYNFPEFLTLHPGGTESEGVSVMKVCPYTYCSLNGHGHAPLPPLKSFVSARRHLLETQKKNTNLELVIPQRCDIEQIFFNEKPQFDEAAIGNPTISPLAQEIGMDFLFEIYAKEREGAEEVRKFNTVKEIEKPEDINFAKDENRIAAEEDGVKQVTQGVTLDLLKSQKGDFRNYFTVAAAEVDNKWSFHLGEDVEDAEENHPTSLFHEETCTESYCNVELDEYYSPCWEEQHFREFIYEDTTDSSIFSVEEINSKLESLSERSRDKSEMLLDDIFNSNYADINVEDVRLQEDKEERTICFEAQPHCTDFVLEDTSESIEFLIQEKYYPSKDIDSEYEESTLSKGVFQTLTNEQDNNGENEKHVDYEVSCVSMLLDEEIVENSECHSSSESCKIDESYEDKDASLENDDDDDDDDDDDEFNREHIIHMSKVLEESTTIIIQEQKFSEENEVKSSNLKSTGGEEKHANKNWQWGTKRKRAVEEEEEMRKINPRKPNFLPLVSELEPEKVDLKHQMINERKNAEDWMLDFALRRVVTKLAPAGKRKVSLLVEAFEAVMSMPKGEARIRNDSPFVHARPIQACS >Vigun02g132800.1.v1.2 pep primary_assembly:ASM411807v1:2:28352166:28357826:-1 gene:Vigun02g132800.v1.2 transcript:Vigun02g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKTRSCASKHQKHHGKSAIDVTRRSLKTKIRVLTDKYHAERGLSVREKVHRHWRNLEPAFPTTKGVLSGNEYLQVGENVNKLSMKIDNPLCKFNGFPEATLEEDQFNYVDISAAESIRIPNEEKLPQYTAWVYVARNEKMAEDQSVIGKYQMYFDPDRGEMMICSDNEEEIPNTKDVKHEFTEAEDQILRMTLEEYGSTKEVLGIIKEIVDTEDSQIQERYEKLKEKNMESLDQHSKDCHCKGCENNIGICLEKSLGGTLGTFEKIFCRRCLIFDCALHGTDQPLIHLSEKHVCSEPEGGRKPCSDQCYLLDKGITPMEEDTTIVPPNETQSSCELKRTSDDTIIKMGNHYSELNLDASDNENHTTSGASLGSLGEHASKKLPVSGSFDNSERYKRDMNGREDLTKR >Vigun08g023750.1.v1.2 pep primary_assembly:ASM411807v1:8:2031959:2032847:-1 gene:Vigun08g023750.v1.2 transcript:Vigun08g023750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDGKNLEKVDENNLEKFDENLEKHALKDLGAFFPRWRANRAFKKVDVNHDGQISDEEIDSLLEYLHSRGFGK >Vigun01g035700.1.v1.2 pep primary_assembly:ASM411807v1:1:4619145:4623857:-1 gene:Vigun01g035700.v1.2 transcript:Vigun01g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGVTIDFLSRFHLMDSGSPCQWLRELRLDSQGLNPISLLIDCAKCVASGSIKNADIGLEYISQISSPDGNAVQRMVSYFSVALAHRIIKHLPGVYKSISPSKTSLSQDDILAEKCFYELCPFLKFSYLITNQAIVEAMECEKVVHIIDLHCCEPAQWIDLLLTFKNRRGGPPHLKITGINESKEVLEQVNFHVTSEAGKLDFPLQFYPVVSKVEDVDFEKLPVKTGDAVAITSVLQLHLLLSTDDDMGGRISPAVAAASMNLQRAVHLGQRTFAEWLERDMINAYILSPDSALSPLSFGASPKMGNLLKAMRKLNPKLLVVTEQESNMNGSNLMERVDRALYFYSALFDCLDSTVMRTSVERQKLERMLFGEQIKNIIACEGVERKERHEKLEKWIPRLEMAGFVKVPLSYNGRIEAKNLLQRYSNKYKFREENDCLLVCWSDRPLFSVSAWSFRR >Vigun03g376000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57862572:57866029:-1 gene:Vigun03g376000.v1.2 transcript:Vigun03g376000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLEDETFPSTPGKFKIERAHTMNRQLYRCFASTSTMFLWALFLIALTASYLSFQGFVDSGSRYLSASWGGIQWEKQVRTSAQIHRQGGMSVLVTGAAGFVGSHVSLALKRRGDGVVGLDNFNDYYDPSLKKARKSLLNTHEVFIVEGDVNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPQSYVHSNIAGLVTLLETCKSANPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHADLARDFTYIDDIVKGCLGSLDTAGQSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERHLKVKAKRNIVDMPGNGDVPFTHANISSARREFGYKPTTDLQTGLKKFVKWYLSYYGYNHGKSVN >Vigun08g165300.4.v1.2 pep primary_assembly:ASM411807v1:8:33747363:33754474:-1 gene:Vigun08g165300.v1.2 transcript:Vigun08g165300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKRRLDQIKHPFNSHPFEAVSSGSWQAVEFIKIEAGTMYIHFVDNHYMPMEKGPLSDIRIRSRKATLSDCSRFLRPGIDICVLSTPQRSNDSDATVVDPVWIDAKISSVQRKPHDSECSCQFYVNFYVHQGSLGAELRTLSKEIKVIGLKQISILQKLDNIYCEDQHYRWASSEDCSMISHTKLLLGKVLCDLSWLVVTSVVKRISFCVRCLEEKLVYQILGRDTDSTSLNQDSYIDVVNFKTESGVLVPFVSQVPTVITKQIDNVHECHEDQLLLLPYNVEGLRRSKRRNVQPERYIGSVNVSSEIDVGSFRNRPPVKIDTWKEQDDEELHIPLACLFGLVKNSPEGVNENHEKKASTCRELVMYKRRMNTNQEIKSGENYQNEDQNRLAIVPLPDQVDPLLVEHCDDLDDKVTRSYGHESPEHYSKYYDLTSAPKKSKKKDDNLLAFETQNHPAESDDVEKADDLSLRYHYSYGVPKSKRKNLSGLDDIVDLGNKWEGIRSNKEAQKKKFHASYSRSRDHGEEKRYNYKDRTLNAAAYKDLINSYLKNINTISTQEEPSITDQWKEINTTSSIGQKTETENPDEEDAEEESEMDMLWRELEVSLASSYLEEDSNAAIINETEEKPNQGCPHEFRMNEQIGIYCYRCGFVKTEIKYITPPFIQHSSWHQEEKHSAEEDSRTKVDEDDDLDLFPTIESPEGPVSQENDNVWALIPELRVKLHAHQKKAFEFLWQNIAGSMEPTLMEAASKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWDIPIPVYLIHGRRTYRVFKQKSPVVLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKYMAKVLRESPGIMVLDEGHNPRSTKSRLRKVLMKVQTKLRILLSGTLFQNNFCEYFNTLCLARPKFIHEVLKALDSKYRRKGKVAKKASHLLESRARKFFLDKIAKKIDSDKGRERQQGLKMLRNVTNGFIDVYEGGSSDGLPGLQIYTLLMNSSDTQHEILLKLHKKMAKCNGYPLELELMITLGSIHPWLVKTAVCAEKFFTSEQLMELEKCKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVKLFVEYFEKYFGWMRGKEVLVLTGELELFERGRVMDKFEEAGGVAKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLVTGSLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASSTNK >Vigun08g165300.1.v1.2 pep primary_assembly:ASM411807v1:8:33747363:33754481:-1 gene:Vigun08g165300.v1.2 transcript:Vigun08g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKRRLDQIKHPFNSHPFEAVSSGSWQAVEFIKIEAGTMYIHFVDNHYMPMEKGPLSDIRIRSRKATLSDCSRFLRPGIDICVLSTPQRSNDSDATVVDPVWIDAKISSVQRKPHDSECSCQFYVNFYVHQGSLGAELRTLSKEIKVIGLKQISILQKLDNIYCEDQHYRWASSEDCSMISHTKLLLGKVLCDLSWLVVTSVVKRISFCVRCLEEKLVYQILGRDTDSTSLNQDSYIDVVNFKTESGVLVPFVSQVPTVITKQIDNVHECHEDQLLLLPYNVEGLRRSKRRNVQPERYIGSVNVSSEIDVGSFRNRPPVKIDTWKEQDDEELHIPLACLFGLVKNSPEGVNENHEKKASTCRELVMYKRRMNTNQEIKSGENYQNEDQNRLAIVPLPDQVDPLLVEHCDDLDDKVTRSYGHESPEHYSKYYDLTSAPKKSKKKDDNLLAFETQNHPAESDDVEKADDLSLRYHYSYGVPKSKRKNLSGLDDIVDLGNKWEGIRSNKEAQKKKFHASYSRSRDHGEEKRYNYKDRTLNAAAYKDLINSYLKNINTISTQEEPSITDQWKEINTTSSIGQKTETENPDEEDAEEESEMDMLWRELEVSLASSYLEEDSNAAIINETEEKPNQGCPHEFRMNEQIGIYCYRCGFVKTEIKYITPPFIQHSSWHQEEKHSAEEDSRTKVDEDDDLDLFPTIESPEGPVSQENDNVWALIPELRVKLHAHQKKAFEFLWQNIAGSMEPTLMEAASKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWDIPIPVYLIHGRRTYRVFKQKSPVVLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKYMAKVLRESPGIMVLDEGHNPRSTKSRLRKVLMKVQTKLRILLSGTLFQNNFCEYFNTLCLARPKFIHEVLKALDSKYRRKGKVAKKASHLLESRARKFFLDKIAKKIDSDKGRERQQGLKMLRNVTNGFIDVYEGGSSDGLPGLQIYTLLMNSSDTQHEILLKLHKKMAKCNGYPLELELMITLGSIHPWLVKTAVCAEKFFTSEQLMELEKCKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVKLFVEYFEKYFGWMRGKEVLVLTGELELFERGRVMDKFEEAGGVAKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLVTGSLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASSTNK >Vigun08g165300.3.v1.2 pep primary_assembly:ASM411807v1:8:33747362:33754474:-1 gene:Vigun08g165300.v1.2 transcript:Vigun08g165300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKRRLDQIKHPFNSHPAFEAVSSGSWQAVEFIKIEAGTMYIHFVDNHYMPMEKGPLSDIRIRSRKATLSDCSRFLRPGIDICVLSTPQRSNDSDATVVDPVWIDAKISSVQRKPHDSECSCQFYVNFYVHQGSLGAELRTLSKEIKVIGLKQISILQKLDNIYCEDQHYRWASSEDCSMISHTKLLLGKVLCDLSWLVVTSVVKRISFCVRCLEEKLVYQILGRDTDSTSLNQDSYIDVVNFKTESGVLVPFVSQVPTVITKQIDNVHECHEDQLLLLPYNVEGLRRSKRRNVQPERYIGSVNVSSEIDVGSFRNRPPVKIDTWKEQDDEELHIPLACLFGLVKNSPEGVNENHEKKASTCRELVMYKRRMNTNQEIKSGENYQNEDQNRLAIVPLPDQVDPLLVEHCDDLDDKVTRSYGHESPEHYSKYYDLTSAPKKSKKKDDNLLAFETQNHPAESDDVEKADDLSLRYHYSYGVPKSKRKNLSGLDDIVDLGNKWEGIRSNKEAQKKKFHASYSRSRDHGEEKRYNYKDRTLNAAAYKDLINSYLKNINTISTQEEPSITDQWKEINTTSSIGQKTETENPDEEDAEEESEMDMLWRELEVSLASSYLEEDSNAAIINETEEKPNQGCPHEFRMNEQIGIYCYRCGFVKTEIKYITPPFIQHSSWHQEEKHSAEEDSRTKVDEDDDLDLFPTIESPEGPVSQENDNVWALIPELRVKLHAHQKKAFEFLWQNIAGSMEPTLMEAASKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWDIPIPVYLIHGRRTYRVFKQKSPVVLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKYMAKVLRESPGIMVLDEGHNPRSTKSRLRKVLMKVQTKLRILLSGTLFQNNFCEYFNTLCLARPKFIHEVLKALDSKYRRKGKVAKKASHLLESRARKFFLDKIAKKIDSDKGRERQQGLKMLRNVTNGFIDVYEGGSSDGLPGLQIYTLLMNSSDTQHEILLKLHKKMAKCNGYPLELELMITLGSIHPWLVKTAVCAEKFFTSEQLMELEKCKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVKLFVEYFEKYFGWMRGKEVLVLTGELELFERGRVMDKFEEAGGVAKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLVTGSLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASSTNK >Vigun08g165300.2.v1.2 pep primary_assembly:ASM411807v1:8:33747362:33754474:-1 gene:Vigun08g165300.v1.2 transcript:Vigun08g165300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKRRLDQIKHPFNSHPAFEAVSSGSWQAVEFIKIEAGTMYIHFVDNHYMPMEKGPLSDIRIRSRKATLSDCSRFLRPGIDICVLSTPQRSNDSDATVVDPVWIDAKISSVQRKPHDSECSCQFYVNFYVHQGSLGAELRTLSKEIKVIGLKQISILQKLDNIYCEDQHYRWASSEDCSMISHTKLLLGKVLCDLSWLVVTSVVKRISFCVRCLEEKLVYQILGRDTDSTSLNQDSYIDVVNFKTESGVLVPFVSQVPTVITKQIDNVHECHEDQLLLLPYNVEGLRRSKRRNVQPERYIGSVNVSSEIDVGSFRNRPPVKIDTWKEQDDEELHIPLACLFGLVKNSPEGVNENHEKKASTCRELVMYKRRMNTNQEIKSGENYQNEDQNRLAIVPLPDQVDPLLVEHCDDLDDKVTRSYGHESPEHYSKYYDLTSAPKKSKKKDDNLLAFETQNHPAESDDVEKADDLSLRYHYSYGVPKSKRKNLSGLDDIVDLGNKWEGIRSNKEAQKKKFHASYSRSRDHGEEKRYNYKDRTLNAAAYKDLINSYLKNINTISTQEEPSITDQWKEINTTSSIGQKTETENPDEEDAEEESEMDMLWRELEVSLASSYLEEDSNAAIINETEEKPNQGCPHEFRMNEQIGIYCYRCGFVKTEIKYITPPFIQHSSWHQEEKHSAEEDSRTKVDEDDDLDLFPTIESPEGPVSQENDNVWALIPELRVKLHAHQKKAFEFLWQNIAGSMEPTLMEAASKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWDIPIPVYLIHGRRTYRVFKQKSPVVLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKYMAKVLRESPGIMVLDEGHNPRSTKSRLRKVLMKVQTKLRILLSGTLFQNNFCEYFNTLCLARPKFIHEVLKALDSKYRRKGKVAKKASHLLESRARKFFLDKIAKKIDSDKGRERQQGLKMLRNVTNGFIDVYEGGSSDGLPGLQIYTLLMNSSDTQHEILLKLHKKMAKCNGYPLELELMITLGSIHPWLVKTAVCAEKFFTSEQLMELEKCKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVKLFVEYFEKYFGWMRGKEVLVLTGELELFERGRVMDKFEEAGGVAKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLVTGSLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASSTNK >Vigun07g226000.1.v1.2 pep primary_assembly:ASM411807v1:7:34819838:34824539:1 gene:Vigun07g226000.v1.2 transcript:Vigun07g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRQRLARKRFKAEHPELFPKAEPTPPKDPSKKKKKKKKSSAFKPKRAESKPGSGRRHPLRVPGMKPGESCFICKAPDHIAKLCPEKTEWEKNKICLRCRRRGHRAKNCPEVQDGANDVKYCYNCGETGHSLSLCPYPLEEGGTKFAECFVCNQRGHLSKNCPQNTHGIYPKGGCCKICGGVTHLAKDCPDKGLRGSVAGNGPAGRSMRIEEGPRGKVTKFISGDDIEDDFMMDDIRSGDNNKSANSNNGNVKTTKKDPKVVFFN >Vigun03g318400.2.v1.2 pep primary_assembly:ASM411807v1:3:51292834:51296866:1 gene:Vigun03g318400.v1.2 transcript:Vigun03g318400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRMIHTDLKPENILLVSPEYVKVPDYKSSSRSPSTYFKRVPKSSAIKVIDFGSTTYERDDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRNAEKYVRRGRLDWPEGATSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRYPFFMRDHFRR >Vigun03g318400.1.v1.2 pep primary_assembly:ASM411807v1:3:51292834:51296866:1 gene:Vigun03g318400.v1.2 transcript:Vigun03g318400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVFEFPHSHMDRRPRKRARLGWDIPEVPKAQVGLFCGQDVGAISSYAPSRGPSEHTTCSLFVKAVAQNGSPPWRDDDKDGHYMFALGDNLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRMIHTDLKPENILLVSPEYVKVPDYKSSSRSPSTYFKRVPKSSAIKVIDFGSTTYERDDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRNAEKYVRRGRLDWPEGATSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRFDPSERLTAKEALRYPFFMRDHFRR >Vigun04g141000.1.v1.2 pep primary_assembly:ASM411807v1:4:34915223:34917542:1 gene:Vigun04g141000.v1.2 transcript:Vigun04g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMSISTLSSSTFPNSNPSLTLSSQKFPLFPKPLLLPFSHPLSLPLRTQTFLSPLLCKSPEAEAEAPPPEDHWLQKLPEKSKPLYSHSLPCIEAWLRSLGFCQSKEDRALWLVHKPDWHAHLSLDVTDLYIRYLKSGPGNLEKDVERRFSYALSREDIENAVLGGP >Vigun09g058350.1.v1.2 pep primary_assembly:ASM411807v1:9:5917467:5917749:1 gene:Vigun09g058350.v1.2 transcript:Vigun09g058350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIVHQHQTVTEITSTLSFPFEVQFIYGGSGAPSRIHQVEAFSVLIRLVLLIARC >VigunL057250.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000435.1:15603:18041:-1 gene:VigunL057250.v1.2 transcript:VigunL057250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLSTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNVRV >Vigun05g041700.1.v1.2 pep primary_assembly:ASM411807v1:5:3369562:3375775:-1 gene:Vigun05g041700.v1.2 transcript:Vigun05g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYFNKKEKMKGKMSRDDDVCTSDGAIDSRGHPAVRKRTGTWVTGILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYITCAIFQLIFVIGLVLLSLSSHIFLLKPSGCGDKELLCGSHSSYQTAFFYVSIYLVALGNGGYQPNIATFGADQFDEGDPKEQLSKVAFFSYFYLALNLGSLFSNTVLDYFEDKGQWTLGFWASAASAAIALILFLCGTRRYRYFKPVGNPLPRVAQVFVAAAKKWKVKVSAQDKLYEVEESSPNGRRKLLHTQGFRFLDKAAFVTSKDLEQIEENKRSPWRLSTVTQVEEVKCVLRLLPIWLCTIMYSVVFAQMASLFVVQGDAMDTGIPGFNIPPASMSSFDILGVAFFIFIYRHALDPFVAKTMKSKLTELQRMGIGLVLAIMAMVSAGLVEKFRLKYAIKDCVKCDGSSSLSVFWQVPQYVLTGASEVFMYVPQLEFFNGQAPEGLKSFGSALCMTSISLGNYVSSLLVAIVMKISTKNDIPGWIPGNLNLGHLDRFFFLLAALTAVDLVVYIALAKWYKYVKFEGNQELQDIKKENREVIV >Vigun04g202100.1.v1.2 pep primary_assembly:ASM411807v1:4:42497816:42500732:-1 gene:Vigun04g202100.v1.2 transcript:Vigun04g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSREMMNKEMAAPLVRKSGEEEEEVASLSSESTFWEELKRISSMAAPMVAVTVSQYLLQVVSLMMVGHLGALVSFSGVAIATSFAEVTGFSVLLGMSGALETLCGQMYGAEEYRKFGNYTWCATVTLILVCVPISVVWIFTDKILMVFGQDPEISGAACEYCICLMPALFGHAVLQALTRYFQTQSMIFPMVFSSITSLCLHVPICWGLVFALGLGHVGAALAIGVSYWLNVVWLAIYMLYSPACQKTRIVFSCSALLCIPEFLKLAIPSGLMFCFEWWSFEVLTLLAGLLPNPQLETAVLSVCLNATTLHYFVPYAVGASASTRVSNELGAGNPKTAKGAVRVVVILVVAEAVIVSTVFFSCRHILGYAYSNDMEVVDYVSSIAPLLCVSVSADSLIGALSGVARGGGFQQIGAYVNLGAYYLVGIPIGLFLGFHLQLNAKGLWIGTLSGSIVQVIILAIVTALTDWQKEATKVRERVVENSSKSHTALV >Vigun11g071400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20580336:20580449:1 gene:Vigun11g071400.v1.2 transcript:Vigun11g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl36 MKINASVRKICEKCRLIRRRGRIIVICFNPKHKQRQG >Vigun05g176801.1.v1.2 pep primary_assembly:ASM411807v1:5:32831808:32832411:-1 gene:Vigun05g176801.v1.2 transcript:Vigun05g176801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGMLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGRFFDV >Vigun03g054200.1.v1.2 pep primary_assembly:ASM411807v1:3:4421086:4424763:1 gene:Vigun03g054200.v1.2 transcript:Vigun03g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKRIPLGIFAVLLLISASSFPLLRASDDADDAIFYESFDEDFEDRWIVSQKDEYSGVWKHAKSEGHDDYGLLVSEKARKYAIVKELDQAVSFKDGTVVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNESPYSIMFGPDKCGSTNKVHFIFKHKNPKTGEYVEHHLKAPPSVPSDKLSHVYTAILKPDNEVQILVDGEQKKKANFLSADDFEPPLIPPKTIPDADDKKPEDWDEREKIPDPSAVKPDDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPKCEAAPGCGEWKRPMKRNPAYKGKWHAPLIENPAYKGIWKPRDIPNPAYFELKKPDFEPIAAIGIEIWTMQDGILFDNILIAKDDKIAESYRETTWKPKFSVEKEKQKAEEAEAGSNGLAGFQKKVFDLLYKIADIPFLSAYKPKIHDLIEKGEEQPNLTVGILVAILVVFVSIFFRIIFGGKKQPTRVEKTNVELSESGSNEKNGENEENKEKEESSAPPRRRSTRRED >Vigun07g269000.1.v1.2 pep primary_assembly:ASM411807v1:7:38434113:38443393:1 gene:Vigun07g269000.v1.2 transcript:Vigun07g269000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKDDSSDKEKCLDLFLKIGLDERTARNTVANNKVTANLTSVINEAGVTDGCSRTVGNLLYTVATKYPANALPHRPTLLQYIVSSKVKTTAQLDAALSFLATTGLENLDLKTFEEACGVGIEVSTEDIKQAVSEVVEENKATILELRYRTNVGELLGYVRKRLPWGDAKVAKQLVDAKLYELLGERTAADDEKPSKKKKEKPAKVEDKAPVATPEKSPEEDLNPYLIFPNPEENFKVHTEVPFSDGSILRCCNTKALLDKHLKATGGKVLTRFPPEPNGYLHIGHAKAMFIDFGLAKDRNGGCYLRYDDTNPEAEKKEYIDHIEEIVQWMGWKPFKITYTSDYFQELYELAVELIKRGHAYVDHQTPDEIKEYREKKMNSPWRDRPISESLKLFEDMKSGLVEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVSNTVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGMTPTAINAFVRGIGITRSDGTLISVERLEYHVREELNRTAPRAMVVLHPLKVVITNLEANSAIEVDAKKWPDAKADDASAFYKIPFSNVVYIERSDFRMKDSKDYYGLAPGKSAILRYAFPIKCTEVILADDNETILEIRAEYDPSKKTKPKGVLHWVSQPSPGVDPLKVEIRLFERLFLSENPAELDNWLGDLNPNSKVIIPGAYGVSSLRNAKVGDNFQFERLGYFVVDQDSTPEKLVFNRTVTLKDSYSKGGK >Vigun03g040300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3075916:3077303:-1 gene:Vigun03g040300.v1.2 transcript:Vigun03g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSPDQQIKPLAPFISSSHFNSQEDQDYSFTHRKNIRLRKFILCCGCATAIVVVLVVIVLVLGFTVYNVKDPEVRMNGVTLINGTFANGATDNVTILADISVKNPNVFTFKFGNASTDVYYNGEGIGDGESPSGKAKARRTIRVNTTMEIMAKKLLANPNFDTDLKDQALNISSYTRIDGKVKIFNIFPRKVVVEMNCTITYNITTGLITNGGNCLGNVNI >Vigun01g184700.1.v1.2 pep primary_assembly:ASM411807v1:1:36506774:36509598:-1 gene:Vigun01g184700.v1.2 transcript:Vigun01g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLATLSEEPINEQEKRSRSCKKWRNWNWIKTHFFHKKPDLKILLSVLACPLFPVPPHSKPHAPLNQLSSSAQYIIQHFTAATGCRNLHTTVRNVFATGKVEMWVVDDVGNTGVSERGCFVIWQMLPDKWQIELVVAAQKVVAGSDGTVAWRHTPWIGAHAAKGGVRPLRRAVQGLDPLAVCGVFSAAQYMGEKEMSGMDCFVLKLSADQKELVERSDNTAEMIKHVAFGYFSQRNGLLVHLEDSYLTRIQSPGTHPTYWETTMSTKIDDYRMVDGVMIAHAGHSTVMITRFGDNLKTGPAITRLEESWSIDDVAFNVQGLSMDCFIPPKELNRDYPQQDLHWRSPLHT >Vigun06g036000.1.v1.2 pep primary_assembly:ASM411807v1:6:15013520:15015421:-1 gene:Vigun06g036000.v1.2 transcript:Vigun06g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPTYASPKRVVVCLIEKEIEFEAVHVDLFKGENKKPEFLELQPFGSLPVIQDGDYTLYESRAIIRYFAEKYKDQGTDLLGKTIEEKGLVEQWLEVEAHNFHPPLYNLVINLLFAPLMGAPSDQKVIEESDKKLEKVLDVYEERLSKSKYLAGEFFSLADLSHLPFGHYLVNQTGRGNLVRERKHVSAWWDDISNRPSWKKVLELYQYPV >Vigun07g132600.1.v1.2 pep primary_assembly:ASM411807v1:7:24244399:24245687:1 gene:Vigun07g132600.v1.2 transcript:Vigun07g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNTLAVFVFFCSAISFAFASDPDTLQDLCVALPSSGVKVNGFACKAETNVTEADFFFAGLANPGVINNTLGSVVTAANVEKIPGLNTLGVSFSRIDYKAGGLNPPHTHPRATEIVFVLDGELDVGFITTANKLISKSIKKGEIFVFPKGLVHYQKNSGDKPASVLSAFNSQLPGTFSIAAALFSSTPTVPDNVLAQAFQIDTKQVDDIKAKLAPKKS >Vigun02g028900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:12126822:12128201:-1 gene:Vigun02g028900.v1.2 transcript:Vigun02g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCLPKCRLARIMGWVQMILGGLVILVSILSLTRFYSAGFFLHNEDICHHFYNVGDVSDGFDVKSLSERIGEVIDMLEGLQGKLESKVQEMEKNKGTLLEKKFLEDQIVRPLHIANVALRQIRVPKAEGMNSTVKEDPLINFFITEEIRKYITPKENRVGKMNLYGTDKVYNTIGHACVLYKKELEKYMDYDIGSYCDDDWNLGQKLMLNGCDPLPRRRCLTRASKEYQRPYPMNESLWRLPDGRNVRWGNYQCRNFECLSSNNPKRGYSKCSGCFEMEKEKLKWVANSSLLVDFLISEVLAIKPGEVRIGLDYGIGTGTFAARMREQNVTIVSTALNLGAPFSEMIALRGLVPLYVTLNQRLPFFDNTMDLVHTHGFMDGWIDLLLLDFILYDWDRILRPGGLLWIDRFFCNRKDLDDYMYMFLQLRYKKHKWVLSPKSKDEIYLSALLEKPPRAI >Vigun10g031600.3.v1.2 pep primary_assembly:ASM411807v1:10:4135314:4139536:-1 gene:Vigun10g031600.v1.2 transcript:Vigun10g031600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPYITKFSHLMYVFRRVILEKPSKQLHTKHFQDHNWSMACLGGAMHSPKLQISLDGMRAITVLSATKFPVGLQSRVEDVIQIIKDRSSEVCRIGICGEGGSGKTTLAKAIYNQIEGTFMEKSFIEDIAQVSERRGILHLQKQLLSDVLKSKVEIHSDEMGKSMIRERLYRKRLLIVLDDVDDYCPIELRDSNSCFAEGTVIILTIRNEDSWITKQVHPIVHTNLMNPNESLELLSWHAFREARPTEEFHFLAKTIVAYCEGLALALEVIGSYLYEKTEEEWNRVFLRLPNTPENKFSEILEISFDGLHNQMEKDIFFDVCCFFVGTSRAYVTKILNGCGVDSDSGIRVLIERHLIKIKKNNKLTMHPVLQEMGRRIIVQNSLENELGSIKPVWIDIDGKYTLLENMLFSSRGAKVIRGLPSGRDLFERYPLQVRDPPKWTKRTGNSENLSQRWISLQGFPSEYLPQDFYLHQAIIIEIKHNLLRFVWKEPQVLTLLKVLNLSHSKYLRKTPDFSGLPSLEQLILKDCPRLRQVHQSIGCLYNLTLLNLKDCPRLNNLPREIYMLKSLKTLIVSGCSKIDLLEKDIVQMESLITLVTENTAVKEVPFSIVSSKNIGYISLRRFEGLSRNLLPSIIRSRMSSTMNPLSYIHSFKEMEDNNWDDIAPSFSNLANLRSVFVQCDPEFQLSNQLQTILVEYGVNITESVISQHHFRSSFIGVRRYKEIFDAVSDSMSQVLASSESCDVCLPGDNDPYWLAYTGEGHSVSFTVPPDRDIKGIAFCVVYLSTSKIIEPELNTVLIVNYTKSTLHIHNHCTVITFSDEDWHDILSNLGSGDKIEIFVAFDHGLVVKNTAVYLIYGEPKKNSLIRFIKKIVM >Vigun10g031600.1.v1.2 pep primary_assembly:ASM411807v1:10:4135314:4139536:-1 gene:Vigun10g031600.v1.2 transcript:Vigun10g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSTSKLQWKFDVLINFTGEDIHKKFVSHLDSVLSANGLTTFLHHQNALQPMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYTRHVLPVYYEIQPSDVRLQKGDFGKAFKATAHQTFSRPQLEHGMSRWSHALTQAANFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPVGLQSRVEDVIQIIKDRSSEVCRIGICGEGGSGKTTLAKAIYNQIEGTFMEKSFIEDIAQVSERRGILHLQKQLLSDVLKSKVEIHSDEMGKSMIRERLYRKRLLIVLDDVDDYCPIELRDSNSCFAEGTVIILTIRNEDSWITKQVHPIVHTNLMNPNESLELLSWHAFREARPTEEFHFLAKTIVAYCEGLALALEVIGSYLYEKTEEEWNRVFLRLPNTPENKFSEILEISFDGLHNQMEKDIFFDVCCFFVGTSRAYVTKILNGCGVDSDSGIRVLIERHLIKIKKNNKLTMHPVLQEMGRRIIVQNSLENELGSIKPVWIDIDGKYTLLENMLFSSRGAKVIRGLPSGRDLFERYPLQVRDPPKWTKRTGNSENLSQRWISLQGFPSEYLPQDFYLHQAIIIEIKHNLLRFVWKEPQVLTLLKVLNLSHSKYLRKTPDFSGLPSLEQLILKDCPRLRQVHQSIGCLYNLTLLNLKDCPRLNNLPREIYMLKSLKTLIVSGCSKIDLLEKDIVQMESLITLVTENTAVKEVPFSIVSSKNIGYISLRRFEGLSRNLLPSIIRSRMSSTMNPLSYIHSFKEMEDNNWDDIAPSFSNLANLRSVFVQCDPEFQLSNQLQTILVEYGVNITESVISQHHFRSSFIGVRRYKEIFDAVSDSMSQVLASSESCDVCLPGDNDPYWLAYTGEGHSVSFTVPPDRDIKGIAFCVVYLSTSKIIEPELNTVLIVNYTKSTLHIHNHCTVITFSDEDWHDILSNLGSGDKIEIFVAFDHGLVVKNTAVYLIYGEPKKNSLIRFIKKIVM >Vigun10g031600.2.v1.2 pep primary_assembly:ASM411807v1:10:4135314:4139536:-1 gene:Vigun10g031600.v1.2 transcript:Vigun10g031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSTSKLQWKFDVLINFTGEDIHKKFVSHLDSVLSANGLTTFLHHQNALQPMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYTRHVLPVYYEIQPSDVRLQKGDFGKAFKATAHQTFSRPQLEHGMSRWSHALTQAANFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPVGLQSRVEDVIQIIKDRSSEVCRIGICGEGGSGKTTLAKAIYNQIEGTFMEKSFIEDIAQVSERRGILHLQKQLLSDVLKSKVEIHSDEMGKSMIRERLYRKRLLIVLDDVDDYCPIELRDSNSCFAEGTVIILTIRNEDSWITKQVHPIVHTNLMNPNESLELLSWHAFREARPTEEFHFLAKTIVAYCEGLALALEVIGSYLYEKTEEEWNRVFLRLPNTPENKFSEILEISFDGLHNQMEKDIFFDVCCFFVGTSRAYVTKILNGCGVDSDSGIRVLIERHLIKIKKNNKLTMHPVLQEMGRRIIVQNSLENELGSIKPVWIDIDGKYTLLENMVRDPPKWTKRTGNSENLSQRWISLQGFPSEYLPQDFYLHQAIIIEIKHNLLRFVWKEPQVLTLLKVLNLSHSKYLRKTPDFSGLPSLEQLILKDCPRLRQVHQSIGCLYNLTLLNLKDCPRLNNLPREIYMLKSLKTLIVSGCSKIDLLEKDIVQMESLITLVTENTAVKEVPFSIVSSKNIGYISLRRFEGLSRNLLPSIIRSRMSSTMNPLSYIHSFKEMEDNNWDDIAPSFSNLANLRSVFVQCDPEFQLSNQLQTILVEYGVNITESVISQHHFRSSFIGVRRYKEIFDAVSDSMSQVLASSESCDVCLPGDNDPYWLAYTGEGHSVSFTVPPDRDIKGIAFCVVYLSTSKIIEPELNTVLIVNYTKSTLHIHNHCTVITFSDEDWHDILSNLGSGDKIEIFVAFDHGLVVKNTAVYLIYGEPKKNSLIRFIKKIVM >Vigun04g095300.1.v1.2 pep primary_assembly:ASM411807v1:4:19824213:19851033:-1 gene:Vigun04g095300.v1.2 transcript:Vigun04g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLSLSASYYSSSSSRLFCPFSSRLFGPFSSRNSLIFFPHARRIPLSRTPRNLSAVASEQENSIFKSPEIAKSFDFAAEERIYNWWESQGYFKPNFDRGSDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMIRYNRMKGKPTLWLPGTDHAGIATQLVVERMLASEGIKRTEMNRDEFTKRVWQWKEKYGGTITNQIKRLGASCDWSREHFTLDEQLSQAVVEAFVRLHEKGLIYQGSYMVNWSPTLQTAVSDLEVEYSEESGYLYYIKYRVAGRSDFLTVATTRPETLFGDVALAVHPEDDRYSKYIGMMAIVPQTFGRHVPIIANKHVDKDFGTGVLKISPGHDHDDYLLARKLGLPILNVMNKDGTLNDVTGLYSGLDRFDGRKKLWAELEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVSMEPLAEKALQAVEKEN >Vigun09g243501.1.v1.2 pep primary_assembly:ASM411807v1:9:41255627:41256679:-1 gene:Vigun09g243501.v1.2 transcript:Vigun09g243501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSIVWICKKMMEQAAPQHAEFLHSFNVHNANHRTTQGNFPRPLVLYDLRPFFPSVT >Vigun01g184800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36511903:36515316:-1 gene:Vigun01g184800.v1.2 transcript:Vigun01g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYWTVGEGPLADGDDGRTRRGVRGRLLQGWEMNKNGVTPWMKQQWRGIVKVRLKWVKNRSLDHVIDKETDLKAACLLKDAINRSSTAFLTAKSVADWQKLLGLTVPVLRFLRRYPTLFQEFPHPRWPSLPCFRLTDTALFLHSQELALHQAHQNDAVERLSKLLMMTRSRALSLYSLHSLKWDLGLPDSFEKTLVPNFPNDFQFVKSNGVASLKLARWPEEYAVSALQQRNECGTHYREFKRGQSALAFPMKFPRGYGAQKKVMTWMDEFQKLPYVSPYVDSSKIDPNSDLMEKRVVGVLHELLSLTLHKKTKRNYLRSLRDELNLPHKFTRIFTRYPGIFYLSLKCKTTTITLREGYQSGKLVDPHPLVRHRDKFYRVMQTGLLYRGDGSLKHDDNNSLVENDELRSEDSGEEEVETSDELCEGESSDELCEGEASE >Vigun02g028399.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10396089:10396850:1 gene:Vigun02g028399.v1.2 transcript:Vigun02g028399.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTATTTTAMDATTSTTTTTAMANTTTVTTTTTTTTTTTNTTTSTTTTAITGTSTSTSTTNTTTTASNPTATTTTIAMTPMSTNITTTTTTTTTATTTATTITATTTTNTTITFTTTTTTTIPSTTTTTTTTNTTTATTTTTTTTTTTTTTTNDPTTTTTTTITTITITTTTTTLNNHPHHHYHHYHHHHHNKQPHHHHHHSHHHHHHYLHYGHHQNPHHQDHHYHHYGHQHYNNHQHNHRHHHHHHNH >Vigun05g105600.7.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRKLNKPDPVTKLVLEEVIGLTTKNSNGLASNALSSKCAYLAGSVVVMYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNISRVKEVFS >Vigun05g105600.11.v1.2 pep primary_assembly:ASM411807v1:5:10663753:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHASVSHRKYSVQGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEIVVVAVLV >Vigun05g105600.3.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRKLNKPDPVTKLVLEEVIGLTTKNSNGLASNALSSKCAYLAGSVVVMYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun05g105600.4.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQDIKNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun05g105600.8.v1.2 pep primary_assembly:ASM411807v1:5:10663753:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEIVVVAVLV >Vigun05g105600.2.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHASVSHRKYSVQGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQDIKNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun05g105600.10.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEDNIGL >Vigun05g105600.9.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEDNIGL >Vigun05g105600.1.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRKLNKPDPVTKLVLEEVIGLTTKNSNGLASNALSSKCAYLAGSVVVMYDMNLGTQSHLMVSNRMPKPLSCVALSRDGRFVAAGEAGNQSSVLVWDSFTLSVVSELKGHLHGVTCICFSPKGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQDIKNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun05g105600.5.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHASVSHRKYSVQGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQDIKNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun05g105600.6.v1.2 pep primary_assembly:ASM411807v1:5:10660911:10673988:-1 gene:Vigun05g105600.v1.2 transcript:Vigun05g105600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHASVSHRKYSVQGKHLVSVGGYMYVWNWRSGELITKLQATSCSTISSISFSSDGNFFVTSGKKHLKFWILGSSRKTQLYEGLRKSTSLAIHEKPVNLSIHKASSVTSISSVWCCNGYDNCKKAGDCFPIYTLTDSGILYLINSGMSVEKSVTLKVRKAFALSASAKLIACACNNGTVQLLNPISLEYVGSILYSKTKNLLEGSNLVSNTIVPEQPTEQLLALPDAIACQFSALEKIVVVYGDHSLYIYDIHDVNQVTKCYVLVSHSSCIWDIKNLCCENMHDPSLACTARGCLGGISFATCSTDGTIRIWDIALQSDFSNDAEDMKTELLSSSCLVTAGTFERDAVKADVTNGEFRSLAVSSDRNYLAAGDSKGNLHIYNLQTSDYTCFQGAHDGEILTLSFTLSTQDISKEIVKNNYFLASGGRDCMIHLYDVKRNFDLIDSIDDHSAAVTSIKISSNGCRILSCSADSFLVLRDVVIADDGYKILQQHRQKALQRGTVYDMAVDLTCETVVTVGQDKKIKTFDMAAQKLIKSHNHDKNFGEPIKVIMDPSCSYAVCSFSNKSICIYDLVTGKMAAKAAWHAEIVTGVIFLPDCKHIVSVDGTGCVFVWKLPASLSSRILERVMERNNPLSPRSSSQPPSLGCLSFCNEEFQHSKINPDGVWSMMNNSQHGDGMLYPETSHREASSFKFSVSRLPRWAQAKVTRSNGVCKNLNFTSSEVFSPLSTQVQIPSGNASPSPDTVVGGTYSNIPLHNHWHSVYTVCTEALSSPEMLDLCETKFAKIPLNIRQHRAVISEDQNSFGLSKNEKMDVAPDQNVSCNNKDVSWCSEEVSESKAEQLYLSESGSVSKTTTEGNLGSLPSEEDSDMFKQHFGSLSHTRKAESRKSLVRRFSARYTVQWDYPGDLKKLFSSPVGNTSVRKSSKDEGATHIISEDGSSQVKEIEEVRDSSGQNSEPSAESTCELIKFPVKENSVDKESEQGETIPVCKDALGRLDVAAENAMRLFSELEKFHSEEVATEAGAQFLDEAAELLSLVVKKVNAVDRLVQCRLKGKCGSTLSVPETDQFDRFAEGKSDRIVEIPKDDVYTF >Vigun11g108100.1.v1.2 pep primary_assembly:ASM411807v1:11:30743908:30747838:-1 gene:Vigun11g108100.v1.2 transcript:Vigun11g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDKGSMQSKSNLDCFLRRTTPVVPSQFLPKHEIRNLNRLWHPWEREAVEYFTLGDLWNRFDEWSAYGAGVPISLSNEETLVQYYVPYLSAIQIFTSSTFREETESGECETRDSYSDSYSEESECDKLWRWDGTSSEEGGSEHDCLWHMNDRLGHLYFQYFERSTPYGRVPLMDKITGLAERYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDVDDDTVGGKEKRKEGEGISLAAFGLATYKMQGNLWVSGNYGRDQEKLVSLLSVADSWLKQLRVQHHDFNYFMGIRHG >Vigun02g091700.1.v1.2 pep primary_assembly:ASM411807v1:2:24697642:24699487:-1 gene:Vigun02g091700.v1.2 transcript:Vigun02g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNVIVCINLVAVILSIPIIGAGIWLANGQADTCVQFLQWPVIILGVLILVVALAGCIGAFFRITWLLVVYLVVMLVLVILLVCLVGFVYMVTLRGHGNIEADRAYLQYHMNDFSGFLRRRVRSSFKWDRIRSCISQTNVCAELSQSYRMAEDFFNAHLTPMQSGCCRPPTQCGYTFVNPTYWIRAFNLGADMDCLQWSNDQTQLCYNCDSCKGGLLANLRKEWKRANVILIITVIVLIVVYLVGCCAFRNAKTEDLFRKYKQGYT >Vigun10g172500.1.v1.2 pep primary_assembly:ASM411807v1:10:39122348:39126536:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFFFLGPLSGNMIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGSPPVEIPLSLFSCQSLKKLELKDCHPTNVSSPIGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39122339:39126014:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGSPPVEIPLSLFSCQSLKKLELKDCHPTNVSSPIGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVWRSFCYVCVYACNCWLMYNFLKNFLNFRLLVGLQPC >Vigun10g172500.3.v1.2 pep primary_assembly:ASM411807v1:10:39122321:39126583:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGSPPVEIPLSLFSCQSLKKLELKDCHPTNVSSPIGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.4.v1.2 pep primary_assembly:ASM411807v1:10:39122319:39126648:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.6.v1.2 pep primary_assembly:ASM411807v1:10:39122348:39126536:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFFFLGPLSGNMIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.9.v1.2 pep primary_assembly:ASM411807v1:10:39122319:39126648:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.2.v1.2 pep primary_assembly:ASM411807v1:10:39122321:39126583:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGSPPVEIPLSLFSCQSLKKLELKDCHPTNVSSPIGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVTCGTAALLKPERLPPSKLKSLNFGVGSTYKIFINNLDHITAYFRNCSQHADFEIVAV >Vigun10g172500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39122339:39126014:1 gene:Vigun10g172500.v1.2 transcript:Vigun10g172500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFNQTDSIECCEAAMQKSYSQESGPVMKKSKTRTLASQNVDYCEMAESEDRLSDMPDCLIHHILSFLETKDAIQTSVLSKRWRYLWVSVPCLNFSSKSFTRLVDFKKFVLWVLNHRDSSHVKLLVYYRFGVDYATDQHLLNKVIEYAASHGVEEIKINLRAKTSGSPPVEIPLSLFSCQSLKKLELKDCHPTNVSSPIGCKSLDLLHLEQFAMDPAAADFSNPFASLAELFGFTTLTTLHLNNFTLCYTGIDCLDPFANCVHMKNLHLSEMSFKSDLNPKDFVISAPKLSNLNLMCNRFKCKIVVSAPQLSNFTYLYSTPCAFFEFRLPSLDGLVIDIHEPHDRFEKCRRRKREETLHGLINMLRGYHSAEAVKLSFCTAAVWRSFCYVCVYACNCWLMYNFLKNFLNFRLLVGLQPC >Vigun10g048900.1.v1.2 pep primary_assembly:ASM411807v1:10:7538041:7540604:-1 gene:Vigun10g048900.v1.2 transcript:Vigun10g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKNTTKMNQLFQEYNKGWLFFCHEGRLMIYFKNTTKVIFQMNDVQVSRWMKCLKNIAKVFFQMNDVQVSRWMKCLKNITKVETFVLLFAGSK >Vigun03g043700.1.v1.2 pep primary_assembly:ASM411807v1:3:3511463:3514684:-1 gene:Vigun03g043700.v1.2 transcript:Vigun03g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGWKGASGFSATSTAEQVTQGIDGTALTAIVTGASSGLGLETTRVLASRGVHVVMAVRNVDSGNNVKETVLEETPSAKIDVMELDLSSLASVRKFAADFKSSGLSLNILINNAGVMATPFMLSQDNIELQFATNHLGHFLLTNLLLETMKKTVRECKQEGRIVILSSEAHRFAYREGILFDMINDESGYNSYFAYGQSKLANILHAKELARRLKEEGVEITVNSLHPGSIVTNILRYHGYVNVLANMVGKYFLKNVEQGAATQCYVALHPQVKGVSGEYFMDCNKGDPTSLAKDSELAKKLWEFSSSLTNAK >Vigun06g148500.1.v1.2 pep primary_assembly:ASM411807v1:6:27339308:27343791:-1 gene:Vigun06g148500.v1.2 transcript:Vigun06g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSTFLNVYSVLKAELLHDPAFEFSDDARQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGQALNDDEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGQPCWYRVPKVGLIAANDGVLLRNHIPRILKKHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLQGEKDLSKYTLTLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHVDVKKILVEMGTYFQVQDDYLDCFGDPETIGKIGTDIEDFKCSWLVAKALELSNEQQKKVLYDNYGNADPENVAKVKALYNELNLKGAFEEYESSSYEKLVTSIEAHPSKAVQAVLKSFLAKIYKRKK >Vigun09g264350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42859203:42859976:-1 gene:Vigun09g264350.v1.2 transcript:Vigun09g264350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEELDLSLSLRCGSSTRTPSPFFLYLPPVNHSQTLCYNLDPSIMLFSSNTYLSLASCTHDTNPPNAPAEATENVSTVTRSRRTSSTRRSKAMSETVPALFPWAKNQRASIHSKEYLSENNIHTIKGKVHCKRCDHEFELSLDLEEKLNDLCQFISRGKNKWHNRAPRVWMSPVFPKCPLCGRESSTRPIIAKNKKEINWLFLLLGQMLGCCKLNHLKYFCKHNEIHRTGAKDRLLYDIYENLINQLVPDFSSNS >Vigun07g120000.1.v1.2 pep primary_assembly:ASM411807v1:7:22234366:22235837:1 gene:Vigun07g120000.v1.2 transcript:Vigun07g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKGKKKGASFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKNKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >VigunL008800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000161.1:21788:23949:1 gene:VigunL008800.v1.2 transcript:VigunL008800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPRNSWISCNMRLNAITLICILLLIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITSAGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRSLFLTFLVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKRLLLTRHDQLGIGCGRNSRQWLRCSVDSLTFVGVRTPYETGRSGINVFQFLALHRPSNGPWTKRPLPERTMSRGPPPPTRYISRLWAAITIQEDTRNWKDKQTHPVDCRATSPTTQERDSLKSQGRGWPRGPTWRLGISAGNVKVA >Vigun10g178100.1.v1.2 pep primary_assembly:ASM411807v1:10:39640337:39641482:1 gene:Vigun10g178100.v1.2 transcript:Vigun10g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFLFVATVLVACHATDYQMYPLRLKTGHVGQYSTEVLCASWRLGVEANNLIKWKTVPETCQQFVADYVLGDQYRSDSKTVCREAYFYAKTLNITDKDVFVFDVDETLLCNLQYYSKHGFGVERFNATAFANWVAEGEAYALPETLVLYDKLLSLGIKVVFLTERLVSQRAITVANLKDVGFDQWEKLILKDPAAYVGKSTLTYKASERRKLEESGYRIIGNVGDQWTDLMGSFRGLRSFKLPNPMYYIG >Vigun08g081100.1.v1.2 pep primary_assembly:ASM411807v1:8:17017298:17020169:-1 gene:Vigun08g081100.v1.2 transcript:Vigun08g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKRHSPTANADRRVDPDSGEFVAKMKNGRQRRLKIRQMKIRIRNGTAGVSPPPAEDLEGGSREIHEHVEISLSLAAASSSSEEEERSSSERNDGVLSYGSTSVIGSRKEMEDAVSAEIGFAAKERGKYDFFAVYDGHGGAQVAEACRERLHRLVAEEVERSESHVEWDWQGVMEGCFRKMDSEVAGNAAVRTVGSTAVVAVIAAEELVVANCGDCRAVLGRGGEAVDLSSDHKPDRPDELMRIEEAGGRVINWNGQRVLGVLATSRSIGDEYLRPYVISEPEVTVTKRSNKDEFVILASDGLWDVMSSEVACQVVRKCLNGQIRRMCNGVGKHENRAAEAASLLAEIALAKGSRDNTSVIVVELRGTVT >Vigun05g205900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39490182:39491855:-1 gene:Vigun05g205900.v1.2 transcript:Vigun05g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSHIVMFPWFAMGHLTPYLHFSNKLATRGYKISFFIPKTTQTKLQQLNLHPHLITFFPITIPPVDGLPHGAETTSDVPFSLFPLIATAMDHTEKDIELLLMNLKPHIVFFDFQHWLPNLARKLGIKCMQYFIVNPLSIAYFWNGPRKSQGGELTDVDLMKPPEGFPDSSIKLHAHEVRFLAATRKLEFGKNDILLYDRWGRGTSMADAIGFKGCREIDGPYAEYLEIVYEKPVLLSGPLLPEPPNSTLEEKWVAWLEGFNHGSVVFCAFGSERPLPQNQFQELLLGLKLTGFPFLAAFKPPNGFESVEEALPEGFRERVEGRGIAYGGWVPQQLILEHPSVGCFITHCGAASLTEALVNKCRLVFLPRLGADHVINARMLSRKMKVGVEVEKGEEDGLFSKESVCKAVRSVMDDESEVAREVRQNHSRLRNFLLSDNLESSCVDLFCQQLQNLL >Vigun11g190000.7.v1.2 pep primary_assembly:ASM411807v1:11:38952240:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.11.v1.2 pep primary_assembly:ASM411807v1:11:38952240:38958918:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.1.v1.2 pep primary_assembly:ASM411807v1:11:38952240:38958918:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.14.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38952250:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGIYLPLNFHYTHSSITNTTLNLTSLLSF >Vigun11g190000.13.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38958871:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38952250:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGIYLPLNFHYTHSSITNTTLNLTSLLSF >Vigun11g190000.10.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.9.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.5.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38958871:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.6.v1.2 pep primary_assembly:ASM411807v1:11:38952240:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.8.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38959587:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g190000.12.v1.2 pep primary_assembly:ASM411807v1:11:38952250:38958871:1 gene:Vigun11g190000.v1.2 transcript:Vigun11g190000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYVFVFLCVSVLCLFLSEPTRAEDDSRPLLALKSSIDVLHKLPWRQGADVCTWEGVKDCFNGRVRKLVLEHSNLTGSLDAKILNRLDQLRVLSFKGNSLSGQVPDLSALINLKSIFLNDNNFSGEFPSSVALLHRVKVIVLSQNHITGDIPPSLLNLRRLYILYLEDNAFTGSIPAFNQTSLRYFNVSNNRLSGEIPVTAALIRFNASSFSGNPGLCGENILQPCKNGTAPSAPPISPSYPLIPGGAATAPTSSNRTKLIKIIGGCVGGVVFILLCMAVVWAICRKRKTRVGSGTRSKGGADVAEGEAGAAGSTGGGGGGEGGDSNKQGGFAWEGEGLGKLVFCGGGDREMSYSLEDLLKASAETLGRGIIGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIHVLGRLTHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSKTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGMTHGNLKSSNVLLGSDFESCLTDYGLTVFLNPDSMDEPSATSLFYRAPECRNFQRSQTQPADVYSFGVLVLELLTGKTPFQDLVQTYGSDIPKWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSLVPENRPTMREVLKMIRDARGEAHVSSNSSDHSPGRWSDTVQSFPREEHQSI >Vigun11g170800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37676864:37680260:-1 gene:Vigun11g170800.v1.2 transcript:Vigun11g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSQPPHWAPSPSPSASPTPTPTPILNKSFLPPPSNTPAVDFSPPLIAMVVVVAAAFLVVTYSRLIARHLRPPIHRLLHRFRRRRFPPSSVGDLESLPYESPFDGPRVFSPYGLDETVIKTIPFSLYTAKYDARFEESRNDCAVCLLEFEDEDYVRTLPVCSHTFHVDCIDAWLRSHANCPLCRAGVLCADSPFTPMMAARIRPSLDDDTILHRISLDPLIDPPPPVAISAVPEITPCIDEQSPRRNHNHANVSSEDCFRDFLLKRSYSFGFERSVASERMVMEATTASPWRYRRGSNSFWSKRPSPFGSLGKPRVFSFRYYRGMKSPFFRRRGFFPLSESSVRYGGGGSSSRRSKSIASPMFLRSSGLAAAAFSSSRLRCGDPEALLSPERFNRR >Vigun03g081800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6809460:6810762:-1 gene:Vigun03g081800.v1.2 transcript:Vigun03g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGMNITLTKPLILRYFLLSLILSLPFFLFLFLPLLSPPHQHHRHATITTITTTTYNNNLRIRPGYSSYDAYIQRQLNKTLNPRLRKIWNTRDWNRKIPVFARFFEDLKGKKLLHKGSKALCVGARVGQEVEALRRVGVSDSVGMDLVPYPPLVVQGDFHDQPFRNGTFDFEFSNVFDHALYPERFVAEIERTLKPNGVCVLHVVLSRRADKYSATDLYSVEPLAALFKRSVLVHVRGVNGFGLDTEVAFRKNTPP >Vigun03g234800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39087272:39088108:1 gene:Vigun03g234800.v1.2 transcript:Vigun03g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSELVKKTRYNKRLHDENNEFVSLIPMEESLHIDNKRQRIEHDKSTMIPIKSLPTDLLVNMIARVSSESCIDHYNMKVCCRDFLDASKDNYMWQQVFLEKFPLHSWLSKEKRLVFDSFIKSCKEGGNIEALYREGLQEIIRYMGNVKKGIKDLKMAAEMGHLEGRYVYGLILLCSYEDDLRRKGVEYMQFLRNAKCVVSCRNKVIALLGNIWRRPYGTLVRNPTPLCRDKLCNGWSMKKNHSWKMVNNEDDDVTIKNSCEYCRWDVELDFLYNVLA >Vigun01g031200.1.v1.2 pep primary_assembly:ASM411807v1:1:3918274:3921743:1 gene:Vigun01g031200.v1.2 transcript:Vigun01g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNVHSHITHSQFQRVRKRFRVIPMATLVLHHQTSPAQDAEALHQAFKGWGSDGKAIIAILGHRNVHQRQEIRRAYEEIYQENLIKRLESEISGDFERAVYRWMLEPADRDAVLMNVAIKNGPKEYNVIAEIASVLSAKELLAVRCAYHDRYKRSLEEDVAAHTTGHLRQLLVGLVSSYRYEGDEINVKLAQTEANILHESIKEKKTNYEEVTRILTTRSKTQLVATFNRYRDEHGISISKKLVDQSSDDFVKVLHTVIRCVNDHKKYYEKVLRNAIKKFGTDEDGLTRVIVTRAEKDLKDIKEVYYKRNSVHLEDAVSKEISGDYKRFMLALLGNQD >Vigun05g297600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48239700:48241093:1 gene:Vigun05g297600.v1.2 transcript:Vigun05g297600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVWSEPHPSSENDLNVPFSENPPPCSKPVLPSMDIQKEKKEDQEHHYESKPELNLINCIDTNLCMNSSSESCHGGGHGDELEPRIFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGHKAGAAVPIDFAHRYSSMASLPLHGSYNRSLGIQAHSMINKRSFQTQTPGFCLSHSHAQNCSQKRPLYYSQQATGAESESPLDGTIQRLGKFCPRLMPEGFGSYWLDSVHHLKTKQEELHKLDLSLKL >Vigun05g297600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48239700:48241093:1 gene:Vigun05g297600.v1.2 transcript:Vigun05g297600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVWSEPHPSSENDLNVPFSENPPPCSKPVLPSMDIQKEKKEDQEHHYESKPELNLINCIDTNLCMNSSSESCHGGGHGDELEPRIFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGHKAGAAVPIDFAHRYSSMASLPLHGSYNRSLGIQAHSMINKRSFQTQTPGFCLSHSHAQNCSQKRPLYYSQQATGAESESPLDGTIQRLGKFCPRLMPEGFGSYWLDSVHHLKTKQEELHKLDLSLKL >Vigun04g127500.1.v1.2 pep primary_assembly:ASM411807v1:4:32164318:32164710:1 gene:Vigun04g127500.v1.2 transcript:Vigun04g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSKLFLLITFMVIFYFSSSSNGVHAKGLRTNPFGIHATHLGQENLEKEQKLKDNEEALVSVDYAPPTTEPPSHNN >Vigun04g029800.1.v1.2 pep primary_assembly:ASM411807v1:4:2322117:2325352:1 gene:Vigun04g029800.v1.2 transcript:Vigun04g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLFLFCILFFFPCYLCHPDSAGTNTLNPILLTPSKPSTTIPAFPEQSDVAGCPLTLSDELFDGIKSACSGAKSGGDMELHRSRCCPVLAAWLYSAYSSTALGMVGHRHSSVHGHATSVYDMMPLLPDDSETCVNELGKALEVRGIELTKPNDTCDVVYCFCGIRLHHLTCPDAFSVSQRGELLGDASVRRLEKNCLSSSADVNGLPGLGGCSKCLNTLYLLNKKTSNSSKAEEDRTTKIHNKDCELMGLTWLLAKNRTAYMHTVSGVLRALMLNTGGSYPQSCSLNSDGMPLAVDSSQISDHSSSTNLQPLISFSLFFLLCLLLLPMHFTS >Vigun08g148800.1.v1.2 pep primary_assembly:ASM411807v1:8:32116183:32117842:1 gene:Vigun08g148800.v1.2 transcript:Vigun08g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFMEVQLVKAKGLRDTDIFGKMDPYVLIQYKGQEKRSRVAHGQGKNPVWNEQFMFKVEYPGSKDQHKIIFKIMDKDLFKDDFVGEAIIHVGDLLAQGVENGGAKLQTLKYRVVRANKSYCGEIDVGVTFTPKVKDKFVEEQIGGWKESGNYLA >VigunL059738.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:25651:26481:1 gene:VigunL059738.v1.2 transcript:VigunL059738.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun03g197500.2.v1.2 pep primary_assembly:ASM411807v1:3:28157570:28165741:-1 gene:Vigun03g197500.v1.2 transcript:Vigun03g197500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEEDDFPSIESIIPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMHSKFLAFLRISEEAVEVKHELIELQKHISAQGILVQDLMTGVCSELEEWNQSSNNVTEIQHEPELPELLEPLPNERDDQKILFLENIDVLVAEHKFEEALEALEAEEKNSGELKGSGNNSSDDVSSYKSALSERKAMLEHQLVEIAEQPSISFPELKKALNGLIKLGKGPRAHHVMLKFYKSHLQKRIEAFLPSSSLCPETFPSTLSKIVFSVISLTIKQSALIFGDNPVYTNRIVQWAEWEIEYFVRVVKENAPSSETVSALRAACICTQASLNYCSILETQGLKMSKLLLVLLRPSVEEVLESNFRRARRVVLDIAESAECCPLLPQFASSLSAIATSSSSMLVESGMRFMHIVEEILEQLTPLARLHFGGNVLNRISQLFDKYMDALIRALPGPSDDDNLPELKEAVLFRAETDSEQLAILGIAFTILDELLPNAVLSRWMLQSEGKEPNSGSTENVTFNTNASVELKEWRKHLQHSFDKLRDHFCRQYILTFIYSREGKTRLNAHIYLGDNREDLFWDSDPLPSLPFQALFAKLQQLAIVAGDVLIGKDKIHKILLARLTETVVMWLSDEQEFWGVLEDISAPLQPLGLQQTGLALSFFEVIEGILEKEVVVPEDILKTLEGETETTSGWKRKKEKLRGIRAAPCVLRRLVENRN >Vigun03g197500.3.v1.2 pep primary_assembly:ASM411807v1:3:28159095:28165741:-1 gene:Vigun03g197500.v1.2 transcript:Vigun03g197500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEEDDFPSIESIIPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMHSKFLAFLRISEEAVEVKHELIELQKHISAQGILVQDLMTGVCSELEEWNQSSNNVTEIQHEPELPELLEPLPNERDDQKILFLENIDVLVAEHKFEEALEALEAEEKNSGELKGSGNNSSDDVSSYKSALSERKAMLEHQLVEIAEQPSISFPELKKALNGLIKLGKGPRAHHVMLKFYKSHLQKRIEAFLPSSSLCPETFPSTLSKIVFSVISLTIKQSALIFGDNPVYTNRIVQWAEWEIEYFVRVVKENAPSSETVSALRAACICTQASLNYCSILETQGLKMSKLLLVLLRPSVEEVLESNFRRARRVVLDIAESAECCPLLPQFASSLSAIATSSSSMLVESGMRFMHIVEEILEQLTPLARLHFGGNVLNRISQLFDKYMDALIRALPGPSDDDNLPELKEAVLFRAETDSEQLAILGIAFTILDELLPNAVLSRWMLQSEGKEPNSGSTENVTFNTNASVELKEWRKHLQHSFDKLRDHFCRQYILTFIYSREGKTRLNAHIYLGDNREDLFWDSDPLPSLPFQALFAKLQQLAIVAGDVLIGKDKIHKILLARLTETVVMWLSDEQEFWGVLEDISAPLQPLGLQQW >Vigun03g197500.1.v1.2 pep primary_assembly:ASM411807v1:3:28155978:28165741:-1 gene:Vigun03g197500.v1.2 transcript:Vigun03g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEEDDFPSIESIIPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMHSKFLAFLRISEEAVEVKHELIELQKHISAQGILVQDLMTGVCSELEEWNQSSNNVTEIQHEPELPELLEPLPNERDDQKILFLENIDVLVAEHKFEEALEALEAEEKNSGELKGSGNNSSDDVSSYKSALSERKAMLEHQLVEIAEQPSISFPELKKALNGLIKLGKGPRAHHVMLKFYKSHLQKRIEAFLPSSSLCPETFPSTLSKIVFSVISLTIKQSALIFGDNPVYTNRIVQWAEWEIEYFVRVVKENAPSSETVSALRAACICTQASLNYCSILETQGLKMSKLLLVLLRPSVEEVLESNFRRARRVVLDIAESAECCPLLPQFASSLSAIATSSSSMLVESGMRFMHIVEEILEQLTPLARLHFGGNVLNRISQLFDKYMDALIRALPGPSDDDNLPELKEAVLFRAETDSEQLAILGIAFTILDELLPNAVLSRWMLQSEGKEPNSGSTENVTFNTNASVELKEWRKHLQHSFDKLRDHFCRQYILTFIYSREGKTRLNAHIYLGDNREDLFWDSDPLPSLPFQALFAKLQQLAIVAGDVLIGKDKIHKILLARLTETVVMWLSDEQEFWGVLEDISAPLQPLGLQQLILDMHFTVEIARYAGYPSRHIHQIASAITARAIRTFSARGINPQSALPEDEWFVETAKSAIHKFLLGASGSEASDTDEDHIIVHDEVVSDSDTVSSLSSQDSTESFASASMAELDSPSNLSDPDN >Vigun01g003400.1.v1.2 pep primary_assembly:ASM411807v1:1:375172:378838:1 gene:Vigun01g003400.v1.2 transcript:Vigun01g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLVSLFLFTFLLVGSVQCNPNYADALAKSLLFFQGQRSGRVPADQLLRWRSNSGLFDGRLANVDLSGGYYDAGDNVKFNFPMAYTTTMLSWGTIEYGKRMGGQIKEARAAIRWATDYLLKCATSSPGKLYVGVGDPNVDHKCWERPEDMDTVRTVYWVSPNKPGSDVAAETAAALAAASIVFRRVDPTYSKQLLRTAQQVYHFALQYQGSYSDSLGSAVCPFYCSYSGFKDELLWGAAWLFRATNAVYYYNLVKSLGADDQPDIFSWDNKYAGAHVLLSRRALLNGDKNFDQYKQEAENFMCKILPNSPSSSTQYTQGGLMYKLPESNLQYVTSITFLLTIYSKYMAATKHTFNCGGVLVTPNTLRSIAKRQVDYILGENPLRMSYMVGYGPYFPKRIHHRGSSLPSIAAHPQTIGCDGGFDPFFHSMNPNPNILVGAIVGGPNQNDGFPDDRSDYSHSEPATYINGAFVGPLAYFAGSR >VigunL086001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000654.1:89584:90093:1 gene:VigunL086001.v1.2 transcript:VigunL086001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFETTKDIKSFYKKHVVKCGFGVRIHTSKKDDDDHLCYLKLVCSREGKYVSQIPPKLKTHPTQRRQCPVSLTMVRKPQAWIVSAMVHKHNHDISPTKSRLIRRNRRLNLQAKQTLYINDQAGVHLNKTFKSLVGHVGGFENLDFVKRDTRNYIGQQRRAFGKEEMARL >Vigun09g139400.1.v1.2 pep primary_assembly:ASM411807v1:9:30111275:30113106:-1 gene:Vigun09g139400.v1.2 transcript:Vigun09g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPVVDAAAATTDDGAEPQVPSVEDATLKKKPQPQEDDAPIVEDIKDDEKDETEDEDEDEDDDKDDAQGGTEGSKQSRSEKKSRKAMLKLGLKPVSGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDVGSVLAKQDQDAAAAAAQPEEEEEVDETGVEPHDIDLVMTQAGVSRSKAVKALKTHDGDIVGAIMELTT >Vigun08g190700.2.v1.2 pep primary_assembly:ASM411807v1:8:35842489:35843406:1 gene:Vigun08g190700.v1.2 transcript:Vigun08g190700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNPPPQSSTRCHRRPSPAAANPSASATSNAMAHTPRPSLAAKERQAAASQVGMAAPAEMEGEKVAETSNGTGGDGGRESRGDGVILGCSTLLQFVFWFECRMIKHAG >Vigun09g052200.1.v1.2 pep primary_assembly:ASM411807v1:9:5163542:5165055:-1 gene:Vigun09g052200.v1.2 transcript:Vigun09g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRRLLEVVAWRGEQHESDAEVGEGAAVSGDEVEAMQQQKDEERIDEGGKRRMMMKGAKLVGRALEDVERCNRKKVGGRPSFFDCNICLSTAEDPVLTCCGHIFCWPCFYHLPCSYANAKECPACGGEVIETNIIPIYGNANANADSTATHSDDPDLPSSILPPRPPAPRISRFTYHYF >Vigun05g303600.4.v1.2 pep primary_assembly:ASM411807v1:5:48615727:48634742:1 gene:Vigun05g303600.v1.2 transcript:Vigun05g303600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANKLTGSRELLDRWRGIAEEEENDDDDHADPSKHRNLHLLKQQWFADAYKFLICLPGGSHIWCGFSDIMGPLLETFYNYFKNDCEDSPLRLLWKRISDEMGLCLQCISQHHQAQDMYNTEYESSSIGPLLDVLQKLDCERVTLHLRDVNTKIAGEKYDPACDNAEVVNVLYEVLMFPFLLDFEPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGKIRRATDLEPLQPLLKKFIGCLEADALPVALETSTPRTQLDRVSLWIGIKSLLGFLDPSTFEEGILERYPFFVDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLRSTLSPSVMRNTLIGQCFHTRNEKIHKDIFGLFQPFLQSLEALQDGELEKQRRYFLYFLLHQVPVGSNFSVLTRKLSCQIALHIVHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALMHSVLNGCTTLRTDRGIIDEVIELDDENDDICLPSFPDSEENDSSSSWSHFKVQNGITSQDCRQWICIPMLWVDVLVDINPSILPISFSKAVFWARSRFPLVEYENTAEMVLPNRSFLSSYAPEISSSFGWKVPTGSDDGGDGNKSKNSVEVLTMSRPLLRTFIRLTAHFLVQIRHGELRSQWTWEPLMSESLILSLLDPNDDVRQFGKSMLEQISDTRGLSSGLKFLCSHKTSLHATILGLKHAMELVQLDSVLLKFHMLHHFWFLLCKLLKDEGLLAVELPENTHSELRMPKFSSQGGFLKQPDFSSLPENVIENAANVEQRRKEKFGCLLCEMAWQIFCRCLVNGKNFIDYNLCQMTCVRLLEILPVLVNKLYLSGDKEMGNLTMLVQNKLNFKWLHDLMEWGKSSLKVVIVYWKRAVTDILNQFKASCDKTSLSTIMTIENLILNDGYTLEELTEQVSCLSVSLSRERSHSSKEATVNSESLVSERFPFEKGCFSSDVHSSSMEYIDLQNLDSKIVIGNKSTDSVIILSDDEVEPKVSSKKDILSVGEDVHHISDCNIVSHDFGTSLPAAEPSNQNVSFIKTSKKTKESLQKKASFGNLNDKPVVTSFIDSKGSGSYRKEASSKSKDMNNLTKLSDEGANAKNLNKTRGSMAPKTVDTVSSACSKMLRDTDADDDPLETALKSAGRVQLHVPKPTILKRQVIQLKTPFENKSGYLRKLEDPMKRFRPLRLDDWYKAILEIDYFATIGLSSKRKDENQTVNKLKEVPVYFQSPEQYVEIFQPLVLEEFKAQLQNSFLEMSSWEEMLYGVLSVMSIERIDDFHMVRCVHDDGDSAKCRSFAENDFLLLTKDPPQKSSHDVHMVGKVERREKDNKRGSSIILIKFYFQNGSLRLNQARRNLTERSKWHVCRIMSITPQMREFHALSSIKDIPLLPIILNPVNNSFCFNECKEVDLNNLCQSLQQTLRSTFNDSQLQAISVAIGRAKAKKTIQLCLIQGPPGTGKTRTIVAIVSALLASQPKMSCLKNPFDENLCQNSSAYSRPKVSQNAAIARAWQDAALARQSANDMQNSSTSFGNYVRQRVLICAQSNAAVDELVARISSHGLYGSNGKMYKPYLVRVGNAKTVHSNSLPFFIDTLVDQRVAEERMHSNVVNSDLGVDSSAMLRSKLEKLVDSIRFYEAKRANSKDQNSNVKSHLYNDSLRTNEKEMSETEIEMELRKLYDKKRQIYKDLCNVQTQEKKANEETKALRNKMRKAILKEAEIVVTTLSGCGGDLYGVCSERMLNSKFGGTSEHTLFDAVVIDEAAQALEPATLIPLQLLKSSGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQKAGHPVIMLTEQDASRDLQVPIIAFL >Vigun05g303600.1.v1.2 pep primary_assembly:ASM411807v1:5:48615727:48638215:1 gene:Vigun05g303600.v1.2 transcript:Vigun05g303600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANKLTGSRELLDRWRGIAEEEENDDDDHADPSKHRNLHLLKQQWFADAYKFLICLPGGSHIWCGFSDIMGPLLETFYNYFKNDCEDSPLRLLWKRISDEMGLCLQCISQHHQAQDMYNTEYESSSIGPLLDVLQKLDCERVTLHLRDVNTKIAGEKYDPACDNAEVVNVLYEVLMFPFLLDFEPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGKIRRATDLEPLQPLLKKFIGCLEADALPVALETSTPRTQLDRVSLWIGIKSLLGFLDPSTFEEGILERYPFFVDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLRSTLSPSVMRNTLIGQCFHTRNEKIHKDIFGLFQPFLQSLEALQDGELEKQRRYFLYFLLHQVPVGSNFSVLTRKLSCQIALHIVHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALMHSVLNGCTTLRTDRGIIDEVIELDDENDDICLPSFPDSEENDSSSSWSHFKVQNGITSQDCRQWICIPMLWVDVLVDINPSILPISFSKAVFWARSRFPLVEYENTAEMVLPNRSFLSSYAPEISSSFGWKVPTGSDDGGDGNKSKNSVEVLTMSRPLLRTFIRLTAHFLVQIRHGELRSQWTWEPLMSESLILSLLDPNDDVRQFGKSMLEQISDTRGLSSGLKFLCSHKTSLHATILGLKHAMELVQLDSVLLKFHMLHHFWFLLCKLLKDEGLLAVELPENTHSELRMPKFSSQGGFLKQPDFSSLPENVIENAANVEQRRKEKFGCLLCEMAWQIFCRCLVNGKNFIDYNLCQMTCVRLLEILPVLVNKLYLSGDKEMGNLTMLVQNKLNFKWLHDLMEWGKSSLKVVIVYWKRAVTDILNQFKASCDKTSLSTIMTIENLILNDGYTLEELTEQVSCLSVSLSRERSHSSKEATVNSESLVSERFPFEKGCFSSDVHSSSMEYIDLQNLDSKIVIGNKSTDSVIILSDDEVEPKVSSKKDILSVGEDVHHISDCNIVSHDFGTSLPAAEPSNQNVSFIKTSKKTKESLQKKASFGNLNDKPVVTSFIDSKGSGSYRKEASSKSKDMNNLTKLSDEGANAKNLNKTRGSMAPKTVDTVSSACSKMLRDTDADDDPLETALKSAGRVQLHVPKPTILKRQVIQLKTPFENKSGYLRKLEDPMKRFRPLRLDDWYKAILEIDYFATIGLSSKRKDENQTVNKLKEVPVYFQSPEQYVEIFQPLVLEEFKAQLQNSFLEMSSWEEMLYGVLSVMSIERIDDFHMVRCVHDDGDSAKCRSFAENDFLLLTKDPPQKSSHDVHMVGKVERREKDNKRGSSIILIKFYFQNGSLRLNQARRNLTERSKWHVCRIMSITPQMREFHALSSIKDIPLLPIILNPVNNSFCFNECKEVDLNNLCQSLQQTLRSTFNDSQLQAISVAIGRAKAKKTIQLCLIQGPPGTGKTRTIVAIVSALLASQPKMSCLKNPFDENLCQNSSAYSRPKVSQNAAIARAWQDAALARQSANDMQNSSTSFGNYVRQRVLICAQSNAAVDELVARISSHGLYGSNGKMYKPYLVRVGNAKTVHSNSLPFFIDTLVDQRVAEERMHSNVVNSDLGVDSSAMLRSKLEKLVDSIRFYEAKRANSKDQNSNVKSHLYNDSLRTNEKEMSETEIEMELRKLYDKKRQIYKDLCNVQTQEKKANEETKALRNKMRKAILKEAEIVVTTLSGCGGDLYGVCSERMLNSKFGGTSEHTLFDAVVIDEAAQALEPATLIPLQLLKSSGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQKAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSNKSAAFHQINGLGPYVFYDIIDGQEVRGKSSGVMSLCNEHEADAAVEVLKLFKKRYPAEFVGGRIGVITPYKSQLSLLRSRFLNAFGSLSAADIEFNTVDGFQGREVDILLLSTVRAAHSGVTASKVNSNSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNQNWAALLKDAEERNLIMRAKMPYHSMFKTDKSNCFVENFDNHARPLKHEKKVKDRDQTVNKILVHGKDTVERKKKCVASEVWDRNKGNGDENSSSGLGKYAPCKGRKSEDEHFSIARHENRSSCSDMLAMSGPQICDGGREGKDKSKVSMGNKTLGKRQLKFQQSRNNLDCPVEEAGGGQKVSKRPTLHSGGNKSSSTEISVSSMKSSDKEKDPVDQGTASNKNKVDEVSKRKQQREAVDAILYSSLISTKKEDTGTKVSAKRPFSSSVASRSIKLSKTKSARSNQ >Vigun05g303600.2.v1.2 pep primary_assembly:ASM411807v1:5:48615727:48638215:1 gene:Vigun05g303600.v1.2 transcript:Vigun05g303600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANKLTGSRELLDRWRGIAEEEENDDDDHADPSKHRNLHLLKQQWFADAYKFLICLPGGSHIWCGFSDIMGPLLETFYNYFKNDCEDSPLRLLWKRISDEMGLCLQCISQHHQAQDMYNTEYESSSIGPLLDVLQKLDCERVTLHLRDVNTKIAGEKYDPACDNAEVVNVLYEVLMFPFLLDFEPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGKIRRATDLEPLQPLLKKFIGCLEADALPVALETSTPRTQLDRVSLWIGIKSLLGFLDPSTFEEGILERYPFFVDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLRSTLSPSVMRNTLIGQCFHTRNEKIHKDIFGLFQPFLQSLEALQDGELEKQRRYFLYFLLHQVPVGSNFSVLTRKLSCQIALHIVHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALMHSVLNGCTTLRTDRGIIDEVIELDDENDDICLPSFPDSEENDSSSSWSHFKVQNGITSQDCRQWICIPMLWVDVLVDINPSILPISFSKAVFWARSRFPLVEYENTAEMVLPNRSFLSSYAPEISSSFGWKVPTGSDDGGDGNKSKNSVEVLTMSRPLLRTFIRLTAHFLVQIRHGELRSQWTWEPLMSESLILSLLDPNDDVRQFGKSMLEQISDTRGLSSGLKFLCSHKTSLHATILGLKHAMELVQLDSVLLKFHMLHHFWFLLCKLLKDEGLLAVELPENTHSELRMPKFSSQGGFLKQPDFSSLPENVIENAANVEQRRKEKFGCLLCEMAWQIFCRCLVNGKNFIDYNLCQMTCVRLLEILPVLVNKLYLSGDKEMGNLTMLVQNKLNFKWLHDLMEWGKSSLKVVIVYWKRAVTDILNQFKASCDKTSLSTIMTIENLILNDGYTLEELTEQVSCLSVSLSRERSHSSKEATVNSESLVSERFPFEKGCFSSDVHSSSMEYIDLQNLDSKIVIGNKSTDSVIILSDDEVEPKVSSKKDILSVGEDVHHISDCNIVSHDFGTSLPAAEPSNQNVSFIKTSKKTKESLQKKASFGNLNDKPVVTSFIDSKGSGSYRKEASSKSKDMNNLTKLSDEGANAKNLNKTRGSMAPKTVDTVSSACSKMLRDTDADDDPLETALKSAGRVQLHVPKPTILKRQVIQLKTPFENKSGYLRKLEDPMKRFRPLRLDDWYKAILEIDYFATIGLSSKRKDENQTVNKLKEVPVYFQSPEQYVEIFQPLVLEEFKAQLQNSFLEMSSWEEMLYGVLSVMSIERIDDFHMVRCVHDDGDSAKCRSFAENDFLLLTKDPPQKSSHDVHMVGKVERREKDNKRGSSIILIKFYFQNGSLRLNQARRNLTERSKWHVCRIMSITPQMREFHALSSIKDIPLLPIILNPVNNSFCFNECKEVDLNNLCQSLQQTLRSTFNDSQLQAISVAIGRAKAKKTIQLCLIQGPPGTGKTRTIVAIVSALLASQPKMSCLKNPFDENLCQNSSAYSRPKVSQNAAIARAWQDAALARQSANDMQNSSTSFGNYVRQRVLICAQSNAAVDELVARISSHGLYGSNGKMYKPYLVRVGNAKTVHSNSLPFFIDTLVDQRVAEERMHSNVVNSDLGVDSSAMLRSKLEKLVDSIRFYEAKRANSKDQNSNVKSHLYNDSLRTNEKEMSETEIEMELRKLYDKKRQIYKDLCNVQTQEKKANEETKALRNKMRKAILKEAEIVVTTLSGCGGDLYGVCSERMLNSKFGGTSEHTLFDAVVIDEAAQALEPATLIPLQLLKSSGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQKAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSNKSAAFHQINGLGPYVFYDIIDGQEVRGKSSGVMSLCNEHEADAAVEVLKLFKKRYPAEFVGGRIGVITPYKSQLSLLRSRFLNAFGSLSAADIEFNTVDGFQGREVDILLLSTVRAAHSGVTASKVNSNSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNQNWAALLKDAEERNLIMRAKMPYHSMFKTDKSNCFVENFDNHARPLKHEKKVKDRDQTVNKILVHGKDTVERKKKCVASEVWDRNKGNGDENSSSGLGKYAPCKGRKSEDEHFSIARHENRSSCSDMLAMSGPQICDGGREGKDKSKVSMGNKTLGKRQLKFQQSRNNLDCPVEEAGGGQKVSKRPTLHSGGNKSSSTEISVSSMKSSDKEKDPVDQGTASNKNKVDEVSKRKQQREAVDAILYSSLISTKKEDTGTKVSAKRPFSSSVASRSIKLSKTKSARSNQ >Vigun05g303600.3.v1.2 pep primary_assembly:ASM411807v1:5:48615727:48634742:1 gene:Vigun05g303600.v1.2 transcript:Vigun05g303600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANKLTGSRELLDRWRGIAEEEENDDDDHADPSKHRNLHLLKQQWFADAYKFLICLPGGSHIWCGFSDIMGPLLETFYNYFKNDCEDSPLRLLWKRISDEMGLCLQCISQHHQAQDMYNTEYESSSIGPLLDVLQKLDCERVTLHLRDVNTKIAGEKYDPACDNAEVVNVLYEVLMFPFLLDFEPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGKIRRATDLEPLQPLLKKFIGCLEADALPVALETSTPRTQLDRVSLWIGIKSLLGFLDPSTFEEGILERYPFFVDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLRSTLSPSVMRNTLIGQCFHTRNEKIHKDIFGLFQPFLQSLEALQDGELEKQRRYFLYFLLHQVPVGSNFSVLTRKLSCQIALHIVHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIVSDATALMHSVLNGCTTLRTDRGIIDEVIELDDENDDICLPSFPDSEENDSSSSWSHFKVQNGITSQDCRQWICIPMLWVDVLVDINPSILPISFSKAVFWARSRFPLVEYENTAEMVLPNRSFLSSYAPEISSSFGWKVPTGSDDGGDGNKSKNSVEVLTMSRPLLRTFIRLTAHFLVQIRHGELRSQWTWEPLMSESLILSLLDPNDDVRQFGKSMLEQISDTRGLSSGLKFLCSHKTSLHATILGLKHAMELVQLDSVLLKFHMLHHFWFLLCKLLKDEGLLAVELPENTHSELRMPKFSSQGGFLKQPDFSSLPENVIENAANVEQRRKEKFGCLLCEMAWQIFCRCLVNGKNFIDYNLCQMTCVRLLEILPVLVNKLYLSGDKEMGNLTMLVQNKLNFKWLHDLMEWGKSSLKVVIVYWKRAVTDILNQFKASCDKTSLSTIMTIENLILNDGYTLEELTEQVSCLSVSLSRERSHSSKEATVNSESLVSERFPFEKGCFSSDVHSSSMEYIDLQNLDSKIVIGNKSTDSVIILSDDEVEPKVSSKKDILSVGEDVHHISDCNIVSHDFGTSLPAAEPSNQNVSFIKTSKKTKESLQKKASFGNLNDKPVVTSFIDSKGSGSYRKEASSKSKDMNNLTKLSDEGANAKNLNKTRGSMAPKTVDTVSSACSKMLRDTDADDDPLETALKSAGRVQLHVPKPTILKRQVIQLKTPFENKSGYLRKLEDPMKRFRPLRLDDWYKAILEIDYFATIGLSSKRKDENQTVNKLKEVPVYFQSPEQYVEIFQPLVLEEFKAQLQNSFLEMSSWEEMLYGVLSVMSIERIDDFHMVRCVHDDGDSAKCRSFAENDFLLLTKDPPQKSSHDVHMVGKVERREKDNKRGSSIILIKFYFQNGSLRLNQARRNLTERSKWHVCRIMSITPQMREFHALSSIKDIPLLPIILNPVNNSFCFNECKEVDLNNLCQSLQQTLRSTFNDSQLQAISVAIGRAKAKKTIQLCLIQGPPGTGKTRTIVAIVSALLASQPKMSCLKNPFDENLCQNSSAYSRPKVSQNAAIARAWQDAALARQSANDMQNSSTSFGNYVRQRVLICAQSNAAVDELVARISSHGLYGSNGKMYKPYLVRVGNAKTVHSNSLPFFIDTLVDQRVAEERMHSNVVNSDLGVDSSAMLRSKLEKLVDSIRFYEAKRANSKDQNSNVKSHLYNDSLRTNEKEMSETEIEMELRKLYDKKRQIYKDLCNVQTQEKKANEETKALRNKMRKAILKEAEIVVTTLSGCGGDLYGVCSERMLNSKFGGTSEHTLFDAVVIDEAAQALEPATLIPLQLLKSSGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQKAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSNKSAAFHQINGLGPYVFYDIIDGQEVRGKSSGVMSLCNEHEADAAVEVLKLFKKRYPAEFVGGRIGVITPYKSQLSLLRSRFLNAFGSLSAADIEFNTVDGFQGREVDILLLSTVRAAHSGVTASKVNSNSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNQNWAALLKDAEERNLIMRAKMPYHSMFKTDKSNCFVENFDNHARPLKHEKKVKDRDQTVNKILVHGKDTVERKKKCVASEVWDRNKGNGDENSSSGLGKYAPCKGRKSEDEHFSIARHENRSSCSDMLAMSGPQICDGGREGKDKSKVSMGNKTLGKRQLKFQQSRNNLDCPVEEAGGGQKVSKRPTLHSGGNKSSSTEISVSSMKSSDKEKDPVDQGTASNKNKVDEVSKRKQQREAVDAILYSSLISTKKEDTGTKVSAKRPFSSSVASRSIKLSKTKSGKLLL >Vigun11g116400.1.v1.2 pep primary_assembly:ASM411807v1:11:32146980:32151227:-1 gene:Vigun11g116400.v1.2 transcript:Vigun11g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSAQKEVNEPLTVPEESLPQQPSFSRSFSSRHGSSHELENLLTDTSIPYSKRIGPATWLEFKLLFHLAAPAVIVYLLNYVMSMSTQIFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAKKFHMLGVYLQRSTVLLTLAGFLLTVIYVLAEPILLFLGESPQIASAAALFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSSYISCATLVFHLVFSWVAVNKLGMGLFGASLVLSISWWVIVVAQFIYIVKSERCKQTWGGFTIEAFSGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPEPELALDSLSICTTLSGWVFMISVGFNAAASVRVSNELGARNPKSASFSVVVVTVISFIISVIIAVVLLSLRDVISYAFTEGEEVAAAVSDLCPLLALSIVLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYGIGIPLGSVMGFYFKLNAKGIWLGMLGGTVLQTIILMWVTFRTDWNKEVEEAEKRLNEWEDIKEPLIKN >Vigun11g116400.2.v1.2 pep primary_assembly:ASM411807v1:11:32146980:32151227:-1 gene:Vigun11g116400.v1.2 transcript:Vigun11g116400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSAQKEVNEPLTVPEESLPQQPSFSRSFSSRHGSSHELENLLTDTSIPYSKRIGPATWLEFKLLFHLAAPAVIVYLLNYVMSMSTQIFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAKKFHMLGVYLQRSTVLLTLAGFLLTVIYVLAEPILLFLGESPQIASAAALFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSSYISCATLVFHLVFSWVAVNKLGMGLFGASLVLSISWWVIVVAQFIYIVKSERCKQTWGGFTIEAFSGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPEPELALDSLSICTTLSGWVFMISVGFNAAASVRVSNELGARNPKSASFSVVVVTVISFIISVIIAVVLLSLRDVISYAFTEGEEVAAAVSDLCPLLALSIVLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYGIGIPLGSVMGFYFKLNAKGIWLGMLGGTVLQTIILMWVTFRTDWNKEVREKL >Vigun04g136450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34162215:34164437:1 gene:Vigun04g136450.v1.2 transcript:Vigun04g136450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFNSSAEIKCIDRERQALLNFKYSLVDFYGVLSSWRDDDNSQDCCKWEGIQCDQQTGHVTILRLPGHDAHYLSGTLNITSLFVLQNIQHLDLSYNLFLGNFIPQLMGSLTNLRYLNLSYSYFCGSIPTQLGNLTNLLSLDLRGNRFLFREIPYQLGSLAQLRYLDLSYNYLDGELPRQLGNLSQLRYLDLSANSFYGALPFQVGNLPFLHSLGLPGRFYIEPKDAEWLSNLHSLTNLALYSLYNLDWLPTIVFSNLKELGLVGCSLSDTDIHSLFYSRSNFSNSLTILDLFSNMLTSSTYQLLSNFSLNLQALYLSHNYIHSPPLYSNFPSLITLDLSYNNMTSFEGTSIFTSKLQNLYLRNCSLRDDNFVITAITVVNSSSSLASLDLSSNLLKSPSIFDWFRTLELYDNMLEGPIPDGFGKVMNSLEVLYLSHNKLQGEIPSFFGNICTLRILYLSNNKLSGEISSLFQNSSWCNRHVFQYLDLSLNNFTGTLPKSIGLLSELLMLSLDGNHLEGDVTESHLSNFSKLEELSLSNNYLSLKLVPDWVPPFQLFYLGLRSCKLGPTFPSWLQTQRSLTYLNISDTRLNGSVPEWFWNNLQNMEILDMSHNNLTGPIFNLQPKLLFGPLIFLNSNQFEGIVPSFLLQASFLLLNENKFSYLPSLCDQGTATILMILDLSNNAIEGQLPDCWNSVHNLRILDLSHNELSGKIPESMGSLVHLSALVLRNNNLMVNFLLL >Vigun01g031875.1.v1.2 pep primary_assembly:ASM411807v1:1:4002714:4005339:-1 gene:Vigun01g031875.v1.2 transcript:Vigun01g031875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTMLKHASLNVAFRHVVDVVHMMETTLDDISVQQLNDGGQCVMDDQIVDNDNDVDLVPRVHMCFETLDAVKKFYRDFAVRTGFGIRIRSSKKGKDNELKYVKLVCCREGNYVFTIRPELKTLPSQTNQCQAGISVGKKDGKWHIRSVVMEHSHNISPIESRLISGNRKVNIHARETVDINDEAGVRINKSYRSLVCEAGGYENVTFIERDVRNYIAHKRRQLCKDGDGQALLRHFSHMREVNNDFFFEIDMDEDNRISNVFWADSRSRAACEYFGDVVSFDTTYLTNKYDMPFAQFVGVNHHGQSILLGCGLVSAEDTSTFVWLFRCWLRCMSNRAPEGIITDQCKAMRNAIQIVFPNTNHRWCLWHIMKKVSEKLQGYTQYNVIKSQMKALVYDSSGVDQFEVGWNEFITNNGLVNNEWLCSLYEDRHLWVPCYLRNKFWAGMSTTQRSEGMNAFFDGFINSTTSLQQFVVQYDNALRQKAEKEFEADFASVNTTIPCGSQSLIERQFQLHYTHAKFGEIQNEFRAKMNCFVMNVVKDGTIWNYNVKENFLWNAKRANKFHDVLFDSTTTTIKCTCLLFEFRGILCRHCFLVLGQEDIDCVPEQYVLRRWSKNVRRRHTLMKAAYNRSSDDPQMQRFQSLRKRFNDIGEVACETESVAQSVYDQLNTIATTLGLPNEPNPFRCGDDHPSACHEDHSLPDHVMSSAHHSNIVRSPIHVKRKGRPRTNRLKSTVEKITKRKKTAAARNKASRNLMDPPAIHSEPNFQDIPVQDHQTLQSYIPHAGFMSLLTSLHSEFNQCPLPTTTTNLASVEDY >Vigun01g176500.2.v1.2 pep primary_assembly:ASM411807v1:1:35773557:35778583:1 gene:Vigun01g176500.v1.2 transcript:Vigun01g176500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILDVAIRRAVVIGNGFAGAENQCIGLVRGLGFSNRHTIYRVTRPRGGINRLLQWLPVSIHKKLESVVRMICGKSSLRTPHTIGLSNVLEADAHHLATMARERFHKDGPLLVVASGRDTISVASSIKRLAPENVFLVQIQHPRFHLNRFDLVITPRHDYYPLTPHGQQQLPWFLRRWVTPWEPPGRNVVLTVGALHQADSAALKVAASAWYNELATLPKPLLVVNIGGPTGNCPYGVDLVKKLVFMLQSVLWSCGSIRISFSRRTPDKISKILVKEFSTNPKVQIWDGKGPNPHMGHLAWADAFVITADSVSMLSEACSTGWSRAVYLEVC >Vigun01g176500.1.v1.2 pep primary_assembly:ASM411807v1:1:35773557:35778583:1 gene:Vigun01g176500.v1.2 transcript:Vigun01g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILDVAIRRAVVIGNGFAGAENQCIGLVRGLGFSNRHTIYRVTRPRGGINRLLQWLPVSIHKKLESVVRMICGKSSLRTPHTIGLSNVLEADAHHLATMARERFHKDGPLLVVASGRDTISVASSIKRLAPENVFLVQIQHPRFHLNRFDLVITPRHDYYPLTPHGQQQLPWFLRRWVTPWEPPGRNVVLTVGALHQADSAALKVAASAWYNELATLPKPLLVVNIGGPTGNCPYGVDLVKKLVFMLQSVLWSCGSIRISFSRRTPDKISKILVKEFSTNPKVQIWDGKGPNPHMGHLAWADAFVITADSVSMLSEACSTGKPVYVVGAELCTWKFADFQNSLQKHG >Vigun11g170000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37614838:37615302:1 gene:Vigun11g170000.v1.2 transcript:Vigun11g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDIWTREQSKLREKDAASIQHESAQSLQKKDHTSSGLAELDAKLMQVNKQRLLFSDASLSMLVQCFSP >Vigun08g076400.1.v1.2 pep primary_assembly:ASM411807v1:8:13691927:13719453:1 gene:Vigun08g076400.v1.2 transcript:Vigun08g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGYVRSSQRASVSPLRSRKSPVGPAKPAGRPTTPSSSCASSRPSTKASISSVASNAVLDASKAKENVTVTVRFRPLSGREINKGDEVAWYADGDHIVRNEHNPSIAYGFDKVFGPATTTRHVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSSRGENIGEEDVTLSHLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKHVEIKASQNKILDEKSLIKKYQREISELKQELQHLKRGMVENPNMATSSQEDLVNLKLQLEAGQSKLKSRLEEEEQAKAALMGRIQRLTKLILVSTKNAMSSSIPERPSHRRRHSFGEDELAYLPDRKRESWINDDAGSHASVPSPEEKDDVTNLDEMGKDYKRSKRRGMLGWLKLRKPDNVDGLSPNIDSEGANGSPASGSKLTPTRVMLYDMKDSRRNSVSRKDNAPAINSFPGRTQAGELFSLTVGGRELPPTGTTVTDQMDLLREQVKMLAGEVAFCISSLRRLSEQAANKPEDIQLQEDMHKLKGEISQKKNQIRILEQRMIGSLGHAPSNSEMSQALSKLTTELNEKIFELEIKSADNRILQEQLQLKNSENVEMQETIISLKKQINFLDKTSTNYRHAVDNETDCSRDVLGKSDEPQSVKNLNVIVSQVQEESNDSIINSEILMQAVEIENLRQENVRLAEENDGLEIQSQKLAEEASYAKELAAAAAVELRNLAEQVTKLTYENAELSGDLAAAKETPGKSNLSPTSYESKQNIKNSFQLDGKSKKRGNEFLIEELQKDLSARLQREAALEAALSVKVEVEADLRRTLDEIKHQKQDLEYELTSMQRMVSKMRKSGTNVEDKSTVHVRDDVQTKVKIGYPTSNGYSHRKQYKEAETLGRMEDMIGLEELRANYQRERRRCKELESHISIFKGEDIAGLDVLALEELQNLHIEAITKICQAKYAYQNL >Vigun10g084700.1.v1.2 pep primary_assembly:ASM411807v1:10:24102493:24111048:1 gene:Vigun10g084700.v1.2 transcript:Vigun10g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAADSASEISPEMRAAESDGGGPRPTIKLNVSYGTSHHEVHLPAQSTFGDVKKLLVNKTGLEPEEQRLFFRGIEKGDYQHLHLEGVKDKSKILLLEGNASKERKLEETRKQNEMSKAFEAIAGVRAEVDKLSNRVTAIEVAINGGNKAAEKEFLVLTELLMSQLLKLDSIDAEGEAKLQRKAEVRRVQTLVDKLDSLKARNSNPFINSSNAVKVTTQWETFDSGMENSGAPSDNSPSTKISQDWERFE >Vigun07g274900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39038730:39041301:-1 gene:Vigun07g274900.v1.2 transcript:Vigun07g274900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNMSTMTLPRASLSGGSVPARKSCALFKSPSSFSSVKNVSKVFGLKSSSFRVSAMAVYKVKLIGPNGEENEFEAPDDSYILDSAEEAGLELPYSCRAGACSTCAGRLDSGAVDQSDQSFLEEQQIEKGYLLTCVSYPTSDCVIYTHKEEDLC >Vigun07g274900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39038839:39040158:-1 gene:Vigun07g274900.v1.2 transcript:Vigun07g274900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTAVFFFLCLDTGINMSVVNMSTMTLPRASLSGGSVPARKSCALFKSPSSFSSVKNVSKVFGLKSSSFRVSAMAVYKVKLIGPNGEENEFEAPDDSYILDSAEEAGLELPYSCRAGACSTCAGRLDSGAVDQSDQSFLEEQQIEKGYLLTCVSYPTSDCVIYTHKEEDLC >Vigun04g072850.1.v1.2 pep primary_assembly:ASM411807v1:4:8617466:8617708:1 gene:Vigun04g072850.v1.2 transcript:Vigun04g072850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSALFIYVELHHTICAKQIQNQTFQRSYLSLFSAITTITLRSDIH >Vigun03g352050.1.v1.2 pep primary_assembly:ASM411807v1:3:55365047:55366390:-1 gene:Vigun03g352050.v1.2 transcript:Vigun03g352050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTLSFGREGGSHQHLWPIRARLPCTRVYYLLPSCRKTHFNTECKVLPYHRKLNIHVTFFSFNNQTK >Vigun04g143300.1.v1.2 pep primary_assembly:ASM411807v1:4:35624985:35633339:1 gene:Vigun04g143300.v1.2 transcript:Vigun04g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAYGEHQITCIPKEREALLRFKAAIVDRYDMLSSWTSRDCCRGEIHVSLIQLQHLEYLNLSSNYFPNSHIPEFLVFLINLKYLDLSLCGFGGEIPSKLGSLSRLKYLNLAENYNLEGSVPCELGDLSRLEYLDLRYNSFEGNLPSKLGSLSQLQHLDLRYNSFEGKIPSQLGNLSNLHKLYLGGYDSSLNIISGDSWLSNLTSLTHLSLYSISNFNTSPSWLQMISKLPKLRELSLIKCGLSDHFLLSFKPSSFNFSTSLSVLHLSQNTITQPMIIQWVSNTTSNLVELDLSLNLLEGGEDLKSFMNICTLRSLDMSRNNITEDLPSIIHNLSSGCARYSLQELRLSSNQIMGSITDLSVFPFLKSLDLSSNHLSGKIPEGIILSSQLEQLSIGSNSIEGGVPKSIGSICTLKSLDLSDNKLSEDLTVIFNHLSVCSRYSLQHLDLSINQISGTLPNTLSMFSSLKGLYIYGNKLNGTISKDLQFPTKLEELYLMSNSLKGVIDDSHFHNMSMLKILVLSGNSLTLEFSQNWAPAFQLENIELSSCKLGPLFPKWLQTQNLFNNLEISNSGISDIVPKWFWTKLALQEWIQINMSCNNLQGIIPNLPLKNHYYSLSLASNQFEGPLPPFLRDSMYLDLSNNKFTDSRWFLCSGGVAETLYQLDLSNNKFFGKIPDCWTHFKSLAFLNMSQNKFSGKIPTSMGSLLELQVLLLTNNKLTGENSSSLRNCTKLVMLDIAENRLSGSIPNWIGSKWPQLQVLSMGNNYFYGCLPLQICYLKSIHILDLSLNNLSGQIPKCIKNFSSVAQKASLRDQGHWYFVNTSYSIGTTSYNLNAFLMWKGLKQMFTNDGLSLLKSIDLSSNQFLGEIPKEVEDLFDLISLNLSRNHLTGEIPFNIGKLTSLEFLNLSRNQLVNSIPSSLVQIDRLTMLDLSHNYLSGKIRTSTQLQNFDASKYEDNFDLCGPPLKKLCIDRVPRQEPLVKLHEDNNLIVDRDFYLSMTIGFFISFWGVFGSIIIIRSWRHAYFKFLSNLSNTLFVIIRVKAFKWWPTTKE >Vigun11g087666.1.v1.2 pep primary_assembly:ASM411807v1:11:26227669:26228362:-1 gene:Vigun11g087666.v1.2 transcript:Vigun11g087666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFVVVNVISIIIFACGDDDKSTKGDRRRGGGGAGEGGDGGADVGGGGF >Vigun04g116500.1.v1.2 pep primary_assembly:ASM411807v1:4:29228157:29230396:-1 gene:Vigun04g116500.v1.2 transcript:Vigun04g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLLFCWCLCLVISHYYVHGIQVTLKEDLEFQTKPNLTNKTPIKTIYTEFEDIIDCIDIYKQPAFNHPLLKNHKLQIKPNFENVIEKTFVNNSQIGSIFGFGKEECPKGTVPIQRTKYDISDEKLLNDHILLKDIPGVHIAEISMIQTYAPFYKVSGISSLYNPKVEKDEISMAHIWAENGPVESSNRITTGWHVAPNIYGDARTHFYTSWTSDNFKKTGCYNTACRGFVQIDRKNFAGGYFPQVSTYGGPIYEAYLAIAQDPKTKNWWIRAGNVNIGYYPAALFSNLGSASIVGWGGRTKAKVGGLSPPMGSGHVPDGNKAHASYFRSPKINRAPGEPDYTPANTRTGGFSDNTNCYGVYYYYKSDVSEEGVIQFGGPGGKCGV >Vigun04g161000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38311717:38312980:1 gene:Vigun04g161000.v1.2 transcript:Vigun04g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNTNNLDLEVSNNFTYDRTAEVKAFDDTKLGVKGILDSGVTKIPRMFHHGKLDMHEISKKDSRLTIPIIDLQDIKINSSLRAEVVDKIRSACQKWGFFQVINHGIGVEVLNEIIGEIRKIHEQDAEVRKTFYSRDSNKKVRYFSNVNPFRGKGANWRDTISFFLIPNPPNPKEIPIVCR >Vigun08g063600.1.v1.2 pep primary_assembly:ASM411807v1:8:8790763:8800342:1 gene:Vigun08g063600.v1.2 transcript:Vigun08g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIYASSSCTVPHLLRRDTLLLPPRTSNLTAPSSLQRTKRARRVRISSSAATNGAVSRALQGVSSTALEQLDIERGVCIPFRKYSPEIVRNKVLESRGSILSLMLRGVEIVWNLGLYWSNLVYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQIAFRIIEEDLGQPLEAVFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISMQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFLENFKNDPTVKIPRVYKQFSGPRVLVMEWIDGIRCTNPQAIKEAGLDVDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLSPGTDVTPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSNNPALKVKDTQIQRNWKVERKLDLTDTIKDGARLFFVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVARDLPTVVRDLLLSWSESVLSDR >Vigun08g063600.4.v1.2 pep primary_assembly:ASM411807v1:8:8790763:8800342:1 gene:Vigun08g063600.v1.2 transcript:Vigun08g063600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASFKMMFLHFQIKIIEEDLGQPLEAVFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISMQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFLENFKNDPTVKIPRVYKQFSGPRVLVMEWIDGIRCTNPQAIKEAGLDVDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLSPGTDVTPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSNNPALKVKDTQIQRNWKVERKLDLTDTIKDGARLFFVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVARDLPTVVRDLLLSWSESVLSDR >Vigun08g063600.3.v1.2 pep primary_assembly:ASM411807v1:8:8790763:8800342:1 gene:Vigun08g063600.v1.2 transcript:Vigun08g063600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWLEGMKRLFHIVLDSLGTSCVIWGLPLLKLVLANRPDIIREDYMNELCILQDDVPSFPNQIAFRIIEEDLGQPLEAVFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISMQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFLENFKNDPTVKIPRVYKQFSGPRVLVMEWIDGIRCTNPQAIKEAGLDVDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLSPGTDVTPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSNNPALKVKDTQIQRNWKVERKLDLTDTIKDGARLFFVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVARDLPTVVRDLLLSWSESVLSDR >Vigun08g063600.2.v1.2 pep primary_assembly:ASM411807v1:8:8790763:8800342:1 gene:Vigun08g063600.v1.2 transcript:Vigun08g063600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVEIVWNLGLYWSNLVYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQIAFRIIEEDLGQPLEAVFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISMQKLGCNAELIVDEFGEKLLEELDYTLEARNLEDFLENFKNDPTVKIPRVYKQFSGPRVLVMEWIDGIRCTNPQAIKEAGLDVDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLSPGTDVTPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVAKMSNNPALKVKDTQIQRNWKVERKLDLTDTIKDGARLFFVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVARDLPTVVRDLLLSWSESVLSDR >Vigun03g065200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5397414:5398962:1 gene:Vigun03g065200.v1.2 transcript:Vigun03g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRRPRIVAIWLFLFLFVLGHCHASRATNVFKFRPKSQHPGHFSGFLPKRMPIPYSTPSRKHNDIGLRSWRSP >Vigun07g007400.1.v1.2 pep primary_assembly:ASM411807v1:7:631092:632490:-1 gene:Vigun07g007400.v1.2 transcript:Vigun07g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQSLLPSLFPTFSNIHPNSSSSSSKAHHFFTMDGLINTASHFLLRASTLLESQSTMDRFTDWGSSFLPSLQTMMDSVINWLVSLISCLGAKINPILEKFTGYTFNVKDNSCDEVGIDGETWLRVAGAVGVAVVAILVVWFLWKMIMLIFRCFYRCYCRCCRRRRKTMTAPGRNCRIFRDNFERNPRDYFRNLRNDQHACLV >Vigun09g145000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30798737:30800676:1 gene:Vigun09g145000.v1.2 transcript:Vigun09g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFFFLSSFSLILFFFRKTSALHILNQWFISFENRLHIHQSFKIPRHNLHAQENQLYRKILIYLDSLPSVQDSDYTNLFSGPNPSDIFLHLDPNHTVHDTFLGAKLSWTNDAAASGGGALVLRIKKKDKRRVFRQYFQHILSVADEVEQRRKKDIKLYVNSGAGEWRSAPFTHPASFDTVAMEAELKNKVKSDLDQFMKSKQYYHRLGRVWKRSYLLYGAPGTGKSSFVAAMAKFLCYDVYDVDVSKFSDGGDWKLMLMQTTAKSLIVIEDLDRLLAQKSNTNTASLSSVLNFMDGIVSCCGEERVMVFTMNETKEDVDQAVLRPGRVDVHIHFPLCDFSTFKILASSYLGLKEHKLFPQVEEVFQTGARLSPAEVGEIMISNRNSPTRALKTVISALQVHSNAPREGQRLSHSGSGRNSDDSDPGAVVCKESLHTVREFRKLYGLLRLGSRRKDESYSGPMDKEPPRIDGRVG >Vigun06g238500.2.v1.2 pep primary_assembly:ASM411807v1:6:34300321:34313378:1 gene:Vigun06g238500.v1.2 transcript:Vigun06g238500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPAHIAAEIAIGSIGRGYDISTDIRLKYCKGDSVNSRLIEIDENYVREVVLPGGVSIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQDLSLTGKIPSGLFNTMFEFSGSWQRDAALTKSLAFDGVLITLYTVALEKSQMVLCDHVKKAVPSSWDPPALARFIDTFGTHIIVGMKMGGKDVIYLKQQHSSTLQPADVQKKLKEMADRRFLDANSHYTIASDQVFPNDKFGIREQRLTFANISPSSSYSHKEDIVSICKRRGGSDDRNLFHNEWLQTVQHEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIQELHQFLEFQLPRQWAPVFSDLPLGPQRKQRSSASLQFSFMGPKLYVNTTQVDVGKRPVTGLRLYLEGKKSNRLAIHLQHLSSLPKIFQLEDDPNDNFERKSYDRRFYEKVQWKNFSHVCTAPVESEEDLSIVTGAQLQVENYGIKNILFLRLRFSTVLGAKAVKHPEWEGSPKLGAKSGLISTLISQHFTSTFQKPPPRPADVNINSAVYPGGPPVPVQALKLLKFVDTSEMSRGPQESPGYWVVSGAKLVVDKGRISLRVKYSLLTMILPDEDMLDDL >Vigun06g238500.1.v1.2 pep primary_assembly:ASM411807v1:6:34300522:34313580:1 gene:Vigun06g238500.v1.2 transcript:Vigun06g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPAHIAAEIAIGSIGRGYDISTDIRLKYCKGDSVNSRLIEIDENYVREVVLPGGVSIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQDLSLTGKIPSGLFNTMFEFSGSWQRDAALTKSLAFDGVLITLYTVALEKSQMVLCDHVKKAVPSSWDPPALARFIDTFGTHIIVGMKMGGKDVIYLKQQHSSTLQPADVQKKLKEMADRRFLDANSHYTIASDQVFPNDKFGIREQRLTFANISPSSSYSHKEDIVSICKRRGGSDDRNLFHNEWLQTVQHEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIQELHQFLEFQLPRQWAPVFSDLPLGPQRKQRSSASLQFSFMGPKLYVNTTQVDVGKRPVTGLRLYLEGKKSNRLAIHLQHLSSLPKIFQLEDDPNDNFERKSYDRRFYEKVQWKNFSHVCTAPVESEEDLSIVTGAQLQVENYGIKNILFLRLRFSTVLGAKAVKHPEWEGSPKLGAKSGLISTLISQHFTSTFQKPPPRPADVNINSAVYPGGPPVPVQALKLLKFVDTSEMSRGPQESPGYWVVSGAKLVVDKGRISLRVKYSLLTMILPDEDMLDDL >Vigun06g238500.3.v1.2 pep primary_assembly:ASM411807v1:6:34300321:34313378:1 gene:Vigun06g238500.v1.2 transcript:Vigun06g238500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPAHIAAEIAIGSIGRGYDISTDIRLKYCKGDSVNSRLIEIDENYVREVVLPGGVSIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQDLSLTGKIPSGLFNTMFEFSGSWQRDAALTKSLAFDGVLITLYTVALEKSQMVLCDHVKKAVPSSWDPPALARFIDTFGTHIIVGMKMGGKDVIYLKQQHSSTLQPADVQKKLKEMADRRFLDANSHYTIASDQVFPNDKFGIREQRLTFANISPSSSYSHKEDIVSICKRRGGSDDRNLFHNEWLQTVQHEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIQELHQFLEFQLPRQWAPVFSDLPLGPQRKQRSSASLQFSFMGPKLYVNTTQVDVGKRPVTGLRLYLEGKKSNRLAIHLQHLSSLPKIFQLEDDPNDNFERKSYDRRFYEKVQWKNFSHVCTAPVESEEDLSIVTGAQLQVENYGIKNILFLRLRFSTVLGAKAVKHPEWEGSPKLGAKSGLISTLISQHFTSTFQKPPPRPADVNINSAVYPGGPPVPVQALKLLKFVDTSEMSRGPQESPGYWVVSGAKLVVDKGRISLRVKYSLLTMILPDEDMLDDL >Vigun03g110200.1.v1.2 pep primary_assembly:ASM411807v1:3:9913312:9921645:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNLLFATPSVGRKNATLICAPVIAESVEKMKIDMHRAKAEGADLVELRLDSLNTFDPFQDITTLIHHRPLPLLFTYRPKWEGGMYDGDENKRLDALRVAMELGADYIDVELQVAHEFYDSIRGKKYNETRVIVSSHNFKLTPSTEDLSNLVARIQATGADIVKIATTAVDIADVARMFQIMVHSQVPLIGLVMGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun03g110200.4.v1.2 pep primary_assembly:ASM411807v1:3:9917966:9921672:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun03g110200.5.v1.2 pep primary_assembly:ASM411807v1:3:9913312:9921672:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGDENKRLDALRVAMELGADYIDVELQVAHEFYDSIRGKKYNETRVIVSSHNFKLTPSTEDLSNLVARIQATGADIVKIATTAVDIADVARMFQIMVHSQVPLIGLVMGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun03g110200.3.v1.2 pep primary_assembly:ASM411807v1:3:9917966:9921672:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGDENKRLDALRVAMELGADYIDVELQVAHEFYDSIRGKKYNETRVIVSSHNFKLTPSTEDLSNLVARIQATGADIVKIATTAVDIADVARMFQIMVHSQVPLIGLVMGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun03g110200.6.v1.2 pep primary_assembly:ASM411807v1:3:9913312:9921645:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGDENKRLDALRVAMELGADYIDVELQVAHEFYDSIRGKKYNETRVIVSSHNFKLTPSTEDLSNLVARIQATGADIVKIATTAVDIADVARMFQIMVHSQVPLIGLVMGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun03g110200.2.v1.2 pep primary_assembly:ASM411807v1:3:9913312:9921645:1 gene:Vigun03g110200.v1.2 transcript:Vigun03g110200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDMHRAKAEGADLVELRLDSLNTFDPFQDITTLIHHRPLPLLFTYRPKWEGGMYDGDENKRLDALRVAMELGADYIDVELQVAHEFYDSIRGKKYNETRVIVSSHNFKLTPSTEDLSNLVARIQATGADIVKIATTAVDIADVARMFQIMVHSQVPLIGLVMGDRGLISRVLCAKFGGYMTFGTLESGVVSAPGQPSLKDLLHLYNFRALAPDTKVFGLIGKPVRQSKSPMLLNEAFKSVGFNGVYIILLVDDIANFLRTYSSTDFVGFSVTLPHKETAVKCCDEVDPVAKCIGAVNCIIRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNGSSTTVSPLAGKLFVVIGAGGAGKAVAYGAKEKGARVVIANRTYERAREIADAIGGDALALADLDNFHSEDGMILANTTSIGMVPKVDETPISKHALKHYSLVFDAVYTPKMTRLLKEAEEAGATIVTGFKMFIGQAYGQFENFTGLPAPKKLFRQIMKDY >Vigun11g223800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41456991:41458073:-1 gene:Vigun11g223800.v1.2 transcript:Vigun11g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSMAALLLNRSFFCHLFLFLFCLPSINTLSTVSISQTPNSNQTFICVLQHPNQQGQSNLNCTTFPRTQTPPFTLDVNPTVTYSQIVGGNGFVCALGPSSSSSSIMGCWRFSGNASNVPYKRIYNGSLLQDIDSSHSHVCGLVNNNDSGLKLECWQWHDFNSSSGSLRNMSMSSIAVGENFVCGLSPTGDVKCTGTGRVNNSRVVVDVPPPGGNYTFLAAGVRHACVLSGDGGLDCWGDMEGEKPEGRFVSLALGENRSCALGHDRRVVCWGSNNFTMLQSLQDTFLSQLWQRKVFSVELCLPIFPSSVGVLRILIQTARCLKMSSQDLVGTSALVGPCPTLPNYVSLLMSFANPVPLK >Vigun05g169560.1.v1.2 pep primary_assembly:ASM411807v1:5:29226735:29228595:-1 gene:Vigun05g169560.v1.2 transcript:Vigun05g169560.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAVAVVVLVAVVVVMAMVVMVVVKGVVLAKVVVVVTLVLMVMVAVVVKAWWWSWWWLRGCGVGGCGGGSGGGGGGGGGGGGCYGCGHGGGSGGSGGGGGGSGGGSGGGGGGAGRGGGSGGSGGGNGAGGSDRGGGGGCGGGGGGGGSGVGGGGDSGYGDGSGSGGGGGGGYRGGGRGGGGGGDSRGSGGDSGRGGSDGHGDGGNRSGGGDGGGGVGCGGSGGGPCCDGRGGGGGGCNVCGGRGGGHDGVGGGGHGGGLGGGCVVVVVGVVVVVVVVVIAMVVLVVAGVVVVVVAVMVVVVVGTMVVTVLVVMVMVVMVMVVVVVMVVMVVVVVVAVVVVVVAVVVVVVLAMVVVLVVVDGGGCGCGRGGVGDGSRGGGGGNGGGGFCGHGGGGGGGGGGGGGRGGGGRGGGAGDRGRGGGCGCGHGSCGGGGGYGDGGGYCDCGGGCDDSGRGVVRGFMLGQMGSRFKFTVHGLGSRV >Vigun09g092800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13300820:13301755:-1 gene:Vigun09g092800.v1.2 transcript:Vigun09g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPILNIDKLWSLVPQQVKDQASKDNKAPLIDVTQFGYFKLLGKGVLPENQPLVVKAKLVSKIAEKKIKEAGGAVVLTA >Vigun11g098000.1.v1.2 pep primary_assembly:ASM411807v1:11:28820423:28821992:1 gene:Vigun11g098000.v1.2 transcript:Vigun11g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEERVSKLLSAQTHVWNHIFSFINSMSLKCAIDLEIPDIIHKHGEPMPLSQLTASLSMNPSKANNIYRLMRILTHSGFFSEVKVNENEVEMGYVLTDASTLLLKDNPLSVTPFLHAMLDPILTKPWHGLATWFRNDDPSPFQTAHGMKIWDYAGRDQKLNQLFNDAMASDAELVSNVVIERCGGVLKGLESVVDVGGGTGTMAKGIAKSFPHIDCTVFDLPHVVADLQGSHNLKFVGGDMFEWVPPADAVLLKWILHDWNDEQCVRILKKCKEGVKKKVIAIDMVMESEKLDYESTETQLMVDMVVMVLYPGKERTEKEWAKIIFSAGFSDYKITPIVGLRSLIEIYP >Vigun09g270800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43327726:43328741:-1 gene:Vigun09g270800.v1.2 transcript:Vigun09g270800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKTSQTTVLKQILKRCSSLGKKNDYDHNHDALPLDVPKGHFAVYVGENRSRYIVPISFLTHPQFQSLLRQAEEEFGFDHDMGLTIPCQEVVFRSLTSTLR >Vigun02g044950.1.v1.2 pep primary_assembly:ASM411807v1:2:17991144:17992037:1 gene:Vigun02g044950.v1.2 transcript:Vigun02g044950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRPNGTASSKDNSKSTSSSSSDFCPTCFEEYCEENPKIITRCNHHFHLSCIYGWKEHKETCPMCRQVIVFSEAS >Vigun01g245400.3.v1.2 pep primary_assembly:ASM411807v1:1:41389764:41396706:-1 gene:Vigun01g245400.v1.2 transcript:Vigun01g245400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGFSKEGVPNGNDVVHPVLIIGAGPVGLVLSILLTKLGVNCTVLERNKAFSKHPQAHFINNRSMEIFRKIDGLVEEIQRSQPPVDLWRKFIYCTSLSGSILGSVDHIQPQDLEHVVSPVSVSHFSQYKLTMLLFKQLQNLGFQICTPESLEGNEQSCEQKIMMGHECVSIDASDDFITVTASSVIKGKRVEQNIHCNILIGTDGAGSTVRKLVGIEMRGEKDLQKLVSVHFFSRDLGQFLLEENPGMLFFIFNTEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGREFGDVDVIDIKPWIMHAEVAERFICRGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYEIERKPIALFNTRLSLENYKAAMSVPAALGLDPTIANTVHQFIVNGIGSILPSGLQKVALDGIFGIGRAQVSEFVLNESNPLGSSRLAKLKHIFEEGKSLQLQFPAEDLGFRYLQGALVPESNDIEGPPEVLTGRRRDYTPSAQPGSRLPHMFVKVNPLCEETISTLDLVSGDKVEFVLIIAPVEESYHLAREAFKVAEEQEISLKVCIFWSTDSVEGLEKGSETSLSPWKNYADVIEAQSSTSNWWDMCNMTSRGAILVRPDEHIAWRTSSGLAEDPRVEMQRVFAAILGEHS >Vigun01g245400.2.v1.2 pep primary_assembly:ASM411807v1:1:41389737:41396795:-1 gene:Vigun01g245400.v1.2 transcript:Vigun01g245400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRLIRRYAYPFRDKTRIRLYALQHMQRRGFSKEGVPNGNDVVHPVLIIGAGPVGLVLSILLTKLGVNCTVLERNKAFSKHPQAHFINNRSMEIFRKIDGLVEEIQRSQPPVDLWRKFIYCTSLSGSILGSVDHIQPQDLEHVVSPVSVSHFSQYKLTMLLFKQLQNLGFQICTPESLEGNEQSCEQKIMMGHECVSIDASDDFITVTASSVIKGKRVEQNIHCNILIGTDGAGSTVRKLVGIEMRGEKDLQKLVSVHFFSRDLGQFLLEENPGMLFFIFNTEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGREFGDVDVIDIKPWIMHAEVAERFICRGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYEIERKPIALFNTRLSLENYKAAMSVPAALGLDPTIANTVHQFIVNGIGSILPSGLQKVALDGIFGIGRAQVSEFVLNESNPLGSSRLAKLKHIFEEGKSLQLQFPAEDLGFRYLQGALVPESNDIEGPPEVLTGRRRDYTPSAQPGSRLPHMFVKVNPLCEETISTLDLVSGDKVEFVLIIAPVEESYHLAREAFKVAEEQEISLKVCIFWSTDSVEGLEKGSETSLSPWKNYADVIEAQSSTSNWWDMCNMTSRGAILVRPDEHIAWRTSSGLAEDPRVEMQRVFAAILGEHS >Vigun01g245400.1.v1.2 pep primary_assembly:ASM411807v1:1:41389764:41396562:-1 gene:Vigun01g245400.v1.2 transcript:Vigun01g245400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRLIRRYAYPFRDKTRIRLYALQHMQRRGFSKEGVPNGNDVVHPVLIIGAGPVGLVLSILLTKLGVNCTVLERNKAFSKHPQAHFINNRSMEIFRKIDGLVEEIQRSQPPVDLWRKFIYCTSLSGSILGSVDHIQPQDLEHVVSPVSVSHFSQYKLTMLLFKQLQNLGFQICTPESLEGNEQSCEQKIMMGHECVSIDASDDFITVTASSVIKGKRVEQNIHCNILIGTDGAGSTVRKLVGIEMRGEKDLQKLVSVHFFSRDLGQFLLEENPGMLFFIFNTEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGREFGDVDVIDIKPWIMHAEVAERFICRGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYEIERKPIALFNTRLSLENYKAAMSVPAALGLDPTIANTVHQFIVNGIGSILPSGLQKVALDGIFGIGRAQVSEFVLNESNPLGSSRLAKLKHIFEEGKSLQLQFPAEDLGFRYLQGALVPESNDIEGPPEVLTGRRRDYTPSAQPGSRLPHMFVKVNPLCEETISTLDLVSGDKVEFVLIIAPVEESYHLAREAFKVAEEQEISLKVCIFWSTDSVEGLEKGSETSLSPWKNYADVIEAQSSTSNWWDMCNMTSRGAILVRPDEHIAWRTSSGLAEDPRVEMQRVFAAILGEHS >Vigun01g245400.4.v1.2 pep primary_assembly:ASM411807v1:1:41389764:41396562:-1 gene:Vigun01g245400.v1.2 transcript:Vigun01g245400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKQLQNLGFQICTPESLEGNEQSCEQKIMMGHECVSIDASDDFITVTASSVIKGKRVEQNIHCNILIGTDGAGSTVRKLVGIEMRGEKDLQKLVSVHFFSRDLGQFLLEENPGMLFFIFNTEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGREFGDVDVIDIKPWIMHAEVAERFICRGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYEIERKPIALFNTRLSLENYKAAMSVPAALGLDPTIANTVHQFIVNGIGSILPSGLQKVALDGIFGIGRAQVSEFVLNESNPLGSSRLAKLKHIFEEGKSLQLQFPAEDLGFRYLQGALVPESNDIEGPPEVLTGRRRDYTPSAQPGSRLPHMFVKVNPLCEETISTLDLVSGDKVEFVLIIAPVEESYHLAREAFKVAEEQEISLKVCIFWSTDSVEGLEKGSETSLSPWKNYADVIEAQSSTSNWWDMCNMTSRGAILVRPDEHIAWRTSSGLAEDPRVEMQRVFAAILGEHS >Vigun01g245400.5.v1.2 pep primary_assembly:ASM411807v1:1:41389764:41396562:-1 gene:Vigun01g245400.v1.2 transcript:Vigun01g245400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRLIRRYAYPFRDKTRIRLYALQHMQRRGFSKEGVPNGNDVVHPVLIIGAGPVGLVLSILLTKLGVNCTVLERNKAFSKHPQAHFINNRSMEIFRKIDGLVEEIQRSQPPVDLWRKFIYCTSLSGSILGSVDHIQPQDLEHVVSPVSVSHFSQYKLTMLLFKQLQNLGFQICTPESLEGNEQSCEQKIMMGHECVSIDASDDFITVTASSVIKGKRVEQNIHCNILIGTDGAGSTVRKLVGIEMRGEKDLQKLVSVHFFSRDLGQFLLEENPGMLFFIFNTEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGREFGDVDVIDIKPWIMHAEVAERFICRGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVIKGIAPTSMLNTYEIERKPIALFNTRLSLENYKAAMSVPAALGLDPTIANTVHQFIVNGIGSILPSGLQKVALDGIFGIGRAQVSEFVLNESNPLGSSRLAKLKHIFEEGKSLQLQFPAEDLGFRYLQGALVPESNDIEGPPEVLTGRRRDYTPSAQPGSRLPHMFVKVNPLCEETISTLDLVSGDKVEFVLIIAPVEESYHLAREAFKVAEEQEISLKVCIFWSTDSVEGLEKGSETSLSPWKNYADVIEAQSSTSNWWDMCNMTSRGAILVRPDEHIAWRTSSGLAEDPRVEMQRVFAAILGEHS >Vigun04g191000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41486375:41489926:-1 gene:Vigun04g191000.v1.2 transcript:Vigun04g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGGALLSAFVNVAFDKLASPKVVGFFRGRKLDEKLLGNLNIMLHSINALAHDAEQKQFRDPHVKAWLFAVKEAVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFTSFNKKIESEIREVLEKLEYLARQKGALGLKESIYSGDGSGSKEPQKMPSSSLVVESVIYGRDADKEKILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDIRAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISGKKFFLVLDDVWNERQEKWEAVRTPLSYGAPGSRILVTTRGEKVASNMSSKVHRPKHLKEDECWKVFQKHALRGDELELNDEKKEIGRRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLPKEFEIMPALLLSYQHLPSHLKRCFASCALFPKDYEFDKKELILLWIAQGFLHCSPQSNNLEEIGEQYFNDLLMRSFFLQSDFKTCFFMHDLLNDLAKYVCADFCFRLKFDKGNCISKTTRHFSFAFSDVKYFDGFGSLTDAKRLRSFFPYKEFGRRDNDYYPLQFKILVHELFSNFKFLRVLSLDAYSELREVPDSVGDLKHLHSLDLSRTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLHLHKLTKLRCLEFKKTKVTKMPTHFGELKNLQVLSAVFVDKKKEFSTKHLGGLNLHGRLSINELQNIVNPVDALEANLNNKHLVKLELRWKSDHIPDDPRKEKKVLENLKPSKKLEHLSIKSYGGPEFPSWVFDNSLSNLVFLKLKDCKYCLCLPPLGLLSSLKTLEIIGLDGIVSIGAEFYGNSYSSFTSLERLTFSNMKELEECERKTAAFPRLQILKVYQCPKLKGLPDQLVNVKYLYITGSMKASFLERCEHTVSHNSLEDLNFCAFPIMNIPMGSSYDLLANIKISCGCDSLTTFPLDFFPNLKSLSLLFCRNLQIISQKHTHNRLKHLSVAGCSRFDSFPSEGLSAPRLQTIDIHGAENLKLLPKRMQILLPSLHVLKIIHCPKVEMFPDGGLPPNVEDVSLSSFILMASLRETLGTNTCLQSLSIKYMDVEFFPDEVLLPHSITSLKLSDCPNLKKMEYKGLCHLSSLSLHNCPNLQCLPEDGLPKSISSLEILNCPLLEQRCQNPQGQDWKKIAHIEKLIVRSKV >Vigun04g017000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1228465:1229423:-1 gene:Vigun04g017000.v1.2 transcript:Vigun04g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSTGVIAQDWDPIVLHKAKPKAQDLRNPKAVNQALRSGAEVLTVKKFDAGSNRKAGGPAVNPRKLEEGTEPAALERVAGEVRHAIQKARLEKKLSQAELAKQINERTQVVQEYENGKAVPNQAVLAKMERVLGVKLRGKIGK >Vigun07g183800.1.v1.2 pep primary_assembly:ASM411807v1:7:30080935:30092258:-1 gene:Vigun07g183800.v1.2 transcript:Vigun07g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHQYSDDQNDDEPDSPSQNPSSPPRLLPGRSGAPMVDDTMLALTVSSSASALSRPIDPTQHLVGFNPSYDQLWAPIQGPAHPYAKDGIAQGMRNHKLGFVEDAAIEPFLFDEQYNTFHKFGYAADPSANNYVGDLDALRSNNAVSVYNIPRHQQKRRKTEAKDKKISDENDEEDREEDDGQEEIENPATEAWLLKNKKSPWAGKKEGLQGELTEEQKKYAEEYAKKKGEEKSGFGGEKAEVVKDKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSASMDTKVKIWDVFNSGKCMRTYMGHSKAVRDICFSNDGTKFLSAGYDKNIKYWDTETGQVISTFATGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNANWLAAQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGMIKYWD >Vigun09g189800.4.v1.2 pep primary_assembly:ASM411807v1:9:36463393:36468164:-1 gene:Vigun09g189800.v1.2 transcript:Vigun09g189800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLFIFFRLFLTFSVAVPSRVISETEQTILLTLKRELGDPPSLRSWKPSPSAPCDWTEIGCSGSGGAVTKLVLASKGITTTKSLPSTICNLKHLLELNLFNNSIAGEFPTTLYECSNLRYLNLSQNYLSGAIPADVDRLKNLTFLDLTANSFSGEIPAAIGNLRELQTLHLSANNFEGTVPKEIGNLSNLEFLGLAYNLKLAPWEFPLEFRKLRKLKSLYMPQCNLIGEIPEYFGDIFTNLERLDLGKNKLSGSIPRNLFSLRKLGFLYLFSNRLSGVIPSATMQCLELIDLDFAKNNLTGSIPPEFGKLKNLSTLHLYENHLFGEIPVSLSQIPSLIYFRVFSNNLSGTLPPELGLHSKLTVFEVSENQLSGGLPEHLCAGGALIGVVAFSNNFSGILPEWIGNCPSLATVQLYNNKFSGEIPLGLWTMNNLSSLILSNNSFSGPLPSQVFWNMTRIEIANNNFSGGISVGITSAKNLMFLDARNNMLSGEIPRELTQLSQLTALMLDGNQLSGALPSEIISWQSLNILTLSRNKLSGEIPTAITALPRLAYLDLCQNSISGEIPPQFDRLRFVFLNLSSNQLSGKIPDEFNNLAFENSFLNNPLLCAYNPNVHLDNCFAKTVSAAPQSSNSSSKSLALVLAATAVVLVAIASLVFYTLKTQWGKKHCGQKNKVATWRLTSFQRVDLTEINFLSSLTDNNLIGSGGFGKVYRIASNRPGEYVAVKQIWNREDVDDKLEKEFQAEVEILGNIRHSNIVKLLCCYASENSKLLVYEYMENQSLDKWLHAEEKKSPPGLSWPTRLNIAIGAAQGLCYMHHECSPPVIHRDVKSSNILLDSEFRAKIADFGLAKMLAKPGELNTMSALAGSFGYIPPALVLCS >Vigun09g189800.1.v1.2 pep primary_assembly:ASM411807v1:9:36463393:36468164:-1 gene:Vigun09g189800.v1.2 transcript:Vigun09g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLFIFFRLFLTFSVAVPSRVISETEQTILLTLKRELGDPPSLRSWKPSPSAPCDWTEIGCSGSGGAVTKLVLASKGITTTKSLPSTICNLKHLLELNLFNNSIAGEFPTTLYECSNLRYLNLSQNYLSGAIPADVDRLKNLTFLDLTANSFSGEIPAAIGNLRELQTLHLSANNFEGTVPKEIGNLSNLEFLGLAYNLKLAPWEFPLEFRKLRKLKSLYMPQCNLIGEIPEYFGDIFTNLERLDLGKNKLSGSIPRNLFSLRKLGFLYLFSNRLSGVIPSATMQCLELIDLDFAKNNLTGSIPPEFGKLKNLSTLHLYENHLFGEIPVSLSQIPSLIYFRVFSNNLSGTLPPELGLHSKLTVFEVSENQLSGGLPEHLCAGGALIGVVAFSNNFSGILPEWIGNCPSLATVQLYNNKFSGEIPLGLWTMNNLSSLILSNNSFSGPLPSQVFWNMTRIEIANNNFSGGISVGITSAKNLMFLDARNNMLSGEIPRELTQLSQLTALMLDGNQLSGALPSEIISWQSLNILTLSRNKLSGEIPTAITALPRLAYLDLCQNSISGEIPPQFDRLRFVFLNLSSNQLSGKIPDEFNNLAFENSFLNNPLLCAYNPNVHLDNCFAKTVSAAPQSSNSSSKSLALVLAATAVVLVAIASLVFYTLKTQWGKKHCGQKNKVATWRLTSFQRVDLTEINFLSSLTDNNLIGSGGFGKVYRIASNRPGEYVAVKQIWNREDVDDKLEKEFQAEVEILGNIRHSNIVKLLCCYASENSKLLVYEYMENQSLDKWLHAEEKKSPPGLSWPTRLNIAIGAAQGLCYMHHECSPPVIHRDVKSSNILLDSEFRAKIADFGLAKMLAKPGELNTMSALAGSFGYIPPEYAYSTKINEKVDVYSFGVVLLELVTGRKPNISGERECSLVEWAWEHFNEAKSLTDAFDEDIKEPRYAEEMANVFKLGLLCTASLPSTRPSTKEILQVLRCCHSGSTRRRMGNEFDIAPLLGDTRYVCSYKESNAATNNSS >Vigun09g189800.3.v1.2 pep primary_assembly:ASM411807v1:9:36463393:36468164:-1 gene:Vigun09g189800.v1.2 transcript:Vigun09g189800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLFIFFRLFLTFSVAVPSRVISETEQTILLTLKRELGDPPSLRSWKPSPSAPCDWTEIGCSGSGGAVTKLVLASKGITTTKSLPSTICNLKHLLELNLFNNSIAGEFPTTLYECSNLRYLNLSQNYLSGAIPADVDRLKNLTFLDLTANSFSGEIPAAIGNLRELQTLHLSANNFEGTVPKEIGNLSNLEFLGLAYNLKLAPWEFPLEFRKLRKLKSLYMPQCNLIGEIPEYFGDIFTNLERLDLGKNKLSGSIPRNLFSLRKLGFLYLFSNRLSGVIPSATMQCLELIDLDFAKNNLTGSIPPEFGKLKNLSTLHLYENHLFELGLHSKLTVFEVSENQLSGGLPEHLCAGGALIGVVAFSNNFSGILPEWIGNCPSLATVQLYNNKFSGEIPLGLWTMNNLSSLILSNNSFSGPLPSQVFWNMTRIEIANNNFSGGISVGITSAKNLMFLDARNNMLSGEIPRELTQLSQLTALMLDGNQLSGALPSEIISWQSLNILTLSRNKLSGEIPPQFDRLRFVFLNLSSNQLSGKIPDEFNNLAFENSFLNNPLLCAYNPNVHLDNCFAKTVSAAPQSSNSSSKSLALVLAATAVVLVAIASLVFYTLKTQWGKKHCGQKNKVATWRLTSFQRVDLTEINFLSSLTDNNLIGSGGFGKVYRIASNRPGEYVAVKQIWNREDVDDKLEKEFQAEVEILGNIRHSNIVKLLCCYASENSKLLVYEYMENQSLDKWLHAEEKKSPPGLSWPTRLNIAIGAAQGLCYMHHECSPPVIHRDVKSSNILLDSEFRAKIADFGLAKMLAKPGELNTMSALAGSFGYIPPELVTGRKPNISGERECSLVEWAWEHFNEAKSLTDAFDEDIKEPRYAEEMANVFKLGLLCTASLPSTRPSTKEILQVLRCCHSGSTRRRMGNEFDIAPLLGDTRYVCSYKESNAATNNSS >Vigun09g189800.2.v1.2 pep primary_assembly:ASM411807v1:9:36463393:36468164:-1 gene:Vigun09g189800.v1.2 transcript:Vigun09g189800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLFIFFRLFLTFSVAVPSRVISETEQTILLTLKRELGDPPSLRSWKPSPSAPCDWTEIGCSGSGGAVTKLVLASKGITTTKSLPSTICNLKHLLELNLFNNSIAGEFPTTLYECSNLRYLNLSQNYLSGAIPADVDRLKNLTFLDLTANSFSGEIPAAIGNLRELQTLHLSANNFEGTVPKEIGNLSNLEFLGLAYNLKLAPWEFPLEFRKLRKLKSLYMPQCNLIGEIPEYFGDIFTNLERLDLGKNKLSGSIPRNLFSLRKLGFLYLFSNRLSGVIPSATMQCLELIDLDFAKNNLTGSIPPEFGKLKNLSTLHLYENHLFELGLHSKLTVFEVSENQLSGGLPEHLCAGGALIGVVAFSNNFSGILPEWIGNCPSLATVQLYNNKFSGEIPLGLWTMNNLSSLILSNNSFSGPLPSQVFWNMTRIEIANNNFSGGISVGITSAKNLMFLDARNNMLSGEIPRELTQLSQLTALMLDGNQLSGALPSEIISWQSLNILTLSRNKLSGEIPPQFDRLRFVFLNLSSNQLSGKIPDEFNNLAFENSFLNNPLLCAYNPNVHLDNCFAKTVSAAPQSSNSSSKSLALVLAATAVVLVAIASLVFYTLKTQWGKKHCGQKNKVATWRLTSFQRVDLTEINFLSSLTDNNLIGSGGFGKVYRIASNRPGEYVAVKQIWNREDVDDKLEKEFQAEVEILGNIRHSNIVKLLCCYASENSKLLVYEYMENQSLDKWLHAEEKKSPPGLSWPTRLNIAIGAAQGLCYMHHECSPPVIHRDVKSSNILLDSEFRAKIADFGLAKMLAKPGELNTMSALAGSFGYIPPEYAYSTKINEKVDVYSFGVVLLELVTGRKPNISGERECSLVEWAWEHFNEAKSLTDAFDEDIKEPRYAEEMANVFKLGLLCTASLPSTRPSTKEILQVLRCCHSGSTRRRMGNEFDIAPLLGDTRYVCSYKESNAATNNSS >Vigun11g026100.2.v1.2 pep primary_assembly:ASM411807v1:11:3347129:3347744:-1 gene:Vigun11g026100.v1.2 transcript:Vigun11g026100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCRSVIAIIFGMTVILSFRVMSEARSYPSTPFLSQSHSHSLFLQREGHKIIQKRVVAASLKSIPKPIPNPTQNKLKPSKRS >Vigun11g026100.1.v1.2 pep primary_assembly:ASM411807v1:11:3347129:3347744:-1 gene:Vigun11g026100.v1.2 transcript:Vigun11g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCRSVIAIIFGMTVILSFRVMSEARSYPSTPFLSQSHSHSLFLQREGHKIIQKRVVAASLKSIPKPIPNPTQNNRLKPSKRS >Vigun11g190100.2.v1.2 pep primary_assembly:ASM411807v1:11:38964136:38970544:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDMRVMPGIMSQMPPLPVAINEDLASSILPNRT >Vigun11g190100.4.v1.2 pep primary_assembly:ASM411807v1:11:38964854:38970514:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDMRVMPGIMSQMPPLPVAINEDLASSILPNRT >Vigun11g190100.1.v1.2 pep primary_assembly:ASM411807v1:11:38964585:38970514:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDMRVMPGIMSQMPPLPVAINEDLASSILPNRT >Vigun11g190100.3.v1.2 pep primary_assembly:ASM411807v1:11:38964135:38970514:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDMRVMPGIMSQMPPLPVAINEDLASSILPNRT >Vigun11g190100.6.v1.2 pep primary_assembly:ASM411807v1:11:38964135:38970514:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDSLNFVQYESDAWDYEPNATTACRH >Vigun11g190100.5.v1.2 pep primary_assembly:ASM411807v1:11:38964135:38970514:1 gene:Vigun11g190100.v1.2 transcript:Vigun11g190100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNAGFHSEGIDSVLNRRAISFQPGGAINRLSEMVPMGNYFGLSSSSGMIYSGNSTIINSNPVMSQAGNPSSSSLLLDSVPGLKHDTGLAVEWSVDEQYRLEEGLAKYAEEPSIMRYIKIAALLPDKTVRDVALRCRWLTRKRRKSEEHILGKKVHNRKDKPVELASKSNLHSPLPPSMATYSRMSHHMDQTQRIQYDGICSPLKQLMEQNAQAFNQITANLSTYKLQDNIDLFCHTRQNINTILNDSLNFVQYESDAWDYEPNATTACRH >Vigun11g133100.1.v1.2 pep primary_assembly:ASM411807v1:11:34209287:34226567:-1 gene:Vigun11g133100.v1.2 transcript:Vigun11g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPSENGVEGDDEREEEDEEEEEDEEEVEDEEDEEEPRLKYQRMGGSIPSLLASDAASCIAVAERMIALGTHGGTVHILDFLGNQVKEFSAHASVVNDLSFDTEGEYIGSCSDDGSVVINSLFTDEKLKFDYHRPMKAVALDPDYAKKMSRRFVAGGLAGHLYLNSKKWLGYRDQVLHSGEGSIHAVKWRASLVAWANDAGVKVYDTANDQRVTFIEKPRGSPRPELLLPHLVWQDDTLLVIGWGTSVKIASIRTIHQRAANGSFRQVPLSGVAQVDIVASFQTSYFISGLAPFGDALVVLAYIPGEDGDKDFSSSAPSRQGNAQRPEVRIVTWNNDELSTDALPVHGFEHYRAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSLKDVVIAKPRDTEDHIAWLLQHGWHEKALAVVESGQGRSELLDEVGSRYLDHLIVERKYSEAASLCPKLLRGSAPAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNSSFHKDLLSTVKSWPSVIYSALPVISAIEPQLNTSSMTDSLKEALAELYVINGQYEKAFLLYADLLKPEVFDFIDKHNLHDAIRGKVVQLMMLDCKRAVPLLIQNRDLISPPEVVKQLLNADDKSDRRYFLHLYLHSLFEVNPHAGKDFHDMQVELYADYDPKMLLPFLRSSQHYTLEKAYEICIKRDLLREQVFILGRMGNSKQALAVIINHLGDIEEAVEFVTMQHDDELWEELIKQCLHKPEMVGILLEHTVGNLDPLYIVNKVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLIKYYKEARHGVSLGNEEDETRVKMSDTRASQLFEKSPNLRTMEMKSKTRGGGRCCICFDPFSIQNVSVIVFFCCHGYHTTCLMDSSYTSSNKKETTSLKAGMYDDYNGYEDDASDDAEDEETKSGGPRMRCILCTTASS >Vigun09g057100.2.v1.2 pep primary_assembly:ASM411807v1:9:5729372:5734867:1 gene:Vigun09g057100.v1.2 transcript:Vigun09g057100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHPRHKTNATTNNNNNNLNSTNTVTTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLCTYQNEVKEMEGQSREEYIGSLRRHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNNNDNTVNPNVLDANPNSETNFTPNSNQQQGFNFFQSQESTATQPRSSGATSALGLLLQSSKFKEMMEMTSAADLSTAASESELVPCTFPDDIQTYFECEDSNRYGEGDDNMFSELNGFVPHMFHCDEF >Vigun09g057100.1.v1.2 pep primary_assembly:ASM411807v1:9:5729372:5734914:1 gene:Vigun09g057100.v1.2 transcript:Vigun09g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHPRHKTNATTNNNNNNLNSTNTVTTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLCTYQNEVKEMEGQSREEYIGSLRRHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNNNDNTVNPNVLDANPNSETNFTPNSNQQQGFNFFQSQESTATQPRSSGATSALGLLLQSSKFKEMMEMTSAADLSTAASESELVPCTFPDDIQTYFECEDSNRYGEGDDNMFSELNGNLLIVRH >Vigun11g185500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38651615:38651893:1 gene:Vigun11g185500.v1.2 transcript:Vigun11g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRSSFSANQPASKSVKVPKGYLAVYVGEEKKRFLIPVSYLNQPSFQDLLSQLEEEFGHDHPMGGLTIPCCEDVFQCIISCLSGQ >Vigun05g127000.1.v1.2 pep primary_assembly:ASM411807v1:5:14372009:14376523:1 gene:Vigun05g127000.v1.2 transcript:Vigun05g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEETSASNNRSSKGKKEDLFHVLHKVPYGDSPYVRAKHAQLVVKDLEGAIVLFWKAINCGDKVDSALKDMAVVMKQLDRSEEAIEAISSFRGLCSKQSQESLDNVLIDLYKKCGKIDEQIELLKRKLKLIYQGEAFNGKPTKTARSHGKKFQVSIKQETSRLLGNLGWAYMQKTNYMMAEVVYRKAQMIDPDCNKAYNLGLCLVKQAKYEEAQVILEAVLKGNLPGSDDSKSRKRIQDLLKELRSMLPPPQLSDLLGLDDEFIKGLERLVNEWAPIRSKRLPIFEEISSFRDQLAC >Vigun05g030900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2448256:2451416:1 gene:Vigun05g030900.v1.2 transcript:Vigun05g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTCTETPLRTILHLLFQIWFFSFISMADVIYHPTDLFSISCGSSTNFSTLDTRNWTSDIHFLSPTHLSVSAPSLTPSTIQGPYTHARLSHSPFSYSFPLTPGPKFIRLFFYSTSYQNFPCSQASFSVQAGPYTLLQHFNASLNADAEDDPSHPDILFREYCINLQDGENLNITFIPTTTDSYAFINGIEIVSMPTYLYYTDPHDSTEQPQYVGTMTPYVVENKFALETMYRLKAGDHTIPSSEDTGMLRTWDTDSKYLDTQSEESIEFTGTTKLSFTKIPNYTAPEQVFRSVRNMGRDASFNKMNNISWQLSVDSGFTYLLRLYFCELNPRIEEPGVLRFFIFIQDQLATDWADVFMWTNHWGVPVVREYVVIISESPKKVNLSLKMHPHPKSIIQDAQINSIEIFKISNYKRSLAGPNPDPPQTAVVSHQGSNKKNGATKKTLAAVTGTVFGVLLLSSIVAFFFVKRKKNITVKSTKEGTSPLRDGSPSLPTNLCRHFSISEIITATNNFDELFIVGVGGFGNVYKGYIDDSTPVAIKRLKPGSQQGLDEFMNEIEMLSQLRHLHLVSLIGYCYESNEMILVYDFMDRGALRDHLYDTDNPLLSWKQRLQICIGAARGLHYLHTGANQMIIHRDVKSTNILLDEKWVAKVSDFGLSRIGPTGSSMTHVSTQVKGSVGYLDPEYYKRQRLTEKSDVYSYGVVLLEVLCGRQPLLRTVEKQQVSLVDWAKHRYEKGSLGEIVDPALKGQIAPQCLRKFGEVALSCLLEDGTQRPSMHDVVGVLEFVLQLQLQDSAHGDVVWESGGDYEGSTTEEIFSGSHSNSSGLNSTSYGNKESDRLIPENVFSDLKDPKGR >Vigun08g094850.2.v1.2 pep primary_assembly:ASM411807v1:8:22509981:22510533:1 gene:Vigun08g094850.v1.2 transcript:Vigun08g094850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVFSLTLVLITIEKAKSISRANFPKEFIFGTASSAHQFEGAADEGNKGDSIWDTFSRIPGSDEISNCRK >Vigun08g094850.1.v1.2 pep primary_assembly:ASM411807v1:8:22509981:22510669:1 gene:Vigun08g094850.v1.2 transcript:Vigun08g094850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVFSLTLVLITIEKAKSISRANFPKEFIFGTASSAHQFEGAADEGNKGDSIWDTFSRIPGRIVDFSNADMAVDQYHQFQVN >Vigun07g119900.2.v1.2 pep primary_assembly:ASM411807v1:7:22230478:22234165:-1 gene:Vigun07g119900.v1.2 transcript:Vigun07g119900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDGVAPCSSLAVEAIIRAGAIWGLCSGPYDARQQGLTGIAKASYVANSVRSFGIRCGVVAGVFSITRCGVQKYRGRNDWVNGLIGGAVAGAAAAAGTRNWTQVIGMAGLVSVFCAAADYSRTS >Vigun07g119900.1.v1.2 pep primary_assembly:ASM411807v1:7:22230478:22234165:-1 gene:Vigun07g119900.v1.2 transcript:Vigun07g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDGVAPCSSLAVEAIIRVGAAGAIWGLCSGPYDARQQGLTGIAKASYVANSVRSFGIRCGVVAGVFSITRCGVQKYRGRNDWVNGLIGGAVAGAAAAAGTRNWTQVIGMAGLVSVFCAAADYSRTS >Vigun05g285900.1.v1.2 pep primary_assembly:ASM411807v1:5:47340787:47344499:1 gene:Vigun05g285900.v1.2 transcript:Vigun05g285900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQSKIENEEAVARCKERKRFMKDAVSSRNAFAAAHSSYATCLKNTGAALGDFAPGEVQNPQLHSNDTNATFQNPQPFEIPLPPPPLPDFSPAPPLQRAISMPEMKINKPDPRPRPEPVGTIVEEDDEEEKELENEGSLRKRRSNNRVTSNKRVSEEEQEPPPPMPPPPSKQPEPRDHVTHHQHSMAQDTQSGAWEYFFPSFENIAGPSLNAAEEDAVSKVHEVERKVFDEKPNRVVEEIDDEVVAPVRNVEVPEPEPEPEPTPEPAPVPDEMMETPVGKGVKLKQTPSSVEGKRIVKHSVNLQQIFADLDDNFLKASEAAHDVSKMLEATRLHYHSNFADNKGHIDHSARVMRVITWNRSFKGIPNVDDGKDDFDSDEHETHATILDKLLAWEKKLYDEVKAGELMKFEYQRKVAALNKLKKRGTNSEALEKAKAVVSHLHTRYIVDMQSLDSTVSEINRLRDEQLYPRLVQLVDGMAEMWKLMLEYHEKQSDTVKLLRTLDISQSTKQTSEHHHDRTYQLLLVVQQWHSQFEMLVNHQKGYIKALNTWLKLNLIPIESSLKEKVSSPPRVRSAPIQGLLHAWNDRLEKLPDELARTAIGNFVAVIETIFHQQEEEIALKRKCEDTRKELSRKTRQFEDWYNKYMQRKIPDEYNPDTEDNNGPDEAVTERQVAVEQVKKRLEDEEEAYARQCLQVRQKTLGSLKNRMPELFRAMSDFSLECSKMYSELRSISQNLGQSSS >Vigun05g263800.2.v1.2 pep primary_assembly:ASM411807v1:5:45619348:45623316:1 gene:Vigun05g263800.v1.2 transcript:Vigun05g263800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGDGAPSHPLFVSVTGVSASRRRLSASFVERSRPVSSEKPMAWLSLQGRLLNADEASSARTIGGGLSAEQALAWNLFPPIHRFLVVAVIGAAVARSRKDLRICHLEKSVELRDQVLSSMQQKLDTLCELVNNSKEYSATKKSCDKDVELQLNETFGTEKVKPVDCGCWHCEQHSAFFNDGASVARNSGANEVLQYKTPFPNEDQEERRMSDMSDWASSVTSSADIQLNNLAVEQDIYNLRKDCEEKDITIKELTTVLNSNEVANYKRIAELEDIIRRKNTTITKLKKDMSVLEQKVVQLTRLRRPSYSPSGSNDSPLPRIMIDNLLYDMESTTSSSSSDSDSSSVVKESNLSAEIVSKQDSASKIDQKLTPRKISSSSVQKQLSARGDLKKTRRRSLNGDKSTTAHKRWV >Vigun05g263800.1.v1.2 pep primary_assembly:ASM411807v1:5:45619348:45623316:1 gene:Vigun05g263800.v1.2 transcript:Vigun05g263800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGDGAPSHPLFVSVTGVSASRRRLSASFVERSRPVSSEKPMAWLSLQGRLLNADEASSARTIGGGLSAEQALAWNLFPPIHRFLVVAVIGAAVARSRKDLRICHLEKSVELRDQVLSSMQQKLDTLCELVNNSKEYSATKKSCDKDVELQLNETFGTEKVKPVDCGCWHCEQHSAFFNDGASVARNSGANEVLQYKTPFPNEDQEERRMSDMSDWASSVTSSADIQLNNLAVEQDIYNLRKDCEEKDITIKELTTVLNSNEVANYKRIAELEDIIRRKNTTITKLKKDMSVLEQKVVQLTRLRRPSYSPSGSNDSPLPRIMIDNLLYDMESTTSSSSSDSDSSSVVKESNLSAEIVSKQDSASKIDQKLTPRKISSSSAYYSSVQKQLSARGDLKKTRRRSLNGDKSTTAHKRWV >Vigun06g046000.1.v1.2 pep primary_assembly:ASM411807v1:6:17053998:17062359:1 gene:Vigun06g046000.v1.2 transcript:Vigun06g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFQILNFQFREKERLHDFEFLIFENLFCTLFPSQIHPLFPTHSTSNQFTFSFHTLLPLSLLSSFPLHSVQRKTLNKHKLTHTTAMKHPKPQTQTNPTPSPSSRSSSSSSSLSSHLAMVELKQRILTSLSKLSDRDTHQIAVEDLEKTIAALSPDAIPMILNCLYDAATDPKPAVKRDALRLLAAVCAAHADAAAAHLTKIIGHVVRRLKDADSAVRDACRDTVGALAAQYLKGDGGGGGVGTVVGLFVKPLFEAMGEQNKGVQAGAAVCMAKMVECAGGGGEAPVPAFQKLCPRICKLLNSPNFMAKAAILPVVSSLSQVGAIAPQSLEHLLPSIHECLSSTDWATRKAAAEALSSLALHSSSLVTDKAAPTLAVLEACRFDKIKPVRDSINEALQLWKKITGKGDGSPDDSKPSSDGGNESAISSETSDPKKVNLDERKNDSSVKDSSTSSSNLDSTSKAKAAGISEKAVVILKKKAPALSDKELNPEFFQKLERRGSDDLPVEVVLPRRGLNSSNSNNEEESEANVKDSKDRTSSVGNITNDDFHGSLSNKYRIFERGSDGNSKQRNYDDFGHDRYAERRTNSKELRTKAYDTDDRTENDQREGSANLAGFSKTDGQSEVPFSNNRGNWLAIQRQLLQLERQQVHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMSRDLSISSGRRNFTGFEGSSSRPSNKYNGFSDYNSKYGRGGDGRIQFGERFSQSDGNALGMRGRGPSWRSDMSEGWDLPGYGASRNNSQVSSRRAFGGSSADGRSPKSVHESDQSGNRRAWDKAALPIRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRATRVAIPEMTAEALADDNVGQERDAIWTSWTNAMDALQVGDTDSAFAEVLSTGDDILLVKLMDRTGPVIDQLSSEVACEIVNAIGQFMVDQNMYDICLSWIQQLLEIVLENGADTFGIPMEVKKELLLNLHEASTDTTEAWEGVHPEQLLLQLASAWEIDLQQHDK >Vigun03g164700.1.v1.2 pep primary_assembly:ASM411807v1:3:18793564:18797270:-1 gene:Vigun03g164700.v1.2 transcript:Vigun03g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEESMIDDVENVKMPLLVYVSREKMASHPHHFKAGALNVLLRVSRVMSNSPYILVLDCDMFCNDPTSARYAMCFHLDPNMSSSLSFVQFPQKFHNISKNDIYDSQLRSLFTLQWQGMDGLMGPVMSGTGFYIKRASLFGNCGSKGSDLLQQKDQFPSSNELIGSLHRNYTSDSIPHQKHSLLEESHFLASCNYEIGTKWGEEVGFLYYSVVEDYLTGFMLHCNGWSSVFCEPSRPQFLGKATTNLNDVLIQGTRWYSGLFENGINRFCPLIYWPRRIPFLQCLCFAWLTYFPLYCVPLWCLATVPQLSLLNGIPLYPKVSDPFFIVFVFIFVSALVKHLLEVSLTGGTVHKWINEQRIWMMKSVTCHLHGCLDALLKKFGIREATFLPTNKLESDEQSVLYEMDKYDFRTSNIFIAPLLFIISVNIYCLVGGVYRVLLVGECDKMFIQLFLAVFIVTVNYPLIEGLLRKDKGRISKLVAIPVILPTLALLPFLNLLGNAYYALLAIRIPFIISF >Vigun01g172300.1.v1.2 pep primary_assembly:ASM411807v1:1:35458705:35461766:-1 gene:Vigun01g172300.v1.2 transcript:Vigun01g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNQLFLLLTLFSVLFLSGERVLQAEAGHHHQHLHHHHHRHRHHHGPTKLFVFGDSYADTGNIQKAVSNSWKDPYGITFPGKPAGRFSDGRVLTDYIAKYLKVKSPIPYRYRKLMPQHVKYGMNFAFGGTGVFNTLVPLPNMTTQIDFLQQLIKDKVYSASDLSNSVALVSVAGNDYSHFTQTNGSEGLPSFVASVINQTTMNLMRIRELGVKKIVVGALQPIGCLPRITASTSFQRCNETSNALVFLHNTLLNDAVTTLNQQTKDRSTFLILNLFDSFMSVLNHPSTHNIRDSLKPCCVGVSSNYSCGSVDNNVKKYRVCNDPKSAFFWDFVHPTQAGWHAVYNKLRTMNDLQRILY >Vigun09g248700.1.v1.2 pep primary_assembly:ASM411807v1:9:41610697:41615213:-1 gene:Vigun09g248700.v1.2 transcript:Vigun09g248700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHVAMAKKRWPIILAAFLSVSTVTVLLMRATNSDSCNISRFTVAQDNLVRSSVQVGNAASSPLNFMKSKLVLMVSHELSLSGGPLLMMELAFLLRGVGSDVVWITNRKPAEPDEVIYSLESKLLDRGVQVFSAKGEKAIDTALKADIVILNTAVAGKWLDAVLKEKVSRVLPKVLWWIHEMRGHYFNVEYVKHVPFVAGAMIDSHTTAEYWKNRTKERLKMEMPETFVVHLGNSKELMEVAEDSVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLQAFYESLQLIQEKKLQLPSLHAVVVGSDFSAQTKFEMQLRKFVIEKKIQDRVHFVNKTLAVAPYLASIDVLVQNSQGRGECFGRITIEAMAFRLPVLGTAAGGTMEIVVNGTTGLLHSIGKEGVTPLAKNIVKLATHVEKRLTMGKKGYERVKERFMEHHMSQRIALVLKEVLRKSRQ >Vigun02g012300.1.v1.2 pep primary_assembly:ASM411807v1:2:4734858:4741155:1 gene:Vigun02g012300.v1.2 transcript:Vigun02g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDPAFIQTAENRPKLGIIEEESIPVIDLSPLFCASCSSFEEVVRQIGSACREWGFFQVINHGIPVESRQKMEAEARKFFHQSKEQKNEVRRDSVHVIGYFDSELTRNVRDWKEVFDYTVEEPTLVPASPHPHHHTITHWYNKWPHYPPHLREALEEYGEQMEELALKLMELIALSLGLAPKRFHGFFKDQTSWIRVNFYPPCPSPHLVLGCGRHKDSGALTVLAQDDVSGLEVKRKSDGQWVRVKPPPNSYIINVGAVIEVWSNETYESVEHRVVLNTEKERLSYPFFLTPAHYTMVQPLEELVNDQNPPKYRPYNWGKFFVTRKRSNFVNLNVENIQIDDFKIN >Vigun01g105400.1.v1.2 pep primary_assembly:ASM411807v1:1:27654247:27660163:1 gene:Vigun01g105400.v1.2 transcript:Vigun01g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPVETSRSEGGHHVFPGEKEVVVAASPKTSTKGSKFPFYSPSPLPSLFKNSPANSSVSSTPLRLFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECEVGLDKSFGFSNHFHTHYELGPEVGRGHFGYTCSAKGRKGAFKGHDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDNDNVYIVMELCKGGELLDKILSRGGKYSEEDARTVMIQILSVVAFCHLQGVVHRDLKPENFLYISEENSTLKVIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSADAVDFVKRLLNKDYRKRLTAAQALSHPWLTSHCDDVKIPFDMIIHKLVKAYICSSSLRKSALGALAKTLTLVQLAYLREQFNMLGPNKSGLISMQNFKTGILRSVTDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISVHQLEGMESWEQHARRAYELFEKEGNRPIMIEELASELGLSPSVPIHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >Vigun01g105400.2.v1.2 pep primary_assembly:ASM411807v1:1:27654247:27660163:1 gene:Vigun01g105400.v1.2 transcript:Vigun01g105400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDNDNVYIVMELCKGGELLDKILSRGGKYSEEDARTVMIQILSVVAFCHLQGVVHRDLKPENFLYISEENSTLKVIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSADAVDFVKRLLNKDYRKRLTAAQALSHPWLTSHCDDVKIPFDMIIHKLVKAYICSSSLRKSALGALAKTLTLVQLAYLREQFNMLGPNKSGLISMQNFKTGILRSVTDASKDSRVLDYVNMVSSIQYRKLDFEEFCAAAISVHQLEGMESWEQHARRAYELFEKEGNRPIMIEELASELGLSPSVPIHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >Vigun01g254700.1.v1.2 pep primary_assembly:ASM411807v1:1:41972111:41974547:-1 gene:Vigun01g254700.v1.2 transcript:Vigun01g254700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLSFSLVTVKPYSMSVSNKKACRSRRRFSVTRAEITVAPNPQQRRQLSKQGFPLTDLHVQEVVHRQSQIISPCSKPKLQFHSNFLNDAYEMCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNAAYMSSAVLDRWEDRLHDIFNGHPYDMLDAALTDTLSKFPLDIKPFRDMIQGMRMDTRKARYNNFQELYLYCYYVAGTVGLMTVPIMGIAQESVVPVQSVYDAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDKDVFSRNVSERWRKFMKHQITRARFYFNRAEEGVSQLEKASRWPVWSSLILYRKILDAIEDNDYDNLTKRAHVGRAKKFFTLPQAYTRSLSNHKTKFRPSLTRHI >Vigun02g164200.2.v1.2 pep primary_assembly:ASM411807v1:2:30905493:30911664:1 gene:Vigun02g164200.v1.2 transcript:Vigun02g164200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRFSHKFPVFPHHIHPFKNARYTSSFTKRFQIRRPRASINGGSGNSQGDSFLVPGATVATILMLGVLHARRLYVDKKTEEMKEKGIEVEFQPDIKATFLRLLPLRSISRCWGYLTSVEIPVWLRPVIYKSWARAFHSNLEEAGLPLDQYVTLRDFFVRTLKEGSRPIDVDPQCLVSPVDGTILRFGELKGAGAMIEQVKGFSYSVFSLLGASPFLPITADGDVQEKHNESITTTEKSKKSWWRVSLASPKVWDPKSSCPKRGLFYCVVYLKPGDYHRIHSPADWNILVRRHFSGRLYPLNERATRTIRNLYIENERVVLEGLWQEGFMAVAAIGATNIGSIEFKFQHDSFSLSLNFIQTGQ >Vigun02g164200.3.v1.2 pep primary_assembly:ASM411807v1:2:30905493:30911664:1 gene:Vigun02g164200.v1.2 transcript:Vigun02g164200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEEMKEKGIEVEFQPDIKATFLRLLPLRSISRCWGYLTSVEIPVWLRPVIYKSWARAFHSNLEEAGLPLDQYVTLRDFFVRTLKEGSRPIDVDPQCLVSPVDGTILRFGELKGAGAMIEQVKGFSYSVFSLLGASPFLPITADGDVQEKHNESITTTEKSKKSWWRVSLASPKVWDPKSSCPKRGLFYCVVYLKPGDYHRIHSPADWNILVRRHFSGRLYPLNERATRTIRNLYIENERVVLEGLWQEGFMAVAAIGATNIGSIELFIEPELHTNRPMKKFLHSEPPEERVYECEGVGRMLMKGDELGAFNMGSTVVLVFQAPISKLNEGDSSQEFRFCVQRGDRIRVGEALGRWHSS >Vigun02g164200.1.v1.2 pep primary_assembly:ASM411807v1:2:30905493:30911664:1 gene:Vigun02g164200.v1.2 transcript:Vigun02g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRFSHKFPVFPHHIHPFKNARYTSSFTKRFQIRRPRASINGGSGNSQGDSFLVPGATVATILMLGVLHARRLYVDKKTEEMKEKGIEVEFQPDIKATFLRLLPLRSISRCWGYLTSVEIPVWLRPVIYKSWARAFHSNLEEAGLPLDQYVTLRDFFVRTLKEGSRPIDVDPQCLVSPVDGTILRFGELKGAGAMIEQVKGFSYSVFSLLGASPFLPITADGDVQEKHNESITTTEKSKKSWWRVSLASPKVWDPKSSCPKRGLFYCVVYLKPGDYHRIHSPADWNILVRRHFSGRLYPLNERATRTIRNLYIENERVVLEGLWQEGFMAVAAIGATNIGSIELFIEPELHTNRPMKKFLHSEPPEERVYECEGVGRMLMKGDELGAFNMGSTVVLVFQAPISKLNEGDSSQEFRFCVQRGDRIRVGEALGRWHSS >Vigun05g093300.1.v1.2 pep primary_assembly:ASM411807v1:5:9038962:9043039:1 gene:Vigun05g093300.v1.2 transcript:Vigun05g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPVTFIRLCFTLNSDPSYLSTKKKKSFFLLSLVSFLLIIIWVFPGFFRGALVFFIGNCCHWVANMGGCCSHEVSVRGKVETEMDDKEYECDHENDESYERGGALVRLRGSSKFVSMHTQQGQKGVNQDAMTVWEGFTGEKDVIFCGVFDGHGPLGHKVSQFIRDNLPSKLSAAIEVSQQKTIKFYDANDAETASFDDGYDESNHMSLASWEGCFLKSFDEMDEHLAREINTDSYCSGCTAVTLIKQGDQLIVGNLGDSRAVLCTRDRDQLVAVQLTVDLKPEIPSETSRIVNCEGRVFAAEEEPDVYRIWMPDDDCPGLAMSRAFGDFCLKDYGLISVPDVFYRKITPQDQFVVLATDGIWDVLTNHEVVSIVASAPRRSIAAKLLVKRAVKAWRYKYPGSKVDDCAAICFFLNEQPVQSNSQSHMSRKNRQCSKNLHRSKTTRNEDTDTVDGKVGLEIDEEWKALGGFSRANSLSKLPRLARAMSKRQSSKYYSPR >Vigun06g090900.2.v1.2 pep primary_assembly:ASM411807v1:6:22337352:22340407:-1 gene:Vigun06g090900.v1.2 transcript:Vigun06g090900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMATLTLGSSTTMVAQNHAQQHHATTLASVNGHRRYSVSNRTHSSSMSLVSPTNKSFTFLSSFKESAPARPFTTAVASVDSDQLNSSDPPTKNEANKYYFVVANAKFMLDEEEHFQEQLFERLRLFGERNQEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGTWIKFMKLRLDRVLSDSFEAETLEEALAFNPTDLKFEKPEKWVAPYPKYESGWWEPFLPPVQNEVKP >Vigun06g090900.1.v1.2 pep primary_assembly:ASM411807v1:6:22337352:22340407:-1 gene:Vigun06g090900.v1.2 transcript:Vigun06g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMATLTLGSSTTMVAQNHAQQHHATTLASVNGHRRYSVSNRTHSSSMSLVSPTNKSFTFLSSFKESAPARPFTTAVASVDSDQLNSSDPPTKNEANKYYFVVANAKFMLDEEEHFQEQLFERLRLFGERNQEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGTWIKFMKLRLDRVLSDSFEAETLEEALAFNPTDLKFEKPEKWVAPYPKYESGWWEPFLPPVQNEHKLSGEVIK >Vigun02g050150.1.v1.2 pep primary_assembly:ASM411807v1:2:19092264:19092802:-1 gene:Vigun02g050150.v1.2 transcript:Vigun02g050150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQSILFSEMVGRFISMFLKDQDFGHVDRVFIIRYWKDLATKWTVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEVESERISRDIKVLYPFFWY >Vigun09g057900.1.v1.2 pep primary_assembly:ASM411807v1:9:5849619:5852171:1 gene:Vigun09g057900.v1.2 transcript:Vigun09g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQARPKLSHTSHQNLGRINFYTTSGEEKRRKTHKTHIKTQNTLIFFSLLHFPFSTFHLFKMPRPGPRPYECMRRAWHSDRHQPLRGSVIRQIFRVANEFHSLSTKNNKEWQEKLPVVVLRAEEIIYSKANSEAEYINPDTLLHRLNDAINTIIRREETNETGQLLPPCIEAALNLGCKPVRTSRSDRHNNPRTYLTSRNQQHPCSVSPETVAASNVLTFLPVSDYGHHQTSLNNYSLLNNFAAVHHHQPLAVQSHPSLNLGSVYPLCYGFQAQEPRLRINNLGNNTYCDTIFVARPVISPALGPSRMDPLANLSCGRFHYSPHSIVKETAVSVAEEEECDLSLRLGLCLQTNKTSSAYELEDVRLRVSQEASKFGRSSQQINEGYCFYPRGTGYGAI >Vigun09g057900.2.v1.2 pep primary_assembly:ASM411807v1:9:5849619:5852171:1 gene:Vigun09g057900.v1.2 transcript:Vigun09g057900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQARPKLSHTSHQNLGRINFYTTSGEEKRRKTHKTHIKTQNTLIFFSLLHFPFSTFHLFKMPRPGPRPYECMRRAWHSDRHQPLRGSVIRQIFRVANEFHSLSTKNNKEWQEKLPVVVLRAEEIIYSKANSEAEYINPDTLLHRLNDAINTIIRREETNETGQLLPPCIEAALNLGCKPVRTSRSDRHNNPRTYLTSRNQQHPCSVSPETVAASNVLTFLPVSDYGHHQTSLNNYSLLNNFAAVHHHQPLAVQSHPSLNLGSVYPLCYGFQAQEPRLRINNLD >Vigun04g126200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31897720:31899964:1 gene:Vigun04g126200.v1.2 transcript:Vigun04g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSSVNINKHHDLVKQVREILEQVELPENSIVHEQCVYRVPHKIRQPNHQAYTPRVVSIGPIHTPFRPGGDIRLEPMENLKLQYLKSFITRSRLSVEECVSKLKDWERIIRCCYAEPVQHSSDDFLRMVLIDSYFIIELFLRYYYYDRWVEIDPLLLQPWLANDVALDLILLENQLPFFVLEGLYKLAVPHHEIPNLPSFVNVTFNYFKPHNQQNIRPEKVLGVVHFTDLLRTFMLPSSFDRRIRERRVDIVDHLYSATRLREAGLVLEVSPSACLLDLKFHKGVLTMPCIKVHSSTEIFIRNIIAFEQCHLLCSPYITEYIMILDFLINTGKDVNILVEKKIIVNLLGDDDAVATMVNNLCCNVTLPYIKSDYRCLCDQLNSFYENPRNKYKAIFMHDYFNTPWKIASTIAAIVLLLLTFIQTVCSIVALFKRG >Vigun04g126200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31897719:31899965:1 gene:Vigun04g126200.v1.2 transcript:Vigun04g126200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSSVNINKHHDLVKQVREILEQVELPENSIVHEQCVYRVPHKIRQPNHQAYTPRVVSIGPIHTPFRPGGDIRLEPMENLKLQYLKSFITRSRLSVEECVSKLKDWERIIRCCYAEPVQHSSDDFLRMVLIDSYFIIELFLRYYYYDRWVEIDPLLLQPWLANDVALDLILLENQLPFFVLEGLYKLAVPHHEIPNLPSFVNVTFNYFKPHNQQNIRPEKVLGVVHFTDLLRTFMLPSSFDRRIRERRVDIVDHLYSATRLREAGLVLEVSPSACLLDLKFHKGVLTMPCIKVHSSTEIFIRNIIAFEQCHLLCSPYITEYIMILDFLINTGKDVNILVEKKIIVNLLGDDDAVATMVNNLCCNVTLPYIKSDYRCLCDQLNSFYENPRNKYKAIFMHDYFNTPWKIASTIAAIVLLLLTFIQTVCSIVALFKRG >Vigun04g126200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31897720:31899964:1 gene:Vigun04g126200.v1.2 transcript:Vigun04g126200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSSVNINKHHDLVKQVREILEQVELPENSIVHEQCVYRVPHKIRQPNHQAYTPRVVSIGPIHTPFRPGGDIRLEPMENLKLQYLKSFITRSRLSVEECVSKLKDWERIIRCCYAEPVQHSSDDFLRMVLIDSYFIIELFLRYYYYDRWVEIDPLLLQPWLANDVALDLILLENQLPFFVLEGLYKLAVPHHEIPNLPSFVNVTFNYFKPHNQQNIRPEKVLGVVHFTDLLRTFMLPSSFDRRIRERRVDIVDHLYSATRLREAGLVLEVSPSACLLDLKFHKGVLTMPCIKVHSSTEIFIRNIIAFEQCHLLCSPYITEYIMILDFLINTGKDVNILVEKKIIVNLLGDDDAVATMVNNLCCNVTLPYIKSDYRCLCDQLNSFYENPRNKYKAIFMHDYFNTPWKIASTIAAIVLLLLTFIQTVCSIVALFKRG >Vigun07g043100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4294469:4297025:1 gene:Vigun07g043100.v1.2 transcript:Vigun07g043100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRNTHWCYSCRRPVRLGRRDVVCPSCNEGFVHELNDMVHVNPFDLFGMDNNEERDQRVGLMETFSAFMRHQMADRGRSRDIIRAQTDSNAEHSAGYAPLLIFGGQIPFRLSGHGGFEALFNGAPGIGLTRGNTGDYFVGPGLEELFEQLSANNRQGPAPASRSSIDAMPTIKITQRHLRSDSHCPVCKDRFELGSEARQMPCNHLYHSDCIVPWLVQHNSCPVCRQELPPQGLNLSSNNRGTNGRSRSGRVSSSGRESQGRRNPFSFLWPFRSSHSSSNDEATGSSTQAPTIPENSHHAGYSGWPFE >Vigun07g043100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4294435:4297057:1 gene:Vigun07g043100.v1.2 transcript:Vigun07g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRNTHWCYSCRRPVRLGRRDVVCPSCNEGFVHELNDMVHVNPFDLFGMDNNEERDQRVGLMETFSAFMRHQMADRGRSRDIIRAQTDSNAEHSAGYAPLLIFGGQIPFRLSGHGGFEALFNGAPGIGLTRGNTGDYFVGPGLEELFEQLSANNRQGPAPASRSSIDAMPTIKITQRHLRSDSHCPVCKDRFELGSEARQMPCNHLYHSDCIVPWLVQHNSCPVCRQELPPQGLNLSSNNRGTNGRSRSGRVSSSGRESQGRRNPFSFLWPFRSSHSSSNDEATGSSTQAPTIPENSHHAGYSGWPFE >Vigun07g060800.2.v1.2 pep primary_assembly:ASM411807v1:7:6889724:6894764:-1 gene:Vigun07g060800.v1.2 transcript:Vigun07g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLISSPNVSSLTTGSNLWARKLSFNTVYFQSTLGCHGSKASKHNIKGQIQYNPLRFQQSAFNHNYKSIERGATFEETNKIYVVKADSVASSELESEASNSKSIVDSVKSFMAVLYEFIYPYALFAQTSASTSASLLAVQKLSDISPLFFIGLLQAVSTLSFMLLYVSGVNQLFDFEIDKINKPYLPLAAGKLSFRNCVFIVALSAILGLGINLMIGSPALIWNFVLSVTLWTCYSVNLPFLRWKKNAVQTSLLMFFCWTFLIPFTYFLHMQTFVLKRPLVFTRSFIVSLLFMSFYSIGLALSKDIPDVEGDIKHGVASFAARLGQKKCLGNVVLGSILWYQTKYVDVTDPASGRSFYSLNWKLLMGSYVLLPLIR >Vigun07g060800.1.v1.2 pep primary_assembly:ASM411807v1:7:6889724:6894764:-1 gene:Vigun07g060800.v1.2 transcript:Vigun07g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLISSPNVSSLTTGSNLWARKLSFNTVYFQSTLGCHGSKASKHNIKGQIQYNPLRFQQSAFNHNYKSIERGATFEETNKIYVVKADSVASSELESEASNSKSIVDSVKSFMAVLYEFIYPYALFAQTSASTSASLLAVQKLSDISPLFFIGLLQAVSTLSFMLLYVSGVNQLFDFEIDKINKPYLPLAAGKLSFRNCVFIVALSAILGLGINLMIGSPALIWNFVLSVTLWTCYSVNLPFLRWKKNAVQTSLLMFFCWTFLIPFTYFLHMQTFVLKRPLVFTRSFIVSLLFMSFYSIGLALSKDIPDVEGDIKHGVASFAARLGQKKVFWICVFLFEMAFGVAFLAAASSSSPFWIKFVTCLGNVVLGSILWYQTKYVDVTDPASGRSFYSLNWKLLMGSYVLLPLIR >Vigun03g098700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8408723:8411549:1 gene:Vigun03g098700.v1.2 transcript:Vigun03g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCIRCCISCILPCGALDVIRIVHSNGRVEEITGTVKARDVMKAHPKHVLKKPCSAAADADAANATAVHKIVVVPPDADLQRGKIYFLMPLPPSPPPPEKNQQRRRKKKEQRERSRNNANVSVTMTSLLVSDRYLTDILSEKASTQRDRRRGRVAVWRPHLESISESPSDL >Vigun03g098700.2.v1.2 pep primary_assembly:ASM411807v1:3:8409374:8410609:1 gene:Vigun03g098700.v1.2 transcript:Vigun03g098700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCIRCCISCILPCGALDVIRIVHSNGRVEEITGTVKARDVMKAHPKHVLKKPCSAAADADAANATAVHKIVVVPPDADLQRGKIYFLMPLPPSPPPPEKNQQRRRKKKEQRERSRNNANVSVTMTSLLVSDRYLTDILSEKASTQRDRRRGRVAVWRPHLESISESPSDLWWGHGIDQTEV >Vigun10g182500.1.v1.2 pep primary_assembly:ASM411807v1:10:39975369:39981956:-1 gene:Vigun10g182500.v1.2 transcript:Vigun10g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLKAFNKHLTLLPLPLHLRTFSTHPFITSSSFPLFPHHVSFPRFSFAHLRPLSTSAPATLMEVVRAIARQEPAVHENVAIRADQKSYSYKELISTAQQISDLLCGGDALTGNLGGARIGIVAKPSAEFVAGIIGVWLSGGVAVPLATSYPEVELLYVIDNSDISAILSTEEHSELMQSVANKSSSKFFHLPSVVNISSENNRNGHSQNGNGKIHEDRIYLDDIIGGSSEEDPALILYTSGTTGKPKGVVHTHKSIISQVQTLAKAWEYTSADKFLHCLPLHHVHGLFNGLMAPLYAGSSVEFLPKFSVRGVWQRWRESYPTDGSKADDAITVFTGVPTIYARLIQGYNAMDPELQQVSASAANNLRLMMCGSSALPLPVMQEWEAITGHRLLERYGMTEFVMALSNPLKGERKPGTVGKPFPGIQVKIIADEESENDKNVMGELCVKSPSLFKEYWKLPEITKESFTDDGFFKTGDAVTTDEDGYYIILGRTNADIIKAGGYKLSALEIESVIIEHPAILECCVLGLPDNDYGEIVGAIVVPHADAKKKRDQESKPVLSLEELTIWAKDKIAPYKIPTKLILWEKLPRNAMGKVNKKELKKLVVSETVNTI >Vigun06g181300.1.v1.2 pep primary_assembly:ASM411807v1:6:30102061:30105801:-1 gene:Vigun06g181300.v1.2 transcript:Vigun06g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVPFNKKNLDISFFVFKPTIVIIDDLVHGLKHFSLTTESLGCVQSSIFRSIHGNMIIWYGAWPRHSSKEKEELISTLKTMLTNSISTMGVLIDHSFLEAYGGESRDGSSTAKFSTGDILSLNSAATTTNDLNDLCYAVLAILRSRFAKMEGTTSGLCLKGQSKPRMVCMHVWKSLHFCYSWILNSDQRKWMMPYLERFSIEMKYDIFRVVYVSGDNVVDFNCISTHQMLENGKESSRQGQVMQN >VigunL060937.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:26456:26617:1 gene:VigunL060937.v1.2 transcript:VigunL060937.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >VigunL059237.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:6484:6958:-1 gene:VigunL059237.v1.2 transcript:VigunL059237.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHHGIARWLGGEICEKMKFVVFEM >Vigun08g113000.2.v1.2 pep primary_assembly:ASM411807v1:8:27941784:27947815:-1 gene:Vigun08g113000.v1.2 transcript:Vigun08g113000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAPKPKPRLVLCPKCWQLLPESPDYDVYKCGGCGTTLQAKKRRSKAVNSESSTHETDAAPRNAVDTKADDKQYNNEEKLLRYQENGVKKKLTSSSSGKGSLDGHDRRVQIENGECNGAQLVASRVNGFNEKTATSSSGEFSSSENGGRDQINDGEFNGKQLVTSQENGFGGKTSSSSSGECSLVGNGGRYLVEDGECDGEQPVTSQENGLSEKAASSSSQECSSNGNVERNEIEDGKYNGEHPVISQENVLGENAASSSSGECSLNGNGGRNQIEDGKYTGEQPIISQENGLREKAASSSSGEYSLDGNGGGNQIEDGKYNGEQTVISQESGLMEKTSGSSLGEFSLDENDGKDQIENGERNVERIEHVNLPDETLKNEMDIYKSSDMRSQSGCSDELTQFEKHASAELMAESSVENAKNTNLHLKGECNGNVPLEGAGEQLISALDKEDANDEKSASVHVKPVAGNDLQVVQQELFCGSDGEAVNNDKLELFGANPKVVINGSREARSEELHDRNLLLEVTEEQLSVSALNGEDLKHPQSDLVGAKSEVDNAGNTSKAKRLSTEEGSISCAYPSELEEGSFGNHASSKTIAHSFDRVRSVDTFDNTEVINPGFETSGTLGGFSKSSTTRSYHAYDGSVSSNDGVDDQFPNQYSDSFENNYAVAGGVFEGGSKKGKGIVNSMLHGDLETLNRYFHEGRPYVQRDRRMNINEVPESTRHDHAHWMRTKRDEFPPRIPHHRSGSQSGYESGSTSNQIIDEFYCGSSYLSHDSYDDPDQEKMKLLRLVYKLQDQLNRTSYVSGETNGRLSMGSHMSAYQSHDLHERRFYHGLDYTRCDGICSHGINWCQKHNFSRAPYSTEPTCSIHHVDPSCFSCCPQQWQHSAELPPRDLYQHEELCRPNPGHSCCSPHHSYAASPQWSVTSNLQAHVHETMSCDQMYRPEVKKHFKEKPVLTRRHYRPVAGGAPFVTCNKCLKLLQLPADFLLFKRVFHQLKCGACQEIMLHILMIMEILLLKEMPYR >Vigun08g113000.4.v1.2 pep primary_assembly:ASM411807v1:8:27941785:27947815:-1 gene:Vigun08g113000.v1.2 transcript:Vigun08g113000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAPKPKPRLVLCPKCWQLLPESPDYDVYKCGGCGTTLQAKKRRSKAVNSESSTHETDAAPRNAVDTKADDKQYNNEEKLLRYQENGVKKKLTSSSSGKGSLDGHDRRVQIENGECNGAQLVASRVNGFNEKTATSSSGEFSSSENGGRDQINDGEFNGKQLVTSQENGFGGKTSSSSSGECSLVGNGGRYLVEDGECDGEQPVTSQENGLSEKAASSSSQECSSNGNVERNEIEDGKYNGEHPVISQENVLGENAASSSSGECSLNGNGGRNQIEDGKYTGEQPIISQENGLREKAASSSSGEYSLDGNGGGNQIEDGKYNGEQTVISQESGLMEKTSGSSLGEFSLDENDGKDQIENGERNVERIEHVNLPDETLKNEMDIYKSSDMRSQSGCSDELTQFEKHASAELMAESSVENAKNTNLHLKGECNGNVPLEGAGEQLISALDKEDANDEKSASVHVKPVAGNDLQVVQQELFCGSDGEAVNNDKLELFGANPKVVINGSREARSEELHDRNLLLEVTEEQLSVSALNGEDLKHPQSDLVGAKSEVDNAGNTSKAKRLSTEEGSISCAYPSELEEGSFGNHASSKTIAHSFDRVRSVDTFDNTEVINPGFETSGTLGGFSKSSTTRSYHAYDGSVSSNDGVDDQFPNQYSDSFENNYAVAGGVFEGGSKKGKGIVNSMLHGDLETLNRYFHEGRPYVQRDRRMNINEVPESTRHDHAHWMRTKRDEFPPRIPHHRSGSQSGYESGSTSNQIIDEFYCGSSYLSHDSYDDPDQEKMKLLRLVYKLQDQLNRTSYVSGETNGRLSMGSHMSAYQSHDLHERRFYHGLDYTRCDGICSHGINWCQKHNFSRAPYSTEPTCSIHHVDPSCFSCCPQQWQHSAELPPRDLYQHEELCRPNPGHSCCSPHHSYAASPQWSVTSNLQAHVHETMSCDQMYRPEVKKHFKEKPVLTRRHYRPVAGGAPFVTCNKCLKLLQLPADFLLFKRVFHQLKCGACQEVLKFSLHNKSHIVSYASNAAEPQNGVIYGSNPPSESRANNHHSPHADHASYSDDYGNSASEGDAIPLDPLNGSEYDKPIVSSGTLETITEKEKTAVRGPVTSEASVETDKSNMISSNIAPEIEAHLQPKSSPLHQLMGYSSPSQVVRGIP >Vigun08g113000.3.v1.2 pep primary_assembly:ASM411807v1:8:27941784:27947815:-1 gene:Vigun08g113000.v1.2 transcript:Vigun08g113000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAPKPKPRLVLCPKCWQLLPESPDYDVYKCGGCGTTLQAKKRRSKAVNSESSTHETDAAPRNAVDTKADDKQYNNEEKLLRYQENGVKKKLTSSSSGKGSLDGHDRRVQIENGECNGAQLVASRVNGFNEKTATSSSGEFSSSENGGRDQINDGEFNGKQLVTSQENGFGGKTSSSSSGECSLVGNGGRYLVEDGECDGEQPVTSQENGLSEKAASSSSQECSSNGNVERNEIEDGKYNGEHPVISQENVLGENAASSSSGECSLNGNGGRNQIEDGKYTGEQPIISQENGLREKAASSSSGEYSLDGNGGGNQIEDGKYNGEQTVISQESGLMEKTSGSSLGEFSLDENDGKDQIENGERNVERIEHVNLPDETLKNEMDIYKSSDMRSQSGCSDELTQFEKHASAELMAESSVENAKNTNLHLKGECNGNVPLEGAGEQLISALDKEDANDEKSASVHVKPVAGNDLQVVQQELFCGSDGEAVNNDKLELFGANPKVVINGSREARSEELHDRNLLLEVTEEQLSVSALNGEDLKHPQSDLVGAKSEVDNAGNTSKAKRLSTEEGSISCAYPSELEEGSFGNHASSKTIAHSFDRVRSVDTFDNTEVINPGFETSGTLGGFSKSSTTRSYHAYDGSVSSNDGVDDQFPNQYSDSFENNYAVAGGVFEGGSKKGKGIVNSMLHGDLETLNRYFHEGRPYVQRDRRMNINEVPESTRHDHAHWMRTKRDEFPPRIPHHRSGSQSGYESGSTSNQIIDEFYCGSSYLSHDSYDDPDQEKMKLLRLVYKLQDQLNRTSYVSGETNGRLSMGSHMSAYQSHDLHERRFYHGLDYTRCDGICSHGINWCQKHNFSRAPYSTEPTCSIHHVDPSCFSCCPQQWQHSAELPPRDLYQHEELCRPNPGHSCCSPHHSYAASPQWSVTSNLQAHVHETMSCDQMYRPEVKKHFKEKPVLTRRHYRPVAGGAPFVTCNKCLKLLQLPADFLLFKRVFHQLKCGACQEIMLHILMIMEILLLKEMPYR >Vigun08g113000.1.v1.2 pep primary_assembly:ASM411807v1:8:27941785:27947815:-1 gene:Vigun08g113000.v1.2 transcript:Vigun08g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAPKPKPRLVLCPKCWQLLPESPDYDVYKCGGCGTTLQAKKRRSKAVNSESSTHETDAAPRNAVDTKADDKQYNNEEKLLRYQENGVKKKLTSSSSGKGSLDGHDRRVQIENGECNGAQLVASRVNGFNEKTATSSSGEFSSSENGGRDQINDGEFNGKQLVTSQENGFGGKTSSSSSGECSLVGNGGRYLVEDGECDGEQPVTSQENGLSEKAASSSSQECSSNGNVERNEIEDGKYNGEHPVISQENVLGENAASSSSGECSLNGNGGRNQIEDGKYTGEQPIISQENGLREKAASSSSGEYSLDGNGGGNQIEDGKYNGEQTVISQESGLMEKTSGSSLGEFSLDENDGKDQIENGERNVERIEHVNLPDETLKNEMDIYKSSDMRSQSGCSDELTQFEKHASAELMAESSVENAKNTNLHLKGECNGNVPLEGAGEQLISALDKEDANDEKSASVHVKPVAGNDLQVVQQELFCGSDGEAVNNDKLELFGANPKVVINGSREARSEELHDRNLLLEVTEEQLSVSALNGEDLKHPQSDLVGAKSEVDNAGNTSKAKRLSTEEGSISCAYPSELEEGSFGNHASSKTIAHSFDRVRSVDTFDNTEVINPGFETSGTLGGFSKSSTTRSYHAYDGSVSSNDGVDDQFPNQYSDSFENNYAVAGGVFEGGSKKGKGIVNSMLHGDLETLNRYFHEGRPYVQRDRRMNINEVPESTRHDHAHWMRTKRDEFPPRIPHHRSGSQSGYESGSTSNQIIDEFYCGSSYLSHDSYDDPDQEKMKLLRLVYKLQDQLNRTSYVSGETNGRLSMGSHMSAYQSHDLHERRFYHGLDYTRCDGICSHGINWCQKHNFSRAPYSTEPTCSIHHVDPSCFSCCPQQWQHSAELPPRDLYQHEELCRPNPGHSCCSPHHSYAASPQWSVTSNLQAHVHETMSCDQMYRPEVKKHFKEKPVLTRRHYRPVAGGAPFVTCNKCLKLLQLPADFLLFKRVFHQLKCGACQEVLKFSLHNKSHIVSYASNAAEPQNGVIYGSNPPSESRANNHHSPHADHASYSDDYGNSASEGDAIPLDPLNGSEYDKPIVSSGTLETITEKEKTAVRGPVTSEASVETDKSNMISSNIAPEIEAHLQPKSSPLHQLMGYSSPSQVVRGIP >Vigun11g191400.2.v1.2 pep primary_assembly:ASM411807v1:11:39072063:39080272:1 gene:Vigun11g191400.v1.2 transcript:Vigun11g191400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHASSISHFSSLSPSLCPCNAAFPFSRRTRKRLFANFGSRKFRRKRTVRFCALPSDASNPNWNSEFASAARRTAATFVLKRISDELHQDSNGNSDVDETELDDSPSPSSSSSPPSAAVQLGSNFTGFREDPIVDKLRTQLGVIHPIPSPPINRNVVGLFVFFFFVGVVFDKLWTSRRRSKSSGEDGLRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPKLFVRPKKIVLDFQKGKAVGPVAGDVKSGEMQGNKDSVGELSVTLVDARKLSYIFYGKTDPYVVLGLGDQIIRSKKNSQTTVIGPPGMPIWNQDFHMLVSNPRKQKLFIQVKDALGFADLTIGTGEVDLGSLKDTVPTDKIVVLQGGWGFLGKRSCGEILLRLTYKAYVEDEEDDKTEMGSIYTDVSDDELSDSETNVTDEREERNSLYETDKESFMDVLAALIVSEEFQGIVASETGFGKVLDNGSNAASKVSKSPVPKAEPTASSSDNSEGSGGSGGSALLWLAVITSISLLIALNVGGSNLFNP >Vigun11g191400.1.v1.2 pep primary_assembly:ASM411807v1:11:39072063:39079803:1 gene:Vigun11g191400.v1.2 transcript:Vigun11g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHASSISHFSSLSPSLCPCNAAFPFSRRTRKRLFANFGSRKFRRKRTVRFCALPSDASNPNWNSEFASAARRTAATFVLKRISDELHQDSNGNSDVDETELDDSPSPSSSSSPPSAAVQLGSNFTGFREDPIVDKLRTQLGVIHPIPSPPINRNVVGLFVFFFFVGVVFDKLWTSRRRSKSSGEDGLRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPKLFVRPKKIVLDFQKGKAVGPVAGDVKSGEMQGNKDSVGELSVTLVDARKLSYIFYGKTDPYVVLGLGDQIIRSKKNSQTTVIGPPGMPIWNQDFHMLVSNPRKQKLFIQVKDALGFADLTIGTGEVDLGSLKDTVPTDKIVVLQGGWGFLGKRSCGEILLRLTYKAYVEDEEDDKTEMGSIYTDVSDDELSDSETNVTDEREERNSLYETDKESFMDVLAALIVSEEFQGIVASETGFGKVLDNGSNAASKVSKSPVPKAEPTASSSDNSEGSGGSGGSALLWLAVITSISLLIALNVGGSNLFNP >Vigun11g002800.1.v1.2 pep primary_assembly:ASM411807v1:11:273766:277815:1 gene:Vigun11g002800.v1.2 transcript:Vigun11g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNNAKKLSYISVPSQIINSISSSSLQSLLESPKKCARHSKFFSFFKWGRPRLFLFILSLLAFFTMLKLGFNLATPFSPFPCATSVGFSKSLPGGVVLSRKSGVLDGGDDTVSEPLITSVQLHAQVPRGVETGEEIEKSEFWEQPDGLGYKPCLGFSREYRRQSEGVVKNRRRYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWEDESEFADIFDLEHFKSVLANDVRVVSALPSTHLMTRPVEGSPPPHATPSWIRSHYLRRFNREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFDALRFAKPVQELGNNIAERMKSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIVKTERINRPELLTAKSNMTYHERKLAGLCPLNAVEVTRLLKALGAPKSARIYWAGGQPLGGKEALQPLINEFQNLYSKEDLALPEELEPFANKASLMAAIDYIVSEKSDVFMPSHGGNMGHAIQGHRAYAGHKKYITPNKRHMLPYFLNSSLPEEEFNRIIKELHQDSLGQPELRTSKAARDVTKYPIPECMCNDS >Vigun04g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4137852:4139800:1 gene:Vigun04g048000.v1.2 transcript:Vigun04g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNTYQTWTQTGSFMASIMFIYAMFMRLVPSTLQTRVRRYTNKFTTFLYPYIRITFHEFTGERLIKSEAYAAIQTYLSEHSSQSATRLKGEVVKVKDTYNPVVLSIDENEEIVEEFQGVKLWWGSYKITPKTHSFSVFRSSDEKRFYKLTFHKRHRGLITETYLRHVLEEAKAIETKNRKLKLYTNSSTRWSHVGFEHPATFETLAMNPKEKEGIMNDLVKFKSGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFMNYDVYDLELTAVKDNSDLRRLLINTSSKSIIVIEDIDCSLDLTGQRKKKKKKEKVEGRERKSSDEDDDDDDEERGSKVTLSGLLNVVDGIWSACGGERIMVFTTNFVEKLDAALIRRGRMDKHIELSYCCYEAFKVLAKNYLDVESHHLFPRIGKLLEETKITPADVAENLMPKSVDEEVDT >Vigun11g159400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36707091:36708666:1 gene:Vigun11g159400.v1.2 transcript:Vigun11g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFIGLVSSPEMSNVSRRKMTTTRIHIMALDGIVNVNSLFTLALFLGITTTSTTNTLIDADNTDCAAGTSISEGLIAFHVYSFSSFLFSSLIALALKNVINFSKDVDDGDVLGANNNNSWVHSVAKINTVVLRLGTLVSAFGSVFGCGFLVVALVDLVQIKLGTIACGSPHTFAAVAPLLVLVPSALLIYVFLVLYAFTR >Vigun05g296800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48152640:48155168:-1 gene:Vigun05g296800.v1.2 transcript:Vigun05g296800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNEYSSLPISSYVELQRQNESSKSLRIPSFDETEVYLGSKIEDSEDDEYMEPDIDNYPLVMVGSNKGSGIPGAVFNLTTTVIGAGIMALPATMKVLGLVLGIILIILMGILSEISVELLVRFSVLCNASSYGEVVQHAMGKPVRILSEICIIVNNAGVLVVYLIIMGDVMSGSSHHMGVFDQLMGNGAWDQRKIVVLVVMVVFLAPLCSLDKIDSLSLTSAASVALAVLFVVVAFTVAFIKLVEGKIDSPRMAPDLSSKTAILDFLVAIPIMTNAFVCQFNVQPIYNELEQRSPQKMNTVGRYTTVLCIIVYASTSISGYLLFGKDTEADVLTNFDKDLGIRYSSVLNYVVRIGYILHLILVFPVIHFSLRQTVDALLFEGSPPLSESKKRSLGLTAVLLVLIYIGSTMIPNIWTAFKFTGATTAVSLGFIFPSLISLRLRHLGDLSNGEWVLSWLMLVLAVTVSIVGVVSNVYSLESNS >Vigun09g105901.1.v1.2 pep primary_assembly:ASM411807v1:9:19756401:19759729:-1 gene:Vigun09g105901.v1.2 transcript:Vigun09g105901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQKSEFEFCKACNINHDQGLRHKYFPNHKKSLSTFLSRFRKKLSDVRFFLNAPTPLDPQLASRNRFWCVFCDQDVHEHSSSFACANAIRHLASVQHMKNLRHFFWKYGAAADQLDAFMFSDDDVTKWERKCEARKDEASEGSRRTVVGPSSDDQMSIRYLVQDSLEWLMLLPRRKRTLMQNLLLCQIFWVYVERRSHSLPHDGIQWSSNGYSGHKQGRDNGRMVSGESIHEGVQLITQISSVPTESADKKVYSEAPPPWIHTTEAVQMHSNSNKLGKSKMLNPNRVGAAWVEKRKIEMEKEKRGETKRNGCDANWLPNFGRVWQSGSRRESRKEFEREKQLQKLNVETESEMAIKIQPYVSKRMRMDSGGDNGSR >Vigun09g105901.2.v1.2 pep primary_assembly:ASM411807v1:9:19756401:19759729:-1 gene:Vigun09g105901.v1.2 transcript:Vigun09g105901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSSTPLPLSIPNSLLAIASGVSSATKTSTNTPVPLPDSANAIRHLASVQHMKNLRHFFWKYGAAADQLDAFMFSDDDVTKWERKCEARKDEASEGSRRTVVGPSSDDQMSIRYLVQDSLEWLMLLPRRKRTLMQNLLLCQIFWVYVERRSHSLPHDGIQWSSNGYSGHKQGRDNGRMVSGESIHEGVQLITQISSVPTESADKKVYSEAPPPWIHTTEAVQMHSNSNKLGKSKMLNPNRVGAAWVEKRKIEMEKEKRGETKRNGCDANWLPNFGRVWQSGSRRESRKEFEREKQLQKLNVETESEMAIKIQPYVSKRMRMDSGGDNGSR >Vigun03g010700.1.v1.2 pep primary_assembly:ASM411807v1:3:740265:745502:1 gene:Vigun03g010700.v1.2 transcript:Vigun03g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, Primary root developmen [Source: Projected from Oryza sativa (Os11g0544800)] MASAIFRSFQVHPFLLYPSTLLRQRNGVYHLTTKATQSQTDTQQRQPQTKVSTSSTQSKKLDKIPKNYEAIIGIETHVQLSTLTKAFCGCPYHYGSPPNSSICPICMGLPGALPVLNSKVIEFAVKLGLALNCNLAFNSKFDRKQYFYPDLPKGYQISQFDVPIASAGFLDVDIPVEFGGGHKRFGITRVHMEEDAGKLLHAENGNYSQVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSIRPIGQSKFGTKVEIKNLNSFSSVTRAIDYEISRQVQLHSQGQEDQIVQETRLWEEGSQRTITMRKKEGLADYRYFPEPDLPAVILSQEYVDDIIKSLPELPEIKRRRYEQMGLSMQDVLFLANDKNIAEFFDATLAKGADPKLVANWIMSDIAAFMKNEKLTINEIKLTPEELSELIASIKGGTISGKIGKEVLFELLAKGGTVKGLIEKRTWFR >Vigun08g199600.1.v1.2 pep primary_assembly:ASM411807v1:8:36453994:36457559:1 gene:Vigun08g199600.v1.2 transcript:Vigun08g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGAKALTTSLQSLDLNSPFNVKSKSSIEIARPQFPGFLSKKTKPPSLVSLCVGVIGRHLEDIIVDLSEIADNLPADIKIAVAAIARRRKLLNDDVLIALADTSWEILDVSGSDVSDSGLIKAAEVCRFIKALDISRCTKITATGISELVKHCHLLETLRCGGCTRSDNTARRCLGIFKPRFDDYVEEDSWEELDTKELASGAQSLRWLVWPNIDKISLEDFSTECPRVVVNPKSSPFGFMGTEVPREALQDIILDNEVVKDIDPRTWTVHRFALKHITPSSSGSTELSVAEKFRLAFVERDNRLAPKRAKNARQHQRRAVRELMLMSTRAKAMVLASQVSKSLHGRSS >Vigun07g047000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4863100:4863657:-1 gene:Vigun07g047000.v1.2 transcript:Vigun07g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIPFLLLIVVSNIVIANATFESNEDNNVVIDSAQQRLITNIKNKRFHVLPKGPVPPFAPSHGCSIPPCPPSNFVNNIKSKGFHVLPKGPVPPSAPSHGCSTPPCPPSNAVNNIKSKGFHVLPKGPVPPSAPSHGCSTPPCPPSNVVNNIKNKGFHVLPKGPVPPSGPSRPCTHPPCGNRVN >Vigun02g027150.1.v1.2 pep primary_assembly:ASM411807v1:2:9366316:9366564:1 gene:Vigun02g027150.v1.2 transcript:Vigun02g027150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTMNLLFFFSATNSLLHFLFSFFFPFCGGRINAFWVLYLPALVNSVDYKDSLL >Vigun10g189600.1.v1.2 pep primary_assembly:ASM411807v1:10:40457780:40461528:-1 gene:Vigun10g189600.v1.2 transcript:Vigun10g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANAEGNILIERFHGVPAEERLHWRSFLVKLGADNLKGVKNEELLVACHKSVYIVYTVLGDVSIYVVGKDAYDELALSEVIFVITSAVKDVCGKPPSERLFLDKYGRICLCLDEIVWKGYLENTEKDRIKRLIRLKPPTEF >Vigun07g278800.1.v1.2 pep primary_assembly:ASM411807v1:7:39379326:39384111:1 gene:Vigun07g278800.v1.2 transcript:Vigun07g278800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNLLRACFRPGSEGFSRTGSDAGGRQDGLLWYKDSGQHLNGEFSMAVIQANNLLEDQSQIESGSLSSNESGPYGTFIGIYDGHGGPETSRFINDHLFHHLKKFTSEQQSMSVDVIRKALQATEEGFISVVANQWSLSPQIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGEVLAMQLSAEHNASIESIRQELHSLHPDDPNIVVLKHNVWRVKGLIQVSKSIGDVYLKKAEFNREPLYAKFRLREPFKMPILSSEPSISVHHLQPNDQFIIFASDGLWEHLSNQEAVDIVQNSPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDTTVVVVYLDSNVVSRTSTAKFPGISVRGGGINLPHNTLAPCTTPTEISGT >Vigun03g252000.1.v1.2 pep primary_assembly:ASM411807v1:3:41819809:41821296:1 gene:Vigun03g252000.v1.2 transcript:Vigun03g252000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKLSSLMLCLLLAFVHGATAEQCGNQAGGALCPNGLCCSKFGWCGDTDSYCGEGCQSQCKSGPKPTPTPSTPTPSTGGDITTLISSSLFDQMLKYRNDGRCAGRGFYTYNAFIAAARSFSGFGTTGDDNTRKKEIAAFLAQTSHETTGGWPSAPDGPYAWGYCFINEQNQGVYCDGGGWPCAAGKKYYGRGPIQLTHNYNYGQAGSALNLDLTNNPDLVATDATVSFKTALWFWMTAQSNKPSSHAVITGRWTPSSADTSAGRVPGFGVITNIINGGIECGHGQDDRVQSRIGFYQRYCQLMGINTGGNLDCNGQRPFA >Vigun10g128400.1.v1.2 pep primary_assembly:ASM411807v1:10:33636554:33639721:1 gene:Vigun10g128400.v1.2 transcript:Vigun10g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLKYVLLLFYCTFFSFNLLTTPGCALNIGAETTGVAVSVSKECSRQCESSFCSVPPLLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDQCVQSKNNDYLSQECSQALINCMNSFKNSRAPTFKGNTCNVDDVVEVIHVVMEAALLAGGILHKP >Vigun02g018400.1.v1.2 pep primary_assembly:ASM411807v1:2:6446460:6453411:-1 gene:Vigun02g018400.v1.2 transcript:Vigun02g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSAADACKGGTAEFPAGLRVLVVDDDATTLRIIEQMSIRCRYRVTTCTEATVALNLLRERKGCFDVVLSDVHMPDMDGYKLLEHVGLEMDLPVIMMSGDSTTSAVMKGIRHGACDYLIKPVREEELRNIWQHVVRKIWNENKEHDNSGSMEDSDWNIRGNDDIEYTSVADEAEVVKAPKKRSSLKEEDIELESDDPATSKKPRVVWSVELHQQFVSAVNQLGLDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQNGMLNGVPGTIESKLSATGRFDIQALAAAGHVPPETLAALHAELLGRPATNIMSTADQATLLQASIGLKHSHAEHAVAYGQPFVKCPSNIGKDFPLSFLTANDVSTGYGAWPSSNNTMGSVRPNNSVERVGTQNNNILMDILDHQQRQQPQQKQQQHHQQQEPLIHDQSCSINVQPSCLVVPSQSCDTLQAVNSSASVHQNCSFGRNAIIDYSLLSQKSNNLVSAAQFSGGDTKARSVLYTHTTPSTCSMSSINGSIRQLQNSNLTFGGARPFPSPLPMSDRQDPYGLKSGDSFDQVCLRNIGFIGKGTCVPNRFVQNEMESPVSDFSQMKVNVDSTDNTLKEEPNFINIQKVSRPIVERYQSCDHSSVFTE >Vigun11g220900.1.v1.2 pep primary_assembly:ASM411807v1:11:41306999:41310025:1 gene:Vigun11g220900.v1.2 transcript:Vigun11g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQRLRLVLMIKVLLLMSCVNVRGLQHNYGEALSKSILFFEGQRSGKLPPTQRMTWRKDSALHDLYLLPPHTPTAFPFPHKVDLVGGYYDAGDNVKFNFPMAFSTTMLAWSVIEFGKFMGPDLKHALDAIRWGTEYFLKATSIPGIVFAQVGDPYADHNCWERPEDMDTPRTAFAVSRDHPGSEVSAEIAAALAASSIVFRKYHTAYSARLLQRAIMVFEFADRYRGSYNDSLGEWVCPFYCDFGGYLDELVWGAAWLLKATKRPYYLDYIHQNIHNLKNFAEFGWDTKDAGINVLLSKLLINSSSNSKPFIFNADKFVCGVVPESPSVSVKYSPGGLLFKPGGSNLQHTTAISFLFLVYAGYMKKTNKEIDCGGEVFASPTRLKQIARSQVDYILGSNPLNMSYMIGYGAKYPEKIHHRASSLPSVDEYPPHIGCKEGSFYFESQNPNPNLLAGAVVGGPYSNDLYADSRVDFAHSEPTTYINAPLVGVFAYFNSHSS >Vigun04g091000.2.v1.2 pep primary_assembly:ASM411807v1:4:16542812:16553281:1 gene:Vigun04g091000.v1.2 transcript:Vigun04g091000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFFHSPLLLKIEKRQKFPFPAVRSNGAETELCNQSSQRSRVKLTRDWSFMRGSRVAMKPKILRLAPFPKAPRVYASWLSGSELASTAFTLGTAAVLPFYTLMVLAPNSELTKKSMESSVPYIGLGVLYAYLLHLSWTSETVGLIFASKYLLPETCFSGWT >Vigun04g091000.4.v1.2 pep primary_assembly:ASM411807v1:4:16543158:16553281:1 gene:Vigun04g091000.v1.2 transcript:Vigun04g091000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKRQKFPFPAVRSNGAETELCNQSSQRSRVKLTRDWSFMRGSRVAMKPKILRLAPFPKAPRVYASWLSGSELASTAFTLGTAAVLPFYTLMVLAPNSELTKKSMESSVPYIGLGVLYAYLLHLSWTSETVGLIFASKYLLPETCFSGWT >Vigun04g091000.1.v1.2 pep primary_assembly:ASM411807v1:4:16542812:16553281:1 gene:Vigun04g091000.v1.2 transcript:Vigun04g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFFHSPLLLKIEKRQKFPFPAVRSNGAETELCNQSSQRSRVKLTRDWSFMRGSRVAMKPKILRLAPFPKAPRVYASWLSGSELASTAFTLGTAAVLPFYTLMVLAPNSELTKKSMESSVPYIGLGVLYAYLLHLSWTSETVGLIFASKYLLPELTSIGKMFSSEMTLASAWIHLLVIDLYAARHVFLDGLENEIETRHSVSLCLFFCPIGVLTHVITKATTKSSRENKHGL >Vigun04g091000.3.v1.2 pep primary_assembly:ASM411807v1:4:16543158:16553281:1 gene:Vigun04g091000.v1.2 transcript:Vigun04g091000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKRQKFPFPAVRSNGAETELCNQSSQRSRVKLTRDWSFMRGSRVAMKPKILRLAPFPKAPRVYASWLSGSELASTAFTLGTAAVLPFYTLMVLAPNSELTKKSMESSVPYIGLGVLYAYLLHLSWTSETVGLIFASKYLLPELTSIGKMFSSEMTLASAWIHLLVIDLYAARHVFLDGLENEIETRHSVSLCLFFCPIGVLTHVITKATTKSSRENKHGL >Vigun06g057200.1.v1.2 pep primary_assembly:ASM411807v1:6:18394708:18398532:1 gene:Vigun06g057200.v1.2 transcript:Vigun06g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKGCSIFNEPCFVAKKFLARPQHEGVGAVVRRSIGRFELKYFDPFLMLDEFSVTAPAGFPDHPHRGFETVTYMLQGAITHEDFEGHKGTIEAGDLQWMTAGRGIVHSEMPVAQGTQKGLQLWINLASKYKMIEPRYQEVLSKDIAEGEDDGVKVRVIAGESLGIKSPIYTMTPTMFLDFSLKPGAHLQQPIPETWNAFVYILEGEGIFGNMKSQPSNSHHILLLAHGDGLEAWNKSSKLLRFILVGGEPLEEPLVQFGPFVMNTQEEIDQTINDFENFANGFEKARDWRSEKEMD >Vigun03g389700.2.v1.2 pep primary_assembly:ASM411807v1:3:59625540:59627618:-1 gene:Vigun03g389700.v1.2 transcript:Vigun03g389700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSHSSSSNGDDHPNAKNHLGRTEFSAGLSKIRLIQNDNSTSGGGCSSQFGGSSSLFGPSSGNNHTSGNNTSTTFWGSDNSHTSGVRDGEEFSQGEILNAPNSRVFTFAELRAATKNFRADSVIGEGGFGRVYKGFIRGEGLTIAIKKWNPGSLQGVAEWQSEVNFLGRLSHPNLVKLLGFGRENSELFLVYEFMHRGTLDNHLFGRGANVRPLSWDTRLKVMIDAARGLNFLHSMEMKIVHRDFKPSNILLDETFTAKLSDFGLAKCFSSLDQTHVTTRVVGTGGYAAPESFESEK >Vigun03g389700.1.v1.2 pep primary_assembly:ASM411807v1:3:59625540:59627618:-1 gene:Vigun03g389700.v1.2 transcript:Vigun03g389700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSHSSSSNGDDHPNAKNHLGRTEFSAGLSKIRLIQNDNSTSGGGCSSQFGGSSSLFGPSSGNNHTSGNNTSTTFWGSDNSHTSGVRDGEEFSQGEILNAPNSRVFTFAELRAATKNFRADSVIGEGGFGRVYKGFIRGEGLTIAIKKWNPGSLQGVAEWQSEVNFLGRLSHPNLVKLLGFGRENSELFLVYEFMHRGTLDNHLFGRGANVRPLSWDTRLKVMIDAARGLNFLHSMEMKIVHRDFKPSNILLDETFTAKLSDFGLAKCFSSLDQTHVTTRVVGTGGYAAPEYVATGRLKVKSDVYAFGIVVMEVLTGKRMQDIRRLLKQKSLSDWVKSNIVNRGKIGMSMDAKLEGLYPTNLALEVAQLGLKCIQTEVNVRPSMKEVVERLEQIEADNQNPAGNSYKRRRVNNAISKMVVN >Vigun09g109700.1.v1.2 pep primary_assembly:ASM411807v1:9:23435749:23444165:1 gene:Vigun09g109700.v1.2 transcript:Vigun09g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEIMEKRGVSASSHFLDDISYASEKNIGLRKPKSIHDHFIQGKSEMTASPGSILNTSSPRSTNGQSGLPISHTTLSGEITGDLHFGREAGTIEMLKDSTESRNYTKRSWSNVHRQPASSSYGLIGSKIVTNAASRESSLFSSSLSEVFSQKLRLLGNGMSSGQPITVGSLPEEEPHKSLGEIEADTIGNLLPDEDDLFSGVTNELGCSTRARMNDDFEDFDLFSSSGGMELEGDELLIPGKGTSFIDEDPDYFGASKGKIPFGEQSSRTLFVRNINSNVEDSELKALFEQYGDIRTIYTACKHRGFVMISYYDLRAAQNAMKALQNRSLRSRKLDIHYSIPKGNAPEKDIGHGTLMISDLDSSVLNDELKQIFGFYGEIRDIYEYPQLNQVKFIEFYDVRAAEASLRALNGICFAGKHIKLEPGHPMIATCMMQQSQKGQDEPDLGHSLSDNISLRHKAGVSSRFIASAGSLENGYNQGFHSATQLPAFIDNSLFNMNSNIHKTARGASTGKVSGVFESSNTIDAMKFASVSRFHPHSLPEYRDSLANGSPYNYSSTINMAANIGNGSTESSESRHIQGINSTGNLAEFNAGGNGNRSNHGLYHMWNGSNLHQQPPSNAMLWQKTPSFVNGAGSPCLPQIPSFPRTPPHVLRASHIDHQVGSAPVVTASPWERQHSYLGESPDGSGFRLGSVGSPGFHGSWQMHPPASHNIFSHVSGNGTELTSNTGQGSPKQLSHVLPGRLPMNLVSKFDSSNERMRNLYPRRNEPNTNNNADKKQYELDLGRILRGDDSRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFLYLPIDFKNKCNVGYAFINMIDPGQIIPFHKAFNGKKWEKFNSEKVAVLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPLGNNIRVRPGKSRANGSEENRSQGNPSSFANGEESGNGMESSSIS >Vigun03g062200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5120519:5123156:-1 gene:Vigun03g062200.v1.2 transcript:Vigun03g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCEVEAIGIDYTIHTHKSEHPFKIFSKSAQLDTEQDGKEPEGEAEAEQSCSGVRHVLKKVSFQAKPWEILAIVGPSGAGKSSLLEILAGKHSPQSGTVLVNHKPVDKAQFRKLSGYVTQKDTLFPLLTVEETLMFSAKLRLKLSQEELCSRVKSLIKELGLDHVAGTRIGDDRVRGISGGERRRVSIGVEVIHEPKVLILDEPTSGLDSTSALQIIDMLKVMADTRGRTIILSIHQPGFRIVKLFNSLLLLANGSVLHHGTAELLSVNLRLMGLELPLHVNVVEFAIESIDTIQQQQKCEPVQVETPRLLPGTMQQKKIDDEAGEIRNGKFTLQQLFQQSKVIDEETIFVGMDFTCDFANSRLRETMILTHRFSKNIFRTKELFACRTIQMLVSGLVVGSIFCNLKDDLEGAYERVGLFAFILTFLLSSSIEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAILFSMPLYWLVGLNRNFLAFLHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVIAGVIGSFFLFSGYFISKEEIPNYWIFMHYISLFKYPFEGFLINEFSNSGKCLEHMFGACLKSGEDVLEEEGYGGESNRWKNVGVTVCFILVYRFISYVILRYRCSQRRFGKVITN >Vigun06g188500.2.v1.2 pep primary_assembly:ASM411807v1:6:30690209:30691483:-1 gene:Vigun06g188500.v1.2 transcript:Vigun06g188500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNVSNIYFFIYYSTQSYLLYFILRVINQFPFLCVYSFKYYIVHIIIMFLFKQKEEKKENVEKKEAEKAIILKALVHCEGCSDKICKCLKGLAGVKHVEIDKEQQRVIVKGEAVNNPYQVLERLQKKYSKNVELISPKPKPVNQQKNIQDKKEQDKIKTMVLKMYVHCEGCVRDVKNKIEKMEGVDSVEVDKENSLVIVKGTVEGTKLVEAVKKKLSKNAEIMKEEIRREPRKEVNDNEKGREPIIIYSYPPQYGTQHIYPNQTFNDENVFACSVM >Vigun02g129400.1.v1.2 pep primary_assembly:ASM411807v1:2:28095309:28101923:1 gene:Vigun02g129400.v1.2 transcript:Vigun02g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKILVIGGTGYIGKFIVKASTEAGHPTFVLVREKTVSDPQKSILIETFKTSGATLLYGDLNDHESLVKALKEVDVVISAVGGQQTDDQVKLIAAIKEAGNIKRFLPSEFGIDVDRHNAVEPLINFFDKKVKIRRTIEAEEIPYTYVSSNAFAGWFLPTLGQQDVTAPPRDKVTVLGDGNVAGVYVSEEDVGTYTIKAVDDQRTLNKSLYLRPPENVLTFNQLVSLWENKIKSTLEKVYIPEDQLLKNIQESPFPTTFNLALGHSLLVKGDCTNFEIDPSFGVEGSQLYPEVKYTTVDDYLNAFV >Vigun01g031800.1.v1.2 pep primary_assembly:ASM411807v1:1:3983942:3995013:-1 gene:Vigun01g031800.v1.2 transcript:Vigun01g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGLFASAAINMGLAFLILSLFSVLKKRPSNASVYYARPLSHRRQIPVHFPSSSLRRFLPSLAWVFRAFRVTEAEILDAHGLDSLVIIRLFKFGIKFFAVCSLVGLVVLLPVNYGAQEVQNGSHFTMDSFTISNVKIGSNRLWVHFACLCFISFYGMFLLYKEYNEILIRRIQQIQKLKHRPDQFTVLVREIPLCIEHKARDCCVDHFFRRHYPNTYYSYQMVYKTEELEESVSQAKSLSRKIEDMRKSCIANKRENRLSLSGLLHGNTSKADSDLLEEKLQALSHKIHQLQRRDMLQKKELAVAFVIFKSRCGAAAAADLQQHSHPLLWITEAAPEARDVSWRNMRVSYRVVPLYRLGVVIAASLLTVFFAIPVTAVQGIAKYEKLKKWFPPASAVQLIPGLSSIVTGFLPSVVLKAFIYIVPFTMFAMAKIAGCIARSKEEIKACNMVFYFLVGNVFFWSVLSGSLLDLIGQFITQPKAIPTHLAGAVSAQADFFVTYILTDGLSGFSLEVLQPGMLIWDILKSCVYGSQRDKSPYLYSFPYFRIIPLVSLSVLIGIVYAVVAPLLLPFLIVYFCLGYVVFVNQIQDVYETTYETCGQYWPYIHHYILLAIILMQITMIGLFGLKLKPAASISTIPLITFTLMFNHYCKMRFLPSFHHYSLLDAAESDEVDEKCGLLELHCENAMHAYCPPGLRPVNFMASESSSTPLVSS >Vigun01g031800.2.v1.2 pep primary_assembly:ASM411807v1:1:3983942:3995013:-1 gene:Vigun01g031800.v1.2 transcript:Vigun01g031800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGLFASAAINMGLAFLILSLFSVLKKRPSNASVYYARPLSHRRQIPVHFPSSSLRRFLPSLAWVFRAFRVTEAEILDAHGLDSLVIIRLFKFGIKFFAVCSLVGLVVLLPVNYGAQEVQNGSHFTMDSFTISNVKIGSNRLWVHFACLCFISFYGMFLLYKEYNEILIRRIQQIQKLKHRPDQFTVLVREIPLCIEHKARDCCVDHFFRRHYPNTYYSYQMVYKTEELEESVSQAKSLSRKIEDMRKSCIANKRENRLSLSGLLHGNTSKADSDLLEEKLQALSHKIHQLQRRDMLQKKELAVAFVIFKSRCGAAAAADLQQHSHPLLWITEAAPEARDVSWRNMRVSYRVVPLYRLGVVIAASLLTVFFAIPVTAVQGIAKYEKLKKWFPPASAVQLIKEEIKACNMVFYFLVGNVFFWSVLSGSLLDLIGQFITQPKAIPTHLAGAVSAQADFFVTYILTDGLSGFSLEVLQPGMLIWDILKSCVYGSQRDKSPYLYSFPYFRIIPLVSLSVLIGIVYAVVAPLLLPFLIVYFCLGYVVFVNQIQDVYETTYETCGQYWPYIHHYILLAIILMQITMIGLFGLKLKPAASISTIPLITFTLMFNHYCKMRFLPSFHHYSLLDAAESDEVDEKCGLLELHCENAMHAYCPPGLRPVNFMASESSSTPLVSS >Vigun03g408200.1.v1.2 pep primary_assembly:ASM411807v1:3:61520884:61528125:1 gene:Vigun03g408200.v1.2 transcript:Vigun03g408200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSFSSSIVPSSIPHHKSTKIHTQDSTFRVTCFAISSPPSKPVVKKRHWKKGEFPGTSETSLDDSTRRSPIKNIKKKLEKKNSAKAWVNTVTEALSEQIDKKQWLQALQVFDMLREQTFYKPKEGAYMKLIVLLGKSGQPHRAHQLFTTMIEEGLEPTPYLYTALLAAYCRSNLIDEAFSVLNEMKRLPLCQPDVFTYSTLIKVCVDAFKFDLVELLYEEMAERAITPNTVTQNIVLGGYGKAGKFDQMEKVLSSMLESITCKPDVWTMNTIISVFGNMGQIDMMEKWYEKFRNFGIEPETRTFNILIGAYGKKKMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADAGDVRHMECTFDQMRAEGMKADTKTFCCLINGYANAGYFHKVISSVQLAGKFEIPENIAFYNAVLSACAKADDLMEMERVFKRMKDNQCQPDETTYMIMIEAYRKDGMNDKIYYLEQEKQTMITDNKIVSHYEDGIFSSDS >Vigun03g035700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2744386:2745623:1 gene:Vigun03g035700.v1.2 transcript:Vigun03g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTMFACFGGKVSHKKAKVEAVEVRKGVYRDESREKERVKKSVRFAEVEATIMGEENENEKVVGEKEGVRVRVRLTKEEAARLLSKCNEGPLHFKDIAHQLLFVPVDRLCIQESL >Vigun10g190300.5.v1.2 pep primary_assembly:ASM411807v1:10:40506328:40508524:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKGADVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun10g190300.6.v1.2 pep primary_assembly:ASM411807v1:10:40506324:40508990:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKDVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun10g190300.4.v1.2 pep primary_assembly:ASM411807v1:10:40506324:40508962:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKGADVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun10g190300.3.v1.2 pep primary_assembly:ASM411807v1:10:40506312:40508989:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKGADVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun10g190300.2.v1.2 pep primary_assembly:ASM411807v1:10:40506317:40508250:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKGADVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun10g190300.1.v1.2 pep primary_assembly:ASM411807v1:10:40506324:40509474:1 gene:Vigun10g190300.v1.2 transcript:Vigun10g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRMSLVSVTTASSSELFLYRTLYHSHVFSFPLHRRFQPLSSSAVLRRLPPCRATSPGSPLPPSDNDSSNLKGADVGASLSKIQDRIQIFFAVLFWLSLFFWASAWDGRNRPNKGSRFRR >Vigun02g004200.2.v1.2 pep primary_assembly:ASM411807v1:2:2129659:2131415:-1 gene:Vigun02g004200.v1.2 transcript:Vigun02g004200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSKESEIPMEVEKVDDDAYRSAVLLCFSRVLPAILNAAIDMNLFDVIAKAKSCSDDSSFSASEIASLLPNQHPQLANRLERMLPLLVSYSLLRCSIRTDEDGKRERVYALTPVGQYFAYDDQGISLAPLSTLLHRGFHDLCNHFESVFGMLSYQYMEKDAELNQMFYKAMAHAGPIEVKRVLKVYKGFEGLSTLVDVGGGVGETLKLILSAYPSIKGINFDLPQMIQDAPPHPGVEHVGGDMFESVPNGDAILVKSICHNWADEDCIKFLRNCHKALPPHGKVIVLDYIIPEVPNSSDASKHSTIVDNHMLLAHGGRERTETEFESLCKSSGFSKFHVACSDISATVGVMEFYK >Vigun02g004200.1.v1.2 pep primary_assembly:ASM411807v1:2:2129659:2131414:-1 gene:Vigun02g004200.v1.2 transcript:Vigun02g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSKESEIPMEVEKVDDDAYRSAVLLCFSRVLPAILNAAIDMNLFDVIAKAKSCSDDSSFSASEIASLLPNQHPQLANRLERMLPLLVSYSLLRCSIRTDEDGKRERVYALTPVGQYFAYDDQGISLAPLSTLLHRGFHDLWKDAKGAFLDPNCSNHFESVFGMLSYQYMEKDAELNQMFYKAMAHAGPIEVKRVLKVYKGFEGLSTLVDVGGGVGETLKLILSAYPSIKGINFDLPQMIQDAPPHPGVEHVGGDMFESVPNGDAILVKSICHNWADEDCIKFLRNCHKALPPHGKVIVLDYIIPEVPNSSDASKHSTIVDNHMLLAHGGRERTETEFESLCKSSGFSKFHVACSDISATVGVMEFYK >Vigun03g085100.1.v1.2 pep primary_assembly:ASM411807v1:3:7063841:7065423:-1 gene:Vigun03g085100.v1.2 transcript:Vigun03g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHTALLKLTSIFIFIASAPELGGSSKTFTLVNYCKETIWPGVTRSDNHSGDGFTLKSGQSTVYTAPDGWSGRIWARTGCNFDSGGNGKCQTGGCGSSMNCSGPGNPPATSADFSLGEIDYYDVSLVNGFNLPIVVKAMNGTGNCSTVGCDGDLRQNCPSELASKDSDKVIGCRSACDVFNTDEYCCRGTYGNPATCLPSNYSKIFKQVCPAAYSFALDDPTSLITCSKADFVVIFCGSRNQTTCSYHDKQVACNRSKGYKAIPQSWWILMLPFTYMFTLWIMP >Vigun01g175700.1.v1.2 pep primary_assembly:ASM411807v1:1:35695700:35696864:-1 gene:Vigun01g175700.v1.2 transcript:Vigun01g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Vigun01g175700.2.v1.2 pep primary_assembly:ASM411807v1:1:35696307:35696864:-1 gene:Vigun01g175700.v1.2 transcript:Vigun01g175700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKYALNYFLLVF >Vigun02g171000.1.v1.2 pep primary_assembly:ASM411807v1:2:31400795:31407664:1 gene:Vigun02g171000.v1.2 transcript:Vigun02g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMEEEEDMEIEEPLMFVAHEIDLDYEFDAVRFFDFSVQETPDQALQAQQWFQSAVSYPPSPFVAKLVVREDESEGLECATTVHGAKPNNVPSGIGFLRSVFHYDGGSKGGDESGNISALLSGVPRDVNGNLLQVTPGLTFSSKTINGNLSSKVKSAAMKGSTLMKPTASQLAKQNRPHQIVSSRFQKVQDGNKKMNSSTSFGIECQAAKRQKLEGGSLHKVGDVKQHVNFVHKAPKKVASVDQNYGHSKLKITIPREPDLETAHRAQRIRPKNAAEAEVVTVAAPRFKARPLNRKILNAPSLPFSKRSTPRLPEFQEFHLKTQERAMQHTSASSSSSLHCNDSNKDLDKSTTVSAQENRIGDLRRPSAMGAPKHDGLDFAHSFKARPLNKKILSSKGDIGVFRNRKQETTVPMEFNFHTEKRIQHNPPIELFSKMSLTSEVHSNNGSHLKLPRHSKVFREDSKENIGSSFQGNAKETPFIFGGKQIHSRREGCDPEAGTLFTARRSLGIR >Vigun09g074400.1.v1.2 pep primary_assembly:ASM411807v1:9:8381728:8386106:1 gene:Vigun09g074400.v1.2 transcript:Vigun09g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKIMFHSRFIFYVMIISMFLLVLSSLFLLQFSSHSLIPRSVLELILVNNASLYFMPKLKRDQNVLTPYPSGDLNFQSQKSRESNCQASDSSKKTSSAVQKMNVASHPVHPLLRVFMYDLPPEFHFGLLGWKGSLNQTWPEVDNPEGIPRYPGGLNLQHSIEYWLTLDLLSSNTVNVGHSCSAIRVQDSSQADVIFVPFFSSLSYNRHSKLHGEEKVSVNKMLQHKLVQFLMDQKEWKRSGGKDHLIIAHHPNSLLDARKKLGSAMLVLADFGRYPPQLANIKKDVIAPYRHLVGTIPRAKSVSYEERTTLVYFQGAIYRKDGGAIRQELYYLLKEEKDVHFSFGSIGGNGINQASHGMALSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRASDAVKKGYLLNLLRSIKREEWNKMWERLKEITPHFEYKYPSQPGDAVNMIWQQVARKISSIRFNLHRKNRYQRSQLRVKTN >Vigun09g074400.2.v1.2 pep primary_assembly:ASM411807v1:9:8381732:8386106:1 gene:Vigun09g074400.v1.2 transcript:Vigun09g074400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKIMFHSRFIFYVMIISMFLLVLSSLFLLQFSSHSLIPRSVLELILVNNASLYFMPKLKRDQNVLTPYPSGDLNFQSQKSRESNCQASDSSKKTSSAVQKMNVASHPVHPLLRVFMYDLPPEFHFGLLGWKGSLNQTWPEVDNPEGIPRYPGGLNLQHSIEYWLTLDLLSSNTVNVGHSCSAIRVQDSSQADVIFVPFFSSLSYNRHSKLHGEEKVSVNKMLQHKLVQFLMDQKEWKRSGGKDHLIIAHHPNSLLDARKKLGSAMLVLADFGRYPPQLANIKKDVIAPYRHLVGTIPRAKSVSYEERTTLVYFQGAIYRKDGGAIRQELYYLLKEEKDVHFSFGSIGGNGINQASHGMALSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRASDAVKKGYLLNLLRSIKREEWNKMWERLKEITPHFEYKYPSQPGDAVNMIWQQVARKISSIRFNLHRKNRYQRSQLRVKTN >Vigun05g109901.2.v1.2 pep primary_assembly:ASM411807v1:5:11330605:11333303:-1 gene:Vigun05g109901.v1.2 transcript:Vigun05g109901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEFWLACAFALLASSLASAAIVEHTFRIQNTTIKRFCQEQVIVTANGLFPGPTINVTEGDTVIVHVLNEGPYDITLHWHGVFQRFSIWADGPEYVTQCPIPPNSKYTYKFNVTQQEGTLWWHAHATYLRATVHGAFIIHPRSGKFLFPKPYKQVPIILDLYNSNVEDITAEAQVTGGGPNTSYAFTINGLTSGLLNCTEDETFKMKVKHGKTYMLRMINAALNYDLFFKIANHNFTVVAVDASYTEDYYTDIIVIAPGQSADVLFTANQPNGSYYMVASPYVVNFDDHDKNIARGTVIYDNAPPSSEPIMPTMTPADDTDTTFKFYGNVTGKVGAPHWVPVPVDVDEHMLVTIGFNLELCDSKNASNKSCAGELGHRTSASMNNESFAIPAGIKMSMLEAFYNNVSGVYTTDFPDKPPLMFDFTNLDNAYNTSLLFAPKSTKAKKLTFNSTVEIVFQNTALLLGQNHPMHLHGYDFHVLAQGFGNFDNDTDRANFNVNNPQLRNTVGVPLGGWAAIRFRADNPGVWLMHCHMEDHVPWGLAMTFEVGNGPMPSTSVPPPPADMPKCYPSSGLNLQIFDKKSLTPSLSLGLFGYVSLSVGILGYVSYVMVIFMVIQRL >Vigun05g109901.1.v1.2 pep primary_assembly:ASM411807v1:5:11330605:11333303:-1 gene:Vigun05g109901.v1.2 transcript:Vigun05g109901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEFWLACAFALLASSLASAAIVEHTFRIQNTTIKRFCQEQVIVTANGLFPGPTINVTEGDTVIVHVLNEGPYDITLHWHGVFQRFSIWADGPEYVTQCPIPPNSKYTYKFNVTQQEGTLWWHAHATYLRATVHGAFIIHPRSGKFLFPKPYKQVPIILGDLYNSNVEDITAEAQVTGGGPNTSYAFTINGLTSGLLNCTEDETFKMKVKHGKTYMLRMINAALNYDLFFKIANHNFTVVAVDASYTEDYYTDIIVIAPGQSADVLFTANQPNGSYYMVASPYVVNFDDHDKNIARGTVIYDNAPPSSEPIMPTMTPADDTDTTFKFYGNVTGKVGAPHWVPVPVDVDEHMLVTIGFNLELCDSKNASNKSCAGELGHRTSASMNNESFAIPAGIKMSMLEAFYNNVSGVYTTDFPDKPPLMFDFTNLDNAYNTSLLFAPKSTKAKKLTFNSTVEIVFQNTALLLGQNHPMHLHGYDFHVLAQGFGNFDNDTDRANFNVNNPQLRNTVGVPLGGWAAIRFRADNPGVWLMHCHMEDHVPWGLAMTFEVGNGPMPSTSVPPPPADMPKCYPSSGLNLQIFDKKSLTPSLSLGLFGYVSLSVGILGYVSYVMVIFMVIQRL >Vigun04g045400.1.v1.2 pep primary_assembly:ASM411807v1:4:3958184:3959768:-1 gene:Vigun04g045400.v1.2 transcript:Vigun04g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPEPVSYICGDCGMENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Vigun09g090583.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12522103:12523537:1 gene:Vigun09g090583.v1.2 transcript:Vigun09g090583.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVMLVIKVVVVVVVVVLVVVVVVVVVVVVVVMVMVMVMVMVMVVVVVMVVVVVVKVVLMVVVVMLVMVMVDVVVVVVVVVVRHVVVMVVVVDVVVMVVLVVVVVVVMLVMVVVEVVVMVVVMLVVVVVAKVVVVMVEVGGKKLMSSNKL >Vigun07g093100.1.v1.2 pep primary_assembly:ASM411807v1:7:14894582:14901640:-1 gene:Vigun07g093100.v1.2 transcript:Vigun07g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESSSTPPPKFRFRDHSLMESQNSSDSTSDAVSVTHTHADLLIESITARGIKHLCDELLELKEAANEDLQRNIYAKYSTFLRVLEEAREMENELEQMENYFVSHRRRVKDLIDRIYPKILSIDITFEDHVNVVPSPPRELEALVNECLENLDIRMSENKIDEALHFLESAEEHYQSLLLQDCSHSEMMLYESAISEKKSMLIQQLAQIAENKRTAGPELQRALAKLCRLGDYQLAIDLLLKHYHLRIVTGTDNLQWAKLSSCELYIRELSRFVFSIISQAARSFVMLCGENSPCTSELMLWAYEETKSFIICFDKYIKGTSAMNGGLSSAIKAVKFAVIYCSLLENQKLVLQPYLVKHLCPCVEEILNTHIKHFKKVIAIFSVSDPWILEKYLVSGVFVVAGSSTLAVGEQHDYCLLTTSGRKVLTLLQAIIEDISPLVSLQMGSLVISGITNLFTEYIAILERALAYETSSTEQGSPRMKFAESLPQQVSILANLSTLVRFLSIMVKNIFSCTDQAELQVSENLSIVHRHQGLNDFLLFIEKASNKLRNVFCQQLILRVLCTYHRHEIFSDSHCNGQLEANTIHNPTPSGIFQVLFLELRKIEQLEEEGVFEVNWLMGLLRELMEFMFIWVSENKEILATEEENVSSQTDEAKQFLLDVQFLVEIGMYGGYFSDDPLLLLTLMKSTFNSAGLDPFKDVDEDDWAIDIATKTIQKLLEIEKASLQPEEHLVGITEESHEHENQIKQSAYGSDFSEEVDTNSSENNLDAERDEVAKHETEVTFDSKTASPDIVFSPEEGIITEGSLC >Vigun09g257300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42316665:42317575:-1 gene:Vigun09g257300.v1.2 transcript:Vigun09g257300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSAAVAIPSFTGLKASGATKASAAVKVSVPQAPRFGIKASLKDLGAAVVATAASAVLASNAMAVEVLLGSSDGGLVFVPSDFSVASGEKIVFKNNAGFPHNVVFDEDEVPSGVDAGSISMPEEELLNAPGETYSVTLSAKGAYSFYCSPHQGAGMVGKVTVN >Vigun06g047201.1.v1.2 pep primary_assembly:ASM411807v1:6:17183217:17185189:1 gene:Vigun06g047201.v1.2 transcript:Vigun06g047201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQKTVMGLILLLFVLGVSAWTGEIHGRVVCDVCGDSSLGPEDHVVEGCLTQRSKFVIQQKNTKVWRSSKLSGIHRCQGDIHSGRDNAGE >Vigun10g158000.1.v1.2 pep primary_assembly:ASM411807v1:10:37732323:37740514:-1 gene:Vigun10g158000.v1.2 transcript:Vigun10g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFHPQGAPKHSCTLLAVTCGTSFGEHKSSQNQHEYPFPELVSAGRLEVQTLRNPDKEQFRKVLESYQPNFVYLQGEQLENGRVGSLVWQGLELSTSEDITELFGSTLPTAVYLEIPNGESFAESLHLKGVPYVIFWKNTISSYAACHFRQAFLSVVQSSSTHTWDAFHLARASFELYCVQNNQLLSTDSHGALSEMGPHLLGECLKINVDPSEIDEEDDDENSSGTLPAIKIHEDEVNLRFLICGAPSTVDETLLRSLEDGLRALLTIEIRGCKLHGKFSAPPPPLQAATFSRGVVTMRCDISTCSSAHISLLVSGSAQTCFNDQLLESHIKNEIIEKSQLVHAQLNNEGNKQNISEPRRSASIACGAPVFEICMKLPQWALQILRQLAPEVSYRSLVALGIASIQGLPIASFEKNDAERLLFFYQSCEKDSGTSKDNIIFSSPPGWLKPPPPRRKRCEPSQGASLGLHDGVFAGHGAVCKVNEEEKDRKMANGISTPLTPARQRLKVSAMRPIPHIRRHRMTPFCGPSEADGFDGGQVEANLPLIAPAKRSTGSASATHRKSFSSAAQSKQVISLNPLPLKKHGCGRGPVQTCSEEEFLKDVMEFLILRGHNRLIPQGGLAEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMRNYTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSVTNFKKKQNVGNGYSQGSMPSRLL >Vigun05g003700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:302119:303840:1 gene:Vigun05g003700.v1.2 transcript:Vigun05g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTHPPTTPNTDQITFSRTFHPTKSHPFGQVSLPTRKNHLSPLNHSIVISGSALGITAANNVNNNVSNITARPSLANFWREVQGCNNWENLLDPLHPLLRQEIIRYGEFVTASYKAFDLDPTSKRYLNCKFGKKRMLSEVGMSNSGYEVTKYIYATPDINLPNMSNPSFSSSSGRWIGYVAVSSDEAVKRLGRRDILITFRGTVTNQEWISNLMSSLRPAMLDPYNPLPEVKVESGFLSLYTSDESSSKFGLESCREQLLSEVSRLMNKYRGENERLSISLAGHSMGSALAILLAYDIAELGLNKKKSNKKTATEVVPVTVFSFGGPRVGNSEFKNRCEELGVKVLRIANVNDPITKLPGVVFNENFRVLLGGKYEFPWSCSCYAHVGVELMLDFFNVQNPSCVHDLDTYIGLLRRPKNDEVLKQQFDGVNSLLEKAREFLSGSQTMKILPGVVTACNYHDLLNSVSRDIVCSWSDELLFGLVLLLL >Vigun03g072100.9.v1.2 pep primary_assembly:ASM411807v1:3:5927695:5932546:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSQFVSSRRMEVYDPIHQISMWEENFKSNANTLTASTSIIEELDIKFDNQVQAQSEEVSHGIFGTSVKYNQDHNRLIDKTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.12.v1.2 pep primary_assembly:ASM411807v1:3:5927236:5931657:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.11.v1.2 pep primary_assembly:ASM411807v1:3:5927236:5931628:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.8.v1.2 pep primary_assembly:ASM411807v1:3:5927695:5933746:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSQFVSSRRMEVYDPIHQISMWEENFKSNANTLTASTSIIEELDIKFDNQVQAQSEEVSHGIFGTSVKYNQDHNRLIDKTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.7.v1.2 pep primary_assembly:ASM411807v1:3:5927695:5933747:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSQFVSSRRMEVYDPIHQISMWEENFKSNANTLTASTSIIEELDIKFDNQVQAQSEEVSHGIFGTSVKYNQDHNRLIDKTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.1.v1.2 pep primary_assembly:ASM411807v1:3:5927688:5933761:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSQFVSSRRMEVYDPIHQISMWEENFKSNANTLTASTSIIEELDIKFDNQVQAQSEEVSHGIFGTSVKYNQDHNRLIDKTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.10.v1.2 pep primary_assembly:ASM411807v1:3:5927236:5932070:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun03g072100.6.v1.2 pep primary_assembly:ASM411807v1:3:5927236:5932604:-1 gene:Vigun03g072100.v1.2 transcript:Vigun03g072100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSQFVSSRRMEVYDPIHQISMWEENFKSNANTLTASTSIIEELDIKFDNQVQAQSEEVSHGIFGTSVKYNQDHNRLIDKTQRRLAQNREAARKSRLRRKAYVQQLESCRLKLMQLEEEVDHAKRQGLYIGDGLGSNNLGFAGSVNSGIATFKMEYELWVEEQNRHILEIRTALSSEIGDMQLGKLVQSIMNHYSKLFTMKSAAAKADVFYVMSGMWMTTAERFFLWIGGFRPSELLKVLIPLSEPLTEQQRFDTYGLEKSCQQAEDALSQGMEKLQQMLADSVGPGHLVEGTHIPQMDTAMERLEALVSFVNQADHLRQETLRQMYRILSIREIGQFLVVLGEYFQRLRALSKLWENRSQDHLIANKYQ >Vigun09g242700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41181964:41182710:1 gene:Vigun09g242700.v1.2 transcript:Vigun09g242700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKKLKYLDAIIKETLRLYPAAPLNLPHESMEDCTVGGYDVASGTRLLTNISKLQRDPSLYPNPHEFYPERFLTSEKEVDVRGQHFELIPFGAGRRMCPGTSFGLQVMQLTLATLLHGFDIATNDGGPVDMVEQIGFTNTRASPLQVILTPRLSSHIYDQI >Vigun08g002600.1.v1.2 pep primary_assembly:ASM411807v1:8:271964:278024:1 gene:Vigun08g002600.v1.2 transcript:Vigun08g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRIIAKNFMDMVASMPAMKLDKLYENGFICEAILRSLPPLAKKYIIQMLQIDVPVAAKLLEEWVLPDGVSKHRVAIDRLVQLRVFLEAVDRKNEKTYKVNPTYQRSLQKLLVQGGTLPRESMPSNITVRLPTLENLEAYALEQWECFLLQLISPTQVDKPLNISSSLMKVFQRRLLSHRDKELPKLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDAAELISFMLELSFHVIGEAYSINTLTDFQRSIINDLADLGLVKLQQGRKGSWFIPTKLATNLSMSLSDSSARKQGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITADQIVTFLQQNAHPRVADRIPSVPENVTDQIRLWEADLNRVEMTDAYYYDEFPSRDVFEGACDCAREWNGLLWEDSKKMHMVVKTEVHPYVRDYLRRQK >Vigun08g002600.2.v1.2 pep primary_assembly:ASM411807v1:8:273721:278024:1 gene:Vigun08g002600.v1.2 transcript:Vigun08g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNITVRLPTLENLEAYALEQWECFLLQLISPTQVDKPLNISSSLMKVFQRRLLSHRDKELPKLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDAAELISFMLELSFHVIGEAYSINTLTDFQRSIINDLADLGLVKLQQGRKGSWFIPTKLATNLSMSLSDSSARKQGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITADQIVTFLQQNAHPRVADRIPSVPENVTDQIRLWEADLNRVEMTDAYYYDEFPSRDVFEGACDCAREWNGLLWEDSKKMHMVVKTEVHPYVRDYLRRQK >Vigun04g093600.1.v1.2 pep primary_assembly:ASM411807v1:4:18661820:18662701:-1 gene:Vigun04g093600.v1.2 transcript:Vigun04g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTGRIPLWIIGTVTGITVIDLIGIFFYGSYSGLGSCAQRL >Vigun01g012500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1392934:1393890:-1 gene:Vigun01g012500.v1.2 transcript:Vigun01g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFGLFLMTFSWVSFTFPGSDGQSVKSAHVLDLIIRDHTFKALDKNLRTAIPQSVELPANLSGIGVDAVRFRCGSLRRYGAHLKEFHLGTGVTVHPCIERVMLIRQNMGHNWSSIYYANYDLSGYQLVSPIVGLLAYNADDDVDADDNANSSNPFQLGIVAGERPMTIDFTNATKLNKEDGIRIKPLCASFEGDGRMTLAKGDPSAPLVCVAKRHGHFGLVVESSAPDEFRNKPISRWKVAVGSTIGAALGAFLLGLLLVAMLVRVKKRSRMVEMERRAYEEEALQVSMVGHVRAPTAHGTRTTPIIEHHYRPHPR >Vigun06g081300.1.v1.2 pep primary_assembly:ASM411807v1:6:21313126:21317771:1 gene:Vigun06g081300.v1.2 transcript:Vigun06g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKIGIRIPNPTISNATRRTVVAFSSSSGFGDGGRGRGRGGSLPGSGPFNFNERAPGKPSSSEPNSDITESPIPPGSGHGHGRGKPMPQSGLPSFSSFLSSINQPPAGRGRATVPHRQSDFQPPAGRGRATAPEPPNDLGPPEPKKPIFFTREDRVSPTATDGVPVDVGHLNKLPGGILEVLSGLGRGKFMKQPDQETRATEENRHLRPPRAPGAVASDTLPEKQPIQSRDNAVRNARRFLSQSEDDGSGPGRGRGFGDRGGFGRGRGRGRGRGRGMGRGGLRDRDVDERRGTLKDAEDPYATGLYVGDDADGEKLAKKFGQEIMNQLTEGFEEMSDRVLPSPLQDEYLDALDINYAIEFEPEYLVEFDNPDIDEKEPIPLRDALEKMKPFLMAYEGIQSQEEWEEIMEETMARVPLLKKIVDHYSGPDRVTAKKQQEELERVAKTLPESAPSSVKQFTNRAVVSLQSNPGWGFDKKCHFMDKLVSEVSQHYK >Vigun04g118800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30390977:30394170:-1 gene:Vigun04g118800.v1.2 transcript:Vigun04g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKIQFLFLTLFLAATVVTAIDRGSTLSASSTSNQTWSSPSGTFSLGFISVQPPTTPPSYIAAISYTGGDPVVWSAGHGAAVDSGGSLQFLSSGNLRLVNGSGATVWESATAGATSAALEDSGNLVISNGTSTVWSSFEHPTDTLVQSQNFSVGKVLTSESYFFSLSGIGNLTLKWNNSIEYWTQGLNSSVNISLESPVLSLNTIGLLELSDVNLGSNPVLVAYSSDYDLNANVFRVLKLDNDGNLRIYSTNLGGGTSTVRWAAVANQCEVYSYCGNYGVCSYNDSSTVCGCPSENFEMVDPNNGRKGCRRKVSLDSCQGSATMLTLDHAVVLSYPPTSETFFIGLSACRTNCLSGSSACFASTSLSDGSGQCVLRSIDFVSGYQDPSLPSTSYFKVCPPLAPNPPSSSAGTVRERRSKVPAWVVVVVVLGTLLVLLALEGGLWMWCCRNNKRFSGLSAQYALLEYASGAPVQFSYRELQQATKGFKEKLGAGGFGAVYRGTLVNKTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFLTEQHSGKLLNWEYRYNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYVSKVSDFGLAKLINPKDHRHRTLTSVRGTRGYLAPEWLANLPITSKSDVYSFGMVLLEIVSGRRNFDVSDETNRKKFSIWAYEEFERGNISGILDKRLAAHEVDMEQVRRAIQASFWCIQEQPSQRPTMSRVLQMLEGVTEVEKPPAPKSVIEGTVSGTSTYLSSNASAFSTVGVSPPGPSSASSFQISGVSTFNSGRTPEKATSSLLQSDT >Vigun11g163200.1.v1.2 pep primary_assembly:ASM411807v1:11:37075632:37076403:-1 gene:Vigun11g163200.v1.2 transcript:Vigun11g163200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSSFAFHLCLYSSNTMAIKKPLNLVFFIFLVSLPFVQSEFKKTTLHFLKVVFRSVKSQTNTQVARFGNEAASSTGTVEDSAREVPTGPDPLHHNNHPIGH >Vigun11g163200.2.v1.2 pep primary_assembly:ASM411807v1:11:37075631:37076403:-1 gene:Vigun11g163200.v1.2 transcript:Vigun11g163200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSSFAFHLCLYSSNTMAIKKPLNLVFFIFLVSLPFVQSEFKKTTLHFLKVARFGNEAASSTGTVEDSAREVPTGPDPLHHNNHPIGH >Vigun03g312400.1.v1.2 pep primary_assembly:ASM411807v1:3:50528153:50532963:1 gene:Vigun03g312400.v1.2 transcript:Vigun03g312400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSPNHSSEEGADPTPFLGASSDDSNSGRRLLRRPSLREAARFLRQASGRRLMREPSMVVREAAAEQLEERQSDWAYSKPVVVLDILWNFAFVAAAATVLILSRDENPNMPLRLWIVGYAMQCVLHVACVCFEYRRRQRRREQSSAAVAVGGSGDLSSASMEGSGHYVSLPQLEDDGISMAKHLESANTMFSFIWWVVGFYWISADSEALVQHSPLLYWLCIAFLGFDVFFVVFCIALACIIGIAVCCCLPCIIALLYAVADQEGASKEDIEQLSKFKFQRTETNEKLDGNTQGTAGGIMTECDTDSPIEHVLSDEDAECCICLSAYDDGVELRQLPCGHHFHCSCVDKWLHINATCPLCKYNILKSTSHGQDEV >Vigun06g035450.1.v1.2 pep primary_assembly:ASM411807v1:6:14912115:14916675:-1 gene:Vigun06g035450.v1.2 transcript:Vigun06g035450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLSLLLHQLSFSSRHTLPFSWVFHHLTQLIASFPFCFRFQVLAPRVGYLPLVISLVKPQFSSMLPHGLDTVWFDYNGFPLKWCIPTGILSDLLCMELERLWNLTMM >Vigun09g196700.1.v1.2 pep primary_assembly:ASM411807v1:9:37130338:37134967:-1 gene:Vigun09g196700.v1.2 transcript:Vigun09g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCIREIEGKQAHDPLFNKPSSSSSSSSSCSTVWVEGPVIVGAGPSGLAAAACLREKNMESVILERSNCIASLWQLKTYDRLRLHLPKQFCELPFMPFPSHFPTYPSKQQFVEYLETYARKFKIKPSFNETVKHAEFDAKRALWRVKSVDKAENTTEYLCRWLIVATGENAEAVVPDIEGVEEFGAPIRHTSLYKSGQEFRGKRVLVVGCGNSGMEVCLDLCNHNATPSLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMRLVDRFLLMVSWLMLGDTSELGLDRPCLGPLELKNMSGKTPVLDVGTLAKIKGGDIKVRPGIKRLKRHTVEFVDGRTENYDAIILATGYKSNVPYWLKEEDMFSKEDGYPVTPFPNGWKGKNGLYAVGFTKKGLLGASMDAKRIAQDIERCLEAETNHRTSFARSHLPQPNS >Vigun05g155401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25034083:25043915:-1 gene:Vigun05g155401.v1.2 transcript:Vigun05g155401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLFCSPSLLHFLCLLYLIHIFTSSFLLFVLMSTSSVFTYTDARFFFHLCYFIHRFTSPASPDLALFWFAIRLGHWHSSSAQVVTSTYLLRLIASSLLRACPHLGCLLPSDAHALQAFNLAR >Vigun05g155401.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25043541:25043915:-1 gene:Vigun05g155401.v1.2 transcript:Vigun05g155401.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLFCSPSLLHFLCLLYLIHIFTSSFLLFVLMSTSSVFTYTDARFFFHLCYFIHRFTSPASPDLALFWFAIRLGHWHSSSAQVVTSTYLLRLIASSLLRACPHLGCLLPSDAHALQAFNLAR >Vigun05g155401.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25038205:25043915:-1 gene:Vigun05g155401.v1.2 transcript:Vigun05g155401.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLFCSPSLLHFLCLLYLIHIFTSSFLLFVLMSTSSVFTYTDARFFFHLCYFIHRFTSPASPDLALFWFAIRLGHWHSSSAQVVTSTYLLRLIASSLLRACPHLGCLLPSDAHALQAFNLAR >Vigun08g068800.1.v1.2 pep primary_assembly:ASM411807v1:8:10651400:10662352:-1 gene:Vigun08g068800.v1.2 transcript:Vigun08g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPPTPLGAQSVPSLLRSNSGMLGGQGGPVPSQTSFPSLVAQRNQFNNMNMIGNMSNVTSLLNQSFPNGIPNSGLAGHGSSQRGGGVDAGAESDPLSGVGSGINFGNTLQSNLGNPGSSGQGQGQQFSNASGNQMMSDQQHSQQLELQSFQHSQQSMQQFSAPLNAQQQQHFQSIRGGMGGVGSVKLEPQVNNDQFGQQQQQLPSRNLAQVKLEPQQLQTMRNMAAVKMEPQHTDQQFLHQQQQQQQQQQQQQQLLHMSRQSSQAAAAQMNHLLQQQRLLQYQQHQQQQQQQQQLLKAMPQQRPHLPQQFQQQNLRSPVKPPYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQISQLGAVAQKYQAITQNATPNLSVPELQSNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMDSLAKFPRRTSGSSGLHSQAQQSEDHMQQQSQPQQPLPHMVPHTSNGDQNSVQTAAMQITSTNGVTNVNNSVNAASASTTTSTIVGLLHQNSVNSRQNSMNNASSPYGGSSVQIPSPGSSGNVPQAQPNASPFQSPTPSSSNNPQTSHQALTSANHMGTANSPANIPLQQQQTSLPAEADTTDAQSSVQKIIHEMMMSSQMNGPGGMAGAGSLGNDMKNVNGILPGSNNNGGLVGNGAVNSNSGVGVGGYGTMGLGPSGMSNGMRPVMGHNSMMNGRGGLASLAREQVMNQQDLSTQLLSGLGAVNGFNNLQFDWKPSP >Vigun07g223900.16.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.13.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.11.v1.2 pep primary_assembly:ASM411807v1:7:34595950:34601297:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.5.v1.2 pep primary_assembly:ASM411807v1:7:34595953:34599299:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.15.v1.2 pep primary_assembly:ASM411807v1:7:34595957:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.18.v1.2 pep primary_assembly:ASM411807v1:7:34595956:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.17.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34599299:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.1.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34599299:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.12.v1.2 pep primary_assembly:ASM411807v1:7:34595950:34601297:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.9.v1.2 pep primary_assembly:ASM411807v1:7:34596143:34599209:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.6.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.19.v1.2 pep primary_assembly:ASM411807v1:7:34597077:34599275:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.8.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34599275:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.10.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.4.v1.2 pep primary_assembly:ASM411807v1:7:34595943:34599299:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.2.v1.2 pep primary_assembly:ASM411807v1:7:34595950:34601285:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.3.v1.2 pep primary_assembly:ASM411807v1:7:34595942:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRAIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.7.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMNKVKCDLNLSNEDSHKTWCIDDVSVFILPSKLGYEIYQCKLIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g223900.14.v1.2 pep primary_assembly:ASM411807v1:7:34595963:34601099:1 gene:Vigun07g223900.v1.2 transcript:Vigun07g223900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKRKKASSTAGAGSSPETVMSEAIEPSSRGTIRATEKKPPLPLDSSSTKQVKQDVNKISQSMLIKLYNFCTRRPKANEELSVVVSMFGVHLNRRDIYTMKPKGWVSNMVILAAGKIMMEEEKETNGTVTRHIFSPQFMIFAPTIFEEHWSCYVLEPKDKTLYVLDSMHDKFSTSKKNLDDATKRRFEELLVLMNPGSTKENVSITLVYADVPRQKNIHDCGIYVLKYMEIWDGSVKWQDKTMPDYEYKEMVQFRQSLLCGWVQHPRNEVREEILKAAGVWGKLS >Vigun07g248600.1.v1.2 pep primary_assembly:ASM411807v1:7:36820500:36824272:1 gene:Vigun07g248600.v1.2 transcript:Vigun07g248600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSPRLLSFLYCLLITNFISQVSAANNTQNFHYFCDHDNDRGDYTANSTYHTNLNTLLSTLISNTEIDYGFYNFTKGENTDKVYAIGLCRGDVEPENCRTCLNGSRANLTELCPNRKEAIGWYEDEKCMLRYSDRYIFGLNETGKPTYYAHNEDSPTDLHQFNEDVNTLLYNLSRRAASGDSRVKYAADNISSGSNGPIYGLVQCTPDLEESECADCLSRSIERIPMDCCKDSKGGRVVRPSCHMRFETSYKFYGDPAFVPSPPPPPPGKTITIIVIAIAVTVVVIVSVFVYIRFKRRKSWKKFESATNDFSDSNKLGQGGFGAVYRGTLPNGQEIAVKRLSANSRQGDAEFKNEVLLLAKLQHRNLVRLLGFCIEGREKLLVYEFVPNKSLDYFIFDETKRAKLDWDTRFKIIAGTARGILYLHQDSRLRIIHRDLKAGNILLDEEMNPKIADFGLARLFVVDQTHEDTQRVVGTYGYMAPEYLIGQFSQKSDVFSFGVLILEIVSGQKISSIQHGEETGYLCHIAWRRWSEGRARDIVDATLNNGSESEIMRCIHIGLLCVQDNAAARPTMASVVAMLTSHSFGLPLQVPTAPAFYGNAKSGIFADMQLWEINSGTTRSNETTNRSDQDSLNEASITEPYPH >Vigun10g076600.5.v1.2 pep primary_assembly:ASM411807v1:10:20737479:20740035:1 gene:Vigun10g076600.v1.2 transcript:Vigun10g076600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSSCSKAIVDTSRSWPPRVSIRVSDRPEFFFSPRPWNDKKGCNLWLLKVVPIKGVFPVHAVPSKDQVDLEAAEPEAENNKQTNESKFVRVAFGLQKNCEFGEQFLIVGDDPSLGSWDPLEALPMTWSEGHIWTAEVDMPAGKLIQFKFILKGKEGDIIWQPGSDRVIQTWETVNTITVCEDWEYAELQTIAEADQLAEPDKETPNDSEVSASAEILDNPHNELDSNASEVTTAEDSKFYEIPLAETVTDNSSSSLTEIRRRAIARNIIPDEEFFKDTSSKWNEKIEPSEESADLGNNGSIASLEDEEGTVVESSLLFEFVGDPVLVPGLTIPPTEPSNEASQGEEVQEKTSKDISVEASETDQDRNPPEVNA >Vigun10g076600.2.v1.2 pep primary_assembly:ASM411807v1:10:20737451:20740973:1 gene:Vigun10g076600.v1.2 transcript:Vigun10g076600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDLEAAEPEAENNKQTNESKFVRVAFGLQKNCEFGEQFLIVGDDPSLGSWDPLEALPMTWSEGHIWTAEVDMPAGKLIQFKFILKGKEGDIIWQPGSDRVIQTWETVNTITVCEDWEYAELQTIAEADQLAEPDKETPNDSEVSASAEILDNPHNELDSNASEVTTAEDSKFYEIPLAETVTDNSSSSLTEIRRRAIARNIIPDEEFFKDTSSKWNEKIEPSEESADLGNNGSIASLEDEEGTVVESSLLFEFVGDPVLVPGLTIPPTEPSNEASQGEEVQEKTSKDISVEASETDQDRNPPEFSKEQETNDAQNYESEDGTPLQNHMKWGQASVKKFLSQLGFH >Vigun10g076600.1.v1.2 pep primary_assembly:ASM411807v1:10:20737479:20740973:1 gene:Vigun10g076600.v1.2 transcript:Vigun10g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSSCSKAIVDTSRSWPPRVSIRVSDRPEFFFSPRPWNDKKGCNLWLLKVVPIKGVFPVHAVPSKDQVDLEAAEPEAENNKQTNESKFVRVAFGLQKNCEFGEQFLIVGDDPSLGSWDPLEALPMTWSEGHIWTAEVDMPAGKLIQFKFILKGKEGDIIWQPGSDRVIQTWETVNTITVCEDWEYAELQTIAEADQLAEPDKETPNDSEVSASAEILDNPHNELDSNASEVTTAEDSKFYEIPLAETVTDNSSSSLTEIRRRAIARNIIPDEEFFKDTSSKWNEKIEPSEESADLGNNGSIASLEDEEGTVVESSLLFEFVGDPVLVPGLTIPPTEPSNEASQGEEVQEKTSKDISVEASETDQDRNPPEFSKEQETNDAQNYESEDGTPLQNHMKWGQASVKKFLSQLGFH >Vigun10g076600.4.v1.2 pep primary_assembly:ASM411807v1:10:20738143:20740973:1 gene:Vigun10g076600.v1.2 transcript:Vigun10g076600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSEGHIWTAEVDMPAGKLIQFKFILKGKEGDIIWQPGSDRVIQTWETVNTITVCEDWEYAELQTIAEADQLAEPDKETPNDSEVSASAEILDNPHNELDSNASEVTTAEDSKFYEIPLAETVTDNSSSSLTEIRRRAIARNIIPDEEFFKDTSSKWNEKIEPSEESADLGNNGSIASLEDEEGTVVESSLLFEFVGDPVLVPGLTIPPTEPSNEASQGEEVQEKTSKDISVEASETDQDRNPPEFSKEQETNDAQNYESEDGTPLQNHMKWGQASVKKFLSQLGFH >Vigun10g076600.3.v1.2 pep primary_assembly:ASM411807v1:10:20737479:20740973:1 gene:Vigun10g076600.v1.2 transcript:Vigun10g076600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSSCSKAIVDTSRSWPPRVSIRVSDRPEFFFSPRPWNDKKGCNLWLLKVVPIKGVFPVHAVPSKDQVDLEAAEPEAENNKQTNESKFVRVAFGLQKNCEFGEQFLIVGDDPSLGSWDPLEALPMTWSEGHIWTAEVDMPAGKLIQFKFILKGKEGDIIWQPGSDRVIQTWETVNTITVCEDWEYAELQTIAEADQLAEPDKETPNDSEVSASAEILDNPHNELDSNASEVTTAEDSKFYEIPLAETVTDNSSSSLTEIRRRAIARNIIPDEEFFKDTSSKWNEKIEPSEESADLGNNGSIASLEDEEGTVVESSLLFEFVGDPVLVPGLTIPPTEPSNEASQGEEVQEKTSKDISVEASETDQDRNPPE >Vigun08g047100.1.v1.2 pep primary_assembly:ASM411807v1:8:5066245:5073957:-1 gene:Vigun08g047100.v1.2 transcript:Vigun08g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMESDCIEDMDVEVLSSMWPEDIGSDVGKQFNIEKPGRDQDMLEEVTILEEPTIADFQRLMELTNYTDKGSSQLAYLMQHWEYKQANAVRLLREELDNLSKQRQEVELRKLEILKDNRFEEENYGGDKRPVSILDEIYYTWQDVPVPLRKSDVVVQNKRIEIDAEYDTVVYWKQRALQLEKQLEASVNREQILTDKLQESIKNFERQSSPVEELTQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGKTDVEIFTGSGVKESQDFKREVMEKGLPAKKEITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMEITDQVRKRERMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKMLTLEGNVADDIPIEVIGDVLRIRQILTNLVSNAVKFTHEGKVGINLYVVSEPPFAKAEGHQKMAIDQSTNSANGVKEENYASTPRSSSDKNGLDGQKHNDHPSQNHLFNDECRSSVKSECSMNGDTEEQTHSTETTVWIRCDVYDTGIGIPEKAIPNLFRRYMQVSADHARKYGGTGLGLAICKQLVELMGGHLTVSSKEHCGSTFTFILPYKVSTGCDNSDDPDELSDVDDNEDDTTEGFFQFQPRTLGSLFSSNGPTRPQNILPGFRSSNKFNGFSENSYSFPTTDIKSKGTSSTEDASSVVVDAPEMYESTSSSNHSPQTKNESAVNTNQQNQDKVHARLQNGSVDSSQHKEAITLGTMSNELQQTCVVSSNNNTSSEEIKSSSGPKILLVEDNKINVMVTQSMMKRLGYSMDVVNNGVEAVRAVQRHTYDVILMDVFMPVMNGLQTTKLIRSYEETGNWDAARKAGIEQCLPISHECSAPPKNRIHIVAMTANTMSESAEECYANGMDSFVSKPVTFQKLKDCLEQYLR >Vigun11g014700.1.v1.2 pep primary_assembly:ASM411807v1:11:1805417:1810508:1 gene:Vigun11g014700.v1.2 transcript:Vigun11g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLCFTIIDYISYIVLFSTHICNESETTTKIAAMALCLHLLSVFLQIMISAPISIEAAVSTFSTDNFRSTSLNRTSFPKGFIFGTASSAYQCEGAANVGGRGPSIWDTYTHNYPDKILDRSNGDVSIDQYHHYKEDIESIKGMNMDAYRFSISWSRILPKGKVSSGVNKEGVNYYNNLINELLAKGLEPFVTIFHWDLPQALEDEYDGFLSPNIVNDFQDYAELCFKEFGDRVKHWITLNEPWTFSKYGYADGISPPGRCSTWQNLSCADGDSAIEPYIVTHNQLLAHAAAVNVYKTKYQVSQKGVIGISLACHWIVPLYDTELDQFAAERALDFMFGWFMEPLTNGEYPKTMQSLVGNRLPKFSANEIKLLRGSFDFIGLNYYTSYYATNAPELSEARPSYLTDSLVVLTSERNGTPIGQKTTSKWLYVCPKGIHELLLYVKTKYNNPFIFITENGIDEFSDPTLSLEEALNDTSRIDYFYDHLYYLQSAVKDGVNVKGYFAWSLSDSFEWTLGYISRFGTIFVDYRNLKRYPKLSAIWFRDFLQGKTDTYDN >Vigun10g058700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11290803:11293013:-1 gene:Vigun10g058700.v1.2 transcript:Vigun10g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSAYLSCFLLFLFLAPARADLPGTWEVLVADAGIASMHTAVTRFNTAVLLDRTNIGPSRKLLPKGHCRYDRNDALLKRDCYAHSVHLDLQTNQVRPLKILTDTWCSSGQFLPDGTLLQTGGDLDGLKKIRMFSPCDATGFCDWKELDDVELAEGRWYATNQILPDGSVIIIGGRGSNTVEFFPPRKNGAVSFPFLAETEDNQMDNLYPYVHLLPNGHLFVFANTRSVMYDFTRHVIVKEYPKLEGGPRNYPSAGSSAMLALQGDYSKAEIVVCGGAQYGAFLLRSTDSPAHGSCGRILALGEKENPKWEMENMPYGRIMGDMVMLPNGDVLVINGAMSGTQGFESASNPCLNPVLYRPDQPVGLRFMVLNPGTVPRMYHATANLLPDGRVLLAGSNPHVLYRFDAEYPTELRVEAFSPEYLSPDRANLRPVIEEIPETVRFGGNFDVVVSVPLPVVGIVEVNLASAPFATHSFSQGQRLVKLAVSSAAPDGNGKYRIGVTAPPSGNVAPPGYYMAFAVNQGVPSIAKWIHVA >Vigun07g013500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1221003:1221677:-1 gene:Vigun07g013500.v1.2 transcript:Vigun07g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQPPPNTAAAIDGASVPSKERLRTRCCFCLSKTLWIFLVLVIVLAMLVILVLYIIITPRSFRFHITDASLTQFDYTANSTTLRYNLVLNITASNPNKKLKIYYDVVQANALYGGVRFSTTDVNMPWNSYLQDKKATNLFSAVFSGQRVMVFDRNQVSEFHEDSKDGVFPIDIKIKFRIRFRLGDYQLGNSHPRGMCELKVPLTSKGETVAPFKATKCRIDF >Vigun03g178900.1.v1.2 pep primary_assembly:ASM411807v1:3:22413226:22416241:1 gene:Vigun03g178900.v1.2 transcript:Vigun03g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGQKESSVHDMKLLFVEMGVGYDLHGQDITAAAMRACRDAIATNSLPAFRKGSIPGVTSDDMKLHVKLGVPHPLQQNLDKDKIKSVFPYGEIMKFDVVDGGLVCSTEEVAEKNDDCYIVNAAVYVGY >Vigun03g367200.1.v1.2 pep primary_assembly:ASM411807v1:3:57067757:57073284:1 gene:Vigun03g367200.v1.2 transcript:Vigun03g367200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQALAGDDGAGARGEAGTDSNHSLLQKFKEFIRNFETANNVFPYRESLLHNPKFLLVDMGDLDTFDSDLPAKLRSSPADILPLFETAAAQVLVSLKTKVAGDTGAMEDPIPGDVQILLTSKEDPISMRSLGAQYISKLVKIAGITIAASRTKAKATYVTLICKNCKKGKQVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWLVVPDKSRYVDQQTLKLQENPEDVPTGELPRNLLLSVDRHLVQTVVPGSRLSIMGIYSVYQASNSSTSHKGAVAVRQPYIRVVGIEETNETKSRGPAAFTQDEIEEFKKFAAEPDAYKNICSKIAPSIFGHDDVKKAVACLLFGGSRKHLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYSQDKIIANHIIKVHASAGRAGALMGESKTSKEENWLKRYLQYCRNECHPRLSESATTLLQNHYVKIRQDMRQQANETGEAAAIPITVRQLEAIVRLSEALAKMKLSCTRSHLATEENVQEAVRLFTVSTMDAAKSGINQQINLTPDMANEIKQAETQIKRRIGIGNHISERRLIDDLSRMGMNESIVRRALIIMHQRDEIEYKRERRVVFRKA >Vigun03g367200.2.v1.2 pep primary_assembly:ASM411807v1:3:57067757:57073284:1 gene:Vigun03g367200.v1.2 transcript:Vigun03g367200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQALAGDDGAGARGEAGTDSNHSLLQKFKEFIRNFETANNVFPYRESLLHNPKFLLVDMGDLDTFDSDLPAKLRSSPADILPLFETAAAQVLVSLKTKVAGDTGAMEDPIPGDVQILLTSKEDPISMRSLGAQYISKLVKIAGITIAASRTKAKATYVTLICKNCKKGKQVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWLVVPDKSRYVDQQTLKLQENPEDVPTGELPRNLLLSVDRHLVQTVVPGSRLSIMGIYSVYQASNSSTSHKGAVAVRQPYIRVVGIEETNETKSRGPAAFTQDEIEEFKKFAAEPDAYKNICSKIAPSIFGHDDVKKAVACLLFGGSRKHLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYSQDKIIANHIIKVHASAGRAGALMGESKTSKEENWLKRYLQYCRNECHPRLSESATTLLQNHYVKIRQDMRQQANETGEAAAIPITVRQLEAIVRLSEALAKMKLSHLATEENVQEAVRLFTVSTMDAAKSGINQQINLTPDMANEIKQAETQIKRRIGIGNHISERRLIDDLSRMGMNESIVRRALIIMHQRDEIEYKRERRVVFRKA >VigunL010500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000179.1:41576:48674:1 gene:VigunL010500.v1.2 transcript:VigunL010500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSITTSKPARCLAQANRARSSERDPLAQASPISPRRGHNEGTGNPARSRSGDIRQGLFGGHLNECATRALATTSGASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTESSRVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTQDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >VigunL017833.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000195.1:20112:20683:-1 gene:VigunL017833.v1.2 transcript:VigunL017833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EISPWSTETAESTIHSLSGLDTSVSLLTQAPLVDYRNLPRLALVRDTPTVRWIDEKSATDRWPLSLKTNHLIRTFFGVQQIYEVPFLRSVRGVVFFRVGQPLGFLSSWPLFTLTHHLVMFYCADKVYPGQRFTR >VigunL046200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:480904:487777:1 gene:VigunL046200.v1.2 transcript:VigunL046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKIFTSFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >VigunL077200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000541.1:34843:35976:-1 gene:VigunL077200.v1.2 transcript:VigunL077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSITFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLLVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVNLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFLKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPQYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun05g180500.1.v1.2 pep primary_assembly:ASM411807v1:5:34343324:34352845:-1 gene:Vigun05g180500.v1.2 transcript:Vigun05g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSYPRFFLFLLLLAVFCFASLASGATLPQDEVEALKDIGKTLGKKNWDFNVDPCSGERNWTSAVQVKGSENELRCNCSYNNDTVCHVTNIILKAQNLPGTLPKDLFRLPFLLEFDISRNYVNGTIPKEWGTTKLLNNISLLGNRLTGSIPKEIANISTLQSLVLEANQLSGELPPELGNLPQIQRLLLSSNNFTGELPETLAKLTTLQDIRIGDNQFSGKIPDFIQSWTSLQKLVIQGSGFDGPIPSAISLLKNLTDLRISDLNGSEQSSFPQLNNMTLKYLILRNCNINGTLPTYLATMTTLKNLDLSFNKLTGPIPSDYEALRKVDYIYLTGNLLNGQVPAWTEKADNVDISYNNFTTSQGNPTCQNGNVNLFSSSKTHNDSGTVACLGNNVCQKNSYSLHINCGGKMITEGKSTYDDDLDNGGPARFHRSGSKSWAFITTGNFMDNDAGDYSIWQNKSAISVDNADLYMDARVSPTSLTYYGFCLGNGNYTVNLHFAEIMFINDSTFNSLGRRVFDIYIQEELVQKDFNIVEEAGGIGKAIIKHFTAVVSGNTLEIRLYWAGKGTTSLPFRSVYGPLISAISVEPNFTPPSENGSSISAGAVAGIVVAVAIVIILVLGILWWKGCLGKKSSLEKEIRSLDLRTGLFSLRDIKAATNNFDVANKIGEGGFGPVYKGCLSDGTLVAVKQLSSKSKQGNREFITEIGMISALQHPCLVKLYGCCVEGDQLLLVYEYMENNSLARALFGPEEHQIRLDWTTRYKICIGIARGLAYLHEESRLKVVHRDIKTTNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVVLEIINGRSNTIHRPKEQSFSILEWANLLKEKGDLMDLVDRRLGTNFNKEEVLVLIRVALLCTNVTTQLRPSMSSVVSMLEGRTVVEEVFSEANDVEEKKMEKMRQYYQELSISTDEPWTSSSTSAADLYPVNVDSSYLQKRG >Vigun06g158600.3.v1.2 pep primary_assembly:ASM411807v1:6:28182561:28188989:1 gene:Vigun06g158600.v1.2 transcript:Vigun06g158600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARGAKRRHAISRKMLIFFAASLSCVVVLLLLSFFRFHSPKPSISVSRVFDGPPKIAFLFLVRRNLPLDFLWDSFFQNADVSRFSIYVHSTPGFVLDESTTRSQFFYGRQISNSIQVLWGESSMIQAERLLLEAALEDPSNQRFVLLSDSCVPLYNFSYVYNYVMVSPKSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWITVIRKHAEVIVDDDVIFSIFKKYCKRRPPIDTSKGKLNLVSLQILDFYLSVVTGRVSCYPIMLCIIMTRILHLSGNY >Vigun06g158600.2.v1.2 pep primary_assembly:ASM411807v1:6:28182561:28188989:1 gene:Vigun06g158600.v1.2 transcript:Vigun06g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARGAKRRHAISRKMLIFFAASLSCVVVLLLLSFFRFHSPKPSISVSRVFDGPPKIAFLFLVRRNLPLDFLWDSFFQNADVSRFSIYVHSTPGFVLDESTTRSQFFYGRQISNSIQVLWGESSMIQAERLLLEAALEDPSNQRFVLLSDSCVPLYNFSYVYNYVMVSPKSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWITVIRKHAEVIVDDDVIFSIFKKYCKRRPPIDTSKGKLNLVSLQILDFYLSVVTGRVSCYPIMLCIIMTRILHLSGNY >Vigun06g158600.1.v1.2 pep primary_assembly:ASM411807v1:6:28182561:28188989:1 gene:Vigun06g158600.v1.2 transcript:Vigun06g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARGAKRRHAISRKMLIFFAASLSCVVVLLLLSFFRFHSPKPSISVSRVFDGPPKIAFLFLVRRNLPLDFLWDSFFQNADVSRFSIYVHSTPGFVLDESTTRSQFFYGRQISNSIQVLWGESSMIQAERLLLEAALEDPSNQRFVLLSDSCVPLYNFSYVYNYVMVSPKSFVDSFLDVKEGRYNPKMSPKIPREKWRKGSQWITVIRKHAEVIVDDDVIFSIFKKYCKRRPPIDTSKGKLNLKLQKQHNCIPDEHYVQTLLAMHGLESELERRTITYTLWNQSTTKMENKGWHPVTFGYANAGPQRIMEIKGINHVYYETEYRIEWCRSNSTSVPCFLFARKFSQGAAMRLLSQEVVNHFEVSALLATPP >Vigun06g236000.1.v1.2 pep primary_assembly:ASM411807v1:6:34128471:34132822:-1 gene:Vigun06g236000.v1.2 transcript:Vigun06g236000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTMNLPLHASVPLRSRSWCCHASLSVPQPQAEPQLLNGNPPARPVDRKEIRLGLPSKGRMSADTLELLKNCQLSVKQVNPRQYVAEIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEHGQCNEDLIIVHEALEYGDCRLSLAIPQYGIFENVNSVEELAKMPQWTEDKPLRVATGFSYLGPKFMKESGLRHVTFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIKGGVVLESQAVLIASRKSMIQRKGVLETTHEMLERLEAHLRAIGQFTVTANMRGSSAEEVAERILSQPSLAGLQGPTVSPVFCKRDGKVTSDYYAIVICVPKKALYKSIQQLRAIGGSGVLISPLTYIFDEETPRWRQLLSKLGL >Vigun07g228601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35023894:35027769:-1 gene:Vigun07g228601.v1.2 transcript:Vigun07g228601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIPLNKPNPSLILPSATLFMALPFNLVHSYLVLLFLLCFLHVTADQNNDKLYLLSFKEGLQNPHVLPSWNPATPHCDWVGVKCQLGRVTSLSLPNRNLRGKLSSSLFSLSSLSLLNLRDNQLTGEIPSELGGLLQLETLRLGSNSLAGNIPPEVGLLTKLCTLDLSGNSLVGEVPESVGNLTRLEFLDLSNNFLSGSLPVSLFTGARSLISVDISNNSFSGVIPPEIGNWRNISALYVGINKLSGTLPREIGLLSKLEIFYSPSCSIEGPLPEEMAKLKALTKLDLSYNPLRCSIPRFIGELESLKILDLVFAQLNGSVPAELGKCKNLRSVMLSFNSLSGSLPEELSELPMLTFSAEKNQLHGPLPSWLGKWSNIDSLLLSANRFSGVIPPELGNCSVMEHLSLSSNLLTGPIPEELCNAASLLEVDLDDNFLSGTLEKVFVKCKNLTQLVLMNNRIVGSIPEYLSELPLMVLDLDSNNFSGKIPSGLWNSLALMEFSAANNHLEGSLPVEIGNAVMLERLVLSNNRLTGTIPKEIGRLSTLSVLNLNGNMLGGSIPTELGGCTSLTTLDLGNNQLNGSIPEKLVELSQLQCLVLSHNNLSGSIPAKKSSYFRQRSIPDLSFVQHLGVFDLSHNRLSGPIPDEIGSCAVVVNLLVSNNMLSGSIPKSLSLLTNLTTLDLSDNLLSGSIPLELGGVLKLQGLYLGHNQLSGTIPQSFGKLTNLVKLNLTGNKLSGPIPVSFENMKGLTHLDLSYNELSGEFPSSLSGVQSLVGIYVQNNRLSGQLGELFSNSMTWRIETVNLSDNCFNGNFPRSLGNLSYLTNLDLHGNMLTGDIPLDLGDLMQLEYFDVSGNKLSGRIPDKLCGLDNLVYLDVSRNRLEGPIPSNGICQNLPRVRLAGNRNLCGQMLGINCQDKTIGRSVLYNAWRLAAIAVTILLLTLTIAFVLHKWITRRQTNPEELQERKLNSYVDHNLYFLSSSRSKEPLSINVAMFEQPLLKLTLVDILEATDNFSKANIIGDGGFGTVYKATLPNGKTVAVKKLSEAKTQGHREFMAEMETLGKVKHQNLVELLGYCSIGEEKLLVYEYMVNGSLDLWLRNKTGALEILDWNKRYKIATDAARGLAFLHHGFIPHIIHRDVKASNILLNEDFEPKVADFGLARLISACETHITTDIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWVSQKIKKGHAADVLDPSVLDADSKQMMLQMLQIACVCISDNPANRPTMLQVHKFLKGMKGE >Vigun02g167900.1.v1.2 pep primary_assembly:ASM411807v1:2:31157988:31165079:-1 gene:Vigun02g167900.v1.2 transcript:Vigun02g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKILNIPRFAHNLLGRCVYLRQRTHTHKLSLYKYLGSRSSLSCVPFPFFSVLYSNFTSPLIYTMSVYKDQDPRLHGIKTKIRVVPNFPKSGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVISQEYILEYGRDCLEMHVGAVESGERALVVDDLIATGGTLCAAMDLLERVGAEVVECACVIELPELKGRERLNGKPLYVLVEYMEI >Vigun04g180600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40458367:40459404:-1 gene:Vigun04g180600.v1.2 transcript:Vigun04g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLTFSISFFLFFVTKPFSIVEPRTLEASEDSFLRTLRNLRGIRKGQRVRGTIELKSYLNKYGYLNNYSHNNHFDETVELALKQYQVFHHLPATGHMNAETLRKMSLPRCGVPDVVVTPQHDDDNLKGLAILANYTFFRGSPRWDESKRALTYRFESSANVLRMDLVRYAVNNAFRSWSRVSDFTFTEIPFQNSFGSYFYPNYNPNPINIAIGFHRRDHGDGHPFDGPGRVLAHTFAPQDGRLHFDADESWVSDPRGESIGSGEDAGWEWVWGQGWRRRVAPRARSREIDLESVALHEIGHLLGLGHSSVPDSIMYPSYEGVRRYLSQDDKDGIAALYGYKK >Vigun03g101700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8746670:8748963:-1 gene:Vigun03g101700.v1.2 transcript:Vigun03g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLHSSTPTSLQHLLDHREGTEREQHGANTKPHKKLALLPLVFLIYFEVAGGPYGEEPAVGAAGPLIAIFGFVVFPFIWSIPEALLTAELATTFPGNGGFVIWANEAFGPFWGSLMGFWKFYSGVINLASYPVLCIDYLKLVIPALSSGSPRFVSIILSTCTLSFLNYSGLAIVGYTAVALGVVSLLPFVLLSLFSLPKIDPSRWLSWGQEGVERDWTLYFNTIFWNLNFWDSASTLAGEVEEPHRTFPKALLSAGLLTCLGYIIPLLAATGAMPLDQKSWVGGYFADVAEIIAGKWLKIWMEVGAVLSIIGLFEAQLSSAAFQLLGMADLGFLPRIFGERSRWFNTPWMAILVSTVIAISMCFLSFTDIISTVNFLYSLGMLLEFAAFLRLRRKFPALKRPFEVPLGFSGLVLMCLVPSVLLVYVMSVASKIVYVASFFLTSLGIALYYFMNICKSWKWFEFSRVGDKLDEDDYVL >Vigun05g092200.1.v1.2 pep primary_assembly:ASM411807v1:5:8931510:8934642:1 gene:Vigun05g092200.v1.2 transcript:Vigun05g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGRVPLSDVVADCAKRWFKDTLKEAKAGDINMQVLVGQMYYHGYGIPKDGQKGRLWLTKASRVRSSVWRVGRKPPGYNASDSESEDLENDS >Vigun01g131800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30991748:30992665:-1 gene:Vigun01g131800.v1.2 transcript:Vigun01g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSVMCRPTHQALKNVPSGGQTQMMRPKEKVVLVMGATGSGKTRLSVDLATCFPSEIINSDKMQVYQGLEIVTNKATKEEQRGIPHHLLGTQNPDTEFTASDFCDAASRAIESITRRDKVPIVVGGSNSYMKALVDRFGPRFEWCFLWVDVSVPVLHSYVGQRVDHMLRCGMVNELRPFFSVNGDYARGIRKAIGVSEFHPYFRREAAASGETRMRLLQEAVRDVKGNTCNLACKQLRRIDMLRSEGWKIHRICATPVFQKRGRDANEAWNNMVAQPCASIVSQFLYSSANSASGRIAPSMQPN >Vigun05g071801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6140242:6140742:-1 gene:Vigun05g071801.v1.2 transcript:Vigun05g071801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEICVSDFGSRVLGFGCRVWGFIFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFMGFQIRVSSYMFLVSGFGIRVSGFEFRVSSFGFWVSGLRFEILGFGFEVLSFGLGISSFGLRLFRFEF >Vigun04g103000.4.v1.2 pep primary_assembly:ASM411807v1:4:24013254:24018474:1 gene:Vigun04g103000.v1.2 transcript:Vigun04g103000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWRAWENETKTIEYQFYNDPERFRFARDTTFGRRHLNPWSQSTISLSIVSFFRQFFGSVNKVDYLTLRHGFIRAHLAPGSDARFDFQKYIERSLEEDFKTVVGISPVIWFFAVLFLLTNTHGWYSYYWLPFIPFVIILIVGAKLQMIITKMGLRITDRGEVVKGAPLVEPGDDLFWFNRPRLLLFLIHLVLFQNAFQLAFFSWSTYEFSLHSCFHETTADIVIRITMGVIIQVLCSYVTLPLYALVTQMGSTMKPTIFNQRVASALKNWHNTAKKQVKNSKQNTPFSSRPSTPTYGMSPMHLLQKHLAGRSDSAQTSPRTSNYENEQWDVEGSPSISNHAAGEETQMQVMEPGSSSAPEVPTSSHLEIRVSSSEFSFEKRHLESFGE >Vigun04g103000.2.v1.2 pep primary_assembly:ASM411807v1:4:24011963:24018578:1 gene:Vigun04g103000.v1.2 transcript:Vigun04g103000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVYERTLEETPTWAVAVVCFVLLFVSIAIEHLIHAIGKWFKKKQKNALFEALEKVKGELMVMGFISLMLSILQDQISKICVSKHVASTWHPCANPKSSTTTTTSEDESEDIQINFRKLLQLYDSIPRRVLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAIFHILQCIITLGLGRTKMRKWRAWENETKTIEYQFYNDPERFRFARDTTFGRRHLNPWSQSTISLSIVSFFRQFFGSVNKVDYLTLRHGFIRAHLAPGSDARFDFQKYIERSLEEDFKTVVGISPVIWFFAVLFLLTNTHGWYSYYWLPFIPFVIILIVGAKLQMIITKMGLRITDRGEVVKGAPLVEPGDDLFWFNRPRLLLFLIHLVLFQNAFQLAFFSWSTYEFSLHSCFHETTADIVIRITMGVIIQVLCSYVTLPLYALVTQMGSTMKPTIFNQRVASALKNWHNTAKKQVKNSKQNTPFSSRPSTPTYGMSPMHLLQKHLAGRSDSAQTSPRTSNYENEQWDVEGSPSISNHAAGEETQMQVMEPGSSSAPEVPTSSHLEIRVSSSEFSFEKRHLESFGE >Vigun04g103000.3.v1.2 pep primary_assembly:ASM411807v1:4:24011965:24018474:1 gene:Vigun04g103000.v1.2 transcript:Vigun04g103000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVYERTLEETPTWAVAVVCFVLLFVSIAIEHLIHAIGKWFKKKQKNALFEALEKVKGELMVMGFISLMLSILQDQISKICVSKHVASTWHPCANPKSSTTTTTSEDESEDIQINFRKLLQLYDSIPRRVLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAIFHILQCIITLGLGRTKMRKWRAWENETKTIEYQFYNDPERFRFARDTTFGRRHLNPWSQSTISLSIAHLAPGSDARFDFQKYIERSLEEDFKTVVGISPVIWFFAVLFLLTNTHGWYSYYWLPFIPFVIILIVGAKLQMIITKMGLRITDRGEVVKGAPLVEPGDDLFWFNRPRLLLFLIHLVLFQNAFQLAFFSWSTYEFSLHSCFHETTADIVIRITMGVIIQVLCSYVTLPLYALVTQMGSTMKPTIFNQRVASALKNWHNTAKKQVKNSKQNTPFSSRPSTPTYGMSPMHLLQKHLAGRSDSAQTSPRTSNYENEQWDVEGSPSISNHAAGEETQMQVMEPGSSSAPEVPTSSHLEIRVSSSEFSFEKRHLESFGE >Vigun04g103000.1.v1.2 pep primary_assembly:ASM411807v1:4:24011965:24018474:1 gene:Vigun04g103000.v1.2 transcript:Vigun04g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVYERTLEETPTWAVAVVCFVLLFVSIAIEHLIHAIGKWFKKKQKNALFEALEKVKGELMVMGFISLMLSILQDQISKICVSKHVASTWHPCANPKSSTTTTTSEDESEDIQINFRKLLQLYDSIPRRVLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAIFHILQCIITLGLGRTKMRKWRAWENETKTIEYQFYNDPERFRFARDTTFGRRHLNPWSQSTISLSIVSFFRQFFGSVNKVDYLTLRHGFIRAHLAPGSDARFDFQKYIERSLEEDFKTVVGISPVIWFFAVLFLLTNTHGWYSYYWLPFIPFVIILIVGAKLQMIITKMGLRITDRGEVVKGAPLVEPGDDLFWFNRPRLLLFLIHLVLFQNAFQLAFFSWSTYEFSLHSCFHETTADIVIRITMGVIIQVLCSYVTLPLYALVTQMGSTMKPTIFNQRVASALKNWHNTAKKQVKNSKQNTPFSSRPSTPTYGMSPMHLLQKHLAGRSDSAQTSPRTSNYENEQWDVEGSPSISNHAAGEETQMQVMEPGSSSAPEVPTSSHLEIRVSSSEFSFEKRHLGTETRSEDDSFY >Vigun05g288300.1.v1.2 pep primary_assembly:ASM411807v1:5:47527425:47527681:1 gene:Vigun05g288300.v1.2 transcript:Vigun05g288300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSAPAEQKMHYGQAQTGREEMGSFYAFFYTLCCCFCCYEGCRCCSERTCCCCP >Vigun11g098800.1.v1.2 pep primary_assembly:ASM411807v1:11:29053721:29055573:1 gene:Vigun11g098800.v1.2 transcript:Vigun11g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLKKLWVISILIIQQYAFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADILAIRLGLPMPPPYLGVPKSQRHQVVTGINYASGSCGILNSTRSGDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTIIIRTTYSQKCNEDMNQKVKPYSDKLPRKLTELQTQLPHSLFVNLDNYNFSQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHVNECFSGTQLCLPYNIQKLIHAH >Vigun03g328300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52524293:52525086:1 gene:Vigun03g328300.v1.2 transcript:Vigun03g328300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNYNSWADQWDDGPDPVTVGSGNKNSSNNSTKYKQKLGEGFGKTKAVASTGVKKLKDGTSVGLQWIKTKYSKATHKH >Vigun01g075600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21248529:21251788:1 gene:Vigun01g075600.v1.2 transcript:Vigun01g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFTIAESLIEKLASHVFQEASRVVGLYDDLQELTKNLSLVKAVLLDAEQKQERNHELREWLTHLKTVFSDAEDVLDEFECQTLRNKVVKVHGSTKDKVSHFFSTSNPLLFRCKMGQQIKDISKRLDKVAADRHKFSLQIIDVDTRVVHPRDMTHSRVSDSEVIGRKKDKEKIIELLMQQNPNNDDTPLSVIPIVGIGGLGKTTLAKFVFNDSRIQECFPLKMWVCVSVDFDIKQLIIKIINSATDSASADAPSNPPNWNVLDLEQLQNQLKKKMSGQKFLLVLDDVWNEDRVKLIELRDLIHVSAAGSKILVTTRSTSIASMMGTVPFHTLEGLSEEDSLSLFVKWAFKEGQEKRHPHLVNIGRQIVKKCKGVPLAVRTLGSSLFSKFEASDWEYVSDNEIWNLPQKKNDILPALKLSYDLMPSYLRQCFALFSLYPKDYEFGSYEITFLWGALGLIALPKTKRTLEEVGNQYLHELLSRSFLQDFVNFGTAYSFRIHDLVHDLAQFIAMDECLYVNSNIQNIPDNVRHLSFAESSLFNNLVTKKSAALRTVLFPNGAAAANCEALLNTCLPKFKCLRVLDLRGAKFETLPRNIVKLKHLRYLDIGENANIKRLPDSVCKLQSLQVLLLAKCIELEALPKGLRKLISLRCLEFSIKQTVLPMNEIANLGSLEMLNIESCHNVESIFGGVKFPILNTLCVEDCQSLKSLLLDGQNFPQLETLMVANCGNLDLEVWKGHHEEESSKLKLKLLLFFNLSQLVALPKWLQKAANSLQCLTVSNCHNFEILPDWLTTLTHLETLHLSYCPNLVSLPDNIHHLTVLESLIIVGCPYLCKNYEPHVGEFWPKISHIKNVVIKKPEEPEKN >Vigun07g003800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:297332:297850:-1 gene:Vigun07g003800.v1.2 transcript:Vigun07g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVVLRSAVSRPGQPLLTQSRSFPQTGTRLGEAVGGTAAVCCCFSFGLANMMYLTMYKVPARLCQKALRRKRRHLRSNSDGLEMVNHSRRCTCGCCDDIMGAGRVYPLCSEDEEDVAALRRRSVAEKDSDMVELEKEMWERFYGSGFWRSPSQKNEKSVYVPNLKLVPVK >Vigun06g234300.1.v1.2 pep primary_assembly:ASM411807v1:6:34016265:34022241:1 gene:Vigun06g234300.v1.2 transcript:Vigun06g234300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFRFSFLLLLPLFLSPAFASESDHRYQPDDQVMLWVNKVGPYNNPQETYNYYSLPFCRPPGNPAHKWGGLGEVLGGNELIDSQLEIKFLGNVEKTTFCRLELNEANVKQFKDAIENNYWFEFFMDDLPLWGYVGELHPDKNSDNGKHVLYAHKNINIQYNKDQIIHVNLTHDNPRPLEVGKSLDFTYSVKWTPTNVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLVILSAVVGTGAQLALLVLLVILLAIVGMLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFMCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >Vigun11g141900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35167260:35168633:1 gene:Vigun11g141900.v1.2 transcript:Vigun11g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLMALEACEWEKAMWKIEEKEREEIDLQHWEKRVSCFHGVVGIIWVQSVHIRQHSHTQSLTGFPFCCVKVKIEKEWLMYGQHFVPHDMMCMSHALTTIQPHQFSFIITPQIKPLFFSNHTTIPNFITNISIHNVFSCVF >Vigun03g132000.2.v1.2 pep primary_assembly:ASM411807v1:3:12870945:12872369:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun03g132000.4.v1.2 pep primary_assembly:ASM411807v1:3:12871021:12872369:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun03g132000.3.v1.2 pep primary_assembly:ASM411807v1:3:12871021:12872369:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun03g132000.6.v1.2 pep primary_assembly:ASM411807v1:3:12871021:12872316:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun03g132000.5.v1.2 pep primary_assembly:ASM411807v1:3:12871021:12872369:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun03g132000.7.v1.2 pep primary_assembly:ASM411807v1:3:12871021:12872316:-1 gene:Vigun03g132000.v1.2 transcript:Vigun03g132000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERAGLVLGSEMMTMTGENEKGERWSGAMTNLTEMSSNLESLQKLLLTKAVFVDDDTFSKASLTADQARSIKLLQQRVETLEREVDAAITAAARARSEKRQAEAAQKAAESRAQQLTAELENTTKVFELHMEELRAKQEEIEKRDEDIKLLEAIIRTLGGKESLSTSQ >Vigun01g107500.1.v1.2 pep primary_assembly:ASM411807v1:1:28008928:28012352:1 gene:Vigun01g107500.v1.2 transcript:Vigun01g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSALDPAGPRLNSRSGVIPVNSVGYEVFLLMLQFLYSGQVSIVPPKHEARPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLLASRKQDMQQLWATCSHLVAKSGLPPEVLAKHLPIDIVAKIEELRIKSSMARRSLVPHHHHHPHHHHAALDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALPYAVENCSREVVKALLELGAADVNYPSGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVMSREEGNGNNNNGPSNTAAPIYPPPMNEDHNSSSSSGNNNNNIGNLNLDSRLVYLNLGATASMSSRLDGGEEEREAMNTTMYHHHHSHDY >Vigun05g214400.2.v1.2 pep primary_assembly:ASM411807v1:5:40591141:40592227:-1 gene:Vigun05g214400.v1.2 transcript:Vigun05g214400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQESQPSSTRVERKIVEKNRRSQMKNLYSELNSLLPSYNPKQVVAVPDQIDEATEYIKSLEEKVKMAKEKKERLMESKITGSGFEARGSKKPPKMEIHEKGSVLQVFLTCGVDYHFVFCEIIRILHEENIEVIATSSTIVGDSAIHVVHGEVGQSMIHSGVSKVSEKLKLFVNGSICNEEMEAGRLWDSEVGTTLPWLLLDPTLDNALPPNP >Vigun01g137600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31800323:31802394:-1 gene:Vigun01g137600.v1.2 transcript:Vigun01g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPDNAVDYSPSSTVIEFDRPVPLLRGPLPAGPADKPSAGPYVLAFRDSRAWASSFVACERKIVEQCEEGARIGCAVSASRNCKPTWWKALAGLTLSDLKEREECEVREMADCFAAAKEKCAGFAREKCLVAFRDARIRVTRGVLSSKDVGRLIGWGSMPVSERNMWLMNQIGLIGGDLGMTNCKASELLGFDDYVQRILGERQQGTKN >Vigun03g251600.11.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPGMLG >Vigun03g251600.10.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVVSALGKKKMEKTS >Vigun03g251600.5.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSKGYWKVYSSLKISSFNKCLVHL >Vigun03g251600.6.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSKGYWKVYSSLKISSFNKCLVHL >Vigun03g251600.4.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQFLAGCNFGWHRKGHR >Vigun03g251600.8.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSFGEELSHGKCYF >Vigun03g251600.1.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSIVAGVPAKVIGKFIVH >Vigun03g251600.7.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSIVAGVPAKVIGKFIVH >Vigun03g251600.2.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSIVAGVPAKVIGKFIVH >Vigun03g251600.3.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKGYQSLQVHRVAHALWGQGRKVLALALQSRVSEVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQFLAGCNFGWHRKGHR >Vigun03g251600.9.v1.2 pep primary_assembly:ASM411807v1:3:41776553:41779077:-1 gene:Vigun03g251600.v1.2 transcript:Vigun03g251600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSHKTLNYLPETLSEHEAEEGQPTSVGSFSFWPPHLEKVFPVYAMGIPNPVMDSSLQNSDCFDPIWDDVRREAQLEAEREPILSSFFYATILSHDCLEQALAFALANRLQKPTLLATQLMDIFSTVIKHDKGIQHSIRLDIQAFKDRDPACLSYCSALLYMKVFGVDIHPAATIGNGILVDHGTGVVIGETAIIGNRVSLMQGVTLGGTGKDTGDRHPKVADGVLIGAHATILGNLQVGECVMIAAGSLVLQDVPPHSFGEELSHGKCYF >Vigun08g023700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2022728:2023263:-1 gene:Vigun08g023700.v1.2 transcript:Vigun08g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVHRSNLPLKIIHVSDRKDFPANVMMKRIMNALNEADRNKDGCFNKDELKHALKDLGAFFPSWRVKRVFHKVDVNNDDQISGEEIEPLLEYLCYRGFGK >Vigun01g019600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2096548:2098058:1 gene:Vigun01g019600.v1.2 transcript:Vigun01g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIQVWNNAAFVDHGGDAFFPMKTSWSSSECSKENLSPTALNSSPKPKKNTKTKAFALSSDDNRNIDDEIEAIEREITRLTTRLDALRIEKAKRGKRVVGRAVPAKFMEPRPNAAVLRKPEETPKTKVKSSNNNHNAWRRGMSMGPAEIAATPATTQSRRKSCFWKLPEIDEESRAKTVGRVRKKEESSVVQAQVRKMFEREKVKSVCESNKKTVKQGRMVASRYNGGGDVRKRSLPEGGSEIRVKKRWDIPKNEEEEEAVAAMVTGEVMVLPKIKTVKRVNESPRDSGAAKRVAELVGKRPYFCSDENDVCQILNFAEEEEEGG >Vigun06g020300.2.v1.2 pep primary_assembly:ASM411807v1:6:9685887:9688717:-1 gene:Vigun06g020300.v1.2 transcript:Vigun06g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQILMLIIYTTFFCFMPISSTITPNQSLQYHETLVSSAGTFEAGFFDFGNSRRQYFGIWYKSILPRTIVWVANRNVPAQNSTAVLKLTHQGIIVILDGSGGRVWFSNSSKIAVKPVMQLLDSGNLVVKDGESSENFLWESFDYPGDTFLAGMKLKSDFVTGPYQYLTSWRDNEDPAEGEFYYKIDTRGFPQQVTTNGTTILYSTGPWNGYLFSGVSWDRMHRFLNFSFEFTNKGVSYGYETLNSSVLSRTMLKLNPRGGTERFLWSNQRQSWDIVNSHPIDQCEYFGTCGVNSICNINNLPICECLQGFTPKFQTKWDSHDWSGGCVRRTKLSCDNGDWFKEYRGIKLPETSSSWFDRSLSLEECETLCFRNCSCTAYANSNIRDGGSGCLLWFRDIVDLRNHTDRGQEIHIRMPFSERDQRRNKRDLSPKRLAGIIVGVVTFIVGLTLLVWATSKRIKGMKLPKPVKKVIPWKHMMDKEGNNLPTIFNFSTIDIATNHFTNRNKLGEGGFGTVHKGTLIDGQEIAVKRLSKLRDRELRSSKMK >Vigun06g020300.3.v1.2 pep primary_assembly:ASM411807v1:6:9680033:9688623:-1 gene:Vigun06g020300.v1.2 transcript:Vigun06g020300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQILMLIIYTTFFCFMPISSTITPNQSLQYHETLVSSAGTFEAGFFDFGNSRRQYFGIWYKSILPRTIVWVANRNVPAQNSTAVLKLTHQGIIVILDGSGGRVWFSNSSKIAVKPVMQLLDSGNLVVKDGESSENFLWESFDYPGDTFLAGMKLKSDFVTGPYQYLTSWRDNEDPAEGEFYYKIDTRGFPQQVTTNGTTILYSTGPWNGYLFSGVSWDRMHRFLNFSFEFTNKGVSYGYETLNSSVLSRTMLKLNPRGGTERFLWSNQRQSWDIVNSHPIDQCEYFGTCGVNSICNINNLPICECLQGFTPKFQTKWDSHDWSGGCVRRTKLSCDNGDWFKEYRGIKLPETSSSWFDRSLSLEECETLCFRNCSCTAYANSNIRDGGSGCLLWFRDIVDLRNHTDRGQEIHIRMPFSERDQRRNKRDLSPKRLAGIIVGVVTFIVGLTLLVWATSKRIKGMKLPKPVKKVIPWKHMMDKEGNNLPTIFNFSTIDIATNHFTNRNKLGEGGFGTVHKGTLIDGQEIAVKRLSKLRDRELRSSKMK >Vigun06g020300.4.v1.2 pep primary_assembly:ASM411807v1:6:9687183:9688717:-1 gene:Vigun06g020300.v1.2 transcript:Vigun06g020300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQILMLIIYTTFFCFMPISSTITPNQSLQYHETLVSSAGTFEAGFFDFGNSRRQYFGIWYKSILPRTIVWVANRNVPAQNSTAVLKLTHQGIIVILDGSGGRVWFSNSSKIAVKPVMQLLDSGNLVVKDGESSENFLWESFDYPGDTFLAGMKLKSDFVTGPYQYLTSWRDNEDPAEGEFYYKIDTRGFPQQVTTNGTTILYSTGPWNGYLFSGVSWDRMHRFLNFSFEFTNKGVSYGYETLNSSVLSRTMLKLNPRGGTERFLWSNQRQSWDIVNSHPIDQCEYFGTCGVNSICNINNLPICECLQGFTPKFQTKWDSHDWSGGCVRRTKLSCDNGDWFKEYRGIKLPETSSSWFDRSLSLEECETLCFRNCSCTAYANSNIRDGGSGCLLWFRDIVDLRNHTDRGQEIHIRMPFSERDSAYHQQVYYGQ >Vigun09g190600.1.v1.2 pep primary_assembly:ASM411807v1:9:36537244:36540898:1 gene:Vigun09g190600.v1.2 transcript:Vigun09g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRPRNREVFSGFTNAEIEKMEKFLKEPKGVTWSREFYQKLAGSFNRSTGRAGKPVIKWTEIEDWFQARLQDLPQVPNSELMVPKHTEDVMQDPSELEFEAKSSKDGAWYDVEAFLAHRFLSTGEAEVHVRFVGFGAEEDEWINIKSSVRQRSIPLENSECSNLNVGDSVLCFQERRDQAIYFDARIVEIQRRMHDIRGCRCLILIRYDHDNSEESVRLRRLCRRAKY >Vigun09g190600.2.v1.2 pep primary_assembly:ASM411807v1:9:36537244:36540898:1 gene:Vigun09g190600.v1.2 transcript:Vigun09g190600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRPRNREVFSGFTNAEIEKMEKFLKEPKGVTWSREFYQKLAGSFNRSTGRAGKPVIKWTEIEDWFQARLQDLPQVPNSELMVPKHTEDVMQDPSELEFEAKSSKDGAWYDVEAFLAHRFLSTGEASMSDLLDLGLRKMSGSILKVQSANALYHWKTRSVRT >Vigun06g107100.1.v1.2 pep primary_assembly:ASM411807v1:6:23650270:23653953:-1 gene:Vigun06g107100.v1.2 transcript:Vigun06g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVSESCVDSLLTEMVACYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNKFRWLARMSVDPSTDNVGSVEDNNSPTAENKAAHAMSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >Vigun07g114150.1.v1.2 pep primary_assembly:ASM411807v1:7:21140753:21141524:-1 gene:Vigun07g114150.v1.2 transcript:Vigun07g114150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTTSLPLHCCSLRRGTVMKIAQRQSGGTRNLLVSSTLKDFTLEWNEDDALTLLVAVVLGVFDVNSRRDLVGSFRLSVVV >Vigun03g381200.1.v1.2 pep primary_assembly:ASM411807v1:3:58562517:58563772:-1 gene:Vigun03g381200.v1.2 transcript:Vigun03g381200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLVLLTCIVGYFVIASASNFYQDFEITWGDGRANILNNGDLLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGATWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGAPIREFKNMESKGVPFPKNQAMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFNANACTVSSGTSSCSNSASSPSAWLSEELDSTNQEKMKWVQKNYMIYNYCTDAKRFPQGLPTECKTA >Vigun04g146600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36182487:36183357:1 gene:Vigun04g146600.v1.2 transcript:Vigun04g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLICAPNSSLILSKLSPCRPNFPASYPTQKLNHPSSTLKPYASAKGFSSTPPTVRRNANERPIRRKNIDDDGVVADSDDELPRTVIYRIIRRILFSVGVPMGLGLMFMHIFGVLRENQVYDAPRWLAFITAFLTFGASSVGIAYGALSASLDAEKDGSFLGVEQIQKNWEDMWQEEEAAK >Vigun08g036000.2.v1.2 pep primary_assembly:ASM411807v1:8:3469130:3471917:-1 gene:Vigun08g036000.v1.2 transcript:Vigun08g036000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGQSKEIVTETHNNNVIEHDKKKLVVTSDTEFDISEKEKAPIWVPKFVIGLTKKEKEEDFLVFKGSKKLPPRPKRRAKFMQRTINVVSPGTRLSKLCVERYEVREKNISKKRARGLKAMCNMESESESD >Vigun09g204400.1.v1.2 pep primary_assembly:ASM411807v1:9:37892697:37896085:1 gene:Vigun09g204400.v1.2 transcript:Vigun09g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQFLSRLPRKTPKSDSDESCRADSSRSDDSPRAAGRHNRTHGVAAASNAAKRTSSSAVFPASTVSVIEPLVPFKDVPSSEKMNLFVSKLSLCCVSFDFTDPGKDTVEKEVKRRTLVELVDFVSSCGSTRFGEPAILAVCRMCAINLFRVFPPNYRSNRGGENDDDEPSFDPAWPHLQLVYDLLLKFITSNCLDAKVAKKYIDHSFILRLLELFDSEDPRERDCLKTIMHRVYGKFMVHRPYIRKSINNVFFKFVFETERHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSMGVYFQQLSYCVTQFVEKEPKLASIVIRGLLKYWPITNSQKEVMFLGELEEILETINMVEFQRVMVPLFWRIGCCINSMHFQVAERALFLWNNDHIVNLIAHNRQVILPIMFPALEKNSQGHWSQAVMNLTHNVRKMFAEMDEKLFLACHSQFKEEEALLNAAAEKRKEAWKQLEHAASLQPVIGNTPVLVSTI >Vigun01g208100.1.v1.2 pep primary_assembly:ASM411807v1:1:38381836:38385105:-1 gene:Vigun01g208100.v1.2 transcript:Vigun01g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVALQQNQLSFSPLASSLSDFSGTRLHTQLQFKRKSWQPKGSFYVSASSTKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPVTQQLPGESDGDYADFSSKILHLKGDRKDFEFVKSSLSAVGYDVVYDINGREADEVEPILDALPNLEQFIYCSSAGVYLKSDLLPHAETDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPSSGIQITQLGHVKDLATAFVKVLGNDKASKEVFNISGEKYVTFDGLARACAKAGGFPEPEIVHYNPKDYDFGKKKSFPFRDQHFFASVDKAKSVLGWEPEFDLVEGLADSYNLDFGRGTFRKEADFSTDDIILGKSLVGV >Vigun01g101700.1.v1.2 pep primary_assembly:ASM411807v1:1:26923944:26925221:1 gene:Vigun01g101700.v1.2 transcript:Vigun01g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >Vigun09g164500.1.v1.2 pep primary_assembly:ASM411807v1:9:33265478:33267656:-1 gene:Vigun09g164500.v1.2 transcript:Vigun09g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWVIGTTTIRVLCKSYRSIFKRWFHKKKPRRHTRTKQKTVDDGKVTNGIGSFRLKLRRSISDIAMSSPRGCCLYRHRSPDNSCFPCRKSASRNASRKSNQTSSKNYDFMSAASPFPSSLNKSGCLRSMPPHLNSMNASSRSSNPIMYSNSTGMLKPPPIENKLECTLEELCFGCKKKIKITRDVLTDTGGIVEEEELVTINVEPGWRKGTEIKFEGKGNERPGALKEDIIFIISEKSHKLFRRDGDDLELSVEIPLENALSGCTLSIPLLGGDHMDLKLDDIVHPGYQKIVPDQGMPISKEPGNRGNLNVTFRVVFPTHLTSNIRSEVVRILQDS >Vigun02g000800.1.v1.2 pep primary_assembly:ASM411807v1:2:500750:504407:-1 gene:Vigun02g000800.v1.2 transcript:Vigun02g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPLHMFGLAISFFLFIILALKIGTNLKKTESSQKIPPGPWKLPVIGNIHNLLSSAPHRKLRDLAKIYGPLMHLQLGEVFTIIVSSPEYAKEIMKTHDLIFASRPTILASDILTYESTDIIFAPYGNYWRQLRKICTVELFTQKRVSSFQPIREEELTHLVKIIDSHKGSLFNLTEAVLSSVYNIMSRAAFGMKCKDQEEFISVVKEAVVSGSGFNIGDLFPSAEWLQTVTGLRPKLERLHRQTDRILGDIISEHKAAKSKGEAEEDLVDVLLKFLDGNESNQDICLTIDNIKAIILDVFASGGETSATTIIWAMAEMMKDPRVMKKVQVEVREVFNMKGRVDKVCMDELKYLKLVVKETLRLHPPAPLLLPRESREACEISGYHIPVKSKVIVNAWAIGRDSNHWSEAERFYPERFIDSSVDYKGNNFEYIPFGGGRRICPGSTFGLISVELTLAFLLYHFDWKLPMGMKNEDLDMTEQFGVTVRRKDDVYLIPVTSPSFLVR >Vigun07g271600.1.v1.2 pep primary_assembly:ASM411807v1:7:38741749:38744004:1 gene:Vigun07g271600.v1.2 transcript:Vigun07g271600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIFSALVSVLLISITKLLHSYFSLPFSPFSVSIPIITTLFVLIICKIFLTSKTHSSTPPGPLSVPIFGNWLQVGNDLNHRLLASLSQTYGPVSLLKLGSKNLVVVSDPELATQVLHSQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHNYSSMWEEEMDLVVRDLNLNERVRSEGIVIRKRLQLMLYNIMYRMMFDAKFESQEDPLFIQATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCKDLQSRRLAFFNTHYVEKRRQIMAANGEKHRISCAIDHIIDAQMKGEISEENVIYIVENINVAAIETTLWSMEWAIAELVNHPSVQKKIRDEISEVLKGEAVTESNLHELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGYTIPKESKVVVNAWWLANNPSWWKNPEEFRPERFLEEECATDAVAGGKVDFRFVPFGVGRRSCPGIILALPILGLVIAKMVSNFELSAPEGTKIDVSEKGGQFSLHIANHSTVLFHPIRTL >Vigun01g127400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30510192:30511478:-1 gene:Vigun01g127400.v1.2 transcript:Vigun01g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKNLASIFTIIIILLFSFTAAKASTFHRNLSPMSLGLKKEKLSHLHFFFHDILSSPNPSAVRVVEPHASNTSSSTLFGFIAMADDALTVGPEPGSKLIGKAQGIYGSAAQEEIGLLMIMNFVFTEGKYNGSTLSLLGRNAVLSTVREMPIVGGSGAFRFARGYAQAKTHTLDFKTGDAVVEYNVYVFHY >Vigun07g101900.1.v1.2 pep primary_assembly:ASM411807v1:7:18257382:18266470:-1 gene:Vigun07g101900.v1.2 transcript:Vigun07g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVTLVASAFLLVLFLPFSTSISHSHRSAAINLFATSPASLEDAYEALRVFEILGLEEKKTHVSGDACQKVLENLDSASPLKDLFYALKVNSIVKCNVNSNALKDIASRLKATVHDASTLLDIYYSIGGLVLIKDQDFNVDEYLPDVDRLFQSIKALGQSDGRWRYSSDNPESSTYAAGLAIEALAGVISLASSEIDQSKVNIVKNGIVKLFDVIEKYDDGTFYFDEKFVGEEQRSSLSATSSVVRGFTSFAAVTSGEINLPEDKILGLAKFFLGIGIPGDAKDFFDQVESLAFLETNRISIPLILSLPETVYSLTKKGQVKVKVNTVLGSAAPPLIVKLAHAFSTGAKDSAIIDSKELQYDQESGFHVLDAFPKNMDVGTYVFVFEIMLHDSGSDKVYATGGQIPVPIYVTGFIKANNAEITVADSDLGRAQTQKKLDVAGNDVVSLSANHLQKLKFSFQLTTPHGHVFKPQQAFFKLRHETKVEHIFVVGNTGKKFEIILDFLGLVEKLFYLSGRYDIELTVGDAVMENSFLRLLGHLDLDLPKAPQKAARPPPPPVDPYSRYGPKEEITHLFRAPEKKPPQNLSLIFLGLILLPSIVFLVGLLRLGANLKNFPSSTVPATFGILFHVGIAGVLLLYVLFWLKLDLFTTLKAFGLLGAFLMFVGHRILSHVAFTSTKLKAA >Vigun06g096900.1.v1.2 pep primary_assembly:ASM411807v1:6:22817462:22821895:-1 gene:Vigun06g096900.v1.2 transcript:Vigun06g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPILRDKELVTDDMPDDASRQSRQHVKRCFAKYIEKGRRIIKLHDLMEEMEQVIDDNIQRNQVLEGNLGFLLSCTQEAAVDPPYVAFAVRPNPGVWEFVRVSSEDLSVEPITSTDYLKFKESVYDEDWANDEDAFEADFGAFDFPIPNLTLPSSIGNGLHFVSKFLTSRFSGKLIKTQPIVDYLLSLNHGGENLMISDNLSSAAKLQLALMVADGHLSALPKDAPYQDFETKLKEWGFERGWGDTAGRVKETMRTLSEVLQAPDALTLENFFSRLPTIFNVVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEAELLLRIKQQGLNIKPQILVVTRLIPDAQGTKCNQELELIDGTKYSNILRVPFHTDKGILRQWVSRFDIYPYLERFTQDATAKIFSLMEGKPDLIIGNYTDGNLVASLMANRLKITQGIIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTIAMNASDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPYTEKDRRFTQFYPVIEDLLYSKVDTDEHIGYLENRRKPIIFSMARFDVVKNLTGLVEWYGKNQRLRKMVNLVIVGGFFDPLKSKDREEMAEIRKMHDLVEKYRLKGQFRWISAQTDRYRNGELYRFIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPHNGEESSNKIADFFEKCLQDSTHWNGISAAGLQRINECYTWKIYANKMLNMGNMYTFWRLVNNKQKVAKQSYIKMFYNLMFRNLVKTIPVPSYEPQQQPVSKKKSVRKQSTSKR >Vigun09g101275.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:17076130:17077685:-1 gene:Vigun09g101275.v1.2 transcript:Vigun09g101275.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALELNESALTNSLEKAKKEATQLRSDAAELIKVRKTLEGKETELISLKTKLDELTPLKTKLDELTPKVEIYETQIQELTTKCQNLEMRNKSSRINFVPL >Vigun03g211900.8.v1.2 pep primary_assembly:ASM411807v1:3:35134013:35140066:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Vigun03g211900.7.v1.2 pep primary_assembly:ASM411807v1:3:35133856:35140168:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Vigun03g211900.1.v1.2 pep primary_assembly:ASM411807v1:3:35134013:35140066:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Vigun03g211900.6.v1.2 pep primary_assembly:ASM411807v1:3:35134013:35140075:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQCVANGELVETPNMDQS >Vigun03g211900.3.v1.2 pep primary_assembly:ASM411807v1:3:35133856:35140168:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Vigun03g211900.5.v1.2 pep primary_assembly:ASM411807v1:3:35134013:35140066:-1 gene:Vigun03g211900.v1.2 transcript:Vigun03g211900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQVPTKCAGRIP >Vigun03g161401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17956148:17957341:-1 gene:Vigun03g161401.v1.2 transcript:Vigun03g161401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLIASVLAASLLLLSFVIGFIIFRCVRRVKSERDSNSESSSTRCREFSLAEIRAATNNFDERLIVGRGGFGNVYKGHIDTSHKPVAIKRLKPGSDQGAHEFQTEIRMLSRFRHVHLVSLIGYCNDGREMILVYDFMARGTLRDHLYGSGEALLWERRLKICLEAARGLEFLHTGVGRQSVIHRDVKSTNILLDENWVAKVSDFGLSKVGPNASHVTTDVKGSLGYLDPEYYMSLWLTQKSDVYSFGVILLEALCGRAPIASRVEKEQEFLVAWVKRCFHGGNVHETVDPVLKGSMRPKCLNKFVEIALSCLNDHGKDRPLMREVVKGLEYALNLQRRCEQGEREIGLTEMERHGEFDDKFASTSSKATTTCSEEEQALVHAMFSDLGNGNQRPR >Vigun03g443400.1.v1.2 pep primary_assembly:ASM411807v1:3:64556533:64563285:1 gene:Vigun03g443400.v1.2 transcript:Vigun03g443400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFAINGGFPGTRITPPSDTGRFAAVYSEVQNSRIDHALPLPSVLKNPFVIVDGPQSTAAGNPDEIAKLFPNLFGQPSASLVPSDSAPLHVNRKLKLGVVLSGGQAPGGHNVISGIFDYLQDKAEGSTLYGFRGGPAGIMKCKYVELTSEYIYPYRNQGGFDMIRSGRDKIETPEQFKQAEETVQKLDLDGLVVIGGDDSNTNACLLAEHFRSKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKMTLKDVTDYIVDIISKRAEDNYNYGVILIPEGLIDFIPEVQHLIAELNEILAHDTVDEGGLWKKKLTDQSLNLFELLPKAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQQGTYKGGFKGQSHFFGYEGRCGLPTNFDSSYCYALGYGAAALLQFGKTGLISSVANLCAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFQKFASLRDEWALKNRYISPGPIQFTGPGSDAISQTLLLELDAQA >Vigun04g154400.1.v1.2 pep primary_assembly:ASM411807v1:4:37347192:37354745:-1 gene:Vigun04g154400.v1.2 transcript:Vigun04g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWQLGPNFPSWIQSQDKLYYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGKEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGEIPPTISNLSFLSMLDLSYNHLNGKIPTGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYDQNGKGSDDRHGVNLFYIAT >Vigun05g214500.1.v1.2 pep primary_assembly:ASM411807v1:5:40612118:40613053:-1 gene:Vigun05g214500.v1.2 transcript:Vigun05g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQGDQPSSSKAMERKTIEKNRRIHMKNLCSQLNSLLPNNTNPRGEPLSRADQIDEAINHIKNLESKVQMAEKHRESLRERKRSRSGCSGSSASTKLEVHELGSSLRITLVCGFEDQFILREIIRMLHEDNIEVKTVHSSRSSGTSSLHVLNAEIQQSCNLGTTVLIERLKRFVDGHVETGNA >Vigun11g001100.3.v1.2 pep primary_assembly:ASM411807v1:11:168675:174153:1 gene:Vigun11g001100.v1.2 transcript:Vigun11g001100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLQYMKTLRSQMNDVEDEAAKISVEEEMQLTNVRTLENDIESAKSGITQLKEDTEKMRAAKGEICSKILEKQKRIASLEFDTIKLSQTLELIQQERVGLSSKLSEKRAYYSKVAEDMNAKLQKQQEWVSSTRNISRELQKHDLVTGKVVGEISKAEGKTGATCNLVVDNLGSVVRTNLINELDSAKARLEEILTLKAKVLTENTKIKLAIEDVKCRENEFKPELKAAGLTALEEEYKALLSDKAAETEYLQSLERQVEKLKEIRHVVKCACGEEYTVALNN >Vigun03g349600.1.v1.2 pep primary_assembly:ASM411807v1:3:54996497:54999403:1 gene:Vigun03g349600.v1.2 transcript:Vigun03g349600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRDEVPVISSNNVFAALGSLKKKKKKPDKEQGPSKAQDPQKKDVFWAPAPLTSKSWADVDDEDDEDYYATTAPPESGWAAPPVSETVPDIDAVVVEESESESEGIDDADDDAEEEHENDLDVPEEVEPVPQKPAEPPVVTKEAERQLSKKELKKKGLEELEAVLAELGYPSKEPSSQDDSHGAEKKEEDINGSVEKKENAAGESKNAKKKKKKDKSLKEQKESQEQPDVVEAGNTTSETAAETEKTEDSSAIDVKERLKKVASMKKKKSSKEMDAAARAAANEAAARSAKLAAAKKKEKAHYNQQPVR >Vigun10g103700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29684487:29688963:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSAAIDPSGNYPAGFPFIAENIESHNGKPASVSAQVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29684488:29688988:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSAAIDPSGNYPAGFPFIAENIESHNGKPASVSAQVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.7.v1.2 pep primary_assembly:ASM411807v1:10:29685878:29688973:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.2.v1.2 pep primary_assembly:ASM411807v1:10:29684488:29688988:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.3.v1.2 pep primary_assembly:ASM411807v1:10:29684487:29688973:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.8.v1.2 pep primary_assembly:ASM411807v1:10:29685898:29688974:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29684672:29688963:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSAAIDPSGNYPAGFPFIAENIESHNGKPASVSAQVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.9.v1.2 pep primary_assembly:ASM411807v1:10:29685263:29688965:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.6.v1.2 pep primary_assembly:ASM411807v1:10:29684672:29688973:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMVSESVVFSANNPLMVSSSVSPGVFSSQQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.11.v1.2 pep primary_assembly:ASM411807v1:10:29684672:29688974:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun10g103700.10.v1.2 pep primary_assembly:ASM411807v1:10:29684672:29688963:-1 gene:Vigun10g103700.v1.2 transcript:Vigun10g103700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFEEFQGKGLLDFSSASDSFSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPSPPTSSSTMSSSLGSNSTSKGGGSGTSATTTTTTGAAALTPSDNNNNNPPPDSSPEKCGIRMDDWEGQDQSILRLIMGDVEDPSAGLSKLLQNTACPSQSVDFNAGFGVVDQGLNMQPQHEFGVVDEKPQVINPQFMLNQNQVQFSENPSFFVPMMYPQVQEQQVFPQHHQAKRPLFDTIGHNYQAPRLPLLDSGQEMFGRRQQTQLPLFPHHIQQQQQQQQHQSIVMPSAKQQKVSSAGDDASHQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGKPFQRAAFYMKEALMSLLHSNPHTFMAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQALIEAVERFDRIHVIDFDIGFGVQWSSFMQEIALRSTATPSLKVTAIVSPSTCDEVELNFTKENLMQYAKDINVSFEFSVLNIESLHSPSSPLLGQFFDNEAIAVNMPVSSFTNNPSLFPSALHFVKQLRPKVVVTLDRICDRIDVSLPTNAVHVLQCYSALLDSLDAVNVNLDVLQKIERHFIQPAIKKIILGHHHSQEKLPPWRNLFLQSGFSPFTFSNFTEAQAECLVQRAPVRGFHVERKPSSLVLCWQRKELISVSTWRC >Vigun01g241800.6.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41137703:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEQVYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.12.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41136896:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.10.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41136896:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEQVYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.2.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41137704:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.9.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41136896:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWCISTLSSFFFLISNMQRDNLIKKLLLTHDPDGRRLDSEAILLAVGNIMFHSSKIIVTSNLYSAAFQKNDITEIETIGCSESVGFIITKICKILCRCSGEGDINSRVINLFDLIGKYSWDAKVVLVLAAFAVRYGEFWQLMQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEQVYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.4.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41137703:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWCISTLSSFFFLISNMQRDNLIKKLLLTHDPDGRRLDSEAILLAVGNIMFHSSKIIVTSNLYSAAFQKNDITEIETIGCSESVGFIITKICKILCRCSGEGDINSRVINLFDLIGKYSWDAKVVLVLAAFAVRYGEFWQLMQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEQVYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.5.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41137703:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.3.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41137703:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWCISTLSSFFFLISNMQRDNLIKKLLLTHDPDGRRLDSEAILLAVGNIMFHSSKIIVTSNLYSAAFQKNDITEIETIGCSESVGFIITKICKILCRCSGEGDINSRVINLFDLIGKYSWDAKVVLVLAAFAVRYGEFWQLMQLHRGNSLAALISSIKQLPCNLKPLKLQIKALSLLVETMMDVAMCIIKFEYLPLQHVEHGNHIFRVTKSQIYEAAYWIARSCLACFSQVKDFTIKPHEYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >Vigun01g241800.11.v1.2 pep primary_assembly:ASM411807v1:1:41133294:41136896:1 gene:Vigun01g241800.v1.2 transcript:Vigun01g241800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSDSAIIAAWELSSLAYRLSGTCCNLRRQVDLCYEEIERNLYQRLLDLAREDHIDNQKILTLFFPSNNYLPLKDCSTETKLSVSELKNKLVLLLITKPQLLSPVDLFLLVQQTCDHPLNERLKESYKIVWIPLPSSDSWTEAEESSFNFLSDSLPWHAVRKPRLLSPAVIKYIRERWNYKDEPIMVALDSRGKVTNYNALDMINIWGAKAYPFSASKEEDLWQDQNLTMQLLLDEINPLLAYWVEQGKSICLYGSENLVWIQQFNDKINELKRAGLQLETIYVGNSQLGEHVKQIMARSGETSRSDPLSFTNVQFFWLRLASMRRSKLRLGKTQSGDSVLAELSALLEMDDREEGWAVIGSGGSTDIIRLQGMQVMEFLRKCSVWRENITKFGLWGAPRNILETDFVEGSCTHSYFVPSRENERPSQGTVICQVCKRPMKKFVVYKP >VigunL057500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:34901:40824:1 gene:VigunL057500.v1.2 transcript:VigunL057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDNDSVVTQISIGGFGSEVKASDLVNYLEDKIGLVYRCRLKTSWTPPESYPEFNITDTAKITRTDDYMKVKPHAFVHFASSESVTAALNASGSCDMFLKNESLKVSCGPENPYFLNKRRRTKTPFKMSDVIVEIGTLVSPWEFSVAWKGPDKGVKFLVDPFDGMCRFCFTRDTAFSFKGIEKKAVIKCDFQVGFLVRDINEIRRYNDTSYLVVLLHLASSPWVWYRTAEDDIEDLVPYDLLDDDDPWIRTTDFTPSGAIGRCNFYKISIPPRHGAKLMKAMLYLKAQRVQTQELPPKQTTLRALNEPDFGRPMSDAFFYVDYQKDISFDVMFLVNAIVHKGIFNQHRLSDGFFELLRNQSKELNVAALKHLCSYKRPVFDATKRLKLVQEWLHRNPKLYQISKKLDDVVEVRRLVITPSKAYCIPPEVELSNRVLRKFREVSDCFLRVTFMDEGMQTINVNALNYYVAPIVKEITSNSFPQKTKIYNRVKTILEKGFSFCGRKYSFLAFSSNQLRDRSAWFFAEGKIRCDEIRSWMGKFNQKNVAKCAARMGQCFSSTYATVEVVANEVNFSLPDVERNNYVFSDGIGIISPDLAREVAEKLKLDNIPSAYQIRYAGFKGVVASWPAKGDGIRLSLRPSMDKFQSSHTILEICAWTRFQPGFLNRQIITLLSALGVPDDIFWQMQEAMLWKLNQMLVDADIAFDVLTKSCAEHGNAAAIMLSCGFSPLTEPHLRGMLTSTRAAQLWGLREKSRIFVSSGRWLMGVLDECGVLEQGQCFVQVSTPSLENCFSKHGSRFSETKNLQVVKGFVVIAKNPCLHPGDVRVLEAVDAPDLHHLCDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDDNLIPPSKRSWIPMEYAAVESKLLTRQVMTRDIIEFFVRNMVNENLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKVVTMPHHLKPKLYPDFMGKENHQSYRSKKILGRLYRRIKDAYDEDIDAPYLNFLTGDIPYDKDLEVPGSADFLVESWEQKCAYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRHIFERLSSDVGDLSEEEKIRCMSRKLRPGIR >VigunL057500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:34978:40668:1 gene:VigunL057500.v1.2 transcript:VigunL057500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDNDSVVTQISIGGFGSEVKASDLVNYLEDKIGLVYRCRLKTSWTPPESYPEFNITDTAKITRTDDYMKVKPHAFVHFASSESVTAALNASGSCDMFLKNESLKVSCGPENPYFLNKRRRTKTPFKMSDVIVEIGTLVSPWEFSVAWKGPDKGVKFLVDPFDGMCRFCFTRDTAFSFKGIEKKAVIKCDFQVGFLVRDINEIRRYNDTSYLVVLLHLASSPWVWYRTAEDDIEDLVPYDLLDDDDPWIRTTDFTPSGAIGRCNFYKISIPPRHGAKLMKAMLYLKAQRVQTQELPPKQTTLRALNEPDFGRPMSDAFFYVDYQKDISFDVMFLVNAIVHKGIFNQHRLSDGFFELLRNQSKELNVAALKHLCSYKRPVFDATKRLKLVQEWLHRNPKLYQISKKLDDVVEVRRLVITPSKAYCIPPEVELSNRVLRKFREVSDCFLRVTFMDEGMQTINVNALNYYVAPIVKEITSNSFPQKTKIYNRVKTILEKGFSFCGRKYSFLAFSSNQLRDRSAWFFAEGKIRCDEIRSWMGKFNQKNVAKCAARMGQCFSSTYATVEVVANEVNFSLPDVERNNYVFSDGIGIISPDLAREVAEKLKLDNIPSAYQIRYAGFKGVVASWPAKGDGIRLSLRPSMDKFQSSHTILEICAWTRFQPGFLNRQIITLLSALGVPDDIFWQMQEAMLWKLNQMLVDADIAFDVLTKSCAEHGNAAAIMLSCGFSPLTEPHLRGMLTSTRAAQLWGLREKSRIFVSSGRWLMGVLDECGVLEQGQCFVQVSTPSLENCFSKHGSRFSETKNLQVVKGFVVIAKNPCLHPGDVRVLEAVDAPDLHHLCDCLVFPQKGDRPHTNEASGSDLDGDLYFVTWDDNLIPPSKRSWIPMEYAAVESKLLTRQVMTRDIIEFFVRNMVNENLGAICNAHVVHADSSDYGALDEKCIHLAELAATAVDFPKTGKVVTMPHHLKPKLYPDFMGKENHQSYRSKKILGRLYRRIKDAYDEDIDAPYLNFLTGDIPYDKDLEVPGSADFLVESWEQKCAYDGQLSGLLGQYKVKREEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRHIFERLSSDVGDLSEEEKIRCMSRKLRPGIR >Vigun06g131200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25763854:25765233:-1 gene:Vigun06g131200.v1.2 transcript:Vigun06g131200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLSCDPRLYQAIYNLNILTNKLWLVEKPKLHSRNTEIQNMAVIISRKTCFSHQFYSITLGENDFFNVTITANATVVKHWLATTLHNSRRYLYLNCLVVGLGVHWTPGPNNPPVDTLQLCVGRRCLIFQLAHANRVPSNLRTFLNNPNHNFVGFWNHSDRRKLKQSRFQLEMCTDPLDLRLFFENLAQASVEEIVQKCLGYQISQPREIGRSRWRDFLLSDDQITYAAVDAFCAFLIGRNFKIRNNDI >Vigun06g131200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25763352:25765233:-1 gene:Vigun06g131200.v1.2 transcript:Vigun06g131200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLSCDPRLYQAIYNLNILTNKLWLVEKPKLHSRNTEIQNMAVIISRKTCFSHQFYSITLGENDFFNVTITANATVVKHWLATTLHNSRRYLYLNCLVVGLGVHWTPGPNNPPVDTLQLCVGRRCLIFQLAHANRVPSNLRTFLNNPNHNFVGFWNHSDRRKLKQSRFQLEMCTDPLDLRLFFENLAQASVEEIVQKCLGYQISQPREIGRSRWRDFLLSDDQITYAAVDAFCAFLIGRNFKIRNNDI >Vigun03g311133.1.v1.2 pep primary_assembly:ASM411807v1:3:50360354:50360970:1 gene:Vigun03g311133.v1.2 transcript:Vigun03g311133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSMVPLLQRLETLKIHFSYNAARENIQSYSIKRWDQSNLMSSKESNSCLMLQIFNMLLMVLLDQSIPEEDGRIQNVSHSRKPSTDTSFGSGTDVA >Vigun10g005800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:583632:585612:-1 gene:Vigun10g005800.v1.2 transcript:Vigun10g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPPTTTTTHIALVSVPAFSHQVSILEFAKRLLHLHTDTFHVTCIIPTLSSSSSKHSFFFDSLPRNIHCIFLPSVNFQDLNNNGASVEIQTQLSASRAMSSVRETLVSLSRTTAITALIADAMAPEALELGKELNILSYIYFPCSTMVLSICFHSRNLDHEVSCEYKDHPGLIPLPGCISISGRDLPDSMQDRGSLAYKLFLQRCQRYLDAHDGILVNSFMELEEETTKAISEHEHGNGNEHGNGAGNGNGGDYPPVYLVGPITQSGSRSRCECLLWLDKQQPDSVLYVSFGSGGTLSQEQINELALGLELSKHKFLWVNLRAPNDRASATYFSDGGLVDDDDDPLQFLPKGFLERTKEQGLVMCGWAPQVEVLGHRSIGAFLSHCGWNSVLESVVHGVPMIAWPLFAEQRSNAALVSEGLKVALRAKMKNPRGKSNGVVVKEEIVELIKGVMEEGLEGEEIRRKVKELKKLANCAMMEDGSSTRTIEKLVNKWKRLGKSL >Vigun03g347300.1.v1.2 pep primary_assembly:ASM411807v1:3:54638871:54641487:-1 gene:Vigun03g347300.v1.2 transcript:Vigun03g347300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLSWKCHYVLCFLFLILCCHGKGQDSSLTAMKKKEKEVIYSVIQGFVGKWWNGSYLYPDPCGWTPIQGVSCEQYDDGFWYVTTVNFGPVFDNSHMCSHDAKFPQQLFNLKHLKVLSLSSCFLSPTKNPVTLPLSNWDKFSHSLESLTLRSNPGLVGTIPSTIENLRKLQSLVLLENGLIGKLPPSISNLVRLRQLVLAGNYLVGEVPANYGKLSELLIFDASRNNLSGVLPSTLGSLDSLLKLDLSNNMLEGRLPKQLGRLKNLTLLDISHNKLRGGLAETLKELVSLKHLVLSNNPIEGDLSGVKWENFLNTETLDLSNIGLKGSVPESMAKMKRLRFLDLSNNNLSGNVSRSLENLTCLGALHVNGNNLTGRLEFSERFYMKMGMRFAAWNNENLCYIVKPNHQVPYGVKPCVQNITISEGFSTVKITEGNHEDSSVVSSLGGSGLYGFWWVFTVNGVLNVFMWDMLS >Vigun04g019660.1.v1.2 pep primary_assembly:ASM411807v1:4:1488431:1492547:1 gene:Vigun04g019660.v1.2 transcript:Vigun04g019660.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVLAILFITLSFIVFVFKNKHHGRTAPGPKSLPIIGNLHMLGKLPHRSFQSLATKYGPIMSLKLGQVPAIVVSSPQTAELFLKTHDIVFASRPRTQASEFLSYGNKGMAFCEYSAYWRHVRKVCTVQLLSVSKVEIFAPLRREELRVLVKSLKNSAELGEVVDLSNLLGELMENIVFKMVLGRAKDDRFDLKFLIEEVMNLLGAFNLADYMPWLEVFDFQTLERGSQQDPPQFLPQPLPFLGPLRSRLKTLPLCQFLDSTK >Vigun09g151400.1.v1.2 pep primary_assembly:ASM411807v1:9:31579347:31580412:1 gene:Vigun09g151400.v1.2 transcript:Vigun09g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLRLPVKSLVRLKCVCSCRGFLLLNSDQSLWVWNPSTGVHRKLPSTLIESDLKTFLQGFGYDPSTDDYLVVRVSCKPKHATNGVEFFSFRTNVWTHVEVTHLSYINDLEHNKGGLLLNGAIHWLVCRFDEHNVTGWLVVFDLTERSISEMLLPSELLNYCDFDSCELVVLGDLLSLSFVDSDSSVEMWVMEKYGDQSSWNRAIAVYAEHFVADTHFFPICYTKDGDIFGSDGWAGLAKYSFRGQQPEHRSYYDGRCGLEVAVYTESLLSFPVTASKQTEEDE >Vigun03g158500.1.v1.2 pep primary_assembly:ASM411807v1:3:17366918:17369320:-1 gene:Vigun03g158500.v1.2 transcript:Vigun03g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFSFGDHFVSALKPSLSPTYSLIKWYLENVLMDQKESEFRLIDEASATQQQNSIIMEVHHLQGVEKSTDFFPVEHPMEPPDEDRPVKCPMPESSVINDERMHEKRFAESLKKRTETTRVVGDGERTTSMEAEPPARGVRKRHHNLTNGGGDLVMTPLMRMPPLPPLPSQNITIFQVLQQVDKFES >Vigun03g158500.3.v1.2 pep primary_assembly:ASM411807v1:3:17366877:17368938:-1 gene:Vigun03g158500.v1.2 transcript:Vigun03g158500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHLQGVEKSTDFFPVEHPMEPPDEDRPVKCPMPESSVINDERMHEKRFAESLKKRTETTRVVGDGERTTSMEAEPPARGVRKRHHNLTNGGGDLVMTPLMRMPPLPPLPSQNITIFQVLQQVDKFES >Vigun03g158500.2.v1.2 pep primary_assembly:ASM411807v1:3:17366877:17369320:-1 gene:Vigun03g158500.v1.2 transcript:Vigun03g158500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFSFGDHFDQKESEFRLIDEASATQQQNSIIMEVHHLQGVEKSTDFFPVEHPMEPPDEDRPVKCPMPESSVINDERMHEKRFAESLKKRTETTRVVGDGERTTSMEAEPPARGVRKRHHNLTNGGGDLVMTPLMRMPPLPPLPSQNITIFQVLQQVDKFES >Vigun01g185900.1.v1.2 pep primary_assembly:ASM411807v1:1:36611049:36615643:-1 gene:Vigun01g185900.v1.2 transcript:Vigun01g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSSPHSQTSSPPTGYKVQFASDDDPFRETALFQDTLVFNSPFTETEFENLNLDTEIVEDPELTEDMATGKSCEYEQYVLDSEDEEMSDRHVGKEFLEDETSPTMKIPSTLFQKRLPKPPCEQVEANTTTFGNSTAEHNMLEGDKRALVDSDSFDDKNRLYPPATLSHIQSPEPGNSTQAALGFVDQYLSSNDMDLFQEIHCGKTAREKSPHVLNARGPLNLAKKIKARTKIEEKKPFKWVDSCQHVNRGRMFGQKIEASSSFGRYKQTYARRRKKGGHLQSQGNCSTSNTFDEKLGRGPRMAIGNNNSLKEMSVQPSSVRENANVYSSLTHVEDMSDIGLGTQIAAEAMNALALMPPYGCQFNDTHQPENAIDGSLADFTENENHLKNSSNIQNPSLHSIKIKSNKKKASSSRFSKLTSISSCKHTDKQESNVVSGKMKQIMKSKSAAEGRFENNNSMPECSKHVLLEEVCPLGEYTSLRPAAEEESEHRNNESRHPRIKDQPSHCTEENSLADPVKLGARTKRLTFFSNSCMLAKKVKLNHLTEVSPQLSATRSFSWTDYWVYPKRSRGKRRGANAGTNLNASSVMCIDGKENNIFSTRSLEDLTDASCVDDARCLLQGNFVPPGSSSDAMKEQNLPDTHPLLLAHVERSSNESVAQSRSGVRATVVPSEGVKVSNVKHSYIEHHKKLCVKTQPKSSLLKELTRLGVPESMSDMMWKDLRHHRGMADVRVLFSQHLDDSIIKRQTKILKRLNISVATSSMEATHFVADKFTRTKNMLEVIALGKLVVNHLWLESCGQANCFIDEKNYILRDMKKEKEMGFNMPVSLALAREKPLLKGKRVYITPHIKPDKEVIASLVTAVHGQVVDQSEVCADMNDNILDDLLILSCEDDYAICHRLFKKGTAVYSSELVLNGIVIQKLELERHQLFMNQVTRSTNCPSASNRFGKVYRRRQRLI >Vigun08g079400.2.v1.2 pep primary_assembly:ASM411807v1:8:16302071:16309907:1 gene:Vigun08g079400.v1.2 transcript:Vigun08g079400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWELWLVPLEEDVLSFDFIVLLKIRLGPNGQEFFTSYDEVCESFDAMRLQENILRGIYAYGFEKPSAIQQRGIVPFCKVLDVIQQAQSGIGKTATFCFGVLQQLDYNIVQCQMREILVVNRAMVALLHSRLGWFSLLGFSVRAMGHVLSGHWALF >Vigun08g079400.1.v1.2 pep primary_assembly:ASM411807v1:8:16301985:16304695:1 gene:Vigun08g079400.v1.2 transcript:Vigun08g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWELWLVPLEEDVLSFDFIVLLKIRLGPNGQEFFTSYDEVCESFDAMRLQENILRGIYAYGFEKPSAIQQRGIVPFCKVLDVIQQAQSGIGKTATFCFGVLQQLDYNIVQCQVNML >VigunL058066.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000440.1:65960:69466:-1 gene:VigunL058066.v1.2 transcript:VigunL058066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLRHSSSTSRRLFPLASQIHSSVSRSPLSTPNDSTSTSFYSFNSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLADEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNIITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFHKFPGDEILIIRGSALSALQGTNDEIGRQAILKLMDVVDAYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGVIKVGEEVEVLGLMQIMN >Vigun05g215500.1.v1.2 pep primary_assembly:ASM411807v1:5:40743669:40743997:1 gene:Vigun05g215500.v1.2 transcript:Vigun05g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGILAASVAAASATAASLSSSSHQDDRRTRENASSQNSSSSSNEKFAPRFDGLRFIETLVTAHR >Vigun01g158300.1.v1.2 pep primary_assembly:ASM411807v1:1:34016999:34025903:-1 gene:Vigun01g158300.v1.2 transcript:Vigun01g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHVYNTSPLFEGEPDQNWNHMHIDQHYVHLGRTSTSENGSFIYPAENMSIDSISFPSHWNSSTRSNGYASSGHNIDVPPHQPDASGTSNNDHFVHSSNAGAFFTLSDNHVHQPSSSNYDRHTFHVDSSFVDLTMGSGQGPLKRKSPGISPVYERGSTSRYFNAGSSTDLPISSELWQDKPNIDSQYMPWDHFTMTPTFRGTGLSIKGEGSLRNVRSRSALDLDSHPSRTHLSTTNHSHTSYPTLPPVDHSSLVDASGQTSTLTRDWSQMNISSANGRVLLPDTSAFGLETSHFLVGSGATVSNASLDVGYFHHEFGTSRNHAPTPSFQNNQTQNARGIQSNYSQRSTPTFGASSSLRMGHVTSLDEGLPMVAESYSSRHPRPLSTLGWRNGDRNGRSRISSQRYRTLTEESGLAERFASEGFLVVERASVYDSRNMLDQHREMRMDIDNMSYEDLLALGERIGNVNTGLSEDMFSKCLTETIYCSSEQSQDEGNCVICLEEYKNMDDVGTLKTCGHDYHVSCIKKWLSVKKLCPICKASALAEDTKDK >Vigun05g050632.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4351775:4352098:-1 gene:Vigun05g050632.v1.2 transcript:Vigun05g050632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIIQRNTVDAITKDETRCMVCLEPQTLLLPRTCLDSNHWTCLAVVKPFFHPSPTCCVDQTLSYDPRYIVVLDEGKHTYYYNLTMTLINKHYTLEVKQNFNYQLYC >Vigun03g267500.2.v1.2 pep primary_assembly:ASM411807v1:3:43802812:43805434:-1 gene:Vigun03g267500.v1.2 transcript:Vigun03g267500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHLTFCFLSSILALIVITFIFTKRKKPTLNLPPGQTGWPLLGETIGYLRIYKALTLGEFMLNHIARYGTIYRSNLFGEPAIVSADAGLNKFIMQNEGKLFEASYPKSIGGVLGKWSMLVIVGDMHKHMRNISLNFLSYSRVRTLLVKDIDYHARHVISSWKHNSIVSAQNEARRFTFNLVAKQIMSLDPGQPETEKLKIEYVSFMKGVVSLFPLDLPGTAYRKALKARSTILKFIEAKMEERAKRIEKGEAVEEDNDLLNWVLTHTNLSNEQILDLILSMLFGGHETSSVAISLAIHFLPGCPRAMQQLREEHVEIVASKKKTGGEVELTWDDYKKMEFTHCVLNETLRLGNVVRYLHRKAIKDVQYKGYDIPRGWKVLPVISAAHFDPQHYDQPHQFNPWRWQVWPVNEQKLNP >Vigun03g267500.1.v1.2 pep primary_assembly:ASM411807v1:3:43801335:43805434:-1 gene:Vigun03g267500.v1.2 transcript:Vigun03g267500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHLTFCFLSSILALIVITFIFTKRKKPTLNLPPGQTGWPLLGETIGYLRIYKALTLGEFMLNHIARYGTIYRSNLFGEPAIVSADAGLNKFIMQNEGKLFEASYPKSIGGVLGKWSMLVIVGDMHKHMRNISLNFLSYSRVRTLLVKDIDYHARHVISSWKHNSIVSAQNEARRFTFNLVAKQIMSLDPGQPETEKLKIEYVSFMKGVVSLFPLDLPGTAYRKALKARSTILKFIEAKMEERAKRIEKGEAVEEDNDLLNWVLTHTNLSNEQILDLILSMLFGGHETSSVAISLAIHFLPGCPRAMQQLREEHVEIVASKKKTGGEVELTWDDYKKMEFTHCVLNETLRLGNVVRYLHRKAIKDVQYKGYDIPRGWKVLPVISAAHFDPQHYDQPHQFNPWRWQGSNSKTDNNHFMPFGGGSRLCPGSELAKVEMAVFIHHLILNYNWELLEGDEPFVYPYVDFPKGLPIKIQATSSI >Vigun08g107700.2.v1.2 pep primary_assembly:ASM411807v1:8:26716727:26719537:-1 gene:Vigun08g107700.v1.2 transcript:Vigun08g107700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNLSRVLVSVDGSEESMKALRWALTNLKLRSPTSDSTDAPSFIIFHVQSPPSIATGLNPGAIPFGGPSDIEVPAFTAAIEAHQERITRAVLDHALGICSEFNLTSQVRTHVVVGDPKEKICEAVQDLHADVLVMGSRAFGPIKRMFLGSVSNYCAHHASCPVIIIKETDSVNKIN >Vigun08g107700.3.v1.2 pep primary_assembly:ASM411807v1:8:26717274:26719537:-1 gene:Vigun08g107700.v1.2 transcript:Vigun08g107700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNLSRVLVSVDGSEESMKALRWALTNLKLRSPTSDSTDAPSFIIFHVQSPPSIATGLNPGAIPFGGPSDIEVPAFTAAIEAHQERITRAVLDHALGICSEFNLTSQVRTHVVVGDPKEKICEAVQDLHADVLVMGSRAFGPIKRMFLGSVSNYCAHHASCPVIIIKETDSVNKIN >Vigun08g107700.1.v1.2 pep primary_assembly:ASM411807v1:8:26713486:26719774:-1 gene:Vigun08g107700.v1.2 transcript:Vigun08g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNLSRVLVSVDGSEESMKALRWALTNLKLRSPTSDSTDAPSFIIFHVQSPPSIATGLNPGAIPFGGPSDIEVPAFTAAIEAHQERITRAVLDHALGICSEFNLTSQVRTHVVVGDPKEKICEAVQDLHADVLVMGSRAFGPIKRMFLGSVSNYCAHHASCPVIIIKETDSVNKIN >Vigun09g270500.1.v1.2 pep primary_assembly:ASM411807v1:9:43306671:43312577:1 gene:Vigun09g270500.v1.2 transcript:Vigun09g270500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEERAKGSSVSGVVAADQTPEQLVSRAIAPVKKKFLRPPPVRSSAPDDDVSIPTENNNYVLAMETKSKRQLKRERLEGQKSAQNLCPEIAKTGDVSSCPYKDKCRSSHDLEGFMAQKPSDLEGECPFVKYGVSCPYGIACRFLNTHEGGVPSGDRNGLENSSEVNGLRKDTQRLLWKNKMNFSKADAKLKSLGLLGRSKSKRNDLENKEGVDTGSNKYDHSDDSGSCVVTSDSGSKLDCPAEDRKSKSDELCPLKRRKTKENCGPKESENEGVTEKIVERRCTESEADAIVPETDLSLKLHSREKKLIDFRRKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCANLLEGQASEWALLRRHSSEDLFGVQICGAYPNIVARTVELIEQECTVDFIDINMGCPIDIVVNRGAGSALLTKPMRMKGIVEAASGTVDKPITIKVRTAYFEGKNRIDSLIADIGSWGASAVTIHGRSRQQRYSKLANWDYVYECAKKAPTTLPVVGNGDVFSFVDWNKHRTECPELATDMIARGALVKPWIFTEIKEQRHWDISSGERLNILKDFVNFGLEHWGSDTKGVERTRRFLLEWLSYCCRYIPVGLLDVVPQRLNWRPPSYYGRDELETLMASDSAADWIRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >Vigun07g048200.1.v1.2 pep primary_assembly:ASM411807v1:7:4984154:4988298:-1 gene:Vigun07g048200.v1.2 transcript:Vigun07g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLPSQDLGTNVSVSREEFFNFHSIDRLLFTRLVVVLRRDTSQSTHVMAFLMWLEKQCKNMCMIKNLLQWSDPMINSLADEATLVLKCIESSHFPYDDAAFKDENLPLIRSILHHSASFKYFHHNRVVIIGAITKLLDNVCVRAFTDIVQEVQYAKGVREQKMEFEKAYGASPYVKHVVYHTPVPPGVAVVSQNAALAAPPQWGEGSSNGNAYLPVQSYDVSNQQHLNELVAMMNQTSITSTSSDQMQEVPVDERIIFMTFSKGYPISESEVRAFFARRYGDIVEELYMQEVVPPDQPLYARVVIRSRAVDMVDYLLETTNKVKLSINGKHAWARKYLRKGNKSPPTSRPSSPTQFS >Vigun04g134500.25.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.19.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.22.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.3.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764456:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.6.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.14.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.24.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.15.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.29.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.23.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.17.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.28.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.9.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.26.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.4.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764456:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.10.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.21.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.18.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764131:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.7.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.27.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun04g134500.8.v1.2 pep primary_assembly:ASM411807v1:4:33761014:33764471:1 gene:Vigun04g134500.v1.2 transcript:Vigun04g134500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKLLMDEYNGRRQFYRTKTKPFGLKVLVDFKENPKVAQEHTKNPMMMNKIQKVVNVGIVQMRRSVFL >Vigun03g074600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6153134:6154766:1 gene:Vigun03g074600.v1.2 transcript:Vigun03g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYNNCNVVQEFLDPYSEELMKALEPFVKTDYFSVSSDSASSSSSSSSSSSSSDYSLVSSTSYPSTNQIKLNQLTPEQILQIQAQVGLQQHMGYSTQRQNQAQLGPKRVAMKHGGAAAKAAKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTGEEAALAYDNAAFKLRGEFARLNFPHLRHQGAFVLGEFGDYKPLPSSVDSKLQAICESLAKQEQQKTCCSVEDVKPEVHAAAELALLESDVAQSNVCPEFDNFKVENGNENPLLSSPVSGGESSSPESGVTFLDFSDFSDFSRCNYEWDEMESFGLEKYPSVEIDWAAI >Vigun02g115900.1.v1.2 pep primary_assembly:ASM411807v1:2:26945831:26947089:1 gene:Vigun02g115900.v1.2 transcript:Vigun02g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTFAFALLAYILVITSSYSHSAVGAEVGSVVGASLFDQLLKHRNDQACEGKGFYSYNAFITAARSFAAFGTTGDSNTRKREVAAFLAQTSHETTGGAATSPDGPYAWGYCFVTERDKSNRYCDGSGPCSAGKSYYGRGPIQLTHNYNYNAAGRALGVDLINNPDLVARDAVVSFKTALWFWMTPQGNKPSCHDVITNRWTPSAADKAANRVPGFGVITNIINGGLECGKGPTPASGDRIGFYKRYCDVFGVSYGPNLNCRDQRPFG >Vigun09g274200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43488136:43489423:-1 gene:Vigun09g274200.v1.2 transcript:Vigun09g274200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIVPKHLLVSFALCVFILLILPVAFSNNNDAEEAEPVSYHGGPLLGGFLRVGIAWYGPIPRVERRAILSFFRSLNTITPTAENIPQVATWWNKVESYQESVPGAVGAPKITVKVVNQAFLQDFPYGKVLIKDFIKAMIPTATAGVPNTLTLVVASKGVTVAEMCAGSCAQHGEIDNQTYVAVGNPVEECPECAWPFVMSNGKEGQVMMPPSGDPGADVMVKLLAGGLAGAVTNPMGDAFYSSARGNRFYEATSKCPDIFTSGPIPVDPVSGAAYNAIGDKGTKFLLPAIWDLKTNSCWTLL >Vigun03g005600.1.v1.2 pep primary_assembly:ASM411807v1:3:386921:388934:1 gene:Vigun03g005600.v1.2 transcript:Vigun03g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVVGRVIGEVVDMFVPSVNMSVYYGTKHVTNGCDIKPSLAVNPPKLTLTGKKESLYTLIMTDPDAPSPSEPSLREWVHWIVVDIPGGTNPFRGKEILAYTGPKPPVGIHRYIFVLFEQKGPMGPVEEPESRGNFSTRNFAKDLDLGLPVATTYFNAQKEPASRRRT >Vigun01g118500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29471818:29473272:1 gene:Vigun01g118500.v1.2 transcript:Vigun01g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCKKSPLKPWKKGPTRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRSRLWLGSFSTAEEAAMAYDEAARRLYGPDAYLNLPHLQPRPTSSITPGKFKWFPSKNFISMFPSCGLLNVSAQPSVHLIHQRLQELKHQNSAERHSPNSSCNDPEAETQNVDNTDNAESTPKDSQTSSEDVPGDLQEKPQIDLHEFLQQLGILKEETQSARADSTESSTTVPEAVLRYENDELGVFSDTSVNWEALIEMHGIAGLQELESTQLEAYGTNDDFTFSTSIWNF >Vigun05g280500.1.v1.2 pep primary_assembly:ASM411807v1:5:46983004:46988147:1 gene:Vigun05g280500.v1.2 transcript:Vigun05g280500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSINRRLRLLHLYIVLLRLCCTSSYPTPTNCTDTSRVCTSFLAFKPKPNQTLAVIQSMFDVLPGEITYEDNGWDYLFIRKNCSCDADTKKFVSNTTLTVKSNGGFVHDLVKEAYDGLALLPNTTNGARKDHGVISLNLFCSCSSGLWNYLMSYVIKDGDSVESLASRFGVSMDSIETVNGIDDPGSFSVGALYYIPLNSVPGELYHFKNDTPPVPVPSPSVDEFSADQINQKARVPHEWIVGGFAVGLALIILSIVVCVFLRSPNCLVEARNNATNSAGKISNKFYVFGNPNLFCGCGKPVDQKQTDGESSSHQITVTTKASSLIPHMLDMDRPVVFSYEEIFASTDGFSDSNLLGHRAHGSVYYGLLRDQEVAIKRITATKTKEFMSEIKVLCKVHHANLVELVGYAASHDGFFLIYEYAQKGSLSSHLHDTQNKGHSSLSWITRVQIALDAARAIEYIHEHTKTRYVHQGIKTSNILLDASFRAKISDFGLAKLVGKTNEGETTTSKGVNAYGYLAPEYFSNGLATTKSDVYAFGVVLFEVMSGKEAIIQTQGPEKRPLASIMLAILRNSPDSVSMSSTSNLVDPTLMDLYPHDCVHKMAMLAKQCVEEDPVLRPDMKQVVISLSQILLSSAEWEATLAGNSQVFSALVQGR >Vigun06g173000.1.v1.2 pep primary_assembly:ASM411807v1:6:29379991:29384601:-1 gene:Vigun06g173000.v1.2 transcript:Vigun06g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEQHRDVESGEATKLHCLQEPFIQPEEAKHGENNGSIRMVLLSTLVAVCGSFTFGTCVGYSAPTQAAIREDLNLSLAEFSLFGSLVTIGAMLGAMTSGRITDFIGRKGAMRISTGFCITGWVAVFFSKSSYSLDLGRFSTGYGIGIISYVVPVYIAEIAPKHLRGGLATTNQLLIVTGMSVSFLIGSVMNWRQLALAGLVPCICLVIGLCFIPESPRWLAKVGREKEFQLALRSLRGKDVDISHEAAEILDYIETFQSLPKTNLLDLFQSKYVRSLVIGVGLMACQQSVGINGIGFYTAETFVAAGFSSGKAGTIAYACMQVPFTILGAILMDRSGRRPLIMVSASGTFLGCFIAGIAFYLKDQSLLLEWVPILAVSGVLIYIAAFSIGMGPVPWVIMSEIFPINVKGTAGSLVVLLNWLGAWIVSYTFNFLMSWSSPGTLFLYAGFSLLTILFVAKLVPETKGKTLEEIQACISS >Vigun05g275600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46578080:46580423:1 gene:Vigun05g275600.v1.2 transcript:Vigun05g275600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKQYRCIHSSSCQCTKGHLTEDVIFLVFYHLNWNPKLIATLSCACKWFDDFAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCAGSKKGGLFTNIHIPGHFVYQTRFSRTSGRSFLLPQCRTDVLYVSDPCEHLDQGEEGDIGFFRGVFKSFSASKVKKMLIKRSAKFHPTEVCPYCKAKLWNMLQANMIPQSASCRLGSYEDCVEYYVCLNGHMLGFCTLLPLSDSEEASELV >Vigun03g109700.1.v1.2 pep primary_assembly:ASM411807v1:3:9822624:9825914:1 gene:Vigun03g109700.v1.2 transcript:Vigun03g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWVSPCGNKCTNKYSALTKIPWRVFCKKGCNSDGESWEECLEDCNQMCYKDPVLKDQQWSAYIDRSPGAVSYSEECFRACVSGCSYKFDVKPEEADKVSPNRQTKPETVPAPKPKPQLVHPIDPPTDIPGTSA >Vigun07g129700.1.v1.2 pep primary_assembly:ASM411807v1:7:23863749:23866075:1 gene:Vigun07g129700.v1.2 transcript:Vigun07g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGKVLVSGVSLILVVGVAIGVVVVVNKSDSSDPKIAAQQKNVKAMCEGTEETKLCHETLSSVDGNTSDPKVYIAAGVEATMKRVIKALNMSDRLKVEHGDKDPGIKMALDDCKDLIEFALDSIESSVNLVRDQNIQAMYDQTPDFRNWLSAIISYQETCMDGLNNGTNGEKEIKEKLNTDSLDEMGKLTGIVLDIVTNLSNILERFDLKLNLSPASRRLLQVDHEGLPTWFSGADRKLLAALDKGQAGAPNAVVAKDGSGKFKTVKEAIDSYPKGHQGRFVIYVKAGIYDEYILIPKKSTNILIYGDGPAKTIITGHKNFVDGVKTMQTATFANTAPGFIAKSMTFENTAGAAKHQAVAFRNQGDMSAMFDCSFHGYQDTLYVHANRQFYRNCEISGTIDFIFGASATVIQNSRIVARLPLSNQFNTVTADGTKLKNMATGIVIQNCEIVPEKALYPSRLTVKSYLGRPWKQFARTIVMESNIGDVIHPDGWTPWDGNLFLDTLYYAEYANSGPGSNLQGRIKWKGYQGGINKNVAQQFTVAQFLRGGTTGADDWLKATGVPYAAGFLKS >Vigun04g082700.1.v1.2 pep primary_assembly:ASM411807v1:4:12693988:12711448:1 gene:Vigun04g082700.v1.2 transcript:Vigun04g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHTIYRETLHPNTLHVEKMAATFPPVTDPRSGFNPASRTFHSLKPPLILPPPNAAISAASYVLSLRCNSHFPDSSTAVIDSTSGHSLSYGELIHRAENLAANLATVLKLSKAHTALVLSPNIIQVPILCFALLSLGVVVSPANPLSTRSELTRLFHLSKPTIVFAVTSNAEKTREFHVRTVLLDSPEFDSLTRTKIQPLSPTVSPTPVTQSDVAAILYSSGTTGKVKGVMLTHRNLTAIAAGNDTVRTKRAEPAVYLYTVPYFHVYGFTFSLGAMVLSDTVVIMERFSLRAMLSATERFRVTHITMVPALVVAVTKDKVTDGYDLTSLEGIVCGGAPLRKETDEAFKAKFPKVLVMQGYGLTESAVTRTTPEEANHVGTVGTLIPNIEARIVNPQTGKLMFPGERGELWIRGPYVMKGYVDDPEATSATLVNGWLRTGDLCYFDNDGFLYVVDRFKEFIKYKGYQVAPAELEELLLSHPDILDAAVIPYPDNEAGEVPMAFVVRQPQSSLGVAQVIDFVAKQVTPYKKIRRVAFVDSIPKNASGKGFK >Vigun03g349000.8.v1.2 pep primary_assembly:ASM411807v1:3:54940842:54945545:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRRFLNGPNFMPWFQRRRAVAEREQDRLWRHARMKTDMQQLVSKMSELEIVDSFNVIEKLLHRELQLQQPGNGGINYTATCEKLMRDLQAVFNALTKDLQQIMLSNPERASLLQRIVN >Vigun03g349000.4.v1.2 pep primary_assembly:ASM411807v1:3:54940986:54945585:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRGGVL >Vigun03g349000.7.v1.2 pep primary_assembly:ASM411807v1:3:54940986:54945585:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRRFLNGPNFMPWFQRRRAVAEREQDRLWRHARMKTDMQQLVSKMSELEIVDSFNVIEKLLHRELQLQQPGNGGINYTATCEKLMRDLQAVFNALTKDLQQIMLSNPERASLLQRIVN >Vigun03g349000.6.v1.2 pep primary_assembly:ASM411807v1:3:54940842:54945634:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRRFLNGPNFMPWFQRRRAVAEREQDRLWRHARMKTDMQQLVSKMSELEIVDSFNVIEKLLHRELQLQQPGNGGINYTATCEKLMRDLQAVFNALTKDLQQIMLSNPERASLLQRIVN >Vigun03g349000.5.v1.2 pep primary_assembly:ASM411807v1:3:54940842:54945435:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRRFLNGPNFMPWFQRRRAVAEREQDRLWRHARMKTDMQQLVSKMSELEIVDSFNVIEKLLHRELQLQQPGNGGINYTATCEKLMRDLQAVFNALTKDLQQIMLSNPERASLLQRIVN >Vigun03g349000.3.v1.2 pep primary_assembly:ASM411807v1:3:54940986:54945585:1 gene:Vigun03g349000.v1.2 transcript:Vigun03g349000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFKVREELLPSLDPESLQRWIVGFCAIRFDLEQGQLVELCYPPGCLTNDEELEIAYSSFPDSISQQQNRSSIHDSIFFFRFRRHLKSQSTELDKDFSPNSIDKSNVGEELSSTSSADVSKYMYGFVFNRQIHDERLKRGGEQKSVVVLSHSPYSSVFRPLLQIIGPLYFDIGKVALEHIAAYVSAWPAPVPGKLMDLPIGNETLKVNLPPVHCLPMENGLSFDESASPVAPLLPSNQSIPQGLFHDADIFGAFRGILLQLWLLWELLVIGEPILIIAPTPSQCCEAVASLVSLIAPLLCSVDFRPYFTIHDPEFSHLNSIREGETFPPMVLGVTNLFFLKALRKIPHIVSVGSLHTSSNRVALSTRSSAGKVSGRPDGGLQQLSLQNLQKFSPSSLLNAIKLRRDGPLCLMTEHKEAIWSTYSAITKPDTSILNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRIIAPLEGSSPYVDPPSLPPFSADEFLSSLSTRGPGKFILKRMKSNWLNFYRRFLNGPNFMPWFQRRRAVAEREQDRLWRHARMKTDMQQLVSKMSELEIVDSFNVIEKLLHRELQQPGNGGINYTATCEKLMRDLQAVFNALTKDLQQIMLSNPERASLLQRIVN >Vigun05g238000.1.v1.2 pep primary_assembly:ASM411807v1:5:43125018:43128903:-1 gene:Vigun05g238000.v1.2 transcript:Vigun05g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKKKKKTSQNPEAKFQFELNSCSKAKDLRGAISLYDDAVLNNTRLNQHHFNALLYLCSNSVADHSLKTTALDYGFRAFRHMSALGVVPNEATVTAVARLAAAKGDADYAFELVKGIGKYNNATPRLRTYDPALFCFCEMLDADKAYEVEEHMNGVGVSLEEAELAALLKVSARSGRADKVYEYLHKLRSCVRCVSESTAVVIEEWFRGAKASEVGEVEFDVGRVKEGVLRNGGGWHGQGWVGKGDWAVSRTSVGADGHCCCCGGQLVCVDIDDAETEKFAGSVAGLALEREVKANFSEFQAWLEKHDSYEAIVDGANVGLYQQNFADGGFSISQLDGVVKELYNRSGKKWPLVVLHNKRLRGLMENPSSRKLVEEWMNNGALYTTPNGSNDDWYWLFAAVKLRCLLVTNDEMRDHIFELIGSNFFNQWKERHQVHYTFIKGNLKLQMPPSYSLVIQESEKGYWHVPLAPGTSSESSRCWLCITRPSGDDPVATVSNGASSCLDSQVDENNATTISGKRKERSPPS >Vigun04g081800.1.v1.2 pep primary_assembly:ASM411807v1:4:12340161:12343711:-1 gene:Vigun04g081800.v1.2 transcript:Vigun04g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSLPRKANADMNLKLSLGSKSEKLVIPPSPIKGQPQNGDFKWSTARSTTTFTDYGSKEEAYFDSKPWLDSDCEDDFYSVNGDFTPSRGTTPVHHTFGTPSRSRIHGSISVVEPSPEKKKKLLELFRESVKDEQVDDGHGHKAMKPTTQHVMAKSANCTPFISGANSTCSSERIMSEDRASIREKSVKSLQWCIPSLSSCRSFRERSRKTSPAIEVNGKH >Vigun09g257600.3.v1.2 pep primary_assembly:ASM411807v1:9:42335108:42340975:-1 gene:Vigun09g257600.v1.2 transcript:Vigun09g257600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASCSSGILNSGWNHRCPKQRQKVGVYNEVLCRLKELNVPEAVVPGFEDDLWSHFYRLPARYALDMNVERAEEVLMHKRLLDIAGGPITAIGPAIEVRLVQVRSASAGGSSKSLLSNSQSKVCPEDSDVPGSMSFHPPPAFGSSSNMEHNTQFLPVRDRDNYLNFYTHYARPMHEITISTNDKPKLLSQLTSLLSETGLDILEAHAFSTIDGYSLDVFVVGGWPIEQHQLKENGSVPTTSTTAKQEQTGMNFIWRIDAGCLRFEKKIASGPLSDLYKGTFYNQDVAIKVLKHENLNENIQREFAQEVYIISQIKHKNVVKFVGACTQSPNLYLVTEYMPGGSMFDFLHKQKTALALPTLLKVAIDVCEGMKYLHQNNIIHRDLKAANLLIDENGVVKVADFGVARVHNQSGIMTAETGTYRWMAPEVIEHRPYDHKADVFSFAIVLWELLTGKLPYEHLSPLQAAVGVIQKGLRPKIPSNTHSQLVELLHWCWHHDSSLRPNFSEILEFLLRVTKTVTGECEG >Vigun09g257600.2.v1.2 pep primary_assembly:ASM411807v1:9:42335108:42340975:-1 gene:Vigun09g257600.v1.2 transcript:Vigun09g257600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASCSSGILNSGWNHRCPKQRQKVGVYNEVLCRLKELNVPEAVVPGFEDDLWSHFYRLPARYALDMNVERAEEVLMHKRLLDIAGGPITAIGPAIEVRLVQVRSASAGGSSKSLLSNSQSKVCPEDSDVPGSMSFHPPPAFGSSSNMEHNTQFLPVRDRDNYLNFYTHYARPMHEITISTNDKPKLLSQLTSLLSETGLDILEAHAFSTIDGYSLDVFVVGGWPIEETKKLKHELAKKIQKLQQHQLKENGSVPTTSTTAKQEQTGMNFIWRIDAGCLRFEKKIASGPLSDLYKGTFYNQDVAIKVLKHENLNENIQREFAQEVYIISQIKHKNVVKFVGACTQSPNLYLVTEYMPGGSMFDFLHKQKTALALPTLLKVAIDVCEGMKYLHQNNIIHRDLKAANLLIDENGVVKVADFGVARVHNQSGIMTAETGTYRWMAPEVIEHRPYDHKADVFSFAIVLWELLTGKLPYEHLSPLQAAVGVIQKGLRPKIPSNTHSQLVELLHWCWHHDSSLRPNFSEILEFLLRVTKTVTGECEG >Vigun09g257600.1.v1.2 pep primary_assembly:ASM411807v1:9:42335065:42340915:-1 gene:Vigun09g257600.v1.2 transcript:Vigun09g257600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTASCSSGILNSGWNHRCPKQRQKVGVYNEVLCRLKELNVPEAVVPGFEDDLWSHFYRLPARYALDMNVERAEEVLMHKRLLDIAGGPITAIGPAIEVRLVQVRSASAGGSSKSLLSNSQSKVCPEDSDVPGSMSFHPPPAFGSSSNMEHNTQFLPVRDRDNYLNFYTHYARPMHEITISTNDKPKLLSQLTSLLSETGLDILEAHAFSTIDGYSLDVFVVGGWPIEETKKLKHELAKKIQKLQQHQLKENGSVPTTSTTAKQEQTGMNFIWRIDAGCLRFEKKIASGPLSDLYKGTFYNQDVAIKVLKHENLNENIQREFAQEVYIISQIKHKNVVKFVGACTQSPNLYLVTEYMPGGSMFDFLHKQKTALALPTLLKVAIDVCEGMKYLHQNNIIHRDLKAANLLIDENGVVKVADFGVARVHNQSGIMTAETGTYRWMAPEVIEHRPYDHKADVFSFAIVLWELLTGKLPYEHLSPLQAAVGVIQKGLRPKIPSNTHSQLVELLHWCWHHDSSLRPNFSEILEFLLRVTKTVTGECEG >Vigun10g194900.1.v1.2 pep primary_assembly:ASM411807v1:10:40860998:40865269:1 gene:Vigun10g194900.v1.2 transcript:Vigun10g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQHRTSMDYSSEEDSDLSDSEIYDYSEKPYELLRAGKYKVKNLNGTLRCPYCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQKANHLALAKYLQVDLASEAEPIQNPALTLVVSQPSQQEDLYYVWPWTGIIVNIKDNLVDSTYWMKEFAKFKPSDFQIFMKDGGMAAQGVVVFNSDWNGFINASEFEKSFETARRGKKDWSSNKLEADSKIYGWVAREDDYNCEGPIGEYLRSKGTLRTVSDIVQEASESRNSIVTNLTKEIEITNENLDKMQSKFNEKTMSLSRMLEEKDKLHNAFEEETRNMQRRARNEVRRILDEQEKLSSELDEKKRKLDSWSRDLNKREVLTDQERQKLAEDKKKKDLRNESLLLASKEQKIADENVLRLVEEQKREKEEAYNKILLLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDTAVQKKIKEMNDELQEKIENLECVESMNQTLIVKERQSNDELQEARKELIKGLEEMLNGPKGNIGIKRMGELDQKVFVTKCKERFSLQEAGTKGVELCSLWQENVKNSAWHPFKVITNEDKAEIIIDEDDDKLRSLKQEWGDEIYSAVVTALTEINEYNPSGGYTVAELWNYKEKRKATLKEVITYIVDRIKPPKRKRG >Vigun10g194900.2.v1.2 pep primary_assembly:ASM411807v1:10:40860892:40865283:1 gene:Vigun10g194900.v1.2 transcript:Vigun10g194900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEEDSDLSDSEIYDYSEKPYELLRAGKYKVKNLNGTLRCPYCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQKANHLALAKYLQVDLASEAEPIQNPALTLVVSQPSQQEDLYYVWPWTGIIVNIKDNLVDSTYWMKEFAKFKPSDFQIFMKDGGMAAQGVVVFNSDWNGFINASEFEKSFETARRGKKDWSSNKLEADSKIYGWVAREDDYNCEGPIGEYLRSKGTLRTVSDIVQEASESRNSIVTNLTKEIEITNENLDKMQSKFNEKTMSLSRMLEEKDKLHNAFEEETRNMQRRARNEVRRILDEQEKLSSELDEKKRKLDSWSRDLNKREVLTDQERQKLAEDKKKKDLRNESLLLASKEQKIADENVLRLVEEQKREKEEAYNKILLLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDTAVQKKIKEMNDELQEKIENLECVESMNQTLIVKERQSNDELQEARKELIKGLEEMLNGPKGNIGIKRMGELDQKVFVTKCKERFSLQEAGTKGVELCSLWQENVKNSAWHPFKVITNEDKAEIIIDEDDDKLRSLKQEWGDEIYSAVVTALTEINEYNPSGGYTVAELWNYKEKRKATLKEVITYIVDRIKPPKRKRG >Vigun10g194900.4.v1.2 pep primary_assembly:ASM411807v1:10:40861199:40865270:1 gene:Vigun10g194900.v1.2 transcript:Vigun10g194900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSEEDSDLSDSEIYDYSEKPYELLRAGKYKVKNLNGTLRCPYCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQKANHLALAKYLQVDLASEAEPIQNPALTLVVSQPSQQEDLYYVWPWTGIIVNIKDNLVDSTYWMKEFAKFKPSDFQIFMKDGGMAAQGVVVFNSDWNGFINASEFEKSFETARRGKKDWSSNKLEADSKIYGWVAREDDYNCEGPIGEYLRSKGTLRTVSDIVQEASESRNSIVTNLTKEIEITNENLDKMQSKFNEKTMSLSRMLEEKDKLHNAFEEETRNMQRRARNEVRRILDEQEKLSSELDEKKRKLDSWSRDLNKREVLTDQERQKLAEDKKKKDLRNESLLLASKEQKIADENVLRLVEEQKREKEEAYNKILLLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDTAVQKKIKEMNDELQEKIENLECVESMNQTLIVKERQSNDELQEARKELIKGLEEMLNGPKGNIGIKRMGELDQKVFVTKCKERFSLQEAGTKGVELCSLWQENVKNSAWHPFKVITNEDKAEIIIDEDDDKLRSLKQEWGDEIYSAVVTALTEINEYNPSGGYTVAELWNYKEKRKATLKEVITYIVDRIKPPKRKRG >Vigun10g194900.3.v1.2 pep primary_assembly:ASM411807v1:10:40860998:40865269:1 gene:Vigun10g194900.v1.2 transcript:Vigun10g194900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQHRTSMDYSSEEDSDLSDSEIYDYSEKPYELLRAGKYKVKNLNGTLRCPYCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQKANHLALAKYLQVDLASEAEPIQNPALTLVVSQPSQQEDLYYVWPWTGIIVNIKDNLVDSTYWMKEFAKFKPSDFQIFMKDGGMAAQGVVVFNSDWNGFINASEFEKSFETARRGKKDWSSNKLEADSKIYGWVAREDDYNCEGPIGEYLRSKGTLRTVSDIVQEASESRNSIVTNLTKEIEITNENLDKMQSKFNEKTMSLSRMLEEKDKLHNAFEEETRNMQRRARNEVRRILDEQEKLSSELDEKKRKLDSWSRDLNKREVLTDQERQKLAEDKKKKDLRNESLLLASKEQKIADENVLRLVEEQKREKEEAYNKILLLEKQLDAKQKLEMEIEELKGKLQVMKHLGDEDDTAVQKKIKEMNDELQEKIENLECVESMNQTLIVKERQSNDELQEARKELIKGLEEMLNGPKGNIGIKRMGELDQKVFVTKCKERFSLQEAGTKGVELCSLWQENVKNSAWHPFKVITNEDKAEIIIDEDDDKLRSLKQEWGDEIYSAVVTALTEINEYNPSGGYTVAELWNYKEKRKATLKEVITYIVDRIKPPKRKRG >Vigun10g155700.1.v1.2 pep primary_assembly:ASM411807v1:10:37552707:37559917:1 gene:Vigun10g155700.v1.2 transcript:Vigun10g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHELTLLLCLLWALTLLYGEMFAYWVPSLFTCSWPHLLRNSSSSTAQTDAGNYQADYVKVAVITDPQLMDKTSLRLPAKSLALELAEFYTDLNMRRSFFGSVLPFKPDVILFLGDYFDGGPYLSDEEWQESFSRFQHIFGLNARGKYTDMQVYYIPGNHDIGYESLHSLNPKVIQRYEEAFGTRNYRFTVGKVDFVAIDGQTVDGHPQNHLTSQTWDFVKNISAGNVVHPRVLLTHIPLYRLDDTYCGPYRSSPVINQRINYAVNGNTNEISYQNYVSEKSSQFLLDTIKPKLILSGHDHDQCTITHQSKSGPVEEHTLGTISWQQGNLYPSFMLLSVDNSTLPNASIPRDALLTHLCFLPAQLHIYIWYIVLFILTLLATLFWPTSGTSFWHQCWGLFGYCKRLIFSIFSRSETKDKDEDANYEYEMMWDAEGSMHLVKKPLNPSTVNSNDRSLGERGNVVMRAAARKTTAQEGEHSVNVDMASGIALDPIGRIPPRAGKSRTKVIIQRLIRTLRMFTVIAAVNVPLYMMLLFKDWIDK >Vigun10g155700.2.v1.2 pep primary_assembly:ASM411807v1:10:37555023:37559917:1 gene:Vigun10g155700.v1.2 transcript:Vigun10g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKEKDTMSPVIQRYEEAFGTRNYRFTVGKVDFVAIDGQTVDGHPQNHLTSQTWDFVKNISAGNVVHPRVLLTHIPLYRLDDTYCGPYRSSPVINQRINYAVNGNTNEISYQNYVSEKSSQFLLDTIKPKLILSGHDHDQCTITHQSKSGPVEEHTLGTISWQQGNLYPSFMLLSVDNSTLPNASIPRDALLTHLCFLPAQLHIYIWYIVLFILTLLATLFWPTSGTSFWHQCWGLFGYCKRLIFSIFSRSETKDKDEDANYEYEMMWDAEGSMHLVKKPLNPSTVNSNDRSLGERGNVVMRAAARKTTAQEGEHSVNVDMASGIALDPIGRIPPRAGKSRTKVIIQRLIRTLRMFTVIAAVNVPLYMMLLFKDWIDK >Vigun08g200600.1.v1.2 pep primary_assembly:ASM411807v1:8:36518748:36524523:1 gene:Vigun08g200600.v1.2 transcript:Vigun08g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVRRRVASGSPSPWLLGQSVQKIRSGLSVSARVSSTVEKEIASGGCGFVRNFCNITPGCGINSKAMSVVFHPRSAAVRTWGRSFSSDSGDTVDVVVPPLAESISDGTLANFLKRPGDRVNADEPIAQIETDKVTIDVSSPESGVILKFLANEGDTVEPGNKIAIISRSADATHVAPSETTSEKAAPQPTQKVSEEKKAPKVETPPAKEKPKAPPATLSSPTEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFVKAAVNALQHQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADTMNFADIEKQINAFAKKANDGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPTVVGGNVVPRPLMYVALTYDHRIIDGREAVFFLRRIKDIVEDPRRLLLDI >Vigun05g015700.2.v1.2 pep primary_assembly:ASM411807v1:5:1250417:1260146:-1 gene:Vigun05g015700.v1.2 transcript:Vigun05g015700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGIINCWQIALLTLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAAGIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLIIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSPSSVNHDGTAPDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDASMDQIEEAAKIAQAHTFISSLEKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQGALDLLMLGRSTLIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLNGLYAELLRCEEATKLPKRMPVRNYKETAGFQIEKDSSSHSLKESSSPKMTKSPSLQRMSNVSRPSDGTYNLQESPKVWSPPPEHMVENGQLLDAADKEPSIRRQDSFEMRLPELPKIDVQTLQRQKSNESDPESPVSPLLTSDPKSERSHSQTFSRLHSHSDDVSVKLRETKGVRHQKPPPLRKLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIGLVVTAYYRIDDTRHLEREVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDGEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVGLLIGALLHWRLALVAFATLPILCVSAIAQKFWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKRGYMDPPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDGSALKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVFLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENILYARHNATEAEMKEAARIANAHHFISSLPHGYDTHIGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLVAKNGLYVRLMQPHFGKTLRQHRLV >Vigun05g015700.3.v1.2 pep primary_assembly:ASM411807v1:5:1250417:1260146:-1 gene:Vigun05g015700.v1.2 transcript:Vigun05g015700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSFTCTISRRSSTCCGWILSSARHTSSLTGSPRGFVLDLDGRTADCCHQVGNYIHNMATFFSGLVIGIINCWQIALLTLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAAGIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLIIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSPSSVNHDGTAPDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDASMDQIEEAAKIAQAHTFISSLEKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQGALDLLMLGRSTLIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLNGLYAELLRCEEATKLPKRMPVRNYKETAGFQIEKDSSSHSLKESSSPKMTKSPSLQRMSNVSRPSDGTYNLQESPKVWSPPPEHMVENGQLLDAADKEPSIRRQDSFEMRLPELPKIDVQTLQRQKSNESDPESPVSPLLTSDPKSERSHSQTFSRLHSHSDDVSVKLRETKGVRHQKPPPLRKLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIGLVVTAYYRIDDTRHLEREVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDGEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVGLLIGALLHWRLALVAFATLPILCVSAIAQKFWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKRGYMDPPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDGSALKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVFLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENILYARHNATEAEMKEAARIANAHHFISSLPHGYDTHIGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLVAKNGLYVRLMQPHFGKTLRQHRLV >Vigun05g015700.1.v1.2 pep primary_assembly:ASM411807v1:5:1250400:1260146:-1 gene:Vigun05g015700.v1.2 transcript:Vigun05g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHVQPLTPVSEVSEPPESPSPYLDPGAETSASQQVEVEEEMEEPEEIEPPPGAVPFSQLFACADRFDWFLMTVGSLAAAAHGTALVVYLHYFAKIIHVLRMDSELGTSHEQFNRFTELALTIVYIAAGVFVAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGIINCWQIALLTLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAAGIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLIIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSPSSVNHDGTAPDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDASMDQIEEAAKIAQAHTFISSLEKGYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQGALDLLMLGRSTLIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLNGLYAELLRCEEATKLPKRMPVRNYKETAGFQIEKDSSSHSLKESSSPKMTKSPSLQRMSNVSRPSDGTYNLQESPKVWSPPPEHMVENGQLLDAADKEPSIRRQDSFEMRLPELPKIDVQTLQRQKSNESDPESPVSPLLTSDPKSERSHSQTFSRLHSHSDDVSVKLRETKGVRHQKPPPLRKLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIGLVVTAYYRIDDTRHLEREVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDGEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVGLLIGALLHWRLALVAFATLPILCVSAIAQKFWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKRGYMDPPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDGSALKPPNVYGSIELKNVDFCYPSRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVFLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENILYARHNATEAEMKEAARIANAHHFISSLPHGYDTHIGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLVAKNGLYVRLMQPHFGKTLRQHRLV >Vigun03g181800.2.v1.2 pep primary_assembly:ASM411807v1:3:23300378:23310339:1 gene:Vigun03g181800.v1.2 transcript:Vigun03g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVDPALFAFLFPSLWEIKVAVAASVFVIIAYRFFTARTAHFHTDPSLSDNSAAVDVADDKDKDKMEQPKVDSQASSAYLIKLELLAAKNLVGANLNGTSDPYAIITCGNEKRFSSMVPGSRNPMWGEEFNFSVDELPVQQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGAVWHTLDSPSGQVCLHIKTIKLSGNSSRINGYGGANPRRRIPPLERQGPTVVHQKPGPLQTIFSLHPDEVVDHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVRIPFEDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYMFASFWNRNHAFRNLQRAAKNFHEMLEAEKKENAESEQRAHSSSVRGNKLLDKAPVESMSKTGKLPHFIKEEDLVGIYNDVFPCTAEQFFNLLLNDGSNFTSKYRSVRKDTNLVMGQWHTADEYDGQVREITFRSLCNSPMCPPDTAMTEWQHHVLSPDKKNLVFETVQQAHDVPFGSYFEVHCKWSLATINESSCNLDIKVGAHFKKWCVMQSKIKSGAVNEYKKEVEVMLDVARSFIKSNTSNDENDEVSLPLALTLES >Vigun03g181800.1.v1.2 pep primary_assembly:ASM411807v1:3:23300326:23310727:1 gene:Vigun03g181800.v1.2 transcript:Vigun03g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVDPALFAFLFPSLWEIKVAVAASVFVIIAYRFFTARTAHFHTDPSLSDNSAAVDVADDKDKDKMEQPKVDSQASSAYLIKLELLAAKNLVGANLNGTSDPYAIITCGNEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGAVWHTLDSPSGQVCLHIKTIKLSGNSSRINGYGGANPRRRIPPLERQGPTVVHQKPGPLQTIFSLHPDEVVDHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVRIPFEDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYMFASFWNRNHAFRNLQRAAKNFHEMLEAEKKENAESEQRAHSSSVRGNKLLDKAPVESMSKTGKLPHFIKEEDLVGIYNDVFPCTAEQFFNLLLNDGSNFTSKYRSVRKDTNLVMGQWHTADEYDGQVREITFRSLCNSPMCPPDTAMTEWQHHVLSPDKKNLVFETVQQAHDVPFGSYFEVHCKWSLATINESSCNLDIKVGAHFKKWCVMQSKIKSGAVNEYKKEVEVMLDVARSFIKSNTSNDENDEVSLPLALTLES >Vigun03g278300.1.v1.2 pep primary_assembly:ASM411807v1:3:45575520:45585418:-1 gene:Vigun03g278300.v1.2 transcript:Vigun03g278300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKENGANKKPSSGAIDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKSPFGGEYTVFAGLEECIRFIANFKLTEEEIDFVRQSLSSSCEDGFFDYLRGVDCSDVEVYAIPEGTVVFPKVPLMRVEGPIAVVQLLETPFVNLINYASLVSTNAARHRHVAGKSKTLLEFGLRRAQGPDGGIGASKYCYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMNLDEISDKSLRRKDGSSTCDDFVIVVQTWLSKIQLSNGVFGETNQSELAAFTSYALAFPDNFLALVDTYDVMRSGVPNFCAVALALSDLGYKAVGIRLDSGDLAYLSCEVRKFFRSIEKEFGVPEFGKLAITASNDLNEETLDALNKQGHEVDAYGIGTYLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFQESKRAYVVPQKVEELLRCYWPGNSDKKKDTLPALRDIRDRCIKQLEIMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Vigun07g258900.1.v1.2 pep primary_assembly:ASM411807v1:7:37585820:37590660:1 gene:Vigun07g258900.v1.2 transcript:Vigun07g258900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVALLSVVACAFAAAIWAANGDDSLVPPRREVYGNGRIFDISHRYHPEMPEFDSKDGIGQFLWLPKSMKNGSIANNSEMKLPTHTGTHVDSPGHVFDHYFDAGFDVDTLDLDILNGPALLVDVPRDSNITAEVMKSLHIPRGVIRVLFRTLNSDRRLMFQKEFDASYVGFTVDGAKWLVENTDIKLVGIDYLSVASYDYLIPSHLVFLKDREVILVEGLKLDDVPAGFYSVHCLPLRLSGAEGSPIRCILIKN >Vigun07g258900.2.v1.2 pep primary_assembly:ASM411807v1:7:37585820:37590660:1 gene:Vigun07g258900.v1.2 transcript:Vigun07g258900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVALLSVVACAFAAAIWAANGDDSLVPPRREVYGNGRIFDISHRYHPEMPEFDSKDGIGQFLWLPKSMKNGSIANNSEMKLPTHTGTHVDSPGHVFDHYFDAGFDVDTLDLDILNGPALLVDVPRDSNITAEVMKSLHIPRGVIRVLFRTLNSDRRLMFQKEFDASYVGFTVDGAKWLVENTDIKLVGIDYLSVASYDYLIPSHLVFLKDREVILVEGLKLDDVPAGFYSVQIIRGLSCEM >Vigun03g106300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9445330:9445866:1 gene:Vigun03g106300.v1.2 transcript:Vigun03g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREGNRSQSHGGDDSISTDSLSFAGLVSVQEQHPKAPTHNHNTHHYYHQVTKQDLDFEFSTIKDLNSSANPFKTTPADVLISDGKIQPFKPNGPGISDPPISLRSLLAIDHANAKNNASGQTRKYGDQRVKARNHKSKERSATRTWFGHKVFRSFLSPCRKCQASQPGAIKGQIVP >Vigun04g154980.1.v1.2 pep primary_assembly:ASM411807v1:4:37509774:37510142:-1 gene:Vigun04g154980.v1.2 transcript:Vigun04g154980.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSQYLEHLKKIFLLIEKLGMLLQLLPYQ >Vigun07g239900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36112835:36115183:-1 gene:Vigun07g239900.v1.2 transcript:Vigun07g239900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNTPSLSDKWAILLQSAIKSRDPFLGRCIHAPIIKHGLCLGVFLMNNLLNFYSKTGFFSDAHRLFHEMPLKTTFSWNTILSMHVKGGHLDSARQLFDEIPNPDSVSWTTMIVGYNHLGLFNTAINTFLRMVSSGISPTQFTFTNVLASCSAKKALNVGNKVHSFVVKQGLSGVVPVANSLLNMYAKCGDSVMAKVVFERMRLKDKSTWNTMISMHMQFGRLDLALSLFDQMSDPDIVSWNSIITGYCHQGHDIKALETFSSMLKSSSLNPDKFTLASVLSACANLESLKLGKQIHAHIVRVDIDTAGPVGNALVSMYAKSGAVEIARRIVQLTGTSSLNVIAFTSLLDGYVKIGDINPAREIFDSLKCPDVVAWTAMIVGYAQNGLISNALALFRSMIREGPKPNSYTLAALLSVFSSLASLDHGKQLHAVAMRLEEASSISVGNALITMYSRSGSIKDARKVFNQICSYRDTLTWTSMILALAQHGLGNVAIELFEKMLKINLKPDHITYVSVLSACTHVGLVEQGKRYFNLMTNVHNIEPTSSHYACMIDLLGRAGLLEEAYNFITNMPIEPDVVAWGSLLSSCRVYKNVDLAKVAAEKLLFIDPNNSGAYSALANTLSACGKWEDAAKVRKSMKDRAVKKEQGFSWVQIQNKVHTFGVDDALHPQRDAIYRMISKIWNEIKKMGFIPDTNSVLHDLEQEVKEQILRHHSEKLAIAFALINTPEYSTLRIMKNLRVCNDCHTAIKYISKLVKREIIVRDATRFHHFKDGSCSCQDYW >VigunL000800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000105.1:11518:11640:-1 gene:VigunL000800.v1.2 transcript:VigunL000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGRIPLWIIGIVTGITVIDLIGIFFYGSYSGLGSSL >Vigun08g145100.1.v1.2 pep primary_assembly:ASM411807v1:8:31817759:31826660:1 gene:Vigun08g145100.v1.2 transcript:Vigun08g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPPRKKKKGRYGVAELKTLGNQLLSSASHINNLPLLLTFLSPSSPPHHVLESLLSLHSFFLPLLPKLPSSSAVAASAAAPSAADQSEFIYLTWLRSKFDEFLKSLLDILASPQSDETLKELVLDTLMEFVKVANGGAFHSALYHKLLLSIVRSTSPATFCVDLLESKYFKYIDVRYFTFISLKKLATTLEGKDDSDDKIASADGNNESQLSSNTECFIRNMYYTISHVPPLQGSDDTSDLEMWSSSESDQKQHSGDVSVDDKLLKSKKPNKNVLSAAKIAKKMKLKFTKAWIAYLRLPLPLDVYKEVLVNLHQAVIPHLSNPIILCDFLTRSYDVGGVVSVMALSSLFVLMTQYGLEYPNFYEKLYALLVPSTFMAKHRARFFQLLDSCLKSPLLPAYLAASFAKKLSRLLLSVPPSGALVITALIHNILRRHPSVNCLVHREDGVDEGKSDHRTDEGTAVNSESVKTSAVPCQKPGIDHFNSTEIDPKKSAAMRSSLWEIDTILHHYCPPVSRFSLSLGNDLTVRAKTSEVNVGDFSAGSYATILGAEMTRRVKQVPLAFYKAIPSSLFSETDFAGWTFKSEETPEMINENNERSTKDLS >Vigun03g444400.2.v1.2 pep primary_assembly:ASM411807v1:3:64663383:64665033:-1 gene:Vigun03g444400.v1.2 transcript:Vigun03g444400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLTFNQKPMLLLLLLHSLFTLTRSQSTTCRTSCGHIPIEYPFGIDDGCGSPYYRYILVCSESEKLEVRTPSGRYLVHNVSYADPHILVTDPFMWNCEDGENYRPTRPFSLDTSTRFKLSPQNEYLFFNCSEDHVIVKPKTIFCERFPERCDSSCDSGSYLCRHMPGCSFAMSGSSCCSYSPRATESLRLMLKYCTSYTSVHWRNVGAPQPYDQIPEYGIRIDFDIPVTTRCLQCQDPSKGGGTCGFDTETQGFMCLCKQGNSTSHCKGTAAAVSAAGVFGVGAGIWYLKKVRAKAPVTCGVQSNENRLF >Vigun03g444400.1.v1.2 pep primary_assembly:ASM411807v1:3:64663383:64665033:-1 gene:Vigun03g444400.v1.2 transcript:Vigun03g444400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLTFNQKPMLLLLLLHSLFTLTRSQSTTCRTSCGHIPIEYPFGIDDGCGSPYYRYILVCSESEKLEVRTPSGRYLVHNVSYADPHILVTDPFMWNCEDGENYRPTRPFSLDTSTRFKLSPQNEYLFFNCSEDHVIVKPKTIFCERFPERCDSSCDSGSYLCRHMPGCSFAMSGSSCCSYSPRATESLRLMLKYCTSYTSVHWRNVGAPQPYDQIPEYGIRIDFDIPVTTRCLQCQDPSKGGGTCGFDTETQGFMCLCKQGNSTSHCKDYDAARHSKRVNVIAGTAAAVSAAGVFGVGAGIWYLKKVRAKAPVTCGVQSNENRLF >Vigun02g111000.4.v1.2 pep primary_assembly:ASM411807v1:2:26480056:26483204:-1 gene:Vigun02g111000.v1.2 transcript:Vigun02g111000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVELLWVASMPVIKVLLITAVGLVLALENINLLGKDARIQVNNLVHYVLYPSLVGGNLADTITFENAVLLWFMPVNILITFIIGSALGWILVKLTRAPKHLEGLIIGVCSAGNVGFLPIIIVPAICKDKGSPFGDSNVCYQYGMAYTSLSMAVGAVYIWIYVYNIMRISASIVPKEEYRTSSFTQEASGEILDFVSEEEDLKNPEKDYVGDVDAYTLLLPSNESEKKVKASISAKIKDLSGKIFGNSNFKAVFSPTTLGSIVGLIVGVVPLIRKLMIGTDAPLHVIEDSVTMLGEAAIPVITLIMGANLLKGLKGANTSFCTILGIVVVRYIILPILGILVIKGATQLGLVQPDPLYQFVLLLEYALPPAMAIGTIAQLFGAGEGECSVIMLWTYGLASVAVTFWSTYYMWLVA >Vigun02g111000.2.v1.2 pep primary_assembly:ASM411807v1:2:26479984:26483359:-1 gene:Vigun02g111000.v1.2 transcript:Vigun02g111000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVELLWVASMPVIKVLLITAVGLVLALENINLLGKDARIQVNNLVHYVLYPSLVGGNLADTITFENAVLLWFMPVNILITFIIGSALGWILVKLTRAPKHLEGLIIGVCSAGNVGFLPIIIVPAICKDKGSPFGDSNVCYQYGMAYTSLSMAVGAVYIWIYVYNIMRISASIVPKEEYRTSSFTQEASGEILDFVSEEEDLKNPEKDYVGDVDAYTLLLPSNESEKKVKASISAKIKDLSGKIFGNSNFKAVFSPTTLGSIVGLIVGVVPLIRKLMIGTDAPLHVIEDSVTMLGEAAIPVITLIMGANLLKGLKGANTSFCTILGIVVVRYIILPILGILVIKGATQLGLVQPDPLYQFVLLLEYALPPAMAIGTIAQLFGAGEGECSVIMLWTYGLASVAVTFWSTYYMWLVA >Vigun02g111000.3.v1.2 pep primary_assembly:ASM411807v1:2:26480047:26483214:-1 gene:Vigun02g111000.v1.2 transcript:Vigun02g111000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVELLWVASMPVIKVLLITAVGLVLALENINLLGKDARIQVNNLVHYVLYPSLVGGNLADTITFENAVLLWFMPVNILITFIIGSALGWILVKLTRAPKHLEGLIIGVCSAGNVGFLPIIIVPAICKDKGSPFGDSNVCYQYGMAYTSLSMAVGAVYIWIYVYNIMRISASIVPKEEYRTSSFTQEASGEILDFVSEEEDLKNPEKDYVGDVDAYTLLLPSNESEKKVKASISAKIKDLSGKIFGNSNFKAVFSPTTLGSIVGLIVGVVPLIRKLMIGTDAPLHVIEDSVTMLGEAAIPVITLIMGANLLKGLKGANTSFCTILGIVVVRYIILPILGILVIKGATQLGLVQPDPLYQFVLLLEYALPPAMAIGTIAQLFGAGEGECSVIMLWTYGLASVAVTFWSTYYMWLVA >Vigun02g111000.5.v1.2 pep primary_assembly:ASM411807v1:2:26480113:26483204:-1 gene:Vigun02g111000.v1.2 transcript:Vigun02g111000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVELLWVASMPVIKVLLITAVGLVLALENINLLGKDARIQVNNLVHYVLYPSLVGGNLADTITFENAVLLWFMPVNILITFIIGSALGWILVKLTRAPKHLEGLIIGVCSAGNVGFLPIIIVPAICKDKGSPFGDSNVCYQYGMAYTSLSMAVGAVYIWIYVYNIMRISASIVPKEEYRTSSFTQEASGEILDFVSEEEDLKNPEKDYVGDVDAYTLLLPSNESEKKVKASISAKIKDLSGKIFGNSNFKAVFSPTTLGSIVGLIVGVVPLIRKLMIGTDAPLHVIEDSVTMLGEAAIPVITLIMGANLLKGLKGANTSFCTILGIVVVRYIILPILGILVIKGATQLGLVQPDPLYQFVLLLEYALPPAMAIGTIAQLFGAGEGECSVIMLWTYGLASVAVTFWSTYYMWLVA >Vigun04g019200.1.v1.2 pep primary_assembly:ASM411807v1:4:1432647:1435264:1 gene:Vigun04g019200.v1.2 transcript:Vigun04g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLVSKVHPYVPLDLHLPDYSPCLLSMSNILVVFAFSSLLIVSLIWVFSGGFKKSKVDRVLMCWWAFTGLTHMILEGYFVFSPEFFKDKSGFYLAEVWKEYSKGDSRYAGRDAGVVTVEGITAVLEGPASLLAVYAIATGKSYSYILQFAISLGQLYGTAVYYITAILEGDNFSSNTFYYYAYYIGANASWIVIPSIVAVRCWKKICAAFRPQGGQTKRPKTH >Vigun10g144400.2.v1.2 pep primary_assembly:ASM411807v1:10:36170194:36174354:-1 gene:Vigun10g144400.v1.2 transcript:Vigun10g144400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQTLTQRDQLNSPCLPPLPSSPPPTSLLPFKSLPVFSLPAPSPSSSFAPSAAGLNASNKPSSGVKSIKEEALDSLKAFGSASIDAKGPPSPVQTLLGGISAGVIALILYKFATTIEGALNRQTISDNYSVRQITITIRTIVNGLTYLATFVFGLNSLGLFLYSGQLAIKSLMGGDSTEKETESKSTEQSNSSKLSIENITDSTELSGSKEEQSSNDAQ >Vigun10g144400.1.v1.2 pep primary_assembly:ASM411807v1:10:36170194:36174352:-1 gene:Vigun10g144400.v1.2 transcript:Vigun10g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQYHHFLFPLPLTPTLTHHKPPHSLLPPLHRKPTILHSVSVSALPQWLAQLADAAADVNTEGPIELPLSSTPSIFATTDEPSPIQIASSILLTGAITVFLFRALRRRAQRVKQAQFRSSGVKSIKEEALDSLKAFGSASIDAKGPPSPVQTLLGGISAGVIALILYKFATTIEGALNRQTISDNYSVRQITITIRTIVNGLTYLATFVFGLNSLGLFLYSGQLAIKSLMGGDSTEKETESKSTEQSNSSKLSIENITDSTELSGSKEEQSSNDAQ >Vigun10g112400.1.v1.2 pep primary_assembly:ASM411807v1:10:31324536:31328258:1 gene:Vigun10g112400.v1.2 transcript:Vigun10g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTPSSSFCSYFKVCTKPNNGRIRVSSFPRILFCQKHHDDIPTDQINRRELILRSSEIATIGAIFNFSGKKPDYLGVQKNPPALALCPATKNCVSTSENISDRTHYAPPWNYNPEGRKNPVSREEAMEELIDVIESTTPDKFTPRIVEREEDYIRVEYQSSILGFVDDVEFWFPPDKGSTVEYRSASRMGNFDFDLNRKRIKALRQELEKKGWASQDTI >Vigun06g073700.1.v1.2 pep primary_assembly:ASM411807v1:6:20473682:20476603:-1 gene:Vigun06g073700.v1.2 transcript:Vigun06g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMEILPPASPYMYNTNWLLDDNRTNTKWTPAENKLFENALAVYDKDTPDRWHKVAEMIPGKTVMDVVKQYKELEADVCNIEAGLIPIPGYSTTTSPFTLDWVNTPGYDGFKGLTAKRSSSGRPPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTETTRTSSEDSSKRSTSPQHSVMLSHQQQQQQQQQPISSAASSGVNFQWSNQSNAGVAMALNPAHEQVFVSSPYGLNSYGFKVQGQSLHRSPLHESSYLGPQTPNMVFQMQPSQHYSHA >Vigun11g147500.1.v1.2 pep primary_assembly:ASM411807v1:11:35628122:35632975:-1 gene:Vigun11g147500.v1.2 transcript:Vigun11g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVKMKECAREFMVGVAEMTVEFGKGCRDIVKQSLVNEDSFIVKNLGRDSYIGKRLRGPCAKLFAKLSFFNEYLPEDKDPLHAWSVIFFVFLLAFLALYVNFERDPDAPPVKQVFLHPPSASRVVLPDGRYMAYKEQGVSSQKARFSIIAPHSFLSSRLAGIPGVKDSLLEEFGIRLLTYDLPGFGESDPHPNRNLESSATDMACLADALGVNKFWVVGYSSGSMHAWAALRYIPDRLAGAAMFAPMVNPYDPMMTKEERRRTWNKWTRRRKFLYFLARRFPRFLAFFYQRSFLSGKHGQIDKWLSLSLGRRDKALMEDPIYEEFWQRDVEESTRQRNVKPFVEEAALQVSNWGFSLSDLKLQKRKPSSELLSWLKSMFTETQEYIGFLGPIHIWQGMDDKVVPPSMTDFVHRVLPGAAVHKLPYEGHFTYIYFCHECHRQIFTTLFGTPQGPISIPVNVDQATLEEANIEEQEVLNGDDYATN >Vigun11g147500.2.v1.2 pep primary_assembly:ASM411807v1:11:35628122:35632975:-1 gene:Vigun11g147500.v1.2 transcript:Vigun11g147500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVKMKECAREFMVGVAEMTVEFGKGCRDIVKQSLVNEDSFIVKNLGRDSYIGKRLRGPCAKLFAKLSFFNEYLPEDKDPLHAWSVIFFVFLLAFLALYVNFERDPDAPPVKQVFLHPPSASRVVLPDGRYMAYKEQGVSSQKARFSIIAPHSFLSSRLAGIPGVKDSLLEEFGIRLLTYDLPGFGESDPHPNRNLESSATDMACLADALGVNKFWVVGYSSGSMHAWAALRYIPDRLAGAAMFAPMVNPYDPMMTKEERRRTWNKWTRRRKFLYFLARRFPRFLAFFYQRSFLSGKHGQIDKWLSLSLGRRDKALMEDPIYEEFWQRDVEESTRQRNVKPFVEEAALQVSNWGFSLSDLKLQKRKPSSELLSWLKSMFTETQEYIGFLGPIHIWQCKC >Vigun07g015700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1428386:1429301:1 gene:Vigun07g015700.v1.2 transcript:Vigun07g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGSKKTIFAVSEASSKAVNAPKGYLAVYVGEKMKRLMIPVSYLNQPSFQDLLSRAEEEFGYEPHNSLQRRSLQPYNFLLEWMISLTFQEIDLE >Vigun05g068000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5878404:5879009:1 gene:Vigun05g068000.v1.2 transcript:Vigun05g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMKVTTKVSLMVFLIVFSAVDARFDPTSFITQVLPKSGENYVKSTSTACCDLCVCTRSIPPQCRCWDVVDNYCHSACNKCVCTKSEPPMCECMDVNNFCYPSCSSNQ >Vigun07g195000.1.v1.2 pep primary_assembly:ASM411807v1:7:31427947:31429763:1 gene:Vigun07g195000.v1.2 transcript:Vigun07g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTYSFSCMSSCGSDSASFEADENGNEDSISLSLGPPCHHKSKLLNPKHTSALRAHQKNPNSDDPTAVTVALHIGLPTTATKPSSTPPHGRYWIPTTQQILIGPTQFSCTVCNKTFTRFNNMQMHMWGHGSEYRKGSESLRGSKAGSSMLRLPCYCCEEGCRNNINYPRSRPLKDFRTLQTHYKRKHGGKPFECRKCNKAFAVRGDWRTHEKNCGRLWFCICGSDFKHKRSLKDHVRAFGNGHAPHSLGEERDDESEMVFLV >Vigun02g028204.1.v1.2 pep primary_assembly:ASM411807v1:2:10312407:10313110:-1 gene:Vigun02g028204.v1.2 transcript:Vigun02g028204.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMVVVVAVVLVVMVVVSIAVVVVALVVVVVLVVVVVVVMMVLAVVVGFMVVVVVVVVMVVLVVVVVVVAVVVVVIVVAVVVAVVVVVVVVVMVANMGVVAVVVVVPVGLVAVVVMVLVDVLGDGGSRGGGGCGCGGGCGSGGFGHGSHYGGCGGGGGCDGAVVVDVECVVVGLVVLVVAVVVVMVVVVVVIVVVVVVVVVVVANMGV >Vigun01g084800.1.v1.2 pep primary_assembly:ASM411807v1:1:23981296:23984771:1 gene:Vigun01g084800.v1.2 transcript:Vigun01g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLFSLLLLLLLLPSLSCSLYVGNNILFTHRKIFPKQQELTSYAVIFDAGSTGSRVHVFHFDQNLDLLHIGNEIEFYDKVTPGLSAYADNPENAAKSLVPLLEEAESVVPQELYPTTPVKLGATAGLRLLDGDASERILQAVRDLLKNRSTFSVQPDAVAIIDGTQEGSYLWVTINYLLGNLGKKFSKTVGVVDLGGGSVQMAYAVSKNTAKNAPQPQPEEEPYIKKLVLKGKKYDLYVHSYLHYGREAFRAEALKVTDGSANPCILSGFYGTYTYSGEDYKAFAPISGSNYHECRKIVLQGLKVNQFCAHRNCSFGGIWDGGKGSGQNTLFGTSSFYYLASEIGMFGPNKPNSKIHPLDLKTEAKRACEKTFEDATSAYPLLSADRVPYVCLDLTYQYALLTDGFGLDPLQEITVANEIEYQDALVEAAWPLGTAIEAISSLPKFNPFMYFI >Vigun07g005300.1.v1.2 pep primary_assembly:ASM411807v1:7:419168:421243:1 gene:Vigun07g005300.v1.2 transcript:Vigun07g005300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPPNDPSRGCSSEFSQPLGQQDLAEARNLLENLNRVNVVQGNLTEVVYRTGDLDLDIRRPVYRWDRRPYQEIFANGFQAWPQGQTPNNTYYDLLHFIQHAGAPLDSNRPPTTTHAFVSTTLNNAWQPTPSTQVLPPGSQIQFYRYEVYAPGGIWVAVTLGNRYSYVSQAEVCFAGGIAPQYIRSCLIFTATREAGSRYVRLRRETRLIINRNFNPESAPYNQVVIVMPVYYYRDDNGDNRYLPEETYPPIREKRLVLEADNDAVLDWYTTKVVEVPSYIDSAFRSSKPNEVYFFLKNKYVRVYYTPGDTKDKILTDLRLICDGFPSLLDTPFGEYGIDCAFDTEASKAYIFSTNLCAYIDYAPGTMDDKILSGPMTIAAMFPVLKNTVFENGIDSAFRSTRGKEVYLFKNNKYVRIAYDSKQLVGSIRNIGDGFPILKGTIFESGIDACYASHVESEAYLFKGDKYGRIKFSPGTYDDTLIGDVKPILDFWPCLKGTLPRDNKGLDAHSHSDHQEPYPDQHDEL >Vigun03g261500.1.v1.2 pep primary_assembly:ASM411807v1:3:42820574:42823895:-1 gene:Vigun03g261500.v1.2 transcript:Vigun03g261500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLQLKTVLQPFHTTILFIIPVTLVLWCLLSRIRRKTAPYPPGPKGLPIIGNMNMMDQLTHRGLAKLAKQYGGVLHLRIGFLPMVAISNAEAAREVLQVQDNIFSNRPATIAIRYLTYDRADMAFAHYGPFWRQMRKICVMKLFSRKRAESWNSVRDEVDTIVRTVAANVGNPVNVGELVFNLTKNIIYRAAFGSSSRQGQDKFISILQEFSKLFGAFNIADFVPCLGWVDPQGLNLRLVQARAALDSFIDNIIEEHVEKKRSGKCCEDESDMVDELLNFYSQNQNPSFSNESNDLHNSITLTKDNIKAIIMDVMFGGTETVASGIEWAMAELMRSPEDLRRVHQELADVVGLDRRVEESDLEKLVFLKCAVKETLRLHPPIPLLLHETAEEAVVCGYHVPKGSRVMINAWAIGRNGDSWEEPEAFKPSRFLDPTVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALEVAVAHLLHCFTWELPDGMKPSELDMSDVFGLTAPMASRLVAIPSKRVLCPL >Vigun09g274500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43505777:43508700:1 gene:Vigun09g274500.v1.2 transcript:Vigun09g274500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIYITPHSINFTHLKEMEKIGRSRLLSCTFFIILFGLLFQVTAHYHTSKTFIFRIDSESKPSVFPTHYHWYTSHFVHPTRLLHLYDTVFHGFSALLTHQQVASLAQQPSVLAVFEDRRRHLHTTRSPQFLGLRNRRGLWSETDYGSDVIIGVFDTGIWPERRSFSDSNLGPIPSRWKGGCDTGVRFSPNNCNRKLIGARFFSKGHEASGTLFNDTVEFLSPRDADGHGTHTASTAAGRYVFEASMAGYASGVAKGVAPKARLAMYKVCWKNSGCFDSDILAAFDAAVNDGVDVISMSIGGGDGISSPYYLDPIAIGSYGAVSRGVFVSSSGGNDGPSGMSVTNLAPWLTTVGAGTIDRDFPAEVVLGNGRRLSGVSLYSGVPLKGRMFPLIYPGKSGVLTDSLCMENSLDPDLVKGKIVVCDRGSNPRVAKGLEVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGANLGDEIKAYISSSENPRATIDFKGTVVGIKPAPVVASFSARGPNALSSEILKPDLTAPGVNILAAWTDAVGPSGLESDTRRSEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTATVRDNTNRQMVDQATGNASTPYDFGAGHLNLAHAIDPGLLYDITNDDYVMFLCAIGYRPRLIQVITRSPHKCPRRRPLPENLNYPSFVAVLPASSSSRGLSLRSKTFFRTVTNVGEPNSVYWVRVEMQAEGVTVTVRPSRLVFSEAVKKRSFVVTVTGDAGNLVLGEAGAVFGSLSWTDGKHVVRSPIVVTQAQPL >VigunL059044.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000301.1:34939:35871:1 gene:VigunL059044.v1.2 transcript:VigunL059044.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNNGKMQTQKLKMSTHMMCEILDQIGAIKEICEALLLRVWSVVLLV >Vigun09g231700.1.v1.2 pep primary_assembly:ASM411807v1:9:40303655:40308597:-1 gene:Vigun09g231700.v1.2 transcript:Vigun09g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSQRKSATMFDSDDDSSVTSSSTARSDLMSVSGAEDVQFVQDSLLDQALDALDEKRGSTREKAFSSIIDAFNSNMQHQFVEKKFATLLHQCLSSIKKGSKKTSAKEIALASHAIGCLALTVGCGDNAREIFEESIRPLDESLTSKIDVSKIPSLLECLAIITFIGGNDQEETERSMDIMWRIINPKSGSNVVAVKPSASLIANVVSAWSFLLSTVSNLKLNSKNWQNSISYLSGLLDKEDRSVRIAAGEALALIFEIGVIDKFSAQSDNASDAAQEGSKPLESYIFLQGLKGKVLNQCKTLSMEAGGKGSAKKDLNSQRNLFRDILDFFEYGYSPDISMKIGGDSLQTSSWSEMIQLNFIKHFLGGGFVKHMQENEFLHDVFGFSPKRKYLNNNEHKMSGGEKRMFKSPNSVLNKARTQLLNKQRILSEGRNFGHYAVGMGEEDA >Vigun09g005700.1.v1.2 pep primary_assembly:ASM411807v1:9:417435:419956:1 gene:Vigun09g005700.v1.2 transcript:Vigun09g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQVLRFTLVFLFICVCGVIADPPEDPIQCASKNTKCTITNTYGMFPDRATCNASEVVYPTSEEELVAAVASASKNKRKVKVATRFSHSITKLACTDGENGLLISTKNLNKILNIDAKEGTMTVQSGVSLREIMAAAADAGLALPYAPYWWGLTIGGMMGTGAHGSTLWGKGSAVHEYVVELRIVTPSGPEHGYAKVRTLDESDPSLNAARVSLGVLGVLSQVTLKLEPLFKRSITYVTKNDSDLGDELLTFGTKHEFADVMWYPSQKKAVYRIDDRVPVSANGTGLYNFFPFRSTPSVALAVVRSTEELQEALHDANGKCISAKLITGLLSGIAYGLTNNGIFRGYPVVGYSNRMQASGTCLDSFHDDLITACPWDPRIKGEFFFQTTFSIPMSDVKNFVEDVQKLVELEPKSLCGLEMENGILMRYVTASSAYLGKSEDAVDFDLTYYRSKDPLTPRLFQDILEEIEQIGLLKYKGLPHWGKNRNLAFDGIINKYKNADKFLKVKEEYDPEGIFSSVWSDQMLGLKGGVTVDTDGCAIEGMCICSEDRHCAPKKDYLCRPGKVFNKARVCTHVRKKTKEEDDLKDEL >Vigun07g213700.1.v1.2 pep primary_assembly:ASM411807v1:7:33510456:33514502:1 gene:Vigun07g213700.v1.2 transcript:Vigun07g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKPLDSEGPDYRDLERLKLDNGYVASSDFVAHRRSTGQRHDPNKDHHHRLHQHQREQPVKNIVESGVAKDVAGHHKGKVVGREGRRVTRRDDKKVTNKSCFEDEMVDGWPRWLVDNVPAQVLAGLVPRSAESYKMIDKVGQGTYSNVYKALDRDTGEIVALKKVRFNTSEPESIKFMAREITILQRLDHPNVVKLKGLATSRMQYSIYLVFDFMQTDLARVIARPERLSEPQVKCYMHQLLSGLQHCHDRGILHRDIKGSNLLIDKNGMLQIADFGLANFYGPDHHQPLTSRVVTLWYRAPELLLGDTDYGVGVDLWSAGCLLAEMFKGFPIMPGRNEVEQIHKIFRLCGTPSEEYWRKLKLSITFRPPKSYRPSLIETFGDLPSSSLGLLCTLLALDPAFRGTAFKALKNQFFFSSPLACDLSGLPVLFREDDEHVQANEQIKYMNSKIRRSRTYLERRKSSASKRPIEHTVSSKEELIRNAKPETYAPTEDMGSTSSTSSSVNPGGAKDQSPFFLSPFGELDRKLSPKTHHHHHVNVGEQNIKNLPPLPKSKPNATKKDDSRYRPDQFFRSASTREFRYLKREERLLLDFDH >Vigun02g078100.3.v1.2 pep primary_assembly:ASM411807v1:2:23040222:23044483:1 gene:Vigun02g078100.v1.2 transcript:Vigun02g078100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKNLLVQSSHSLLGSQDMDSEGSSSSNRHIDWNTDDEIEVFGVPSSDSINYSEPSDDSHEQSVTESSASGSSAKHANMIHHFVGMGFSRETVIKAINENEGDNDEDIMETLLSLTAEKPSTGENDEILSILVDMGFTFEEACTAIDKCGPKAEIGDLADFISASQLEEEIDSLQDLPRNKRVATADTQTEQSDYLHTSKKVKLHDKKGKYRISWSKEKVTSAVDMGMIHHPPGPMTGFGVPNEASKVISRELPSEVTGKPYFYFENVALAPKGVWNNISRFLYEIEPEFVDSKYFCAAMRKRGYIHNLPIHNRFSLLPIPPLTIREAFPSTQKWWPSWDKRTKLNCLLTGIGPGTVTDRIRKTLEQFDDEPPQNVQENVLQDIKKWNLVWVGKNKLAPLEPDEYEMLLGFPKDHTRGGGVTRTERYRALGNAFQVDTVAYHLSVLKDRFPNGINVLSLFSGIGGAEVALHRLGIMLKNVVSVEIAEVNRNIIHCWWEQTNQKGNLIEVEDVQKVSSNQLRQWITKFGGFDLIIGGSPCNNFSGSNRVSRHGLEGEHSSLFYEYYRILEAVMEMQKSDPE >Vigun02g078100.2.v1.2 pep primary_assembly:ASM411807v1:2:23040221:23044484:1 gene:Vigun02g078100.v1.2 transcript:Vigun02g078100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKNLLVQSSHSLLGSQDMDSEGSSSSNRHIDWNTDDEIEVFGVPSSDSINYSEPSDDSHEQSVTESSASGSSAKHANMIHHFVGMGFSRETVIKAINENEGDNDEDIMETLLSLTAEKPSTGENDEILSILVDMGFTFEEACTAIDKCGPKAEIGDLADFISASQLEEEIDSLQDLPRNKRVATADTQTEQSDYLHTSKKVKLHDKKGKYRISWSKEKVTSAVDMGMIHHPPGPMTGFGVPNEASKVISRELPSEVTGKPYFYFENVALAPKGVWNNISRFLYEIEPEFVDSKYFCAAMRKRGYIHNLPIHNRFSLLPIPPLTIREAFPSTQKWWPSWDKRTKLNCLLTGIGPGTVTDRIRKTLEQFDDEPPQNVQENVLQDIKKWNLVWVGKNKLAPLEPDEYEMLLGFPKDHTRGGGVTRTERYRALGNAFQVDTVAYHLSVLKDRFPNGINVLSLFSGIGGAEVALHRLGIMLKNVVSVEIAEVNRNIIHCWWEQTNQKGNLIEVEDVQKVSSNQLRQWITKFGGFDLIIGGSPCNNFSGSNRVSRHGLEGEHSSLFYEYYRILEAVMEMQKSDPE >Vigun02g078100.1.v1.2 pep primary_assembly:ASM411807v1:2:23040418:23044751:1 gene:Vigun02g078100.v1.2 transcript:Vigun02g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKNLLVQSSHSLLGSQDMDSEGSSSSNRHIDWNTDDEIEVFGVPSSDSINYSEPSDDSHEQSVTESSASGSSAKHANMIHHFVGMGFSRETVIKAINENEGDNDEDIMETLLSLTAEKPSTGENDEILSILVDMGFTFEEACTAIDKCGPKAEIGDLADFISASQLEEEIDSLQDLPRNKRVATADTQTEQSDYLHTSKKVKLHDKKGKYRISWSKEKVTSAVDMGMIHHPPGPMTGFGVPNEASKVISRELPSEVTGKPYFYFENVALAPKGVWNNISRFLYEIEPEFVDSKYFCAAMRKRGYIHNLPIHNRFSLLPIPPLTIREAFPSTQKWWPSWDKRTKLNCLLTGIGPGTVTDRIRKTLEQFDDEPPQNVQENVLQDIKKWNLVWVGKNKLAPLEPDEYEMLLGFPKDHTRGGGVTRTERYRALGNAFQVDTVAYHLSVLKDRFPNGINVLSLFSGIGGAEVALHRLGIMLKNVVSVEIAEVNRNIIHCWWEQTNQKGNLIEVEDVQKVSSNQLRQWITKFGGFDLIIGGSPCNNFSGSNRVSRHGLEGEHSSLFYEYYRILEAVMEMQKSDPE >Vigun02g078100.4.v1.2 pep primary_assembly:ASM411807v1:2:23040229:23044463:1 gene:Vigun02g078100.v1.2 transcript:Vigun02g078100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKNLLVQSSHSLLGSQDMDSEGSSSSNRHIDWNTDDEIEVFGVPSSDSINYSEPSDDSHEQSVTESSASGSSAKHANMIHHFVGMGFSRETVIKAINENEGDNDEDIMETLLSLTAEKPSTGENDEILSILVDMGFTFEEACTAIDKCGPKAEIGDLADFISASQLEEEIDSLQDLPRNKRVATADTQTEQSDYLHTSKKVKLHDKKGKYRISWSKEKVTSAVDMGMIHHPPGPMTGFGVPNEASKVISRELPSEVTGKPYFYFENVALAPKGVWNNISRFLYEIEPEFVDSKYFCAAMRKRGYIHNLPIHNRFSLLPIPPLTIREAFPSTQKWWPSWDKRTKLNCLLTGIGPGTVTDRIRKTLEQFDDEPPQNVQENVLQDIKKWNLVWVGKNKLAPLEPDEYEMLLGFPKDHTRGGGVTRTERYRALGNAFQVDTVAYHLSVLKDRFPNGINVLSLFSGIGGAEVALHRLGIMLKNVVSVEIAEVNRNIIHCWWEQTNQKGNLIEVEDVQKVSSNQLRQWITKFGGFDLIIGGSPCNNFSGSNRVSRHGLEGEHSSLFYEYYRILEAVMEMQKSDPE >Vigun06g138300.1.v1.2 pep primary_assembly:ASM411807v1:6:26370115:26376111:-1 gene:Vigun06g138300.v1.2 transcript:Vigun06g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMASAGASADEGSQNHNNGRKTSYKRLTSSQTSRLERFIKDCPHPDEAQRRQLAIEIGLEPRQIKFWFQNKRTQIKNQHERADNTALRVENDRIHTENVTMQEALKSMLCPSCGGPPCLEGDREHAIHNMKLENMHLKEEHEKVSRLLSRYLESQMLPPDQFQQGFFPIIGSSSNAVAHGNPLNQSIGGSSSHDPNLALHMMDAGHNLFASESIEKNLMNKVAASAMDELVRLLRINEPFWIKSSSQDGKLCLLLENYQKMFPKTKHFKGPNVRIEATKESGIVNINTLQLVDMFLDSNKWVNLFPTIVSKAETVKVIERGLPGNRSGALQLMFEQMHVLSPFVQPREFQILRFCQQIEEGLVIADVSFDSFQQKPSFFQSWKHPSGCLIQELPNGCSMITWVEHVEVDDKIQTHPLYKDIIAKGTAYGAERWIMELQRICERFAAFYVEKIPDHDSAGVINSLEGRRSIMNFSHRMLKIFCDSITMADGLDFPLCDLMNTSGVRVSIRESKIIGQPSGMIVAAATSIWLPLHYMKLFDFFLDDKNRAQWDVLTCGNQAHKVANISNGIHPGNCVSIIRPFIPSENNALILQESFTSPMGSYVIYAPTDVATMTAAIMGENSSMLPVLPSGFVICPDGEPNGGMGVFDGSDSERMGGSLLTVAFQILASADGRNMPNRKAGAAVNSLLTSTILRVKDALNCNNLD >Vigun11g088650.1.v1.2 pep primary_assembly:ASM411807v1:11:26650921:26652328:1 gene:Vigun11g088650.v1.2 transcript:Vigun11g088650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKADGSLHTTCGSRNKVYDAATLDAWSCCVLLYAILTGCLPFIRQGYAKFVKISDEFVEGKDLVVSLISAMGEEHMCT >Vigun07g283700.1.v1.2 pep primary_assembly:ASM411807v1:7:39741331:39749414:-1 gene:Vigun07g283700.v1.2 transcript:Vigun07g283700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECIYISSSDDDELEEIDPQWATITERSSDYGRRDNSSRGANSSNLSSSSVYNHSQIKPHTLPVSSTNALNHRIEQRDEPSYHAQNGNRSMQAFKRTLPSTLQPSATRALPSPLFVSDIRSSSLKDNTGTSHVHDAFKNRRQGVGPSISGDRGYIRDSLIRGHDEGHSLYQNGGNRILPPSLVLGKAITPHFAISSESAYRSGIGDERSAESDERLIYEAALQDISQPKTEHDLPAGVLSVSLLRHQKIALAWMLQKETKSLHCLGGILADDQGLGKTVSMISLILALRSLQSKSKTDDVRNYKTEAFNLDDDDDNGGIDVEKHKNSVEADDLFPNREPSSSIHAPSRKRPAAGTLVVCPASVMRQWARELDEKVGDEKLSVLVYHGGSRTKNHLELAKFDVVLTTYSIVTNEVPKQPLVDDDDIEDKNGERFGLSSEFTVKKRKKPINGSKKGKKGRKRIDSSMICPSGPLAKVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAAYKSFYNTIKVPISRDPIQGYKKLQAVLRAIMLRRTKGTLLDGKPIINLPPKTIELSSVDFSVEERAFYTKLESDSRTQFKAYAAAGTVNQNYANILLMLLRLRQACDHPRLVKDFDSDPVGKDSVEMAKSLPRELLINLFTCLDATFTICHVCNDPPHGPVITMCGHVFCYECVQEYLSGDDNTCPAVNCKEIIGDDLVFSKVTLKSCISDDGGTSSSSDSHLSDYLLVQRDDYISSKVKAVLEVLQSNCNVKISNSDLSNSGCCRDLPSSSVDLDLDDNDSEARVAKHTRKYSESTTQGPIKAIVFSQWTSMLDLVETSLCQFGILYRRLDGRMTLAARDKAVRDFNTEPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKNTVEDRILSLQDEKRKMVASAFGEDHAGGSGTRLTVDDLKYLFMV >Vigun01g124800.1.v1.2 pep primary_assembly:ASM411807v1:1:30169875:30173659:1 gene:Vigun01g124800.v1.2 transcript:Vigun01g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIFKASTSNNLHFSLRAALFHSTPPLQRKRRNFWDTRGNNHNSRKFRRMQAKHRVMNSINDYAESLFQSWKQGIEQDELPSSQDPSWFRKKQNFDGGSGRYRNGKQGSNHRYRRDPLFCEDDFDVETIFRSAFGGNRFFYWSFINEENPQWSRSERFSNFGRSWNWRHRSENDYDSSSECDSSGSDLTTDRIALGLSASGPLKLEDVKNAYRACALKWHPDRHQGSRKAIAEEKFKVCSAAYQSLCDKLALD >Vigun02g183000.1.v1.2 pep primary_assembly:ASM411807v1:2:32313622:32317105:1 gene:Vigun02g183000.v1.2 transcript:Vigun02g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLLFMFLLPLRAESTPCQTMSCGKIKIQFPFGLKQTQTQTESSGRCSYPRFQLSCDNRNRTILSLPGSGDLVVKSINYKSQTVKVNDPEGCLPKRFLHNLSLSLHPPFTFEATVYDLTFLRCPSNTTHSVPSPPISCLGSNSSSSVTFSWWPLSGTPPWSHECEVISSAFVPIPNPDTTSFFLPDLNKDVVLKWNEPACGDCAGRGQVCGFTDDTNTLQVGCFFSAPNQNQGLSRSAKYGLTIGVGIPGLLCLTGLCCFMCSKFDVYTHGHRARPSTEFPNSTTTLPHSSSTMGLNGPEIEKFPKTLIGDSGRLPNWNDNTCPICLSEYEPKETLRTIPACNHYFHAHCIDEWLKMNATCPLCRNSPHPSSTLTPSSSSTSTYSSLP >Vigun08g156800.1.v1.2 pep primary_assembly:ASM411807v1:8:32925071:32928752:-1 gene:Vigun08g156800.v1.2 transcript:Vigun08g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAPKKANLLDHHSIKHILDESVSEVVTSRGYVEDVRLSNVRLVIGAIIIVIALFAQFYKKKFPENRDFLIACIALYVIFNGLLQLIIYTKEKNAILFTYPPAGSFTSTGLVVSSKLPRFSDMYTLTIASADPKSISANEPVQFTKSVTEWFTKDGLLVEGLFWKDIEALIAQYTKEPKKSK >Vigun08g201600.3.v1.2 pep primary_assembly:ASM411807v1:8:36590889:36596047:1 gene:Vigun08g201600.v1.2 transcript:Vigun08g201600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNLLRFLCQNTNNGSDPVSSAGFHAVAFSYGNTNASMETKDDNDESSFRPNFPIPESLLHNLPPNEKVHQIISRTAMFVCKHGSQSEILLRVKQGDNPTFGFLMPDHHLHAYFRFLIDHKELLKADKDDGSSTEDINKTQGGDQMGGALSLLGSVYGSGEDEDGTSENTCDFGKKEFGGAIDAVSTFTSPGVEPAESCSDVAKKDGSVSKNPIPLKEKVPVIKRNHSISTVKTATTVKAKSGDGLDSMSNNTQNKSQTSFPSTAAKIELPVVEPPSELKRAIEKIVEFILKNGKQFEAVLEEQDRPHGRFPFLVPSNRYHTYYLKVLQTVEQSKLRGKGHQKHNPAGRAVDNNSAVHDESDNVHESMASDLPNDMDRKEKFKMTIGKSKKDGQDPIPKDQAQNTVSMDAAATAAILQAATRGIKNPNLELFSKTSSGSGQGLGSDGGYLSSSGTGSLYSSQPQALVENPNLIAKTRASAPVAKAIAEKIAIATAGEADSSEAHMTKEQKIKAERLKRAKMFAAMLKSGVGASELPRALSVEPLGSGLSGSDAETGNLMGKEREGSSVPFDVENSDKSQNSGKLSVDNSDKSQKTEEKLAGDNERRSKRKYRSRHSRHEGEEEDEKKEEDQEDKRRHKRSGKRHRSSHHSRDRHKHKRRQSSSKDGHSYRGIEHDSSSDDEHQRSRRRHNYDSSSDEEHHKRRHSRRSSKHYSSSDDEHRHRSRSVKRGSRSRGDRETEVEEGEIIMKLEKSAVGRGSREASAGLSNARASSQSPDVTDVPDELRAKIRAMLMANL >Vigun08g201600.1.v1.2 pep primary_assembly:ASM411807v1:8:36590889:36596047:1 gene:Vigun08g201600.v1.2 transcript:Vigun08g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVVGRHAMLFDDDGMAAFVNSAEALVEWNSLSIDRYDVRHLLSAPLPPRLKRRPPQPEPDLDHLRYLDLPSSSSDEEPQDNGSDPVSSAGFHAVAFSYGNTNASMETKDDNDESSFRPNFPIPESLLHNLPPNEKVHQIISRTAMFVCKHGSQSEILLRVKQGDNPTFGFLMPDHHLHAYFRFLIDHKELLKADKDDGSSTEDINKTQGGDQMGGALSLLGSVYGSGEDEDGTSENTCDFGKKEFGGAIDAVSTFTSPGVEPAESCSDVAKKDGSVSKNPIPLKEKVPVIKRNHSISTVKTATTVKAKSGDGLDSMSNNTQNKSQTSFPSTAAKIELPVVEPPSELKRAIEKIVEFILKNGKQFEAVLEEQDRPHGRFPFLVPSNRYHTYYLKVLQTVEQSKLRGKGHQKHNPAGRAVDNNSAVHDESDNVHESMASDLPNDMDRKEKFKMTIGKSKKDGQDPIPKDQAQNTVSMDAAATAAILQAATRGIKNPNLELFSKTSSGSGQGLGSDGGYLSSSGTGSLYSSQPQALVENPNLIAKTRASAPVAKAIAEKIAIATAGEADSSEAHMTKEQKIKAERLKRAKMFAAMLKSGVGASELPRALSVEPLGSGLSGSDAETGNLMGKEREGSSVPFDVENSDKSQNSGKLSVDNSDKSQKTEEKLAGDNERRSKRKYRSRHSRHEGEEEDEKKEEDQEDKRRHKRSGKRHRSSHHSRDRHKHKRRQSSSKDGHSYRGIEHDSSSDDEHQRSRRRHNYDSSSDEEHHKRRHSRRSSKHYSSSDDEHRHRSRSVKRGSRSRGDRETEVEEGEIIMKLEKSAVGRGSREASAGLSNARASSQSPDVTDVPDELRAKIRAMLMANL >Vigun08g201600.5.v1.2 pep primary_assembly:ASM411807v1:8:36590889:36596047:1 gene:Vigun08g201600.v1.2 transcript:Vigun08g201600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCKHGSQSEILLRVKQGDNPTFGFLMPDHHLHAYFRFLIDHKELLKADKDDGSSTEDINKTQGGDQMGGALSLLGSVYGSGEDEDGTSENTCDFGKKEFGGAIDAVSTFTSPGVEPAESCSDVAKKDGSVSKNPIPLKEKVPVIKRNHSISTVKTATTVKAKSGDGLDSMSNNTQNKSQTSFPSTAAKIELPVVEPPSELKRAIEKIVEFILKNGKQFEAVLEEQDRPHGRFPFLVPSNRYHTYYLKVLQTVEQSKLRGKGHQKHNPAGRAVDNNSAVHDESDNVHESMASDLPNDMDRKEKFKMTIGKSKKDGQDPIPKDQAQNTVSMDAAATAAILQAATRGIKNPNLELFSKTSSGSGQGLGSDGGYLSSSGTGSLYSSQPQALVENPNLIAKTRASAPVAKAIAEKIAIATAGEADSSEAHMTKEQKIKAERLKRAKMFAAMLKSGVGASELPRALSVEPLGSGLSGSDAETGNLMGKEREGSSVPFDVENSDKSQNSGKLSVDNSDKSQKTEEKLAGDNERRSKRKYRSRHSRHEGEEEDEKKEEDQEDKRRHKRSGKRHRSSHHSRDRHKHKRRQSSSKDGHSYRGIEHDSSSDDEHQRSRRRHNYDSSSDEEHHKRRHSRRSSKHYSSSDDEHRHRSRSVKRGSRSRGDRETEVEEGEIIMKLEKSAVGRGSREASAGLSNARASSQSPDVTDVPDELRAKIRAMLMANL >Vigun08g201600.2.v1.2 pep primary_assembly:ASM411807v1:8:36590889:36596049:1 gene:Vigun08g201600.v1.2 transcript:Vigun08g201600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNLLRFLCQNTNNGSDPVSSAGFHAVAFSYGNTNASMETKDDNDESSFRPNFPIPESLLHNLPPNEKVHQIISRTAMFVCKHGSQSEILLRVKQGDNPTFGFLMPDHHLHAYFRFLIDHKELLKADKDDGSSTEDINKTQGGDQMGGALSLLGSVYGSGEDEDGTSENTCDFGKKEFGGAIDAVSTFTSPGVEPAESCSDVAKKDGSVSKNPIPLKEKVPVIKRNHSISTVKTATTVKAKSGDGLDSMSNNTQNKSQTSFPSTAAKIELPVVEPPSELKRAIEKIVEFILKNGKQFEAVLEEQDRPHGRFPFLVPSNRYHTYYLKVLQTVEQSKLRGKGHQKHNPAGRAVDNNSAVHDESDNVHESMASDLPNDMDRKEKFKMTIGKSKKDGQDPIPKDQAQNTVSMDAAATAAILQAATRGIKNPNLELFSKTSSGSGQGLGSDGGYLSSSGTGSLYSSQPQALVENPNLIAKTRASAPVAKAIAEKIAIATAGEADSSEAHMTKEQKIKAERLKRAKMFAAMLKSGVGASELPRALSVEPLGSGLSGSDAETGNLMGKEREGSSVPFDVENSDKSQNSGKLSVDNSDKSQKTEEKLAGDNERRSKRKYRSRHSRHEGEEEDEKKEEDQEDKRRHKRSGKRHRSSHHSRDRHKHKRRQSSSKDGHSYRGIEHDSSSDDEHQRSRRRHNYDSSSDEEHHKRRHSRRSSKHYSSSDDEHRHRSRSVKRGSRSRGDRETEVEEGEIIMKLEKSAVGRGSREASAGLSNARASSQSPDVTDVPDELRAKIRAMLMANL >Vigun08g201600.4.v1.2 pep primary_assembly:ASM411807v1:8:36590889:36596049:1 gene:Vigun08g201600.v1.2 transcript:Vigun08g201600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVYIKFLFLIFIIIQLYLKLSRVCLPPNEKVHQIISRTAMFVCKHGSQSEILLRVKQGDNPTFGFLMPDHHLHAYFRFLIDHKELLKADKDDGSSTEDINKTQGGDQMGGALSLLGSVYGSGEDEDGTSENTCDFGKKEFGGAIDAVSTFTSPGVEPAESCSDVAKKDGSVSKNPIPLKEKVPVIKRNHSISTVKTATTVKAKSGDGLDSMSNNTQNKSQTSFPSTAAKIELPVVEPPSELKRAIEKIVEFILKNGKQFEAVLEEQDRPHGRFPFLVPSNRYHTYYLKVLQTVEQSKLRGKGHQKHNPAGRAVDNNSAVHDESDNVHESMASDLPNDMDRKEKFKMTIGKSKKDGQDPIPKDQAQNTVSMDAAATAAILQAATRGIKNPNLELFSKTSSGSGQGLGSDGGYLSSSGTGSLYSSQPQALVENPNLIAKTRASAPVAKAIAEKIAIATAGEADSSEAHMTKEQKIKAERLKRAKMFAAMLKSGVGASELPRALSVEPLGSGLSGSDAETGNLMGKEREGSSVPFDVENSDKSQNSGKLSVDNSDKSQKTEEKLAGDNERRSKRKYRSRHSRHEGEEEDEKKEEDQEDKRRHKRSGKRHRSSHHSRDRHKHKRRQSSSKDGHSYRGIEHDSSSDDEHQRSRRRHNYDSSSDEEHHKRRHSRRSSKHYSSSDDEHRHRSRSVKRGSRSRGDRETEVEEGEIIMKLEKSAVGRGSREASAGLSNARASSQSPDVTDVPDELRAKIRAMLMANL >Vigun10g092000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26398849:26403795:-1 gene:Vigun10g092000.v1.2 transcript:Vigun10g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFVDVLFERLASPEVVNLIRGKKPDKLLQKVENQLIVVRVVLADAENRQITDPNVKKWLDVLKDLVYEVDDLLDEVSTKAAAQKEVRNSFSRLFNKRKIVSISKLEDIVERLDDILKQKESLDLKEIPVERNQPWKAQPTSLEDGYGMYGRDKDKDAIMKMVLEDGTDGEQVSVIPIVGMGGVGKTTLARSVFNDDKLKQQIFDLKAWVCVSDIFDMVKVTKTMIEEITKKPCKLSDLNALQLELTDRMKGKRFLIVLDDVWIEDCDNWCSLTKPFLSGISGSKVLVTTRNENVAAIVPLRTVKVYHLDKLSNEDCWLVFANHAFPLPEASEKRGTLEKIGKEIVKKCNGLPLAAQSLGGMLRRKEAIRDWNNVLESDIWELPESQCKIIPALRISYHYLPPQLKRCFVYCSLYPKDCQFKKDELIQLWMAEDLVKAAKKGKTLEEVGQEYFDDLVSRCFFQSTSRSCGDYFVMHDLIHDLATFLGGEFYFRANELGKETKIDRKTRHLSFTRFSDPVSDIEVFDTLKFPRTFLLINYKDSPFNNEKAPRIVVSMLKYLRVLSFCDFRSLFALPDTIGELIHLRYLNLSNTGIITLPESLCNLCNLQTLKLFSCFNLTKLPSAMQNLVNLRHLEILDIFIEEMPKRMGKLSQLQKLDFYIAGKHIENSIKELGGLPNLHGSFSIEELENVTKGEEALEARIMDKKHITHLSLEWSTGNDNSIYSQIELDVLSNLQPHQDLESLSIKGYKGTKFPKWVGNLSYRYMTSMSLYNCKNCCMLPSMGQLPSLKRLCIADMNSVKTIDAGFYKTEDCSSVIPFPSLECLEIFRMPSWEVWSAFGSDAFPVLRDLYIFDCIKLKGDLPNHLPALQRLIIRNCELLVSSVPRAPTLRTLKIRNSNKVTFHEFPLLVESIDVEGGPMVESMMEAITNVQPTCLQSLTLQNCSSAISFPGERLPASLKALLMSGLKKLKFPIQHKHELLESLSIMNSCDSLMSLPLATFPNLSSLKIKDCENMKSLLLSGSDSLKSLSYFQISNCPNFVSFPEEGLSAPNLTLFRVYGCEKLKSLPEQMRTLLPKMEYLNISNCQQIESFPVGGMPPNLKTVEISNCEKLVSGKAWVCTDMVTSLIVWGPCDGINSFPKEDLLPPSLVSLHLCDLSSLETLECKGLLHLTSLQELRIQNCEKLQNIAGERLPVSLIKLIIVGCPLLQTRCHSKDREIWSKICHVRGIKIDGRWI >Vigun03g214400.1.v1.2 pep primary_assembly:ASM411807v1:3:35634148:35636973:1 gene:Vigun03g214400.v1.2 transcript:Vigun03g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHSNTMCCVEKLNHTLASTLSASSSEEDNGNIVDLFTSIFPKKSHVVIFFIESFTMSTSPPPLFFSTATTNNNHQSQHLSHTHLIHLLNPNTSMPQLKQIHAQTLRTVDTNHPQALFLYARILLYSSLADLNYATRVFHHFSHPNSFMWNTLIRAYARSTNTIHKHKAMELYKAMVTGEENTAVPDNHTFPFVLKACAYTFSLCEGKQVHAHALKHGFELDTHVCNSLIHFYATCGRLDSAEKIFYKMNERSEVSWNIMIDSYAKGGKFDTALKMFGEMQKEHEPDGYTMQSVFSACAGLGSLSLGLWAHAYILKKCDKNIVDDVLVNTGLVDMYCKCGEMEIANQVFESMPARDVNSWNSIIMGFAMHGKAEAALDYYVRMVKVEKIVPNSITFVGVLCACNHRGMVEEGIVHFDMMTKEYNVEPRLEHYGCLVDLFARAGRIDEALNVVSEMPIKPDGVIWRSLLDACCKQHASVELSEEMVKQVFESEGSVCSGVYVLLSKVYASACRWNDVGMLRKLMTDKGVTKEPGCSLIEIDGTVHEFFAGDTTHPQSENIYKFVNEIDEKLQSIGYLPDYSGATTIDEANDGKQNTLRLHSERLAIAFGILNSKPGIPIRVFKNLRVCNDCHRVTKLISRIYNVEIIVRDRVRFHHFKDGTCSCMDYW >Vigun06g019501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8964741:8964944:-1 gene:Vigun06g019501.v1.2 transcript:Vigun06g019501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTNSSLLVTWAASCGWKSSFLCGVLVLMHDTQHISIVLPHHINVKSGFLSFSFFPNVIQQQSELQ >Vigun06g231100.2.v1.2 pep primary_assembly:ASM411807v1:6:33766069:33772311:1 gene:Vigun06g231100.v1.2 transcript:Vigun06g231100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEQQHVQEEGQSGGSEVEAPLCWVLLRPFSFKCLFLLLLSLSALISSLFWVLPRHTVTYSFDARDVIKQSAAVQTSFRLEKPVSELIPYIEKLEYDIYGEIALPNTKVAILSMHQSITPNCTDVVFGVLCDPMNGSINPVSLSVLRSSLIELFLKQSNLTLTPSIFGNASIFEILKFPGGLTVIPPHSAYIWQMPEVLFNFTLNNSISEVLEKFVDFNEELRYGLHLNIDENVYVQITNENGSTTASPVVVQASVMRGFGSLLPQRLKQLAQTITGSVGRNLGLDNSVFGRVKEVRLSTFMKKKLHASSPSPSPAPSPQLSDHSEPSSSPHPASPYSPISPPTAEPPPCFDCEVSSPAPSIVPPDPCPYSGFVHHPISSPKSYSKPSISPDYSPSAAPTSNSASHTAEEASDLSHGSKRRQGQETSKKLVSQILAPSSI >Vigun06g231100.1.v1.2 pep primary_assembly:ASM411807v1:6:33766069:33772311:1 gene:Vigun06g231100.v1.2 transcript:Vigun06g231100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEQQHVQEEGQSGGSEVEAPLCWVLLRPFSFKCLFLLLLSLSALISSLFWVLPRHTVTYSFDARDVIKQSAAVQTSFRLEKPVSELIPYIEKLEYDIYGEIALPNTKVAILSMHQSITPNCTDVVFGVLCDPMNGSINPVSLSVLRSSLIELFLKQSNLTLTPSIFGNASIFEILKFPGGLTVIPPHSAYIWQMPEVLFNFTLNNSISEVLEKFVDFNEELRYGLHLNIDENVYVQITNENGSTTASPVVVQASVMRGFGSLLPQRLKQLAQTITGSVGRNLGLDNSVFGRVKEVRLSTFMKKKLHASSPSPSPAPSPQLSDHSEPSSSPHPASPYSPISPPTAEPPPCFDCEVSSPAPSIVPPDPCPYSGFVHHPISSPKSYSKPSISPDYSPSAAPTSNSASHTAEEASDLSHGSKRRQGQETSKKLVSQILAPSSISSAGGDFCGEILLMGFCMLLISFCFSQ >Vigun03g126000.1.v1.2 pep primary_assembly:ASM411807v1:3:11924482:11930966:-1 gene:Vigun03g126000.v1.2 transcript:Vigun03g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGASTSLNLTQLWKRFRSDDKPPEDLGSSREYNVDMIPKFMMANGALVRVLIHTNVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDQNDPKSHEGLDLNQTTARQLISKYGLEDDTIDFIGHALALHRDDSYLDEPAKDFVERVKIYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDENGKAIGVTSEGETAKCKKVVCDPSYLSDKVQKVGRVSRAICIMSHPIPETHDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVTTEAETEHPEVELKPGIDLLGPVDEIFYDMYDRFEPCNDHEADGCFISTSYDPTTHFETTVKDVVGMYSKITGKVLDLSVDLSAASAATED >Vigun02g133200.2.v1.2 pep primary_assembly:ASM411807v1:2:28383976:28387100:-1 gene:Vigun02g133200.v1.2 transcript:Vigun02g133200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGRRQRKGSRYATLCSVVTSMISIIFGYDTGVMSGALIFIREELGISDTQQELLAGILNVCALVGSLVAGRTADIIGRRYTISLASILFLVGSVLMGYGPNYAILMAGRCVAGLGVGFALLMAPIYSGEISSAEARGFLSSLPELCIGIGILLGYIINYLLGKLPLKLGWRLMLGIAAAPSLALAVGILAMPESPRWLVVKGHLAKAKKVLVKISDSEEEAELRFREIKSAAGIDENCTEETVKLSRNSSGEGVWKELILRPSYAVRRMLIAAVGIHFFEHATGIEAVMLYSHRIFKIAGVTSKDKLLLATIGIGVTKVSCLIVATFFLDKIGRRRLLFISTGGMIVSLSLLGFSLTMVDKSHEKLTWALVLSLVGTYVYVAFFNLGLAPVTWVYGSEIFPLRLRAQGASIGVAVNRLTNAAISMSFISIYKKITIGGAFFLFAGTSILAMLFFFFFLPETKGKALEEMEMVFGQKTERNAAAAAATTETTEPEQNA >Vigun02g133200.3.v1.2 pep primary_assembly:ASM411807v1:2:28383976:28387100:-1 gene:Vigun02g133200.v1.2 transcript:Vigun02g133200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGRRQRKGSRYATLCSVVTSMISIIFGYDTGVMSGALIFIREELGISDTQQELLAGILNVCALVGSLVAGRTADIIGRRYTISLASILFLVGSVLMGYGPNYAILMAGRCVAGLGVGFALLMAPIYSGEISSAEARGFLSSLPELCIGIGILLGYIINYLLGKLPLKLGWRLMLGIAAAPSLALAVGILAMPESPRWLVVKGHLAKAKKVLVKISDSEEEAELRFREIKSAAGIDENCTEETVKLSRNSSGEGVWKELILRPSYAVRRMLIAAVGIHFFEHATGIEAVMLYSHRIFKIAGVTSKDKLLLATIGIGVTKVSCLIVATFFLDKIGRRRLLFISTGGMIVSLSLLGFSLTMVDKSHEKLTWALVLSLVGTYVYVAFFNLGLAPVTWVYGSEIFPLRLRAQGASIGVAVNRLTNAAISMSFISIYKKITIGGAFFLFAGTSILAMLFFFFFLPETKGKALEEMEMVFGQKTERNAAAAAATTETTEPEQNA >Vigun03g094200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7967908:7969911:1 gene:Vigun03g094200.v1.2 transcript:Vigun03g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNNESLALVYEEQPQALAILAPIVSSYNERIRPVLDAMENLRRLNIAKEGIQLPSIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNHPLPRPELLLEYHGKIIMTDEENVSHAINGATEELAGHGKGISNNPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYDQIKDIIMEYIKPEESIILNVLSASVDFTTCESIRMSQSVDKTGLRILAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEDARIEEERLFDSHRLLSKIDKSIVGIPVLAQKLVQVQAMSISKTLPEIVKKINEKLANNLSELENLPANLSSVADAMAAFMNIIGRTRESLRKILLRGEFDEYGDENNMHCTARLVEMLDSYSRDLYGCPESDATMNFLVDEIKVLEEAKWIGLPNFMPRIAFLTILQKKVRGIKSMPIGFVENVWDYLADVLTTVVTRHSESYYQLLMSTKRAVEVIISKKKQHSRNHVKEAIEMEMYTDYTCNSDFSEEYNRLISQRAGFVNEVLSEQQPSHVNLEGVGSIDVSHLRQYSSAVLDQAFDLKVRMISYWKLVQKRLIDTIALHLMLSIHNLVNTDLDKEIVHDLLSPSGGGIERLLEESPSIAGKREKLNRSVRVLRESKDTVGNIIDSIGSYGGDF >Vigun09g130600.1.v1.2 pep primary_assembly:ASM411807v1:9:28884356:28888585:1 gene:Vigun09g130600.v1.2 transcript:Vigun09g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGNIRFPSVLKVPLDTKLHNKSLWRCMVPPSYLNYPIRFTPISCKLPESGTEDNPTATSKSLYKSKDRMEDYNVAMKRMMRNPYEYHHDLGMNYTLITDYLIVGSQPQKPEDIDHLKNEECVAYILNLQQDKDVDYWGIDLQSIIRRCHELEIGHMRRPAKDFDPGSLRSELPKAVASLEWGISEGKGRVYVHCTAGLGRAPAVAIAYLFWFCGMKLNEAYDMLTSKRPCGPNKTAIRGATYDLAKNDPFKEPFENLPEYAFEDIADWERNLIQNRVRSLRHT >Vigun06g132200.1.v1.2 pep primary_assembly:ASM411807v1:6:25818292:25823910:-1 gene:Vigun06g132200.v1.2 transcript:Vigun06g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNQQKNGVDRQGLNHKKGVSGSVLPGMKGHGKGGPVKVFPREDLADGDTGVARTACDASSSGDDFNNEQKSDNNSRKEKQGMAGKPDLEESLPFERDSGDDRLNSEASVQEENGTLPRSDQGLQSINSRLSSILDSLHLKSVVDKLDIADNVMIRRLRFSLFSMFTAISEWLTRQTPLFVSLRTIMFKAYHDSRTKVVQAYPVILKWLMHFGNVVLLLSVFWLDCALRGIDSFVRMGTTSFFSVIWCSIFSVISMIGLLKFLAVVGLAALIGFFLGLMLAILVVAIIGVVILWFYGSFWTTVFFIILGGLAFMFSHERVALLITTVYSVYCAWLYVGWLGLLVAFNLAFISSDVLIYFLKKNIDQQSRSNPFEQRTGMYGQPGFSDGSTHASSSENGPGPSADRSAGIPSTSGVDSDVTSEDEVVRLLNCSDHYAALGLTRYENIDVSILKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEILMDSLKRKAYDDELRREELLSVFRRFHDASNKNGRHGLFPSGFARSDADGKDPFGDSRRIACKRCGGFHLWIHTKKQKSRARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLLQKVDAPSAYVCAGSRIYDATEWYICQGMRCPANTHKPSFHVNTSLMSKNNSGKGTSSGQRGGRMPTPNMEETMTEEEFFEWLQNAVQAGVFDNFSGTATETPSPKSGNGMKSPSSSGGGASASGSSSSKRKKKGKKQW >Vigun09g066666.1.v1.2 pep primary_assembly:ASM411807v1:9:7039684:7042313:-1 gene:Vigun09g066666.v1.2 transcript:Vigun09g066666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFPSSPLFRCFFIVDVRDSIIASYQAFYMIFERSHGFFWLNYDGLIRLCAGCAAPRIIRLRTPEKVKGFDAQDYK >Vigun06g074300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20540390:20541096:1 gene:Vigun06g074300.v1.2 transcript:Vigun06g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun03g233550.1.v1.2 pep primary_assembly:ASM411807v1:3:38899509:38900386:-1 gene:Vigun03g233550.v1.2 transcript:Vigun03g233550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVVRAFAILYDHDLERQWTLTDEEGNRHVVTYNKNLQKPMLIGGWNDLRELYELHDNHNIYFGYIGHSCFHITVFPSKCKPLSIGRFLKRLQADEPLFNRPKLHFCIFLNPNQCHASHLDLPADFDNYLRQGRFKNILLQGPRKIVKCKLLLRNHPKKSNKIGSGWKEFCTAHGFDQSIDLVFEVD >Vigun10g030200.1.v1.2 pep primary_assembly:ASM411807v1:10:3857599:3858666:1 gene:Vigun10g030200.v1.2 transcript:Vigun10g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITKLAKLFFILLAFTFAAQQIQVSETEARVLLESSQPQRDQRIKGGNEAWRMMKSWRPMIGSTAPTCTYNECKGCKYKCRAEQVPVEGNDPINSPYHYRCVCHR >Vigun03g356100.2.v1.2 pep primary_assembly:ASM411807v1:3:55746272:55750736:1 gene:Vigun03g356100.v1.2 transcript:Vigun03g356100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLKLPAPDKVRRDFPDWLGSLVAGFDEKGNEIKVLEFELESGEELEPIQPGELGFHRGSDDGDEAMDDDDDKDQIFRQRPNPVSVLKSISDEEKRSDLEYELSQREINLEKLQRIASAGIPDGGGLRATAWKVMLEKKSRNLDLLTQLLLGYLPSSRDLWDEQLNENRQKYANLKEDLLQNPSEHVWKEYEESSTKRNEENDVDGPLRRHEISHEDHPLSLGAASPWSQYFQYTEIVEQIDRDLQRTHPDLPFFAGESSFSCKNREAMKSILLLFAKLNPEIRYVQGMNEVLAPIYYVFSTDSDKQNAANVEADSFSCFVRILGDSVDHFCEQFDNSSNGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNPFGVQDMLLRICCAMLLCVKSKLLNGDFVANLKLLQHYPDDINLEYLLQVAKDISPDTSYYCLSL >Vigun03g356100.4.v1.2 pep primary_assembly:ASM411807v1:3:55746272:55750736:1 gene:Vigun03g356100.v1.2 transcript:Vigun03g356100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLKLPAPDKVRRDFPDWLGSLVAGFDEKGNEIKVLEFELESGEELEPIQPGELGFHRGSDDGDEAMDDDDDKDQIFRQRPNPVSVLKSISDEEKRSDLEYELSQREINLEKLQRIASAGIPDGGGLRATAWKVMLEKKSRNLDLLTQLLLGYLPSSRDLWDEQLNENRQKYANLKEDLLQNPSEHVWKEYEESSTKRNEENDVDGPLRRHEISHEDHPLSLGAASPWSQYFQYTEIVEQIDRDLQRTHPDLPFFAGESSFSCKNRANVEADSFSCFVRILGDSVDHFCEQFDNSSNGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNPFGVQDMLLRICCAMLLCVKSKLLNGDFVANLKLLQHYPDDINLEYLLQVAKDISPDTSYYCLSL >Vigun03g356100.1.v1.2 pep primary_assembly:ASM411807v1:3:55746272:55750736:1 gene:Vigun03g356100.v1.2 transcript:Vigun03g356100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLKLPAPDKVRRDFPDWLGSLVAGFDEKGNEIKVLEFELESGEELEPIQPGELGFHRGSDDGDEAMDDDDDKDQIFRQRPNPVSVLKSISDEEKRSDLEYELSQREINLEKLQRIASAGIPDGGGLRATAWKLLLGYLPSSRDLWDEQLNENRQKYANLKEDLLQNPSEHVWKEYEESSTKRNEENDVDGPLRRHEISHEDHPLSLGAASPWSQYFQYTEIVEQIDRDLQRTHPDLPFFAGESSFSCKNREAMKSILLLFAKLNPEIRYVQGMNEVLAPIYYVFSTDSDKQNAANVEADSFSCFVRILGDSVDHFCEQFDNSSNGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNPFGVQDMLLRICCAMLLCVKSKLLNGDFVANLKLLQHYPDDINLEYLLQVAKDISPDTSYYCLSL >Vigun03g356100.3.v1.2 pep primary_assembly:ASM411807v1:3:55746272:55750736:1 gene:Vigun03g356100.v1.2 transcript:Vigun03g356100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLKLPAPDKVRRDFPDWLGSLVAGFDEKGNEIKVLEFELESGEELEPIQPGELGFHRGSDDGDEAMDDDDDKDQIFRQRPNPVSVLKSISDEEKRSDLEYELSQREINLEKLQRIASAGIPDGGGLRATAWKLIVRYDFNYQLLLGYLPSSRDLWDEQLNENRQKYANLKEDLLQNPSEHVWKEYEESSTKRNEENDVDGPLRRHEISHEDHPLSLGAASPWSQYFQYTEIVEQIDRDLQRTHPDLPFFAGESSFSCKNREAMKSILLLFAKLNPEIRYVQGMNEVLAPIYYVFSTDSDKQNAANVEADSFSCFVRILGDSVDHFCEQFDNSSNGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNPFGVQDMLLRICCAMLLCVKSKLLNGDFVANLKLLQHYPDDINLEYLLQVAKDISPDTSYYCLSL >Vigun03g356100.5.v1.2 pep primary_assembly:ASM411807v1:3:55746272:55750736:1 gene:Vigun03g356100.v1.2 transcript:Vigun03g356100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLKLPAPDKVRRDFPDWLGSLVAGFDEKGNEIKVLEFELESGEELEPIQPGELGFHRGSDDGDEAMDDDDDKDQIFRQRPNPVSVLKSISDEEKRSDLEYELSQREINLEKLQRIASAGIPDGGGLRATAWKLLLGYLPSSRDLWDEQLNENRQKYANLKEDLLQNPSEHVWKEYEESSTKRNEENDVDGPLRRHEISHEDHPLSLGAASPWSQYFQYTEIVEQIDRDLQRTHPDLPFFAGESSFSCKNRANVEADSFSCFVRILGDSVDHFCEQFDNSSNGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNPFGVQDMLLRICCAMLLCVKSKLLNGDFVANLKLLQHYPDDINLEYLLQVAKDISPDTSYYCLSL >Vigun11g039600.4.v1.2 pep primary_assembly:ASM411807v1:11:5561449:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRKNTGFFYDDDHPINLIGHVCKISFGEFAF >Vigun11g039600.1.v1.2 pep primary_assembly:ASM411807v1:11:5561521:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRKNTGFFYDDDHPINLIGHVWELWKDEKCFESVDPSLEESFDYDEVQRCIHVGLLCAEHYANDRPTMSDVISMLTNKSAIVSLPSRPAFYIQRNMFNENLSSLELCTASTIKTTTSLEIE >Vigun11g039600.2.v1.2 pep primary_assembly:ASM411807v1:11:5561449:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGT >Vigun11g039600.5.v1.2 pep primary_assembly:ASM411807v1:11:5561521:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGT >Vigun11g039600.6.v1.2 pep primary_assembly:ASM411807v1:11:5561524:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRKNTGFFYDDDHPINLIGHVCKISFGEFAF >Vigun11g039600.7.v1.2 pep primary_assembly:ASM411807v1:11:5561780:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRKNTGFFYDDDHPINLIGHVWELWKDEKCFESVDPSLEESFDYDEVQRCIHVGLLCAEHYANDRPTMSDVISMLTNKSAIVSLPSRPAFYIQRNMFNENLSSLELCTASTIKTTTSLEIE >Vigun11g039600.9.v1.2 pep primary_assembly:ASM411807v1:11:5561523:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGT >Vigun11g039600.3.v1.2 pep primary_assembly:ASM411807v1:11:5561449:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVSGRKNTGFFYDDDHPINLIGHVWELWKDEKCFESVDPSLEESFDYDEVQRCIHVGLLCAEHYANDRPTMSDVISMLTNKSAIVSLPSRPAFYIQRNMFNENLSSLELCTASTIKTTTSLEIE >Vigun11g039600.8.v1.2 pep primary_assembly:ASM411807v1:11:5561449:5563238:1 gene:Vigun11g039600.v1.2 transcript:Vigun11g039600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSLIEDFGNDFKKGHGLKILDYPLVVAATNGFSSENKLGQGGFGPVYKETLPTGEEVAIKRLSKSSAQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCSRSKLLDWNKRFNIIQGIAQGLLYLHKYSRLKVIHRDLKTSNILLDENMNPKISDFGMARMFTQQDSISNTNRVVGT >Vigun08g216400.1.v1.2 pep primary_assembly:ASM411807v1:8:37689050:37692479:1 gene:Vigun08g216400.v1.2 transcript:Vigun08g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGRHGFVVAVTGIENVGKGLIRDGTGYVTFPVKYRCVVFRPFKGEIVEAVVTMVNKMGFFGEAGSVQIFVSNHLIPDDMEFQAGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTV >Vigun05g088100.1.v1.2 pep primary_assembly:ASM411807v1:5:8369103:8372555:-1 gene:Vigun05g088100.v1.2 transcript:Vigun05g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTAFLEHPISEDTLQLLFQASNSSNLEKSLEILIQNAKSDSGRLELASKRILPAVLNIVQSLALASYHHHHHHHNQTLSLCFKLLRNLCAGEAANQASFIELNGVAVVWNVLRSEAGSSGPDHGLVRWGLQVLANVSLGGKQHQRALWEELYPIGFASLARVGTKETCDPLCMVIYTCCDGNPEWFKELSSDDGWPIVSEIVRTASSAGFGEDWLKLLLSRNFLEESQLPMLFSKLQSVDVPEDEIIESKVDQFSFEQAFLLQILSQILNERLGDVTISKDFALFVFEIFKKSIGVLEHAMRGNSGLPSGFAGVDVLGYTLTILRDICAQDGMRGNTKDANDVVDVILSYGLIELLLSLLGALEPPAIIRKGLKQIENQDSDSCYSKPCPYKGFRRDIVALIGNCVYRRKHAQDEIRDRNGILLLLQQCVTDEDNPFLREWGIWSVRNVLEGNDENQRVVAELEIQGSADVPEINALGLRVEVDQRTRRAKLVNIPSCEKSL >Vigun05g088100.2.v1.2 pep primary_assembly:ASM411807v1:5:8369114:8372554:-1 gene:Vigun05g088100.v1.2 transcript:Vigun05g088100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTAFLEHPISEDTLQLLFQASNSSNLEKSLEILIQNAKSDSGRLELASKRILPAVLNIVQSLALASYHHHHHHHNQTLSLCFKLLRNLCAGEAANQASFIELNGVAVVWNVLRSEAGSSGPDHGLVRWGLQVLANVSLGGKQHQRALWEELYPIGFASLARVGTKETCDPLCMVIYTCCDGNPEWFKELSSDDGWPIVSEIVRTASSAGFGEDWLKLLLSRNFLEESQLPMLFSKLQSVDVPEDEIIESKVDQFSFEQAFLLQILSQILNERLGDVTISKDFALFVFEIFKKSIGVLEHAMRGNSGLPSGFAGVDVLGYTLTILRDICAQDGMRGNTKDANDVVDVILSYGLIELLLSLLGALEPPAIIRKGLKQIENQDSDSCYSKPCPYKGFRRDIVALIGNCVYRRKHAQDEIRDRNGILLLLQQCVTDEDNPFLREWGIWSVRNVLEGNDENQRVVAELEIQGSADVPEINALGLRVEVDQRTRRAKLVNIPSCEKSL >Vigun09g184100.1.v1.2 pep primary_assembly:ASM411807v1:9:35778324:35782422:1 gene:Vigun09g184100.v1.2 transcript:Vigun09g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISGIYTSSPSLKRFPNQTPPLTQPLRKDHVSFHARRADRTLSLTAPRAVAREVSDGAAVAAKGLEKDPRALWRRYVDWLYQHKELGLYLDVSRVGFTDEFVREMEPHFKRAFEAMEELEKGAIANPDEGRMVGHYWLRDPKRAPSSFLKTQIENTLDAVSKFANDVVSGKIKPPSSPEGRFTQILSIGIGGSALGPQFVAEALAPDNPPLKIRFVDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGASLMDEANRSTVLRNNPAALLALCWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGTRSALYANDRESITVSVQEVTPRTVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKDPIEPLTLEEVAERCHAPEDIEMIYKVIAHMAANDRALIAEGSCGSPRSIKVFLGECNIDESYA >Vigun11g177300.3.v1.2 pep primary_assembly:ASM411807v1:11:38162655:38171567:-1 gene:Vigun11g177300.v1.2 transcript:Vigun11g177300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAEELYAESLELSKLELTSTIAVDEEDKLNDRGGGDDFSFWDDSDDKLDSSLDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLDGYSWGVVRGVTSAFSHLPHELKERLIPPQEKRSEFQGLYESVHSLSTPDALGLFGEEIKAREALEQSEHSEQSCHGSQLRNYRGQLESLISESSAIDIHLT >Vigun11g177300.1.v1.2 pep primary_assembly:ASM411807v1:11:38162655:38171567:-1 gene:Vigun11g177300.v1.2 transcript:Vigun11g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAEELYAESLELSKLELTSTIAVDEEDKLNDRGGGDDFSFWDDSDDKLDSSLDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLDGYSWGVVRGVTSAFSHLPHELKERLIPPQEKRSEFQGLYESVHSLSTPDALGLFGEEIKAREALEQSEHSEQSCHGSQLRNYRGQLESLISESSAIDIHLT >Vigun11g177300.2.v1.2 pep primary_assembly:ASM411807v1:11:38162655:38171567:-1 gene:Vigun11g177300.v1.2 transcript:Vigun11g177300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAEELYAESLELSKLELTSTIAVDEEDKLNDRGGGDDFSFWDDSDDKLDSSLDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVLDGYSWGVVRGVTSAFSHLPHELKERLIPPQEKRSEFQGLYESVHSLSTPDALGLFGEEIKAREALEQSEHSEQSCHGSQLRNYRGQLESLISESSAIDIHLT >Vigun06g073000.1.v1.2 pep primary_assembly:ASM411807v1:6:20392369:20398537:1 gene:Vigun06g073000.v1.2 transcript:Vigun06g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRAIISCFSHPSTSCNISLSRRTQFAHAPPPSPLLLSRRSHLVVAGGRGGGKVWANVKSEKNVSESPKYEDVVVQKGRGLDNMEEEGKWWQVFPKRWVIVVLCFSAFLLCNMDRVNMSIAILPMSAEYKWNPSTVGLIQSSFFWGYLLTQIAGGIWADTVGGKQVLGFGVIWWSVATALTPIAAKLGLPFLLVARAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPILIHQFGWPSVFYSFGSLGTVWFSVWLSKAHSSPLEDPELRPEEKKLITTNCLSKEPVKTIPWRLILSKPPVWALIASHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWFVMALSANVGGWIADTLVSKGVSVTRVRKIMQTIGFLGPAFFLTQLSHVNSPVMAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVFNLFSTGEKILE >Vigun06g073000.2.v1.2 pep primary_assembly:ASM411807v1:6:20392368:20398537:1 gene:Vigun06g073000.v1.2 transcript:Vigun06g073000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPILIHQFGWPSVFYSFGSLGTVWFSVWLSKAHSSPLEDPELRPEEKKLITTNCLSKEPVKTIPWRLILSKPPVWALIASHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWFVMALSANVGGWIADTLVSKGVSVTRVRKIMQTIGFLGPAFFLTQLSHVNSPVMAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVFNLFSTGEKILE >Vigun06g073000.3.v1.2 pep primary_assembly:ASM411807v1:6:20393641:20398537:1 gene:Vigun06g073000.v1.2 transcript:Vigun06g073000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAILPMSAEYKWNPSTVGLIQSSFFWGYLLTQIAGGIWADTVGGKQVLGFGVIWWSVATALTPIAAKLGLPFLLVARAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPILIHQFGWPSVFYSFGSLGTVWFSVWLSKAHSSPLEDPELRPEEKKLITTNCLSKEPVKTIPWRLILSKPPVWALIASHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWFVMALSANVGGWIADTLVSKGVSVTRVRKIMQTIGFLGPAFFLTQLSHVNSPVMAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVFNLFSTGEKILE >Vigun07g289000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40135030:40137817:1 gene:Vigun07g289000.v1.2 transcript:Vigun07g289000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIVADNSLSINNVTTPYFDLMEMDDLTRRPASAEMPTLGQLLKHVGDARKEAAGDGSETPVHHALDIVDAAGVGPRSLPFVLSFSNLTYSVKARRKMSLSSVFPRRRSRLGGSAVAEAPAVGESMFRRTKTLLNDISGEARDGEIMAVLGASGSGKSTLIDALANRIAKGSLKGTVALNGETLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKNARVQALIEQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFLVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMIFLSRGQTVYSGSPSQLPVFFSEFGHPIPETDNRTEFALDLIRELEGSPGGTKSLVEFNKSWQSMTKHHQTTTEAERNGLSLKEAISASISRGKLVSGATNTNPNPSSMVPTFANPFWIEMATLSKRSFLNSRRMPELFGIRLGAVMVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTFYTTADALPVFLQERYIFMRETAYNAYRRISYLVSHAVVALPALAFLSLAFAATTFWAVGLDGGVSGFLFYFLIIFASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYEAVLQNEFDDPVKCFVRGVQIFDNTPLGSVPQALKLKLLDAMSNTLGMNITTSTCLTTGSDILRQNGVTDLTKWNCLWVTVAWGFFFRFLFYFSLLLGSKNKRS >Vigun07g241100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36254919:36256015:-1 gene:Vigun07g241100.v1.2 transcript:Vigun07g241100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASLFTPPFSALKPTDRVSVPWKQSPTFSFPSAKPLKLSKTVRAAAGDETAAEAVTKEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWQSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQPYDL >Vigun02g074300.1.v1.2 pep primary_assembly:ASM411807v1:2:22587922:22590128:1 gene:Vigun02g074300.v1.2 transcript:Vigun02g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSLFSLSFPFDPQIQIQNLSFSLSLPSHFSLFSSTKPRFPAFKLSSSHSSSSPLTTTFLTDDDLRRLNSLESFLYRRELPSGSLSVRLMRPHETRSTVLLLAHSFAESLLIPAAYVNLLAFLINQYLIQRLTLLPNTATLVAFYTQTPSPPTADSKEDEEEPLQEDEGAPLAGTVEICFNKRGANASVPTPTPPRDSPYICNMAVEKSLRRRGIGWHLLKASEELISQMSSSKEVYLHCRMIDEAPFKMYTKADYKVVKTDSILVLLMLQRRKHLMCKELPLSSMTSESDLSEYDEQKTI >Vigun02g074300.2.v1.2 pep primary_assembly:ASM411807v1:2:22587922:22590302:1 gene:Vigun02g074300.v1.2 transcript:Vigun02g074300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSLFSLSFPFDPQIQIQNLSFSLSLPSHFSLFSSTKPRFPAFKLSSSHSSSSPLTTTFLTDDDLRRLNSLESFLYRRELPSGSLSVRLMRPHETRSTVLLLAHSFAESLLIPAAYVNLLAFLINQYLIQRLTLLPNTATLVAFYTQTPSPPTADSKEDEEEPLQEDEGAPLAGTVEICFNKRGANASVPTPTPPRDSPYICNMAVEKSLRRRGIGWHLLKASEELISQMSSSKEVYLHCRMIDEAPFKMYTKADYKVVKTDSILVLLMLQRRKHLMCKELPLSSMTSESDLSEYDEQKTI >Vigun03g037800.1.v1.2 pep primary_assembly:ASM411807v1:3:2884491:2891819:-1 gene:Vigun03g037800.v1.2 transcript:Vigun03g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHRLRDSIKSLFGSHIDPNKEELHVAKADIEDKVKEILKLIKDDNLEEDGTPVQLSKREPLVELIEDFHNQYQSLHAQYDNLTAELRKRIKGKRENGSSSSSSDSDSGSDYSSKDKGNKNGQLENEFQKTIDGLKQELEGVHAEVAELNRKLTISHEENAELSKQVDIAGKKEAELSQRLEELKIEKDSLIMDKETALQQIEEEKKITNGLKTLVDQLKDEKLALGKELEAVTGELSVLKQQLEHGEQKMTGISHNLEVAEEENKSLKEQLSQASNEVQLAQSRIQEFVAESSQLKEKLDESGREINAFTQMHEGFQKESSNRIGELEAQVTNLELELESLKNQKRDLEVQMKSSNTEARELGEHNSGLQNQISQLELKSKEREEELSAMVKKLEDNENESSLKISDLTSQINKLLTDIGTLHTQKNELEEEIIFKSNEASTQVENITNEANALKQEVTSLQHQKSDLEAQLEEKVHENSKNMIEMQTLKEEIDRKILEQERLLEDRENLAMQLKTLESEMNTIQNKNSEAEEQIRTKSHEISHMKQEMLELHEKIAEIEKISTDRESNLLILRDKFISTEQAVSAQIMASSEQIKNLEHDLASLQKEKQELEQQCEKLKLEVDSMQNQKSEVEEQMRTKNHENSGLREEVLGLQGTLAVLEKALAEKETELSSLQEKLHEKESEASGQITGFIAQIDNLKHDVVSLQNEKREVEQQCVNLKMELDSAQNQKVEVEEQLRTKDQEYTELREEKYGLQGTITALQKTLADKEAELSSLQEKLHEKESEASGQITALTVQIENLKHDLVSLQNEKQEVEQQCEKLKVELDSSQNQKGEVEEQIRAKDHVNTELKEEISGLQGTITALEKRLAEKESDLSILQENLHQKESEASAQISAFIVQIDDLKHNLVSLQNEKQELEHQCEKMKMEVDSTQNQKDEVEEQIRAKDHENTELREEILGLQGTIAALEKTLAEKESELSTLQQKLDEKESEASGQVTAFTAQIDDLQKDLLSLQKTKEELELQHEKISQEHAESLVMVENEKNDISSRSMDLTRTLEEREDSYQRLNEEYKQIDGLFQECVVKLEVAEKKIEEMAAEFHERIELKDQKVADLEHTIEDLRRDLEEKGDEISTLLENVRMLEVKLRLSNQKLRVTEQLLSEKEESFRKTEEKFQQDQRALEDRIAILSAIITANKEAFDGIASNVRECVNSVMTGIEIVSCRVSDDCKNYEDCVSNISRELEIAKGHVRDMNKEKEQLKRDKSQLLEQLQVKNEEEVALRKTVEKLEAKSSKEESEKMNLTTTVEQLKKTVSGLEKMMKEKEDGMLDLGEEKREVIRQLCLWIDYHRSRYDYLKDILSKTRGGQRAA >VigunL039326.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:351893:352315:1 gene:VigunL039326.v1.2 transcript:VigunL039326.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFR >Vigun01g210600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38527464:38528285:-1 gene:Vigun01g210600.v1.2 transcript:Vigun01g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLQSHYAYSCSYLHDVKGNSVKEKKKMTSSYFHEPPPRERNNGGEDMAVRKSKSVWWWNEAERKRQRRVARYKFYATEGKIKHSFKKGFRWFKLKCIRIVTNL >Vigun01g065500.1.v1.2 pep primary_assembly:ASM411807v1:1:17340146:17343094:1 gene:Vigun01g065500.v1.2 transcript:Vigun01g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKNSPSSPDIQTTSIMKRTRKPTKRYHSSSSSSSSSSSLKPHRRGNRTKTRRPKFLSLRLQLSEPKNMDSQPKPKALQQPQLNLFPLHPEHHDMQEEQNVALLFSAEGGATLTGLLEEDSMSPSSATTEGSLSALTCPAEDAGNWLVRKAMRRRESEEGSEERWVCYSEVVEEKKETMEEVTSYCMMGTTTTTTSFGLLSLKLDHQGILNAWSDKGSLYVAGEGGPHTVPDFLNGFLLHNAFLPHVAWDGWGNGVVGNTWNVTEDCGAMKTNVKEENGWKLGQREASVQRYKEKRQSRLFAKKIRYEVRKLNAEKRPRMKGRFVKRE >Vigun03g039700.1.v1.2 pep primary_assembly:ASM411807v1:3:3032444:3040558:1 gene:Vigun03g039700.v1.2 transcript:Vigun03g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDASREQGASSTVVERKFQCSTLLFLAYQSFGFLFGDLSLSPLYVYQSIFSERLKQVQNEDAIFGAFSLIFWTLSIVSLLKYAVILLSVDDNGEGGIVALYSQLCRNAKFCLLPNHQASDEELSTYLKLGSSNRSIPPSPLKRFIEKHKSTKKALLIFFLLGACMVICVGALMPAISVLSSIKGLEIEAKITNKSVLSRISCVLVIGLFVMQHRGSYKVAFAFPPIIILWLLTIFMIGIYNIIKWNPRVYGALSPLYIYKFFRLTGKGGWVNLGGVFLCVTGTEAMFGDLGYYRQTPLRIAFCCVIYPCLVLQYMGQAAFLSKNLSAVHISFYASIPDVLFWPVFLVSALAAVVSSQAVIASAFSTVQQCHAFECFPRVKAVHSRRWIPGQTYIPEINWILMIISLAVTVGLGDINRIGHAYGIAHLIIVFVTTFLTSLAIILVWNQSLIIALAFALCFGSIEILFLSSYSLKILKGGWIPLVLSAVFMVVMYAWHYGSRKKYLFDMHNKVSTRTILALGPSLGIVRVPGMGLIYTGLATGIPASFTHFLTNLPAFYQVVVFVCVKTVPVACVPREERYLIGRIGPKSYRLYRCIVRNGYKDVCNHENDFENELVMNIAEFIQLEAEGYSGNAEGSADGRMAVVRTSGKFGTRLLMSESSAFQEGALTVTSSKSPILKRLQAMYERESPELITRQRIRFELQNVIYNDPRVKEELMELIEAKRTGAAYVIGHSHVKAKWNSPFAKKFAIDLYSFLRKNGRAPVVGLNIPPISLIKVGMNYHV >Vigun03g039700.2.v1.2 pep primary_assembly:ASM411807v1:3:3032855:3040558:1 gene:Vigun03g039700.v1.2 transcript:Vigun03g039700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVICVGALMPAISVLSSIKGLEIEAKITNKSVLSRISCVLVIGLFVMQHRGSYKVAFAFPPIIILWLLTIFMIGIYNIIKWNPRVYGALSPLYIYKFFRLTGKGGWVNLGGVFLCVTGTEAMFGDLGYYRQTPLRIAFCCVIYPCLVLQYMGQAAFLSKNLSAVHISFYASIPDVLFWPVFLVSALAAVVSSQAVIASAFSTVQQCHAFECFPRVKAVHSRRWIPGQTYIPEINWILMIISLAVTVGLGDINRIGHAYGIAHLIIVFVTTFLTSLAIILVWNQSLIIALAFALCFGSIEILFLSSYSLKILKGGWIPLVLSAVFMVVMYAWHYGSRKKYLFDMHNKVSTRTILALGPSLGIVRVPGMGLIYTGLATGIPASFTHFLTNLPAFYQVVVFVCVKTVPVACVPREERYLIGRIGPKSYRLYRCIVRNGYKDVCNHENDFENELVMNIAEFIQLEAEGYSGNAEGSADGRMAVVRTSGKFGTRLLMSESSAFQEGALTVTSSKSPILKRLQAMYERESPELITRQRIRFELQNVIYNDPRVKEELMELIEAKRTGAAYVIGHSHVKAKWNSPFAKKFAIDLYSFLRKNGRAPVVGLNIPPISLIKVGMNYHV >Vigun03g039700.3.v1.2 pep primary_assembly:ASM411807v1:3:3032444:3040558:1 gene:Vigun03g039700.v1.2 transcript:Vigun03g039700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVICVGALMPAISVLSSIKGLEIEAKITNKSVLSRISCVLVIGLFVMQHRGSYKVAFAFPPIIILWLLTIFMIGIYNIIKWNPRVYGALSPLYIYKFFRLTGKGGWVNLGGVFLCVTGTEAMFGDLGYYRQTPLRIAFCCVIYPCLVLQYMGQAAFLSKNLSAVHISFYASIPDVLFWPVFLVSALAAVVSSQAVIASAFSTVQQCHAFECFPRVKAVHSRRWIPGQTYIPEINWILMIISLAVTVGLGDINRIGHAYGIAHLIIVFVTTFLTSLAIILVWNQSLIIALAFALCFGSIEILFLSSYSLKILKGGWIPLVLSAVFMVVMYAWHYGSRKKYLFDMHNKVSTRTILALGPSLGIVRVPGMGLIYTGLATGIPASFTHFLTNLPAFYQVVVFVCVKTVPVACVPREERYLIGRIGPKSYRLYRCIVRNGYKDVCNHENDFENELVMNIAEFIQLEAEGYSGNAEGSADGRMAVVRTSGKFGTRLLMSESSAFQEGALTVTSSKSPILKRLQAMYERESPELITRQRIRFELQNVIYNDPRVKEELMELIEAKRTGAAYVIGHSHVKAKWNSPFAKKFAIDLYSFLRKNGRAPVVGLNIPPISLIKVGMNYHV >Vigun01g036000.2.v1.2 pep primary_assembly:ASM411807v1:1:4652792:4653840:1 gene:Vigun01g036000.v1.2 transcript:Vigun01g036000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIQVRASLDSLELAQHNNIRTPPILIPKHSEENKCRKMESTMRSKCVMILGMVGVIVLCGDVVVGQCSGMGGLIKECGVYVKRSGPQMNPSRKCCEEIKNADVACVCNHLTNHMLQLIDIQKLHNVADSCGRPIPSGTKCGDEVAAGPKSGDVINSPPQHIESQVPPKQKTDSNFQVSANKEKTDSNSSTQTSAPIIPTADTNSSTPFTEGPSSFTIS >Vigun02g186500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32565264:32566265:-1 gene:Vigun02g186500.v1.2 transcript:Vigun02g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNVKRFSGMQKQVLSLFRGFLRAARSKSEEERRKIESIVSQEFRRNAKSVDRKNFLYIEYLLRRGNKQLEQLRNPGITGLSSLQLHFSKTDSNAHSSIKG >Vigun05g240500.2.v1.2 pep primary_assembly:ASM411807v1:5:43362431:43365559:1 gene:Vigun05g240500.v1.2 transcript:Vigun05g240500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPTEFEACIHLSQAALGEGKKDKANEPVVLYLKVGEQKFVLGTLSREKIPQISLELVLEKEFELSHSSKSASVHFCGYKAYYSGDDSEEDDFTDSDEDIPLVNTENGKLEKKAEELKALVSKKLDAKAGAAAKKVKVVEPEKDNEDSDDDSDEDDALGISDEEMEDADSDDSDEDDDSDEDGDEETPPKKANLAKKRPNESAAKTPVSSKKAKNASPEKTGKKNLKAETPQSVKKGGKTPNTEAKDKSPKSGGLVCKSCSKTFNTDTGLQQHTKAKHGDQ >Vigun05g240500.1.v1.2 pep primary_assembly:ASM411807v1:5:43362431:43365559:1 gene:Vigun05g240500.v1.2 transcript:Vigun05g240500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKVGESVKVDPTEFEACIHLSQAALGEGKKDKANEPVVLYLKVGEQKFVLGTLSREKIPQISLELVLEKEFELSHSSKSASVHFCGYKAYYSGDDSEEDDFTDSDEDIPLVNTENGKLEKKAEELKALVSKKLDAKAGAAAKKVKVVEPEKDNEDSDDDSDEDDALGISDEEMEDADSDDSDEDDDSDEDGDEETPPKKANLAKKRPNESAAKTPVSSKKAKNASPEKTEGKKNLKAETPQSVKKGGKTPNTEAKDKSPKSGGLVCKSCSKTFNTDTGLQQHTKAKHGDQ >Vigun01g094950.1.v1.2 pep primary_assembly:ASM411807v1:1:25910539:25911546:-1 gene:Vigun01g094950.v1.2 transcript:Vigun01g094950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDRFEVVVHHSGSFVCHDNGKLVFEGETTEWFCDPDRWSYFEIVGGLTELGHVNIKELWYSLRGGSVLEDRLELLTDDKGVMHMVNLAKLNGVVHLYVVHKMTEPEIIEAIEGVQPGLEEIEEGTEFGCVGEGEQIQIEAEPGLEDIQEDGQFECGGEVDKEVGAGNGLGEHEVEDGLGGGEHEVEDGVGGGEHEVDDDSEGRKENVDVCEEEEEDDIYVDDVLLEEDTESETCSDEGLIDVSIECEVHSDMEDEWDAECAKTKDRKKPQRSSRKNVKHQQSDSDSVEDSPISDNEWHSDELISASESEEDTFDKESYG >Vigun04g157200.3.v1.2 pep primary_assembly:ASM411807v1:4:37918841:37923288:-1 gene:Vigun04g157200.v1.2 transcript:Vigun04g157200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTYICLMVNPIKGKIALSLLLGSDYYQGVHGLGPESACQIVKSIGDKDVLKKIASEGLGWVKKRRGGKNDLGRDDNILKVINAYMKPKCHSADSDIVHKVLAQYTFQRAELQQLCAEFFLWPSEKTDGYILPSIAERDLRRFANLRLTSSKVGLNLPLHEIPVKCPVSEIIKSRRVQGRECYEVSWEGMDGLETSTVPADLIESACREKILEFEERKAQRKKQNNQKRRPKKKETNSSLAELDLKLQNLLLDNNSLRDEANFNASDSSERVSRITTFMADEADLNTEDLLHPSHDIEHTGLIQNTSNIYSRNKAVSTTGKNEIIDLLSPSPPKKFNFSSKSLQPSDQNIEVINLSDSENDMSVEHKQKTKELRLFLASIRNEIH >Vigun04g157200.1.v1.2 pep primary_assembly:ASM411807v1:4:37918841:37926291:-1 gene:Vigun04g157200.v1.2 transcript:Vigun04g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDLLESCKKRVPLYHLQNKRVCVDLSCWMVQLHSVSKSHACVKEKVYLKGLFHRLRALIALNCSLVFVTDGSIPAIKLSTYRRRLNVGKEVAQNETNLQKVTSLRRNMGSEFSCMIKEAKMLGMALGISCLNGIEEAEAQCALLNFESLCDGCFSLDSDIFLFGARTVYRDICLGDGGYVVCYEMTDIERKLGLGRDSLIALSLLLGSDYYQGVHGLGPESACQIVKSIGDKDVLKKIASEGLGWVKKRRGGKNDLGRDDNILKVINAYMKPKCHSADSDIVHKVLAQYTFQRAELQQLCAEFFLWPSEKTDGYILPSIAERDLRRFANLRLTSSKVGLNLPLHEIPVKCPVSEIIKSRRVQGRECYEVSWEGMDGLETSTVPADLIESACREKILEFEERKAQRKKQNNQKRRPKKKETNSSLAELDLKLQNLLLDNNSLRDEANFNASDSSERVSRITTFMADEADLNTEDLLHPSHDIEHTGLIQNTSNIYSRNKAVSTTGKNEIIDLLSPSPPKKFNFSSKSLQPSDQNIEVINLSDSENDMSVEHKQKTKELRLFLASIRNEIH >Vigun04g157200.2.v1.2 pep primary_assembly:ASM411807v1:4:37918841:37923138:-1 gene:Vigun04g157200.v1.2 transcript:Vigun04g157200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCDYFCCVYSTPLLHTCFDDLIITLVTFMSYSISIALSLLLGSDYYQGVHGLGPESACQIVKSIGDKDVLKKIASEGLGWVKKRRGGKNDLGRDDNILKVINAYMKPKCHSADSDIVHKVLAQYTFQRAELQQLCAEFFLWPSEKTDGYILPSIAERDLRRFANLRLTSSKVGLNLPLHEIPVKCPVSEIIKSRRVQGRECYEVSWEGMDGLETSTVPADLIESACREKILEFEERKAQRKKQNNQKRRPKKKETNSSLAELDLKLQNLLLDNNSLRDEANFNASDSSERVSRITTFMADEADLNTEDLLHPSHDIEHTGLIQNTSNIYSRNKAVSTTGKNEIIDLLSPSPPKKFNFSSKSLQPSDQNIEVINLSDSENDMSVEHKQKTKELRLFLASIRNEIH >Vigun01g014500.1.v1.2 pep primary_assembly:ASM411807v1:1:1610711:1614738:1 gene:Vigun01g014500.v1.2 transcript:Vigun01g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPRFVGSIMVTLFTMASSQSLNYVNDDCHNSTTKEQALTLTFSTNLNTTLSRLSSDAATSKGYNHTTTGNGDAVYGLYDCRGDVTGPFCQFCVSTAASEILRQCPNRSSAVIWYNYCILRYSSQNFFGNLTTTPSWEIVENSKNTTDPQELQQAESYMQSLKREATVETNKLYAMGGFNLSDGEERFGLVQCSRDLTNDECSQCLEAMIEKIPQCCATKRAWQVLAPSCLIKYDDFMFYQITDQTSSPLPNPAKKGGSISSKTLIIIIVSVLVVALIFLSCCVYYLWRKYLRNKDGWISVNIPRSFRGHVQREEVLNSDLPTIPLIWIQQSTNYFSELSKLGEGGFGPVYKGNLEDGTEVAVKRLSKASNQGLEEFKNEVIFIAKLQHRNLVRLLGCWIEENEKLLVYEYMPNSSLDSHLFNEEKRQQLDWTLRLSIINGIAKGLLYLHEDSRLRIIHRDLKASNVLLDQEMNPKISDFGLARTFEKGQSQENTRRVMGTYGYMAPEYAMEGLYSVKSDVFAFGVLLLEIIHGKRNSGFHLSEHGQSLLVYSWRLWSEGKSLEMLDPVLEKTYKANEVMKCIHIGLLCVQEDAADRPTMSTVVVMLASDTMALPNPNHPAFSVGRKIKDEESTSKGSKDPSVNDLTISNISPR >Vigun02g067700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21774556:21776876:-1 gene:Vigun02g067700.v1.2 transcript:Vigun02g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNELKLLGAWFSPYALRVKIALNLKGVDYEVIEDTLFPKSELLLKSNPVLKKIPVLFHADKAICESAIIVEYIDEVWSNAPSILPQNAYDRAQARFWVAYT >VigunL032651.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:229393:229872:1 gene:VigunL032651.v1.2 transcript:VigunL032651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDSKHFTLFWKPKDSIVWIWGTDTQFQVSKQNSILDIIDTYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun06g157700.1.v1.2 pep primary_assembly:ASM411807v1:6:28109705:28113684:-1 gene:Vigun06g157700.v1.2 transcript:Vigun06g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLVRPLLTRRGFSTSSENLVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYQRRYPEEFLDKSDARGKGDYQIDYVPAPRFTEADKNNDKRSLQRALDRRLYLLIYGNAHGAPSAKPVWHFPEKVYESEDTMRKCAESALESIIGDLSNTYFVGNAPMGHMVVQPTEDQSGSTSYKSVRILFG >Vigun03g246700.5.v1.2 pep primary_assembly:ASM411807v1:3:41019749:41022878:1 gene:Vigun03g246700.v1.2 transcript:Vigun03g246700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWGSVVRFFVDGSLNTTYFNNFEHSGMLLMFLIYGVVALFSEKTRYLPLPVGALCLFAATAFCAEYVLFYFHSTTHKGLEGYYHVLLAFLIGLCIIASVAGALLPNSFPVDLCNGIAITLQGIWFYQTAFVLYGPMLPSGCRLNDSHITCHAKESEVRAELLANFQLFVAVLTVLVGTVASYGFAASRYLPNVKNLQPIQVELDKE >Vigun03g246700.4.v1.2 pep primary_assembly:ASM411807v1:3:41020141:41022992:1 gene:Vigun03g246700.v1.2 transcript:Vigun03g246700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWGSVVRYVSNPKTFRVHVWNPVPGFNGRLKHLELYVISIGAFIDLCVELLLATHLRFFVDGSLNTTYFNNFEHSGMLLMFLIYGVVALFSEKTRYLPLPVGALCLFAATAFCAEYVLFYFHSTTHKGLEGYYHVLLAFLIGLCIIASVAGALLPNSFPVDLCNGIAITLQGIWFYQTAFVLYGPMLPSGCRLNDSHITCHAKESEVRAELLANFQLFVAVLTVLVGTVASYGFAASRYLPNVKNLQPIQVELDKE >Vigun03g246700.2.v1.2 pep primary_assembly:ASM411807v1:3:41019749:41022853:1 gene:Vigun03g246700.v1.2 transcript:Vigun03g246700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWGSVVRYVSNPKTFRVHVWNPVPGFNGRLKHLELYVISIGAFIDLCVELLLATHLRFFVDGSLNTTYFNNFEHSGMLLMFLIYGVVALFSEKTRYLPLPVGALCLFAATAFCAEYVLFYFHSTTHKGLEGYYHVLLAFLIGLCIIASVAGALLPNSFPVDLCNGIAITLQGIWFYQTAFVLYGPMLPSGCRLNDSHITCHAKESEVRAELLANFQLFVAVLTVLVGTVASYGFAASRYLPNVKNLQPIQVELDKE >Vigun03g246700.1.v1.2 pep primary_assembly:ASM411807v1:3:41019747:41022991:1 gene:Vigun03g246700.v1.2 transcript:Vigun03g246700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWGSVVRYVSNPKTFRVHVWNPVPGFNGRLKHLELYVISIGAFIDLCVELLLATHLRFFVDGSLNTTYFNNFEHSGMLLMFLIYGVVALFSEKTRYLPLPVGALCLFAATAFCAEYVLFYFHSTTHKGLEGYYHVLLAFLIGLCIIASVAGALLPNSFPVDLCNGIAITLQGIWFYQTAFVLYGPMLPSGCRLNDSHITCHAKESEVRAELLANFQLFVAVLTVLVGTVASYGFAASRYLPNVKNLQPIQVELDKE >Vigun03g246700.3.v1.2 pep primary_assembly:ASM411807v1:3:41019749:41022878:1 gene:Vigun03g246700.v1.2 transcript:Vigun03g246700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWGSVVRYVSNPKTFRVHVWNPVPGFNGRLKHLELYVISIGAFIDLCVELLLATHLRFFVDGSLNTTYFNNFEHSGMLLMFLIYGVVALFSEKTRYLPLPVGALCLFAATAFCAEYVLFYFHSTTHKGLEGYYHVLLAFLIGLCIIASVAGALLPNSFPVDLCNGIAITLQGIWFYQTAFVLYGPMLPSGCRLNDSHITCHAKESEVRAELLANFQLFVAVLTVLVGTVASYGFAASRYLPNVKNLQPIQVELDKE >VigunL019900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000253.1:112237:112638:-1 gene:VigunL019900.v1.2 transcript:VigunL019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTTEKVCFTPDFNEKPIMFLKQTSGGGDSGRVLGNKKRVTGSWTFRFAKESKFSPVRFLQQIRAKMVSAIRVVSIRRRSSTKVSSSSLARSRSVSDPTDSHRAKAVEDCIEFLHSSSSRERPSSVSESSV >Vigun03g373550.1.v1.2 pep primary_assembly:ASM411807v1:3:57587622:57588446:-1 gene:Vigun03g373550.v1.2 transcript:Vigun03g373550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSETLKRVFSERDTNLPIHTSVMDLCSEPFVSFRFSQ >Vigun01g242200.1.v1.2 pep primary_assembly:ASM411807v1:1:41158324:41165956:-1 gene:Vigun01g242200.v1.2 transcript:Vigun01g242200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSIDRDRGNPVVAKSPGQCQLGLDAAVRTVNYASKSSFSTLDSSVGADSVKASAAAADLEEEEEPRDSKALNGGGVAGSVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPARDRSVRVIGEESSKYVKKANWNLNRAPSGGAGGGNSNNGKQCKPFGEIHLKVSSVRSSKSDEKRLSVFTGTKTLHLRCVSREDRAMWIEALQSAKDLFPRTLTSSDLATSEDIVVSTEKLRSRLSQEGVSETIINDCESIMLSEVSYLQSKLKYLQQKHVMLLDTLKQLETEKIELETTVVDETKERESYCGQGNRRFSDFYSVMSEGSATDSVADNESQDGADVETDDDDRTYFDTNEFLYSDALRSASYRSREGMTNGSIYDRDYICYDGVHGFEEIKDVRYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNDLMRILNIAAFAVSSYASTEGRQCKPFNPLLGETYEADYPDKGLKFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGEAFQWSKVTTSIYNIILGKIYCDHYGTMHIRGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAILIGKWDEAMYYVLGDPTSKPKGYDPMTEAAILWERDNSVSKTRYNLSPFAISLNEILPGLLDKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKMQERGWQPRWFKKDEDGCYRYIGGYWETREKNNWDGIPDIFGQSCDLPSCSTEDTVSY >Vigun02g180900.1.v1.2 pep primary_assembly:ASM411807v1:2:32170064:32170852:-1 gene:Vigun02g180900.v1.2 transcript:Vigun02g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRFSLGFGLFTLFLSLIFTLYIPLSANAQSLPPAPPPTSDGTSIDQGIAYVLMMLALALTYLIHSSSIL >Vigun01g039350.1.v1.2 pep primary_assembly:ASM411807v1:1:5398480:5398859:-1 gene:Vigun01g039350.v1.2 transcript:Vigun01g039350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSRCCGLGATCIMVSRDGACCSGLIGAMTKAYIGIVFSGDTSSNVRWCCAFYAGGCRSAHVLLDGKDDFLCSLLQWRLCGGLWLYAMVATVVVLQA >Vigun05g241700.6.v1.2 pep primary_assembly:ASM411807v1:5:43484496:43492745:1 gene:Vigun05g241700.v1.2 transcript:Vigun05g241700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPHVLQFRSQPFLGHANFVFFSDLTESRSVELPCTGSLTLLRRCKISGQSPAALKPVKCLSRSTEEKQSDAETALSDSEEVVDEPDDRNRTSVPANGRVGSQRIATAFSGDSLSLGVREPVYEVVEVKSNGKVSTRKINRRQLLKSSGLRPRDIRSVDPSLFMTNSMPALLVREYAILLNLGSLRAIAMQDCVLIFDNNRIGGKAFLETLLPRLNPKNSNGGPSMPFEIEVVEAALLSRIQRLEQRLMDLEPRVQALLEALPNRLTGDILEQLRTSKQTLVELGSKAGALRQMLLDLLEDPHEIRRICIMGRNCTLNKGNNNMECSVPFEKQNAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVLAFWLTTAGIIVGGIIAFFLMYSYLRARKIF >Vigun05g241700.5.v1.2 pep primary_assembly:ASM411807v1:5:43484434:43492745:1 gene:Vigun05g241700.v1.2 transcript:Vigun05g241700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPHVLQFRSQPFLGHANFVFFSDLTESRSVELPCTGSLTLLRRCKISGQSPAALKPVKCLSRSTEEKQSDAETALSDSEEVVDEPDDRNRTSVPANGRVGSQRIATAFSGDSLSLGVREPVYEVVEVKSNGKVSTRKINRRQLLKSSGLRPRDIRSVDPSLFMTNSMPALLVREYAILLNLGSLRAIAMQDCVLIFDNNRIGGKAFLETLLPRLNPKNSNGGPSMPFEIEVVEAALLSRIQRLEQRLMDLEPRVQALLEALPNRLTGDILEQLRTSKQTLVELGSKAGALRQMLLDLLEDPHEIRRICIMGRNCTLNKGNNNMECSVPFEKQNAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVLAFWLTTAGIIVGGIIAFFLMYSYLRARKIF >Vigun05g241700.4.v1.2 pep primary_assembly:ASM411807v1:5:43484434:43492745:1 gene:Vigun05g241700.v1.2 transcript:Vigun05g241700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPHVLQFRSQPFLGHANFVFFSDLTESRSVELPCTGSLTLLRRCKISGQSPAALKPVKCLSRSTEEKQSDAETALSDSEEVVDEPDDRNRTSVPANGRVGSQRIATAFSGDSLSLGVREPVYEVVEVKSNGKVSTRKINRRQLLKSSGLRPRDIRSVDPSLFMTNSMPALLVREYAILLNLGSLRAIAMQDCVLIFDNNRIGGKAFLETLLPRLNPKNSNGGPSMPFEIEVVEAALLSRIQRLEQRLMDLEPRVQALLEALPNRLTGDILEQLRTSKQTLVELGSKAGALRQMLLDLLEDPHEIRRICIMGRNCTLNKGNNNMECSVPFEKQNAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVLAFWLTTAGIIVGGIIAFFLMYSYLRARKIF >Vigun03g143500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14508283:14510315:1 gene:Vigun03g143500.v1.2 transcript:Vigun03g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLWFTLPSFVVLLSLSLTTSLPIQEPFNHCLTLHSQTPNQFSSSIYTSTNASFTSILESTAQNLRYLLPSVPKPDFIFTPLDDSQVQAAVICAKQLGIHMRVRSGGHDYEGLSYVSLIEKPFMILDLVKLRAVNVDIAHNTAWIQAGATVGEVYYRISEKSSVHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGVDNVLDAKIVDANGRVLDRAAMGEDLFWAIRGGGGGSFGVILWWKIKLVPVPQTVTVFTVTKTLEQGGNKILHRWQKVAPKIDEDLFIRVLIQTGNGSVPGKRTVTTSYNALFLGGADRLLQVMKHGFPELGLRRKDCVETSWIKSVLYIAGYPDGTAPEVLLQGKSTSKAYFKAKSDFVREVIPEKSLNPLWKVFLQEDGPLMIWNPYGGMMSKIAESATPFPHRKGVLYKVQYVTGWLDGEKSMAKHMNWIRKFYSRTAPYVSKYPRETYVNYRDLDIGMNKKNNTSFVEARSWGYRYFKGNFNRLVKVKTKVDPSNFFRHEQSIPLLKPLA >Vigun10g182300.1.v1.2 pep primary_assembly:ASM411807v1:10:39968598:39969788:-1 gene:Vigun10g182300.v1.2 transcript:Vigun10g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKEKKNRCFEDVQKWIKGLSDGTYGNQIETSTTKGLRLVKAHKGFLLCDMIIHTGLLDESGNWHVSAIATLVDMVGSVAPYTLNQCHHVTLDLNISYFSMAKVQEEVEIEAKVVGKKDDLTSVIVEVRKKKNAELVALGKLWMAVSTKNAKHQASKL >Vigun05g058500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5006474:5009530:1 gene:Vigun05g058500.v1.2 transcript:Vigun05g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKPNFVFPLPFMFFITHWFLLVIYGSAAESSTYIVHMDKSMFPSVFTTHHDWFESIIDSSKSATLGHSSIKSQKVYSYSQAMYGFSAVLTSEELEAVKTSPGFVAAYPDRTATIDTTHTFEFLSLDPSSGLWHASNFGEDVIVGVIDSGVWPESESFRDDGMTKKIPSKWKGTCEEGQDFNTSMCNFKLIGARYFNKGVIAANSKVRISMNSARDTEGHGTHTSSTVGGNYVSGASYFGYAKGVARGIAPRARLAMYKVIWEEGRYASDVLAGMDRAIADGVDVISISMGFDGVPLYEDPIAIASFAAMEKGVVVSSSAGNGGPDLGTLHNGIPWLLTVAAGTIDRTFGSLVLGNGQTIIGWTLFPANAIVENLPLIYNKNTSACNSVKALAKVAMEGIIVCDPVSDPELVFEQRRVVREASLLGAVFISDQPLLNGGHASFPSIVISAKDAPSVIKYAKSHKNQTASIKFQETFVGIKAAPALADYSSRGPSPSYPWVLKPDIMAPGSRVLAAFIPDAPAAAIGNNVYLPSDYNLLSGTSMACPHASGVAALLKAAHPEWSAAAIRSALVTTASPLDNTQNPIRDYGYPSQYASPLDMGAGQVDPNKALDPGLIYDATPQDYVNLLCALNYTQKQILTITRSGSYNCAKPSFDLNYPSFIAIYSNKTRSVVQKFKRTVTNVGDGAALYRAKVTEPKGSVVTVSPETLSFRYKNEKRSYDVLIKYRKYKKENISYGDLVWIEDGGTHTVRSPIVVAPSGIV >Vigun10g164900.1.v1.2 pep primary_assembly:ASM411807v1:10:38384978:38388897:1 gene:Vigun10g164900.v1.2 transcript:Vigun10g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSATATASARNRSPFTPSQWQELEQQALVFKYMVTGTPIPPDLIYSIKRSLDSSISSRLFPHHPIGWGCFEMGFGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSSATSTTATNTSQTIPSYTRNLSLANPTITSPSFPFSPLPSSMPCDSQPFSQSYQNPSLNPFFYAQSTSSRPPDSDFPPQDATTHQLFMDSASYSHDEKDYRHVHGIREDVDERAFFPEASGTARNYTDSYQPLSMSSYKSYSNSSFQNINDATNQRQQEQQHCFVLGTDFKSTRPSKEKEAETTSQRPLHRFFGEWPPKNTDSWLDLASNSRIPTDE >Vigun03g345300.1.v1.2 pep primary_assembly:ASM411807v1:3:54441668:54449323:-1 gene:Vigun03g345300.v1.2 transcript:Vigun03g345300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHGTPSALGFHMPAEWETHTQCWMGWPERPDNWREGAAPAQQVFARVATAISKFESVTVCVSSAQWENARSQLPEHIRVVEMSMNDSWFRDSGPTFVVRRSSTTESGGAVDRIAGIDWQFNSWGGLEDGCYSDWSLDLLVAKKILGIEKIPIFSHSIVLEGGSIHVDGEGTCLTTEECLLNKNRNPHLSKDQIEDELKAYLGVRKVIWLPRGLYGDDDTNGHIDNMCCFVRPGVVILSWVDDETDPQYERSIEAYSLLSSETDAHGRKFEIIKIHVPGPLYMTESESAGISQEGEAKPRPPGTRLAASYVNFYMANKAIIAPQFGDKKWDDEAIRVLSNAFPHHEVVGVEGSREIVLGGGNIHCITQQQPAV >Vigun03g328100.1.v1.2 pep primary_assembly:ASM411807v1:3:52488821:52496070:1 gene:Vigun03g328100.v1.2 transcript:Vigun03g328100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNLQFSRWTFFKHLPTRKFKITTLCQNKSVATLCHFETLTSHQKHQIHLYVDTLLQWNKRMNLTAVREVNDVMERHVEDSLAILPPLSDCYRSHCGSSCDKLSLVDVGSGAGLPGVVLAIARPEWDVTLMESMNKRCVFLEHVVGVIGSSNVKIVRGRAENLGQNPCFREQFDVAVARAVAEMRILVFAFYFSFPAEYCLPLVRVGGLFIAAKGHDPKDEIKKAESAIQKVGASLLQVCSVESQSPYGQRTAVICSKDRPTPLKYPRDPGTPAKEPL >Vigun03g328100.5.v1.2 pep primary_assembly:ASM411807v1:3:52488821:52496045:1 gene:Vigun03g328100.v1.2 transcript:Vigun03g328100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNLQFSRWTFFKHLPTRKFKITTLCQNKSVATLCHFETLTSHQKHQIHLYVDTLLQWNKRMNLTAVREVNDVMERHVEDSLAILPPLSDCYRSHCGSSCDKLSLVDVGSGAGLPGVVLAIARPEWDVTLMESMNKRCVFLEHVVGVIGSSNVKIVRGRAEGRI >Vigun03g328100.2.v1.2 pep primary_assembly:ASM411807v1:3:52488821:52496070:1 gene:Vigun03g328100.v1.2 transcript:Vigun03g328100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNLQFSRWTFFKHLPTRKFKITTLCQNKSVATLCHFETLTSHQKHQIHLYVDTLLQWNKRMNLTAVREVNDVMERHVEDSLAILPPLSDCYRSHCGSSCDKLSLVDVGSGAGLPGVVLAIARPEWDVTLMESMNKRCVFLEHVVGVIGSSNVKIVRGRAENLGQNPCFREQFDVAVARAVAEMRILVFAFYFSFPAEYCLPLVRVGGLFIAAKGHDPKWNRKAHMVSELLSYVQRIVLPH >Vigun03g328100.4.v1.2 pep primary_assembly:ASM411807v1:3:52488821:52496045:1 gene:Vigun03g328100.v1.2 transcript:Vigun03g328100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNLQFSRWTFFKHLPTRKFKITTLCQNKSVATLCHFETLTSHQKHQIHLYVDTLLQWNKRMNLTAVREVNDVMERHVEDSLAILPPLSDCYRSHCGSSCDKLSLVDVGSGAGLPGVVLAIARPEWDVTLMESMNKRCVFLEHVVGVIGSSNVKIVRGRAENLGQNPCFREQFDVAVARAVAEMRILAEYCLPLVRVGGLFIAAKGHDPKWNRKAHMVSELLSYVQRIVLPH >Vigun03g328100.3.v1.2 pep primary_assembly:ASM411807v1:3:52488821:52496045:1 gene:Vigun03g328100.v1.2 transcript:Vigun03g328100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNLQFSRWTFFKHLPTRKFKITTLCQNKSVATLCHFETLTSHQKHQIHLYVDTLLQWNKRMNLTAVREVNDVMERHVEDSLAILPPLSDCYRSHCGSSCDKLSLVDVGSGAGLPGVVLAIARPEWDVTLMESMNKRCVFLEHVVGVIGSSNVKIVRGRAENLGQNPCFREQFDVAVARAVAEMRILAEYCLPLVRVGGLFIAAKGHDPKDEIKKAESAIQKVGASLLQVCSVESQSPYGQRTAVICSKDRPTPLKYPRDPGTPAKEPL >Vigun08g225201.1.v1.2 pep primary_assembly:ASM411807v1:8:38352263:38352751:-1 gene:Vigun08g225201.v1.2 transcript:Vigun08g225201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VSVCVHVCVCMCVRVCVCGCVCACVCACVLACVCVPACVRMCVFECVRAYVRVCVGLCACTCACMRASVRVYACVRVCVCVCVSMCVRACVRLCVR >Vigun11g013001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1534266:1536821:-1 gene:Vigun11g013001.v1.2 transcript:Vigun11g013001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFVGSALLSAFLQVAFEKLASPQILDFFRARKLDEKLLNKLETNLHSIHSLADDAERKQFTDPHVRNWLLKVKDAVLDAEDLLDDLQNLSKSQVDVESESQTFAYCALFPKDYKFEKECLIQLWMTENLLHCQHSRTPEEVGQQYFNDLLSRSFFQQLARNEEVFVMHDLLNDLAKYVGGGIYFMWEFDKTEKIQKVTRHFSVELGYKQHFDGFGKLCNTEKLRTFIMPKGRGKFRINMSIHELFSKFKFLLILSLFNCSALQELPDSIGNLEHLRSLDLSYTPIKKLTETICSLSHLQILKLNYCRDLEELPSDLHLLTNLCRLEFMKTKVRKVPLHLGKLKSLKVMMSPFIVGHSKEFGIHRLGELNLDGSLSIEELQNIENSLDALEADLKNKTNLVKLKLRWDSRRNGNSIDSKKEENVIENLQPSKNLKELSIFSYGGKQLPNWLLENSLRNMMSLVLEECESCQRLPPLGLLPFLKDLRIARIDGIVSIDADFHGNNSSSFKSLETLEFSSMKQWEKWECQVVKGVFPNLQRLYINDCPKLKGELPEQLFPLEILDIRDCQQLDASAPRAVVLRIKDYGKLKINGATLTELSIGGHNKEVWFMEMVGHIVSCSFDLCNACQISDDSVSLWTFPLHFFPTLTMLSLKGFSNLHMISHDQAHNHLQYLTIRDCPKFESLPANMHMLLPSLTMLSIKDCPRLESFPDGGLPPNLCEMRLMNCSRLIGLLKGALGDNPSLKNLWIENVDAECFPDEGLLPFSLTSLIITYSPNLIQLDYKGLYQLSSLETLTLYSCPNLRRLPKEGLPKSVSCLEIDDCPLLEQRCKTGRRLLTFKNCTYCSDDVS >Vigun06g069000.1.v1.2 pep primary_assembly:ASM411807v1:6:19836190:19852011:-1 gene:Vigun06g069000.v1.2 transcript:Vigun06g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAAQTAAERDSFTRVIAAVKSSFRPDDPESVFSTLKFISVLDLFIKAKSDVSLEDVRDLAQMGLEIFHVARNKLYAQVRWGNLVVRLLNKYRKKITLSVGWRPLYDTLITTHFTRSTGPEGWRIRQRHFETITYLVQSCRRFFPSGSAFEIWSEFKSLLQNPWHNSSFEGSGFARLFLPTNMDNEAFFTHDWIRECIDLWESIPNCQFWNNQWADVIARVIKNYHNVDWDCFLPLLFARYLNMFEVPVANGSGSYPFSLDVPRNTRFLFSNKTSTPAKAISKSIVYLLKPGSPSQQHFEKLINILEQYYHPSNGGRWTYALERLLFHLVFQFQKRLQNEQLSTNNRRPTEQLLGESERVFFVNSVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFHMALETMTATHQLKIAVMSVAFVGRSLFYTSVSACSKKPLDLGGGDETFVDLMGVSLSNALLGMDANDPPKTLATMQLIGSIFSNLALLDDKIDDLSFMPMVRFSEWLDEFLCRLFSLLQHLEPSSVINEGLQSSATTGTFLVDDGPYYFCVLEILFGRLSNSLYNQALKKISKFVKTNILPGAVAEVGLLCCACVHSNPEEAVSQLVEPILLSVISSLKGTPRTGFGGGGTFDASASPKVRSTISPALEAAIDYQLKILSVCITYGGPALLRYKDQFKEAVFLAFDSPSWKVNGAADHLLRSLLGSQIHYYPIDQYKCVLSHPDAVALEEWISTKGFSTQESFIPKWHIPCDEEIHFANDLIDIHFQSALDDLLKICESKIHADQGDEKEHLKVTLLRIESTLQGLFSCLPDFVPDSRNGMNEDSNNLFLIAGATGCTVGSTALREKAAEIIHVACKYVLEKKSDDSILLILIIRIIDALGNYGSLEFDEWSSHRQAWKLESAAIIEPPINFIVSSHSNGKKRPRWALIDKAFMHSTWRSSQASYHLYRTNGNFCPSDHVTILMDDLLTLSLHSYETVRLLAGKSLVKLIKRWPSLISKCVITLTNNLKDLNAEEYAVLGSCSVLASQTVLKHLTTDPKSFSSFILAILSSSHHESLKAQKAINELFVKYNIQFSGVSRSFFRISDKENHTGGLGFSDLVSQIGSMSFDSTGLHWRYNLMANRVLLLLALASRNHPNSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKSSLGAKSAEFEELQEHVKSSLEGTLTQTFQEEGFFNETLTSLSHVHIITDTETASRGSQGDSSFQSLADKSITRFYFEFSASWPRTPSWISFLGSDTFYSSFARIFKRLVQECGMPVVLALKGAVDEFTTAKERSKQCVAAEALAGVLHSDIDGLSGTWESWLMPQLKNIILTQSVESVSEWASCIRYAVTGKGKYGTRVPLLRQKILDSLMTSLPPTVATTVTAKRYAFLAAALIEISPQKMPVSEIQLHNTLLKEVLGNMHHSSAQVREALGVTLSVLCSNIRLYHSSHQDGRSDNVDSLMKDESWVQFLTKRAAEAVVNIQIATQSDKVVNPVDGSSQNGHVDGDSQDDMKWMETLLYFIISSLKSGRSSYLLDVLVGLLYPVICLQETSNKDLSTLAKAAFELLKWMIVWEPHLQKAVSVILSAANDSNWRTRSATLTYLRTFMYRHTFILSSSKKKEIWGTVEKLLVDNQIEVREHAAAVLAGLMKGGDEDLATDFRGRAYIEANNVHKRRKSRNARSGSTIASVHGAVLALVASVLSAPYDMPSWLPEHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKELFTEDQLEILADTSSSSSYFA >Vigun06g177650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29782580:29785133:1 gene:Vigun06g177650.v1.2 transcript:Vigun06g177650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKIVEHLGFHRTTLSFFPFHHSSRPLSFHSPQPLHQGNQNLDPHSLLKQDPIEICTSLWVKTFSSPQNTSFPNLTGFLSNFDLWLLAYQRSCAHATGTFPPRNAVGTPVLHSLLSLRNAVIRNRFEWNNKTNPLLRAPNDAALSKPLSKRRLQAAIKSDASCFQDRVVQEVLLMILEPVFERRFSPKSHAFRPGRNAHTVIRTIRSNFAGYLWFLKGDLSEILNHVDPDVVMCSLEKGTRDKKILGLIKSALEGVKVRSVSRKEENVEELRRLKKRRATKKRILKENEPKPDPYWLRTFFSFAPEEAAKVPNYGHCGILSPLLANVCLNELDCWMEKRIVEFFRPSEFDSIWKYSIDDGCHNPAWPEFVPSSGKEKTRKMDYVRYGGHFLIGIRGPREDAVELRKKIVAFCESVFGVRLDNSKLEIEHVTRGIQFLDHIICRRVIHPTLRYTGSGGNIVSEKGVGTLLSVTACLQQCIRRFRRLELVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKVVGFCAYVVRSSLAKLYAARYRLKSRAKVYGIASRNLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWTPDHERLLHEYIKLENPKFFCELLRSVKQKGLNLPQDEISQMVWDYKTRGVCYFRYNEDNKLKSDFKEITE >Vigun06g177650.3.v1.2 pep primary_assembly:ASM411807v1:6:29782580:29785133:1 gene:Vigun06g177650.v1.2 transcript:Vigun06g177650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKIVEHLGFHRTTLSFFPFHHSSRPLSFHSPQPLHQGNQNLDPHSLLKQDPIEICTSLWVKTFSSPQNTSFPNLTGFLSNFDLWLLAYQRSCAHATGTFPPRNAVGTPVLHSLLSLRNAVIRNRFEWNNKTNPLLRAPNDAALSKPLSKRRLQAAIKSDASCFQDRVVQEVLLMILEPVFERRFSPKSHAFRPGRNAHTVIRTIRSNFAGYLWFLKGDLSEILNHVDPDVVMCSLEKGTRDKKILGLIKSALEGVKVRSVSRKEENVEELRRLKKRRATKKRILKENEPKPDPYWLRTFFSFAPEEAAKVPNYGHCGILSPLLANVCLNELDCWMEKRIVEFFRPSEFDSIWKYSIDDGCHNPAWPEFVPSSGKEKTRKMDYVRYGGHFLIGIRGPREDAVELRKKIVAFCESVFGVRLDNSKLEIEHVTRGIQFLDHIICRRVIHPTLRYTGSGGNIVSEKGVGTLLSVTACLQQCIRRFRRLELVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYRLKSRAKVYGIASRNLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWTPDHERLLHEYIKLENPKFFCELLRSVKQKGLNLPQDEISQMVWDYKTRGVCYFRYNEDNKLKSDFKEITE >Vigun06g177650.2.v1.2 pep primary_assembly:ASM411807v1:6:29782580:29785133:1 gene:Vigun06g177650.v1.2 transcript:Vigun06g177650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKIVEHLGFHRTTLSFFPFHHSSRPLSFHSPQPLHQGNQNLDPHSLLKQDPIEICTSLWVKTFSSPQNTSFPNLTGFLSNFDLWLLAYQRSCAHATGTFPPRNAVGTPVLHSLLSLRNAVIRNRFEWNNKTNPLLRAPNDAALSKPLSKRRLQAAIKSDASCFQDRVVQEVLLMILEPVFERRFSPKSHAFRPGRNAHTVIRTIRSNFAGYLWFLKGDLSEILNHVDPDVVMCSLEKGTRDKKILGLIKSALEGVKVRSVSRKEENVEELRRLKKRRATKKRILKENEPKPDPYWLRTFFSFAPEEAAKVPNYGHCGILSPLLANVCLNELDCWMEKRIVEFFRPSEFDSIWKYSIDDGCHNPAWPEFVPSSGKEKTRKMDYVRYGGHFLIGIRGPREDAVELRKKIVAFCESVFGVRLDNSKLEIEHVTRGIQFLDHIICRRVIHPTLRYTGSGGNIVSEKGVGTLLSVTACLQQCIRRFRRLELVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYRYRLKSRAKVYGIASRNLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWTPDHERLLHEYIKLENPKFFCELLRSVKQKGLNLPQDEISQMVWDYKTRGVCYFRYNEDNKLKSDFKEITE >Vigun04g065900.1.v1.2 pep primary_assembly:ASM411807v1:4:7302356:7305042:1 gene:Vigun04g065900.v1.2 transcript:Vigun04g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKKRKRRGGKKGKEEQPAIPSPSAKRTKLKEPSTFLEKMRARLSGGHFRMINEKLYTCTGKEALDYFKEEPSLFDMYHAGYKSQMSNWPEQPVNVIIKWLKKQSPSFVIADFGCGEALIAKSVKNKVFSLDLVSNDPNVIACDMANTPIASASVNVAVFCLSLMGTNYQSYIEESNRVLKPGGWLLIAEVKSRFDPNTGGADPEKFSNAISELGFNSAKKDFSNKMFILFYFTKKEKQTSKRKEIEWPILKPCLYKRR >Vigun09g277600.1.v1.2 pep primary_assembly:ASM411807v1:9:43741120:43746669:-1 gene:Vigun09g277600.v1.2 transcript:Vigun09g277600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLPHFNCHIDTFRNHPTALPTHLSRTRPNFCFQPQCLLSATSSSTLLNLEKKLVLDAPSDPNASWPYVTTVAPPSQANFKSTLSTESLLTNEEAVIAAAASEALALAKAAVKVAKDAALLVKKKPQAEAEYRSNVSSKSDDLFLKWFQHMDVENGVAGESMGAGAEMVEGVELSPSEEESDVEPSHEELERLQEQLSNSIAVRSTRQPERKAKRVRAAEKASTNVASFKPGSSSRRKRVSVQEIDYSDPLRYLRTTTSTSRLLTSTEEIKLSEGIQDLLKLERLQEDLAERCGGQPTFAQWAAVAGVDSKTLRKRLNYGRFCKDKMIKSNIRLVISIAKNYQGSGMNLQDLVQEGCRGLVKGAEKFDGTKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLYSANGRQPDDEEVAEATGLSMKRLTAVLLTPKAPRSLEQKIGINQNLKPSEIISDPDAESAEEQLLKQFMKKDLQEALDSLSSRERQVVRWRFGMDDGRTKTLQEIGEMMGVSRERIRQIESSAFKKLKNKKRTKHFQQYLVP >VigunL059234.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000261.1:18430:20501:-1 gene:VigunL059234.v1.2 transcript:VigunL059234.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun01g145400.1.v1.2 pep primary_assembly:ASM411807v1:1:32735911:32737859:1 gene:Vigun01g145400.v1.2 transcript:Vigun01g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLHCFGSSTTTKPRRKAHHHHRNAKDGSERPKVCSVPEYSQWVVLSTTSEEIQTKPLQKLNASPKKKVTFDVNVKTYEPEEVVDFQPEKTEEKRPSVETNSEESSVTSTGSYPTNHRYHNCTCIDDEDAAMEYWDSDLTDEDEDEDEDDSDMGEEYDEVGEDFEDGTVYSRSRNGDNEGVVAEVESPIPVKSIGLNRNVRDRSVYVHSVLNPVENLTQWKAVKAKRAPALVSQKENLVLNQESRAAFGADSESPKKLNREIAVDASLSNWLGSSETTPVSSHGSNTLSVEEIKQFSASCSPRKSPRDEIAIIGSVGSYWNCGGYAQDSGSANRVTSRRVQYN >Vigun01g124400.2.v1.2 pep primary_assembly:ASM411807v1:1:30135071:30141483:1 gene:Vigun01g124400.v1.2 transcript:Vigun01g124400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERVQCGYVSLDETFEIRKEKYHGQQYCHIYFARLHLMRTLLYSLVPHWKPNYPVCTVLELEEGKECVIVGTLYKHMKLKPRILDEYSKQKSVVPLVKPHNFMHSDDYLVLEDESGRVKLNGNIIPPSEYVTGIVVALLGKESGAGDFLVQDVLDTGLPPQIEFPLKSGEDKYVVLVSGLSVGSSTSNPLQFQLLIDQITGHLGDEKAQRFASKIVQVVFAGNLVEIPQRILNGQNLASEDFARMSEPIRELDIMLSQIAAGLPLDIMPGPSDPANFSLPQQSLHGCLFPGSSTYNTFRSCTNPHCFELDNVRFLGTSGQNVDDLDKYSDAKDKLEFMERTLRWRHLAPTAPNTLGCFPFTERDPFFLESCPHVYFVGNQDKFETRIINGSEGQLVRLICVPKFSETGVAVMINLRDLECHALSFTVDL >Vigun01g124400.1.v1.2 pep primary_assembly:ASM411807v1:1:30135071:30141483:1 gene:Vigun01g124400.v1.2 transcript:Vigun01g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERVQCGYVSLDETFEIRKEKYHGQQYCHIYFARLHLMRTLLYSLVPHWKPNYPVCTVLELEEGKECVIVGTLYKHMKLKPRILDEYSKQKSVVPLVKPHNFMHSDDYLVLEDESGRVKLNGNIIPPSEYVTGIVVALLGKESGAGDFLVQDVLDTGLPPQIEFPLKSGEDKYVVLVSGLSVGSSTSNPLQFQLLIDQITGHLGDEKAQRFASKIVQVVFAGNLVEIPQRILNGQNLASEDFARMSEPIRELDIMLSQIAAGLPLDIMPGPSDPANFSLPQQSLHGCLFPGSSTYNTFRSCTNPHCFELDNVRFLGTSGQNVDDLDKYSDAKDKLEFMERTLRWRHLAPTAPNTLGCFPFTERDPFFLESCPHVYFVGNQDKFETRIINGSEGQLVRLICVPKFSETGVAVMINLRDLECHALSFTVDL >Vigun03g004400.1.v1.2 pep primary_assembly:ASM411807v1:3:299535:302175:1 gene:Vigun03g004400.v1.2 transcript:Vigun03g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFDEECDYLFKAVLIGDSGVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIKVGDKLIKAQIWDTAGQERFRAITSSYYRGASGAVLVYDITMRSSYENVRKWLLELREFGGEEMVVVLVGNKCDLDQSREVEKEEGKGYAETEGLCFMETSALKNLNVEEVFLQMITRIYDITRQKNLAAKMDDKPINLLNGKEIHLADEVTATKQATSCCSR >Vigun09g095100.1.v1.2 pep primary_assembly:ASM411807v1:9:14056277:14063338:1 gene:Vigun09g095100.v1.2 transcript:Vigun09g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNGSRKISFEVLSVDDESEPNEHNRRKRRHRASKRKKKLLDCADSVDPHMAPLENGGACNGFELDANRYSAGGGSVVYEEVREESVCAVAEAREAESEEPTAVRGGIEGFNFGILRQRNVSCGSSDDFPASVVRDEKEEGGVKASQAEKPTSEPDRNVATKLETVESLDWKRLMAEDPNYVFSVEKSPVTYFLEEMYNGNSLRSTTTLGNENERERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTVMPARIMTAIWRILKTRQFKRLSTMEISDFGCFLIMTCGVVLLQRTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNGDVLQTLFLSAEGLANCPQESIRFWIWRFVSDQALAVVASIVHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSMDNVHSLVYFDSVERFHISSFILFVLAQNILEAEGPWFQSFLINILLVYVCEMVIDIIKHSFIAKFNDIKPIAYSEFLEDLCKQTLNMQTEGAKKNLTFVPLAPACVVIRVLTPVYSANLPNNPLPWRLFWILLFSAMTYVMLTSLKVLIGMGLQQHATWYVNRCRRRKHHFHED >Vigun10g175800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39436965:39437846:1 gene:Vigun10g175800.v1.2 transcript:Vigun10g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKGRNGEAENSGGKVCSPSSKKPSPMTMKKKKTKLCCRYCNKKFSSYQALGGHQNAHKAERAATQREKILNMASAYDRSSYVGGFVDSNYGLREKSCGVSPISMTRFKPHFEVKHDHHQWSGQYTLDYVQATIQRLQTLNGEGSGFHQHHNSYQPLIFSVLGGEKPNSGSLYDTGLSYKGKDSAMISQNEITQNITKNSGEENGFSPMAAATSNGVVEELDLTLRI >Vigun03g340400.1.v1.2 pep primary_assembly:ASM411807v1:3:53865834:53876671:-1 gene:Vigun03g340400.v1.2 transcript:Vigun03g340400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRDFELFFYSWNAKNPTDRLFFVSCFVAALVGILTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPVAPHAWELESVSRAKNLNCCVCFKSMSPSQTLGPIVASEGFIHRCCICGAVAHLSCSSSAHKDCKCVSMIGYEHVTHQWAVRWTDVADQPDETALCSYCEEPCGGTFLSGSPIWSCLWCQRLVHVDCHSTMSNETGDICDLGQFRRLILSPLYVKELNWNMPGGFLSSITHGANEIASSVRASIRNQSKKYKHENEQSVESGNTESIGEMSTESTGNSHQIQNGHHEMDEKGSSNKEVRHQDSEVENKMDRKPSLGRSSSINQRDESHTLGVKQKYDLVDLPPDARPLLVFINKKSGAQRGDSLRTRLNILLNPVQVFELSSTQGPEMGLYLFRKVSHFRVLVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDLARVLSWGGGMGPVEKQGGLSTFLHQIEHAAVTVLDRWKVTISNPQGKQQLQPTKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADLPWQIRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDDNYDNFDQQSMHDKVLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAMFPVQIDGEPWFQQPCTIAISHHGQAFMLKRVAEEPLGPASAIIAEVLENAETHNVINASQKRALLHEMALRLS >Vigun03g340400.2.v1.2 pep primary_assembly:ASM411807v1:3:53866424:53876671:-1 gene:Vigun03g340400.v1.2 transcript:Vigun03g340400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRDFELFFYSWNAKNPTDRLFFVSCFVAALVGILTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPVAPHAWELESVSRAKNLNCCVCFKSMSPSQTLGPIVASEGFIHRCCICGAVAHLSCSSSAHKDCKCVSMIGYEHVTHQWAVRWTDVADQPDETALCSYCEEPCGGTFLSGSPIWSCLWCQRLVHVDCHSTMSNETGDICDLGQFRRLILSPLYVKELNWNMPGGFLSSITHGANEIASSVRASIRNQSKKYKHENEQSVESGNTESIGEMSTESTGNSHQIQNGHHEMDEKGSSNKEVRHQDSEVENKMDRKPSLGRSSSINQRDESHTLGVKQKYDLVDLPPDARPLLVFINKKSGAQRGDSLRTRLNILLNPVQVFELSSTQGPEMGLYLFRKVSHFRVLVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDLARVLSWGGGMGPVEKQGGLSTFLHQIEHAAVTVLDRWKVTISNPQGKQQLQPTKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADLPWQIRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDDNYDNFDQQSMHDKVLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAMFPVQIDGEPWFQQPCTIAISHHGQVIILELLRCT >Vigun04g164700.1.v1.2 pep primary_assembly:ASM411807v1:4:38931808:38934799:-1 gene:Vigun04g164700.v1.2 transcript:Vigun04g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQLSTSSETPPRHHHHHFFIPFLAVTLSAFSLLLLLLFLCLHRIVTRKRATHPPPPPSSSPPHRLSFSVLRRATNSFSSRLGHGGFGPVFAGTLAGAPVAVKLMDSASSHQGEREFHNELFFASKLLSPHVITATHFSSDPKRRRFLLVYELMQNGNLQDALLHRKCPELSNWNTRFSIILNIAKGIHFLHSCDPPVIHGDIKPSNVLLDRDFSPRIGDFGLARLSSELPRFEIEVLECGSVNNDEEKRKMKEEEVDDCGSVASTHSVFMEEGSLGVEQSPSPETAAMMAMTSPETGLAVAGASPGFEKEDAKKMNGKALKSNSVRDWWWKHENDVGSGEGKKVKDYVMEWIGRDVNKERVKGGIEYGDVEMGKEEAKKKKKKRRGKEMEWWESMEEEKFDGAVKGKRRTVREWWKDECFEENEKKNKKKKKKKGGGVKSDDIENGDDWWVSDDALDKRKGKSRSRNNRGNMDWWMDGLSGELWRGRRNNSFDSASGEIPKSGGVSSTPSMRGTVCYVAPECGYGGDVTEKSDVYSFGVLLLVIISGRRPLQVSGSPLSEFQRANLLSWARHCARNGKLFELVDESLQLLDKEQALLCIKVALVCLQKSPTRRPSMKEVVGILSGELEPPQLPVEYSPSTPSRYPFKSRRKGRLKSHAGTSSIKQGHSCSKILYLVSTTEYCKGNCYTNAIFLFKNLRLHAKLSIGTENSLKIKRIKIYLI >Vigun06g122500.2.v1.2 pep primary_assembly:ASM411807v1:6:24989448:24991856:1 gene:Vigun06g122500.v1.2 transcript:Vigun06g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKVCVTGGSGYIGSWLINKLLAKGHTVHATLRDLKNESKVGLLKSLPHAEGKLVLFEADIYNPVQFDPAIEECEYVFHVATPLAHEPGSSQYKDTTEAAVAGAKSIAMSCVRSGTVKRLIYTASVVAASPLKEDGTDFKDVMDETCWTPLHDSLEYLFLDVPLYKDYTYSKTLSEKHLLSYGNDENGGGKLEVVTLACGLVGGDCLVSSALSSRLICIAQIVQNEMAYKTLKFLEGLLGKIPLAHIDDVCEAHIFCMESTSVSGRFLCASSYISLQEMANHYARYHPEFNVKQEDEAGQKKDIKWSSTKLCDKGFVYKYDAKMILDDCIKCARRMGEL >Vigun06g122500.1.v1.2 pep primary_assembly:ASM411807v1:6:24989448:24991856:1 gene:Vigun06g122500.v1.2 transcript:Vigun06g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKVCVTGGSGYIGSWLINKLLAKGHTVHATLRDLKNESKVGLLKSLPHAEGKLVLFEADIYNPVQFDPAIEECEYVFHVATPLAHEPGSSQQYKDTTEAAVAGAKSIAMSCVRSGTVKRLIYTASVVAASPLKEDGTDFKDVMDETCWTPLHDSLEYLFLDVPLYKDYTYSKTLSEKHLLSYGNDENGGGKLEVVTLACGLVGGDCLVSSALSSRLICIAQIVQNEMAYKTLKFLEGLLGKIPLAHIDDVCEAHIFCMESTSVSGRFLCASSYISLQEMANHYARYHPEFNVKQEDEAGQKKDIKWSSTKLCDKGFVYKYDAKMILDDCIKCARRMGEL >Vigun04g028100.1.v1.2 pep primary_assembly:ASM411807v1:4:2165638:2166519:1 gene:Vigun04g028100.v1.2 transcript:Vigun04g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMANIFSLSSSISARFLLLIIAFVLFMQLSVGSDLNIRKLGEMPPRPRSPYPNPAPMPGKIELP >Vigun02g094900.1.v1.2 pep primary_assembly:ASM411807v1:2:25035287:25038004:1 gene:Vigun02g094900.v1.2 transcript:Vigun02g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSKDAQDLFRALWSAYAATPTNLKIIDLYVMFAVFTALIQVVYIALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNLVLHLVIMNFLG >Vigun02g068600.1.v1.2 pep primary_assembly:ASM411807v1:2:21872695:21876596:1 gene:Vigun02g068600.v1.2 transcript:Vigun02g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAIINAAAANTTSYNLSEIWQFPPPHAPDATAALGLSRAHFGHGLGPGSIGHKKRRDAEEEESAKGVSTSNGVKEGGGGGDGKRVKTTGNQKSESAKGEGESSSGKLAEQGGKPPSEPPSKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRIPSGIEVFPPKDFDQQTFDTTGIAFASQPTREYSRGSSPEWLHMQVGTGFERAT >Vigun02g068600.2.v1.2 pep primary_assembly:ASM411807v1:2:21872695:21876596:1 gene:Vigun02g068600.v1.2 transcript:Vigun02g068600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAIINAAAANTTSYNLSEIWQFPPPHAPDATAALGLSRAHFGHGLGPGSIGHKKRRDAEEEESAKGVSTSNGVKEGGGGGDGKRVKTTGNQKSESAKGEGESSSGKLAEQGGKPPSEPPSKQDYIHVRARRGQATDSHSLAERFDQQTFDTTGIAFASQPTREYSRGSSPEWLHMQVGTGFERAT >Vigun06g018200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8316325:8316447:1 gene:Vigun06g018200.v1.2 transcript:Vigun06g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun07g192400.1.v1.2 pep primary_assembly:ASM411807v1:7:31131808:31138637:-1 gene:Vigun07g192400.v1.2 transcript:Vigun07g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPLLLEEPIRMVSVLEPSKPSFFPAMTKIVGTLGPRSRSVEIIARCLDAGMSVARLDFSWGDPEYHQETLENLRAAIRKTKKLCAVMLDTMGPELQILNKTEQPITLEADTLVVITPDLKKEASSKLLPINFSGLSKAVKKGHPIFIGKYLFTGSETASVWLEVSEVNGDEISCLVKNSATLSGPLFTVHVSQIHIDLPSLTDKDKEVISTWGARNNVDILSLYTRHAQDISHAREFLSNLGDIKQTHIFAKIENIEGLTNFDEILKEADGIILARGNLGIELPPEKVFLFQKAAIYKCNMAGKPVVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETITTVGKICAEAEKVHNQDLYFKKAVKHVGEPMSHLESIASSAVRAAIKVRASIIICFTSSGRAARLIAKYRPTMPVISVVIPQLKTNQLKWTFTGAFEARQSLIVRGLFPMLADPSHPAESKSGTNESILKVALDHGKAFGIVKPHDRVVVCQKLGDSSVVKIIELED >Vigun02g138700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28816271:28817549:-1 gene:Vigun02g138700.v1.2 transcript:Vigun02g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEISRAGAEADKCSHRHELEKDSDPVHSVSSQLQLKSSLSSKASSQTLNKQEVLHRIRHRKSLNRIKGAFDGLFCSSKGNTPSAQEQIWLQQCDIFSAP >Vigun06g160200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28280752:28282196:1 gene:Vigun06g160200.v1.2 transcript:Vigun06g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTSSLLSCSSLSSRKTINAAIHVPKLPRFDVSLPKIRTIKKAEELKPRLPEKNTQLHDYIDEQQHSANSDALVQLYAILEAVADRVEMHNNIGEQRDNWNTLLLNSINMITLTATTMAGVAATCGAGAPLLALKLSSALLFSAATGMLLIMNKIQPSQLTEEQRNACRLFRNLQSEIETTIALGNPNEDDVKGAMMRVLALDKAFPLPLLGGAMLEKFPEKFEPAVWWPSKVGEGKGKSQRMGKRNGWSEELEMEMREVIEVVKRKDSEDYERLGNIALKINKSLAIAGPLLTGIAAAGSAFVGNDSWLSLVPLMAGSLGAVVNSFEHGGQVGMVFEMYRASGGFFKMLETCVESTLEEEDLERRENGELFEMKMALKLGRSVSQLRELASKSASYRMEGVFEIDEFASKLF >Vigun03g150400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15710180:15714457:-1 gene:Vigun03g150400.v1.2 transcript:Vigun03g150400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGFSRTSFLRLCAFAPTSFPFRNCNLWLLQKPTCKMTTFRMAKEQFATLCSRGHVREAFESFVSEIWAEPHLFSNLLQACIGLKSVALGKQLHSLILTSGCSSDKFISNHLLNLYSKFGELRAAVALFDRMPRRNIMSCNIMIKAYLEMGDIESARNLFDEMPERNIATWNAMVTGLAKFEINEESLVFFSRMNELGLMPDEYSLGSVLRGCAHLGALFAGQQVHAYVMKCGFEFNLVVGCSLAHMYMKASSMDDGERVINWMPDYNLVAWNTLMAGKTQKGSFEGVLDQYCMMKKAGFRPDKITFVSVISSCSELAILGQGKQIHAEAIKAGASYEVSVVSSLVSMYSRCGCLQESFKSFLECKERDVVLWSSMIAAYGFHGQGEEAIKLFNQMEQENLPVNEVTFLSLLYACSHCGMKDKGLDFFDMMVKKYGLKARLEHYTCVVDLLGRSGCLEEAEAMIRSMPVKADAIIWKTLLSACKLHKNAEIGRRVAAEVLTIDPQDSASYVLLANIYSSAKRWQNVSEVRRAMKDKMVKKEPGVSWVEVKNQVHQFHMGGECHPKHLEINQYLEQLTSEMKKRGYVPDTNSVLHDMDNEEKEHNLRHHSEKLAIAFALMSTPAGVPIRVMKNLRVCSDCHVAIKYISEIKNLEIIVRDSSRFHHFKNGTCSCRDYW >Vigun03g150400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15710180:15714457:-1 gene:Vigun03g150400.v1.2 transcript:Vigun03g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGFSRTSFLRLCAFAPTSFPFRNCNLWLLQKPTCKMTTFRMAKEQFATLCSRGHVREAFESFVSEIWAEPHLFSNLLQACIGLKSVALGKQLHSLILTSGCSSDKFISNHLLNLYSKFGELRAAVALFDRMPRRNIMSCNIMIKAYLEMGDIESARNLFDEMPERNIATWNAMVTGLAKFEINEESLVFFSRMNELGLMPDEYSLGSVLRGCAHLGALFAGQQVHAYVMKCGFEFNLVVGCSLAHMYMKASSMDDGERVINWMPDYNLVAWNTLMAGKTQKGSFEGVLDQYCMMKKAGFRPDKITFVSVISSCSELAILGQGKQIHAEAIKAGASYEVSVVSSLVSMYSRCGCLQESFKSFLECKERDVVLWSSMIAAYGFHGQGEEAIKLFNQMEQENLPVNEVTFLSLLYACSHCGMKDKGLDFFDMMVKKYGLKARLEHYTCVVDLLGRSGCLEEAEAMIRSMPVKADAIIWKTLLSACKLHKNAEIGRRVAAEVLTIDPQDSASYVLLANIYSSAKRWQNVSEVRRAMKDKMVKKEPGVSWVEVKNQVHQFHMGGECHPKHLEINQYLEQLTSEMKKRGYVPDTNSVLHDMDNEEKEHNLRHHSEKLAIAFALMSTPAGVPIRVMKNLRVCSDCHVAIKYISEIKNLEIIVRDSSRFHHFKNGTCSCRDYW >Vigun03g111900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10165484:10167704:1 gene:Vigun03g111900.v1.2 transcript:Vigun03g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSHKKINIMSWISVTSLPIPLSSSSSSSFPHLTKHRKPKRHHLCKVSCHTNHDVPNEEPKASHNRRNVLIGFGGLYGASTLADNPFAIALPTSPDIKSCGLPKLPADVECTNCCPPQSSTIIDFTLPPTRRTPRVRYAAQLMDDTNIAKYKEALCKMRALPPDDPRSFIQQANIHCAYCNDAYHQAGFPKIDYQVHKSWLFFPFHRMYLYFYERILGSLINDPDFSIPYWNWDNPYGGMVIPSIFTDTNSPLYDPRRNIYHQPPTLVNLDYNKNDQVCLNPKQQISNNLATMYKSVVSSGTPSLFLGTSYRGGGEPGCPGSLERVPHTPVHVWAGDTRQPHLEDLGVFYSAARDPLFYAHHANVDRMWNIWRTLPGKKRVNFKDNDWLTSSFYFYDENKNLVRVTVKDSLDTTKLGYVYQGVETPWLDQRPKPRIPIPIAKAKKSFGEATSVTFPLTLNSKVSSVVKRPKVSRSEEEKEEEEEVLVIDGIEFDMEKDLKFDVFINDDDEKEINPTNTEFAGSFVSVPHTHMHRNKKAKSCLKLAMTELLEDLEAEDDDTIVVTLVPKYGGPVTIQDIKIEFATE >Vigun10g192700.1.v1.2 pep primary_assembly:ASM411807v1:10:40693366:40709005:-1 gene:Vigun10g192700.v1.2 transcript:Vigun10g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNWRPNQGNNPTMDTNDWRAQLPPDSRQRIVNKIMDTLKKHLPLSGHDGMNELRKIATRFEEKIFTAATSQPDYLRKISMKMLTMETKSQNTLANNQVGTSNKPTDQGLVLQPQVHNLGQQHSVPLPSQMQSHQQLLSQNVQNNVASQPNLPPVSSLAQTPSQNIVQNSNVQNIPGPNSVGSTISQNSNLQSMFPGSQRTMPGRQQVGPPQQQLQSQNPQQFLYHQQQLLKQKLQLHSQIQQQQQQQSLMQPNQLQSSHQSTSVQQSMQSMPQQHSQVMRHQQQTSMVHQQQTPVTQQTILPSQQQQLMGPQSNTTNMQHSQMLGQQNNVGDIQKSQRMLSQQSNLTSLQQRQQLINQQNNPANVHQQQLGNNGPGLQQQHLLGPDSGNADMHTSHHSAHMLQQPKVPMQQQSQQNSSNLLLPHSQQSQPLGSQQQLMSQIHTQPTQLQQQLGLQQQQQPNPSQRDMQQRIQTSGSLLQQQNVLDHQKQLYQSQRNLSETSATSLDSTTQSAQPSGNDWQEEVYQKLQAMKESYLPDMNELYQKIANKLQQHDSLPQQSKPDQLDKMRAYKMMLENMMTFVQIPKISILPHYKEKLVPYEKQIVGLLNQSRPRKGQIPQTHMSSMQQPQSQVTQLQSHENQMNSQLQSTNLQGSVPTMQQNNIATSQHNPLSGVSAGQQNMMNSMQPGTSLDSGQGNSVNSLQHVPMNSMQQTPVSTPQLQTNINSLQSQGGANVSQPNSLHSGSSALQHQLKHQEQQMLQNQQYKQYHQQRMLQRQLLQQQQLHHPAKPQLSAQLQTHQMSQLHQMNDINDLKMRQGIGVKPGVFQQHLTSGQHSAYSHQQLKQGNAFPVSSPQHLQAPSPQIQQHSSPQVEQQNHLPSKTKVATPLQSSNSPFVGPTPSPPLAPSPMPGESEKSIPCVSSISNATNIGHQQTGGAVGPPQSLAIGTPGISASPLLAEFSGPDGAYGNALAATSGKSTVTEQPLERLINVVKSMSSKALSAAVSDIGSVVSMNDRIAGSAPGNGSRAAVGEDLVSMTNCRLQARNFITQDGTNGIKRMKRYTSAIPLNGVLSAGSMNDSIKQLTASEASDLESTATSSVKKRKIEVNHALLEEIREINHQLIDTVVDISNVDPTPAVAADEGAEGILVKCSFIAVALSPSLKSQYASAQMSPIQPLRLLVPANYPNCSPILLDKFPVESSKENEDLSAKAKAKFSISLRSLSQPMSLGDIARTWDVCARSVISEHAQQSGGGSFSSKYGTWENCLTTN >Vigun02g127100.1.v1.2 pep primary_assembly:ASM411807v1:2:27928174:27931089:-1 gene:Vigun02g127100.v1.2 transcript:Vigun02g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSINFLLLLSLLAFAPFCLCEKKNEGYLYPQFYDGSCPRVQEIVQSVVAKAVAKEPRMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRDSARGFEVIDEIKSALEKECSHTVSCADILALAARDSTVLTGGPGWEVPLGRRDSLGASISGSNNNIPAPNNTFETILTKFKLKGLDIVDLVALSGSHTIGDSRCTSFRQRLYNQTGNGKADFTLDQQYAAELRTRCPRSGGDQNLFVLDFVTPLKFDNFYYKNLLANKGLLSSDEVLLTKNKESADLVRKYAERNDLFFEQFAKSMVKMGNITPLTGSRGEIRKNCRKINK >Vigun05g014450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1175377:1175787:1 gene:Vigun05g014450.v1.2 transcript:Vigun05g014450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILTSTKPTLKHTFSSILVRMSTHNSQTNFIHHPITLNLCRHHQHRPRATTQTTFQPHYPFLLHIQPSSSSFTCTKIKEGQNPHARQQIHILSITSFTPQSTQTPILPATSIHRTTTSNSQHHHFNLQIKHTSK >Vigun03g289600.1.v1.2 pep primary_assembly:ASM411807v1:3:47170310:47173344:1 gene:Vigun03g289600.v1.2 transcript:Vigun03g289600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSLPPAFRPSIPAPNSHSFPAATPLNYSPKFIGSKGLSVGFRHSIISPRVSNAQYSPQIAETLSDVSIFTASGEPVRFSDLWDQKQGVAVVALLRHFGCPCCWELASALKESKARFDSAGVKLIAVGIGTPDKARMLANRLPFPMDCLYADPDRKAYDVLNLYYGFGRTFFNPASTKVFSRFDALQKAVKNYTIEATPDDRSGVLQQGGMFVFRGKELLYARKDEGTGDHAPLDDVFNVCCKAPVA >Vigun07g134000.1.v1.2 pep primary_assembly:ASM411807v1:7:24372804:24377423:1 gene:Vigun07g134000.v1.2 transcript:Vigun07g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSGFGLRLSGLGFRVKCFRVWNSGFVFRVTSVRFQVSGFGFRVSSFGFWVSGLGFGISGLGFGVSNLGFQVSGFGFRVTAFRFRVSG >Vigun02g100700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25565818:25571471:1 gene:Vigun02g100700.v1.2 transcript:Vigun02g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVDGHTSRLSRLHPKDILFRFHIGKRMRDISQRFHSIHEERLTFELRESVTEKQTVDDDDWRQTSSVITEPILYGRDEDREKIVKFLLKDANNNEDLTVYPIVGMGGLGKTTLAKQVFNDHEISKHFDSRIWICVSDDFNLKRILQSIIECCIGQNPNLGDLEARRKKVEEALHNKRYLLVLDDVWNENPEKWKELKGMLECARGAKGATILVTTRLEEVVSIMGTHSAYRLTALSEDDSWSLFKRHAFGPNREEREELVTIGKEIMRKCVGSPLAIKTLASCLRDESEVSQWENVKKSEIWNIREESSSVTGDENSIMRVLKLSYSNLKSSVKRCFSFCAIFPKDFEIEKEELIHLWMANGFIKSEGDVEVEDVGNKVWRKLYSRSFFQEAKYDEFGMITSFKMHDLFHDLAQSIMGEECVVIEVSSMAMLSARVHYSSLFTSDFFFDRAAFKRRFMPAFKKVESLRTFLDFGNIGLGPSNHYLRALCLRYIHIFFPYKDLAKKDLAHLRYLSLSYCNKVCLNSIICQMPKLQILKLKCCSDVQLPKNLTQLQDLRHVVIDYCDSIAEMPPNISKLRHLRTLSIFVVGSKPGCGLGELQSLKLGGTLRIKGLENVSSEWDAKQANLNGKNLNMLCLSWDGRSSSEGSNVSVERVLEALEPPSTLKSFQMNGYEGRHLSSWMRSLVALRDLVEVILLECDNVEELPPLGKLAQLKRLEVSRMKNVKCIDGETYDGVEKAFPSLEELILENLPKLERLLRDEGVEMLPRLSQLTIKKVSNFKFPRLPSVEELYVESIDDVEGVVGNTPCLKTLNISSIKGVKTLPDQLGILDALEDLYIVGWYDLEYFPEHILEGLTSLRTLEIRDCKKLKSLSEGVRHLACLQSLKIYSCPELVVLPSNMSQLTALQHVAIIYCSTLPNGLQRVPSLRSLYISGCNCTSLPDWVGDISTLEELSIKFCKELRSLPSSIQRLTNLSHLIILGCPHLKKRCKRETGEDWQYIKHIPKIENSF >Vigun02g100700.2.v1.2 pep primary_assembly:ASM411807v1:2:25566414:25573986:1 gene:Vigun02g100700.v1.2 transcript:Vigun02g100700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVDGHTSRLSRLHPKDILFRFHIGKRMRDISQRFHSIHEERLTFELRESVTEKQTVDDDDWRQTSSVITEPILYGRDEDREKIVKFLLKDANNNEDLTVYPIVGMGGLGKTTLAKQVFNDHEISKHFDSRIWICVSDDFNLKRILQSIIECCIGQNPNLGDLEARRKKVEEALHNKRYLLVLDDVWNENPEKWKELKGMLECARGAKGATILVTTRLEEVVSIMGTHSAYRLTALSEDDSWSLFKRHAFGPNREEREELVTIGKEIMRKCVGSPLAIKTLASCLRDESEVSQWENVKKSEIWNIREESSSVTGDENSIMRVLKLSYSNLKSSVKRCFSFCAIFPKDFEIEKEELIHLWMANGFIKSEGDVEVEDVGNKVWRKLYSRSFFQEAKYDEFGMITSFKMHDLFHDLAQSIMGEECVVIEVSSMAMLSARVHYSSLFTSDFFFDRAAFKRRFMPAFKKVESLRTFLDFGNIGLGPSNHYLRALCLRYIHIFFPYKDLAKKDLAHLRYLSLSYCNKVCLNSIICQMPKLQILKLKCCSDVQLPKNLTQLQDLRHVVIDYCDSIAEMPPNISKLRHLRTLSIFVVGSKPGCGLGELQSLKLGGTLRIKGLENVSSEWDAKQANLNGKNLNMLCLSWDGRSSSEGSNVSVERVLEALEPPSTLKSFQMNGYEGRHLSSWMRSLVALRDLVEVILLECDNVEELPPLGKLAQLKRLEVSRMKNVKCIDGETYEGVEEKAFPSLEKLSVENLPNLERLLRDEGVEMLPRLSQLNIFGVSNLKCPRLPSVESLDAGEIGEAASFMEVVGNTACLKTLRIRHIKGVVVLPDQLSGLGALQDLRVGYWDDLEYFPEHVLEGLTSLRNLDIHFCKKLKSLSEGVGHLARLESLSIRYCPELMDLPSNMSQLTTLWKVSIAGGSTLPDGLERVPSLRSLYIWSCKCASLPDWLGDITSLQELSIVNCWELRSLPSSIQRLTNLSSLSIRNCPHLEKRCKRESGEDWQYINHIPRLGLHFQMKPSF >Vigun07g288800.2.v1.2 pep primary_assembly:ASM411807v1:7:40127299:40131625:-1 gene:Vigun07g288800.v1.2 transcript:Vigun07g288800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDKSEKRSRDRHSERHRDSDDHRHRDSDDHRHHRSDRHHKRDPKSRDRDRDRAYDREGSKDRDRVHERESRSKVKRDEEREDSVEPRHSSHSHKRKERELSEERDLEDKKIRVSVERRERRKFGDKVKKEEQEERVNGDDKIGGIPEANVKEEVTNGSHASASFEDAASVQNGALGSLAVAPLAVPETSLTPSSSSFPIKVSSNFTTNENKGVSITRSHEVTGKSSTDGPSSTAGKPGSFSIDALAKAKKALQMQKELAEKLKKIPQLNKSSTQNLQGGSKLGSKGESTAPSSIAGVASNTSTSTSLGPVANMSIFPSTASATFANSLASGTSATGIANLPNLEAVRRAQELAARLGFHPDPQFAPLINTFPGHMVTDVAIPQKPTKAPVLRLDAQGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFEILKPVLDIDPESDPHFDASMGINKTKLLRPKRMNFQFVEEGKWSRDAESIKLKSKFGEAQAKEHRAKQAQLAKAKAAPDINPNLIEITERVVIKEKPKDQIPEIEWWDVLLLHAGNYGDIDNGIIGEDKLKMEKFTFYVEHPRPIEPPAEPAPPPPQPLKLTKQEQKKLRTQRRIAKEKDRQEMIRQGVIEPPKPKVKISNLMKVLGTEATQDPTRLEKEVRSAAAEREQAHMDRNIARKLTPAELREKKERKLFDDPNTLETLVSLYKINDLSHPKSRFRVDVNAHENRLTGCAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDVSKEKEEDEESDDDKTVNKCVLVWQGSVAKPSFNKFSVHDCITEAAGRKVFVDAGVPHYWDLAVNYVEDEAV >Vigun09g238300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40897278:40899891:1 gene:Vigun09g238300.v1.2 transcript:Vigun09g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSKTNLSLFHSLFQDASFPILIFRQLLQANATPNDVTFSLLIKACLSSHSFSRASPSATLHANQIQTQLLKRATQQFLYVNTALIDFYMKLGFTNHAHQLFEDMPSKDVVSWNVLICGYSQNGLPHDAFQLFVHMLGEGFRPNQTTIASLLPSCGRREFILRGGSIHGFGIKAGFGFDPQLNNALTSMYAKCDDLEASLHLFVEMGEKNVISWNTMIGAYCLNGFPDKAVLCFKEMLKEGLEPSPVTMMNLTSANAVPETVHCYIVKCGFTSDASVVTSLLCLYAKQGLTDMAKLLYKRYPTKDLISLTAIISSYSEKGDVESAVECFTQTLLLDIRPDAVALIGVLHGITNPSHFSIGCAFHGYGLKNGLTNDCLVANGLISMYSRFDEIEAALSLFFDRSEKPLVTWNSVISGCVQAAKSSEAMELFCQMSTYGQKPDAITIASLLSGCCQLGYLRIGETLHAYILRNNVKMEDFTGTALIDMYSKCGRLDYAEKVFYSINGPCLATWNSIISGYSLYGHEHKAFSCFSELLEQGLEPDKITFLGVLAACTHGGLVYEGIEYFCRMTEEYGLRPTLQHYACIVGLLGRAGLFKEAMEVINNMKIRPDSAVWGALLSACCIQHEVKLGECLAKNLFLLNSKSGGFYVLMSNLYAIVGRWDDVARVRDMMRDNGGDGCSGVSVIEVSPQRENNNNLCPTEVKF >Vigun11g214400.1.v1.2 pep primary_assembly:ASM411807v1:11:40883552:40891212:-1 gene:Vigun11g214400.v1.2 transcript:Vigun11g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQTLTLFRIPHPISKNAVVPRYAMSRSSETSFPTLTTTSISFFQLQDKNADLSLKIEEGFGPNGLGILSVTDVPGYPSLRRNLLQLAPRLAKLPKEVREDLEDPNSRYNFGWSHGKEKLESGKPDILKGSFYANPILDTPTTEASLIQRYPSYCGSNIWPRNTLPELEVAFKALGMLIFEVGLMLAYHCDQYVSRGMRTREDEGLESILRRSRCHKGRLLYYFPSQLGDPDGNTLSSWCGWHTDHGSLTGLTCGMFTKDGVEMSCPDSAAGLYIRTRSDQIVKVVFGEDDIAYQIGETTEILSGSYLCATPHCVQAPKGEKSSGIERSTFALFMQPNWDEKLNFPEEVPIHKELIPSNSALTFGEYSEMLLDKYYHQKQT >Vigun11g214400.2.v1.2 pep primary_assembly:ASM411807v1:11:40882622:40891212:-1 gene:Vigun11g214400.v1.2 transcript:Vigun11g214400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQTLTLFRIPHPISKNAVVPRYAMSRSSETSFPTLTTTSISFFQLQDKNADLSLKIEEGFGPNGLGILSVTDVPGYPSLRRNLLQLAPRLAKLPKEVREDLEDPNSRYNFGWSHGKEKLESGKPDILKGSFYANPILDTPTTEASLIQRYPSYCGSNIWPRNTLPELEVAFKALGMLIFEVGLMLAYHCDQYVSRGMRTREDEGLESILRRSRCHKGRLLYYFPSQLGDPDGNTLSSWCGWHTDHGSLTGLTCGMFTKDGVEMSCPDSAAGLYIRTRSDQIVKVVFGEDDIAYQIGETTEILSGSYLCATPHCVQAPKGEKSSGIERSTFALFMQPNWDEKLNFPEEVPIHKELIPSNSALTFGEYSEMLLDKYYHQKQT >Vigun04g191600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41590521:41594394:-1 gene:Vigun04g191600.v1.2 transcript:Vigun04g191600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLEKLEYLAKQKGALGLKEGIYSGDRSGSKVSQKLPSSSLVVESVIYGRDVDKEIIFNWLTSETDNHNHPSVLSIVGMGGLGKTTLAQHVYNDPKIEEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKERISGRKFLLVLDDVWNERREEWEVVRTPLSYGAPGSRILVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKDDDHELNDEKKEIGRRIVDKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLHHSKQIKNVQEIGEQYFDDLLTRSFFLQLSSEMRQIKNVGEIGEQYFHDLLTRSFFLESSFEMRFVMHDLLNDLAKYVCADFCFRFKFDKGNIPNTTRHFSFSFDDVEYFDDLGSLIDAKRLRSFHSITNDCSYDFNPCQFNILVHELFSKFKFLRVLSLNGYSQLSEVPNSVGDLKHLCSIDLSYTHIQKLPDSICLLYNLLIMKMNVCSFLEELPSNLHKLTKLNCLEFEHTNVRKMPMHFGELKNLQVLSTFCVDRDSKVINIKQLGGLNLHGRLSINEVQNIVNPLDALEANLKNQHLVELKLKWNLNHIPDDPMKEKKVLENLQPPKHLELLSIENYGGTQFPCWVFDNSLSKLVSLWLNDCKYCLCLPPFGLLSSLKNLEIGGLDGIVSIGAEFCGSNSSSFKSLEKLEFNNMKEWEEWECKTTSFPRLQLLSIYGCPKLKGLPEQLLYLKSLEINKCDKLGISVNNMFTSSVQLLSVILCPLVNIPMIHYDFLEAMEINSDCDSLTIFLLDFFPKLHLLQLSRCQNLRRVSQEEHAHNHLKVLRISECPQFESFPSEGLSAPWLQIISIRGAENLKLMPKRMQILLPSLTELEIIDCPKVEMFPDEGLPSNLKKMSLSSLKLITSLRDTLDANTCLESLIVEKLDVESFPGEVLLPRSLTTLHIRFCPNLKKLDYKGLSHISSVIYYGCPNLHQRKLQSS >Vigun04g191600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41590521:41594231:-1 gene:Vigun04g191600.v1.2 transcript:Vigun04g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYLTINFRIVVRKLQFKLYISIHHFSTPAMAAELVGGALLSGFLQVAFDRLASPQVVQFFRGRKLDEKLLSKLNIVLHSINDLADDAEQKQFRNPHIKAWLFAVKDVVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFTSFNKKIESEMRDVLEKLEYLAKQKGALGLKEGIYSGDRSGSKVSQKLPSSSLVVESVIYGRDVDKEIIFNWLTSETDNHNHPSVLSIVGMGGLGKTTLAQHVYNDPKIEEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKERISGRKFLLVLDDVWNERREEWEVVRTPLSYGAPGSRILVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKDDDHELNDEKKEIGRRIVDKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLHHSKQIKNVQEIGEQYFDDLLTRSFFLQLSSEMRQIKNVGEIGEQYFHDLLTRSFFLESSFEMRFVMHDLLNDLAKYVCADFCFRFKFDKGNIPNTTRHFSFSFDDVEYFDDLGSLIDAKRLRSFHSITNDCSYDFNPCQFNILVHELFSKFKFLRVLSLNGYSQLSEVPNSVGDLKHLCSIDLSYTHIQKLPDSICLLYNLLIMKMNVCSFLEELPSNLHKLTKLNCLEFEHTNVRKMPMHFGELKNLQVLSTFCVDRDSKVINIKQLGGLNLHGRLSINEVQNIVNPLDALEANLKNQHLVELKLKWNLNHIPDDPMKEKKVLENLQPPKHLELLSIENYGGTQFPCWVFDNSLSKLVSLWLNDCKYCLCLPPFGLLSSLKNLEIGGLDGIVSIGAEFCGSNSSSFKSLEKLEFNNMKEWEEWECKTTSFPRLQLLSIYGCPKLKGLPEQLLYLKSLEINKCDKLGISVNNMFTSSVQLLSVILCPLVNIPMIHYDFLEAMEINSDCDSLTIFLLDFFPKLHLLQLSRCQNLRRVSQEEHAHNHLKVLRISECPQFESFPSEGLSAPWLQIISIRGAENLKLMPKRMQILLPSLTELEIIDCPKVEMFPDEGLPSNLKKMSLSSLKLITSLRDTLDANTCLESLIVEKLDVESFPGEVLLPRSLTTLHIRFCPNLKKLDYKGLSHISSVIYYGCPNLHQRKLQSS >Vigun10g008800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:821693:822271:-1 gene:Vigun10g008800.v1.2 transcript:Vigun10g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLSSGVQSFELEHDPHILVNVSDQETLYSSSYENHIAYPCHVDDATQIFACKTEAREVHAPPKWKHFRGVRRRPWGKFAAEIWDPKRKHGRVWLGTYETEEEAGLAYDRAAFKMRGSKAKLNFPHLIGSHAPPEKVGVAGVARKLPETCLPPLSTAEDGSQPQGSKKRRNLADLLNRLAKNRNQVSMYV >Vigun07g273700.1.v1.2 pep primary_assembly:ASM411807v1:7:38933556:38938130:-1 gene:Vigun07g273700.v1.2 transcript:Vigun07g273700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSFSSLRAYLRALSHTPTRLSQRALSVSTSYDEMSQVRARSGTTMRKTLRWYDLVSLGIGGMVGAGVFVTTGHATRLYAGPAVLLSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFMTGANLVVDYVLSNAAVARGFTAYLGTAIGISSAKWRLTVPSLPDGFNEVDVVAVAVVLLITLVICYSTRESSMVNMILTALHILFIAFVIMVGFWRGSWKNFTEPANPENPGGFFPHGAAGVFKGAAAVYLSYIGYDAVSTMAEEVKDPVRDIPVGVSGSVVIVTVLYCLMAASMTKLLPYDMIDAEAPFSAAFSGKSDGWGWVSRVIGVGASFGILTSLLVAMLGQARYMCVIGRSNVVPSWFARVHPRTSTPVNASAFLGIFTAAIALFTDLNVLLNLVSIGTLFVFYMVANAVIYRRYVATGTSNPWPTLSFLCSFSFTAMMFTLIWKFVPTGGAKAGMLSVSGIIAIAILQLFHCMVPQVRKPEFWGVPFMPWIPSISIFLNVFLLGSLDGPSYVRFAFFSAVAVLFYVFYSVHASFDAQGDASLTAKNAEIHVDSKGIEDQSFKV >Vigun04g196900.1.v1.2 pep primary_assembly:ASM411807v1:4:42157324:42163265:-1 gene:Vigun04g196900.v1.2 transcript:Vigun04g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVIQQRWKKKLFASIFVVFCFGSFLFMQTRYNHVVGLVSLQRHFVSEPEVQRPKIAFLFIARNRLPLEMVWDAFFRGGDSKFSIFVHCRPGFLLNKVTTKSPYFLNRQVNNSVQIEWGEASMIEAERVLLRHALSDPLNERFVFLSDSCIPLYNFTYTYDYIMSASTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWAVLTRKHAKVVVEDETVFPMFQKYCKKKPLPEFWRDHYIPADTSKIHNCIPDEHYVQTLLAQKDLEAEITRRSLTHTAWDISNSRDHERRGWHPVTYKYSDATPMLLKFIKDIDNIYYETEYRREWCSSKGKASTCFLFARKFTRTAALRLLDLSGLGEFN >Vigun05g217100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40885739:40886899:1 gene:Vigun05g217100.v1.2 transcript:Vigun05g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLITPTSTPKSLTPVKPKPTTLVSATLSPTTATATHPRRRQFLSFTASLVSSVLPLAPALASSDEEYVKETEDVINKVRTTITLDKNDPNVATAVADLRESSNSWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTAPIPTKRRARILEEVDTAEKALLRGR >Vigun10g018600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2091863:2093568:1 gene:Vigun10g018600.v1.2 transcript:Vigun10g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLTLNVIGSDTDNAFPTVASSSLTPPSPRRSSPLQSLSPSILIIVTVLAVTVIVSLALCFLLRHLNRRCLRRFSSSSAAPSASASATPMFSSSRRISPEIVHSSSTAAAAASVIDTLPLFTFSSVTRRSSSAAADCAVCLSKFHHHDLLRLLPLCCHAFHAECIDTWLQSNLSCPLCRSPIAAAESDLAKILRPPSSAAGSSDSFRLELGNISRRGAEGAGATAARGDSRSRSYSIGSFDYLVDDESEVAFSHARRRSEDDAKEFPATEGQTPSTHNEASLAGEVAGVRSWLKDYMDRFSASISSRTASFRSSGRFFSGGGSSRRSDVVPVVAAEYDMEGNRIGEEISEMFRWLSGV >Vigun03g060300.1.v1.2 pep primary_assembly:ASM411807v1:3:4942547:4945759:1 gene:Vigun03g060300.v1.2 transcript:Vigun03g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKVEMVLAMMLLFNMSYCSAWFGNRHKSGRNSILPTQATSSSCPRLLNPAGSYVVIPLHGNVYPVGFYNVTLNIGQPPRPYFLDVDTGSDLTWLQCDAPCTHCSETPHPLYKPSNDFVPCKDPLCASLQPSEDYICENPDQCDYEINYADQYSTFGVLLNDVYLLNFTNGVQLKVRMALGCGYDQVFSPSSYHPLDGILGLGRGKTSLISQLNSQGLVRNVIGHCLSSQGGGYIFFGNAYDSARVTWTPISSVDSKHYSAGPAELVFGGRKTGVGSLTAVFDTGSSYSYFNDHAYQALLSWLKKELTGKPLKAAPDDQTLPLCWHGRRPFRSIREVRKYFKPVALSFNSGGRVKAQFEIAPEAYLIISNLGNVCLGILNGSEIGLEELNLIGDISMQDKVMIFENEKKLIGWGPADCSRVPKSRGVNI >Vigun03g356200.1.v1.2 pep primary_assembly:ASM411807v1:3:55757690:55759433:1 gene:Vigun03g356200.v1.2 transcript:Vigun03g356200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMISAQGVAVATAMAVSGTVILLALRLQKSFPPPQFALHQIPPSSPPVLRSCISNAGKKSKKKKMKRVHFAEDVVESCRDGQEFRNRISRSKKVLKSSNEDMKNGEMPTNRVALYNGILRDRVAQRLAYSC >Vigun01g162900.1.v1.2 pep primary_assembly:ASM411807v1:1:34463119:34469482:-1 gene:Vigun01g162900.v1.2 transcript:Vigun01g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIEFADYDENLIAAPQLIGNPADYGITDDEETDDEGEEDEDDEDYVPGVATLRVSHDTPPTVSSDNVIVIPDESQDCVEEERNKRRRFEGGEASCSFATGSSNGSQGNDHTDIDGLFCPICMDVWTNNGEHHICCLPCGHIYGMSCIKRWLQQRKNSSKCPQCNRKCTLKDVRKLFASRVVAVEEESQKRIQSLEAKCAALESKVSDWRKKEEGWKFREITLHSQVQTLTQRNTYLEQLLLDMQSRESALISRNGNSQGRCESEHNIGPKYCGKGSICNFKLQKEIHLDGARVFDMDISNQIVLIAQKPKEVGGMHLLTKMSLLSPFEMQDILLPSATSGVKDLHISPSNSSMALFSSLGKKLSVLSLDSGNLVINYDLQDPAWSCSWDLNNSHNIYAGLQNGSVLVFDMRQTVGPVKSLVGLTNNPVHTVTSLAQTSSLSSSVKTILSASAFGLCQWNIDSEERPLVVPETDNQGVCISLAYCPSSDDIVASYRPKFNMSMDVPLSQPLATPLIAGQGVQGTHVLFNRMGSHHFQKVGSSHANVSKIRLPKCVIMDVESQSRLLASWDEVTCDLVLHELPSFRLLQQFKMPAQARDLRYSHSHGVLGCLSENTLQLFYSKHSSVR >Vigun06g184200.1.v1.2 pep primary_assembly:ASM411807v1:6:30387532:30395096:-1 gene:Vigun06g184200.v1.2 transcript:Vigun06g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNPARFTLGKQSSLAPERHNEGAEPQNDGDAVDQGVRLMYSAFEGDVDGIREVLESGVSVNYKDIDNRTALHVASCEGFTDVADLLLQKGAEVDAKDRWGSTPLADAIFYKRNNVIKLLEKHGAKPLMAPMHVDHAREVPEYEINPKELDFSNSVEITKGTFCSALWRGTEVAVKKLGEEVLSDEGKVKAFRDELALFQKIRHPNVIQFLGAVTQSSPMMIVTEYLPKGDLCDFLKRKGALKPSTAVRFALDIARGVGYLHENKPSPIIHRDLEPSNILRDDSGHLKVADFGVSKLLAVKEDKPLTCQETSCRYVAPEVFKQEEYDTKVDVFSFALILQEMIEGCPPFSAKQEDEVPKVYAAKERPPFRAPAKRYSHGIRELIEECWNENPAMRPTFRQIITRLEFIYNTIGQKRRWKVRPLKCFQNLEALLKRDHSNGSSRGSSRSKSSRI >Vigun09g153800.1.v1.2 pep primary_assembly:ASM411807v1:9:31928053:31931138:-1 gene:Vigun09g153800.v1.2 transcript:Vigun09g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYESTARSWTQKYAMG >Vigun09g153800.2.v1.2 pep primary_assembly:ASM411807v1:9:31928053:31930890:-1 gene:Vigun09g153800.v1.2 transcript:Vigun09g153800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYESTARSWTQKYAMG >Vigun05g060000.1.v1.2 pep primary_assembly:ASM411807v1:5:5144081:5144909:1 gene:Vigun05g060000.v1.2 transcript:Vigun05g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCDVVMTRSVWSYNLEVEFELIRRVIGFFPFISIDTEFSGVIFQSHPTLRQPQNNYAVMKANVDCIHLIQVGLTLSDYHGNLPTFGTSHRFIWEFNFCEFDVTCHPHAPHSITLLQRQGMDFHKNRNFGVNIVQFVELMMISGLLCNSHIHWITFHGAYDFAYMIKVLSHHFLHMQPLLPPNLDHFLQLVKFFFGQEVYDVKHLMRFCPNLHGGLDRVSESLGSDSLVTLHVFNEIKKFYFHTQNDLKKHAGVVYGLEML >Vigun11g071433.1.v1.2 pep primary_assembly:ASM411807v1:11:20580768:20581601:1 gene:Vigun11g071433.v1.2 transcript:Vigun11g071433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSHNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTISDQGMQRAEIMIKGPGLRRDAALRAIRRSDKLRVSTRTLQWKCVESRIDSKRLYYGCFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEQIPHEYSTIIGIEESVHEIFMNLKKIVLKINMYGTQDAWISLKVLDI >Vigun08g044700.1.v1.2 pep primary_assembly:ASM411807v1:8:4666457:4671213:-1 gene:Vigun08g044700.v1.2 transcript:Vigun08g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRSLAFSAILLPLFIHGALCFYLPGVAPQDFQKGDSLQVKVNKLTSTKTQLPYTYYSLPYCAPEKIVDSAENLGEVLRGDRIENSRYVFKMREPQMCNIVCKLKLDAKTAKEFKEKIDDEYRVNMILDNLPLVVPIKRVEPDSTVYQLGFHVGLKGQYTGSKEEKHFIHNHLAFTVKYHRDTLTESARIVGFEVKPFSVKHEFEGKWDEQATRLTTCDPHAKHTVVNSNTPQEVEENKEIIFTYDVEFQESDVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPNNPDLLCVYVGTGVQFFGMIVVTMMFAVLGFLSPSNRGGLMTVMLLLWVFMGIFAGFASARLYKMFKGAEWKKIALKTAIMFPGIVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYVGFKRPATENPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAFYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVVTGSIGFYACFWFTRLIYSSVKID >Vigun03g176000.1.v1.2 pep primary_assembly:ASM411807v1:3:21821200:21824517:1 gene:Vigun03g176000.v1.2 transcript:Vigun03g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCSNGREESEMYHAQIHLYKHVYNFVSSMALKSAMELGIADAIHSHGEPITISELASALKLHPSKLSVLHRFMRLLTHNGFFAKTTVGSHKGGGGEETLYDLTPPSKLLIRTESTCLAPIVKGALHSSSIDMWHSSDKWFTEDKELSLYESAMGESFWEFLNKATESDTLNMFHEAMAADSRMFKMALEECKHVFEGLSSLVDVGGGTGAVTSIIHELFPHMKCTVFDQPQVVANLTGTENLNFVGGDMFKSIPSADAVLLKWVLHDWNDELAVKILKNCKEAISGKGKGKVIIIDIAIDEASDGRELTELKLNYDLVMLTMLNGKERERKEWEKLIYDAGFSSYDITPICGFKSLIQLYP >Vigun06g236900.1.v1.2 pep primary_assembly:ASM411807v1:6:34201288:34208266:-1 gene:Vigun06g236900.v1.2 transcript:Vigun06g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDSVTGLVLPEPKNRLFLWSALIVISLISGAYFVGNAFFANEYKQRLARWGLIHTIPDSKSNACKRQCWPLGSEALPEGIIARTSNLEMRPLWDSGKDHRILKRPLNLLAMAVGLKQKEIVNNIVEKFLSSDFVVMLFHYDGFVDGWKSLAWSNNVIHVSAINQTKWWFAKRFLHPDIIAEYNYIFLWDEDLLVDNFDPKRYLSIVKEEGLEISQPALDPTKSEVHHPLTVHKAGSKMHRRYYKLKGSGRCDDSSIAPPCIGWVEMMAPVFSKKSWQCVWHLIQNDLIHAWGLDRQLGYCAQGDRMKNVGVVDSEYIVHLGLPTLGGPNGNEAPSDSPGGNARGRVRMQSYIEMQVFGKRWRDAAKKDKCWIDPYQQQANLTNH >VigunL059112.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000648.1:49475:52944:1 gene:VigunL059112.v1.2 transcript:VigunL059112.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFLFFIFLIFLIILLFLMFLFFLYFFSLFQFFISLFYFLISYLLSLITYLLSLISYLLSLTTYLFLLIFFLFLYLFLAFFVDIFPFSFFLYHVSYILFLVSCFLSLLFYLASYIYYLILYPLFFFHYLLVFINFLYFLIIFLAFFLDIFHLFSIFFFFIFLIF >Vigun08g175950.1.v1.2 pep primary_assembly:ASM411807v1:8:34619580:34627201:-1 gene:Vigun08g175950.v1.2 transcript:Vigun08g175950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVLVSYFIIFQCLLIYFPSYNCLLCNLHDKSALLHFKNSVVVVNSSTFPSWFNSRYSLYSKMKYWNNLTDCCGWDGVTCDSRSGNVIGLDLSCSLLRGELLGPNSSIFRLRQLQQLNLDFNDFCGSSIHSAIGDLVNLTHLNISCLNISGDVPSTISHLSKLLHLRISSIGSILRLDDYTWNRLIQNATNLRQIYLLHINMSCVGERSLSLLTNLSSSLLSLHLFDTQIQGKFPTHILKLPNLHEIVLAWNENLRGELPTSNWTTPLTFLYLSRTDFSGYIPDSIAHLKSLNSLYLSACNFDGFLLLERLVCQHLPPSLFNLTQLTLLYLSDNKLVGPIPTQITKFSKLSFLYLSGNMLNGSIPLWCYSLPSLLYLGLSFNQLTGSIGEFSANSLEFLSLSDNNLQGNFPTSIFQLQNLSTLDLSSTNLSGTVDFHQFSKLKVLNELDLSNNIFLFVNFENNFDCILPNLQYLMLSSSNVSSFPKFLAPLQNLQYLDLSHNRIQGNIPKWFHENLLRSWKNMSYIDLSFNKLQGDLPIPPDGTQIFSVSNNELSGEIPSAMCNTSTLSILNLAHNNLTGLIPQCLGTFSSLWALDLQHNNLYGSLPLNFSKGNAFRTIKLNGNHLQGPVPRSLTHCTTLEVLDLGNNNIEDVFPYWIETLPDLQVLSLRSNKFHGVITSLGTKLPFPKLRIFYISNNYFTGLLPTSYIHNFQGMMNVNESQTGLKYLGNDLIYNDSINIVIKGNYIELERILTTFTIIDLSNNMFEGEIPKVIGELHSLRGLNLSHNKITGTIPLSLGNLTNLESLDLSWNHLKGEIPMALANLNYLAVLNLSENRLEGMIPKSRHFDTFNNDSYVGNPMLCGLPLSKSCKWNNDKGNKERSQGSTFDEEESGFGWKAVAVGYACGMIFGIILGCFVFFAGKPQWLARLVEVVFNVRLPITNNRKHVIHSGRN >Vigun08g122500.2.v1.2 pep primary_assembly:ASM411807v1:8:29203980:29208777:1 gene:Vigun08g122500.v1.2 transcript:Vigun08g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKLVERFKSTQVHALNSEGTSRRNKATGGAITIRSLVSKSKSNTTKTSAVANHVVVPLQLPYADSLEPSIEPYLKPINLVEALAELYHRQECCLQSEKASLSVEQFTLLRSLGDQKLLRRCIRTARQNAGDVLSKVVLSAWLRFERREDELEGLSSMECGGCVIECPKGNLVHGFSPCSINDRCQCPQWTKQETSTEESVRLGLPDEENDISFCIGSEEIDCVRWRIAALSDTFKAMLYGGFAESKMRKIVFSQNGICSKGMRAVELYSRAKRLDFFCPMTILELLSFANRFCCEEMKAACDVHLASIVESVDDALIFIDYGLEERAPLLVASCLQVLLRELPNFLCNSDVMNIFCSSEGRKRLGTVGYDSFLLYYFLSQVAMEESKVSKTTVMLLERLGECATERWQKALAFHQLGCVLLERKEYKDAQHSFEAAAEAGHLYSVAGVARTKYKQGQPYSAYKLISSVMFEHKPTGWMYQERALYNMGKEKGIDLDVATELDPSLSFPYKYRALLKAEEKQIRAGILELDKIIGFKLSPDCLEFRARMFIALKDYDSAIRDIRALLTLEPNYITSNEKITGKYLVHLLSHVVQQKSQAECWIQLYEQWSSVDDVGSLAIIHQMLENDPGKSLLEFRQSLLLLRLNCQKAAMRSLRMARNHSSSMQERLIYEGWILYDTGYRDEALARADRSITIQRSFEAYFLKAYVLADTSLDHESASYVIELLEEALKCPSDGLRKGQALNNLGSIYVDCGKLDLAKACYENALAIRHTRAHQGLARVYHQKNQRKAAYDEMTKLIEKAESNASAYEKRSEYCDREMARVDLNVATQLDPLRTYPYRYRAAVMMDEQKESEAVDELTKAINFKPDLQMLHLRAAFYEAVGDLSSALQDCQAALCLDPNHTDTLDLYQRARKLSF >Vigun08g122500.3.v1.2 pep primary_assembly:ASM411807v1:8:29204231:29208777:1 gene:Vigun08g122500.v1.2 transcript:Vigun08g122500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKLVERFKSTQVHALNSEGTSRRNKATGGAITIRSLVSKSKSNTTKTSAVANHVVVPLQLPYADSLEPSIEPYLKPINLVEALAELYHRQECCLQSEKASLSVEQFTLLRSLGDQKLLRRCIRTARQNAGDVLSKVVLSAWLRFERREDELEGLSSMECGGCVIECPKGNLVHGFSPCSINDRCQCPQWTKQETSTEESVRLGLPDEENDISFCIGSEEIDCVRWRIAALSDTFKAMLYGGFAESKMRKIVFSQNGICSKGMRAVELYSRAKRLDFFCPMTILELLSFANRFCCEEMKAACDVHLASIVESVDDALIFIDYGLEERAPLLVASCLQVLLRELPNFLCNSDVMNIFCSSEGRKRLGTVGYDSFLLYYFLSQVAMEESKVSKTTVMLLERLGECATERWQKALAFHQLGCVLLERKEYKDAQHSFEAAAEAGHLYSVAGVARTKYKQGQPYSAYKLISSVMFEHKPTGWMYQERALYNMGKEKGIDLDVATELDPSLSFPYKYRALLKAEEKQIRAGILELDKIIGFKLSPDCLEFRARMFIALKDYDSAIRDIRALLTLEPNYITSNEKITGKYLVHLLSHVVQQKSQAECWIQLYEQWSSVDDVGSLAIIHQMLENDPGKSLLEFRQSLLLLRLNCQKAAMRSLRMARNHSSSMQERLIYEGWILYDTGYRDEALARADRSITIQRSFEAYFLKAYVLADTSLDHESASYVIELLEEALKCPSDGLRKGQIVVSLILQKHAMRTPLQLGIHELIKV >Vigun08g122500.1.v1.2 pep primary_assembly:ASM411807v1:8:29204226:29208777:1 gene:Vigun08g122500.v1.2 transcript:Vigun08g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKLVERFKSTQVHALNSEGTSRRNKATGGAITIRSLVSKSKSNTTKTSAVANHVVVPLQLPYADSLEPSIEPYLKPINLVEALAELYHRQECCLQSEKASLSVEQFTLLRSLGDQKLLRRCIRTARQNAGDVLSKVVLSAWLRFERREDELEGLSSMECGGCVIECPKGNLVHGFSPCSINDRCQCPQWTKQETSTEESVRLGLPDEENDISFCIGSEEIDCVRWRIAALSDTFKAMLYGGFAESKMRKIVFSQNGICSKGMRAVELYSRAKRLDFFCPMTILELLSFANRFCCEEMKAACDVHLASIVESVDDALIFIDYGLEERAPLLVASCLQVLLRELPNFLCNSDVMNIFCSSEGRKRLGTVGYDSFLLYYFLSQVAMEESKVSKTTVMLLERLGECATERWQKALAFHQLGCVLLERKEYKDAQHSFEAAAEAGHLYSVAGVARTKYKQGQPYSAYKLISSVMFEHKPTGWMYQERALYNMGKEKGIDLDVATELDPSLSFPYKYRALLKAEEKQIRAGILELDKIIGFKLSPDCLEFRARMFIALKDYDSAIRDIRALLTLEPNYITSNEKITGKYLVHLLSHVVQQKSQAECWIQLYEQWSSVDDVGSLAIIHQMLENDPGKSLLEFRQSLLLLRLNCQKAAMRSLRMARNHSSSMQERLIYEGWILYDTGYRDEALARADRSITIQRSFEAYFLKAYVLADTSLDHESASYVIELLEEALKCPSDGLRKGQALNNLGSIYVDCGKLDLAKACYENALAIRHTRAHQGLARVYHQKNQRKAAYDEMTKLIEKAESNASAYEKRSEYCDREMARVDLNVATQLDPLRTYPYRYRAAVMMDEQKESEAVDELTKAINFKPDLQMLHLRAAFYEAVGDLSSALQDCQAALCLDPNHTDTLDLYQRARKLSF >Vigun07g214000.1.v1.2 pep primary_assembly:ASM411807v1:7:33526186:33528283:-1 gene:Vigun07g214000.v1.2 transcript:Vigun07g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSVSAIATIFFLLSSLLLASSDALERPPIVDGLSWNHYFRNCPRLETIVRTHLQKVFKKDTGQAPALLRIFFHDCFVQGCDGSILLDGSPNEKSQPANIGIRPEALQTIEDLRSLVHNHCGRVVSCADLVVLAAREAVSLTGGPRFAVPLGRKDGLTFSINATRNLPPPSFRTGQLLETFAARNFSTTDVVALSGAHTFGRAHCSTFFSRINQTDPPIEPSLAKNLSNTCPSAQAPNTAVLDVRTPNVFDNKYYVNLAKRQGLFASDQDLFSDSRTKGIVKSFASNEKLFFNSFADAVVKLSQLDVLTGKQGEIRAKCSVANKGKEGLVVTSVVENAVDLRGHMSH >Vigun09g000800.1.v1.2 pep primary_assembly:ASM411807v1:9:101474:107932:-1 gene:Vigun09g000800.v1.2 transcript:Vigun09g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEGETETGVTAEAEKNPKLKERKVSWAKLRRIDSLNLEAGRVSMVTHNPHKLGWRITLSLAFQSIGVVYGDIGTSPLYVYASTFNNGIKHQDDILGVLSLIIYTILLIPMIKYVFIVLWANDNGNGGAFALYSLICRHLKMSLIPNEQPEDRELSNYKLETPSSQVKRAHKLKQKLEASYTARVLLVFLAILGTSMVIGDGILTPSISVLSAVSGISKSLGQDAVVGITIAILVVLFSVQRFGTDKVGFSFAPIILVWFMFIGGIGFYNLFKYDIGVLRAFYPKYIFDYFKRNGKNGWLSLGGVFLCITGSEAMFADLGHFNVRAIQISFSFITCPSIVVAYIGQAAYLRKFPENVANTFYDCIPGPLYWPTFVVAVAAAIIASQAMISGAFSIISQALSLGCFPRVRVVHTSINHQGQVYIPEINYMFMIACIVVCAAFKTTDNISHAYGIAVIGDMMISTTLVSLIMLVLWKKSLWRVALFFFGFGFVELVYLSSQLTKFTGGGYFPIVSAMFLTTVMGTWHYVHKERYMFELKNKVSSAYLNELANNPDVRRVPGIGLLYSELVQGIPPIFPHLIGSIPSVHSILVFVSIKAIPVSSVASEERFLFRQVEPREYRVFRCVVRHGYNDVVGDPAEFESQLIQNLKAFIEEKNYYTVEVEVEGSGNAIEQAAVVESSDQREAHRSSSKIIPNQSASASSDSIRSLGGSGTKSSANFLAPPIHGAEEELKFIEKASEKGVVYMLAEAEVVAHPNSSIINKIIVNYVYSFFRKNFRQGQSSMAIPRNRLLKVGMTYEI >Vigun11g170350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37638651:37644515:-1 gene:Vigun11g170350.v1.2 transcript:Vigun11g170350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAHGGSMLVELNAHNALHKPKFETLSHYEPPFITFNNEDDDFNLDHNHNYDDSNNNNASPYNMSPWNYHPNTSSPIKRSPWSLPNSTANHHNEDYPPETELIGSLVRETGHIYSLAVSGELLYAGSDSKNIRVWKHLKDFTGFKSNSGLVKTIVISDGKIFTGHQDGKIRVWKVSSKNPYNYKRIGSLPTFKEYVKCSMNPKNYVEVRRNKNAVKVKHFDAISSLSLNKEEGVLYSGSWDKTLKVWRLADSKCLDSISAHDDAVNAVVSLLEGSVLTGSADGTVKLWRRVNVQKGEKEKKSKHVLDRILLKQENAVTALAVNCMATIVYCGSSDGLVNFWKCDHKGEYLQGDVLRGHKLAVLCLATAGTLIFSGSVDNNICVWRHNENGFHTCHSVLIGHTGPVKCIAVEQQHPQSEREQGWIVYTGSMDNSVKVWHVSEHVSEVGTIQCKTTLNHFDSSCSSPRTMSVNSSISETYYSSPRKSIISHENDFDNRNSDATISTLSDNNKICDKEEKYYISGDTHDNIDDNSMRVIDYGRNKQSSSDVAKNNHSTNNKINTKSIKNDLKNVDDSKNDINDIKRVSENIDIIGNNNVDIGIHIMNDNKNHRTHGLKSNNNGDNTDVSKKIDISNNNNNVDIGKYNDNDNTNYNINGLKSSNNGNNRHNNGGAHVNNKSSCNFDSTKSKDIIIDSQDNDTTKDIENDNDNKCNKSVRIKATITRSNNNNIGDVKINGSKCNNVDDVRNLEYGNKNEQIDESIAKSINDHNKGAYICNYNKNYNNGDKSIDIGLNHNTNAGKSIDHQINNMNYDTAYANGNNICNSDNTKSSDNDTTNKYHKNVTNNIIVGRDKNCNNDISKSSVNGNNIHTSAYHIENNIQNSDNAKNKIDKRNNKTFMTGDNNIYDSNDSHVKNISSSHDTKRVGNGKKIMKDENCKSGENGNNIQACTYHTENNIQNSDGVKNGENKRDKRNKTSTSGDNNIYDCDNSFVNNISHNHDAKKGGNDKKIMKEDNFKSGGYGNNIHTFVYHNENNIQYGDSAKNRENRNDIKHKTFTSGDNNIYYCDDSNINNISNIHDAKKDGNFKNIMKDDIAKSGCNKNNVYVSLHHYENNTQSSHGARNGENKSDKINNKTFTSRDNDIYGCDESYDNISKNHDAKRGENDKTMKDDNSKSGDNGKNIHAICYHNKNNIQSSDVDKDGEKQTNKQNDKIFPSGDNNIYDSDDSFVHNIHAHAYDNKNNIQSNDHAKNGEKKSEKRNNKTFTSDDNNINDCDDSFVNYISNNHEAKKGGKEMKIVKDDNYKSGGNRKNIHANAYHNDNKIQSSDGAKKGENKTNKINNKTFTRSDNNIYDCDDSVNNISKNLDVKRCENDKKIMKDNNSKSCGNGNNIHAHTCHNEKNTKTDDGVKNGGNKSNKRNNKICMSGGNNTYNFDDSYVNNMPNNGDTKRGETDKKIMKVDNFKSGGKGNYIDAHNENNIQNRTSTSGDNNIHDYDDSKFNNILINHDVNKGGNDKKIMKGDNSKSCGNANNIHASVYHNNNNTHRSDDVKNSENKTNKKSNKTFTSSDNNIYQCDDSYVNNMPNNHDAKSGGNDKKIMKKDNSKSNDNGNNLHASVYDIDNNIQNSDSAKKKVDKRNNKTFMSGDNNTYVYDDSYVKNNTNSHDAKSDGNDKKVMKGDNSKSKGQGGHKNDDAKISGNNYNANNNGTSKSTYHSGHICNDVYANNIPNNDDDTKNNDNGNYIRNIDGVRNGNNNNNNNVNSKDNGKGNNNHKSVYNNGNVICNNDGVKKVSIGNHKGDDGSSKRGNNDNNNHNLYGTTNPKNDGVKRNVSDYKNLKNNGVCGNKSNSYTDKSNSYKDELNNNSIGSNDNGHNLNDYKTTSNLNNNSNYRNINGSKSVENNINHNQDRAKINYDANNSKINGSHSHSNNNFQNNNNDIGDKRNKNERHLQSRNQCN >Vigun04g198800.2.v1.2 pep primary_assembly:ASM411807v1:4:42331407:42334999:-1 gene:Vigun04g198800.v1.2 transcript:Vigun04g198800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCLNDIGGFAGKVKNTTLSAVDQMKNCETYLQCPNCHYHTNNSDISDEWPDFPIGVKFDPSDVELLKHLEAKCCVGNREQHVFIHKFIPTLEGEKGICYTHPENLPGARKDGNSVHFFHKTVNAYATGRRKRRKIHHEDGLSEEHVRWHKTGRTKSVIEDGVHKGFKKIMVLYVRPKEGSKAYKTNWVMHQYHLGSEEEEKDGEYVVSKIFYQQQKHSEKNEENAAVVEDSNIAAQTGPSTPKPNPPTRPCTAKCDDNELLSFIQDAKPIPGELLAPPSDVQGEDVKVDPAWLAGESQADCDFHGLEEILLMSDSSALFNHSGLASTLYGTPGNNDDYASSGVSVLDTPESDNPSDFDLCNLQFGSQDSTLYWMDKL >Vigun04g198800.4.v1.2 pep primary_assembly:ASM411807v1:4:42331443:42333886:-1 gene:Vigun04g198800.v1.2 transcript:Vigun04g198800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFEVVTLFCRSCLNDIGGFAGKVKNTTLSAVDQMKNCETYLQCPNCHYHTNNSDISDEWPDFPIGVKFDPSDVELLKHLEAKCCVGNREQHVFIHKFIPTLEGEKGICYTHPENLPGARKDGNSVHFFHKTVNAYATGRRKRRKIHHEDGLSEEHVRWHKTGRTKSVIEDGVHKGFKKIMVLYVRPKEGSKAYKTNWVMHQYHLGSEEEEKDGEYVVSKIFYQQQKHSEKNEENAAVVEDSNIAAQTGPSTPKPNPPTRPCTAKCDDNELLSFIQDAKPIPGELLAPPSDVQGEDVKVDPAWLAGESQADCDFHGLEEILLMSDSSALFNHSGLASTLYGTPGNNDDYASSGVSVLDTPESDNPSDFDLCNLQFGSQDSTLYWMDKL >Vigun04g198800.1.v1.2 pep primary_assembly:ASM411807v1:4:42331452:42334848:-1 gene:Vigun04g198800.v1.2 transcript:Vigun04g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIVGWSPFVELIRKKTFFRFLGGLVSEKLVSEKHPWLGSCRSCLNDIGGFAGKVKNTTLSAVDQMKNCETYLQCPNCHYHTNNSDISDEWPDFPIGVKFDPSDVELLKHLEAKCCVGNREQHVFIHKFIPTLEGEKGICYTHPENLPGARKDGNSVHFFHKTVNAYATGRRKRRKIHHEDGLSEEHVRWHKTGRTKSVIEDGVHKGFKKIMVLYVRPKEGSKAYKTNWVMHQYHLGSEEEEKDGEYVVSKIFYQQQKHSEKNEENAAVVEDSNIAAQTGPSTPKPNPPTRPCTAKCDDNELLSFIQDAKPIPGELLAPPSDVQGEDVKVDPAWLAGESQADCDFHGLEEILLMSDSSALFNHSGLASTLYGTPGNNDDYASSGVSVLDTPESDNPSDFDLCNLQFGSQDSTLYWMDKL >Vigun04g198800.3.v1.2 pep primary_assembly:ASM411807v1:4:42331409:42335027:-1 gene:Vigun04g198800.v1.2 transcript:Vigun04g198800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSQISLMLSACFSSSVPVSVCLLSLTLLMLRNILNIIERDMEFSLESCLNDIGGFAGKVKNTTLSAVDQMKNCETYLQCPNCHYHTNNSDISDEWPDFPIGVKFDPSDVELLKHLEAKCCVGNREQHVFIHKFIPTLEGEKGICYTHPENLPGARKDGNSVHFFHKTVNAYATGRRKRRKIHHEDGLSEEHVRWHKTGRTKSVIEDGVHKGFKKIMVLYVRPKEGSKAYKTNWVMHQYHLGSEEEEKDGEYVVSKIFYQQQKHSEKNEENAAVVEDSNIAAQTGPSTPKPNPPTRPCTAKCDDNELLSFIQDAKPIPGELLAPPSDVQGEDVKVDPAWLAGESQADCDFHGLEEILLMSDSSALFNHSGLASTLYGTPGNNDDYASSGVSVLDTPESDNPSDFDLCNLQFGSQDSTLYWMDKL >Vigun10g137850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35439906:35440691:-1 gene:Vigun10g137850.v1.2 transcript:Vigun10g137850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLGPFSKPVEAVIDFISKLGVRHVTYIICYKQNVLELSNSVKDLGFEKERINHQCDDTQKNLNSIEGKVIEWVRKVNEIETLMNVFENDDGHKRARSLSCYVFSYLLNRHRLGRRAKKMEVDVKKLIDESPNFDEVSYREDVTSNDATLFNSDFVEFGSTKSIMEKVMTQLEDSTVRIIGLYGPAGVGKSTLIKEIARKAKDKKVFDVVVKVEITANPNLQNVQEEIAYVLGLRLEGEGENVRADCLRRRLKKKKGTPF >Vigun10g067950.1.v1.2 pep primary_assembly:ASM411807v1:10:15894586:15895478:-1 gene:Vigun10g067950.v1.2 transcript:Vigun10g067950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKTNMHDNLDKLLEDNSMMPECDFDDILDEDEEFDVEMVRIYNLVRDARQEHKNEDTCPKCGASRYKERVCLNLKKKVSCKVVSPLVLAKVLSEDVKWLSEGPNRIARRFSTYAINGYKFVIESCERKTQNSGIMVVSSTIKFRSKKDENPLVENMVLDWYS >Vigun11g125500.2.v1.2 pep primary_assembly:ASM411807v1:11:33272516:33273912:-1 gene:Vigun11g125500.v1.2 transcript:Vigun11g125500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHPPGFRFFPTEEELVGFYLRNKLDGSINAAAAAIDRVIPVVDINSLEPWNLPTLAGELCRGDTEQWFFFSPGQEREARGGRPSRTTSCGYWKATGSPGYVYSSDNRVIGVKKSMVFYKGKAPMGRKTKWKMNEYRAISVPHQSNPVTPQLRCEFSLYRVYIVSGSFRAFDRRPSESGGTESRVHQSSSSQVSHLLELSQLSQLPEGGGDSSSTNWNEEQLQEPSWELDWEHFNWV >Vigun11g125500.1.v1.2 pep primary_assembly:ASM411807v1:11:33272477:33273970:-1 gene:Vigun11g125500.v1.2 transcript:Vigun11g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHPPGFRFFPTEEELVGFYLRNKLDGSINAAAAAIDRVIPVVDINSLEPWNLPTLAGELCRGDTEQWFFFSPGQEREARGGRPSRTTSCGYWKATGSPGYVYSSDNRVIGVKKSMVFYKGKAPMGRKTKWKMNEYRAISVPHQSNPVTPQLRCEFSLYRVYIVSGSFRAFDRRPSESGGTESRVHQSSSSQVSHLLELSQLSQLPEGGGDSSSTNWNEEQLQEPSWELDWEHFNWV >VigunL060458.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:52279:54855:1 gene:VigunL060458.v1.2 transcript:VigunL060458.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFDFSGVEFWVTGFWFRVSWFGFEVWGFGFRLSAFEFRIKSYGFRDSCFGCRISAFWFRVSDFGFRVSGFKIWVLCFDFGVSSLGFRVSNFELQVSSLGFECQFSTLGFRVSGFGLLVSGYRFRVSDFVVRVWGFEFSSLGFRVLAFRDLALGFRFRVWVLGCRVSSYGFWTHVSGVGFRISGLRFRVSSLGFRVSSFGFRV >Vigun03g094100.1.v1.2 pep primary_assembly:ASM411807v1:3:7953878:7959783:-1 gene:Vigun03g094100.v1.2 transcript:Vigun03g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTPSPSDSLTSTLCNSIQALGRGFDVTSDFRLLYCKGAPGSRLVYLDEEHATDLVLSRTLVLPNVSSDICCSPGQSSMEKIPVCSFHEVSCSLDCHKTFLGGKNHFTNMAKCFNEKSGLAEKFPLGSFNSMFNFTGSCIVDATATKSLAMVGYFIRLFEVKLTNPNLVLNDEIKRSVPHSWDPTSLASFIENYGTHIVTSATIGGRDVIYVRQHQSSSLSASDIENYVKDIGDDRFHDGKNISGPGPLKYKEKDVTVIFRRRGGNDLEQNHSKWVETVKLAPDIINMTFTPIVSLLEGVPGVNHLTRAIDLYLEYKPPIEDLQYFLDFQIARVWAPEQSNLQRKEPVCPSLQFSLMGPKLFVSSDQVTVGRKPVTGLRLGLEGNKQNRLAIHLQHFVSLPKNLLPHWDTHVAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYTETSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHNPSTPSAAQRPDSSSSLTKKGSDDKKEDTSTHIGKLAKIVDTTEMFKGPQDIPGHWLVTGAKLGVEKGKIVLRIKYSLLNY >Vigun03g094100.2.v1.2 pep primary_assembly:ASM411807v1:3:7953878:7959783:-1 gene:Vigun03g094100.v1.2 transcript:Vigun03g094100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTPSPSDSLTSTLCNSIQALGRGFDVTSDFRLLYCKGAPGSRLVYLDEEHATDLVLSRTLVLPNVSSDICCSPGQSSMEKIPVCSFHEMAKCFNEKSGLAEKFPLGSFNSMFNFTGSCIVDATATKSLAMVGYFIRLFEVKLTNPNLVLNDEIKRSVPHSWDPTSLASFIENYGTHIVTSATIGGRDVIYVRQHQSSSLSASDIENYVKDIGDDRFHDGKNISGPGPLKYKEKDVTVIFRRRGGNDLEQNHSKWVETVKLAPDIINMTFTPIVSLLEGVPGVNHLTRAIDLYLEYKPPIEDLQYFLDFQIARVWAPEQSNLQRKEPVCPSLQFSLMGPKLFVSSDQVTVGRKPVTGLRLGLEGNKQNRLAIHLQHFVSLPKNLLPHWDTHVAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYTETSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHNPSTPSAAQRPDSSSSLTKKGSDDKKEDTSTHIGKLAKIVDTTEMFKGPQDIPGHWLVTGAKLGVEKGKIVLRIKYSLLNY >Vigun10g118500.1.v1.2 pep primary_assembly:ASM411807v1:10:32486496:32488194:1 gene:Vigun10g118500.v1.2 transcript:Vigun10g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCDSKKIHNVNIEQQNGEGKLIMKDLLSWVRTNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLDTSLEEKDVVVFISTLHGG >Vigun08g031900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2916293:2917106:-1 gene:Vigun08g031900.v1.2 transcript:Vigun08g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSESKLMRIIGSPIRALGKARDMYVRSITNCGQHVSYYGDPMEGAGRFSRSHSVAATSVRSERSDEDYAELVRAASARTTLANRIHVSKVLPKSSSVGMARIDEDKAMESEGGVAFAADLYPRSRSYAVAKSKPAFETVVKVQARK >Vigun09g171400.1.v1.2 pep primary_assembly:ASM411807v1:9:34122890:34127748:1 gene:Vigun09g171400.v1.2 transcript:Vigun09g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLSNQSLLAPCPCSTPFSSNPFQTLKPKIISFSSRGFPSPLNSSPNRRNPLKYSQGPPPTGNDETGETRKDFRGIDGDGDKKPLPSLMVLVEAYKEAFFNGDENGVALIEKGIYSAVNRKNKLIQNVSSLSADKATSKDRYVRLQADFDNFRKRSDKERLSIQSDAQQELIEQLLLMVDSFEKTKQQTKAATEKEKKIDVSYQGIYKQFVEVLRSHHVSVIATVGKPFNPLQHEAIAREESTEFKKGIIIKETRRGFLLRDRVLRPAQVKVSLGPGATKSPVSPDNSMERPSTAAGIDER >Vigun07g053700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5715854:5716973:1 gene:Vigun07g053700.v1.2 transcript:Vigun07g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAREQDQYMPIANVLRIMRRILPQHAKISDEAKETVQECVSEYISFITAEANDRCQREQRKTVTAEDVLWAMGKLGFDDYAQSLSVYLNRYRQSEGERMSGGRRGSTSVPPMELDPPFHPYPPHGFGMFDYDPSHSIASSSRSSGGFVQNFDPYANPNPNPTPDEFDPFGNPRPADDNNNNNNCM >Vigun07g053700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5715853:5716974:1 gene:Vigun07g053700.v1.2 transcript:Vigun07g053700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAREQDQYMPIANVLRIMRRILPQHAKISDEAKETVQECVSEYISFITAEANDRCQREQRKTVTAEDVLWAMGKLGFDDYAQSLSVYLNRYRQSEGERMSGGRRGSTSVPPMELDPPFHPYPPHGFGMFDYDPSHSIASSSRSSGGFVQNFDPYANPNPNPTPDEFDPFGNPRPADDNNNNNNCM >Vigun01g055760.1.v1.2 pep primary_assembly:ASM411807v1:1:10899583:10901028:-1 gene:Vigun01g055760.v1.2 transcript:Vigun01g055760.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCLISCSSSTSDSLVTSSYVKEKMENTSWCLGESKDRIRKLFNKVELSISSYDTTWVAMITSPASHQTPLFPQCLNWLLDNQHLDGSWGLPDRHPLLMNDALLSTLASILALKQWGVGEDQINLVRISELQMEYATI >Vigun07g210200.1.v1.2 pep primary_assembly:ASM411807v1:7:33188613:33191337:1 gene:Vigun07g210200.v1.2 transcript:Vigun07g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLGRKMFLQVLWCFSLIGLSSQTRSAYTFVVREAQYTRLCSTKSMLTVNGNFPGPTIRVHRGETIFVNVYNKGNYNITLHWHGVKQQRNPWTDGPAYITQCPIQPGRKFTQKLIFSFEEGTVWWHAHSDWARATVHGAIFVYPTKNSPYPFPQPHAEIPIIFGEWWNTDVNEVFTQSISTGGAPNISDALTINGQPGDLFPCSMTETFKLSVERGRTYHLRVVNAAMNLILFFSVSQHNLTVVGADGSFTKPLTREYICISPGQTMDVLLQANQEPNNYYLAARAYSSGVGVAFDNTTTTARVEYSGNYTPPSSLSLPYLPNFNDTTAAFDFITSLRGLPERYPRQVPTNITTQIVTTISINSLPCPGGRTCQGPNGTIFAASMNNISFDTPNINILEAYYYHVNGVYKTGFPRFPPFTFNFTADFLPLTLNIPKRATRVNLLNYGATVEIVIQGTNVVAGIDHPMHLHGFSFHVVGYGLGNFNQSKDPVTFNLIDPPLLNTVIVPLNGWTAIRFVASNPGVWFMHCHLERHQAWGMETVFIVKNGKASNETLPPPPPDMPPC >Vigun06g005600.1.v1.2 pep primary_assembly:ASM411807v1:6:3033633:3041626:1 gene:Vigun06g005600.v1.2 transcript:Vigun06g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVLALPAQKERNVIESERKRGSKKRKPHQGDIEEKVDSDLYSYGCDIGFVGDKLLSDGKIQSKQKMKKTVEDEQQENANDTEASKFILKRSEPHIYSREKIDQELCSYGCGIGFVEDKLLEEGNIKSKDKKKKKVVDHRLQEDDFALGFVEDKLLVDGIIKSKDKRKKKFADNKLLKNGDDIETNNFILKKHDLQGDSRETIHPDSCFHGSDIGFVEDNLLVDGKFKSRKKNKTKKAVKDKLSENGDDAETGKFLLKMRDSQSDYMDTVDSGFCCYAKSLDKESKSYPIALPAFGRLLGDKLEEDGCAVQKNQLGREGYVDSVYLSAVSRDIFEDKLNENGNEFKSIGIKSEKKKSNSQKTVSESTKARKVSPYFQIENVKKTVELDAPDNGENDFDIVASVGTCRYMVQDREEGNKDKIAIAKNKSKCKCRYKKLLSVEHDPIQKNSPSIQSDNEKKVNAYSRCCETESIASPSIGSSFLGDKTLADGNEMENGTTKLKKVKAFRNKLRENGNDAQTRNVNSMKTDSVIQNNTTLRVEYDSHFFHNDIAEMITGNSLNMKSRSESIALPVCGHSIENNLEGELGGEKIKQHGLDSCIDPLLFTVASGDFEEWRENGNGIGTLKVESQKKRKFSGQKTAAKHGKVPKVSPYFQNDKKTVNVEALEHENDFYSIANSGDNIAIENFKFQGKRTSATNKTVEHVQVQKVSPFSQSNNGKKVDHSGDFEEWCENGNGIETLKVESKKKRKSSCQKTAEKHGKVPKVSPYFQNDKKTMSVEALEHENDFDSIANSGDNITIENFKFQGKRTSAKNKTVQHVQVQKVSPFSQSNNGKKVDHSGDFEEWCENGNGMETPKVESKKKRKSSFQKTAEKHGKVPKVSPYFQNDEKTVNVEALEHENDFDSIANSGDKIAIENFKFQGKRTSAKNKIVEHAEVQKVSPFSQSNNGKKVDFGSCCYDREIGFSALSSTGVDFLEDKLPEDRDVSESLEVNGNDTNAYVNTKNMKFVAPKTVVQVGVRYVSPYFQNDNGKKFNVQPLKKEIKSESMVIPTFGNLMEDKLMENNAGKNVIQQVLETHADSVALIASSENLSEDEPQNIGNEIQTYKIESMKGKSNSTKTAQDHAKARKVSPYFQNDSEKTVNEKFHGLESDIDSVALMGTCTFGDKIPIEMFKYEGNRTSEREVSTEHAQIQKVSPFFQSNNVKKVRAESCCAGGMLLEHKLLRDGNVIENGLTNIKKKTISNKRQGNENDTNSKVKPKKTKPLVQKNVANDIRYVSPYFHNDSGKKINVKTKPLVQKNVAHAIRYVSPYFHNDSGKSIIVKPLHEEGKLESIALHAAENFVEDKWEENKSSCSEKSIEIKKNLSASEKWDEAYKRKTPDNAWKPPRSATVLIQEDHAHDPWRVLVICMLLNRTSGRQTKKIVSDFFKLCPDAKTCIEVAREEIEETIKTLGFQHKRAKMLQRLSEEYLDESWTHVTQLHGVGKYAADAYAIFVNGKWDRVTPTDHMLNYYWEFLRRIYQA >Vigun04g049500.2.v1.2 pep primary_assembly:ASM411807v1:4:4325689:4328979:1 gene:Vigun04g049500.v1.2 transcript:Vigun04g049500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFGCYQVDQSNVAIKEHFGKFSDVLEPGCHCLPWCLGYQIAGGLSLRVQQLDVRCETKTKDNVFVTVVASVQYRAVAEKASDAFYRLTNTREQIQSYVFDVIRASVPKLELDSVFEQKNDIAKAVEEELEKAMSTYGFEIVQTLIVDIEPDVNVKRAMNEINAAARLRLAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSSNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAVQIRDGLLQASASQTN >Vigun04g049500.1.v1.2 pep primary_assembly:ASM411807v1:4:4325855:4328979:1 gene:Vigun04g049500.v1.2 transcript:Vigun04g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFGCYQVDQSNVAIKEHFGKFSDVLEPGCHCLPWCLGYQIAGGLSLRVQQLDVRCETKTKDNVFVTVVASVQYRAVAEKASDAFYRLTNTREQIQSYVFDVIRASVPKLELDSVFEQKNDIAKAVEEELEKAMSTYGFEIVQTLIVDIEPDVNVKRAMNEINAAARLRLAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSSNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAVQIRDGLLQASASQTN >Vigun05g181600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34773917:34774634:1 gene:Vigun05g181600.v1.2 transcript:Vigun05g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPSSMQPSNSTMEISITITQRRGISVLQPKTKLSGGRSPHPQTFQTTTPKPNHMLTKSDQKGDKGKGPQTT >Vigun04g092900.1.v1.2 pep primary_assembly:ASM411807v1:4:18201108:18202471:1 gene:Vigun04g092900.v1.2 transcript:Vigun04g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVEMQPSYSSKKQDDSDFNFTEWGAKGRISRENSKSRRYSASYIRSFREDTRSFRSNITISSTASSPGYPLKDEIDPSTYSFTTALKALQARSAYKSWECLSPEGFALNSKWNEAEKYICNPFSGEVPMECLSAKTLSGRSFRNSAITNRITMSAPLVYSSRHMQQTKPSSTFNNCIQEEVAL >Vigun07g050400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5256500:5257261:1 gene:Vigun07g050400.v1.2 transcript:Vigun07g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTAAYLGILLACADLAITVAAVDPIAATGKEHVIELYMHDILGGSNPTARPVTGLLGSIYSGQIPFATPVGFNTPQGAIPIPNANGANPTVNGVFGIPLGTGLAGTSFAPNSGNNNNNNQNLAQLQLGPDGLGLGFGTITVIDDMLTSQPEEGSQLVGKAQGVYVASSADGTRQMMAFTALFEGGEYGDSLNFYGLYKIGSTMSRISVLGGTGKFKNARGFAELRALIPPGQISTDGAETLLRITIYLKY >Vigun10g136601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35164399:35164713:1 gene:Vigun10g136601.v1.2 transcript:Vigun10g136601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGIDAAAHSCILHGFSSRCTYVIGSSDVKVQQFYFISISMFNFVIILINLVSSSVIFQYEIELKSPTTWWNCLELKSSKYYTTLLRSPTYYTTTYSSRNFSL >Vigun07g280900.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39575730:39580385:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39575730:39580387:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39576871:39580356:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39575730:39580387:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39575730:39580387:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39576869:39580355:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun07g280900.1.v1.2 pep primary_assembly:ASM411807v1:7:39575730:39579104:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDRDTSGAMKSRKGGNHKRMRLEINLPR >Vigun07g280900.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39576869:39579481:-1 gene:Vigun07g280900.v1.2 transcript:Vigun07g280900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSWSCKITNLNQNLLSLIEKCKSMLELKQLHAVVISFGLSQDDPFISKILCFSALSSSGDINYSYRVFSQLSSPTIFNWNTIIRGYSNSKIPVNSLSIFLKMLRLGVAPDYFTYPFLVKASARLLNQEIGVSVHAHIIQTGHESDIFIQNSLVHMYASCGNIMWAQKVFDSIQGKNLVSWNSMLDGYAKCGEMVTAQKIFDSMSEKDVRSWSSLIDGYVKAGEYGEAMSIFEKMRAVGPKANEVTMVSVSCACAHLGALEKGKMIHKYIVDCGLPLTLVLQTSIVDMYAKCGAIEEALLVFRGVSKSQTDVLIWNAMIGGLATHGLVEESLKLFKEMQTVGIRPDEVTYLCLLAACAHGGLVKEAWYFFESLNKRGMTPTIEHYACMVDVLARADQLTSAYQFICRMPIEPTAAMLGALLSGCINHRNLALAETVGKKLIELEPNHDGRYIGLSNVYAVDKRWDDARSLRETMDRRGVKKSPGFSFVEISGVLHRFIAHDKTHPDSEETYCMLNFVFRQMKFSWYKDREKSLKDTSMGDDLLLF >Vigun11g101625.1.v1.2 pep primary_assembly:ASM411807v1:11:29727193:29729172:1 gene:Vigun11g101625.v1.2 transcript:Vigun11g101625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHERTTSSRTLLAFSQASKQAARPKVYHFSLVAMREALPRQSFQQAARDIFIQSSTHSYGVVLKARQRSALGFFHWAAPRPNSTFQPITRSYAITINLLLRANLLIHARSLLCSLTKTNTHSHVVPALVDSLLDVVVSTSCDLVKFENCFLVWEVYEFMIQRRIYPNLTSLRIMVDALCKEGELQKIVDTLDQIMQRSTFCSPSMIVNCSLMSRILERGAESESDVVNVVWSLVLHAKVAFGDLDSAWRLYGEMMRRGFEPNTFVHTSFVGAFCIDGRVGEVVSLVREMEGKELRPYNETFEHGYARKEDVQEVLKLYYEMEYKHVNLGLSVFVMVVRCLCYCGKVEEAERYLRVMRERMVALDASVYEELIDGYIKKGDTARALYLREELASLLQLKMQ >Vigun01g055940.1.v1.2 pep primary_assembly:ASM411807v1:1:10941407:10942352:1 gene:Vigun01g055940.v1.2 transcript:Vigun01g055940.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPYSFINVVGGKEQFDDEGRSYKNLAEVAVVMTILKNMHKAWLTSKHEFNIGIVTPYAGQVAAIQEKLGKIYESDDRFNVVVSSVDGFQGGEKDIIMLSTVRTSSRSSLEFISSPQRTNVALTRARHCLWILGE >Vigun09g120433.1.v1.2 pep primary_assembly:ASM411807v1:9:26574161:26575351:-1 gene:Vigun09g120433.v1.2 transcript:Vigun09g120433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSLLVILFSFFCFSCSNQTNQTHGPCELTNPTWVKSVDKHLLLQSYLGSSRANVIVAKDGSGNFRNVKAAIDSIPKSNNKRYVIYIKGGVYDERVEVKSTNVMLVGDGIGKTIITGNRSVGGGFQTHDTTTFVATGNGFIAKGITFRNTAGAASHQAVAMLFTSDRSVFYKCDFEGYQYTLCLQAGKQFYKECDIYGTVDFIFGNDAAAVLQNCTIYARNAINTSTIVITAQGRTNPEASSGIIIHNSKVIGAPGFNPSSVKAYLGRPWKNYSRTVFMKTSLDSFINPAGWMEWEGSPKFDDTLFYAEYANSGPGSNTVHRVNWKGYHVFTHESQAKPFTVKNFIDGNSWIHNTGVPFDSGL >Vigun04g085532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:14206065:14206445:1 gene:Vigun04g085532.v1.2 transcript:Vigun04g085532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKRSSQVRVGVRGGKGKLWRCYGFETMGENLFAPSETSCFFPSIFLLTLSLTLFYSNLCGDDDVLCGDYLYAVSVKMDDKRKSEIEGEWGWLVGPNDVVISYLFGRPILSIHNWFEGDFVGRL >Vigun01g213100.3.v1.2 pep primary_assembly:ASM411807v1:1:38731989:38735934:1 gene:Vigun01g213100.v1.2 transcript:Vigun01g213100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEFPIEMQTKAFQILSSKDNTPIETLFSHIYASQHQDQHNLRSHALIFLQCCKHHHPDILLIKLLFLFRTDALPETRANAARAMHFLKAAEFWPKLKPIAQGHLKAHFLAYLAKESSLHVLRLASLLLAEILSVTYKTHQNWPEALDLLTSFIASDNDNCREFASLVFKNLSNDSRFLISSALEEKSDTVSALRASFFKSLASSNRDVQVASFGAVVSLVRLFSEPPVFHDLLRAIMVGVFTLLHCSEGSYFPSAFAELINLVSQEPLLLKTYMNDMVLDVLQIAESESFSEETHCLAFQLVVVMTEVKEYEHVFVNLPHETVRRLFFVPTKMLRYVAEDGCDGKRELEIEKAGMKGLKKLCAALGASKVVPLACEVFLLQLDNEEWRVRRAGITMLSVIAEDFSDEMVLMGSFIGEVVRKVLELMEDSHAQVRMATFKFMLTSSNFVQVVQLLYHHRFVHVFCNALDNEQDLDVKEQAGLAMQSFLKNTLPESLTLNENADTVMGKFLSLLQDKSSKLKSIALSTLNVVVQRCEEVAHKYCAIYLPMLLEACTDESSTIREEATRGIKICVELGTPQLKPLS >Vigun01g213100.4.v1.2 pep primary_assembly:ASM411807v1:1:38731989:38734856:1 gene:Vigun01g213100.v1.2 transcript:Vigun01g213100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEFPIEMQTKAFQILSSKDNTPIETLFSHIYASQHQDQHNLRSHALIFLQCCKHHHPDILLIKLLFLFRTDALPETRANAARAMHFLKAAEFWPKLKPIAQGHLKAHFLAYLAKESSLHVLRLASLLLAEILSVTYKTHQNWPEALDLLTSFIASDNDNCREFASLVFKNLSNDSRFLISSALEEKSDTVSALRASFFKSLASSNRDVQVASFGAVVSLVRLFSEPPVFHDLLRAIMVGVFTLLHCSEGSYFPSAFAELINLVSQEPLLLKTYMNDMVLDVLQIAESESFSEETHCLAFQLVVVMTEVKEYEHVFVNLPHETVRRLFFVPTKMLRYVAEDGCDGKRELEIEKAGMKGLKKLCAALGASKVVPLACEVFLLQLDNEEWRVRRAGITMLSVIAEDFSDEMVLMGSFIGEVVRKVLELMEDSHAQVRMATFKFMLTSSNFVQVVQLLYHHRFVHVFCNALDNEQDLDVKEQAGLAMQSFLKNTLPESLTLNENADTVMGKFLSLLQDKSSKLKSIALSTLNVVVQRCEEVAHKYCAIYLPMLLEACTDESSTIREEATRGIKICVELGTPQLKPLS >Vigun01g213100.2.v1.2 pep primary_assembly:ASM411807v1:1:38731989:38735934:1 gene:Vigun01g213100.v1.2 transcript:Vigun01g213100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEFPIEMQTKAFQILSSKDNTPIETLFSHIYASQHQDQHNLRSHALIFLQCCKHHHPDILLIKLLFLFRTDALPETRANAARAMHFLKAAEFWPKLKPIAQGHLKAHFLAYLAKESSLHVLRLASLLLAEILSVTYKTHQNWPEALDLLTSFIASDNDNCREFASLVFKNLSNDSRFLISSALEEKSDTVSALRASFFKSLASSNRDVQVASFGAVVSLVRLFSEPPVFHDLLRAIMVGVFTLLHCSEGSYFPSAFAELINLVSQEPLLLKTYMNDMVLDVLQIAESESFSEETHCLAFQLVVVMTEVKEYEHVFVNLPHETVRRLFFVPTKMLRYVAEDGCDGKRELEIEKAGMKGLKKLCAALGASKVVPLACEVFLLQLDNEEWRVRRAGITMLSVIAEDFSDEMVLMGSFIGEVVRKVLELMEDSHAQVRMATFKFMLTSSNFVQVVQLLYHHRFVHVFCNALDNEQDLDVKEQAGLAMQSFLKNTLPESLTLNENADTVMGKFLSLLQDKSSKLKSIALSTLNVVVQRCEEVAHKYCAIYLPMLLEACTDESSTIREEATRGIKICVELGTPQNIVKTHSFDDSFKSTAE >Vigun09g009000.1.v1.2 pep primary_assembly:ASM411807v1:9:701202:703929:1 gene:Vigun09g009000.v1.2 transcript:Vigun09g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHVHVFVFLTLAIFSATPSMSQSQTAASCNGIFVSYVYTGGERLPPNVSDATQQPYRFESRLTVLNNGLQELKSWKVFVGFQHSEWLVSASNAVLADGTSLPGAVGNGTVLSGSTVRDLKTAVATAGDLTQMQVQVDMVGSLLGVAPPSVPMPRSVNLTNDGFVCGQPFGEGSNETHVCCTSDPSSRTNTSTEEEFLPREKGDLSITYDIIRTYDSNYWAEVTIANNNPLGRLDNWRLSWDWQNDEFIYTTKGAYPLKVDSSDCVFGSQGNFYKELDFSNVLNCERRPTIVDLPPSRFNDSELGHIPFCCRNGTILPPTMDPSMSASRFQMQVFKMPPNLNRSTLAPPHNWEIKGTLNPHYECGNPIRVSPTQSPDPTHSPSNKSSIATWQVVCNITNTNRETRKCCVSFSAYYNESVVPCNTCACGCPSNPERTCSATSQAMLLPPEALLVPFENRTQKAVSWAEIKHLPVPNPMPCGDNCGVSINWHVATDYRKGWTARVTLFNWGETSFADWFAAVEMDKAAKGFEEVYSFNGSLLKSVENTIFVQGKEGLNFLVAETDGSNPRKDPRVPGKQQSVISFTKKETPGINVAGGDGFPSKVFFNGEECSLPSVLPSSVARTEVSLPTMMFFLILLSIFFMS >Vigun03g068800.2.v1.2 pep primary_assembly:ASM411807v1:3:5660191:5665718:1 gene:Vigun03g068800.v1.2 transcript:Vigun03g068800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTSKAVFQVLSRTVPQTGYNEPRVNSSDLTLHSRFGVKCMKKRSSRHRDLIVCSSMLQSRLRTHQFQWMGVTLHDHNKTYSRPWLKTCNCQRAESASGVAAGDGNGSRLLNDVETSNSASNVMSTKHILEFEDVQVHQLKQEEILASNVSNGAISDGFDSSMEEEAWDLLRESVVYYCGNPIGTIAAKDPTSSNTLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYTTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSVVNSLATVEQSHAILDLVEAKWSDLVAEMPFKICYPALEGQEWQIITGSDPKNTYCI >Vigun03g068800.1.v1.2 pep primary_assembly:ASM411807v1:3:5660191:5665718:1 gene:Vigun03g068800.v1.2 transcript:Vigun03g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTSKAVFQVLSRTVPQTGYNEPRVNSSDLTLHSRFGVKCMKKRSSRHRDLIVCSSMLQSRLRTHQFQWMGVTLHDHNKTYSRPWLKTCNCQRAESASGVAAGDGNGSRLLNDVETSNSASNVMSTKHILEFEDVQVHQLKQEEILASNVSNGAISDGFDSSMEEEAWDLLRESVVYYCGNPIGTIAAKDPTSSNTLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYTTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSVVNSLATVEQSHAILDLVEAKWSDLVAEMPFKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVASIKMKRTHIAAKAVEMAERRIARDRWPEYYDTKRSRLIGKQSRLYQTWSIAGYLVAKLLLADPSKANILITEEDSELVNALISANPRGKRGRKNLKQTYIV >Vigun07g088000.3.v1.2 pep primary_assembly:ASM411807v1:7:13589166:13591991:-1 gene:Vigun07g088000.v1.2 transcript:Vigun07g088000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYIAFVASGSLGAFIAITQLIGALANSSRATEVPEILKGLAIDIAAASLFAFLYFRENKAKNAQVARLSREESLSNLKLRVDEKKIIPMSSLRGIARLVICAGPASFVTESFKRSEPFTESLLDRGVLVVPLVTDGNSPAFEFEETGELATRRKRLWQLAPVYINEWSEWLDEQKKLAGVPSDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPSVKGMWTGLLDGMDGRVL >Vigun07g088000.1.v1.2 pep primary_assembly:ASM411807v1:7:13589166:13591991:-1 gene:Vigun07g088000.v1.2 transcript:Vigun07g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFGSVTPNSNTMSLTLTTQPLNNLITNHRTNFVFCGRSLGYGHTLSFSDQRVSSSFATVVCSAANKPSPSSDISSTAKIRSEVLSPFRSVRMFFYIAFVASGSLGAFIAITQLIGALANSSRATEVPEILKGLAIDIAAASLFAFLYFRENKAKNAQVARLSREESLSNLKLRVDEKKIIPMSSLRGIARLVICAGPASFVTESFKRSEPFTESLLDRGVLVVPLVTDGNSPAFEFEETGELATRRKRLWQLAPVYINEWSEWLDEQKKLAGVPSDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPSVKGMWTGLLDGMDGRVL >Vigun07g088000.4.v1.2 pep primary_assembly:ASM411807v1:7:13589166:13590682:-1 gene:Vigun07g088000.v1.2 transcript:Vigun07g088000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYIAFVASGSLGAFIAITQLIGALANSSRATEVPEILKGLAIDIAAASLFAFLYFRENKAKNAQVARLSREESLSNLKLRVDEKKIIPMSSLRGIARLVICAGPASFVTESFKRSEPFTESLLDRGVLVVPLVTDGNSPAFEFEETGELATRRKRLWQLAPVYINEWWLDEQKKLAGVPSDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPSVKGMWTGLLDGMDGRVL >Vigun07g088000.2.v1.2 pep primary_assembly:ASM411807v1:7:13589166:13591991:-1 gene:Vigun07g088000.v1.2 transcript:Vigun07g088000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFGSVTPNSNTMSLTLTTQPLNNLITNHRTNFVFCGRSLGYGHTLSFSDQRVSSSFATVVCSAANKPSPSSDISSTAKIRSEVLSPFRSVRMFFYIAFVASGSLGAFIAITQLIGALANSSRATEVPEILKGLAIDIAAASLFAFLYFRENKAKNAQVARLSREESLSNLKLRVDEKKIIPMSSLRGIARLVICAGPASFVTESFKRSEPFTESLLDRGVLVVPLVTDGNSPAFEFEETGELATRRKRLWQLAPVYINEWWLDEQKKLAGVPSDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPSVKGMWTGLLDGMDGRVL >Vigun07g011300.1.v1.2 pep primary_assembly:ASM411807v1:7:961972:963383:-1 gene:Vigun07g011300.v1.2 transcript:Vigun07g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKALWWCLVLTCLLVPLAGDGLKMNLNNYCESWRMNVELHNIRDFQVVPEECIEYIGKYVTSTQYKVDSQMATEECLVYLSTSCNLKRDGLDAWIFDIDDTLLSTLPYYEDNLHGGRKVSMTSLEEWMKKGNAPALDHSLKLYNELKSKGVQIFLVTSRREHLRSATIDNLVKVGYYGWTKIIFRDPANELVSVKKYKSDVRKQIIKDGYRIWGILGDQYSSIEGIPSPERAFKLPNPMYYVA >Vigun05g016450.1.v1.2 pep primary_assembly:ASM411807v1:5:1339597:1339941:-1 gene:Vigun05g016450.v1.2 transcript:Vigun05g016450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASPARFDPARFWPDLYGPGRAFFHMLHFQSKIRFQQNYCNKNNPAVYNITDHKTNNPTIYINDILLSASHQDTVATSITSLN >Vigun08g048600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5424591:5425618:-1 gene:Vigun08g048600.v1.2 transcript:Vigun08g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAHSITARIMFALMTKTGFMLLALCACMVASEENMEDPSTGSLCISECASCPTICSQPPPSDLVTSYSNHPPPSPLTPQPHLPPPPQQHLTPPSYPPPPPLLTSYPSPPPLSTSNPPPSPLLTSYPPPPPLLISNDPPPSPLPTFPPPSLLLPPPPKPLQPPPQTPPSLPSPPPPPLKSTNNNPSSSGSGQPTVISGPNYPYYYYYSSAVASSFSPHVISFFLFVSFLHELFF >Vigun08g139900.3.v1.2 pep primary_assembly:ASM411807v1:8:31215998:31221244:-1 gene:Vigun08g139900.v1.2 transcript:Vigun08g139900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSVTLLWVASFPGLEVSRSPGLFDSIQHAKFLDSSKVMSQDYGSIRAKDRERRWRFYSLSTDMKYACVGRSGLERASNFPMVANVLANPAAGEVAVSSEQKVYDVVLKQASLVKRKFGSMGEIDARPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERQRAIWAIYVWCRRTDELVDGPNASQITPGALDRWESRLEELFQGRPFDMLDAALSDTVARFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGIMSVPIMGISPYSQATTESVYNAALALGIANQLTNILRDVGEDASRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVTELNEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKTKKFLSLPVAFARSMVPPSKVLSPVMKT >Vigun08g139900.4.v1.2 pep primary_assembly:ASM411807v1:8:31215998:31221244:-1 gene:Vigun08g139900.v1.2 transcript:Vigun08g139900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSVTLLWVASFPGLEVSRSPGLFDSIQHAKFLDSSKVMSQDYGSIRAKDRERRWRFYSLSTDMKYACVGRSGLERASNFPMVANVLANPAAGEVAVSSEQKVYDVVLKQASLVKRKFGSMGEIDARPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERQRAIWAIYVWCRRTDELVDGPNASQITPGALDRWESRLEELFQGRPFDMLDAALSDTVARFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGIMSVPIMGISPYSQATTESVYNAALALGIANQLTNILRDVGEDASRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVTELNEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKTKKFLSLPVAFARSMVPPSKVLSPVMKT >Vigun08g139900.2.v1.2 pep primary_assembly:ASM411807v1:8:31215998:31221244:-1 gene:Vigun08g139900.v1.2 transcript:Vigun08g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSVTLLWVASFPGLEVSRSPGLFDSIQHAKFLDSSKVMSQDYGSIRAKDRERRWRFYSLSTDMKYACVGRSGLERASNFPMVANVLANPAAGEVAVSSEQKVYDVVLKQASLVKRKFGSMGEIDARPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERQRAIWAIYVWCRRTDELVDGPNASQITPGALDRWESRLEELFQGRPFDMLDAALSDTVARFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGIMSVPIMGISPYSQATTESVYNAALALGIANQLTNILRDVGEDASRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVTELNEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKTKKFLSLPVAFARSMVPPSKVLSPVMKT >Vigun08g139900.1.v1.2 pep primary_assembly:ASM411807v1:8:31215998:31221244:-1 gene:Vigun08g139900.v1.2 transcript:Vigun08g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSVTLLWVASFPGLEVSRSPGLFDSIQHAKFLDSSKVMSQDYGSIRAKDRERRWRFYSLSTDMKYACVGRSGLERASNFPMVANVLANPAAGEVAVSSEQKVYDVVLKQASLVKRKFGSMGEIDARPDIALPGNLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERQRAIWAIYVWCRRTDELVDGPNASQITPGALDRWESRLEELFQGRPFDMLDAALSDTVARFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGIMSVPIMGISPYSQATTESVYNAALALGIANQLTNILRDVGEDASRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKSQIKRARMFFDEAEKGVTELNEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKTKKFLSLPVAFARSMVPPSKVLSPVMKT >Vigun03g304500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49419778:49420520:1 gene:Vigun03g304500.v1.2 transcript:Vigun03g304500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVALVLCLNILFFSVVSCTYVPCNPPPKAPKHPPYTPVPKPPSTNYGTCPADTLKFGVCADVLGLIGVQLGKPPKTPCCELIHGLADLEAAVCLCTALKANILGINLNVPVKLNLLLNYCGKKTPKGFLCN >Vigun06g180800.6.v1.2 pep primary_assembly:ASM411807v1:6:30053056:30056992:-1 gene:Vigun06g180800.v1.2 transcript:Vigun06g180800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLAKSLFSSTRSGLLKKLIAESSNEDGSSCVLQLHDVPGGAKTFEDITSFCYGVKIEITSLNVVSLRCAAEYLQMTEEYGEGNLVAQTETFLNEIFSNWPDSIKALETCEEVQPFAGDLHVVSRCIDSLAMKACSDPNLLSWPVAARNYKQNQADNHALWNGISTDTRSSQSDYWWFYDVSLLSLPFYKRLIMAIEAKGMKSDVVAASLIYYLRRFLPLMNRQSSFTDKGHATIPSTSEADQRALLEEIVELIPNKRGVTSSKNLLRLLRTAMILSASPSCRENLERRVGAQLDQAALVDLLIPNIGYSMETLYDIDCIQRILDHFMSIYQPASVAASPCITEQGALMTGADALTPMTMVATLLDGYLAEVASDTNMSLAKFQALAIAVPDYARPLDDGIYHAIDVYLKIHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENGPRHSGNILPNDDPCQRDTAEGNENLRERLLELEKECSSIRSELQKLTKTKKSWSILPKRFGFRKKSESCNDSNIKTSTSTTNGKPDHGNG >Vigun06g180800.2.v1.2 pep primary_assembly:ASM411807v1:6:30053056:30056992:-1 gene:Vigun06g180800.v1.2 transcript:Vigun06g180800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKQGSKSEPFRREGQTWVCTTGLPSDVTVEVGEISFFLHKFPLLSRSGLLKKLIAESSNEDGSSCVLQLHDVPGGAKTFEDITSFCYGVKIEITSLNVVSLRCAAEYLQMTEEYGEGNLVAQTETFLNEIFSNWPDSIKALETCEEVQPFAGDLHVVSRCIDSLAMKACSDPNLLSWPVAARNYKQNQADNHALWNGISTDTRSSQSDYWWFYDVSLLSLPFYKRLIMAIEAKGMKSDVVAASLIYYLRRFLPLMNRQSSFTDKGHATIPSTSEADQRALLEEIVELIPNKRGVTSSKNLLRLLRTAMILSASPSCRENLERRVGAQLDQAALVDLLIPNIGYSMETLYDIDCIQRILDHFMSIYQPASVAASPCITEQGALMTGADALTPMTMVATLLDGYLAEVASDTNMSLAKFQALAIAVPDYARPLDDGIYHAIDVYLKILNGSNSVD >Vigun06g180800.1.v1.2 pep primary_assembly:ASM411807v1:6:30053056:30056992:-1 gene:Vigun06g180800.v1.2 transcript:Vigun06g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKQGSKSEPFRREGQTWVCTTGLPSDVTVEVGEISFFLHKFPLLSRSGLLKKLIAESSNEDGSSCVLQLHDVPGGAKTFEDITSFCYGVKIEITSLNVVSLRCAAEYLQMTEEYGEGNLVAQTETFLNEIFSNWPDSIKALETCEEVQPFAGDLHVVSRCIDSLAMKACSDPNLLSWPVAARNYKQNQADNHALWNGISTDTRSSQSDYWWFYDVSLLSLPFYKRLIMAIEAKGMKSDVVAASLIYYLRRFLPLMNRQSSFTDKGHATIPSTSEADQRALLEEIVELIPNKRGVTSSKNLLRLLRTAMILSASPSCRENLERRVGAQLDQAALVDLLIPNIGYSMETLYDIDCIQRILDHFMSIYQPASVAASPCITEQGALMTGADALTPMTMVATLLDGYLAEVASDTNMSLAKFQALAIAVPDYARPLDDGIYHAIDVYLKIHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENGPRHSGNILPNDDPCQRDTAEGNENLRERLLELEKECSSIRSELQKLTKTKKSWSILPKRFGFRKKSESCNDSNIKTSTSTTNGKPDHGNG >Vigun06g180800.4.v1.2 pep primary_assembly:ASM411807v1:6:30053056:30056992:-1 gene:Vigun06g180800.v1.2 transcript:Vigun06g180800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNGIHEAGVKVRTFSSGRVCTTGLPSDVTVEVGEISFFLHKFPLLSRSGLLKKLIAESSNEDGSSCVLQLHDVPGGAKTFEDITSFCYGVKIEITSLNVVSLRCAAEYLQMTEEYGEGNLVAQTETFLNEIFSNWPDSIKALETCEEVQPFAGDLHVVSRCIDSLAMKACSDPNLLSWPVAARNYKQNQADNHALWNGISTDTRSSQSDYWWFYDVSLLSLPFYKRLIMAIEAKGMKSDVVAASLIYYLRRFLPLMNRQSSFTDKGHATIPSTSEADQRALLEEIVELIPNKRGVTSSKNLLRLLRTAMILSASPSCRENLERRVGAQLDQAALVDLLIPNIGYSMETLYDIDCIQRILDHFMSIYQPASVAASPCITEQGALMTGADALTPMTMVATLLDGYLAEVASDTNMSLAKFQALAIAVPDYARPLDDGIYHAIDVYLKIHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENGPRHSGNILPNDDPCQRDTAEGNENLRERLLELEKECSSIRSELQKLTKTKKSWSILPKRFGFRKKSESCNDSNIKTSTSTTNGKPDHGNG >Vigun06g180800.5.v1.2 pep primary_assembly:ASM411807v1:6:30053056:30056992:-1 gene:Vigun06g180800.v1.2 transcript:Vigun06g180800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKQGSKSEPFRREGSVRQDYQVMLPLKLAKSLFSSTRSGLLKKLIAESSNEDGSSCVLQLHDVPGGAKTFEDITSFCYGVKIEITSLNVVSLRCAAEYLQMTEEYGEGNLVAQTETFLNEIFSNWPDSIKALETCEEVQPFAGDLHVVSRCIDSLAMKACSDPNLLSWPVAARNYKQNQADNHALWNGISTDTRSSQSDYWWFYDVSLLSLPFYKRLIMAIEAKGMKSDVVAASLIYYLRRFLPLMNRQSSFTDKGHATIPSTSEADQRALLEEIVELIPNKRGVTSSKNLLRLLRTAMILSASPSCRENLERRVGAQLDQAALVDLLIPNIGYSMETLYDIDCIQRILDHFMSIYQPASVAASPCITEQGALMTGADALTPMTMVATLLDGYLAEVASDTNMSLAKFQALAIAVPDYARPLDDGIYHAIDVYLKIHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENGPRHSGNILPNDDPCQRDTAEGNENLRERLLELEKECSSIRSELQKLTKTKKSWSILPKRFGFRKKSESCNDSNIKTSTSTTNGKPDHGNG >Vigun05g200100.1.v1.2 pep primary_assembly:ASM411807v1:5:38658964:38670519:1 gene:Vigun05g200100.v1.2 transcript:Vigun05g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSMIYEVPMSVKSSPSLSSEVMVGMTMTMTMTNFFLKPHLIPLPSKFLFPNTFKPSLALSLTTTFFIPHFSTTPLSYGPSLRKGTIPSHSQSQSSQNQNDVVLREEAFTRVFLLAALRIPSAECSALESRLRGHLLNWPRVRNIARVPGDDLDPSLAPLLPQPISGDGEFENEEERTLVSVQRRIHGKADGDGDVLSPVLYRDKLARTFNSRGFVKFRNLAKISRPNRNKKRKKRKEAMEVEDGRRVGQNDFAVVEVVEEEEEEDSVGMRKLLGEEFVSSKWRGSTRLLLLDERYAGSGVEELPEAIKAVLKEYAEKSTMLTLELVRCKLTLFYDYWQMTEVLETLLPEGMIIPSAFETVGHIAHLNLREEHLPYKRLIAKVVLDKNRPKIQSVVNKIDSIQNEYRTMQLEVLAGNHSLVTTVVENGIRFQVDLATVYWSSRLGTERQRLLSGFTRKDVVCDVFSGVGPLAISAARIVKRVLANDLNPYAVEYLERNSVLNKLEKKIKVFNMDGRRFIKSLYASDVSQSITQVVMNLPSEAAEFLDAFRGIYKNRPKDGEYTFPMIHVYGFSKARDPEFDFHERIRIALVEVAVNVDVRRVRLVAPGKWMLCASFILPKSVAFANTTVDT >Vigun05g200100.3.v1.2 pep primary_assembly:ASM411807v1:5:38658964:38670519:1 gene:Vigun05g200100.v1.2 transcript:Vigun05g200100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSMIYEVPMSVKSSPSLSSEVMVGMTMTMTMTNFFLKPHLIPLPSKFLFPNTFKPSLALSLTTTFFIPHFSTTPLSYGPSLRKGTIPSHSQSQSSQNQNDVVLREEAFTRVFLLAALRIPSAECSALESRLRGHLLNWPRVRNIARVPGDDLDPSLAPLLPQPISGDGEFENEEERTLVSVQRRIHGKADGDGDVLSPVLYRDKLARTFNSRGFVKFRNLAKISRPNRNKKRKKRKEAMEVEDGRRVGQNDFAVVEVVEEEEEEDSVGMRKLLGEEFVSSKWRGSTRLLLLDERYAGSGVEELPEAIKVAVLKEYAEKSTMLTLELVRCKLTLFYDYWQMTEVLETLLPEGMIIPSAFETVGHIAHLNLREEHLPYKRLIAKVVLDKNRPKIQSVVNKIDSIQNEYRTMQLEVLAGNHSLVTTVVENGIRFQVDLATVYWSSRLGTERQRLLSGFTRKDVVCDVFSGVGPLAISAARIVKRVLANDLNPYAVEYLERNSVLNKLEKKIKVFNMDGRRFIKSLYASDVSQSITQVVMNLPSEAAEFLDAFRGIYKNRPKDGEYTFPMIHVYGFSKARDPEFDFHERIRIALVEVAVNVDVRRVRLVAPGKWMLCASFILPKSVAFANTTVDT >Vigun05g200100.2.v1.2 pep primary_assembly:ASM411807v1:5:38658964:38670519:1 gene:Vigun05g200100.v1.2 transcript:Vigun05g200100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSMIYEVPMSVKSSPSLSSEVMVGMTMTMTMTNFFLKPHLIPLPSKFLFPNTFKPSLALSLTTTFFIPHFSTTPLSYGPSLRKGTIPSHSQSQSSQNQNDVVLREEAFTRVFLLAALRIPSAECSALESRLRGHLLNWPRVRNIARVPGDDLDPSLAPLLPQPISGDGEFENEEERTLVSVQRRIHGKADGDGDVLSPVLYRDKLARTFNSRGFVKFRNLAKISRPNRNKKRKKRKEAMEVEDGRRVGQNDFAVVEVVEEEEEEDSVGMRKLLGEEFVSSKWRGSTRLLLLDERYAGSGVEELPEAIKAVLKEYAEKSTMLTLELVRCKLTLFYDYWQMTEVLETLLPEGMIIPSAFETVGHIAHLNLREEHLPYKRLIAKVVLDKNRPKIQSVVNKIDSIQNEYRTMQLEVLAGNHSLVTTVVENGIRFQVDLATVYWSSRLGTERQRLLSGFTRKDVVCDVFSGVGPLAISAARIVKRVLANDLNPYAVEYLERNSVLNKLEKKIKVFNMDGRRFIKSLYASDVSQSITQVVMNLPSEAAEFLDAFRGIYKNRPKDGEYTFPMIHVYGFSKARDPEFDFHERIRIALVEVAVNVDVRRLTGSTASLFSLILGVAPCCLTCLLSDLN >Vigun09g020300.1.v1.2 pep primary_assembly:ASM411807v1:9:1571573:1576398:-1 gene:Vigun09g020300.v1.2 transcript:Vigun09g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQKVMEKGCKETPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDEITHKYKGKTVMTEKERYESLRHCRWVDEVIPDAPWVITKEFLDKHQIDYVAHDSLPYADASGAGKDVYEYVKSVGKFKETKRTDGISTSDIIMRIIKDYNQYVMRNLDRGYTRKELGVSYVKEKRLRMNMGLKKLQERVKKQQEKVGKKIQTVGKIAGMHPNEWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLRAQQLKSLLYDEWDDDDSFYDGEYYSD >Vigun07g052900.1.v1.2 pep primary_assembly:ASM411807v1:7:5583226:5584415:1 gene:Vigun07g052900.v1.2 transcript:Vigun07g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKHALSHPLALLASFSIFLVVPSSCFNPKNIFNASIDSYWSSAVATWYGPSNGDGSEGGACGYGRTVGEPPFSSMIAAGSPPLFESGKGCGSCFEVKCTGNKACSGNPVRVVITDECPGCGSDAHFDLSGAAFDAMAGPGEADQLRNAGRIAVQYNRVACEYPRTSIVFHVDSGSNQDYFAVMVEYEDGDGELERVELKEDSSNSWEAMQQSWGAIWKYNKGSQLKAPFSIRLTNFKSKTVVATNVIPAGWTPGQTYISNVNF >Vigun03g413700.1.v1.2 pep primary_assembly:ASM411807v1:3:62072890:62078630:-1 gene:Vigun03g413700.v1.2 transcript:Vigun03g413700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRERPSVAGIINPGSEGFQKLFFGQEEIAIPVHADIEAACAAHPTADVFINFASFRSAAASSMTALKQPTTRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGSFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMVVVLGELGGRDEYSLVEALKQGKVIKPVVAWVSGTCARLFKSEVQFGHAGAKSGSDMESAQAKNQALKEAGAVVPTSYEDFEAAIKQTFDKLVGEGKIAPIKEFTPPPIPEDLNIAIKSGKVRSPTHIISTISDDRGEEPCYAGVPMSTIIENGYGVGDVISLLWFKRSLPRYCTQFIEMCVMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVEVENYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEVDEIVWIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Vigun03g413700.2.v1.2 pep primary_assembly:ASM411807v1:3:62072914:62077935:-1 gene:Vigun03g413700.v1.2 transcript:Vigun03g413700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRERPSVAGIINPGSEGFQKLFFGQEEIAIPVHADIEAACAAHPTADVFINFASFRSAAASSMTALKQPTTRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGSFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMVVVLGELGGRDEYSLVEALKQGKVIKPVVAWVSGTCARLFKSEVQFGHAGAKSGSDMESAQAKNQALKEAGAVVPTSYEDFEAAIKQTFDKLVGEGKIAPIKEFTPPPIPEDLNIAIKSGKVRSPTHIISTISDDRGEEPCYAGVPMSTIIENGYGVGDVISLLWFKRSLPRYCTQFIEMCVMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVEVENYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEVDEIVWIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Vigun03g413700.3.v1.2 pep primary_assembly:ASM411807v1:3:62072922:62077848:-1 gene:Vigun03g413700.v1.2 transcript:Vigun03g413700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRERPSVAGIINPGSEGFQKLFFGQEEIAIPVHADIEAACAAHPTADVFINFASFRSAAASSMTALKQPTTRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGSFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMVVVLGELGGRDEYSLVEALKQGKVIKPVVAWVSGTCARLFKSEVQFGHAGAKSGSDMESAQAKNQALKEAGAVVPTSYEDFEAAIKQTFDKLVGEGKIAPIKEFTPPPIPEDLNIAIKSGKVRSPTHIISTISDDRGEEPCYAGVPMSTIIENGYGVGDVISLLWFKRSLPRYCTQFIEMCVMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVEVENYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEVDEIVWIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >VigunL015001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:368264:368701:1 gene:VigunL015001.v1.2 transcript:VigunL015001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHEELKNLANTLNLNQIILPESTSPKTLLPQLHLLPHAAPTMEATHCQVAGDAANNGAGLHHRSSSDGSSASLKCAEHHSMASMFASLLRLVNIVLLQCYQAIAVDIHHQNVPPKTINSTTPHITVTPFLSIQNLSFPVQFQF >Vigun03g411500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61892889:61894415:1 gene:Vigun03g411500.v1.2 transcript:Vigun03g411500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSLFASFFILHILTLSCILLTPTHSSDGDLVAQICRKTPFYDLCSSILHSNPLAPKSDPKGMALIMVNNIQANATDTLSYIEELIKQTSDEELEQQLAFCAESYIPVVKYILPQAADAISQGRYGFASYCIVDAQKEVNACDKKFSGSSQTPLSDRNCIMQKLVDVAAAMVKLLLNG >Vigun09g096500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14656691:14657056:1 gene:Vigun09g096500.v1.2 transcript:Vigun09g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLHQTPSRLRSPARRRLPSAIPTVVGRPFISYPCFSSSAREKSAMTPSTRHHRADHTATRSKNVMRPHSTIQISNSPTAISSLLCFSVSIGCTIGKMKRVLGTGIKVPVLCSYGWVSK >Vigun04g006200.2.v1.2 pep primary_assembly:ASM411807v1:4:435754:440766:-1 gene:Vigun04g006200.v1.2 transcript:Vigun04g006200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTTTTTLLAIATSYLFLLITPTPKSTYHSLFISDSLSDNASISNHLKTLTRRPHFAGSEANAEAASYVVSVFTSSNIPSHMASYEVVLTYPLSRSLVLTNPPPQPPTTFTLRQETYEGDPYADVAQEAAPTFHAYAKSGTVAAPVCYVNYGRVEDYLTLKEKNGVNVSGTIVLARYGKIYRGDIVKNAYEEDRKDYGGGGGGGGGEVKWFPDERWLPPSGVQVGTVYGGLGDPTTPGWASSGGDCERLSKEEVEKRGEVPLIPSLPVSAADGEKIVLSLGGPVAEDEWQGSKDAPHYRLGPGPGILNLSYMGKDVLATIQNVIGAIEGAEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLGKLLKKGWRPRRTILLCNWDAEEYGLIGSTEWVEENREILASRAVAYLNADCAVGGPGFNVRATPQLDELIKKATQEVKDPDNSSQSIYESWTSSGSSPLFGRLGGGGSDYASFLQHVGIPAADIAFGGDIAGYPVYHSLYDDFVWMEKFGDPMFQRHVAAASVWGLVALWLADEEFLPFDYLSYAKELQLSMEILEDEISNEDINLSPMLKSIKELEKAAIKINEQRKEIEVSKSWITWKKDHLKVRELNDRLMMAERAFTDRDGLFGMSWYKHLIYGPSKHNDYGSQSFPGIDDAVKMAKNTHTAESWHLVQHEVWRVSRVIKHASLVLVGQLT >Vigun04g006200.1.v1.2 pep primary_assembly:ASM411807v1:4:435754:440766:-1 gene:Vigun04g006200.v1.2 transcript:Vigun04g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTTTTTLLAIATSYLFLLITPTPKSTYHSLFISDSLSDNASISNHLKTLTRRPHFAGSEANAEAASYVVSVFTSSNIPSHMASYEVVLTYPLSRSLVLTNPPPQPPTTFTLRQETYEGDPYADVAQEAAPTFHAYAKSGTVAAPVCYVNYGRVEDYLTLKEKNGVNVSGTIVLARYGKIYRGDIVKNAYEEGAVGVVIYSDRKDYGGGGGGGGGEVKWFPDERWLPPSGVQVGTVYGGLGDPTTPGWASSGGDCERLSKEEVEKRGEVPLIPSLPVSAADGEKIVLSLGGPVAEDEWQGSKDAPHYRLGPGPGILNLSYMGKDVLATIQNVIGAIEGAEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLGKLLKKGWRPRRTILLCNWDAEEYGLIGSTEWVEENREILASRAVAYLNADCAVGGPGFNVRATPQLDELIKKATQEVKDPDNSSQSIYESWTSSGSSPLFGRLGGGGSDYASFLQHVGIPAADIAFGGDIAGYPVYHSLYDDFVWMEKFGDPMFQRHVAAASVWGLVALWLADEEFLPFDYLSYAKELQLSMEILEDEISNEDINLSPMLKSIKELEKAAIKINEQRKEIEVSKSWITWKKDHLKVRELNDRLMMAERAFTDRDGLFGMSWYKHLIYGPSKHNDYGSQSFPGIDDAVKMAKNTHTAESWHLVQHEVWRVSRVIKHASLVLVGQLT >Vigun06g012200.9.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQIDAFTQHVKSLHPSLSY >Vigun06g012200.1.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLSSKSSKRNITNACIPRGYEYPQISDASYVKHPIFQPAGNFTTCKSEAFSLLKRTEDRCLHPACKITSSFSELLGEQDSKSFLYTSEILRMAPRTSLFQLEEAGRHYCEDHWDALKDQHNQIDYLDLLQYCFSSAYMLALLHDVLGIAMEEKRVGFGNEKINSHVDWTLGSFIIETMGEPLELEHIDTGMIVGNESVTYFSLFAFLFLIILAAFFVMQWRKPQLKTVYDLEKGHYIVTRIRR >Vigun06g012200.5.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLYRCLHPACKITSSFSELLGEQDSKSFLYTSEGWIWERED >Vigun06g012200.2.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLYRCLHPACKITSSFSELLGEQDSKSFLYTSEILRMAPRTSLFQLEEAGRHYCEDHWDALKDQHNQIDYLDLLQYCFSSAYMLALLHDVLGIAMEEKRVGFGNEKINSHVDWTLGSFIIETMGEPLELEHIDTGMIVGNESVTYFSLFAFLFLIILAAFFVMQWRKPQLKTVYDLEKGHYIVTRIRR >Vigun06g012200.3.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLSSKSSKRNITNACIPRGYEYPQISDASYVKHPIFQPAGNFTTCKSEAFSLLKRTEDRCLHPACKITSSFSELLGEQDSKSFLYTSEILRMAPRTSLFQLEEAGRHYCEDHWDALKDQHNQIDYLDLLQYCFSSAYMLALLHDVLGIAMEEKRCALYTVSFCSTTTSSLYSLPSSIE >Vigun06g012200.8.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQLQNLAKEISPMLAFLEGMSTLKSLMQVM >Vigun06g012200.6.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412612:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLSSKSSKRNITNACIPRG >Vigun06g012200.10.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGCGMEIIRGETEI >Vigun06g012200.7.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5406765:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLSSKSSKRNITNACIPRG >Vigun06g012200.4.v1.2 pep primary_assembly:ASM411807v1:6:5380114:5412611:1 gene:Vigun06g012200.v1.2 transcript:Vigun06g012200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKGSRPSSPYSPSSPSSPPSYIPPHRTQLHPRMISLYTSSPSSSSTHTNPNPNKPHTGKCLLFLFAFLFFTAPFLFYLFSTALQIHSSPKFADSRPVSFALAFRAGPDALRLSVYHFLGPGLSLLAAAHSAAAVPGFDAPPDALRGAVAELVRFAKGKVPHREWGNTVVRLAASEELEQLGSEEAEKVLECCRRALKASGFLFKDEWARVVSGEEQGISSWVAVNYALGNLGREPQETTGIVELGGASLQVASTKLNADLAHSLRTIRLSGVTYNLYTWSLPQLGQDVAWKSLEERLKYRELKLSSKSSKRNITNACIPRGYEYPQISDASYVKHPIFQPAGNFTTCKSEAFSLLKRTEDRCLHPACKITSSFSELLGEQDSKSFLYTSEGWIWERED >Vigun07g251800.1.v1.2 pep primary_assembly:ASM411807v1:7:37102702:37105773:-1 gene:Vigun07g251800.v1.2 transcript:Vigun07g251800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAKEGCNFMFITIYLLLIPGLGVHSLSYDYSATTKCLVEPERAQYGGGIIVNPGFDDNIDSWRVFGKGSIKEQISNEGNRFIVAHNRTQPLDSFSQMVQLQKGMLYTFSAWFQVSEGSDTVSVMFRTKESELVRGGQVIAKHGCWTLLKGGIAANFSSPVEILFESKNSSVEIWADNVSLQPFTKKQWRSLQDANIERVRKRRVRFQITRVNETALKGATVMARAVKLNFPFGCGMNHYILTNKDYQNWFVSRFKFATFTNEMKWYSTEKKQGVENYTVADAMLKFTKENGISVRGHNVFWDDPKYQPEWVKTLSPADLAKAAAKRIESVVSRYKGQLIAWDVMNENLHFHFFEDNLGQNASAEAYARAFELDPGTKMFLNEYNTIEYSGDEASSPVKYLQKIKEIRSFPGVGGMSAAIGLQGHFASGQPNLAYMRSSLDLLATTGLPMWLTEVSVDPQPSQAEYLEEVLREAYSHPGVDGIIMFSGPAQAGFNATTLADENFKNTPAGDVVDKLIQEWGTGPNIATADGTGMVDISLHHGDYDVTVTHPLIHSPITMNLSVKKDFSMETIRVKMDT >Vigun06g159400.1.v1.2 pep primary_assembly:ASM411807v1:6:28220736:28222728:1 gene:Vigun06g159400.v1.2 transcript:Vigun06g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLLLLSCIILHLQCSSQSAVLNMIDSCRLAKSNWVSNRKALADCAIGFGKDAIGGKYGAIYEVTDSSDDPISPKPGTLRYAVVQTQPLWIIFARDMSIQLKNELIMNSYKTIDGRGAKVEITNGPCITIQGVSHVIIHGISIHDCRPSKAGLVMSTPSHVGHRQGSDGDAISIFASSNVWIDHCLLARCADGLIDVIHASTAITISNNYFTQHDKVMLLGHNDEYTADKIMKVTIAFNRFGSGLIERMPRVRFGYAHVVNNKYDGWKMYAIGGSSNPTILSEANYYVAPNNPAAKQVTKREMKGKLKSWKWRSSKDAFLNGAYFVPSGYGSCDPNYSPSQSFNAAPASLVPSITLNAGPLTCVVGKAC >Vigun06g159400.3.v1.2 pep primary_assembly:ASM411807v1:6:28220556:28222346:1 gene:Vigun06g159400.v1.2 transcript:Vigun06g159400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLLLLSCIILHLQCSSQSAVLNMIDSCRLAKSNWVSNRKALADCAIGFGKDAIGGKYGAIYEVTDSSDDPISPKPGTLRYAVVQTQPLWIIFARDMSIQLKNELIMNSYKTIDGRGAKVEITNGPCITIQGVSHVIIHGISIHDCRPSKAGLVMSTPSHVGHRQGSDGDAISIFASSNVWIDHCLLARCADGLIDVIHASTAITISNNYFTQHDKVMLLGHNDEYTADKIMKVTIAFNRFGSGLIERMPRVRFGYAHVVNNKYDGWKMYAIGGSSNPTILSEANYYVAPNNPAAKQVTKREMKGKLKSWKWRSSKDAFLNGAYFVPSGYGSCDPNYSPSQSFNAAPASLVPSITLNAGPLTCVVGKAC >Vigun06g159400.2.v1.2 pep primary_assembly:ASM411807v1:6:28219259:28222346:1 gene:Vigun06g159400.v1.2 transcript:Vigun06g159400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLLLLSCIILHLQCSSQSAVLNMIDSCRLAKSNWVSNRKALADCAIGFGKDAIGGKYGAIYEVTDSSDDPISPKPGTLRYAVVQTQPLWIIFARDMSIQLKNELIMNSYKTIDGRGAKVEITNGPCITIQGVSHVIIHGISIHDCRPSKAGLVMSTPSHVGHRQGSDGDAISIFASSNVWIDHCLLARCADGLIDVIHASTAITISNNYFTQHDKVMLLGHNDEYTADKIMKVTIAFNRFGSGLIERMPRVRFGYAHVVNNKYDGWKMYAIGGSSNPTILSEANYYVAPNNPAAKQVTKREMKGKLKSWKWRSSKDAFLNGAYFVPSGYGSCDPNYSPSQSFNAAPASLVPSITLNAGPLTCVVGKAC >Vigun08g060400.1.v1.2 pep primary_assembly:ASM411807v1:8:7964113:8005302:-1 gene:Vigun08g060400.v1.2 transcript:Vigun08g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNHTLFLGTSLHGSLESGTSKRNPFRLERRKLPQKGLCNCTCCVSPKRCRLVSQALRLSAFSGQNAGLLGKDLILRSGSRLECAREPYFRSEALGSYLTPLWKEGLLLIRASVCTAVVSGVCMLVWYGQNKAKGFIEANLLPSVCSVISEQIQRDLVFGKVRRISPLSITLESCSFGPHKEEFSCGEAPTVKLRLRPFVSLRRGKLVIDAVLSHPSLLVAQKKDFTWFGIPFNEGSRDRSFSAEEGIDYRTRTRRLAREEALAHWERERDDAAKEAAEVGYFVSERSCGVSQGDDGLKEMETRFMESTASAPFFCMNDGKHDHRLMDKGVNYDTKHAALEKSFGVRLPASGLRFWSRVISGPRKYKFKRKANGGSIFASDVAIKKRMFERSAAAAHAYFCNQSQWKFGEPLSPSECYHFMSHDMHLVKSEVDRNAKPVVGDEKRSDDNQSVTQLKDLALPPSVNENIGIQSDYLKLVCDPTLHTREGEFENLQSSDDVAEPANPNGITEKNEEFVPYVAANHMDDNDSSSEGQRGLTSENLGFVKPNSQLETYFQNPFELLLVKFDLTSIVRNMEELTSWFLSGPVAKLKSVVGLTVEDIVSEHVDGIDFVQSEAVTKVLPITLDSVHFKGATLMLLAYGDKEVREMENVNGHVKFQNHYSRIHVDLSGNCNTWRSDLISEDGGWLSANVFVDTIEQNWHANLKIDNLFVPLFERILEIPIIWSKGRASGEVHLCMSKGETFPNFHGQLDVIGLDFQPLDAPSSFSNISGSLCFRGQRIFLHNASGWFGSVPLEASGDFGIHPEEGEFHLMCQVPGVEVNALMRTFKMKPLLFPLAGSVTALFNCQGPLDTPVFVGTGMVSRTFSYLQTDTTASVASEALATSKEAGALAAFDRVPFSYVSANFTFNTDNCVADLYGIRACLVDGGEIRGAGNAWICPEGEEDETAIDVNFSGSLAFDNIVLRYIPSYYHQMPLKLGVLNGETKLSGSLLRPRFDIKWTAPTAEGSFSDARGDIIISHDFITVNSASAAFDLYTRVQTSYPDDFHHKKEFNIPRAIPFTIDGVELDLRMRGFEFFSLVSAYTMDSPRPLHLKAAGRIKFQGKVLKPNGNITEQNSEMTRQNVQMLDKGIVDSLVGDVSISGLKLNQLMLAPQLSGLLRVSPKRIKLDASGRPDESLAVEFVGPLQPSSEDGLQSGKLLSISLQKGQLRANICFQPFHSANLEVRHFPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITIEKTVLQQNYSYYELQGEYVLPGTRDRTSVDREGGLIKRLMSGHIGNAISSMGRWRMKLEVPRAEVAEMLPLARLLSRSLDPAVRSRSKDFFIQNLQSVGLYTESLQQLLETVRGLHAPSNDVVLEDLSLPGLSELKGHWHGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVLAVGAYSNDDGMHLEKILIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQIIESTAMDVVHSLRQLLAPIKGILHMEGDLRGSLAKPECDVQVRLLDGSVGGIDLGRAEVVASLTSTSRFLFNAKFEPITQNGHVLVQGSIPVAFVQNNTLQEDVELDKSQITWVPDWVKEKSRGNADDAGDKKVSRDRNEESWNTQLAESLKGLNWQILDVGEVRIDADIKDGGMTLVTALSPHANWLHGNAELKLEVRGTVDQPVLNGHASFHRASISSPVLRKPLTNFGGNVHVKSNRLCIASLESRVSRKGKLLVKGNLPLRASEAAPDDKIELKCEVLEVRAQKILSGQVDSQLQITGSILQPNISGNIKISQGEAYLPHDKGGTPASNRFPSNQSGLPTAGVSRVFASRYVSRFLNSESASSRTTVSQSSGSVTANKSAQVEKQMEQVQIKPNVEICLNDLKLVLGPELKIVYPLILNFGVSGELELNGRAHPKWIKPRGILTFENGEVDLVATQVRLNREHLNIAKFEPEYGLDPMLDLALVGSEWQFRIQGRASNWQDKLVVTSTRSVEQDSLSPTEAARRFESQLAESILEGNGQLAFEKLATATLEKLMPRIEGKGEFGQARWRLVYAPQIPSLVSVDPTADPLKSLASNISFGTEVEVQLGKRLQATIVRQMKESEMAMQWTLSYLLTSRLRVLLQSAPSKRLLFEYSATSQD >Vigun08g060400.2.v1.2 pep primary_assembly:ASM411807v1:8:7964113:8005302:-1 gene:Vigun08g060400.v1.2 transcript:Vigun08g060400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNHTLFLGTSLHGSLESGTSKRNPFRLERRKLPQKGLCNCTCCVSPKRCRLVSQALRLSAFSGQNAGLLGKDLILRSGSRLECAREPYFRSEALGSYLTPLWKEGLLLIRASVCTAVVSGVCMLVWYGQNKAKGFIEANLLPSVCSVISEQIQRDLVFGKVRRISPLSITLESCSFGPHKEEFSCGEAPTVKLRLRPFVSLRRGKLVIDAVLSHPSLLVAQKKDFTWFGIPFNEGSRDRSFSAEEGIDYRTRTRRLAREEALAHWERERDDAAKEAAEVGYFVSERSCGVSQGDDGLKEMETRFMESTASAPFFCMNDGKHDHRLMDKGVNYDTKHAALEKSFGVRLPASGLRFWSRVISGPRKYKFKRKANGGSIFASDVAIKKRMFERSAAAAHAYFCNQSQWKFGEPLSPSECYHFMSHDMHLVKSEVDRNAKPVVGDEKRSDDNQSVTQLKDLALPPSVNENIGIQSDYLKLVCDPTLHTREGEFENLQSSDDVAEPANPNGITEKNEEFVPYVAANHMDDNDSSSEGQRGLTSENLGFVKPNSQLETYFQNPFELLLVKFDLTSIVRNMEELTSWFLSGPVAKLKSVVGLTVEDIVSEHVDGIDFVQSEAVTKVLPITLDSVHFKGATLMLLAYGDKEVREMENVNGHVKFQNHYSRIHVDLSGNCNTWRSDLISEDGGWLSANVFVDTIEQNWHANLKIDNLFVPLFERILEIPIIWSKGRASGEVHLCMSKGETFPNFHGQLDVIGLDFQPLDAPSSFSNISGSLCFRGQRIFLHNASGWFGSVPLEASGDFGIHPEEGEFHLMCQVPGVEVNALMRTFKMKPLLFPLAGSVTALFNCQGPLDTPVFVGTGMVSRTFSYLQTDTTASVASEALATSKEAGALAAFDRVPFSYVSANFTFNTDNCVADLYGIRACLVDGGEIRGAGNAWICPEGEEDETAIDVNFSGSLAFDNIVLRYIPSYYHQMPLKLGVLNGETKLSGSLLRPRFDIKWTAPTAEGSFSDARGDIIISHDFITVNSASAAFDLYTRVQTSYPDDFHHKKEFNIPRAIPFTIDGVELDLRMRGFEFFSLVSAYTMDSPRPLHLKAAGRIKFQGKVLKPNGNITEQNSEMTRQNVQMLDKGIVDSLVGDVSISGLKLNQLMLAPQLSGLLRVSPKRIKLDASGRPDESLAVEFVGPLQPSSEDGLQSGKLLSISLQKGQLRANICFQPFHSANLEVRHFPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITIEKTVLQQNYSYYELQGEYVLPGTRDRTSVDREGGLIKRLMSGHIGNAISSMGRWRMKLEVPRAEVAEMLPLARLLSRSLDPAVRSRSKDFFIQNLQSVGLYTESLQQLLETVRGLHAPSNDVVLEDLSLPGLSELKGHWHGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVLAVGAYSNDDGMHLEKILIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQIIESTAMDVVHSLRQLLAPIKGILHMEGDLRGSLAKPECDVQGSIPVAFVQNNTLQEDVELDKSQITWVPDWVKEKSRGNADDAGDKKVSRDRNEESWNTQLAESLKGLNWQILDVGEVRIDADIKDGGMTLVTALSPHANWLHGNAELKLEVRGTVDQPVLNGHASFHRASISSPVLRKPLTNFGGNVHVKSNRLCIASLESRVSRKGKLLVKGNLPLRASEAAPDDKIELKCEVLEVRAQKILSGQVDSQLQITGSILQPNISGNIKISQGEAYLPHDKGGTPASNRFPSNQSGLPTAGVSRVFASRYVSRFLNSESASSRTTVSQSSGSVTANKSAQVEKQMEQVQIKPNVEICLNDLKLVLGPELKIVYPLILNFGVSGELELNGRAHPKWIKPRGILTFENGEVDLVATQVRLNREHLNIAKFEPEYGLDPMLDLALVGSEWQFRIQGRASNWQDKLVVTSTRSVEQDSLSPTEAARRFESQLAESILEGNGQLAFEKLATATLEKLMPRIEGKGEFGQARWRLVYAPQIPSLVSVDPTADPLKSLASNISFGTEVEVQLGKRLQATIVRQMKESEMAMQWTLSYLLTSRLRVLLQSAPSKRLLFEYSATSQD >Vigun06g112800.2.v1.2 pep primary_assembly:ASM411807v1:6:24124696:24126588:1 gene:Vigun06g112800.v1.2 transcript:Vigun06g112800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMMSCVGFSSSIPKAAIGLGSSSSLCLGNPKLLNSGSGRVSLVSVNGGRRRNRGFTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPGSTEEDSSEKPIALGEQEKLDNELSSSKETA >Vigun06g112800.1.v1.2 pep primary_assembly:ASM411807v1:6:24124868:24126588:1 gene:Vigun06g112800.v1.2 transcript:Vigun06g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMMSCVGFSSSIPKAAIGLGSSSSLCLGNPKLLNSGSGRVSLVSVNGGRRRNRGFTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPGSTEEDSSEKPIALGEQEKLDNELSSSKETA >Vigun03g024100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1783044:1785149:1 gene:Vigun03g024100.v1.2 transcript:Vigun03g024100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTPPSKLLLLTLHHSTPNQTFTKHTHSFTHSLTHTHTHNHCPFNHAMAELPSSEASSSAFTRKHSSPEAHLPGPNRKRPKQARDSSSKHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFATAEMAARAHDVAALAIKGNSAILNFPELAGSLPRPDSNSPRDVQAAAAKAAAMEVMDPPTTPSWTLSQSSSSSSLAVSSSSGETSTADELGEIVELPSLRRSLEIPERSEEVVFVEPVDGWLYSEAWCDGIYDERGCGGYFIGDEISMQESESMILCGFEGSLWQH >Vigun01g123600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30044091:30044963:-1 gene:Vigun01g123600.v1.2 transcript:Vigun01g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSSRILVAVLALVMVHLVVLSLYSVSHEQRFSGERSVAVSREVPSSSLTSFSTSMSKIGGSKKQKNKDVELSLRKAPSSIPNPTQN >Vigun08g058850.1.v1.2 pep primary_assembly:ASM411807v1:8:7551740:7553608:1 gene:Vigun08g058850.v1.2 transcript:Vigun08g058850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPIQLGVDVIVVSSPSPFVALAPSPLPTGHSTSLVPSPLSVPSRVHRSLVEEGHEVSTNQVDLQSDIHEEPIRRVDLPMISPQAYGFYPCRIESNAITMTIRQQYTKSWLT >Vigun09g259900.1.v1.2 pep primary_assembly:ASM411807v1:9:42507646:42508826:1 gene:Vigun09g259900.v1.2 transcript:Vigun09g259900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSASTMNKPPLPRSPSRLRPPQVLRSSNSFSLQTPPGSLTKSQKRVLSPNMRPEYLTISSEIRALAKMVSDEGSDVNLEKAAGGYSCGVKSSALFERGKFYDEYSARRNERLMRKRGVTAVDEGKVKPVRALGVSVESGKKSSVRKIGNLRKSISAAYSAGVSETPRYMLRSMTKENKKPPLATKSDKSVVAGEKRMGTRARRI >Vigun09g002500.1.v1.2 pep primary_assembly:ASM411807v1:9:181640:184094:1 gene:Vigun09g002500.v1.2 transcript:Vigun09g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSSSDEEDEVIHQKERNAPTSPSSFHFQSLTSRITSYFNFPFQKRYLFAILPLFAILLYYVIPDSHSLFSLSSVNVNLRHHQPEESQLRALYLLRQQQLGLLHALNSTSQTHTLKSLLSSQISLNSQIQQLLLSPYTPATSLHFGNAACGTVDQKLPERRTIEWKPKANKFLVAVCVSGQMSNHLMCLQKHMFFAALLNRVLVIPSSKVDYEYERVLDVEHMNKCIGGKVKAVISFQEFSSMRKNGKHEGKFLCYFSLPRPCCLDEEHLRKLKSLGLLSTNRPAAVWDEDARKPRKRSVEEVVSRFSRVEKEDVLAIGDVLDADVEEEWVMQAGGPLAHRCKTLIQPNRLIFLTAQRFIQTFLGRNFLALHFRRHDFLNFCNGKKPSCFYPIPQAANCILRVIERANAPLIYLSTDAAESEIRLLQSLLVREGRHVPLVKRPPRNSAEKWDALLYRHHIEGDPQVEAMLDKTICAMSSVFIGASGSTFTQDIRRLKKDWGSASLCDEYLCHGEEPNVVAEME >Vigun11g081301.1.v1.2 pep primary_assembly:ASM411807v1:11:23992942:24003745:1 gene:Vigun11g081301.v1.2 transcript:Vigun11g081301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQPKCINVGCNQWKATVVDEIKSTPYQSQVSILGPVGYGPTTLPLRHSDVVIVGDRLYPSSIGLKV >Vigun04g132000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33241012:33244379:-1 gene:Vigun04g132000.v1.2 transcript:Vigun04g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVQGGSSFGGFDLPGSIRVHRQGHHPHSMNQHQTHPCQGPSVHSSVHDGFPLTMGTLQNCDQTMPMTEFSQGDRNKNSPSDESFAEEGVDGHHEGGRGKKGSPWQRVKWTDKMVRLLITAVSYIGEDSTSDCGGARRKITVLQKKGKWKSVSKVMAERGYRVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPSLLDVIDYLSEKEKDEVRKILSSKHLFYEEMCSYHNCNRLHLPHDLELQRSLQLAHRNRDDHDSDDVRRSHHEDHDEDDLEVETDDHDDFEENYATHADSRGAYGEAGRPMKRLKAGQGHEDAATFGSALNNQEYNKSSYPYMVQSDVNQAAPEKMSSAWLQKQWVESRSLQLEEQKLQIQVEMLELEKQRFKWQKFSKKKDRELEKLKLENERMKLENERIALELKRKGVAADFN >Vigun04g132000.2.v1.2 pep primary_assembly:ASM411807v1:4:33241012:33244379:-1 gene:Vigun04g132000.v1.2 transcript:Vigun04g132000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVQGGSSFGGFDLPGSIRVHRQGHHPHSMNQHQTHPCQGPSVHSSVHDGFPLTMGTLQNCDQTMPMTEFSQGDRNKNSPSDESFAEEGVDGHHEGGRGKKGSPWQRVKWTDKMVRLLITAVSYIGEDSTSDCGGARRKITVLQKKGKWKSVSKVMAERGYRVSPQQCEDKFNDLNKRLHLPHDLELQRSLQLAHRNRDDHDSDDVRRSHHEDHDEDDLEVETDDHDDFEENYATHADSRGAYGEAGRPMKRLKAGQGHEDAATFGSALNNQEYNKSSYPYMVQSDVNQAAPEKMSSAWLQKQWVESRSLQLEEQKLQIQVEMLELEKQRFKWQKFSKKKDRELEKLKLENERMKLENERIALELKRKGVAADFN >Vigun01g004900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:602904:604511:-1 gene:Vigun01g004900.v1.2 transcript:Vigun01g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSYLAVFLILLLPISSSSSSSSSASTLVEKKFKECLITQLDGNSESVDKILFTKSSSQYPQVLEALEQNPRWVNSSNKPLLILTPFHESEIQAGIRCSRELGLQLRVRSGGHDYEGLSYLSKVPFVMVDLINMRSIQVNLSDETVWVQAGASVGELYYQISKASKVHGFPAGTCPSVGIGGHISGGGQGVMLRKHGLAADHVVDAYIIDANGEIHDRKSMGEDVFWAIRGGSATSFGVILAWKIRLVRVPPIVTGFNVFKTVEEGAKNLIHRWQYIAPELPEDLVIRVIAQNSGDKPKKLRALFNSLFLGGVDRLIPLMNQSFPELGLQAKDCTEMNWIQSVMFIAGFDIHDPLELLLNRTTSPKSSFKAKSDFVKEPIPETGLEGAWKMLVEEEASTVMILEPYGGIMNEISESETPFPHRKGNLYNIQYLVNWNGNSNEESKRYLLWAKMIYRYMTPYVSKSPRAAYFNYKDLDLGQNLLDNTSYSMASVWGEKYFKGNFRRLAQIKTKFDPQNFFRNEQSIPLLNARPYK >Vigun05g131550.1.v1.2 pep primary_assembly:ASM411807v1:5:15316505:15318673:-1 gene:Vigun05g131550.v1.2 transcript:Vigun05g131550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAANSSVSSSSTPLSEPSVYTNYVNVHLSIDKLDGTNYATWASDIKLWLKSQGYEDHLTQSVTTVAADEVSRWTKIDAQLCIVIKSTINSSLKQMFRSYETCSDVWAQAKLLYTNDTQRLYGVCQDLFKVVAPQSPGPMAEYLGKIHALLHEFNKLLPPASTPAEELEQRSKFFMLLALHGLSDEYSHVRDQILGSPVVPNFTATSSALLRVPRKQIIDTSTCADDSSVLVSQRDDRNRSRKPGKGRPKCDHCGKLGHKIDKCYALHGRPPRSAAVVHSDPPPRSATVDPASSDTAGHPAIFNDFLKWYEERQSSSSTTSASVARTGTSFVGLTHSDSLGPWVLDSGATDHITGPEFETHDWHRM >Vigun01g039600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5428252:5428891:-1 gene:Vigun01g039600.v1.2 transcript:Vigun01g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSAFLLFVTLFFAAVNAQDFGLSPAPSPSAGAAASVPSSVAVVGALVVLSFMIILKH >Vigun07g160500.1.v1.2 pep primary_assembly:ASM411807v1:7:27233977:27243084:1 gene:Vigun07g160500.v1.2 transcript:Vigun07g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQGDNHAHNRHHYHHQPQLPGSADTSRPQFTGDRVEPFSVKQEPASLTLLPLRGHESNEVDEDMHLSLAHQMYKSGNYKQALEHSNTVYERNPLRTDNLLLLGAIYYQLHDFDMCVAKNEEALRIEPHFAECYGNMANAWKEKGNIDLAIRYYLIAIELRPNFADAWSNLASAYMRKGRLNEAAQCCRQALTINPLMVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMESGDFNRALQYYKEAVKLKPSFPDAYLNLGNVYKALGMSQEAIACYQHALQTRPNYGMAYGNLASIYYEQGQLDMAILHYKQAVACDPRFLEAYNNLGNALKDVGRVEEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLNVTTGLSAPYNNLAIIYKQQGNYLDAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVTDAIQDYIRAIAVRPTMAEAHANLASAYKDSGHVEAAVKSYKQALILRPDFPEATCNLLHTLQCVCCWEDRDKMFKEVEGIIRRQINISVLPSVQPFHAIAYPLDPMLALEISRKYAAHCSVIASRFALPAFTHPAPIPIKREGGYERLRLGYVSSDFGNHPLSHLMGSVFGMHNRKNVEVFCYALSANDGTEWRQRIQSEAEHFVDVSAMSSDSIAKMINEDKIHILVNLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPLRYAHIYSEKIVHLPHCYFVNDYKQKNQDVLDPNCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEERAVSLALNRPKLQALTSKLKAVRMTCPLFDTTRWVRNLERSYFKMWNLHCSGQRPQHFKVTENDLECPYDR >Vigun05g078600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7337619:7339176:1 gene:Vigun05g078600.v1.2 transcript:Vigun05g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSHLPLLLLLSLLAVVSAHNITDILAADPQYSDFNNFLTQTRLADEINTRQTITVLVLNNAAFSAVADKHPLSVVKNVLSLHILLDYFDNTKLHQITNGTTLSTTLYQTTGTASGNVGSVNITDLKGGKVGFGSAASGSKLDSSYTKSVKQIPYNISVIEISAPIIAPGILAAPPPSADLNITALIEKAGCKTFASLISTNGLVKTFQSTADKGLTIFAPNDEAFKARGVPDLSKLTNAEIVSLLQYHATAKYLPVGSLKTTKDAINTLASNGAGKFDFTVSNAGDSLTIHTGVDNSRIADTVLDSTPLTIYSVDNLLLPPELFATSPSPAPAPEPASSPSPSPAPTPAEAPSPLPASPPAPAGETPAGAPADAPSAAAERSTSSGKSDGVHVKASAVLTVTVTALSALLIFTTFM >Vigun11g107200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30597128:30599489:1 gene:Vigun11g107200.v1.2 transcript:Vigun11g107200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQMMYSDINSRIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTSAKILKEPTSAYCLIQMPSGVKKLIDSRCRATIGVVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKWGKGSRTPWGKPTKSGYKTGPLKRRR >Vigun11g107200.1.v1.2 pep primary_assembly:ASM411807v1:11:30597561:30599489:1 gene:Vigun11g107200.v1.2 transcript:Vigun11g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWRSRAASSLNLFANTLRGFSSEVTNTSSQRTRESMMNQMMYSDINSRIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTSAKILKEPTSAYCLIQMPSGVKKLIDSRCRATIGVVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKWGKGSRTPWGKPTKSGYKTGPLKRRR >Vigun11g107200.2.v1.2 pep primary_assembly:ASM411807v1:11:30597128:30599489:1 gene:Vigun11g107200.v1.2 transcript:Vigun11g107200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWRSRAASSLNLFANTLRGFSSEVTNTSSQRTRESMMNQMMYSDINSRIGSCMPLSAMRIGTIIHNIELNPGQGGKLVRAAGTSAKILKEPTSAYCLIQMPSGVKKLIDSRCRATIGVVSNPSHGDRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGKSKSSGKWGKGSRTPWGKPTKSGYKTGPLKRRR >Vigun06g152200.1.v1.2 pep primary_assembly:ASM411807v1:6:27639130:27649521:-1 gene:Vigun06g152200.v1.2 transcript:Vigun06g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEDVYSGEELPLLQPDPVRLQIDRFKNQLTEKTKELATCQSEIKALRATEAKKDKAIEELRTEMGKLEERLELTQDHLKHKKLEIKKLTEEKKDALAAQYAAEATLRRLHADQKEDDFVPLESVITPLEAEIKMYRNEIAALQEDKKALERLTKSKEAALLEAEKILRTALERVLIVEEVQNENFDLKRQIEICQEENKILEKTHRQKILEVEKLSQTIHELEEVILSSGVNANAVRDYQRQISELQEEKRTLERELARVRVSANRVATVVANEWKDENDKVMPVKQWLEERRIMQAEMQRLKEKLAISERTAKAESQLKDKLKLRLKTLEEGLKHFSNSSNSGSTKAEKSNILSFLTTNGGLRNRSTSQPRASTAGSSLFPKTNVKSNIDSGNLLPGSILRKKYGAAENMLKKGIWASRNKVVDSDEKENKMQVNAGINSNKRSDEREAAQIKTTVEDDEDSKSNNCNELGTNDVVSGFLYDKLQKEVINLRKFCEIKESSLQTKEEEIKMLTKKVDALTKAMEIEWKKTKREAAARDKEAASIKSDDNRKIRRSNSSRRMMKDL >Vigun05g123150.1.v1.2 pep primary_assembly:ASM411807v1:5:13662167:13663143:1 gene:Vigun05g123150.v1.2 transcript:Vigun05g123150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGYRIGMVSFIVRESERGPHHRLSLQPPLLCAFLFSVTATVEVLWMKIPLKSSSNGSGIVYKKQATKWNTISFY >Vigun08g046400.1.v1.2 pep primary_assembly:ASM411807v1:8:4953060:4955683:-1 gene:Vigun08g046400.v1.2 transcript:Vigun08g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFPSQNAIIFVWLLCGIIFNTAICSCNLRCHQKDMHALQNFKQGVNDPSGVLSSWSTEVDCCEWKGVMCSNITSRVTGITLTCSTTLLSYREEEDKSHCLTGSIHLSLLLMELEFLDYLDLTNNDFLSLQFDYLHNHNCHNLSIATSSHQCVNSSTLRHLDLSRNWNLVINNLRWLPYISSVEYFNLRHIDLSMETNWLQSVTMLPSLSYLNMRYCELKDLKLSLHYANFTKLQFLSLSLNEFNSELPKWLFNLSSGIYHLDFSSSSLIGHLPKDLLNLRELEDLVLEDNNFTGPIPDWLGEFKHLKNLIIGVNMFSGFIPTNLGNLSSLVTLDVGSNPLTGVIPPFQLEQLGLGFSNPNLPAWLYTQRSIERLAILDSSFEAPDKFWNLLSTMLEVRLYCNLIDSNMSNVLLNSTFIDLSSNGLKGCLPQLSPNVVFLSLSDNSFSGEMSPLLCGHNVLNGKNNLLFLDISYNNLSGGLTNCWKNWKSLIAIHLGSNNLSEKIPSSMGLLSNLTSLHLHENKLHGEIPPSLKNCGSLLVFNVRNNHLSGNIPDWISHGVMALQLRSNNFSGKIPPQMCQMFSLIILDIANNAISSYVPNCLDGIKALVLNNASSNKLCFFFRVLGGDYFFINDNLELVTKGQVLKYGRNLHFMTLIDMSSNNLSGAIPPQMFRNMKNLESLDFSSNQLESEIPQSLSNLSFLGYLNLSFNNLTGKIPSGTQLQGFTALNYMGNHHLCGPPLIKNCFQDGKHKDTELEDEDGNQSKVWAWFYIGMEFGFVIGFFGVCYAILLNKKLRHGYFIFLCDLRDRLHVMVVINMNLFH >Vigun01g034000.1.v1.2 pep primary_assembly:ASM411807v1:1:4387135:4387579:1 gene:Vigun01g034000.v1.2 transcript:Vigun01g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIASIFMVMLLLSTNIENEGGLKMVEGTRVEKTLFKVCTDILNNNCGDMNQCLNLCRKKYGFLASGECNNKKQCVCTHPC >Vigun09g229400.1.v1.2 pep primary_assembly:ASM411807v1:9:40119411:40126643:-1 gene:Vigun09g229400.v1.2 transcript:Vigun09g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGDEDETPLRYELLSMVKKNSKSIGKTVVEDQETSDVEMDMRFWHDVFDLYFVRGKESRGRQDDDLVFFVRKLGSHGSGSNNKTETVEPYFVRRWAPKLHDLVDETSIDVDWRRSFYLNLIAHTSFSVTVAICSHQDLLNHRAGEDTPLSPIYKVVKTVYASPSRVNFQLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTEKDHCYCVVLNAHDGAAFPSEKVSNDCSNSSSLEVHTRSAKKKDTKLTLFSGFVSYQMVRDAYDAGGRSRFGSLLSVGLSSGKTDRIYMKGPGGRGEVEVAVSGVADQSHEDSGPFSPVISKKGFGLGVIVRRAASAASVAAKHAYAAASASNSNFDDLIPLKCSLMSISLPWEYIAYDLLFKGAPTVNM >Vigun09g229400.2.v1.2 pep primary_assembly:ASM411807v1:9:40119411:40126643:-1 gene:Vigun09g229400.v1.2 transcript:Vigun09g229400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICISFVARSQGDAKMMILFSLSENCLVFLQGSHGSGSNNKTETVEPYFVRRWAPKLHDLVDETSIDVDWRRSFYLNLIAHTSFSVTVAICSHQDLLNHRAGEDTPLSPIYKVVKTVYASPSRVNFQLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTEKDHCYCVVLNAHDGAAFPSEKVSNDCSNSSSLEVHTRSAKKKDTKLTLFSGFVSYQMVRDAYDAGGRSRFGSLLSVGLSSGKTDRIYMKGPGGRGEVEVAVSGVADQSHEDSGPFSPVISKKGFGLGVIVRRAASAASVAAKHAYAAASASNSNFDDLIPLKCSLMSISLPWEYIAYDLLFKGAPTVNM >Vigun11g009700.1.v1.2 pep primary_assembly:ASM411807v1:11:1133655:1141585:-1 gene:Vigun11g009700.v1.2 transcript:Vigun11g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATTSTSSKSNSLIHHPSNHRGGWNAAIFIIFVEFAERFAYQGLASNLIQYLTNVLNEPITQAAKDVNTWVGASSLFPLLGGFIADSYLGRFNTIVLSSLIYLVGMIFLTLSVTALKHKLLFFLALYVLAIGDGGHKPCVQTFAADQFDEDTPEERDTKSSFFNWWYLGIVAGSTASVFVVIYLQDNVGWGVGLGVLAGVLGLALALFLLGIKRYRKEGPTGSPFTRLAQVFVAASRKWRVHSTHGHHHNYCYEEKELDEPHHLHVQPKIHTLLHTPQFRFLDKAAIIDEVDAKSKTRDPWRLCSVTQVEEVKLVLRLIPIWISCLMFTVVQSQVHTFFIKQGATMVRSIGPHFQIPPASLQGLVGVTILFAVPFYDRVFVPLARKFTGKPTGITVLQRIGVGLFLSILNMVVSALVEAKRVGVAKEHGLIDDPKAVLPISIWWLFPQYLITGMSDAFTIVGLQELFYDQMPEALRSLGAAAYISIVGVGSFVGNIVIVVVEAITSRSGEKWLGNNLNRAHLHHFYWVLAGLSAVNLCLYVWISMVYVYKKVDEGHHSDHQGSSGHKYRPGV >Vigun10g068200.1.v1.2 pep primary_assembly:ASM411807v1:10:16039198:16046289:1 gene:Vigun10g068200.v1.2 transcript:Vigun10g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLILCLSLPYFFSFFLRYLKTLNNPSLPPGPRGLPIIGNLYQLNNSSLYLQLWQLSKKYGPVFSLKLGLRSAIVVSSPKLAKEVMKDHDLEFCGRPKLLGQQKLSYNGIDIAFCPYNSYWREIRKICVVHLLSSIRVSNFSSIRHFEVKQMIRKISMQASSSKVTNLSDALMSLTSTIICRIAFGRRYEDEGTERSKFHGLLNECQAMMGMFFFSDYIPFLGWIDRITGLRARLENNFKELDTFYQEVIDEHMDPNRKTPENEDLIDVLLQLKKQRSFSVDLENDHIKAVFMNMLVAATDTTAATTIWAMTALLKNPRVMKKVQEEIRNLAGEKDFLSEDDIQKLPYFKAVIKETLRLHLPAPLLVPRETNEACILEGYEIPAKTIVYVNAWAVHRDPNTWKDPDEFFPERFLDNTIDFRGQNFEFIPFGAGRRICPGLIMAIASLDLILANLLKSFDWELPAGMTKEDIDTEVLPGITQHKKNPLCVLAKVRI >Vigun03g177050.1.v1.2 pep primary_assembly:ASM411807v1:3:21999641:22022228:-1 gene:Vigun03g177050.v1.2 transcript:Vigun03g177050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSSRGGLGNNMKEMIVAMIFLCVCLVTIEYGVRVTEAEEYFKPFNVTYDHRALILDGKRRMLISAGIHYPRATPEMWPDLIAKSKEGGADVIETYVFWNGHEPVRGQYNFEGRYDLVKFVKLAAAHGLYFFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTNNEPFKEEMKRFVSKLVNMMREEMLFSWQGGPIILLQIENEYGNIESSYGKGGKEYVKWAAKMALSLGAGVPWVMCRQQDAPYDIIDTCNAYYCDGFKPNSPNKPTIWTENWDGWYTQWGEKLPHRPVEDLAFAVARFFQRGGSFQNYYMGEPILDPEQYKRLVRKLNYLIVTRPGISFAVSVVSLFLNAPCQDHWNVVICVLKYMRGSPGKGLLYGSNNHTRVICYSDGNWAGSSSDRRSNSGYCVFIDGNLISWKSKIQSVGVRSRVEAKYRVMASATLFWWNKFWPHCRGPLQITSYDYDAPIDEYGLLHEPKWGHLKDLHTALKLCEPALVSANSPTYIKLGPKQEAHIYQANVHPDGLNLSFFESPSICSAFLANIDEKREATVTFRGRRYTIPPWSVSVLPDCTNTVFNTAKVRAQTSVKLVEFDLPTVSNIFPAQQSSHRNGIYYLSKSWMTTKEPLDIWSKSSFTVEGIWEHLNVTKDQSDYLWYSTRISVSDSDILFWEENDVRPKLTIDGVRDILRVFVNGQLIGNAVGQWIKVVQSLQFLPGYNDLTLLTQTVGLQNYGAFIEKDGAGIRGTIKITGFKNGDIDLSKSLWTHQVGLQGEFLKFYSEENENSEWVELTPEGIPSTFTWYKAYFDVPGGLDPVALDLKSMGKGQAWVNGHHIGRYWTRVSPKSGCKQVCDYRGAYDSDKCTTNCGKPTQTLYHVPRSWLRASNNLLVILEETGGNPFEISVKVHLSRIICAQVSESNYPPVQKLVNADLIGEEVSANNMIPELQLYCQEGHTISSITFASFGNPRGSCQNFSRGNCHAPSSMSIVSKECQGKNRCSIKILDTVFGGDPCPGVEKTLSVEARCTSLLSATLFQEAVSSS >Vigun09g227900.1.v1.2 pep primary_assembly:ASM411807v1:9:39980414:39987003:1 gene:Vigun09g227900.v1.2 transcript:Vigun09g227900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuBCAT2 MIRTSFPSFRKLLPQSVSASAFSKIGTYNWFTSQPYPLESPNPSYSDDEYADVDWDSLGFGLMATDYMYITKCCEGQHFREGQLSRYGNIELSPAAGVLNYGQGLFEGTKAYRKENGRVLLFRPEQNAIRMKMGAERMCMASPSIDHFVHALKQTVLANKRWIPPPGKGSLYLRPLLLGTGPVLGLAPAPEYTFLIYASPVRNYFKEGSAPLNLHVEENFDRASRRGTGNVKTISNYAPVLMAQTTAKKRGFSDVLYLDSATKKNLEEVSSCNIFIAKGKCIATPATNGTILSGITRKSVIEIAGDLGYQVEERAVGVDELIEADEVFCTGTAVGVAPVGSITYQDKRMEYITGSGTICQELNDTISGIQTGTIEDKKGWIIEVD >Vigun05g056500.2.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.3.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.18.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.6.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.10.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.14.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.12.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.17.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.8.v1.2 pep primary_assembly:ASM411807v1:5:4826113:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.11.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.15.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.7.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.9.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.16.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.1.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835947:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.13.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSR >Vigun05g056500.5.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAAIPVSREHLPQDAMWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun05g056500.4.v1.2 pep primary_assembly:ASM411807v1:5:4826118:4835683:1 gene:Vigun05g056500.v1.2 transcript:Vigun05g056500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQINLRSSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCRFHHPRDKAGIAGRVALNILGYPLRPNEPDCAYYLRTGQCKFGNTCKFHHPQPNNMVLSMRSSPVYPGVQSPTTPGQQSYATGITNWPSSSYIPSPRWQSPSSYAPLILPQGMVSVPSWSAYSGQMGSDSSQQTMGNGQSYGTNRQSEPTNAGSQGAYSQFRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERMIPAPDCVLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGVFTYNISASPSADAPGRRMLGSSSGTSALNLSSEVLVESGSANPRRLSLSETRQIPSGDDNIDEEG >Vigun02g004450.2.v1.2 pep primary_assembly:ASM411807v1:2:2228382:2233182:-1 gene:Vigun02g004450.v1.2 transcript:Vigun02g004450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILEVEEEEESTSPYSPPMVTLMAQESEQITLANILREMEVSRRETFDQLRADKRQSEIFLQEHIQRLELREDERRRRRSSSEDCHGGRRRRHNHDGGGRRNQLPQRQPPPIKIPKFKGENDPNLYIEWEQKVDQIFNIHLVSDQEQVDLVVLEFEDYAMTWWHQLCMDNINQEPLAAFWMDIKTFMRARFVPSYYRRETFLKLQRLQQGSMCVNEYFKMMESMLLKVGLQFESEEEKVARFVSGLRREVQDVVELYETWKGKERKDEKPSSKDHPPRTNSSRNSHENSSQGSRTSSIKCFKYLGYGHIASNCPTKRNMILNPKGEVESEHSSPPSPKSSSSHISSQSSSEDEIKPNEGGLLVVRRMLGQMPKEFKNQRENIFHSRCQINNKTCSIIIDSGSCVNVASTKVMDKLGLKTIPHAKPYKLSWLSEEGEIKVDKQVLINFSNGNYKDEVLCDLVPMEATHILLERSWQFDRKAFHDGHANKFSFSFQGKKITLLPLSPREVNEDQIQMLKKRKKRRRKRRRKGMAMSPRRA >Vigun02g004450.1.v1.2 pep primary_assembly:ASM411807v1:2:2228382:2233156:-1 gene:Vigun02g004450.v1.2 transcript:Vigun02g004450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILEVEEEEESTSPYSPPMVTLMAQESEQITLANILREMEVSRRETFDQLRADKRQSEIFLQEHIQRLELREDERRRRRSSSEDCHGGRRRRHNHDGGGRRNQLPQRQPPPIKIPKFKGENDPNLYIEWEQKVDQIFNIHLVSDQEQVDLVVLEFEDYAMTWWHQLCMDNINQEPLAAFWMDIKTFMRARFVPSYYRRETFLKLQRLQQGSMCVNEYFKMMESMLLKVGLQFESEEEKVARFVSGLRREVQDVVELYETWKGKERKDEKPSSKDHPPRTNSSRNSHENSSQGSRTSSIKCFKYLGYGHIASNCPTKRNMILNPKGEVESEHSSPPSPKSSSSHISSQSSSEDEIKPNEGGLLVVRRMLGQMPKEFKNQRENIFHSRCQINNKTCSIIIDSGSCVNVASTKVMDKLGLKTIPHAKPYKLSWLSEEGEIKVDKQVLINFSNGNYKDEVLCDLVPMEATHILLERSWQFDRKAFHDGHANKFSFSFQGKKITLLPLSPREVNEDQIQMLKKRKKRRRKRRRKGMAMSPRRA >Vigun08g092700.1.v1.2 pep primary_assembly:ASM411807v1:8:21704142:21711406:1 gene:Vigun08g092700.v1.2 transcript:Vigun08g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSVVSDHSSPITLDMPPIPAAPRSDPAHRPGQALHSVTNGGESGRAHPLPVPAVVDVRIKDLVGNGISGILHKWVNYGKGWRTRWFVLQDGVLSYYKIHGPDKIIVNSETEKGSKVIGEESARLISRNRNSNHVDRRRKPIGEIHLKVSTIRESRSDDKRFSVFTGTKRLHLRAETSDDRVAWMEALQTVKDMFPRISNSDLMAPVDNVAVSTEKLRLRLMEEGVSEEAIRDSEQIMKNEYAALQNQLLLLKQKQVALIDTLRQLETEKVDLENTVVDESQRQVNDQEVSSGLGQEKSSEESGTESEDDNERNDAAEEETDDEDTAFFDTRDFLSSSNSFKSNASDIRVSSFSSDDEGLYAVESEEDIDPSIKSVGFNYPYVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEWGRRGNSLMRILNVAAFAVSAYASTEGRICKPFNPLLGETYEADFPDKGFRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGILTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNQDYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKILSTLLGKWDDSMYYINGDYSGKGKGYESMSDAHLIWKRSKPPKFPTRYSFTRFAITLNELTPGLKDKLPPTDSRLRPDQRHLENGEYEMANSEKLRLEQRQRQARKMQESGWEPRWFCKDKESGSYRYLGGYWEAREQGNWNSCPDIFGQIPSDHHLLDEG >Vigun08g092700.2.v1.2 pep primary_assembly:ASM411807v1:8:21704142:21711406:1 gene:Vigun08g092700.v1.2 transcript:Vigun08g092700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSVVSDHSSPITLDMPPIPAAPRSDPAHRPGQALHSVTNGGESGRAHPLPVPAVVDVRIKDLVGNGISGILHKWVNYGKGWRTRWFVLQDGVLSYYKIHGPDKIIVNSETEKGSKVIGEESARLISRNRNSNHVDRRRKPIGEIHLKVSTIRESRSDDKRFSVFTGTKRLHLRAETSDDRVAWMEALQTVKDMFPRISNSDLMAPVDNVAVSTEKLRLRLMEEGVSEEAIRDSEQIMKNEYAALQNQLLLLKQKQVALIDTLRQLETEKVDLENTVVDESQRQVNDQEVSSGLGQEKSSESGTESEDDNERNDAAEEETDDEDTAFFDTRDFLSSSNSFKSNASDIRVSSFSSDDEGLYAVESEEDIDPSIKSVGFNYPYVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCCEEMEYSYLLDRAYEWGRRGNSLMRILNVAAFAVSAYASTEGRICKPFNPLLGETYEADFPDKGFRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGILTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNQDYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKILSTLLGKWDDSMYYINGDYSGKGKGYESMSDAHLIWKRSKPPKFPTRYSFTRFAITLNELTPGLKDKLPPTDSRLRPDQRHLENGEYEMANSEKLRLEQRQRQARKMQESGWEPRWFCKDKESGSYRYLGGYWEAREQGNWNSCPDIFGQIPSDHHLLDEG >Vigun05g188050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36446177:36446572:1 gene:Vigun05g188050.v1.2 transcript:Vigun05g188050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGCFTLFAFLVAFHVECRSLRASFSDGVDAAVQPHESYLQLIDQKVQSSSEGHYCKQMYGFLPCSSNILGHLFLILVYEYLLFHGESYLAAGGEQIFKILGPGVFGASAFDILGALPESLILVGMLLL >Vigun04g146100.1.v1.2 pep primary_assembly:ASM411807v1:4:36156815:36157490:-1 gene:Vigun04g146100.v1.2 transcript:Vigun04g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSSGSCTCGGKQPSGGSDGGRSSHGGGSSHGCGARIFAIKLMCYCGQTAVLRTTKTPKNRGKQFWGCPKFKSGSQDLGGCNFFQWFIEQEIEERDVVKMEEKDVVKMEERDFGGNKVLKVEKIEEKDVVNMRERDGGWIITRKLDEAIVRLQKWMKLMLGMMVVVCVMNVIVISLLL >Vigun05g079100.3.v1.2 pep primary_assembly:ASM411807v1:5:7388363:7400589:1 gene:Vigun05g079100.v1.2 transcript:Vigun05g079100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEVDASDRGIMVRSMEDIFADLSPNTDSVTVSYLQLYMETLQDLLNPANDNIPIVEDPRSGDVSMPGATLVEITDQHSFLELLRVGEANRIAANTKLNTESSRSHAILMVHIKRSVLESEDIVSSQNGDASHLTKPSKPLVRKSKLVVVDLAGSERVHKSGSEGHMLEEAKSINLSLSSLGKCINALAENNAHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEVQLDKLIAENERQQKSFEDEVDKINLEAQCRISEVERNFADALEKERLKCQMEYMELVKELEQKLVLNQERHDCNSSVADNGEGPASSSAGEVTEVKMLLETERSRRKAAEEEVEHLKNQLGKHTQTQAGDAEVVKLRNILEDEANQKKRLEEEIIILRSQLLQLNFEADQMRRCLENGSSGSTFSAMDSSRHPQFKDTANGQKSSVATLFEQVGLQKILSLLESDDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGITLLSMTASDAEDPQTLRMVAGAIANLCGNDRILMTLRSQGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRASNQGIKSGRSFLIEDGALPWIVQNANNEAAPIRRHIELALCHLAQHEVNAKDLVSGGALWELVRISRDCSREDIRNLARRTLSSVSTFKSELRRLRVDY >Vigun05g079100.2.v1.2 pep primary_assembly:ASM411807v1:5:7387855:7400589:1 gene:Vigun05g079100.v1.2 transcript:Vigun05g079100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRNGVQRGSAKFDRPLKPRPRPSSPSPGSALRRANPAARNADAVPGRVRVAVRLRPRNAEEMMADADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEVDASDRGIMVRSMEDIFADLSPNTDSVTVSYLQLYMETLQDLLNPANDNIPIVEDPRSGDVSMPGATLVEITDQHSFLELLRVGEANRIAANTKLNTESSRSHAILMVHIKRSVLESEDIVSSQNGDASHLTKPSKPLVRKSKLVVVDLAGSERVHKSGSEGHMLEEAKSINLSLSSLGKCINALAENNAHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEVQLDKLIAENERQQKSFEDEVDKINLEAQCRISEVERNFADALEKERLKCQMEYMELVKELEQKLVLNQERHDCNSSVADNGEGPASSSAGEVTEVKMLLETERSRRKAAEEEVEHLKNQLGKHTQTQAGDAEVVKLRNILEDEANQKKRLEEEIIILRSQLLQLNFEADQMRRCLENGSSGSTFSAMDSSRHPQFKDTANGQKSSVATLFEQVGLQKILSLLESDDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGITLLSMTASDAEDPQTLRMVAGAIANLCGNGRY >Vigun05g079100.1.v1.2 pep primary_assembly:ASM411807v1:5:7387855:7400589:1 gene:Vigun05g079100.v1.2 transcript:Vigun05g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRNGVQRGSAKFDRPLKPRPRPSSPSPGSALRRANPAARNADAVPGRVRVAVRLRPRNAEEMMADADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEVDASDRGIMVRSMEDIFADLSPNTDSVTVSYLQLYMETLQDLLNPANDNIPIVEDPRSGDVSMPGATLVEITDQHSFLELLRVGEANRIAANTKLNTESSRSHAILMVHIKRSVLESEDIVSSQNGDASHLTKPSKPLVRKSKLVVVDLAGSERVHKSGSEGHMLEEAKSINLSLSSLGKCINALAENNAHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEVQLDKLIAENERQQKSFEDEVDKINLEAQCRISEVERNFADALEKERLKCQMEYMELVKELEQKLVLNQERHDCNSSVADNGEGPASSSAGEVTEVKMLLETERSRRKAAEEEVEHLKNQLGKHTQTQAGDAEVVKLRNILEDEANQKKRLEEEIIILRSQLLQLNFEADQMRRCLENGSSGSTFSAMDSSRHPQFKDTANGQKSSVATLFEQVGLQKILSLLESDDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGITLLSMTASDAEDPQTLRMVAGAIANLCGNDRILMTLRSQGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRASNQGIKSGRSFLIEDGALPWIVQNANNEAAPIRRHIELALCHLAQHEVNAKDLVSGGALWELVRISRDCSREDIRNLARRTLSSVSTFKSELRRLRVDY >Vigun03g330200.1.v1.2 pep primary_assembly:ASM411807v1:3:52740754:52742930:1 gene:Vigun03g330200.v1.2 transcript:Vigun03g330200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACAATDSVASGEIMLFGVRVVVDSMRKSVSMNNLSQYEHPHDSNNSSNSNNNKKDVLAAADYASADDAVPHNTGRQRERERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISKNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVQNQDTLSHSQPVCPAVPETNKSGFPMMPVYQFGVGSGVIAVQGGKPLEELTLGQGNIEHNVPINLVHSIPVVADPKASTVSDIITPSSSSAVDPPTLSLGLSFSSDQRQTSSRHSGLHAMPCFSNGDSIISVA >Vigun09g206100.2.v1.2 pep primary_assembly:ASM411807v1:9:38072080:38074286:1 gene:Vigun09g206100.v1.2 transcript:Vigun09g206100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVYVCVDNFMHDYGLKSCIDGPSAFYGVFDGHGGKHAADFACQHLPKFILNDEDFPGDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVANAGDCRAVLCRRGKAIEMSRDHKPSCNKERKRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKSKDGGPLSSEPELMTTKLTSEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNDPTMCSKDLVDEALKRKSGDNLSAVVICFQQQPPPNLVAPRSRVHRSFSAEGLKELQSFLDSLGN >Vigun09g206100.1.v1.2 pep primary_assembly:ASM411807v1:9:38071138:38074286:1 gene:Vigun09g206100.v1.2 transcript:Vigun09g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGVDCCSKSESRSSSGTRPPNPNPPSHRQCKLVRHASLIKTNLCDASEPGDVTEDCQTDFFPTLRSGACADIGFRSSMEDVYVCVDNFMHDYGLKSCIDGPSAFYGVFDGHGGKHAADFACQHLPKFILNDEDFPGDIERIVASAFLQTDNAFAEACSLDAALASGTTALATLVIGRLLVVANAGDCRAVLCRRGKAIEMSRDHKPSCNKERKRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKSKDGGPLSSEPELMTTKLTSEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNDPTMCSKDLVDEALKRKSGDNLSAVVICFQQQPPPNLVAPRSRVHRSFSAEGLKELQSFLDSLGN >Vigun03g216900.1.v1.2 pep primary_assembly:ASM411807v1:3:36109520:36113081:-1 gene:Vigun03g216900.v1.2 transcript:Vigun03g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNIMLQSCPLLTLLFLLLLHTFSIPTCHCQTTASPPQNIETFYPNQTSAPQQPETSPQTQQQPPPPPPPIGGSAPGRGSSGRKIAKAVAATAASTIVFCGLIFFVVQRCLRARKRKREVISNAASGGDNRVVPQGNVFERIDGNVKGLIVDEDGLDVIYWRKLQSKNSNKDLHKEVLSSPRNKEKEDDHDQNQAKKSKSVQEVPLLRGKSSTSHLNVSPEEDEPYRFSPLPSPPSVSASTLPSSAGVVIKGPQKPDSPIQPSTPPPPVSPSTPSSSVSVSAVPEANNPAPAPPPPPIPARRSPAPPPPPPASSKTLPPPIEIPAIKQGNSSGKGIPETSNDQVKLKPLHWDKVNTNNADHSMVWDKVDRGSFRVDQDLMEALFGYVATNRRSPKGKSHSAIPSKDTSASSAKVFLLDPRKSQNIAIVLKSLAVSLGEIVDALTDGKGLKPDTLEKLARVSPTEEEESLILEYKGDPARLAAAESFLYNLLKAVPSAFKRLNAMLFRLNYDSEIQETKESLQTIEQGCKELKNKGLFVKLLEAVLKAGNRMNAGTARGNAQAFNLASLRKLSDVKSTDGKTTLLHFVVEEVVRSEGKRVALNRNGSLRSSSSRSSSSSSNGNYENIAASNEVIEREYVTLGLPIVGGISSELSNVKKAAQIDYNNLVNSISALSTRLVKVQELVSLCGNGEGQHFVKEMDHFLRNAEEELKLLRDKQDSVLQLIKKTTQYYQGGASKETAEDNLQLFVIVKDFLGMVDQVCIEIARDMQKRKFPPKAIFG >Vigun03g149400.1.v1.2 pep primary_assembly:ASM411807v1:3:15407102:15408500:1 gene:Vigun03g149400.v1.2 transcript:Vigun03g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNQSALNELFLAKTCNPSTVFTAVPEVDLSHPDAKTMMVKACQEIGLFKVVNHGIPPEVITRLENEALKFFMQSQSQKDKAGPPDPFGYGSKRIGTNGDMGWVEYLLLSTNPDIVSPKSVELFEQNPEALRLCVEEYIGAVKKMCCFVLEEMGEGLGLGNVFSRMVREERSDSCFRVNRYPACVELEESGRNLIGFGEHTDPQILSVLRSNNTSGLQICLPDGTWASIPPDPSSFFVNVGDLFQVMTNGRFKSVKHRVLADSRMSRLSMIYFGGPSLEEKIGPLPSLVSKEEQNIYRELTWREYKNAAYKSRLSDHRITLFHKSSHT >Vigun03g149400.2.v1.2 pep primary_assembly:ASM411807v1:3:15407102:15408500:1 gene:Vigun03g149400.v1.2 transcript:Vigun03g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNQSALNELFLAKTCNPSTVFTAVPEVDLSHPDAKTMMVKACQEIGLFKVVNHGIPPEVITRLENEALKFFMQSQSQKDKAGPPDPFGYGSKRIGTNGDMGWVEYLLLSTNPDIVSPKSVELFEQNPEALRLCVEEYIGAVKKMCCFVLEEMGEGLGLGNVFSRMVREERSDSCFRVNRYPACVELEESGRNLIGFGEHTDPQILSVLRSNNTSGLQICLPDGTWASIPPDPSSFFVNVGDLFQSVKHRVLADSRMSRLSMIYFGGPSLEEKIGPLPSLVSKEEQNIYRELTWREYKNAAYKSRLSDHRITLFHKSSHT >Vigun07g070600.1.v1.2 pep primary_assembly:ASM411807v1:7:8709494:8712434:-1 gene:Vigun07g070600.v1.2 transcript:Vigun07g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQEHAEIISDEVRDEIRLYECARKYEIIVKQFDELVGIMNTVPKTKWRKGGRGGASGRRASSNQNQSNRNEAIVQTVTRFLHELSTKSTDSDSSAT >Vigun07g070600.2.v1.2 pep primary_assembly:ASM411807v1:7:8709555:8710177:-1 gene:Vigun07g070600.v1.2 transcript:Vigun07g070600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQEHAEIISDEVRDEIRLYECARKYEIIVKQFDELVGIMNTVPKTKWRKGGRGGASGRRASSNQNQSNRNEAIVQTVTRFLHELSTKSTDSDSSAT >Vigun09g231500.1.v1.2 pep primary_assembly:ASM411807v1:9:40293440:40297083:1 gene:Vigun09g231500.v1.2 transcript:Vigun09g231500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHVWKQIRDREDGKVRAKHFANRIKSYRISQLQLANHKDIVSPHKGAVNSLQIDSTEGRYLLSAASDASVAVYDVQRSAASEAAAAFCKHRCLFVVDKQHQQAHKYAVSTAIWYPIDTGLFVTGSYDHHINVWDTNTTQVVVNFKMPGKVHRTAMSNLSTSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSNSSEWVLITGGCDGAIRFWDIRRAGCFQVLDQSQTQLGRRPPILKRSMLTKDSSAKLRGAQKKHANGSGSRQQPIGRVPSKGPMKQRLHPGMLSTQDRATAHYGAVTGLKATEDGMYLLSAGSDSRLRLWDVQSGCNTLVNFETVRLQINKPLQLATTQDSALVFVPCMRSVKAFDMWSGNTYTVLRGHYECVNSCWFNQQDQELYTGGNDRQILVWSPARSIDDEMGEGPAEDQDNWSS >VigunL008500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000154.1:3884:7140:1 gene:VigunL008500.v1.2 transcript:VigunL008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGREIRVRVSCILVLEGEKSEMLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKTNILNNDELIVRLIIHKANKTLSIIDNGIGMTKADLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPSSSCFLTTDINDQRLPRGTKITLFLKDDQSEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINIWLHDQEMDNKFVAQNLGKHLPDHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFYNNFISNQNSYFDDSSLLLCLGPNYDQYNDESSLYSLFGMKFQNSTILNWPNPRIEGHSPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTAPSPVSDVQYDFDVVIEYEGFGYDIARDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIEFLNCQGINNRFYLEGMCHWLCNNGDEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLPSLSFPIGTSNMGNILFETNDGELAWFDLRTNLIEKLGVNVQNRDCQIILYKKSLSNRRINT >Vigun09g208300.1.v1.2 pep primary_assembly:ASM411807v1:9:38245851:38255281:1 gene:Vigun09g208300.v1.2 transcript:Vigun09g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGMVAGSHKRNELVRIRHDSSDSGSKPLKSLNGQICQICGDTVGLTATGDVFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHRGSPRVEGDEDEVDSDDIENEFNYAQGKAKARRQWEEDADLSSSSRRESQQPIPLLTNGQTMSGEIPCATPDTQSVRTTSGPLGPSEKVHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMVQMTGRYAEGKGGDVEGTGSNGEELQMVDDARQPMSRVVPIPSSQLTPYRVVIVLRLIILGFFLQYRVTHPVKDAYPLWLTSVICEIWFALSWILDQFPKWSPVNRETYLERLALRYDRDGEPSQLDPVDVFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALSETAEFAKKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPVLGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGQDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCWGSRKKGKGGNKKYIDKKRAMNRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPLWYGYNGRLKPLMRLAYINTIVYPFTSIPLIAYCTLPAFCLLTNKFIIPEISNFASMWFILLFVSIFTTSILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSVLLASIFSLLWVRIDPFTSDSNRLTNGQCGINC >Vigun09g189600.1.v1.2 pep primary_assembly:ASM411807v1:9:36444732:36453243:-1 gene:Vigun09g189600.v1.2 transcript:Vigun09g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MASSDSDSQSKIVHGDAGYILEDVPHFTDYILNLHTYPNPLRSNPAYSVVKQYFVHMDDTVPQKVVVHKDGPRGVHFRRAGPRQKVYFRSDDVHACIVTCGGLCPGLNTVIREIVCGLSYMYGVNKVLGIDGGYRGFYSKNTITLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGATVIYEEVRRRGLKVAIAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLFEFIEKRLKENGHMVIVIAEGAGQDLLTESMQDMDQKDASGNKLLQDVGLWISHKIKDHFARKDKIAINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGLVNGRHTYIPFNRINERQNKVVITDRMWARLLSSTNQPSFLSPKDLDEAKKAEQPPSQLLEESNCNDIGEAEKKENTFSQLLQGDNFKDEQQSENHADNGIETKN >Vigun06g229600.1.v1.2 pep primary_assembly:ASM411807v1:6:33662617:33666857:-1 gene:Vigun06g229600.v1.2 transcript:Vigun06g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTAVEKTEEVLRNEIDELLRKQREITERLRDPRGLRRGALAGPALRTNGGVRQRPFIRSGDRNDSEDQPPAKRRLSSAVVKVDDGELPEDADAGQDGKEKGSAVEGVNGTGAVVQSDRNLFNSHQSGWSKRDGNQRTSKAVSDIPTAEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSNSLQRAEQRAREESERLRKEEREQIAENRRRDLTLRARVSAKTEEKKLELLFLRWSEHHKRLSNFIRTKAEPPIYYLPNKPLDEDPTSLEKRKEEAFLEWKNARREEVSEYQKQIREQYLGNVEKELERWQNARNARKANNDQNLQETMDKELDTHRLEHGPKKRKIPGGNNNEDDDDDVEDINVGEDDMMDDELDDDSGRRIDETTKSEAGKAIADPAPDADNAEGK >Vigun08g088300.1.v1.2 pep primary_assembly:ASM411807v1:8:20058709:20060928:1 gene:Vigun08g088300.v1.2 transcript:Vigun08g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINAHKEEKACCYFHPKQVVVGVCPLCLNERLLILAAKQYHHHRHHHHHRLQSSTQRKPSASIHKIFAFGSLFTRHQFKSHHYYDQDDASPSPEESFISMKFEENGVASWEKSTLSNMSNKVCVEGKVLEKEEKENKSVIEHGKSNNAFRWRKRMGRLVHFIQWKRSNKGGVGHVGSKVEGVKVRKGWMRSLTKRKTAE >Vigun03g406300.1.v1.2 pep primary_assembly:ASM411807v1:3:61331572:61337054:-1 gene:Vigun03g406300.v1.2 transcript:Vigun03g406300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEMIATSDQTPVEGSKTTQEKMVGMMQDSKENKVKNETNKTVPFYKLFSFADSQDSLLMLVGAISAVANGICTPLLTIFVGDAIDALGGHADNKQVLHEVSKVSLKIASIGAVAFLAAFLQVSSWVITGERQAARIRGLYLKAILRQDISFFDKETNSGEVVGRMSGDTVLIQEAMGEKLGKFIQNVSSFLGAITLAFTKGWLLSLVLLSSVPLLVLSGSLMSLAFAKMASRGQSAYAEAATVVEQTIGSIRTVASFTGEKQAIAQYNQYLTKAYRISVQEGVVSGFGLGSFQLFSICTYGLAIWFGGKMVLEKGYTGGQVIGVFWTIMSGCLRLGQASPSLKAFSAGKAAAYKMFETIKRQPDIDAYDSSGQQLDDISGNIEFREVCFSYPSRPDEQIFNRFSISISSGTTAALVGQSGSGKSTVISLIERFYDPQDGEVLIDGINMREFQLKWIRKKIGLVSQEPVLFTGSIKENIAYGKDWATDEEIRAAAELANAIKFIDEFPHGLDTMVGERGTQLSGGQKQRISIARAILKDPRILLLDEATSALDVESERVVQETLDRIMINRTTVIVAHRLSTIRNADMIAVIHQGKLQEKGSYAELTNDPDGALSQLIRLQEFRRESKQHDTNYTDQQENFIDSEQQLNLQFSFPLSLSPRRGNNSHHSFRMSNAMPITSEKGPEVLSSAALHKPREVSFVRIAYLNKPEIPVLLMGTLAAAATGALQPTMGLLLSNMINTFFEPADELRKNSKFWSLIFVALGIAAFIFIPLRSYFFGVAGSKLIKRIRLMCFEKIIHMEMGWFDKAENSSGVLGARLSTDAASIRTLVGDALGLLIQDISTALTALVIAFDANWQLSLIILVLVPLVLLNGYVQMKSMQGFSTDAKKLYEEASQVANDAVGNMRTVASFCAEEKVMELYQKKCLGPIQTSIRLGLISGAGFGLSIFFLYAVNACGYYVGARLIENGKASFSDVFRVFYVIIMAAIAMSKSGFLTPAASKAKCSVASIFAILDQKSSIDPGDESRMTLQEVKGEIEFHHVTFKYPTRPNALVFKDLSLTIHAGETVALVGKSGSGKSTMLSLLQRFYDPDSGQITLDGTEVQKLQLKWFRQQMGLVSQEPVLFNDTIRANIAYGKGGDATEAEIIAAAELANAHKFISSLQQGYDTLVGVRGIQLSGGQKQRVAIARSIVKNPKILLLDEATSALDAESERMVQDALDRVRVDRTTIVVAHRLSTIKDADSIAVVEYGVIAEKGKHETLLNKGGTYASLVALHISASSS >Vigun07g051000.1.v1.2 pep primary_assembly:ASM411807v1:7:5303359:5303921:1 gene:Vigun07g051000.v1.2 transcript:Vigun07g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSLISVLLRVLLLLTMIVVISSGVTSNKAICKGTCTEFPDCNGHCKLLGYDFGKCIPFPLTKGANCCCS >Vigun05g038100.5.v1.2 pep primary_assembly:ASM411807v1:5:3067506:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKENSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRGLFIL >Vigun05g038100.4.v1.2 pep primary_assembly:ASM411807v1:5:3067506:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKENSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRGKETTFI >Vigun05g038100.2.v1.2 pep primary_assembly:ASM411807v1:5:3067355:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKEEMQNSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRDQ >Vigun05g038100.6.v1.2 pep primary_assembly:ASM411807v1:5:3067505:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKENSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRDQ >Vigun05g038100.1.v1.2 pep primary_assembly:ASM411807v1:5:3067506:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKEEMQNSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRGKETTFI >Vigun05g038100.3.v1.2 pep primary_assembly:ASM411807v1:5:3067357:3074127:1 gene:Vigun05g038100.v1.2 transcript:Vigun05g038100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSRQALAGVDFTPPGLLSLQSSSRKRCNGKRRNGVVEARELDAGLEEEEKEWKKGSLRGPVSLRFVEAEQNAAGWPQWLTSVAAEAIQGWVPLKTDSFERLDKIGQGTYSNVFQAREIETGRMVALKKVRFDKLKADSIRFMAREIVILRSLDHPNIMKLDGIITSQQSNSIYLVFEYMEHDLAGLVASPDIKFTEAQIKCYMRQLLSGMEHCHHRGIMHRDIKVSNILVNNEGVLKIADFGLANTLSPSSKQPLTSRVVTLWYRPPELLMGATNYGVSVDIWSVGCVFSEFFLGKPILKGRTEVEQLHKIFKLCGSPPEEYWKKSKLPLATMFKPQTNYEASLQERCKGVPVTAVKLLETLLSIDPSKRGTASSALKSEYFSTKPYACDPSVMPKYPPSKEMDARNREEASRKKMLGKGRETVTSKRNNRRVQKVSNDHINFIKPAWKEEMQNSSQNVCTGDDGRAHVAKVKIGALHKEQPKLSCDAKFEAAQVVNGCNGNSVYSGPAHVSASSGFTWAKRRKPEASSILSDGSRSKISALDPIFVKGTYDLTKQGIEVSERKHGLNTRHQDRTLTHVLPIYQAPRFHQKSFDLADKYNSNYFMEFDFTDKLDALIVDQGQRKYGEPVKLSAPKIISSDKKDELLQRNENNMRHSLRMSTSGRGLFIL >Vigun05g038900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3114174:3120108:1 gene:Vigun05g038900.v1.2 transcript:Vigun05g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSKYKRTLLKRKSKVVGRVKSQPSSPPPRVVFLSMSMRLRAFNLLFRTIHKPNLTHFSHPFASTALAHSTPPSFSDTTPSTPPSHPSTLHVLQTLHSLHHHPSLALSFLNHLHRTGFPHTLSTYAAITKMFAFWNLPRKLDSLFLHLITLSKHHHLPFHLLQLFEILFQDFDHHNHYLLRAFSGFVKTCVSLNMFDEAIDFLFQTRRRGIVPDVLTCNFLFNRLVEQGEVDKALAIYEQLKRFGFRPNCYSYAIVIKALCKKGDLSQPLCVFEEMESVGITPHSYCYAAYIEGLCNNHRSDLGYEVLQAFRKGNAPLEVYAYTAVVRGFCNEMKLDEAQGVFDDMERQGLVPDVFVYSALIQGYCKGHNLLKALALHDEMISRGVKTNCVIVSYILHCLGKMGMTLEVVDQFKELKKSGMFLDGVAYNIVFDALCKLGKVEDAIEMSEDMKSKGVALDLKHYTTLINGYCLQGDLVSGFKVFKEMSDKGFKPDIVTYNVLATGLFRNGHACEALKFLDCLESQGVKPNSTTHKLIIENLCSVGKVLEAEAYFNSLEDKSIEIYSAIVNGYCEAGLVKKSYEIFLKLSNQGDMANKASCYKLITKLCMTGDIEKAVMLLERMMMSNVEPGITMYSKVIASLCQIGDMNNARSLFDFFVHRGFTPNVIIYTIMINSYCRMNCLQEAYDLFQDMRRRGIKPDVIIYTVLLDGNLKENLRRRVSPHGKGNKTSLNVSSILRDMEQMEINPDVVCYTVLIDGHMKTDNFQEAVSLFNKMIDSGLEPDTVTYAALVSGLCKGGHMEKAIILLNEMSSKGMEPDARVISALKRGVIKARRVHFHE >Vigun03g130200.3.v1.2 pep primary_assembly:ASM411807v1:3:12625138:12643898:-1 gene:Vigun03g130200.v1.2 transcript:Vigun03g130200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLGGSSCGSAVAVAAGLVDFAVGTDTVGCVRIPAAFCGIFGFRPSHGAVSTIGVLPNAQSLDTIGWFACDPSILHRVGQVLLQLSSVETKRSRRIIFADDLFQLSTIPTQRTIHVIGKAIENMSGYQDPKHLNLCQYIESKVPSLRLHEQSTHQQNETSILKTLSSVMLLLQGYEFKTNHEEWVKSLKFKLGRGVSDHVIAAINTVYDNIKALYKVRTEMRGAFQSLLKDDGILVIPTVSDNQLKLNTMKGFSSEFHDRTFALSSIASVSGCCQVTIPLGYHDGCCVSVSFVSFHGADKFLLDTVLDIYSTLRERVSVGSDLLSLPDISANRETSELLKEKGNAAFKEKQWSKALSYYTEAIKLNGTNSTYYCNRAAAHLKLGCFQHAAEDCSKAILLDKMNVKAYLRRGAAKESLLRYEEALEDFKHALVLEPQNKDANLAEKRLRKLMS >Vigun03g130200.2.v1.2 pep primary_assembly:ASM411807v1:3:12626934:12643898:-1 gene:Vigun03g130200.v1.2 transcript:Vigun03g130200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLKLIREHASNPKFWLIIGVGVAGIAVLVETRRRTRRNKTLKKDFGAFVERFEILPFPQLPPPAAKQSLSALTFAINETFDVKGYVTGFGNPTWKSTHKAAEKTAVVVTALLKSGATCVGKTVVDEFSFGISGENKYYGTPTNPRMPSCTPGGSSCGSAVAVAAGLVDFAVGTDTVGCVRIPAAFCGIFGFRPSHGAVSTIGVLPNAQSLDTIGWFACDPSILHRVGQVLLQLSSVETKRSRRIIFADDLFQLSTIPTQRTIHVIGKAIENMSGYQDPKHLNLCQYIESKVPSLRLHEQSTHQQNETSILKTLSSVMLLLQGYEFKTNHEEWVKSLKFKLGRGVSDHVIAAINTVYDNIKALYKVRTEMRGAFQSLLKDDGILVIPTVSDNQLKLNTMKGFSSEFHDRTFALSSIASVSGCCQVTIPLGYHDGCCVSVSFVSFHGADKFLLDTVLDIYSTLRERVSVGSDLLSLPDISANRETSELLKEKVRFH >Vigun03g130200.1.v1.2 pep primary_assembly:ASM411807v1:3:12625138:12643898:-1 gene:Vigun03g130200.v1.2 transcript:Vigun03g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLKLIREHASNPKFWLIIGVGVAGIAVLVETRRRTRRNKTLKKDFGAFVERFEILPFPQLPPPAAKQSLSALTFAINETFDVKGYVTGFGNPTWKSTHKAAEKTAVVVTALLKSGATCVGKTVVDEFSFGISGENKYYGTPTNPRMPSCTPGGSSCGSAVAVAAGLVDFAVGTDTVGCVRIPAAFCGIFGFRPSHGAVSTIGVLPNAQSLDTIGWFACDPSILHRVGQVLLQLSSVETKRSRRIIFADDLFQLSTIPTQRTIHVIGKAIENMSGYQDPKHLNLCQYIESKVPSLRLHEQSTHQQNETSILKTLSSVMLLLQGYEFKTNHEEWVKSLKFKLGRGVSDHVIAAINTVYDNIKALYKVRTEMRGAFQSLLKDDGILVIPTVSDNQLKLNTMKGFSSEFHDRTFALSSIASVSGCCQVTIPLGYHDGCCVSVSFVSFHGADKFLLDTVLDIYSTLRERVSVGSDLLSLPDISANRETSELLKEKGNAAFKEKQWSKALSYYTEAIKLNGTNSTYYCNRAAAHLKLGCFQHAAEDCSKAILLDKMNVKAYLRRGAAKESLLRYEEALEDFKHALVLEPQNKDANLAEKRLRKLMS >Vigun07g058300.1.v1.2 pep primary_assembly:ASM411807v1:7:6426124:6426735:-1 gene:Vigun07g058300.v1.2 transcript:Vigun07g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSERKKNTGVKAKEGTRGGGGGGRVTLNGAHKINAYGLGAHWFTISQFSYLLMPQQKGHREW >Vigun11g144800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35410986:35411861:-1 gene:Vigun11g144800.v1.2 transcript:Vigun11g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQLVLSFSIAVLLSSLFFTTTLAQLAPAASPLKPPQPTPTPPAEAPKQPLVPSLPQSPSDSTPDTSAVDIVGILRQAKAFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDGSFSELKPGFLNSLSDGQKLELLQFHVISEYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVGKVLLPMDFFAVAKAPAKGPSLAPEPSAKAPKADKDPLSPDSSESSQTNPTSNNSGSEKIHVHGKWVSLVLGLVAVTALSS >Vigun03g197200.1.v1.2 pep primary_assembly:ASM411807v1:3:28061654:28067860:1 gene:Vigun03g197200.v1.2 transcript:Vigun03g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLGEILQSVELWLKLMKKPQPEAYVNPNLDPVLLVPGVGGSILHAVSDPDGSQERVWVRFLSAEYKLKTKLWSRYDPSTGKTESMDPNSTIMIPEDRHGLYAIDILDPDLMIGSEGVYYFHDMIVEMRKWGFEEGKTLFGFGYDFRQSNRLQETMDRLAAKLESIYNASGGKKINIISHSMGGLLVKCFMSLQSDIFEKYVKNWVAITAPFQGAPGSTNATFLNGMSFVEGWEQNLYISKWSMHQLLIECPSVYELRGCPNFHWKHVPALELWRERHDSEGKSHVVLESYPPCDSIEILKQALVNNTVNYDGENIPLPFNLDILNWANKTWEILSSAKLPSQVKFYNIYGTNLETPHSVCLGSADKPVTDLQQLRYIQAKYVFVDGDGTVPIESAKADGLNAEARVGVPGEHRGILREPHVFRLIKHWLKAGVPDPFYNPLNDFVILPTAFEIERYKENGVEVASLKEEWEIISKDQDDLSNTADKMCSISVSHEGANQSYSEAHATLVIHPGTEGKHVQLNALAVSVDAL >Vigun09g223800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39676959:39678155:1 gene:Vigun09g223800.v1.2 transcript:Vigun09g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQRSRSYANGQMMQIETYYGAPTRCYSASYAQTQIPQRDFKLKKGKSISAASSFSKSWALSDPELQRKKRVASYKMYSVEGKIKGSFRKSFRWLKNKYSQVVYGW >Vigun09g199000.2.v1.2 pep primary_assembly:ASM411807v1:9:37361879:37365909:-1 gene:Vigun09g199000.v1.2 transcript:Vigun09g199000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGHQTKLHSSLIMSMSVVLDRLQILRLYLIMCVTSSINTRRIQLGQPATVKVAANLVRLLSYNNKNFLETGLIVGGWDKYEGGQIFGVPLGGTIVQQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEDLVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPLWHEEMEPHNSLLDILGAPEPMSM >Vigun09g199000.1.v1.2 pep primary_assembly:ASM411807v1:9:37361879:37365909:-1 gene:Vigun09g199000.v1.2 transcript:Vigun09g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKVDFSAPHSMGTTIIGVTYKDGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYNNKNFLETGLIVGGWDKYEGGQIFGVPLGGTIVQQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEDLVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPLWHEEMEPHNSLLDILGAPEPMSM >Vigun07g016500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1442525:1443347:-1 gene:Vigun07g016500.v1.2 transcript:Vigun07g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIVRQGSFSSSKATRKGVEVPKGYLAVYVGFNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTIPCDEDEFLSLTSRLNELL >Vigun03g336700.1.v1.2 pep primary_assembly:ASM411807v1:3:53471831:53472760:-1 gene:Vigun03g336700.v1.2 transcript:Vigun03g336700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKMAGTFFRLFVILLGLSHLMCLKAIPVTRIENIMQSPKVRLTPENSHKVMNEKYWHLEEPTITERMELELLDYSPSGPNGRHTPKAP >Vigun09g103333.1.v1.2 pep primary_assembly:ASM411807v1:9:18055603:18056811:1 gene:Vigun09g103333.v1.2 transcript:Vigun09g103333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKTRRRRQEEKKEKKEKKKKKKKKKKKKKKKKEKEKEKKEKKKEKKKKKKKKKEKKKEKKKEKKKKEKKKTEKKTEKKEKEKEKEKKKKKKKKKKEKEKKKKEKEKKKKEKEKKKKEKKEKEKKEKEKKEKKKKEKEKKEKEKKEK >Vigun07g142800.1.v1.2 pep primary_assembly:ASM411807v1:7:25354884:25362805:-1 gene:Vigun07g142800.v1.2 transcript:Vigun07g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPLIPPSEALSTSNSVRRCGETVWIFDELPKATVVSVSRPDTGDISSILLSYTIQLQYKQFKWLLVKKASQLLYLQFCLRKRVIIEDFHDRQEQLKEWLQNLGIADQTVIVHEDEEPDDGAVPLLHEDSVKNRYVPSVAALPIIRPSLGGQHSIADRAKVAMQGYLNHFLENLDIVNSQEVCRFLEVSKLSFIQEYGPKLKEGFVMVKHLSNISQDLDVSCFPCNWFRCCNNSWKKVWAVLKPGFLALLDDPFSNKPLDIIIFDILPSSEGVGGTKAYLADPIKGRNPLRYSFKVTSGNRSILLRTTSSPKVKAWVAAINEASLRPLEGWCCRHRFGSFAPIRGLTEDGSQAQWFVDGHAAFEAIATSIQDAKSEIFITGWWLCPELYLRRPFDSFSTSRLDSLLEEKAKQGVQIYVLLYKEVSLALKINSLYSMKRLLKIHENVKVLRYPDHFAARVYLWSHHEKLVIIDYKICYIGGLDLCFGRYDTPEHKVGDCPSVIWPGKDYYNPRESEPNSWEDTMKDELNREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNHAKRTKAPNEHEIPLLMPHHHMVLPHYMGRSREIDIEEKKDEEERKEIVKQDSFSSESPVQDIPLLLPQEADGIVTSNGDHTNFSEISPSMEDETLVSDTQTKGFQDEVVPLLLGAQSFADVLDDWWEAPEGTNDDTILEYGQVGPRTTCHCQVIRSVSQWSAGTSQPEESIHTAYCSLIEKAKHFIYIENQFFISGLSGDDTIQNRILEALYRRILQAHKEQKDFRVIVVIPLLPGFQGGLDDGGAATVRALTHWQYRTISREKHSILYNLETVLGPKTYDYISFYGLRSHGRLYENGPVATSQVYVHSKLMIIDDRVSFIGSSNINDRSLLGLRDSEIGVLIEDKEYVESLMNGKPWKAGKFSYSLRCSLWSEHLGLHTGEISKISDPVVDTTYKDLWSATAKENASIYHEVFACIPNDEIHSRAALRQSMSELKEKVGDTTIDMGIAPDKLVCHENGEMKRIEAIDRLKCVKGHLVSFPLEFMREEDLRPALMESEFYVAPQVYH >Vigun07g142800.2.v1.2 pep primary_assembly:ASM411807v1:7:25354884:25362805:-1 gene:Vigun07g142800.v1.2 transcript:Vigun07g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPLIPPSEALSTSNSVRRCGETVWIFDELPKATVVSVSRPDTGDISSILLSYTIQLQYKQFKWLLVKKASQLLYLQFCLRKRVIIEDFHDRQEQLKEWLQNLGIADQTVIVHEDEEPDDGAVPLLHEDSVKNRYVPSVAALPIIRPSLGGQHSIADRAKVAMQGYLNHFLENLDIVNSQEVCRFLEVSKLSFIQEYGPKLKEGFVMVKHLSNISQDLDVSCFPCNWFRCCNNSWKKVWAVLKPGFLALLDDPFSNKPLDIIIFDILPSSEGVGGTKAYLADPIKGRNPLRYSFKVTSGNRSILLRTTSSPKVKAWVAAINEASLRPLEGWCCRHRFGSFAPIRGLTEDGSQAQWFVDGHAAFEAIATSIQDAKSEIFITGWWLCPELYLRRPFDSFSTSRLDSLLEEKAKQGVQIYVLLYKEVSLALKINSLYSMKRLLKIHENVKVLRYPDHFAARVYLWSHHEKLVIIDYKICYIGGLDLCFGRYDTPEHKVGDCPSVIWPGKDYYNPRESEPNSWEDTMKDELNREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNHAKRTKAPNEHEIPLLMPHHHMVLPHYMGRSREIDIEEKKDEEERKEIVKQDSFSSESPVQDIPLLLPQEADGIVTSNGDHTNFSEISPSMEDETLVSDTQTKGFQDEVVPLLLGAQSFADVLDDWWEAPEGTNDDTILEYGQVGPRTTCHCQVIRSVSQWSAGTSQPEESIHTAYCSLIEKAKHFIYIENQFFISGLSGDDTIQNRILEALYRRILQAHKEQKDFRVIVVIPLLPGFQGGLDDGGAATVRALTHWQYRTISREKHSILYNLETVLGPKTYDYISFYGLRSHGRLYENGPVATSQVYVHSKLMIIDDRVSFIGSSNINDRSLLGLRDSEIGVLIEDKEYVESLMNGKPWKAGKFSYSLRCSLWSEHLGLHTGEISKISDPVVDTTYKDLWSATAKENASIYHEVFACIPNDEIHSRIICRAALRQSMSELKEKVGDTTIDMGIAPDKLVCHENGEMKRIEAIDRLKCVKGHLVSFPLEFMREEDLRPALMESEFYVAPQVYH >Vigun05g033950.1.v1.2 pep primary_assembly:ASM411807v1:5:2760233:2761267:1 gene:Vigun05g033950.v1.2 transcript:Vigun05g033950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEMIKCVSINHLKTFFWRSQFSQETCDWGRKIFNVACFVVLWWERVLRSGGGCCWCNEMQCKWWYLSMLCSANSVLNAAVLKYAHKQEQDFFFF >VigunL059008.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:4697:5056:-1 gene:VigunL059008.v1.2 transcript:VigunL059008.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g141000.2.v1.2 pep primary_assembly:ASM411807v1:7:25122375:25129136:-1 gene:Vigun07g141000.v1.2 transcript:Vigun07g141000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSRKKNQDLELEKARLISLALDFGFDESSANKCLHRLIDLYGEDGCDFITVEHCGDDFIATLAESMQATEDWDDLQEMESQACGTLTHVLDKTVAPGADADNDDASRSFINVVDDSPQPQKRRRRAKVVELDSSDDEDMHCSVPRGKSVDCRSGITQGSLSSTSSKMQSSFASWDKSGTLTYEELQALDDIELANVVIFGNSTFRPLQHRACKVALAKQDSFILMPTGGGKSLCYQLPATLQPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQATAVLQELRKDKPSCKLLYVTPERIAGNQSFLEILKCMHRKGQLAGFVIDEAHCVSQWGHDFRPDYRGLGSLKQKFPDVPVMALTATATHAVREDILNALRIPHALVLERSFDRPNLKYEVIGKTKEPLKQLGQLLIDRFRNQCGIVYCLSKSECVEVSKFLNEKCKIKTVYYHAGLAARQRIAVQKKWHDGEVDIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPSVCIALYQKKDFSRVVCMLRNGQGYKKENFKTAMVQAKKMQDYCEIKVECRRQTLLKHFGESFDRKSCKYGSSPCDNCLKTVL >Vigun07g141000.1.v1.2 pep primary_assembly:ASM411807v1:7:25122375:25129169:-1 gene:Vigun07g141000.v1.2 transcript:Vigun07g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICTVVFRGENLWIAEVASLKALFHQLPAKCNPPLHHGIKAHRACKVALAKQDSFILMPTGGGKSLCYQLPATLQPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQATAVLQELRKDKPSCKLLYVTPERIAGNQSFLEILKCMHRKGQLAGFVIDEAHCVSQWGHDFRPDYRGLGSLKQKFPDVPVMALTATATHAVREDILNALRIPHALVLERSFDRPNLKYEVIGKTKEPLKQLGQLLIDRFRNQCGIVYCLSKSECVEVSKFLNEKCKIKTVYYHAGLAARQRIAVQKKWHDGEVDIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPSVCIALYQKKDFSRVVCMLRNGQGYKKENFKTAMVQAKKMQDYCEIKVECRRQTLLKHFGESFDRKSCKYGSSPCDNCLKTVL >Vigun07g141000.3.v1.2 pep primary_assembly:ASM411807v1:7:25122375:25129136:-1 gene:Vigun07g141000.v1.2 transcript:Vigun07g141000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFASWDKSGTLTYEELQALDDIELANVVIFGNSTFRPLQHRACKVALAKQDSFILMPTGGGKSLCYQLPATLQPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQATAVLQELRKDKPSCKLLYVTPERIAGNQSFLEILKCMHRKGQLAGFVIDEAHCVSQWGHDFRPDYRGLGSLKQKFPDVPVMALTATATHAVREDILNALRIPHALVLERSFDRPNLKYEVIGKTKEPLKQLGQLLIDRFRNQCGIVYCLSKSECVEVSKFLNEKCKIKTVYYHAGLAARQRIAVQKKWHDGEVDIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPSVCIALYQKKDFSRVVCMLRNGQGYKKENFKTAMVQAKKMQDYCEIKVECRRQTLLKHFGESFDRKSCKYGSSPCDNCLKTVL >Vigun03g377700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58099806:58102915:1 gene:Vigun03g377700.v1.2 transcript:Vigun03g377700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTHHPQSQPQPQPQPKPNPNLLSLFLKPIIMLILTSLFFLFIGFAAFLLLHLFLLGGAFHRLRFRPSSASASASNALLPREINKLPRFRLSRGSHMLADSHCVVCLDAFRSGQCCRKLAACGHVFHRRCVDTWLLKVAACPTCRTPVRFNAGPPVHDATLGSAFGQFQACETDSIADTLL >Vigun07g191400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30975952:30976610:-1 gene:Vigun07g191400.v1.2 transcript:Vigun07g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEKKPAEKKPAEKAPAEKTKAEKKIPKDATSGDKKKKRKAKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun05g045100.1.v1.2 pep primary_assembly:ASM411807v1:5:3727805:3731657:1 gene:Vigun05g045100.v1.2 transcript:Vigun05g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPGLYSDIGKKARDLLFKDYQSDQKFTVTTFSPTGVAITSSGTRKGELFVADVNTQLKNKNITTDIKVDTDSNLFTTITVNEPAPGLKAIFNFKVPDQRSGKVELQYLHDYAGISTSVGLTANPIVNFSGVVGTNVLALGADLSFDTKIGELTKSNAGLSFTKDDLIASLTLNDKGDALNAAYYHVVNSVTNTAVGAEVTHRFSTNENTLTLGTQHALDPLTTLKARVNNFGKTSALIQHEWRPKSFFTISGEVDTKAIEKSAKVGLSLVLKP >Vigun03g361400.2.v1.2 pep primary_assembly:ASM411807v1:3:56479127:56485506:-1 gene:Vigun03g361400.v1.2 transcript:Vigun03g361400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREQTMLLNFVLASFVFLVSIRDGSARITSSFIRSEWPAVDLPLDHEAFAVPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGPSHVQYGTSESEFQTSLEGTVRNYTFHEYKSGYIHHCVIEGLEYKTKYYYRIGSGDSSREFWFETPPKVDPDASYKFGIIGDLGQTFNSLSTLEHYIQSGAETVLFVGDLSYADRYQYSDVGLRWDTWGRFVERSTAYHPWIWSAGNHEIEYMPEMGEVVPFRNFLYRYTTPYLASNSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQYMWFQEELKRVDREKTPWLIVLMHIPLYNSNGAHYMEGESMRSVFESWFIKYKVDVIFAGHVHAYERSYRYSNMDYNITSGNRYPSPDKSAPVYITVGDGGNQEGLAATFLDPQPEYSAFREASYGHSTLEIKNRTHAIYHWNRNDDGKKVPTDSFILHNQYWRTNRRRRKLKHFLLTVIDEVANM >Vigun03g361400.1.v1.2 pep primary_assembly:ASM411807v1:3:56479063:56485779:-1 gene:Vigun03g361400.v1.2 transcript:Vigun03g361400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREQTMLLNFVLASFVFLVSIRDGSARITSSFIRSEWPAVDLPLDHEAFAVPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGPSHVQYGTSESEFQTSLEGTVRNYTFHEYKSGYIHHCVIEGLEYKTKYYYRIGSGDSSREFWFETPPKVDPDASYKFGIIGDLGQTFNSLSTLEHYIQSGAETVLFVGDLSYADRYQYSDVGLRWDTWGRFVERSTAYHPWIWSAGNHEIEYMPEMGEVVPFRNFLYRYTTPYLASNSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQYMWFQEELKRVDREKTPWLIVLMHIPLYNSNGAHYMEGESMRSVFESWFIKYKVDVIFAGHVHAYERSYRYSNMDYNITSGNRYPSPDKSAPVYITVGDGGNQEGLAATFLDPQPEYSAFREASYGHSTLEIKNRTHAIYHWNRNDDGKKVPTDSFILHNQYWRTNRRRRKLKHFLLTVIDEVANM >Vigun03g009400.2.v1.2 pep primary_assembly:ASM411807v1:3:649969:656225:1 gene:Vigun03g009400.v1.2 transcript:Vigun03g009400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSNESIQFCIFDLRRGQNEGQELDKILFFFPAGFPFSKQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFHEAEPDIWMVMVVEKSNDSDPIWRDDALRKVLKEIHSLFVMFHGSIREMLEKEPGGGLTRQHLYSFIMDYLMDFQAGKKLLLPSYRDCLKERGTVQMLTIGREAALEVQSLVRVLESSDGNTLCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPHALSSGSSSWSYLRKGSTVSNAAPESNMTHPNSMSESFYGSSDISSGEDGHYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVWLQQTGEKLYLCVYQHRSLTLMLMIPVSSVPNGEQGVAEVRQRIIENASLKILKVEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPATKVTTLTKESLLAMNKLRQEVELEKSRAKLDRCNFEKDLDICIRAKNNAWVISRVTRGKELYMVLEKANETLLYASDAVEKFSDRYCDGTFSLD >Vigun03g009400.1.v1.2 pep primary_assembly:ASM411807v1:3:650161:656225:1 gene:Vigun03g009400.v1.2 transcript:Vigun03g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSNESIQFCIFDLRRGQNEGQELDKILFFFPAGFPFSKQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFHEAEPDIWMVMVVEKSNDSDPIWRDDALRKVLKEIHSLFVMFHGSIREMLEKEPGGGLTRQHLYSFIMDYLMDFQAGKKLLLPSYRDCLKERGTVQMLTIGREAALEVQSLVRVLESSDGNTLCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPHALSSGSSSWSYLRKGSTVSNAAPESNMTHPNSMSESFYGSSDISSGEDGHYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVWLQQTGEKLYLCVYQHRSLTLMLMIPVSSVPNGEQGVAEVRQRIIENASLKILKVEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPATKVTTLTKESLLAMNKLRQEVELEKSRAKLDRCNFEKDLDICIRAKNNAWVISRVTRGKELYMVLEKANETLLYASDAVEKFSDRYCDGTFSLD >VigunL026100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:97574:98539:-1 gene:VigunL026100.v1.2 transcript:VigunL026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Vigun01g176700.1.v1.2 pep primary_assembly:ASM411807v1:1:35786800:35788409:1 gene:Vigun01g176700.v1.2 transcript:Vigun01g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKIIQSNKSINLSSSLFSFRRGIAYKLFVGGLSFCTTEKALSEAFSNYGQVIEAKVVTDRVSDRSKGFGFVTFASPDEAENAIADMKGKTLNGRVIFVDYAKPNINTNGAMPIARGPPEPTPDT >Vigun03g396800.1.v1.2 pep primary_assembly:ASM411807v1:3:60311846:60313714:-1 gene:Vigun03g396800.v1.2 transcript:Vigun03g396800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRNRFPFTPSQWQELEHQALIYKYMASGISIPPDLLFTIKRTHLDSPLSSRLLPHHSQHFGWNYLPMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTSPTTATTITDASTPTILSITKNSPALSPTTHSLSHDTYHHHHPQHSPHSFLYHHPSRPSSGVALSFQDNSAPLFLDTASCSQNNNTDCRNRYVYGLKEEVDEHAFFTEPSGTMRSFSASSMEDSWQLTPLTISSSSSSKQRSCSGLSNDNNEYSYLQLQSLSGNNSKQPQQDQGCYISGTGSDIKCETFMKLGKEEPQRTVHRFFDEWPPKSRGSWLDLDDKSSTTQLSISIPTSSHDFATFSSTTQRDG >VigunL060026.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:24857:25120:1 gene:VigunL060026.v1.2 transcript:VigunL060026.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun11g161100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36846203:36848062:-1 gene:Vigun11g161100.v1.2 transcript:Vigun11g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRQWPRLILAFAFCLMAISVGADDYKPYYGQPWNNYPHPTPPYQHQTPPYYYKSPPPPSPSPPPSPYVDKFPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYAYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPYVYNSPPPPSPSPPPPYYYKSPSPPSPSPPPPYYYKSPPPPTVY >Vigun08g208633.1.v1.2 pep primary_assembly:ASM411807v1:8:37160296:37163670:-1 gene:Vigun08g208633.v1.2 transcript:Vigun08g208633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAGMRMESLVPRITSCKWRSKFQRVAHGTLETRVRSDFLRSEFEDLLCAVRPCLISLVKTFGFPFSSSHGSCWNYNYGAVGEALKVDLLSHLEYIKQNATLAFQAAIWRWMTPIKKYQPSAHDAFVGNCKPTKNDTMENRVPSFGTTMNILYGEGVCGQGDVDTMNNIVSHYMYYLDLLGVGREEAGPHELLTCVEQVPFNPSSKAASST >Vigun11g064200.1.v1.2 pep primary_assembly:ASM411807v1:11:14743537:14745946:1 gene:Vigun11g064200.v1.2 transcript:Vigun11g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEDSSTSSQQQPNLPPGFRFHPTDEELVVHYLKKKVDSVPLPVSIIADVDLYKFDPWELPAKASFGEEEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPICSGTQKVGVKKSLVFYGGKPPKGVKTDWIMHEYRLAETKPNNRPPGCDLGHKKNSLRLDDWVLCRIYKKGNTQRSAMEHERDDSMDDMIGEVPSSMNVGHMNARFHLSKMSTSYSGALLENDRNTLEGVVIGNGVNGISTTTSAMPSHFGTSNSKPDHLPFALSNNASASKRTLSTLYNWNHDTDQKHFNLDNNGNVSVVRTEEDNNASPGSFATLLNQLPQTPSLPQIGSMGDGLLRTQYQIQGTNWYG >Vigun11g064200.2.v1.2 pep primary_assembly:ASM411807v1:11:14743537:14745946:1 gene:Vigun11g064200.v1.2 transcript:Vigun11g064200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEDSSTSSQQQPNLPPGFRFHPTDEELVVHYLKKKVDSVPLPVSIIADVDLYKFDPWELPAKASFGEEEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPICSGTQKVGVKKSLVFYGGKPPKGVKTDWIMHEYRLAETKPNNRPPGCDLGHKKNSLRLDDWVLCRIYKKGNTQRSAMEHERDDSMDDMIGEVPSSMNVGHMNARFHLSKMSTSYSGALLENDRNTLEGVVIGNGVNGISTTTSAMPSHFGTSNSKPDHLPFALSNNASASKRTLSTLYNWNHDTDQKHFNLDNNGNVSVVRTEEDNNASPGSFATLLNQLPQTPSLPQIGSMGDGLLRTQYQIQGTNW >Vigun10g172800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39150178:39152979:-1 gene:Vigun10g172800.v1.2 transcript:Vigun10g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPTVALRAIFVGGIAAFAKIAGAMKAAGGVKVGAAATAMAAAATAAVTGSKQEQTDASQPSPK >Vigun04g025400.1.v1.2 pep primary_assembly:ASM411807v1:4:1954233:1958003:1 gene:Vigun04g025400.v1.2 transcript:Vigun04g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSFSRLEGNVLKISYRKKIDSSETFPRFMKTIRVLQFPSSFFLPFSHLCTHISFSLLLFTLTCSSQWTSRTLHVTKVALHAVVLTASLHLTGRALLEKHSNGPLRFFNCLMRMRCNLFEGCFTSSSDTFPKQSKWKILPYKKLAKVTDNFDQSHCLGKRGFATEYYGKLADGREITIQCFNEDKHHILQQFINETTILNYLPHKNIVSIYGCASHHEKSMLVHEYLSNGNLAAHLKTEDENSKISWLTRFDVAIDIANALDYLHCYGIIHRNVKSSNILLDVHFSAKLANLHLSCKLPDGVPVYAAHVTNDIIGTCGYIDPDYLTKGQLSVKNDVYSFGVVLCELLSSKLAKYWVLKEEDDLATLLRTKIEKQAVVELLDPTLDFQSNLKIKRMMTATAELALLCMQCPQELRPSMEQVLEILKGIKQGRHEPNTTKALKIFHHGELEEATNNFDTCLGKGGYGTVYYGKLKDGREVAIKCFHEESETEKIIEQFMKETEVLVWLHHKNLVLLYGRTSRHSNKHMLVYEYISNGTLSKHLHDSSCGKLPWHTRLNIAIETATALVYLHDSGIIHRDVKGSNILLDENFTVKVADFGFSRYLPDYATHVSTIPVGTRAYIDPDYYESGRVCDKSDVYSFGVVLFELISSNSPSLIEGTDYVTLAQFAKRKILNKELNAVVDPSFWFGVDRNMMEMITAVAELAFQCVQCPKVLRPSMKQVLETLEGIRKGTWGFNQIT >Vigun05g003100.1.v1.2 pep primary_assembly:ASM411807v1:5:243865:247408:1 gene:Vigun05g003100.v1.2 transcript:Vigun05g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFTRLWTPNTLIGLGLGQFLSLLITSTGFTSSELAKKGINAPTSQSFLNYVFLTFVYGTILLYRRKPLKAKWYYYVILGLVDVEANFLVVKAYQYTSLTSVMLLDCWSIPSVMLLTWLFLKTKYRFKKITGVVVCVSGLVLVVFSDVHAGDHAGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMAMLGLSGGIISAIQISILERNELKSIHWSAEAALPFVGFAVAMFMFYSLVPVLLKINGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFGAVTLGLVIYSGGDRDEDQNPPNSGDDLHPALKQDEEANSGNRSKGTMAGSSKTWVA >Vigun06g196500.2.v1.2 pep primary_assembly:ASM411807v1:6:31199818:31202350:-1 gene:Vigun06g196500.v1.2 transcript:Vigun06g196500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPVASRLKQQAALHPRFRQLIVNMAQSNHQITTKMQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAGVLLIFEVQRSSRSEARKEELRKEELGVNN >Vigun06g196500.1.v1.2 pep primary_assembly:ASM411807v1:6:31198201:31202350:-1 gene:Vigun06g196500.v1.2 transcript:Vigun06g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPVASRLKQQAALHPRFRQLIVNMAQSNHQITTKMQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAGVLLIFEVQRSSRSEARKEELRKEELGAVRQKNEDLAEEVELLKQRIQELEQMARGRGLTGILNLRQGNKENGKAEKTA >Vigun06g196500.3.v1.2 pep primary_assembly:ASM411807v1:6:31201288:31202350:-1 gene:Vigun06g196500.v1.2 transcript:Vigun06g196500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPVASRLKQQAALHPRFRQLIVNMAQSNHQITTKMQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSLDRYSYKVILSLTPPYKLTE >Vigun10g076964.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20859196:20859741:-1 gene:Vigun10g076964.v1.2 transcript:Vigun10g076964.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFEIIFDNGVLEIPQLRIKKSTEVTWRNMIAWEQSRIVTSCKLTSYALFFQGLICCTHDIELLERLGIIVNESGKRNEDILKMFETLSQGVDQMDWSYSDVCAKLNSDTGKRSLTKWPAVTWHQCRRIIAIIFYYWKNWYDILIKEHIPTVWKLIGVLAAVALLGLTIAQTYYSAKSGN >Vigun11g011100.1.v1.2 pep primary_assembly:ASM411807v1:11:1379022:1382644:1 gene:Vigun11g011100.v1.2 transcript:Vigun11g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTSPMLTPLLLFLLFSLAKALNPNCDVHDHGSTLKVFHVFSPCSPFRPSEGLSWEESVLQLEAKDHARMQYLWSLVARRSIVPIASGRQITQSPTYIVKAKIGTPPQTLLLAMDTSNDAAWVPCTSCVGCSTLKPFAPAKSTTFHNLPCSAPQCKQVPNPTCGGSACAFNFTYGTSSVAAGLVQDTVTLATDPVPGYTFGCVQKATGSSIPTQGLLGLGRGPLSLLAQTHHLYQSTFSYCLPSFKTLNFSGSLRLGPVAQPKRIKFTPLLKNPRRSSLYYVNLVAVRVGRRIVDIPPEALAFNPTTGAGTIFDSGTVFTRLVEPAYTAVRNEFRRRVSVGKKLTVTRLGGFDTCYTVPIVAPTITFMFSGMNVTLTPDNTLIHSTAGGITCLAMAAAPDNVNSVLNVIANMQQQNHRVLYDVPNSRLGVARELCT >Vigun06g037200.7.v1.2 pep primary_assembly:ASM411807v1:6:15306470:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.4.v1.2 pep primary_assembly:ASM411807v1:6:15306065:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQKLIFFFFHASLVFIIFPLVGATPENHQITNNPSRTKDNSHIKMSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.2.v1.2 pep primary_assembly:ASM411807v1:6:15306064:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQKLIFFFFHASLVFIIFPLVGATPENHQITNNPSRTKDNSHIKMSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.3.v1.2 pep primary_assembly:ASM411807v1:6:15306065:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQKLIFFFFHASLVFIIFPLVGATPENHQITNNPSRTKDNSHIKMSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.5.v1.2 pep primary_assembly:ASM411807v1:6:15306470:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.1.v1.2 pep primary_assembly:ASM411807v1:6:15306469:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQQKLIFFFFHASLVFIIFPLVGATPENHQITNNPSRTKDNSHIKMSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun06g037200.6.v1.2 pep primary_assembly:ASM411807v1:6:15306065:15309493:1 gene:Vigun06g037200.v1.2 transcript:Vigun06g037200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLQFEITLHGFLLWASMGFLMPVGILAIRLSNKEENPRRHRIVFYVHSILQMIAVLLATVGAIMSIKNFNNLFNNSHQRLGVALYGIIWLQVLLGLFRPQRGSKRSVWFFAHWILGTAVTFLGVLNVYLGLEAYHQKTSKGIKIWNILFTVQISMVVFFYLFQEKWVYIQNQGVVLGNEMMTSICQEIGTDEKDEKVLKGDTC >Vigun09g045400.2.v1.2 pep primary_assembly:ASM411807v1:9:4304298:4308105:-1 gene:Vigun09g045400.v1.2 transcript:Vigun09g045400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKACESFSKSLIEEVHRWGCLKQTGVSLRYMMEFGSKPVNKNLLISAQFLHKELAIRIARRAIELENLPYGLSQKPAILKVRDWYLDSFRDLRAFPDIKNMNDEREFTEMIKAIKVRHNNVVPTMALGVQQLKKGMDPKIVYEDLVEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPFVVGYIHTKMSPVEVAMNASEDARSICCREYGSAPDVQIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVQERFMDSDKVAPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTAKNPLDEHSDLGIGENVTMAGYGYGLPISRLYARYFGGDLQMISMEGYGTDAYLHLSRLGDSQEPLP >Vigun09g045400.3.v1.2 pep primary_assembly:ASM411807v1:9:4304298:4308091:-1 gene:Vigun09g045400.v1.2 transcript:Vigun09g045400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKACESFSKSLIEEVHRWGCLKQTGVSLRYMMEFGSKPVNKNLLISAQFLHKELAIRIARRAIELENLPYGLSQKPAILKVRDWYLDSFRDLRAFPDIKNMNDEREFTEMIKAIKVRHNNVVPTMALGVQQLKKGMDPKIVYEDLVEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPFVVGYIHTKMSPVEVAMNASEDARSICCREYGSAPDVQIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVQERFMDSDKVAPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTAKNPLDEHSDLGIGENVTMAGYGYGLPISRLYARYFGGDLQMISMEGYGTDAYLHLSRLGDSQEPLP >Vigun09g045400.1.v1.2 pep primary_assembly:ASM411807v1:9:4304298:4308091:-1 gene:Vigun09g045400.v1.2 transcript:Vigun09g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPINSALRGPNFHFSSSTISLRFKGSYLQNQIFMAAKKACESFSKSLIEEVHRWGCLKQTGVSLRYMMEFGSKPVNKNLLISAQFLHKELAIRIARRAIELENLPYGLSQKPAILKVRDWYLDSFRDLRAFPDIKNMNDEREFTEMIKAIKVRHNNVVPTMALGVQQLKKGMDPKIVYEDLVEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPFVVGYIHTKMSPVEVAMNASEDARSICCREYGSAPDVQIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVQERFMDSDKVAPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTAKNPLDEHSDLGIGENVTMAGYGYGLPISRLYARYFGGDLQMISMEGYGTDAYLHLSRLGDSQEPLP >Vigun11g132300.2.v1.2 pep primary_assembly:ASM411807v1:11:34102466:34106279:-1 gene:Vigun11g132300.v1.2 transcript:Vigun11g132300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKAFAEGKLKSMGYSSSFLAFLLLALLLNVTNGQPLVPALFIFGDSVVDVGNNNHKLTVIKANFPPYGRDFENHYPTGRFCNGKLATDFTAEVLGFTSYPPAYLNLNTKGNNLLNGANFASAASGYYEPTAKLYNAIPLSQQLQYYKECQNKLVEAAGQSNASSIITDAIYLVSAGTSDFIQNYYINPLLNKFYTIDQFSDTLLRFYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGFSSNECVASLNSDAISFNEKLNTTSNNLQNMLPGLNLVIFDIYQPLYDLVTKPSENGFFEARKACCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLADSLIAAGISLIS >Vigun11g132300.6.v1.2 pep primary_assembly:ASM411807v1:11:34102466:34105648:-1 gene:Vigun11g132300.v1.2 transcript:Vigun11g132300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKAFAEGKLKSMGYSSSFLAFLLLALLLNVTNGQPLVPALFIFGDSVVDVGNNNHKLTVIKANFPPYGRDFENHYPTGRFCNGKLATDFTAEVLGFTSYPPAYLNLNTKGNNLLNGANFASAASGYYEPTAKLYNAIPLSQQLQYYKECQNKLVEAAGQSNASSIITDAIYLVSAGTSDFIQNYYINPLLNKFYTIDQFSDTLLRFYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGFSSNECVASLNSDAISFNEKLNTTSNNLQNMLPGLNLVIFDIYQPLYDLVTKPSENGFFEARKACCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLADSLIAAGISLIS >Vigun11g132300.3.v1.2 pep primary_assembly:ASM411807v1:11:34103636:34106279:-1 gene:Vigun11g132300.v1.2 transcript:Vigun11g132300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKAFAEGKLKSMGYSSSFLAFLLLALLLNVTNGQPLVPALFIFGDSVVDVGNNNHKLTVIKANFPPYGRDFENHYPTGRFCNGKLATDFTAEVLGFTSYPPAYLNLNTKGNNLLNGANFASAASGYYEPTAKLYNAIPLSQQLQYYKECQNKLVEAAGQSNASSIITDAIYLVSAGTSDFIQNYYINPLLNKFYTIDQFSDTLLRFYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGFSSNECVASLNSDAISFNEKLNTTSNNLQNMLPGLNLVIFDIYQPLYDLVTKPSENGFFEARKACCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLADSLIAAGISLIS >Vigun11g132300.5.v1.2 pep primary_assembly:ASM411807v1:11:34103818:34106163:-1 gene:Vigun11g132300.v1.2 transcript:Vigun11g132300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKAFAEGKLKSMGYSSSFLAFLLLALLLNVTNGQPLVPALFIFGDSVVDVGNNNHKLTVIKANFPPYGRDFENHYPTGRFCNGKLATDFTAEVLGFTSYPPAYLNLNTKGNNLLNGANFASAASGYYEPTAKLYNAIPLSQQLQYYKECQNKLVEAAGQSNASSIITDAIYLVSAGTSDFIQNYYINPLLNKFYTIDQFSDTLLRFYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGFSSNECVASLNSDAISFNEKLNTTSNNLQNMLPGLNLVIFDIYQPLYDLVTKPSENGFFEARKACCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLADSLIAAGISLIS >Vigun11g132300.4.v1.2 pep primary_assembly:ASM411807v1:11:34103636:34106192:-1 gene:Vigun11g132300.v1.2 transcript:Vigun11g132300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKAFAEGKLKSMGYSSSFLAFLLLALLLNVTNGQPLVPALFIFGDSVVDVGNNNHKLTVIKANFPPYGRDFENHYPTGRFCNGKLATDFTAEVLGFTSYPPAYLNLNTKGNNLLNGANFASAASGYYEPTAKLYNAIPLSQQLQYYKECQNKLVEAAGQSNASSIITDAIYLVSAGTSDFIQNYYINPLLNKFYTIDQFSDTLLRFYSDFIQSLHALGARRIGVTSLPPIGCLPGAITLFGFSSNECVASLNSDAISFNEKLNTTSNNLQNMLPGLNLVIFDIYQPLYDLVTKPSENGFFEARKACCGTGLIETSILCNRKSIGTCANASEYVFWDSFHPTEAANKVLADSLIAAGISLIS >Vigun11g136500.1.v1.2 pep primary_assembly:ASM411807v1:11:34574421:34576633:1 gene:Vigun11g136500.v1.2 transcript:Vigun11g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDTKLKDYIEKNGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLLPLSHHTKQPSFPSSNLQNPSPSSHQLYGEYGTYIPVTGMEHLVTLPYESTTTSVSQTLYQNQDNMGGVNPMQCYYPVSDSMVMFGSEGSCSSSDGSCTQGREMKQEEIGYHSYNNHNLMLSYNTNDGDKSSCVGGLLGQTLTALDYDLEDIKQLISSSSSSLNNNNNNNVDENKGEEKAMYCCYY >Vigun11g136500.2.v1.2 pep primary_assembly:ASM411807v1:11:34574287:34576633:1 gene:Vigun11g136500.v1.2 transcript:Vigun11g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDTKLKDYIEKNGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLLPLSHHTKQPSFPSSNLQNPSPSSHQLYGEYGTYIPVTGMEHLVTLPYESTTTSVSQTLYQNQDNMGGVNPMQCYYPVSDSMVMFGSEGSCSSSDGSCTQGREMKQEEIGYHSYNNHNLMLSYNTNDGDKSSCVGGLLGQTLTALDYDLEDIKQLISSSSSSLNNNNNNNVDENKGEEKAMYCCYY >Vigun01g206250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38221202:38221402:-1 gene:Vigun01g206250.v1.2 transcript:Vigun01g206250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFFFLNLFRSNKKTKGRRRNGREIVRDWPSDYDKGTWGVASPNIDNKARIFIDKQKNRVHHQP >Vigun04g085200.1.v1.2 pep primary_assembly:ASM411807v1:4:13896953:13899012:1 gene:Vigun04g085200.v1.2 transcript:Vigun04g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKILVLAGEKSEMLSLVKKTFYSNKGIFLRELIINASNALDEIQFERLTKKNILNNDELIVRLILHKVNKTLSIIDNGIGITKVDLVDNLGVGFYSTYLVAHKVILTSKHNDDDQYIWDSQPGSSFFLTKDIDDQRLPRGTKITLFLKDDQLEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINNWLHDQEMRNKFVAQNLGKHLPDHLEFNIWVHTQIMGSLVGKSNFTNLLRFNLIWNQNSCFDDTSLLLCLGPVNNQIIPKSSLYSLCGNKFQNLEILNWPNPHIEGHAYGCYILGSSSINGIICLYLESTGIVYLWNPSTNECKVTPPSPTEDIPYYVDIMIKYEGFGYDWARDDYKVIRNPKKYSWRKLNIELLNCQGINDTFYLKGMCHWLCNNDKEGYLVSFDISNEVCYTTLTPLDIPIEIYNDFNLYLVRRKLFLLNGFIALMSNYNDTTIFYISILVEVGKKETWTKIFTIGPLPSLSFPIGTSNMGNILFQTNDGDLAWFDLRTNLIEKLGVNKSLSDRRINT >Vigun02g028282.1.v1.2 pep primary_assembly:ASM411807v1:2:10373709:10375438:-1 gene:Vigun02g028282.v1.2 transcript:Vigun02g028282.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMMVVVVVVEVVMVGLVVVAVALVEVVVVAVVGVVVVTTVVVMVVVVVVVVVVAVEVVGLVVMVGVMVVGLVVAVGVVVVAIVGWPWWWWRWSWLGWWITVWSHKNKFRGSRFRVYGLRFVVVVVVVMVVMVVMVVVVVAVVVVVKVVVSIAVVVVVVAIVIMVVVVMVVVADMGVVAVVVLVVVGLVAVVLVVLVLVLVDVLVMAVVVVVAVVLVVVVVVMVVVVVLVVVVVVLVMVVVALVEVVVMVIVVVVTGVVIMVVVVIVVLVVMVVVVVVVAVVVLPDEGLTPTKDEGTCLRRLSMFKKEVH >Vigun11g164300.1.v1.2 pep primary_assembly:ASM411807v1:11:37174726:37177916:-1 gene:Vigun11g164300.v1.2 transcript:Vigun11g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKEYVLLLPLIVLLSSLITVTESFALTPQIDLLNRNSFPSGFIFGTASSAYQYEGAANEGGRGPSIWDTFTHKYPEKIEDRSNGDVAVDSYHRYKEDVQIMKGMNLDAYRFSISWSRILPNGKLSGGINREGIDYYNNLINELLANGLEPYVTIFHWDVPQSLEDEYGGFLSPRIVKDFQDYAELCFREFGDRVKKWITLNEPWTFSDKGYALGVKAPGRCSSWLNAECNGGDSGTEPYLATHHLLLAHAAAVNVYKTKYQRFQNGVIGITLYSTWYEPLSDTKRDRKAAERAMDFLFGWFMDPLTRGDYPESMRSLVKSRLPKFTKDQSRLLINSFDFIGINYYTASYVSDAPELRNGRGSYMTDPLVNYSFVRDGKLIGENVGSDWLYVYPKGFREVLLYTKEKYNNPLIYITENGVNEYDDPSLSLEESLLDVYRIDYHYRHFYYLLDAIKNGVNVKGYFAWSLLDNFEWESGYIMRFGMNFVDYKNGLKRYSKLSALWFKDFLKIETNLRDSM >Vigun05g088200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8384239:8386529:1 gene:Vigun05g088200.v1.2 transcript:Vigun05g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPQNDTVATQLPTTNDDVPSGVVLFGKYELKRLLGVGAFGKVYHATTVDTRQSVAVKAVSKSKVVNGGFAANVEREISIMRRLHHSNIIDLFEVLATKTKIYFVMEFAAGGELFHAVARKGRLEEIDARFYFRQLISALKHCHSHGVFHRDLKLDNLLLDENGNLKVSDFGLSAVTGQIRPDGLLHTVCGTPTYVAPEILVKRGYDGAKVDLWSCGVVLFALTAGYLPFNDCNVTVLYRKIYRGQFRFPRWMSSDLRYLLSRLLDTNPETRITIDEIYQDTWFNAGEDQYEPVRVKESECVCGESRTGFESLNAFDLISFSTGLDMSGLFEDPNGSDSVERVVSAAEPENIMERVEAVAEEGRVVVRREKNGGGAKLEGQDGNLLGLVVVYRLTEELVVVEMKRSEKGGECGAKLWKDKLLPLLIELAGEREAPVSGDFCNI >Vigun09g262000.1.v1.2 pep primary_assembly:ASM411807v1:9:42691980:42695174:-1 gene:Vigun09g262000.v1.2 transcript:Vigun09g262000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPTSFVLTKSASSINKVDHSLVKIKPYNSCLNLNRQGRMQTSLARRPPTIQATYSDGGRPSSAGIFVGGFVLGGLIVGTLGCVYAPQISKAIAGADRKELMRKLPKFIYDEEKALEKTRKVLAEKIEQLNAAIDDVSAQLRSEEATNGVAINSDEIEAAT >Vigun01g123000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29984538:29987058:-1 gene:Vigun01g123000.v1.2 transcript:Vigun01g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQSVIAEDPGSEEDNKVPAYRRRGRPLKPLTDEIEEVEVTEIIEKDEENVKGNGSTNELKTQPITINKRKRKRSTQVKEKIDPMKDDNGILAKSGPDDSIKSTGFRQNGSRRKNKPRRAAEAGVDCK >Vigun09g166800.1.v1.2 pep primary_assembly:ASM411807v1:9:33466460:33472603:1 gene:Vigun09g166800.v1.2 transcript:Vigun09g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEGMKKGENIESQIETAMRSRVSHFKEQSDSLTFEGVRRLLEKDLGLEEYALDVHKRFIKQCLLKCLEGVVDDDAPRISEKAGENGADTQESEEPKEKRELKDEKDVCPEDEEKMEDSPVLGLLKEQKRAKLETKDDKGNGNKVVPSEALIMKAVRKRSSYIKANAESITMAGLRRQLEEDLKLDKFTLDPYKKFISQQLDEVLASSVVSEPAKNAKKIEKKKPDTKVTKKVRSEENSDTSDKETDEEESEEDEVKPRKKVVPKGKVKTPVQSKKRKAEETDLSSKKRIKPAKAASEEISDTEDNGKNSEDDQSHSSSEKASKKKEVSAPVYGKRVEHLKSVIKACGMGVPPSIYKKIKQVPENKREGQLIKELEEILSREGLSSNPSEKEIKEVKRKKARAKELEGIDVSNIVSSSRRRSTSSYIAPPPPKPKVPVETSGNGAEGGDNDEDNDNEEDEEDEEEDSGSEEADDDGSQSEEFNDDEEDSD >VigunL059808.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:39531:39890:-1 gene:VigunL059808.v1.2 transcript:VigunL059808.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g235100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40558484:40559881:-1 gene:Vigun09g235100.v1.2 transcript:Vigun09g235100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKDMDRIKGPWSPEEDEALRRLVQTHGPRNWSLVSKSIPGRSGKSCRLRWCNQLSPEVERRPFTAEEDEMIMKAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSEPPSNPRPLKRVAAGSDSPSGSDLSDSGLPATPPIPLYEAVAGSVSVNLVPSILVAKSASFSATDIATSLSLSLPGSNNGPGRGPGPVAKEEPRSVDSEQFLSEELLKVMQDMIRVEVWNYMSGLERRGVFTQTEWSNREMQQTLRERS >Vigun01g198100.3.v1.2 pep primary_assembly:ASM411807v1:1:37458483:37464573:-1 gene:Vigun01g198100.v1.2 transcript:Vigun01g198100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGMLKRFQGLLLKWMLILSCLAFMIVAGENDKTMVPPSLYDSRSPLAFTVVHDLPLPASLHLSKHVLSQGAPLEAPSPVNPPSYGPFSTAGPSASTQPPLSPYASNCCKQDMVLKRASKSCHCAYPIKLDLFLSNVSQNPSWNDFLNELAVQLGLRNTQIELINFYVLSVSTLNISMNITPHKGISFSASEVSKINSSLSMHKVKLDPRLVGGYQLLNITWFEPPAPSQAPTLAASPVKTPSYHSPTAMSSSGSSGRRSNLFIILGIVIGIIFIGIISVLIFCLCTFLPKANAPPGETEKPRIESTISTVGSLPHPSSTRFIAYEELKEATNNFETASVLGEGGFGRVFKGVLNDGTPVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYFSNRDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRSNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPTGQENLVTWARPILRDKDRLEEIVDPSLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYHDSLLASSNTRANLRQSSSTFEFDGTSSVFSSGPYSGISAFENDNISRTVVFSEDLCEGR >Vigun01g198100.1.v1.2 pep primary_assembly:ASM411807v1:1:37458483:37464573:-1 gene:Vigun01g198100.v1.2 transcript:Vigun01g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGMLKRFQGLLLKWMLILSCLAFMIVAGENDKTMVPPSLYDSRSPLAFTVVHDLPLPASLHLSKHVLSQGAPLEAPSPVNPPSYGPFSSNGQSPTSSHLSIPFKMKSEMKHPIPGFKYIAPVHSTAAAGPSASTQPPLSPYASNCCKQDMVLKRASKSCHCAYPIKLDLFLSNVSQNPSWNDFLNELAVQLGLRNTQIELINFYVLSVSTLNISMNITPHKGISFSASEVSKINSSLSMHKVKLDPRLVGGYQLLNITWFEPPAPSQAPTLAASPVKTPSYHSPTAMSSSGSSGRRSNLFIILGIVIGIIFIGIISVLIFCLCTFLPKANAPPGETEKPRIESTISTVGSLPHPSSTRFIAYEELKEATNNFETASVLGEGGFGRVFKGVLNDGTPVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYFSNRDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRSNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPTGQENLVTWARPILRDKDRLEEIVDPSLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYHDSLLASSNTRANLRQSSSTFEFDGTSSVFSSGPYSGISAFENDNISRTVVFSEDLCEGR >Vigun01g198100.2.v1.2 pep primary_assembly:ASM411807v1:1:37458483:37464573:-1 gene:Vigun01g198100.v1.2 transcript:Vigun01g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGMLKRFQGLLLKWMLILSCLAFMIVAGENDKTMVPPSLYDSRSPLAFTVVHDLPLPASLHLSKHVLSQGAPLEAPSPVNPPSYGPFSTAGPSASTQPPLSPYASNCCKQDMVLKRATPTLAASPVKTPSYHSPTAMSSSGSSGRRSNLFIILGIVIGIIFIGIISVLIFCLCTFLPKANAPPGETEKPRIESTISTVGSLPHPSSTRFIAYEELKEATNNFETASVLGEGGFGRVFKGVLNDGTPVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYFSNRDSSQNLLCYELVPNGSLEAWLHGPLGINCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRSNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPTGQENLVTWARPILRDKDRLEEIVDPSLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYHDSLLASSNTRANLRQSSSTFEFDGTSSVFSSGPYSGISAFENDNISRTVVFSEDLCEGR >Vigun05g025600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2084322:2086991:1 gene:Vigun05g025600.v1.2 transcript:Vigun05g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTVFCGLAMLKASPSNLLIKTLPPYAFCTLSSTQMNPSKKLTFSHIFQKCSNLKALNPGKQAHAQMIVTGFVPNIYVANCLIQFYCKCSNMGYAFNVFDRMPERDVISWNTMIFGYAGVRNMGFAQSLFDTMPERDVVSWNSLLSCYLHNGANRKSIEIFVKMRSLKITYDYASFAVVLKACLGIEDYGLGLQVHCLAIQMGFVNDVVTGSALVDMYSKFKKLDDAFKVFREMPVRNLVCWSAVIAGHVQNDRFIEGLKLFKDLLKVGMGVSQSTYASVFRSCAGLSAFKLGTQMHGHALKSDFGYDGIVGTATLDMYAKCGRMPDACKVFNLLPNPPRQSYNAIIVGYARKEQGFKALEIFQFLQRTNLGFDDISLSGALTACSVIKGHVEGIQLHGLAVKCGLEFNICVANTILDMYGKCGALREACLIFDEMERRDAVSWNAIIAAHEQNKEIEKTLSLFVSMQRSAMEPDDFTYGSVVKACAGQQALNNGMEIHGRIIKSGMGLDCFVGSALVDMYSKCGMLMEAEKIHDRLEEQTTVSWNSIISGFSSQKQSENAQIYFSQMLEMGVIPDNFTYATVLDICANMATVELGKQIHAQILKLQLHSDVYIASTLVDMYSKCGNMRDSRLMFEKAPKRDYVTWSAMICAYAYHGLGEEAIKLFEEMQLLNVKPNHTVFISVLRACAHMGYVDRGLHYFQEMLSHYGLDPHMEHYSCMVDLLGRSGQVNEALKLIESMPFEADDVIWRTLLSNCKMQGNMEVAEKAANYLLQLDPQDSSAYVLLSNVYANAGMWGEVAKIRSVMKNCKLKKEPGCSWIEIRDEVHTFLVGDNAHPRSEEIYEQIHLLVDEMKWAGYVPDIDFLLDEEVEEQGPYEGRKATIGSTG >Vigun04g199850.1.v1.2 pep primary_assembly:ASM411807v1:4:42377238:42378088:-1 gene:Vigun04g199850.v1.2 transcript:Vigun04g199850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTNFRVALTTSCRVEMKILICVKCAIISNGAMKIMGMKKMQLLVDKANKIYNMKKGLIDFEKWVKFLVGIICFLGLINIILLSLLFKI >Vigun09g104900.2.v1.2 pep primary_assembly:ASM411807v1:9:19287567:19291922:1 gene:Vigun09g104900.v1.2 transcript:Vigun09g104900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVDALLLTDSNALLCGILTDKDIATRVIAKEINIENTPVSKVMTRNPVFVLSETLAAEALQKMVQGRFRHLPVVENGEVLALLDIAKCLHDAIARMERAAEKGKAIAAAVEGVEKHWGTSESNTSFIETIREQIFKPSLSTIISENSKLVTVSPTDSVLTTTKKMVEFHESCAVVTVNDKPRGIFTSKDILLRVIAQNLSPESTPIEKVMTPNPECVVIDTPIVDALHTMHDGKFLHLPVINRDGSVVAVVDVIHVTHAAVATVSQVGNNEAATTLMQRFWDSAMALTPNDDDDDTRSDSSLKLASDGGETGRSIPYLSSSMANTFSFKIQDKKGRMHRFTCDTRSMKDVITSIIQRLGDDIDPNNVPQILYEDEDHDKVVLASDSDLATAVDHARTAGLKGLKLHLDYAGPRGNVKSSRSGSYAYSDAWASAYSAAAAGAALVAGLGILTYLKRV >Vigun09g104900.1.v1.2 pep primary_assembly:ASM411807v1:9:19286312:19291922:1 gene:Vigun09g104900.v1.2 transcript:Vigun09g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTGASRRSISSTKKASESGVPNATSRKSITSFRPVALAGERTVKSLRLTKALTVPETTTIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAKEINIENTPVSKVMTRNPVFVLSETLAAEALQKMVQGRFRHLPVVENGEVLALLDIAKCLHDAIARMERAAEKGKAIAAAVEGVEKHWGTSESNTSFIETIREQIFKPSLSTIISENSKLVTVSPTDSVLTTTKKMVEFHESCAVVTVNDKPRGIFTSKDILLRVIAQNLSPESTPIEKVMTPNPECVVIDTPIVDALHTMHDGKFLHLPVINRDGSVVAVVDVIHVTHAAVATVSQVGNNEAATTLMQRFWDSAMALTPNDDDDDTRSDSSLKLASDGGETGRSIPYLSSSMANTFSFKIQDKKGRMHRFTCDTRSMKDVITSIIQRLGDDIDPNNVPQILYEDEDHDKVVLASDSDLATAVDHARTAGLKGLKLHLDYAGPRGNVKSSRSGSYAYSDAWASAYSAAAAGAALVAGLGILTYLKRV >Vigun03g280800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45924902:45925069:1 gene:Vigun03g280800.v1.2 transcript:Vigun03g280800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPFGIPEKPEFTVGLDEPLKKLKTNVLSEGLSVMVLTGVGGSGKTTLATMLYY >Vigun06g189900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30776944:30778346:1 gene:Vigun06g189900.v1.2 transcript:Vigun06g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAVQRFSEFHEKWNEKLEDILQQLTQVSDQRTEVVKTEEELLALVSTVTTHLKEYYNTKWAAAGTENVLIFFSPPWMNPLEHAQLWMTGWKPSTVFRQLENLKKKGNVFVMTEEQEKRMEDLKVRVRMEEDKVEREMERQHVAMADRKMVQLSKISTSRPSRGGPNSVTEVAVKEVVVGLERVMKASDCVRLKTLKGVLDLLSPMQGVDFLAMNITTQLRFRQWGTKKKDTAGTALNGNQDK >Vigun07g253300.1.v1.2 pep primary_assembly:ASM411807v1:7:37201939:37207550:-1 gene:Vigun07g253300.v1.2 transcript:Vigun07g253300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISERPLTSSEKDNNSSNGTIPRRPKGRQVSSRYMSHSPSPSPSSTTTTTTTTSTSTSTTSSSSRRFPSPLLSHSTNSSTPLVPKRSQSVDRRRPRPATPLPEAAKLLVTSTRSLSVSFQGEAYSLPISKTKATAATPPPRKAATPERRRATPVKGENSRPADQHRWPARTRQVDHLSRSVDISDKKKVVGNGFGKVVRDLQKSMVVEGEKRRASFDGLGGLSLDLGKVELLKGDSNANNNSITNANNHNNNDGGGGNLVSKSSVSCDLTASDTDSVSSGSTSGAHDSSGAVKGPKEPRGIVMSARFWQETNSRLRRLQDPGSPLSTSPASRIGLPNKNAQLKRYNSDGPMLSPRTVASPVRGSVNARPASPSKLWAGSSPSRGMPSPARVRSTVASSINSGSGNSPSILSFSADVRRGKIGEDRIFDAHTLRLLYNRYVQWRFVNARADATFMVQKLNAERHLWNAWVTISELRYSVILKRIKLVLLRQKLKLTSILKGQISYLEEWALLDRDHSSSLLGATEALKASTLRLPVVEKAIADVPNLKDALGSAVDVMQAMASSIYSLSSKQVEETNCLVAEILKVTSKERFLLEHCKEFLSSLAAMQVKDCSLRTHTLQLSRAPNSSCLTTRV >Vigun07g253300.2.v1.2 pep primary_assembly:ASM411807v1:7:37201939:37207550:-1 gene:Vigun07g253300.v1.2 transcript:Vigun07g253300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISERPLTSSEKDNNSSNGTIPRRPKGRQVSSRYMSHSPSPSPSSTTTTTTTTSTSTSTTSSSSRRFPSPLLSHSTNSSTPLVPKRSQSVDRRRPRPATPLPEAAKLLVTSTRSLSVSFQGEAYSLPISKTKATAATPPPRKAATPERRRATPVKGENSRPADQHRWPARTRQVDHLSRSVDISDKKKVVGNGFGKVVRDLQKSMVVEGEKRRASFDGLGGLSLDLGKVELLKGDSNANNNSITNANNHNNNDGGGGNLVSKSSVSCDLTASDTDSVSSGSTSGAHDSSGAVKGPKEPRGIVMSARFWQETNSRLRRLQDPGSPLSTSPASRIGLPNKNAQLKRYNSDGPMLSPRTVASPVRGSVNARPASPSKLWAGSSPSRGMPSPARVRSTVASSINSGSGNSPSILSFSADVRRGKIGEDRIFDAHTLRLLYNRYVQWRFVNARADATFMVQKLNAERHLWNAWVTISELRYSVILKRIKLVLLRQKLKLTSILKGQISYLEEWALLDRDHSSSLLGATEALKASTLRLPVVEKAIADVPNLKDALGSAVDVMQAMASSIYSLSSKVEETNCLVAEILKVTSKERFLLEHCKEFLSSLAAMQVKDCSLRTHTLQLSRAPNSSCLTTRV >Vigun09g225900.1.v1.2 pep primary_assembly:ASM411807v1:9:39833200:39836853:1 gene:Vigun09g225900.v1.2 transcript:Vigun09g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMYIAPLEISGRGGTVIDEISHHSTSNPLIQCYSLDLNNQSHIINGISMLSGEQGEPTSNVHAGACFINPSTIADSSPLVTSRRKTIVGDASNPMANSEFQEHLVGGVPLTPASLAAILADRIGLEENLENSAALAPSIFSTGALEVFFNNLYGTSNPLSGTFEECGYNEVPSKWNANKFHKAPENDGTCQPYSSIANLDPNEWATSNVANMANYAHYSSNFSKELSLSLATSTAAGQRSDVTCSNMTPCMNGTISCSSSRELSMNLGGNKYVQISPEVLESRYLLGIQEILAQIARYSFENLEQLNYSAPGNRAGGQKSASAFPPKRNILTDHNASSVYESHAESPLQRQAAESKKAQLLALLQLVDNRYSQCLDEIHTVVSAFHAATELDPQIHAHFALRTISVFYKELRERISNYILAMGSSFNKSCTEETEWSIETSFLQKQWALQQLKRKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNKRKACRNEEEIQNNHGNRISMSNQRFNVN >Vigun02g130400.1.v1.2 pep primary_assembly:ASM411807v1:2:28146595:28149765:1 gene:Vigun02g130400.v1.2 transcript:Vigun02g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKEMRDGIGSMSKRGVESRRWNGRTKSYVAPDITLTSLEPIQQGQWANLPPELLLDIISRVEESETTWPARAVVVHCGSVCKSWRDVTKEIAKTPEQCGRITFPISLKQPGPRDSPIQCFIRRNRETSTYLLYIGLVPSENETDKLLLAAKKVRRATGTDFIVSLVADDFSRSSNTYVGKLKSNFLGTKFTVYDSQPPQGAAIQQDSGSSRRFHSKQSSPKVSAFNYVVGTIAYELNVLRARGPRRMHCTMNSIPISAIQEGGTAPTPTSFPQIIDRPFSPSPALKGKGSSTDLNNVNLPKLAVPGQGSSEPMVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVSAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Vigun02g118800.1.v1.2 pep primary_assembly:ASM411807v1:2:27145589:27148075:1 gene:Vigun02g118800.v1.2 transcript:Vigun02g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKEESEGDVYESMAEVVPRSPVPPPPPPPLPKFSKPKPEESVTNREIAKFWRQKRIEEEDHLLAAIKAAARLRARNLTEQDYQRFELSLNTDSDHDETEKETVKWKAETNVHSKVAKEQEVRVGIKDWWTKSKYAYLNQPAIDSMDLPKKRSSTYVPNFLSYKPKPLYASAIGVF >Vigun04g137200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34279996:34284263:1 gene:Vigun04g137200.v1.2 transcript:Vigun04g137200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYYLKLFYALLLLLLHAAGPILGLNKSAEIKCIERERQALLNFKHGLIDAYDMLSTWRDDENSRDCCKWKGIRCDHITGHVTILRLPGSNTQSQFLRGALNVTSLFALQNIQHLDLSYNYFIGSHIPQLMGSLTNLRYLNLYNSFFSGSIPIEIGSLTHLRTLDLGNNAFLCGKIPYQLGNLTRLRYLDLSHNFLDGELPYQLANLSQLRHLDLSHNSFSGALPFQVGNLPFLHTLRLPGNFDVKPKDAQWLSNLHSLTNLALYSLHNLDWLQTIIFPNLRELGLVDCSLSDAQIQYLFYSRPNFSTSLTILDLSSNILTLSTFQLLSNFSLNLQELYLSNNNIVLSSPIYSNFPSLVILDLSNNNVTSLVFQGSFNFSSKLQNLYLSNCGLRDDNFIISAISISNSSSSLGSLDLSSNLLKSSSIFYWLFNSTTNLRTLELSENMLEGPIPDGFGKVMNSLEVLDLFDNKLQGEISSFFGNICTLQELDLSNNKMSGNISNLFQNSSWCNKQVFRCLFLYDNQITGILPISIGLLSELEYLFLNGNCLEGDVTESHLSSFSKLVFLELQHNSLSLKIGPTWVPPFQLMLLRLGSCNLGPKFPSWLQTQSSLVFLDISNSKLNDFVPDWFWNNLQNMEKLNMSNNNLIGAIPNLSLKLLYRPFIFLHSNQFEGKIPPFLLQASKLNLSKNKFSNLFSFLCDRSISANLASLDLSNNQMKGELPNCWKNVDRLLFLDLSNNKLSGRIPVSMGSLVKLEVLVLRNNNLMGELPSTLKNCSNLIMVDVSKNMLSGPIPSWIGENMQQLIILNMRGNHFSGRLPVNLCYLKRIQSLDLSRNYLSRGIPTCLKNLTVMSEKIIDRGATLNNIYWSTNLTYHEPFAAFLSSSDNYTLNITWMWKGVELWFSDPELQLKSIDLSSNNLTGEIPKEIGYLAGLVSLNLSRNYLSGEIPSEMGDLSSLESLDLSRNRISGGIPFSLSQIDDLGKLDLSHNSLSGRIPRERHFETFEGYSFEGNRDLCGFQLNKSCPGDGDQRTVKFPEVEAINGDEDSVFYEALYMSMGIGFFTGFWGLLGPILLWHPWRKDYMRFVNRLINYIFEWL >Vigun04g137200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34279996:34284263:1 gene:Vigun04g137200.v1.2 transcript:Vigun04g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYYLKLFYALLLLLLHAAGPILGLNKSAEIKCIERERQALLNFKHGLIDAYDMLSTWRDDENSRDCCKWKGIRCDHITGHVTILRLPGSNTQSQFLRGALNVTSLFALQNIQHLDLSYNYFIGSHIPQLMGSLTNLRYLNLYNSFFSGSIPIEIGSLTHLRTLDLGNNAFLCGKIPYQLGNLTRLRYLDLSHNFLDGELPYQLANLSQLRHLDLSHNSFSGALPFQVGNLPFLHTLRLPGNFDVKPKDAQWLSNLHSLTNLALYSLHNLDWLQTIIFPNLRELGLVDCSLSDAQIQYLFYSRPNFSTSLTILDLSSNILTLSTFQLLSNFSLNLQELYLSNNNIVLSSPIYSNFPSLVILDLSNNNVTSLVFQGSFNFSSKLQNLYLSNCGLRDDNFIISAISISNSSSSLGSLDLSSNLLKSSSIFYWLFNSTTNLRTLELSENMLEGPIPDGFGKVMNSLEVLDLFDNKLQGEISSFFGNICTLQELDLSNNKMSGNISNLFQNSSWCNKQVFRCLFLYDNQITGILPISIGLLSELEYLFLNGNCLEGDVTESHLSSFSKLVFLELQHNSLSLKIGPTWVPPFQLMLLRLGSCNLGPKFPSWLQTQSSLVFLDISNSKLNDFVPDWFWNNLQNMEKLNMSNNNLIGAIPNLSLKLLYRPFIFLHSNQFEGKIPPFLLQASKLNLSKNKFSNLFSFLCDRSISANLASLDLSNNQMKGELPNCWKNVDRLLFLDLSNNKLSGRIPVSMGSLVKLEVLVLRNNNLMGELPSTLKNCSNLIMVDVSKNMLSGPIPSWIGENMQQLIILNMRGNHFSGRLPVNLCYLKRIQSLDLSRNYLSRGIPTCLKNLTVMSEKIIDRGATLNNIYWSTNLTYHEPFAAFLSSSDNYTLNITWMWKGVELWFSDPELQLKSIDLSSNNLTGEIPKEIGYLAGLVSLNLSRNYLSGEIPSEMGDLSSLESLDLSRNRISGGIPFSLSQIDDLGKLDLSHNSLSGRIPRERHFETFEGYSFEGNRDLCGFQLNKSCPGDGDQRTVKFPEVEAINGDEDSVFYEALYMSMGIGFFTGFWGLLGPILLWHPWRKDYMRFVNRLINYIFEWL >Vigun07g058166.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6395433:6396330:-1 gene:Vigun07g058166.v1.2 transcript:Vigun07g058166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIRTDQIEVDKETIDMLAALGMSDVPGVVKVDPVPVQAPLAFGRGAGGRRY >Vigun07g277800.2.v1.2 pep primary_assembly:ASM411807v1:7:39274740:39277557:-1 gene:Vigun07g277800.v1.2 transcript:Vigun07g277800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERAVHPDCRNAGNPFHECSDYCFRVIAEAKIRSQPRQSEVGQASGGSDSKQDIPDESHADEEIHDDRPNFEENSDSDPDQPAEQEAEQIEIDYTKLSGRQKKWMELRAKMQEAKKRNQIEIAAEKKRMEAPTESRGVSKQKWLEDRKKKIGKLLDANGLDMTNAYMLDTQEAAEVKYKKWEKDPAPFGWDVFNQKSLYNAYKKRTKNVEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKVDRMVQELKDREEKRKSFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Vigun07g277800.3.v1.2 pep primary_assembly:ASM411807v1:7:39274740:39277557:-1 gene:Vigun07g277800.v1.2 transcript:Vigun07g277800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERAVHPDCRNAGNPFHECSDYCFRVIAEAKIRSQPRQSEVGQASGGSDSKQDIPDESHADEEIHDDRPNFEENSDSDPDQPAEQEAEQIEIDYTKLSGRQKKWMELRAKMQEAKKRNQIEIAAEKKRMEAPTESRGVSKQKWLEDRKKKIGKLLDANGLDMTNAYMLDTQEAAEVKYKKWEKDPAPFGWDVFNQKSLYNAYKKRTKNVEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKVDRMVQELKDREEKRKSFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Vigun07g277800.1.v1.2 pep primary_assembly:ASM411807v1:7:39274740:39277557:-1 gene:Vigun07g277800.v1.2 transcript:Vigun07g277800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERAVHPDCRNAGNPFHECSDYCFRVIAEAKIRSQPRQSEVGQASGGSDSKQDIPDESHADEEIHDDRPNFEENSDSDPDQPAEQEAEQIEIDYTKLSGRQKKWMELRAKMQEAKKRNQIEIAAEKKRMEAPTESRGVSKQKWLEDRKKKIGKLLDANGLDMTNAYMLDTQEAAEVKYKKWEKDPAPFGWDVFNQKSLYNAYKKRTKNVEVDVEEYNRMKEADPEFYRDASSLQYGKAPKISEDKVDRMVQELKDREEKRKSFSRRRRFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Vigun03g252100.1.v1.2 pep primary_assembly:ASM411807v1:3:41842857:41844129:-1 gene:Vigun03g252100.v1.2 transcript:Vigun03g252100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKLCSLMLCLLVPTLMVGAKAQRCGREFGGAVCGNGLCCSQYGWCGNSNEHCGTGCQSQCQASSSGDISSIISPSLFEEMLKHRNNQACPGRGFYTYDAFITAARSFRGFGTTGDTNTRKREMAAFFGQTSHETTGGWPSAPDGPYAWGYCFINERNQQVYCSGGGWPCAPGKSYYGRGPIQLTHNYNYGLAGSELGLDLTNDPDLVARDRNVAFRTAIWFWMRPQGNKPSSHNVITGGWTPSAADTNAGRLPGYGVITNIINGGLECGRGPDSRVQSRIGFYQRYCQLLGVSPGNNLDCNNQAPF >Vigun03g027600.1.v1.2 pep primary_assembly:ASM411807v1:3:2062647:2073150:-1 gene:Vigun03g027600.v1.2 transcript:Vigun03g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTLHKPFSHLTIFSILLYFTLSFASQNSHHGFSILDSDFDALFGDYTPPAPPPPPPLPHPPSLRCQEGLNGTGSLATTCDLNSSLVFDGDVYIEGNGSLNILPGVNLSCPVLGCAILINVSGEFSLQSGAVMVAGTVMVVSRNASLFSGSLINVTGLAGAPPAQTSGTPSGTQGAGGGHGGRGATCVSDNTKLPDDVWGGDAYSWSTLDKPWSYGSKGGTTSKEEKYGGEGGGRIKLEAVDSIDVSGDLLANGGDGGIKGGGGSGGSIFIKAHRMTGTGTISATGGGGFAGGGGGRVSINVFSRHDNTNFFIHGGISLGCSDNAGAAGTYYDAVPRSLTICNYNFSTQTDTLLLEFPKVPLWTNVYVQNQAKALFPLYWSRVQVGGLIRLTFGAVLSFGLAHYGSSEFELMAEELLMSDSVVKIYGALRMSVKIHLMLNSKMYIDANGDPIVATSLLEASNLVVLKESSVIHSNANLGVHGQGYLNLSGAGNMIEAQHLVLSLFYSINVGPGSALRGPLEASGDNMAPQLYCEVENCPVELLHPPEDCNVNSSLAFTLQICRVEDVFVEGIITGSVVHFHWVRNVDVSYSGKISVSGLGCTGGLGRGRYIGNGIGGGGGHGGYGGDGYYNGNFIEGGSTYGDVDLPCELGSGSGNNSLAGATAGGGIIVMGSLEHLLSSLTLNGALRADGESFGDDTRGKDGGTTSSIGPGGGSGGTVLLFVQTLVLGESSIVSAAGGQGGPSGGGGGGGGRVHFHWSNIPAGDEYVPLASGKGSIITGGGFGGGQGLPGKNGSISGTACPRGLYGIFCEECPVGTYKNVTGSDRALCHDCPPHELPYRAIYIPVRGGVAETPCPYKCLSDRYHMPNCYTAFEELVYTFGGPWIFGLLLLGLLILLAIVLSFARMKYVAGDDLPAVTPARNDTRLNHSFPFLESLNEIMETNRSEESQSHVHRLYFQGPNTFSEPWHLPHCPPEQVKDIVYEDAFNRFVDDINSLATYHWWEGSIYSILCIIAYPLAWSWLQRCRRNKLQKLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYLDFFLGGDEKRPDLPPRLYQRFPMSIIFGGDGSYTSPFSLVSDNILTSIMSQAVPPTIWYRLVAGLNAQLRLVRRGHLKITFGPVISWLDIFANPKLATYGVRVDLAWFQPTASGYCQFGIVVYATENESMSSSCEGYDDSRITEKQTCLLSSPRNPVHHMTSNEHLMMPRRMSGGILHAKSLRTLKEKKTVYYPFAFIIYNTKPLGHQDLVGLVISILLLGDFILVLLTLLQMYSLSLLSFFLVLFVLPLGVLFPFPSGISALFSQGPKRSAGLARLYALWNLMSLVNVVVAFFCGFIHYTIHSHNKLSTFQSWSFSMDESEWWILPSGLALCKIIQARLVDCHVANQEIQDPSLYSSDTNVFWNS >Vigun02g194100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33038922:33039858:1 gene:Vigun02g194100.v1.2 transcript:Vigun02g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRNIAVQNQLNPLSTAEAAAATKKLRRLPHVFTRVLQLPLPADADVSLHEASNCFRFVAETPELAPVEAHIVQIHPGMTKVVVRETVSLRIPFEDLNLDVWRTRLPDSTRPDLTTAAVVGGELVVTVPKSVRDQEDGLRGTDAASTPVLVQ >Vigun11g025300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3277897:3279221:1 gene:Vigun11g025300.v1.2 transcript:Vigun11g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAEDEIILSSLPIERGFGTPSMCLFQEFWCPSTLVKGLNIFHKQFEAKDSDIFVASFPKSGTTWLKAITFAIVNRQSLSSIDNHPLLTSNPHQLIPFIEIMCRDDADWPSTEPRVFGTHTPLPSLPNSIMECNCKIIYICRNPFDIFVSAWIFFDNIKQESLTPLKIEEAFEMYCDGIIEFGPWWSHMLGYWKESLAKPDKVLFLKYEDLKDDANFQVKRIAEFLGFPFTQEEENNQVIESISKLCSFEEMKNLEVNKSGLLFPSVENKSFFRKGESRDWVNYFSPSMTEKLSQIMEEKFGESGLSFMI >VigunL087400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:28155:30016:1 gene:VigunL087400.v1.2 transcript:VigunL087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >VigunL036700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:309649:309765:-1 gene:VigunL036700.v1.2 transcript:VigunL036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbL MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun01g042700.1.v1.2 pep primary_assembly:ASM411807v1:1:6000624:6004004:1 gene:Vigun01g042700.v1.2 transcript:Vigun01g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHKLAPFSSLHSPFTGKPHFSHTTHMALLHKLAPFSSLHSPFTGKPHFSPPRRHLVSFSASPFTEKHSLERYQRDQWVYEDNQTMSLPPPCDSGSVREEDIALQLPELKKLLEVLREKRESEGKCSGGECVPGNVFLVGTGPGDPELLTLKAVRVIKSADLLLYDRLVSNDVLDLVAPQAKLLYVGKTAGYHSRTQEEIHELLLNFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGIANSVRFLTGHSRKGGSDPLFVSENAADSDSTLVVYMGLSTFPSLAHKLMLHGLSPQTPAAAIERGTTLQQRTVFAELKDLSEKITSAQLVSPTLIIVGKVVELSPFWPASTKEESCLMQT >Vigun01g042700.2.v1.2 pep primary_assembly:ASM411807v1:1:6000624:6004004:1 gene:Vigun01g042700.v1.2 transcript:Vigun01g042700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHKLAPFSSLHSPFTGKPHFSPPRRHLVSFSASPFTEKHSLERYQRDQWVYEDNQTMSLPPPCDSGSVREEDIALQLPELKKLLEVLREKRESEGKCSGGECVPGNVFLVGTGPGDPELLTLKAVRVIKSADLLLYDRLVSNDVLDLVAPQAKLLYVGKTAGYHSRTQEEIHELLLNFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGIANSVRFLTGHSRKGGSDPLFVSENAADSDSTLVVYMGLSTFPSLAHKLMLHGLSPQTPAAAIERGTTLQQRTVFAELKDLSEKITSAQLVSPTLIIVGKVVELSPFWPASTKEESCLMQT >Vigun11g065000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15411161:15412308:-1 gene:Vigun11g065000.v1.2 transcript:Vigun11g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPLVGIDGIREPVSGSLLYRNNIIFCAIIPTSAAIGLHFYPIWEAASVDEWLYNGSPYELIVLHFLLGVACYMGREWELSFRMGVRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSGMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGINTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun04g028050.1.v1.2 pep primary_assembly:ASM411807v1:4:2163321:2164809:1 gene:Vigun04g028050.v1.2 transcript:Vigun04g028050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSFFIIFIIIFTFFMHPVPVISADLKMRKLGVRPSPPPPPGSALPRKPKYNATPPPRSTA >Vigun01g029000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3479059:3479602:-1 gene:Vigun01g029000.v1.2 transcript:Vigun01g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLLSLLVLVLLALILTPQGLAESESDTYYKRKPPVYKPKPPVYKPKPKPYKPKPPVYKPPVYKPKPKPYYKPPYGKHPPVEENDHF >Vigun07g010300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:889852:890622:1 gene:Vigun07g010300.v1.2 transcript:Vigun07g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNLCSSLVSVFAIFLVASTSYAIPESEVKTICSQTQNSSFCLALLMSNPNPNAGLVNVTQYTIDVARANVTNTIKLINDLIGHSNGSSKSHYTECLDHFGSEGALGDIDYTQEMLQKGDYQGVNVAASAVSDDVEDCISGESPSDSPYDDPSDLPKYAAVIQSVLGVILVLSKYLRH >Vigun10g127300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33532088:33533179:-1 gene:Vigun10g127300.v1.2 transcript:Vigun10g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKETRTTEEDRISDMSDDVLCHILSFLTTKEAIVTSLLSKRWTLLWCMLPSLHVHCSKPIIQHHAHVQTFLALHRAPKIKTFHLQCHSHEVCCSHHTEEWVSEVVAKKVENLNISLCNSHESMLSLPTLFTCSTLVTLNINGPFYLSIPSSVHLPNLKTMELRVNSCIPHSNFCKLVSESAALELFYMGPMLLGNCFQEVKAVHKCGRVEVFYSNWVCDLFIESERDYDFNISDVMALRTWPNIVRVKAYVTVHFDDIDFVENILEGLRNVEFLYMKYFRLWLKPSLHLLPFNNLVELRLLLNREDSMFDDFPRKCPKLKVLEFNIMDSPLDISERYRYHVHHGVRERDLSIVHYLPLMSP >Vigun09g068100.1.v1.2 pep primary_assembly:ASM411807v1:9:7250871:7276553:1 gene:Vigun09g068100.v1.2 transcript:Vigun09g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAYSFPTPQVHAYHNPTRRSHFQIKRKPNRTHHFLLIPTSSPSSFLFSCSSFPLTYSDSTLQFRHNHLLSTDGNFVVEDLGAAVEEEASQTAARVFVQEPPWLFLKGLLMQEEEMRRKEEEMRRKEKEREKYNWLRRRQIEAETEAWQKMVEEYRELEREMRQKMLAPNLPHVKALLLGWFEPFRTVVEAEQKAHRARSKKQQESIAPHVDDLPSDKVAVIVMHKMMAMVMENEEGCVQLVHAAVHIGMAVEQEVRIHKFIEGRKASRSNKIEADAEDSLDSDKEKQSNHLNSLIKKSRLKEVQMILKKEEGSSWSRDTQAKLGSRLIELLVDTAYVHSPVKQFGDTPPDIRPAFRHCFKAAPWHPGQKFSKKYGVIQCDPLVLVGLEQCAKHMFIPYMPMLVPPKKWKGYDKGGHFFLPSYIMRTHGSRKQQDVMKSVNAAQMQKVFEALDILGNTKWRVNKRVLGVVESIWAGGGNIAGLVNCKDVPLPDKPPVEDLKLIQEWKCGLRKAKKINMERHSLRCDTELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLGSDLCRGLLEFAEGKPLGKGGLRWLKIHLANLYAGGIEKLSYDGRLAFIENHINDIIDSADNPVNGNRWWLTAEDPFQCLTACINLSEALKSSSPNSFISHLPIHQDGSCNGLQHYAALGRNELEAAAVNLVAKEKPADVYTEIALRVYDIMRRDSSKDPDTFPNALLAKVLIDQIDRKLVKQTVMTSVYGVTFIGAREQIKRRLEEKGVINDDRLLYAAACYAAKVTLAALGEVFEAARGIMSWLGDCAKVIASENQAVCWTTPLGLPVLQPYCKTERYQIRTSLQLLALQREGSAVSVKKQKSAFPPNFVHSLDSSHMMMTALACNDAGLCFAGVHDSFWTHPCDVEKMNQILREKFVELYNMPILENLLEGFETTYPGLAFPSLPKRGEFDLEKVLDSPYFFN >Vigun09g068100.2.v1.2 pep primary_assembly:ASM411807v1:9:7250871:7276553:1 gene:Vigun09g068100.v1.2 transcript:Vigun09g068100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAYSFPTPQVHAYHNPTRRSHFQIKRKPNRTHHFLLIPTSSPSSFLFSCSSFPLTYSDSTLQFRHNHLLSTDGNFVVEDLGAAVEEEASQTAARVFVQEPPWLFLKGLLMQEEEMRRKEEEMRRKEKEREKYNWLRRRQIEAETEAWQKMVEEYRELEREMRQKMLAPNLPHVKALLLGWFEPFRTVVEAEQKAHRARSKKQQESIAPHVDDLPSDKVAVIVMHKMMAMVMENEEGCVQLVHAAVHIGMAVEQEVRIHKFIEGRKASRSNKIEADAEDSLDSDKEKQSNHLNSLIKKSRLKEVQMILKKEEGSSWSRDTQAKLGSRLIELLVDTAYVHSPVKQFGDTPPDIRPAFRHCFKAAPWHPGQKFSKKYGVIQCDPLVLVGLEQCAKHMFIPYMPMLVPPKKWKGYDKGGHFFLPSYIMRTHGSRKQQDVMKSVNAAQMQKVFEALDILGNTKWRVNKRVLGVVESIWAGGGNIAGLVNCKDVPLPDKPPVEDLKLIQEWKCGLRKAKKINMERHSLRCDTELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLGSDLCRGLLEFAEGKPLGKGGLRWLKIHLANLYAGGIEKLSYDGRLAFIENHINDIIDSADNPVNGNRWWLTAEDPFQCLTACINLSEALKSSSPNSFISHLPIHQDGSCNGLQHYAALGRNELEAAAVNLVAKEKPADVYTEIALRVYDIMRRDSSKDPDTFPNALLAKVLIDQIDRKLVKQTVMTSVYGVTFIGAREQIKRRLEEKGVINDDRLLYAAACYAAKVTLAALGEVFEAARGIMSWLGDCAKVIASENQAVCWTTPLGLPVLQPYCKTERYQIRTSLQLLALQREGSAVSVKKQKSAFPPNFVHSLDSSHMMMTALACNDAGLCFAEVLETVVESTCI >Vigun02g071800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22302017:22302552:-1 gene:Vigun02g071800.v1.2 transcript:Vigun02g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVKFSFFMALFIALVAVVAAHEGHHHLAPAEAPSSHATTLKNHHALIITAIFPLLFTLLIARERL >Vigun04g160400.4.v1.2 pep primary_assembly:ASM411807v1:4:38276449:38280052:1 gene:Vigun04g160400.v1.2 transcript:Vigun04g160400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGEMGMMGESFDTSNLLGRIRDDDYESRSESDNFDGGSGDEQDVGDDQPQRKKKKYHRHTPQQIQDLEAFFKECPHPDEKQRTDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENMVLKQENDKLRAENGVMKEALANPICNNCGGPAIPGQISLEEHQTRIENARLKDELNRICTLANKFLGRSLSSLASPISLPPTNSGLDLAIGRNGIGGSSNFGMPVPIGFDMGDGALGSSAAMAGMAARAPLGMMGNEIHLERSMLIDIAINAMDELVKMARQDNPLWIKSSDGRNEVLNFDEYARIFPTFVGSKPAGYVSEATRATGVVLASSLGLVETFMDVDRWSEMFSYMVASACPLDVLSGGTNGTRNGALQVMLAELQLLSPLVPTRQMSLLRFCKQHAEGVWVVVDVSIDLIRNGSSSHPSMSCRRLPSGVVIQDMPNGLSKITWVEHTQYDESVIHQLCRPLVSSGIGFGAQRWISSLLRQCECLAILMTPPGPSDDPTVLSQSNRRSVLKLAQRMIEYFCSGICASSARKWDVLHVGNIADDMKIMARKIVDDSNEGAGIVLSASTTMWLPVPRQRVYDFLRNEQLRGEWDILSKGGPMKEMVHVPKGQDPGNCVSILHHINNESNVLFLQESWNDASGSMVVFSPINMQSLNMMMSCGDSSFVGLRPSGFVVLPDDNNEGGSCLLTVGLQMLQNDNHSAKFTIESVQTVNSLLSCTIQKVKETFGVS >Vigun04g160400.1.v1.2 pep primary_assembly:ASM411807v1:4:38275459:38280052:1 gene:Vigun04g160400.v1.2 transcript:Vigun04g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGEMGMMGESFDTSNLLGRIRDDDYESRSESDNFDGGSGDEQDVGDDQPQRKKKKYHRHTPQQIQDLEAFFKECPHPDEKQRTDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENMVLKQENDKLRAENGVMKEALANPICNNCGGPAIPGQISLEEHQTRIENARLKDELNRICTLANKFLGRSLSSLASPISLPPTNSGLDLAIGRNGIGGSSNFGMPVPIGFDMGDGALGSSAAMAGMAARAPLGMMGNEIHLERSMLIDIAINAMDELVKMARQDNPLWIKSSDGRNEVLNFDEYARIFPTFVGSKPAGYVSEATRATGVVLASSLGLVETFMDVDRWSEMFSYMVASACPLDVLSGGTNGTRNGALQVMLAELQLLSPLVPTRQMSLLRFCKQHAEGVWVVVDVSIDLIRNGSSSHPSMSCRRLPSGVVIQDMPNGLSKITWVEHTQYDESVIHQLCRPLVSSGIGFGAQRWISSLLRQCECLAILMTPPGPSDDPTVLSQSNRRSVLKLAQRMIEYFCSGICASSARKWDVLHVGNIADDMKIMARKIVDDSNEGAGIVLSASTTMWLPVPRQRVYDFLRNEQLRGEWDILSKGGPMKEMVHVPKGQDPGNCVSILHHINNESNVLFLQESWNDASGSMVVFSPINMQSLNMMMSCGDSSFVGLRPSGFVVLPDDNNEGGSCLLTVGLQMLQNDNHSAKFTIESVQTVNSLLSCTIQKVKETFGVS >Vigun04g160400.2.v1.2 pep primary_assembly:ASM411807v1:4:38273646:38280052:1 gene:Vigun04g160400.v1.2 transcript:Vigun04g160400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGEMGMMGESFDTSNLLGRIRDDDYESRSESDNFDGGSGDEQDVGDDQPQRKKKKYHRHTPQQIQDLEAFFKECPHPDEKQRTDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENMVLKQENDKLRAENGVMKEALANPICNNCGGPAIPGQISLEEHQTRIENARLKDELNRICTLANKFLGRSLSSLASPISLPPTNSGLDLAIGRNGIGGSSNFGMPVPIGFDMGDGALGSSAAMAGMAARAPLGMMGNEIHLERSMLIDIAINAMDELVKMARQDNPLWIKSSDGRNEVLNFDEYARIFPTFVGSKPAGYVSEATRATGVVLASSLGLVETFMDVDRWSEMFSYMVASACPLDVLSGGTNGTRNGALQVMLAELQLLSPLVPTRQMSLLRFCKQHAEGVWVVVDVSIDLIRNGSSSHPSMSCRRLPSGVVIQDMPNGLSKITWVEHTQYDESVIHQLCRPLVSSGIGFGAQRWISSLLRQCECLAILMTPPGPSDDPTVLSQSNRRSVLKLAQRMIEYFCSGICASSARKWDVLHVGNIADDMKIMARKIVDDSNEGAGIVLSASTTMWLPVPRQRVYDFLRNEQLRGEWDILSKGGPMKEMVHVPKGQDPGNCVSILHHINNESNVLFLQESWNDASGSMVVFSPINMQSLNMMMSCGDSSFVGLRPSGFVVLPDDNNEGGSCLLTVGLQMLQNDNHSAKFTIESVQTVNSLLSCTIQKVKETFGVS >Vigun04g160400.5.v1.2 pep primary_assembly:ASM411807v1:4:38276455:38280052:1 gene:Vigun04g160400.v1.2 transcript:Vigun04g160400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGEMGMMGESFDTSNLLGRIRDDDYESRSESDNFDGGSGDEQDVGDDQPQRKKKKYHRHTPQQIQDLEAFFKECPHPDEKQRTDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENMVLKQENDKLRAENGVMKEALANPICNNCGGPAIPGQISLEEHQTRIENARLKDELNRICTLANKFLGRSLSSLASPISLPPTNSGLDLAIGRNGIGGSSNFGMPVPIGFDMGDGALGSSAAMAGMAARAPLGMMGNEIHLERSMLIDIAINAMDELVKMARQDNPLWIKSSDGRNEVLNFDEYARIFPTFVGSKPAGYVSEATRATGVVLASSLGLVETFMDVDRWSEMFSYMVASACPLDVLSGGTNGTRNGALQVMLAELQLLSPLVPTRQMSLLRFCKQHAEGVWVVVDVSIDLIRNGSSSHPSMSCRRLPSGVVIQDMPNGLSKITWVEHTQYDESVIHQLCRPLVSSGIGFGAQRWISSLLRQCECLAILMTPPGPSDDPTVLSQSNRRSVLKLAQRMIEYFCSGICASSARKWDVLHVGNIADDMKIMARKIVDDSNEGAGIVLSASTTMWLPVPRQRVYDFLRNEQLRGEWDILSKGGPMKEMVHVPKGQDPGNCVSILHHINNESNVLFLQESWNDASGSMVVFSPINMQSLNMMMSCGDSSFVGLRPSGFVVLPDDNNEGGSCLLTVGLQMLQNDNHSAKFTIESVQTVNSLLSCTIQKVKETFGVS >Vigun04g160400.3.v1.2 pep primary_assembly:ASM411807v1:4:38276448:38280052:1 gene:Vigun04g160400.v1.2 transcript:Vigun04g160400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGEMGMMGESFDTSNLLGRIRDDDYESRSESDNFDGGSGDEQDVGDDQPQRKKKKYHRHTPQQIQDLEAFFKECPHPDEKQRTDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENMVLKQENDKLRAENGVMKEALANPICNNCGGPAIPGQISLEEHQTRIENARLKDELNRICTLANKFLGRSLSSLASPISLPPTNSGLDLAIGRNGIGGSSNFGMPVPIGFDMGDGALGSSAAMAGMAARAPLGMMGNEIHLERSMLIDIAINAMDELVKMARQDNPLWIKSSDGRNEVLNFDEYARIFPTFVGSKPAGYVSEATRATGVVLASSLGLVETFMDVDRWSEMFSYMVASACPLDVLSGGTNGTRNGALQVMLAELQLLSPLVPTRQMSLLRFCKQHAEGVWVVVDVSIDLIRNGSSSHPSMSCRRLPSGVVIQDMPNGLSKITWVEHTQYDESVIHQLCRPLVSSGIGFGAQRWISSLLRQCECLAILMTPPGPSDDPTVLSQSNRRSVLKLAQRMIEYFCSGICASSARKWDVLHVGNIADDMKIMARKIVDDSNEGAGIVLSASTTMWLPVPRQRVYDFLRNEQLRGEWDILSKGGPMKEMVHVPKGQDPGNCVSILHHINNESNVLFLQESWNDASGSMVVFSPINMQSLNMMMSCGDSSFVGLRPSGFVVLPDDNNEGGSCLLTVGLQMLQNDNHSAKFTIESVQTVNSLLSCTIQKVKETFGVS >Vigun04g138950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34523350:34524762:1 gene:Vigun04g138950.v1.2 transcript:Vigun04g138950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINAIYCNYFYPSHFSFHFGAFASLTYLNLSTSSFQGQIPSQISHLSKLATLDLSFNFDLTWKEDSWKRLLQNATHLTELVLDYTDMSSISTKTLNLSSSLVTLSLSGTQLRENLTDGIFCLPNLQHLDLSYNLYLRSHLPKVSCSTTSLNILDLSLSQFQGSIPPSFSNLSHLTSLDLSCNSLVDSIPSSLLTLPRLSFLNLLSNSLSGEIPNVFPQANKFKELHFNGNNLGGELPSTLSNLQHLTLLDLSVNKFSGQIPDVFVGLTKLNVLYLSYNNFEGKIPSSLFGLTQLSELKFSYNKLQGPLPDKISGFSSLVSLSFDNNLMNGSIPSWCLSLPSLEYLFLWKNEFTGLVYANISNSLMYLDFSYNKLQGNIPEIIFNLVNLTKLDLSSNNLSGYIHFSLFSKLPNLEYLLLSQNDLLSLNFESNVNYTFSSLLMLDLSSTSLVEFPKLAGKVPLKLGEEWYH >Vigun06g232900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33888901:33890268:-1 gene:Vigun06g232900.v1.2 transcript:Vigun06g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFKLMTMSVLQSIYPSFCNLSLTSNYSISSTTQSLAAIALILTLLYIYFRPQTLYLLDYVCYLPPDHLRNPFSHMVEHFELCNFDKERVDFEIKVLERSGIGVDACMPESVHQLPPDDSMKPAQAEVELVLFKVVKDLLSKHKVNPKSIDIIVSNCSLFCPTPSIASTIINKFGLRSNIRSVNLSGMGCSAGLLSINLAKDLLRVHNNSLALVLSMEAVAPNGYRGNDKSMLIANVLFRMGGAAILLSNRKQDKPVAKYKLQHLVRTHTGSNDQAYKSVYQECDENEIVGVSISRSLLAVAASALRTNITTLGPLVLPYSEQLCFGWSLICKKIYVPDFKKAFEHFCIHAGGKSVIDVMEKSLKLQKKDVEASRMTLYRFGNTSSSSLWYELCYLEAKGRVKKGDRVWQIAFGSGFKCNSAVWKSLSDSDPNVRNVWSDRIDLYPVEIPVFDC >Vigun02g191700.1.v1.2 pep primary_assembly:ASM411807v1:2:32900774:32903804:1 gene:Vigun02g191700.v1.2 transcript:Vigun02g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSALREFTMRGEVEKIVRVNGEFRFGEEYTFPCWVETAYRSTKGNRYTLETLVHYIKNHHLKHTEYIQNTFAVGIPSVTLPDRKPLLQYLQGTLTSTDSIEYRPEDPSFAPKSTLPSQAQALPQPQEQPDKLDLISLITSVERPLKDRQSLLECKNRDFYSVLVSATKREEDRQRMESQQRKDGLVAKSRLMAADDRGLGFSDDMGGYDPTPKPKMLLKGTKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVYIPTDVKVKQMKGTRPDCVTVQKKLSRDRVVTAYEVRDKPSSLKPDDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFYMRFEDDSLESAKNVKQWNVKIISISKNKRHQDRAAALDVWERLEEFARARSRS >Vigun04g029200.1.v1.2 pep primary_assembly:ASM411807v1:4:2254873:2259773:1 gene:Vigun04g029200.v1.2 transcript:Vigun04g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWRKEIKEETKKQLWLAVPMIFVCVFQFSLQLISLMFVGHLNELFLAAVSLSSSITNAFGFNVMMGLSSALDTFCGQAYGAKQYQMVGVHTQGAMVVLILVSIPVSIMWVFLEPILILLHQNKEVAALAQLYARYLIPSLSANALLRCITKFLQTQNIVFPMVLATGLTSMLHILLCWLFIQKLDYGIKGSAIAICISNWFNTIILALYIRFSPSCKQTWTGFSKQSLHNIPKFLRLALPSAVMVCLESWTFEIIVILSGALPNAKLQTSVLSICLNTSGIFWMIPFGVSAAGSTRISNELGADCPKAAYLAVKVTMFLTFLVGLVEFSVLMSLWKVWGRAFTNVHAVLTYLISMMPIVASSTIVDSIQTGFQGVARGCGWQKIGALINLGCNYLLGVPFSVVSAFVFHMKGQGLFLGIVLALTAQVVCFLLVTLRANWEKEAKRAIARVGGNGVQVEEH >Vigun04g029200.2.v1.2 pep primary_assembly:ASM411807v1:4:2254872:2259774:1 gene:Vigun04g029200.v1.2 transcript:Vigun04g029200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWRKEIKEETKKQLWLAVPMIFVCVFQFSLQLISLMFVGHLNELFLAAVSLSSSITNAFGFNVMMGLSSALDTFCGQAYGAKQYQMVGVHTQGAMVVLILVSIPVSIMWVFLEPILILLHQNKEVAALAQLYARYLIPSLSANALLRCITKFLQTQNIVFPMVLATGLTSMLHILLCWLFIQKLDYGIKGSAIAICISNWFNTIILALYIRFSPSCKQTWTGFSKQSLHNIPKFLRLALPSAVMVCLESWTFEIIVILSGALPNAKLQTSVLSICLNTSGIFWMIPFGVSAAGRIFCPNVVMESLGACFYQCACSAHIFDFHDANSCKFYHCRFNSNRISRCC >Vigun07g141750.1.v1.2 pep primary_assembly:ASM411807v1:7:25212323:25213650:1 gene:Vigun07g141750.v1.2 transcript:Vigun07g141750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDSRTWVFEAHPRLPESGQEACFTELALQIPGYESGNFVGPTILCDVTTSIEYYREEIFGPVLLCMQVLFSHKSHTKRDQKDIHAN >Vigun05g063700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5510261:5525243:1 gene:Vigun05g063700.v1.2 transcript:Vigun05g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAIPVLLKQLMIARRKFHERGRDESFDRKLEKLRSDLKKIEDVFVRVKKKEEVLLDTLAEVYDHLRKLDRGKLDEDMDGICQRIRDSAHNLLPILAFDDSSKDEDHIGGQIFHPSEELWQAHERIRNLENLYYSPDNPSTLCLSSLFIFPENAVIRKRNAINLWIGEGLIGNTENKRAEEEGEDVIDDLLKCGVIVRCGNGKDPSVNRFQIFINVRHQMELYLSKENGKHQGQYFIPTTYPQIRVERLELERKKATLGGDDWYFGDRTIGTIFNIGASYLNFRPKWVTELKNLLVLQLGRWQDSALHHIEVGSQEFLKELRNLKQLRYLSLRGISRIFELPSSIVELESLLVLDLKACHNLETLPDDISTMKSLTHLILSECCFVEGMPKGIEKLTNLQVLKGFLISRPGKTPCRISELVKLRKLRGLSIHIGSEAVIRNGEFERLEDFFKLEQLKISWNVSDPKYANINIIVPPHLKKLHLECFPGKSFVECFMPSKWERAGLESTLELNITGGKLESIKTHLTLRTLKILRLKYLKQLNVDIDDLKALFPRLKYVEIKQISNHSYIEHEWGII >Vigun03g164400.5.v1.2 pep primary_assembly:ASM411807v1:3:18703309:18707748:-1 gene:Vigun03g164400.v1.2 transcript:Vigun03g164400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNMATTTIGGLKRKSPPDDVCTDVELSISFQDGNKLFFKVKQDLEFVKVFRDFCNRKKLEYETLKFIHEGTEVKGRQTPKMLNLENGAEIFAVRNQIGGGVAALRGVISFGLIQLRKDGICGATLVEDGDDVEFMWRREENIK >Vigun03g164400.3.v1.2 pep primary_assembly:ASM411807v1:3:18705815:18707748:-1 gene:Vigun03g164400.v1.2 transcript:Vigun03g164400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTIGGLKRKSPPDDVCTDVELSISFQDGNKLFFKVKQDLEFVKVFRDFCNRKKLEYETLKFIHEGTEVKGRQTPKMLNLENGAEIFAVRNQIGGGVAALRGVISFGKMLENGCYTA >Vigun03g164400.2.v1.2 pep primary_assembly:ASM411807v1:3:18705608:18707784:-1 gene:Vigun03g164400.v1.2 transcript:Vigun03g164400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNMATTTIGGLKRKSPPDDVCTDVELSISFQDGNKLFFKVKQDLEFVKVFRDFCNRKKLEYETLKFIHEGTEVKGRQTPKMLNLENGAEIFAVRNQIGGGVAALRGVISFGKMLENGCYTA >Vigun03g164400.4.v1.2 pep primary_assembly:ASM411807v1:3:18705815:18707748:-1 gene:Vigun03g164400.v1.2 transcript:Vigun03g164400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTIGGLKRKSPPDDVCTDVELSISFQDGNKLFFKVKQDLEFVKVFRDFCNRKKLEYETLKFIHEGTEVKGRQTPKMLNLENGAEIFAVRNQIGGGVAALRGVISFGKMLENGCYTA >Vigun03g164400.6.v1.2 pep primary_assembly:ASM411807v1:3:18705608:18707756:-1 gene:Vigun03g164400.v1.2 transcript:Vigun03g164400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNMATTTIGGLKRKSPPDDVCTDVELSISFQDGNKLFFKVKQDLEFVKVFRDFCNRKKLEYETLKFIHEGTEVKGRQTPKMLNLENGAEIFAVRNQIGGGVAALRGVISFGKMLENGCYTA >Vigun07g266450.1.v1.2 pep primary_assembly:ASM411807v1:7:38208143:38209414:-1 gene:Vigun07g266450.v1.2 transcript:Vigun07g266450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEIRFILLIKVKKVIIGVQDKVWGCREKPLQFEGKIKENSRRVKEKVRNRENREGMLLTSLKTKAFSPKPKPLPPFSLKRRRISLKREFVQWGPSLAPILAQASSDAANLA >Vigun05g183600.1.v1.2 pep primary_assembly:ASM411807v1:5:35376128:35378766:-1 gene:Vigun05g183600.v1.2 transcript:Vigun05g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRYRSFLHDEPDNIEWRHGGPPTYDVVNKLFEEGRTKEWPEGSLEETVQNAIKSYEMELSHKTRLLDFKTINPQKFKLFVNGREGLSGEETLKLGSYNALLQSSLPEDLQPYKADKESFESSHEIFRSAFPRGFAWEVIKVYSGPPEISFKFRHWGFFEGPYKGHAPTGKMVQFYGIGTLKVDDSLKVEEVEFFYDPAELFGGLLSGKNPNNPIEDDGNKASPTSQCPFSN >Vigun05g183600.2.v1.2 pep primary_assembly:ASM411807v1:5:35376128:35378766:-1 gene:Vigun05g183600.v1.2 transcript:Vigun05g183600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHKTRLLDFKTINPQKFKLFVNGREGLSGEETLKLGSYNALLQSSLPEDLQPYKADKESFESSHEIFRSAFPRGFAWEVIKVYSGPPEISFKFRHWGFFEGPYKGHAPTGKMVQFYGIGTLKVDDSLKVEEVEFFYDPAELFGGLLSGKNPNNPIEDDGNKASPTSQCPFSN >Vigun09g072900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8089216:8089905:-1 gene:Vigun09g072900.v1.2 transcript:Vigun09g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKMLKGYGKIERDQQHGIEDQQRSPKSQISKPVITTTISVFAILSLTLILSFAIASIAHHQATESQQLSNSAESIRVVCNVTRFPAACLAAIPPSVNTTDPQTILLLSLRASLHALQSLASSLHATKGGALADCKDQFDDALSRLNDSLSAAAALTDKAVGDVHTWVSAAVTDQQTCLDGLEEAGDAAGLEKMKKMMKRSEEYTSNSLAIVANFRDLLRHFNMKLH >Vigun03g320400.1.v1.2 pep primary_assembly:ASM411807v1:3:51464196:51465673:-1 gene:Vigun03g320400.v1.2 transcript:Vigun03g320400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELLHNNNNGNDTIKFLCSYGGKILPRRTDGILRYYGGHTRVLAFHPSTSFSELMTKINELCGSPATLRCPLPNGDLDTLVSVKNDEDLANIIEEYDRASSSLPHRLKIRAILLPMDKSSPSPSSSSSASHTPPGSPHTSASSPSCSETHRFGCWNCSATATAYSRWPRNGAVKFGSPHSSPCSLPCYAVQRFGCRNCSSGAYPTSVRIGAAKFGSPQSSGGSPPYSVAHRFGCQDCSLISYPIGVCNAAAKGC >Vigun01g043400.2.v1.2 pep primary_assembly:ASM411807v1:1:6203771:6208241:-1 gene:Vigun01g043400.v1.2 transcript:Vigun01g043400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLESSISVPSVQELAFQRPQKVPPRYIRDEDGDDIIGPYPSDPSLRVPFIDMAKLVNADTHQHELQKLLLACRDWGVFQLVNHGVSNTSLKNMGNQVQRFFELPLQEKKRSAQRPGSLEGYGQAFVTSEDQKLDWNDMIFLKCLPIENRKLDLWPQNPPEFRETLERYCEDIRELTVAIMKFITMSLGIQDTQISESFREGLYDIRMNCYPPCPEPERVLGIVPHADNSGITLLLDCADFSGLQFLKDKKWVNVEPIEGAIVVNIGQIIEVMSNGIYKAPEHRAVVNKWKERLSIVTFCYPSPDMNIGPAEELIGEGNVAVYKKLTNAEYFSKFFNRELDESFLDSLRI >Vigun01g043400.3.v1.2 pep primary_assembly:ASM411807v1:1:6205434:6208241:-1 gene:Vigun01g043400.v1.2 transcript:Vigun01g043400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLESSISVPSVQELAFQRPQKVPPRYIRDEDGDDIIGPYPSDPSLRVPFIDMAKLVNADTHQHELQKLLLACRDWGVFQLVNHGVSNTSLKNMGNQVQRFFELPLQEKKRSAQRPGSLEGYGQAFVTSEDQKLDWNDMIFLKCLPIENRKLDLWPQNPPEFRETLERYCEDIRELTVAIMKFITMSLGIQDTQISESFREGLYDIRMNCYPPCPEPERVLGIVPHADNSGITLLLDCADFSGLQFLKDKKWVNVEPIEGAIVVNIGQIIEVMSNGIYKAPEHRAVVNKWKERLSIVTFCYPSPDMNIGPAEELIGEGNVAVYKKLTNAEYFSKFFNRELDESFLDSLRI >Vigun01g038900.1.v1.2 pep primary_assembly:ASM411807v1:1:5285392:5286180:1 gene:Vigun01g038900.v1.2 transcript:Vigun01g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATVKDLLAMQACNLFCLPENYQMKYYFYHILSWPQLLYVAEDYNGRIVGYVLAKMEEETTDCHGHITSLAVLRTHRKLGLATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQQHQQHHHHHHHHHHHHHHEHGGMCCSGEVKGNAKAT >Vigun05g133800.2.v1.2 pep primary_assembly:ASM411807v1:5:15749497:15761182:-1 gene:Vigun05g133800.v1.2 transcript:Vigun05g133800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFDSPSTSKPVEENTQNPTPQIDSKTTRNTKPGVKRLIICFSVLLSFILGFPLLWKSIEIYRAPLPFDRIDSFSSQVESNTLSFPCRFQAVFIGFDFKASHREVGAAITRKMSDLGRGDCGGCGGNYSVDVAVERGDVSALDFGAKFRSDDEDADEWVRSVVKEYRGGHAYSVVVVNEGGEVRSVVGKYRHAWIVGRVEEEEAVLRVAEIFVKVFVNGGDEDGSIRSEFMPVGADGRIVLSFSLLNADPRDWIYDWNFREIDETFLQPVIEALQPIANISVESQVLYHTPKSSFSYWDDKHGSHIFTAKDLPFFVNSNEWHLDTSVAAGGRSKVLQLVVYIPSAKECPLQLKLPNGDISKTNGFISPMWGGVVVWNPQSCIKDLESKDPVRNTISRQDLQTLFEVLMGQLRQLLGLKSDNLYVGESGTSILLGSERGFTEWELDVLSRKHICFNLLSCATTLGSLSRLVQSLPRMIIMDEIGKQVKFSLEAAKFAQSNASIGIYDASAVSSRQSRSLAEDAFFHPSIMSISYYSFEHCFAIYSVCP >Vigun05g133800.1.v1.2 pep primary_assembly:ASM411807v1:5:15749497:15761182:-1 gene:Vigun05g133800.v1.2 transcript:Vigun05g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFDSPSTSKPVEENTQNPTPQIDSKTTRNTKPGVKRLIICFSVLLSFILGFPLLWKSIEIYRAPLPFDRIDSFSSQVESNTLSFPCRFQAVFIGFDFKASHREVGAAITRKMSDLGRGDCGGCGGNYSVDVAVERGDVSALDFGAKFRSDDEDADEWVRSVVKEYRGGHAYSVVVVNEGGEVRSVVGKYRHAWIVGRVEEEEAVLRVAEIFVKVFVNGGDEDGSIRSEFMPVGADGRIVLSFSLLNADPRDWIYDWNFREIDETFLQPVIEALQPIANISVESQVLYHTPKSSFSYWDDKHGSHIFTAKDLPFFVNSNEWHLDTSVAAGGRSKVLQLVVYIPSAKECPLQLKLPNGDISKTNGFISPMWGGVVVWNPQSCIKDLESKDPVRNTISRQDLQTLFEVLMGQLRQLLGLKSDNLYVGESGTSILLGSERGFTEWELDVLSRKHICFNLLSCATTLGSLSRLVQSLPRMIIMDEIGKQVKFSLEAAKFAQSNASIGIYDASAVSSRQSRSLAEDAFFHPSIMSISYYSFEHCFAIYSPFFLPVIMHVLLGALREWKRYKQENRKYLAAKAKEKVS >Vigun09g099600.1.v1.2 pep primary_assembly:ASM411807v1:9:16060980:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEKKELEHEVVTNVAKVISAIKNAKHVDQVICALHSIATMFFPVDLSLLSDCIDESYRDQVFSVEVPTAEKRNGWWRAFYRGAAFPTLARFLLLDVASNWLGCFPFSAQKCVYDVFFVRGLVSEVLQILVPFLQLSPVDGLDVNAVLSNSERLLVLCLLENKGVVQLAREFGGSSKLRNVTDVHTKMDVSRVAQIVASIPDKARMNSSTSLSSHVFFKQVVVQLLSLAEKREMVLLENAEMDEMDKNGAMFFVGEMFSRICRRGSTDLLSSELIPEVVRLVNGCLSSNNDSITKELLALKPDMVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNMLRSREVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun09g099600.6.v1.2 pep primary_assembly:ASM411807v1:9:16063520:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNMLRSREVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun09g099600.4.v1.2 pep primary_assembly:ASM411807v1:9:16060980:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEKKELEHEVVTNVAKVISAIKNAKHVDQVICALHSIATMFFPVDLSLLSDCIDESYRDQVFSVEVPTAEKRNGWWRAFYRGAAFPTLARFLLLDVASNWLGCFPFSAQKCVYDVFFVRGLVSEVLQILVPFLQLSPVDGLDVNAVLSNSERLLVLCLLENKGVVQLAREFGGSSKLRNVTDVHTKMDVSRVAQIVASIPDKARMNSSTSLSSHVFFKQVVVQLLSLAEKREMVLLENAEMDEMDKNGAMFFVGEMFSRICRRGSTDLLSSELIPEVVRLVNGCLSSNNDSITKELLALKPDMVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNIEVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun09g099600.2.v1.2 pep primary_assembly:ASM411807v1:9:16060980:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEKKELEHEVVTNVAKVISAIKNAKHVDQVICALHSIATMFFPVDLSLLSDCIDESYRDQVFSVEVPTAEKRNGWWRAFYRGAAFPTLARFLLLDVASNWLGCFPFSAQKCVYDVFFVRGLVSEVLQILVPFLQLSPVDGLDVNAVLSNSERLLVLCLLENKGVVQLAREFGGSSKLRNVTDVHTKMDVSRVAQIVASIPDKARMNSSTSLSSHVFFKQVVVQLLSLAEKREMVLLENAEMDEMDKNGAMFFVGEMFSRICRRGSTDLLSSELIPEVVRLVNGCLSSNNDSITKELLALKPDMVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNMLRSREVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun09g099600.5.v1.2 pep primary_assembly:ASM411807v1:9:16063520:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNMLRSREVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun09g099600.3.v1.2 pep primary_assembly:ASM411807v1:9:16060980:16071313:1 gene:Vigun09g099600.v1.2 transcript:Vigun09g099600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSEKKELEHEVVTNVAKVISAIKNAKHVDQVICALHSIATMFFPVDLSLLSDCIDESYRDQVFSVEVPTAEKRNGWWRAFYRGAAFPTLARFLLLDVASNWLGCFPFSAQKCVYDVFFVRGLVSEVLQILVPFLQLSPVDGLDVNAVLSNSERLLVLCLLENKGVVQLAREFGGSSKLRNVTDVHTKMDVSRVAQIVASIPDKARMNSSTSLSSHVFFKQVVVQLLSLAEKREMVLLENAEMDEMDKNGAMFFVGEMFSRICRRGSTDLLSSELIPEVVRLVNGCLSSNNDSITKELLALKPDMVFWSRIMESISDPYTKERISELILHKLATQDANDVQAYWLLWLLFHRIFKLQASVRSMFVDKFLLWKVFPVSCLKWILQFAVHECPPGSSLSEHNRAGLLNTVQRLMAVWSKKEFVQTAPIEQQAYISAALGLSLETMSREELDGMKNGMHLILQGVSCRLESPNHLVRKMASCVALALSIIIDPKNPLYLDDSYSGEAIDWEFGFTILKKGNLAAANCGGKGIEETKTSTVSGPERNTNSPSNKGKSINVKGKKKLLEFNVLDPDEIIDPASLNHDSDDNEEDVDDSASENSYSSSDSSLQPYDLEDDDSDLKRKFSQLAEVIAALRKSDDAEGVETAIDVAEKLIRASPDELKHAATDLTRTLVQVRCSDIALEGAEESTEDKRQRALVALAVTCPFESLETLNKLLYSPNVDISQRIMMLDVMTEAAQELAESKIMKPKHQTSSLISVVSDTRPWFLPSSTGTPGAGSWKEISGAGSLLNWSNSYERDLPPKHNQVKKGKTRRWSLRSAAQKNQMEYSHNKFPLYAASFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSVAMHPEASVLAPLLLNIEVCHHQEAYVRRAVLFAASCVLVALHPTYISSALLEGNVEISTGLEWIRTWALDIAELDTDKECYTMAMTCLQLHAEMALQTSRALESARSSLKAGPALTSGTSNVTIKIPYLNGD >Vigun02g040400.1.v1.2 pep primary_assembly:ASM411807v1:2:16583544:16584802:-1 gene:Vigun02g040400.v1.2 transcript:Vigun02g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKYCCMIMRINVDCNSCCRKLRRIILRMKVIENHLIEKQQRRVCVFGRFEPADVAIKIKKKMNRRVEILEVQEMEAEVENEGE >Vigun11g153800.1.v1.2 pep primary_assembly:ASM411807v1:11:36306195:36311554:-1 gene:Vigun11g153800.v1.2 transcript:Vigun11g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSNGATKQPPMPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEQLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQVPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITNAKELLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >Vigun11g153800.2.v1.2 pep primary_assembly:ASM411807v1:11:36306191:36311753:-1 gene:Vigun11g153800.v1.2 transcript:Vigun11g153800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSNGATKQPPMPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEQLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQVPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITNAKELLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >Vigun11g153800.3.v1.2 pep primary_assembly:ASM411807v1:11:36306195:36311617:-1 gene:Vigun11g153800.v1.2 transcript:Vigun11g153800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSNGATKQPPMPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEQLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQVPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITNAKELLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >Vigun11g153800.4.v1.2 pep primary_assembly:ASM411807v1:11:36306195:36311570:-1 gene:Vigun11g153800.v1.2 transcript:Vigun11g153800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSNGATKQPPMPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEQLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQVPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITNAKELLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >Vigun07g134300.1.v1.2 pep primary_assembly:ASM411807v1:7:24418659:24422193:1 gene:Vigun07g134300.v1.2 transcript:Vigun07g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEVKEEQKGGFRASMFIFVLSALDNMGFVANMASLVLYFYGVMHFDLSNSANTLTTFLGSTFLLSLVGGFISDTYLNRLTTCLFFGSLEVLALVMLTVQAALDHLHPDSCGKSSCVKGGIAVMFYTSLYLLALGMGGVRGSLTAFGADQFDEKNPREAKALASFFNWLLLSSTLGSIIGVTGVVWVSTQKAWHWGFTIVTVASAIAFLTLALGKPFYRVKTPGQSPILKITQVIFVAFKNRKLPLPESHEELYEVYESAKLERIAHTNQMRFLDRASILQQNIEPEAWKVCSVTQVEEVKILTRMLPILASTIIMNTCLAQLQTFSVQQGNMMNLKLGSFTVPAPSIPVIPLLFMSLLIPIYEFMFVPFARKITHHPSGVTPLQRVGVGLVLSFISMAVAGIVEVKRRDQGLKDPSRPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPETMKSLSTSFTYLSMSLGYFLSTVFVDVINAVTKRVTPSKQGWLHGLDLNQNNLNLFYWFLAILSCVNFFNYLYWASWYKYKADEDSNSMVNLKTLKSVDEEEKKNGKVKAKESSQTSEANTEGPSSSDETDDGRNSREWKHR >Vigun05g273100.1.v1.2 pep primary_assembly:ASM411807v1:5:46389683:46390821:1 gene:Vigun05g273100.v1.2 transcript:Vigun05g273100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRFGLCFIIVLLVSQEMMVVEGEKCERRSVLFPEGCIEPVGSQQCDYLCKIGEGLLGGTCNSDQICYCTC >Vigun07g289200.1.v1.2 pep primary_assembly:ASM411807v1:7:40160525:40160844:-1 gene:Vigun07g289200.v1.2 transcript:Vigun07g289200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYSLVLTYKGRKCNLRVVWINHVERSLIQVCDKSRDTALESAAIIFK >Vigun07g265000.3.v1.2 pep primary_assembly:ASM411807v1:7:38063753:38067348:-1 gene:Vigun07g265000.v1.2 transcript:Vigun07g265000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGWFIASAFTMLVALSMAEICSSYPTTGGLYYWSAKLAGPRWAPFASWITGWFNIIGQWAGTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGGILLLHGIINSLPVSVISFLAQLGAIWNVLGVFVLMILIPSVTTERASVKFVFTHFNAENENGINSRPYIFLLGLLMSQYTLCGYDSSAHMTEETKGADRNGPKGLISSVGISIIVGWGYILAITFAVTDIHYLLSEDNDAGGYAIAEVFYLAFKRRYGNGSGGIVCLVMVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPIHAVWLSVSVSFCMALTVRYILYL >Vigun07g265000.2.v1.2 pep primary_assembly:ASM411807v1:7:38063753:38067348:-1 gene:Vigun07g265000.v1.2 transcript:Vigun07g265000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAENMTLSSRVVAITKGDASSLDSGHARLLELGYKQELKRGLSAISNFSLSFSVISVLTGVTTLYNTGLNYGGPVSMQYGWFIASAFTMLVALSMAEICSSYPTTGGLYYWSAKLAGPRWAPFASWITGWFNIIGQWAGTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGGILLLHGIINSLPVSVISFLAQLGAIWNVLGVFVLMILIPSVTTERASVKFVFTHFNAENENGINSRPYIFLLGLLMSQYTLCGYDSSAHMTEETKGADRNGPKGLISSVGISIIVGWGYILAITFAVTDIHYLLSEDNDAGGYAIAEVFYLAFKRRYGNGSGGIVCLVMVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPIHAVWLSVSVSFCMALTGDLGPKAFCCRAFQLGPLRNHCGLGCSYLGCDNLRIVLVACFVPNSHPNI >Vigun07g265000.1.v1.2 pep primary_assembly:ASM411807v1:7:38063753:38067348:-1 gene:Vigun07g265000.v1.2 transcript:Vigun07g265000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAENMTLSSRVVAITKGDASSLDSGHARLLELGYKQELKRGLSAISNFSLSFSVISVLTGVTTLYNTGLNYGGPVSMQYGWFIASAFTMLVALSMAEICSSYPTTGGLYYWSAKLAGPRWAPFASWITGWFNIIGQWAGTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGGILLLHGIINSLPVSVISFLAQLGAIWNVLGVFVLMILIPSVTTERASVKFVFTHFNAENENGINSRPYIFLLGLLMSQYTLCGYDSSAHMTEETKGADRNGPKGLISSVGISIIVGWGYILAITFAVTDIHYLLSEDNDAGGYAIAEVFYLAFKRRYGNGSGGIVCLVMVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPIHAVWLSVSVSFCMALTSLGSIVAFEAMVSIAVIALPIFFRVTLAQKHFVAGPFNLGRYGIIVGWAAVIWVVIISVLFSLPVSYPIAIQTFNYTPVAVGSLLVLVVAFWILTAHRWFKGPLTDVKNSTFSLS >Vigun09g123600.1.v1.2 pep primary_assembly:ASM411807v1:9:27553799:27566539:1 gene:Vigun09g123600.v1.2 transcript:Vigun09g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIVNTIDWLQLPKPFFPQKTHFSQFSIYSPHFLTNAFPPRNFTNRCKLRIKASNSVFDTPNKEQEQDAESAQLFEKLKEAERKRMDELEEFDKKANVQLERQLVMASSWSRVLLTLRGKLKGTEWDPENSHGIEFSDFLRLLDSNNVQFMEYANYGQTISVILPYYKNGTVIGTEGNPDDIIFRRHPVNRMPIDSWNDVWRKLHQQIVNVDVINVDAVPAEIYSTVAVAVIWSMRLALAVGFYVWIDNLMRPIYAKLIPCDLGTPSQKTSQPLRSRALGSLGQSRAKFISAEERTGVTFDDFAGQEYIKKELQEIVRILKNDDDFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFGNARSFSPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTAQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSEDGRYAILKVHARNKFFRSEEEKHTLLKEISELTEDFTGAELQNILNEAGILTARKDLDYIGRDELLEALKRQKGTFETGQEDSTDIPEELKLRLAYREAAVAVLACYFPEPHRPFLETDISSIRSQPNMRYTEVSGQVFARKSDYINSIVRACAPRVIEEEMFGIDNMCWISAKATLEASRRAEFLILQTGMTAFGKAYYKNYSDLVPNLAVKLEALRDEYMRYATEKCSLVLKEYHLAVETITDILLEKGKIKAEEIWDIYKSAPRVAQPPVRPVDEYGALIYAGRWGIHGISLPGRVTFAPGNVGFSTFGAPRPTETQMVSDETWKLVDDIWDKKVQNIKDEATKVIEEEKEKPQLLMASHFL >Vigun02g012750.1.v1.2 pep primary_assembly:ASM411807v1:2:4848426:4849201:1 gene:Vigun02g012750.v1.2 transcript:Vigun02g012750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLSLPTLIQKVKLTKIMKYLKPVIQDKRSLKTIGLVELQQGLYEIRQ >Vigun03g108000.1.v1.2 pep primary_assembly:ASM411807v1:3:9656866:9661489:-1 gene:Vigun03g108000.v1.2 transcript:Vigun03g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDSSNSWLRRTKFSHTVCHRLDYSSSRLGSFSIQPRTHHSSGLKSRPDVTFVSETSSQVRKKSIMSKQRSLSPLPETSLSETFKEARHEWKRFSTPGPRRKEHDKRIMGKLLNKDSHVSSSKSPYSSPMTKSPYNSPMTKSPYSSPIRHLASMKLSDKSKQRKDSGWTRYFDHGGGKVTAVETAEEWNVDLSKLFVGVRFAHGAHSRLYHGMYKDEAVAVKIIRVPDDDENGMLADRLEKQFIREVSLLSRLHHRNIIKFVAACRKPPVYCVITEYLSEGSLRSYLHKLETKTIPLQKLITFALDIARGMEYIHSQGVIHRDLKPENVLISEDFHLKIADFGIACEEAYCDLFADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMVTGTIPYEDMTPIQAAFAVVNKNSRPVIPSDCPAAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLVQNLCCQDHKKGLLHWIQKLGPVHQNNGPVPKPKFT >Vigun03g108000.3.v1.2 pep primary_assembly:ASM411807v1:3:9656878:9661052:-1 gene:Vigun03g108000.v1.2 transcript:Vigun03g108000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDSSNSWLRRTKFSHTVCHRLDYSSSRLGSFSIQPRTHHSSGLKSRPDVTFVSETSSQVRKKSIMSKQRSLSPLPETSLSETFKEARHEWKRFSTPGPRRKEHDKRIMGKLLNKDSHVSSSKSPYSSPMTKSPYNSPMTKSPYSSPIRHLASMKLSDKSKQRKDSGWTRYFDHGGGKVTAVETAEEWNVDLSKLFVGVRFAHGAHSRLYHGMYKDEAVAVKIIRVPDDDENGMLADRLEKQFIREVSLLSRLHHRNIIKFVAACRKPPVYCVITEYLSEGSLRSYLHKLETKTIPLQKLITFALDIARGMEYIHSQGVIHRDLKPENVLISEDFHLKIADFGIACEEAYCDLFADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMVTGTIPYEDMTPIQAAFAVVNKNSRPVIPSDCPAAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLVQNLCCQDHKKGLLHWIQKLGPVHQNNGPVPKPKFT >Vigun03g108000.4.v1.2 pep primary_assembly:ASM411807v1:3:9656866:9660775:-1 gene:Vigun03g108000.v1.2 transcript:Vigun03g108000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDSSNSWLRRTKFSHTVCHRLDYSSSRLGSFSIQPRTHHSSGLKSRPDVTFVSETSSQVRKKSIMSKQRSLSPLPETSLSETFKEARHEWKRFSTPGPRRKEHDKRIMGKLLNKDSHVSSSKSPYSSPMTKSPYNSPMTKSPYSSPIRHLASMKLSDKSKQRKDSGWTRYFDHGGGKVTAVETAEEWNVDLSKLFVGVRFAHGAHSRLYHGMYKDEAVAVKIIRVPDDDENGMLADRLEKQFIREVSLLSRLHHRNIIKFVAACRKPPVYCVITEYLSEGSLRSYLHKLETKTIPLQKLITFALDIARGMEYIHSQGVIHRDLKPENVLISEDFHLKIADFGIACEEAYCDLFADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMVTGTIPYEDMTPIQAAFAVVNKNSRPVIPSDCPAAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLVQNLCCQDHKKGLLHWIQKLGPVHQNNGPVPKPKFT >Vigun03g108000.2.v1.2 pep primary_assembly:ASM411807v1:3:9656878:9661489:-1 gene:Vigun03g108000.v1.2 transcript:Vigun03g108000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDSSNSWLRRTKFSHTVCHRLDYSSSRLGSFSIQPRTHHSSGLKSRPDVTFVSETSSQVRKKSIMSKQRSLSPLPETSLSETFKEARHEWKRFSTPGPRRKEHDKRIMGKLLNKDSHVSSSKSPYSSPMTKSPYNSPMTKSPYSSPIRHLASMKLSDKSKQRKDSGWTRYFDHGGGKVTAVETAEEWNVDLSKLFVGVRFAHGAHSRLYHGMYKDEAVAVKIIRVPDDDENGMLADRLEKQFIREVSLLSRLHHRNIIKFVAACRKPPVYCVITEYLSEGSLRSYLHKLETKTIPLQKLITFALDIARGMEYIHSQGVIHRDLKPENVLISEDFHLKIADFGIACEEAYCDLFADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMVTGTIPYEDMTPIQAAFAVVNKNSRPVIPSDCPAAMRALIEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTLVQNLCCQDHKKGLLHWIQKLGPVHQNNGPVPKPKFT >Vigun03g093800.1.v1.2 pep primary_assembly:ASM411807v1:3:7934017:7935231:-1 gene:Vigun03g093800.v1.2 transcript:Vigun03g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVYSVWAIPPEDVAVRCANLMTALRSDFGGPQFQPHITLVGAIKLTADDALAKLRSASQALRPFNVTVDRVATGTFFYQCVYLLLRPDPHLLETSAHCCTHFGYASSTPYMPHLSLLYADLSDEEKQKAQERANVIDNALAGLTFEITRIALYKTDTEDKTLKSWEKIAECTLTTN >Vigun02g198600.1.v1.2 pep primary_assembly:ASM411807v1:2:33353336:33361531:-1 gene:Vigun02g198600.v1.2 transcript:Vigun02g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEVGYLIPVNQSLEEEGSVPKLPITDGTNVIGRNSIPVPDKRLSRKHLTLTASRDGSANLLVEGANPIVVNSGNKRRKLNHKEEATICSGDVIELIPGHHLFKYQVLGSGISSSSGRNNEAQSSFRNKASGRSSRDKVETCSQKQGRNADARKFVHSQSGEDSSVEAIRNFHVPNDQIPSTFRLLRVQGLPPWANTSCVSIGDVIQGDILVAILSNYMVDIDWLIPACPALSKVPHVLVIHGESDGRVECIKRSKPAKWILHKPSLPISFGTHHSKAMLLIYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNSPSNGSGFENDLVEYLSMLKWPEFSVKLPSLGSVSICPSFFRKFDYSDARVRLIASVPGYHSGSSLKRWGHMKLRSLLQECTFDEEFKKSPLVYQFSSLGSLDEKWMTELASSMSAGLSKDKTPLGMGEPQIIWPTVEDVRCSLEGYAAGSAVPSPLKNVEKAFLKKYWAKWKADHTGRGRAMPHIKTFARYNNQNLAWFLLTSANLSKAAWGALQKNNTQLMIRSYELGVLFLPSSFKRRGSVFSCTCNVALPEGKWPAQESSEMKKTKLVTLTGQKKESMDSPSDVIIRLPLPYELPPLPYSSQDVPWSWDRQYKNKDVYGHVWPRM >Vigun02g198600.2.v1.2 pep primary_assembly:ASM411807v1:2:33353336:33361531:-1 gene:Vigun02g198600.v1.2 transcript:Vigun02g198600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNHRSEIKLQDKVETCSQKQGRNADARKFVHSQSGEDSSVEAIRNFHVPNDQIPSTFRLLRVQGLPPWANTSCVSIGDVIQGDILVAILSNYMVDIDWLIPACPALSKVPHVLVIHGESDGRVECIKRSKPAKWILHKPSLPISFGTHHSKAMLLIYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNSPSNGSGFENDLVEYLSMLKWPEFSVKLPSLGSVSICPSFFRKFDYSDARVRLIASVPGYHSGSSLKRWGHMKLRSLLQECTFDEEFKKSPLVYQFSSLGSLDEKWMTELASSMSAGLSKDKTPLGMGEPQIIWPTVEDVRCSLEGYAAGSAVPSPLKNVEKAFLKKYWAKWKADHTGRGRAMPHIKTFARYNNQNLAWFLLTSANLSKAAWGALQKNNTQLMIRSYELGVLFLPSSFKRRGSVFSCTCNVALPEGKWPAQESSEMKKTKLVTLTGQKKESMDSPSDVIIRLPLPYELPPLPYSSQDVPWSWDRQYKNKDVYGHVWPRM >Vigun06g185300.4.v1.2 pep primary_assembly:ASM411807v1:6:30458156:30472621:-1 gene:Vigun06g185300.v1.2 transcript:Vigun06g185300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWEEVVKTYEKDKKAYTAKIMKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKCKEALGIQNNRKSTALHLAASIGSRQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPESLHYYSNCRRTDGDTILHSTIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGDLGRFERIVYNAIMVKKLEVAPNIQQQLPTTDKEKFSYPENYETCVRLWRVAKKFALVVTEPLRQCHQKLQHNDQIGTKRDLEALKNIVTNNGAETKSPGSESSTWPHRILFPQNYCVDLFKSVYFLLSVIFGPANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSEPVNILENKDQPYRFDEGDYVTLAGITEVEQHLTTRGEPKQQNNGETPILIAAKNGVTEMVEKIMDSFPVAVHDTDANKKNIVLLAVENRQTYLYDLLRRKNLKESIFHKVDNEGNNALHLAAMLREDNPWPIPGEALQMHWEIKWYLFIEESMGPHFFSRYNNKNQTPRDVFSETHSELVKSAGGWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENRPEFKAFAIASLIALCGSVTSLVMFLSIQTSGYQERDFGKNLPRKLIVGFTSLFMSITSMMVCFCAGHFFILMDKLKSVAFPVYALTCLPVILFSLAQFPLNFPDLIWATFKKVPQRGYKTSLH >Vigun06g185300.1.v1.2 pep primary_assembly:ASM411807v1:6:30458156:30472621:-1 gene:Vigun06g185300.v1.2 transcript:Vigun06g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWEEVVKTYEKDKKAYTAKIMKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKCKEALGIQNNRKSTALHLAASIGSRQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPESLHYYSNCRRTDGDTILHSTIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGDLGRFERIVYNAIMVKKLEVAPNIQQQLPTTDKEKFSYPENYETCVRLWRVAKKFALVVTEPLRQCHQKLQHNDQIGTKRDLEALKNIVTNNGAETKSPGSESSTWPHRILFPQNYCVDLFKSVYFLLSVIFGPGPANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSEPVNILENKDQPYRFDEGDYVTLAGITEVEQHLTTRGEPKQQNNGLLLCAGETPILIAAKNGVTEMVEKIMDSFPVAVHDTDANKKNIVLLAVENRQTYLYDLLRRKNLKESIFHKVDNEGNNALHLAAMLREDNPWPIPGEALQMHWEIKWYLFIEESMGPHFFSRYNNKNQTPRDVFSETHSELVKSAGGWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENRPEFKAFAIASLIALCGSVTSLVMFLSIQTSGYQERDFGKNLPRKLIVGFTSLFMSITSMMVCFCAGHFFILMDKLKSVAFPVYALTCLPVILFSLAQFPLNFPDLIWATFKKVPQRGYKTSLH >Vigun06g185300.3.v1.2 pep primary_assembly:ASM411807v1:6:30458156:30472621:-1 gene:Vigun06g185300.v1.2 transcript:Vigun06g185300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWEEVVKTYEKDKKAYTAKIMKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKCKEALGIQNNRKSTALHLAASIGSRQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPESLHYYSNCRRTDGDTILHSTIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGDLGRFERIVYNAIMVKKLEVAPNIQQQLPTTDKEKFSYPENYETCVRLWRVAKKFALVVTEPLRQCHQKLQHNDQIGTKRDLEALKNIVTNNGAETKSPGSESSTWPHRILFPQNYCVDLFKSVYFLLSVIFGPANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSEPVNILENKDQPYRFDEGDYVTLAGITEVEQHLTTRGEPKQQNNGLLLCAGETPILIAAKNGVTEMVEKIMDSFPVAVHDTDANKKNIVLLAVENRQTYLYDLLRRKNLKESIFHKVDNEGNNALHLAAMLREDNPWPIPGEALQMHWEIKWYLFIEESMGPHFFSRYNNKNQTPRDVFSETHSELVKSAGGWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENRPEFKAFAIASLIALCGSVTSLVMFLSIQTSGYQERDFGKNLPRKLIVGFTSLFMSITSMMVCFCAGHFFILMDKLKSVAFPVYALTCLPVILFSLAQFPLNFPDLIWATFKKVPQRGYKTSLH >Vigun06g185300.2.v1.2 pep primary_assembly:ASM411807v1:6:30458156:30472621:-1 gene:Vigun06g185300.v1.2 transcript:Vigun06g185300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEREDGRGREHTQKTSKRLFKLCMKGEWEEVVKTYEKDKKAYTAKIMKTGDTALHVAVTDGQNDVVGKLVKLICSEREEGKCKEALGIQNNRKSTALHLAASIGSRQMCEYIASAEASLLSMRNVDGETPLFLAALHGRKEAFLFLHYFSINTYPESLHYYSNCRRTDGDTILHSTIAGDYFDLAFQIIHLYGDLVNSVNESGLTPLHLLANKPSVFKSGGDLGRFERIVYNAIMVKKLEVAPNIQQQLPTTDKEKFSYPENYETCVRLWRVAKKFALVVTEPLRQCHQKLQHNDQIGTKRDLEALKNIVTNNGAETKSPGSESSTWPHRILFPQNYCVDLFKSVYFLLSVIFGPGPANINKIRRKKEKHEWSAQIMDELLKRACMYEYDDDGSEPVNILENKDQPYRFDEGDYVTLAGITEVEQHLTTRGEPKQQNNGETPILIAAKNGVTEMVEKIMDSFPVAVHDTDANKKNIVLLAVENRQTYLYDLLRRKNLKESIFHKVDNEGNNALHLAAMLREDNPWPIPGEALQMHWEIKWYLFIEESMGPHFFSRYNNKNQTPRDVFSETHSELVKSAGGWLKKTSESCSLVAALIATVAFSTSTTVPGDFKDDTGSPTLENRPEFKAFAIASLIALCGSVTSLVMFLSIQTSGYQERDFGKNLPRKLIVGFTSLFMSITSMMVCFCAGHFFILMDKLKSVAFPVYALTCLPVILFSLAQFPLNFPDLIWATFKKVPQRGYKTSLH >Vigun06g222900.1.v1.2 pep primary_assembly:ASM411807v1:6:33173279:33176924:1 gene:Vigun06g222900.v1.2 transcript:Vigun06g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQNFAVFCVCVYISFLLVAWLVKKTSFQTMDGRNKTRARRKGVPFPSNITTTRCLVSTRINSSKRVAEHTFSCSGCRREFTLAKIPVAYRCYNCERVSNSPSSVSEQSTKDLGSFKHDHQPNSYNSNTNGTLPRRLSPSPSVSLSFSTPCHKRAVICGVTYGKRTFKLRGTINDVNNMKSLLLDIFKFPNECIRVLSEEKNDPNLIPTKKNILDSLMWLVSDCKSEGSLVFYFSGHGLQQPEQQKGDETDGLDETICPVDFLREGMITDNEINSIIVRPLKEGVKLHAIIDACHSGTTLDLVYMWKKEKDIWKCNENRSPHSKEKQTSGGVAICLSACEDGQVAADTAAFEDKYNGIMTYFFSKIIRAHPQITYGSLLKKIHGELGQIHQSRFSNRVLRRMFHRKIDQDPILSSSVNFDIDRTPFTL >Vigun03g263000.1.v1.2 pep primary_assembly:ASM411807v1:3:43212785:43215077:1 gene:Vigun03g263000.v1.2 transcript:Vigun03g263000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEMVSEVKHQRIKANGIWIHVAEKGTGPLVLLLHGFPELWYAWRHQINYLAQHGYHAVAPDLRGYGDSDSPIDPTSYTCHHLVGDLIALLDHFGEQQAFVVGSDWGSTIGWYLSLFRPDRVKGFVVLGVPYHPRSPTSKTVESIRKLFGDQSHVCQFQEPGRAERAFARYDYLTVMKKFILLKPTDILACPEGMELIDFLPTPSVVPSWITEEELTVFADKFQESGFTGPLNYYRAMDLNWELVAPWQGSKITVPTKFIAGNKDIAFDLETVGTKAFVESGIFKSLVPNLEVVIIDGHHFIHQEKAQQISDEILSFIRKFPPIAST >Vigun08g097500.1.v1.2 pep primary_assembly:ASM411807v1:8:23521756:23530492:1 gene:Vigun08g097500.v1.2 transcript:Vigun08g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGGSTDENLETKEPHARSLSSSNVQEPYVHKVGIPPRQNLFREFQSTVKETFFADDPLRPFKDQTKSRKLILGIEAIFPILSWGRTYNLTKLRGDLIAGLTIASLCIPQDIGYAKLANLDPEYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQSEIDPIANPIDYRRLAFTATFFAGITQATLGVLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDVISVMYSVLSSAHHGWNWQTIVIGAVFLAFLLFAKYIGKKNPRFFWVPAIAPLISVILSTLFVYLTRADKHGVAIVKKIKSGISPSSVKEIYFTGDYLGKGFRIGIVAGMIALTEATAIGRTFASMKDYQLDGNKEMVALGAMNVVGSFTSCYVATGSFSRSAVNYMAGCETAVSNIVMSVVVFLTLQFLTPLFEYTPNAILATIIISAVINLVDYKAAILIWKIDKFDFVACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEATRVPGLLIIRVDSAIYFSNSNYVKERILRWLMDEEEQVKVDYQTRIQFLIVEMSPVTDIDTSGIHALEELFRSLQKRDVQLVLANPGPIVIDKLHTSNFAALLGEDKIFLTVAEAVAYCSPKLAEEP >Vigun09g270850.1.v1.2 pep primary_assembly:ASM411807v1:9:43330378:43332570:-1 gene:Vigun09g270850.v1.2 transcript:Vigun09g270850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMCHMKGKTSGKPAALMNAVFETGMQKMNKVRLVFDLRIK >Vigun02g046500.1.v1.2 pep primary_assembly:ASM411807v1:2:18479011:18483176:-1 gene:Vigun02g046500.v1.2 transcript:Vigun02g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRQSLYISSSSSSSSSSLFCIILLQLTFLYGIAFSANHEASTLFSWLHASASPPPPSFSNWNILDSNPCNWTFITCSSLGFVTEINIQSIPLELPIPSNLSSFHSLQKLVLSDSNLTGTIPSDIGDCSSLTVIDLSSNNLVGSIPATIGKLQNLQNLSLNSNQLTGRIPVELSNCIVLKNLLLFDNQISGTLPPELGKLSQLESLRAGGNKDIVGKIPEELGECRNLTVLGLADTRISGSLPASLGKLTKLQTLSIYTTMLSGEIPPELGNCSELVDLFLYENSLSGSIPYELGKLKKLEQLFFWQNGLMGAIPEEIGNCTSLRKFDFSLNSLSGTIPVSLGGLLNLEEFMISDNNVSGSIPSSLSNAKNLQQLQVDTNQLSGLIPPELGQLSNLMVFLAWQNQLEGSIPSTLGNCSNLQSLDLSRNALTGSIPVGLFQLQNLTKLLLISNDISGFIPNEIGSCSSLIRLRLGNNRITGSIPKTIGNLKNLNFLDLSGNRLSGPVPDEIGSCSELQMIDFSNNNLEGPLPNYLSSLSAVQVLDASSNRFSGPLPASLGRLVSLSKLILSNNLFSGPIPASLSLCSNLQLLDLSSNNLSGNIPAELGRIETLEIALNLSCNSLRGIIPAQISSLNKLSILDLSHNQLEGDLKPLAELDNLVSLNVSYNKFSGCLPDNKLFRQLTSKDFTANQGLSCFVKDSSKTGMSLNGNEVRKSQRIKLAIGLLIALTVIMIVMGITAVIKARRIIRDDDSELGDSWPWQFIPFQKLNFSVEQILRCLVDRNIIGKGCSGVVYRAEMDNGEVIAVKKLWPTTIDAEEAFKEEKSGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRQTRLLIFDYMPNGSLSSLLHERSGNSLEWELRYRILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVLLEVLTGKQPIDPTIPDGVHVVDWVRQKKGLEVLDPSLLSRPESEIEEMMQALGIALLCVNSSPDERPTMRDIAAMLKEIKHEREEYAKFDVLLKGSPANGAYGNKNSGGVLPTASSSVPVMQSLKTKSNNSSFSVSSLLHSSSSVIKMGSK >Vigun02g046500.2.v1.2 pep primary_assembly:ASM411807v1:2:18479011:18483176:-1 gene:Vigun02g046500.v1.2 transcript:Vigun02g046500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRQSLYISSSSSSSSSSLFCIILLQLTFLYGIAFSANHEASTLFSWLHASASPPPPSFSNWNILDSNPCNWTFITCSSLGFVTEINIQSIPLELPIPSNLSSFHSLQKLVLSDSNLTGTIPSDIGDCSSLTVIDLSSNNLVGSIPATIGKLQNLQNLSLNSNQLTGRIPVELSNCIVLKNLLLFDNQISGTLPPELGKLSQLESLRAGGNKDIVGKIPEELGECRNLTVLGLADTRISGSLPASLGKLTKLQTLSIYTTMLSGEIPPELGNCSELVDLFLYENSLSGSIPYELGKLKKLEQLFFWQNGLMGAIPEEIGNCTSLRKFDFSLNSLSGTIPVSLGGLLNLEEFMISDNNVSGSIPSSLSNAKNLQQLQVDTNQLSGLIPPELGQLSNLMVFLAWQNQLEGSIPSTLGNCSNLQSLDLSRNALTGFIPNEIGSCSSLIRLRLGNNRITGSIPKTIGNLKNLNFLDLSGNRLSGPVPDEIGSCSELQMIDFSNNNLEGPLPNYLSSLSAVQVLDASSNRFSGPLPASLGRLVSLSKLILSNNLFSGPIPASLSLCSNLQLLDLSSNNLSGNIPAELGRIETLEIALNLSCNSLRGIIPAQISSLNKLSILDLSHNQLEGDLKPLAELDNLVSLNVSYNKFSGCLPDNKLFRQLTSKDFTANQGLSCFVKDSSKTGMSLNGNEVRKSQRIKLAIGLLIALTVIMIVMGITAVIKARRIIRDDDSELGDSWPWQFIPFQKLNFSVEQILRCLVDRNIIGKGCSGVVYRAEMDNGEVIAVKKLWPTTIDAEEAFKEEKSGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRQTRLLIFDYMPNGSLSSLLHERSGNSLEWELRYRILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVLLEVLTGKQPIDPTIPDGVHVVDWVRQKKGLEVLDPSLLSRPESEIEEMMQALGIALLCVNSSPDERPTMRDIAAMLKEIKHEREEYAKFDVLLKGSPANGAYGNKNSGGVLPTASSSVPVMQSLKTKSNNSSFSVSSLLHSSSSVIKMGSK >Vigun01g031500.1.v1.2 pep primary_assembly:ASM411807v1:1:3948407:3952471:-1 gene:Vigun01g031500.v1.2 transcript:Vigun01g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLRVAVIGAGVAGLAVARELRREGLDVVVFEKSHHLGGTWHYDPRIDSDPVGSDPNREVVHTSLYRSLRTNLPRQLMGFLDYPFPDRPDGDSRTFPGHEEVLWFLNKFADEFGLRGLTRFGCEVVRVALVAGRSDSWVVESRTYDSALSREIFGAVVVCSGHFTQPRVPTIPGIEKWPGYQIHSHNYRVPEPFRDQVVVVIGFASSAIDISIEIAKVAKEVHIATRSPDVKVVKLANHDNMWQHKMVKCVSEDRMVAFEDGSSVYADVILYCTGYKHHYPFLETNGIVTIDDNRVGPLYKHVFPPALAPWLSFIGIPEKDIIFQMTELQCKWVARVLSGKVLLPTEKEMLAYVEEYYQQIEKDGFPKHMTHYLHFKEIGYCNWLAAEAGLPPIEHWREEMYLESIKPLLLGTLENYRDQWDDAHWNAIIKDASLTQMK >Vigun03g298200.1.v1.2 pep primary_assembly:ASM411807v1:3:48587630:48589237:1 gene:Vigun03g298200.v1.2 transcript:Vigun03g298200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFKHHIGLVCIILLFPALCYCQEYTKSRATFYSTSDGYGTPTGACGFGEYGRKMNWYDGSVAGVSGLWRNGVGCGTCYQVKCLIENLCDENGAYLAATDQGYGDNTDFVMSPRAFTQLGHDENAYEELKKYGTVDIEYRRVPCSYTGNVVFYIKESSSNPGYFAVVILNVNGINDVTAVELWQSGEWKALNRNYGAVFDFANPPSGEIRLRFKVSGMSDWVDPRIVIPSYWQPGSTYVTEVQLK >Vigun07g178400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29503723:29504163:-1 gene:Vigun07g178400.v1.2 transcript:Vigun07g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGSRGKTKDDGGDVRYRGVRRRPWGKFAAEIRDSTRQGQRVWLGTFNTAEEAARAYDRSAYAMRGPFAILNFPEEYPMTAGGATNAATHSSVASSSSSSSSRHANAEPRARSDQGTQVFEFEYLDDKLLEELLDFEDKKKRGP >Vigun07g246000.1.v1.2 pep primary_assembly:ASM411807v1:7:36667961:36671348:1 gene:Vigun07g246000.v1.2 transcript:Vigun07g246000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITKSKNSLKLFLFCILVFTVTETSASVFNNVSCSSNHTFTPNTTFNANLNTLLSYLSSNVTNNLRFFNTTSGEGSDTVYGLYMCRGDVPFALCRECVGFATLTIASSCPTSKEAVIWYNECLLRYSYRFFFSKMEEWPRHQVNIPLGDPVVLHSNGFYTALGSIFDELPNQAALALRVSNPYAVKQENATSNVTLYGLAQCTPDLAAGDCKRCIADAAAEFAVSCCGGSIGASVLFPSCIVRYETYPFYQHSGTSAPTTIKGSGNIRTELIAIVVVMVVVLVIILFFGYYGCIRIKARKKRKASNDRENFGPEISVLESLEFDFATIEAATNKFSEERRIGKGGYGEVYKGILPSGEEVAVKRLSTNSKQGAEEFKNEVLLIAKLQHKNLVRLIGFCQEDREKILIYEYVPNKSLDHFLFDPEKQRILTWSERYKIIKGIARGILYLHEDSRLKIIHRDIKPSNVLLDNDFNPKISDFGMARMVDTNQIQGCTNRVVGTYGYMPPEYAMHGHFSEKSDVFSFGVMILEIISGKKNSCSFESSRVDDLLSYAWNNWRDVSPFQLLDPSLVESYSPNEVEKCMQIGLLCVQENPDDRPIIGTIVSYLSNPSVEMPFPLEPAFFMQGRVRRHSAEHQPSSGYSTNHSFSTSVNNMSTTVFFPR >Vigun08g165800.1.v1.2 pep primary_assembly:ASM411807v1:8:33793889:33797619:-1 gene:Vigun08g165800.v1.2 transcript:Vigun08g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCSYWFIKCFLVKIIWFEYWCQICRSSNSFGELKMTSAAKEYFFKIFSPEKHSETMVIPSCFVKSVRLGRRRIPKDIILRNVSGRVWCVKTRLVGHKIYFQEGWKVFQEENCIRKADFLLFKYDGTNVFKVVILEQSSRCERREVEEDEVIDITEEEENENSEDSMMEEEEDSDDEDEYCDDDNYTEIEEESEEEQMRSKNHHSRASNRDSATSSIPKTEDPHLEDYEFDPRKYIQHENPYFEAKLYKNRPNELHVPANVLRDSSLTFPEEITLSRCRCFQSEDTKRNELRNNHCGFSRQTQMYSKVTKVSEWQDGRVCIKGWTSFCTKNKIKENDVCICEIVSGKDQIVRTLQVHVLGARNG >VigunL059462.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:34356:34914:1 gene:VigunL059462.v1.2 transcript:VigunL059462.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMKFLVHESFEFGGFCTWPRLAVHHGIARWLGGEICEKMKFVVFEM >Vigun03g444000.9.v1.2 pep primary_assembly:ASM411807v1:3:64629784:64635156:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.13.v1.2 pep primary_assembly:ASM411807v1:3:64630111:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.14.v1.2 pep primary_assembly:ASM411807v1:3:64630458:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.6.v1.2 pep primary_assembly:ASM411807v1:3:64630068:64635161:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.5.v1.2 pep primary_assembly:ASM411807v1:3:64630068:64635201:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRREDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.10.v1.2 pep primary_assembly:ASM411807v1:3:64630115:64635156:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.1.v1.2 pep primary_assembly:ASM411807v1:3:64630610:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEFILGGSLIHSFLCSVYEVTMEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.16.v1.2 pep primary_assembly:ASM411807v1:3:64630188:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQV >Vigun03g444000.11.v1.2 pep primary_assembly:ASM411807v1:3:64629908:64635201:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRREDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.8.v1.2 pep primary_assembly:ASM411807v1:3:64629785:64635200:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.12.v1.2 pep primary_assembly:ASM411807v1:3:64630099:64635161:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRREDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.7.v1.2 pep primary_assembly:ASM411807v1:3:64630609:64635156:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEFILGGSLIHSFLCSVYEVTMEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.15.v1.2 pep primary_assembly:ASM411807v1:3:64630003:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQV >Vigun03g444000.2.v1.2 pep primary_assembly:ASM411807v1:3:64629784:64635156:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.4.v1.2 pep primary_assembly:ASM411807v1:3:64630068:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun03g444000.3.v1.2 pep primary_assembly:ASM411807v1:3:64629785:64635155:1 gene:Vigun03g444000.v1.2 transcript:Vigun03g444000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPRKERSKASKTEDKPELSRCSKVYGKSKRKAGEISENGDKKSELKGKEGRMCLKKNLRVTKKKREVRENCMFDNIQPKLDAKEDSCSPRTRKLPCKRRGEKQKLTVSNKKRKYEDDLLIDDLEDEEMLVLLRAKTRTRSRRMNDVKDVEQKPRICHQCMKKERTLFVPCTKCPKMYCMQCVDKWYPDMTIEEIAASCPFCQKNCNCNVCLRARGMIKTSNRDINDCEQAQYLHYMINLLLPFLKQICHEQSQEEQIEAKILGKPSFEKEIPRSLCGNDERVYCDHCATSIIDLHRSCPNCSYELCLSCCQEIRDGSITPRVEQKFSYVNRGYDYMHGGDPLPVSNDIRTSECQTKLSNVWNAKSDGIIRCAPKELGGCGSAALELKRVLPSGWISELETKARSTLKIWEDENTTLQLEKPVSSYTSLRNEAVKEDISDNNMHCSESSSIQKEGLLLFQKHWANGEPVIVRDALKLGTGLSWKPMVMWRALCENRVSEVSSKMSEVKAIDCLANCEVEIDTHTFFKGYIEGRTYRNLWPEMLKLKDWPPSDKFEDLLPRHCDEFIRSLPFQEYSDPRSGILNLATKLPTHVLKPDMGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILTHTAEVMLTDEQHLVISKLKEAHKVQDEREQCDEERVTDSLNCRTFKDNKVQIENKVVLESKDIEKRPIEINGKFFPNDVHEEVASAIENESTETGSALWDIFRREDSKKLETYLIKHSKEFRHTYCSPVEQVAHPIHDQCFYLTWEHKKKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTNEFRQLPKNHKAREDKLEIKKMIVYAIDEAVRDLKALLKFS >Vigun10g157050.1.v1.2 pep primary_assembly:ASM411807v1:10:37657986:37658551:1 gene:Vigun10g157050.v1.2 transcript:Vigun10g157050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAFNGSYLILIGFFFLYPQIFFLHPQFFYNLIVTLLVYSYRFLETDLNSFQKSVLHAFKMRVYRFAKSSLSYFILFFVFLLLFLVDDPFIDEPLLTSHKLLEKCNRNTKKDLNILEGIPHELTCWILLDAQFLRTRVQHT >Vigun07g142000.2.v1.2 pep primary_assembly:ASM411807v1:7:25260352:25266873:1 gene:Vigun07g142000.v1.2 transcript:Vigun07g142000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELALPFSVVSVVEDVLQTHGSCLSDIHLASRMAEEASSRRNEAAGWLRKTVGVVGGKDIPDEPTEEDFRIALRSGIVLCNALNKIQPGAVPKVVEVPCDSVTIPDGAPLSTYQYFENVRNFLVTTEAMGLPTFDVSDLEQGGKNGSWKYGGNPKPPTNAKPAFVMKVSEPFMRSLSKSRLSDKDGLLNDISTNIEGGSIPSLNLLIREILSDKKLEEVPIVVESLLSRVMEEFEQRLLVQQQMFKTTQNYKALPEAEDSNVKSACNGEEMEEDEEVVVKHKECDDVKYNVNEEPNSQHFKKQELIQQQNENVQELKMMVHQTKTGIQVLQHKYQEDMIYLSKHLHGLASAASGYQKVLEENRKLYNQLQDLKGNIRVYCRVRPSKGAQPNHHCPISNLDEGSISLLVPSKNGKDGKKTFNFNRVFGPSSTQAEVFSDTKPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPDNYTEETVGVNYRALKDLFQISDQRKDTIHYDISVQMLEIYNEQVRDLLSADSAHKRLEIRNSSHNGINVPDANLVPVSSTADVLNLMNVGQNNRAVSSTAMNDRSSRSHSCLTVHVQGREVASGNSLRGCIHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKQSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPEPDAVGETISTLKFAERVSTIELGAARVNKDSSEVKELKEQIAILKAASARKDGEWEQFHQSANSIPETPKSKSLVSSIARSSTSSHGNRKPPREDSSSTVEEKKKGSPKLTKRGSLDPVDVRRNSPPWPHHAEENGNEDDKESVSGDFVGKSTKRNGRLSTENSLVGDCDTESKRSSSPMLSPLHIFGPSKTCSEVATINDCDEFELATSESSESDKSWQSHVRVPKTTSRSNGVAAKTKKSPHSREAKNQENRSTIPSLSPSSSRRQVVSQQRKHLDAKRRSGNAK >Vigun07g142000.3.v1.2 pep primary_assembly:ASM411807v1:7:25260352:25266873:1 gene:Vigun07g142000.v1.2 transcript:Vigun07g142000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELALPFSVVSVVEDVLQTHGSCLSDIHLASRMAEEASSRRNEAAGWLRKTVGVVGGKDIPDEPTEEDFRIALRSGIVLCNALNKIQPGAVPKVVEVPCDSVTIPDGAPLSTYQYFENVRNFLVTTEAMGLPTFDVSDLEQGGKSSRIVNCVLALKSYSEWKQGGKNGSWKYGGNPKPPTNAKPAFVMKVSEPFMRSLSKSRLSDKDGLLNDISTNIEGGSIPSLNLLIREILSDKKLEEVPIVVESLLSRVMEEFEQRLLVQQQMFKTTQNYKALPEAEDSNVKSACNGEEMEEDEEVVVKHKECDDVKYNVNEEPNSQHFKKQELIQQQNENVQELKMMVHQTKTGIQVLQHKYQEDMIYLSKHLHGLASAASGYQKVLEENRKLYNQLQDLKGNIRVYCRVRPSKGAQPNHHCPISNLDEGSISLLVPSKNGKDGKKTFNFNRVFGPSSTQAEVFSDTKPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPDNYTEETVGVNYRALKDLFQISDQRKDTIHYDISVQMLEIYNEQVRDLLSADSAHKRLEIRNSSHNGINVPDANLVPVSSTADVLNLMNVGQNNRAVSSTAMNDRSSRSHSCLTVHVQGREVASGNSLRGCIHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKQSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPEPDAVGETISTLKFAERVSTIELGAARVNKDSSEVKELKEQIAILKAASARKDGEWEQFHQSANSIPETPKSKSLVSSIARSSTSSHGNRKPPREDSSSTVEVTYSQL >Vigun07g142000.1.v1.2 pep primary_assembly:ASM411807v1:7:25260352:25266873:1 gene:Vigun07g142000.v1.2 transcript:Vigun07g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELALPFSVVSVVEDVLQTHGSCLSDIHLASRMAEEASSRRNEAAGWLRKTVGVVGGKDIPDEPTEEDFRIALRSGIVLCNALNKIQPGAVPKVVEVPCDSVTIPDGAPLSTYQYFENVRNFLVTTEAMGLPTFDVSDLEQGGKSSRIVNCVLALKSYSEWKQGGKNGSWKYGGNPKPPTNAKPAFVMKVSEPFMRSLSKSRLSDKDGLLNDISTNIEGGSIPSLNLLIREILSDKKLEEVPIVVESLLSRVMEEFEQRLLVQQQMFKTTQNYKALPEAEDSNVKSACNGEEMEEDEEVVVKHKECDDVKYNVNEEPNSQHFKKQELIQQQNENVQELKMMVHQTKTGIQVLQHKYQEDMIYLSKHLHGLASAASGYQKVLEENRKLYNQLQDLKGNIRVYCRVRPSKGAQPNHHCPISNLDEGSISLLVPSKNGKDGKKTFNFNRVFGPSSTQAEVFSDTKPLIRSVLDGYNVCIFAYGQTGSGKTHTMSGPDNYTEETVGVNYRALKDLFQISDQRKDTIHYDISVQMLEIYNEQVRDLLSADSAHKRLEIRNSSHNGINVPDANLVPVSSTADVLNLMNVGQNNRAVSSTAMNDRSSRSHSCLTVHVQGREVASGNSLRGCIHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKQSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPEPDAVGETISTLKFAERVSTIELGAARVNKDSSEVKELKEQIAILKAASARKDGEWEQFHQSANSIPETPKSKSLVSSIARSSTSSHGNRKPPREDSSSTVEEKKKGSPKLTKRGSLDPVDVRRNSPPWPHHAEENGNEDDKESVSGDFVGKSTKRNGRLSTENSLVGDCDTESKRSSSPMLSPLHIFGPSKTCSEVATINDCDEFELATSESSESDKSWQSHVRVPKTTSRSNGVAAKTKKSPHSREAKNQENRSTIPSLSPSSSRRQVVSQQRKHLDAKRRSGNAK >Vigun03g273600.1.v1.2 pep primary_assembly:ASM411807v1:3:44923941:44928772:1 gene:Vigun03g273600.v1.2 transcript:Vigun03g273600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCNRPIELWQKMVEELSQGHSILDFNIDLLTSLQKILDVLEDNSIIKECFKDLALFPEHQRIPVAALVDIWVELYGLDNNGMQVMAILNKLDSMNLVNVLVTRKSTSDTDSYYYNNRYVILHDILRDLAIYQSTQEQTEQRKRLMIGMDDNKPEGWLREKQQGVMIRLCSNIFGWCIEQKHRQIRTRTLSISTDETFTSYCSNLLPAQAEVLILNLRTNRYSFPKLLKKMSILKVLIVMKHGFRPCEMINFEVLGSLNNLKRIRLERIFIPSFVMLKNLKKLSLYMCDTRQAFENSDMLISDAFPNLEELNIEYSKDMVRLPTGLCNITSLKMLSISNCHKLSELPQEIGSLVNLKLLRLSSCTDLEGIPNSIVRLSNLRHMDISNCISLRNLPEDFGNLCNLRNLYMTSCARCELPHSIVNLKNLKEVVCDEETAASWEPFKHMLPNLNIDIPQLDVNLNWLHAIHS >Vigun03g273600.2.v1.2 pep primary_assembly:ASM411807v1:3:44923941:44928772:1 gene:Vigun03g273600.v1.2 transcript:Vigun03g273600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCNRPIELWQKMVEELSQGHSILDFNIDLLTSLQKILDVLEDNSIIKECFKDLALFPEHQRIPVAALVDIWVELYGLDNNGMQVMAILNKLDSMNLVNVLVTRKSTSDTDSYYYNNRYVILHDILRDLAIYQSTQEQTEQRKRLMIGMDDNKPEGWLREKQQGVMIRLCSNIFGWCIEQKHRQIRTRTLSISTDETFTSYCSNLLPAQAEVLILNLRTNRYSFPKLLKKMSILKVLIVMKHGFRPCEMINFEVLGSLNNLKRIRLERIFIPSFVMLKNLKKLSLYMCDTRQAFENSDMLISDAFPNLEELNIEYSKDMVRLPTGLCNITSLKMLSISNCHKLSELPQEIGSLVNLKLLRLSSCTDLEGIPNSIVRLSNLRHMDISNCISLRNLPEDFGNLCNLRNLYMTSCARCELPHSIVNLKNLKEVVCDEETAASWEPFKHMLPNLNIDIPQLDVNLNWLHAIHS >Vigun09g254900.1.v1.2 pep primary_assembly:ASM411807v1:9:42134548:42140559:1 gene:Vigun09g254900.v1.2 transcript:Vigun09g254900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDFTSAPYYQFSHPQNPIPNPNPNPSDPNPNNLYASAPPFTPNYAPSDYTNYHPSYPQNPDPIPPTAPPSFPPSSSNPTFNSPFESNPSYQQPQQQPYFPPYDQHQTHPNYAPPNPNPAPSLYNPAPYSYTGGSSVPPIPTYEPPAYEPPARPDHGAGYLDDRYGGSFNRSRSELGSDYYGKSGGNSRYEGGGGGSDDGYGDGVYAYQGGKVEPYGARGTAPKSSTWASFDDYGRSISFPSHKESSGGGKIVKAVPKVDTHEDVKGGGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPNTSRTLRIYPLENITRCDRVDSSTFAFWSKSPVDIEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGSRRPSEPVKTNEQTQERKKGFGDWMNLIKPANEEKDHWVPDEAVTKCTACGTDFGAFIRKHHCRNCGDIFCDKCTHGRIALTADENAQPVRVCDRCMVEVSQRLTSARESSSKPTLQSHEDLARKLQEELERNRKTSGSKSDGSARRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSAH >Vigun08g081600.2.v1.2 pep primary_assembly:ASM411807v1:8:17101109:17111915:1 gene:Vigun08g081600.v1.2 transcript:Vigun08g081600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPVRIPIVIILLLIQTCTAALSSNCQASSCGNIPNISYPFRLSSDPSHCGDARYQLQCENNTTLLALFSGKYRVQEIDYKRYKIRVSDAEDGTCSSMPRYFLHSGNFSNDLIGPGRDPLKLDPFFQPSIAYFNCSDPIADDPRYVAVEARGCDLRGHVYGVVRDSRNGFGVKDIKVGCQLMVATFGGVGEHKSNGAVSYGEILKMLSQGFELSWLYVICENQCGKGIDCSVVDESTDEVRCGQKECRYIYEQPNSVTYQCSGGSIAENIISAIILFCIGLYRGLMQIFGLNKATGYDGDIVAAMGTSVGRYIFPYFIIRFIFGVVAFVVLLIYKWGKRHKSKYENIETFLQENTLAPIRYSYKEIQHMTRGFKEKLGQGGFGLVYKGKLRSGSFVAIKILSKSKSNGQDFISEVGTIGRIHHTNVVRLIGFCVESSNHALVYEFMPNGSLDKYIFSKQDVISLTHRQIYEISLGVARGIAYLHEGCDIQILHFDIKPHNILLDENFVPKVSDFGLARLYPTDKSIITLTDVRGTLGYMAPELFYHNLGGVSYKADVYSFGMLLMEMANKKRNFNAHANDSSEFFFPLWIYDQLSEENEIEGESGTKQEYKDEIKKMFLIALWCIQLKSSDRPPMNKVVEMLEGELENIEMPPKPSLFHINDHKNKSYETSSNDDSGWTSYTEESIVHTSSEYST >Vigun05g135460.1.v1.2 pep primary_assembly:ASM411807v1:5:16156308:16159516:-1 gene:Vigun05g135460.v1.2 transcript:Vigun05g135460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYAYKTSSSSSGHLPFDESNLFPQFYQDHLVGGFTLLVGLGMFDKAGLMAAITNMTWLNYQASIKHQAS >Vigun11g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4692708:4697622:-1 gene:Vigun11g034800.v1.2 transcript:Vigun11g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACDLVLQIVREKSGLLHDDTLTKLALSLARLQMPSPASAILRLMLDKGCVPSMHLLSLVVFHIVKSEIGTHLSSNYLFQVCDLYNCLNDKKVHHAVTVKLDTLVFNLVLDACVKFKLSLKGLRLIELMSLTGTMADAHSIVIISQILEMNGLRDEMQELKDHIDRVSAAYVCHYCQFYDSLLSLHFKFNDIDAAAKLVLDMTSSHNCNVKKEYGKHLQNPCFISIGSPNLRTALKIHIEPEQMCKDFVLKVESRQVLIFYRGGKLVLSNRALAKFISGYKKDGRIGELSKLLLIIQGELCSVAGSSLCFDVISSCIQLGWLECAHDILDDIEVTGSPMGQDMYLLLVSAYQKQGLQREAKALLKQMKKVGLLDKALSDDATDKHNLCEETLNSLGKTDLAIALAQILKDEDRTVFPLVYNFNSSIFFFCKARMIEDALKAYRRMLSVNVQPTSQTFAFLMCGYSSLGMFREITILWGDIKRFMKSDNLVGNRDLYELLLLNFLRGGYFERVMEVISHMRDHNMYADKWIYKSEFLRLHKNLYRSLKASNTRTEAQSKRLEHVQEFRKWVGID >Vigun11g034800.2.v1.2 pep primary_assembly:ASM411807v1:11:4692708:4697622:-1 gene:Vigun11g034800.v1.2 transcript:Vigun11g034800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGSPFRILEAFMVTLRLQMPSPASAILRLMLDKGCVPSMHLLSLVVFHIVKSEIGTHLSSNYLFQVCDLYNCLNDKKVHHAVTVKLDTLVFNLVLDACVKFKLSLKGLRLIELMSLTGTMADAHSIVIISQILEMNGLRDEMQELKDHIDRVSAAYVCHYCQFYDSLLSLHFKFNDIDAAAKLVLDMTSSHNCNVKKEYGKHLQNPCFISIGSPNLRTALKIHIEPEQMCKDFVLKVESRQVLIFYRGGKLVLSNRALAKFISGYKKDGRIGELSKLLLIIQGELCSVAGSSLCFDVISSCIQLGWLECAHDILDDIEVTGSPMGQDMYLLLVSAYQKQGLQREAKALLKQMKKVGLLDKALSDDATDKHNLCEETLNSLGKTDLAIALAQILKDEDRTVFPLVYNFNSSIFFFCKARMIEDALKAYRRMLSVNVQPTSQTFAFLMCGYSSLGMFREITILWGDIKRFMKSDNLVGNRDLYELLLLNFLRGGYFERVMEVISHMRDHNMYADKWIYKSEFLRLHKNLYRSLKASNTRTEAQSKRLEHVQEFRKWVGID >Vigun02g018800.2.v1.2 pep primary_assembly:ASM411807v1:2:6602040:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGECVGTLTTTRDKVLYGWNDRS >Vigun02g018800.22.v1.2 pep primary_assembly:ASM411807v1:2:6602039:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVIVTVCQRINEFDI >Vigun02g018800.5.v1.2 pep primary_assembly:ASM411807v1:2:6602039:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNGNFNIFFVIS >Vigun02g018800.17.v1.2 pep primary_assembly:ASM411807v1:2:6602040:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGECVGTLTTTRDKVLYGWNDRS >Vigun02g018800.24.v1.2 pep primary_assembly:ASM411807v1:2:6602039:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVGKRSEMANMW >Vigun02g018800.3.v1.2 pep primary_assembly:ASM411807v1:2:6602040:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVNIIPYPITGRYI >Vigun02g018800.19.v1.2 pep primary_assembly:ASM411807v1:2:6606973:6622280:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYNPISYFSLLYFSLY >Vigun02g018800.1.v1.2 pep primary_assembly:ASM411807v1:2:6602072:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHYVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun02g018800.9.v1.2 pep primary_assembly:ASM411807v1:2:6605838:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHYVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun02g018800.12.v1.2 pep primary_assembly:ASM411807v1:2:6605838:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNGNFNIFFVIS >Vigun02g018800.23.v1.2 pep primary_assembly:ASM411807v1:2:6605838:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVIVTVCQRINEFDI >Vigun02g018800.20.v1.2 pep primary_assembly:ASM411807v1:2:6604884:6621489:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYNPISYFSLLYFSLY >Vigun02g018800.25.v1.2 pep primary_assembly:ASM411807v1:2:6605838:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVGKRSEMANMW >Vigun02g018800.21.v1.2 pep primary_assembly:ASM411807v1:2:6607171:6621173:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYNPISYFSLLYFSLY >Vigun02g018800.26.v1.2 pep primary_assembly:ASM411807v1:2:6607171:6620043:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNGNFNIFFVIS >Vigun02g018800.16.v1.2 pep primary_assembly:ASM411807v1:2:6607033:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVNIIPYPITGRYI >Vigun02g018800.4.v1.2 pep primary_assembly:ASM411807v1:2:6602040:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGECVGTLTTTRDKVLYGWNDRS >Vigun02g018800.18.v1.2 pep primary_assembly:ASM411807v1:2:6605838:6625158:1 gene:Vigun02g018800.v1.2 transcript:Vigun02g018800.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFTLVDPNQDFNSAITCIIITHFHLDHVGALAYFTEVCGYNGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEELFSSDQIAECMKKVIAVDLRQTVQVDEDLQIRAYYAGHVIGAAMFYAKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSRYAREREFLKAVHKCVSSGGKVLIPTFAVGRAQELCILLEDYWERMNLKVPIYFSAGLTVQVNAYYKMLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGECVGTLTTTRDKVLYGWNDRS >Vigun02g198400.1.v1.2 pep primary_assembly:ASM411807v1:2:33337075:33339082:-1 gene:Vigun02g198400.v1.2 transcript:Vigun02g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQSLLEKPKKPVSKTICLLLSVIAVLSSSAFIVSYVFKPSLKHVCDHALDTTSCLAHISEVTQTHTLATTKHHEFNLLKSFLIKHTSHIEKVMGTTNAMKLRVNNPREEAALKDCVDLMELSLDRVWNSMLALTKQTTESQQDAHTWLSSVLTNHATCLDGLEGAARSVMEDELEDLKSRARTSLAILVETVLPPKVEQITDEPLHGGFPSWVSSRDRRLLESGVGDVKANVVVAQDGSGKFKTVTEAVASAPDNGKTRYVIYVKKGTYKEHVEIGKKKTNVMLVGDGMDATIITGSLNFIDGTTTFNSATVAAVGDGFIGQDIWFQNTAGAAKHQAVALRVGADQSVINRCRIDAFQDTLYAHSNRQFYRDSVITGTIDFIFGNAAVVFQKCNLVARKPMSNQNNMVTAQGREDPNQNTGTSIQQCNLTPSSDLKPVVGSIKTYLGRPWKKYSRTVVMQSSIESHVDPTGWAEWDAQSKDFLQTLYYGEYMNSGAGAGTSKRVKWPGYHVITSATEASKFTVTQLIQGNVWLKNTGVNFIEGL >Vigun09g006200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:453406:453869:1 gene:Vigun09g006200.v1.2 transcript:Vigun09g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYYELMAAEMAAKWSNNGTSNSFNNRGSGSQNFSNAKINSGAYSGDRNRYNSSNHYGERVIHNSGTFNGNGNGGFIEGGFNSSTSNYYY >Vigun09g237600.1.v1.2 pep primary_assembly:ASM411807v1:9:40824152:40826481:1 gene:Vigun09g237600.v1.2 transcript:Vigun09g237600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLFSKNIFIYPFPSLTLLILTLVLPHTTLSFSPLPMALLLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQQGTMNHHHHHSHSPLGVNVSVPTFFPFSSRVSISPSNDSDDQTNWCDSPPRGATSLMNGAANYSTSVTALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYSSSLLLCNTPSATPINGGNVSMMQRPMNQLLGYYSNNPKQGTTPIAQHQQHQQNQPQTYVVNSPTNTSRSSITIVEGPGGNVNSCKTKLFGVSLQSKKRVHPDYGSNSETNKARLVLEKDDLGLNLMPPSTC >VigunL053500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000351.1:11472:12362:1 gene:VigunL053500.v1.2 transcript:VigunL053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHDHGASQANGKRLAMALALTSTFLIAEVVAGIAFNSLALLSDAAHMFTDAAALAIALAAIRVGQRKPTEDFTFGYRRFEILAAAFNAILLFGVAIYVLVEGVKRILNPEPVGSVGMFIVAALGLVINLVSMRLLASHKDKSLNVKGAYLEVWADMLGSLGVVVGAVVIKITGWRFVDPIVAIGIGLWVLPRTWILLKDTTRILLEGAPPGMALAGVRKAITETPGVASVHELHLWTTGADKAVCTVHVVLAEGAQGEAVRTAIATRLETDFDLHHVTIQTEVTECGEEGRHA >Vigun11g186600.1.v1.2 pep primary_assembly:ASM411807v1:11:38698712:38700932:1 gene:Vigun11g186600.v1.2 transcript:Vigun11g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDRLLSSSPLHTNLKPFTRFHSPSFNLFSSPPSSFTSISCHHENPSVSLSSSVPKTLPPLISSQLIRPQTSTPQSQPLNQITTGNNFQKPKAIITARTLAVLSALVLLLVQPAFAPAAFATFQNAAKSGGPAAAAVGGKLIRTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSRMESAAVGALWGCGHDAGQVIFGLIFLLLKDRLHIEVIRTWGTRVVGLTLLVIGAMGIKEASEVPTPCVALENGECDVDVYESRDSNPVVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLIMFLFGTVVAMGSYTVFIGSCSEALKDRIPRITEKLTWASSLVAIALGCAIIISQFFGFSLY >Vigun04g008100.1.v1.2 pep primary_assembly:ASM411807v1:4:584710:589129:1 gene:Vigun04g008100.v1.2 transcript:Vigun04g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFIWWSLESAKWFQEFEAVLLCSSNMGKQSTQFSVLNCIDLSNSDIDQSVRLLKQACLDSGFFYVVNHGISQEFMDEVFTQSEKFFSLPLEEKMKILRNEKHRGYTPVLDELLDPENQVRGDYKEGYYIGVEKGEDDPESKKPFYGQNKWPAPDVLPGWRETMEKFHRETLEVGKAVAKIIALALDLDETFFDQPKMFGEPIAILRLLHYGGQISDPSKGLYGAGAHTDYGLITLLATDDVSGLQICKNRDAKPQIWEDVAPLKGAFIVNLGDMLERWSNCVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSDSNPAKFPPILCQDYLTQRYKDTHADLKIYKKQQA >VigunL018250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:32678:32986:-1 gene:VigunL018250.v1.2 transcript:VigunL018250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVLKNAKEGLKKVEKIETPQLTRTPAQRYKDLEARLDEMNRSGEGSGSDPQKSEAPVPSSEGQKPPVGEGPKPSIESSDSLSEILPPRISCFLFLRIGS >Vigun06g235500.2.v1.2 pep primary_assembly:ASM411807v1:6:34096244:34097942:-1 gene:Vigun06g235500.v1.2 transcript:Vigun06g235500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFNYGIGIHLLESAKVPVEKREINPKENHISFQCSDMKVIMQKLDAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPISSCPLKRHSSFYYGEDTFKINFYAEESKLSKLMMENFMMDMLKISI >Vigun06g235500.1.v1.2 pep primary_assembly:ASM411807v1:6:34096244:34097942:-1 gene:Vigun06g235500.v1.2 transcript:Vigun06g235500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEKGSLSSASATPLPLLSLNHVSFVCKSVSESVKFYEDVLGFVLIKRPSSFKFEGAWLFNYGIGIHLLESAKVPVEKREINPKENHISFQCSDMKVIMQKLDAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPISSCPLKRHSSFYYGEDTFKINFYAEESKLSKLMMENFMMDMLKISI >Vigun08g099300.1.v1.2 pep primary_assembly:ASM411807v1:8:24174760:24178859:1 gene:Vigun08g099300.v1.2 transcript:Vigun08g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLHRANVTPQPSTAPTNTFPMWDENNMINHDHDVDVLLSNDFARMAVFGEQGDGGNKTRGYGVDVMDSSYTHAFSHSHSNPHSLSLSLSHTPSSSLDGYTHVGEERVAPSSGWGVRASSDDVKGHTFGSPMEFNQRRNTCYSNLQMQNPFHGRGSFAMDWDMRNPFMLSQSHPKLTTNTNMNTPSPHFFPAVKEIAPTRVIVAPSAEFSHSRGDPVAFQCDGGFIMQQEREVKWCVGGKGCNNSLRALPSPAQAVPDEVPQFISSRVPIRHEKNGILSNDVSLSPRPLLFNFGPLVRFQGYIYHLAKDQNGCRFLQKMVDEGTSEDAQIVFNGVIDDVVELMMDPFGNYLVQKLIDVCAEDERLHIVSMLTKEPGQLLKTSFNTHGTRVVQKLIATVNSRNQIALLRSAIQPDFLDLVKDLNGNHVIQRCLQYFSCKDNEFIFDAATKFCVDIATHEHGCCVLQRCIDYSTGKYQDKLIKEICRHGLILAQDPFGNYVVQYIIEMEIPSASSKLHSQFKGNYVNLSMQKFSSHVVEKCLVHIAESRSRIVQEMLSYPHFERFLPDPYANYVVQRALGVTKGSLHTSLVEAVRPHKILRTNPYCKRIFSRNLLNK >Vigun04g098133.1.v1.2 pep primary_assembly:ASM411807v1:4:22235913:22236275:1 gene:Vigun04g098133.v1.2 transcript:Vigun04g098133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRGYILAKILISYGSSFSDGVLVLVEIFLWW >Vigun10g011900.1.v1.2 pep primary_assembly:ASM411807v1:10:1238454:1240640:-1 gene:Vigun10g011900.v1.2 transcript:Vigun10g011900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVLFVLLFAGQVIGGFSTQRLPPSNNGNLITILSVDGGGIKGIIPATVLIHLDKALKAKDPNADLAHYFDVIGGTSTGGLITAMLAAPSPDDPTRGAFTPAKIVEFYKKNGPHIFNESRPGNGPQFDGEFLHNITRELLKDTRLSQTLTNVVIPSFDMKTQKPVIFSNYKLENAPYLNALLSDIGISTSAAPTVLPPYYFVNDGVEFNMIDGAVAAGNPTRVTVSEVLQQNEDSEILVLSLGTGTVNSSDISGIDLINAASAAMTEYYLASLFVGFKVGKTYLRIEEYNLNPDSNNMVNVTKENLDALEEIGKKLLKKNVVKLNLDTFDLEHLGETNAQALDRIADILHGERQRRLKRKSMEKRGRPFVETLRVLSDKTQASAAILRNLLI >Vigun10g088600.1.v1.2 pep primary_assembly:ASM411807v1:10:25494717:25499170:-1 gene:Vigun10g088600.v1.2 transcript:Vigun10g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYISTNINLDGINIDPIFSQATTAVSKIIGKPEKFVMVILKGSVPISFEGNKEAAAYAEIVSMGGINSEVKKKLIYDIGTILQSNLSIPRTRFFLKVFDTTAFRTKSNL >Vigun05g268600.2.v1.2 pep primary_assembly:ASM411807v1:5:46026965:46030583:-1 gene:Vigun05g268600.v1.2 transcript:Vigun05g268600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALITRMRAVVMVDYGGIMPQLQHHLSSLLQLAQRESLIFEHIRIMVIQDMIYLIHLTELTHFLNSTFNSHRPLLFVDLQSENPKMVTKIEESQLAMQLVSIQRLFLTIFCPERATDPSPSQDDKCTDETDATCRSQSLPSRSTTDCIDLSDCMDNTDITVPTLNGWLLGYPVVYLFGKEHIADAIYNLSTKYLHIFQVFVCRNSTLKKGTRAEELLSFSVPYDLSMRGSNEEWAEAFLAQMQAKWERCASAWKSLKMEVSECNPQAIVL >Vigun05g268600.1.v1.2 pep primary_assembly:ASM411807v1:5:46026965:46030583:-1 gene:Vigun05g268600.v1.2 transcript:Vigun05g268600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDLDEGVKVLDACLTNINWRLKPSSKRRLQLDMLALITRMRAVVMVDYGGIMPQLQHHLSSLLQLAQRESLIFEHIRIMVIQDMIYLIHLTELTHFLNSTFNSHRPLLFVDLQSENPKMVTKIEESQLAMQLVSIQRLFLTIFCPERATDPSPSQDDKCTDETDATCRSQSLPSRSTTDCIDLSDCMDNTDITVPTLNGWLLGYPVVYLFGKEHIADAIYNLSTKYLHIFQVFVCRNSTLKKGTRAEELLSFSVPYDLSMRGSNEEWAEAFLAQMQAKWERCASAWKSLKMEVSECNPQAIVL >Vigun11g206600.1.v1.2 pep primary_assembly:ASM411807v1:11:40307169:40310569:1 gene:Vigun11g206600.v1.2 transcript:Vigun11g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLLPIYSSPHLSPSLFFPTPHLSFLCLPKLSNAPTPQFILCCRRNASFSARCGPGVPDGAQHGSGIEEDDEQQPMKASCDDDEEEEGQESLSSLELPERWDVLGLGQAMVDFSGMVDDDFLKKLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGSRSLKVPAISVAMTGSVGSDLLGGFYREKLRRANVQFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQGTSSTVNYDASLASAVSKTNILIVEGYLFELPDTIKTITKACEKARSNGALVAITASDVSCIERHFDDFWEIIGNSVDLVFANGDEARALCNFEAKESAASAARYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGILYGILRGISDLRGIGTLAANVAATVVGQQGTRLRISDAVKLAESFQFQLDSSSVGTDHISSV >VigunL013833.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:129934:131791:1 gene:VigunL013833.v1.2 transcript:VigunL013833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVKIYDTCIGCTQCVRACPTDVLEMIPWDECKAKQIAFAPRTGDYVGCKRFGIDRLSLGPLLLTGFITTLATLSAQSVTRESKLFYFLMLAMYSGALQHLYAFSRNIIKNGSIWVGSIQIIYATSTSLGQRNIKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHEFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFKVLLGIITNQKYLFITKILITFVTAIGMLLTPIYLLSILCQMPRELFISISILILVISIGIYPDFIFSFSADKVEAILSHFL >Vigun03g334500.1.v1.2 pep primary_assembly:ASM411807v1:3:53260514:53263632:-1 gene:Vigun03g334500.v1.2 transcript:Vigun03g334500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCARCKNDGNLNPHTCSEVPSRGTQLFVHSNPNGYGHVEGGKPEILPTPRSVGDILSSPHLKPFTLCDLKKATRNFEPYNLIGEGGFGNVYKGRINETKSFGGTISGSGTDVAVKRLKPDGFQGHKEWLSEVNHLGQLHHQNLVKLIGYCVEGENRLLVYEYMPNGSLEDHIFRKGRKPLPWATRIKIATGAARGLSFLHDSKQQIIYRDFKASNILIDSEFNAKLSDFGLAKAGPTGDHSYVSTQVLGTHGYAAPEYIATGRLTSRCDVYSFGVVLLEILSGRHAIDNTKSGVERNLVEWARPYLGDRRKLFRIMDTRLEGQYPQKAAYTVAIIALQCISDAKSRPQMSEVLSALEHLPSTRRSASPTQTHT >Vigun02g175300.1.v1.2 pep primary_assembly:ASM411807v1:2:31753273:31757777:1 gene:Vigun02g175300.v1.2 transcript:Vigun02g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSCWRNLSPRPHYPSMPKYPKGQPATTVEELSDSTALFSVVGMTCAACAGSVEKAVKRLPGIRQAIVDVLNNRAHVVFYPSFVNEETIREAIEDAGFEALLLTDGTDDKSVKVCRIQIKGMTCTSCSSTLESVLQGLHGVLEARVGLATEEAQVHYNPDLLLNPNDILQAVEDAGFEAVLISSSEDFTKIDLHVEGAVTDGASMEPILDSLRTLPGVLVVDLTEEFSKISVSYKPDFTGPRDLINVIEKTGNGNFKAKIYPTEQGQRNSHRREETRQYYKSFLWSLVFTIPVFLTSMVFMYVPGIKDALDAKVVNMLTVGEVARWVLCTPVQFVLGWRFYYGSYKSLRRGSANMDVLIALGTNAAYFYSVYSVLRAATSPHFEGNDFFETSAMLISFILLGKYLEILAKGKTSDAIAKLMNLTPDTAVLLNLDADGNVVGEEEIDSRLVQKNDVIKVVPGAKVASDGFVVRGQSHVNESMITGEARPVSKRKGDKVIGGTVNENGVLHVKATTVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIVISFTTWLAWFLAGRYHAYPKSWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVIVRTELLTKMVLREFYELVAATEVNSEHPLAKAVVEYAKKFRDEENPSWPEARDFVSITGHGVKATVHNKEIMVGNKSLLADHNIAIPVEAEDMLAEAEKMAQTGILVSISGKVAGVLAVSDPLKPGAQEVISILKSMKIKSIMVTGDNFGTASSIAREVGIENVIAEAKPDQKAEKVKDLQASGYAVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYVWALGYNLLGIPIAAGVLFPSTRFRLPPWIAGAAMAASSVSVVCCSLLLKYYRRPKKLDNLEIRGISIESSTDI >Vigun11g014750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1812881:1814744:1 gene:Vigun11g014750.v1.2 transcript:Vigun11g014750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCVKKSKELGIQQLGEANLHGSLRIDELQNIENPSDALEADLKNKPHLAELELHWNIMVNSSIESTKAEDVIENLQPPKHLKKLSIRNYVGKQFPNWLLNNLLPNLVSLVLGDCESCQRLPPLGLLQFLKRLEISRFNEIVSIDADFHGNNSSSFISLETLKFF >Vigun09g135100.1.v1.2 pep primary_assembly:ASM411807v1:9:29485732:29493831:1 gene:Vigun09g135100.v1.2 transcript:Vigun09g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSSSSSSSLSSSSGSDAGGGGAVTWFGMSFPFRSPLSLVMDYCTREDSAEPVIIIPRTRPRFQAPAESAASASASDSGDNACGGAEEVAIRIIGAGEQESGSSPSSSRSRAGEPGCEEAAVVGRSGMLSSEARRRMAEERVPLVSLDDGIGGGRDSSSSSSTYQRYDIQQVAKWIEQILPFSLLLLIVFIRQHLQGFFVTICISAVMFKSNEIVKKQTALKGDRKVSVLLGISFAFMLHVICIYWWYRNDDLLYPLAMLPPKTTPPFWHTIFIILVNDTLVRQAAMALKCLLLIYYKNGRGHNFRRQGQMLTLIEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTSVVEKVQCFVSALKALSKKEVHYGVYATTEQVSAAGDMCAICQEKMQAPILLSCKHMFCEECVSEWFERERTCPLCRALVKPADLRTFGDGSTSLFFQLF >Vigun05g208100.8.v1.2 pep primary_assembly:ASM411807v1:5:39814212:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESVEKEESLQFHRKFEPSSNALGLSLRNVMLRSNSSLSLSHSEPNVGTTLGRRSRRKIIAEQRTASSSPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNVSNFHLDGHDALYSLQHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.1.v1.2 pep primary_assembly:ASM411807v1:5:39814259:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESVEKEESLQFHRKFEPSSNALGLSLRNVMLRSNSSLSLSHSEPNVGTTLGRRSRRKIIAEQRTASSSPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRFSSFISAFSLLCLWPLLFLSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNVSNFHLDGHDALYSLQHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.7.v1.2 pep primary_assembly:ASM411807v1:5:39814247:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNVSNFHLDGHDALYSLQHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.3.v1.2 pep primary_assembly:ASM411807v1:5:39814259:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESVEKEESLQFHRKFEPSSNALGLSLRNVMLRSNSSLSLSHSEPNVGTTLGRRSRRKIIAEQRTASSSPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRFSSFISAFSLLCLWPLLFLSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.4.v1.2 pep primary_assembly:ASM411807v1:5:39814250:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESVEKEESLQFHRKFEPSSNALGLSLRNVMLRSNSSLSLSHSEPNVGTTLGRRSRRKIIAEQRTASSSPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.6.v1.2 pep primary_assembly:ASM411807v1:5:39814259:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRFSSFISAFSLLCLWPLLFLSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.2.v1.2 pep primary_assembly:ASM411807v1:5:39816218:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESVEKEESLQFHRKFEPSSNALGLSLRNVMLRSNSSLSLSHSEPNVGTTLGRRSRRKIIAEQRTASSSPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNVSNFHLDGHDALYSLQHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun05g208100.5.v1.2 pep primary_assembly:ASM411807v1:5:39814259:39839116:1 gene:Vigun05g208100.v1.2 transcript:Vigun05g208100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETREDAGPTEQGPSNVSWWPSDFVENFGSVSLDSNDEALNNKESPVHVVKDVLSSQKASQTLWRTGMLSEPIPNGFYSVVPETRLKELFDNIPSLDELHALSGEGFKADVILVDSEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPNIESPAKTVLDETTHMLENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPNDGVTECQDSYKHISLIVVLNSVEMLVDLMRFPGQLLPRSTKAVFMTHISGAGESDSAENDSCDSPLEPNSPLFGVSESPEHPSFRARGRSMLSGDRTAFRDFTDDQATSRFSSFISAFSLLCLWPLLFLSSYRSDGASSSSEARRIRRRSVSITPEIGDDIVRAVRAMNETLKQNRLLRERGDDNSTNNNSSDANLQKNVSNFHLDGHDALYSLQHDHGNSQKAMSLPSSPHDYRGHSSERSGPSRQGVNDEMESTWNKVLESPMFNNKPLLPYEKWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMELGSLYYLIHLSGQKKKLNWRRRLRMLRDICKGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRLMAESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPPERVVYSVAHEASRLEIPEGPLGRLISDCWAESHERPSCEEILSRLVDIEYSLC >Vigun08g106100.1.v1.2 pep primary_assembly:ASM411807v1:8:26310622:26315354:-1 gene:Vigun08g106100.v1.2 transcript:Vigun08g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDAQNNSNLHQKKSIPPYMKAISGSLGGIVEASCLQPIDVIKTRLQLDRSGNYKGILHCGTTISQTEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDPETGKLSGYGRFLSGFGAGVLEAIIIVTPFEVVKIRLQQQKGLSPELLKYKGPVHCAGMIIREEGFRGLWAGVAPTVMRNGTNQSAMFTAKNAFDVLLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSREGGELKYKGMVHAIRTIYAEEGLLALWKGLLPRLMRIPPGQAIMWAVADQIIGLYERRYLHASI >VigunL087001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:126333:127261:1 gene:VigunL087001.v1.2 transcript:VigunL087001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun04g110300.1.v1.2 pep primary_assembly:ASM411807v1:4:27556146:27559830:1 gene:Vigun04g110300.v1.2 transcript:Vigun04g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKELERRSKFLSSLIQKKKSIEQQEGLKVHVRACDMPLPLQNHAFHYARLHLESLLPGTKLDSKLLALSLKKEFDSSYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVEPLEHS >Vigun04g110300.2.v1.2 pep primary_assembly:ASM411807v1:4:27555925:27559784:1 gene:Vigun04g110300.v1.2 transcript:Vigun04g110300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKELERRSKFLSSLIQKKKSIEQQEGLKVHVRACDMPLPLQNHAFHYARLHLESLLPGTKLDSKLLALSLKKEFDSSYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVEPLEHS >Vigun01g194100.1.v1.2 pep primary_assembly:ASM411807v1:1:37167030:37168646:-1 gene:Vigun01g194100.v1.2 transcript:Vigun01g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSSVITNKQARKKLLPTTALCIGGEGGIAGLLVFGGALAIAGFMAVASFANNKQKAKATRDQQTKPQQQLLLDEHECKNEDDHDTTQSLTSLVNNSSIENGDATCYWTSDTSIDQEEKSELKPRSGDEESPTEIVLSDSSPPQSAASSNGSVVADECMVSLFNRPGGQEPEPDEKDEVPEDDLTSSETETETEEEEEEEDEMMSDEVSESGSEDTSKETGTTSLDYKEEQVWPSELVQRAKQKLKGEKNHVCSRTDVDSSDYEEEVTMAHQANLNQNLNLFMDPNNQALTWIFPLLLLALLMLLVLLTYHPHDSFYVLDDESHSVVRRI >Vigun01g041301.3.v1.2 pep primary_assembly:ASM411807v1:1:5752854:5758823:-1 gene:Vigun01g041301.v1.2 transcript:Vigun01g041301.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIVREKSPKNPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIVGWNRKAFKKMKNLKTLIIKKDFRSKKLAICKLPSSSFGSLELAEFSKKFMNMTLLNFDECEGLTHIPDVSGLPNLEKVSFKNCKSLVTIHDSFGFLGKLNSLSAVGCSKLRSFPPLKLTSLENLELSYCHSLESFPEILEKMGKITELVLEDCHIKELPFSFHNLTELQTLQLRWCPILRLPSSIVMMPKLAQIIAWESKGWLFPKQVDGEEKGSSMVSSNVDCLVLSGCKLSDDFFPVIPEWFSNVKDLDLSRNNFTVLPECISNCHSLCKLTLDSCHSLQEIRGIPPNIRHLSARNCKSFTSSCRSTLLNQKLHEAGNTMFWLSGAKFPEWFDHHGRGPSCSFWVGNKFPSIALCIAIGQTHIEQVEIVGPIMIINGIECSFDEEEDPYLYMLPHHTHIFDLQHIVFSDYLDRYVSENEWNHVEITYSVEQRFNKKDKHAVTPISIENGIYVLKQRSSMEDIQFTDPHKKRRLDVV >Vigun01g041301.2.v1.2 pep primary_assembly:ASM411807v1:1:5752854:5758823:-1 gene:Vigun01g041301.v1.2 transcript:Vigun01g041301.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIVREKSPKNPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIVGWNRKAFKKMKNLKTLIIKKGKFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKKLAICKLPSSSFGSLELAEFSKKFMNMTLLNFDECEGLTHIPDVSGLPNLEKVSFKNCKSLVTIHDSFGFLGKLNSLSAVGCSKLRSFPPLKLTSLENLELSYCHSLESFPEILEKMGKITELVLEDCHIKELPFSFHNLTELQTLQLRWCPILRLPSSIVMMPKLAQIIAWESKGWLFPKQVDGEEKGSSMVSSNVDCLVLSGCKLSDDFFPVIPEWFSNVKDLDLSRNNFTVLPECISNCHSLCKLTLDSCHSLQEIRGIPPNIRHLSARNCKSFTSSCRSTLLNQKLHEAGNTMFWLSGAKFPEWFDHHGRGPSCSFWVGNKFPSIALCIAIGQTHIEQVEIVGPIMIINGIECSFDEEEDPYLYMLPHHTHIFDLQHIVFSDYLDRYVSENEWNHVEITYSVEQRFNKKDKHAVTPISIENGIYVLKQRSSMEDIQFTDPHKKRRLDVV >Vigun01g041301.1.v1.2 pep primary_assembly:ASM411807v1:1:5752854:5758823:-1 gene:Vigun01g041301.v1.2 transcript:Vigun01g041301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIVREKSPKNPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIVGWNRKAFKKMKNLKTLIIKKGKFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKKLAICKLPSSSFGSLELAEFSKKFMNMTLLNFDECEGLTHIPDVSGLPNLEKVSFKNCKSLVTIHDSFGFLGKLNSLSAVGCSKLRSFPPLKLTSLENLELSYCHSLESFPEILEKMGKITELVLEDCHIKELPFSFHNLTELQTLQLRWCPILRLPSSIVMMPKLAQIIAWESKGWLFPKQVDGEEKGSSMVSSNVDCLVLSGCKLSDDFFPVIPEWFSNVKDLDLSRNNFTVLPECISNCHSLCKLTLDSCHSLQEIRGIPPNIRHLSARNCKSFTSSCRSTLLNQKLHEAGNTMFWLSGAKFPEWFDHHGRGPSCSFWVGNKFPSIALCIAIGQTHIEQVEIVGPIMIINGIECSFDEEEDPYLYMLPHHTHIFDLQHIVFSDYLDRYVSENEWNHVEITYSVEQRFNKKDKHAVTPISIENGIYVLKQRSSMEDIQFTDPHKKRRLDVV >Vigun08g028100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2553259:2554298:1 gene:Vigun08g028100.v1.2 transcript:Vigun08g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKKSVWIVMVMTVLVVSSQFWFVHGRVLRSETPSEDLKGEEGSSVGMATFAVASNNSITRQFARSLAYKLASGPSKKGPGH >Vigun05g016666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1347242:1347595:1 gene:Vigun05g016666.v1.2 transcript:Vigun05g016666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKRTKREITHTIEDNSEVSKGDNPSRMTFHIEGKFLFVLAILETSLHELWKMSSFEKYDGTTNPDNHLRTLLNQMMFHVVNDPIWCRVFSSSLTGEALEWFSEFHKITPIALQC >Vigun05g030500.1.v1.2 pep primary_assembly:ASM411807v1:5:2422972:2425853:-1 gene:Vigun05g030500.v1.2 transcript:Vigun05g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLPKLSPCILFLLLLSSTCFTSTDAYDLLDPNGNITINWDVMSWTPDGYVAVVTMNNFQRYRHITLPGWFLRWRWAEKEVIWAMMGAQTTEQGDCSKFKGGIPHSCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSSVQDPSRAAAAFQVSVGRAGTTNKTVKVPKNFTLEAPGPRYTCAPAKIVRPTQFITPDKRRFTQALMTWQVVCTYSQFLTQKTPTCCVSLSSFHNNTIVPCPTCSCGCRSNSSQSGKCVNPGTPHLASFVSGFGKNEFSPTVECTNHMCPIRVHWHVKFNSEKYWHVKVTITNLNYGMNYSDWNLAIQHPNFDHRTQVSGFIYKLMTPYASINDTAMLWGMKSQRNDVLIQAGPNGKVEGDVLFRKDKATLIDKGWAFPRRIYFNGDTCTMPPPSAYPF >Vigun09g235600.5.v1.2 pep primary_assembly:ASM411807v1:9:40621476:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPMSFLLSAFRWEFGGTALDLSKGNKRNWILVWEDGFCDFNECEQRKSGSGGYLNGRFGADVFFKMSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQSIVIIAVREGVVQLGSFNKIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun09g235600.3.v1.2 pep primary_assembly:ASM411807v1:9:40621469:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQSIVIIAVREGVVQLGSFNKIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun09g235600.1.v1.2 pep primary_assembly:ASM411807v1:9:40621476:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLPLLNCLLQQTLRTICTSPNSSTSSKWVYAVFWRILPRNFPPPRWEFGGTALDLSKGNKRNWILVWEDGFCDFNECEQRKSGSGGYLNGRFGADVFFKMSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQSIVIIAVREGVVQLGSFNKIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun09g235600.6.v1.2 pep primary_assembly:ASM411807v1:9:40621469:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun09g235600.2.v1.2 pep primary_assembly:ASM411807v1:9:40621469:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRTICTSPNSSTSSKWVYAVFWRILPRNFPPPRWEFGGTALDLSKGNKRNWILVWEDGFCDFNECEQRKSGSGGYLNGRFGADVFFKMSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun09g235600.4.v1.2 pep primary_assembly:ASM411807v1:9:40621470:40624120:1 gene:Vigun09g235600.v1.2 transcript:Vigun09g235600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRTICTSPNSSTSSKWVYAVFWRILPRNFPPPRWEFGGTALDLSKGNKRNWILVWEDGFCDFNECEQRKSGSGGYLNGRFGADVFFKMSHEVYNYGEGLVGKVAADNSHKWVYNESHNNECEASYIATWNTSVEPQPKAWESQFNSGIQSIVIIAVREGVVQLGSFNKIAEDLNLVISIQRQFSYLQSIPGVFGIQRSYLPIQHPYIVKPSFIESNGMPPYEMTWNNNPQIGAPGPSLCSGSPPLPLPLPLPLPTMPCSFGALLSKLPSGIPLHNQVPDSGTQSSTIERVKIEDCEFYPTHDGDHKGKVPSLNK >Vigun02g065600.1.v1.2 pep primary_assembly:ASM411807v1:2:21499533:21501487:1 gene:Vigun02g065600.v1.2 transcript:Vigun02g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLHAASTMSLLLQNERLPCSSEVLESLWAHTSNPASFQGSKSMVDFENVSGGRVTDRPFFQALEKEENCDDDYEGCFHQPGKKRRLTSEQVQFLERNFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARFKTKQLEKDYGTLKASYDRLKGDYESLVQENDKLKAEVNSLESKLILRDKEKENSDDKSSPDAVNSPHKEPMDLISNSTSENGTKVSLPIMVTCKQEDANSAKSDVLDSDSPHCTDGNHPSSFVEPADSSHAFEPDHSDFSQDEEDNLSETLLTLPCLPKVEEPCYDHPPENPCNFGFHVEDQTFCFWPY >Vigun10g172900.2.v1.2 pep primary_assembly:ASM411807v1:10:39153455:39156035:1 gene:Vigun10g172900.v1.2 transcript:Vigun10g172900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYGSSGGTWTMIPTHNSNVQSQSQSNQDPNLFLQQQQQQQQFIQPQPFQQTLQPQSPFQQHQHLYQQQQQQQRLLQQQQQQQQQQNLHQSLASHYHLLHLVENLSEVIEHGNPDPQSDALINELSNHFEKCQQLLNSISDSISTKAMTVEGQKKKLEESEQLLNHRRDLIANYRKSVEELVRSEP >Vigun10g172900.1.v1.2 pep primary_assembly:ASM411807v1:10:39153455:39156065:1 gene:Vigun10g172900.v1.2 transcript:Vigun10g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYGSSGGTWTMIPTHNSNVQSQSQSNQDPNLFLQQQQQQQQFIQPQPFQQTLQPQSPFQQHQHLYQQQQQQQRLLQQQQQQQQQQNLHQSLASHYHLLHLVENLSEVIEHGNPDPQSDALINELSNHFEKCQQLLNSISDSISTKAMTVEGQKKKLEESEQLLNHRRDLIANYRKSVEELVRSEP >Vigun08g123700.1.v1.2 pep primary_assembly:ASM411807v1:8:29380306:29383001:1 gene:Vigun08g123700.v1.2 transcript:Vigun08g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVCVTGGSGAIGSWLVHLLLDRGYTVHATVQILNDEAETKHLEALDGAPARLRLFQMDLLDYDSVLATVCGCAGVFHVASPCTIEQVQDPQKELLDPAIKGTLNVLTAAKEAGVRRVVVTSSISAIVPSPNWPGDVPKRENCWTDIEFCKQKGLWYPLSKTLAEKAAWDFAKETGLDVVVVNPGTVVGPVIAPRLNASMVMFVRLLQGCDDTYENFFMGPVHFKDVALAHILVYENKSAVGRHLCVEAISHYGDFVAKVAELYPEYNVPKMQRDTQPGLLRVKDGSKKLMDLGLQFIPMEKIIKDAVEDLKRKGFIS >Vigun08g123700.3.v1.2 pep primary_assembly:ASM411807v1:8:29380306:29383001:1 gene:Vigun08g123700.v1.2 transcript:Vigun08g123700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVCVTGGSGAIGSWLVHLLLDRGYTVHATVQILNDEAETKHLEALDGAPARLRLFQMDLLDYDSVLATVCGCAGVFHVASPCTIEQVQDPQKELLDPAIKGTLNVLTAAKEAGVRRVVVTSSISAIVPSPNWPGDVPKRENCWTDIEFCKQKGTLAEKAAWDFAKETGLDVVVVNPGTVVGPVIAPRLNASMVMFVRLLQGCDDTYENFFMGPVHFKDVALAHILVYENKSAVGRHLCVEAISHYGDFVAKVAELYPEYNVPKMQRDTQPGLLRVKDGSKKLMDLGLQFIPMEKIIKDAVEDLKRKGFIS >Vigun03g423500.1.v1.2 pep primary_assembly:ASM411807v1:3:62905499:62910046:1 gene:Vigun03g423500.v1.2 transcript:Vigun03g423500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSKLRRALIDSSAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLRKDLAAAASAPSKYTGMFQATKDILREEGVKGFWRGNVPALLMVMPYTAIQFTVLHKLKTFVSGSSKTENHIHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFIDIVRTRGFQGLYSGLSPTLVEIIPYAGLQFGTYDTFKRWAMAWNHRVSNTAVEDNISSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDAMQRILRLEGWAGLYKGIIPSTIKAAPAGAVTFVAYELTSDWLESVFS >Vigun03g423500.2.v1.2 pep primary_assembly:ASM411807v1:3:62905499:62910046:1 gene:Vigun03g423500.v1.2 transcript:Vigun03g423500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSKLRRALIDSSAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLRKDLAAAASAPSKYTGMFQATKDILREEGVKGFWRGNVPALLMVMPYTAIQFTVLHKLKTFVSGSSKTENHIHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFIDIVRTRGFQGLYSGLSPTLVEIIPYAGLQFGTYDTFKRWAMAWNHRVSNTAVEDNISSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDAMQRILRLEGWAGLYKGIIPSTIKAAPAGAVTFVAYELTSDWLESVFS >Vigun09g175200.1.v1.2 pep primary_assembly:ASM411807v1:9:34664717:34667485:-1 gene:Vigun09g175200.v1.2 transcript:Vigun09g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSGFWGLPHKNLVKRLEGAKVGAWIDSMRASSPTRAKSESQEKRSWILYHPSALNTFEQITYNSKGKQVVVFLDYDGTLSPIVTDPDKAFMSRKMRATLKGIARHFPTAIVTGRCRDKVYNFVKLAELYYAGSHGMDIKGPTKIRSPKQGNNKAVLFQPASQFLPMIDEVYKVLLEKTKSVPGAKVENNKFCLSVHFRCVDEKSWAPLAEKVRLVLTEYPQLKLTQGRKVLEIRPTIKWDKGKALEFLLESLGYENSSDVFPIYIGDDRTDEDAFKVLRSRGQGIGILVSRVAKETDASYSLQDPSEVEQFLRRLVEWKRSSSVKCTEL >Vigun01g216500.1.v1.2 pep primary_assembly:ASM411807v1:1:39012256:39014997:-1 gene:Vigun01g216500.v1.2 transcript:Vigun01g216500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQGPCTDSRDLSNGPISSPLVVDHYCDYNKLKFRTLLRKMIWEFGFACVANPSRRRRGSERGLSDGKKTNLEHNKAWLLAESGGCGAELSNADPQSVHSSFRFSFCSQVELESLNMSSSSAATVLMVNLDNGVSESRAKEIKWRRMESLEKSISPMAHSLIRFCYGEILSATRNFSKGKGFFFLPYILGRVGLLRTAVAIKRLDKEDKESAKAFCRELMIASSLHSSNVVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHGRKKGSSPLPWSVRYKVAIGIAEAVAYLHSGTERCVVHRDIKPSNILLSSRKTPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEARRPSGEENLVLWAKPFLQKGKGAIEELLDPQLKCSLRFSNQMGRMIEAAAACVTNEESRRPGIREIIAILKGEEEPLLSRRKKSSFLGNGCVIDCYSQLQQTNNEMKSHLALAMLGVAEFEDDDYLYGR >Vigun01g143800.2.v1.2 pep primary_assembly:ASM411807v1:1:32529127:32538227:-1 gene:Vigun01g143800.v1.2 transcript:Vigun01g143800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLGGLLALLFAASICGAVTIPGPVSDAHRSAASELFGDSFSSLEDAYEALRVFEILGVGNKPDVSATTCKQVVENLGSSSPVKDLFYALKVNGILKCKVNADVFKDIAVRLKATVNDASTLVDMYYSIGSLVLIKDQASDVDVLLADADGTFHSIKALSQSDGRWRYSSDNPESSTFAAGLALEALAGVISLASSEIDLSRVNTVKNDILKLFDSIEKYDDGTFYFDEKFVGGREHQGSLYTTSSVVRGLTTFASAISGKINLPGDKILGLAKFFLGIGIPGDAKDFFNQVESLSLLENNRISIPLVLSLPETVYSLSKKDQLKVRVNTVLGSAAPPLTVKLVRAFRPDAKDSAVFENKELQYDKSNGIHVLEVFPGNVDVGTYVFVFEIVLHDSAGEKVFATGGQIHVPIYVTGIIEVSNAEIAGLDSDLGSVETQKKLDLAGNVVVELSANHLQKLHFSFQLTTPHGHAFKPHQAFFKLKHETNHEHIFVIGNTGKKFEIVLDFLGLVEKFYYLSGRYDIELTVGDAVMENSFLRPLGHVDLELPEAPEKASRLPPLPVDPYSRYGPKAEIAHIFRAPEKRPPQNLSLTFLSLTLLPFIGFLVGLLRLGVNFKNFPSSAAPATYAILFHLGIAAVLFLYVLFWLKLDLFTTLKTVGLLGAFLMFVGHRILSHLASTSSKLKSA >Vigun01g143800.1.v1.2 pep primary_assembly:ASM411807v1:1:32529127:32538227:-1 gene:Vigun01g143800.v1.2 transcript:Vigun01g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLGGLLALLFAASICGAVTIPGPVSDAHRSAASELFGDSFSSLEDAYEALRVFEILGVGNKPDVSATTCKQVVENLGSSSPVKDLFYALKVNGILKCKVNADVFKDIAVRLKATVNDASTLVDMYYSIGSLVLIKDQASDVDVLLADADGTFHSIKALSQSDGRWRYSSDNPESSTFAAGLALEALAGVISLASSEIDLSRVNTVKNDILKLFDSIEKYDDGTFYFDEKFVGGREHQGSLYTTSSVVRGLTTFASAISGKINLPGDKILGLAKFFLGIGIPGDAKDFFNQVESLSLLENNRISIPLVLSLPETVYSLSKKDQLKVRVNTVLGSAAPPLTVKLVRAFRPDAKDSAVFENKELQYDKSNGIHVLEVFPGNVDVGTYVFVFEIVLHDSAGEKVFATGGQIHVPIYVTGIIEVSNAEIAGLDSDLGSVETQKKLDLAGNVVVELSANHLQKLHFSFQLTTPHGHAFKPHQAFFKLKHETNHEHIFVIGNTGKKFEIVLDFLGLVEKFYYLSGRYDIELTVGDAVMENSFLRPLGHVDLELPEAPEKASRLPPLPVDPYSRYGPKAEIAHIFRAPEKRPPQNLSLTFLSLTLLPFIGFLVGLLRLGVNFKNFPSSAAPATYAILFHLGIAAVLFLYVLFWLKLDLFTTLKTVGLLGAFLMFVGHRILSHLASTSSKLKSA >Vigun03g144050.1.v1.2 pep primary_assembly:ASM411807v1:3:14577629:14577844:1 gene:Vigun03g144050.v1.2 transcript:Vigun03g144050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFERRKYDHMKRIAFNLLYTTYILHDSRKMKVKRRQWTLKEYEP >Vigun07g209300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33089004:33089615:1 gene:Vigun07g209300.v1.2 transcript:Vigun07g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPSRYAIIFALLLCTTIFNTGTCISNIRCNQKDKDALLKFQQGIKDPAGVLFSWSSQQDCCEWKGVMCDNFKSRVTQLSLPCSTTFPTYTDKMDKSHCLTGSIYLSLFVVEFEFLYHLNLSNNDFSIVQFGSHVYTQNSHNLSTATPSHRCVNSSVLRYLDLSFNSDAKTSLQWLSHFSSLEYLNLDELIFARKPNGFNQ >Vigun01g233000.1.v1.2 pep primary_assembly:ASM411807v1:1:40489219:40490220:1 gene:Vigun01g233000.v1.2 transcript:Vigun01g233000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNANGRERKRKPLSDLNVSNLLPSSSSSSTIDSRRGTVGLDVSEPISAFCTRIHTLNEKKRNAKKEIANSKISKIRDKSDGVELEGLGLRKARILTVSCKKKKSAVSYEEDVSKDAQLQDYIEKQNAYFKEVDEFELPEEEVESVHELD >Vigun07g130350.1.v1.2 pep primary_assembly:ASM411807v1:7:23990880:23992510:-1 gene:Vigun07g130350.v1.2 transcript:Vigun07g130350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVERSWFYGRSGSKGKRRKPFLIKISFHALEVLGRQWIENFCIRSTRYLQDYLEGKKCMSLILTPKPGEEDYLDTSYLMFTFYILWVEGNLMWCDRDSLSIHVVQGAGAHFLEVVQ >Vigun05g092100.1.v1.2 pep primary_assembly:ASM411807v1:5:8923395:8930532:1 gene:Vigun05g092100.v1.2 transcript:Vigun05g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCRHHIRSAHALADPELYRAADSDDSEALLEAVAMAGLVGFLRQLGDLAQFAAEMFHDLHEEVIATAARGRSLISRVQQLEAEVPALEKVFLTQTHHSSTYTNGGIEWHPNLRSEHNLVTRGDLPRFIMDSYEESRGPPRLFLLDKFDVAGAGACLKRYTDPSFFTVKSASSGTATAEVQREKKIRKIEQKKGTRLKNGETPEVVPSHAKLHELFLEERIENACRDPARLVKLKTKQLNGSAVEAKTGKSYMGKILEIHSPDHELVCETSIIPQPGKLVLDDSESGIRTLEISSIAPLNRSLGNENFCSTPNEQKLELNPYTEMYRETGGYMVEVNEQISGGVTEEMSSNYLKVIDEEELVFDERKKRECSLDSYHSDDVTSEVDDYMDALATMESELETDNEYGPMKSLLNIQNLTDSSDKGEPQMQAQFSDSQSFGDSSTSEENSSSEQDRLGEHNEAHGLLLDSPSTRTSWESDDNCSFTRVRNVEHSQSQVQFSDFQSMENSTSQIEDMPSNQLLPTFELQTTYCREFVMNDDAHVRGEGISDSIPVSSGLIDSGHSLMSYDLGAASPASLPAQSQSDETPSGPAELYLRIEEDEEKECLVESIVTKPEALYPMRDDACPVVSFDNNPSNNLNVCDPYVHYNALFQVSNELNLNNEGECGDHSEIEVMHEESLNEYSSEMLGSEYVDIHGEDPICPSVEVDLNPSTKLLLDDRDLKSVDDIIATQLNSEDLVPAAETTPKCSFTKELGLDFTHGYKPDSPEIEVLHPDQQGNFEQVPSILGGEEISVSTCSLDLVEDDGHIEHPSSDIISSPLSNLTKLEESLSSFADPHEEEMIVNEGGSRESLTELAVQKVVDQVDIASTEFPSDMNRSVPCDPPDSGMWNNIRHSSLEKIQYSSSIYDLKTEPVCLELDPQRSSDQRINPTIHVMDPLAPLISDFLHKVTRNNLEEMPPMPPLPPMQWRSGKIQYTSLVTHREEASLASLQPVQPDKPDDKSQFGLSTSEKETLPSQNLFLPVMAMQSNKHQHSSGVSAGISEHPVGIPFQFPVMLNETSGQQNFLVPEQSQIQNPFLALRDRPPLGYVVDSEGEKALNSSPYSSTLPAARAISGADPIFQQEKPTQFPSQLTEGGSLEVKKERPGELHLVLPEELHVYTDGPLSPKEKPAQTQLMEETGLEVKIQKQSSIDLEGRIEEYTSITPVSPPSPGIGQSKYSMPPSEGGEIAFPLDTSGQTEMPYEKPKNKRVLPQDPVIDPVAALDKSRLRKVTERVMPPRAAKGDERDSLLEMIRTKSFNLRPAAVNRPPSIGGPKTNLRVAAILEKANAIRQALAGSDEDDDADSWSDS >Vigun01g161700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34345146:34345826:-1 gene:Vigun01g161700.v1.2 transcript:Vigun01g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWRSCSWGVWMGGLGVVVPVMRKLQNSFSRSKGVKQGHFVVVATQGWKPERFFIELGYLDHPDFVMLLKQAEEEFGFSQVGALAIPCQPDELKRIIGREKNRNTGIPVA >Vigun03g227400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37790722:37791501:-1 gene:Vigun03g227400.v1.2 transcript:Vigun03g227400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYNTKPVFLLLIPFLMLHRSSDTNFNFPNFSGPYANTLITFQGDAFASRGVIQLTEVLDNGTIVLHSAGRATYALPVRLWDAETGKTASFSTTFTFQILNAPNLDFGEGISFFLAPFRSDMPRDAVGGYLGLFSPHTALKNTRGNHIVAVEFDMHRDEWDPPFPHIGIDINSISSVTTVAWENKQLGVATVSVTVAYDPVAQNLSAVVSNDGPSIGHVIDLTTVLPEWVSVGFSGATGQLIELHKILSWTFSSSID >Vigun02g077850.1.v1.2 pep primary_assembly:ASM411807v1:2:23008749:23009722:1 gene:Vigun02g077850.v1.2 transcript:Vigun02g077850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPRKLSPLHRNLVKRAVKLSLDDLKANHSQAFLSSRALQIRGYAPDLAKTIAGLILSSSDLDFQGECFSLLGLQSQEVGTDTVESSIEERIVSVIEMNDKKPYNSQQVAPYLFEELENPVQESEFQENDFSPLDF >Vigun02g128600.4.v1.2 pep primary_assembly:ASM411807v1:2:28034938:28038661:-1 gene:Vigun02g128600.v1.2 transcript:Vigun02g128600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAFYAVGFWIRETGQAIDRLGSRLQGNYLFQEQLSRHRPLMNLFDKYPSVHKDAFVAPSASLLGDVHVGPASSIWYGCVLRGDVNGIVIGSGTNIQDNSLVHVAKSNLTGKVLPTVIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVYVEKHAMVAAGALVRQNTRIPYGEVWGGNPAKFLRKLTEDEMTFFSQSALNYSNLAQAHAAENAKELEETEFVKVLHKKFAPHGEEYDSILGGGQETPAELNLKDNVLLDKAPKA >Vigun02g128600.3.v1.2 pep primary_assembly:ASM411807v1:2:28034938:28038661:-1 gene:Vigun02g128600.v1.2 transcript:Vigun02g128600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAFYAVGFWIRETGQAIDRLGSRLQGNYLFQEQLSRHRPLMNLFDKYPSVHKDAFVAPSASLLGDVHVGPASSIWYGCVLRGDVNGIVIGSGTNIQDNSLVHVAKSNLTGKVLPTVIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVYVEKHAMVAAGALVRQNTRIPYGEVWGGNPAKFLRKLTEDEMTFFSQSALNYSNLAQAHAAENAKELEETEFVKVLHKKFAPHGEEYDSILGGGQETPAELNLKDNVLLDKAPKA >Vigun02g128600.1.v1.2 pep primary_assembly:ASM411807v1:2:28034938:28038481:-1 gene:Vigun02g128600.v1.2 transcript:Vigun02g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAFYAVGFWIRETGQAIDRLGSRLQGNYLFQEQLSRHRPLMNLFDKYPSVHKDAFVAPSASLLGDVHVGPASSIWYGCVLRGDVNGIVIGSGTNIQDNSLVHVAKSNLTGKVLPTVIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVYVEKHAMVAAGALVRQNTRIPYGEVWGGNPAKFLRKLTEDEMTFFSQSALNYSNLAQAHAAENAKELEETEFVKVLHKKFAPHGEEYDSILGGGQETPAELNLKDNVLLDKAPKA >Vigun08g015600.1.v1.2 pep primary_assembly:ASM411807v1:8:1317439:1322471:1 gene:Vigun08g015600.v1.2 transcript:Vigun08g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEIAVSVVSMLLLMAGLTMGMRLSSTTEWHALRELRSSLGIRAKYWPIKAEPCGNWTGVHCRNGRVVGINVSGLRRTRSGSLNPSFEVDSLVNLTLLESFNASGFMLNGSIPEWLGERMKVLDVLDLRLCSVMGLIPYSIGELSRLKVLVLSGNVLTGKMPSTLGNLTGLTVLDLSNNTLSGIVPASVTQLGNLTRLDLSNNYFSGTVPAELGALSSLQNLNLSGNSFTGSVPSQLGNLSKLVEIDLSKNFLSGSFFGNLSFSRLSALEVLILSENSFDGALPHNFSSTPRLSFLDVSSNNFTGTLQNFTSWNDNSAGVAFNLSNNMFYGLVNTLLYKFKKVDLSSNYLEGEVQSGGNVTLDRNCLQMTPNQRNLEECRDFYAARNLTFAFAESKSSSRRVVFILVGIFGGLGFIAVLTLVLVLVLKQCHSHRSSEVQRGTKEEGPIEEGENPIPPKDADFVTGVGEAFSSEQIIRLTGNFADANIIKHGHSGVLFLGVLESGATVVVKRIDLNLFKRESYVVELRLLSKVSHARLVPILGHCLDNENEKCIVYKYMPNRDLATSLHRVNESDGKLQSLDWITRLKIAIGAAEGLAYLHECSPPLVHRDVQASSILLDDKFEVRLGSLSQVTAQGDVQQGVISRVFSKPPSTSEGDPGKSSVTCTYDVYCFGKILLELITGNIEVSKSDDGTTKEWLEQTLPYITLYDKERVTKIVDPQLIVDEDLLEEVWAMAIVAHACLNPKPSKRPPMRHVLKALENPLKIVREDNISSARLRSNSSRKSWSTAFFGSWRHSSSDSVVATTHANKESTSDTKKSRVGSQSSGNDHSSSNKRSSNEIFPEPLEVQDVEAGEAG >Vigun03g112800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10326457:10327218:-1 gene:Vigun03g112800.v1.2 transcript:Vigun03g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSCSHKTSTSPLRKFRGSPQPLTRREKPLESSREEESETVKQVLLEAPKRNPTTFAKPKPQKPLQNKVRDEQSKVQKKSLSLYKAEDPSDEVCSLSETVSTTTSITEQGQETRKRVDISQAKLLKNRSFPGERRERTVHGARNNVGSVRLVQCGDQTAQKTGSGGTLRRRDPAEKSFRQSRSPATGGSRPVVGRNPSVRKTNRCPARVTTSTAGNGCRRKENPATARKWVSGGESLENPLVSLECFIFI >Vigun04g160300.1.v1.2 pep primary_assembly:ASM411807v1:4:38259208:38262372:-1 gene:Vigun04g160300.v1.2 transcript:Vigun04g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRDLESGGATKNATTYSAAPTNYVYDPEVHWTSWLVPLFVAVNVVVFVVAMYVNDCPRNNLTFEGDCVATFLGRFSFQPLRENPLFGPSSSTLSKMGALQWYDVVYHHEGWRLVTCIWLHAGIVHLAANMLSLVFIGIRLEQQFGFVRIGIIYLFSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWSIYSNKAAALFTLLFIIVINLVIGMLPHVDNFAHIGGFLTGFLLGFVLLLRPQFGWLEQRRLPAGVRLKSKYKPYQYVLWIVSLILLIVGLSIALVMLFRGESGYDHCHWCHYLTCVPTSRWKCNDN >Vigun01g047950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7158602:7160278:-1 gene:Vigun01g047950.v1.2 transcript:Vigun01g047950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLSIRLAFSSSSHHCPRDYQQRQCDPNVVHDVSNVNITAPSRICSHHPKIKHLRFNHGTPLPLLRPLQQCWRPLQTTTPALLFLHFLYLLVSQFYCLCKIENLLFDVQLSIYYF >Vigun04g029900.1.v1.2 pep primary_assembly:ASM411807v1:4:2351803:2356775:1 gene:Vigun04g029900.v1.2 transcript:Vigun04g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRNTRVPHHGPLILTHCKKRTRTINFQIYFSCVTPSKPVPLSTSVAYKSISLISTLPLSLSLLSFLLCCEGSDMLSLAAFHRWRFLFSFFIAAAAVIRCVAAADATVEPETVHFDTGGLSREVFPKGFIFGTATSAYQVEGMAHKDGRGPSIWDVFIKKPGIVANNGTGEVSVDQYHRYKDDINLMSKLNFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYLLKKGITPYANLYHYDLPLALEERYNGLLGRQVVNDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKEYGNCTAGNSGTEPYTVAHNLILSHAAAAQRYREKYQEKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHLGWFLHPLVYGEYPRTVQEIVGERLPKFTSEEVKIVKGSIDFVGINQYTTYYMYDPHQSKPKVPGYQMDWNAGFAYAKNGVPIGPSANSYWLYNVPWGMYKSLMYIKERYGNPTMILSENGMDDPGNVTLPKGLHDTTRINYYKGYLTNLKKAVDDGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRYPKMSAYWFKKLIARKKY >Vigun05g021700.1.v1.2 pep primary_assembly:ASM411807v1:5:1796507:1798098:-1 gene:Vigun05g021700.v1.2 transcript:Vigun05g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSSHAIKTIPSVTTKPSSFLTPSNIKLSSPFNHSLTKTLKLNSTLPHSSFTSVPKKSFTCRSQTQPVDSEKVQELSVYEINERDRGSPVYLRLSYKTVNSLGDLVPFSNKLYTGDLQKRIGITSGICILIQNKAEKKGDRYEAIFSFYFGDYGHIAVQGPYLTYEDSYLAVTGGSGIFEGVKGQVKLHQIVYPFKILYTFYLKGIKDLPQELLVKTVEPIPSVEASPAAKALEPHATLAGFTD >Vigun05g172601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31261632:31262060:-1 gene:Vigun05g172601.v1.2 transcript:Vigun05g172601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAELHEAAKVYLAKHAIDGVKDAADSYLSFHNTEVLLDYFELVVAAMDGSMHPNPFLIIHCQHWMKYCCCSSKVLCKSRSEKVHLNDSHHCSSRFKDYSLNTTHPSWFHPSYLNYLYLFSEFLVAANFNFQFSYVAVALF >Vigun07g272800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38829953:38832170:1 gene:Vigun07g272800.v1.2 transcript:Vigun07g272800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVGGMVNTNGLFVQVITGRWFVVFASFLIMAAAGATYMFSLYSGDIKYALAYDQTTLNLLSFFKDLGGNVGVLSGLINEITPPWVVLAMGSLLNFFGYFMIWLAVTKRIPKPQVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYYAFYFDDSRSLILLIAWLPAAISFAFLRTIRYMKPVRQPNELSVFYKFLYISLGLAGFLLLMIILQKKLSFSQSEYGVSAGVVLLLLFLPLAVVFVEQFKIRETQKLAFIDPSPVKISAERELPESANGDSNPVLGPISKIEETRWWQKVFSPPPRGDDYTILQALFSWDMILLFVAGTCGVGGTLTAIDNLGQIGSSLGYSKASISTFVSLVSIWNYMGRVFSGFVSEHFLQEYKFPRPLMLTLILFLSCVGHLLIAFDVPNGLYVSSVIIGFCFGAQWPLVFAIISELFGLKYYSTLYNFGGAASPIGLYVLNVRVTGHLYDKEALKQLAAAGIPRNGSKELTCTGASCFKLSFIIITAATVLGAMISLILVARTIQFYKGDIYKRYRDQAEESATATATTTGRTTEMKVVGSGGERREESVVGGD >Vigun03g422200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62778683:62781613:1 gene:Vigun03g422200.v1.2 transcript:Vigun03g422200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSKGLFFFANSVPLQIRAPLTLEDDDSEAGVSSNGTLSQSDYTSQGEQEQEEQEEEFVEVDECVELSPMHAAPLHVTPFAHLSTFNDEEEEEEEEEEAIRGDMLSLARVSGNNFGAPRIELLDSEEENYEPSVFVNSLPVSQSLLASDFESFTGSDGEELYLDDEQGQDQVLGIRVACDEYSTSSATHSDVMSQHSQDEQFGLARVVFDELGGSDKLIEADGVEDCQDYSNSLMQEWDMSFNDLPEHNSQVHCMAGEPVSEAFAENVEYSTPTQTTEANADGGVAADQVSDCENLVSITTSGVNSNAEFHDSSAGFESNYGAFEGNKTLTVESSEHFYPKLLQECFLEKDLSKSILGVNQEDELFCDYRSHQNAEKPDEEATVELNPACQESESESCASDGHAGLMSVALEQFKEQISALSILLGSKGSGNDPQEIRVIRSSHETVNLPKDDAKVRFIYADGDAESDGSSSTVTSTDESSVIFLEVPGSLSSLPYSNARTGFQQSITEKEKEKIQKTQAISVKFLRLVQRVNLSLEDSLVSKVLYRLVADIERRLNQEFVIRSAKTLAKKFEENCSDDLDFSLNILVLGESGVGKSATINSIFGEMKVVTNAFEPATTSVQEVCGTIDGIKIRILDTPALKSSMKEQAYNRKMLSCIERYMKKFPPDVILYVDRADFQTRDLNDLPIIRSITSSLGPSIWQHAILALTHAASVPLDGSSGSPLSYEVFVAQKSYLFQQSITQAVRNLCQLSPSFMCPVALVENHPLCGKNMSGDCVLPNGLRWRSQLLALCFSLKILSEVSSVSRPQTLFDHWKHFFFQDNSQPLCHEISSLLQSPAHLKFSANWN >Vigun04g000100.1.v1.2 pep primary_assembly:ASM411807v1:4:24230:28404:1 gene:Vigun04g000100.v1.2 transcript:Vigun04g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTNIVNSVQVSSPLFIKSFRSVPSFKCFCRSSSDEKGSDDVKDALYGLVDEQVQELLSRKENKILLDGLEKASQRVEMAKRELELIQKQELAVKQLKDYVNQLEGEVLEIEECQRDISEAKAMVEKAEHSLLVNMGGPEGGGTSMGMKSEKFDRDEERWESVKAASISALVGTVSGLPICLTQVTDTTQLLLPLTINFISCALFGVTFRYTIRRNLDDVQLKTGVAAAFGVVKGLGTLSGGPLLEPNFQSFLSHAQDGSIHVSENLLIFVSVAVALDYCLKTGLLSAFPID >Vigun04g000100.2.v1.2 pep primary_assembly:ASM411807v1:4:26164:28375:1 gene:Vigun04g000100.v1.2 transcript:Vigun04g000100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDYNLNYFMYPDSSSHSLPPQNGQGYRCIDNRRSWNSRKNAMNPCTNIVNSVQVSSPLFIKSFRSVPSFKCFCRSSSDEKGSDDVKDALYGLVDEQVQELLSRKENKILLDGLEKASQRVEMAKRELELIQKQELAVKQLKDYVNQLEGEVLEIEECQRDISEAKAMVEKAEHSLLVNMGGPEGGGTSMGMKSEKFDRDEERWESVKAASISALVGTVSGLPICLTQVTDTTQLLLPLTINFISCALFGVTFRYTIRRNLDDVQLKTGVAAAFGVVKGLGTLSGGPLLEPNFQSFLSHAQDGSIHVSENLLIFVSVAVALDYCLKTGLLSAFPID >Vigun04g000100.3.v1.2 pep primary_assembly:ASM411807v1:4:24252:28404:1 gene:Vigun04g000100.v1.2 transcript:Vigun04g000100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTNIVNSVQVSSPLFIKSFRSVPSFKCFCRSSSDEKGSDDVKDALYGLVDEQVQELLSRKENKILLDGLEKASQRVEMAKRELELIQKQELAVKQLKDYVNQLEGEVLEIEECQRDISEAKAMVEKAEHSLLVNMGGPEGGGTSMGMKSEKFDRDEERWESVKAASISALVGTVSGLPICLTQVTDTTQLLLPLTINFISCALFGVTFRYTIRRNLDDVQLKTGVAAAFGVVKGLGTLSGGPLLEPNFQSFLSHAQDGSIHVSENLLIFVSVAVALDYCLKTGLLSAFPID >Vigun04g000100.4.v1.2 pep primary_assembly:ASM411807v1:4:24230:28375:1 gene:Vigun04g000100.v1.2 transcript:Vigun04g000100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCTNIVNSVQVSSPLFIKSFRSVPSFKCFCRSSSDEKGSDDVKDALYGLVDEQVQELLSRKENKILLDGLEKASQRVEMAKRELELIQKQELAVKQLKDYVNQLEGEVLEIEECQRDISEAKAMVEKAEHSLLVNMGGPEGGGTSMGMKSEKFDRDEERWESVKAASISALVGTVSGLPICLTQVTDTTQLLLPLTINFISCALFGVTFRYTIRRNLDDVQLKTGVAAAFGVVKGLGTLSGGPLLEPNFQSFLSHAQDGSIHVSENLLIFVSVAVALDYCLKTGLLSAFPID >Vigun05g055000.1.v1.2 pep primary_assembly:ASM411807v1:5:4699797:4703043:-1 gene:Vigun05g055000.v1.2 transcript:Vigun05g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAALSSSLSSAAGIFPRPTKTTPSNTFPSSTTFHHHRHHHHHSFFLRVANDADRSEVSSDTGTKAPSYSEADKMVDGMDFGELCNEFECISSPLVESTARQLARDILEQREGNRALGIFAVSVTYKDPIRSFTGREKYKRALWATGALDNASVTVQEMVMLSTSVLSIKWTIRGKPKSVIGGEDLVLRITSKFTLNQISGQVIEHEEFWDLSASSASAQTFFWTSRALFSAIESVKDLADNAKNLSSKISTKKENLEMYPDPSGDPTKFFQRDDSFQRDAYQIALFLAVIYLVVQFLRTTL >Vigun05g055000.3.v1.2 pep primary_assembly:ASM411807v1:5:4699120:4702977:-1 gene:Vigun05g055000.v1.2 transcript:Vigun05g055000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAALSSSLSSAAGIFPRPTKTTPSNTFPSSTTFHHHRHHHHHSFFLRVANDADRSEVSSDTGTKAPSYSEADKMVDGMDFGELCNEFECISSPLVESTARQLARDILEQREGNRALGIFAVSVTYKTVQEMVMLSTSVLSIKWTIRGKPKSVIGGEDLVLRITSKFTLNQISGQVIEHEEFWDLSASSASAQTFFWTSRALFSAIESVKDLADNAKNLSSKISTKKENLEMYPDPSGDPTKFFQRDDSFQRDAYQIALFLAVIYLVVQFLRTTL >Vigun05g055000.4.v1.2 pep primary_assembly:ASM411807v1:5:4699120:4702977:-1 gene:Vigun05g055000.v1.2 transcript:Vigun05g055000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMDFGELCNEFECISSPLVESTARQLARDILEQREGNRALGIFAVSVTYKDPIRSFTGREKYKRALWATGALDNASVTVQEMVMLSTSVLSIKWTIRGKPKSVIGGEDLVLRITSKFTLNQISGQVIEHEEFWDLSASSASAQTFFWTSRALFSAIESVKDLADNAKNLSSKISTKKENLEMYPDPSGDPTKFFQRDDSFQRDAYQIALFLAVIYLVVQFLRTTL >Vigun05g055000.2.v1.2 pep primary_assembly:ASM411807v1:5:4699120:4702976:-1 gene:Vigun05g055000.v1.2 transcript:Vigun05g055000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAALSSSLSSAAGIFPRPTKTTPSNTFPSSTTFHHHRHHHHHSFFLRVANDADRSEVSSDTGTKAPSYSEADKMVDGMDFGELCNEFECISSPLVESTARQLARDILEQREGNRALGIFAVSVTYKDPIRSFTGREKYKRALWATGALDNASVTVQEMVMLSTSVLSIKWTIRGKPKSVIGGEDLVLRITSKFTLNQISGQVIEHEEFWDLSASSASAQTFFWTSRALFSAIESVKDLADNAKNLSSKISTKKENLEMYPDPSGDPTKFFQRDDSFQRDAYQIALFLAVIYLVVQFLRTTL >Vigun08g205300.1.v1.2 pep primary_assembly:ASM411807v1:8:36861257:36862623:-1 gene:Vigun08g205300.v1.2 transcript:Vigun08g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGRRNEELNEGVTALFITWLTNSKLLLFTFDMGPLPPNSNSLLHFLSFACLPITQTLKTKSNSKLTFHPIFLPIKALLFLLFLIPIKHKLHPALVLTSYCTIVYLLVDILMGLCNILVNATFGVELQLPSDDPYLSTSLRDFWGRRWNLIVTFTLRHTVYNPVRSLLTNTVLGPQWASVIGVMVTFLVSGLMHELIFYYVTRVSPTWEVTCFFLLHGVCVVAEFGAMKWLGHKCRLHWAVTGPITVGFVIATAAWLFFPPLMRTGTGERSIKEFNNVVECVMGIFY >Vigun03g068900.2.v1.2 pep primary_assembly:ASM411807v1:3:5675873:5677803:-1 gene:Vigun03g068900.v1.2 transcript:Vigun03g068900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHTIPHHTFFEEQDQIPTQMGFFPFPTNLTLPPLGCHQSSLKTFTSITPSSLALSQQDSSTSNLSQTLFHKSTFGGPQFLSLHRSTLNPWALGEVSDCLSSKRSGFDDHHHLGISAMKMKKMKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSNDLEDSSSPSQLGNFLW >Vigun03g068900.1.v1.2 pep primary_assembly:ASM411807v1:3:5675873:5677803:-1 gene:Vigun03g068900.v1.2 transcript:Vigun03g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSHTIPHHTFFEEQDQIPTQMGFFPFPTNLTLPPLGCHQSSLKTFTSITPSSLALSQQDSSTSNLSQTLFHKSTFGGPQFLSLHRSTLNPWALGEVSDCLSSKRSGFDDHHHLGISAMKMKKMKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSNDLEDSSSPSQLGNFLW >Vigun01g025200.2.v1.2 pep primary_assembly:ASM411807v1:1:2865288:2870087:1 gene:Vigun01g025200.v1.2 transcript:Vigun01g025200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNLWRFLVLSTGVAIISLLSWLHLPSSSSSNKEVLNCNLYSGWCTSKNRFYSSARPKEIKNPSLSTHDHRSEIPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAALKGVSHVLTVDLEISQVTSHETGSASGYPTMTVEEMVGVLEVPLKSTEFNRSITKRGVNLADLACLPISSGWYGTPVEENRRLIKVQCYSKEGTVNFYMKPIEGVTALVDIDRKEVLAISDDGQNIPVASGANTDYRYSVQKLNGELRLLNPISLEQPKGPSFTVDGHLVKWANWEFHLRPDPRAGTIISQVKVRDPDTSKMRNVMYKGFTSELFVPYMDPTEGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFASSDGTPYLQPNMICIFESYAGDIAWRHAECPITDLKVTEVRPKVTLVVRMAAAVANYDYIVDWEFQTDGLIRSKVGLSGILMVKGTTYENMDKVPYQDYLYGTLLSENIIGVIHDHYITYHLDMDVDGSDNSFVKVNLKKQETSSEESPRKSYLKAVKKVAKTEKDAQIRLQLYEPSEFHVVNPLKKTRVGNPVGYKLVPGATAGSLLDPEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFVYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILGVPPNFEEDLPVCQAHKSA >Vigun01g025200.1.v1.2 pep primary_assembly:ASM411807v1:1:2865210:2870087:1 gene:Vigun01g025200.v1.2 transcript:Vigun01g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNLWRFLVLSTGVAIISLLSWLHLPSSSSSNKEVLNCNLYSGWCTSKNRFYSSARPKEIKNPSLSTHDHRSEIPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAALKGVSHVLTVDLEISQVTSHETGSASGYPTMTVEEMVGVLEVPLKSTEFNRSITKRGVNLADLACLPISSGWYGTPVEENRRLIKVQCYSKEGTVNFYMKPIEGVTALVDIDRKEVLAISDDGQNIPVASGANTDYRYSVQKLNGELRLLNPISLEQPKGPSFTVDGHLVKWANWEFHLRPDPRAGTIISQVKVRDPDTSKMRNVMYKGFTSELFVPYMDPTEGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFASSDGTPYLQPNMICIFESYAGDIAWRHAECPITDLKVTEVRPKVTLVVRMAAAVANYDYIVDWEFQTDGLIRSKVGLSGILMVKGTTYENMDKVPYQDYLYGTLLSENIIGVIHDHYITYHLDMDVDGSDNSFVKVNLKKQETSSEESPRKSYLKAVKKVAKTEKDAQIRLQLYEPSEFHVVNPLKKTRVGNPVGYKLVPGATAGSLLDPEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFVYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILGVPPNFEEDLPVCQAHKSA >Vigun01g025200.3.v1.2 pep primary_assembly:ASM411807v1:1:2865796:2870087:1 gene:Vigun01g025200.v1.2 transcript:Vigun01g025200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNLWRFLVLSTGVAIISLLSWLHLPSSSSSNKEVLNCNLYSGWCTSKNRFYSSARPKEIKNPSLSTHDHRSEIPQHPLDPLTIQEFNRVRTILSSHPLFKSSSSYTLNSVVLEEPDKKLVLKWKKGDPPLPRKASVVAALKGVSHVLTVDLEISQVTSHETGSASGYPTMTVEEMVGVLEVPLKSTEFNRSITKRGVNLADLACLPISSGWYGTPVEENRRLIKVQCYSKEGTVNFYMKPIEGVTALVDIDRKEVLAISDDGQNIPVASGANTDYRYSVQKLNGELRLLNPISLEQPKGPSFTVDGHLVKWANWEFHLRPDPRAGTIISQVKVRDPDTSKMRNVMYKGFTSELFVPYMDPTEGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFASSDGTPYLQPNMICIFESYAGDIAWRHAECPITDLKVTEVRPKVTLVVRMAAAVANYDYIVDWEFQTDGLIRSKVGLSGILMVKGTTYENMDKVPYQDYLYGTLLSENIIGVIHDHYITYHLDMDVDGSDNSFVKVNLKKQETSSEESPRKSYLKAVKKVAKTEKDAQIRLQLYEPSEFHVVNPLKKTRVGNPVGYKLVPGATAGSLLDPEDPPQKRAAFTNNQIWVTPYNKSEQWAGGLFVYQSKGDDTLQVWSNRDRGIENKDIVLWYTIGFHHIPCQEDYPIMPTVSSSFDLKPVNFFERNPILGVPPNFEEDLPVCQAHKSA >Vigun05g273800.3.v1.2 pep primary_assembly:ASM411807v1:5:46425138:46428292:-1 gene:Vigun05g273800.v1.2 transcript:Vigun05g273800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAVNKEGEVTVSFKNEINLNDFFKPDQIADATAEKSTSLKRRKVGNLKLQTAFSFRYLFSKQKPEQMFSPKSYDQLELAAIKVQKVYKSYRIRRILADCAVVCEELWWKDSVINANRCSISHFDSDKSETAISKWAKARMMAAKVGKGLSKDDKAQKLALRYWLEAIDPRHRYGHNLHFYYEVWFRSQSDQPFFYWLDVGDGKKVDLKACPRENLQNQCIKYLGPKEREAYEVIVENGRLVYRESKNLVHTTEESKWIFVLSLSRILYVGQKNKGHFQHSSFLAGGATIASGRLVVDHGILQAIWPYSGHYRPTEKNFMEFISFLEEHKVDMTNVKKDAVDEDVLPPCEAGNDEKLGFEYMEGNVSGSDSSTANNNKGKEKVEEEGSVAENKPMSSKWSTGVGPRIGCVREYPTKFQVQALEQLNLSPRVNQGTFAGKAPIPSPRPRTKHMSPKLVNMGLPSPMLHGHC >Vigun05g273800.2.v1.2 pep primary_assembly:ASM411807v1:5:46425138:46428292:-1 gene:Vigun05g273800.v1.2 transcript:Vigun05g273800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAVNKEGEVTVSFKNEINLNDFFKPDQIADATAEKSTSLKRRKVGNLKLQTAFSFRYLFSKQKPEQMFSPKSYDQLELAAIKVQKVYKSYRIRRILADCAVVCEELWWKDSVINANRCSISHFDSDKSETAISKWAKARMMAAKVGKGLSKDDKAQKLALRYWLEAIDPRHRYGHNLHFYYEVWFRSQSDQPFFYWLDVGDGKKVDLKACPRENLQNQCIKYLGPKEREAYEVIVENGRLVYRESKNLVHTTEESKWIFVLSLSRILYVGQKNKGHFQHSSFLAGGATIASGRLVVDHGILQAIWPYSGHYRPTEKNFMEFISFLEEHKVDMTNVKKDAVDEDVLPPCEAGNDEKLGFEYMEGNVSGSDSSTANNNKGKEKVEEEGSVAENKPMSSKWSTGVGPRIGCVREYPTKFQVQALEQLNLSPRVNQGTFAGKAPIPSPRPRTKHMSPKLVNMGLPSPMLHGHC >Vigun05g153900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24506338:24507510:-1 gene:Vigun05g153900.v1.2 transcript:Vigun05g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQNNCVLSQDILMEILSRLPIKDIGRLKCVSKGLNHLVSDSTFVKLHLQRSSKNTHMLLTFEDYHINRTRNYAVVCPVQELLDHPSSTLKTLHHKHLPFNRKYNVLGACNGLVCLQDSCIDDEFEEYWFRIGNPTTRVMTKDSPHIRLNRRDYWLMFGFGYDEWSDSYQVVLLDNNRNQSQKLEVSVCCLGDTCWRNTLTCDAVTPMIGLQSRGTCGAFVSGTLNWLVYPRSHCDDKRGPKMNELEIFCYDLRKETRSFFSMPDGILEVRAFEPVLKVLNGCLCLSHHHEDNFVVWLKREFIDEKSWSKLLNINYQDDIDQCPSYMNVICMREKDGAVLVANTVFDANFIWYNSRDDRREGREYYGQDIWSLFSYDYVQSLVFPRRK >Vigun03g211400.2.v1.2 pep primary_assembly:ASM411807v1:3:34979769:34983058:1 gene:Vigun03g211400.v1.2 transcript:Vigun03g211400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSAKVEAANSSRTPSGISKTSPSSVPSNLSIQSYCEASDVSSLPTPRSEGEILSSPNLKAFAFNELKNATRNFRPDSLLGEGGFGYVYKGWIDEHTFTASKPGSGMVVAVKKLKPEGLQGHKEWLTEVDYLGQLHHQNLVKLIGYCVEGENRLLVYEFMSKGSLENHLFRRGPQPLSWSVRMKVAIGAARGLSFLHNAQSQVIYRDFKASNILLDADFNPKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATALVLCCLSYCLVDVPLTEQKLVWSRI >Vigun03g211400.1.v1.2 pep primary_assembly:ASM411807v1:3:34979769:34983058:1 gene:Vigun03g211400.v1.2 transcript:Vigun03g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSAKVEAANSSRTPSGISKTSPSSVPSNLSIQSYCEASDVSSLPTPRSEGEILSSPNLKAFAFNELKNATRNFRPDSLLGEGGFGYVYKGWIDEHTFTASKPGSGMVVAVKKLKPEGLQGHKEWLTEVDYLGQLHHQNLVKLIGYCVEGENRLLVYEFMSKGSLENHLFRRGPQPLSWSVRMKVAIGAARGLSFLHNAQSQVIYRDFKASNILLDADFNPKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDRTKAGVEQNLVEWTKPYLGDKRKLFRIMDTKLGGQYPQKGAFMAATLALQCLNREAKARPPMTEVLETLEQIAASKTVGRNNQSEPKRVHIPARKCKVQMGAL >Vigun06g064100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19272870:19275373:1 gene:Vigun06g064100.v1.2 transcript:Vigun06g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRTTTTTTIFFIFIITIFFFTTTATSTLSQNNTNFSFSFPSFTLRNITLLGDSFLRNGLVGLTLSTTVPASSSGTLLFNHPITTTPSFSLSTNFTFSITNTTTSATPSSTFSFFLNSSHTLLSLHFHPNQNHLTLDLTASSNSSITNSTSVDLSTDTPITSWVDYHHADKKKLQVFLNCSSLASSKPQNPVLSVDLDLYEYFKDNLYLGFSGSTQGSTELIQVMSWSLEFESNSHPLINGSSSPSNVAVEGIPVSSNSTEGSKNRGKRFLFGLCVAIVGPAFFCVVLVVLGYFSFLKWRGLRKKKGFGTVGCCPKEFGYKEVKLATKGFHASRVIGKGSFGTVYKALFESSGTVAAVKRSRQYDGKTEFLAELSIIASLRHKNLLQLLGWCVEKGELLLVYEYMPNGSLDKVLYQEHEGGNTNNVLSWVHRVNIAVGLASVLSYLHQECEQRVIHRDIKTGNILLDGSMNPRLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQCGMANEKTDVFSYGVVVLEVACGRRPIEREEQKMVNLVDWVWGLHSQGRIIEAADRKLNGEFKEGEMKKLLLLGLSCANPDSAQRPSMRRVLQILNNEGGVSLVVPKEKPTLTFSSGLPLSLEDIVSDAEEELNSGQVVCEIKID >Vigun05g189600.1.v1.2 pep primary_assembly:ASM411807v1:5:36816958:36823733:-1 gene:Vigun05g189600.v1.2 transcript:Vigun05g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFVMELEGRSYRCKFCSTHLALADDLISRLFHCRRGKAYLFNNVVNFTLGAPEERQMLSGLHTVADIFCCCCGQIIGWKYESAHEKSQKYKEGKFVLERGRIVDEVEFSTEFYIDSRVCVSDGDEA >Vigun03g177900.1.v1.2 pep primary_assembly:ASM411807v1:3:22141123:22144688:-1 gene:Vigun03g177900.v1.2 transcript:Vigun03g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEESIVHDVRLSSVGPGQMTGSDVFHHPGGLDLAMKLHYLRVVYLFDSEASKDVTIMKIKDAMFSWFNHYFITCGRFRRSECGRPFIKCNDCGARFIEGNCSKTLEEWLAIKDCSLYKFLVSHQVIGPELSFSPPVLFQVTRFKCGGISLGLSWAHILGDPLSASEFINSLGRVMNDLGLKLHSNIPRCVPAPGQPGPQKDPISAKRIDPVGDHWIPANNKKMDTFSFHITSTQLNYLQAQIWGPSLHQTPGFESVCAMIWHCVARVRTKSEPKTVTVCRTDPYRKDNDNIGNNQVICKVEAGSECCIVESDLSVLARMLEDEGVDERKEIEEAIERDEGVSDLFVYGANLTFVDLEKINLYDLQLKGQTPRFVYYTIQGVGDEGAILVYPGPHDGKFVTMILPEDEMPKLKSELKINGLLLEDN >Vigun06g150200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27510334:27510666:-1 gene:Vigun06g150200.v1.2 transcript:Vigun06g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVAFLLSLNLLLFSALSCDNPPKCVSSPPPVKCPELHVCASILHAPFKPDPKCCPLLGGLIDLDAVVCLCAVLKLDLGIIKVNLDLLINILLNTCGRQPTTFICPP >Vigun05g261300.1.v1.2 pep primary_assembly:ASM411807v1:5:45409521:45414765:-1 gene:Vigun05g261300.v1.2 transcript:Vigun05g261300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLSKTSSGADLTKVPSTSELAGMNKAESGNRLSETDFSALLSQLKGLQNKNTQLEEENKKITLKLQTMETDNGAMRKQLNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRTLKKRLKEAEDEQYRAEEDAAALRAELNLIQQQSMTNTVSTIPSFGNPPDHIQIQRLQKELDGLKLELQRESLLRHQEQEQLAKEQACSASLISQNQELEEKLKSIPIEAPEVSDTAAPKAFSLEDKQKLDIRLHDMALAIERLESSRQKLLMEIDSQSTEIERLFEENSNISNSYQEAIQASMRWENQVMECLKQNEELRGILDNLRDEQARGLPDSSKNGAHEMPSLASTEEMTSMKGQLVKEQRRAEALSAEVMQLSAQLEQVKQAYDGLTRFYRPVLRNIESNLIKMKQDNTLAVQ >Vigun09g083400.1.v1.2 pep primary_assembly:ASM411807v1:9:10185788:10187840:1 gene:Vigun09g083400.v1.2 transcript:Vigun09g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKPIWVFFNSIFLFLLLANSEEEDTIKALVTFMDKLAPGYVKDPSWGWNLSSDPCIDKWHGVKCHSDNKYVMSVILENFNFGGGLDAGSICIAKSLQILSIKDNNLRDSIPEDIGNCKSLTHLFLSGNQFSGDLPISIVNLRNLIRLHISDNHLTGELPNMVHVSSLKSFVAQNNNFTGEIPRFDFSNLEEFNVSNNNLHGSVPDVRGKFHADSFSGNPNLCGEPLSNACPPPPPPHEKKDKNSFPNDLSIYSGYLVLGLITLIFLTYKLLCKLKTKEKELDVEKKEKAEESVGVVGMASEISHSNGSKKGVGIRSEYSLTSLESGMTTSGLVLLSSRTLRGLQFEDLLGAPAELIRRGKHGSLYKVMLDNGVQLAVKRIKDWGISKQDFQTRMNMIAQAKHPRVLPPVAYYCSQQEKLLAYQYMQNGSLFMLLYGSESGQSFDWGRRLNVAAKIVEALTYMHEEFLENGIAHGNLKSNNILFDKNMDPCISEYGLMMAENYDEFVISHNKGLKSKDLIAARFKADVYALGMILLELLTGKVIKNDGFDLVKWVNTVVREEWTVEVFDKSLVLQGASEERMMNLLQVALKCLNPSPNDRPSMSQVAVMTNALVEEEEKSISFDT >Vigun06g191300.1.v1.2 pep primary_assembly:ASM411807v1:6:30885614:30887734:1 gene:Vigun06g191300.v1.2 transcript:Vigun06g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASGNIPKGAFLLPLTLFLIVLLLPLLRNFMQPSSNTFPTTNLETNQTKKCNIFSGNWVPYPQQPYYSNQTCPFILDQLNCIKNGRPDTDFLKLRWKPHDCELPLFDATQFLELVRGKSIAFVGDSMGRNQLESLLCLINTVAHPEDITAKHTSYDDIFFRWWFVADYNFTVTTLWSPFLVKFNDSDPSGRAYYSATKLYLDEADEAWSSKIKNFDYVVFSTGQWFFRPLTFYEKGQVVGCQKCQNSTELNFYGYKKAFQTAFRTLRNIEGFKGVAFLVSHSPEHFENGAWNEGGSCNRTKPFSLEEKGVYESGDIVKALHEIQVEEFNAAREKGLRFGLIDVTDAMVVRADAHPSRFKPGNKKVNDCVHWCLPGAVDTWNEFLLYLMKLEGEKHNTML >Vigun09g195700.2.v1.2 pep primary_assembly:ASM411807v1:9:37021408:37029607:-1 gene:Vigun09g195700.v1.2 transcript:Vigun09g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERTIPAVDGVTDGVQKIRALHGRTTGPTRRSTKGQWTPEEDETLRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNRYGPKKWSTIAQKLPGRIGKQCRERWHNHLNPTINKEAWTQEEELALIRAHQIYGNKWAELAKLLPGRTDNAIKNHWNSSVKKKLDSYLASGLLTQLQNVPLAGNPNQPIASVSSRLQQSGEDNGPRGTEGEEVSQCSQESANAGYFSSAREMNSVALLTGEEYRPNDEPSQASCSEPYYVSLDEVTASLPDMPDQEICTSQFVEQKYSHNPGNSNNGEGQLDLIDLTNISSLDFGQESSQFQNDCMMNVPFQTSGGLGVATTMQPTSMDSVKPEHMLMSDDECCRILFSEAISDECFSSEDYNKGVNMVDLLGGPSFLCQSTLQSVPSVVSSSGDRLMYTAEANQLVGSEDQQFVSRTQDSIIYASDLSSPPCIRRIDNTEMQEPSDVVKDDSKLVPVNSFGCGSDAKSTSYPTDENSNVHTEQDTGALCYEPPRFPSLDIPFLSCDLIQTGGDMQQEFSPLGIRQFMMSSMNCLTPFRLWDSPSRDDSPDALLKSAAKTFTSTPSILKKRNRDLLSPLSDKRIDKKLEIEMTSTLIKNFSRLDVMFDDNETQGADLIPQSSMPKRDSGTSVEDDKENCGQAVKVELVGGKNKHAILDDKISEKDSGDGNSQEKIKQQPVAVGSAIDNDGSAAAEIVQQPSGVLVEHDVNDLLMYSPDQVKVLSLSARTKKNPCSRINSPSVWLKEHERLSVAVTCIHSVSSSGPGENSGDHTGNDGGLETCSIFGGTPFRKSIESPSAWKSPWFINTFLSSPRIDTEITIEINEQTAAQYASAHEILGNETPKALPKGKSRNDEDEDREDIDPNNQRGDCSQSASSSLVERRVLDFSECGTPVKGDSSKSSAMSFSSPTSYLLKGCR >Vigun09g195700.1.v1.2 pep primary_assembly:ASM411807v1:9:37021408:37029607:-1 gene:Vigun09g195700.v1.2 transcript:Vigun09g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERTIPAVDGVTDGVQKIRALHGRTTGPTRRSTKGQWTPEEDETLRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNRYGPKKWSTIAQKLPGRIGKQCRERWHNHLNPTINKEAWTQEEELALIRAHQIYGNKWAELAKLLPGRTDNAIKNHWNSSVKKKLDSYLASGLLTQLQNVPLAGNPNQPIASVSSRLQQSGEDNGPRGTEGEEVSQCSQESANAGYFSSAREMNSVALLTGEEYRPNDEPSQASCSEPYYVSLDEVTASLPDMPDQEICTSQFVEQKYSHNPGNSNNGEGQLDLIDLTNISSLDFGQESSQFQNDCMMNVPFQTSGGLGVATTMQPTSMDSVKPEHMLMSDDECCRILFSEAISDECFSSEDYNKGVNMVDLLGGPSFLCQSTLQSVPSVVSSSGDRLMYTAEANQLVGSEDQQFVSRTQDSIIYASDLSSPPCIRRIDNTEMQEPSDVVKDDSKLVPVNSFGCGSDAKSTSYPTDENSNVHTEQDTGALCYEPPRFPSLDIPFLSCDLIQTGGDMQQEFSPLGIRQFMMSSMNCLTPFRLWDSPSRDDSPDALLKSAAKTFTSTPSILKKRNRDLLSPLSDKRIDKKLEIEMTSTLIKNFSRLDVMFDDNETQGADLIPQSSMPKRDSGTSVEDDKENCGQAVKVELVGGKNKHAILDDKISEKDSGDGNSQEKIKQQPVAVGSAIDNDGSAAAEIVQQPSGVLVEHDVNDLLMYSPDQVKVLSLSARTKKNPCSRINSPSVWLKEHERLSVAVTCIHSVSSSGPGENSGDHTGNDGGLETCSIFGGTPFRKSIESPSAWKSPWFINTFLSSPRIDTEITIEDFGYFMSPGDRSYDAIGLMKQINEQTAAQYASAHEILGNETPKALPKGKSRNDEDEDREDIDPNNQRGDCSQSASSSLVERRVLDFSECGTPVKGDSSKSSAMSFSSPTSYLLKGCR >Vigun05g223900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41638870:41639862:1 gene:Vigun05g223900.v1.2 transcript:Vigun05g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGDGSSLDPMFSGTSLQSLLSLNPSLFADGFPTLASLTDDPTLIPQAAEPPKLLVPKTEPSQPFKFFPQYHPTPFLRLPQLRSPEQPQPKRQRLESPMIPQSNLARQRRQKLSEKTRCLQKLMPWDKKMDQGTLLEEAYKYVRFLQAQFRVLQSMPSHSSSSAPSFRQNSAVFVDLEKLNRSQLLQVLVNSPVAQTMLYSQGFCVFSFEQLSLLRKLSDRRNQHHNHLSSKSVFN >Vigun06g008700.1.v1.2 pep primary_assembly:ASM411807v1:6:4235923:4236397:1 gene:Vigun06g008700.v1.2 transcript:Vigun06g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALALAVRAGSVLEEEEDERGIAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAVTFTDDTVYELLVSVDKPELLSQAISEISFEG >Vigun09g221300.1.v1.2 pep primary_assembly:ASM411807v1:9:39488540:39489973:-1 gene:Vigun09g221300.v1.2 transcript:Vigun09g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTTAPVCLPAKNIPTPQLQKLSFSSTVSFTLKPRRLLRIRSSSAETSDTGVDSESSIELPKEPYSLISALNVERILRGLPITDADHYGRLGVPRGCPFEMVGVAYRNKIQELKSQNLEEEELEKKLELLKESYIILSSEEERRIYDWSLARVGNTETFIWPFEVDITQTKIPDEDPPQLDTEDVGPTILVGYFTLGWLVLGAVVSIAIALNLNT >Vigun07g111000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20587345:20591108:-1 gene:Vigun07g111000.v1.2 transcript:Vigun07g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAESIASLSNDLFYDILRRLDGPTLACAACTCASFCSISKEEILWENVCSSMWPSTNREDVKILISFIGGFRKFYADCFPLIVIKEVGEYQWNSYHEYPDDWTEAEYYGDMNELESISPSDFVSIVDIKFKEKPICSKVLWGIPNANGYNGWFYNCPFRIDLLSCADRDDNNGVVTLSVSDGLPPITSMEREKKDGKLWRELRDGLLLSWIIVNKKIKQSANLASWSPLDGQRHWPTDKDFVFRFGSVLPAEDVLPSQVVQCIFIMKFRVVHTEQEGVQTTLRSTELSMQLEDMEGAHVNGRNSLLILKKALSCRRSTNYSEVLESCLAYSKMQNKLKEEKIRNESRIDTICILSSIVAVMTFWYYVL >Vigun03g060800.2.v1.2 pep primary_assembly:ASM411807v1:3:4969166:4980250:-1 gene:Vigun03g060800.v1.2 transcript:Vigun03g060800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLFTSDFGLKPQGKSAPMAPSSKGSSKAAPINFDFGSRSASNFDDLLAGAGSDTRRADSPFDLDSMYGGPPARSASSPPPVYDKPVYDDDIFDGVPGMKSSSKVKFDNVFATTERGGSDAVFDDLLGGFGKEPKSSGGKRSEKDAKGASDFDDLLAGFGHRGTPSTGRHTPDTSFSSEPTASTTKTTSTMAEDPFKVFESASAPGDSSTGHFMDPLEEISKFGSSGSTKNDSSSTSNGRVYEDIDPFDGLGKSVPAFSSDINSRKGSSSPSLNTNPSRPGDKEPVDKISGRSPERDTKSKTPVENDREFPQAPFHMPSYSSDSDKPAGKRSTSPPYNNVDFRQANIQADKYEDNLEPSEDIWLTVSEIPLFTQPTTAPPPSRPPPPRPVHIPKSGSTSSVSANARKKDNDFSSFPSSARFSQGSNSAPASGRVPTSSQFDELDDFAMGRSRGNDNEGGNGLPDEELEMNSAAAAMKEAMDRAEAKFRHAKEVREREYSKAARSKETVQMDRDERTVLEEREKQDRLDRERQQKEREEKEHRRLMKEKEEKEREQQRLEREKARQAVERATREARERAAAEARQRAERAAVEKANAEARGRAERAAVQRAQAEARERAAALAKEKAEKAAAEAKEREAQERATATRAEAEARVKAEARAKAERVTAERVAAEARERAAAAARMSQQKNENDLESFFGMGRASSAPRPPRTNSSDSVFESQFQTDATRKSTSASTSMKKASSSTNIVDDLSSIFGAAPSSGEFQEVEGESEDRRRARLERHQRTQERAAKALAEKNQRDMQTQREQAERHRLGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Vigun03g060800.1.v1.2 pep primary_assembly:ASM411807v1:3:4969166:4980250:-1 gene:Vigun03g060800.v1.2 transcript:Vigun03g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFDGLFTSDFGLKPQGKSAPMAPSSKGSSKAAPINFDFGSRSASNFDDLLAGAGSDTRRADSPFDLDSMYGGPPARSASSPPPVYDKPVYDDDIFDGVPGMKSSSKVKFDNVFATTERGGSDAVFDDLLGGFGKEPKSSGGKRSEKDAKGASDFDDLLAGFGHRGTPSTGRHTPDTSFSSEPTASTTKTTSTMAEDPFKVFESASAPGDSSTGHFMDPLEEISKFGSSGSTKNDSSSTSNGRVYEDIDPFDGLGKSVPAFSSDINSRKGSSSPSLNTNPSRPGDKEPVDKISGRSPERDTKSKTPVENDREFPQAPFHMPSYSSDSDKPAGKRSTSPPYNNVDFRQANIQADKYEDNLEPSEDIWLTVSEIPLFTQPTTAPPPSRPPPPRPVHIPKSGSTSSVSANARKKDNDFSSFPSSARFSQGSNSAPASGRVPTSSQFDELDDFAMGRSRGNDNEGGNGLPDEELEMNSAAAAMKEAMDRAEAKFRHAKEVREREYSKAARSKETVQMDRDERTVLEEREKQDRLDRERQQKEREEKEHRRLMKEKEEKEREQQRLEREKARQAVERATREARERAAAEARQRAERAAVEKANAEARGRAERAAVQRAQAEARERAAALAKEKAEKAAAEAKEREAQERATATRAEAEARVKAEARAKAERVTAERVAAEARERAAAAARMSQQKNENDLESFFGMGRASSAPRPPRTNSSDSVFESQFQTDATRKSTSASTSMKKASSSTNIVDDLSSIFGAAPSSGEFQEVEGESEDRRRARLERHQRTQERAAKALAEKNQRDMQTQREQAERHRLGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Vigun04g093200.3.v1.2 pep primary_assembly:ASM411807v1:4:18263645:18266686:1 gene:Vigun04g093200.v1.2 transcript:Vigun04g093200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVNEDHTFANSIRYTLNQDPRVTYCGYSIPHPSFIRVNIRLQTTGDPAKEVFKDGCLELMLMCRHVRSTFDDALTEFKKTKTS >Vigun04g093200.2.v1.2 pep primary_assembly:ASM411807v1:4:18264830:18266685:1 gene:Vigun04g093200.v1.2 transcript:Vigun04g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVNEDHTFANSIRYTLNQDPRVTYCGYSIPHPSFIRVNIRLQTTGDPAKEVFKDGCLELMLMCRHVRSTFDDALTEFKKTKTS >Vigun01g117700.1.v1.2 pep primary_assembly:ASM411807v1:1:29407117:29409715:-1 gene:Vigun01g117700.v1.2 transcript:Vigun01g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDPSIMGSTSQGSEDHEEEDEQVREIHALTPTHPPHPRGRPWDTLHTHRSSSLSVASTDGGASSSDNFTTMSREFNALVLAGSSIDPDNNRNLTPVNQNNVNNNLGRIREDELMEETNPLAIVPDNHPLDPVPSSQMGGGAREEHVSVQRVKKEEVDTKISAWQNAKVAKINNRFKREDAVINGWESEQVQKASSWMKKVERKLEEKRARAMEKMQNDIAKAHRKAEERRASAEAKRGTKVARVLEIASLMRAVGRAPAKKSFF >Vigun06g084800.1.v1.2 pep primary_assembly:ASM411807v1:6:21710846:21717283:1 gene:Vigun06g084800.v1.2 transcript:Vigun06g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLTNSLSSPLPKKLVPFSSQSLILHHPFYFAKPKPLIRFARRTGPPLVLAAVGQAEPNHLPQTNAPQVRPEEEEDLPSKSQQQGSQLRNRVVFGLGIGIGVGGVVLAGGWVFATAIAAAVFTGAREYFELVRSRGITEGMTPPPRYVSRVCSVICALMPLFVMYRGHVDVSVTSAAFVLATALLLQRGSPRFAQLSSAIFGLFYCGYLPSFWVKLRCGLAAPALNTRQGATWPILLGGQAHWTVGLVATLITISSIIAADTFAFLGGKAFGRTPLTSISPKKTWEGTIIGFCGCIVTSVVLSKVFSWPISLSSAIALGVLSFLGSVFGDLTESMIKRDAGVKDSGTLIPGHGGILDRADSYLFTGALAYNLINTFLPLYGV >Vigun03g123300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11607612:11609531:1 gene:Vigun03g123300.v1.2 transcript:Vigun03g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLLFRIIILVIILIIIPIAITKAQTQSFECPRFSSTCIKHLKLEGSASTTGSAIQLTEDNAMDLSTSFGSAGRVTYAERINLRDKNSNEQRDFITNFSFVVSSSNQSIYGGGLVFFLASRNLPSTDRVGGSFGVGLTDGYLELFQLGYRFLGVEFVTFRNPFHQPDAEVAINIINMKSRINERWRIHIAQGKVCNCSIVYDSRNSILNVSYTGYKIRDGKVKKTTQYLSYSVDIRQELPDSVVVGISAATGVFSEQHKLLSWSFSTTPPSDDEEKEIKAVIITLEGIGIGAVLFLSLFGMVMISLRMMAKRKEVGHTSDPKRGDELEMSTGPKKIRYHELATATNNFDETNKLGQGGFGGVYKGYFKDSNTYAAIKRISADSKQGVKQYIAEVTIISQLRHKNLVKLTSWCHKKNDFLLIYEYMENGSLDSHLFRGESILAWQVRYNIALGLASALLYLQEEWEKCVLHRDIKSSNVMLDSNFNPKLGDFGLARLVDHDKGSETTDVAGTMGYLAPEYMNTGQARKESDIFSFGVVLLEIATGKKAIHHKHMEGEVSVVEWVWKLYELRNVIAAADENLGGEFDVQQMECLLVVGLWCANPDSASRPVIRQVINVLNSESSLPILPQQIPVQGCS >Vigun03g054000.1.v1.2 pep primary_assembly:ASM411807v1:3:4409913:4411020:1 gene:Vigun03g054000.v1.2 transcript:Vigun03g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNWLAVLKNGVGGNKAAYATATAPKMKAYAASADYGRLNQQKRNSTKQDFVAVYVAIGMITTAMGLGLHTAWQQLRNSPTVRVKKQRRETLPEVVEPEHVAEETEKFIKHSFFRKVAHVQERSYPDHHHIPNPVSKDAYAHTPRLETLKSVGIDPSHL >Vigun11g151200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36159402:36161079:-1 gene:Vigun11g151200.v1.2 transcript:Vigun11g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGRRKTINPNPLLHYANNGSQFHTHKPRPNERPTPPKLRRRIPFVTEVKTVEDPEEALSLFHRYKEQGFRHYYPSYAALLYKLARSRMFEAVETILAHMKDTDMQCRESVFIALFQYYGPQKAVELFNRMPQFNCVRTIQSFNALLNVLVDNDRFDEANDVFGRSYEMGFRPNTVTFNIMTKGWLRKGEWGKACEVFDEMLQKRVQPSVVTYNSLIGFLCRKGDLDKAMALLEDMGQKGRRANEVTYALLMEGLCSVEKYDEAKKLMFDMAYHGCKPQPVNFGVLMNDLGKRGKVEEVKSLLHEMKKRRLKPDVVTFNILINYLCKEGKAVEAYKVLFEMQIGGCEPNAATYRMVVDGLCRIGDFEIGLNVLNAMLTSRHCPRSETFNCLVVGLLKSGNIDGACFVLEQMEKRKVEFDLGSWETIIKFACIEDKGVDELMTVLTSS >Vigun11g117000.1.v1.2 pep primary_assembly:ASM411807v1:11:32223223:32232928:-1 gene:Vigun11g117000.v1.2 transcript:Vigun11g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITTSSSSSSSLLGATTPKLLSSSPSRTFAFPPSFIPYSSCNSLRSYLPRWSHRLHHVSPLSLRPPIRAVAPAVERFHREIANTGNENPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVKKEDVEKILAWEINSTKQVEIPFKPARVLLQDFTGVPVVVDLACMRDAMNKLGNDSNKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLYGKMRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKLFVDYNEPQQDRVYSSHLELNLSDVEPCISGPKRPHDRVPLKEMKADWHACLDNKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKASELGLQVKPWVKTSLAPGSGVVTKYLHQSGLQKYLNEQGFHIVGFGCTTCIGNSGELDESVSSAISGNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGENGKNVYLRDIWPSTEEIAEAIQSSVLPDMFRSTYEAVTKGNPMWNQLQVPADSLYSWDPNSTYIHEPPYFKNMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVEPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGDVGPKTIHVPTGEKLYVFEAATRYMASGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADILGLTGHERYTIDLPSTIREIRPGQDVRVTTDNGKSFTCTMRFDTEVELAYFNHGGILPYVIRNLIKD >Vigun01g173400.1.v1.2 pep primary_assembly:ASM411807v1:1:35537888:35542116:-1 gene:Vigun01g173400.v1.2 transcript:Vigun01g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMSVAAEKIGTAARRQAVSLTDAAASRIRQLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGRFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFVNPNSKGQCGCGESFMTTGSSGSSKS >Vigun06g005550.1.v1.2 pep primary_assembly:ASM411807v1:6:3006652:3009681:-1 gene:Vigun06g005550.v1.2 transcript:Vigun06g005550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTWHNVTESSRITTKHSFSTLSFVAEIFIFLYVGMDALDIEKWKFVNDSPGTSIAASSVLLGLILVGRAAFVFPLSFLSNLAKKSPNEKISFRQQVIIWWAGLMRGLFQWHLNIIRVIL >Vigun03g432900.2.v1.2 pep primary_assembly:ASM411807v1:3:63694936:63697627:1 gene:Vigun03g432900.v1.2 transcript:Vigun03g432900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQKCVLKVNIHCDGCRQKVKKILQKIDGVFTTQIDAEQGKVTVSGNVDPNLLIKKLAKSGKHAELWGAAPKPSNNHNNNQNHPANANQVKNMQIEHLKGMGNHNQNNKGQNPKGGGGGGNNQPKGGGGGGQQQGPNPQQQLQLQQQQQLQQLQQQQQLQQQQRLQQQLQQLQQMKGFQDLNIPQFKDLKLPPHNPNPKAVKFDIPEDDEDFTEDDELDDFDDDDEFDEEFDDDDEDDVMDELPPNKMKLPPQMMNVPKAVNNVAGKKGPGPVPFQAHGGGQKGGGGGGVSMGGGHQGGGNKSGNNGGGKNGGGALEGKNGGGGNKNNNGGGGGGGNIHNNMNMNGGKKGNPMGGVGVQPPMNPGFRNMGGGGGPHAMSGPNVGPMNMSMQNIPAVQGLPAASVNGGGYFQGGGGPNGMAGNPFQQQQLLQHQQQLQQQQQQLQHQQQLQQQQLQQQQMGGMMGNERFQPMMYARPPPAVNYMYPPYPYPPPDPYTHFFSDENTSSCNVM >Vigun03g432900.1.v1.2 pep primary_assembly:ASM411807v1:3:63694936:63697627:1 gene:Vigun03g432900.v1.2 transcript:Vigun03g432900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGHLWFCHSSLLNMKLLFIFCLGLWDFLCMQKCVLKVNIHCDGCRQKVKKILQKIDGVFTTQIDAEQGKVTVSGNVDPNLLIKKLAKSGKHAELWGAAPKPSNNHNNNQNHPANANQVKNMQIEHLKGMGNHNQNNKGQNPKGGGGGGNNQPKGGGGGGQQQGPNPQQQLQLQQQQQLQQLQQQQQLQQQQRLQQQLQQLQQMKGFQDLNIPQFKDLKLPPHNPNPKAVKFDIPEDDEDFTEDDELDDFDDDDEFDEEFDDDDEDDVMDELPPNKMKLPPQMMNVPKAVNNVAGKKGPGPVPFQAHGGGQKGGGGGGVSMGGGHQGGGNKSGNNGGGKNGGGALEGKNGGGGNKNNNGGGGGGGNIHNNMNMNGGKKGNPMGGVGVQPPMNPGFRNMGGGGGPHAMSGPNVGPMNMSMQNIPAVQGLPAASVNGGGYFQGGGGPNGMAGNPFQQQQLLQHQQQLQQQQQQLQHQQQLQQQQLQQQQMGGMMGNERFQPMMYARPPPAVNYMYPPYPYPPPDPYTHFFSDENTSSCNVM >VigunL021901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:5856:6074:1 gene:VigunL021901.v1.2 transcript:VigunL021901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun02g016501.1.v1.2 pep primary_assembly:ASM411807v1:2:5948666:5950027:1 gene:Vigun02g016501.v1.2 transcript:Vigun02g016501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKEKCLPTHQPPKQILPSFLAILFVFLNSIEKKDDCNYFAWALEIGLWLNGLGYKTHLTTSAKFIPIENKTMDKD >Vigun05g086301.1.v1.2 pep primary_assembly:ASM411807v1:5:8196659:8197959:1 gene:Vigun05g086301.v1.2 transcript:Vigun05g086301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSVTISRKLLSLLCYNWVERRGGFHIGGQVVEFNLLDVCLGLGLRVLVERIDLNETILDNDSLNIFGSETVTVKLIYDYLLKYDDDVGGVEVFCRIYILLGISKFLLPNKKGSVFPIIFKIVDDMDNIDKYNWGTLVYEYLVSSLCIASLGLKNESSAKHFDVVGCFRCRMNKFPRLLHWMNVRVGDKVIRSAFDKNMCVVDVSVSDEELHHDVVKEAFKQFGTGYRNEEKNDNEEVLRLIEYEEGEIAGMEHSIFELEEMVAKCTGEMCLMMTMMEMTKWLYDPPTKPNQDEDVVVNDDGGQHSNMYDRMKAQPRRRFKSVVTRTPFSVYRNKKNLKLK >Vigun09g163400.1.v1.2 pep primary_assembly:ASM411807v1:9:33095077:33098087:-1 gene:Vigun09g163400.v1.2 transcript:Vigun09g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKVETLGRLAQWKIDNIGLCSYQKSDPFKVGIWNWYFSIVRNKYLFIHLFPEPSPVSKDQPPFARFVLRVSNSGSSRSFHISPVQEKLLRTHDDFVWSVETTFVGRYTIDVEFLDLKINGEETSSVWLSDGNVQSVASQSSSLRVLSRMLDEAKHADLTIMTADGSLRAHKAVLSASSPVFQSMFHHNLKEKESSTIHIEDMSLESCTALLSFLYGTINKKDFSKHRLALLGAANKYDIGGLKDICEESLLEGIHSRNVLEMLHEAWLYQLPKLKNGCFVYLFEFGKVHDMKDEMNIFFQNADRELMLEMFQELLSVSKPCKS >Vigun03g333000.1.v1.2 pep primary_assembly:ASM411807v1:3:53105867:53110379:1 gene:Vigun03g333000.v1.2 transcript:Vigun03g333000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVEQSTVAIKEKFGRFEEVLQPGCHCMPWFFGKRLAGHLSLRLQQLDLRCETKTKDNVFVTVVASIQYRALAEKANDAFYKLSNTKSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIDPDEHVKRAMNEINAAARLRVAANEKAEAEKILLIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASHQ >Vigun03g333000.3.v1.2 pep primary_assembly:ASM411807v1:3:53105867:53110379:1 gene:Vigun03g333000.v1.2 transcript:Vigun03g333000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVEQSTVAIKEKFGRFEEVLQPGCHCMPWFFGKRLAGHLSLRLQQLDLRCETKTKDNVFVTVVASIQYRALAEKANDAFYKLSNTKSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIDPDEHVKRAMNEINAAARLRVAANEKAEAEKILLIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASHQ >Vigun03g333000.6.v1.2 pep primary_assembly:ASM411807v1:3:53105798:53110379:1 gene:Vigun03g333000.v1.2 transcript:Vigun03g333000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVEQSTVAIKEKFGRFEEVLQPGCHCMPWFFGKRLAGHLSLRLQQLDLRCETKTKDNVFVTVVASIQYRALAEKANDAFYKLSNTKSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIDPDEHVKRAMNEINAAARLRVAANEKAEAEKILLIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASHQ >Vigun03g333000.7.v1.2 pep primary_assembly:ASM411807v1:3:53105867:53110379:1 gene:Vigun03g333000.v1.2 transcript:Vigun03g333000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVEQSTVAIKEKFGRFEEVLQPGCHCMPWFFGKRLAGHLSLRLQQLDLRCETKTKDNVFVTVVASIQYRALAEKANDAFYKLSNTKSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIDPDEHVKRAMNEINAAARLRVAANEKAEAEKILLIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASHQ >Vigun03g333000.2.v1.2 pep primary_assembly:ASM411807v1:3:53105767:53110379:1 gene:Vigun03g333000.v1.2 transcript:Vigun03g333000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVEQSTVAIKEKFGRFEEVLQPGCHCMPWFFGKRLAGHLSLRLQQLDLRCETKTKDNVFVTVVASIQYRALAEKANDAFYKLSNTKSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIDPDEHVKRAMNEINAAARLRVAANEKAEAEKILLIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQASHQ >Vigun09g084900.1.v1.2 pep primary_assembly:ASM411807v1:9:10847763:10850342:1 gene:Vigun09g084900.v1.2 transcript:Vigun09g084900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPKAATDDVKIDLFEDDDEFEEFEIDDEWDDKEEGKEVTQQWEDDWDDDDVNDDFSLQLKRELGSNTDKN >Vigun01g130200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30788367:30788774:-1 gene:Vigun01g130200.v1.2 transcript:Vigun01g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTSSNSKVHDQVITRVVSTEPGYGMGRSSAKPKADTLGTWKGQHGSRVYSDDTPLDNDEAFNTFIRHAKYKIRTLSHIGREQSNVAPAPSSDDDEANGRDNQNNEFSDFIQSAKKKLRSTSSKRRNGSFKRG >Vigun09g068800.1.v1.2 pep primary_assembly:ASM411807v1:9:7336380:7348077:-1 gene:Vigun09g068800.v1.2 transcript:Vigun09g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGDLYTDVLRPFASPSSSASQPHQSSPAPSSIDLNLNLNAAQIPGDASLDISPKSNQLPLPPSDTQEPPPAAVEEPTKIPDAEPQPDSNLDSADAGIDPIDRDVKFDIEEEDDGGDGSPVIPGLAGGEAPAEEGGEGDDWDTDSEDDLKIVLNENNHMAMERGGMGEGDEEEEDGDEELVIVAGGDPNQSVEEQEWGENAALAAGDGERKDAAGELAKAGGAVAPKIGYSSHGYHPFHSQFKYQYVRPGATLMPGATSSAPGGAPGQIRPLANMAGRGRGDWRPPGLKVPAAMQKGFHGGPGLPGWGSGTAGRGFGGGLEFTLPSHKTIFDVDIENFEEKPWKYPNVDTSDFFNFGLNEESWKDYCKQLEQLRLESTMQSKIRVYESGRTEQEYDPDLPPELAAATGIHDVPVENANSQKSDVRQSDVMKGSGTGRVRPPLPTGRAIQVEGGYGDRLPSIDTRPPRVRDSDAIIEIVLQDTEDDHSSAGFAQDPPDGGEPHRENFREDHVAGDEIPRLEPEYFDDGFPQDYSGRKKELPGRRMPFINSSPANTANGDEKLLFPQEEPIEYSGSRGQNHRSYGGNFSSSHDERKMQRRVRGQSPPITPIQELAADNKKEESVESMEGKHNTLSSPVIKDVRESSVEDKDTELEDTGTADGSSKLEKDETVDKVENLEDGVAKRQKLTSRVEQHLLDEVDDWEDSKAAKSSDNSKARSASSRDNHKRREGFEEEVVQDPRSAHLSSIRQHPDEIEQGFYRREHDAKQEPERNRMIIKGRERPYSYKDRHLSLGPQLHTNNDGFDGQKERDNSDMDWARRDDDLYNRRVRNDEPRKRDRAKVRENERNDKEDNLHSRKLLDNGSYRVSYEKDVGSRDSRHRERDDGLRMRYEAVEDYHGKRRKDEEYLRREHIDKEEILHGFRENASRRRRDRDEALDPRKRDDLQRTRDNPDDQYAARQKDEAWVLRERGDRQRDREDWHRMKQSHEELLPKREREGRSSVRSGRGAEEKAWVGHVRAKDEHKLSEKEYQSREAMRHNDQMKRRDRIQDESPHHKGRDDASVRGNQYATEERRSRQERSSSRSDRVANASDNQKVKHREGSRKSKERDVSDPNSLGVSKRNQENQSGPTHEKGLKGSGDEDRAEHDILGHHPSRKQREDVSSDDEQLDSRRGRSKLERWTSHKERDFSINKSSSSLKFKDIDKDNNNNGGSSEAGKPADDPAKTVDVNNQHVLSAEARDSADMENKDADAKEMGDRHLDTVERLKKRSERFKLPMPSEKEALVIKKLESEPLPSAKSENPVDSEVKQERPARKRRWVTN >Vigun03g242800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40513963:40516055:1 gene:Vigun03g242800.v1.2 transcript:Vigun03g242800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSLMGWFNGVEYSRIEELSSPRENHTRKVSVLPLVFLIFYEVSGGPFGVEDTVHAAGPLLALLGFLVFAVIWSVPEALITAEMGTMFPENSGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGVPRTISTWALTVVLTCLNYRGLTIVGNVAVFLGVFSLLPFVVMGFLSIPDLKPSRWCVANLADTDWNLYLNTLFWNLNYWDSISTLAGEVENPRRTLPRALFYALILVVVGYFFPLLIGTGAVPLNRELWSDGYFSEIAEIVGGVWLRWWLQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSRYGTPIVGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFVAFILLRMKHPNASRPYKIPGGIGVAVAICIPPTILICVVLALSTNKVLVISLIAVVIGLVMQPCLKILEEREWLKFSVRYELQDLHNQDSMPSFVG >Vigun08g207500.1.v1.2 pep primary_assembly:ASM411807v1:8:37026665:37027668:1 gene:Vigun08g207500.v1.2 transcript:Vigun08g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMQKIEIEVPLHCGRCKKKIMSICTTADGVCSVSFQREGKDKVVIKGEGVDAAGVTACLREKVNKYAKLISVAKDT >Vigun01g051500.1.v1.2 pep primary_assembly:ASM411807v1:1:8458932:8460780:-1 gene:Vigun01g051500.v1.2 transcript:Vigun01g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLSFWPSPYGARVRIALAEKGINYEYKEEDLKNKSSLLLQMNPVHKKVPVLIHKGKPICESLIAVQYIDEVWNHTNPLLPSDPYQRAQARFWADYVDTKISELGKAARSKGEERKAGFKGLIEFLKLMEKELGEKSFFGGENLGFVDIALVTFYPWFKAYDTFVPLKIDDECPKYIAWAKRCLQKESVVNSLPDQHMVHEITKKNLGIE >Vigun09g033100.1.v1.2 pep primary_assembly:ASM411807v1:9:2860178:2866752:-1 gene:Vigun09g033100.v1.2 transcript:Vigun09g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQQGVVKKKETRGRKPKPKDDKKDEHSKTKEGRKTQQYQQQQQQQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLVWDVENQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKTVVLWSIEDHITSAATGGSIIKPNSKSGETNDKTAESPSVGPRGIYCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGSSPVVKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRMFDRRNLTTNGVGSPIHKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYEKVGKKIERSGKSISAPPGLFFQHAGHRDKVVDFHWNAYDPWTIVSVSDDCESTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVVACASKSEK >Vigun10g081675.1.v1.2 pep primary_assembly:ASM411807v1:10:22958906:22960958:-1 gene:Vigun10g081675.v1.2 transcript:Vigun10g081675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDLINDIDGKRETLKLGVRITYLWIVQNRDSTKHIQMILVDHKGNVIPAFVKKEDVGLWEDKLVEGQTYVMHNFKILKNHGQYRVCEHPYKLMFIGATTIKLQEIANVPIRVGSIIGCTLWDNYATKFLSHWNEKQNSDTTIVILIQAKIKAPSGNSITWPVLISNSWHGSKLFTDDDIPEIREFMEKYIEMPLSEISQSHHQTQMPTSSQYSKQERFMFKAIVKSISEINAIKEEECNKCDKYNDNLVLKYKLEVQVCYGKDYANFVLWDQDCASIIGMSTANLRKSMIEVGEDDPKCFPNDLDVILGCTLAFKVKVQSRNISMSVMKVSNDVEIIEEIKGQLQPEQV >Vigun01g124900.1.v1.2 pep primary_assembly:ASM411807v1:1:30173982:30178591:1 gene:Vigun01g124900.v1.2 transcript:Vigun01g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKGIQIQICGLVSQRWIQKPRTFSSFSFSPIPHPNPYSKLLPTKTIVRRVRFAPFHASSTPLPSAGNVRHIKFCQWCGGSTKHDIPEGEEKLRAICTVCGKIAYQNPKMIVGCLVEHDNKVLLCKRNIQPSHGLWTLPAGYLEIGESAVDGAIRETREEANADVEVISPFAQLDIPLIGQIYLIFLARLKKPHFSPGPESSACQLFPLDDIPFDSLSFSSMVVTLSLYVEDIKTGKLKFHYGTINKRPGTSPSDIRAYTLDHHMQS >Vigun01g124900.2.v1.2 pep primary_assembly:ASM411807v1:1:30173982:30178591:1 gene:Vigun01g124900.v1.2 transcript:Vigun01g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKGIQIQICGLVSQRWIQKPRTFSSFSFSPIPHPNPYSKLLPTKTIVRRVRFAPFHASSTPLPSAGNVRHIKFCQWCGGSTKHDIPEGEEKLRAICTVCGKIAYQNPKMIVGCLVEHDNKVLLCKRNIQPSHGLWTLPAGYLEIGESAVDGAIRETREEANADVEVISPFAQLDIPLIGQIYLIFLARLKKPHFSPGPESSACQLFPLDDIPFDSLSFSSMVVTLSLYVEDIKTGKLKFHYGTINKRPGTSPSDIRAYTLDHHMQS >VigunL011100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:19033:20919:1 gene:VigunL011100.v1.2 transcript:VigunL011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcL MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun07g042200.1.v1.2 pep primary_assembly:ASM411807v1:7:4155461:4158793:-1 gene:Vigun07g042200.v1.2 transcript:Vigun07g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSKIDPLPMSLLDLPQSTLDFILKCLSPKDLFTMSKVCVFLKRKCQSDSFWENHIKEKWGRVISDAVYKEWKWHIAIAKNGVLLKNQHTNQTGSMGSFIGVWPNMCLCSYLEDFKFLNGEQSNNFMMSLYISLESGRFWFPAQVYKGLMINNALVNYDSRSNTFQARDQNGSWKLIGKNIEWDMVRAPSLQISPYLLHVSHSLQNLKPEDHIEIQWRPNAQSPYDWFYGVIGHLESCNENCCECVYSDTLIVEFRQFPKVSNLRRIKLCRRKKEDQNDGIGGYCGGIRKLENEDEIKKWNNLLLQLQMIHVLHLSPTGPPIIGHVQRL >Vigun09g098925.1.v1.2 pep primary_assembly:ASM411807v1:9:15729169:15730315:-1 gene:Vigun09g098925.v1.2 transcript:Vigun09g098925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILFAGNKLMHKQKRLIGKINRIIFSPLYTISNNLGNNAYVIEFLTSRIFIRRLCFKDFSHIFAVSN >Vigun01g218900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39281511:39283965:-1 gene:Vigun01g218900.v1.2 transcript:Vigun01g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGTSSYWCYRCSRFVRVFRQDAVVCPDCDGGFIEEIEHPPRSVHLDPRRRRFPAAAMYMIGQRPASDNAARPALRRTRRNGGDRSPFNPVIVLRGSAAATSATSEEESRGFELFYDDGAGSGLRPLPPSMSEFLLGSGFDRLLEQLSQIEINGIGRYEHPPASKAAIDSLPTIEIDDTHLAMESHCAVCKEAFELGTAVREMPCKHIYHPECILPWLALHNSCPVCRHELPADSSDANSNANSNPPQNVGLNEEENVGLTIWRLPGGGFAVGRFSGGRRGAERELPVVYTEMDGGFNNGGEPRRISWSSRGNRGRESGGLNRFFRNLFGCFRGGVGSSGSSTTQRSASSRESMRASSSRSSMDPSPRSRRTWSMDVNSGMRAW >Vigun04g156000.1.v1.2 pep primary_assembly:ASM411807v1:4:37766070:37769454:-1 gene:Vigun04g156000.v1.2 transcript:Vigun04g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTSSFCISNLKTVFVGETNKLRVSSIPGAQAGFVRKTVECKESRIGKQRITVPSNVTVNLEGQDIQVKGPLGELALTYPREVLVERDESGTIRVKKAVETRRANQMHGLFRTLTNNLVVGVSQGFEKKLQLVGVGYRAMVEGNAVVLNLGFSHPVKMEIPSGLKVKVEENTRITVSGFDKSEVGQFAASIRKWRPPEPYKGKGVKYADEIVRRKEGKAGKKK >Vigun04g119900.1.v1.2 pep primary_assembly:ASM411807v1:4:30743456:30744217:1 gene:Vigun04g119900.v1.2 transcript:Vigun04g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRPNHLLRKIKKLNTKAEKDIILTWSRASTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFSPTLNFRGHAKNDNRSRR >Vigun07g210100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33181549:33182687:-1 gene:Vigun07g210100.v1.2 transcript:Vigun07g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETASTTKPMENVPSLIYKNPIRRSSRRSTMYLGVRKRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAIAYDLSSINICGINARTNFHYPFLSLPPPPSISLPPPPPPPTPEELHQSVGVCPEMNSVFDGDDESLVIASILQSFSNSGNCSF >Vigun09g049400.1.v1.2 pep primary_assembly:ASM411807v1:9:4858255:4862889:1 gene:Vigun09g049400.v1.2 transcript:Vigun09g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAEPKKKGRGVPWLWVLISLVAVFVAMAFSSKTSPRISLSGAIDRACQCARGAPKFSGMVEDCCCDYETVDHLNEEVLNPSLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPESFKKPHGLSMNDLVCQESQPQAAVDRTLDSKVFRWTEIDNPWTNDDETDNDEMTYVNLQLNPERYTGYTGDSARRIWDAVYSENCPKYPSQELCQEEKILYKLISGLHSSISIHIAAEYLLDEASNLWGQNLTLMYDRVLKYPDRVSNLYFTFLFVLRAVTKAAEYLEQAQYDTGNHNEDLKTQSLIKQLLHDPKLQSACPIPFDEANLWRGQSGPELKQKIQQQFRNISALMDCIGCEKCRLWGKLQVLGLGTALKILFSVDGQENSSQTLQLQRNEVIALMNLLNRLSESVKFVHEVGPAADSVMEGHVSAHKTLINAWKNIWSFVSKT >Vigun09g049400.2.v1.2 pep primary_assembly:ASM411807v1:9:4858255:4862889:1 gene:Vigun09g049400.v1.2 transcript:Vigun09g049400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAEPKKKGRGVPWLWVLISLVAVFVAMAFSSKTSPRISLSGAIDRACQCARGAPKFSGMVEDCCCDYETVDHLNEEVLNPSLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPESFKKPHGLSMNDLVCQESQPQAAVDRTLDSKVFRWTEIDNPWTNDDETDNDEMTYVNLQLNPERYTGYTGDSARRIWDAVYSENCPKYPSQELCQEEKILYKLISGLHSSISIHIAAEYLLDEASNLWGQNLTLMYDRVLKYPDRVSNLYFTFLFVLRAVTKAAEYLEQAQYDTGNHNEDLKTQSLIKQLLHDPKLQSACPIPFDEANLWRGQSGPELKQKIQQQFRNISALMDCIGCEKCRLWGKLQVLGLGTALKILFSVDGQENSSQTLQLQRNEVIALMNLLNRLSESVKFVHEVGPAADSVMEGHVSAHKTLINAWKNIWSFVSKT >Vigun01g049900.2.v1.2 pep primary_assembly:ASM411807v1:1:7776329:7778760:1 gene:Vigun01g049900.v1.2 transcript:Vigun01g049900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVEPNHGSLTLSSIGFQTETKAENRSKSNKVKPFGSPSENLKSKGKPFDINEKKKKAIRVVEKQQIEFAPFAAKSFSELGLPHVLIERLEKEGFTVPTEVQSAAVPTILNNHDVIIQSYTGSGKTLAYLLPLLSVVGPLRGKGDDGGDENGKKLGIEAVIVAPSRELGMQIVREFEKVLGMDNKRVVQQLVGGANRTRQEDALKKNKPAIVVGTPGRIAELSASGKLRTHGCRYLVLDEVDELLSFNFREDMHRILEHVGRRSSADPSSNSAKNERQLIMVSATVPFSVVRAARSWGCDPLLVQAKKIVPLETFSPSEPVSLSRSSLPSSAMPSQAAVESLPPALKHYYCVTRLQHKVDVLRRCIHALDAKFVIAFMNHTKQLKDVVFKLEARGMTAVELHGDLGKLARSTTLKKFKNGEIQFIMHIGLAELVGLVGMVQC >Vigun01g049900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7776363:7778747:1 gene:Vigun01g049900.v1.2 transcript:Vigun01g049900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISSRFLLLVGESLHLRRALATSRSVLLHTSVTCMSQVEPNHGSLTLSSIGFQTETKAENRSKSNKVKPFGSPSENLKSKGKPFDINEKKKKAIRVVEKQQIEFAPFAAKSFSELGLPHVLIERLEKEGFTVPTEVQSAAVPTILNNHDVIIQSYTGSGKTLAYLLPLLSVVGPLRGKGDDGGDENGKKLGIEAVIVAPSRELGMQIVREFEKVLGMDNKRVVQQLVGGANRTRQEDALKKNKPAIVVGTPGRIAELSASGKLRTHGCRYLVLDEVDELLSFNFREDMHRILEHVGRRSSADPSSNSAKNERQLIMVSATVPFSVVRAARSWGCDPLLVQAKKIVPLETFSPSEPVSLSRSSLPSSAMPSQAAVESLPPALKHYYCVTRLQHKVDVLRRCIHALDAKFVIAFMNHTKQLKDVVFKLEARGMTAVELHGDLGKLARSTTLKKFKNGEVRVLVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRNGTVLTICEEPEVFVVRKLQKQLGIPIASCDFSEGKLLVTEEEKTVSTSTS >Vigun01g049900.1.v1.2 pep primary_assembly:ASM411807v1:1:7776363:7778749:1 gene:Vigun01g049900.v1.2 transcript:Vigun01g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISSRFLLLVGESLHLRRALATSRSVLLHTSVTCMSQVEPNHGSLTLSSIGFQTETKAENRSKSNKVKPFGSPSENLKSKGKPFDINEKKKKAIRVVEKQQIEFAPFAAKSFSELGLPHVLIERLEKEGFTVPTEVQSAAVPTILNNHDVIIQSYTGSGKTLAYLLPLLSVVGPLRGKGDDGGDENGKKLGIEAVIVAPSRELGMQIVREFEKVLGMDNKRVVQQLVGGANRTRQEDALKKNKPAIVVGTPGRIAELSASGKLRTHGCRYLVLDEVDELLSFNFREDMHRILEHVGRRSSADPSSNSAKNERQLIMVSATVPFSVVRAARSWGCDPLLVQAKKIVPLETFSPSEPVSLSRSSLPSSAMPSQAAVESLPPALKHYYCVTRLQHKVDVLRRCIHALDAKFVIAFMNHTKQLKDVVFKLEARGMTAVELHGDLGKLARSTTLKKFKNGEIQFIMHIGLAELVGLVGMVQC >Vigun03g387400.1.v1.2 pep primary_assembly:ASM411807v1:3:59343977:59350545:-1 gene:Vigun03g387400.v1.2 transcript:Vigun03g387400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFRTLRKAYGALKDSTKVGLAKVNSEYKDLDIAIVKATSHVEYPPKERHVRKIFYATSAHQPRADVAYCIHTLARRLAKTRNWIVAVKTLIVIHRILREGDPTFKEDLINYARRGRFLQISNFKDDSSPQAWDCSAWVRTYALFLEERLECFRILKYDIEADRLSKASPVKAKGHSRTRSLTKEGLLEQLPALQQLLYRLVACQPEGLAVSSYLIQYALALVLKESFKIYCALNDGIINLVDVFFDMPKYDAIKALHIYKRASQQADTLAEFYEYCKRLDLARNFQFPILRQPPASFLATMEEYVKEAPFNTSNRLEFHENDQSPKKEAEPKESEEAKTTEKPDEEEKGEEQVREDEPEPKEKEVELPPLISTDSTDDLLGLTEINPKARELEESNALALAIVPAEGNNSSNLALADTNGTSGWELALVTTPSNHTSQAPDRRMAGGFDPLLLDSLYEDEKARRQLQLRNAGYGYGEMDTHNPFNHHNQQDPFAMSNNIAPPANVQMAFMAQQQQQRQMMFQQHQQHNMMMAPYQYPQTQYHQQMPVMGSSNPFADPLPVPIHGYNSMNHQGNYNLI >VigunL024200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:49538:49699:1 gene:VigunL024200.v1.2 transcript:VigunL024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun05g060900.3.v1.2 pep primary_assembly:ASM411807v1:5:5244555:5247545:-1 gene:Vigun05g060900.v1.2 transcript:Vigun05g060900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTISCRLLFFLFWLHAVMTACEAGFTPDFHSANYCFPSVNFTANSTFDTNLRTLLSTLTSNTEINYGFYNFLQGQGTDKVNAIGLCRGDVKPDECRSCLNSSQTNLTQLCPNQKEAIKWEEKCMVRYSNISIFHTMEYSPSYQMHNVNNATDVDEFNKVLGGLLRNLRDKAAGGDSRRKYATDTAIVASFQTIYGLMQCTPDLSTQDCGDCLDWSISSIRKLSKDRVGAVVLRPSCNVRFEIYPFYDSTTILDPALPPASPSLHPHQEDHSNYLRTATIIVLPTVLVVLVLLIVISRYIRRKARKNLPSDGDGDEIEVVESLQFNLDTILVATNNFSDSNKLGEGGFGAVYQGKLSNGQEIAVKRLSRNSGQGDLEFKNEVILLAKLQHRNLVRLLGFSLRGREKLLVYEFVPNKSLDFFIFDPTKKAQLDWDTLYKIIKGIARGLLYLHQDSRLRIIHRDLKASNILLDEEMTPKISDFGTARLIVADHTQENTSRVVGTYGYMAPEYIMHGQFSVKSDVFSFGVLVLEIVSGQKNHGVRHEKNGEDLLSFAWRNWREGTVTSIIDQALKNSSQNEMIRCIHIGLLCVEEDLNNRPTMANVALMLNSCSISLPVPKKPAFLIDSATESLPNMSWDDNSWATKSTQSTARSAQESVNEASITELYPR >Vigun05g060900.1.v1.2 pep primary_assembly:ASM411807v1:5:5244555:5247545:-1 gene:Vigun05g060900.v1.2 transcript:Vigun05g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTISCRLLFFLFWLHAVMTACEAGFTPDFHSANYCFPSVNFTANSTFDTNLRTLLSTLTSNTEINYGFYNFLQGQGTDKVNAIGLCRGDVKPDECRSCLNSSQTNLTQLCPNQKEAIKWEEKCMVRYSNISIFHTMEYSPSYQMHNVNNATDVDEFNKVLGGLLRNLRDKAAGGDSRRKYATDTAIVASFQTIYGLMQCTPDLSTQDCGDCLDWSISSIRKLSKDRVGAVVLRPSCNVRFEIYPFYDSTTILDPALPPASPSLHPHQEDHSNYLRTATIIVLPTVLVVLVLLIVISRYIRRKARKNLPSEEDGDGDEIEVVESLQFNLDTILVATNNFSDSNKLGEGGFGAVYQGKLSNGQEIAVKRLSRNSGQGDLEFKNEVILLAKLQHRNLVRLLGFSLRGREKLLVYEFVPNKSLDFFIFDPTKKAQLDWDTLYKIIKGIARGLLYLHQDSRLRIIHRDLKASNILLDEEMTPKISDFGTARLIVADHTQENTSRVVGTYGYMAPEYIMHGQFSVKSDVFSFGVLVLEIVSGQKNHGVRHEKNGEDLLSFAWRNWREGTVTSIIDQALKNSSQNEMIRCIHIGLLCVEEDLNNRPTMANVALMLNSCSISLPVPKKPAFLIDSATESLPNMSWDDNSWATKSTQSTARSAQESVNEASITELYPR >Vigun05g060900.2.v1.2 pep primary_assembly:ASM411807v1:5:5244555:5247545:-1 gene:Vigun05g060900.v1.2 transcript:Vigun05g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTISCRLLFFLFWLHAVMTACEAGFTPDFHSANYCFPSVNFTANSTFDTNLRTLLSTLTSNTEINYGFYNFLQGQGTDKVNAIGLCRGDVKPDECRSCLNSSQTNLTQLCPNQKEAIKWEEKCMVRYSNISIFHTMEYSPSYQMHNVNNATDVDEFNKVLGGLLRNLRDKAAGGDSRRKYATDTAIVASFQTIYGLMQCTPDLSTQDCGDCLDWSISSIRKLSKDRVGAVVLRPSCNVRFEIYPFYDSTTILDPALPPASPSLHPHQEDHSNYLRTATIIVLPTVLVVLVLLIVISRYIRRKARKNLPSEDGDGDEIEVVESLQFNLDTILVATNNFSDSNKLGEGGFGAVYQGKLSNGQEIAVKRLSRNSGQGDLEFKNEVILLAKLQHRNLVRLLGFSLRGREKLLVYEFVPNKSLDFFIFDPTKKAQLDWDTLYKIIKGIARGLLYLHQDSRLRIIHRDLKASNILLDEEMTPKISDFGTARLIVADHTQENTSRVVGTYGYMAPEYIMHGQFSVKSDVFSFGVLVLEIVSGQKNHGVRHEKNGEDLLSFAWRNWREGTVTSIIDQALKNSSQNEMIRCIHIGLLCVEEDLNNRPTMANVALMLNSCSISLPVPKKPAFLIDSATESLPNMSWDDNSWATKSTQSTARSAQESVNEASITELYPR >Vigun09g112600.4.v1.2 pep primary_assembly:ASM411807v1:9:24564659:24568308:-1 gene:Vigun09g112600.v1.2 transcript:Vigun09g112600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGAHHRVMFVSQHEEDGTPSDTSKGEESNDEEEKIMKAEPSFVPEIKKRKRLSLSQLKEVKGESCGKQNSSKLKKKKNESRDRWSAERYQLAEHNMWEVLKTEGATFENPITRPALRLAARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGIMEYWLESADLDKVRQEAGVQDPYWIPPSTYRAGGAPSQNTDTSGELKQLKIELAQMKKDMHELISMKEEKKGINTMEENYKDFVKWKAMTDHRLTEIINSLKGVQGKYGELMIWKTKVEQQLAEITNKLNDLQASRECTTFSPPSERWKDWIESTNLDNIQEDAFATWIGNPELLNVPPEVIPEDFNTAIPTQPPNEELTKKSDMLELEPVKLEDQPNVTPDSSTTINSKSDLDNSLIMFQEMLMDLYKWKEKMEQQLMEVSNTVYGMLAMK >Vigun09g112600.1.v1.2 pep primary_assembly:ASM411807v1:9:24564658:24568335:-1 gene:Vigun09g112600.v1.2 transcript:Vigun09g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGAHHRVMFVSQHEEDGTPSDTSKGEESNDEEEKIMKAEPSFVPEIKKRKRLSLSQLKEVKGESCGKQNSSKLKKKKNESRDRWSAERYQLAEHNMWEVLKTEGATFENPITRPALRLAARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGIMEYWLESADLDKVRQEAGVQDPYWIPPSTYRAGGAPSQNTDTSGELKQLKIELAQMKKDMHELISMKEEKKGINTMEENYKDFVKWKAMTDHRLTEIINSLKGVQGKYGELMIWKTKVEQQLAEITNKLNDLQASRECTTFSPPSERWKDWIESTNLDNIQEDAFATWIGNPELLNVPPEVIPEDFNTAIPTQPPNEELTKKSDMLELEPVKLEDQPNVTPDSSTTINSKSDLDNSLIMFQEMLMDLYKWKEKMEQQLMEVSNTVYGMLAMK >Vigun09g112600.2.v1.2 pep primary_assembly:ASM411807v1:9:24564654:24568355:-1 gene:Vigun09g112600.v1.2 transcript:Vigun09g112600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGAHHRVMFVSQHEEDGTPSDTSKGEESNDEEEKIMKAEPSFVPEIKKRKRLSLSQLKEVKGESCGKQNSSKLKKKKNESRDRWSAERYQLAEHNMWEVLKTEGATFENPITRPALRLAARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGIMEYWLESADLDKVRQEAGVQDPYWIPPSTYRAGGAPSQNTDTSGELKQLKIELAQMKKDMHELISMKEEKKGINTMEENYKDFVKWKAMTDHRLTEIINSLKGVQGKYGELMIWKTKVEQQLAEITNKLNDLQASRECTTFSPPSERWKDWIESTNLDNIQEDAFATWIGNPELLNVPPEVIPEDFNTAIPTQPPNEELTKKSDMLELEPVKLEDQPNVTPDSSTTINSKSDLDNSLIMFQEMLMDLYKWKEKMEQQLMEVSNTVYGMLAMK >Vigun09g112600.3.v1.2 pep primary_assembly:ASM411807v1:9:24564654:24568355:-1 gene:Vigun09g112600.v1.2 transcript:Vigun09g112600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGAHHRVMFVSQHEEDGTPSDTSKGEESNDEEEKIMKAEPSFVPEIKKRKRLSLSQLKEVKGESCGKQNSSKLKKKKNESRDRWSAERYQLAEHNMWEVLKTEGATFENPITRPALRLAARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGIMEYWLESADLDKVRQEAGVQDPYWIPPSTYRAGGAPSQNTDTSGELKQLKIELAQMKKDMHELISMKEEKKGINTMEENYKDFVKWKAMTDHRLTEIINSLKGVQGKYGELMIWKTKVEQQLAEITNKLNDLQASRECTTFSPPSERWKDWIESTNLDNIQEDAFATWIGNPELLNVPPEVIPEDFNTAIPTQPPNEELTKKSDMLELEPVKLEDQPNVTPDSSTTINSKSDLDNSLIMFQEMLMDLYKWKEKMEQQLMEVSNTVYGMLAMK >Vigun02g088601.1.v1.2 pep primary_assembly:ASM411807v1:2:24325417:24330671:-1 gene:Vigun02g088601.v1.2 transcript:Vigun02g088601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQANGHHHHRVETVIDIRKPPVSFTGGLVFECLTYTVTKKAKVDGNWSSEEVDLLHDITSYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSLDGATMNASLIKRSSAYIMQEDRLFPMLTVYETFMFAADFRLGPLSLADKKQRVEKLIDQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAHSVIEKVHDIARSGSTVILTIHQPSSRIQLLLDHLIILARGQLMFQGSPQDVTLHLSRMPRKVPKGENPIESLIDVIQEYDQSEVGVEALAEFARTGVKPPPLSNHPHSVSTVAPSYANSSHLSHRFEEKSAEFSHSSQVSRRAMDDFDHSLRSPYNNTSMSWSTGNSAAFLKFTPARLKNDHKLHNTTRNNTSPGFYTYSSEIFQATPTPRSSDYTVNENDYLTPSNGTEEHLGPKFVNSYITEIWILIRRNFINIRRTPELFLSRLMVLTFMGFMMATMFHNPRKDLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNAYRASTYTIAGIITHTPFILLQAAVYAGIVWFALKLRGPFLYFLLVLFVSLLSTNSFVVFVSSVVPNYILGYAMVIAFTALFFLFCGYFLSSHDIPRYWRWMNTISTMTYPYEGLLMNQYQVNDTFGYMDGVPITGFQILESLHIKTDGRRKRTVVLIMFGWAVLYRVLFYLVLRFASKNQRS >Vigun08g119200.1.v1.2 pep primary_assembly:ASM411807v1:8:28662545:28666200:-1 gene:Vigun08g119200.v1.2 transcript:Vigun08g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRFPVPLVNSPSSSSPSPSFHSHSYYPPCHRSQTQGLAITKRGSVAAPARVALRDDAGDGTSLAGESPEPWPEELSPELMPKHVAVIMDGNGRWAKLKGLAPSAGHQAGVESLRRLVRLCCSWGIKVLTVFAFSTDNWVRPKVEVDFLLRLFERTINSEIDAFKREGIRISVIGDSSKLPESLQKLIAGAEEDTKQNSRLQLIVAVSYSGKYDVVQACRSVAQKVKDGELYVDDINENIIEQELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTELYFNRDLWPDFGKDEFVHALSSFQQRQRRYGGRNSSTIHTSSS >Vigun11g124300.1.v1.2 pep primary_assembly:ASM411807v1:11:33150635:33152776:-1 gene:Vigun11g124300.v1.2 transcript:Vigun11g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLIKGTSKMLDLTTFLFKHLLKVINIIFLISSFQNSAMAISSLISAAGRRLEGKVAMITGGASGIGEATARLFSKHGAHVVIADIQDHLGLSLSKELESASYIHCDVTNENDIENAVNTVVSKHGKLDTMFNNAGITGANKTSILDNTKSEFEAVINVNLVGVFLGTKHAARVMIPARRGSIINTASVCGSIGGVASHAYTSSKHGVVGLTRNSAVELGAFGVRVNCVSPYVVATPLAKNFFKLDDKGVLGVYANLKNAVLEPNDVAEAALYLASDESKYVSGHNLVVDGAFTVVNSGFCVFGQSS >Vigun11g036500.1.v1.2 pep primary_assembly:ASM411807v1:11:4895276:4903647:1 gene:Vigun11g036500.v1.2 transcript:Vigun11g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRRRGGATGGGEQLLAEEASGDLPWRLNVKEFQLPRQSHSHDHRNSPTYFTFHRLFRKPKKERKVAEYYKKQEKLLEGYNDMDSMTETGYFPGTLTEDEMKQLARSERLAVNVSNAANLVLFAAKVYTSIESKSLAVIASTMDSLLDLLSGFILWFTAYAMRNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQLITKSKPEMDSQELKWMIGIMASVTVVKFILMIYCRRFKNEIVRAYAQDHFFDVITNSVGLVAAVLAVKYSWWIDPMGAIIIAVYTISTWAKTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEEVKHIDTVRAYTFGAHYFVEVDIVLPEDMPLNQAHNIGETLQEKLEQLPQVERAFVHIDFEFTHRPEHKMML >Vigun11g036500.2.v1.2 pep primary_assembly:ASM411807v1:11:4895276:4903545:1 gene:Vigun11g036500.v1.2 transcript:Vigun11g036500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRRRGGATGGGEQLLAEEASGDLPWRLNVKEFQLPRQSHSHDHRNSPTYFTFHRLFRKPKKERKVAEYYKKQEKLLEGYNDMDSMTETGYFPGTLTEDEMKQLARSERLAVNVSNAANLVLFAAKVYTSIESKSLAVIASTMDSLLDLLSGFILWFTAYAMRNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQLITKSKPEMDSQELKWMIGIMASVTVVKFILMIYCRRFKNEIVRAYAQDHFFDVITNSVGLVAAVLAVKYSWWIDPMGAIIIAVYTISTWAKTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEEVKHIDTVRAYTFGAHYFVEVDIVLPEDMPLNQAHNIGETLQEKLEQLPQVERAFVHIDFEFTHRPEHKMML >Vigun01g130666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30860905:30863893:-1 gene:Vigun01g130666.v1.2 transcript:Vigun01g130666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNIEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKYEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun04g200900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42433956:42434490:1 gene:Vigun04g200900.v1.2 transcript:Vigun04g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKIMIILCLLVIVGVKLKGGGVSAVNLFPKKVTVQITNKLSMKQLDLHCKDKHHDLGLITLNVNETYRFRFYTQFFTLTTLYFCHFVWSNGDHHFDIYVQKRDLFCFHYRCSWEILENGPCQLAPGTRHCFMWN >Vigun05g179875.1.v1.2 pep primary_assembly:ASM411807v1:5:34135609:34137709:1 gene:Vigun05g179875.v1.2 transcript:Vigun05g179875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNRDGHVYLSEEKKILFGHFCKTKFIDAINNRLSVQQKHYIEGTPFWWFPMVTDSVKISRKLLSLLCYNWVKRMGGFRIGGQVVEFNLLDVCLGLGLRVLGERIDLNETISDSDSLNIFGGETVTVKLIYDYLLKYDDDVGGVEVFCRIYILLAISEFLLPNKKGSVFPIIFKIIDDIDNIGIYNWGTLEYEYLVSSLCIASLGLKKESATKHFDVLGCVYLLQLLSFDHLLLCNTKLTCQMNKFPRLLHWMNVRVGDKVITRAFHRNMCVVNVSVSDEELHHDVVKEAFQQFGTGYRNEEKKDNEERKWLQNVDPLNDGCADDVFDDDGDGDDEMFDDPPAKPHQDEEVVVNDDGGQHSNMYDRMKAQPRRRFKSVATRTHFSVYGNKRNAKLK >Vigun08g119501.1.v1.2 pep primary_assembly:ASM411807v1:8:28714525:28726194:1 gene:Vigun08g119501.v1.2 transcript:Vigun08g119501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGSEYFELGSLHSESFAMASNSETVAEDEEELQWAALSRLPSQKRINYALLRASSSRAQPPTQDLVDVRKLSRSRRELVVKKALATTDQDNFRLLCAIKERFDRVGLEVPKIEVRFRNLNVSANVQIGSRALPTLVNYSRDCFEGFLTKLRLCKPKRHSLTILNNISGVIKPGRMTLLLGPPGAGKTSLLLALAGKLDKTLKTTGSITYNGSDVDDFYVRRTSAYISQTDDHVAELTVRECLDFGARCQGAEEGFAAYTTELGRLEKERNIRPSAEVDAFMKASSVGGKKHSVNTDYVLKVLGLDVCSETIVGNDMMRGVSGGQKKRVTTGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCIKNFVHQMDATVLMALLQPAPETFELFDDLVLLSEGYVVYQGPRADVLDFFQSLGFQLPPRKGIADFLQEVTSKKDQAQYWADPSKPYVFVSVAEIAQAFKNSKFGKYMESLQSHPHDASKGHPSALARTKYAVPRWDLAKACFYRELLLIRRHSFLYIFRTCQVAFVGFVTCTIFLRTRLHPTDEVYGRLYLSALFFGMVHMMFNGFSELPLLISRLPVFYKQRDNLFYPAWAWSVTSWVLRVPYSVIEAVIWTAVVYYSVGFAPSAGRFFRYMLLLFLMHQMALGLFRMMAAIARDMVLANTYGSASLLIVFLLGGFIIPKAMIKPWWIWGYWLSPLTYGQRAITVNEFTATRWMKKSENGESTVGYNVLVSQSLPTADYWYWIGVSVLLVYSLFFNNMVTVALTFLNPPQKARTVILNDDDSEKKSSRDGSNQVYETSPRSKSAREDSNKKGMILPFQPLTMTFHNVNYFVDMPKEISKQGIPETRLQLLTNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGEIKISGYPKEQRTFARVSGYVEQNDIHSPQVTIEESLLFSSALRLPKEVGVAKRLEFVEQVMKLVELDALKSALVGMPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDDLLLMKRGGRVIYGGKLGVQSQIMVDYFQGIRGIPPIPNGYNPATWVLEVTTPATEEKLNVDFADLYRNSDQYRGVESSIMQFGHPPAGSQPLKFDTLYSLDLFSQFLRCIWKQNLVYWRSPSYNAMRLYFTTISALIFGTVFWDVGSKRESTQELFVVMGALYSACMFLGVNNSSSVQPIVSIERTVFYREKAAGMYSPIAYAAAQGLIEVPYIAVQTIVFGIITYFMINFERTPAKFFLYLVFMFLTFTYFTFYGMMAVGLTPSQHLAAVISSAFYSLWNLLSGFLIPKSSIPGWWIWFYYICPIAWTLRGVITSQLGDVETIIVGPGFQGSVKEYLAVTLGYDSEINGFSAVGLSVIVLLGFILLFFGSFAISVKVLNFQKR >Vigun09g234100.1.v1.2 pep primary_assembly:ASM411807v1:9:40481812:40484602:-1 gene:Vigun09g234100.v1.2 transcript:Vigun09g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAASKRKANAMVLVQKQHPKRHRVALAELPNLPNLIVPGTQNPSKEKSRGVKNLNAKKPSPKSDHSSSFHIDESIVSDLYEYLHEMEMQKKRRPMVDYLEKVQKLVTPTMRAILVDWLVEVGEEYKLLPDTLHLSVSYIDRFLSINPVTKSKLQLLGVSSMLIASKYEEMDPPCVNDFCSITDYTYDKAEVVKMEADILKSLNFEMGNPTVNTFLRRFADVASDNKLTTNSQIEFLGRYLAELSLLDYDLLRFLPSVVAASAVFLSRFIVSPEVHPWTPSLSECSGYKPSELKECVLTLHDLYLLRKAASFKAVRNKYKQQKFKCVANLPSPPYVLNCYFEDQ >Vigun09g234100.2.v1.2 pep primary_assembly:ASM411807v1:9:40481812:40484602:-1 gene:Vigun09g234100.v1.2 transcript:Vigun09g234100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAASKRKANAMVLVQKQHPKRHRVALAELPNLPNLIVPGTQNPSKEKSRGVKNLNAKKPSPKSDHSSSFHIDESIVSDLYEYLHEMEKKRRPMVDYLEKVQKLVTPTMRAILVDWLVEVGEEYKLLPDTLHLSVSYIDRFLSINPVTKSKLQLLGVSSMLIASKYEEMDPPCVNDFCSITDYTYDKAEVVKMEADILKSLNFEMGNPTVNTFLRRFADVASDNKLTTNSQIEFLGRYLAELSLLDYDLLRFLPSVVAASAVFLSRFIVSPEVHPWTPSLSECSGYKPSELKECVLTLHDLYLLRKAASFKAVRNKYKQQKFKCVANLPSPPYVLNCYFEDQ >Vigun11g149000.3.v1.2 pep primary_assembly:ASM411807v1:11:35880343:35884744:1 gene:Vigun11g149000.v1.2 transcript:Vigun11g149000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNAESEKPNGKGIPVHGGKYLRYNILGNVFEVYAKYAPPLQPVGRGAYGIVCCATNSETEEGVAIKKIGNAFDNRIDAKRTLREIKLLCHMEHDNIIKIKDIIRPAERENFNDVYIVYELMDTDLHQIIQSNQALTDEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFPGKDYVQQLALITELLGSPNDSDLGFLRSDNAKKYVKQLPHIEKRSFAERFPDTSPLAIDLAEKMLVFDPSKRITVEEALNHPYMASLHEINEEPVCPTPFIFDFEQTTLNEEDIKELIWRESLNFSQDQMLE >Vigun11g149000.1.v1.2 pep primary_assembly:ASM411807v1:11:35880343:35884744:1 gene:Vigun11g149000.v1.2 transcript:Vigun11g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNAESEKPNGKGIPVHGGKYLRYNILGNVFEVYAKYAPPLQPVGRGAYGIVCCATNSETEEGVAIKKIGNAFDNRIDAKRTLREIKLLCHMEHDNIIKIKDIIRPAERENFNDVYIVYELMDTDLHQIIQSNQALTDEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFPGKDYVQQLALITELLGSPNDSDLGFLRSDNAKKYVKQLPHIEKRSFAERFPDTSPLAIDLAEKMLVFDPSKRITVEEALNHPYMASLHEINEEPVCPTPFIFDFEQTTLNEEDIKELIWRESLNFSQDQMLE >Vigun04g025600.1.v1.2 pep primary_assembly:ASM411807v1:4:1977921:1982240:1 gene:Vigun04g025600.v1.2 transcript:Vigun04g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGGVRGGDDRFYIPVKARKNQNQRKPVQREKGGESESADSISDRELIASENGNPNESSYLLSKPSSVEPASNIDRFLESTTPLVPAQYLAKTTMRGWKTCDVEYQSYFALNDLWESFKEWSVYGAGVPLVLDQRQSVVQYYVPYLSAIQLYSQSDKKSNTKPRYTSEDSDGDYYRDSSSDGSSDSEFGKRTELFVAQGNGQYYKGDVSIQMSRLSVHDKHNRMQEGFYSDDSETGNPQDLLFEYFDHDPPYSREPLTDKILDLARHYPSLKSLRSCDLLPVSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTGNGSTQGPILVYPNDVDGVPKISLPTFAMASYKLKGSIWTQNGVRESQLANSLLQDADNWLRQLQVNHPDYQFFKSHGTYHR >Vigun04g025600.2.v1.2 pep primary_assembly:ASM411807v1:4:1978509:1982240:1 gene:Vigun04g025600.v1.2 transcript:Vigun04g025600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGGVRGGDDRFYIPVKARKNQNQRKPVQREKGGESESADSISDRELIASENGNPNESSYLLSKPSSVEPASNIDRFLESTTPLVPAQYLAKTTMRGWKTCDVEYQSYFALNDLWESFKEWSVYGAGVPLVLDQRQSVVQYYVPYLSAIQLYSQSDKKSNTKPRYTSEDSDGDYYRDSSSDGSSDSEFGKRTELFVAQGNGQYYKGDVSIQMSRLSVHDKHNRMQEGFYSDDSETGNPQDLLFEYFDHDPPYSREPLTDKILDLARHYPSLKSLRSCDLLPVSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTGNGSTQGPILVYPNDVDGVPKISLPTFAMASYKLKGSIWTQNGVRESQLANSLLQDADNWLRQLQVNHPDYQFFKSHGTYHR >Vigun03g367300.1.v1.2 pep primary_assembly:ASM411807v1:3:57076188:57078822:-1 gene:Vigun03g367300.v1.2 transcript:Vigun03g367300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKLTDYELKRLENIRRNDEMMAALKLHSRATQLSNFKRQRVEAKSTRVKLEKKPKTETPIVIRVRRSLRTRGIPPDFEGLDGDSVAPTAPSKEDPPSVVSLGPLSMANARESAHSDSSFIESLMGMAQDGNVPKGDVGGSLELKSLSLDPENIARVVSGRITNVSFFPSSSVNMIAAGNKFGNIGFWNMGESEVHLYRPHNAPISGILIQPHCCSKIYTSCYDGVLRLMDAEKEIFDLVFESDEKIYALSQPPNDTNCLYLAEGSGGLTIWDNRVGKRSSHWDLHDSRINTIDFNCQNPHIVATSSSDATACTWDLRYTNRKDLTALRTFTHKRSVQSAYFSPSGSSLATTSLDNTIGIHSGVNLEDTALISHFNQTGRWLSTFRAKWGWDDKYLFIGNMNRGVDVVSAVQRKIVMTLESPHMSAIPCRFDINCYEVGMLVGATSGGQLYVWTSR >Vigun03g416500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62335164:62336599:1 gene:Vigun03g416500.v1.2 transcript:Vigun03g416500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPFVALVVGITGLTGLSLVQALKQPNSPGGPWKVYGAARRPPPSLLPPPTVDHFITFDAVDSSDTCAKLSPIASEVTHLFWITFQIRAEEEVNIRINKTMLLNVLSALKSCASSKLAHVTVQTGTKHYMGPIFDPVLSTKLISHDPPFHESMARIPYPNFYYALEDLVASYAPSLTYSVHRSSIIIGASSRSVHNGLLTLATYAVICRHVGLAFRYPGTKYTWEHFCDMTDAGVLAQQHVWAAVTPEAKNEAFNCTNGDVFTWKSMWKLLCELFDVEFVPFDETQRFDWVEVMLDKGSVWKEIVEKYELHNTILEEITCYETVQAVLRFKFQHVSSMSKSREYGFFGHVDTFKSIRFWVEKLREMKIIPTYQQ >Vigun05g292751.1.v1.2 pep primary_assembly:ASM411807v1:5:47878530:47882068:-1 gene:Vigun05g292751.v1.2 transcript:Vigun05g292751.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPPGFNEGSVNVDGHLLALSLGLTSIIKKERYSFALHCSLLRSTALCCAPLLSCFIGQEVGSWKWRTMNDQSIQIQMLAENSTALFFDYVPSESDDVSKQGQTLPILKKILDLDTKIQDMKKHHIALSGEVRAASRYASTVVEVQVSSYFIVGSRSRVSSFILVL >Vigun07g127900.1.v1.2 pep primary_assembly:ASM411807v1:7:23558324:23565417:1 gene:Vigun07g127900.v1.2 transcript:Vigun07g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFCNQKSTTLSGVIPVLYIQPIHIYSSWIKITHRHIIAMVAPCPTSMMVRSKKTKGMGVPTIDLSLERSKLSEIVVKACEEYGFFKVVKHSVAKEVISRLEEEGTAFFSKSSSEKRQAGPANPFGYGCRNIGPNGDMGHLEYLLLHTNPLSVSERSQTIANDPTKFSCAVNDYIEAAKGLTCEILDLVAEGLWVQDKFLLSNLIKDVHSDSLLRINQYPPVSLNGNKNRDTSKLEPHHLHNQNNNNNNNNTTNNIGFGEHSDPQILTIMRSNNVDGLQISTHDGLWIPVPPDPNHFFVMVGDALQVLTNGRFRSVRHRVLTNATKARMSMMYFAAPPLNWWITPLPKMVTPHNPTLYKPFTWAQYKQAAYSLRLGDTRLDLFKIQRQQDTHLASASP >Vigun09g175700.1.v1.2 pep primary_assembly:ASM411807v1:9:34729548:34733950:-1 gene:Vigun09g175700.v1.2 transcript:Vigun09g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGTVAGIYTLSPPLSSFIPTRLFSRSHFAAKLRCPPSIRLRASSTAVIDTETNPTESVVVEKEVSNSSNPLACPVCYDSLTWTGGSGLSVDTISGSSFRCGTCQKKYVGNQTHIDLTATGGAKSYGETMPASTELFRVPLISFLYERGWRQTFSVWGGFPGPEKEFELMKGFLKPVLEGNIVDASCASGLFSRLFAKSQLFSFVVALDYSENMLQQCYEFIQQEENFPKENLILVRADISRLPFVSNSVDAVHAGAALHCWPSPLAAVAEISRVLRPGGVFVATTYILDGPFSIIPFRSTLRQNIRQASGSYIFLSERELEDLCRTCGLVGFKCIRNGLFVMISARKPS >Vigun09g130650.1.v1.2 pep primary_assembly:ASM411807v1:9:28892209:28892460:-1 gene:Vigun09g130650.v1.2 transcript:Vigun09g130650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLNLSLTSCSAYVAGEISFALIFYVLVTLAFLLTLAWRRERRLPLSIWVFLW >Vigun08g123500.1.v1.2 pep primary_assembly:ASM411807v1:8:29358565:29360844:1 gene:Vigun08g123500.v1.2 transcript:Vigun08g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSSSSVCVSLSERVKLGPSLSSSGPFFNPVNGVRFSKKNGGCGVVAKAMNQPILNTVFEPFEEVKKELLVIPTELHASLARQKYTDQSEAALNAQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKDASVEERQHAELMMEYQNKRGGKVQLQSMIMPFSEFDHAEKGDALYAMELALSLEKMNNEKLLNLHRLASENNDAQFVDFLESQFLVNQVEDIKKISEYVAQLRRVGTGHGVWHFDQMLQNGGGAA >VigunL039600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:355539:356525:1 gene:VigunL039600.v1.2 transcript:VigunL039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun01g236100.2.v1.2 pep primary_assembly:ASM411807v1:1:40750392:40757138:1 gene:Vigun01g236100.v1.2 transcript:Vigun01g236100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNSGSMQSSSTAGDEDYDSRADPSSSSSISAFLNNNPVPPPQPTTLTTHVPPFQTHVFDPLSNYLDPTQRSQSHPNASQILNLDMMWNTVARSEPDLAGLMPTSSSPSTHNNSSSQGFLLTQLGAGQSQPRGGGGGGGVNVAVSAFPTTLAPESASPRGGFEQNSTNNSNTNVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSHFPRTRLDLFASSNASSSALLRSASSHLEQQQPPSQTQTQTPSYLLRPFAHKVQAQPSSIPHNNAFSPMLNTLPSNNNSASTSTPIHYQHHSLNMHNPILSLQSILGNNDSSVLLGSKTQQQQQRQQPSLEITPGAVDSHLKMGGLEELGLSHAHVGDHHHHHHHQNMNLVTSSSDGALSRVNNNISINNNMRGPSSADWAQAQRIGGSNDGGVLRSLSGGTGTATATLNYRSSVSDFHGEKGAPECAVAARSEENVQCAA >Vigun01g236100.3.v1.2 pep primary_assembly:ASM411807v1:1:40750555:40757138:1 gene:Vigun01g236100.v1.2 transcript:Vigun01g236100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNSGSMQSSSTAGDEDYDSRADPSSSSSISAFLNNNPVPPPQPTTLTTHVPPFQTHVFDPLSNYLDPTQRSQSHPNASQILNLDMMWNTVARSEPDLAGLMPTSSSPSTHNNSSSQGFLLTQLGAGQSQPRGGGGGGGVNVAVSAFPTTLAPESASPRGGFEQNSTNNSNTNVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSHFPRTRLDLFASSNASSSALLRSASSHLEQQQPPSQTQTQTPSYLLRPFAHKVQAQPSSIPHNNAFSPMLNTLPSNNNSASTSTPIHYQHHSLNMHNPILSLQSILGNNDSSVLLGSKTQQQQQRQQPSLEITPGAVDSHLKMGGLEELGLSHAHVGDHHHHHHHQNMNLVTSSSDGALSRVNNNISINNNMRGPSSADWAQAQRIGGSNDGGVLRSLSGGTGTATATLNYRSSVSDFHGEKGAPECAVAARSEENVQCAA >Vigun01g236100.1.v1.2 pep primary_assembly:ASM411807v1:1:40750390:40755877:1 gene:Vigun01g236100.v1.2 transcript:Vigun01g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNSGSMQSSSTAGDEDYDSRADPSSSSSISAFLNNNPVPPPQPTTLTTHVPPFQTHVFDPLSNYLDPTQRSQSHPNASQILNLDMMWNTVARSEPDLAGLMPTSSSPSTHNNSSSQGFLLTQLGAGQSQPRGGGGGGGVNVAVSAFPTTLAPESASPRGGFEQNSTNNSNTNVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSHFPRTRLDLFASSNASSSALLRSASSHLEQQQPPSQTQTQTPSYLLRPFAHKVQAQPSSIPHNNAFSPMLNTLPSNNNSASTSTPIHYQHHSLNMHNPILSLQSILGNNDSSVLLGSKTQQQQQRQQPSLEITPGAVDSHLKMGGLEELGLSHAHVGDHHHHHHHQNMNLVTSSSDGALSRVNNNISINNNMRGPSSADWAQAQRIGGSNDGGVLRSLSGGTGTATATLNYRSSVSDFHGEKGAPECAVAARSEENVQCAA >Vigun02g066000.2.v1.2 pep primary_assembly:ASM411807v1:2:21567693:21572930:-1 gene:Vigun02g066000.v1.2 transcript:Vigun02g066000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGKNLSFSHSSWSLLCCRNGISATSPPDTGKTSDELSKNSSRSRDYKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVYASNILNLWSGVTNFFPLIGAFISDAYVGRFRTIAFCSFSTLLGMVMVSLTAWLPKLHPPSCSPHQQALNQCVRASTPHLGVLLSGLCLLSVGSAGIRPCSIPFGVDQFDPTTDEGKKGINSFFNWYYTTFTVVLLITQTVVVYIQDSVSWKIGFAIPTLCMLCSIIMFFVGTRIYVHVKPEGSIFSSIAQVLVAAYRKRKLEVPSEKQLDGVFYDPPLVGTRALSKLPFTNQFRALNKAAVVMEGEVNPDGSRVNKWRLVSIQEVEEVKCLARVFPIWAAGILGFTSMAQQGTFTVSQAMKMDRHLGRNFQIPAGSLGVISFITIGVWVPFYDRIMVPALRRVTKHEGGISLLQRIGIGMVFSVLSMVAAALVEKVRRDTANANPNPLGIAPMSVLWLVPQLVLMGLCEAFNVIGQIEFFNRQFPENMRSIANALFSCSFAGASYVSSALVTTVHHVTGTHTHPDWLTNDINSGRLDYFYYLVAGIGVLNFIYFLFVAQRYQYKGISDLPHDLELASKGELPHSTAAKCEDST >Vigun02g066000.1.v1.2 pep primary_assembly:ASM411807v1:2:21567392:21572778:-1 gene:Vigun02g066000.v1.2 transcript:Vigun02g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGKNLSFSHSSWSLLCCRNGISATSPPDTGKTSDELSKNSSRSRDYKKPGGWKAMPFILGNETFERLAAFGLFANFMVYLTREFHLDQVYASNILNLWSGVTNFFPLIGAFISDAYVGRFRTIAFCSFSTLLGMVMVSLTAWLPKLHPPSCSPHQQALNQCVRASTPHLGVLLSGLCLLSVGSAGIRPCSIPFGVDQFDPTTDEGKKGINSFFNWYYTTFTVVLLITQTVVVYIQDSVSWKIGFAIPTLCMLCSIIMFFVGTRIYVHVKPEGSIFSSIAQVLVAAYRKRKLEVPSEKQLDGVFYDPPLVGTRALSKLPFTNQFRALNKAAVVMEGEVNPDGSRVNKWRLVSIQEVEEVKCLARVFPIWAAGILGFTSMAQQGTFTVSQAMKMDRHLGRNFQIPAGSLGVISFITIGVWVPFYDRIMVPALRRVTKHEGGISLLQRIGIGMVFSVLSMVAAALVEKVRRDTANANPNPLGIAPMSVLWLVPQLVLMGLCEAFNVIGQIEFFNRQFPENMRSIANALFSCSFAGASYVSSALVTTVHHVTGTHTHPDWLTNDINSGRLDYFYYLVAGIGVLNFIYFLFVAQRYQYKGISDLPHDLELASKGELPHSTAAKCEDST >Vigun03g139901.1.v1.2 pep primary_assembly:ASM411807v1:3:13851028:13851759:1 gene:Vigun03g139901.v1.2 transcript:Vigun03g139901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLTTEAIGLTKEQSALLEESFKQHNTLNPKQKQALAKQLGLQPRQVEVWFQNRRARTKLKQTEVDCK >Vigun05g127800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14584874:14587717:1 gene:Vigun05g127800.v1.2 transcript:Vigun05g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSISLFLLLLPLSFQFSSSLFSLNKGSSLSVEKHAQDIILSPNKMFCAGFFQVGENAFSFAIWFNDPHTHNPTTVVWMASRDQPVNGKLSKLSLLNSGNIVLVDAGQITTWSSNTASHAPVRLHLQDVGNLVLDDPQGIILWQSFDFPTDTLLPGQPLTRFTQLVSSRSFTNHSSGFYKLLFDDNNVLSLIYDGPDVSSTYWPPPWLLSWQAGRFNYNSSRVAVFNSLGSFISSDNYAFSTYDHGTVMPRRLTLDSDGNVRMYTRNEVSRKWYVSWQFIFDTCSIHGICGVNSTCSFDPRRGRRCSCLPGYRMKNRNDWSYGCEPLFDLTCGGTESTFLEIQGIELYGYDHNFRENSTYMNCLNLCLQDCNCKGFQYRYAEGQGFSCYTKLQLRNGRSSPGFNGTISLRLPKGNNFSKVESVRADDHVCLVHLQKGYVTKPENRYLRFFLWFATAVGALELICLSMVWGFLIRTRQKSSADQKGYHVAAVGIRKYSYSELKKATKGFSEEIGRGGGGVVYKGILSDERHAAIKRLNEAKQGEGEFLAEVSIIGRLNHMNLIEMWGYCAEGKHRLLVYEYMENGSLAENLSSNTLDWSKRYNIALGTARVLAYLHEECLEWILHCDIKPQNILLDANYQPKVADFGLSKLLNRNNLNNSSRFSMIRGTRGYMAPEWVYNLAITSKVDVYSYGIVLLEMVTGKSPTIGVQSIDGEESYNGRLVTWVKEKKTGTYSSWLEQIIDPAIETNYNKFKMDLLVRVALACVEESKDLRPTMSQVVEMLQTHENNPKS >Vigun06g123100.1.v1.2 pep primary_assembly:ASM411807v1:6:25058365:25063078:1 gene:Vigun06g123100.v1.2 transcript:Vigun06g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEHRFVRFEDWKSESSFSIEQNDENHRRRPSVIEVLKSIGRKVEGSSEKMKNLRRVSVVHPLSDGQKKLPPRKKILDPQSSMLQKWNKIFVISCVLAVSVDPFFFYIPVIDDDKKCLDLSGSLQITASVFRTFFDLFYILHIIFQFKTGFIPPSSRTFGRGELIDDPVAITKRYLTSYFFIDILSIIPLPQVIVLAIHPNMKTDPFVAKDLLKYSVLIQYVPRLLRIHPLFKEVTRTSGILTETAGAGAAYNLFLYMLASHVVGAFWYLLSVESELRCWRRQVKGVSLSCRNRTPDVITALNQTCSLVDPDDIKDRQSYNFGIFFDALHSRVVQSTTDFPEKFFYCFWWGLRNLSSLGQDLKTSTYVPEIIFAIFIAIFGLVLFSLLIGNMQKYLQSTTVRVEEMRVKRQDAEQWMSHRMLPENLKDRIRQYEQYQWQENRGVEEEALIRNLPKDLRRDIKRHLCLALVKKVPMFEKMDEQLLDAICTRLKPVLYTEKSHIFREGDPVDEMLFIMRGKVSTVTTNGGRTGFFNSLFLKAGDFCGEELLTWALDPNSSSNLPISTRTVETISEVEAFALMADDLKLVASQFRRLSSKQLQHAFRFYSSQWKTWAACFIQAAWRRYWKKKAERSLNVEEDKLQDALANDDGATLSLGATLYASRFAANALRNLRENNRQNRMQQRLLPLLPPKPAEPDFTSLKH >Vigun06g123100.2.v1.2 pep primary_assembly:ASM411807v1:6:25058325:25063167:1 gene:Vigun06g123100.v1.2 transcript:Vigun06g123100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEHRFVRFEDWKSESSFSIEQNDENHRRRPSVIEVLKSIGRKVEGSSEKMKNLRRVSVVHPLSDGQKKLPPRKKILDPQSSMLQKWNKIFVISCVLAVSVDPFFFYIPVIDDDKKCLDLSGSLQITASVFRTFFDLFYILHIIFQFKTGFIPPSSRTFGRGELIDDPVAITKRYLTSYFFIDILSIIPLPQVIVLAIHPNMKTDPFVAKDLLKYSVLIQYVPRLLRIHPLFKEVTRTSGILTETAGAGAAYNLFLYMLASHVVGAFWYLLSVESELRCWRRQVKGVSLSCRNRTPDVITALNQTCSLVDPDDIKDRQSYNFGIFFDALHSRVVQSTTDFPEKFFYCFWWGLRNLSSLGQDLKTSTYVPEIIFAIFIAIFGLVLFSLLIGNMQKYLQSTTVRVEEMRVKRQDAEQWMSHRMLPENLKDRIRQYEQYQWQENRGVEEEALIRNLPKDLRRDIKRHLCLALVKKVPMFEKMDEQLLDAICTRLKPVLYTEKSHIFREGDPVDEMLFIMRGKVSTVTTNGGRTGFFNSLFLKAGDFCGEELLTWALDPNSSSNLPISTRTVETISEVEAFALMADDLKLVASQFRRLSSKQLQHAFRFYSSQWKTWAACFIQAAWRRYWKKKAERSLNVEEDKLQDALANDDGATLSLGATLYASRFAANALRNLRENNRQNRMQQRLLPLLPPKPAEPDFTSLKH >Vigun06g123100.3.v1.2 pep primary_assembly:ASM411807v1:6:25058365:25063078:1 gene:Vigun06g123100.v1.2 transcript:Vigun06g123100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIDLFEDWKSESSFSIEQNDENHRRRPSVIEVLKSIGRKVEGSSEKMKNLRRVSVVHPLSDGQKKLPPRKKILDPQSSMLQKWNKIFVISCVLAVSVDPFFFYIPVIDDDKKCLDLSGSLQITASVFRTFFDLFYILHIIFQFKTGFIPPSSRTFGRGELIDDPVAITKRYLTSYFFIDILSIIPLPQVIVLAIHPNMKTDPFVAKDLLKYSVLIQYVPRLLRIHPLFKEVTRTSGILTETAGAGAAYNLFLYMLASHVVGAFWYLLSVESELRCWRRQVKGVSLSCRNRTPDVITALNQTCSLVDPDDIKDRQSYNFGIFFDALHSRVVQSTTDFPEKFFYCFWWGLRNLSSLGQDLKTSTYVPEIIFAIFIAIFGLVLFSLLIGNMQKYLQSTTVRVEEMRVKRQDAEQWMSHRMLPENLKDRIRQYEQYQWQENRGVEEEALIRNLPKDLRRDIKRHLCLALVKKVPMFEKMDEQLLDAICTRLKPVLYTEKSHIFREGDPVDEMLFIMRGKVSTVTTNGGRTGFFNSLFLKAGDFCGEELLTWALDPNSSSNLPISTRTVETISEVEAFALMADDLKLVASQFRRLSSKQLQHAFRFYSSQWKTWAACFIQAAWRRYWKKKAERSLNVEEDKLQDALANDDGATLSLGATLYASRFAANALRNLRENNRQNRMQQRLLPLLPPKPAEPDFTSLKH >Vigun10g189800.1.v1.2 pep primary_assembly:ASM411807v1:10:40482478:40487306:1 gene:Vigun10g189800.v1.2 transcript:Vigun10g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEVDELHGGGGGNFFSKLKQNIEETVGIGKGVTKLYATIDLEKARVGRTRIIENETTNPKWNESFHIYCGHLASNIIFTVKDDNPIGATLIGRAYVPVSEVLDGHEIDKWVEILDTEKNPIEGGSKIHVRLQYFDVLKDRNWARGIRSPKYPGVPYTFFSQRQGCKVFLYQDAHVPDNFVPKIPLAGGKNYEAHRCWEDIFDAITNAKHLIYITGWSVYTEISLIRDSRRPKAGGDQTIGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETEQFFRDTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSALPGGGGSDKRRIVSFVGGLDLCDGRYDTAFHSLFRTLDTAHHDDFHQPNFPGAAITKGGPREPWHDIHSRVEGPIAWDVLFNFEQRWRKQGGKDILAPLRELEDVIIPPSPVTFPDDHETWNVQLFRSIDGGAAFGFPDTPEDAAKAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFSWSNDDIKREEIGALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGIPESSSVQAILDWQKRTIEMMYKDVVQALRAKGSDEDPRNYLTFFCLGNREVKKSGEYEPAEQPEPDSDYQRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLANTQPARGQVYGFRMSLWYEHLGMLHDTFQRPESEECINKVNQIADKYWDLYSSESLERDLPGHLLRYPIGVASEGEVTELPGFEFFPDTKARILGAKADYLPPILTT >Vigun11g144200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35355835:35357232:1 gene:Vigun11g144200.v1.2 transcript:Vigun11g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVLFSLLLLIPFSSLYSTTLAQAPVAAPSKPIVQALSQSPSSSDTSDSSPDDLIRILRKAKSFNILIRLMKTTQLINQLDAQLITIKSGGLTIFAPDDSSFSNLKVGFLNSLADNQKIELLQFHVLPTYVSSSNFDSLSNPVRTLAGDNPDRLQLNVTAYGNNVNISTGVVNATITGVIYSDKVLAIYHVDKVLLPLDFFKPKPPAPAPSPSIAPKTDNDNSSADDSLGTSKDSSGAWSLTSSLGTTLVSLGFSLVALVIISS >Vigun02g108100.1.v1.2 pep primary_assembly:ASM411807v1:2:26241067:26247675:1 gene:Vigun02g108100.v1.2 transcript:Vigun02g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEVLHSRPLFLTIYTVVIIGIVFSSLYVFSAIRYPSTNPSSWSLSNADVRLAEQTLNGSRLPTGYAVPSVPPEARNVRTRPILDVPPRNKEMPPFQAFRLTKELIQKRVKDNIIIVTFGNYAFMDFILTWVQQLNDLEVSNYLVGAMDNKLLEALYWKGIPVFDMGSHMSTEDVGWGSPTFHKMGREKVILINLILPLGYELLMCDTDMVWLKNPLPYLARYPGADVLTSSDQVVPTVVDDSLEIWQEVGAAYNIGIFHWRPTESAIKLAKEWKELLLADDKIWDQNGFNDLVHRQLGPSVDEDSGLVYAYDGTLKLGILPSSIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMLFRDPPEYYNPSGGFLSFKPSIPKSLLLSGNHTIESHFTLVNYQIKQIRTALAIASLLNRTLVVPPLWCRVDRLWFPHPGVLEGSMTRQPFLCPLDHVFEVNVMLKQLPEEEFGPGIDIREYSLLDNPSLPSEVKKSWLDVQLCKEGAQDCFASNNATVGGILKFPRHSNEETYMKVFSSFKDVKVIQFSSMQDAFTGFSDKKREDKFRNRVKRYVGIWCCVLDHTPGHIYYDMYWDEKPEWKPLPPQTSADDHPPW >Vigun01g078550.1.v1.2 pep primary_assembly:ASM411807v1:1:22103712:22104767:-1 gene:Vigun01g078550.v1.2 transcript:Vigun01g078550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATLLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFFFSLRVCLTILVFRDTVSHMAPRTTMSSRDNTMFGT >Vigun02g065000.1.v1.2 pep primary_assembly:ASM411807v1:2:21375193:21377941:1 gene:Vigun02g065000.v1.2 transcript:Vigun02g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATTKSSNLSPFFFFSRTISVPSNPNFWNLIPMKHPSSPEHLATRTFHVSCNSPSQHASQRSSSIQGGEGFFRGVLGSMQSVYLNRNPTAKAILELVHSVENNSLCYDHLAFRTFGVNGYGIESMASFFLDYGYTQREELRFPAKKLRALWFSPPADSLAGNGSGINGPLPRIFISELLVDQMSPKTQEIITKYTESSGDGNKYAALSSSLGHLTWEKPLYSEFQQLASESEYAAWTLVNGYALNHVTISTHRLSTHLKDIKALNEFIEESGFRLNSEGGLLKVSPDGLLQQSSTVADSISFQFSDGIIESVPCSYIEFAQRLVLPQYRNLPDTEVKEFHRRDGFEVASADKIFESTSKEQLSRVG >Vigun05g124400.1.v1.2 pep primary_assembly:ASM411807v1:5:13871261:13871792:1 gene:Vigun05g124400.v1.2 transcript:Vigun05g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCHPFLFSVLFVAVVLTTGGAVAEAATRMVNANLHCIGPCTGNGCNKVCTAKGFKSGFCNEQKFLYQCCCV >Vigun05g032500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2596447:2597988:-1 gene:Vigun05g032500.v1.2 transcript:Vigun05g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWNRILTSIKWKDKTLHLATNNEIHKIMIKSPKEVDFALKQEGGHSQSISDPEKAKASSSSVAQWPRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLNAAKHEIDELPPLPLIPSVNNFTLGYHPSAVTSNDATNPHSQQNEQVLNINSSIQWQGSDQNSSWKLKRKEVSGEMISTDQDEQGSNNEGPSTHVMPNNLLPRVNHNSFLGLLNAMPQGYQWEASAGDVSHPQLPNNGFSNQTDIHNVVPFPTSTLSLSTGNSQILLCPPGAAQPYFPSHFTAMDMDQRQINHHYQMMSSGSQNPLANSLNHSFSFAMMSHKPLHSPNSSKSPSDKHQDFPSK >Vigun11g109750.2.v1.2 pep primary_assembly:ASM411807v1:11:31100825:31102272:1 gene:Vigun11g109750.v1.2 transcript:Vigun11g109750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRIRVCVKIKPWTTNCSSCSDKSSIHSKPSRSFDYQSEMLSNWSKKCSNYFELLHTVSGKEYIILIRPIYFFCRLGFIARNFRFRWIQSPDQLRNEMVAEVKRLGHVSLMISLTLLELTCTT >Vigun11g109750.1.v1.2 pep primary_assembly:ASM411807v1:11:31100825:31101748:1 gene:Vigun11g109750.v1.2 transcript:Vigun11g109750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRIRVCVKIKPWTTNCSSCSDKSSIHSKPSRSFDYQSEMLSNWSKKCSNYFELLHTVSGKEYIILIRPIYFFCRLGFIARNFRFRWIQSPDQLRNEMVAEVKRLGHVSLMISLTLLELTCTT >Vigun07g132800.1.v1.2 pep primary_assembly:ASM411807v1:7:24280834:24283792:1 gene:Vigun07g132800.v1.2 transcript:Vigun07g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKPQQQRKKNYRKRSAPTDEDQLPPSQKNNESDDERERRMALEEIKLLQKQRERKSGIPANPSLQAQSGTVGALAAKAAEKNDADGGDKDELVLQDTFAQETAVLVEDPNMVKYIELELAKKRGRKVDAADQVENELKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLKNIEETEAAKKLLQERRLMGRTKSDFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSSQDDSSGSKQNDISTDGAGAVQRQAATDEFMLERFRKRERHRVMRR >Vigun03g234100.5.v1.2 pep primary_assembly:ASM411807v1:3:38968369:38972559:1 gene:Vigun03g234100.v1.2 transcript:Vigun03g234100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSPVVYFQCFIFLLMKVVLVPKTVMAEPRAKTVNITCGNKLEHNSTIFVPNFVATMEKISEQMRTTGYGTAVVGKGGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFYEEYTGPGDMAVCGNTTRKNTGFRAAAKKAVMTAVQAAPNNKGYARTEVAVAGTANDSAYVLANCWRSLDAKSCRACLENASSSILGCLPWSEGRALNTGCFMRYSDTDFLNKEEENGSSGDNVLVIVIVVVSSTIVLVVGVSLLVYIRRHRYVQMKRRGSNDAEKLAKSLHRNSLNFKYSTLEKATNSFDEANKLGQGGFGAVYRGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNRSLDRFIFDQNKGRELNWDKRYDIMIGTAEGLVYLHENSNIRIIHRDIKASNILLDAKLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIITGRQNNRSKASEYSDSLIIMTWKHFQSGSAEELIDPYLLLDEKHRSNVKNDILRVVQIGLLCTQEMCSLRPCMSKVLKMLTKKEEHVEAPSNPPFIDESTMELHDQNDDPYYPLNVADSLATISHSSFYPR >Vigun03g234100.4.v1.2 pep primary_assembly:ASM411807v1:3:38968620:38972582:1 gene:Vigun03g234100.v1.2 transcript:Vigun03g234100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSPVVYFQCFIFLLMKVVLVPKTVMAEPRAKTVNITCGNKLEHNSTIFVPNFVATMEKISEQMRTTGYGTAVVGKGGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFYEEYTGPGDMAVCGNTTRKNTGFRAAAKKAVMTAVQAAPNNKGYARTEVAVAGTANDSAYVLANCWRSLDAKSCRACLENASSSILGCLPWSEGRALNTGCFMRYSDTDFLNKEEENGSSGDNVLVIVIVVVSSTIVLVVGVSLLVYIRRHRYVQMKRRGSNDAEKLAKSLHRNSLNFKYSTLEKATNSFDEANKLGQGGFGAVYRGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNRSLDRFIFDQNKGRELNWDKRYDIMIGTAEGLVYLHENSNIRIIHRDIKASNILLDAKLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIITGRQNNRSKASEYSDSLIIMTWKHFQSGSAEELIDPYLLLDEKHRSNVKNDILRVVQIGLLCTQEMCSLRPCMSKVLKMLTKKEEHVEAPSNPPFIDESTMELHDQNDDPYYPLNVADSLATISHSSFYPR >Vigun03g234100.3.v1.2 pep primary_assembly:ASM411807v1:3:38968369:38972559:1 gene:Vigun03g234100.v1.2 transcript:Vigun03g234100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSPVVYFQCFIFLLMKVVLVPKTVMAEPRAKTVNITCGNKLEHNSTIFVPNFVATMEKISEQMRTTGYGTAVVGKGGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFYEEYTGPGDMAVCGNTTRKNTGFRAAAKKAVMTAVQAAPNNKGYARTEVAVAGTANDSAYVLANCWRSLDAKSCRACLENASSSILGCLPWSEGRALNTGCFMRYSDTDFLNKEEENGSSGDNVLVIVIVVVSSTIVLVVGVSLLVYIRRHRYVQMKRRGSNDAEKLAKSLHRNSLNFKYSTLEKATNSFDEANKLGQGGFGAVYRGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNRSLDRFIFDQNKGRELNWDKRYDIMIGTAEGLVYLHENSNIRIIHRDIKASNILLDAKLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIITGRQNNRSKASEYSDSLIIMTWKHFQSGSAEELIDPYLLLDEKHRSNVKNDILRVVQIGLLCTQEMCSLRPCMSKVLKMLTKKEEHVEAPSNPPFIDESTMELHDQNDDPYYPLNVADSLATISHSSFYPR >Vigun03g234100.1.v1.2 pep primary_assembly:ASM411807v1:3:38968365:38972582:1 gene:Vigun03g234100.v1.2 transcript:Vigun03g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSPVVYFQCFIFLLMKVVLVPKTVMAEPRAKTVNITCGNKLEHNSTIFVPNFVATMEKISEQMRTTGYGTAVVGKGGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFYEEYTGPGDMAVCGNTTRKNTGFRAAAKKAVMTAVQAAPNNKGYARTEVAVAGTANDSAYVLANCWRSLDAKSCRACLENASSSILGCLPWSEGRALNTGCFMRYSDTDFLNKEEENGSSGDNVLVIVIVVVSSTIVLVVGVSLLVYIRRHRYVQMKRRGSNDAEKLAKSLHRNSLNFKYSTLEKATNSFDEANKLGQGGFGAVYRGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNRSLDRFIFDQNKGRELNWDKRYDIMIGTAEGLVYLHENSNIRIIHRDIKASNILLDAKLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIITGRQNNRSKASEYSDSLIIMTWKHFQSGSAEELIDPYLLLDEKHRSNVKNDILRVVQIGLLCTQEMCSLRPCMSKVLKMLTKKEEHVEAPSNPPFIDESTMELHDQNDDPYYPLNVADSLATISHSSFYPR >Vigun03g234100.2.v1.2 pep primary_assembly:ASM411807v1:3:38968336:38972569:1 gene:Vigun03g234100.v1.2 transcript:Vigun03g234100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSPVVYFQCFIFLLMKVVLVPKTVMAEPRAKTVNITCGNKLEHNSTIFVPNFVATMEKISEQMRTTGYGTAVVGKGGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFYEEYTGPGDMAVCGNTTRKNTGFRAAAKKAVMTAVQAAPNNKGYARTEVAVAGTANDSAYVLANCWRSLDAKSCRACLENASSSILGCLPWSEGRALNTGCFMRYSDTDFLNKEEENGSSGDNVLVIVIVVVSSTIVLVVGVSLLVYIRRHRYVQMKRRGSNDAEKLAKSLHRNSLNFKYSTLEKATNSFDEANKLGQGGFGAVYRGVLPDGREIAIKRLYFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNRSLDRFIFDQNKGRELNWDKRYDIMIGTAEGLVYLHENSNIRIIHRDIKASNILLDAKLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIITGRQNNRSKASEYSDSLIIMTWKHFQSGSAEELIDPYLLLDEKHRSNVKNDILRVVQIGLLCTQEMCSLRPCMSKVLKMLTKKEEHVEAPSNPPFIDESTMELHDQNDDPYYPLNVADSLATISHSSFYPR >Vigun08g114700.1.v1.2 pep primary_assembly:ASM411807v1:8:28131398:28133793:1 gene:Vigun08g114700.v1.2 transcript:Vigun08g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLNPNREKAGDNSVIITQFNVSKAVKDSLLENFGECGLASSLGSFQVKYVNSITNVCIIRVSREEYQKLWSAITMVTNIANCPVVFNLLDLSGSIRACKNAALKCEESKFEQYKLMVGDRFSSADSDRMNSYLDRIKVLEH >Vigun05g239800.1.v1.2 pep primary_assembly:ASM411807v1:5:43269801:43278904:-1 gene:Vigun05g239800.v1.2 transcript:Vigun05g239800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLYKSQLIRTHQNTTSLTEPKNHLKVQHVTKQSLQA >Vigun07g007500.1.v1.2 pep primary_assembly:ASM411807v1:7:640967:646718:1 gene:Vigun07g007500.v1.2 transcript:Vigun07g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSDGSQKKRVIAYACGVAIFIGFLYVYQGSIFGSQNVSSSALEYGSKSLKRLGASYLGTDDASDSKQEDSSPRIGQGDAEDDIVPKSFPVCDDSHSELIPCLDRHLIYQLRLKLDLSLMEHYERHCPPPERRFNCLIPPPAGYKIPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMIVKGEKIVFPGGGTHFHHGADKYIASIAKMLNFSQNILNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWREMSALVGRMCWRIAAKRDQTVIWQKPLTNECYMEREPGTRPPLCQSDDDPDAVWGVNMEACITPYSDRDNKAGGSGLVPWPMRLTTPPPRLADFGYSNEMFEKDTELWQGRVENYWNLVGPKMTPKMVRNVMDMNANMGSFAAALRSKDVWVMNVVPRDGPNTLKLIYDRGLIGSIHDWCEAYSTYPRTYDLLHAWNVFSDIDKKGCSPVDLLIEMDRLLRPTGFIFIRDKQVVIDFVKKYLTALHWEEVATADAGSDSDQDANEVILIVQKKLWLTSESFRNTE >Vigun01g095000.1.v1.2 pep primary_assembly:ASM411807v1:1:25913513:25916359:1 gene:Vigun01g095000.v1.2 transcript:Vigun01g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANAGALTNFEVLDFLRAKGASKDPTRVIAKVAQSEYKQVYDYLVDTAAADQTRESINEFLTSIKRHDLAKAEVLNILNTRPAAEVELFPIIESCEGRFPDEEVTEIVELVTRTLPPPPSMKEEEITKGDEETATLKNENDEVIEDPMDTR >Vigun01g095000.2.v1.2 pep primary_assembly:ASM411807v1:1:25913489:25916369:1 gene:Vigun01g095000.v1.2 transcript:Vigun01g095000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANAGALTNFEVLDFLRAKGASKDPTRVIAKVAQSEYKVYDYLVDTAAADQTRESINEFLTSIKRHDLAKAEVLNILNTRPAAEVELFPIIESCEGRFPDEEVTEIVELVTRTLPPPPSMKEEEITKGDEETATLKNENDEVIEDPMDTR >Vigun10g194500.3.v1.2 pep primary_assembly:ASM411807v1:10:40834185:40835999:1 gene:Vigun10g194500.v1.2 transcript:Vigun10g194500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKLPTLTLTHQFHHQQQQLCISQHLFRRSYISEMRKEAFEGNILRLLRNEIQYELQSASTFKSATKFGSFVVDGRAGERWITLKKRHADEDIKLEGTMFDGAVPAPTPTKDGGVINSDEMQMHITVIVTISKGEGDGVLQITCSAWPDCVEIKRLFIRANENIIAEPYSGPQFTELDDELQESLYDYLEERGINDELAAFLHQYMKQKDKTEYVRWMERVKAFIERK >Vigun10g194500.1.v1.2 pep primary_assembly:ASM411807v1:10:40834121:40836037:1 gene:Vigun10g194500.v1.2 transcript:Vigun10g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKLPTLTLTHQFHHQQQQLCISQHLFRRSYISEMRKEAFEGNILRLLRNEIQYELQSASTFKSATKFGSFVVDGRAGERWITLKKRHADEDIKLEGTMFDGAVPAPTPTKDGGVINSDEMQMHITVIVTISKGEGDGVLQITCSAWPDCVEIKRLFIRANENIIAEPYSGPQFTELDDELQESLYDYLEERGINDELAAFLHQYMKQKDKTEYVRWMERVKAFIERK >Vigun10g194500.2.v1.2 pep primary_assembly:ASM411807v1:10:40834185:40836110:1 gene:Vigun10g194500.v1.2 transcript:Vigun10g194500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKLPTLTLTHQFHHQQQQLCISQHLFRRSYISEMRKEAFEGNILRLLRNEIQYELQSASTFKSATKFGSFVVDGRAGERWITLKKRHADEDIKLEGTMFDGAVPAPTPTKDGGVINSDEMQMHITVIVTISKGEGDGVLQITCSAWPDCVEIKRLFIRANENIIAEPYSGPQFTELDDELQESLYDYLEERGINDELAAFLHQYMKQKDKTEYVRWMERVKAFIERK >Vigun10g194500.5.v1.2 pep primary_assembly:ASM411807v1:10:40834177:40835999:1 gene:Vigun10g194500.v1.2 transcript:Vigun10g194500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKLPTLTLTHQFHHQQQQLCISQHLFRRSYISEMRKEAFEGNILRLLRNEIQYELQSASTFKSATKFGSFVVDGRAGERWITLKKRHADEDIKLEGTMFDGAVPAPTPTKDGGVINSDEMQMHITVIVTISKGEGDGVLQITCSAWPDCVEIKRLFIRANENIIAEPYSGPQFTELDDELQESLYDYLEERGINDELAAFLHQYMKQKDKTEYVRWMERVKAFIERK >Vigun10g194500.4.v1.2 pep primary_assembly:ASM411807v1:10:40834177:40835999:1 gene:Vigun10g194500.v1.2 transcript:Vigun10g194500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSLRKLPTLTLTHQFHHQQQQLCISQHLFRRSYISEMRKEAFEGNILRLLRNEIQYELQSASTFKSATKFGSFVVDGRAGERWITLKKRHADEDIKLEGTMFDGAVPAPTPTKDGGVINSDEMQMHITVIVTISKGEGDGVLQITCSAWPDCVEIKRLFIRANENIIAEPYSGPQFTELDDELQESLYDYLEERGINDELAAFLHQYMKQKDKTEYVRWMERVKAFIERK >Vigun06g093700.1.v1.2 pep primary_assembly:ASM411807v1:6:22534686:22535804:-1 gene:Vigun06g093700.v1.2 transcript:Vigun06g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNKIDREQLKPGDHIYSWRQAYIIAHHGIYVGMGMVIHFTRGAAQETGTVLNRFHGATPCPRCGYQTKTEGVTRTCLECFLYGGYLYLFEYGVSSAFFLAKARGGTCTTASSDPTEAVLRRAWFLLKTGFGGYHLFKNNCEDFAMYCKTGLLVATNISVGQSGQASSLLAAAGALVSSALVFMNTSFCGLALVGCGMYCVSRYVSDIGVRCDITKVSAERIHEVAKEDWNQEIAAWFGERLVKRSQDVGQGNNQVKDNSVVYGF >Vigun07g127800.2.v1.2 pep primary_assembly:ASM411807v1:7:23540789:23542466:-1 gene:Vigun07g127800.v1.2 transcript:Vigun07g127800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLASASSSSSSVHAYTFLRHSYPLPRIRKLGLLKTHCVKRKESLTNLRTSWPSISLTLFGTGFLLGPLLDGLHSRVNLVVYDSGSINIGPLHTNIWVPFLLGLFYSSVGLLQLYLDQKLFDKVQEASLAKTIVSFVLLALFIEVSAELYKGGIAENIEAYILFAAAEFMWFFLDRTWLGFTLACIVGLGCPLAEVPIMKFFHLWYYPKPNIEIFGQGLVTWTLTCYFVYTPFLINLSRWLRTVYAPPTDESS >Vigun07g127800.1.v1.2 pep primary_assembly:ASM411807v1:7:23540870:23542392:-1 gene:Vigun07g127800.v1.2 transcript:Vigun07g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLASASSSSSSVHAYTFLRHSYPLPRIRKLGLLKTHCVKRKESLTNLRTSWPSISLTLFGTGFLLGPLLDGLHSRVNLVVYDSGSINIGPLHTNIWVPFLLGLFYSSVGLLQLYLDQKLFDKVQEASLAKTIVSFVLLALFIEVSAELYKGGIAENIEAYILFAAAEFMWFFLDRTWLGFTLACIVGLGCPLAEVPIMKFFHLWYYPKPNIEIFGQFMLLPQMSLPKTLCTLLPIPLTPICSLRQEQCVKAFIGLIYDDTDTYQTVLTMT >Vigun02g000063.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16284:16445:1 gene:Vigun02g000063.v1.2 transcript:Vigun02g000063.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun01g208000.1.v1.2 pep primary_assembly:ASM411807v1:1:38371652:38375510:-1 gene:Vigun01g208000.v1.2 transcript:Vigun01g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNITVNGTTEQIKRSSDRAFVTFLAGNGDYVKGVVGLAKGLRKAKSMYPLVVAVLPDVPEEHRVILKSQGCTVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFGNIDHLFDLPDNYFYAVMDCFCEKTWSHSPQYQIGYCQQCPDKVQWSSHLGPKPPLYFNAGMFVYEPDLVTYRDLLQTLQITKPTSFAEQDFLNMYFKDKYKPIPNLYNLVLAMLWRHPENVELDKVQVVHYCAAGSKPWRFTGKEENMEREDIKILVKKWWEIYEDETLNYNNDNSVNVERLSSALSEAGGNIPLVSAPSAA >Vigun07g110600.1.v1.2 pep primary_assembly:ASM411807v1:7:20509895:20512640:1 gene:Vigun07g110600.v1.2 transcript:Vigun07g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATMHDDGEVGFEEGMLWLPSHVLDEACGTKECMRNRYQKIQNHQQMRHLGPQESSGEPKLQYTKSTSRSLHTRPKLVNGGPGMRVIFLGSKQRSCGTGVFLPQSAGTNFQPSKRPACAPVFLPARVVQALNLNVHALGVQVSPPQVQRYNPMICREACNKNSLEKRSDQKDASKQCSFISQNRSSSREIFLPKEWTY >Vigun05g122125.1.v1.2 pep primary_assembly:ASM411807v1:5:13504678:13506059:-1 gene:Vigun05g122125.v1.2 transcript:Vigun05g122125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLFSFSPSLCFLSQVVLLFSISEYALSNGASYFPSLKHVRRSLDEKSCMVIVRNSCFSLSNMALSKASLLTHIKVVANRRRRRRPPFCVSTTWKRRITRFNSIGTRYHVKSLHTENTCLVKLVRICT >Vigun01g253500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909662:41911625:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909408:41911813:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909403:41911874:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909369:41911874:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909600:41911698:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909662:41912277:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun01g253500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41909369:41911874:-1 gene:Vigun01g253500.v1.2 transcript:Vigun01g253500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKDIVPAAQNNIESKFIVLEKGSTTLEGKNRICLTLVADETAAVHLQLWGDECDAFDSGDIIRLTKGIFSYQHGNLILRAGKRGKLEKTGEFVMSYVEVPNMSEIHWIPDPSNSKNYIQDYVISPHSRIFPPIL >Vigun10g136550.1.v1.2 pep primary_assembly:ASM411807v1:10:35134582:35163542:-1 gene:Vigun10g136550.v1.2 transcript:Vigun10g136550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLKIVDPVIEFVRDHGINQLTYIFCYTKYFEELNKRVKRLGEEKERLDRQRDEAKRKGDIVEDRVEEWFEEVGEFESRVEKYMNNAGHKKTRGLYYLFPYYRHKLGRQAKKMEPEALRLKDECPKDDEVSHAEKVTSFDLTSSYSGYIEFDSRKSIVDDIMTKLKDPNMKIIGLHGAQGMGKSTLIKKIANKAKDEGLFDRVAEIDVTEYPNPLTIQADIAHVLGLPLAGESENVRADYLRRWLKIENVSILIILDNLHERLDLNRLGIPVDDDYDLRKKNELSISNQKTASDKQGTSGDKQGTGAKVLKKTNFLGDYKGCKVLLSSRDKNVFRDEVDVESNFCLKELDGNDALMLFEKVTGGGNKMSMPKEEIQNYCTGLPMRIVTLAVAFKNWIESESKPTLDKFKKQGLVEWQKSSETPNKKKYDLPKNKELKFIYLLCAQMGHLPLVNDLVKYCFGLGIFEGVSSLSAAREKINESLQELKNLGLVSYENPNIHFHMSHMVRDDALSNALMDHNVFAFRDGKLDYWPDLEKCISISICNSYITDGFPHVINCPQLQFLQIETIDPSLEIPQRFFSSMKNLLVLILAGFHLSSLPYSIEDLLNLRMLCLERCTLDCNLSVLRKFKKLRILSFSGSQLKNLPVELRYLDKLRMLDISDCFKLEIIPLDLFSNLTCLEELYIRKSLIKMLVEKWENEGHNSFLSELKNLHQLKVVDLSIPCVSILPNHLFFDRLKDYKIEIGDFEMFSVGEFRMPNKYEELKVLALQLKDDTDIHSHQGIKLLFKTTQSLFLGNVCVQNVVNELNIDEFQNLKHLSIINNNDVEYFNSTDLSYCVNIFSNLESLYLYNMMKLKMICRGPITLESFAKLKTIKVEMCCQLENLFSFYAIKISTSTGTSEIFKCNSNMKKFLASLEMIEVCECESLKEILQIPPDCVKDCECESLKEILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERYCWPYSTEAQTTNRCHTEISTEEDGHSDNAPPLFGEPVEVPNLENLNLSSLNIRKIWSDQHLSSFYFQNLIKLVVKDCDKLTHLCSLPMASSMKKLKSLVISGCLKMEKIFEIEGISANKVCVFPKLEEIHLSKMKRLTDMWQTEVGIDSFSSLISVRIEECDQLDKIFPSHMEGWFESLINLQISKCKSVEEIFEINDSQEIDASGGIDTNLQVILLEELPKLKELWSKDPDGILNFKKLRTIDVSYCDELRNLFPASVAKDVPKLERMSVLYCERMMEIVSNKDTSEADNDPLEFPELTFVRLYGLPTMKQFYKGRHPIKCPKLKELSMGKCTKLKTFLQETSDEKFVFSAEEVFPKLEYMEIDFQEAQNWLSKYKMQKLKELIILNSVHRPDLLYPFLYKMPNLEKLKLTSSYKMQSTNIGQHDRLRVVLQLKQLFICFSDISDLGFERDLVLERLELLRLKGCYNLSTLGPSSVSLSYLTCLKLKRCNGLKNLVASSTAKTMVQLKTMKVIDCRKVEQIVSNDGSEEGKGIKIVFSKLISIELVGLMNMTSFCGYKNCEFEFPLLEILIVRTCPKMEKFSERGLIAPKLKDVYGVEGDKNAKWKWEGDLNDTIQEIFDDKVSFAYTEDLFLDDDTDKFIMEQLWQAGGWVQQNSFGYLKSLRLWGCGSIEHVIPSDLLSCFPNLEELDVWNCSNAEVIFNINDENRVMAKRSGIFRLKTLSIDWLPKLEHVWEKDPEGIMGLQALEEMKVDDCGRLKSLFPASLATTDLTRLEVLEVRDCEELREIFGKDEKVGEGTTQHSAFPSLTTLTLENLPSLKYSIHCSKQQESTTNLSEGDIQEICLGSRSIPNTYFCLLESLTLDGCEFLADVLLPFNLLTFLTNLETLEVRNFDYVKIIFDVKCTTQEREVASMGQISLKKLVVSKLPNLENVWNDNPYGILTLHHLQELYVEECKALSSVFPPSVANNVIELENQVVEDVLLPFSMLHFLTNLETLEVRNCDSVKSIFDVKCATLDRDMTSVGQTLPFSLKKLVVSKLPNLENVWNDNPYGILTLHHLQELYVEECKALSSVFPPSVANNVIELENQVVEDVLLPFSMLHFLTNLETLEVRNLDSVKVIFDVKCTTQGRDVTYMGQTLPFSLKKLNVSKLSNLKNVWNEDPQVILSMHHLQEVCVEECEGLTSVFPASKDKYLLKLKNLVGKDCKGLMTIFAEDNIDPRTKLELTCPFVRSLELEGLPNFKYFYYSSLYLESHTENKVGSEKLLKCLSLGENGVNMILQGEFQPNLLDNIKVLTLRFGSDVFRYEILEEVPNIEKLVVCDGSFKEMFCCESGNNVLQKLKVLQLEYLGQLVSIGLENSWTDSFVRNLETFEVISCWRLKNLVACTVFFSNLTCLKVESCGGLLYLFTSSTAKSLVQLKRMEIKKCFSIEEIVCKEESDDDEIIFPNLSCLNLEWLGELGRFYRGSLSFPSLEELSVTYCKKMTTFCVGSLDAGKLSQVEFESEEVIIPLETDLNSIMWKKYLREISWLDLDRSKPELQEIWRGSLPIPNFCFRELATLKVNDCPFLSDAVLPFHLFSLLSKLETLEVRNCDSVKVIFDDVKCSTQDTLITSPMKNLVLSNLPELEAVWNEDPPHGILCMQHLKEVHVTKCKRLTSVFPASVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLDGLQKFSYFYYCSLNTHLESPTQDQLPHEKCLSLGKNGMKMILRGELERNLLDSLKALTLRFSSDIFGYEILEEVPNIEKLVVCGGSFEKMFCCESRNNVLHQLKVLHLESLGELVSIGLENSWTDSFVRNLESFEVIKCGSLKSLVACTVSFSNLTCLKVEGCRSLSYLLTSSTAKSLGQLKRMEIKDCDSIEEIVSKEESDEDEIIFAKLSSLNLEWLSKLRSFYKGSLSFPSLEELTVSSCSEMITLCPGTVKADKLSQVKLNNFSEAIQLEIDLNSTMRKEFVRKNTQERWQNSLEFKDRADLQEIWSLSLKMHDFCFTYLETLIVDGCQLLSYVIPFAVLPLLPQLQTLQVRNCHSLKTIFDVKCTTQDISITFPLKTLVLWKLPNLETLWNEETDGNPAHPEGTNPNLTFPTLTSLTLCDLPNFNHNIHDATPTSELIISNLEDLTVGKNELKMIVDGEFQTNLLHNLKVLGLCFDNECDEFPEYGFLQQLPNVKKLMVWSSSFKLIFCHQRPNNSELLLQLKELRLESLGELVSIGLENPWTEPFVRNLETFEVISCSTLENLVTCTVSFSNLICLKVENCDGLSYLFTSSTAKSLGQLQRMKIKQCKSIEEILCGEESDENEIIFPQLSCLELDSLPNLLRFYRGNLSFPSLEKLSVTDCDDMVTLCPSTLNADKLGEPDLNSTMRKEFGRKISELEKLDLKSRPKLPEIWHDPLHILDLYFSKLVTMIVDDCQFLSDAVLPFSLLPLLPKLEKLVVGNCDSVKTIFDVKRATQDTLITLPLKILILSNLSNLENIWSEDPHGILSMDHLKEVRVKECKGLTSVFPASVAKDLMKLKDLVVEECKGLKAIVAEESKEDEIIFPQLMYLELETCNSLPYLFTSSTAKSLSELKSMKIKECKSIEEIVSKEGEESDENVKIKFEQLQDLYLEKLDELRCFYAGNFTLCFPSLEEVQVINCSSMKTFSASNKIDNSIKWYYSEYARPRKETDLNSALHRTSKEEAPDASSAIISVIQ >Vigun11g082800.1.v1.2 pep primary_assembly:ASM411807v1:11:24678616:24679763:1 gene:Vigun11g082800.v1.2 transcript:Vigun11g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIQRCSSSQTKNFDVFVSFRGEDTRNGFTDHLFAALQRKGVVAFRDDQTIEKGGFLESELLQAIEGSRVFIVVFSKDYASSTWCMKELTKILDLVEETGRSMLPIFYDVDPSEVRKQSSEFAKAFAEHEERFKDDLEMVEKWREALKASCDRCGWDVQNKQQYEEIENVVEKVIDILGRNQIWSFGDDLVDMHSRVEECIHESRRCFNGAGDA >Vigun07g114200.1.v1.2 pep primary_assembly:ASM411807v1:7:21145424:21149933:1 gene:Vigun07g114200.v1.2 transcript:Vigun07g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRQDPLFSQAGFSTMLKLLNKNIRRFLRRLRWPIRRRSKPKVVVISKLGKNAPKAQTEPSPNLSAAVHPNAQLGTPKPIRIATFNAALFSMAPALPKAARELGEQNGVAPKANSRPRSILKHSPSQSQSVRNRAEENVGSRQKMRVSINLPDNEISLLRSRQSSFSEHDKEGLKAWSVGGGGAHVSNRTVVEVLKEVDADVLGLQDVKAEEENGMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRCVSHQIFDHTDFRNVLKATIDVPQVGELNFYCTHLDHLDENWRMKQVNAIIQSSDEPHILAGGLNSLDESDYSQERWTDIVKYYEEMGKPTPKVEVMKYLKSKDYTDAKGYAGECESVVMIAKGQSVQGTCKYGTRVDYILSSSNSPYKFVPGSYLVLSSKGTSDHHIVKVDVVKANDNPEEKVTKNTQQPRQRVVKITQSTPSKGIWKTHN >Vigun04g201500.1.v1.2 pep primary_assembly:ASM411807v1:4:42473358:42473792:1 gene:Vigun04g201500.v1.2 transcript:Vigun04g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKIVFLLSLLLTTLFSLQLKGSEAEIVPFEVEKGLIPHKNLTVHCKDKHNDLGYHTLNYAETYNFGFRPNFFWKVTLYFCHFTWEGASHYFDIYKQDRDECVQCVWNILEAGPCNVNPKHNQCYAWNQ >Vigun09g036900.1.v1.2 pep primary_assembly:ASM411807v1:9:3252001:3255531:1 gene:Vigun09g036900.v1.2 transcript:Vigun09g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDVADKKKTKNEVVLRISDTEEAIKAKEQRVSRSFPVAEKCSLSPHHNIQIGKGFRDSHGEITEHNGQVSSELVTTTKRLMGRSEFSKPKSRMVEPACPKDANFVEEKAKKTSSPARNSLNKNVPDATVVTPRSPFLGIPGEEEDDDEEVYKTANVEVSKRSGKKCRVVELFAFSCIVGYLIACLTVNKLQKRFIWGLELWKWCVLVLVVLCGRLVTEWLINVLVFLIERNFLFKKKVLYFVYGVKKSVQGFIWLSLVLLTWGLLFNHGVKRTRKVTKILGYITRALASCLLGAAIWLVKTLLIKLLASKFQSTRFFDRVQESIFHQYILKTLSGPPLREVAENVGWTSNLGQLSFKTMNSEKEGEKEQVIDVDKLKKMKPEKVSAWTMKGLINVIKSSGLSTISYAPDEDENDQKDNEINSEWEAKAAAYRIFRNVAKPGHNYIEKDDLLRFMKNEEVENVLPLFEGAVETRRIKRKSLKNWLVKVYFERRSLVHSLNDTNTAVDDLNMLVSFVLLIVILIAWLLIMGFLTTEVLVFISSQLLLVVFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGVQMVVEEMNILTTVFLRYDNEKISYPNSVLATKPISNFYRSPEMSDSVEFAVDFSTSVESIGALKAKLKVYLESRPRHWRPNHNVVVKDIENVNKMKMAVYVTHTINFQNYGEKNSRRSELVLELKKILEDLNIKYHLLPQEVHLSYVRSQDSTAQTF >VigunL010150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000263.1:3210:3536:-1 gene:VigunL010150.v1.2 transcript:VigunL010150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun11g156700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36559446:36562395:1 gene:Vigun11g156700.v1.2 transcript:Vigun11g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDSLLDNFPGSANGFIFENGSVSLFTNQNRVSEFKLDDSPVSVFTNQNGGSEFKLDDSRSPSESAADDAPSSGTSSDGEHTESTKLSNPMLRYISDILMEEEDDLERKPCMLHDCLRLQAAEKSFYDALVHSHPSSPRQFNDNPDPDDNFGGTTSSESYSSYTTDNSCESDRFNGAGDFDSYLLQRSLHNSQEHAYVAPDLIRGAQAEFHFSNGAWNLIQSQNKAGVTEESVTRTGKGSREKRSHLMKDDVSHEEEERSNKLSAVYSDDSESCSMFDEVLFCSDGKSPAILKSDREPSSSQLADSGGSNGKTTRSKKGPNKGKSARTTVDLWTLLTQCAQAVASFDPRTANEILKQIRQHSSPSGDGLQRLAHYFADGLETRLAAGTPKFMLFQSASAADMLKAYRVYVTSSPFHWMSYFMANRTILKFTKSESSLHIIDFGISYGFQWPCLIQRLSQRAGGPPRLRITGIDFPQSGFRPAERVEETGRRLEKYCKRFGVPFEYNGLAQKWETIRLEDLKLDRSEVTVVNCLYRMKNLSDETVTADCPRDAVLRLIRRINPTIFMHGVGNGTYNAPFFLTRFREALFHFSALFDMFEANVPREDPSRLMFEKGLFGRDAINVIACEGAERVERPETYKQWQVRNQRARFKQLPLSTELVNRVKEMVKKEYHKDFTVDEDGKWVLQGWKGRILFALSCWVPA >Vigun09g128300.2.v1.2 pep primary_assembly:ASM411807v1:9:28517560:28519878:1 gene:Vigun09g128300.v1.2 transcript:Vigun09g128300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQFFVFCLLLVLALYQFQDVQGAESVPGLGINWGALASHPLNPNIVVNMLKDNGIKKVKLFDADPWIFGSLAGTDIEAMIGIPNDQLSKFAGSSHSAEEWVEANITKHLHGRHGIVNIRYVSVGNEPFMKAYNGSYAETILPAMQNIHRAINKASLGDIVKVTTTLNADVYESPSNNPSDGDFRSDIRDAMQQVLSFLHENNSPFLVNIYPFLSLYQNENFPVEFAFFDGQGGTVQDKDVQYSNVFDANLDTLVWSLRKAGYPNMRIVVGEIGWPTDGNKNANNNNAKRFYQGLLKKMANNKGTPLRPGAMEIYLFSLTDENMKNIDPGKFERHWGIFGYDGSVKFPIDFSGQGQDKWPIAAKGVVYQDHIWCVLNPDVKNLSLLPSAMDYACANGDCTSLGFGCSCDNLDLAGNASYAFNQYFQTRDQNVDSCNFNGLATIVKQDPSRGSCIFPIEIESNGDKRRAIHTLGTHLIGLGQDKWPVAAKGVMYQDHIWCVLNLDVKNLSLLLSTPDYACVGADCISLGFGCSCDNLDLARNVSYAFN >Vigun04g168800.2.v1.2 pep primary_assembly:ASM411807v1:4:39325354:39327103:-1 gene:Vigun04g168800.v1.2 transcript:Vigun04g168800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLVLALSLLAFFTNLPLGFSNDVEQVVDINSNPIFPGFTYYIFPAIRGPPGGGLKLGQTGNSECPLTVLQDYSEVFRGLPVRFTIPGVSPGIIFTESSKWSVFVDEEIQKACVGIGGAQGHPGQQTFNGKFHIEKYQFGYKLVFCITGSPTCLDIGRFDARNGEDGRRLNLTEHEAFDIVFVPSFEADKVIKSVV >Vigun04g168800.1.v1.2 pep primary_assembly:ASM411807v1:4:39325354:39327103:-1 gene:Vigun04g168800.v1.2 transcript:Vigun04g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLVLALSLLAFFTNLPLGFSNDVEQVVDINSNPIFPGFTYYIFPAIRGPPGGGLKLGQTGNSECPLTVLQDYSEVFRGLPVRFTIPGVSPGIIFTGTKLDIEFVEKPWCTESSKWSVFVDEEIQKACVGIGGAQGHPGQQTFNGKFHIEKYQFGYKLVFCITGSPTCLDIGRFDARNGEDGRRLNLTEHEAFDIVFVPSFEADKVIKSVV >Vigun02g131800.2.v1.2 pep primary_assembly:ASM411807v1:2:28273601:28275156:1 gene:Vigun02g131800.v1.2 transcript:Vigun02g131800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKHVNQRINRICTVRNYRTITRSIHLKIEKAMLHSIKTVLTYQHVSLPRSLSSNLGREASAVRFCTKPDSNSRDHNMDKSQKPSEETKHGDAMSHSFGEGYSTRCDEEGFGGIYGGKQCMPKTDECIHENHPGRREVR >Vigun02g131800.1.v1.2 pep primary_assembly:ASM411807v1:2:28273601:28275156:1 gene:Vigun02g131800.v1.2 transcript:Vigun02g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKHVNQRINRICTVRNYRTITRSIHLKIEKAMLHSIKTVLTYQHVSLPRSLSSNLGREASAVRFCTKPDSNSRDHNMDKSQKPSEETKHGDAMSHSFGEGYSTRCDEEGFGGIYGGKQCMPKTDECIHENHPAYDRTQGSEVKEKEKARNQPSANA >Vigun04g028700.3.v1.2 pep primary_assembly:ASM411807v1:4:2225170:2229822:1 gene:Vigun04g028700.v1.2 transcript:Vigun04g028700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSICIGMFFLQDYHYDAKATKRSILNGFLKTDESLLQESAEGGWQDGATAVCVWVLGQKVAVAHIGDAKAVLARSTDGSQNPDGVQALKAIVLTREHKPIFPLERTRIQKSGGFVSPDGRLLGRLEVSRAFGDRQFKKVGLVASPDVYTFEVTDTEHFIILGCDGLWGVFGPSDAVDFVQKLLNEGLPVATVCRRLVREAVRERRCKDNCTAIIIVFKHK >Vigun04g028700.1.v1.2 pep primary_assembly:ASM411807v1:4:2225170:2229822:1 gene:Vigun04g028700.v1.2 transcript:Vigun04g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSQAQKRESADSQGEKDGVASKKPKIESCAKGSDDNGVKEPSFLIEADAAEDKGSRLTMEDAWVVLLDASLDYPGKLRCAHFAIYDGHGGRLAAEYAQKHLHRNVLSAGLPRELYDAKATKRSILNGFLKTDESLLQESAEGGWQDGATAVCVWVLGQKVAVAHIGDAKAVLARSTDGSQNPDGVQALKAIVLTREHKPIFPLERTRIQKSGGFVSPDGRLLGRLEVSRAFGDRQFKKVGLVASPDVYTFEVTDTEHFIILGCDGLWGVFGPSDAVDFVQKLLNEGLPVATVCRRLVREAVRERRCKDNCTAIIIVFKHK >Vigun04g028700.2.v1.2 pep primary_assembly:ASM411807v1:4:2225170:2229822:1 gene:Vigun04g028700.v1.2 transcript:Vigun04g028700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSQAQKRESADSQGEKDGVASKKPKIESCAKGSDDNGVKEPSFLIEADAAEDKGSRLTMEDAWVVLLDASLDYPGKLRCAHFAIYDGHGGRLAAEYAQKHLHRNVLSAGLPRELYDAKATKRSILNGGWQDGATAVCVWVLGQKVAVAHIGDAKAVLARSTDGSQNPDGVQALKAIVLTREHKPIFPLERTRIQKSGGFVSPDGRLLGRLEVSRAFGDRQFKKVGLVASPDVYTFEVTDTEHFIILGCDGLWGVFGPSDAVDFVQKLLNEGLPVATVCRRLVREAVRERRCKDNCTAIIIVFKHK >Vigun08g062800.1.v1.2 pep primary_assembly:ASM411807v1:8:8530994:8538701:-1 gene:Vigun08g062800.v1.2 transcript:Vigun08g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLCVTFNSTTSSFPQGRKCKYEGQSVRALPIRILSVGKKRSPGLQLMVDEYVDKLKYYCSVEDVQIRPNPRNASDQRAQVDAEEEAVMNLIRSDDWVVMLDERGRDIGSEQMAELVGDAGNTGASRISFCIGGPYGHGRKMKERANLSIKLSSLVLNHQIALLVLMEQLYRSWTILKGQKYHH >Vigun01g097950.1.v1.2 pep primary_assembly:ASM411807v1:1:26427550:26429671:1 gene:Vigun01g097950.v1.2 transcript:Vigun01g097950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKVGDKLVKSSFDKELAVNEVVVCNEELGYEFVREAFQTFGTSYNISIDKENEELKRLVENEEREIADLEAVLSHLEDMVAKKEEHNRTEGDSKDDQHDDGNDEEEGDVGVDYTVTDPACDVEGDDSGADDVDVGQQSNMYDRMKSQPRKRIKSRAIRTPFAGFGSRRKTKLLTMG >Vigun09g131900.1.v1.2 pep primary_assembly:ASM411807v1:9:29036432:29041584:1 gene:Vigun09g131900.v1.2 transcript:Vigun09g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRKCWDTSKKESWKTIMLLAYQSLGVVYGDLSISPLYVYTSTFAEDIEHSETNEEIFGALSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQHADEALSTYKMEEPPEKDTSRVKMVLEKYKGLHTALLIVVLLGTCMVIGDGLLTPAISVFSAVSGLEVSMSKKHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLAWLLCISTLGLYNIFKWNPHVYKALSPYYMFKFLKKTRISGWMSLGGILLCITGSEAMFADLGHFSYMAIQVAFTFLVYPALILAYMGQAAYLSHHHDSDLQISFYVSVPESVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWLLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIVVCWHKPPIVALCFLLFFGSIELLYFSASLTKFCEGAWLPILLALFLMIIMFLWHYATIRKYEYDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTAGIPANFSRFVTNLPAYHRILVFVCVKSVPVPHVPAAERYLVGRVGPSAHRSYRCIVRYGYRDVHQDVDSFESELVARLADFIQYDWYRSRRSSMSVEDDVSNSNESSSHRLTVIGTTGFSIQPGYESGGESLQQASVSVGFPTVQSVSDVIEMEPTVSERRVRFAIDYEPESDARSEVGVQMQEELEDLYAAQEAGIAFILGHSHVRAKQGSSVLKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIV >Vigun09g131900.2.v1.2 pep primary_assembly:ASM411807v1:9:29035543:29041584:1 gene:Vigun09g131900.v1.2 transcript:Vigun09g131900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRKCWDTSKKESWKTIMLLAYQSLGVVYGDLSISPLYVYTSTFAEDIEHSETNEEIFGALSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQHADEALSTYKMEEPPEKDTSRVKMVLEKYKGLHTALLIVVLLGTCMVIGDGLLTPAISVFSAVSGLEVSMSKKHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLAWLLCISTLGLYNIFKWNPHVYKALSPYYMFKFLKKTRISGWMSLGGILLCITGSEAMFADLGHFSYMAIQVAFTFLVYPALILAYMGQAAYLSHHHDSDLQISFYVSVPESVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWLLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIVVCWHKPPIVALCFLLFFGSIELLYFSASLTKFCEGAWLPILLALFLMIIMFLWHYATIRKYEYDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTAGIPANFSRFVTNLPAYHRILVFVCVKSVPVPHVPAAERYLVGRVGPSAHRSYRCIVRYGYRDVHQDVDSFESELVARLADFIQYDWYRSRRSSMSVEDDVSNSNESSSHRLTVIGTTGFSIQPGYESGGESLQQASVSVGFPTVQSVSDVIEMEPTVSERRVRFAIDYEPESDARSEVGVQMQEELEDLYAAQEAGIAFILGHSHVRAKQGSSVLKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIV >Vigun09g131900.4.v1.2 pep primary_assembly:ASM411807v1:9:29036394:29041584:1 gene:Vigun09g131900.v1.2 transcript:Vigun09g131900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYQSLGVVYGDLSISPLYVYTSTFAEDIEHSETNEEIFGALSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQHADEALSTYKMEEPPEKDTSRVKMVLEKYKGLHTALLIVVLLGTCMVIGDGLLTPAISVFSAVSGLEVSMSKKHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLAWLLCISTLGLYNIFKWNPHVYKALSPYYMFKFLKKTRISGWMSLGGILLCITGSEAMFADLGHFSYMAIQVAFTFLVYPALILAYMGQAAYLSHHHDSDLQISFYVSVPESVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWLLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIVVCWHKPPIVALCFLLFFGSIELLYFSASLTKFCEGAWLPILLALFLMIIMFLWHYATIRKYEYDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTAGIPANFSRFVTNLPAYHRILVFVCVKSVPVPHVPAAERYLVGRVGPSAHRSYRCIVRYGYRDVHQDVDSFESELVARLADFIQYDWYRSRRSSMSVEDDVSNSNESSSHRLTVIGTTGFSIQPGYESGGESLQQASVSVGFPTVQSVSDVIEMEPTVSERRVRFAIDYEPESDARSEVGVQMQEELEDLYAAQEAGIAFILGHSHVRAKQGSSVLKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIV >Vigun09g131900.3.v1.2 pep primary_assembly:ASM411807v1:9:29036207:29041584:1 gene:Vigun09g131900.v1.2 transcript:Vigun09g131900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRKCWDTSKKESWKTIMLLAYQSLGVVYGDLSISPLYVYTSTFAEDIEHSETNEEIFGALSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQHADEALSTYKMEEPPEKDTSRVKMVLEKYKGLHTALLIVVLLGTCMVIGDGLLTPAISVFSAVSGLEVSMSKKHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPIVLAWLLCISTLGLYNIFKWNPHVYKALSPYYMFKFLKKTRISGWMSLGGILLCITGSEAMFADLGHFSYMAIQVAFTFLVYPALILAYMGQAAYLSHHHDSDLQISFYVSVPESVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQVYIPEINWLLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIVVCWHKPPIVALCFLLFFGSIELLYFSASLTKFCEGAWLPILLALFLMIIMFLWHYATIRKYEYDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTAGIPANFSRFVTNLPAYHRILVFVCVKSVPVPHVPAAERYLVGRVGPSAHRSYRCIVRYGYRDVHQDVDSFESELVARLADFIQYDWYRSRRSSMSVEDDVSNSNESSSHRLTVIGTTGFSIQPGYESGGESLQQASVSVGFPTVQSVSDVIEMEPTVSERRVRFAIDYEPESDARSEVGVQMQEELEDLYAAQEAGIAFILGHSHVRAKQGSSVLKKLALNYGYNFLRRNCRGPDVALKVPPVSLLEVGMVYIV >Vigun09g173100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34326404:34327096:-1 gene:Vigun09g173100.v1.2 transcript:Vigun09g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTYINTPSKPFSNPTLHFFFLFLLRYFPRHPHMAPFNYGDDYIGTESCNIDLNDDIVLSETNTVVVSKNSAKRKEKRELPPPIPMEFVMRRYCTADGRLILKEEKLKHHECFTTHRANGRLTIHLVPLYQEEEEREEEDDEEQEEEEAPTPFAIRLRAEQVEDQCRSITVQG >Vigun11g191600.1.v1.2 pep primary_assembly:ASM411807v1:11:39098968:39103065:1 gene:Vigun11g191600.v1.2 transcript:Vigun11g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKKHGAVLAFTDGAVLPVSQVFEAIRELGNEGVQESDPLVLTSASLLSNLPVESSSVDSAILIWLSSDCPGAQLIQEILRVLKVGGIILIRKSPQSTVGSFDKIISDLQGKLLLAGFSETQILQSTGVKAKKPSWKVGSSFSLKKVIKSSPKIQIDVDSDLIDEDSLLTEEDLKKPQLPPGDCEIGSTRKACKNCTCGRAEEEEKVLKLGLTAEQINNPQSACGSCGLGDAFRCSTCPYKGLPPFKLGEKVALSGNFLAADI >Vigun06g229200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33649550:33650452:1 gene:Vigun06g229200.v1.2 transcript:Vigun06g229200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFGGVWVRCIVVAILLKAVSVMCRPTREFDSMLSTVRARGYDLFCNAIVTSDLQMDILFHQNDNKATSRAFTFFAPTDASLFALDMTQTASSYTDTLRFHVVPRRLSLAHLRLLPDGYTLPTLLPQRFLQLTRPNSSAIAVGGVDVAFPGLYYGHYFAVHGLAGILSPRSNDYPSPSPSPSPAELAPPIRSRSSPKSPANQPVLAPVPNFAPLRVSPVDAPASATSPVGSSEEEPEWKGYPPAGLPPRYPGSAISQPPEGYSDALASAPAPEALERMRKCLNPVGGLEESDMQCHSA >Vigun08g187300.1.v1.2 pep primary_assembly:ASM411807v1:8:35624145:35624893:1 gene:Vigun08g187300.v1.2 transcript:Vigun08g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSTSVFGLVIVLLLTVAAMKPVNGFSCVRAKLSLLPCLPFLTTNQESPSSACCNGVRNVRASAPTKPELREACKCLKATVNETPNLNKDKAVQLPKLCNVDVGFPISKDIDCNTISL >Vigun09g259800.3.v1.2 pep primary_assembly:ASM411807v1:9:42499303:42505280:-1 gene:Vigun09g259800.v1.2 transcript:Vigun09g259800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASLNSVLDKLVIKVVPMENPNGRKVVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGVAPFSEPESQIMRKLAISFEPHLWINVHSGMEALFMPYDHKNTTLDGLPLKRMKSFLEEVSHHHCQGRCMIGSGGGSVGYFAHGTATDFMYDVVGVPMAFTFEIYGDGTASSKDCFKMFNPIDPATYNRVLSDWSATFFTIFKLVPLQIGEKAPILKLEKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKSKCSRPMVSSMPL >Vigun09g259800.1.v1.2 pep primary_assembly:ASM411807v1:9:42499303:42505280:-1 gene:Vigun09g259800.v1.2 transcript:Vigun09g259800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIFLLLSISISYLVFFQDLLLSVNAQNQNITQDSVTPINYDLYHSSRNLMGEIRALVRRHPDKLTMETLKAANKGYGAQINVVTYGKENEEKDKRSKLRILLSFGQHGRELITTELALRILSILSEEILLPSMDQASLNSVLDKLVIKVVPMENPNGRKVVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGVAPFSEPESQIMRKLAISFEPHLWINVHSGMEALFMPYDHKNTTLDGLPLKRMKSFLEEVSHHHCQGRCMIGSGGGSVGYFAHGTATDFMYDVVGVPMAFTFEIYGDGTASSKDCFKMFNPIDPATYNRVLSDWSATFFTIFKLVPLQIGEKAPILKLEKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKSKCSRPMVSSMPL >Vigun09g259800.2.v1.2 pep primary_assembly:ASM411807v1:9:42499303:42505280:-1 gene:Vigun09g259800.v1.2 transcript:Vigun09g259800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAANKGYGAQINVVTYGKENEEKDKRSKLRILLSFGQHGRELITTELALRILSILSEEILLPSMDQASLNSVLDKLVIKVVPMENPNGRKVVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGVAPFSEPESQIMRKLAISFEPHLWINVHSGMEALFMPYDHKNTTLDGLPLKRMKSFLEEVSHHHCQGRCMIGSGGGSVGYFAHGTATDFMYDVVGVPMAFTFEIYGDGTASSKDCFKMFNPIDPATYNRVLSDWSATFFTIFKLVPLQIGEKAPILKLEKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKSKCSRPMVSSMPL >Vigun03g258650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42482880:42483926:-1 gene:Vigun03g258650.v1.2 transcript:Vigun03g258650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIRWEMKRHEIDIRENFKEKKFDAVGTQQFYAEGAVEDVYAYEDDARDTNLAFGLSMRSAMVTENPYVAAGCVLSMLSRSWAKLGPCIGETCAEKGIRFIRVKVPSQAERFIVNIDHSKKFTRSEILIYHGYFILLILKNVSSKDYDAVFTKHINDMKVVAGYPEEKEIRPVFKLKMAKTVNTILRSDRQLCKQIIECLLEEREREKDVGRIANYVLEIMAWSDLNAYKCIHEYLMLTSSPVFIHEAVQAEVRPLMEAFAMIARCKYPQFFVYYGSSEDVGKLKRFRFPLLSTIAKMVQEKTSSSTAFHFVIPLTGEQVKIATELADYHIKTVKTRPLEMPFKLS >Vigun01g024900.4.v1.2 pep primary_assembly:ASM411807v1:1:2806035:2812734:-1 gene:Vigun01g024900.v1.2 transcript:Vigun01g024900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSSVVIVGAGISGIAAAKVLAENGVEDLVILEASDRVGGRIRKESFGGVSVELGAGWIAGVGGPLSNPVWELAAQFGIRTCFSDYSNARYNIYDRSGNIIPSGIAADSYKKAVDSAIQRLRKQEEEEGEEEKANRGHGDDRISSNRNNNESKRPSTPETPVELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYDYLLYKMAEEFLFTSEGRILDNRLKLNKVVRELQYSKSGVTVKTEDGCVYEANYVILSVSIGVLQSDLLAFNPPLPRWKLEAIEKCDVMVYTKIFLKFPYKFWPSGPDKEFFIYAHERRGYYTFWQCPGSSRPIIDFA >Vigun01g024900.2.v1.2 pep primary_assembly:ASM411807v1:1:2803626:2812734:-1 gene:Vigun01g024900.v1.2 transcript:Vigun01g024900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSSVVIVGAGISGIAAAKVLAENGVEDLVILEASDRVGGRIRKESFGGVSVELGAGWIAGVGGPLSNPVWELAAQFGIRTCFSDYSNARYNIYDRSGNIIPSGIAADSYKKAVDSAIQRLRKQEEEEGEEEKANRGHGDDRISSNRNNNESKRPSTPETPVELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYDYLLYKMAEEFLFTSEGRILDNRLKLNKVVRELQYSKSGVTVKTEDGCVYEANYVILSVSIGVLQSDLLAFNPPLPRWKLEAIEKCDVMVYTKIFLKFPYKFWPSGPDKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQPDEETLREAMAVLRDMFGSDIPDAIDILVPRWWNNRFQRGSYSNYPIISNHQVFQNIKAPVGRIFFTGEHTSERFNGYVHGGYLSGIDTSKALLEEMKKEKERKTESQTLLLEPLLALTGSLTMSKSETVSNIHKCDIPTQLYLSGKLGIPEAIL >Vigun01g024900.3.v1.2 pep primary_assembly:ASM411807v1:1:2803626:2812734:-1 gene:Vigun01g024900.v1.2 transcript:Vigun01g024900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSSVVIVGAGISGIAAAKVLAENGVEDLVILEASDRVGGRIRKESFGGVSVELGAGWIAGVGGPLSNPVWELAAQFGIRTCFSDYSNARYNIYDRSGNIIPSGIAADSYKKAVDSAIQRLRKQEEEEGEEEKANRGHGDDRISSNRNNNESKRPSTPETPVELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYDYLLYKMAEEFLFTSEGRILDNRLKLNKVVRELQYSKSGVTVKTEDGCVYEANYVILSVSIGVLQSDLLAFNPPLPRWKLEAIEKCDVMVYTKIFLKFPYKFWPSGPDKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQPDEETLREAMAVLRDMFGSDIPDAIDILVPRWWNNRFQRGSYSNYPIISNHQVFQNIKGRDNPELYFVLSGCLICSFSFTLLPL >Vigun05g220700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41204692:41206249:-1 gene:Vigun05g220700.v1.2 transcript:Vigun05g220700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKEQKLVQKCKIGPTMGSTSSVPLSFLDLPLAGPIYVRRQFFFHFPHSTLHFSQTTLPSLKTSLSQTLQLFFPLAGNLLCPPPPHKPFIRCTHDDSVPFTIIESKADFNHLSSNHPKSLKHLEHLIPKLACTNTHDDTFVFPLLALQATVFPNQGLCVAITYCHVMDDGCCSSFMKSWASFCRSGGVDLTLAEKSTPCLDRGVLKDPKGLEAIFLRDYFEERSTWKEKLAGKTSAVCNAEDYVKATIVLGRDDIERLRRLVLNHWKRSEEEFNTSQHVSKFVVACAFVWVCLVKTRHRSDYEEEDKEEYFRFAADCRDRLEPPVPETYFGNCLTLCFAMLKRKELMGEGGFVNAVNVMRRAVSDVKSDLFKDAEKWRETFTRMFALGSTLIVTGSPKFTVYETDFGFGKPKKVEMVHSMKGVSLAESGEKEGGVEVGLVCTVTEFEYLVSVVEQELQGSKS >Vigun08g055700.1.v1.2 pep primary_assembly:ASM411807v1:8:6923137:6930483:1 gene:Vigun08g055700.v1.2 transcript:Vigun08g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKTEAYEEDLLDYEDEEEKEPEGAANKVNGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICNEFERFSTYLSDLKVAVFYGGVNIKVHKDLLKNECPSIVVGTPGRILGLARDKDLSLKNVRHFILDECDKMLESLDMRKDVQAIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQIVIFVKSVSRAAELDKLLRECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSTDVDVLNNVQSRFEVDIKQLPEQIDTASYMPS >Vigun03g381700.1.v1.2 pep primary_assembly:ASM411807v1:3:58619231:58624794:-1 gene:Vigun03g381700.v1.2 transcript:Vigun03g381700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQSAQHENHDFIFRSKLPDINIPSHLPLHTYIFQNISQFSHRPCLINAATGDCFTYADVQLTARRVAAGLTKLGIQHGDVILLLLQNCPQFVFAFLGSSFCGATITTANPFYTPAEVAKQAAASNTKLIITEASFVDKVKDFARENDVRVMCVDAAPEGCLHFSELTEANENDIPGVKISPDDVVALPYSSGTTGLPKGVMLTHKGMVTSVAQQVDGENPNLYIRSEDVVLCVLPLFHIYALCTVLLCSLRVGAAVLIMPKFDMVKLLELVEKHKVSVTPFVPPIILAIAKSEDLQRYDLSSIRMIICAAAPVGEEVSNSMRVKVPSAIFGQGYGMTEVSSISFSLGFAKEAVDVKAGSIGSVVRNTELKIVDLQTSASLPRNKAGEICIRGNQIMKGYLNNEEATKNTIDTEGWLHTGDIGYIDDDDELFIVDRMKELIKYKGFQVAPAELEAILIAHPDISDAAVVSMKDEVAGEVPVAFVVRSKASNISEQEIKQYISNQVVFYKRVTRVFFVGSIPKSATGKILRREIMARL >Vigun05g187966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36415710:36420759:-1 gene:Vigun05g187966.v1.2 transcript:Vigun05g187966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVEEIVCSYDLPETSDKSSRCALCFAIDHNTNKYKQLQEEALRENLNDNYLFCPTVLDISCDNFEHFQKHWGKGHSIVVQDVLQSTLELN >Vigun09g105500.1.v1.2 pep primary_assembly:ASM411807v1:9:19492637:19498610:1 gene:Vigun09g105500.v1.2 transcript:Vigun09g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQQGGQGQSGAYPGAAAGSAGAGAGAPPFQHLLHQQQQQLQMFWSYQRQEIEHVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDDAALVGATASGVPYYYPPIGQPAGMMIGRPAVDPATGVYVQPPSQAWQSVWQSAADDASYGGAGGAGAQGSIDGQS >Vigun05g004200.1.v1.2 pep primary_assembly:ASM411807v1:5:341268:344020:-1 gene:Vigun05g004200.v1.2 transcript:Vigun05g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLVIGRIIGEILDPFRSSVSLRVVYKNQYDVMNSCELKPSQIVNKPRVLIGGDDDLRVFYTLIVVNPDAPSPSHPCLKEYLHWLVTNIPATTAASFGHEIVEYESPRPTSGIHRIAFVLFRQLGRQIVHAPRWRHNFNTRDFAQVYNLGLPVAAVYFNCQRETGWGGRRA >Vigun02g149500.1.v1.2 pep primary_assembly:ASM411807v1:2:29675380:29681978:-1 gene:Vigun02g149500.v1.2 transcript:Vigun02g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIESSVWELNPSIYIFIFFSCCFSIFLFPHASNLNRTSTIFDHGTSHSFLHFQRNFLFVYSLASVMEGLWSVFGEYEFASYGIGRETMVKSLCYGYATALFAAPFLGVFSDVIGHKKSSLIFCILHFIFGVWKRISEQPSVFMTTICLSLANTIFSFSFENWMVTQHEKQGHRLDSLNDTYWLMSFFESASFIASQMFANWLIGNNTEKNTAPSFVVIFFAATCFTFITRGWTETSGTASLKDYSRSFYAYILGDKRIWLLAWAQTCLHFSIGIFWILWAPTVVADGREVQLGLIYPCFLGSRMIGSTAFPCLTSGPSSLRTEDCLVFAYIILAFLLSIVAYDYQEIGVLVTLFCLFHACVGFVLPSLARLRTMYVPNELRGGMMGFSLALANGAILLSVVQGGYYRNVGNAALMTFGVSGLLLAAGCMHALKQWGKQPYNNWRKQ >Vigun02g149500.3.v1.2 pep primary_assembly:ASM411807v1:2:29675380:29681978:-1 gene:Vigun02g149500.v1.2 transcript:Vigun02g149500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRMESAGKLWLSLCVTDMQQLSSLHLSLACFRIGHKKSSLIFCILHFIFGVWKRISEQPSVFMTTICLSLANTIFSFSFENWMVTQHEKQGHRLDSLNDTYWLMSFFESASFIASQMFANWLIGNNTEKNTAPSFVVIFFAATCFTFITRGWTETSGTASLKDYSRSFYAYILGDKRIWLLAWAQTCLHFSIGIFWILWAPTVVADGREVQLGLIYPCFLGSRMIGSTAFPCLTSGPSSLRTEDCLVFAYIILAFLLSIVAYDYQEIGVLVTLFCLFHACVGFVLPSLARLRTMYVPNELRGGMMGFSLALANGAILLSVVQGGYYRNVGNAALMTFGVSGLLLAAGCMHALKQWGKQPYNNWRKQ >Vigun02g149500.2.v1.2 pep primary_assembly:ASM411807v1:2:29675380:29681978:-1 gene:Vigun02g149500.v1.2 transcript:Vigun02g149500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIESSVWELNPSIYIFIFFSCCFSIFLFPHASNLNRTSTIFDHGTSHSFLHFQRNFLFVYSLASVMEGLWSVFGEYEFASYGIGRETMVKSLCYGYATALFAAPFLGVFSDVIGHKKSSLIFCILHFIFGVWKRISEQPSVFMTTICLSLANTIFSFSFENWMVTQHEKQGHRLDSLNDTYWLMSFFESASFIASQMFANWLIGNNTEKNTAPSFVVIFFAATCFTFITRGWTETSGTASLKDYSRSFYAYILGDKRIWLLAWAQTCLHFSIGIFWILWAPTVVADGREVQLGLIYPCFLGSRMIGSTAFPCLTSGPSSLRTEDCLVFAYIILAFLLSIVAYDYQEIGVLVTLFCLFHACVGFVLPSLARLRTMYVPNELRGGMMGFSLALANGAILLSVVQGGYYRNVGNAALMTFGVSGLLLAAGCMHALKQWGKQPYNNWRKQ >Vigun09g094200.1.v1.2 pep primary_assembly:ASM411807v1:9:13768887:13773265:1 gene:Vigun09g094200.v1.2 transcript:Vigun09g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLPKEKPKSLALRRNLQRLHLELRNIIQGVKRNNGTWGLPRYGVGEELLYRTEANGSQSYSGNYSEFNYTDEEAVIKVKFSARAEPLLTPFIVNESAINSTCVHPGEDFSSKATQISQSKGYATDAPRDSGDEEDIEEKRLMESFVQEIEDLTELQKPHQEENRMNFDSLSLDLDETEKKIQHDDDLLA >Vigun09g240200.1.v1.2 pep primary_assembly:ASM411807v1:9:41014053:41018230:1 gene:Vigun09g240200.v1.2 transcript:Vigun09g240200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTSNGSDSQATEQNHQTPPPPPPQPVPVPPQWLPMQYPAAAMVMQHHMMPPQHYAAPPPPPQPYVAYHQYQQQLPHVSHAHHQGSSAENKTVWVGDLHHWMDENYLHRCFATTGEISSIKVIRNKQTGLSEGYGFVEFYSHGTAEKVLQTYGAILMPNTEQPFRLNWASFSTGDKRSDNVPDLSIFVGDLAADVTDSMLHETFSNRYPSVKAAKVVFDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKTSGYQQGSQSNGTSNQSEADSTNTTIFVGGLDPNVTAEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTTIGKQMVRLSWGRNPANKQFRGELGSPWSGAYYGGTVYDGYGYALPAPHDPSIYAAAYGAYPIYGGHQQQVS >Vigun01g144200.1.v1.2 pep primary_assembly:ASM411807v1:1:32566186:32569973:-1 gene:Vigun01g144200.v1.2 transcript:Vigun01g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKKPTAKEALRESKREMANATRGVEKEIGALQSEEKKLLAEIKRTAKTGNEAATKTLARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAAGMKGATKAMAAINKQMEPAKQAKIIQDFQKQSAQMDMTTEMMSDAIDDALDNDEAEEETDELTNQVLDEIGVDVASQLSAAPKGRIRTKNTEDVSSSGIDELEKRLAALKNP >Vigun01g113300.1.v1.2 pep primary_assembly:ASM411807v1:1:28814428:28817149:-1 gene:Vigun01g113300.v1.2 transcript:Vigun01g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLGRVRKVKQSVAHLRHLCDNPQKHIQDLELLKCFKSEIQFELSSNQFQNAETGSLGDFVMESDSLSSKDVVLRRKFDSGEEVAVSAILGPPNYDKDTVFPRDAFMKVCVKKPTLSSILQFDCGVHEETDKGSDFDIYNAYYLRSPAFLKPSNYRGPLFSTLDSELQHALKEYLIVKGIGVSLTNFLLHYLHKREQKQYLNWLKKGEAAFLATEGSLRQSVEGLQ >Vigun01g113300.2.v1.2 pep primary_assembly:ASM411807v1:1:28814428:28817149:-1 gene:Vigun01g113300.v1.2 transcript:Vigun01g113300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSLSSKDVVLRRKFDSGEEVAVSAILGPPNYDKDTVFPRDAFMKVCVKKPTLSSILQFDCGVHEETDKGSDFDIYNAYYLRSPAFLKPSNYRGPLFSTLDSELQHALKEYLIVKGIGVSLTNFLLHYLHKREQKQYLNWLKKGEAAFLATEGSLRQSVEGLQ >Vigun04g083600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13163663:13164928:1 gene:Vigun04g083600.v1.2 transcript:Vigun04g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVHKFFTFFFSIYLLGYFVIFRKWGPKIRPEASSCLISLFHGTPAAVLAAAAVLSAENRSLAAANTNFQNLVLDYSAAYFAADLVHLATFFAGGGDLTFVFHHFATLFVILTCRHVALHGAVAVLILLAVAEVTSAPQNAWALARARRNDAQFAASVARVLSVPFYGLYSVVRGLLGPYVVFRMAAFYSGGGAAGVIATWVWISWVVVVSVAIAGSIAWVSNLWIEVYEERSREVEEKIR >Vigun07g148501.1.v1.2 pep primary_assembly:ASM411807v1:7:25924652:25925642:-1 gene:Vigun07g148501.v1.2 transcript:Vigun07g148501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVEYVNRSPDNWAHNLSGPVDVPVVVGPRKLNTVIRSLVSKHMQPATLSQRFCCKGRRHEI >Vigun01g205300.4.v1.2 pep primary_assembly:ASM411807v1:1:38100522:38107595:1 gene:Vigun01g205300.v1.2 transcript:Vigun01g205300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTRALTATAFRHWTGSNFASTFAAQSVYASAKCVTDFINQSSQGVAGFGSEHKECGIRSFHASSQVWARSDEPLGLKTPKRVKYARRDGRNQPIVRPNVSANKSNPDKTVEIFEGMTLVELAKRTGKSVSSLQDILTNVGEKVESEFELLSMDVAELAAMEAGINVKRLHSAEGAEILPRPAVVTVMGHVDHGKTSLLDALRQTSVAAREAGGITQHLGAFVVVMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPGANPEKVKLQLASEGLLLEEMGGDVQVVEVSATEKIGLDNLEEALLLQADMMDLKARIDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVVGSQWGRIRAIKDTAGKLTQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRQKKYEENRLRNKMIQDKPTTSDDSMEVPLRVEMPVIVKADVQGTVQAVTDALKTLNSAQVLVNVVHVGVGPLSQSDVDLAQACGACIVGFNVKSPPTALTQAATRASIKIILHRVIYHLLEDIGNLIIEKAPGTSETQVAGQAEVLNIFEIKGSKSKGPDVKIAGCRVIDGSVTRSATMRLLRSGEVVFEGNCSSLKREKQDVDTVKKGSECGLVISNWYDFQVGDVIQCLEQVVRKPKFIKSESGAVRIEC >Vigun01g205300.2.v1.2 pep primary_assembly:ASM411807v1:1:38101127:38107595:1 gene:Vigun01g205300.v1.2 transcript:Vigun01g205300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTRALTATAFRHWTGSNFASTFAAQSVYASAKCVTDFINQSSQGVAGFGSEHKECGIRSFHASSQVWARSDEPLGLKTPKRVKYARRDGRNQPIVRPNVSANKSNPDKTVEIFEGMTLVELAKRTGKSVSSLQDILTNVGEKVESEFELLSMDVAELAAMEAGINVKRLHSAEGAEILPRPAVVTVMGHVDHGKTSLLDALRQTSVAAREAGGITQHLGAFVVVMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPGANPEKVKLQLASEGLLLEEMGGDVQVVEVSATEKIGLDNLEEALLLQADMMDLKARIDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVVGSQWGRIRAIKDTAGKLTQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRQKKYEENRLRNKMIQDKPTTSDDSMEVPLRVEMPVIVKADVQGTVQAVTDALKTLNSAQVLVNVVHVGVGPLSQSDVDLAQACGACIVGFNVKSPPTALTQAATRASIKIILHRVIYHLLEDIGNLIIEKAPGTSETQVAGQAEVLNIFEIKGSKSKGPDVKIAGCRVIDGSVTRSATMRLLRSGEVVFEGNCSSLKREKQDVDTVKKGSECGLVISNWYDFQVGDVIQCLEQVVRKPKFIKSESGAVRIEC >Vigun01g205300.3.v1.2 pep primary_assembly:ASM411807v1:1:38100522:38107595:1 gene:Vigun01g205300.v1.2 transcript:Vigun01g205300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRELGKKTIYMNFTRALTATAFRHWTGSNFASTFAAQSVYASAKCVTDFINQSSQGVAGFGSEHKECGIRSFHASSQVWARSDEPLGLKTPKRVKYARRDGRNQPIVRPNVSANKSNPDKTVEIFEGMTLVELAKRTGKSVSSLQDILTNVGEKVESEFELLSMDVAELAAMEAGINVKRLHSAEGAEILPRPAVVTVMGHVDHGKTSLLDALRQTSVAAREAGGITQHLGAFVVVMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPGANPEKVKLQLASEGLLLEEMGGDVQVVEVSATEKIGLDNLEEALLLQADMMDLKARIDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVVGSQWGRIRAIKDTAGKLTQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRQKKYEENRLRNKMIQDKPTTSDDSMEVPLRVEMPVIVKADVQGTVQAVTDALKTLNSAQVLVNVVHVGVGPLSQSDVDLAQACGACIVGFNVKSPPTALTQAATRASIKIILHRVIYHLLEDIGNLIIEKAPGTSETQVAGQAEVLNIFEIKGSKSKGPDVKIAGCRVIDGSVTRSATMRLLRSGEVVFEGNCSSLKREKQDVDTVKKGSECGLVISNWYDFQVGDVIQCLEQVVRKPKFIKSESGAVRIEC >Vigun01g205300.1.v1.2 pep primary_assembly:ASM411807v1:1:38101105:38107603:1 gene:Vigun01g205300.v1.2 transcript:Vigun01g205300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRELGKKTIYMNFTRALTATAFRHWTGSNFASTFAAQSVYASAKCVTDFINQSSQGVAGFGSEHKECGIRSFHASSQVWARSDEPLGLKTPKRVKYARRDGRNQPIVRPNVSANKSNPDKTVEIFEGMTLVELAKRTGKSVSSLQDILTNVGEKVESEFELLSMDVAELAAMEAGINVKRLHSAEGAEILPRPAVVTVMGHVDHGKTSLLDALRQTSVAAREAGGITQHLGAFVVVMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPGANPEKVKLQLASEGLLLEEMGGDVQVVEVSATEKIGLDNLEEALLLQADMMDLKARIDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVVGSQWGRIRAIKDTAGKLTQRATPAMPVEIEGLRGLPMAGDDVIVVHSEERARMLSSGRQKKYEENRLRNKMIQDKPTTSDDSMEVPLRVEMPVIVKADVQGTVQAVTDALKTLNSAQVLVNVVHVGVGPLSQSDVDLAQACGACIVGFNVKSPPTALTQAATRASIKIILHRVIYHLLEDIGNLIIEKAPGTSETQVAGQAEVLNIFEIKGSKSKGPDVKIAGCRVIDGSVTRSATMRLLRSGEVVFEGNCSSLKREKQDVDTVKKGSECGLVISNWYDFQVGDVIQCLEQVVRKPKFIKSESGAVRIEC >Vigun07g207300.2.v1.2 pep primary_assembly:ASM411807v1:7:32870565:32874609:1 gene:Vigun07g207300.v1.2 transcript:Vigun07g207300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVIVEIDLYKMEPWDIQDRCKLGYEQQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAVMTKNRIIGMRKTLVFYKGRAPNGRKTDWIMHEYRHQTSEHGPPQEEGWVVCRAFRKPSPSHRQGYEPWCSSSSSSSHQQHFFRDQSFARPLSIADILNETNVPHHHHHPAEAPSFTLPFNSDNQQHFLLPNQTVMDKQLIELPQLDSPTASFAMKDCNQQQHHNGLTNEEYCSDERSNSSAQGIDWKSLDNLFGSQLSDTPYFSHPNLPLMMPHNNHDQPSHILGCFPDS >Vigun07g207300.1.v1.2 pep primary_assembly:ASM411807v1:7:32869282:32874609:1 gene:Vigun07g207300.v1.2 transcript:Vigun07g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQIPERSSVDMESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVIVEIDLYKMEPWDIQDRCKLGYEQQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAVMTKNRIIGMRKTLVFYKGRAPNGRKTDWIMHEYRHQTSEHGPPQEEGWVVCRAFRKPSPSHRQGYEPWCSSSSSSSHQQHFFRDQSFARPLSIADILNETNVPHHHHHPAEAPSFTLPFNSDNQQHFLLPNQTVMDKQLIELPQLDSPTASFAMKDCNQQQHHNGLTNEEYCSDERSNSSAQGIDWKSLDNLFGSQLSDTPYFSHPNLPLMMPHNNHDQPSHILGCFPDS >Vigun06g032400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13926563:13927040:1 gene:Vigun06g032400.v1.2 transcript:Vigun06g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMDLCVFGP >Vigun07g092233.1.v1.2 pep primary_assembly:ASM411807v1:7:14433404:14434661:1 gene:Vigun07g092233.v1.2 transcript:Vigun07g092233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIIVGDNNLEEIILGIYFTKSNEWTGAYSTKVDVAKAHDLVSIRIGGLKALTNFHVRCYSKDMDEMRRMSK >Vigun05g095100.2.v1.2 pep primary_assembly:ASM411807v1:5:9224881:9234215:-1 gene:Vigun05g095100.v1.2 transcript:Vigun05g095100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSLSTSFLCTHSFKSPKLKLKPTTEPHCFARLRVRVPSRASSRNDNANTDSVEAPSVSHDSSSSLRRRFSKGWFKFDELGMEIVLIALPAALALAADPIASLIDTAFVGHIVELAAVGVSASVFNLVSKAFNVPLLNITTSFVAEEQALINREVESSPKDGNGKYQSKKLLPSVSTSLALAAALGIGETVALTLGSGILLNIMGIPADSPMRGPAEQFLSLRAFGSPAIVLALAAQGTFRGFLDTKTPLYAVGAGNFLNAILDPILIFVFGLGVSGAAVATVISEYLTAFILLWKLSGKVLLIPFEFDGRKFFSYLKSGGLLTARTLAVFITVTLSTSVAAQQGPIPMAGHQICMQVWLSVSLLTDALALAGQALLASSYSVGNYKQARLVIYRVIQIGLGAGFSLSMILFFWFGSFSTLFSTDSEVLDVARSGIWFIAGSQPVNALAFVIDGLYYGVSDYGFAAYSMVLVGLVSSAFLLVAAPAGLPGVWTGLFIFMALRVVAGVWRLSSKSGPWDTIWYKDGAED >Vigun05g095100.1.v1.2 pep primary_assembly:ASM411807v1:5:9224881:9234215:-1 gene:Vigun05g095100.v1.2 transcript:Vigun05g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSLSTSFLCTHSFKSPKLKLKPTTEPHCFARLRVRVPSRASSRNDNANTDSVEAPSVSHDSSSSLRRRFSKGWFKFDELGMEIVLIALPAALALAADPIASLIDTAFVGHIGAVELAAVGVSASVFNLVSKAFNVPLLNITTSFVAEEQALINREVESSPKDGNGKYQSKKLLPSVSTSLALAAALGIGETVALTLGSGILLNIMGIPADSPMRGPAEQFLSLRAFGSPAIVLALAAQGTFRGFLDTKTPLYAVGAGNFLNAILDPILIFVFGLGVSGAAVATVISEYLTAFILLWKLSGKVLLIPFEFDGRKFFSYLKSGGLLTARTLAVFITVTLSTSVAAQQGPIPMAGHQICMQVWLSVSLLTDALALAGQALLASSYSVGNYKQARLVIYRVIQIGLGAGFSLSMILFFWFGSFSTLFSTDSEVLDVARSGIWFIAGSQPVNALAFVIDGLYYGVSDYGFAAYSMVLVGLVSSAFLLVAAPAGLPGVWTGLFIFMALRVVAGVWRLSSKSGPWDTIWYKDGAED >Vigun03g324800.1.v1.2 pep primary_assembly:ASM411807v1:3:52080750:52084096:-1 gene:Vigun03g324800.v1.2 transcript:Vigun03g324800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNDERGVNVMNRFGYTLPFNGTSSPKSSLSEERRQSIGPTFHVERVVESPVIQRLHGNACDMQSSDQSSSRRIFGYDGSESRSYSSKLFSLFGGQKREEEIVKDEVEKKKQGNSSREIPSTMSDKKLDKVGETQTRTLSCVVSQKPKKVSQLFPENPSKARSPIAKSPTAKPPIDRKNDSPMKKQNAAVHRVKKTVGGRSLSSGQIEISSGTALDKPELGQALLNQARDVISSGTNRTRALELALQAKKLFERNAAYGRPNLDLAMCLHVTAVIHCTLGNFNEAIPLVERSIEIPAIEEGQQHAIAKFAGHMHLGDIYAMSGQLEKSIKCYMEGLELQKKVLGETDARVGETCRYVAEANFHALQFEEAERMCQTALDIHRANGSPSSLEEAADRRLMGLICEADGKHEAALEHLVLASMAMVANGQEVEVAFVDCNIGDTYLSLARYDEAIFGYQRALRVFKIHKGENHPAVGSVYVRLADLYSRTWKIKESKLYCEEALKIYENPMPGVPPEETANGFMNVSAIYESINELEEALKLLHKALTILNDVSGQINTIAGIEAQMGVMYFVLKNYTESYNCLKNAVSKLRASGGKKSAFFGTVLNQMGLACVQLHSLDEAAALFEEARAILEQENGPYHTETLGVCGNLAGTYDATGRLDEAIEILENIVVMREEKLGTANPDVADEKRRLEELLKETGKVRNRKTKSLENLFDHDYI >Vigun03g324800.2.v1.2 pep primary_assembly:ASM411807v1:3:52080750:52083725:-1 gene:Vigun03g324800.v1.2 transcript:Vigun03g324800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNDERGVNVMNRFGYTLPFNGTSSPKSSLSEERRQSIGPTFHVERVVESPVIQRLHGNACDMQSSDQSSSRRIFGYDGSESRSYSSKLFSLFGGQKREEEIVKDEVEKKKQGNSSREIPSTMSDKKLDKVGETQTRTLSCVVSQKPKKVSQLFPENPSKARSPIAKSPTAKPPIDRKNDSPMKKQNAAVHRVKKTVGGRSLSSGQIEISSGTALDKPELGQALLNQARDVISSGTNRTRALELALQAKKLFERNAAYGRPNLDLAMCLHVTAVIHCTLGNFNEAIPLVERSIEIPAIEEGQQHAIAKFAGHMHLGDIYAMSGQLEKSIKCYMEGLELQKKVLGETDARVGETCRYVAEANFHALQFEEAERMCQTALDIHRANGSPSSLEEAADRRLMGLICEADGKHEAALEHLVLASMAMVANGQEVEVAFVDCNIGDTYLSLARYDEAIFGYQRALRVFKIHKGENHPAVGSVYVRLADLYSRTWKIKESKLYCEEALKIYENPMPGVPPEETANGFMNVSAIYESINELEEALKLLHKALTILNDVSGQINTIAGIEAQMGVMYFVLKNYTESYNCLKNAVSKLRASGGKKSAFFGTVLNQMGLACVQLHSLDEAAALFEEARAILEQENGPYHTETLGVCGNLAGTYDATGRLDEAIEILENIVVMREEKLGTANPDVADEKRRLEELLKETGKVRNRKTKSLENLFDHDYI >VigunL016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:894597:895244:1 gene:VigunL016700.v1.2 transcript:VigunL016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSIQIIYATSTSLGQRNIKKRIAYSSVSHMGFLILGIGSISETGLNEAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFKVLLGIITNQKYLFITKILITFVTAIGMLLTPIYLLSILCQMFYGYKLFNKQNFYFFDSRPRELFISISILILVISIGIYPDFIFSFSADKVEAILSH >Vigun03g357200.1.v1.2 pep primary_assembly:ASM411807v1:3:55899437:55903240:-1 gene:Vigun03g357200.v1.2 transcript:Vigun03g357200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGIDVAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQGPGHCNPEYRIDTGSTSKCNNRIAMRPPTTNVTEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLDSNNQAKMENVSEKHLMSRAALMNK >Vigun03g097000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8210232:8211332:1 gene:Vigun03g097000.v1.2 transcript:Vigun03g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLGPVHISAHTVVAMDGSRVAQTLDSLWFYTNIFASTHHHPLTPFTHQFSPSINAQESPHRDFQKPFTSFLVNQQDQHRKVETLTPECDEFGGIISSETGRERNKRERRKRRKLGNNVVDERVDLWFDKLEEESLKHHEMLHIQHQTKMPPLDDAVAMKKHLKSWAYAVAVSALSDEITL >Vigun11g025900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3336189:3337844:1 gene:Vigun11g025900.v1.2 transcript:Vigun11g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAWQNENEEEDNLISSLPKERGWSFPYVFLFEQFWCPSPLIRERNIFQKHFQAKQSDVLVASFPKSGTTWLKALTFAIVNHKNFSKENHPLLTSNPHQLVPPLYTFRGNIHDQILHLSNIPEPRLFGTHIPFPSLPRSIIESNCRIIYVCRNPFDTFISAWAFYNQIKVESLPTLTKEEAFEMFCNGVIEFGPWWSHMLGYWNESVARPNTVLFLKYEDLKEDVNSQVKKVAEFLGCAFTEEEESDGVIESIAKLCSFEEMKDLEVNKSGTMNFEIKRFENNLFFRKGETGDWVNHFSPSMVEKLSKIIEEKFSGSGLSFKMHT >Vigun02g163400.1.v1.2 pep primary_assembly:ASM411807v1:2:30821912:30825015:1 gene:Vigun02g163400.v1.2 transcript:Vigun02g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQKEDLGLSLSLSFPHNAPTPLHLNLLSTPTYSSSPSSHNPHKPSWNDPFASSGEAGSFLRGIDVNRLPSAVDCEEEAGVSSPNSTVSSVSEKRSEREANGEEEHDTERGCFRGISDEEEGENCRKKLRLSKDQSIILEESFKEHSTLNPKQKLALAKQLGLRARQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMHMTPPTTLTMCPSCERVAVPSSAAVDAAARHHHVPQTHSRAFPIGPWATSAAPISHRPFDALRPRS >Vigun06g044400.1.v1.2 pep primary_assembly:ASM411807v1:6:16852418:16858900:1 gene:Vigun06g044400.v1.2 transcript:Vigun06g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNNNSNLLISPKEEEFQTPPSKVSDSRINEEPIAANPISFYISEDSLIHCHDMVGMPTNKPETPSSTQNSSSRRGRRPKQAPEWIENWDVESKKRPNGNRFDKTYRHKEKGFLCRSLLECERYEMHGIRPQPRRVKKQEKEKEESSNKSKTETEEMVLGNENNQVAETEEAIIARRREEAESMKDIVEEFLAEAHYNQLHMFNP >Vigun10g074700.3.v1.2 pep primary_assembly:ASM411807v1:10:20094990:20103769:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.7.v1.2 pep primary_assembly:ASM411807v1:10:20094989:20103770:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.5.v1.2 pep primary_assembly:ASM411807v1:10:20095006:20106074:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.11.v1.2 pep primary_assembly:ASM411807v1:10:20095003:20103710:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRYGVVGGVYFGGLLVGFREEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.2.v1.2 pep primary_assembly:ASM411807v1:10:20094990:20103769:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.12.v1.2 pep primary_assembly:ASM411807v1:10:20095003:20103710:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRYGVVGGVYFGGLLVGFREEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.9.v1.2 pep primary_assembly:ASM411807v1:10:20094989:20103770:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.8.v1.2 pep primary_assembly:ASM411807v1:10:20094989:20103770:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.6.v1.2 pep primary_assembly:ASM411807v1:10:20094990:20103769:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.1.v1.2 pep primary_assembly:ASM411807v1:10:20094990:20103769:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.4.v1.2 pep primary_assembly:ASM411807v1:10:20095006:20106074:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLENGSEINFSFAGMKNLVNRLPSPFNLKTIPVIALIACYTVMELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQGNVEADVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun10g074700.10.v1.2 pep primary_assembly:ASM411807v1:10:20094989:20103770:1 gene:Vigun10g074700.v1.2 transcript:Vigun10g074700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVVSTLVDYLSDSGKVKDLRITAALNNLQDSLSSLLFIFVSLISEAYTGPFNMITVCSAASIQGLMLLWISASSAFRAVYAAMLFLALGKSGQKLLENFLEHQEEATLIEATKKCEIEYGSTIKKNEELLFFVGFLAIVFTSFFIESYEELFRYLAVSMGGGYLLFLFGSTKYRHEKVAVESNLGRIFRICKATCGRKKSDFPASIIQQQDSRHLEVSPDTQEGDGRVCTVKDVRDVKSLVPMFRLCFAFFAYSLLVATGNTYFVAQASTMLTTKGFDISKLFLIKTGVGKVSQFICFLIMACLRCMRTTDFTSKRFAVGTSIIRIVFGMFCAVICCLVARKVEFQRLSLPVMIDENNGERIRPVRITALVPQFILLGMTEALVEGGLESLFLAHVAKSMQSFVDSYSELVHSIGKLFLIPLVLTFGGSWFKESIDTTHLDRFYLMLGILNAAFLLVFIYSSFKYSYKGICYEDDDDHQPDTEAFNEQDVEKHSEDEVEANVEENDQDQVGANVEENKVQVEAIVEELV >Vigun08g056900.1.v1.2 pep primary_assembly:ASM411807v1:8:7117207:7130069:-1 gene:Vigun08g056900.v1.2 transcript:Vigun08g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNSLVFMDVSIDGDPAERMSFELFYDVAPKTAENFRALCTGERGVSPNSGKSLHYKGTFFHRIVRGSVARGGDFVNRNGTGGESIYGSKFPDESPRLKHDAPGLLSMPVAVHDTLGSHFIITFKADPHLDRKHVVFGKLVQGHNILKKIEDAGNEEGLPSVTVKIINCGEHNEDGKKMKKSKRGRDGFHESNNHELHRGKNKKPSGDKRKRRKHYSSESDSSSDSDMTSSESGCDSDSDISSSSYTSSSSDDRRRKRKRSRKDKHRHRKRRDKHREKRRRKQNKKSKHRSRRELTTHTDSDSESKSGNNSDGENCGAQLKDQRHKEQSQGYAEGQYSLVVEKELPPMHLNKEKLDMLEEEFPKEYEEQCNSGTRANYRSDRSERRQPDVMDDQPGKSRSPSMSISPRRERKSPSCSKMRLRRGPSGNGSHCAPSQSSLSRSPVKSTSKSPNRRNISRSPVRGRKGRSFSRSPVRSRGHRSVSASPVRSLSQKHQKTPPKTASRRSISRSPGRSLSQSRGRSSRRVPSRTSISKSPVRNHNHKSISRSPRSRGRRSASASPVRSLSRSQQSSPKASSRRSISKSPVRTLNHRSVNRSPLRSRGHSHRSVGESPVRSLSRSHGRTSPRAESRRSIGRSPVRTDNHRSVSRSPVRSRDHRSASVSPVRSLSRGHQRSSSREPSRRSISRSPVKVSRKSISRSPVRSSARNSGRVPLRSISRSPVRVPSRGNHRSYSRSPRGRSLSRSLSPDVSPKRIRRGRGFSERYSYARRYRTPSQSPVRSYRYNGRSDRDRYSDYRRYSPRRNRSPPPRRRTPPRFRSRRSRTPSVSRSPPYTGRRYSRSRSPVPSRSPMATDRRRGERSRSLSRSRSPSRSRASVESQSPRKNSRSRSRSSDGKKGLVSYGDGSPDSD >Vigun06g099000.1.v1.2 pep primary_assembly:ASM411807v1:6:22961026:22963624:-1 gene:Vigun06g099000.v1.2 transcript:Vigun06g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPISLSRLIKYTKGKEGKIAVLVGTVTDDIRVYEVPPLKITALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFRV >Vigun02g021150.1.v1.2 pep primary_assembly:ASM411807v1:2:7372425:7374813:1 gene:Vigun02g021150.v1.2 transcript:Vigun02g021150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGTTSKFCEPNARPLRPRSKNASGNRTDIGWKHGTNVLGNGKKAKDVSEKKRRRNTIDDFDDEHIEEVDTNQSSNSMMFKNKGIEKFSQGVQSTLNQLYKKGDKEKVDTQVAEFFYTSAIPFNVIRNPAFAKMCEMIGRYGVGYKPPSYHGEKLLKQVVQKIDIILEEFKKEWKRTSCTIMSDGWTNKKRCSICKFLVNSPKGHKVFKMLDDVVEFVGEENVVQVVTDNAANFKAAGELLMKKRGHLYWTPCAAYYIDLIFEDFEKHLKVHQITIKKGRRITTYIYGRAMLISMLKKFTKGKDLIRPGMTRFATSYLTLACLHELKASLMSLFSSNEWKSSKFGTSIEGRKIEHVKIKFNFNNIKKRWNNQLHKPLHVAAYYLNPHMHYEPNFRNDDVEVKEGLYECMKRLVKDVAERKNINLQLIEFHFAKGLFSMENAKDCRKVMLPGEWWEMFGDGTPDSFEMVHIKRRNRLHQKKRNDLVYVMYNLKLKSKQIRKTVALSFDDIESDDEWITKDGDNVGFELVQGEGDEGNVDIIGSSSVDFTLRAFDLDNIVFYANVDDAHLSSEEELDGDGEDDEEEDDVRDDIFRGLEPEI >Vigun05g282900.1.v1.2 pep primary_assembly:ASM411807v1:5:47149990:47155267:1 gene:Vigun05g282900.v1.2 transcript:Vigun05g282900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSKFRNFLLLPRSSPSPFSLSKPSNVQFRSLLTVIASSKRHRTIPPLRRKSTTPKPMEVNESNFNKRRAEGRDSADGPRKNLQLKVRKLNPINTISYVQILGTGMDTQDTSPSVLLFFDNQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGMSLNIWGPSDLKYLVDAMRSFIPSAAMVHTKSFGPIFNTDGSTVPRQSKLLDPIVLINDEVVKISAIILQPNFVEGLTTSESSSEKRMDHSPDTLDSSNGRKLPASKPGDVSVVYVCELPEIKGKFDPEKAKALGLKPGPKYRELQLGNSVKSDHQNIMVHPSDVLGPSVPGPIVLLVDCPTESHLEALLSEQSLASYCDQTDNLAQDSKIVNCVIHLTPASVVSCSNYKKWMNKFSSAQHIMAGHEKKNVEIPILKASARIATRLNYLCPQFFPALGYGSVPNNSSKFGSLALSECSYSDLSEVISAENLLKFTLRPYAHLGLDRSCIPTTVSSSEIIDNLLSEIPEVSEAANHVSQLWQECSQTKDDLTPVVDHKMMIEEPWLCTNSIPACLENIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGGLLMDCGEGTLGQLKRRYGVTGADDAVRTLKCIWISHIHADHHTGLARILALRRDLLKGVPHEPVLVVGPRQLKRYLDAYQRLEDLDMLFLDCKHTTEASLDAFEDDCQGNSVNSQTLNNNNGDLIASKVDSTLFARGSRMQSCFKRPGSPVDKDVVSPILKKFKEIIHEAGLRALISFPVVHCPQAFGVVLKAEEKTNSVGKVIPGWKIVYSGDTRPCPELIEASRGATVLIHEATFEDAMVDEAIARNHSTTNEAIEMGQSANAYRTILTHFSQRYPKIPVFDETHMHRTCIAFDMMSINAADLSVLPKVLPYLKLLFRNEMSVDESDDVVEAVSSAS >Vigun06g014600.1.v1.2 pep primary_assembly:ASM411807v1:6:6853013:6869560:-1 gene:Vigun06g014600.v1.2 transcript:Vigun06g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLDRGGGRDRFRRDYPSRYEDNKGNGVSGRDNRSNNPPSRHLWVGNLSHSIVEEELAHPFLRFGPLENVAFQSGRSYAFVNFRRDEDAIDAMRALQGFTLAGNPLRIEFAKADKPSAVPRDEDYSWDERNSTLRGSPFSQREFRGYRGSPELQYSDKSKLSDKNPEPSEVLWIGFPAQLKVDESILRKAFSPFGEIMKITTFPGRSYAFVRFRSLTAACRAKDNLKGKLFGNPRVHICFAKSETGSSNSERRSLNGPRSPIYKSSGRDGSSDNLRQDRSFNGDRSIGSPNQFRNWDSDPYDHKRGSSWMGGTNTYEQRKVGEKGRTLGVSQEMYELMNSPSRERDDRFPQKGAFYEDLRAFTDVPYLREAKRPKTGSPPLERELPEYPFSELEKQKHVLPRLLSDLPPREHFDKGFDAANFTYGQTLERPPNSPLPRLDRQEGWKSYDNFQMGSSAQQLGYVEKKRFTPEPDSSSLAEWKWEGTIAKGGTPVCRARCFPVGKVLDMMLPEFLDCTARTGLDMLSKHYYQAVGVWVVFFVPGSDADMEFYNEFMHYLEEKQRAAVAKLDDKTTLFLVPPSEFSEKVLKVPGKLSISGVILRLENPVINHGPGHMQREMTNENLLSYNENILHPKSSFPLERLPTSPSISELGNSGISNLSFLGNKFAAAPSVSDSVHAMATMSESHDERNRNYTVQQRTSGPNWSSQNLQNFSGRTLSLQPSGGTVEPVADERQLTIPRAVTDANSIQHPNGPSVIPFYGDNKLSYPDIRHLDPLSVPVGALPPEQLAQLAASLLEQQRQSGSSSSTSALGDRDPRQINRFNTSDISSRFSTSDTSSRPSQKYATENNLVSSDLSTSQLGQILQMQKQQPQVSQIVQRDPQREGNGNQLVTDGTLQEDSDSDPQKRLQATLQLAAVLLQQIQQGKGS >Vigun03g099400.2.v1.2 pep primary_assembly:ASM411807v1:3:8507774:8511456:-1 gene:Vigun03g099400.v1.2 transcript:Vigun03g099400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKKPKAPVSGVWSTVKPFVNGGTAGMLATCVIQPIDMIKVRIQLGQGSAAQVTSNMLKNEGVAAFYKGLSAGLLRQATYTTARLGSFKILTSKAIEANEGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPAAQRRNYTNAFQALYRIGADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDSVGLGEAATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDADGKYPYTGSLDCAAKTFKAGGPFKFYTGFPVYCIRIAPHVMMTWIFLNQIQKLQKGYGL >Vigun03g099400.1.v1.2 pep primary_assembly:ASM411807v1:3:8507774:8511479:-1 gene:Vigun03g099400.v1.2 transcript:Vigun03g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKKPKAPVSGVWSTVKPFVNGGTAGMLATCVIQPIDMIKVRIQLGQGSAAQVTSNMLKNEGVAAFYKGLSAGLLRQATYTTARLGSFKILTSKAIEANEGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPAAQRRNYTNAFQALYRIGADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDSVGLGEAATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDADGKYPYTGSLDCAAKTFKAGGPFKFYTGFPVYCIRIAPHVMMTWIFLNQIQKLQKGYGL >Vigun03g042800.1.v1.2 pep primary_assembly:ASM411807v1:3:3409652:3411752:1 gene:Vigun03g042800.v1.2 transcript:Vigun03g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCSSKETVLSAPPAYYYYPRAPEEHVPLSSHQGAASAFSGRLLVDTNLDTSSPDTYRPPPAPIPFNVTFGTTQTPPAAQEIHSDKNNAPLLSTDSSAIQEPVSEDNHGTPVKMEELKESECKVQTDLEIDSAMGSEIELAKSGKPVTLVEEEDTCPICLEEYDAENPKLVTNCDHHFHLACILEWMERSESCPVCDQDLVFNPPIE >Vigun05g027700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2243444:2244964:1 gene:Vigun05g027700.v1.2 transcript:Vigun05g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILINFQAQKPAMDSTTLSHLHFVFIPLMAPGHLLPMVDMAKLLAQRQVKVSIVTTPLNSIQFQDSIDKEIQSGSPIQILNVQFPCAEAGIPEGCESVDTLPSMDLLNNFNTALDLLQQPLQELLEQQSPFPSCIIADKYIMCVSEVANKLNVPIIVFDGTSCFFLLCNYNLQKDKVYEAVAAEEKFLIPGIPHRIELQRSQLPGIFNPGTNHELNVRREKVMEVAEKSYGIVVNSFEELEAEYVEEYQRLSGHKVWCVGPVSLSNRDDIDKSLRSRRNSSSENEYVKWLDSWSPRSVIYVCFGSLNRATPEQLIELGIGLEATKRPFIWVLRGAYGREEMEKWLLEDGFEERVKGRGLLIKGWVPQVLILSHRAIGVFVTHCGWNSTLEGICAGVPLVTFPLFAEQFLNEQFVVRVVKIGVSVGAESVVHLGEEHESRVQVTRETIKDSIEKVMGEGQEQEERRERVRKYADMARKAIERGGSSYRNMSLLIDDIVHVKRLHQS >Vigun06g204900.1.v1.2 pep primary_assembly:ASM411807v1:6:31913737:31914842:1 gene:Vigun06g204900.v1.2 transcript:Vigun06g204900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPTKLMSKIGGPVCDVFINHRGIDTKRNVAGLLYDNLTRIGVRSFLDSMNMKPGDRLFQHIDRGILGCKVGVAVFSPRYCDSYFCLHELALLMESNKRVVPIFYDVKPSQLSVKDNGTCPPSDLQRFAFALEDAKNTVGLTFDSLNGDWSELLRNASEAVIMNLLEVKEERKYARKQR >Vigun08g220400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37916788:37918630:-1 gene:Vigun08g220400.v1.2 transcript:Vigun08g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLLLFPFLFLLLSSAVNGAHNITHILEKHPGFSTFNHYLTVTHLADEINRRQTITVLAIDNGAMNTLLDKHLTLPTLKNVLSLHVLVDYFGAKKLHQINNSTTLVSSMFQATGAAAGTSGYVNITNLKGGKVGFAAEDNDGALHSFFVKSLQEFPYYISVLQISNPISSADAEAPTAAPSAIDLISIMSKQGCKAFADILRGSKALPAFQESIDGGLTVFCPTDSAVNGFAPKYKNLTDSQKVSLLLYHGVPLYESLQMLKSGNGPMNTLATEGANKYDFTVQTEGEDVSLKTKVNTVSIIGTLIDQDPFVAYKINKVLMPRELFKASDVVDDAPAESPKPAKKKGKKGAQDSTAADAPADGPSSESDDQKAADEDSNNGVSLLHGGVRLVSAALFCVSVGFLVL >Vigun05g157200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25548629:25548790:1 gene:Vigun05g157200.v1.2 transcript:Vigun05g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun09g095700.1.v1.2 pep primary_assembly:ASM411807v1:9:14298729:14310554:-1 gene:Vigun09g095700.v1.2 transcript:Vigun09g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLLPINTLTNNHISLTASSNYAPLSSSLQLNPSLTSRTLTLRKRVVRVQAESEDYELKQMRDMAAARKRWEALIRDGKVKVLTPREAGYAVQLSGKPLLDVRPSNERNKAWVRASTWIPIFDVDNKLDFGTVPRKVTNFVMGGWWSGMPTLSYDSQFLTKVAEKFPKDSELIVACQKGLRSLAACELLYNAGYKNLFWVQGGFEAAEEEQDLIVEGPSPLKFAGIGGVSEFLGWTDQQRAAAAKEGWGYRLVFSARLIGVFLFADVLYIGAQQIGRYLQDIRTH >Vigun09g095700.2.v1.2 pep primary_assembly:ASM411807v1:9:14298729:14310554:-1 gene:Vigun09g095700.v1.2 transcript:Vigun09g095700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLLPINTLTNNHISLTASSNYAPLSSSLQLNPSLTSRTLTLRKRVVRVQAESEDYELKQMRDMAAARKRWEALIRDGKVKVLTPREAGYAVQLSGKPLLDVRPSNERNKAWVRASTWIPIFDVDNKLDFGTVPRKVTNFVMGGWWSGMPTLSYDSQFLTKVAEKFPKDSELIVACQKGLRSLAACELLYNAGYKNLFWVQGGFEAAEEEDLIVEGPSPLKFAGIGGVSEFLGWTDQQRAAAAKEGWGYRLVFSARLIGVFLFADVLYIGAQQIGRYLQDIRTH >Vigun10g096200.1.v1.2 pep primary_assembly:ASM411807v1:10:28267112:28268495:1 gene:Vigun10g096200.v1.2 transcript:Vigun10g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGYFFVLVFAAIATSAFSNLSSHYYDYSCPKALSTIKTVVEAAVQKERRMGASLTRLHFHDCFVNGCDGSILLDSTSSIDSEKNSNANFQSARGFEVVDEIKQAVDEACGKPVVSCADILAVAARDSVVALGGPSWKVKLGRRDSTTASRAAADANIPAPFFSLSQLITNFRNHGLDEKDLVVLAGGHSIGYAQCATFRAHIYNDSNIDPDFAKDLKHICPTAGADSNLSPLDSTAAQFDKNYYSNLVQKKGLLHSDQELFNGGSTDELVKQYSYDTEDFYEDFAKSMIRMGNIQPLTGNQGEIRVNCRKVNE >Vigun05g236600.1.v1.2 pep primary_assembly:ASM411807v1:5:42967140:42968998:-1 gene:Vigun05g236600.v1.2 transcript:Vigun05g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDEFIASIWNTDEIQVNPPLPYDEAEKNKGVAPEPTIPQPNSASASTSVPPPNCKKTSEEVWSQIQKSQPQNTEPENNNNTTLARNETLQNESTFGEMTLEDFLVKAGVVTESTLFTTILPQNHFPTIPTHVPFNTSYILIGTTGSNVNVPCARFEPPQMLPQNNHFVMRNLPPANVAVENPQNIAESSSNKGKKRIMSGPPEVVVERRQRRMLKNRESAARSRARRQAYTVELEAELGNLKTENESLKNILAEDEEKRKKILTEFMKTPPSTMAQKRNEKLKKLKRPLSASW >Vigun10g108000.1.v1.2 pep primary_assembly:ASM411807v1:10:30726202:30728116:-1 gene:Vigun10g108000.v1.2 transcript:Vigun10g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRLLLPLFIPFLLFSFASCYSWNDVKLWCNQTPNPQPCEYFLANNPTYQYKPIKQKSDFFELSLKIAQDRALKGHANTLSLGSKCRNPRETAAWADCVQLYEQTIRKLNETLDPNTKSSQVDAQTWLSTALTNLETCKAGFYDLGVQDYVLPFMSNNVTKLLSNTLALNKVQYEEPSYKDGFPTWVKPGDRKLLQSSSPASRASVVVAKDGSGKYRTVSAAINAAPKSSSGRYVIYVKSGVYNEQVELKAKNIMLVGDGIGKTIITGSKSVGGGTTTFRSATVAVVGDGFIAQDITFRNTAGAANHQAVALRSGSDLSVFYRCSFEGYQDTLYVHSDRQFYRECNIYGTVDFIFGNAAVVFQNCNIFARNPPNKVNTITAQGRTDPNQNTGISIHNSRVTAASDLKAVQNSVKTYLGRPWKQYSRTVFMKTYLDGLINPAGWLEWSGDFALNTLYYGEYMNTGPGSSTARRVKWKGYRVITMASEASKFTVANFIAGNTWLPSTKVPFTSSL >Vigun06g016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:7921736:7922413:1 gene:Vigun06g016700.v1.2 transcript:Vigun06g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRSMLEFFSQNFLFANSDSKSFGGHQIGSSSHSPPPTRLIMVKVDSGCDIIESILDVARQNETSLAIKSACGTIASMTLCNITDVPVTMVYGPFNILSLNGSYLYDNQYTFLSEATHPPSFYFGIHISTSHGHVFCGNIGGPVIADKDVILTILTFKNPKIYRYVLENNESNDDEDNTDDDDNDDEDDNDINDHDDNNNNKDCNDDLINFNNEGDLSTFNTDI >Vigun08g095800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23047860:23048349:1 gene:Vigun08g095800.v1.2 transcript:Vigun08g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAELAEAYVLQKHHKEKMKKTEKGRSNKGMTHAKTHRISGCFSWFPKRLKTSTELVKVSTNP >Vigun03g196900.3.v1.2 pep primary_assembly:ASM411807v1:3:27881738:27887750:1 gene:Vigun03g196900.v1.2 transcript:Vigun03g196900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSMDEISDSGAFVRSASTFRNWISSDPNSPFPPESGRYHLYVSYACPWASRCLAYLNIKGLNKAISFSAVKPIFERTKESDEYKGWVFPDSETEVPGAEPDRLNGAKSIRELYEIASANYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNNIAENPSIDLYPADLKALIDETNEWIYDSINNGVYKCGFAKKQEPHNEASRQLFESLDKCENLLSKQRYICGNTLTEADVRLFVTLIRFDEVYAVHFKCNKKLLHEYTNLFNYTKDIFQIPGISSTVNMEHIKLHYYGSHPSINPFGIVPVGPNIDYSAPHDRERFSA >Vigun03g196900.1.v1.2 pep primary_assembly:ASM411807v1:3:27881294:27887750:1 gene:Vigun03g196900.v1.2 transcript:Vigun03g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRSSPRFKSTFPFSDFCYNYYHYKHLVGMARSSMDEISDSGAFVRSASTFRNWISSDPNSPFPPESGRYHLYVSYACPWASRCLAYLNIKGLNKAISFSAVKPIFERTKESDEYKGWVFPDSETEVPGAEPDRLNGAKSIRELYEIASANYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNNIAENPSIDLYPADLKALIDETNEWIYDSINNGVYKCGFAKKQEPHNEASRQLFESLDKCENLLSKQRYICGNTLTEADVRLFVTLIRFDEVYAVHFKCNKKLLHEYTNLFNYTKDIFQIPGISSTVNMEHIKLHYYGSHPSINPFGIVPVGPNIDYSAPHDRERFSA >Vigun03g196900.2.v1.2 pep primary_assembly:ASM411807v1:3:27881294:27887750:1 gene:Vigun03g196900.v1.2 transcript:Vigun03g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSMDEISDSGAFVRSASTFRNWISSDPNSPFPPESGRYHLYVSYACPWASRCLAYLNIKGLNKAISFSAVKPIFERTKESDEYKGWVFPDSETEVPGAEPDRLNGAKSIRELYEIASANYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNNIAENPSIDLYPADLKALIDETNEWIYDSINNGVYKCGFAKKQEPHNEASRQLFESLDKCENLLSKQRYICGNTLTEADVRLFVTLIRFDEVYAVHFKCNKKLLHEYTNLFNYTKDIFQIPGISSTVNMEHIKLHYYGSHPSINPFGIVPVGPNIDYSAPHDRERFSA >Vigun04g156100.1.v1.2 pep primary_assembly:ASM411807v1:4:37775535:37778263:1 gene:Vigun04g156100.v1.2 transcript:Vigun04g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIEVRVPNLDCEGCASKLKKALFKLKGVDEVEVEMESQKVTVRGYGLEEKKVLKAIKRAGKVAEPWPFPGHAHFSSFYKYPSYIVNHYYDAYKSEATNGVHTFFHTPAVYSVAVASDEAFASLFSDDNPHACTIM >Vigun09g148000.1.v1.2 pep primary_assembly:ASM411807v1:9:31141723:31146051:1 gene:Vigun09g148000.v1.2 transcript:Vigun09g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSCLLPSNSLTGIRTSKKLPHVLSLCELKSISCFSVCNQKQIYSQKLYFIPIKTASVRVCISRSSVGSLECGGTGPHSDAYSGFSAPDDQQRIGSLERELEELFDEVKRMIRMGNKSDAIDLLTANYEAVKESLNAGTKGIEEAAILDVIALGYMAVGDLKFVGSLLDMMKEIVDNLKDDAPRLDSILMHMGSMYATLNMFEKSLDTYQRAVYIMEGTYGRDSTLLITPYLGMAKVLGSFGKATKAIEIYQSVITLLGSSRGAESKDLVVPLLSLGNLLLKEGRANDAETHFTRVLDIYTKLYGQNDGRIGIAMSSLARVKSAQGKSDEAIQLFKRAIQVMKDSNYLSPDDSILEKMRVDLAELLHAAGRGQEGREVLEECLWITERYKGKEHPILVTHMINLATSYSRSKNYADAEHLLRRSLQIMIKQKGTDDQSISFPMLHLAVTLYHLKNDEEAEKLALEVLRIREKAFGKNSLPVGEALDCLVSIQTRLGKDDSELLELLRRILNIQEREFGYESEEVLVTLKKIVYYLDKLGKKDEKLTLHRRLSVLRKKYNQMVNY >Vigun03g024600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1834863:1836247:1 gene:Vigun03g024600.v1.2 transcript:Vigun03g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGSTQVVAKKVWNIIRVMFFMLRKGIAKSKIMAEFNLMLKRGKKALVNNLMLNHHYIIASFTGRSNHNHATFISPRDYEFSCSNSPAVPFHAHKNHRFSKPSQQHHDVSTLSAVQKVLEILNNDATNSPFPAFAKSPLGKQLRVTDSPFPLKDDGDSQVDVAAEEFIKKFYKDLNLQKKMAYLESPYHTSWSR >Vigun03g243100.1.v1.2 pep primary_assembly:ASM411807v1:3:40534050:40537851:-1 gene:Vigun03g243100.v1.2 transcript:Vigun03g243100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQIIDPLQGDFPEVIEEYLEHGCMKCIAFNRRGTLLAVGCNDGSCVIWDFETRGIAKELRDNDCSSPITSVCWSKCGNRILISAADKSLLLWDVMSGKRITRIVLQQTPLHARLHPGSSKPSLCLACPLSCAPMIVDLNTGNTTSLKVSVLEVSNNGTTPASRNKCADGITSFSPTAACFSKNGNLVYVGNSKGEILIINYKDNEVRAMVPIPGGSVVKNIVFSRNGKYLLTNSNDRIIRIYENLLPLKDEVRALDDLNENLNDLDGVEKLKGVGSKCLTLFRELQDLITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRVGRLVKILEGPKEAIIDLAWHPVRPIVVSVSLNGIVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETGKVKESDVNEDDEVDIVTVEKDPGFSDSDMSEEELCFLPATPTCDVPEQMDKFIESSSKLVDSNNSGSPLSEEAGPNEHTTNHNSSPLEDDAWGTRAKRKRKPSEKVLELQAEKLKKPSKSSKASKFKTKPVVDEDGSNGFYGDEFSDE >Vigun02g139600.1.v1.2 pep primary_assembly:ASM411807v1:2:28880192:28882170:1 gene:Vigun02g139600.v1.2 transcript:Vigun02g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYHTTFALLLLLVNLRFHGNVAAQNGKLAASFIFGDSLVDAGNNNYLSTLSKANVPPNGIDFKASGGNPTGRFTNGRTIGDIVGEELGQANYAAPYLAPNTTGKTILNGVNYASGGGGILNATGSLFTNRLGMDIQIDYFNKTRQQIKKLLGESEARDYLMKKSLFSVIVGSNDFLNNYLLPFVSSGVRVSQNPDAFVDDMINHFRIQLYRLYQLDGRKFVISNVGPIGCIPYQRILNELNDEDCVDLANNLATQYNGRLKDLVAELNDNLPGATFVLANVYDLVSELIVNYRKYGFTTASRGCCGIGSGGQVAGIIPCVPTSSLCSDREKHVFWDQYHPSEAANVILAKQLINGDKRYISPINLRQLIDL >Vigun07g040800.1.v1.2 pep primary_assembly:ASM411807v1:7:4002368:4004418:-1 gene:Vigun07g040800.v1.2 transcript:Vigun07g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSEKVSTEERLARLRNAYERSEIGSKAKIQRVAPHLVGDGRMHYEKHFTPKLMSLGPIHYGAPKLQLGEEYKQMWASMYLSSTDKTPEFLLGEIAQNVEALKELFAPDLFTGNHLFWNYSEQGFNSLEEMISWTLLVDGCALLRILEYAQPERPEKMNVKDEQLSPVLQDVLLLENQLPYPLLKLLWRGTNMELIQTMKQFLMLYEWATANKERIEFEAPTHLLDLHRSMILYDNPPKYTTYVKTEDIPPQKTQYYNSTEEFWITYRNITELKAAGVEVKASKTRNVKDVTFSLGRFRSELTLPEMIVDENTASFTLNLIAYETCPDFANFEFCNHISFLNSFIDTPDDVKALRSAGVLINSLGSDKDVMNLFTTINSNLVPNMKKYAHVMFQIEKHYRHKSLPTWIALAYNTYFSNPWTIIALFAALLGLILTFIQTWCTLHPPK >VigunL060017.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:83800:85245:1 gene:VigunL060017.v1.2 transcript:VigunL060017.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun09g141400.1.v1.2 pep primary_assembly:ASM411807v1:9:30356571:30361129:1 gene:Vigun09g141400.v1.2 transcript:Vigun09g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPSDIRESEIEDLFYKYGRIMDIELKIPPRPPCYCFVEFDNARDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSDRRGYGGSGGGGGAGGGRFGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGTFGIVDYTNYDDMKYAIRKLDDTEFRNPWARAYIRVRKYEGSRSRSRSRSPSRSRSPKRARSRSVERSVSRSRSRSRSASPIKSSRPRSRSRSGSPRQVLSGSG >Vigun01g164600.1.v1.2 pep primary_assembly:ASM411807v1:1:34639109:34640295:-1 gene:Vigun01g164600.v1.2 transcript:Vigun01g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPWGALLTTTLLFLVAFSLPNAHAAENDTNKYNKKGELKKQRPAFAPTGWKKAKATFYDGDSKSFGGACGYEDVVKEGYGEDTAAVSIAMFKEGQTCGACYEVKCADTGGACKKGKSSISVTATNLCPDGGWCSPPKEHFDLAKTAFLKIAEEKAGVIPVNYRRIPCKKKGGIRFTITGNPHFNLISVSNVGGAGDVIEVEVRGDKKTKNWTTMKRNWGQKWQTDVMLVGETLSFRVRTSDRRHCIAHKVVPNTWQFGQTFEGKNF >Vigun08g032400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2953650:2958267:1 gene:Vigun08g032400.v1.2 transcript:Vigun08g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCNRWLGRTRDRYCYHGEFLIRWMTSSRRVQDRSKKKRVHDLEVVTEKWKIVSKIIYLMELLKQEPEMIIPVRSLENHRRQINLPKPHRISDFLRKTPKLFELYKDQKGVLWCGMTPKAEDLMEQQQRVIEDHADKAAEYVTRFLMMSVEKRLPLEKIAHFRRDFGLSLDFRVHWVHKYPQHFKVVKTLDGIEFLELVSWNPEWAITELEKKVVRGVAGSGSESEFPGVLSVPFPLKFPSNYKRVYRYYAEKIQHFQEMSYLSPYADARGLKAGSLEFDKRAVAVMHELLNFTIEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWKEKVLGLVGYRGRKKKLEVCSEGSDAECYDDLVSDQCDSEMGELHVQIDQTGTLDYEDPLLEDDLEMDVGEIAHTYRKFENS >Vigun03g151100.1.v1.2 pep primary_assembly:ASM411807v1:3:15893562:15898936:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.4.v1.2 pep primary_assembly:ASM411807v1:3:15893528:15898982:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.13.v1.2 pep primary_assembly:ASM411807v1:3:15891993:15898879:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKFS >Vigun03g151100.2.v1.2 pep primary_assembly:ASM411807v1:3:15893528:15898982:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.3.v1.2 pep primary_assembly:ASM411807v1:3:15893562:15898936:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.12.v1.2 pep primary_assembly:ASM411807v1:3:15893804:15898896:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKFS >Vigun03g151100.5.v1.2 pep primary_assembly:ASM411807v1:3:15891993:15898590:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRLVTIFSSHFILSYSLILEVTFP >Vigun03g151100.7.v1.2 pep primary_assembly:ASM411807v1:3:15893566:15898879:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.8.v1.2 pep primary_assembly:ASM411807v1:3:15893566:15898879:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.14.v1.2 pep primary_assembly:ASM411807v1:3:15893566:15898946:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.9.v1.2 pep primary_assembly:ASM411807v1:3:15893566:15898946:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKVKKIVSGQFDLFHSMYKPFLEEYEAKKLLRLSSTANNQIHISQDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.6.v1.2 pep primary_assembly:ASM411807v1:3:15891993:15898590:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRLVTIFSSHFILSYSLILEVTFP >Vigun03g151100.10.v1.2 pep primary_assembly:ASM411807v1:3:15894094:15898920:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLDVTYKITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKDFDLSVDSSLVSALPPSIRNQIRMKGVKEKLWETGRAINDTQSSLREEAANSLQRILRRRVMVSSARQAVSGLLAVGAINASRYLTKKVSKAWKSWR >Vigun03g151100.11.v1.2 pep primary_assembly:ASM411807v1:3:15893552:15898896:1 gene:Vigun03g151100.v1.2 transcript:Vigun03g151100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNASVRSFLQVLPPVEFACVYGSSLHPSNRDKTTMTDYIIGVADPKQWHSENLKLNKHHYASWMVHLGGERLITGVADKIGMGVHFNPFVCWNGKLFKYGVVRMHDLLQDVHYWEKFYLCGRLQKPVHVVVDNLDVNSTNSVNLRAAVSAALLLLPSEFTEADLYAKVCSLSSTGDVRMLFAEDKNKFS >Vigun02g005500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:2556454:2557128:-1 gene:Vigun02g005500.v1.2 transcript:Vigun02g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDFVALKDLHNSANSLLHSPLVRQGFVHEREEKWVDDVSESSLRMLEVCGISKDLLLQVKEHLQELQFTLRRASIGDEGIEEKITACNRYRKKLKKETLKCLKWLKKGIKSERTVSPMNEQKLVLVVDVLREVRVTSISIVESLLSLVSSPWLDSKSGKRSFRSKFVRVSVHCSDDAMVIQSANKRLAGVRMAIEDLEVELECIFRRLIHTRVLLLNILTK >VigunL043100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:430644:430748:1 gene:VigunL043100.v1.2 transcript:VigunL043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >VigunL082200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:27829:28191:1 gene:VigunL082200.v1.2 transcript:VigunL082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun04g013200.1.v1.2 pep primary_assembly:ASM411807v1:4:958548:960997:1 gene:Vigun04g013200.v1.2 transcript:Vigun04g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEEEISRLFRIRKTVMQMLRDRGYLVGDFEINMSKHQFKSKYGEHMKREDLVINKSKKDNSGDQIYIFFPEEAKVGVKTMKTYTNRMNSENVYRAILVTQTSLTPFARTCISEISSKFHLEVFQEAELLVNVKEHELVPEHQVLTDSEKKTLVERYTVKETQLPRVQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRFVV >Vigun11g097700.1.v1.2 pep primary_assembly:ASM411807v1:11:28684709:28686278:-1 gene:Vigun11g097700.v1.2 transcript:Vigun11g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEERVSKLLSAQTHVWNHIFSFINSMSLKCAIDLEIPDIIHKHGEPMPLSQLTASLSMNPSKANNIYRLMRILTHSGFFSEVKVNENEVEMGYVLTDASTLLLKDNPLSVTPFLHAMLDPILTKPWHGLATWFRNDDPSPFQTAHGMKIWDYAGRDQKLNQLFNDAMASDAELVSNVVIERCGGVLKGLESVVDVGGGTGTMAKGIAKSFPHIDCTVFDLPHVVADLQGSHNLKFVGGDMFEWVPPADAVLLKWILHDWNDEQCVRILKKCKEGVKKKVIAIDMVMESEKLDYESTETQLMVDMVVMVLYPGKERTEKEWAKIIFSAGFSDYKITPIVGLRSLIEIYP >VigunL011000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:16969:18730:-1 gene:VigunL011000.v1.2 transcript:VigunL011000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMQVIDTGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVGNIDEATAKATNLETESNLKK >Vigun08g087700.1.v1.2 pep primary_assembly:ASM411807v1:8:19762697:19769220:1 gene:Vigun08g087700.v1.2 transcript:Vigun08g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRTGPPLYNSSSSPSSHQMYPKIAPHGHAAARPSHPHHSSPPSSSPGLGIRVFIKPEYRITPPPHLLPHSGDIQRSNFQFDFGLERKILSETNKENPNWSKFGRENIPTKVTESVSPKVSVSDPIVSKYIAMGLNREAVPIAVANYGDNPTKIPEFVNSYTLLREMGFSSTTVAEALVMYDNDTDKALSHFLNASS >VigunL009301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:113891:114091:-1 gene:VigunL009301.v1.2 transcript:VigunL009301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun09g088500.1.v1.2 pep primary_assembly:ASM411807v1:9:12089150:12110285:1 gene:Vigun09g088500.v1.2 transcript:Vigun09g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAEIVDLSSDDESETVGLKAVKLEGDFVKDKRYQSHITGQDSEENLSSNGPSTGHSNSSVLEQGPSPVDDTGISYVSPIGAAPLCRQFWKAGNYDDGIGSKVTVQNAKSYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKKSQFAIGRYGNGFKTSTMRLGADVIVFSCHLNNRILTQSIGLLSYTYLTQTQLDRIVVPMVNYEFNTSTGSLKVLNGNEHFMSNLSLLLRWSPYSSEADLLKQFDDIGSHGTKVIIYNLWCNDDGNLELDFDTDPKDIRIAGDIKRIDTLKAWKSINEDHIANRFRYSLHVYLSILYLKIPECFQIILRGQVVKPHNIADDLKYPQFVKYAPFIGGSAKGTALTITTIGFLKEAPQVNIHGFNVYHKNRLILPFWQVVSYYDSRGRGVVGILQADFIEPTHNKQDFERTSLFQKLEVRLKDMTWEYWDTHCTLFGYKEKRKLKPGITSMHKPLAVEKPVILNKSSSSLVNAKAYGNSLQCSKNLQRPEQGSQNKRKNTHELVDLLNTQKHARTDIVTSVGFSQNKQTTATPAGQVEDPKNLHLIQQNKQLRAKCLEFEKTGEELNLKVANLKSKIQEAEDEYQRLLAEIKSVDVKEE >Vigun09g088500.3.v1.2 pep primary_assembly:ASM411807v1:9:12089197:12110268:1 gene:Vigun09g088500.v1.2 transcript:Vigun09g088500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAEIVDLSSDDESETVGLKAVKLEGDFVKDKRYQSHITGQDSEENLSSNGPSTGHSNSSVLEQGPSPVDDTGISYVSPIGAAPLCRQFWKAGNYDDGIGSKVTVQNAKSYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKKSQFAIGRYGNGFKTSTMRLGADVIVFSCHLNNRILTQSIGLLSYTYLTQTQLDRIVVPMVNYEFNTSTGSLKVLNGNEHFMSNLSLLLRWSPYSSEADLLKQFDDIGSHGTKVIIYNLWCNDDGNLELDFDTDPKDIRIAGDIKRIDTLKAWKSINEDHIANRFRYSLHVYLSILYLKIPECFQIILRGQVVKPHNIADDLKYPQFVKYAPFIGGSAKGTALTITTIGFLKEAPQVNIHGFNVYHKNRLILPFWQVVSYYDSRGRGVVGILQADFIEPTHNKQDFERTSLFQKLEVRLKDMTWEYWDTHCTLFGYKEKRKLKPGITSMHKPLAVEKPVILNKSSSSLVNAKAYGNSLQCSKNLQRPEQGSQNKRKNTHELVDLLNTQKHARTDIVTSVGFSQNKQTTATPAGQVEDPKNLHLIQQNKQLRAKCLEFEKTGEELNLKVANLKSKIQEAEDEYQRLLAEIKSVDVKEE >Vigun09g088500.2.v1.2 pep primary_assembly:ASM411807v1:9:12082078:12110268:1 gene:Vigun09g088500.v1.2 transcript:Vigun09g088500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAEIVDLSSDDESETVGLKAVKLEGDFVKDKRYQSHITGQDSEENLSSNGPSTGHSNSSVLEQGPSPVDDTGISYVSPIGAAPLCRQFWKAGNYDDGIGSKVTVQNAKSYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKKSQFAIGRYGNGFKTSTMRLGADVIVFSCHLNNRILTQSIGLLSYTYLTQTQLDRIVVPMVNYEFNTSTGSLKVLNGNEHFMSNLSLLLRWSPYSSEADLLKQFDDIGSHGTKVIIYNLWCNDDGNLELDFDTDPKDIRIAGDIKRIDTLKAWKSINEDHIANRFRYSLHVYLSILYLKIPECFQIILRGQVVKPHNIADDLKYPQFVKYAPFIGGSAKGTALTITTIGFLKEAPQVNIHGFNVYHKNRLILPFWQVVSYYDSRGRGVVGILQADFIEPTHNKQDFERTSLFQKLEVRLKDMTWEYWDTHCTLFGYKEKRKLKPGITSMHKPLAVEKPVILNKSSSSLVNAKAYGNSLQCSKNLQRPEQGSQNKRKNTHELVDLLNTQKHARTDIVTSVGFSQNKQTTATPAGQVEDPKNLHLIQQNKQLRAKCLEFEKTGEELNLKVANLKSKIQEAEDEYQRLLAEIKSVDVKEE >Vigun07g219900.1.v1.2 pep primary_assembly:ASM411807v1:7:34258970:34263533:-1 gene:Vigun07g219900.v1.2 transcript:Vigun07g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPSSSFSCYCIILALGLFSFSTCISAHVALGSRLLSSKAQTWVSENGTFALGFTPADSDNRLFVLGVWFAQLPGDPTVVWSPNRDTPVSQDATLELDTTGNLVLVDGDITVWTSNTSGAGVQAAVMAESGNFILQNATNHPVWQSFSQPSDTLLPNQLLTVSSELTSSKSSSHGGYYSLKMLQQRTSLSLALTYNLLETYQASDESYTNYSYWKGPDISNVTGEVIAVLDHAGSFGIVYGDSSDGAVYVYKNDGDDAGLPSAVHQSTASTVLRRLTLEKNGNLRLYRWDEVNGSRQWVPQWAAVSNPCDIAGVCGNGVCNLDRSKTKATCTCLPGTSKVGRDGLCYENSSLVGKCNGRHENQTSQFRISTVQQTNYYFSDISVIANYSDISNVSKCGDACLSECDCVASVYGLSEERAYCWVLRSLSYGGFEDTGSTLFVKVRANGSWTPEGQEGGSSNSSSDGMGSAKEKAVIIPTVVSMVVLIVLLSLLLYYSVHRKRTLKREMESSLILSGAPMNFTYRDLQIRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDRVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGSHRLLVYEFMKNGSLDKWIFPSYQGRDRLLDWTTRFNIAIATAQGIAYFHEQCRDRIIHCDIKPENILVDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWVGNRPITVKADVYSYGMLLLEIIGGRRNLDMSFGPEDFFYPGWAYKEMTNGATIKVADRRLNGAVDEEELTRALKVAFWCIQDEVSMRPTMGEVVKLLEDSVDINMPPMPQTVLELIEEGLDHVYKAMKRENNHSSSFTLTSHLTSRATCSNSTMSPR >Vigun09g188000.1.v1.2 pep primary_assembly:ASM411807v1:9:36220509:36222399:1 gene:Vigun09g188000.v1.2 transcript:Vigun09g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGEDGDGDEEY >Vigun04g105500.1.v1.2 pep primary_assembly:ASM411807v1:4:25286387:25293017:1 gene:Vigun04g105500.v1.2 transcript:Vigun04g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRRKRVNGANIAGYGSREQQRIKRKNLGLVQNDLNMRSHISVEWDGNQKKVVAKREQVGISWRQTKPFINSVANGHKLVADVLTVPQEIFDLDNLSDVLSYEVWMNLLSENERNLLMNFLPRGFEPHQVVEDLLTGINFNFGNPFTKWGASLCLGDLHPDMIVYREQHLKSEKREYYSHIHNYHNDMIGFLSNLKKSWQSCKDLEKEIVQKTWRSKRVEKRIPSKVIESRVYDHDGNVTGTSESCSWDAEDKACSSDNQISSLRKDDKLQRRVLEKDIVKGKSRNLMDTLDKVPVGEKPKTGDKLPKRNSHSSDGDKYMSYIKISRQQHELVKNMKQSGKSIQSRSLNRVLGNLEKIDVQPYNIFVKEEKKKLQEHWLLLVNKDLPQAYVNWKERQRQRDAVINSLVAEMKDRSNSFIEEEDDVNSGSELKDQDDVNSGSELHDQVTGNINSGSELHDQDEDMNSGSELQDQDGDNMNSGSELQDEDEDSISSGGKPKKDQNDDNVNAGGELQDQNEDNVSSGSELQDQGEDNVNSGDDELQGQVKDGDQSELKDDEDFISRSPEHQSLHNSYVGGDEEFNPMSVDTEKNLLLSKSNNTSPNKDGYSRNMNSRDVSVDEGTPFTSSGDVWQGVEMPHSYYDSAVTHEYTASGLSLANPQASGDQPTRIIDLEADLRQETRKELLGRQLDDGTFSSYQIQDRSVLLPSLFKGEGLLPYHREQKGADLDFQTSNNVMMGDGQFSSHFKEPLQTSLTLDQGRRRATEVFMPENMSHNIYSDGGRYSIPRQDPIIPRQEQLVPRQDPIIPRQEHLIPRQDPLGAVNMTDWASNNARIAGPSQSHLNTGDFIGHHWFPADHQIRGGWNGSDSGSLSSQSLGTGASSDQSLFSILSECNQLRSGSPYDSVRNTDQFVAQRTYGLVDAGTPRVNTIGPPASHPLDYFTGREAASGLVPDDITWMSLPHQNSSLHDQMGKPYLRSWNR >Vigun09g053400.1.v1.2 pep primary_assembly:ASM411807v1:9:5340060:5342615:1 gene:Vigun09g053400.v1.2 transcript:Vigun09g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKGGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMTRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPISKTVRFNVLKVIPAGSSSGAKKAFTGM >Vigun03g294900.2.v1.2 pep primary_assembly:ASM411807v1:3:48068964:48075770:1 gene:Vigun03g294900.v1.2 transcript:Vigun03g294900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYRTPLPYVVHHPNSLPNFKNILSEKRKHVTENTQTTKIASLLLIPLFVFLLPLLLLFRSSTSTPSFSLSLLPSPNQPMDTLIALVNRIQRACTVLGDHGAGAALPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLYKIEQGLQDYAEFLHLPKKRFTDFSMVRKEIEDETDRLTEKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVQDIENMIHSYVDKPNCLILAITPANQDIATSDAIKVSRQVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQNPWVGIVNRSQADINRNVDMIAARWREREFFTTSPDYSHLASQMGSEYLARILSKHLESVIRVRLPKVASLINRNIDELEAELTRLGRPVAVDAGAQLYTILELCREFEQVFKEHLDGGRPGGDRIYIVFDHQLPAALRKLPLDRHLSLQNVRKVISEADGYQPHLIAPEQGYRRLLESSLHYFKGPAQASVDAVHSVLKELLRKSIAETQELRRFPTLQAEVAASANEALERFREDSRKTTLRLVEMESSYITVDFFRKLPQEAEKGKNPAPSSVEQYAEGHFQRIGSNVSSYVGMVSETLRHSIPKAVVHCQVREAKRSLLDHFYAQLGKKEERELAQLLGENSELMERRQQCAKRLELYRSARDEIEAVCWSG >Vigun03g294900.3.v1.2 pep primary_assembly:ASM411807v1:3:48068937:48074368:1 gene:Vigun03g294900.v1.2 transcript:Vigun03g294900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYRTPLPYVVHHPNSLPNFKNILSEKRKHVTENTQTTKIASLLLIPLFVFLLPLLLLFRSSTSTPSFSLSLLPSPNQPMDTLIALVNRIQRACTVLGDHGAGAALPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLYKIEQGLQDYAEFLHLPKKRFTDFSMVRKEIEDETDRLTEKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVQDIENMIHSYVDKPNCLILAITPANQDIATSDAIKVSRQVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQNPWVGIVNRSQADINRNVDMIAARWREREFFTTSPDYSHLASQMGSEYLARILSKHLESVIRVRLPKVASLINRNIDELEAELTRLGRPVAVDAGAQLYTILELCREFEQVFKEHLDGGRPGGDRIYIVFDHQLPAALRKLPLDRHLSLQNVRKVISEADGYQPHLIAPEQGYRRLLESSLHYFKGPAQASVDAVHSVLKELLRKSIAETQELRRFPTLQAEVAASANEALERFREDSRKTTLRLVEMESSYITVDFFRKLPQEAEKGKNPAPSSVEQYAEGHFQRIGSNVSSYVGMVSETLRHSIPKAVVHCQVREAKRSLLDHFYAQLGKKEERELAQLLGENSELMERRQQCAKRLELYRSARDEIEAVCWSG >Vigun05g256600.1.v1.2 pep primary_assembly:ASM411807v1:5:45074118:45077444:-1 gene:Vigun05g256600.v1.2 transcript:Vigun05g256600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSGADDDVVQIQHPNKPGDPFIVTVNCPDKTGLACDICRVILDFGLCIFKGDVSTDGVWCYVVLWVIPHSLLLPVNSSYLILKERLQGICPPCLASFYLVQQPSRSSPVYLLKFCCLDRKGLLHEVTKVLSELELTIQKVKVTTTPDGRVLDLFFVTDNRELLHTRKRQDETCERLNDVLRDSCISCELQLAGSEYEYNQGISSLSPALAEELFQCELSENEVRSQALSPDMAKLKKTIVTLDNALSPAHTLVQIRCADHKGLLYDIMRTFKDMNIKISYGRFSPNSMGYRELDIFIQQKEGKKILDLEKQSALCCRLKLEMLHPLRVIIANRGPDTELLVANPVELSGKGRPRVFYDVTLALKTLGICVFSAEVGRHSTSEREWEVYRFLLDENCELQLTGVAARNKIVNKVRRTLMGW >Vigun10g015400.1.v1.2 pep primary_assembly:ASM411807v1:10:1693127:1698490:-1 gene:Vigun10g015400.v1.2 transcript:Vigun10g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKQTFGSLTIVLCLLLAGVILLFHWSSSISNELFLIHKEPLCKKSNFNNSDIEECGDTLDCALAKASMGNKTVIIAMVNRAYVEQDVESDTTMLDIFLNSFWLGEGTRSLIHHILLVAVDQIAYDRCQFLKLNCFRLETDGVDFEGEKVYMSQDFIKMMWRRTQFLLDVLKRGYNFVFTDTDVMWLRNPFTRLSKNEREDLQISTDMYNGDPRSKENLINTGFYFVRSSNKTISLFETWYDEKDNSTGKKEQDVLLDLIRNGIIEKLGIRVRFLDTLYFSGFCQDSKDFRAVTTVHANCCRSINAKVADMKVALSDWKRFKRLQPNSTLNIPQWTKHDWCQKSWGKT >Vigun03g354600.1.v1.2 pep primary_assembly:ASM411807v1:3:55614334:55617444:1 gene:Vigun03g354600.v1.2 transcript:Vigun03g354600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSALHPSFSEPLCYINTHSNPSIIILTTTLLLLPNQNSSSSSSLHPYKQQPFTMPWSYSSPYVPPQHHSNYIPPSSDHPAQNGFPRAAAPRPLPWHRGGAGGRAASAGRGFSRPRDPSHRNSRFPAEEQENGDAINFDAYDSVPVEASGKDVPPPVNVFDDTDLDEGLKRNIKRCKYVKPTPVQRHAIPIATAGRDLMACAQTGSGKTAAFCFPIISGILKGRSSPGFSPVSRGVAVAYPTALILSPTRELSCQIRDEANKFAFQTGVKVVVAYGGSPIIQQLRLLEKGVDILVATPGRLVDIIERERVSLERIKYLALDEADRMLDMGFERQIRKIVEKMHMPPPGIRQTLLFSATFPNDIQKLASDFLSDYIFLSVGRVGSSTELIVQKIELVQDTDKRDKLVDHLCGQKVHGTNGKHALTLVFVETKRGADALESWLSKSGFPAIAIHGDKVQMERERALRSFKSGHIPILVATDVASRGLDIPHVAHVINFDLPRDIDDYVHRIGRTGRAGKSGLATAFFSDKNSPMAKALVGLLQEANQEVPSWLSQFAECSSSGGRGRGYGSQRYSSGSYGGRDFRNPSEPEVQSYNCYGSHGNADNAVESFSTTSYVDTSYDMQNSLVETSFDNLNISCNYDEGDVNSVELCGGGVAVGEEGPSGYASIVPTGWD >Vigun03g433500.2.v1.2 pep primary_assembly:ASM411807v1:3:63743437:63745642:1 gene:Vigun03g433500.v1.2 transcript:Vigun03g433500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIVSPPDELVAAGSRTPSPKTTAAALWKRFQEKNASAVSVEIGEHVHLAYTHHNESPWKPRSFAVKDEVFCLFEGVLENLGHLRQQYGLGKSANEVLLVIEAYKALRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFLASDKNGKVPLYWGITADGYVAFADDAELLKGACGKSLASFPQGCFYSTAVGGLMCYENPKNKITAVPAKEEEIWGATFKVTK >Vigun03g433500.1.v1.2 pep primary_assembly:ASM411807v1:3:63743437:63745642:1 gene:Vigun03g433500.v1.2 transcript:Vigun03g433500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIVSPPDELVAAGSRTPSPKTTAAALWKRFQEKNASAVSVEIGEHVHLAYTHHNESPWKPRSFAVKDEVFCLFEGVLENLGHLRQQYGLGKSANEVLLVIEAYKALRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFLASDKNGKVPLYWGITADGYVAFADDAELLKGACGKSLASFPQGCFYSTAVGGLMCYENPKNKITAVPAKEEEIWGATFKVEGPAVVAARQ >Vigun03g030700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2325756:2326945:-1 gene:Vigun03g030700.v1.2 transcript:Vigun03g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKALKRSKWVLGWVRKNTIILLSRESVHTHYSHTILSLSLASKLFTTQKPKPSQIFFFFIFFFIHPSPMAGVMNKKVDKIRQIVRLKHLMSRWKQMSLRRRSEDPSSTRRPPSGFTFVYVGPERTRFAIPARFLNLPVFEGLLKQTEEQFGLRGNGGLVLPCQVPFFSNVVKFLHKDEHKYGKLSLQDFLNLVSDADVSDSCKENVVVFTPLLQKAEV >Vigun09g252900.1.v1.2 pep primary_assembly:ASM411807v1:9:41999185:42003202:-1 gene:Vigun09g252900.v1.2 transcript:Vigun09g252900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGQDHHQSQAPPPHPSQFKFHLLHSPSHFSPLPHSLFSNFPSSQRYESSHTSNLEVAPDMSKTVYLPPPVQTQPTERGKQNGKSKFSRNTKSGTHRPSADSPNSTVVNNCRYDSSLGLLTKKFVSLIQDAKDGTLDLNKTAEILEVQKRRIYDITNVLEGVGLIEKTSKNHIQWKGCDGLGPRELENQINSLKAEVESLYGRECELDDCIRRKQELLRNLEESESSQKYLFLTKEEILNLPCFQNQEIIAIKAPKASFIEVPDPDEESVFRQRQYKMIVRSATGPIYLYLLSKDDCKYEDDSVKRVKLMDPSWKSEQCRNRGVGLLERQDDEKNTSESFNFHGSQASGIQEITPTDFEMEDDYWFQSDPGVRLTELWENEPMMQIDEFQDY >Vigun02g186800.1.v1.2 pep primary_assembly:ASM411807v1:2:32575967:32579156:-1 gene:Vigun02g186800.v1.2 transcript:Vigun02g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQHGYVPPAYIPLGQSDSEVVHVSPLQRDEQHPDSNGSNQMQPQWSSGICACFDDTQSCCIGCLCPCFLFGKNAEILGSGTFLGSCVTHCILWSVVNTACCLLTDGLFLGLPGCLVSCYACGYRKALRSKYNLPEAPCGDFVTHFCCHPCAICQEYREICERSGNSESTNLKLAVVTAPPIQTMQPDSKQ >Vigun09g263000.1.v1.2 pep primary_assembly:ASM411807v1:9:42750219:42752767:-1 gene:Vigun09g263000.v1.2 transcript:Vigun09g263000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEEVLCELSDHEKRNEQGLPPGFRFHPTDEELITFYLASKVFNGTFTTVKFAEVDLNRCEPWELPDVAKMGEREWYLFSLRDRKYPTGLRTNRATGAGYWKATGKDKEVFSASSGTLLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSLPHPHPHHISKEEWVICRIFHKSVEKRTPLLQVQGHSDASSSPAKNSLPPLPPLELEQLEHQTHLSHSHPYLFPLHASPQLTNTRNHTSFSDLFFKPPPHLSQQQNCILKEKTAPKVIKTEEATALYQYHLLGDSNNNLRLNQNPSNFPNPFGDVEVDGGGLLMAFSGGPNGEVRDMSTSTAFNRVVGLQQLIDAAHIGIDSWPLAQHV >Vigun11g132900.1.v1.2 pep primary_assembly:ASM411807v1:11:34183093:34188092:1 gene:Vigun11g132900.v1.2 transcript:Vigun11g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAVFLFAAALLSAVEGSPVTLTLERAFPSNHGVELSQLRARDSLRHRRMLQSTNYVVDFPVKGIFDPSQVGLYYTKVKMGTPPREFYVQIDTGSDVLWVSCGSCNGCPQTSGLQIQLNYFDPRSSSTSSLISCSDRRCRNGVQSSDASCSGQNNQCIYTFQYGDGSGTSGYYVSDLMHFASIFEGTLTTNSSASVVFGCSIQQTGDLTKSDRAVDGIFGFGQQGMSVISQLSSQGIAPRVFSHCLKGDNSGGGVLVLGEIVEPNIVYTPLVPSQPHYNLYLQSISVNGQFLQIDPAVFSTSSNRGTIVDSGTTLSYLAEEAYTTFVNAITAAIPQSVRSVLSRGNQCYLITTSSNVDIFPQVSLNFAGGASLVLRPLDYLIQQNYIGEGSVWCVGFQKIPGQSVTILGDLVLKDKIFVYDVAGQRIGWTNYDCSLPVNVSASAGRGRSEFVDAGELGGSTSLCDGPYTLIRTLLLALFMHITLIL >Vigun08g021200.1.v1.2 pep primary_assembly:ASM411807v1:8:1862701:1867500:-1 gene:Vigun08g021200.v1.2 transcript:Vigun08g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSNGSDSSLQSQSQSQSQSQSQSQSTEQNVPRQPAGSPAMARPQQWLPLQYPAAVVMPHHMLPPQHYAPPPYMSFHHHQYAPPHVPHQQHQNGSSGENKTVWIGDLHHWMDENYVHRCFASTGEISSIKVIRNKQTGLSEGYGFVEFYSRATAEKVLQNYSGIAMPNTEQAFRLNWASFSTGDKGSDNVPDLSIFVGDLAADVTDSLLHETFASVFPSVKAAKVVFDANTGRSKGYGFVRFGDDNERSQAMTQMNGVYCSSRPMRIGAATPRKSSGHQQGGQSNGTSSQSEADSTNTTIFVGGLDPNVSDEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALEKLNGTTIGKQTVRLSWGRNPANKQFRMDFGNPWTGAYYGAPIYDGYGYALPPRHDPSIYAAAYGAYPLYGAHQQQVS >Vigun03g184900.2.v1.2 pep primary_assembly:ASM411807v1:3:24230780:24236688:-1 gene:Vigun03g184900.v1.2 transcript:Vigun03g184900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLSSFAKDVLLVKSWKSSTFVWRLVVLTLAMVSGVYICSICLKQIGTGTKIRFLEIKVEQKPCPEPNIEPWEIPYVHYPKPKTYSREECACHPVRYFAILSMQRSGSGWFETFLNSHDNISSNGEIFSVKVRRSNMSTITETLDTIYNLDWLSSASKNECTTAVGLKWMLNQHHEQIAEYFRIHGVSLIFLFRRNLLRRMVSVLANEYDKNAKLLNGTHKSHVHSPKEAEVLAKYKPIINSTLLIAQLRQVNDTTTKALEYFKSTRHIILYYEDVVKNRTKLRDVQDFLKVPQMDLKTRQVKIHKGSLSSQIGNWNDVSKVLTGTPFESFIRQDFRR >Vigun03g184900.1.v1.2 pep primary_assembly:ASM411807v1:3:24230780:24236688:-1 gene:Vigun03g184900.v1.2 transcript:Vigun03g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLSSFAKDVLLVKSWKSSTFVWRLVVLTLAMVSGVYICSICLKQIGTGTKIRFLEIKVEQKPCPEPNIEPWEIPYVHYPKPKTYSREECACHPVRYFAILSMQRSGSGWFETFLNSHDNISSNGEIFSVKVRRSNMSTITETLDTIYNLDWLSSASKNECTTAVGLKWMLNQGLMQHHEQIAEYFRIHGVSLIFLFRRNLLRRMVSVLANEYDKNAKLLNGTHKSHVHSPKEAEVLAKYKPIINSTLLIAQLRQVNDTTTKALEYFKSTRHIILYYEDVVKNRTKLRDVQDFLKVPQMDLKTRQVKIHKGSLSSQIGNWNDVSKVLTGTPFESFIRQDFRR >Vigun03g184900.3.v1.2 pep primary_assembly:ASM411807v1:3:24230780:24236688:-1 gene:Vigun03g184900.v1.2 transcript:Vigun03g184900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVYICSICLKQIGTGTKIRFLEIKVEQKPCPEPNIEPWEIPYVHYPKPKTYSREECACHPVRYFAILSMQRSGSGWFETFLNSHDNISSNGEIFSVKVRRSNMSTITETLDTIYNLDWLSSASKNECTTAVGLKWMLNQGLMQHHEQIAEYFRIHGVSLIFLFRRNLLRRMVSVLANEYDKNAKLLNGTHKSHVHSPKEAEVLAKYKPIINSTLLIAQLRQVNDTTTKALEYFKSTRHIILYYEDVVKNRTKLRDVQDFLKVPQMDLKTRQVKIHKGSLSSQIGNWNDVSKVLTGTPFESFIRQDFRR >Vigun10g118400.1.v1.2 pep primary_assembly:ASM411807v1:10:32483037:32486357:-1 gene:Vigun10g118400.v1.2 transcript:Vigun10g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRQNKEFVHSLESLANGVTWLLPERFSESEIGPEAVTTVLGILTALNEHIIDTAPKPNISGSVEPYSFPYPLWLSALKDLETLVEVVAQHYYGDDRKWNFLAVTEATKVLFRLSLFRKSGYKMLLQGGETPNDEEHSDSFTSQHIGLNPDGHHRPGYMKNNLGANPMNLEGRALSALVRFGENAKVSDPARLHRVQPQQQTMELTTSRVDRPTLFTILSERGLRGTLLFIGEVLFISRPLVYVLFIRKYGIRSWTPWFLSLAIDCIGNSFLSLVTSSVVGGKEQVFHLSAPEKDEVKRRKLLFVLYLMRDPFFSKYTRQRLENTEKALEPIPVIGFLTAKLVELMIGAQTRYTYMSGS >Vigun03g380300.1.v1.2 pep primary_assembly:ASM411807v1:3:58497336:58499748:1 gene:Vigun03g380300.v1.2 transcript:Vigun03g380300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPTDDRHVAVLAFPYGTHAAPLLSLVRRAAAESPEVSFSFFSTKRSNASVFAGLDEEQLLNIRPYDIEDGLPEDFVPSGNPQDPVAYFVKAMPANYRTAMDEAVAKTGRQITCLVSDAFFWFCADMAEELHAKWIPLWTAGPHPLLAHISSHQIREKLGPDGVRENKEIDFLTGFNGLKAGDLPEGLVEVPEEPFSMMLDKMGDALPRATAVAINSFSAVHSPIAQELESRFHMLLNVGPFILTTPQSVTLDDEGCLPWLNSQEERSVVYISFGSVIMPPPDELTALAEALEEGRYPFIWAFRGNPDKQLPDGFMERTRMQGKVVGWAPQMQILKHAAVGVCITHGGWNSILDCIVGGVPMICRPFFGDQSLNTATLEHVWEIGVGLENNVFTKEETVRVLEIVMSSEQGMMMREKVLQLKDSAMEAAGPEGDSTKNFCTFADILSGLHHGKSHHPHGLKGIRHKIAGAFAAAHHKLRRHHHHHHQKH >Vigun02g181800.2.v1.2 pep primary_assembly:ASM411807v1:2:32224828:32226387:-1 gene:Vigun02g181800.v1.2 transcript:Vigun02g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGTTTSEVSSNEWEVIHMSEQEEDLIHRMYKLVGDKWNLIAGRIPGRKAEEIERFWIMRHTHTFSVTKNQSKAKDS >Vigun02g181800.3.v1.2 pep primary_assembly:ASM411807v1:2:32224828:32226392:-1 gene:Vigun02g181800.v1.2 transcript:Vigun02g181800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEEDLIHRMYKLVGDKWNLIAGRIPGRKAEEIERFWIMRHTHTFSVTKNQSKAKDS >Vigun02g181800.1.v1.2 pep primary_assembly:ASM411807v1:2:32224828:32226387:-1 gene:Vigun02g181800.v1.2 transcript:Vigun02g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGTTTSEEVSSNEWEVIHMSEQEEDLIHRMYKLVGDKWNLIAGRIPGRKAEEIERFWIMRHTHTFSVTKNQSKAKDS >Vigun01g059100.1.v1.2 pep primary_assembly:ASM411807v1:1:12322728:12323045:-1 gene:Vigun01g059100.v1.2 transcript:Vigun01g059100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERIIRLESGLRSGTGLMLMVTIEIEGDGVADEIGLAVMCAHVNKNGGESVFQVGLVLDLFQVKPRERP >Vigun03g081000.1.v1.2 pep primary_assembly:ASM411807v1:3:6750535:6753420:1 gene:Vigun03g081000.v1.2 transcript:Vigun03g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIYWAEQTCRESISVVVQNMMRMPQANQTKNNYKRNKKMERFLLINFLSALIILFSGGGIVEVRPVAATGLPLRTESRWIVGQDGRRVKLACVNWVSHVETVVAEGLSKKPVDDISKGIKSMGFNCVRLTWPILLVTNDSLASLTVRRSFQNLGLLESVAGVQTNNPSIIDLPLIQAFQAVVKSLGDNDVMVILDNHITQPGWCCSNSDGNGFFGDKFFDPNLWLLGLTKMATLFNGVSNVVGMSLRNELRGPKQNVDDWYKYMVKGAETIHAANPDVLVILSGLNFDKDLSFIEKRPVNVTFKEKLVFEAHWYSFTEGEAWVNGNPNQVCGQVAGNFMRTSGFLVNQGWPLFISEFGVDLRGTNVNDNRYLNCFLSVAAEYDLDWALWTLAGSYYFRQGVVGMEEFYGILNWDWSQVRNPSFMNRISSLQLPFRGPGITKGNPYKLIYHPLTGLYVIRKTLLEPLTLGPCHLSEGWKYTPQKILSIKGTYFCIQAENEGMAAKLGIMCSDSNSKWEMISDSKLHLQSKLSHGSDVCLDVDDNNIIVTNACKCLSRDRTCDPSSQWFKLIDSGRRSICTTSSSSSWMQNSSDLLWKQLTSI >Vigun10g044080.1.v1.2 pep primary_assembly:ASM411807v1:10:6253732:6254349:-1 gene:Vigun10g044080.v1.2 transcript:Vigun10g044080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSVLVFFSSCHSRSPLRSLHTTRRCCAEEVPPQRNHRFLVHRYFPNLAAREGFTLQFLSVFFFFLFPISAISNQSSSLGGSLVF >Vigun07g065800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7717983:7718991:1 gene:Vigun07g065800.v1.2 transcript:Vigun07g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMWLKSASGGGSGKKPPPRDVPPGHLAVMVGEEAKRRFVIRADYLNHPLLQKLLDQYEGYGFNKSGPLAIPCDEYLFQDIIQALREGSSSPHVPLKKLHFKDFVPLLQDNHHGRGSSSSSN >Vigun01g143100.1.v1.2 pep primary_assembly:ASM411807v1:1:32426762:32428236:-1 gene:Vigun01g143100.v1.2 transcript:Vigun01g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCTTLLFCLLLILSLAAAAESHRTPGFLYTRTRGRCTAQFWSGRREAWPRMVPETSTVSNVFGSRVYEHYRSDLTLIEAAARNDEESNAFGGLVKEGTAALLNSYAREGFPYKPWQVKTLVIKALVSQAAAASQANNFLLANQACS >Vigun11g166116.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37347759:37347911:-1 gene:Vigun11g166116.v1.2 transcript:Vigun11g166116.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYRNEICTVNQENQTAIREFLMGQPKIYPFRTCTMY >Vigun07g026000.3.v1.2 pep primary_assembly:ASM411807v1:7:2331366:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun07g026000.5.v1.2 pep primary_assembly:ASM411807v1:7:2331466:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDGKKILASENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun07g026000.1.v1.2 pep primary_assembly:ASM411807v1:7:2331426:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun07g026000.2.v1.2 pep primary_assembly:ASM411807v1:7:2331385:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun07g026000.4.v1.2 pep primary_assembly:ASM411807v1:7:2331466:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDGKKILASENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun07g026000.6.v1.2 pep primary_assembly:ASM411807v1:7:2332089:2349188:-1 gene:Vigun07g026000.v1.2 transcript:Vigun07g026000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKIDHESRAKRQKALEAPREPRRPKTHWDHVLEEMAWLSKDFESERKWKLAQAKKVALRASKGMIDQATRGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDAHKSGENNSAEHHMSIQHKDGHGDVINEPKEADVVEYQSDAADNDDEYDVQSDDESEDDEQTIEQDEAFITKEERQEELEALHNEMDVPIEELLKRYEGEKGESVMKESSPEHSEDVEKIVRTAGDGKKILASENGDDHLSVSKIGTNNSSMVSGRRCDESNGDVATPTKNLSQFEEGQSEDLKEVPRETANEDFAYDFTDEEEDGDFLLGTEDKDDETTLSEEEKLERVDAIDPKDEIALLQKESDMPVEELLARYKRDPSDNEDGGYETDYASALSEDHSDSPVHEDAGQKDSGIPMDEDIKSGEHLATTQSQAEEHWESPHENLDQRESEHIIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKYSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMVEGEEKINKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDICGIDIQLSSSVCSMLLPSPFSVVDLRGLGLLFTDLDYSMAAWESDEVQAIETPATSIMERTDIDELEVIRPLKCQKKLQGTNIFEDIHKKIWEERLKQAKERAAAIAWWNSLRCKKRPMYSTTLRDLVTLRHPVYDIHMVKANPTSYMYSTKLADIVLSPIERFQKMTDVVESFMFAIPAARAPSPVCWCSKSETNVFLQPSYKQKCSEVLLPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELANLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISDSTIEENILKKANQKRALDNLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKSMLKEKNQNTGEVSVTNADVEAALKCVEDEADYMALKKVELEEAVENQEFTEEAIGRLEEDEYVNEDDETPELGESVSNLNKENGLVLNGSENKEDRPPNSVAVKEDDADVLADVNQMAAAAAAAGQAISAFENELRPIDRYAIRFLELWDPIIDKTALESEVRIEDTEWELDRIEKYKEEMEAEIDEDEEPLVYESWDADFATMAYRQQVEALAQHQLMEELEYEARLKEAEEEACDSKKTTPGDLKPKPKKKPKKAKFKSLKKGSLTSGLKPVKEESQAEPMNIDDEDITGMEFVSPNSSMQKKRKSKATTDGEEKRLKKSKKSKRDPPDIYASDLESNALVVQYEHAESKTCESLADFEPKTAGRGKMGGKISIIPMPVKRIWTIKPEKMRKGNHWSKDCIPSADFWLAQEDAILCAVVHEYGPNWSLVSDILNSMTAGGSYRGRYRHPVHCCERFRELFQKNVLSMDNANNEKTITPGSGKALLKVTEDNIRMLLDVASEQANRELLLQKHFFALLSSAWKVASHVDRRQNPSPTCNGLYFDQSLFTSIGQPSQNSLKKSSERVPFANLVQSKKLVAAALDDSATGQVNDKVILPNQGEGMPMSADRLDITLEFPKEESDVLALFPSVINLSIHGTEPTPSLSKQTGGDDFKIGLLIAENRFREATRICEEDVSGWASSAFPTSDARSRPGSRIQSSGKQKSSISDSAKPSRSKSKRASIDASEMHHHQADSIFQSVPLLKDLRFDLAPFTTDEIGLTAVDTLFPFDLNVESSWEMEGVGMIPHDYVTGLISDLDDCTTFPEYTDIR >Vigun04g023600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1798923:1800844:1 gene:Vigun04g023600.v1.2 transcript:Vigun04g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETSSPRLSFSHDLSEELQVSPMKQDVTLLHDSNSDFEFNTSSTSLGFESSSADELFSNGVILPIQMQDKTTARKLTHHLEHPQQHPHTTKLPPRPCSSGVDKVKKETIRELLDVTPEHEKKPHSKSFWGFNRSKSLNRDTKKNMTCSISLLSRSNSTGSVPNPKRVSSNKHASAAKSSSSSSSSSSSSSSSSSYSYSSLNMHPMQKSPSGKGYGGYYPNGLRINPVLNVPTPCVSKGSANLFSLGSFLRVGKVKKSKK >Vigun11g190300.1.v1.2 pep primary_assembly:ASM411807v1:11:38977873:38980142:-1 gene:Vigun11g190300.v1.2 transcript:Vigun11g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCVEGSKRQLPSWMMPKGGATPTHVSDSDNAVETNCSMNKVDNIAAYATKNDHKSRPSRRKSNLTVKCDDGSGSRVTQKKKKSAKSIDRDQRSSTKKRKKLEDPSHSCDDVYQVQASSDDAVDLTVEDLLAIAEQCVNEYENKDRKEISSRQSESKWEFQVTHETGNTLDAPCENRNPSNSRKQVLSNSTSKTGEVIETSTSRTGDPAQDMLNLFLGPLLSKTLEKEKSKFIVENAKITHEFTRQSQELGGEEERVPLMKKRSTLKDKVAMFLEQDL >Vigun03g158550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17391589:17392035:1 gene:Vigun03g158550.v1.2 transcript:Vigun03g158550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKNIILFSKMKILKIVSPQEWGKPLHQTQSLSRIFNPQEYHYYDYIDAWYNTLYLFPKKHSWFIWFRIEILLKFSKRIIKWFVYFRLLTTIFSKPIQDIYTYFKENSSFKQGYKLISPVASQSITYILARQYVIMQIHEGVDIKE >Vigun07g245100.3.v1.2 pep primary_assembly:ASM411807v1:7:36602515:36604549:1 gene:Vigun07g245100.v1.2 transcript:Vigun07g245100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRLCPSVPQIVACTQNDQTGSKAVSGRPKGSPQLNRWSRARAIRSGQKLDRSSLRTQPPEPNTPLSQLPIPVDPDDAANLDGAEAMTVKSIYIVSDGTGWTAEHCVSAALGQFDYCLVDRGCPVSTHLFSGIDEAEKLLEITKQAAKEGALLVYTLADPQLAMAAKQACKLWGVPSSDVLGPITEDIASHLGVSPSGLPRGASGLPLSDDYFRRIEAVEFTIKQDDGASPQNLAKADIVLTGVSRTGKTPLSIYLAQKGYRVANVPIVLGVPMPRTLFEVDPKKVFGLTINPLVLQNIRSARTKTMGLSSDGRSIYSEMNYVREELEFAGRLFAQNPLWPVIDVTGKAIEETAAVLLRLFHDRKNKCTMSRISKRY >Vigun07g245100.1.v1.2 pep primary_assembly:ASM411807v1:7:36602514:36605525:1 gene:Vigun07g245100.v1.2 transcript:Vigun07g245100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRLCPSVPQIVACTQNDQTGSKAVSGRPKGSPQLNRWSRARAIRSGQKLDRSSLRTQPPEPNTPLSQLPIPVDPDDAANLDGAEAMTVKSIYIVSDGTGWTAEHCVSAALGQFDYCLVDRGCPVSTHLFSGIDEAEKLLEITKQAAKEGALLVYTLADPQLAMAAKQACKLWGVPSSDVLGPITEDIASHLGVSPSGLPRGASGLPLSDDYFRRIEAVEFTIKQDDGASPQNLAKADIVLTGVSRTGKTPLSIYLAQKGYRVANVPIVLGVPMPRTLFEVDPKKVFGLTINPLVLQNIRSARTKTMGLSSDGRSIYSEMNYVREELEFAGRLFAQNPLWPVIDVTGKAIEETAAVLLRLFHDRKNKCTMSRISKRY >Vigun07g245100.2.v1.2 pep primary_assembly:ASM411807v1:7:36602515:36605524:1 gene:Vigun07g245100.v1.2 transcript:Vigun07g245100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRLCPSVPQIVACTQNDQTGSKAVSGRPKGSPQLNRWSRARAIRSGQKLDRSSLRTQPPEPNTPLSQLPIPVDPDDAANLDGAEAMTVKSIYIVSDGTGWTAEHCVSAALGQFDYCLVDRGCPVSTHLFSGIDEAEKLLEITKQAAKEGALLVYTLADPQLAMAAKQACKLWGVPSSDVLGPITEDIASHLGVSPSGLPRGASGLPLSDDYFRRIEAVEFTIKQDDGASPQNLAKADIVLTGVSRTGKTPLSIYLAQKGYRVANVPIVLGVPMPRTLFEVDPKKVFGLTINPLVLQNIRSARTKTMGLSSDGRSIYSEMNYVREELEFAGRLFAQNPLWPVIDVTGKAIEETAAVLLRLFHDRKNKCTMSRISKRY >Vigun03g137700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13523802:13525316:1 gene:Vigun03g137700.v1.2 transcript:Vigun03g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYQIKSTQKPITFSHSEIEAAKQLIQLSSGDSEEDHQSSNNSCSYSVVQGKPRQSKVDSGGDVSSVAETAVDSQDESFAATTTKRYRCVKDLYSVTEPVPATKAKKKRNTKTGAANRKSH >Vigun04g041800.1.v1.2 pep primary_assembly:ASM411807v1:4:3623079:3628852:-1 gene:Vigun04g041800.v1.2 transcript:Vigun04g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSINEAPETKNKKKECLGWIEWLRGWFYLIYEMLFQRIMASHLHNPMPLPPVNDLTCIVTGSTSGIGLEIARQLAQSGAHVVMAVRNTKAAQELIQKWQIDSSGLSIPLNVEVMQVDLLSLDSVVRFAEAWNARSAPLHVLINNAGIFSIGEPQKFSKDGYEQHLQVNHLAPALLSILLLPSLIRGSPSRIVNVNSIMHHVGFVDTEDMNVTSGKRKFSSLVGYSSSKLAEIMFSSILNKRLPAESGVSVLCVSPGIVQTNVARDLPKLVQAAYHLIPYFIFSAQEGARSALFAATDPQVPEYCDMLKADEWPVCAFISQDCRPANPSEEAHSVQTSYEVWEKTLEMIGLPSDAVERLLDGEEVKCRYGQEQ >Vigun08g083450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17860907:17863019:1 gene:Vigun08g083450.v1.2 transcript:Vigun08g083450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYFPATVESIILAEETQDPYEKISILCRVLRDPSSTSFTLSIKEVAIVHLIEQFTEENRAVDLRSLLTHLRFFFSLIPTEQTAKVMRRVIDSVGEIPGSSDLQITICKDMVQWARAERRRFLRLRLERRLAALLEENKEYSEALALLNSGLIKELTRIDDKNLLVEIHLLESKLHFSLRSLPKGKAAIKAARTAADAINVPLAQQGAIDLQSGILYAEEKDYNTAYSYFREAFGHFCSIAEKEDPRVVLSLKYMMLCKIMVDQAQLVPKLISFGATCVTTDLAAMRIVADAVCRRSLQLFQIVLRNYKPKFEGDANIFRHLSSLDGVILEYKLRRLVEPFSRVEIVHVSELIGLPVDDVERKLYQMILDKKLGGKLDQSGCLVIFDDPETDALYTSTFQTISNIEKVVDSLYARSAKIIA >Vigun02g006700.4.v1.2 pep primary_assembly:ASM411807v1:2:2948337:2953282:1 gene:Vigun02g006700.v1.2 transcript:Vigun02g006700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENTTTRQVTFSKRRGGLIKKTKELSVLCDAQIGIIIFSSTGKMWQWCTEPFRMEQIIEKYQRSTGARIAERDHPREEFIHDMAMLRQETLRLELGIQRYLGEDMGCLQYEDLTKLEQELENSVAKVRNRQNELLQQQMENLRRKEHRAVLEFEKATVEASKPMHMNMMDEFPFFEEEPAGSILQLASPVLPHFHPYLQLAQPNIKPQ >Vigun02g006700.2.v1.2 pep primary_assembly:ASM411807v1:2:2947455:2953280:1 gene:Vigun02g006700.v1.2 transcript:Vigun02g006700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENTTTRQVTFSKRRGGLIKKTKELSVLCDAQIGIIIFSSTGKMWQWCTEPFRMEQIIEKYQRSTGARIAERDHPREEFIHDMAMLRQETLRLELGIQRYLGEDMGCLQYEDLTKLEQELENSVAKVRNRQNELLQQQMENLRRKEHRAVLEFEKATVEASKPMHMNMMDEFPFFEEEPAGSILQLASPVLPHFHPYLQLAQPNIKPQ >Vigun02g006700.3.v1.2 pep primary_assembly:ASM411807v1:2:2948337:2953282:1 gene:Vigun02g006700.v1.2 transcript:Vigun02g006700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENTTTRQVTFSKRRGGLIKKTKELSVLCDAQIGIIIFSSTGKMWQWCTEPFRMEQIIEKYQRSTGARIAERDHPREEFIHDMAMLRQETLRLELGIQRYLGEDMGCLQYEDLTKLEQELENSVAKVRNRQNELLQQQMENLRRKERMLEEENNNLSNWEHRAVLEFEKATVEASKPMHMNMMDEFPFFEEEPAGSILQLASPVLPHFHPYLQLAQPNIKPQ >Vigun02g006700.1.v1.2 pep primary_assembly:ASM411807v1:2:2947455:2953280:1 gene:Vigun02g006700.v1.2 transcript:Vigun02g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENTTTRQVTFSKRRGGLIKKTKELSVLCDAQIGIIIFSSTGKMWQWCTEPFRMEQIIEKYQRSTGARIAERDHPREEFIHDMAMLRQETLRLELGIQRYLGEDMGCLQYEDLTKLEQELENSVAKVRNRQNELLQQQMENLRRKERMLEEENNNLSNWEHRAVLEFEKATVEASKPMHMNMMDEFPFFEEEPAGSILQLASPVLPHFHPYLQLAQPNIKPQ >Vigun07g011400.1.v1.2 pep primary_assembly:ASM411807v1:7:963540:968144:1 gene:Vigun07g011400.v1.2 transcript:Vigun07g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESTKTKENVHYEQGRVVSVGNKLRMSLALPGEAVKITSTPETDRNLQYRTSEPRSC >Vigun03g325500.1.v1.2 pep primary_assembly:ASM411807v1:3:52205313:52206993:-1 gene:Vigun03g325500.v1.2 transcript:Vigun03g325500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSEVVRTAVGIIGNVISFCLFMSPVPTFISIFKSKSVQNFRPDPYIATILNCGVWAFYGLPFVTPDNTLVITINGFGFFLEIFYTLVFFIYSTWSKRRKIMLIFLAEIIFLALLVFLVMTFVHTPNKRKIIVGPVCIVFNILMYFAPLTVMRQVIRTKSVKYMPFLLSFANFANGIVWTTYALIKWDPFIVIPNGLGTLSGLTQLIMYVVYYRTTNWDEDDEPTNVI >Vigun10g180000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39812626:39813195:-1 gene:Vigun10g180000.v1.2 transcript:Vigun10g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSAVIAKKVWNIIRVAFFMLRKGISKGKLMMHLNVMLKRRSKLAGKAITDLIFHHHNHHVGVSGNDLQFSAAREYEFSCSNTPNNFFATKRHRHNPFFTCAHAPPTFEDDAVTVNVVQGVLEMLNNEAVMEAPPADAAFRSPAVRQLRVTDSPFPLREYEDDRDNHVDKAAEEFIKRFYKELKKQT >Vigun10g014100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1528458:1531884:1 gene:Vigun10g014100.v1.2 transcript:Vigun10g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAKPSSLHAQRDNPFLPPSTSTNFKLKTFTFPLRKLKHVCVSSSSTATTSLSNDHTHNANSDISQLCLLGNLDRSMSYLDSMHELRIPVEDDTYVALVRLCEWKGARKEGSRVYSHVSKSMTLLSLQLGNALLSMFVRFGNLVDAWYVFGRMEKRNLFSWNVLVGGYAKAGFFDEALDLYHRMLWVGERPDVYTFPCVLRTCGGMPDLMRGREIHVHVLRYGFESDVDVLNALITMYVKCGDVRTARLVFDKMSNRDRISWNAMISGYFENGECLQGLRLFCMMIEYPVDPDLMTMTSVITACELLGDERLGREIHGYVLRMGFGRDPSVHNSLIQMYSSVGHIQEAETVFSRAECRDVVSWTAMISGYDNCLMPQKALETYKMMEAEGVMPDEITIAIALSACSYTCNLDMGTELHEAAKQTGLISHLIVGNSLIDMYAKCRSIDKALEVFHSTLDKNIVSWTSIILGLRINNRCFEALFYFRNMILRLKPNSVALVCVLSACARIGALTCGKEIHAHALRTGLCFDGFMPNAILDMYVRCGRMGYAWKQFFSVDHDVTAWNILLTGYAERGKGALASEVFQRMVESNINPDEVTFISILCACSRSGMVAEGLEYFNSMKNKYSITPNLKHHACVVDLLGRSGKLEEAYEYIQKMPLKPDSAIWGALLNACRIHHHVELGELAAKNIFQYDTTSVGYYILLSNLYADNGKWDKVAEVRKMMRQNGLIVDAGCSWVEVKGTVHAFLSGDNFHPQIMEINALLERFYKKMKEAGVEGPESSPMNIMEASKADLFCGHSERLAIVFGLMNSGPGVPIWVTKNLYMCKNCHNIVKFISKEVRREISVRDAEQLHHFRGGMCSCMNEGYMS >Vigun01g043300.1.v1.2 pep primary_assembly:ASM411807v1:1:6188913:6193365:-1 gene:Vigun01g043300.v1.2 transcript:Vigun01g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFGHKEQTGLEGRTVDVGNLKINIVKAIAEGGFSCVYLARDAVHMSKQYALKHIICNDEESLGLVKKEISVLKMLVGHPNVVTLHAHSIFDMGRTKEAFLVMEFCERSLVNVLENRGAGYFDEKQVLLIFRDICNAVFAMHCLSPPIAHRDLKAENLLLSSDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLFLREVINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDLPKYSSSITDLIRDMLQARPDDRPDITQVWFRVNEQLPINLQKSLPDRPPESPSPNNHEGMPSNRSPPMPRRNPPPPPSSGEPKTTPQPSLASRGGSSGGQLGAFWSSQHAKDPLVTEDKSKPIFDEEPSSHHMPLKHDRLRPENEQLAKNVSTSKVVNAQTHTVKSSTHGKLNKPDAKPSKDFEINLFHDKDRMENTANFQNQAFNTFVAEFDTNKLNTGLNNKSEREQALEVEVEKLKEQLKEANVEKAEITSKFEKLSAICRSQRQELQDLKQALASRTPSPSREGLKTSPAITSSAPMQDRTEWKTPSSEPKSWQAFPEEPQQQKSLSTGNTTKSVRSNNGRQNKQPVPLATNFDSWGFGTDSFSAVPTGSSQMQRPSSAGGKSQGFDEAKAFESKPTSQPAGWAGF >Vigun04g105700.1.v1.2 pep primary_assembly:ASM411807v1:4:25302114:25308774:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVNSIPENKRLPCSLLTSIHPSVTCYLQKKHHSLKLWKSTVIHFIANNKTKCLLQCSNVSPSTHHLLPQPSKTLPKVTNSKPHSLFLHLTNEALRFADGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKHKHVSLGGKG >Vigun04g105700.3.v1.2 pep primary_assembly:ASM411807v1:4:25306824:25308817:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMLKCLSFNSSPSSSTVQNPSQDGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKARLLVHQEICSDSTS >Vigun04g105700.2.v1.2 pep primary_assembly:ASM411807v1:4:25305687:25308817:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMLKCLSFNSSPSSSTVQNPSQDGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKHKHVSLGGKG >Vigun04g105700.5.v1.2 pep primary_assembly:ASM411807v1:4:25304021:25308817:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMLKCLSFNSSPSSSTVQNPSQDGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKHKHVSLGGKG >Vigun04g105700.6.v1.2 pep primary_assembly:ASM411807v1:4:25304021:25308817:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMLKCLSFNSSPSSSTVQNPSQDGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKHKHVSLGGKG >Vigun04g105700.4.v1.2 pep primary_assembly:ASM411807v1:4:25302114:25308817:-1 gene:Vigun04g105700.v1.2 transcript:Vigun04g105700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMLKCLSFNSSPSSSTVQNPSQDGTPNISDAYYRAVHTTSFSEIRSNVQAHVHHLNPTRERVQQALALSNPESLTRLFSAFFDHTEAASDLCLNLHHCVLRARALHAPLLDLFRTLDPSHPSQNDCVRALDLFHPFHTLPNPIPDSHTFSAIRTDLSALKTTLDRRAARSLRSLRLSRRLLRGSAVCLVVVAAAVIVATVAATVHAVVAIAGSGAGFALPVCASEKRELARLRQLHAAAKCAYVLSNDLGTIDALVSRLRAAVEGDKVLVSLGLERGNERYLVQEVIKQLWKSHQGFLHQVEDLEEHIFLCFYNINKHKHVSLGGKG >VigunL059434.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000261.1:24983:25718:1 gene:VigunL059434.v1.2 transcript:VigunL059434.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLV >Vigun09g120801.1.v1.2 pep primary_assembly:ASM411807v1:9:26797877:26800209:1 gene:Vigun09g120801.v1.2 transcript:Vigun09g120801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTIDEVPVYFPHPEIHPEQLRYMHYLKTVLDRKSHGILQMPKNKGKSSALISFITSYRLWKPENLQMKLIYCARNLEETENILSELKTIYQLQRHFLGDAANMLAIGFATRMNKLCINSTITEFSISDEFVDSKCLGCTASWIRELALHNTNINICSYFEKYQVDRPVLPPGVYTIEDLHELGEDEQNDFCPYFVAIDALRTANVVVICGYNYLLNPKYAGIISSKLMDESIVVLDDAEDILEVCKTSLSVAVSMHTLTSTSLFVKEMRLQLESFNANDPDRLRAEYDRLLQGLTRNGDDLPPSDVWRAHPALPADVLVQPMPEDIRSASNFLTALQHLIGYLKPMLSCEDVQDERSLLILVERLQPHLNLQSLRLFYERFHSLISTLKVKNPAHLHCSDARVLCDFATMLGIYAQSSEFRIRRGPRGMLEHAPDVLCQYALQICCDDPSLAMKVVLDRFQSVVITATTGTSDSLGRFPQILKFQPIVCRPVSSDDP >Vigun01g010800.1.v1.2 pep primary_assembly:ASM411807v1:1:1224129:1232400:-1 gene:Vigun01g010800.v1.2 transcript:Vigun01g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSLGLVAGSHNSNEFIIIRQEGVQRRLKEKDGKRCELCGDDIGVNGDGELFVACNECGFPVCKSCYEYERSEGNHVCPQCKTRFKRLKGCARVEGDEEEDDVDDLENDEDFDGGNEQDLKTTMSHEDEETCEENHALVPSSSTTLSKEMVPLQTRSMDPSKDLAAYGYGSVAWKERMETWKQRQIKLGNVRKENDNKEDSNNLVDDDDTEFLIMDEGRQPLSRKMTVPSSKINPYRMVIVMRLVVLGFFFHYRVMNPVEHAYALWLVSIICEFWFTLSWILDQFPKLLPVMRETYLDRLSLRYEKEGKVSELSPIDIFVITVDPLKEPPLVTANSVLSILAMDYPAEKVSCYVSDDGAAMLTFEALSETCEFAKKWVPFCKKFSIEPRAPEWYFGEKINYLNDKVHPSFVKERRAMKREYEEFRVRINSLVAKSRKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLAETGGYDMDGKELPRLVYVSREKRPNFNHHKKAGALNALARVSAVLSNAPFVLNLDYDHYINNSKVVREAMCFMMDPLVGKRVSYVQFSQRFDGIEQHANQTNGFFDINMRGLDGIQGPTYVGTGCVFRRQALYGFDSPRKKKPPTKTCNCWPRWCCCGCCFMGKKKKKKLKKQKFEIMENRHSKVHSEAAAVEFEHGTEDEKLAHISNPKFAKKFGQSPIFIASTQLLDGETLKHGNLASQLIEAIHVISCGYEEKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYSIPQRPGFKVSSPRNLSNGMQQVFQWALGSMEIFMSKHCPLWYGYGGGLKWLQRISYINAIVYPWTSIPLVVYCTLPAVCLLTGKFIIPELSNTAGMWFVSLFFCIFTTSLLEMRWSGVTIDEWWRNEQFWVIGGVSANFVAVFLGMFKVLGGVNTNFIVTSREDDEKEHSDMFALNWTILLIIPTTLLILNIIAVVAGVSNAINIGFEAWGPLLGKLMFSFWVILHLYPFLKGMVGRHNRTPTIVIVWAILLATFFSVLWVKIDPFLPKSSGPVLEECGLDCN >Vigun07g002000.1.v1.2 pep primary_assembly:ASM411807v1:7:165172:173693:1 gene:Vigun07g002000.v1.2 transcript:Vigun07g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKMSEEPSTEPEQGTQSPNLEDCLKLLKGERDEQRLAGLLLVTKFCKAEDHYSLRRVYDAVGPRFLYRLLRTGMGSGVNENRDAYLSLSITVLAAFCRVPDIASSEDMVLNIPLVLEVISTQSGSSVLQECYEFLYLVSAASGNGITRFCESGGIKVLASQMSALQDGSHVMELSFKLLQLIVGRMSFEIIQNNDLSDISVIVAALARQFAVLHNSLKFEALHLLNAILTSKDSTQLRDALRLLPQDSWSTNIRTGIMAVLQNRVAPAERLQALILAESMVSMHGEDWLVSQLNTNEARDPASADMCLLLVLEQSRVEIAVLLNELAYLKYEASQDTSATAEAIFSKRHNVAVAYSLVEKIIKLISNVEENDGNLLDEGTLTKLILQLNETIAVVLEYLEDAKEHGQKKGDDLLASVRIIGSYLAEAPLACKEKVQDLLGYMLSIEGEDEQRPFYSVCFLLPMLSQITMNIEGCKALASCKGLEAVLDCFNKLVGSNAFLEDNGCIFLACDTIVNLLLKKDKVHMMLDESAIVRLLKALAHWSDSTDEMSSMMMASSICALVFEYTSEEALLNRPDFNYSTLRTLYLLIARCLTSSEQDTKEDMDLSEIISSGFSRWAHRYPRIREAIKT >Vigun09g165500.1.v1.2 pep primary_assembly:ASM411807v1:9:33337507:33339870:1 gene:Vigun09g165500.v1.2 transcript:Vigun09g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFTKKDWYDIKAPSVFQVKNVGKTLVTRTQGTKIASDGLKHRVFEVSLADLQGDEDHAFKKIRLRAEDVQGRNVLTNFWGMDFTNDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRSNQVKRTCYAQSSQVRQIRRKMREIMINQATSCDLKELVRKFIPEMIGKEIEKATSSVYPLQNVFIRKVKILKAPKFDLGKLMEIHGDYSEDIGTKIDRPVDETMVEGATEVVGA >Vigun07g105450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19316643:19316999:-1 gene:Vigun07g105450.v1.2 transcript:Vigun07g105450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVRAMATSTYNMYIHEGVSTKLNPARCWIDITLAKMAFQSLFSKYETLPSFFCTLLQDKLNYHHFHSFPTPQVSAPLLPKLVNEISHLLSHHRSPHHALEHKLNPLSPQISTKLVE >Vigun02g112600.1.v1.2 pep primary_assembly:ASM411807v1:2:26673370:26677298:1 gene:Vigun02g112600.v1.2 transcript:Vigun02g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGGGCPCPRGGTDGSMEDPNGDTVGNLNQNAAKPPRDHSVMRHFSSSSWSAEPESNISITGLKSSTEKKSDFPLEIRSGSYSDKGPKQYMEDEFICIDSLSECDGLGANLPSPAAFYGVFDGHGGVDAAAFTRKNILKFIVQDAHFPSNIKKAIKSAFVKADLAFRDASSLDSSSGTTALIALTLGSSMLIANAGDSRAVLGKRGRAVELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSEPELADMVLSEEDEFLIMGCDGLWDVMSSQCAVTMVRKEMMQHNDPNKCAKVLVTEALQRNTCDNLTVVIVCFSKDPPPKIEIPRSYRRRSISAEGLDLLKGVLNGR >Vigun06g013600.2.v1.2 pep primary_assembly:ASM411807v1:6:6272018:6276502:1 gene:Vigun06g013600.v1.2 transcript:Vigun06g013600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKPDCLEHVVMGINGQFPGPTIRAEVGDTLNIALTNKLFTEGTVIHWHGIRQVGTPWADGTAAISQCAINPGETFNYRFIVDRPGTYFYHGHHGMQRAAGLYGSLIVDLPKGQNEPFHYDGEFNLLLSDLWHTSSHEQEVGLSSKPLKWIGEPQTLLINGRGQFNCSLAAKFVNTTLPECKFKGGEECAPQILHVEPNKTYRIRVASTTSLAALNLAISDHKLLVVEADGNYVTPFAVDDMDIYSGETYSVLLRTDQDSKKNYWVSIGVRGRKPNTPQGLTILNYKTISASVFPSSPPPVTPLWNDFEHSKAFTKKIIAKMGTPQPPKHSDRTIFLLNTQNRVDGFTKWSINNVSLTLPPTPYLGSIKFKLNDAFDQTPPPVTFPQDYDIFNPPVNPNSTIGNGVYRFNLGEVVDVILQNANQLSGNGSEIHPWHLHGHDFWVLGYGEGKFKHGDEKKFNLTHAPLRNTAVIFPYGWTALRFTADNPGVWAFHCHIEPHLHMGMGVIFAEAIHKVGKIPREALTCGLTGKKLVENGRY >Vigun06g013600.1.v1.2 pep primary_assembly:ASM411807v1:6:6272018:6276496:1 gene:Vigun06g013600.v1.2 transcript:Vigun06g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIIGLRAVFVWCIWLGLTQYSVGGRVRHYKFDVEYMIRKPDCLEHVVMGINGQFPGPTIRAEVGDTLNIALTNKLFTEGTVIHWHGIRQVGTPWADGTAAISQCAINPGETFNYRFIVDRPGTYFYHGHHGMQRAAGLYGSLIVDLPKGQNEPFHYDGEFNLLLSDLWHTSSHEQEVGLSSKPLKWIGEPQTLLINGRGQFNCSLAAKFVNTTLPECKFKGGEECAPQILHVEPNKTYRIRVASTTSLAALNLAISDHKLLVVEADGNYVTPFAVDDMDIYSGETYSVLLRTDQDSKKNYWVSIGVRGRKPNTPQGLTILNYKTISASVFPSSPPPVTPLWNDFEHSKAFTKKIIAKMGTPQPPKHSDRTIFLLNTQNRVDGFTKWSINNVSLTLPPTPYLGSIKFKLNDAFDQTPPPVTFPQDYDIFNPPVNPNSTIGNGVYRFNLGEVVDVILQNANQLSGNGSEIHPWHLHGHDFWVLGYGEGKFKHGDEKKFNLTHAPLRNTAVIFPYGWTALRFTADNPGVWAFHCHIEPHLHMGMGVIFAEAIHKVGKIPREALTCGLTGKKLVENGRY >Vigun09g103500.1.v1.2 pep primary_assembly:ASM411807v1:9:18143237:18158551:-1 gene:Vigun09g103500.v1.2 transcript:Vigun09g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVWQTPANPPHQHDYIFRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYDYYVSAVKCGRIQVDGEMVPVSYVVKPSQKISHFLHRHEPPVMACDVLILQKEPDVLTICKPASVPVHPCGQYRKNTVVGILQAEYGLAPLFPVHRLDRLVSGLLILARNAAKADIFRQQIEAGLVHKQYIAKVVGEFPEDELIVDANIDYNAREGRSTTEVRDSAKGKPASTKFTRISTDGTQSIVLCEPITGRTHQIRVHLQYSGHPIANDMLYISEQTVDRFVKGSSADRSARISDVSLTSDLDEVLNACGENSGEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCFRYTGPGWTYECPHPDWAKLM >Vigun11g090950.1.v1.2 pep primary_assembly:ASM411807v1:11:27086747:27090378:1 gene:Vigun11g090950.v1.2 transcript:Vigun11g090950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVKITHRSVKPLWEMVFEYFWHVFRLNLDEIIVGEADIVDCGQHDFDHYNNYYCFPH >Vigun05g276700.1.v1.2 pep primary_assembly:ASM411807v1:5:46648049:46652469:-1 gene:Vigun05g276700.v1.2 transcript:Vigun05g276700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLPFDMDAEADSSQNPTPRHKCSACYKQFKKKEHLIEHMKTSNHSVHQPACGVCQKHCKSFESLREHLTGPLPKGVCSKTFSQRGCQLCLVLFDSPGSLIAHRQTCRLSAPTRLGTKDLTYIDSQFDCQDSSDENHAGRGTGGTVAMDCEMVGGGSDGSLELCARVCLVDEDENLIFHTYVRPLMTVTNYRYDITGLTEEHLRNAMPLYEVREKVLKILYNGESIGKVRLNGGRAKLLVGHDLAHDLDCLNMNYPDHMLRDTAKYRPLMKTNLVSHSLKYLTRTYLGYDIQSGTHDPYEDCISVMRLYKRIRAQIHPEEEEDHGTSTPSNRIVGMPDCWRSKELDNLTPDQLYAMSRSDYKCWCLDLRSKLPT >Vigun03g355400.1.v1.2 pep primary_assembly:ASM411807v1:3:55694293:55697405:1 gene:Vigun03g355400.v1.2 transcript:Vigun03g355400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRDKPTRFLSSKFLFLLFPSTLLLLFFAFLTPRFSTTSTSTLIATTTFLSLKAVSPFDCSASPQAHPVVANTVEGVRYPFLFSLSDFGTLPDKPHKNIVRMLKGKPFRKPDISVTIQDLLEKAKSEGKDGLVVDVGANVGMATFAASAMGFQVVAFEPVFENLQKICEGIYFNRVSDLVTVFEAAASDHVGNITVYKLLGRLDNSAISATGAKLAFKSNEEVAFQVKTVPLDEVIPESKPVLLLKIDVQGWEYHVLKGASKLLSRKGSQAPYLIYEEDERLLQASNSTAEMIRSFLRSVGYHDCTQHGTDAHCVKKDPS >Vigun07g224800.1.v1.2 pep primary_assembly:ASM411807v1:7:34679950:34684849:-1 gene:Vigun07g224800.v1.2 transcript:Vigun07g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSKLLYLLEPAPVTLITTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSFSLLLMFYLFSSVSQLLTAFTAIASASSLFFCLSPYVAYLKTQFGLADPFVSRCCSKSFTRLQAILLVVCSFIVASWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLLCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGENATDFMMLGLGDMAIPGMLLALVLCFDYRKSRDIVNLFELHSSKGHKYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPVIVISWMKRELLELWEGNIPNLNDKDREIEV >VigunL009601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:120216:120568:1 gene:VigunL009601.v1.2 transcript:VigunL009601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHRGIAKWLGGEFCEKMKFVVFEM >Vigun02g017200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:6146125:6149099:1 gene:Vigun02g017200.v1.2 transcript:Vigun02g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTPSFFFLTFFFFLFNLQPSFSQHQFSSFNISNSPWQPSQNRTLLSPNKNFTAGFSPVPNSNNLFIFSIWYSKVPKTANNIVWSDTTQVNSSGSLVITSKSELLLDGSPFQGTADTNASRLELKDNGELAFGNWSSFKNPTNTVLPNQSFTGIELLSNNGKFRFTKSQFLVLNSTSDQYYSTPNPLLSMDDAGKMSMQGNSFLTSDYGDPRFRKVVLDDDGNLRIYSFYPEQNNQWLEVWKGIWEMCTIKGKCGSNAICVPGEDLNSSTHCDCPSGFTPNQGGSEEGCTRKISLSQSTQFLRLDYVNFTSDGNLNKIKADNFTICESGCSRDKTCLGFGFKYDGSGYCVWVTGTNLRFGYWSPGTEAAFFLKVDKSESTPSNFIGLTEVMQTTCPVNLSLPLPPKDSNTTARNIAIICTLFAAELIAGVAFFWSFLKRYIKYRDMATTLGLELLPAGGPKRFTYSEIKAATNDFSNLIGKGGFGDVYKGELPDHRVVAVKCLKNVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEHIPCGSLDKYLFRVNKSHSNNNNHLKDQTNPNTPQQKPVLDWSMRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVTMSRRRGTPGYMAPEWITADPITSKADVYSFGMVLLELVSGIRNFEIQDSVLRSEEWYFPGWAFDKMFKEMRVEEILDAQIRNAYDSRTHFDMVNRMVKTAMWCLQDRPELRPTMGKVAKMLEGTVEILEPKKPTVFFLGEE >Vigun11g067099.1.v1.2 pep primary_assembly:ASM411807v1:11:17776043:17776842:1 gene:Vigun11g067099.v1.2 transcript:Vigun11g067099.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPTPQSILFLEMVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMNIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEVESERTSRDIKVLYPFFWY >Vigun07g278100.1.v1.2 pep primary_assembly:ASM411807v1:7:39301686:39302586:-1 gene:Vigun07g278100.v1.2 transcript:Vigun07g278100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHDRTNFTLSHIRLKCFFKHKQSDYIHPHFSRYLMTSYIFTDMGVTNFLKNCTFMLSIFVLHITFPLKHVMASVYTVGDQDEWSSSQTNYASWAGRYNFSRGDVLVFRYIKGQHNVYEVTEESFRSCDADSGVLAKYESGEDEVALSEVKKYWFICNFAGHCYGGMRFGIEVKDGALQMMQPPSIEPAPLDNSCTTYFVSQRWRVFLNSIPLGLFLFNYYFW >Vigun08g224300.3.v1.2 pep primary_assembly:ASM411807v1:8:38194337:38201374:-1 gene:Vigun08g224300.v1.2 transcript:Vigun08g224300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNFLQGWDNATIAGSILYIKREFKLESEPTIEGLIVAMSLIGATVVTTCSGPLSDWLGRRPMLIISSLLYFLSSLVMLWSPTVYILLFARLLDGLGIGLAVTLVPLYISETAPTEIRGLLNTLPQFTGSAGMFFSYCMVFAMSLTNAPSWRLMLGVLSIPSLIYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVTGEMALLVEGLGVGRDTAIEEYIIGPANEFSNAEDPSAENEQIKLYGTAEGLSWIAKPVTGQSSIGLLSRKGSIIANPSGLVDPLVKLFGSVHEKLTETGSMRGSALFPHFGSMFSVGGNQLRNEDWDEESATREGDDYVSDAAADDSDDNLQSPLISRQATSVDRDMAAPAHGSMRQGSLVQGEAAGNTGIGGGWQLAWKWSEKDGVVKRIYLHEEGGPGSRRGSLISLPGGDAPNLTDGEIVQAAALVSQSALYNKELMRQQPVGPAMIHPSETAAKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSASFLISCVTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVTLVILVVGSLVDFNSTVNAFISTLSVVVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLGGVFGIYAIVCIIAWVFVFLKVPETKGMPLEVITEFFSVGAKQAEAVTGS >Vigun08g224300.1.v1.2 pep primary_assembly:ASM411807v1:8:38194312:38201374:-1 gene:Vigun08g224300.v1.2 transcript:Vigun08g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNFLQGWDNATIAGSILYIKREFKLESEPTIEGLIVAMSLIGATVVTTCSGPLSDWLGRRPMLIISSLLYFLSSLVMLWSPTVYILLFARLLDGLGIGLAVTLVPLYISETAPTEIRGLLNTLPQFTGSAGMFFSYCMVFAMSLTNAPSWRLMLGVLSIPSLIYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVTGEMALLVEGLGVGRDTAIEEYIIGPANEFSNAEDPSAENEQIKLYGTAEGLSWIAKPVTGQSSIGLLSRKGSIIANPSGLVDPLVKLFGSVHEKLTETGSMRGSALFPHFGSMFSVGGNQLRNEDWDEESATREGDDYVSDAAADDSDDNLQSPLISRQATSVDRDMAAPAHGSMRQGSLVQGEAAGNTGIGGGWQLAWKWSEKDGVVKRIYLHEEGGPGSRRGSLISLPGGDAPNLTDGEIVQAAALVSQSALYNKELMRQQPVGPAMIHPSETAAKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSASFLISCVTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVTLVILVVGSLVDFNSTVNAFISTLSVVVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLGGVFGIYAIVCIIAWVFVFLKVPETKGMPLEVITEFFSVGAKQAEAVTGS >Vigun08g224300.6.v1.2 pep primary_assembly:ASM411807v1:8:38194406:38201358:-1 gene:Vigun08g224300.v1.2 transcript:Vigun08g224300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNFLQGWDNATIAGSILYIKREFKLESEPTIEGLIVAMSLIGATVVTTCSGPLSDWLGRRPMLIISSLLYFLSSLVMLWSPTVYILLFARLLDGLGIGLAVTLVPLYISETAPTEIRGLLNTLPQFTGSAGMFFSYCMVFAMSLTNAPSWRLMLGVLSIPSLIYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVTGEMALLVEGLGVGRDTAIEEYIIGPANEFSNAEDPSAENEQIKLYGTAEGLSWIAKPVTGQSSIGLLSRKGSIIANPSGLVDPLVKLFGSVHEKLTETGSMRGSALFPHFGSMFSVGGNQLRNEDWDEESATREGDDYVSDAAADDSDDNLQSPLISRQATSVDRDMAAPAHGSMRQGSLVQGEAAGNTGIGGGWQLAWKWSEKDGVVKRIYLHEEGGPGSRRGSLISLPGGDAPNLTDGEIVQAAALVSQSALYNKELMRQQPVGPAMIHPSETAAKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSASFLISCVTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVTLVILVVGSLVDFNSTVNAFISTLSVVVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLGGVFGIYAIVCIIAWVFVFLKVPETKGMPLEVITEFFSVGAKQAEAVTGS >Vigun08g224300.5.v1.2 pep primary_assembly:ASM411807v1:8:38194312:38201358:-1 gene:Vigun08g224300.v1.2 transcript:Vigun08g224300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNFLQGWDNATIAGSILYIKREFKLESEPTIEGLIVAMSLIGATVVTTCSGPLSDWLGRRPMLIISSLLYFLSSLVMLWSPTVYILLFARLLDGLGIGLAVTLVPLYISETAPTEIRGLLNTLPQFTGSAGMFFSYCMVFAMSLTNAPSWRLMLGVLSIPSLIYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVTGEMALLVEGLGVGRDTAIEEYIIGPANEFSNAEDPSAENEQIKLYGTAEGLSWIAKPVTGQSSIGLLSRKGSIIANPSGLVDPLVKLFGSVHEKLTETGSMRGSALFPHFGSMFSVGGNQLRNEDWDEESATREGDDYVSDAAADDSDDNLQSPLISRQATSVDRDMAAPAHGSMRQGSLVQGEAAGNTGIGGGWQLAWKWSEKDGVVKRIYLHEEGGPGSRRGSLISLPGGDAPNLTDGEIVQAAALVSQSALYNKELMRQQPVGPAMIHPSETAAKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLGSTSASFLISCVTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVTLVILVVGSLVDFNSTVNAFISTLSVVVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLNSVGLGGVFGIYAIVCIIAWVFVFLKVPETKGMPLEVITEFFSVGAKQAEAVTGS >VigunL059049.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:669:1028:1 gene:VigunL059049.v1.2 transcript:VigunL059049.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g321500.1.v1.2 pep primary_assembly:ASM411807v1:3:51679117:51685244:-1 gene:Vigun03g321500.v1.2 transcript:Vigun03g321500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSLFSLRHLLVLSLALNVSLILRTLYHETGEGNKWWFCFKKKMMADAESCFTTSPTASLHNSTRENIIDLDHGDPTMYERFWRQMGDKTTITIPGWQSMSYLSEGSNICWFLEAEFATEVVRLHNVVGNAVTEGRHIVVGTGSSQLILAALYALSSPDAAEPISVVSAAPYYSSYPSMTDYQKSGLYQWAGDAENFDKEGPYIELVTSPNNPDGYRRKSMVNRRQGLLIHDLAYYWPQYTPISSPSDHDLTLFTASKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLKAVSESCKEEKQENGGESFFKFSYKVMAQRWKQLREVVDGGDMFSLPQFSPAFCSFFGKETEPQPAFVWLKCEGDVEDCETFLRGYKIITRSGTQFGASPKYVRISMLDTDETFIQFIERLSAIQERE >Vigun03g321500.2.v1.2 pep primary_assembly:ASM411807v1:3:51679117:51685244:-1 gene:Vigun03g321500.v1.2 transcript:Vigun03g321500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSLFSLRHLLVLSLALNVSLILRTLYHETGEGNKWWFCFKKKMMADAESCFTTSPTASLHNSTRENIIDLDHGDPTMYERFWRQMGDKTTITIPGWQSMSYLSEGSNICWFLEAEFATEVVRLHNVVGNAVTEGRHIVVGTGSSQLILAALYALSSPDAAEPISVVSAAPYYSSYPSMTDYQKSGLYQWAGDAENFDKEGPYIELVTSPNNPDGYRRKSMVNRRQGLLIHDLAYYWPQYTPISSPSDHDLTLFTASKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKVLKAVSESCKEEKQENGGESFFKFSYKVMAQRWKQLREVVDGGDMFSLPQFSPAFCSFFAFVWLKCEGDVEDCETFLRGYKIITRSGTQFGASPKYVRISMLDTDETFIQFIERLSAIQERE >Vigun08g086500.1.v1.2 pep primary_assembly:ASM411807v1:8:19305085:19307780:-1 gene:Vigun08g086500.v1.2 transcript:Vigun08g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVRALRALRKSRRGMPHFFPNPHRSCFIKHAHSDSNPLLLKLLQVPTSHIKTTLDKEMPYLQSSHLSWDFLVISLSPSSSDKARLVLEWILDKMLKENAKDCEIFCKLIFLCGKVKDVLLGMRVFSCMEAVGVKPNSMVFNSLISVCLSSYNVVTALSLFEIMESSESYKPDFHTYNMFISAFSKSGNVDAMLAWYSAKKAAAGFGPDLQMFESLISGCVNSRRFEIADAIFEEMITCGIVPSASILESMLNGICKQKRLDRAEEFFKFAIDSGWEVNENMADQLVALYHQQGKVEEMEALLETITKPCVITTSVLARFHCGIVKIYAMLDRLDDVEFAVGRMVKQGLSFTSADDVEKVICSYFRREAYDRLDVFLECLKSGYVLNKSTYDLLISGYKRAHLLEKVDRMMNDLKSAGLA >Vigun11g139100.1.v1.2 pep primary_assembly:ASM411807v1:11:34841586:34845180:-1 gene:Vigun11g139100.v1.2 transcript:Vigun11g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASANALSSASILRSPNRQSLARRVNQNGRVNYRQPNKRFAVKASAKEIAFDQSSRAAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKRGIDKTVLGLVEELEKKARPVKGGDDIKAVASISAGNDELIGQMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLIVEFENVRVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIMTGAEFQATDLGLLIENTTVEQLGLARKVTVTKDSTTIIADAATKDELQARIAQIKKELSETDSVYDSEKLAERIAKLSGGVAVVKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSTHVPAIKDRLEDADERLGADIVQKALVAPAALIAQNAGIEGEVVVEKIKNGEWEVGYNAMADRYENLVDAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAGAPQGLTV >Vigun08g118900.3.v1.2 pep primary_assembly:ASM411807v1:8:28642929:28645727:1 gene:Vigun08g118900.v1.2 transcript:Vigun08g118900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKKKAGLIFFPVPGIGHLASSLELAQLLINHHDDLSITIICMKLPHAPYSDAYIRSITASQPQIQAIDLPHVEPPPQELLKRSIPHYIWTLLQILKPHFKATVQNILSSSQSNPVIGLVLDVFCSPMIDVGNDLGIPSYLFMPSNVGFLSLMLSLQKRQVGDVFCDSDSKWLIPGFPDPFPSSVMPDALFNKEGGYAAYYNLALRFQDSKGIIVNTFSELEQYAIDALCDGQIQTPIYAVGPVINLKGHPNPNLDHAQHDKILKWLDEQPDSSVVFLCFGSRGSFDPPQTREIAQALQSSGVRFLWIMRSPPTRTENEERMLPEGFLEWTEEQQLNAFRMVREFRLAVELRLDYRRGSDLVMAEEIEKGLKQLMDRDTMVHKKVKEMQEMARKVVLNGS >Vigun08g118900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28642929:28645727:1 gene:Vigun08g118900.v1.2 transcript:Vigun08g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKKKAGLIFFPVPGIGHLASSLELAQLLINHHDDLSITIICMKLPHAPYSDAYIRSITASQPQIQAIDLPHVEPPPQELLKRSIPHYIWTLLQILKPHFKATVQNILSSSQSNPVIGLVLDVFCSPMIDVGNDLGIPSYLFMPSNVGFLSLMLSLQKRQVGDVFCDSDSKWLIPGFPDPFPSSVMPDALFNKEGGYAAYYNLALRFQDSKGIIVNTFSELEQYAIDALCDGQIQTPIYAVGPVINLKGHPNPNLDHAQHDKILKWLDEQPDSSVVFLCFGSRGSFDPPQTREIAQALQSSGVRFLWIMRSPPTRTENEERMLPEGFLEWTEGRGMLCDWAPQVEVLAHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVREFRLAVELRLDYRRGSDLVMAEEIEKGLKQLMDRDTMVHKKVKEMQEMARKVVLNGGSSFSSVGELIDVMTLNFMVGLNESINEKA >Vigun08g118900.2.v1.2 pep primary_assembly:ASM411807v1:8:28642929:28645803:1 gene:Vigun08g118900.v1.2 transcript:Vigun08g118900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKKKAGLIFFPVPGIGHLASSLELAQLLINHHDDLSITIICMKLPHAPYSDAYIRSITASQPQIQAIDLPHVEPPPQELLKRSIPHYIWTLLQILKPHFKATVQNILSSSQSNPVIGLVLDVFCSPMIDVGNDLGIPSYLFMPSNVGFLSLMLSLQKRQVGDVFCDSDSKWLIPGFPDPFPSSVMPDALFNKEGGYAAYYNLALRFQDSKGIIVNTFSELEQYAIDALCDGQIQTPIYAVGPVINLKGHPNPNLDHAQHDKILKWLDEQPDSSVVFLCFGSRGSFDPPQTREIAQALQSSGVRFLWIMRSPPTRTENEERMLPEGFLEWTEGRGMLCDWAPQVEVLAHKAIGGFVSHCGWNSILESLWFGVPILTWPIYAEQQLNAFRMVREFRLAVELRLDYRRGSDLVMAEEIEKGLKQLMDRDTMVHKKVKEMQEMARKVVLNGS >Vigun11g126400.3.v1.2 pep primary_assembly:ASM411807v1:11:33355812:33362197:1 gene:Vigun11g126400.v1.2 transcript:Vigun11g126400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSAAKPSDSEKPVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEEIEEEVEEEEEDPEEVEVEEEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFGFVTFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPTFKLGENAPTVSWADPKNADSSAASQVKAVYVKNLPKNVTQEQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNAMKALKNTERYELEGQVLECSLAKPQSDQKSGGSNTQKPGPGLLPSYPQHVGYGLVGGAYGALGAGYHAPGLAQPLLYGGGQAPAGMAMMPMLLADGRIGYVLQQPGMQPQAPSSHHRGGRSGGSGGGNRNAGSSSKGRHNNDGGQGRRYRPY >Vigun11g126400.1.v1.2 pep primary_assembly:ASM411807v1:11:33355530:33362225:1 gene:Vigun11g126400.v1.2 transcript:Vigun11g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSAAKPSDSEKPVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEEIEEEVEEEEEDPEEVEVEEEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFGFVTFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPTFKLGENAPTVSWADPKNADSSAASQVKAVYVKNLPKNVTQEQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNAMKALKNTERYELEGQVLECSLAKPQSDQKSGGSNTQKPGPGLLPSYPQHVGYGLVGGAYGALGAGYHAPGLAQPLLYGGGQAPAGMAMMPMLLADGRIGYVLQQPGMQPQAPSSHHRGGRSGGSGGGNRNAGSSSKGRHNNDGGQGRRYRPY >Vigun11g126400.2.v1.2 pep primary_assembly:ASM411807v1:11:33355528:33362225:1 gene:Vigun11g126400.v1.2 transcript:Vigun11g126400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSAAKPSDSEKPVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEEIEEEVEEEEEDPEEVEVEEEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFGFVTFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPTFKLGENAPTVSWADPKNADSSAASQVKAVYVKNLPKNVTQEQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNAMKALKNTERYELEGQVLECSLAKPQSDQKSGGSNTQKPGPGLLPSYPQHVGYGLVGGAYGALGAGYHAPGLAQPLLYGGGQAPAGMAMMPMLLADGRIGYVLQQPGMQPQAPSSHHRGGRSGGSGGGNRNAGSSSKGRHNNDGGQGRRYRPY >Vigun11g126400.4.v1.2 pep primary_assembly:ASM411807v1:11:33355812:33362197:1 gene:Vigun11g126400.v1.2 transcript:Vigun11g126400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSAAKPSDSEKPVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEEIEEEVEEEEEDPEEVEVEEEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFGFVTFRSVDLASKAIEELNNTEFKGKKIKCSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNNACAEYSKLKMMSPTFKLGENAPTVSWADPKNADSSAASQVKAVYVKNLPKNVTQEQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNAMKALKNTERYELEECSLAKPQSDQKSGGSNTQKPGPGLLPSYPQHVGYGLVGGAYGALGAGYHAPGLAQPLLYGGGQAPAGMAMMPMLLADGRIGYVLQQPGMQPQAPSSHHRGGRSGGSGGGNRNAGSSSKGRHNNDGGQGRRYRPY >Vigun10g158200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37752113:37752679:1 gene:Vigun10g158200.v1.2 transcript:Vigun10g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAANDGTTSNSGRRREIEIKEVEQRNRRCVTFSKRKLGLFNKLTELSLLCRVETAYIITSQNGNVYSCGYPTTAAVLHRYVTGRVYQQCRVTSEHEHEEFLEKQRLEYENVQNKLKEKQKQLKELKEAQNISSCFGCRWNLSHENMGLEDLEEFKCSLESLKLNLITVLQEKEASLRSDSLYKL >VigunL059088.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000562.1:15796:16879:1 gene:VigunL059088.v1.2 transcript:VigunL059088.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKRVKARLILISSTNTNRESVAYRSFSPSEFEARGVRKVTTGITGLWQPNVHNDVAFLSFDVGSSYHCEAEITKCWIVHPPIGNVSWV >Vigun03g337800.2.v1.2 pep primary_assembly:ASM411807v1:3:53576296:53581773:1 gene:Vigun03g337800.v1.2 transcript:Vigun03g337800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETETEELINLNYRASNCGGNNSKSGDGFIDRSKMRILLCDNDSKSSEEVFTLLLRCSYQVTTVKSARQVIDALNAEGQYIDMILVEVDLPVKKGMKLLKYIARDKELCRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLVENNILNYEFELVASDPSDANTNSTTLFSDDTDDKSKRSTNPETVVSIQQEQEVSIAAITNVVVELPSPDVPGISDRRTGHFSSGPKKSELKIGESSAFFTYVKATALKSNIEETARVENTTTEVRVEHVNQACGEQEGNDLNTHENGEMFEHHSQDDLPSSSSIPDSFSIERSCTPPASMEVSQQKHYREEHSRGVVHPINGSHGSDPAQHAYPYYISRVVNHVMMPSSAQMYQKNIQDLQSHSAQYNRLPQCPPHASGMTSFPYYPMSICLQPGQISTTHSWPSLGSSSSCEVKSSKVDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKLNGVNVDLNGQPTSTDYDEEDDEEEEDNHVARDFSPQDA >Vigun03g337800.1.v1.2 pep primary_assembly:ASM411807v1:3:53576296:53581773:1 gene:Vigun03g337800.v1.2 transcript:Vigun03g337800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETETEELINLNYRASNCGGNNSKSGDGFIDRSKMRILLCDNDSKSSEEVFTLLLRCSYQVTTVKSARQVIDALNAEGQYIDMILVEVDLPVKKGMKLLKYIARDKELCRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLVENNILNYEFELVASDPSDANTNSTTLFSDDTDDKSKRSTNPETVVSIQQEQEVSIAAITNVVVELPSPDVPGISDRRTGTPIQHPSCSRINTGHFSSGPKKSELKIGESSAFFTYVKATALKSNIEETARVENTTTEVRVEHVNQACGEQEGNDLNTHENGEMFEHHSQDDLPSSSSIPDSFSIERSCTPPASMEVSQQKHYREEHSRGVVHPINGSHGSDPAQHAYPYYISRVVNHVMMPSSAQMYQKNIQDLQSHSAQYNRLPQCPPHASGMTSFPYYPMSICLQPGQISTTHSWPSLGSSSSCEVKSSKVDRREAALMKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKLNGVNVDLNGQPTSTDYDEEDDEEEEDNHVARDFSPQDA >Vigun08g046100.1.v1.2 pep primary_assembly:ASM411807v1:8:4919349:4924703:-1 gene:Vigun08g046100.v1.2 transcript:Vigun08g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPSQNAIIFWWLLCGIMFNTVFCSFESRCNQQDMHALLNFKQGVRDPSGVLSSWSIELDCCEWKGVICNNITSRVTRITLPCSTTLPIYRDQEDKSHCLTDARTPRGH >Vigun04g027300.1.v1.2 pep primary_assembly:ASM411807v1:4:2112578:2113257:1 gene:Vigun04g027300.v1.2 transcript:Vigun04g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSVCCVSVPFLILSIICFTFFAHLTPSNADERMRDLGSLPRPPPSPDLNLPMKPALPQPPQNAPPPHCGHSRHGP >VigunL009712.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000192.1:10296:11153:-1 gene:VigunL009712.v1.2 transcript:VigunL009712.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSMFRISSEKQAGYFFANCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSIEDVIWFQRVNWTVPIRFHS >Vigun11g075100.1.v1.2 pep primary_assembly:ASM411807v1:11:21885441:21885928:1 gene:Vigun11g075100.v1.2 transcript:Vigun11g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKVALIAVVFALIACNGFVLCVEPQCKNDNDCKGKVPFCRVKAICVGTTCECSMSKQQKPAKCKTTADCPFYCIPPCEKRFCDVSTGSCKCLCNKH >Vigun11g106200.1.v1.2 pep primary_assembly:ASM411807v1:11:30470189:30471713:-1 gene:Vigun11g106200.v1.2 transcript:Vigun11g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSEVPSPQLFIVIVWSFSTIIISLVSAVRLPNNESVPAVIAFGDSILDTGNNDYINTLFKSNFRPYGKDFGGGNQPTGRFSNGLIPSDFLAAILDIKKLLPPYLDPNLKRHDLLTGVSFASAASGYDPQTNKIASVLSLSDQLENFKEYKTKLHDMVGENTTTTIISKSVYLLSSGNNDIANTFFTLPFRRLQYNVSTYTDFMVSQATKFLQNLYGLGARKIGVLGLPNLGCVPSQRTLRGGLHRKCSERENQASMLFNKKLSCQIDILGKKYPDAKFVYLDIYSVLSDLVQNTTKYGFEVADKGCCGSGNLEVSILCNPLSLGICSNRSNYVFWDSFHPTEKAYQIITSQVFDKNVDKFF >Vigun02g005200.5.v1.2 pep primary_assembly:ASM411807v1:2:2487075:2491131:1 gene:Vigun02g005200.v1.2 transcript:Vigun02g005200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNQKALCLAEVALVLLWVGVIQPKIIMPLAFGAAAPMTIPLSRAEVSQNLKNQLGYTVNVKPSQIPHKDAGQGLFLDGTVDVGAVVAFYPGIVYSPAYYRYIPGYPKVDALNPYLITRYDGNVINAQPWGCGGDNRELWNGWKTGEIKPDVKKGEPERGSERFWKLLSKPLEGYKGDNTEVIERRNPLALAHFANHPPKGVQPNVMICPYDFPLTESNMRVYVPNLLFGNAEVNMRRFGSFWFKGGSKISGSHVPILKTLVLVATRPLQDEELLLNYRLSNRKRRPEWYIPVDEEEDRRRWS >Vigun02g005200.3.v1.2 pep primary_assembly:ASM411807v1:2:2487075:2491131:1 gene:Vigun02g005200.v1.2 transcript:Vigun02g005200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQKLQEAVRTLVKRPAFARDPRQLQFEADINRLFLYLSYNRLGKNADEARAEEIIEMASKASFADQQMQVQENVHSQFKKFCTFMDEILLPNEKMVNEHEPESTVPRRSGLSFAVGRSDPAQNNNAAPMTIPLSRAEVSQNLKNQLGYTVNVKPSQIPHKDAGQGLFLDGTVDVGAVVAFYPGIVYSPAYYRYIPGYPKVDALNPYLITRYDGNVINAQPWGCGGDNRELWNGWKTGEIKPDVKKGEPERGSERFWKLLSKPLEGYKGDNTEVIERRNPLALAHFANHPPKGVQPNVMICPYDFPLTESNMRVYVPNLLFGNAEVNMRRFGSFWFKGGSKISGSHVPILKTLVLVATRPLQDEELLLNYRLSNRKRRPEWYIPVDEEEDRRRWS >Vigun02g005200.1.v1.2 pep primary_assembly:ASM411807v1:2:2487075:2491131:1 gene:Vigun02g005200.v1.2 transcript:Vigun02g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLLSGLQFQVPVAAYLRALRFQEHFRPRKLDVALKAHFVLSSSFSFIQSKMALFQKLQEAVRTLVKRPAFARDPRQLQFEADINRLFLYLSYNRLGKNADEARAEEIIEMASKASFADQQMQVQENVHSQFKKFCTFMDEILLPNEKMVNEHEPESTVPRRSGLSFAVGRSDPAQNNNAAPMTIPLSRAEVSQNLKNQLGYTVNVKPSQIPHKDAGQGLFLDGTVDVGAVVAFYPGIVYSPAYYRYIPGYPKVDALNPYLITRYDGNVINAQPWGCGGDNRELWNGWKTGEIKPDVKKGEPERGSERFWKLLSKPLEGYKGDNTEVIERRNPLALAHFANHPPKGVQPNVMICPYDFPLTESNMRVYVPNLLFGNAEVNMRRFGSFWFKGGSKISGSHVPILKTLVLVATRPLQDEELLLNYRLSNRKRRPEWYIPVDEEEDRRRWS >Vigun02g005200.2.v1.2 pep primary_assembly:ASM411807v1:2:2487075:2491131:1 gene:Vigun02g005200.v1.2 transcript:Vigun02g005200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWRYKFITCVNRFFFSLEEHFRPRKLDVALKAHFVLSSSFSFIQSKMALFQKLQEAVRTLVKRPAFARDPRQLQFEADINRLFLYLSYNRLGKNADEARAEEIIEMASKASFADQQMQVQENVHSQFKKFCTFMDEILLPNEKMVNEHEPESTVPRRSGLSFAVGRSDPAQNNNAAPMTIPLSRAEVSQNLKNQLGYTVNVKPSQIPHKDAGQGLFLDGTVDVGAVVAFYPGIVYSPAYYRYIPGYPKVDALNPYLITRYDGNVINAQPWGCGGDNRELWNGWKTGEIKPDVKKGEPERGSERFWKLLSKPLEGYKGDNTEVIERRNPLALAHFANHPPKGVQPNVMICPYDFPLTESNMRVYVPNLLFGNAEVNMRRFGSFWFKGGSKISGSHVPILKTLVLVATRPLQDEELLLNYRLSNRKRRPEWYIPVDEEEDRRRWS >Vigun02g005200.4.v1.2 pep primary_assembly:ASM411807v1:2:2487075:2491131:1 gene:Vigun02g005200.v1.2 transcript:Vigun02g005200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASFADQQMQVQENVHSQFKKFCTFMDEILLPNEKMVNEHEPESTVPRRSGLSFAVGRSDPAQNNNAAPMTIPLSRAEVSQNLKNQLGYTVNVKPSQIPHKDAGQGLFLDGTVDVGAVVAFYPGIVYSPAYYRYIPGYPKVDALNPYLITRYDGNVINAQPWGCGGDNRELWNGWKTGEIKPDVKKGEPERGSERFWKLLSKPLEGYKGDNTEVIERRNPLALAHFANHPPKGVQPNVMICPYDFPLTESNMRVYVPNLLFGNAEVNMRRFGSFWFKGGSKISGSHVPILKTLVLVATRPLQDEELLLNYRLSNRKRRPEWYIPVDEEEDRRRWS >Vigun02g030966.1.v1.2 pep primary_assembly:ASM411807v1:2:13026590:13027972:-1 gene:Vigun02g030966.v1.2 transcript:Vigun02g030966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSEVEGKKDTYNIGCSEEEQLTSTALNKAIIFRSEYPFFLLVMKESFINGYYLKIPTYFVEKYLRNARAAISLELLDGRTWPVIYCGQRINGGWHKFASENNLNVGDVCVFEMIKKIRGFAFKVSIFRGAEE >Vigun05g239500.1.v1.2 pep primary_assembly:ASM411807v1:5:43257523:43258438:-1 gene:Vigun05g239500.v1.2 transcript:Vigun05g239500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFTVSRLIKNGKAKQKKNKRGKVVTKFSPNQKIVMEFAVALKKDINRLARLEAELADVTEKRKEFSAKLERVWKVVKICIKKIDELEL >Vigun01g182100.1.v1.2 pep primary_assembly:ASM411807v1:1:36284824:36288165:1 gene:Vigun01g182100.v1.2 transcript:Vigun01g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGSKTSDYTLLSCFFRSSLVWPYHHQTVVTEISDPTSLETEDTNAPENVQEKPPRVVEINKEINMPSEPANKKIPRKVKRVAIGLQEESILKRKSEELGKGQYGTTFLCTEKATGKKYACKSIPKVKLLIDEDVEDVRREIEIMHHLEGCPNVISIKGAFEDGVAVYVVMELCEGGELFDRIVDKGHYTERKAAKLARTIVSIPENFLFVDGNEESTLKAIDFGMSVFFKPGETFRDVVGSPYYIAPEVLRRHYGPEADVWSAGVIIYILLCGKPPFWGECEQEIFEEVLHGDLDFTSDPWPNISESARDLVRKMLVRDPRKRITAHEVLRHPWIQVDGVAPDKPLDSAVLSRLKQFSVMNKLKKMALRVIAENLSEEEISELKVAFKMIDTDNSGQITLEKLKAGLKMLGNNLSEPEILDLMQAADVDNSGTIDYREFIAATLHLNKVHREDHLVAAFSFFDRSGSGYITQDELQKACEEFGIENVCFEEMIQEADQNNDGRIDYNEFVAMMLKGNADLGINRIKGGTDFSIGFREALSVC >Vigun06g020466.1.v1.2 pep primary_assembly:ASM411807v1:6:9774665:9777365:-1 gene:Vigun06g020466.v1.2 transcript:Vigun06g020466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMVKDIDEKKETLKLAVRIKDLWFVQNRDNSRHMELILLDQKGSMIPAMVKKEDLGLWEEKLVEGQTYIMHNFKILKNHGQFRVCDHPYKLLFIGATTIKEQAISSIPVSVYKFKSIEDIVDGNYAVDLLYDIIGVVDNVRCNPQSKNVAFHIRDFSSAVIGCTLWDSYYLKFMSNWRGDPDSSIVVVMLTQAKIKPCSGRWPVSISNSWNGSKLLMGDECAELVRFKEQWIERFGNVVSPSQECSQLSSPSQYSEHEKFMYKAVVRTISEITSMKEEQYCVTVANTVKFNLGNDGWCYPVCNSCRKKTDEIGAFKCVLCGFDNEKHGIRYKLELQVTDGYNYTNFVLWDQDCNNLIGVSAVELMNKMIQDGEDDPKCFPEDLDVLLGCTLACKVRVQPNNRSSSVMKASSNPETIACVRSKLETKMIKESSGEGTCDSSSETNSKGKKSFGIAICDSNNEAETIGVIS >Vigun05g173600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31615609:31616055:-1 gene:Vigun05g173600.v1.2 transcript:Vigun05g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKERGEKISRELASSSMNSYPFCTNIHHREGAPKFELKTRLIQQLPKFFGVENENPYHHLRELNLVCAMMKPGGVCADDVKAKAFLFSLTGFAKHWFLYQIAPMNSWNDMETIFTRKFIPIMMRQTALNQQVDAIIKHCGLCAASDH >Vigun02g090900.2.v1.2 pep primary_assembly:ASM411807v1:2:24598079:24602788:1 gene:Vigun02g090900.v1.2 transcript:Vigun02g090900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSLPSPARSAEGPMEVSPATNFVSETLCPSESVGDGCDHSGRLSAIGESTLPGVYGVCGEEAAQENCNTDTQFRQLNNDSTLLLTSCSPVSAVAPESWVTTQGNWLLDENEGSIGAESFYAIGPSNMGAGLSNLGNTCFLNAILQCFTHTVPLVQGIRACTHNFPCAGHMDCFCVICTFRDQVERSLAACGRTLSPLKFVNNLSYFSSGFRRYQQEDAHEFMQCALDKLERCFLDLKKTDLNFEDDNLVQKVFGGRLISKLRCCSCGRTSDTYEPLIDMSLEIESVDSLPSALDSFTKVEKIDANFRCDNCKEEVSMEKQLMLDMTPSIATFHLKRFKTDGILVEKIDKHIDFPLELDLQPYTISNQNNDVLMKYDLYAIVVHTGFSSTSGHYFCFVRSGPDTWHKLDDSMVTEVSGDSVRSQEAYILFYARQGTPWFSSIMESQKQCLDPNISSTSPTSVLDVGDDISKSNPNLVPSTEEGGAAVSKGCSDPPFDYFCPDELAFSEPNDSKDATHGCGQFLYGSNQESVSLHSSSKDVETQKLFRNRVTLDCRSVFDGKSYTENSSLDNNYDCEEEVDFRENFGACSPSLPSPPPTSPLEDASDKFHVSRDKSESKQSRKRSLNQCNENPERREAVKYLNKTGSRRGALLKYVTQDEASNKRKKVDSLQYA >Vigun02g090900.1.v1.2 pep primary_assembly:ASM411807v1:2:24598079:24602774:1 gene:Vigun02g090900.v1.2 transcript:Vigun02g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSLPSPARSAEGPMEVSPATNFVSETLCPSESVGDGCDHSGRLSAIGESTLPGVYGVCGEEAAQENCNTDTQFRQLNNDSTLLLTSCSPVSAVAPESWVTTQGNWLLDENEGSIGAESFYAIGPSNMGAGLSNLGNTCFLNAILQCFTHTVPLVQGIRACTHNFPCAGHMDCFCVICTFRDQVERSLAACGRTLSPLKFVNNLSYFSSGFRRYQQEDAHEFMQCALDKLERCFLDLKKTDLNFEDDNLVQKVFGGRLISKLRCCSCGRTSDTYEPLIDMSLEIESVDSLPSALDSFTKVEKIDANFRCDNCKEEVSMEKQLMLDMTPSIATFHLKRFKTDGILVEKIDKHIDFPLELDLQPYTISNQNNDVLMKYDLYAIVVHTGFSSTSGHYFCFVRSGPDTWHKLDDSMVTEVSGDSVRSQEAYILFYARQGTPWFSSIMESQKQCLDPNISSTSPTSVLDVGDDISKSNPNLVPSTEEGGAAVSKGCSDPPFDYFCPDELAFSEPNDSKDATHGCGQFLYGSNQESVSLHSSSKDVETQKLFRNRVTLDCRSVFDGKSYTENSSLDNNYDCEEEVDFRENFGACSPSLPSPPPTSPLEDASDKFHVSRDKSESKQSRKRSLNQCNENPERREAVKYLNKTGSRRGALLKYVTQDEASNKRKKVDSLQCKKTTSLDRKKSNQTSVSRPVAAGISQ >Vigun04g009600.1.v1.2 pep primary_assembly:ASM411807v1:4:726227:735229:1 gene:Vigun04g009600.v1.2 transcript:Vigun04g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIYSRVCIGDNCRGSSINGDPIARTTDVGEVANFSHTSSDVEEGEIRDQLNQLSITRDSEAGIRRLARVSAQFLPPDGSRIVKVPSGNFELRYSFLSQRGYYPDALDKANQDSFCIHTPFGTNPNDHFFGVFDGHGEFGAQCSQFAKRKLCENLLRNSKFRGDPVEACHAAFLATNSQLHADVLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAERRGKDFVAVDLSIDQTPFRSDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVFELTQDHPFFVLASDGVFEFLSSQSVVEMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITVIIVHVNGLTESTGAQSASYGDVLRKPVPQVVEVTGSESPSTFGWSARNHRVRHDLSRARLRALENSLENGQAWVPPPSAHRKTWEEEAHIEQALHDHFLFRKLTDSQCHVLLDCMQRVEVEPGDIIVKQGGEGDCFYVVGSGEFEVLATQEEKEGDVPRVLQRYTAEKLSCFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLSILQLSQISDSLSEVSFSNGQTIIDNNEILALYIIQKGCVKITFDSDLLTSPNAYSLKPDIQNEEDDVQSRTELSIEKPEGSYFGEWTLYGERIGSINAVAVGDVVCALLTKDKFESVIGSIQKISQEDHKSKDNSMELTGRNYDFSSLDKVQLSDLEWRKTLYSTDCSQIGVANLKESENLLTLKRFSKPKVKRFGKESQVLKEKDLIKGLGSSASIPQVLCTCADRMYAGILLNTHLACPLSSILSSPFGESAARFCAASVVTALEDLHKNGVLYRGVSPDVLMLEQTGQIQLVDFRFGKQLSGERTFTICGMADSLAPEIVLGKGHGFPADWWALGVLIYFMLRGEMPFGSWRENELDTVAKIAKRKLHLPESFSSETVDLISKLLEVEENNRLGSQGPDSVKSHPWFNGIDWEGIRNRTFPVPQEIISRITQYLEVHSEDCSTGYPGSPLQEVEELNVPEWLEDW >Vigun05g268000.1.v1.2 pep primary_assembly:ASM411807v1:5:45990651:45992658:1 gene:Vigun05g268000.v1.2 transcript:Vigun05g268000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHESVQGFDSDDSDLDMQAEISEKHGKIDEFSKNLELCGSEDEKFVELPATEIPTDIEAVEPFIGMEFNSREEAREFYIAYGRRIGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPATREGCQAMIRLALRDGGKWVVTKFVKEHNHKLMSPSKVPWRGSGKHLVSEDEKDRRIRELSLELYNERQKCKRRCAAYEEQLNLILNDLEKHTEHISEKVAAVVRSIREIEEEKSDSDSG >Vigun01g243000.1.v1.2 pep primary_assembly:ASM411807v1:1:41207883:41210475:-1 gene:Vigun01g243000.v1.2 transcript:Vigun01g243000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDKFLVATSTIGFICVCKVFVKFLKWVWVMFLRPPKNLKEYGSWAIITGSTDGIGKAMAFELASKGLNLLLVGRNPLKLEATLKEIRERHGVEVKFVVIDMQKVDGEEIAKRIEEAMDGLDVGLLVNGAGLAYPYARFFHEVDSELMDAVVKVNVEATTWITKAVIPSMIKKKKGAIVNIGSGSSVVLPSYPLVTLYAATKGYLAMFSRCISLEYKHQGIDIQCQTYIQVPLFVSTKMTKMKSSVFVPTPELYSKTCIRWIGYEKLVEPYFLHSVQGFP >Vigun01g243000.2.v1.2 pep primary_assembly:ASM411807v1:1:41207883:41210496:-1 gene:Vigun01g243000.v1.2 transcript:Vigun01g243000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDKFLVATSTIGFICVCKVFVKFLKWVWVMFLRPPKNLKEYGSWAIITGSTDGIGKAMAFELASKGLNLLLVGRNPLKLEATLKEIRERHGVEVKFVVIDMQKVDGEEIAKRIEEAMDGLDVGLLVNGAGLAYPYARFFHEVDSELMDAVVKVNVEATTWITKAVIPSMIKKKKGAIVNIGSGSSVVLPSYPLVTLYAATKGYLAMFSRCISLEYKHQGIDIQCQVPLFVSTKMTKMKSSVFVPTPELYSKTCIRWIGYEKLVEPYFLHSVQGFP >VigunL043900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:455662:457180:1 gene:VigunL043900.v1.2 transcript:VigunL043900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWFNSKLLNRKFEYRCELSKSMESLGPIENTSLSEEPKILTDIDKKFRGVDNIQDFLSDKTFLVRDNKKNSYSIYLDIENKTLEINNNHSFLRVFSSYLNTVIKSDYDHSMYDTPFSWNNYINSCIDSYFHSQICVDSCIFGNIVEYNDSYFYSYFLGKVRNCSESENSSFSLITSTNDTNDSDSTLGENYMNLHESEQLTHLWIECENCYELNYKKFWKSKRNICEYCGYHLKMDSSDRIEFSIDSGTWNPMDEDMVSLDLIEFHSEEEPYKDRIDSYQRKTGLTEAVQTGTGQFMGGSMGSVVGEKITRLVEYATNQLLPLILVCSSGGARMQEGSLSLMQMAKISSALYYYQTIQNYSIFGMLGDIIIGEPNAYIAFAGKRVIEQTLNKTVPEDSQVAESLFNKGLIDSIVPRNLLKGVVTELLQFHNFFSLTKKERNYGIEIQNQNIQDQSNK >Vigun08g102750.1.v1.2 pep primary_assembly:ASM411807v1:8:25485045:25485957:-1 gene:Vigun08g102750.v1.2 transcript:Vigun08g102750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKHQIVHAKSISHTFSCSSSLIKVSFSRPTTNFNFQHLFSDWDNCLDTRNPTIEFCVFLGASLIS >Vigun05g185050.1.v1.2 pep primary_assembly:ASM411807v1:5:35697601:35701896:-1 gene:Vigun05g185050.v1.2 transcript:Vigun05g185050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKMIKRKKKPPQCHYEKKNINYHIVEETHSFFILFVYSQRFIFPITNFTEESPRGTQSCHVFCFSFNSFIVGANQVN >Vigun03g429100.2.v1.2 pep primary_assembly:ASM411807v1:3:63360778:63365080:-1 gene:Vigun03g429100.v1.2 transcript:Vigun03g429100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVSCQEKGSRNKRKFRADPPLGEPSKIILAPPLECRSYEFSAEKFKITPSHGQATACDVCGVSQDHSDGLKLGLGLYSPGTSDVGPSQSKEEPKTNEISDADWSDLTEAQLEELVLSNLDTIFKSSIKTIVACGYNEEVATKAILRPGICYGCKDTVSNIVDNTLAFIRNGQEVDMSREPYFEDLVQLGKYVLAELVCVLREVRPFFSIGDAMWRLLICDMNVSHACAMDCDPVCSLGSDNTANDVSSSQPESQSKPETKVPELSLLGPSKSIPAGSHTSHPKKPFVTAFRGLSNMDSQIIVGGTSKNEGASWESDCTHKTFSAAGTSQSGLMEEKYGTARKVHSSSSRRDYILRHKTFHGEKGHRSCGSKGSSRGKANGLGGLILDKKLKPVSESSAINFRGASLQISKGMEINMTQENINANFLSNAPTPPAFNLDSSNGVSGLTNNSYAIHAANTTPTFCYPVSLSTTNTDLSLSLSSKIKPSTEPDGSKNAAPTSFIGMPYYKFPNQWMPHDGRNEMILKLVPRVRELQNQLQEWTEWVNQKVMQATRRLSKEKAELQTLRQEKEEVERLKKEKQSLEENTLKKLSEMENALCKVSGQVERANATVQKLEMEKVALRKEMEAAKLRAIETAASCQEVSRREKKTQLKFQSWEKQKSLFQEELTIEKRKLAQLLQELEQARMQHEQVEGRWQQEEKAKRELLQQASSIRKEIEQIEESGNSKENMIKLKAERNLQRHKDDIQKLEKEISQVRLKTDSSKIAALRMGIDGSYASKCLYMKNGTTLKESQASFISELVIEHSTRGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSLIQQRIAVRFPRN >Vigun03g429100.1.v1.2 pep primary_assembly:ASM411807v1:3:63360778:63365080:-1 gene:Vigun03g429100.v1.2 transcript:Vigun03g429100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVSCQEKGSRNKRKFRADPPLGEPSKIILAPPLECRSYEFSAEKFKITPSHGQATACDVCGVSQDHSDGLKLGLGLYSPGTSDVGPSQSKEEPKTNEISDADWSDLTEAQLEELVLSNLDTIFKSSIKTIVACGYNEEVATKAILRPGICYGCKDTVSNIVDNTLAFIRNGQEVDMSREPYFEDLVQLGKYVLAELVCVLREVRPFFSIGDAMWRLLICDMNVSHACAMDCDPVCSLGSDNTANDVSSSQPESQSKPETKVPELSLLGPSKSIPAGSHTSHPKKPFVTAFRGLSNMDSQIIVGGTSKNEGASWESDCTHKTFSAAGTSQSGLMEEKYGTARKVHSSSSRRDYILRHKTFHGEKGHRSCGSKGSSRGKANGLGGLILDKKLKPVSESSAINFRGASLQISKGMEINMTQENINANFLSNAPTPPAFNLDSSNGVSGLTNNSYAIHAANTTPTFCYPVSLSTTNTDLSLSLSSKIKPSTEPDGSKNAAPTSFIGMPYYKFPNQWMPHDGRNEMILKLVPRVRELQNQLQEWTEWVNQKVMQATRRLSKEKAELQTLRQEKEEVERLKKEKQSLEENTLKKLSEMENALCKVSGQVERANATVQKLEMEKVALRKEMEAAKLRAIETAASCQEVSRREKKTQLKFQSWEKQKSLFQEELTIEKRKLAQLLQELEQARMQHEQVEGRWQQEEKAKRELLQQASSIRKEIEQIEESGNSKENMIKLKAERNLQRHKDDIQKLEKEISQVRLKTDSSKIAALRMGIDGSYASKCLYMKNGTTLKESQASFISELVIEHSTRGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSLIQQRIAVRFPRN >Vigun03g249600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41517909:41520509:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDVSQLDADVLLPPRKRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun03g249600.2.v1.2 pep primary_assembly:ASM411807v1:3:41517909:41520496:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIRNLVGLLKSRYHVGCGIEKDLINCCNDVIGNGRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun03g249600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41517909:41520496:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDVSQLDADVLLPPRKRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun03g249600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41517909:41520497:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDVSQLDADVLLPPRKRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun03g249600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41517909:41520509:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDVSQLDADVLLPPRKRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun03g249600.3.v1.2 pep primary_assembly:ASM411807v1:3:41517909:41520496:-1 gene:Vigun03g249600.v1.2 transcript:Vigun03g249600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIRNLVGLLKSRYHVGCGIEKDLINCCNDVIGNGRLLAGLKKQGSDSDATASPSPVAASCVTDVASPSSSSFSSEFEARLKHLLTCHSDNPNLTPEEVVEASKAAAIAATKAAEAARAAAEEKAAIAATAVAAAKRALDLVASFSEEAANKERNLKKNKSKKHLPVQLLYKKNQPIENCRTDEELARKLHRAMNSSPRISKNSPNSDSKGSKHKKPRSSSSFEMTEGSESGVALGHDCLSLNNEHAVAGKIDSEGSIQEVSSSKKDKRGVKYYRSSQLEIDNGEAESSQSKGKNCEESSPIGKKRGRVKLKKLPLSICTSKDKALPKEEIRARSSPLTGMNTGNNAVDSMHLFTVESSTDRALPIEATSMWKCQDFKAPACIKQNKAVQS >Vigun04g118500.2.v1.2 pep primary_assembly:ASM411807v1:4:30229196:30232602:1 gene:Vigun04g118500.v1.2 transcript:Vigun04g118500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKATRFLLLLSRRTPTRNRPPPPNHHRQHLRTIFDGTFKPVRDRGLDHAVEREKNLKPLLSLKTLIKREPSKSLPLSLIKSTLQFPFRPIEFIRKYPSVFEEFLPTPTLLQPHIRLTPETLRLDAEEQLVYQSHQFKHQSADRLLKLLMIARIHKIPLPLIEHLRWDLGLPDDYTETVVPEFPDCFRVADGFLELVCWSHDLAVPILQNRNKKDEFDGQLVFPVQFSTGFEMDKKYEKWLREWQRLPYVSPYENVSHLSPTSDESDRWVVGVLHEILHVFVGKKIEKDSLLEFGEWLGLRSRFKRALSQHPGIFYVSSKVGTYTVVLREGYKRGALIEDHPVMNLRNQYVHLMNSVSEEGKVGKVVQGKGGVHEAEAKAVEVGEGGGEGDEESVGEHEEEACEIEDASETDVDDDDDERRSWRGSQKIAPGRRERDYGKVKLDVDKPLRDSRRERLNLRDSGRERSPLRDSGRERSRLRDSGRERSPFRDCGRERSPFRDTQMERSAFRNSGRERSPRGDSRSERSPLRDSGRERSPRSDSRRERSPMRDSRRERSTGKHTQKTGEKNSLEVSERRPVRGGHVKKLRTHKRDQDPLKAAEGH >Vigun04g118500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30229196:30232602:1 gene:Vigun04g118500.v1.2 transcript:Vigun04g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKATRFLLLLSRRTPTRNRPPPPNHHRQHLRTIFDGTFKPVRDRGLDHAVEREKNLKPLLSLKTLIKREPSKSLPLSLIKSTLQFPFRPIEFIRKYPSVFEEFLPTPTLLQPHIRLTPETLRLDAEEQLVYQSHQFKHQSADRLLKLLMIARIHKIPLPLIEHLRWDLGLPDDYTETVVPEFPDCFRVADGFLELVCWSHDLAVPILQNRNKKDEFDGQLVFPVQFSTGFEMDKKYEKWLREWQRLPYVSPYENVSHLSPTSDESDRWVVGVLHEILHVFVGKKIEKDSLLEFGEWLGLRSRFKRALSQHPGIFYVSSKVGTYTVVLREGYKRGALIEDHPVMNLRNQYVHLMNSVSEEGKVGKVVQGKGGVHEAEAKAVEVGEGGGEGDEESVGEHEEEACEIEDASETDVDDDDDERRSWRGSQKIAPGRRERDYGKVKLDVDKPLRDSRRERLNLRDSGRERSPLRDSGRERSRLRDSGRERSPFRDCGRERSPFRDTQMERSAFRNSGRERSPRGDSRSERSPLRDSGRERSPRSDSRRERSPLRDSGREGSPLSNSRRERIPLRDSGSERSPMRDSRRERSTGKHTQKTGEKNSLEVSERRPVRGGHVKKLRTHKRDQDPLKAAEGH >Vigun08g133900.1.v1.2 pep primary_assembly:ASM411807v1:8:30534647:30537315:1 gene:Vigun08g133900.v1.2 transcript:Vigun08g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEDGGTELQLRELATLYCSSLFLPLHFHFSDTKPVKPNSNNISEMVCKFAKVCRLKSIGVFSSEIPNLPHFHRPICNETPLSENSNEEGKCYHQKVHPHPIEVPAKEKACAGLEVTRKIFDAVSALKLAYLQLQQAHIPYDPLKIVAADDLVVAELEKICKFKREYTQKHCKKARFNASRSAPLMAEIVAKEAQLGKLKSQNSAKDSEIVRLRRELLDLEIGNKNLTEKIKQISLEKRRAGVLSVTEFQDVFKAASKSIHDFAKPMISLMKASGWDLDRAASSVENGVVYSKRCDKKFAFEAYIARRMFHGVALISYDVSDIMKFDDPFDALMENPLSDFAKFSLAKYLLVVHPKMEESFFGNLDHRTFIMSGKHPRTEFYQLFAKMAKWVWVLLGSARSIDSSATLFSVNRGNVFSNLYMESVEEEKESAKLSDEERTTHKVQIMIMPGFKIGKMVVKSRVYVSKYSS >Vigun08g133900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30534647:30537315:1 gene:Vigun08g133900.v1.2 transcript:Vigun08g133900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDTKPVKPNSNNISEMVCKFAKVCRLKSIGVFSSEIPNLPHFHRPICNETPLSENSNEEGKCYHQKVHPHPIEVPAKEKACAGLEVTRKIFDAVSALKLAYLQLQQAHIPYDPLKIVAADDLVVAELEKICKFKREYTQKHCKKARFNASRSAPLMAEIVAKEAQLGKLKSQNSAKDSEIVRLRRELLDLEIGNKNLTEKIKQISLEKRRAGVLSVTEFQDVFKAASKSIHDFAKPMISLMKASGWDLDRAASSVENGVVYSKRCDKKFAFEAYIARRMFHGVALISYDVSDIMKFDDPFDALMENPLSDFAKFSLAKYLLVVHPKMEESFFGNLDHRTFIMSGKHPRTEFYQLFAKMAKWVWVLLGSARSIDSSATLFSVNRGNVFSNLYMESVEEEKESAKLSDEERTTHKVQIMIMPGFKIGKMVVKSRVYVSKYSS >Vigun08g133900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30534646:30537315:1 gene:Vigun08g133900.v1.2 transcript:Vigun08g133900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDTKPVKPNSNNISEMVCKFAKVCRLKSIGVFSSEIPNLPHFHRPICNETPLSENSNEEGKCYHQKVHPHPIEVPAKEKACAGLEVTRKIFDAVSALKLAYLQLQQAHIPYDPLKIVAADDLVVAELEKICKFKREYTQKHCKKARFNASRSAPLMAEIVAKEAQLGKLKSQNSAKDSEIVRLRRELLDLEIGNKNLTEKIKQISLEKRRAGVLSVTEFQDVFKAASKSIHDFAKPMISLMKASGWDLDRAASSVENGVVYSKRCDKKFAFEAYIARRMFHGVALISYDVSDIMKFDDPFDALMENPLSDFAKFSLAKYLLVVHPKMEESFFGNLDHRTFIMSGKHPRTEFYQLFAKMAKWVWVLLGSARSIDSSATLFSVNRGNVFSNLYMESVEEEKESAKLSDEERTTHKVQIMIMPGFKIGKMVVKSRVYVSKYSS >Vigun01g200400.1.v1.2 pep primary_assembly:ASM411807v1:1:37673986:37675164:1 gene:Vigun01g200400.v1.2 transcript:Vigun01g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRLQGKVAIVAGGATGIGAEAVRIFMENGASVVIADIKDEVGQSLATSFGSEKVSYRHCDVRDENEVAETVGFTVEKYSSLDIMFSNAGIAGTYSSLLDLDLNEFDNTMTINVRGVAATIKHAARVMVVRKTRGSIICTASVAASFAGGAGHEYTVSKHGVLGLVRSACGELGAYGIRVNSVSPYALATPMTCDALDVGPAEVEAAAHGSANLEGITLKANHIAEAALFLASDESLYISGHNLVVDGGFSAINRWLPRIQK >Vigun04g079100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:11031391:11032033:1 gene:Vigun04g079100.v1.2 transcript:Vigun04g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLILSFLLRTKTETQASAVTAASFHSFEDDSSLVARGEQLRKYERMLEMNVEQPKVCIFLI >Vigun01g208500.1.v1.2 pep primary_assembly:ASM411807v1:1:38399978:38403130:1 gene:Vigun01g208500.v1.2 transcript:Vigun01g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITNNLVCNCTMKPSPKILMGYKNSSFLARCHHTLTMSMFNNSTTCGLNLHLNTMQCPFHVREFGRIMHGSQQVFGLLPSSFGQSNSIGLSATRSTKRGVSATAGIDFKFKSRDFSSSVETYENINGKMVYVKDGMNVAEERVDNDEDSVAGEEVSGLEADAENKNSENVQEGEKVEEEETEVEKEAWKLLQEALVTYCDNPVGTVAANDSEDQQALNYDQVFIRDFIPSALAFLLKGENEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKIMKVEGKSEEVLDPDFGESAIGRVAPVDSGLWWIILLQAYGKISGDYGLQERLDVQTGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSAREMVAADENSKNLVGEINNRLSALSFHIREYYWMDVQKMNEIYRYKTEEYSLDATNKFNIYPDQIPLWLIDWVPKNGGYLLGNLQPAHMDFRFFMLGNLWSIVSSLGTPKQNDAILNLIEANWDDLVGEMPLKICYPALEHHEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACMKMNRTELAEKAIALAEKRLPRDSWPEYYDTRTAKFIGKQARLYQTWTLAGFLASKMLLKNPNLASLLCWDEDFEILENCICLLHKSGRAKCSRVAAKYQILV >Vigun01g106400.1.v1.2 pep primary_assembly:ASM411807v1:1:27754871:27760336:1 gene:Vigun01g106400.v1.2 transcript:Vigun01g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWKGFDLIRVLAFPHSFFTLSSKSPMWAVRRASVPLRTRGYNVRTSFIKLARTNCVEEESGITESHAITQGGLLLWNQRFHSEQSASLDFTVWRRGISSSSTKEDDDLEDGFSELDTPAEAENENDNLLDSDAESEIDNLLDSDADDGDKENVEEPHNEVDEVVKEEGKPRRGRVESELFNEIMNSPPGMSIDLVMDNWLAEGNELTRDEVSHAMLFLRRRKMFGRALQLSEWLESKKQVEFLERDYASRLDLIAKTRGLIKAEAYIETIPESCNREIMYRTLLFNCVGQNNVKKAEEVFSKLKHLDLPVTVFACNQMLLLYKRNNQKKIADVLLLMENENIKPSSLTYSILIDTKGQSKDIDGMDLIVDRMKTEGIELDIKTQAVLARHYISAGLQDKAVALLKEMEGGNLKQDRWLCQILLPLYANLGKVDEVERIWKVCETNPRYDECLVAIEAWGKLNKVDEAENVFETMVKRWKLSSKTSSVLLKVYANHKMITKGKDLIKRMGESGCRVGPLTWNAIVKLYVQTGEVEKADSVLHRAAQQSQMKPMFSTYLTILQQYAKRGDIHNSEKIFYRMKEYQSKTKMYQVLLTAYVNAKVPAYGIRDRLRADNISPNKTLASLLVQVDGFRKNPLSDLLD >Vigun05g015900.1.v1.2 pep primary_assembly:ASM411807v1:5:1269914:1270659:-1 gene:Vigun05g015900.v1.2 transcript:Vigun05g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKVVNAKKADPKAQALKTAKAVKSGGQVFKKKTKKIRTSVTFHRPKTLKKDRSPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Vigun09g106150.1.v1.2 pep primary_assembly:ASM411807v1:9:19981788:19984074:1 gene:Vigun09g106150.v1.2 transcript:Vigun09g106150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSQLHDTTARAHHQKFVNQSEKEWRRENRIRRTTVCGLISHCWNLVGAVERYREKLHYNRPDILEMLRNHMKML >Vigun09g106150.2.v1.2 pep primary_assembly:ASM411807v1:9:19981788:19984121:1 gene:Vigun09g106150.v1.2 transcript:Vigun09g106150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSQLHDTTARAHHQKFVNQSEKEWRRENRIRRTTVCGLISHCWNLVGAVEESYENAMTGKIARASENTVASIY >VigunL056100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:19771:20689:1 gene:VigunL056100.v1.2 transcript:VigunL056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEEGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQREVSLSRDSSSRHSHGFTLSHRSGVHESVEIEDGDVKKVKVMLRRFL >Vigun05g002301.1.v1.2 pep primary_assembly:ASM411807v1:5:175629:176999:-1 gene:Vigun05g002301.v1.2 transcript:Vigun05g002301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIIVEILLSLRFFIYQYGLVYHLNITKKGQKSFLVYGISWLVIFVILFVMKTVSVGRRKFSANFQLVFRLIKGLIFLTFVSILVILIALPHMTVQDIVVCILAFMPTGWGMLQIAQALKPLVRRAGFWGSVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKERSSRNKE >Vigun08g116800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28427339:28429587:-1 gene:Vigun08g116800.v1.2 transcript:Vigun08g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPAPSEGVLCVLLVNTVLSISIFKGIVRTILHIVGIHLSSSTTSPSSPDPYQAPPESFEFHLSPSESYIEEFRSRTPTLRFDSVCCCKRPEHDCSVCLTQFEPESEINRLSCGHLFHKACLEKWLDYWNITCPLCRTPLMPEDDTPCFQ >Vigun07g182700.1.v1.2 pep primary_assembly:ASM411807v1:7:29894646:29896146:1 gene:Vigun07g182700.v1.2 transcript:Vigun07g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGVMAGNMGWGVMEEQGWRKGPWTAEEDRLLIQYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKKGQITPQEESIIQELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPSDAAEKARIRSSRRQQFQQQQLQLKHQQQVQQQQQQFQFNLDIKGIINLLEENDHRVPSTSQETQEMANMCPNSSEQQGYFYSMFNVSDNVSAPDSSNEEILWDGLWNLDDVLCNFNATSKASLHNLVTPFS >Vigun01g126100.1.v1.2 pep primary_assembly:ASM411807v1:1:30318659:30321653:1 gene:Vigun01g126100.v1.2 transcript:Vigun01g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARSIPTQTPSLERNSASPSSDSDVFASFRFRGTVMKRNQRFKRVAKSRLPVQQTEPVRRSLRVKPNPSPEPDASPVEMKILPRDFFQIDALDLAPRLLGKFLRRDDVVLRITEVEAYRPNDTACHGRFGVTSRTAPVFGAGGHAYVYLCYGLHMMLNVVADKEGAGAAVLIRSCAPISGLDVIQQRRGLKTEKPILLTGPGKVGQALGLSTEWSNHPLYTPGGLEVLDGPEPENILVGPRVGIQYASPEHVNALWRFAIAGTPWISAPKTTLRPAL >Vigun08g055500.1.v1.2 pep primary_assembly:ASM411807v1:8:6879456:6880801:1 gene:Vigun08g055500.v1.2 transcript:Vigun08g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDEAAILMNGRNAKTNFPTAPSKEGEGGSISGVCEDPFSSPSVLSERLSRKLKKCCREPSPSLTCLRLDADNSHIGVWQKGAGADSESNWIVRVELGKKRAESESSVSEGCAQNDGEEDEEDRIAMQMIDELLNWNYPCGGSSN >Vigun07g088900.5.v1.2 pep primary_assembly:ASM411807v1:7:13824582:13829872:-1 gene:Vigun07g088900.v1.2 transcript:Vigun07g088900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAARLGLKPRIISKVSNDAPGKSMMEELEAEGVDTSFLVVSKEGTSPFSYIIIDSQTKTRTCIFTPGYPPMVPADLPKANLLSALDGARVVYFDARMADTALVIAQEAFRQNISILIDAERPREGLNDLLELADYVVCSENFPKAWTEASSIPRALVAIILRLPRLKFAIVTLGKEGCIMLEKCVDDESSHIEEMDVDSCLTSLTKTKDDSIAMPTCIASPVTKLTAEGIGSVCGRLYFGTTEKIPPSELIDTTGAGDAFTGAVLYAICANLPPKKMLPFASYVAASKCRALGARAGLPYRTNPYLTSFTESIVES >Vigun07g088900.1.v1.2 pep primary_assembly:ASM411807v1:7:13824544:13831059:-1 gene:Vigun07g088900.v1.2 transcript:Vigun07g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSVLPLLETPIIVGFGGVGVDFLAVVPFFPKPDAKIRTTEFKVQGGGNTGNTMTCAARLGLKPRIISKVSNDAPGKSMMEELEAEGVDTSFLVVSKEGTSPFSYIIIDSQTKTRTCIFTPGYPPMVPADLPKANLLSALDGARVVYFDARMADTALVIAQEAFRQNISILIDAERPREGLNDLLELADYVVCSENFPKAWTEASSIPRALVAIILRLPRLKFAIVTLGKEGCIMLEKCVDDESSHIEEMDVDSCLTSLTKTKDDSIAMPTCIASPVTKLTAEGIGSVCGRLYFGTTEKIPPSELIDTTGAGDAFTGAVLYAICANLPPKKMLPFASYVAASKCRALGARAGLPYRTNPYLTSFTESIVES >Vigun07g088900.2.v1.2 pep primary_assembly:ASM411807v1:7:13824582:13830885:-1 gene:Vigun07g088900.v1.2 transcript:Vigun07g088900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSVLPLLETPIIVGFGGVGVDFLAVVPFFPKPDAKIRTTEFKVQGGGNTGNTMTCAARLGLKPRIISKVSNDAPGKSMMEELEAEGVDTSFLVVSKEGTSPFSYIIIDSQTKTRTCIFTPGYPPMVPADLPKANLLSALDGARVVYFDARMADTALVIAQEAFRQNISILIDAERPREGLNDLLELADYVVCSENFPKAWTEASSIPRALVAIILRLPRLKFAIVTLGKEGCIMLEKCVDDESSHIEEMDVDSCLTSLTKTKDDSIAMPTCIASPVTKLTAEGIGSVCGRLYFGTTEKIPPSELIDTTGAGDAFTGAVLYAICANLPPKKMLPFASYVAASKCRALGARAGLPYRTNPYLTSFTESIVES >Vigun07g088900.4.v1.2 pep primary_assembly:ASM411807v1:7:13824545:13830885:-1 gene:Vigun07g088900.v1.2 transcript:Vigun07g088900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSVLPLLETPIIVGFGGVGVDFLAVVPFFPKPDAKIRTTEFKVQGGGNTGNTMTCAARLGLKPRIISKVSNDAPGKSMMEELEAEGVDTSFLVVSKEGTSPFSYIIIDSQTKTRTCIFTPGYPPMVPADLPKANLLSALDGARVVYFDARMADTALVIAQEAFRQNISILIDAERPREGLNDLLELADYVVCSENFPKAWTEASSIPRALVAIILRLPRLKFAIVTLGKEGCIMLEKCVDDESSHIEEMDVDSCLTSLTKTKDDSIAMPTCIASPVTKLTAEGIGSVCGRLYFGTTEKIPPSELIDTTGAGDAFTGAVLYGSFQM >Vigun07g088900.3.v1.2 pep primary_assembly:ASM411807v1:7:13824582:13829871:-1 gene:Vigun07g088900.v1.2 transcript:Vigun07g088900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAARLGLKPRIISKVSNDAPGKSMMEELEAEGVDTSFLVVSKEGTSPFSYIIIDSQTKTRTCIFTPGYPPMVPADLPKANLLSALDGARVVYFDARMADTALVIAQEAFRQNISILIDAERPREGLNDLLELADYVVCSENFPKAWTEASSIPRALVAIILRLPRLKFAIVTLGKEGCIMLEKCVDDESSHIEEMDVDSCLTSLTKTKDDSIAMPTCIASPVTKLTAEGIGSVCGRLYFGTTEKIPPSELIDTTGAGDAFTGAVLYAICANLPPKKMLPFASYVAASKCRALGARAGLPYRTNPYLTSFTESIVES >Vigun03g108200.1.v1.2 pep primary_assembly:ASM411807v1:3:9688157:9692940:1 gene:Vigun03g108200.v1.2 transcript:Vigun03g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVRSGMLRFFSPSLSHTLSFSSSSFLSIPNPPKPSLPNPLSRSISTHASFETQLFIPPGIQPDEVDDSAVLPGSNIAVGPYAGDSKIKDVEFVKSSSRAKDCPRDDRPEFAVLGRSNVGKSSLINSLVRKREIALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFAKASETAKTDWSSFTKGYFLNRNTLVAVLLLIDASVPPQKIDLDCANWLGRNNIPITFVFTKCDKMKVAKGKRPDENIRDFQELIKQNYKQHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Vigun03g108200.2.v1.2 pep primary_assembly:ASM411807v1:3:9688469:9692202:1 gene:Vigun03g108200.v1.2 transcript:Vigun03g108200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVRSGMLRFFSPSLSHTLSFSSSSFLSIPNPPKPSLPNPLSRSISTHASFETQLFIPPGIQPDEVDDSAVLPGSNIAVGPYAGDSKIKDVEFVKSSSRAKDCPRDDRPEFAVLGRSNVGKSSLINSLVRKREIALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFAKASETAKTDWSSFTKGYFLNRNTLVAVLLLIDASVPPQKIDLDCANWLGRNNIPITFVFTKCDKMKVAKGKRPDENIRDFQELIKQNYKQHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Vigun01g126300.1.v1.2 pep primary_assembly:ASM411807v1:1:30334560:30338941:1 gene:Vigun01g126300.v1.2 transcript:Vigun01g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSVFKEVLESHLMSSDYETGKAKSEAKSNNTSTKSSVGLNGKNGVSSNNGVYELLGCSVCKNLMYPPIHQCPNGHTLCCHCKVEVHNICPSCHHELGNIRCLALEKVAESLELPCRYQNLGCHDIFPYYTKLKHEQNCGFRPYNCPYAGSECSVMGDIPTLVAHLKDDHKVDMHDGCTFNHRYVKANPHEVENATWMLTVFNSFGRHFCLHFEAFQLGSAPVYMAFLRFMGDDYEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGERQELKLRISGRIWRED >Vigun01g126300.4.v1.2 pep primary_assembly:ASM411807v1:1:30334560:30338941:1 gene:Vigun01g126300.v1.2 transcript:Vigun01g126300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSVFKEVLESHLMSSDYETGKAKSEAKSNNTSTKSSVGLNGKNGVSSNNGVYELLGCSVCKNLMYPPIHQCPNGHTLCCHCKVEVHNICPSCHHELGNIRCLALEKVAESLELPCRYQNLGCHDIFPYYTKLKHEQNCGFRPYNCPYAGSECSVMGDIPTLVAHLKDDHKVDMHDGCTFNHRYVKANPHEVENATWMLTVFNSFGRHFCLHFEAFQLGSAPVYMAFLRFMGDDYEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGERQELKLRISGRIWRED >Vigun01g126300.2.v1.2 pep primary_assembly:ASM411807v1:1:30334560:30338941:1 gene:Vigun01g126300.v1.2 transcript:Vigun01g126300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSVFKEVLESHLMSSDYETGKAKSEAKSNNTSTKSSVGLNGKNGVSSNNGVYELLGCSVCKNLMYPPIHQCPNGHTLCCHCKVEVHNICPSCHHELGNIRCLALEKVAESLELPCRYQNLGCHDIFPYYTKLKHEQNCGFRPYNCPYAGSECSVMGDIPTLVAHLKDDHKVDMHDGCTFNHRYVKANPHEVENATWMLTVFNSFGRHFCLHFEAFQLGSAPVYMAFLRFMGDDYEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGERQELKLRISGRIWRED >Vigun05g251900.2.v1.2 pep primary_assembly:ASM411807v1:5:44612867:44615687:-1 gene:Vigun05g251900.v1.2 transcript:Vigun05g251900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSTSSGGETQNMNSDSSRGGWRSFPFIIGSTAGMSLGGAGVIGNLIVYLIREFNVKSINAAQVGNVTNGSSSLFPIVAAIMADSFFGSFSVALLSCFLSFLGTVIFVLTTMIGSLKPIPCDNGSEACNPPSEFQYAILYGAIALCALGFGGARFTTASLGANQFNEAKHQDTFFNWFFLTWYMASVVSFTGIFYLQDNVSWAWGFGICSVATFIGLIILVLGYRFYRLDKPQGSAFFDLARVLVASIRKWKSQLSSATKDYYSSKDEILPMQPATTPAKRLRFFNRAALITDGDLRLDGSIGKPWRLCTVEQVENFKAMIGILPLWSSSIFLSVPIGIQASMTVLQALATDRKIGHHFNFPAVIIGISFYLSTALINEVQKITDWLPDNINEGKLDRFYWMMASIGGINFLYYLLCSSLYKHTKM >Vigun05g251900.1.v1.2 pep primary_assembly:ASM411807v1:5:44612867:44615687:-1 gene:Vigun05g251900.v1.2 transcript:Vigun05g251900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSTSSGGETQNMNSDSSRGGWRSFPFIIGSTAGMSLGGAGVIGNLIVYLIREFNVKSINAAQVGNVTNGSSSLFPIVAAIMADSFFGSFSVALLSCFLSFLGTVIFVLTTMIGSLKPIPCDNGSEACNPPSEFQYAILYGAIALCALGFGGARFTTASLGANQFNEAKHQDTFFNWFFLTWYMASVVSFTGIFYLQDNVSWAWGFGICSVATFIGLIILVLGYRFYRLDKPQGSAFFDLARVLVASIRKWKSQLSSATKDYYSSKDEILPMQPATTPAKRLRFFNRAALITDGDLRLDGSIGKPWRLCTVEQVENFKAMIGILPLWSSSIFLSVPIGIQASMTVLQALATDRKIGHHFNFPAGSISVIPLISTSIFLTFLDRVIWPAWNKFNDKSPTTLQRIGTGHVLNVVGMVASALVESKRLKMVHSNPSETMSILWLLPPLVLVGVGESFHFPAQVAFYYQQLPHSLKNTSTSMISVIIGISFYLSTALINEVQKITDWLPDNINEGKLDRFYWMMASIGGINFLYYLLCSSLYKHTKM >Vigun05g251900.3.v1.2 pep primary_assembly:ASM411807v1:5:44612867:44615687:-1 gene:Vigun05g251900.v1.2 transcript:Vigun05g251900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSTSSGGETQNMNSDSSRGGWRSFPFIIGSTAGMSLGGAGVIGNLIVYLIREFNVKSINAAQVGNVTNGSSSLFPIVAAIMADSFFGSFSVALLSCFLSFLGTVIFVLTTMIGSLKPIPCDNGSEACNPPSEFQYAILYGAIALCALGFGGARFTTASLGANQFNEAKHQDTFFNWFFLTWYMASVVSFTGIFYLQDNVSWAWGFGICSVATFIGLIILVLGYRFYRLDKPQGSAFFDLARVLVASIRKWKSQLSSATKDYYSSKDEILPMQPATTPAKRLRYLVIDVNYVGSPVLITKIRLSNI >Vigun09g024400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1928425:1930177:-1 gene:Vigun09g024400.v1.2 transcript:Vigun09g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAKLVRLAYNMSNTRKIHSTANRMSSNWKDLMKKELENGNSGKQEKTHHPVCIYRVPHNMRRVEPKAYRPNNISIGPCHHGAPHLRYMEDLKTTFYRRLFNNHANQPKLDQAFKFLEEQQTNVRRCYNEDIKFSSDEFLQMMLVDGSFIVQLLRDLSASEFGKVPCLSPWMLPIIRREMIMLENQLPMFVLSKLFELTSVDSAPSGPNNCLKDLALRFFYPLLQVDSDYTLDTKKAGDLRGLHFLDLLRSAIRPDLEGEKPRNFQPHMIHSVTELIEAGVKIKADESKQLLDISFGKKWGFLTRQLTIPPLYINDHRGTVFRNIVAFENCHKDCNPDVTTYLFFFNGLINSARDVSLLHYKGVLHHSLGNDNTVSELINNITKEIVRDKTESYLYKVVNDANKYFASFYARKRASLVHHYLTSWVVGVSTIGALLALYFTFIQTVCSFADSFEAFKNQSFGSAFIDVFCIPVRGVPTNDRKSENSKTEDAATKLERGTQF >Vigun05g137200.1.v1.2 pep primary_assembly:ASM411807v1:5:16558337:16563174:1 gene:Vigun05g137200.v1.2 transcript:Vigun05g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHFPSSLQMESIQQNYYDDSVEHESNIPPGSPHISDARVGEEYQVEIPSMIEEAEWLRLLVNPADPEIMGDSSLSFAIGLPLSVTWMHNEGYLADIDGDKNSDQLAKNKNYVLAPGTLSNSWSEADAKSFLLGLFIFGKNFIKIKRLLENKGMGEMLSYYYGKFYKSDEYRRWSDCRKTKGRKCIMGQKLFSGQRQQELLSRLIPHVSKESQDSLLQVSQSYVEGRVSLEEYILSMKSTVGLGVLVEAVGIGKEREDLTSLDVELGKNNNWVFSAPTSKAWSSLGPSDIIKYLTGGLRLSKAKSNDLFWEAVWPRLLARGWHSEQPQHHSYVCPKDYLVFLIPGVKKFSRRKLVKGDDYFDSVSDVLNKVVAEPGLLELEDETRVGSGNEEQEKGLNKDDQCNYRRQCYLKPRASTTDHIKFMVIDTSLMHKGKFSDIMEYKSAPVKLAGKIEANAAGITTKGAKQMRKVNHSKDLSEKVNKKLTKFTVIDTSMLNVGKLLRVRELRCLPVELGCASKRTVLSRERKDSSSSDKKNISNTNSRKGISENHGTSKKEANDKPDNNANKMVERQKNQKIRVSNDNQLKRTVKHQFSRRARADHCNQTVLPIKRRRLTACVKAETSRAIENSSGGLESENLAFSQSSSFLDPNQNLRDSVSLQQNGSSVASPAGGSVEVNNEETILNEKCQHRSSSCVKVEKCESQMLATLNTPQVPLNSKDSGHRQCLKANDPYLSSDAQGVVEKPERTSHDVGSVEQQSDTNPRRQSRRNRPLTVKALESLENEFLQVQRKQKRKDILPHIDAFSPCRRARTRGKTNLHRRNSDHGTAVVKEKHLIGDEKMEVVELAEYFQATKLD >Vigun05g137200.3.v1.2 pep primary_assembly:ASM411807v1:5:16558337:16563174:1 gene:Vigun05g137200.v1.2 transcript:Vigun05g137200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQQNYYDDSVEHESNIPPGSPHISDARVGEEYQVEIPSMIEEAEWLRLLVNPADPEIMGDSSLSFAIGLPLSVTWMHNEGYLADIDGDKNSDQLAKNKNYVLAPGTLSNSWSEADAKSFLLGLFIFGKNFIKIKRLLENKGMGEMLSYYYGKFYKSDEYRRWSDCRKTKGRKCIMGQKLFSGQRQQELLSRLIPHVSKESQDSLLQVSQSYVEGRVSLEEYILSMKSTVGLGVLVEAVGIGKEREDLTSLDVELGKNNNWVFSAPTSKAWSSLGPSDIIKYLTGGLRLSKAKSNDLFWEAVWPRLLARGWHSEQPQHHSYVCPKDYLVFLIPGVKKFSRRKLVKGDDYFDSVSDVLNKVVAEPGLLELEDETRVGSGNEEQEKGLNKDDQCNYRRQCYLKPRASTTDHIKFMVIDTSLMHKGKFSDIMEYKSAPVKLAGKIEANAAGITTKGAKQMRKVNHSKDLSEKVNKKLTKFTVIDTSMLNVGKLLRVRELRCLPVELGCASKRTVLSRERKDSSSSDKKNISNTNSRKGISENHGTSKKEANDKPDNNANKMVERQKNQKIRVSNDNQLKRTVKHQFSRRARADHCNQTVLPIKRRRLTACVKAETSRAIENSSGGLESENLAFSQSSSFLDPNQNLRDSVSLQQNGSSVASPAGGSVEVNNEETILNEKCQHRSSSCVKVEKCESQMLATLNTPQVPLNSKDSGHRQCLKANDPYLSSDAQGVVEKPERTSHDVGSVEQQSDTNPRRQSRRNRPLTVKALESLENEFLQVQRKQKRKDILPHIDAFSPCRRARTRGKTNLHRRNSDHGTAVVKEKHLIGDEKMEVVELAEYFQATKLD >Vigun05g137200.5.v1.2 pep primary_assembly:ASM411807v1:5:16558505:16563174:1 gene:Vigun05g137200.v1.2 transcript:Vigun05g137200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQQNYYDDSVEHESNIPPGSPHISDARVGEEYQVEIPSMIEEAEWLRLLVNPADPEIMGDSSLSFAIGLPLSVTWMHNEGYLADIDGDKNSDQLAKNKNYVLAPGTLSNSWSEADAKSFLLGLFIFGKNFIKIKRLLENKGMGEMLSYYYGKFYKSDEYRRWSDCRKTKGRKCIMGQKLFSGQRQQELLSRLIPHVSKESQDSLLQVSQSYVEGRVSLEEYILSMKSTVGLGVLVEAVGIGKEREDLTSLDVELGKNNNWVFSAPTSKAWSSLGPSDIIKYLTGGLRLSKAKSNDLFWEAVWPRLLARGWHSEQPQHHSYVCPKDYLVFLIPGVKKFSRRKLVKGDDYFDSVSDVLNKVVAEPGLLELEDETRVGSGNEEQEKGLNKDDQCNYRRQCYLKPRASTTDHIKFMVIDTSLMHKGKFSDIMEYKSAPVKLAGKIEANAAGITTKGAKQMRKVNHSKDLSEKVNKKLTKFTVIDTSMLNVGKLLRVRELRCLPVELGCASKRTVLSRERKDSSSSDKKNISNTNSRKGISENHGTSKKEANDKPDNNANKMVERQKNQKIRVSNDNQLKRTVKHQFSRRARADHCNQTVLPIKRRRLTACVKAETSRAIENSSGGLESENLAFSQSSSFLDPNQNLRDSVSLQQNGSSVASPAGGSVEVNNEETILNEKCQHRSSSCVKVEKCESQMLATLNTPQVPLNSKDSGHRQCLKANDPYLSSDAQGVVEKPERTSHDVGSVEQQSDTNPRRQSRRNRPLTVKALESLENEFLQVQRKQKRKDILPHIDAFSPCRRARTRGKTNLHRRNSDHGTAVVKEKHLIGDEKMEVVELAEYFQATKLD >Vigun05g137200.4.v1.2 pep primary_assembly:ASM411807v1:5:16558336:16563175:1 gene:Vigun05g137200.v1.2 transcript:Vigun05g137200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQQNYYDDSVEHESNIPPGSPHISDARVGEEYQVEIPSMIEEAEWLRLLVNPADPEIMGDSSLSFAIGLPLSVTWMHNEGYLADIDGDKNSDQLAKNKNYVLAPGTLSNSWSEADAKSFLLGLFIFGKNFIKIKRLLENKGMGEMLSYYYGKFYKSDEYRRWSDCRKTKGRKCIMGQKLFSGQRQQELLSRLIPHVSKESQDSLLQVSQSYVEGRVSLEEYILSMKSTVGLGVLVEAVGIGKEREDLTSLDVELGKNNNWVFSAPTSKAWSSLGPSDIIKYLTGGLRLSKAKSNDLFWEAVWPRLLARGWHSEQPQHHSYVCPKDYLVFLIPGVKKFSRRKLVKGDDYFDSVSDVLNKVVAEPGLLELEDETRVGSGNEEQEKGLNKDDQCNYRRQCYLKPRASTTDHIKFMVIDTSLMHKGKFSDIMEYKSAPVKLAGKIEANAAGITTKGAKQMRKVNHSKDLSEKVNKKLTKFTVIDTSMLNVGKLLRVRELRCLPVELGCASKRTVLSRERKDSSSSDKKNISNTNSRKGISENHGTSKKEANDKPDNNANKMVERQKNQKIRVSNDNQLKRTVKHQFSRRARADHCNQTVLPIKRRRLTACVKAETSRAIENSSGGLESENLAFSQSSSFLDPNQNLRDSVSLQQNGSSVASPAGGSVEVNNEETILNEKCQHRSSSCVKVEKCESQMLATLNTPQVPLNSKDSGHRQCLKANDPYLSSDAQGVVEKPERTSHDVGSVEQQSDTNPRRQSRRNRPLTVKALESLENEFLQVQRKQKRKDILPHIDAFSPCRRARTRGKTNLHRRNSDHGTAVVKEKHLIGDEKMEVVELAEYFQATKLD >Vigun05g137200.2.v1.2 pep primary_assembly:ASM411807v1:5:16558337:16563174:1 gene:Vigun05g137200.v1.2 transcript:Vigun05g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQQNYYDDSVEHESNIPPGSPHISDARVGEEYQVEIPSMIEEAEWLRLLVNPADPEIMGDSSLSFAIGLPLSVTWMHNEGYLADIDGDKNSDQLAKNKNYVLAPGTLSNSWSEADAKSFLLGLFIFGKNFIKIKRLLENKGMGEMLSYYYGKFYKSDEYRRWSDCRKTKGRKCIMGQKLFSGQRQQELLSRLIPHVSKESQDSLLQVSQSYVEGRVSLEEYILSMKSTVGLGVLVEAVGIGKEREDLTSLDVELGKNNNWVFSAPTSKAWSSLGPSDIIKYLTGGLRLSKAKSNDLFWEAVWPRLLARGWHSEQPQHHSYVCPKDYLVFLIPGVKKFSRRKLVKGDDYFDSVSDVLNKVVAEPGLLELEDETRVGSGNEEQEKGLNKDDQCNYRRQCYLKPRASTTDHIKFMVIDTSLMHKGKFSDIMEYKSAPVKLAGKIEANAAGITTKGAKQMRKVNHSKDLSEKVNKKLTKFTVIDTSMLNVGKLLRVRELRCLPVELGCASKRTVLSRERKDSSSSDKKNISNTNSRKGISENHGTSKKEANDKPDNNANKMVERQKNQKIRVSNDNQLKRTVKHQFSRRARADHCNQTVLPIKRRRLTACVKAETSRAIENSSGGLESENLAFSQSSSFLDPNQNLRDSVSLQQNGSSVASPAGGSVEVNNEETILNEKCQHRSSSCVKVEKCESQMLATLNTPQVPLNSKDSGHRQCLKANDPYLSSDAQGVVEKPERTSHDVGSVEQQSDTNPRRQSRRNRPLTVKALESLENEFLQVQRKQKRKDILPHIDAFSPCRRARTRGKTNLHRRNSDHGTAVVKEKHLIGDEKMEVVELAEYFQATKLD >Vigun06g214600.1.v1.2 pep primary_assembly:ASM411807v1:6:32595317:32598578:1 gene:Vigun06g214600.v1.2 transcript:Vigun06g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAITIASNSTCTLLRKKPFVGGTALSLNNLKFGRTRRSYTCRAIYNPQVVVKEEGQPETLDYRVFFVDQSGKKISPWHDIPLRSGDDIFNFIVEIPKESSAKMEVATDEAFTPIKQDTKKGKLRYYPYNIHWNYGLLPQTWEDPSFANSEVEGALGDNDPVDVVEIGERQGKIGEVLKVKPLGALAMIDEGELDWKIVAISLDDPKASLVNDVNDVEKHFPGTLTAIRNWFRDYKIPDGKPANKFGLGNQAANKAYALKVITETNESWNKLIKRSIPAGELSLA >Vigun09g049200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4837304:4838511:1 gene:Vigun09g049200.v1.2 transcript:Vigun09g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNISIHKNISPQPCLALYNLQHRFLFSHLQNPHQHIINMQVMKSVADATPPLQQPPSSSLSLALNVMRPYEMVHHLVSCNAVVVFSVTDCCMSTVAKRLLFSLGVGPTVVELDEQTNGTGIRSVLHQLSGTHQPVPAVFIGGKFVGGVETLMASHINGTLVPLLKEAGALWL >Vigun09g146000.3.v1.2 pep primary_assembly:ASM411807v1:9:30931953:30937315:1 gene:Vigun09g146000.v1.2 transcript:Vigun09g146000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLTRASLAPTSELLSRTILALFDTVKAANEVVIHKENFKRFAIHLENVSFILKSLSKEDIHNAWSLQKAMAGLNREVEVAKQLSVECNSRSKVYLLINSRKIVTHLNCCTKDIGRAITLLPLASLDINSGLNQQISELCQKMLDAEYQTAATDEEILKKVETAIQEGNVDRSYATQLLAGTARAIGVPVEQGALKREFEDLKNEMENAKSRMDMSEALHMEQIIAVLGKADFITSAQEKESKYFEKRNSLGERPLSALHSFYCPISHEIMVDPVETSSGKTFERSEIEKWFAEGNNLCPLTRLPLDTKILRPNKTLKMSIQEWKDRNTMITISTIKSELETNDEEEVVRSLDKLQDLCSEREVHREWLQIENYITVLIRLLSSKNREIRKRVLLILSMLVMDNAENKEDIAIVDNGLGLIVRSLSRQVEERKLALKLLLELSKSKRVCSLIGNIQGSILLLVTMLNSDDVEAAKNAHELLENLSFLDQNVIEMAKANYLKPLLLKLSTGPGNVKNVMAETLSEITLIDQNKLSLVKDGALQPLLQLLLNDDLEIKKVSVKALLQLSSMPENCLQMIKEGVAQPLLELLYCHSLQSPTLLEQVVATIMHLAMSTTNQQAEVEQVSFLDSEEDVYKFFSLISLTDPEIQNKILKAFQALCQSFYGFRIRNRLRQISAAKLLVNLLETNTQRVRVNALKLLYCLTEDDDYGNISPHITERFIEVLLAIIEASDDAEEMITAMGIISKLPQESQMTQWLLDSGALKTILTCLTDQHSHATDKIIENSVQALCRFTVSSNLEWQKSVALEGIIPVLVQLLLSGTPFTKRNAAISIKQFSESSYRLSEPIKKPGIFKCCFVARETGCPAHLGTCSIESSFCILQANALEPLVRLLSETDVRICEASLDAILTLVDSEAPQSGSKVLANSNAIAPMIHLLNVQAPRLQEKILIALERIFQLDEVRNKFKAVATMAFVGITQGKDSRLKSLAGRGLAQLGILDKQSSYF >Vigun09g146000.1.v1.2 pep primary_assembly:ASM411807v1:9:30931728:30937366:1 gene:Vigun09g146000.v1.2 transcript:Vigun09g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLTRASLAPTSELLSRTILALFDTVKAANEVVIHKENFKRFAIHLENVSFILKSLSKEDIHNAWSLQKAMAGLNREVEVAKQLSVECNSRSKVYLLINSRKIVTHLNCCTKDIGRAITLLPLASLDINSGLNQQISELCQKMLDAEYQTAATDEEILKKVETAIQEGNVDRSYATQLLAGTARAIGVPVEQGALKREFEDLKNEMENAKSRMDMSEALHMEQIIAVLGKADFITSAQEKESKYFEKRNSLGERPLSALHSFYCPISHEIMVDPVETSSGKTFERSEIEKWFAEGNNLCPLTRLPLDTKILRPNKTLKMSIQEWKDRNTMITISTIKSELETNDEEEVVRSLDKLQDLCSEREVHREWLQIENYITVLIRLLSSKNREIRKRVLLILSMLVMDNAENKEDIAIVDNGLGLIVRSLSRQVEERKLALKLLLELSKSKRVCSLIGNIQGSILLLVTMLNSDDVEAAKNAHELLENLSFLDQNVIEMAKANYLKPLLLKLSTGPGNVKNVMAETLSEITLIDQNKLSLVKDGALQPLLQLLLNDDLEIKKVSVKALLQLSSMPENCLQMIKEGVAQPLLELLYCHSLQSPTLLEQVVATIMHLAMSTTNQQAEVEQVSFLDSEEDVYKFFSLISLTDPEIQNKILKAFQALCQSFYGFRIRNRLRQISAAKLLVNLLETNTQRVRVNALKLLYCLTEDDDYGNISPHITERFIEVLLAIIEASDDAEEMITAMGIISKLPQESQMTQWLLDSGALKTILTCLTDQHSHATDKIIENSVQALCRFTVSSNLEWQKSVALEGIIPVLVQLLLSGTPFTKRNAAISIKQFSESSYRLSEPIKKPGIFKCCFVARETGCPAHLGTCSIESSFCILQANALEPLVRLLSETDVRICEASLDAILTLVDSEAPQSGSKVLANSNAIAPMIHLLNVQAPRLQEKILIALERIFQLDEVRNKFKAVATMAFVGITQGKDSRLKSLAGRGLAQLGILDKQSSYF >Vigun09g146000.2.v1.2 pep primary_assembly:ASM411807v1:9:30931726:30937315:1 gene:Vigun09g146000.v1.2 transcript:Vigun09g146000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLTRASLAPTSELLSRTILALFDTVKAANEVVIHKENFKRFAIHLENVSFILKSLSKEDIHNAWSLQKAMAGLNREVEVAKQLSVECNSRSKVYLLINSRKIVTHLNCCTKDIGRAITLLPLASLDINSGLNQQISELCQKMLDAEYQTAATDEEILKKVETAIQEGNVDRSYATQLLAGTARAIGVPVEQGALKREFEDLKNEMENAKSRMDMSEALHMEQIIAVLGKADFITSAQEKESKYFEKRNSLGERPLSALHSFYCPISHEIMVDPVETSSGKTFERSEIEKWFAEGNNLCPLTRLPLDTKILRPNKTLKMSIQEWKDRNTMITISTIKSELETNDEEEVVRSLDKLQDLCSEREVHREWLQIENYITVLIRLLSSKNREIRKRVLLILSMLVMDNAENKEDIAIVDNGLGLIVRSLSRQVEERKLALKLLLELSKSKRVCSLIGNIQGSILLLVTMLNSDDVEAAKNAHELLENLSFLDQNVIEMAKANYLKPLLLKLSTGPGNVKNVMAETLSEITLIDQNKLSLVKDGALQPLLQLLLNDDLEIKKVSVKALLQLSSMPENCLQMIKEGVAQPLLELLYCHSLQSPTLLEQVVATIMHLAMSTTNQQAEVEQVSFLDSEEDVYKFFSLISLTDPEIQNKILKAFQALCQSFYGFRIRNRLRQISAAKLLVNLLETNTQRVRVNALKLLYCLTEDDDYGNISPHITERFIEVLLAIIEASDDAEEMITAMGIISKLPQESQMTQWLLDSGALKTILTCLTDQHSHATDKIIENSVQALCRFTVSSNLEWQKSVALEGIIPVLVQLLLSGTPFTKRNAAISIKQFSESSYRLSEPIKKPGIFKCCFVARETGCPAHLGTCSIESSFCILQANALEPLVRLLSETDVRICEASLDAILTLVDSEAPQSGSKVLANSNAIAPMIHLLNVQAPRLQEKILIALERIFQLDEVRNKFKAVATMAFVGITQGKDSRLKSLAGRGLAQLGILDKQSSYF >Vigun05g103800.1.v1.2 pep primary_assembly:ASM411807v1:5:10357339:10359673:1 gene:Vigun05g103800.v1.2 transcript:Vigun05g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTSGGHHNERKRSSDSNAFAKFLPFSPPLEDDPESHEAKFGGHHDHGHKRFKPNSQAPLLETTTPNLTPSSHLPKQNIDTKETLDFSSPSTSIRHSTSTGPSPQSIGSKSSFIEYVENAGKICRTVSDSCNWKEDQNQSELESVMSMVAEELSRRPRTGGIYKAIASQRECTMKRLKDLSNKEATIDVGLEMGDAAHLLKLMSFSSSEIEMAGQKGRKGAMLLIQAEILRKKGQELIAECQNLLQDAL >VigunL059546.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:46764:47132:1 gene:VigunL059546.v1.2 transcript:VigunL059546.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVIVVVVVEVVKAMLGVVVLVVVVVMVVVVVLAIVVVVEVVVVVVVAMAVVVVAMVVVVVVVVLGLVVVVVGVVALLVLVAVIVFVVMVVVVAVVVVTVVVNMVVVVMVWWWCCL >Vigun10g065000.1.v1.2 pep primary_assembly:ASM411807v1:10:14148005:14148892:1 gene:Vigun10g065000.v1.2 transcript:Vigun10g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIVTVGGITDVPGSANSVEIANLAHFAVDDHNKKQNGVLEFVRVISAKQQVVSGILYYITLEAKDGETKRVYKTKVWVREWLNSKEVQEFNLVTDSAIETKDGGVGDVPSDTLHIENLARFAVDQYNKNENANLEFVRVIDAKEQVVEGFIYYITLEAKDGDSKNVYEAKVWERSWLNSIELLEFKPVDVAV >Vigun05g047050.1.v1.2 pep primary_assembly:ASM411807v1:5:3934289:3938292:-1 gene:Vigun05g047050.v1.2 transcript:Vigun05g047050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFITGKGLSTTQLLKLVVNTLYDKFVEKDIKGFDGFNVGILDTFNTINMALPGKHYVAPSYKDVKDLFEQWKEIDREEKRKKFIEFINEKVNINKVDESMLITAIMAPPAAMMAKKTGQIVPQLALMNAIPDVVFVPSATLLALIAVKIIRLPFIGKTTSKNIVSSTTVIEEQPQITPEQQPQTETTPETEVEEPQTETTPESEVEEPQTPTTQPEMEEEPQVETRQEPPTATISEAKMEEEPQTTTTPETLKDEPQIESKPEALSTMTPETEIEKEPQSQTTTAPETTVQGPQIPVQPKPHFITGHVHKDNTTCFLCNEYHIY >Vigun06g072200.1.v1.2 pep primary_assembly:ASM411807v1:6:20319089:20319991:1 gene:Vigun06g072200.v1.2 transcript:Vigun06g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYYSSEFGSFSDSDTKSSQSKQELLQMVSAILEAQREGSSEANSEVTLTKGKKKKSSKERRKRRKYYSSESGRSSDPDTQSSETEINKSKMDNDGFSEEINKSEMETDGFSADHHGSLEKGKHLKYAEEERERIEIFSGSSSDYYMKVSAESDMSSSSVI >Vigun06g072200.2.v1.2 pep primary_assembly:ASM411807v1:6:20319089:20320050:1 gene:Vigun06g072200.v1.2 transcript:Vigun06g072200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYYSSEFGSFSDSDTKSSQSKELLQMVSAILEAQREGSSEANSEVTLTKGKKKKSSKERRKRRKYYSSESGRSSDPDTQSSETEINKSKMDNDGFSEEINKSEMETDGFSADHHGSLEKGKHLKYAEEERERIEIFSGSSSDYYMKVSAESDMSSSSVI >Vigun07g115000.1.v1.2 pep primary_assembly:ASM411807v1:7:21289328:21302033:1 gene:Vigun07g115000.v1.2 transcript:Vigun07g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPWLYPASTYRTLETFWDTDEDAPGPRCGHTLTAVAATKTQGPRLILFGGATAIEGGSSSAPGIRLAGVTNTVHSYDVQTRKWTSLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVVSDAWVLDTAQKPYVWQKLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRSVEGEASIAVLDTAAGVWLDRNGIVSSSRPNKGHDYDPSLELMRRCRHAAAAVSTHIFIYGGLRGDILLDDFLVAENSPLQSDINSAERASSVTTSKPSQSNLNYNVTTAKLDGGSDILSSSGSGMDRNSLEKLREASAAEAEAATAVWQAVQAISSSPAEETSASDENSQAAETVSDGSDTEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPINNDLPYPTKKFTRQKSPQGLHKKIISTLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERGMDETWMQELNIQRPPTPTRGRPQPDLDRGSLAYI >Vigun07g115000.2.v1.2 pep primary_assembly:ASM411807v1:7:21289328:21302033:1 gene:Vigun07g115000.v1.2 transcript:Vigun07g115000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPWLYPASTYRTLETFWDTDEDAPGPRCGHTLTAVAATKTQGPRLILFGGATAIEGGSSSAPGIRLAGVTNTVHSYDVQTRKWTSLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVVSDAWVLDTAQKPYVWQKLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRSVEGEASIAVLDTAAGVWLDRNGIVSSSRPNKGHDYDPSLELMRRCRHAAAAVSTHIFIYGGLRGDILLDDFLVAENSPLQSDINSAERASSVTTSKPSQSNLNYNVTTAKLDGGSDILSSSGSGNSLEKLREASAAEAEAATAVWQAVQAISSSPAEETSASDENSQAAETVSDGSDTEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPINNDLPYPTKKFTRQKSPQGLHKKIISTLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERGMDETWMQELNIQRPPTPTRGRPQPDLDRGSLAYI >Vigun01g062700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:13856135:13857034:1 gene:Vigun01g062700.v1.2 transcript:Vigun01g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHQSRSKRNHARGKAANGDDNHSRRFVNVGTAHHCTTYSHAKHYRTVSSARVFFGPPRPLPRLPFRQRDRCQLLMPLTSPSSLSVSRSLFHPSPISSP >Vigun10g177700.1.v1.2 pep primary_assembly:ASM411807v1:10:39609020:39612904:-1 gene:Vigun10g177700.v1.2 transcript:Vigun10g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTERIIRFFGFITKEEKGMKPFLLKCGLALALTFAGILYSQIGVKRIKPSPTSPKGHPSGHGSEDNFVRGKRASSSSSRNNLAEENVLDAEETCSSKVIGKNSPSGLSPRTKKSGEKDEFIGSEFNDPIKDPEFEVIVEGKKEVETPRSKVGSPMAYANVDKDDYEKEIRNLRSMIRMLQERERNLQFQLLEYCGIKEQQAAVMELQNRLKISNMEAKMFNLKVVTLQSENHRLEVQVADHAKLLSELETAKTKVKFLKKKIKYEAEQNREHIMNLKQKVAKLQDHEFKVAANEQEIQIKLKRLKDLECEIEQLRKSNLRLQMENSDLSRRLDSTQLLANAVLEDPEAQALKEEGERLRQDNEGLTKELEQLHADRCSDLEELVYLRWINACLRHELRSYQPPSGKTAARDLSKSLSPTSEKKAKQLILEYANNEGRGSVSDMDSDQWSSSQASCFTDSGEHEDYSLNDTSSEVKANNPSKSKIFGKLMKLIRGKDSQQNQRGRVISKEKSISQVDSSSHFSLSMSTGNECLRSEYTTPSGTSRTSFDYNQATSMKDDSGRNSDSHTPGSSKNFSPMRRSSADSKNRLDSFSESSAMEKTNLVKYAEALKNSSEAPKHKSHRRSAAYSSF >Vigun10g177700.2.v1.2 pep primary_assembly:ASM411807v1:10:39609020:39612904:-1 gene:Vigun10g177700.v1.2 transcript:Vigun10g177700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTERIIRFFGFITKEEKGMKPFLLKCGLALALTFAGILYSQIGVKRIKPSPTSPKGHPSGHGSEDNFVRGKRASSSSSRNNLAEENVLDAEETCSSKVIGKNSPSGLSPRTKKSGEKDEFIGSEFNDPIKDPEFEVIVEGKKEVETPRSKVGSPMAYANVDKDDYEKEIRNLRSMIRMLQERERNLQFQLLEYCGIKEQQAAVMELQNRLKISNMEAKMFNLKVVTLQSENHRLEVQVADHAKLLSELETAKTKVKFLKKKIKYEAEQNREHIMNLKQKVAKLQDHEFKVAANEQEIQIKLKRLKDLECEIEQLRKSNLRLQMENSDLSRRLDSTQLLANAVLEDPEAQALKEEGERLRQDNEGLTKELEQLHADRCSDLEELVYLRWINACLRHELRSYQPPSGKTAARDLSKSLSPTSEKKAKQLILEYANNEGRGSVSDMDSDQWSSSQASCFTDSGEHEDYSLNDTSSEVKANNPSKSKIFGKLMKLIRGKDSQQNQRGRVISKEKSISQVDSSSHFSLSMSTGNECLRSEYTTPSGTSRTSFDYNQATSMKDDSGRNSDSHTPGSSKNFSPMRRSSADSKNRLDSFSESSAMEKTNLVKYAEALKNSSEAPKHKSHRRSAAYSSF >Vigun05g063000.3.v1.2 pep primary_assembly:ASM411807v1:5:5425244:5428962:1 gene:Vigun05g063000.v1.2 transcript:Vigun05g063000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSARKPNLQGAPVYYYCPPTFEERESLTSNDGTNASLNAGFLVGLNLEASVPDTFQPPPVPLPYDTVLGGSASTTYSESGRETVSSFEALITREDVEESNCKAQTNSSPTSPRKQELSKPNESKVLLVEEEDVCPICLEEYDVENPRDLTKCEHHFHLSCILEWMERSDSCPICDQEMIF >Vigun05g063000.1.v1.2 pep primary_assembly:ASM411807v1:5:5425005:5428980:1 gene:Vigun05g063000.v1.2 transcript:Vigun05g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSARKPNLQGAPVYYYCPPTFEERESLTSNDGTNASLNAGFLVGLNLEASVPDTFQPPPVPLPYDTVLGGSASTTYSESGRETVSSFEALITREDVEESNCKAQTNSSPTSPRKQELSKPNESKVLLVEEEDVCPICLEEYDVENPRDLTKCEHHFHLSCILEWMERSDSCPICDQEMIF >Vigun05g063000.2.v1.2 pep primary_assembly:ASM411807v1:5:5425005:5428985:1 gene:Vigun05g063000.v1.2 transcript:Vigun05g063000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSARKPNLQGAPVYYYCPPTFEERESLTSNDGTNASLNAGFLVGLNLEASVPDTFQPPPVPLPYDTVLGGSASTTYSESGRETVSSFEALITREDVEESNCKAQTNSSPTSPRKQELSKPNESKVLLVEEEDVCPICLEEYDVENPRDLTKCEHHFHLSCILEWMERSDSCPICDQEMIF >Vigun02g079300.1.v1.2 pep primary_assembly:ASM411807v1:2:23173872:23178492:1 gene:Vigun02g079300.v1.2 transcript:Vigun02g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKRLAFSEPIMSQRRKVVSGFSLGVGVSLIFLTVILLNNTSLTVPKVQLFLQGSDSNSSFSWRFPFSARYSNGSASSLHRAEVNVSSEGSEKKTLLGNLTGDQKNASLHDGHEEHEDCCSLKNVTISGKENGHVGNSSCSVDDALGKCEEGLVKASNVSVGSLFEECDIFDGKWVRDESKPYYPLGSCPLIDRDFDCHLNGRPDNEYVKWRWQPNGCDIPSLNATDFLEKLRGQRLVFVGDSLNRNMWESMVCILRQSVRDKKRVFEISGKTEFKKKDVYAFRFEDYNCSVDFVSSPFIVQESTFKGTNGSSETLRLDLMDQTTTTYHDADIIVFNTGHWWTHEKTSRGEDYYQEGNHIYPRLKVLDAYTRALTTWARWIDNNIDANRTQVFFRGYSVTHFRGGQWNSGGQCHKETEPISDRKHLRKYPSKMRALEHVVIPKMKTSVIYMNISRLTDYRKDGHPSIYRMEYKTAEEQASAELHQDCSHWCLPGVPDTWNELLYASLLKYGKGHWKS >Vigun06g172200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29323982:29324848:-1 gene:Vigun06g172200.v1.2 transcript:Vigun06g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGLITSSSNTTSQKTRASTMSGKTFSALGNLVKLLPTGTVFLFQFLIPVVTNNGHCTTLNKYLTGALLVVCAFNCAFASFTDSYTGSDGERHYGVVTAKGLWPSPASDSLDLSVYKLRFGDFVHAFFSLVVFAVLGLLDTNSVRCFYPEFESGEKLLMQVLPPVIGVVASSVFVIFPNNRHGIGYPTTSDSNDTSQKSKT >VigunL051100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:68627:69652:1 gene:VigunL051100.v1.2 transcript:VigunL051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMKAAVVRQFGAPLVIEEAPIPTVGPGQILVKIAATGVCHTDLHAAEGDWPVKPNPPFIPGHEGVGHVAAVGSGVTHVKEGDRVGVPWLYTACGHCVHCLGGWETLCESQQNTGYSVNGSFAEYVLADPNYVGHLPDNVGFIDIAPILCAGVTVYKGLKATEAKPGEWVVVSGIGGLGHMAVQYAKAMGLNVAAVDIDDRKLDLATRLGAAVTVNAREQDPAAAIKAAIGGAQGVLVTAVSPKAFQQALGMVRRGGTVALNGLPPGDFPLSIFDTVLNGVTVRGSIVGTRLDLMEALAFAGEGKVHATVHTEPLEKINDVFARMHHGDIEGRIVLDLA >Vigun03g414000.1.v1.2 pep primary_assembly:ASM411807v1:3:62094378:62097861:1 gene:Vigun03g414000.v1.2 transcript:Vigun03g414000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVPSSSSSAFAFVTQSKNPNYIFKLTHKVPIFPLHSHAPCCSLSDSSSSFTTVLDKNALSSEQNYSNAVSPEDSGSVEALAVRRPVTDFSGEEEEEEAEEEEEDKENEADDGGDAKASVIDAGLAKFAKKMPMFEPERVESKERPLKVNLDLALYRAKLMARRSFRYEEAEALLRKCISLWPEDGRPYVVLGKILSKQSKTGEAREIYEKGCQATQGENAYIWQCWAVLEMQMGNLRRARELFDAATVADKKHVAAWHGWAVLELKQGNIKKARSLLGKGLQYGGQNEYIYQTLALLEARAKRYQQARYLFNQATKCNPNSCASWLSWAQMEVEQENYRAARKLFEKAVQASPKNRFAWHVWGVFEANIGNIDKGRKLLKIGHTLNPRDAVLLQSLALLEYQHSTANLARVLFRRASELNPRHQPVWFAWGWMEWKEGNMNKAREFYQKTLSIDQNSETAARCLQAWGVLEQRVGNLSTARRLFKSSLNVNSQSYVTWMTWAAMEEEQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDILDPAIDTLKTLLKLSPNSYNMPFNSSRNISGTDKNSGDFSIEDDDEDDANGESDFDLDAFIMKRLSLDSSNLEIQLEAPKISSEKRIPPSGRRIWRPNNRIAKVQVQRNVV >Vigun03g414000.2.v1.2 pep primary_assembly:ASM411807v1:3:62094378:62097861:1 gene:Vigun03g414000.v1.2 transcript:Vigun03g414000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRHCFERCGVTFFLFFSYRVCFCGNIGNFFSDGFEWEWEWKCISLWPEDGRPYVVLGKILSKQSKTGEAREIYEKGCQATQGENAYIWQCWAVLEMQMGNLRRARELFDAATVADKKHVAAWHGWAVLELKQGNIKKARSLLGKGLQYGGQNEYIYQTLALLEARAKRYQQARYLFNQATKCNPNSCASWLSWAQMEVEQENYRAARKLFEKAVQASPKNRFAWHVWGVFEANIGNIDKGRKLLKIGHTLNPRDAVLLQSLALLEYQHSTANLARVLFRRASELNPRHQPVWFAWGWMEWKEGNMNKAREFYQKTLSIDQNSETAARCLQAWGVLEQRVGNLSTARRLFKSSLNVNSQSYVTWMTWAAMEEEQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDILDPAIDTLKTLLKLSPNSYNMPFNSSRNISGTDKNSGDFSIEDDDEDDANGESDFDLDAFIMKRLSLDSSNLEIQLEAPKISSEKRIPPSGRRIWRPNNRIAKVQVQRNVV >Vigun11g166144.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37350595:37350747:-1 gene:Vigun11g166144.v1.2 transcript:Vigun11g166144.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAVREFLMGQPKIYPFGTCTMY >Vigun09g076200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8710453:8711781:-1 gene:Vigun09g076200.v1.2 transcript:Vigun09g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKWFNSLLGSSANRLVRVSMSTYSHKVEFPISLKPPKPNQTLRKHLECNRHTKVFLLFRSLLRKRPTFNAIDSFSLLYALKACNSKHSSTQGKQLHTLIVKFGYQAIVQLQTSLLKVYAQCGNLRDAHQVFVQIPSKNIICWTSLISAYVDNHKPGRALQLFREMQRNNVEPDQVTVTVALSACAETGALEMGEWIHAFVRCKTGLNRDLCLDNALINMYAKCGDVVTARKVFDGMRKKDVTTWTSMIVGHAVHGQASEALQLFSEMSSSRDKGDCVMTPNDVTFIGVLMACSHAGLVEEGKWHFRSMSEVYGIEPREAHFGCMVDLLCRSGHLREARDFIMQITMPPNVIFWRTLLGACSVHGELELAVEVRHKLLQLDPGYVGDSVAMSNIYANKGLWNNKILVRNQIKKSRAPGCSSIEVESGVGEFLIVDGDHAL >Vigun06g113200.1.v1.2 pep primary_assembly:ASM411807v1:6:24165268:24166267:1 gene:Vigun06g113200.v1.2 transcript:Vigun06g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGVAIVLLLCLIVTATAQQCGRQAGGRTCSGNLCCSQYGWCGNTEEYCSPSQNCQSNCWGGGGSGGGSASNVRATYHYYQPELHGWDLNAVSAYCSTWDAGKSYAWRSKYGWTAFCGPVGPTGRDSCGKCLRVTNTGTGSQTTVRIVDQCSNGGLDLDVGVFNRLDTDGQGYQRGHLIVNYEFVDCGDNNLNLVLDTPK >Vigun11g062466.1.v1.2 pep primary_assembly:ASM411807v1:11:14086384:14087538:-1 gene:Vigun11g062466.v1.2 transcript:Vigun11g062466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWEALAVDDVVLKEFLERCNGNTTFIPGPAGHAQAVLLNRDLNETHNTQEFLNNMVVASRARDFYTNAWTWAEKFIKHHGLVDDGDIKNITPLSNRKSMNRMRFVACVVKECKPNGLGDLLITIKDPTDTAKASLHNKVLSNCEFGSDIGVGSVLLLKEVAIFRPFGYLNITLRNIVKVFKYDITHPTEEEVQTSMPVVRLNYVVKQNVETDAQPSKNSSPNANVNTNFGPNLHPNMEPTNTANVEDILQMMVPPSTNIPCVEVGEINC >Vigun02g180400.4.v1.2 pep primary_assembly:ASM411807v1:2:32129640:32130847:1 gene:Vigun02g180400.v1.2 transcript:Vigun02g180400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWILQLHKDVPKAARFYSEGLDFTINVCSLRWAELQSGSLKLALMHSSHDQATAQKAYSSLLSFTVTDMNSTVTKLMALGAELDGPIKYEIHGKVAAMRCIDGHVLGLYEPV >Vigun02g180400.3.v1.2 pep primary_assembly:ASM411807v1:2:32129719:32130847:1 gene:Vigun02g180400.v1.2 transcript:Vigun02g180400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWILQLHKDVPKAARFYSEGLDFTINVCSLRWAELQSGSLKLALMHSSHDQATAQKAYSSLLSFTVTDMNSTVTKLMALGAELDGPIKYEIHGKVAAMRCIDGHVLGLYEPV >Vigun02g180400.2.v1.2 pep primary_assembly:ASM411807v1:2:32129719:32130847:1 gene:Vigun02g180400.v1.2 transcript:Vigun02g180400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWILQLHKDVPKAARFYSEGLDFTINVCSLRWAELQSGSLKLALMHSSHDQATAQKAYSSLLSFTVTDMNSTVTKLMALGAELDGPIKYEIHGKVAAMRCIDGHVLGLYEPV >Vigun05g243700.1.v1.2 pep primary_assembly:ASM411807v1:5:43676318:43681937:-1 gene:Vigun05g243700.v1.2 transcript:Vigun05g243700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAAMAAHTILSFQAPLSSSFPLPPPPQYIALPLTSKKAVALLKGSSSVQGIVTLIQQDNGPTTVTVRVSGLVPGPHGFHLHEYGDLTNGCLSTGPHFNPKKLTHGSPEDKIRHAGDLGNIVANADGVAEATIVDNQIPLFGPNSVVGRALVVHELEDDLGKGGRELSLSTGNAGARLACGVVGLTPV >Vigun05g243700.2.v1.2 pep primary_assembly:ASM411807v1:5:43680431:43681716:-1 gene:Vigun05g243700.v1.2 transcript:Vigun05g243700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAAMAAHTILSFQAPLSSSFPLPPPPQYIALPLTSKKAVALLKGSSSVQGIVTLIQQDNGPTTVTVRVSGLVPGPHGFHLHEYGDLTNGCLSTGPHFNPKKLTHGSPEDKIRHAGDLGNIVANADGVAEATIVDNQIPLFGPNSVVGRALVVHELEDDLGKG >Vigun05g243700.3.v1.2 pep primary_assembly:ASM411807v1:5:43677917:43681828:-1 gene:Vigun05g243700.v1.2 transcript:Vigun05g243700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAAMAAHTILSFQAPLSSSFPLPPPPQYIALPLTSKKAVALLKGSSSVQGIVTLIQQDNGPTTVTVRVSGLVPGPHGFHLHEYGDLTNGCLSTGPHFNPKKLTHGSPEDKIRHAGDLGNIVANADGVAEATIVDNQIPLFGPNSVVGRALVVHELEDDLGKGGRELSLSTGNAGARLACGVVGLTPV >Vigun11g090400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27073004:27073520:1 gene:Vigun11g090400.v1.2 transcript:Vigun11g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPVIRKALFAANQIAVYVGEKMKRFVIPVSFLNQPSFQDLLSKAEEEFGYDHPMGGLTIPCSEDVFLSITSCFN >Vigun03g121400.1.v1.2 pep primary_assembly:ASM411807v1:3:11337508:11347891:1 gene:Vigun03g121400.v1.2 transcript:Vigun03g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITHNLTTSLSSTSSAFLAPSSFNSRGHVSLPVKSVGICKCVATPEAETGYKTRVTRNPNLGKLQAGYLFPEIARRRSAHLLKYPDAKVISLGIGDTTEPIPEVITDAMSKRSQALSTVKGYSGYGAEQGEKPLRSAIASTFYSDLGIEEDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGLYQKDVEKFANIEYMRCNPENGFFPDLSSISRPDIIFFCSPNNPTGAAATREQLTQLVQFAKDNGSIVIHDSAYAMYISGDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVIPKELLFSDGFPVARDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMREVIGFYRENTNIIVETFDSLGFKVYGGKDAPYVWVHFPGRSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >Vigun06g175300.2.v1.2 pep primary_assembly:ASM411807v1:6:29561325:29563475:1 gene:Vigun06g175300.v1.2 transcript:Vigun06g175300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETLIPLLSVTLPTSLFPHYLKSYIFPLANTSPQGFIFVTRMEGQRKGSLNVMEGSEGNAKGWCGSVVRTCDLFLRLFAILLTLVASVVIGADKQTAIVPIKFVESMPPLYFVGANAMACAYAALSLLLRLGNRRKGMETVITVFDTLMVALLFSSNGAAIAVGLLGLQGNSHVHWNKVCNIFGKFCDQVAASLFISLLGSITFLLLLLLPQLFRLKQTT >Vigun06g175300.1.v1.2 pep primary_assembly:ASM411807v1:6:29561325:29563475:1 gene:Vigun06g175300.v1.2 transcript:Vigun06g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETLIPLLSVTLPTSLFPHYLKSYIFPLANTSPQGFIFVTRMEGQRKGSLNVMEGSEGNAKGWCGSVVRTCDLFLRLFAILLTLVASVVIGADKQTAIVPIKFVESMPPLYVPVAAKWHYLSAFVYFVGANAMACAYAALSLLLRLGNRRKGMETVITVFDTLMVALLFSSNGAAIAVGLLGLQGNSHVHWNKVCNIFGKFCDQVAASLFISLLGSITFLLLLLLPQLFRLKQTT >Vigun08g177600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34760450:34762880:1 gene:Vigun08g177600.v1.2 transcript:Vigun08g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECPNRTEVSQNLTQFVANPGIDTIEPNQISSKSLTEGVATKNLFPDSKPGKGVKLKGASKINSKKGIKALSQSSIPGDVALDCGLSITDLPPALISEILNCLDPKDLGIVSCVSTIFQRVAFEHHAWKQFYCERWGLPTTSLVVDDDKSWKEIFMEREFRSKTFMGRYSMEVLYGHTEAIRTIFLLASSKLIFTSGYDSVVRMWDMENGLSIASSKPLGCTIRAVAADRKLLVAGGTDGFIHCWRAVEGLPHLFELRASQNQNTEVRLWGHEGPITSLALDLTRIYSGSWDTTVRVWDRLSMKCTTVLRHSDWVWALVPHDTTVASTSGSDVYVWDTSSGDLMTIVHNAHVGNTYALARSHTGDFLFTGGEDGAIHMYEIVNNGYDCKAWHVSTWVPHSAAVYSLAFEFPWLVSASSDGKLALIDVRNLLRTNKRAPGKRVSKVKHLDGDVAEPPQRMLHGFKINLFSVGIGADRIVCGGEEGVIRIWNFTEALEIERRVRTLRGIRLENRMRRRKLQTELSNKSGRSDQCSVAAKKNSVACIWPNKRGISGKLKT >Vigun06g195200.1.v1.2 pep primary_assembly:ASM411807v1:6:31121083:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIVSYTGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQNLLAEGARKIVIAGIPPMGCLPLMITMNSPNALFQRHCIDKYSSIARDYNLLLQHQLHKMQLHLNSSASNVKIYYVDIYKPIADMIQSRKRFGFEEVDSGCCGSGLIEASILCNKISDVCDDPSKYVFWDSIHPTQKAYHNIFLASISTIDFIINN >Vigun06g195200.6.v1.2 pep primary_assembly:ASM411807v1:6:31124275:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIVSYTGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQGFGYFKMMKEREY >Vigun06g195200.3.v1.2 pep primary_assembly:ASM411807v1:6:31121083:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQNLLAEGARKIVIAGIPPMGCLPLMITMNSPNALFQRHCIDKYSSIARDYNLLLQHQLHKMQLHLNSSASNVKIYYVDIYKPIADMIQSRKRFGFEEVDSGCCGSGLIEASILCNKISDVCDDPSKYVFWDSIHPTQKAYHNIFLASISTIDFIINN >Vigun06g195200.2.v1.2 pep primary_assembly:ASM411807v1:6:31121083:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIVSYTGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQNLLAEGARKIVIAGIPPMGCLPLMITMNSPNALFQRHCIDKYSSIARDYNLLLQHQLHKMQLHLNSSASNVKIYYVDIYKPIADMIQSRFEEVDSGCCGSGLIEASILCNKISDVCDDPSKYVFWDSIHPTQKAYHNIFLASISTIDFIINN >Vigun06g195200.5.v1.2 pep primary_assembly:ASM411807v1:6:31121083:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIVSYTGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQNLLAEGARKIVIAGIPPMGCLPLMITMNSPNALFQRHCIDKYSSIARDYNLLLQHQLHKMQLHLNSSASNVKIYYVDIYKPIADMIQSRKRFG >Vigun06g195200.4.v1.2 pep primary_assembly:ASM411807v1:6:31121083:31126368:-1 gene:Vigun06g195200.v1.2 transcript:Vigun06g195200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCDTHLFLSLMQIFIPCLLCIVAKVGALNVTISGFYVFGDSTVDPGNNNYIKTPFKSNFPPYGLDFSNQVPTGRFTNGKLATDYIGLKKELLPPYLDPSLTNIEELMTGVSFASAGSGFDPLTPTITNVIPIEKQLEYFRECKKRMEDVVGKQRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQNLLAEGARKIVIAGIPPMGCLPLMITMNSPNALFQRHCIDKYSSIARDYNLLLQHQLHKMQLHLNSSASNVKIYYVDIYKPIADMIQSRFEEVDSGCCGSGLIEASILCNKISDVCDDPSKYVFWDSIHPTQKAYHNIFLASISTIDFIINN >Vigun02g015100.6.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507656:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEYRKFRPV >Vigun02g015100.8.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507656:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEVGIASRVSV >Vigun02g015100.2.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507655:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEEIQQAVSTLPHSLAGRTYALTEGF >Vigun02g015100.3.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507655:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEVGIASRVSV >Vigun02g015100.4.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507655:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEVGIASRVSV >Vigun02g015100.5.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507656:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEYRKFRPV >Vigun02g015100.7.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507655:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEEIQQAVSTLPHSLAGRTYALTEGF >Vigun02g015100.9.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507656:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEYRKFRPV >Vigun02g015100.1.v1.2 pep primary_assembly:ASM411807v1:2:5502841:5507655:-1 gene:Vigun02g015100.v1.2 transcript:Vigun02g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTEVPTSAREIRGSDKSSKTAKDLEIEGYPVGGLSIGGHETCVLFPTLKIAFDIGRCPPRAVSQNFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFYLRKDLKVKAFRTYHVIPSQGYIIYSVKQKLKPEYIGLSGNEIKNLKSSGEEITYTLTEPEIAFTGDTMSDFIIDENNTDVLRAKILVLECTFVNDSITVEHARDYGHTHLSEIISHAERLQNRAILLIHFSARYTVEEIQQAVSTLPHSLAGRTYALTEGF >Vigun02g191100.1.v1.2 pep primary_assembly:ASM411807v1:2:32863773:32866157:-1 gene:Vigun02g191100.v1.2 transcript:Vigun02g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAPFSISGGSHVKSGELLLTRPYSCGFGPKINVQRRSNLLIKKNNRSSSISAEYRDNGGGGGGDFLAGFLLGGAVFGTVAYIFAPQIRRSLLNEDEYGFRKAKRPIYYDEGLERTKQTLNEKISQLNSAIDNVSSRLRGGNNVPASKIESDPEVEATM >Vigun04g162900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38667659:38670175:1 gene:Vigun04g162900.v1.2 transcript:Vigun04g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLNEVSQKCPQCCCSCTTPFFNSTISSHNTTPPKLRNSSAEWRHSFAVTTTSSIFPNTQFTNHESLPSLHESFNEFTKVFPQYSDTEQVDYVRAKDYFHLSLSNQTCLDYIGIGLFCYSQLQHQLPSSSVPQTPQFQPNYSDIPFFSIHSKTGSLKTLLLHGGQDSEFEGAMRKRIMSFLNISENDYFMVFTANRTSAFKLVADSYQFQTSRRLLTVYDYESEAAEAMVSSSEKRGARAMSAEFSWPRLRIQSKKLRKMIESRRKKKKRKGLFVFPLGSRVTGARYPYLWMSIAQENGWHVLVDACALGPKDMDCFGLSLFQPDFLICSFYKVFGANPSGFGCLFIKKSAISSLESSPSAGIVNLVQDSSSVPDLELIHNTTSTSPHEEKKPFPLSSFSGPMQSKQSEVVEEGEPTDTNLKAPQCSEIEEMQEPVQTLEKSNVQESGIQCGCLDQVDSLGLILITNRSRYLINWLVNSMLKLKHPNTQGVPLVKIYGPKVKFDRGPALAFNIFDWKGERVEPVLVQKLADRSNISISYAFLHHIWFADKYAEEKGKVLQTKVVTTTTTTTNKKKKDRVGISVVTAALGFIANFEDVYKLWAFVARFLDADFVEKERWRYLAINQKTIEV >Vigun10g103500.2.v1.2 pep primary_assembly:ASM411807v1:10:29642660:29646092:-1 gene:Vigun10g103500.v1.2 transcript:Vigun10g103500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPFPFEEFQGKGVLDFSSGSDSLSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPNSSTMSSSLGSSNTISKGGSGGGSTNNTTCYTPTLSHNPPQTPLETATEKCGLRMEDWETQDQSILRLIMADAEDPSVGLSKLFQGTACGSHQTLDFNAGFGVVDQGLNMQVIGEVDEKPQVINPQFELNQTQVQFSENNPSFFVPMMYPQLQEQQVFSQHPPKRPGFEPSGHSFQAPRLPLLDSGQDMFGRRQQTQLPLFPHHMPPQIVPSAKPQKASSTGEDASQQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGRPFQRAAFYMKEALMSLLHSNPHNNVLAFSPITSIFKIGAYKSFSEISPVIQFANFTSNQAIIEALERFDRIHIIDFDIGFGVQWSSFMQALAMRSNGVPSLKITAIVSPLSYDEFELNVTQEKLNQYAKDINMSFELNVLSIESLSSPSCPLSVQYYDNEATAVNMPLSCFTNYPTLFPLVLRFVKQLRPKVVVTLDRNCDQLDAPFSTNIVHALHCYSALLESLDAVNVNLDVLQKIEKHFILPALKKTITCPLRSQEKLPSWRNMFLQYGFSPFTFSNFTEAQAECLVQKAPVRGFQLERKHSSLVLCWQRKELITVSTWRC >Vigun10g103500.1.v1.2 pep primary_assembly:ASM411807v1:10:29642660:29646092:-1 gene:Vigun10g103500.v1.2 transcript:Vigun10g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPFPFEEFQGKGVLDFSSGSDSLSLLLHHPQPKWTIDKEDYCYVGSTEPTSVLDSRRSPNSSTMSSSLGSSNTISKGGSGGGSTNNTTCYTPTLSHNPPQTPLETATEKCGLRMEDWETQDQSILRLIMADAEDPSVGLSKLFQGTACGSHQTLDFNAGFGVVDQGLNMQQVIGEVDEKPQVINPQFELNQTQVQFSENNPSFFVPMMYPQLQEQQVFSQHPPKRPGFEPSGHSFQAPRLPLLDSGQDMFGRRQQTQLPLFPHHMPPQIVPSAKPQKASSTGEDASQQLQQAIFDQLYKTAELIEAGNPVHAQGILARLNHQLSPIGRPFQRAAFYMKEALMSLLHSNPHNNVLAFSPITSIFKIGAYKSFSEISPVIQFANFTSNQAIIEALERFDRIHIIDFDIGFGVQWSSFMQALAMRSNGVPSLKITAIVSPLSYDEFELNVTQEKLNQYAKDINMSFELNVLSIESLSSPSCPLSVQYYDNEATAVNMPLSCFTNYPTLFPLVLRFVKQLRPKVVVTLDRNCDQLDAPFSTNIVHALHCYSALLESLDAVNVNLDVLQKIEKHFILPALKKTITCPLRSQEKLPSWRNMFLQYGFSPFTFSNFTEAQAECLVQKAPVRGFQLERKHSSLVLCWQRKELITVSTWRC >Vigun09g275000.1.v1.2 pep primary_assembly:ASM411807v1:9:43558666:43563022:-1 gene:Vigun09g275000.v1.2 transcript:Vigun09g275000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTNNMTRTLFLVALLSTIVVATHGHGRHMTAHNVTYDGKSLFINGRRELLFSGSIHYPRSTPDMWPILLDNARRGGINVIQTYVFWNAHEPQQGQFNFEGNYDLVKFIKLVQEHGMFVTLRVGPFIQAEWNHGGLPYWLKEVPDIIFRCDNEPYKQHMQAFVTKIVQMMKDEKLFAPQGGPIVLAQIENEYNHVQRAYEEKGDSYVQWAANMAVALNVGVPWIMCKQTDAPDPVINACNGRHCGDTFSGPNKPYKPVIWTENWTAQYRVHGDPPSQRSAEDIAFSVARFFAKGGNLVNYYMYHGGTNFGRTSSEFSTTRYYDEAPLDEYGLEREPKWSHLRDVHKAVLLCRKAILGGDPNVEKLNEFHEIRTFEKLGTNLCVAFITNNHTTDATTINFRGTNYFLPPYSISILPDCKTVVYNTQSIVSQHNSRNYERSTTANNFQWEMFNEAIPTTKKMDMYQNKPRELFTLLKDTSDYAWYTTSFELAPGDLPTKPGVLPIIHIESNGHTMVAFVNGDLIGTAHGTHDKKTFDFNRPVQLRVGTNYISILAGTVGLPDSGAYMEHRYAGPKLVSIIALNTGTLDITTNLWGHRVGLKGEGMKVFSDEGSIRAKWKPLSPVPRPLTWYRTRFVTPEGTGPVAIRMTGMGKGMMWINGKSIGRHWMSFLSPIGKPTQSEFHIPRSFLNPQDNLLVIFEEEPLAPRQIEILNVNRDTICSFIAENDPPNVNSWVSRRGNFHPIVPYLGPKALLECAPGKKITTVEFASFGNPSGSCGQYILGTCNAIATKQIVEQECLGKETCSITLNRAIFNQNGADPCPEILVKTLAIQVRCY >Vigun07g123600.1.v1.2 pep primary_assembly:ASM411807v1:7:22844346:22849068:-1 gene:Vigun07g123600.v1.2 transcript:Vigun07g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQIWSSRIVLGALAFALLFLAASADDVVVLSEENFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTLQWFPKGSLEPKKYEGPRTAESLAEFVNTEGGTNVKIATAPSHVVVLTPENFNEIVLDETKDVLVEFYAPWCGHCKSLAPTYEKVATAFKLEENVVIANLDADKYRDLAEKYDVSGFPTLKFFPKSNKAGEEYGGGRDLDDFVAFINEKSGTSRDGKGQLTSQAGRVESLDVLVKEFVAASDEEKKSVFTRIEEEVEKLQGSASSYGKIYLKAAKNSLVKGSDYAKNEIQRLQRILDKSVSPAKADEFTLKKNILSAYA >Vigun09g001600.1.v1.2 pep primary_assembly:ASM411807v1:9:142010:145282:-1 gene:Vigun09g001600.v1.2 transcript:Vigun09g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRSASFIMDRQQNEAALRGDTVAPTDSSVSNPNPNPNPSPNPKTSAYYETRAAHHGVVTSDWLAQAQAAIGPDPDPLPQLRPDPDKPFSVINEFNDWRKQPHLAEAVAAIRALAAVIRTSQATTMMQLEIELKKASDTLKSWDATSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISYKARKVIAMLSQDFIFDGCTILVHGFSRVVFEVLKLAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHGMNKPVYVAAESYKFARLYPLDQKDLAPALRPIDFGVPIPSKVEVERSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Vigun09g189300.1.v1.2 pep primary_assembly:ASM411807v1:9:36404511:36417641:1 gene:Vigun09g189300.v1.2 transcript:Vigun09g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRFRRLRFLAIFVVVVAVFASDESESERELSVTDFDWNLFHQDYSPPAPPPPPPHPPSVSCVDDLGGVGTLDTTCKIVNDVNLTRDVYIAGKGNFNILPGVRFHCEIPGCMVTVNVTGNFSLGSNSSIVTGAFELEAENGGFGNMSVVNTTGMAGQPPPQTSGTPQGVEGGGGGHGGRGASCLVDMTKLPEDVWGGDAYSWASLQNPYSFGSRGGSTTNERDYGGLGGGLVRLTLHQIVEMNASVLADGGNGGTKGGGGSGGSIYIKAYRMTGNGIISACGGNGFAGGGGGRVSVDVFSRHDEPKIYVHGGRSLGCPENAGAAGTLYDAVPRSLIVDNYNMTTDTETLLLEFPNQPLWTNVYVRNKARATVPLLWSRVQVQGQISILQGGVLSFGLRHYATSEFELLAEELLMSDSVMKVYGALRMSVKMFLMWNSKMLIDGGEDVTVETSLLEASNLIVLRGASVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHVGPGSVLRGPLENATTDDVTPKLYCDNKDCPYELLHPPEDCNVNSSLSFTLQICRVEDILVEGLIEGSVVHFHRARTISVESSGRISASGMGCTGGLGHGNILSNGIGSGGGHGGSGGDAWYNDNHVEGGSSYGDANLPCELGSGSGSGNSTYITAGGGIIIVGSLEHPLSSLSIQGSVKADGENFDSIVRKEGFAEFDNFTGGPGGGSGGTILLFLHTLAIGQSAELSSMGGYSSSNGSGGGGGGRIHFHWSDIPTGDVYQPIAIVKGGIQTRGGKGEGQGGSGANGTITGKDCPKGLYGTFCEECPTGTYKNTTGSDKSLCHHCPVNDLPHRAVYIPVRGGITETPCPYQCVSDRYHMPDCYTALEELIYTFGGPWLFGLFLTGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTASEQIMDVVYESEFNTFVDAINAIAAYQWWEGAIYSVLSVLAYPLAWSWQQWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVNATTDFMLAYVDFFLGGDEKRIDLPPRLHERFPMSLPFGGDGSYMVPFSLHNDNILTSLMSQSVQPTTWYRLVAGLNAQLRLVRRGRLRVTFRPVLRWLETHANPALSVHGVRVDLAWFQATSSGYCHYGLMVYALEDGPAGGSADVALRTEEGTRVQSVKKEYPFGFSRSRAHLGPGGRTEDNYMRRQMHGAALDVNNLQMLDEKRDIFYLLSFILQNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFFVLFILPFGILLPFPVGINALFSHGPRRSAGLARLYALWNLTSFINVVVAFLCGYIHYNSQSSSSKRHPSIQPWNIMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFELFWQS >VigunL059239.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000279.1:26686:26853:-1 gene:VigunL059239.v1.2 transcript:VigunL059239.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHHGIARWLGGEICEKMKFVVFEM >Vigun03g401300.1.v1.2 pep primary_assembly:ASM411807v1:3:60835963:60841387:-1 gene:Vigun03g401300.v1.2 transcript:Vigun03g401300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRLTRVHSLRERLDETLSANRNEILALLSRIEGKGKGILQHHQVIAEFEEIPEESRQKLTDGAFGEVLRSTQEAIVLPPWVALAVRPRPGVWEYLRVNVHALVVEVLQPAEYLRFKEELVDGSSNGNFVLELDFEPFTASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLHPLLEFLRLHSVKGKTLMLNDRIQNPDALQHVLRKAEEYLGTVPPETPYSAFEHKFQEIGLERGWGDNAERVLESIQLLLDLLEAPDPCTLETFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLHRIKQQGLDIVPRILIITRLLPDAVGTTCGQRLEKVFGTEHSHILRVPFRTEKGIVRQWISRFEVWPYLETYTEDVAHELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKLEERYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADQTIYFPPTETSRRLTSFHPEIEELLYSSVENEEHICVLKDRTKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRVICDTRGAFVQPAVYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGDRAADLLVDFFEKCKVDPSHWDKISQAGLQRIEEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAVE >Vigun03g018701.1.v1.2 pep primary_assembly:ASM411807v1:3:1345556:1347845:1 gene:Vigun03g018701.v1.2 transcript:Vigun03g018701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYELPDGQIIEVGSDRFKIPDILFNPSLVLTIPGMEGLANIVPKLRSLPKMIIESINKCDVNIRRELFSTILLTGGTASMHQLKERIEKDLLEESPPAARVKVFACGNATERRFSVWIGGSILASVGSFQQMWFSKSEYEEQGASYIHKKCP >Vigun04g185600.2.v1.2 pep primary_assembly:ASM411807v1:4:41060633:41062031:1 gene:Vigun04g185600.v1.2 transcript:Vigun04g185600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISSIPDEILLHILSSLPTKEVVATSVLSKRWNPLWRSVPSFDFSIGNENRREAYDHFHSVSSFLRSRDRDQPFLRFRLSYFFNCFDPTIRYSYRAIHDTESIIKTQIEDAVSGSARVQHLDLCLDLYIVMLSVVFTFKTLVFLKLANITVENIPFVDFPMVKILHLNRVHFSEGIDISQLLSGCPNLEDLEVKSILINAELKFSRLSTLGNMAAKFLPFEIVKNVKVLSTDVFRSHDWIYDFQNLVQLKLDFLYIQNNWVEFLETLRHCPMLQTLAIGYIGKIGFGSSAQGHEEAVLPDPQFVPACILSHLKTCSIGCFRGSMDEFLFARYIMQNAKYLRTMKIKILSYNGEKPDMIRDLSSCKKSSDTCKLSFDN >Vigun11g206400.3.v1.2 pep primary_assembly:ASM411807v1:11:40295233:40299079:-1 gene:Vigun11g206400.v1.2 transcript:Vigun11g206400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLASSYASSPLLPLFPHSSRTFRQPRFHSNSNFSPMVVACCSSAPSHSGSNPSQQPLLNDQTLHTEVATPQTPSFLSPLPKLTSSDQAFFLLAFIASTTSVAFASLVFAAVPALFAMRNAAISLSKLADTAREELPSTMTAIRLSGMEISDLTLELSDLRK >Vigun11g206400.2.v1.2 pep primary_assembly:ASM411807v1:11:40295233:40299079:-1 gene:Vigun11g206400.v1.2 transcript:Vigun11g206400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLASSYASSPLLPLFPHSSRTFRQPRFHSNSNFSPMVVACCSSAPSHSGSNPSQQPLLNDQTLHTEVATPQTPSFLSPLPKLTSSDQAFFLLAFIASTTSVAFASLVFAAVPALFAMRNAAISLSKLADTAREELPSTMTAIRLSGMEISDLTLELSDLSQEVADGVNASAQVVQAAEAGLRQFSSMARQQTEF >Vigun11g206400.1.v1.2 pep primary_assembly:ASM411807v1:11:40295233:40299079:-1 gene:Vigun11g206400.v1.2 transcript:Vigun11g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLASSYASSPLLPLFPHSSRTFRQPRFHSNSNFSPMVVACCSSAPSHSGSNPSQQPLLNDQTLHTEVATPQTPSFLSPLPKLTSSDQAFFLLAFIASTTSVAFASLVFAAVPALFAMRNAAISLSKLADTAREELPSTMTAIRLSGMEISDLTLELSDLSQEVADGVNASAQVVQAAEAGLRQFSSMARQQTESMIQERANLPEISLQPAVAGAARKTTRAVGRATKNLFNIISGRQGTTEYDDDDGTYV >Vigun02g147200.1.v1.2 pep primary_assembly:ASM411807v1:2:29492855:29493171:-1 gene:Vigun02g147200.v1.2 transcript:Vigun02g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESKNETVNAENCMEKKVACVDYRSSAGQGQEIRKVDVIHELHTTNNTTGGILAGATAAVTSTLQSAKDAMAKK >Vigun07g250100.1.v1.2 pep primary_assembly:ASM411807v1:7:36940666:36958942:1 gene:Vigun07g250100.v1.2 transcript:Vigun07g250100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPQFPGLQPLRPPITGSVEPPRNYAPPMPVQFRPVVPAQQPQQFISMPSQHYQHHQHQPVGPGGVPMIGVGMPPQNQQPQFSQPIQQLPPRPNPQLPPPSQAIPMPVARPNLHIPSESMMPQPDSQAPNGYTPGVGGPGMTLSSSYTFAASNYGQVQTNFNSTGQFQPIPQVHALTGSSSQSITTGPTLQSNGGGQPLVTTVMPSATIVQPQLAKNGSTDWIEHTTATGRTFYYNKKTKVSSWEKPFELMTPIERVDESTNWKEYISPDGRKYFYNKVTKESKWLIPEELKLAREQVEKAIVSGPHSEALPNSHTQPSPAPSVAEATVNADNISVSSQGEPSSPVSVAPVVTTSTSTPQPEMPSGPSTSPSATPIAGSKVDELEAPVNIVTPSETSAGGDKAVDTDVSASITPTNDTNNDSTQDILGSADGVPAEDKEDGKTESVGERSNHAAGETKAVEPETLVFANKMEAKDAFKALLDSANVGSDWTWDRAMRLIINDKRYGALKTLGERKQAFNEYLNQRKKHEAEEKRMKQKKAREDFKKMLEESTDLTSSTRWSKAAPIFENDERFKAVERDRDRRDMFESFLEELVNKERARVQEERKRNIMEYKRFLESCDFIKASTQWRKVQDRLEADERCSCLEKIDRLEIFQDYLRDLEKEEEEQKKIQKEELRKIERKNRDEFRKLMEEHIASGILTAKTHWRDYYTKVKDLHAYIAVASNTSGSTPKDLYEDVAEELDKQYHEEKSRIKDAMKLAKITLFSTWTFEDFKSAVSKDIGTSPISDFNLKLVFEELLERVKEKEEKEAKKRKRLADDFFHLLYSIKDITVSSNWEDSIPLVEDSQEFRSIGDESLCKEVFEEYIAQLKEEAKESERIRKEERMKKEKDREERERRKGKQRREKDGGRERGKDEAHKKDKADSDSMELSEIQSSKENKRKQRHSPEHEMDKEKTKKSHGHGSDRKKSKRRASGHESDEGRHKRHKRDHRREGDHGDLEDGEFGDGVDRW >Vigun03g194700.1.v1.2 pep primary_assembly:ASM411807v1:3:27312192:27314745:1 gene:Vigun03g194700.v1.2 transcript:Vigun03g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFPRMAIRYMFLTLFFSADIGFFGRVASFGINYGQVANNLPSPDKVLELFSNLKVTKTRIYDTNPEILSAFSKSNVEVIVTVENEMLIQLNDPQQALQWVNGHIKPYLPNTKITGIQVGNELFTNGDTTFIQYLVPAVVNIHNALVRLGIDSNIHVSTPSSLEVLQESYPPSAGSFKSEISGVMSQFLSFLSTTKAPFWINAYPYFAYKDDPNRIPLDYVLFNPNEGMVDPNTNLHYDNMLYAQVDAVTFAIARLNFSGIEVRVSETGWPSKGDPNEVGATLQNAQTYNRNLLRRQMANEGTPLSPRMRLEAYFFALFNEDMKTGATSERNYGLFQPDETMTYNVGLSAFAASSTSSTSISLTSSATNTKAGSKEFQSLFFWVFVCLLTSALCGFV >Vigun03g194700.2.v1.2 pep primary_assembly:ASM411807v1:3:27312192:27314694:1 gene:Vigun03g194700.v1.2 transcript:Vigun03g194700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFPRMAIRYMFLTLFFSADIGFFGRVASFGINYGQVANNLPSPDKVLELFSNLKVTKTRIYDTNPEILSAFSKSNVEVIVTVENEMLIQLNDPQQALQWVNGHIKPYLPNTKITGIQVGNELFTNGDTTFIQYLVPAVVNIHNALVRLGIDSNIHVSTPSSLEVLQESYPPSAGSFKSEISGVMSQFLSFLSTTKAPFWINAYPYFAYKDDPNRIPLDYVLFNPNEGMVDPNTNLHYDNMLYAQVDAVTFAIARLNFSGIEVRVSETGWPSKGDPNEVGATLQNAQTYNRNLLRRQMANEGTPLSPRMRLEAYFFALFNEDMKTGATSERNYGLFQPDETMTYNVGLSAFAASSTSSTSISLTSSATNTKKSGATHTLS >Vigun03g405700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61281112:61281696:-1 gene:Vigun03g405700.v1.2 transcript:Vigun03g405700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRQASPSNCDICGATQTLSLTIHNIRHRAHTRRYCTNCVLKQHPGLFCPICFDLHEDSLLAPHHRLMCVRCPSIAHRSCVFPSTTAAESTPPFFCPICLDSNFTFFSPPDRKTGAVDVQSAKVLVAAARIAALSMSKAAAAARFDAERRAREAAVARKRAKEALEHLAEILAREQDEELNGNASAGGRRRGA >Vigun01g194500.1.v1.2 pep primary_assembly:ASM411807v1:1:37190276:37191841:-1 gene:Vigun01g194500.v1.2 transcript:Vigun01g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDAVCFYSVLGIGKHSSDGEIRCAYRKMALKWHPDRWSKDPKFAPEAKKRFQHVQEAYSVLSNTGKRRIYDAGLFGLIGEDDDEGFVDFMQEMILMMQNERPKDEKGTTEDLQGLLRDMMMKENEGIGESGFSCNSSPCPTKRTRIS >Vigun03g048900.1.v1.2 pep primary_assembly:ASM411807v1:3:3913338:3919000:-1 gene:Vigun03g048900.v1.2 transcript:Vigun03g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTCLSKGRGFHFPPCHMLNFCGIRILLDCPLDLSALLAFSPIPTSLDWLSVVESYNTEANDFDSRAGFGKRQKIEKLLHAKSLLFAEPWYKTVNNLQLWNSSFIDVVLISSPMGIMGLPFLTRMKGFSAKIYVTEASARLGQLMMEDLISMHGEFRHFYGPEESNFPSWLRQEELEILPSELREIILGKDGLELGGWMPLYSAADVKDCMLKIHTLNYAEEACYNGTLVIKAFSSGMEIGSCNWILNSPKGDIAYVSGSSFISAHAMPFDYRSLQGTCALIYSDFSLGDTQDSEDGDNYSVSAAKLQSMSSQDLAGFNHNFDENSEEKEKLDFICSNAINYIKEGGSVLIPIDRLGTILLLLEEMTASLEASDLKVPVYIISSKAEELLALLNIIPEWLCKQRQEKLFAGEQLFAHVKLLKEKKIHVVPAIHSRELLINWQEPCIVFCPHWSMRMGPVVHLLRRWCGCPNSLLILEDVLNLELALLPFQPVAMKVLQCLFPSGIGLRTVQPLLKLLRPKTVLCPEELRLQINLSSENSFSVLYYSEAETLKVPYRKHNSEIKIATDLASHFYWKTFKKEEINITKLKGELRMENGRHHLLLETDNKNSSSNSSSLEHCGLPDSEKLMAALSKMGISGKIQHGMSDAKSQTVCIIHIEDPYKASIEIGTTGTIITTADENVASSIYKIIDNILNAV >Vigun03g048900.5.v1.2 pep primary_assembly:ASM411807v1:3:3913419:3918979:-1 gene:Vigun03g048900.v1.2 transcript:Vigun03g048900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTCLSKGRGFHFPPCHMLNFCGIRILLDCPLDLSALLAFSPIPTSLDWLSVVESYNTEANDFDSRAGFGKRQKIEKLLHAKSLLFAEPWYKTVNNLQLWNSSFIDVVLISSPMGIMGLPFLTRMKGFSAKIYVTEASARLGQLMMEDLISMHGEFRHFYGPEESNFPSWLRQEELEILPSELREIILGKDGLELGGWMPLYSAADVKDCMLKIHTLNYAEEACYNGTLVIKAFSSGMEIGSCNWILNSPKGDIAYVSGSSFISAHAMPFDYRSLQGTCALIYSDFSLGDTQDSEDGDNYSVSAAKLQSMSSQDLAGFNHNFDENSEEKEKLDFICSNAINYIKEGGSVLIPIDRLGTILLLLEEMTASLEASDLKVPVYIISSKAEELLALLNIIPEWLCKQRQEKLFAGEQLFAHVKLLKEKKIHVVPAIHSRELLNLALYFVLTGVCEWVLLFIFFDDGVAVQILCLFLRMF >Vigun03g048900.2.v1.2 pep primary_assembly:ASM411807v1:3:3913420:3918977:-1 gene:Vigun03g048900.v1.2 transcript:Vigun03g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTCLSKGRGFHFPPCHMLNFCGIRILLDCPLDLSALLAFSPIPTSLDWLSVVESYNTEANDFDSRAGFGKRQKIEKLLHAKSLLFAEPWYKTVNNLQLWNSSFIDVVLISSPMGIMGLPFLTRMKGFSAKIYVTEASARLGQLMMEDLISMHGEFRHFYGPEESNFPSWLRQEELEILPSELREIILGKDGLELGGWMPLYSAADVKDCMLKIHTLNYAEEACYNGTLVIKAFSSGMEIGSCNWILNSPKGDIAYVSGSSFISAHAMPFDYRSLQGTCALIYSDFSLGDTQDSEDGDNYSVSAAKLQSMSSQDLAGFNHNFDENSEEKEKLDFICSNAINYIKEGGSVLIPIDRLGTILLLLEEMTASLEASDLKVPVYIISSKAEELLALLNIIPEWLCKQRQEKVRTIRNINLLFAGEQLFAHVKLLKEKKIHVVPAIHSRELLINWQEPCIVFCPHWSMRMGPVVHLLRRWCGCPNSLLILEDVLNLELALLPFQPVAMKVLQCLFPSGIGLRTVQPLLKLLRPKTVLCPEELRLQINLSSENSFSVLYYSEAETLKVPYRKHNSEIKIATDLASHFYWKTFKKEEINITKLKGELRMENGRHHLLLETDNKNSSSNSSSLEHCGLPDSEKLMAALSKMGISGKIQHGMSDAKSQTVCIIHIEDPYKASIEIGTTGTIITTADENVASSIYKIIDNILNAV >Vigun03g048900.4.v1.2 pep primary_assembly:ASM411807v1:3:3913419:3918978:-1 gene:Vigun03g048900.v1.2 transcript:Vigun03g048900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFCGIRILLDCPLDLSALLAFSPIPTSLDWLSVVESYNTEANDFDSRAGFGKRQKIEKLLHAKSLLFAEPWYKTVNNLQLWNSSFIDVVLISSPMGIMGLPFLTRMKGFSAKIYVTEASARLGQLMMEDLISMHGEFRHFYGPEESNFPSWLRQEELEILPSELREIILGKDGLELGGWMPLYSAADVKDCMLKIHTLNYAEEACYNGTLVIKAFSSGMEIGSCNWILNSPKGDIAYVSGSSFISAHAMPFDYRSLQGTCALIYSDFSLGDTQDSEDGDNYSVSAAKLQSMSSQDLAGFNHNFDENSEEKEKLDFICSNAINYIKEGGSVLIPIDRLGTILLLLEEMTASLEASDLKVPVYIISSKAEELLALLNIIPEWLCKQRQEKLFAGEQLFAHVKLLKEKKIHVVPAIHSRELLINWQEPCIVFCPHWSMRMGPVVHLLRRWCGCPNSLLILEDVLNLELALLPFQPVAMKVLQCLFPSGIGLRTVQPLLKLLRPKTVLCPEELRLQINLSSENSFSVLYYSEAETLKVPYRKHNSEIKIATDLASHFYWKTFKKEEINITKLKGELRMENGRHHLLLETDNKNSSSNSSSLEHCGLPDSEKLMAALSKMGISGKIQHGMSDAKSQTVCIIHIEDPYKASIEIGTTGTIITTADENVASSIYKIIDNILNAV >Vigun03g048900.3.v1.2 pep primary_assembly:ASM411807v1:3:3913419:3918978:-1 gene:Vigun03g048900.v1.2 transcript:Vigun03g048900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFCGIRILLDCPLDLSALLAFSPIPTSLDWLSVVESYNTEANDFDSRAGFGKRQKIEKLLHAKSLLFAEPWYKTVNNLQLWNSSFIDVVLISSPMGIMGLPFLTRMKGFSAKIYVTEASARLGQLMMEDLISMHGEFRHFYGPEESNFPSWLRQEELEILPSELREIILGKDGLELGGWMPLYSAADVKDCMLKIHTLNYAEEACYNGTLVIKAFSSGMEIGSCNWILNSPKGDIAYVSGSSFISAHAMPFDYRSLQGTCALIYSDFSLGDTQDSEDGDNYSVSAAKLQSMSSQDLAGFNHNFDENSEEKEKLDFICSNAINYIKEGGSVLIPIDRLGTILLLLEEMTASLEASDLKVPVYIISSKAEELLALLNIIPEWLCKQRQEKVRTIRNINLLFAGEQLFAHVKLLKEKKIHVVPAIHSRELLINWQEPCIVFCPHWSMRMGPVVHLLRRWCGCPNSLLILEDVLNLELALLPFQPVAMKVLQCLFPSGIGLRTVQPLLKLLRPKTVLCPEELRLQINLSSENSFSVLYYSEAETLKVPYRKHNSEIKIATDLASHFYWKTFKKEEINITKLKGELRMENGRHHLLLETDNKNSSSNSSSLEHCGLPDSEKLMAALSKMGISGKIQHGMSDAKSQTVCIIHIEDPYKASIEIGTTGTIITTADENVASSIYKIIDNILNAV >Vigun05g029800.3.v1.2 pep primary_assembly:ASM411807v1:5:2371599:2376486:1 gene:Vigun05g029800.v1.2 transcript:Vigun05g029800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNCLGRSCWKHTTNTNSAQRQFPTVIEELCHQFSLADLRKATNNFDLNRVIGSGIFSEVYKGYLQHNGASDYTVAIKRFNNQGCEAFNNEIELLCQLRHPRCVSLIGFCNHEKEKILVYEYMSNGSLNEHLQHGELSWKKRLQICIGVARGLHYLHTGAKRSIFHCILGPNTILLDDHMEPKLAGFGVSVQGSRFMSKQKQINVEHVMGTWGVMATQFVMDSTITAKWDVFSFGLVLLEVVCRGRFYLITLAEKEFLENPIEEKIDPIIKGKIAPDYWQVFVDVMVNCLKYEPDERPAIGEVEVQLEHALSMQEQADITNSNCDYTLLSKTIIPLGVKQYVILKKLSD >Vigun05g029800.1.v1.2 pep primary_assembly:ASM411807v1:5:2371599:2375063:1 gene:Vigun05g029800.v1.2 transcript:Vigun05g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNCLGRSCWKHTTNTNSAQRQFPTVIEELCHQFSLADLRKATNNFDLNRVIGSGIFSEVYKGYLQHNGASDYTVAIKRFNNQGCEAFNNEIELLCQLRHPRCVSLIGFCNHEKEKILVYEYMSNGSLNEHLQHGELSWKKRLQICIGVARGLHYLHTGAKRSIFHCILGPNTILLDDHMEPKLAGFGVSVQGSRFMSKQKQINVEHVMGTWGVMATQFVMDSTITAKWDVFSFGLVLLEVVCRGRFYLITLAEKEFLENPIEEKIDPIIKGKIAPDYWQVFVDVMVNCLKYEPDERPAIGEVEVQLEHALSMQEQADITNSNCDYTLLSKTIIPLGVKQYVILKKLSD >Vigun05g029800.2.v1.2 pep primary_assembly:ASM411807v1:5:2371599:2376486:1 gene:Vigun05g029800.v1.2 transcript:Vigun05g029800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNCLGRSCWKHTTNTNSAQRQFPTVIEELCHQFSLADLRKATNNFDLNRVIGSGIFSEVYKGYLQHNGASDYTVAIKRFNNQGCEAFNNEIELLCQLRHPRCVSLIGFCNHEKEKILVYEYMSNGSLNEHLQHGELSWKKRLQICIGVARGLHYLHTGAKRSIFHCILGPNTILLDDHMEPKLAGFGVSVQGSRFMSKQKQINVEHVMGTWGVMATQFVMDSTITAKWDVFSFGLVLLEVVCRGRFYLITLAEKEFLENPIEEKIDPIIKGKIAPDYWQVFVDVMVNCLKYEPDERPAIGEVEVQLEHALSMQEQADITNSNCDYTLLSKTIIPLGVKQYVILKKLSD >Vigun05g029800.4.v1.2 pep primary_assembly:ASM411807v1:5:2371599:2373318:1 gene:Vigun05g029800.v1.2 transcript:Vigun05g029800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNCLGRSCWKHTTNTNSAQRQFPTVIEELCHQFSLADLRKATNNFDLNRVIGSGIFSEVYKGYLQHNGASDYTVAIKRFNNQGCEAFNNEIELLCQLRHPRCVSLIGFCNHEKEKILVYEYMSNGSLNEHLQHGELSWKKRLQICIGVARGLHYLHTGAKRSIFHCILGPNTILLDDHMEPKLAGFGVSVQGSRFMSKQKQINVEHVMGTWGVMATQFVMDSTITAKWDVFSFGLVLLEVVCRGRFYLITLAEKEFLENPIEEKIDPIIKGKIAPDYWQVFVDVMVNCLKYEPDERPAIGEVEVQLEHALSMQEQADITNSNCDYTLLSKTIIPLGVKQYVILKKLSD >Vigun05g136333.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16381793:16382248:-1 gene:Vigun05g136333.v1.2 transcript:Vigun05g136333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVNAVSFVVVTLWSLLTQIIFNTIACTFALLLQCLKGSSEGTLGIFQIFSECIKACFGFILELVFKLLSSLVSKVFDIVIERATESLTSSFTTSTELIEKLKTALEGPVNEALPKLFEALLNMAINMVKELWKNYNGAKMLWDLLMSS >Vigun08g104300.1.v1.2 pep primary_assembly:ASM411807v1:8:25968205:25971667:-1 gene:Vigun08g104300.v1.2 transcript:Vigun08g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPQIDCYIKESIDHIVGLPVSDQTLLTKLQASEELRRQLRQQRLFLLAKLKEKDDLIELARNEATMNAQAMKRFVEENQKLAAECERLVEQCVKLERECALYDHDREALMEFGNEADERAQVAHARACELERDLCLLERQLNKYKQQNESVDSSSGTLDEEKLLDSLLATVTSADESCTFAFLNSNSENDQCKKLLTMWNCLKPSTQRVLGLVAEVKSLENDKENLRVNLHRAEDEVKLLFEENSVLHNENKRLAKRCMERSHSGSDRKHGHSHTGSVSAKSNKRKSSRKTSSPMGRKIDFEDLIDSARTPLSPLGNKLLELQHE >Vigun08g104300.2.v1.2 pep primary_assembly:ASM411807v1:8:25968205:25971667:-1 gene:Vigun08g104300.v1.2 transcript:Vigun08g104300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQAMKRFVEENQKLAAECERLVEQCVKLERECALYDHDREALMEFGNEADERAQVAHARACELERDLCLLERQLNKYKQQNESVDSSSGTLDEEKLLDSLLATVTSADESCTFAFLNSNSENDQCKKLLTMWNCLKPSTQRVLGLVAEVKSLENDKENLRVNLHRAEDEVKLLFEENSVLHNENKRLAKRCMERSHSGSDRKHGHSHTGSVSAKSNKRKSSRKTSSPMGRKIDFEDLIDSARTPLSPLGNKLLELQHE >Vigun11g214050.1.v1.2 pep primary_assembly:ASM411807v1:11:40864059:40864746:-1 gene:Vigun11g214050.v1.2 transcript:Vigun11g214050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCNENCESYKKKVSFFALVVVPSPQVVVRKIKESTYYQVTALTVGNCCCSKRVASSHHEFTSRNRPRILIQLSCQGRTPDPEEKKDQKGGTKVNLFKWKMLSDIEKTGKRVKQNLSPQQKGDWKDLLLMSISFAVYVYISQMLVCAYFAWTTVPKPMW >Vigun11g191200.1.v1.2 pep primary_assembly:ASM411807v1:11:39052457:39057070:1 gene:Vigun11g191200.v1.2 transcript:Vigun11g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKCGKELKQKHSCVYFPAIAKRNEMASRTLTDFFQPASKRLKPTLPRSCKSDDANASTLSVDQKSRVEYNKLLAKSKRNLKLCVERVSKTKGLTGVKLEELLVEETWLDAIPGELQKPYALTLSKFVESEISSGDDVVYPPTHLIFNALNSTPFDRVKAVILGQDPYHGPGQAMGLSFSVPEGIKVPSSLVNIFKELHQDIGCTIPPHGNLQKWAVQGVLMLNAVLTVRKHQANSHAKKGWEQFTDAVIKTISQKREGVVFLLWGNSAREKSRLIDGTKHHVLTAAHPSGLSAHRGFFGCRHFSRTNQLLEQMGSDPIDWQL >Vigun10g030000.1.v1.2 pep primary_assembly:ASM411807v1:10:3780216:3786685:-1 gene:Vigun10g030000.v1.2 transcript:Vigun10g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAKRFQLRPLTTPFLGQLRYARTRPKSPPVALRKTEERSEWWAVDGEMHEIGDHVPLRERFVIPRENIPNKRRKQLREQFMRRTRLVLKESEHDPWCKRYMELYNELRENWERLYWDEGYSKKLAQDHANYESAEDDDRDFSPYRSRRPQPQMEYSKDQAFGRNRQPDNLEKVSVLRDKFEYDRERRMREKAFAPMHGGSVPDSHDSNRWNQPLDTDRYFSQTERH >Vigun10g030000.2.v1.2 pep primary_assembly:ASM411807v1:10:3781628:3786685:-1 gene:Vigun10g030000.v1.2 transcript:Vigun10g030000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAKRFQLRPLTTPFLGQLRYARTRPKSPPVALRKTEERSEWWAVDGEMHEIGDHVPLRERFVIPRENIPNKRRKQLREQFMRRTRLVLKESEHDPWCKRYMELYNELRENWERLYWDEGYSKKLAQDHANYESAEDDDRDFSPYRSRRPQPQMEYSKDQAFGRNRQPDNLEKVSVLRDKFEYDRERRMREKGTRTLKYNKDFVDQ >Vigun06g182700.1.v1.2 pep primary_assembly:ASM411807v1:6:30240133:30242542:1 gene:Vigun06g182700.v1.2 transcript:Vigun06g182700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDSQKSTITDDQNPSRVDNDDEDLEDGEILEDADDAASAASKPPSALLRNPHPLENSWTFWFDNRSAKSKQDEWGSSIRPIYTFATVEEFWSIYNNIHHPSKLGVRVDFHCFKYKIEPKWEDPICANGGKWTMTFQRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRNRQDKISIWTKNASNEAAQMSIGKQWKEFLDYNETIGFIFHEDAKKHERGAKNKYVI >Vigun06g182700.2.v1.2 pep primary_assembly:ASM411807v1:6:30240133:30242542:1 gene:Vigun06g182700.v1.2 transcript:Vigun06g182700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDSQKSTITDDQNPSRVDNDDEDLEDGEILEDADDAASAASKPPSALLRNPHPLENSWTFWFDNRSAKSKQDEWGSSIRPIYTFATVEEFWSIYNNIHHPSKLGVRVDFHCFKYKIEPKWEDPICANGGKWTMTFQRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRNRQDKISIWTKNASNEAAQMSIGKQWKEFLDYNETIGFIFHVRCKEA >Vigun07g079900.2.v1.2 pep primary_assembly:ASM411807v1:7:11132146:11137203:-1 gene:Vigun07g079900.v1.2 transcript:Vigun07g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSEVPRKCPASPQTARKMKTPGSDTDSVSSSPKPASKTPKNKSPKVTERKSPRSPVSEKKKPSRVQELESQLAQLEEDLKRTKDQLNSSESWKKRAQQEAEEAKKQLLVMSKELEESQQQLLELSASEEERLQELRKISQDRDREWQSELEAVQKQHSMDSTALVSAMSEIQKLKIQLERVRESEAVHISNAESDNAEIEDLKMELDEALTLVEKLKSELSDCKESESRALEVVGKTQMQLESANKTVETVQLEGVKTLEAYKALALELEQSREQVKSLEELVSKLQTDLVAPANKNTSDPVNEVEHELAQKNAESEDISQLKAELVSAKSEAAQLKSALDVSEIRYQEEYIRSTLQIRSAFEQLEHVKSESSQRQIVLNEELKKAKADIEELRERLMDKESQLQGLSVDNEMLLSRIKQSQPSETESEAVVELKKLDADVIELKERLLERETELQNVTEENNALKMEIKRVELEKSKIPGEAVASAEAARAEALMKLDHITEEADKSNKRVTQVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAAAMISAGNNGKFVERTGSLDSSYNTISAKMSSPYSEDTDDDSPKKKNTNMLKKIGVLWKKNH >Vigun07g079900.5.v1.2 pep primary_assembly:ASM411807v1:7:11132146:11137203:-1 gene:Vigun07g079900.v1.2 transcript:Vigun07g079900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSEVPRKCPASPQTARKMKTPGSDTDSVSSSPKPASKTPKNKSPKVTERKSPRSPVSEKKPSRVQELESQLAQLEEDLKRTKDQLNSSESWKKRAQQEAEEAKKQLLVMSKELEESQQQLLELSASEEERLQELRKISQDRDREWQSELEAVQKQHSMDSTALVSAMSEIQKLKIQLERVRESEAVHISNAESDNAEIEDLKMELDEALTLVEKLKSELSDCKESESRALEVVGKTQMQLESANKTVETVQLEGVKTLEAYKALALELEQSREQVKSLEELVSKLQTDLVAPANKNTSDPVNEVEHELAQKNAESEDISQLKAELVSAKSEAAQLKSALDVSEIRYQEEYIRSTLQIRSAFEQLEHVKSESSQRQIVLNEELKKAKADIEELRERLMDKESQLQGLSVDNEMLLSRIKQSQPSETESEAVVELKKLDADVIELKERLLERETELQNVTEENNALKMEIKRVELEKSKIPGEAVASAEAARAEALMKLDHITEEADKSNKRVTQVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAAAMISAGNNGKFVERTGSLDSSYNTISAKMSSPYSEDTDDDSPKKKNTNMLKKIGVLWKKNH >Vigun07g079900.4.v1.2 pep primary_assembly:ASM411807v1:7:11132146:11137203:-1 gene:Vigun07g079900.v1.2 transcript:Vigun07g079900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSEVPRKCPASPQTARKMKTPGSDTDSVSSSPKPASKTPKNKSPKVTERKSPRSPVSEKKKPSRVQELESQLAQLEEDLKRTKDQLNSSESWKKRAQQEAEEAKKQLLVMSKELEESQQQLLELSASEEERLQELRKISQDRDREWQSELEAVQKQHSMDSTALVSAMSEIQKLKIQLERVRESEAVHISNAESDNAEIEDLKMELDEALTLVEKLKSELSDCKESESRALEVVGKTQMQLESANKTVETVQLEGVKTLEAYKALALELEQSREQVKSLEELVSKLQTDLVAPANKNTSDPVNEVEHELAQKNAESEDISQLKAELVSAKSEAAQLKSALDVSEIRYQEEYIRSTLQIRSAFEQLEHVKSESSQRQIVLNEELKKAKADIEELRERLMDKESQLQGLSVDNEMLLSRIKQSQPSETESEAVVELKKLDADVIELKERLLERETELQNVTEENNALKMEIKRVELEKSKIPGEAVASAEAARAEALMKLDHITEEADKSNKRVTQVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAAAMISAGNNGKFVERTGSLDSSYNTISAKMSSPYSEDTDDDSPKKKNTNMLKKIGVLWKKNH >Vigun07g079900.1.v1.2 pep primary_assembly:ASM411807v1:7:11132146:11137203:-1 gene:Vigun07g079900.v1.2 transcript:Vigun07g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSEVPRKCPASPQTARKMKTPGSDTDSVSSSPKPASKTPKNKSPKVTERKSPRSPVSEKKKPSRVQELESQLAQLEEDLKRTKDQLNSSESWKKRAQQEAEEAKKQLLVMSKELEESQQQLLELSASEEERLQELRKISQDRDREWQSELEAVQKQHSMDSTALVSAMSEIQKLKIQLERVRESEAVHISNAESDNAEIEDLKMELDEALTLVEKLKSELSDCKESESRALEVVGKTQMQLESANKTVETVQLEGVKTLEAYKALALELEQSREQVKSLEELVSKLQTDLVAPANKNTSDPVNEVEHELAQKNAESEDISQLKAELVSAKSEAAQLKSALDVSEIRYQEEYIRSTLQIRSAFEQLEHVKSESSQRQIVLNEELKKAKADIEELRERLMDKESQLQGLSVDNEMLLSRIKQSQPSETESEAVVELKKLDADVIELKERLLERETELQNVTEENNALKMEIKRVELEKSKIPGEAVASAEAARAEALMKLDHITEEADKSNKRVTQVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAAAMISAGNNGKFVERTGSLDSSYNTISAKMSSPYSEDTDDDSPKKKNTNMLKKIGVLWKKNH >Vigun07g079900.3.v1.2 pep primary_assembly:ASM411807v1:7:11132146:11137203:-1 gene:Vigun07g079900.v1.2 transcript:Vigun07g079900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKASRAGSSEVPRKCPASPQTARKMKTPGSDTDSVSSSPKPASKTPKNKSPKVTERKSPRSPVSEKKKPSRVQELESQLAQLEEDLKRTKDQLNSSESWKKRAQQEAEEAKKQLLVMSKELEESQQQLLELSASEEERLQELRKISQDRDREWQSELEAVQKQHSMDSTALVSAMSEIQKLKIQLERVRESEAVHISNAESDNAEIEDLKMELDEALTLVEKLKSELSDCKESESRALEVVGKTQMQLESANKTVETVQLEGVKTLEAYKALALELEQSREQVKSLEELVSKLQTDLVAPANKNTSDPVNEVEHELAQKNAESEDISQLKAELVSAKSEAAQLKSALDVSEIRYQEEYIRSTLQIRSAFEQLEHVKSESSQRQIVLNEELKKAKADIEELRERLMDKESQLQGLSVDNEMLLSRIKQSQPSETESEAVVELKKLDADVIELKERLLERETELQNVTEENNALKMEIKRVELEKSKIPGEAVASAEAARAEALMKLDHITEEADKSNKRVTQVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAAAMISAGNNGKFVERTGSLDSSYNTISAKMSSPYSEDTDDDSPKKKNTNMLKKIGVLWKKNH >Vigun02g097000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25281476:25283889:-1 gene:Vigun02g097000.v1.2 transcript:Vigun02g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASVLCQSNLLSLPNNPPQNSELNAKFNDQGWLSLLKRCKSMEEFKQVHAQILKLGLFWDSFCGSNLVATCALSRWGSMEYACSIFRQIEEPGSFEYNTMIRANVNNMNLEKALLLYAEMLERGIEHDNFTYPFVLKACSLLGALKEGVQIHGQVFKAGLEDDTYVQNGLISMYGKCGEINHACDVFEQMDERSVASWSSIIGAHASVELWQDCLMLLGDMSSEGRHRAEESILVSTLSACTHLGSPDIGRCIHGILLRNISELNVVVKTSLIDMYVKCGHLEKGLWVFQNMAVKNKLSYTVMISGLAFHGRGREALRVFSEMVEEGLAPDDVVYVGVLSACSHAGLVKEGLQCFNSMQLVHKIKPTIQHYGCMVDLMGRAGMLKEAYDLIKGMQIKPNDVVWGSLLSACKVHLNLEIGEIAAENIFKLNQHNPGDYLVLASMYARAQKWTDVARIRTKMAEKHLVQTPGFSLVEANRKVHKFVSQDKSQPECDTIYDMIHQMEWQLKFEGYGPDTSQVLLDVDEEEKRERLKYHSQKLAIAFALIQTSEGSPIRISRNLRMCSDCHTYTKFISMIYEREISVRDRNRFHHFKDGTCSCKDYW >Vigun07g272400.1.v1.2 pep primary_assembly:ASM411807v1:7:38794024:38798096:1 gene:Vigun07g272400.v1.2 transcript:Vigun07g272400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNSHVLLIDLHYHPHVPTSALAYLRNSHFVSSLRRRPTRLRCTASPEIRRPSSDRFYGSSPSTSRPEDLSLFLELLPLKMRRELYRHREIGGLIEVVMDLGRKPLARFPSGDWVISEQPIEHEDLRHAISKVGEFSDDNRSGIDRSLHRISAIRNRKMQIIGLTCRVGRAVGGSAGIIRDLVENGGSILVIGPPGVGKTTLIREIARMLADEFRKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVNLQHNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIENIIKNPYLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFSCAVEMISKTECRVHHRLDATVDAILAGKPPLFEVRQWDDYAKDLENYAPVPEENLGETSDLTNNNITSSDSESGKGDKDHARTWSTKWSTRGPVMKRSSPMQVYTHKILEADLLQVSKVMGLEDVIDVTDDIGTADAILATGSEIRQNPWIRGVAKFHHVPVFVIKSNTMAQMVKAVRMILGLESFGRTTNKPLNDCLDIEIEDDEPKRKPSLEEIDALEEVRLAIEYIVIPGGEAVELLPRRSEIIALQLELVQSYQLAAEKSGTEQNPRLQILPLRLNTKKPSKSTTSVARKKTSPTSASTRGTGSVTRLPILPE >Vigun08g050000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5691243:5692475:1 gene:Vigun08g050000.v1.2 transcript:Vigun08g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSKKEAHFCDRPSFFSSCGAEAPPSPSTPLQHPQEKKQVSVEEEEEENKESKHGTILDLNVSGDDSCSAEGLELNLITCLDVGSSSIDANSSAEAPLASDAAEPRVFSCNYCHRKFYSSQALGGHQNAHKRERSIAKRGHRFGSQIMAFGLPLLHNNNHYASMASLPLYGASNTRGGPLGIQAHSMIQKPSHHHHHHHVSGFGNSYSHHHGWSRPIIDQQPGIAKLPVPDFHRTKSAFSASQTSVGRFEMANTLINSSANKEIGGCVASAETRFKSNNQEEMKHLDLSLKL >Vigun03g094900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8032045:8032320:-1 gene:Vigun03g094900.v1.2 transcript:Vigun03g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSKALFLVLLLLLCHPFCGLGEDWSTRRLSSRHKVIPSCGELVLKSQCSENSKCRWCTSEDLDDMCFSKSEALRLPHQVFSCAISEIR >Vigun07g044400.1.v1.2 pep primary_assembly:ASM411807v1:7:4497347:4500364:-1 gene:Vigun07g044400.v1.2 transcript:Vigun07g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKIHLFSSFLLCSTFLCFLHCTLSLLNPEDEAGILVQADDVRMVQSNRDSKKMCDFSVGKWVYDDSYPLYDSNCPYLSSVVTCQKNGRPDSDYQKWKWKPTGCALPRFDALRFLGRMRRKRIMLVGDSMMRNQWESLVCLVQGVIPTGRKRVTYKGPAMAFHALDFETSIEFFWAPLLVELKKGSENKRILHLDLIEENARYWRGVDILVFDSAHWWTHSDQTSSWDYYMEGKNLIRNMSAMVAYQRGLSTWARWVDQNLDPLRTQVIFRSMSSRHNRENGWKCHNEKQPLPFFSHLHVPEPLVVLQEVLKRMRFPVYLQDITTMTELRRDGHPSVYMRVIGQDERQKQGKGLSSDCSHWCLPGVPDIWNEMLSALL >Vigun03g267200.3.v1.2 pep primary_assembly:ASM411807v1:3:43753269:43756633:-1 gene:Vigun03g267200.v1.2 transcript:Vigun03g267200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRQGQNQLENDSSKQDTKVNELRAALGPLSGRRLLYCTDACLRRYLEARNWNVDKAKKMLEETLKWRATYKPEEIRWAEVAHEGETGKVSRASFRDRLGRTVLIMRPGMQNTTSAEDNIRHLVYLLENAILNLPEGQEQMSWLIDYTGLSLNTNISVKTARDIIHILQNHYPERLAIAFLYNPPRIFQAFWKAVKFFLDPKTVQKVKFVYPNNKDSVELMQSLFDLENLPSEFGGKTSLMYDHEEFSRLMSEDDVKTAKFWGLDQKPFNLPKKGHAGAEVAPEPVSVQTVVN >Vigun03g267200.2.v1.2 pep primary_assembly:ASM411807v1:3:43753269:43757109:-1 gene:Vigun03g267200.v1.2 transcript:Vigun03g267200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRQGQNQLENDSSKQDTKVNELRAALGPLSGRRLLYCTDACLRRYLEARNWNVDKAKKMLEETLKWRATYKPEEIRWAEVAHEGETGKVSRASFRDRLGRTVLIMRPGMQNTTSAEDNIRHLVYLLENAILNLPEGQEQMSWLIDYTGLSLNTNISVKTARDIIHILQNHYPERLAIAFLYNPPRIFQAFWKAVKFFLDPKTVQKVKFVYPNNKDSVELMQSLFDLENLPSEFGGKTSLMYDHEEFSRLMSEDDVKTAKFWGLDQKPFNLPKKGHAGAEVAPEPVSVQTVVN >Vigun03g267200.1.v1.2 pep primary_assembly:ASM411807v1:3:43753269:43757109:-1 gene:Vigun03g267200.v1.2 transcript:Vigun03g267200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRQGQNQLENDSSKQDTKVNELRAALGPLSGRRLLYCTDACLRRYLEARNWNVDKAKKMLEETLKWRATYKPEEIRWAEVAHEGETGKVSRASFRDRLGRTVLIMRPGMQNTTSAEDNIRHLVYLLENAILNLPEGQEQMSWLIDYTGLSLNTNISVKTARDIIHILQNHYPERLAIAFLYNPPRIFQAFWKAVKFFLDPKTVQKVKFVYPNNKDSVELMQSLFDLENLPSEFGGKTSLMYDHEEFSRLMSEDDVKTAKFWGLDQKPFNLPKKGHAGAEVAPEPVSVQTVVN >Vigun07g107900.1.v1.2 pep primary_assembly:ASM411807v1:7:19904934:19916423:1 gene:Vigun07g107900.v1.2 transcript:Vigun07g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALLRRKIIITRTTLSHSHVCARAFSNADSNSHRIEKILVANRGEIACRIMRTARRLGIQTVAVYSDADRDSLHVASADEAIRIGPPPARLSYLNGTTIVDAAIRSGAQAIHPGYGFLSESAVFAKLCEDSGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDDQDIERMKLEADKIGYPVLIKPTHGGGGKGMRIVNTPEEFVESFLAAQREAAASFGVNTILLEKYITRPRHIEVQIFGDKHGNVLHLNERDCSVQRRHQKIIEEAPAPNISADFRAHLGQAAVSAAKAVNYYSAGTVEFIVDTVSDEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQILVANGETLPLSQSQVPISGHAFEARIYAENVQKGFLPATGVLHHYHVPVSSEVRLETGVRQGDTVSMHYDPMIAKLVVWGDNRASALVKLKDSLSKFQVAGLPTNVNFILKLANHGAFANGNVETHFIDNYKEDLFGDANNSVSVKEAYEAARHNASLVAACLIEKEHFISARNPPGGSSLLPIWYSSPPFRVHHQAKRKMELEWENEYDSGSSKTMMLTITCQPAGRYLIETEENGYPVLDVKASYVKDRHFRVEAGGVINDVNVAVYSKDQIRHIHIWQGSHHHYFKEKLGLDLSEDGELHDKPKVETSANPRGTVVAPMAGLVVKVLVENKTRVEEGQPVLVLEAMKMEHVVKAPSSGYVHELQVTVGEQVSDGSVLFSVKDQ >Vigun07g107900.3.v1.2 pep primary_assembly:ASM411807v1:7:19909434:19916423:1 gene:Vigun07g107900.v1.2 transcript:Vigun07g107900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTRLQVEHPVTEMIVGQDLVEWQILVANGETLPLSQSQVPISGHAFEARIYAENVQKGFLPATGVLHHYHVPVSSEVRLETGVRQGDTVSMHYDPMIAKLVVWGDNRASALVKLKDSLSKFQVAGLPTNVNFILKLANHGAFANGNVETHFIDNYKEDLFGDANNSVSVKEAYEAARHNASLVAACLIEKEHFISARNPPGGSSLLPIWYSSPPFRVHHQAKRKMELEWENEYDSGSSKTMMLTITCQPAGRYLIETEENGYPVLDVKASYVKDRHFRVEAGGVINDVNVAVYSKDQIRHIHIWQGSHHHYFKEKLGLDLSEDGELHDKPKVETSANPRGTVVAPMAGLVVKVLVENKTRVEEGQPVLVLEAMKMEHVVKAPSSGYVHELQVTVGEQVSDGSVLFSVKDQ >Vigun07g107900.2.v1.2 pep primary_assembly:ASM411807v1:7:19904934:19916423:1 gene:Vigun07g107900.v1.2 transcript:Vigun07g107900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEERCFRSRHSQGMRIVNTPEEFVESFLAAQREAAASFGVNTILLEKYITRPRHIEVQIFGDKHGNVLHLNERDCSVQRRHQKIIEEAPAPNISADFRAHLGQAAVSAAKAVNYYSAGTVEFIVDTVSDEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQILVANGETLPLSQSQVPISGHAFEARIYAENVQKGFLPATGVLHHYHVPVSSEVRLETGVRQGDTVSMHYDPMIAKLVVWGDNRASALVKLKDSLSKFQVAGLPTNVNFILKLANHGAFANGNVETHFIDNYKEDLFGDANNSVSVKEAYEAARHNASLVAACLIEKEHFISARNPPGGSSLLPIWYSSPPFRVHHQAKRKMELEWENEYDSGSSKTMMLTITCQPAGRYLIETEENGYPVLDVKASYVKDRHFRVEAGGVINDVNVAVYSKDQIRHIHIWQGSHHHYFKEKLGLDLSEDGELHDKPKVETSANPRGTVVAPMAGLVVKVLVENKTRVEEGQPVLVLEAMKMEHVVKAPSSGYVHELQVTVGEQVSDGSVLFSVKDQ >Vigun10g016800.1.v1.2 pep primary_assembly:ASM411807v1:10:1885597:1891011:1 gene:Vigun10g016800.v1.2 transcript:Vigun10g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYYFKDKSRNGRQRSAPELKEKEKLDFSGAERVTKSSSSSASPRGIPELYEEKGQNLRVFSLSELKRATSDFNRLFKIGEGGFGSVFKGSVQPADGNGNPVVVAIKRLNKDALQGHKQWLTEVQFLGVVEHPNLVKLIGYCALDDERGIQRLLVYEYMPNKSLEFHLFNKAYDPLPWKTRLEIALGAAQGLTYLHEELEIQVIYRDFKSSNILLDEEYKPKLSDFGLAREGPVAGDTHVSTAVMGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEMLTGRRSMERNRPKSEKKLLEWVKQYPPDSKKFETIIDQRLQGEYSKNGARKLAKLADHCLRKSAKDRPTMSQVVERLKQIMEDSDEADDRSVEVSENGEAEAEVKGNQLGSSELWKKRMEHLAKLGERVESASRKRFMILQRANVSS >Vigun10g016800.3.v1.2 pep primary_assembly:ASM411807v1:10:1885630:1890950:1 gene:Vigun10g016800.v1.2 transcript:Vigun10g016800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYYFKDKSRNGRQRSAPELKEKEKLDFSGAERVTKSSSSSASPRGIPELYEEKGQNLRVFSLSELKRATSDFNRLFKIGEGGFGSVFKGSVQPADGNGNPVVVAIKRLNKDALQGHKQWLTEVQFLGVVEHPNLVKLIGYCALDDERGIQRLLVYEYMPNKSLEFHLFNKAYDPLPWKTRLEIALGAAQGLTYLHEELEIQVIYRDFKSSNILLDEEYKPKLSDFGLAREGPVAGDTHVSTAVMGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEMLTGRRSMERNRPKSEKKLLEWVKQYPPDSKKFETIIDQRLQGEYSKNGARKLAKLADHCLRKSAKDRPTMSQVVERLKQIMEDSDEADDRSVEVSENGEAEAEVKGNQLGSSELWKKRMEHLAKLGERVESASRKRFMILQRANVSS >Vigun10g016800.2.v1.2 pep primary_assembly:ASM411807v1:10:1885630:1890950:1 gene:Vigun10g016800.v1.2 transcript:Vigun10g016800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYYFKDKSRNGRQRSAPELKEKEKLDFSGAERVTKSSSSSASPRGIPELYEEKGQNLRVFSLSELKRATSDFNRLFKIGEGGFGSVFKGSVQPADGNGNPVVVAIKRLNKDALQGHKQWLTEVQFLGVVEHPNLVKLIGYCALDDERGIQRLLVYEYMPNKSLEFHLFNKAYDPLPWKTRLEIALGAAQGLTYLHEELEIQVIYRDFKSSNILLDEEYKPKLSDFGLAREGPVAGDTHVSTAVMGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEMLTGRRSMERNRPKSEKKLLEWVKQYPPDSKKFETIIDQRLQGEYSKNGARKLAKLADHCLRKSAKDRPTMSQVVERLKQIMEDSDEADDRSVEVSENGEAEAEVKGNQLGSSELWKKRMEHLAKLGERVESASRKRFMILQRANVSS >Vigun06g030400.1.v1.2 pep primary_assembly:ASM411807v1:6:13369096:13374521:-1 gene:Vigun06g030400.v1.2 transcript:Vigun06g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSFSFVPFCMLLFLVCLAGPVCVSSLQLSLRRQASILVSMKQDFGAANSSLRSWDMSNYLSLCSWYGIECDHENRSVVSIDISDMNVSGSVSAAITGLLSLESVSLQGNGFSGEFPSEIHKLPRLRFLNMSANMFSGNLSWKFSQLKELEVVDAYDNSFNGSLPLGVTHLPKIKHLNFGGNYFSGEIPPSYGNMWQLKYLSLAGNDLRGFIPSGLGNLTNLTHLCLGYYNQFDGGIPPEFGKLTNLVHLDIANCSLTGPIPVELGNLKKLDTLYLQTNQLSGSIPPQLGNLTRLKALDLSFNMLTGGIPDEFSALQELTVLNLFINKLHGEIPHFISELPNLENLKLWQNNFTGVIPSNLGQNGRLLELDLSTNKLTGLVPKSLCLGKRLKILILLKNFLFGSLPEDLGQCHTLQRVRLGQNYLTGPLPHGFLYLPELSLVELQNNYLSGGFPQSTSSTPSKLAQLNLSNNRFSGFLPASISNFPNMQILLLSENRFSGEIPPVIGRLKSILKFDISMNNFSGTIPPELGNCVLLTYLDFSQNQLSGPIPVQIAEIHILNYLNVSWNHLNQSLPKELRTMKGLTTADFSHNNFSGSIPEGGQFSIFNSTSFVGNPHLCGYDSKPCNLSSTSTLESQEKKSAKHGVPGKFKFLFALALLGCSLVFATLAIIKSRKTRRHSNSWKLTAFQKLEYGSEDIIGCIKESNVIGRGGSGVVYRGTMPNGEEVAVKKLLGINKGSSHDNGLSAEIKTLGRIRHRYIVRLLAFCSNRETNLLVYDYMPNGSLGEVLHGKRGEFLKWDTRLKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSDFEAHVADFGLAKFMQDNGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGNFGEDGLDIVQWIMMQTNGNKEMVMKIIDERLHHIPLAEAKQVFFVAKLCVHEHSVERPTMREVVEMLAQAKQPNTFQMQ >Vigun01g158900.1.v1.2 pep primary_assembly:ASM411807v1:1:34073497:34079858:1 gene:Vigun01g158900.v1.2 transcript:Vigun01g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSNGIMTQSSSSGATQSPGLKTYFKTLEGRYKLQYEKTHPSGLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSFSASSGVRSAAARLLGGSNGSRALSFVGGNGSSKNNGGNSRIGSIGASSTSSSMANPNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDSKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGALHYNKDGSVNNSRCTCIAWVPGGDGAFVVSHSDGNLYVYEKNKDGAADSSFPVVKDQTQFSVAHARYSKSNPIARWHICQGSINSISFSMDGAYLATVGRDGYLRVFDYSKEQLICGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHGSWVSGVAFDSYWSSPNSNDNGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTYSTGSQSSHWDNVVPLGSLQPAPSMRDVPKISPLVAHRVHTEPLSGLIFTQESVLTACREGHIKVWMRPGLAADTQSTNSENLLATSLKDKPSLSTKITNSPYKQ >Vigun02g107400.2.v1.2 pep primary_assembly:ASM411807v1:2:26124137:26128744:1 gene:Vigun02g107400.v1.2 transcript:Vigun02g107400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVPVLGHHDDGELGLEKTRVVVAEKVKVRVGDAYHRDSGVDHYVTHENHYACYYNTYGYDCAENRRQPDQRVCVTTMMSVYQSSSMVRVVALVRAVKLPISLSLSFSRSDQWHNTRFTTMKLSQALVRTPSPTSPPLIRTQNSILTSNGIRRVFVFGCQSLRIPSCACSNSGSAVSSPAANPSSPPHVASKSGYDSVVTQEQSEKLEALEEGIEKAIYRCRFMAILGVFGSLMGSFLCFIKGCVFVTSSFMQYFVNRTKVIQTLIEAIDVYLLGTVMLVFGMGLYELFVSNLGTASSLPGQKPSDRSSLFGLFTLKERPKWLNIKTVDELKTKLGHVIVMLLLIGLFDKSKKASIQTPVDLLCFCVSVLLSSSCLFLLSRLS >Vigun04g186100.1.v1.2 pep primary_assembly:ASM411807v1:4:41095214:41096509:-1 gene:Vigun04g186100.v1.2 transcript:Vigun04g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISSLPDSILCDILSSLPTKEVVATSVLSKRWIHLWRSVPSFYFDYNPIYSCDYDKYKEAYTHFLQSVDSFLLSRDREQPLHRFRLTFHCILEHTLSIKTWITAAVSGRVQHLDIYCHRGIVMPTVFSCKTLVVLKLNLITVEDFSFADLPLLKVLYLNSVSLPRYLDLSQILSGCPNLEDLEVMGLACETKGKFNRLTKLVRASIHEILLPLEIFKDVEVLFFDWVMHMYQRNVDLDFDFQNLVQLELVLQASEDWLWVLKVLEHCPKLQSLVICIFLPIFLAGYEQYAWPYPQTVPACISSHLKTCCLKKYSGSIDEFQFARYILENAKYLRTMKICSIGEKNDMIRELSSCMKSSDTCALYFE >Vigun01g160300.1.v1.2 pep primary_assembly:ASM411807v1:1:34211884:34215048:1 gene:Vigun01g160300.v1.2 transcript:Vigun01g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLTNGHQNGSFCMTSTKSSDPLNWGTAAEALKGSHLDEVKRMVAEYRQPLVHLGGETLTIAQVAAVSAHDQGVRVELSESAREGVKASSEWVMNSMNNGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPSGEVLNAKQAFESAHINSEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKDAKKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISARKTNESIEILKLMSSSFLMALCQAIDLRHLEENLKSSVKNTVSQVSKRTLTTGGNGELHSSRFCEKDLLKVVDREYVFSYIDDACSGTYPLMQKLRQVLVDHALANAENEKDVNTSIFQKIATFEEELKTILPKEVESARAAYESGKAAIPNKIKECRSYPLYKFVREELGTGLLTGEKVKSPGEEFDKLFTAICQGKIIDPLLECLGEWNGAPLPIC >Vigun08g225101.1.v1.2 pep primary_assembly:ASM411807v1:8:38328626:38342078:1 gene:Vigun08g225101.v1.2 transcript:Vigun08g225101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RVLGFGIWGLGFGVWGFGFWDLGFGVWGLGLFGVSGLGFRVSGSGFQISGFGFRVSGLGFGFGFGVSGVGFGVSCVGFGVSGFGIWGFGVSGFGFWVLGFGVWV >Vigun06g028550.1.v1.2 pep primary_assembly:ASM411807v1:6:12646170:12648126:1 gene:Vigun06g028550.v1.2 transcript:Vigun06g028550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSIKQGNGFQQNQDGKNFKNMTKKICVHCGKNGHTIDVYYKKHGFPPGFKFSNSKANSIKMKEVQQEGNQDTGHQEQDFRLISQKYQALMSLLQNSNKEGFVPDSHVNKINTISSYLA >Vigun02g070700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22145972:22147595:1 gene:Vigun02g070700.v1.2 transcript:Vigun02g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQQRCCFNFLLTTFVVVFLSTTLSPVSARLFPNVSSIPEWNSSHVPPAGAWNAYLNFTGCSRGKAYDGLSNLKNYFHYFGYIPTAPPSNFTDDFDDELEEAIKTYQKNFNLNITGELDDATMQQIVRPRCGVADVINGTTTMNSGKSNTSSTPNFHTVAHYSFFDGMPRWPEGTEELTYAFDPDNGLGDTVKGVFSNAFKRWAEVTTISFREASSYAVADIKIGFYSGDHGDGEPFDGVLGTLAHAFSPTNGMFHLDQAEDWVATGDVTKASVSNAVDLESVAVHEIGHLLGLGHSSIEEAIMYPTISARTRKVDLAQDDVEGIQVLYGSNPNYTFTPSTSSRENESSDGVRHVRTTCGVLFSSLLIFLGFVSLL >Vigun08g176800.2.v1.2 pep primary_assembly:ASM411807v1:8:34703387:34710995:-1 gene:Vigun08g176800.v1.2 transcript:Vigun08g176800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTDAIQIVLNASKRLPPLTVPLHDALGKVLAQDVRAPDPHPPYAASVKDGYAVIAADGPGEYPVVAESRAGDDALGVTLSPGTVAYVTTGGPIPEGADAVVQVENTELVNDASDGTKRVRILVQTTKGNDIRPVGVDIEKDAIVLTSGERLGASEIGLLATVGVTMVKVYPAPTVAVLSTGDELVEPTTGHLSRGQIRDSNRAMLLAAAVQFQCKVLDLGIAKDDEECLGRILDNAFTSGINILITSGGVSMGDKDFIKPLLEKRGKIHFDKVFIKPGKPFTFAEIDFQSSERKILAFGLPGNPASSLVCFHLFVVPAIRLLAGWTDPHHLRVHARLHQPIKTDSFRPEYHRASVVWTDNDGTGNPGFVAVSTGHQVSSRLLSMKSANALLEFPATGRVVPAGTAVSAIIISDLRNMAFDENHMSSVSDTVAMGGGPDRSGPRAVSVINSSSEKLGGARVVATAVVPDNVAKIQDILRRWSDIEQMDLIITLGGTGFTPRDLTPEATKPLIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMGALLPALKHGLKQIRGDKKEKHPRHVPHVDAVPADVWEQSYRLATADDVSCSCCN >Vigun08g176800.3.v1.2 pep primary_assembly:ASM411807v1:8:34704256:34710995:-1 gene:Vigun08g176800.v1.2 transcript:Vigun08g176800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTDAIQIVLNASKRLPPLTVPLHDALGKVLAQDVRAPDPHPPYAASVKDGYAVIAADGPGEYPVVAESRAGDDALGVTLSPGTVAYVTTGGPIPEGADAVVQVENTELVNDASDGTKRVRILVQTTKGNDIRPVGVDIEKDAIVLTSGERLGASEIGLLATVGVTMVKVYPAPTVAVLSTGDELVEPTTGHLSRGQIRDSNRAMLLAAAVQFQCKVLDLGIAKDDEECLGRILDNAFTSGINILITSGGVSMGDKDFIKPLLEKRGKIHFDKVFIKPGKPFTFAEIDFQSSERKILAFGLPGNPASSLVCFHLFVVPAIRLLAGWTDPHHLRVHARLHQPIKTDSFRPEYHRASVVWTDNDGTGNPGFVAVSTGHQVSSRLLSMKSANALLEFPATGRVVPAGTAVSAIIISDLRNMAFDENHMSSGAAFALPGIKSQKVTTDSSGDADVRVAILTVSDTVAMGGGPDRSGPRAVSVINSSSEKLGGARVVATAVVPDNVAKIQDILRRWSDIEQMDLIITLGGTGFTPRDLTPEATKPLIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLVREKCQSY >Vigun08g176800.1.v1.2 pep primary_assembly:ASM411807v1:8:34703387:34710995:-1 gene:Vigun08g176800.v1.2 transcript:Vigun08g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTDAIQIVLNASKRLPPLTVPLHDALGKVLAQDVRAPDPHPPYAASVKDGYAVIAADGPGEYPVVAESRAGDDALGVTLSPGTVAYVTTGGPIPEGADAVVQVENTELVNDASDGTKRVRILVQTTKGNDIRPVGVDIEKDAIVLTSGERLGASEIGLLATVGVTMVKVYPAPTVAVLSTGDELVEPTTGHLSRGQIRDSNRAMLLAAAVQFQCKVLDLGIAKDDEECLGRILDNAFTSGINILITSGGVSMGDKDFIKPLLEKRGKIHFDKVFIKPGKPFTFAEIDFQSSERKILAFGLPGNPASSLVCFHLFVVPAIRLLAGWTDPHHLRVHARLHQPIKTDSFRPEYHRASVVWTDNDGTGNPGFVAVSTGHQVSSRLLSMKSANALLEFPATGRVVPAGTAVSAIIISDLRNMAFDENHMSSGAAFALPGIKSQKVTTDSSGDADVRVAILTVSDTVAMGGGPDRSGPRAVSVINSSSEKLGGARVVATAVVPDNVAKIQDILRRWSDIEQMDLIITLGGTGFTPRDLTPEATKPLIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMGALLPALKHGLKQIRGDKKEKHPRHVPHVDAVPADVWEQSYRLATADDVSCSCCN >Vigun07g036000.2.v1.2 pep primary_assembly:ASM411807v1:7:3452536:3456542:1 gene:Vigun07g036000.v1.2 transcript:Vigun07g036000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSTPFVGLLLLFCLVSSSEAEYLKYKDPKVPLNVRISDLMKRMSLEEKIGQMTQIEREVATPDVIKKYFIGSVLSGGGSVPAPKASAETWQKMVNEMQKAALSTRLAIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPVLIKKIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDYRIVRTMTEIIPGLQGDIPANSVKGVPFVAGKNKVAACAKHYVGDGGTYKGINENNTLISYNGLLGIHMPAYYNSIIKGVSTVMISYSSWNGVKMHANRKLITDYLKNKLRFRGFVISDWQGIDRITSPPHANYSYSVQAGVSAGIDMIMVPFNYTEFIDELTRQVKNNIIPMSRIDDAVARILRVKFVMGLFENPLPDPSLLNQLGKQEHRELAREAVRKSLVLLKNGKSSKKPLLPLPKKSAKILVAGSHADNLGYQCGGWTIAWQGLSDKNLTSGTTILSAVKQTVDPATEVVFNENPDVNFVKSNKFSYAIVVVGEHNYAETFGDSLNLTIPEPGPSTITNVCGAIRCVVVVISGRPVVIQPYLSKIDALVAAWLPGTEGQGVADVLYGDYEFTGKLARTWFKRVDQLPMNVGDKHYDPLFPFGFGLTTNLTKY >Vigun07g036000.1.v1.2 pep primary_assembly:ASM411807v1:7:3452344:3456658:1 gene:Vigun07g036000.v1.2 transcript:Vigun07g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSTPFVGLLLLFCLVSSSEAEYLKYKDPKVPLNVRISDLMKRMSLEEKIGQMTQIEREVATPDVIKKYFIGSVLSGGGSVPAPKASAETWQKMVNEMQKAALSTRLAIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPVLIKKIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDYRIVRTMTEIIPGLQGDIPANSVKGVPFVAGKNKVAACAKHYVGDGGTYKGINENNTLISYNGLLGIHMPAYYNSIIKGVSTVMISYSSWNGVKMHANRKLITDYLKNKLRFRGFVISDWQGIDRITSPPHANYSYSVQAGVSAGIDMIMVPFNYTEFIDELTRQVKNNIIPMSRIDDAVARILRVKFVMGLFENPLPDPSLLNQLGKQEHRELAREAVRKSLVLLKNGKSSKKPLLPLPKKSAKILVAGSHADNLGYQCGGWTIAWQGLSDKNLTSGTTILSAVKQTVDPATEVVFNENPDVNFVKSNKFSYAIVVVGEHNYAETFGDSLNLTIPEPGPSTITNVCGAIRCVVVVISGRPVVIQPYLSKIDALVAAWLPGTEGQGVADVLYGDYEFTGKLARTWFKRVDQLPMNVGDKHYDPLFPFGFGLTTNLTKY >Vigun09g041200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3789147:3789725:1 gene:Vigun09g041200.v1.2 transcript:Vigun09g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLDSNVEALAFNYLSFGLLTALNSLWTWLALLTAALSFWKIRSAGYPRPRPTSKPMPETRPSAEPARQTPNKEKTQPTREAVNANGGAEEDVDGLTKGKFTVYYDGHVQCTCDSESENEGCGERERSGTEWWERWERLLRLRNGESENGWYTWQDVTELNGNVVRLWDGGLTGSFARESWYNSSNCIHVW >Vigun10g048850.1.v1.2 pep primary_assembly:ASM411807v1:10:7518089:7519388:1 gene:Vigun10g048850.v1.2 transcript:Vigun10g048850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVGSKGGPSSSSSSAQVGSSQFGRKVCGCGNQLLLLKATTIKNNDRFFFRCRNWATESHCNYFRWVDAVEGEVERKPEMEEGENENLSGSETMILQLVQKNAKLKKKLSAERKMGEIKLFLFSFCLGVLLSYFVFCFC >Vigun10g062401.1.v1.2 pep primary_assembly:ASM411807v1:10:13150746:13151832:1 gene:Vigun10g062401.v1.2 transcript:Vigun10g062401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVKKPKSQTISSSSSRTIVRLLPLASVSSFHRVTVALATPTRITTVVSSLLCRLYCCHSQFLVSSLHHMFCLKHLDGKTLTPRDGNMFSFVRLLLRLKGGKGGFRWRKVERVEGPRRAEKR >Vigun01g224700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39771097:39774268:1 gene:Vigun01g224700.v1.2 transcript:Vigun01g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPSKSVDLDLTIVAAKHLKNVNWKNGDLKPYVVFWVDPERRLATKSDDSGNTSPVWNERFALPLPLPLHDSFLTLEIFHSKPSDTLKPLVATLRLPLKDLHDLHDSTRLRKFPLSRPSGRPHGKIHLKLGLLGRPQFQSLDYLNPNPNPNPNPNPNPSPNPNPNPNHNPNFVFYRGYSHSPSPPPSPYPSYPSYPDSYNSSYYPGYYSGAPPPPPPRPFFDRYAGPSGPSAPLDYSTSYDPKPRPSKMGLGAGLAVGAVAGALGGLALEEGLKYEEDKIAERVENDVAASIARDDYSDYRVDY >Vigun01g224700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39771097:39774132:1 gene:Vigun01g224700.v1.2 transcript:Vigun01g224700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPSKSVDLDLTIVAAKHLKNVNWKNGDLKPYVVFWVDPERRLATKSDDSGNTSPVWNERFALPLPLPLHDSFLTLEIFHSKPSDTLKPLVATLRLPLKDLHDLHDSTRLRKFPLSRPSGRPHGKIHLKLGLLGRPQFQSLDYLNPNPNPNPNPNPNPSPNPNPNPNHNPNFVFYRGYSHSPSPPPSPYPSYPSYPDSYNSSYYPGYYSGAPPPPPPRPFFDRYAGPSGPSAPLDYSTSYDPKPRPSKMGLGAGLAVGAVAGALGGLALEEGLKYEEDKIAERVENDVAASIARDDYSDYRVDY >Vigun01g224700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39771097:39773783:1 gene:Vigun01g224700.v1.2 transcript:Vigun01g224700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPSKSVDLDLTIVAAKHLKNVNWKNGDLKPYVVFWVDPERRLATKSDDSGNTSPVWNERFALPLPLPLHDSFLTLEIFHSKPSDTLKPLVATLRLPLKDLHDLHDSTRLRKFPLSRPSGRPHGKIHLKLGLLGRPQFQSLDYLNPNPNPNPNPNPNPSPNPNPNPNHNPNFVFYRGYSHSPSPPPSPYPSYPSYPDSYNSSYYPGYYSGAPPPPPPRPFFDRYAGPSGPSAPLDYSTSYDPKPRPSKMGLGAGLAVGAVAGALGGLALEEGLKYEEDKIAERVENDVAASIARDDYSDYRVDY >Vigun01g224700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39771097:39772878:1 gene:Vigun01g224700.v1.2 transcript:Vigun01g224700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPSKSVDLDLTIVAAKHLKNVNWKNGDLKPYVVFWVDPERRLATKSDDSGNTSPVWNERFALPLPLPLHDSFLTLEIFHSKPSDTLKPLVATLRLPLKDLHDLHDSTRLRKFPLSRPSGRPHGKIHLKLGLLGRPQFQSLDYLNPNPNPNPNPNPNPSPNPNPNPNHNPNFVFYRGYSHSPSPPPSPYPSYPSYPDSYNSSYYPGYYSGAPPPPPPRPFFDRYAGPSGPSAPLDYSTSYDPKPRPSKMGLGAGLAVGAVAGALGGLALEEGLKYEEDKIAERVENDVAASIARDDYSDYRVDY >Vigun02g197800.1.v1.2 pep primary_assembly:ASM411807v1:2:33288929:33290935:-1 gene:Vigun02g197800.v1.2 transcript:Vigun02g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLQGALISYHSRTTKFIDKKERAASVVARAEITHQTEFPPLSDTYVPPPTPSLIDNNAFETFQGEELPISASGKFGRFGGKFVHEHLVAYFSQLEVEFENALGDHTFQAELAEALRDYAGRETPLYHAQRLSEYYKSRNNGTGPDIYLKREDLNHGGSHKMNNALAQAMIAKRMGCKSVVTATGSGHHGLATAAACAKLALECTVFMAAKDIERQYSNVRLMNLLGAEVEAVDGGFRDAASDAFRCWVGDLENKYHLTGSAVGPHPCPSMVREFQSVIGKETRTQALEKWGGKPDVLVACVGTGSNALGLFHEFVDDEDVRLIGVEGGGLGLEGGKHSSTLSKGEVGVYHGAISYLLQDQHGQIIHPHSIAAGMEYPGVGPELSFLKESGRAEFCAATDEEALDAYENLCRLEGIFPSLEAAHALGILEKLAPTLCDGSKVVVNCSGSGDKDASIVFGRRFLEKLRKRVVKTSTT >Vigun01g225700.1.v1.2 pep primary_assembly:ASM411807v1:1:39858184:39860150:-1 gene:Vigun01g225700.v1.2 transcript:Vigun01g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLTKAQKKIAYDAKLCRLLDNYNQILVVAADNVGSNQLQNIRQGLRGDSIVLMGKNTMMKRSIKLHAESTGNKAFLNLVPLLVGNVGLIFTKGDVKEVSEVVAKYKVGAPARVGLIAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGEKVGSSEAALLSKLAIRPFSYGLVVVSVYDNGSVFSPAVLDLTDDDLLNMFADGISMLSSLSLAISYPTIAAAPHMFVNSYKNVLAVAVATEYSFPQADEVKEYLKDPSKFAVAAVAAPATDSAPAAASKEEEKKEEPEESDDDMGFSLFD >Vigun09g032800.1.v1.2 pep primary_assembly:ASM411807v1:9:2800455:2802390:-1 gene:Vigun09g032800.v1.2 transcript:Vigun09g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPMIPTYRYSVVSSPMSIDLNEDQDHTHTLFSTIHQASSSSSSLSTSVLFNPDQDQGRFCYCESKHLQEAQKIVCFSGSWDNPAEKIENGSDLKLRLWKKEECDGLLGEDSSTNWMPPKMRMVRKIMVSDQTVSHIADISNSKQIKAKEKNPTLSQLVTDDSNYNSSSNKNSATARVCVDCHTSKTPLWRTGPTGPKSLCNACGIRQRKARRAIAAAAATTTGNGTNRSEAKKSEARKGKKLRSKGKKSKTGGAPVPLKKKHKPAKHRKKFGAFEDLSVRFQQVFPQDEKEAAILLMALSHGLLHGFPSDRYLS >Vigun06g026600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12028265:12029394:-1 gene:Vigun06g026600.v1.2 transcript:Vigun06g026600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >Vigun06g026600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12028632:12028805:-1 gene:Vigun06g026600.v1.2 transcript:Vigun06g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >VigunL014614.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:261196:261538:-1 gene:VigunL014614.v1.2 transcript:VigunL014614.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLEQLGGKIEADNSQIRSRKGKRVVILTNKDKFFKKYKTYRDRGDTENTEQKNELALRP >Vigun03g224100.1.v1.2 pep primary_assembly:ASM411807v1:3:37207447:37209200:1 gene:Vigun03g224100.v1.2 transcript:Vigun03g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCSNESNVKKGPWTPEEDEKLMDYISKHGRGTWRTLPKHAGLNRNGKSCRLRWENYLRPDIKRGKLTEEEEQLIINLHSVLGNKWSKIATSLPGRTDNEIKNYWNTTIRKKLLHMGIDPDTHRPRTDLNHLMNLSQLLGMSMNPWTNPTGLQADVTQLAKLQVLQNMLQLMNNTSLISMSNQILNPALDTFLNGTNTFQARDPMFRGSEYPNPTTGNNISDLFSQTPSDYSQQNFFKSGIEKENETNHQEVPSDTQNINTSQQNQAENLLPPLVVASCPRTTTFDKMESNYNAAQKSTESPFSTNFEAWEKFLIEDDEASGSYWKEILDLTSTSASPVS >Vigun08g179400.2.v1.2 pep primary_assembly:ASM411807v1:8:34928270:34931130:-1 gene:Vigun08g179400.v1.2 transcript:Vigun08g179400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKMRHTCSRRKSKSKSKSKAQAKSKSLRQMLTSCGGNKCCF >Vigun08g179400.1.v1.2 pep primary_assembly:ASM411807v1:8:34928270:34931170:-1 gene:Vigun08g179400.v1.2 transcript:Vigun08g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Vigun08g090050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:20880525:20881501:-1 gene:Vigun08g090050.v1.2 transcript:Vigun08g090050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLVIFNYHLSSPNFNAYIYAVLTCRCAANYLILGDNSGRFCFAFTGTFYYWEHSKGFQVVFDLASSRIGFASNRVIVMVCDASWGFWNNYFPLFSFTSLFDY >Vigun04g018200.1.v1.2 pep primary_assembly:ASM411807v1:4:1344546:1350596:1 gene:Vigun04g018200.v1.2 transcript:Vigun04g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLHAPSSPHLSIQTSKPPHLTWGLLPSVSVNSSPFSTLHVSLHSQKDQRLLWAPHRRFTSRAASVEDAVGDSSVSTGTAEGDVLKALSQIIDPDFGTDIVTCGFVKDLQIDKALGEVSFRLELTTPACPIKDMFEQQANEVVAMLPWVKNVKVTMSAQPARPIYAEQLPAGLRTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLLMNPEKKTIIPTEYSGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLIIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLSFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGKPEVVADPQGEVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSKEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYSDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVDDVFEPSVQA >Vigun04g018200.2.v1.2 pep primary_assembly:ASM411807v1:4:1344546:1350596:1 gene:Vigun04g018200.v1.2 transcript:Vigun04g018200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLHAPSSPHLSIQTSKPPHLTWGLLPSVSVNSSPFSTLHVSLHSQKDQRLLWAPHRRFTSRAASVEVGDSSVSTGTAEGDVLKALSQIIDPDFGTDIVTCGFVKDLQIDKALGEVSFRLELTTPACPIKDMFEQQANEVVAMLPWVKNVKVTMSAQPARPIYAEQLPAGLRTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLLMNPEKKTIIPTEYSGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLIIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLSFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGKPEVVADPQGEVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSKEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYSDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVDDVFEPSVQA >Vigun08g086301.1.v1.2 pep primary_assembly:ASM411807v1:8:19093110:19095170:-1 gene:Vigun08g086301.v1.2 transcript:Vigun08g086301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNPSVSSSVKSRSKNVLENQSYIGWKHSLILIAMIVVEAKQVALKGRKLNIIDEEDEELMCEIIGKYGVGYKPPYYHDIIEKLLKQVVSKTYLILEEYKEEQKRTGYTIMSDVFKMLDDVVEFVGEENVVQVVTDNAVNFKAVRDLWMQKTEQLYWTPCAAHCTDLIFQDFEKILKRITTYIYGRTMLISLLKKFIKGRDLIRPGASLLTMFSSEEEKISKFRTSQEGKRNVSTCLKATTPLMVVLRLVSNVKEGLYICIRRLVLGLAERRNVNLLIVGFHFARGLFGMEDKKECRKVLNLGEWWEMFGDGTPDLFEMVHTNRRNRLHQKKMNDLVYVMYNLKLKSRQIRKTITLPFDDIESDDEWIIEEAGEIVEIEQIPTTNPTLDALDLDNVTFDVNEDAQVSSGEELDEDDNGDDDVIRGLKD >Vigun03g172100.1.v1.2 pep primary_assembly:ASM411807v1:3:20917946:20918755:-1 gene:Vigun03g172100.v1.2 transcript:Vigun03g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDPDLYLLKRRPNNCVSSAVGTLKTQVTMPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDL >Vigun03g092200.2.v1.2 pep primary_assembly:ASM411807v1:3:7785544:7799481:-1 gene:Vigun03g092200.v1.2 transcript:Vigun03g092200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILSSGTDGNLDLQEVISKGLSGFDEWTLLISEVEKLYPADVDKICLVYNNFLSKFPLCHGYWRKYAAHMTCISTTDKVVEVFEKAVLAATYSVGVWFDYCSFGMSAFEDPSDIRSFKKLLTILEEGIANHELQSETCFDCEIPMVSCYKDGEIYHIIKDMMDSSVGSTSSVALRRYRAIGEMLYHNACELYSKISPFEASIRRHYFHVQPLDANQLQNWHNYLDFIELQGDFDWAVKLYERCLIVCANYPEYWMRCVDFMEAKGGREIANYSLDRATEIYLKRVPSIHLFNARFKEQIGDDFAAHAAYVQSGKERDSDFVDNVISKANMEKRLGNIESAFSIYNEALKKAATEEKLHALPILYIHFSRLKYISTNSVDAARDVLIDGIRTLPHNKLLLEELIKFSMMHGGTKHMAIIDSIIADTISPRSDGSQGLSAEDAEDISNLYLEFVDYIGTIHDVRKAWNRHIKLFPYSARKDLHEQSARHRKLLNLMDKREEISVVTPNQASRDSISDLQAHIHKKDEKTALLKYCDNQYDATNDGSPLLGKNKNSESNDTDAYKLQSLELDHRIEENGREIPLPVSEEPRENDPENNVSSSHLVEVKEESTRVVKNLKNSSESDVSSEEFFRQTGRGNQSSQAFQTPSNDNTYFSKGKYEVESEELKPKSLTSMSLKPRESSCPDSGPMVSQECVAIPESHKANTRAIIGGHTINQDNSAGTQDSGYKKVYIDTKSPYSARHRDQRARKPFPPPRSSGNSGGNWHRRRNAGQFKGPKFGYRGNTDRKQHQRQQLSPQQIHPPEGGAQMAGAPDYSSQPVLQVQQSNPGQNQFQSTATTTGLVAAHCWPMQNMQMQNTSSQSQTPVNATSLVLQHPMQGNGQYGYTQNAQEYNQLYQYYYYQQQQQQQQLQLQQQYIQLQQQPFQQEQSQQLQHSPLEPLQPQQLQQLQHSSLEPLQTQQLQHSPLEPLQPQQLQQQVLQQQQQQQQYYQQQQPLPQELPIHITHQQQPSTQLQHSNSHPVENQGQAILTSQGNGATVSQQSDRLGSISSPVLHHPQEKSTQE >Vigun03g092200.1.v1.2 pep primary_assembly:ASM411807v1:3:7785544:7799480:-1 gene:Vigun03g092200.v1.2 transcript:Vigun03g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILSSGTDGNLDLQEVISKGLSGFDEWTLLISEVEKLYPADVDKICLVYNNFLSKFPLCHGYWRKYAAHMTCISTTDKVVEVFEKAVLAATYSVGVWFDYCSFGMSAFEDPSDIRRLFTRAISFVGKDYLCHVLWDKYIHFEFSQQQWISLAHIYIQTLKFPTKKLHQYYDSFKKLLTILEEGIANHELQSETCFDCEIPMVSCYKDGEIYHIIKDMMDSSVGSTSSVALRRYRAIGEMLYHNACELYSKISPFEASIRRHYFHVQPLDANQLQNWHNYLDFIELQGDFDWAVKLYERCLIVCANYPEYWMRCVDFMEAKGGREIANYSLDRATEIYLKRVPSIHLFNARFKEQIGDDFAAHAAYVQSGKERDSDFVDNVISKANMEKRLGNIESAFSIYNEALKKAATEEKLHALPILYIHFSRLKYISTNSVDAARDVLIDGIRTLPHNKLLLEELIKFSMMHGGTKHMAIIDSIIADTISPRSDGSQGLSAEDAEDISNLYLEFVDYIGTIHDVRKAWNRHIKLFPYSARKDLHEQSARHRKLLNLMDKREEISVVTPNQASRDSISDLQAHIHKKDEKTALLKYCDNQYDATNDGSPLLGKNKNSESNDTDAYKLQSLELDHRIEENGREIPLPVSEEPRENDPENNVSSSHLVEVKEESTRVVKNLKNSSESDVSSEEFFRQTGRGNQSSQAFQTPSNDNTYFSKGKYEVESEELKPKSLTSMSLKPRESSCPDSGPMVSQECVAIPESHKANTRAIIGGHTINQDNSAGTQDSGYKKVYIDTKSPYSARHRDQRARKPFPPPRSSGNSGGNWHRRRNAGQFKGPKFGYRGNTDRKQHQRQQLSPQQIHPPEGGAQMAGAPDYSSQPVLQVQQSNPGQNQFQSTATTTGLVAAHCWPMQNMQMQNTSSQSQTPVNATSLVLQHPMQGNGQYGYTQNAQEYNQLYQYYYYQQQQQQQQLQLQQQYIQLQQQPFQQEQSQQLQHSPLEPLQPQQLQQLQHSSLEPLQTQQLQHSPLEPLQPQQLQQQVLQQQQQQQQYYQQQQPLPQELPIHITHQQQPSTQLQHSNSHPVENQGQAILTSQGNGATVSQQSDRLGSISSPVLHHPQEKSTQE >Vigun08g083300.1.v1.2 pep primary_assembly:ASM411807v1:8:17800339:17805382:1 gene:Vigun08g083300.v1.2 transcript:Vigun08g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKCYLDVILVPLGFLTSIGYHFWLWHKVRTHPHTTIIGINASGRRNWVNAMMKDNEKKNILAVQSLRNTIMGATLMATTSILLCSGLAAVISSTYSVKKPLSDAVYGAHGEFMVALKYVTLLTIFLFSFFCHSLSIRFINQVNILINTPQDPMSLVTPQYINEILEKGFILNTVGNRLFYAGLPLLLWIFGPVLVFLCSLTMVPVLYNLDFVFTTGKGKVEANQNRDFV >Vigun11g191100.1.v1.2 pep primary_assembly:ASM411807v1:11:39049105:39051189:1 gene:Vigun11g191100.v1.2 transcript:Vigun11g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVLASKKKPEKVTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKTVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEDKAEKKERLLKRAQAEAEGKTIEAKKPINVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTASVLCLTTVKNEDKLEFSRVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTRAKEKLIAKEAAQRMS >Vigun07g056600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6226038:6231991:1 gene:Vigun07g056600.v1.2 transcript:Vigun07g056600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHRALSRFLTSLPLNNEHLQLAHNITQNLLNSTHFKPHSAIPCIAPHVTYYVLSDPSLPPRSCLSFFNFLTAQNPQKPDLKAHLILLYRLFSAKKFASMRPLLDSLVTNVEIKHPVRGFVSLVDECESQFGSESESGSESNFVEKLCDMLFRVCADNRMFREAIEVFDYVMAKGLVIEGRSCFVLLLALKRCKEVEFCVRFFHRMVECGRVDIGVQSLTLVVDVLCKRGDIERGKKLMKEMAERDIVKPTVFTYNTLLNACVVRKDRKGVEEILGFMESEGVLPCLITYTILIEWYASSGRIGEAEKVFEEMRERNMQMDVYVYTSMISWNCRAGNVRRASALFDEMIWKGIVPNTHTFGAMISGMCKAGQMEAAEILLEEMQIKGVDLNVVIFNMMMDGYCKRGMMDEAFRLQVIMERKGFEADVFTYSTLASGLCKLHRYEEAKRTLNLMVEKGVAPNVVTCTTLIEIYCKEGNLAEAERILGNMDKEGMVPNIVTYNTLIDAYSKKEKIKQAHVLKSEMIGKGIVPDVFTYTSLIHGECIVNRVDEALKLFSEMLVKGIKGSVKTYTAIIYGLSKEGRADEAFEFYDEMMRMGLAPDDRVFAALVGSLHKVSFPAKQNEWCTENRLS >Vigun07g056600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:6226038:6231991:1 gene:Vigun07g056600.v1.2 transcript:Vigun07g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHRALSRFLTSLPLNNEHLQLAHNITQNLLNSTHFKPHSAIPCIAPHVTYYVLSDPSLPPRSCLSFFNFLTAQNPQKPDLKAHLILLYRLFSAKKFASMRPLLDSLVTNVEIKHPVRGFVSLVDECESQFGSESESGSESNFVEKLCDMLFRVCADNRMFREAIEVFDYVMAKGLVIEGRSCFVLLLALKRCKEVEFCVRFFHRMVECGRVDIGVQSLTLVVDVLCKRGDIERGKKLMKEMAERDIVKPTVFTYNTLLNACVVRKDRKGVEEILGFMESEGVLPCLITYTILIEWYASSGRIGEAEKVFEEMRERNMQMDVYVYTSMISWNCRAGNVRRASALFDEMIWKGIVPNTHTFGAMISGMCKAGQMEAAEILLEEMQIKGVDLNVVIFNMMMDGYCKRGMMDEAFRLQVIMERKGFEADVFTYSTLASGLCKLHRYEEAKRTLNLMVEKGVAPNVVTCTTLIEIYCKEGNLAEAERILGNMDKEGMVPNIVTYNTLIDAYSKKEKIKQAHVLKSEMIGKGIVPDVFTYTSLIHGECIVNRVDEALKLFSEMLVKGIKGSVKTYTAIIYGLSKEGRADEAFEFYDEMMRMGLAPDDRVFAALVGSLHKVSFPAKQNEWCTENRLS >Vigun06g120800.5.v1.2 pep primary_assembly:ASM411807v1:6:24839817:24845506:-1 gene:Vigun06g120800.v1.2 transcript:Vigun06g120800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQIRAEIGAVSKADGSAIFEMGNTKVIAAVYGPREVQNRSQISNHALVRCEYSMANFSTGDRMRKAKGDRRSTEISLVIRQTMEASILTHLLPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTSLLDLNYVEDSAGGPDVTLGILPKLDKVTLLQMDSKLPIDILENVMQLAIEGCKAIANYIREILLENTKQLEYRRGV >Vigun06g120800.3.v1.2 pep primary_assembly:ASM411807v1:6:24839817:24845506:-1 gene:Vigun06g120800.v1.2 transcript:Vigun06g120800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQIRAEIGAVSKADGSAIFEMGNTKVIAAVYGPREVQNRSQISNHALVRCEYSMANFSTGDRMRKAKGDRRSTEISLVIRQTMEASILTHLLPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTSLLDLNYVEDSAGGPDVTLGILPKLDKVTLLQMDSKLPIDILENVMQLAIEGCKAIANYIREILLENTKQLEYRRGV >Vigun06g120800.2.v1.2 pep primary_assembly:ASM411807v1:6:24839817:24845506:-1 gene:Vigun06g120800.v1.2 transcript:Vigun06g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQIRAEIGAVSKADGSAIFEMGNTKVIAAVYGPREVQNRSQISNHALVRCEYSMANFSTGDRMRKAKGDRSTEISLVIRQTMEASILTHLLPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTSLLDLNYVEDSAGGPDVTLGILPKLDKVTLLQMDSKLPIDILENVMQLAIEGCKAIANYIREILLENTKQLEYRRGV >Vigun06g120800.4.v1.2 pep primary_assembly:ASM411807v1:6:24839817:24845506:-1 gene:Vigun06g120800.v1.2 transcript:Vigun06g120800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQIRAEIGAVSKADGSAIFEMGNTKVIAAVYGPREVQNRSQISNHALVRCEYSMANFSTGDRMRKAKGDRRSTEISLVIRQTMEASILTHLLPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGYLNSTSLLDLNYVEDSAGGPDVTLGILPKLDKVTLLQMDSKLPIDILENVMQLAIEGCKAIANYIREILLENTKQLEYRRGV >Vigun03g098900.2.v1.2 pep primary_assembly:ASM411807v1:3:8454210:8462706:1 gene:Vigun03g098900.v1.2 transcript:Vigun03g098900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFSLGQPELYREASREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVLTTASRSHRLMVRVQNIEASLPALEKAVLAQTSHIHLAYTAGCEWHQRIKPAQNHFIYNDLPHFIMDSYEECREPPRVHLLDKFDTGGPGSCFRRYSDPTFFKRISADSDESYTEKTEKARKSHKSKKKRSRRNGEILRGEQRLSSSGRMQSVSSAINGRTSFSQTASTIDMRTKSDLEHYSNSFDSKSGAGYIECVFHPSDSVRSDEPDYKEPSSSRLTQKTDTLPSVSSHIEDRISHDLLEKRVASSTSGVTWDEKEEIVESKSQACDRDKTPERHVEKHDSDMNVNEDVTMTNIDHNHILFNEESNPKLVSNRVQTGDIDSEPDNYEDALNTIESESENDIDYITKREVQQFTSNDTHGNTEAPPNLLDNNLSDVISQTEYTAPINEETAKDLAEPLKDNHVLDLVSKPNASNLVSVSPSDSENLTRDATSVNREAFRDLPESLQDTPSLTSEPHASNLGPVSPSDVSDSEEMTRDPVSFNKETFGNLPDSLQEILPLTSKSNASDLGPGSPSDVLYSEEIARDTVSFNKETFIPDSLQEITPLTSEPHAFNLGSGSPLDFTCNEETTQDTVSLNKEMFSNLPEFMEEVPLCTSGPHASDLSSVTPSDGSVSKEIANTITESHPSRTPARELVPHTHENSVLDHSVCANTTYIGPTSVNDAVSTPIETNVSSFGSNSTTLPDEEAGKISSNICKYEETRKESLADHSVRFWTNGGLLGLEPSKPPDFNKSNSTSQGPLSTKGEPDGASGHNAMQKSDVYKEEQESTLAEVAEKILKGPTSRFITSGHVDDQACTSEKTNVNSLQSNGFGQTERNGSGEIRAIAPGSGLPAAPDTKDSTEPDQGNGENSSRVFGLSRRLLINSFQRKVSFDEKSEHYNSLKQVLLEQSGQNGIVEQSFPETNTFKEKAGSVYPMKLLPPSPPLEHMKISFHPIIGLETSKLKLKFPDGSNRHESVNDIFPSFQLVPESSIPLDGSVSHSDDDDTFCRSSPYISDDCRSPRSDYNSDQWESDETPGSSDHEVHDSPRRKSSTKSVSNDDTNVKSGNGTCTANGVEHSLSRPLLDFPSYDNVNPALEKESKKHSKFNNAVMLHGHAEPTAPPPPPPLPPNQWWVSKPQLDMTNETLHFISEDVELVNDHSLPDSTVFQQPRFTKLEQIQINHDDHESYENIIYKLKNKPDQKETNQLRMGKETDEREDFLYQIRTKAFNLRPTVTGKSNDATGPTANVKVTAILEKANAIRQVVASDDGEDDDNWSDT >Vigun03g098900.3.v1.2 pep primary_assembly:ASM411807v1:3:8454210:8462709:1 gene:Vigun03g098900.v1.2 transcript:Vigun03g098900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFSLGQPELYREASREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVLTTASRSHRLMVRVQNIEASLPALEKAVLAQTSHIHLAYTAGCEWHQRIKPAQNHFIYNDLPHFIMDSYEECREPPRVHLLDKFDTGGPGSCFRRYSDPTFFKRISADSDESYTEKTEKARKSHKSKKRSRRNGEILRGEQRLSSSGRMQSVSSAINGRTSFSQTASTIDMRTKSDLEHYSNSFDSKSGAGYIECVFHPSDSVRSDEPDYKEPSSSRLTQKTDTLPSVSSHIEDRISHDLLEKRVASSTSGVTWDEKEEIVESKSQACDRDKTPERHVEKHDSDMNVNEDVTMTNIDHNHILFNEESNPKLVSNRVQTGDIDSEPDNYEDALNTIESESENDIDYITKREVQQFTSNDTHGNTEAPPNLLDNNLSDVISQTEYTAPINEETAKDLAEPLKDNHVLDLVSKPNASNLVSVSPSDSENLTRDATSVNREAFRDLPESLQDTPSLTSEPHASNLGPVSPSDVSDSEEMTRDPVSFNKETFGNLPDSLQEILPLTSKSNASDLGPGSPSDVLYSEEIARDTVSFNKETFIPDSLQEITPLTSEPHAFNLGSGSPLDFTCNEETTQDTVSLNKEMFSNLPEFMEEVPLCTSGPHASDLSSVTPSDGSVSKEIANTITESHPSRTPARELVPHTHENSVLDHSVCANTTYIGPTSVNDAVSTPIETNVSSFGSNSTTLPDEEAGKISSNICKYEETRKESLADHSVRFWTNGGLLGLEPSKPPDFNKSNSTSQGPLSTKGEPDGASGHNAMQKSDVYKEEQESTLAEVAEKILKGPTSRFITSGHVDDQACTSEKTNVNSLQSNGFGQTERNGSGEIRAIAPGSGLPAAPDTKDSTEPDQGNGENSSRVFGLSRRLLINSFQRKVSFDEKSEHYNSLKQVLLEQSGQNGIVEQSFPETNTFKEKAGSVYPMKLLPPSPPLEHMKISFHPIIGLETSKLKLKFPDGSNRHESVNDIFPSFQLVPESSIPLDGSVSHSDDDDTFCRSSPYISDDCRSPRSDYNSDQWESDETPGSSDHEVHDSPRRKSSTKSVSNDDTNVKSGNGTCTANGVEHSLSRPLLDFPSYDNVNPALEKESKKHSKFNNAVMLHGHAEPTAPPPPPPLPPNQWWVSKPQLDMTNETLHFISEDVELVNDHSLPDSTVFQQPRFTKLEQIQINHDDHESYENIIYKLKNKPDQKETNQLRMGKETDEREDFLYQIRTKAFNLRPTVTGKSNDATGPTANVKVTAILEKANAIRQVVASDDGEDDDNWSDT >Vigun03g098900.1.v1.2 pep primary_assembly:ASM411807v1:3:8454210:8462689:1 gene:Vigun03g098900.v1.2 transcript:Vigun03g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFSLGQPELYREASREDPKAVLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVLTTASRSHRLMVRVQNIEASLPALEKAVLAQTSHIHLAYTAGCEWHQRIKPAQNHFIYNDLPHFIMDSYEECREPPRVHLLDKFDTGGPGSCFRRYSDPTFFKRISADSDESYTEKTEKARKSHKSKKKRSRRNGEILRGEQRLSSSGRMQSVSSAINGRTSFSQTASTIDMRTKSDLEHYSNSFDSKSGAGYIECVFHPSDSVRSDEPDYKEPSSSRLTQKTDTLPSVSSHIEDRISHDLLEKRVASSTSGVTWDEKEEIVESKSQACDRDKTPERHVEKHDSDMNVNEDVTMTNIDHNHILFNEESNPKLVSNRVQTGDIDSEPDNYEDALNTIESESENDIDYITKREVQQFTSNDTHGNTEAPPNLLDNNLSDVISQTEYTAPINEETAKDLAEPLKDNHVLDLVSKPNASNLVSVSPSDSENLTRDATSVNREAFRDLPESLQDTPSLTSEPHASNLGPVSPSDVSDSEEMTRDPVSFNKETFGNLPDSLQEILPLTSKSNASDLGPGSPSDVLYSEEIARDTVSFNKETFIPDSLQEITPLTSEPHAFNLGSGSPLDFTCNEETTQDTVSLNKEMFSNLPEFMEEVPLCTSGPHASDLSSVTPSDGSVSKEIANTITESHPSRTPARELVPHTHENSVLDHSVCANTTYIGPTSVNDAVSTPIETNVSSFGSNSTTLPDEEAGKISSNICKYEETRKESLADHSVRFWTNGGLLGLEPSKPPDFNKSNSTSQGPLSTKGEPDGASGHNAMQKSDVYKEEQESTLAEVAEKILKGPTSRFITSGHVDDQACTSEKTNVNSLQSNGFGQTERNGSGEIRAIAPGSGLPAAPDTKDSTEPDQGNGENSSRVFGLSRRLLINSFQRKVSFDEKSEHYNSLKQVLLEQSGQNGIVEQSFPETNTFKEKAGSVYPMKLLPPSPPLEHMKISFHPIIGLETSKLKLKFPDGSNRHESVNDIFPSFQLVPESSIPLDGSVSHSDDDDTFCRSSPYISDDCRSPRSDYNSDQWESDETPGSSDHEVHDSPRRKSSTKSVSNDDTNVKSGNGTCTANGVEHSLSRPLLDFPSYDNVNPALEKESKKHSKFNNAVMLHGHAEPTAPPPPPPLPPNQWWVSKPQLDMTNETLHFISEDVELVNDHSLPDSTVFQQPRFTKLEQIQINHDDHESYENIIYKLKNKRIHLIRRFLQPDQKETNQLRMGKETDEREDFLYQIRTKAFNLRPTVTGKSNDATGPTANVKVTAILEKANAIRQVVASDDGEDDDNWSDT >Vigun04g193700.2.v1.2 pep primary_assembly:ASM411807v1:4:41806836:41809161:1 gene:Vigun04g193700.v1.2 transcript:Vigun04g193700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVVKNGWLICAEEMEKVKEIEKSAEGKLEAIMAKLTDIDGLEKAFQGCRGVFHTSAFTDPAGLSGYTKSMAEIEVRVAENVMEACARTPSIKRCVFTSSLAACVWQDTAQPDLTPVINHGSWSSESFCIEKKLWYALGKMRAEKAAWRIANEKGLKLTTICPALITGPQFCHRNPTATIAYLKGGQEMYSLGLLATVDVTKLAEAHASVLKAMNNNASGRYICFDKVIDSQSGAENLAKEIGMPKEKICGEASNSSVRRFELSNEKLCRLMSRPLRCYSEYQMK >Vigun04g193700.1.v1.2 pep primary_assembly:ASM411807v1:4:41803814:41809160:1 gene:Vigun04g193700.v1.2 transcript:Vigun04g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMCTWESEKVETEAFCRKLVAAAGKDDEGGRNHHLVSSYYEDGDEKGTLLCVTSGVSYVGLALVNHLLQLGYSLRITVENPEEMEKVKEIEKSAEGKLEAIMAKLTDIDGLEKAFQGCRGVFHTSAFTDPAGLSGYTKSMAEIEVRVAENVMEACARTPSIKRCVFTSSLAACVWQDTAQPDLTPVINHGSWSSESFCIEKKLWYALGKMRAEKAAWRIANEKGLKLTTICPALITGPQFCHRNPTATIAYLKGGQEMYSLGLLATVDVTKLAEAHASVLKAMNNNASGRYICFDKVIDSQSGAENLAKEIGMPKEKICGEASNSSVRRFELSNEKLCRLMSRPLRCYSEYQMK >Vigun04g136400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34148800:34154716:1 gene:Vigun04g136400.v1.2 transcript:Vigun04g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFPRLCYALLLLLLHAAESILGLNNSTEIKCIERERQALLNFKHGLIDAYGMLSTWRDDENSTDCCKWKGIQCDHQTGHVSFLRLRGSDTQFLRGAVNISSLFPLQNIQHLDLSSNIFEGSHIPQLIASLTNLRYLNLSNCYFSGSIPTQLGSLTHLRYLDLSYNNLDEELPRQLGNLSQLRYLDLSGNNLDGKIPSQLGNLSQLKYLDLSQNSFSGTLSFQVADFPFLQTLRLDGDFHVKPEDAKWLSNLHSLTNLALYYLQNLDWLTTITFPNLKELRLVDCSLSDTHIHSLFYSPSNFSNSLMILDLSDNMLTSSTFQLLSNFSLNLQELYLSHNDLVLSSPVHSIFPSLVILDLSYNNMTSFEGTSILASKLQNLYLRNCSLRDDNFLISATTIANSSSSLASLDLSSNLLKSSSIFDWLFNSTTNLRTLQLYNNMLEGPIPDGFGKVMNSLEVLDLHGNKLQGEIPSFFGNISTLKSLILSKNKLSGKFSSFFQNSSWCNKHVFQILDLSSNNITGTLPKSIGLLSELEYLLLDGNCLEGDVTESHLSNFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLDPSFPSWLHTQSSLSDLDISDNGLNYVPDWVWDNLQNMRTLNMSHNNLSGPIPNISLKLHYAPSVILNSNQFEGKIPSFLLQASKLRLSNNKFSDLFSFICNQGNSEMWILDLSNNQLKGQLPDCWTSVDRLWYLDLSNNKLSGRIPLSMGSLVELNVLVLGNNNLTGELASTLKNCSNLMMLDVGKNMLSGPIPSWIGESMQQLIILNMRENYFSGNLPIQLCYLNYIQLLDLSKNMLSKGIPSCLKELTAMSKKGIHTRGTLNRMYLIYIPYTKIYDYFVEEEYPFNISLIWKGVEQRFKNPELIKGIDLSSNKLKGEIPKEIGYLAGLVSLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDYLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDDEDSVFYEALYMSMGIGYFTGFWGLLGPILLWSSWKNAYLDFLNRLTIGMYEQCGKCR >Vigun02g083500.1.v1.2 pep primary_assembly:ASM411807v1:2:23699277:23701787:-1 gene:Vigun02g083500.v1.2 transcript:Vigun02g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLQGMQHFRSHYNSEVQMIRGGFPQPLISRTYQTSFLKQRSKAEIKVVAAKKHSEAEKRRRMRINGQYETLRTILPNLIKKDKASVLAETIKQVKELKKKVSKLEQDSCGNSSKDVVKFPSGADRLSLEKCSNEEGLVKATLSCEDSQGLMSAISRAMGSVKTKVVKAEIVSVGGRNRSVLWVQGLGNDRMGMLKSSLKIAMHKPAFKMRRSTQ >Vigun09g106780.1.v1.2 pep primary_assembly:ASM411807v1:9:20723927:20726747:1 gene:Vigun09g106780.v1.2 transcript:Vigun09g106780.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQPLGSLRDFLNESLKISSLFHVLFAKHFSQSISSLHLCFQFLCFSLLQSLNQNFKLNVIPIEKQLKYFRECKTRMEDVVGKPRIEDHVKKAAYFISAGTNDFVLNYFSLPLRRKSYTLQAYQNFLIQRITDFIQAQGWKEKSKTLEEVLESTSKMEPKLIGYDETPIDVTDTNIAKNIMVTADL >Vigun08g174100.2.v1.2 pep primary_assembly:ASM411807v1:8:34458782:34463138:1 gene:Vigun08g174100.v1.2 transcript:Vigun08g174100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVASHGEEENGAEGIAAVDFRGHPVDKTKTGGWLAAGLILGTELAERICVMGIAMNLVTYLVGDLNLPSADSATIVTNVMGTLNLLGLLGGFLADAKLGRYLTVAISATIAAVGVSLLTVATTIPSMRPPTCSSVRKQHHECIQASGKQLALLYVALYTVAVGGGGIKSNVSGFGSDQFDTRDPKEERRMIFFFNRFYFFISIGSLFSVVVLVYVQDNIGRGWGYGISAGTMLVAVAVLFAGTPFYRFKRPQGSPLTVIWRVLFLAWKNRAFPYPSQYSFLNGYLQAKVPHTQRFRFLDKAAILDENSSKDENKENPWIVSTVTQVEEVKMVIKLLPIWSTCILFWTIYSQMNTFTIEQATFMNRKVGSLEVPAGSLSAFLIITILLFTSLNEKLTVPLSRKLTHNVQGLTSLQRVGIGLFFSIVAMVVAAIVEKERRGNAVKNSTTISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLSMGYFVSSLLVSIVDKASNKRWLRSNLNRGRLDYFYWLLAVLGVLNFIFFLVLSTRHQYKAQHGIQPNNGAEKELVRTNDVIVGVDGKEEA >Vigun08g174100.1.v1.2 pep primary_assembly:ASM411807v1:8:34458782:34463517:1 gene:Vigun08g174100.v1.2 transcript:Vigun08g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVASHGEEENGAEGIAAVDFRGHPVDKTKTGGWLAAGLILGTELAERICVMGIAMNLVTYLVGDLNLPSADSATIVTNVMGTLNLLGLLGGFLADAKLGRYLTVAISATIAAVGVSLLTVATTIPSMRPPTCSSVRKQHHECIQASGKQLALLYVALYTVAVGGGGIKSNVSGFGSDQFDTRDPKEERRMIFFFNRFYFFISIGSLFSVVVLVYVQDNIGRGWGYGISAGTMLVAVAVLFAGTPFYRFKRPQGSPLTVIWRVLFLAWKNRAFPYPSQYSFLNGYLQAKVPHTQRFRFLDKAAILDENSSKDENKENPWIVSTVTQVEEVKMVIKLLPIWSTCILFWTIYSQMNTFTIEQATFMNRKVGSLEVPAGSLSAFLIITILLFTSLNEKLTVPLSRKLTHNVQGLTSLQRVGIGLFFSIVAMVVAAIVEKERRGNAVKNSTTISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLSMGYFVSSLLVSIVDKASNKRWLRSNLNRGRLDYFYWLLAVLGVLNFIFFLVLSTRHQYKAQHGIQPNNGAEKELVRTNDVIVGVDGKEEA >Vigun09g063600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6681238:6684444:1 gene:Vigun09g063600.v1.2 transcript:Vigun09g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPDTPLMPPSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLCLSPLVVIIAAQLSTFSLKDLHDIWENLQYNLISVILCSTMIVFLSTLYIMTRPRPVYLVNFSCYKPEEARKCSKKIFMDQSRRSGFFTEENLEFQRKILERAGLGESTYFPEAVLNDPPNPSMQEARKEAELVMFGAIDELFAKTFVKPKDIGILIVNCSLFCPTPSLSAMIINHYKLRGNIKSLNLGGMGCSAGLISIDLAKDLLQVHPNSYALVVSTENITLNWYPGNDRSKLVSNCLFRMGGAAILLSNKSSDKRRSKYRLVDTVRTNKASDDKCFGCVIQEEDANGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSTWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRMRKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHDFPVEVPRISSF >Vigun01g008000.1.v1.2 pep primary_assembly:ASM411807v1:1:952174:960879:1 gene:Vigun01g008000.v1.2 transcript:Vigun01g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRYWFSSFMLSLFLSCAVNVLLAQNLPYKAVNLGNWLVAEGWMQEPSLFDGIVNKDLLDGTQVQLKSTKFNKYLTSEDGGGADVVANRDSASGWETFKLWRVSDSSFNLRVFNKKFVGLQNHGGGDKIVAVSDSPTTPETFQIARDHNDPFRIRIKASNGKFLQVRSETSVTADYEGTNWDEKDASVFRMNIVPGTTLQGEYQLTNGYGPDRAPQVMREHWSTYITEDDFRFMSENGINAVRIPVGWWIAKDPNPPKPFVGGSLAALDNAFIWAQNHGVKVIIDLHAAEGSQNGNDHSASRDGYTEWGESYIPNTVHVIDFLAERYGNRPNLGGIELMNEPVGVNLESLKKYYKEAYDAVRKHNPSVYVVMSNPLDADSKVLLSFVKGFDRVVIDVHYYNLFSDKFNNMNVQQNIDYIRNERASDLSGVSSSNALSFVGEWTGAWSIQGATKEDYQKYAQAQLDVYSNATFGWAYWAYKCKFNQWSLKWMIQNNYIKL >Vigun09g239900.1.v1.2 pep primary_assembly:ASM411807v1:9:40999791:41002512:-1 gene:Vigun09g239900.v1.2 transcript:Vigun09g239900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKSSLLQIQPPTYGNLVTILSIDGGGIRGIIPATILAFLEEQLQELDGEDARLADYFDVIAGTSTGGLVTAMLTAPNDRRRPLFAAKDIKPFYLDHLPKIFPQRSCGIWGWIEKVVRSLGGPKYDGKYLREVVREKLGETRLHETLTNIVIPTFDIKSLQPTIFSSYQIKMSPYLDAPLSDICIGTSAAPTYLPAHNFKNKGSDGNMHEFNLIDGGVCANNPSLVAMNQVTKQIINDNPDFFSIKPMEFGRFLIISLGTGTPKNEHKFNAKMAAKWGLLDWLTNSGSSPLIDVLTHSSGDMVDFHLATATQALNSENNYLRIQDDTLTVTDASTDIATKENMKKLSQIGERLLKKPVSQINLETGLFEPLGDGETNEDALRRFAKMLSEERSFRELKSPHTNKSLK >Vigun09g239900.2.v1.2 pep primary_assembly:ASM411807v1:9:40999791:41002512:-1 gene:Vigun09g239900.v1.2 transcript:Vigun09g239900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKSSLLQIQPPTYGNLVTILSIDGGGIRGIIPATILAFLEEQLQELDGEDARLADYFDVIAGTSTGGLVTAMLTAPNDRRRPLFAAKDIKPFYLDHLPKIFPQRSCGIWGWIEKVVRSLGGPKYDGKYLREVVREKLGETRLHETLTNIVIPTFDIKSLQPTIFSSYQIKMSPYLDAPLSDICIGTSAAPTYLPAHNFKNKGSDGNMHEFNLIDGGVCANNPVTKQIINDNPDFFSIKPMEFGRFLIISLGTGTPKNEHKFNAKMAAKWGLLDWLTNSGSSPLIDVLTHSSGDMVDFHLATATQALNSENNYLRIQDDTLTVTDASTDIATKENMKKLSQIGERLLKKPVSQINLETGLFEPLGDGETNEDALRRFAKMLSEERSFRELKSPHTNKSLK >Vigun02g003600.4.v1.2 pep primary_assembly:ASM411807v1:2:1950968:1954371:1 gene:Vigun02g003600.v1.2 transcript:Vigun02g003600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLRGNRQRKMLQLDLNLVPPLEENSVQNDADDDDDVVELSPTTFAQTRSNQRRRINRRRSIYDIDDETGELPKEIIIDGKVYRTVETGSSSTEEKEKEKEKEEEKEKEKEKEKKSPEPPKKPPQLDCPICMAAFEEPMSTRCGHIFCRGCINSAIAAQGKCPTCRKKVTKNQLIRVFLPSLS >Vigun02g003600.3.v1.2 pep primary_assembly:ASM411807v1:2:1950968:1954371:1 gene:Vigun02g003600.v1.2 transcript:Vigun02g003600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLRGNRQRKMLQLDLNLVPPLEENSVQNDADDDDDVVELSPTTFAQTRSNQRRRINRRRSIYDIDDETGELPKEIIIDGKVYRTVETGSSSTEEKEKEKEKEEEKEKEKEKEKKSPEPPKKPPQLDCPICMAAFEEPMSTRCGHIFCRGCINSAIAAQGKCPTCRKKVTKNQLIRVFLPSLS >VigunL059046.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:2626:3552:-1 gene:VigunL059046.v1.2 transcript:VigunL059046.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYITTTSTSTSSSSSTTTTTANNPTTTITITATTPMWVTTTTTTTMTTTITTITTMITNATTTTTTTTTTTTTTTKTTTTTTTTTTTTTTTATTTTTTTTITTISTKTTTTIKTSTTTTAITTTTTNSTKATATTTTMDTTTMTTISTITTTTTTTTRINHPYPYHTTTTTTTTTPTIPTTTTTTTATTKATKAITTTTTTITTSTTTITTTTTIMTYTTTITPITTNTISATTTNIATTTTTIAMGTTTMTTTITAKTTTTITTISRTTTTTATTTITPTTTTTTTRPQPPLLPPPRPPPPQPPAP >Vigun11g112250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31475239:31476159:-1 gene:Vigun11g112250.v1.2 transcript:Vigun11g112250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVPYSDHSNYKEIEEFIKFVQPTRLKGIVASSSCYIEPMYYFGRLCCVDQQTQPLDGEQKRKESCKREREEAVSSKASFRGDNVQTGKERSKALKRRFSGVRVSRFSILRRTHRGTKLRRNSSDEE >Vigun03g294800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48064329:48065417:1 gene:Vigun03g294800.v1.2 transcript:Vigun03g294800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVTQQPQHLALFGEYKRWLRLSLYTIFLLVGQCTATLLGRFYFDKGGKSRWIASSVQSAGFPILIPLLLYSDKSTNHDSSKTKTEPRRLVMISLYIGFGLMYTGMDLLYAYGLSYLPLSTFALVCATQLAFNAVSTYLLNSQKFTALILNSIVVLTMSVILIALNTESEDRKDLPREKQLIGFFCALAASATFALQHSLLQLYFVKVAKTEETYSSLLRMNFYPMLVATVAGLVGLFGSGDWRSMGREMKEFKYGGVSYVMTLVWIAVTWQIACLGMLGLIFEVSSLFSVVIGNLELTITPILAVFVFHDKIYGVKVIAFLLAIWGFLSYIYQHYLDDQKANQDKTDDGLGLSKVEEQT >Vigun10g103600.5.v1.2 pep primary_assembly:ASM411807v1:10:29663819:29667548:-1 gene:Vigun10g103600.v1.2 transcript:Vigun10g103600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIIALPSSGFRCSEQGKHGNKIQLDDKTTLFLYQLVGTWGQILFPKPWKEFRLWYDENKAKGNKPFLEGMVSTGWYKKLGERIWTPWFIKFIQSRGYFNIYTNLLHERALSVSHRDAGVNYGKTAGPDSTLVEKRYMDFNILEMQPLSSLKWFDFCFREVHPGKVVTNLEELGWLLHYRQKRDSVFLVNLFGVSDAIARNLLCHFERLNIRNYILMGPPSDSLFDLARRGHPVINVDQFLSSVGLYKLTSEGSNSETIKGILAKIYVIKKCIENKYSTWVMDGNMLLTSDLFSESENPNDDFFVANNFELFYAKSSSSSEKIWVDGFVSQIVAEVESLSGKDTEAHYRLSFVYIVKKLLEQKGARIGKVDESSLATKVGSGNASESFRGDKKLVYWSTEMELDSIRKWFKELNLWSIDNDLSCTAVVCHKS >Vigun10g103600.2.v1.2 pep primary_assembly:ASM411807v1:10:29663775:29667622:-1 gene:Vigun10g103600.v1.2 transcript:Vigun10g103600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIIALPSSGFRCSEQGKHGNKIQLDDKTTLFLYQLVGTWGQILFPKPWKEFRLWYDENKAKGNKPFLEGMVSTGWYKKLGERIWTPWFIKFIQSRGYFNIYTNLLHERALSVSHRDAGVNYGKTAGPDSTLVEKRYMDFNILEMQPLSSLKWFDFCFREVHPGKVVTNLEELGWLLHYRQKRDSVFLVNLFGVSDAIARNLLCHFERLNIRNYILMGPPSDSLFDLARRGHPVINVDQFLSSVGLYKLTSEGSNSETIKGILAKIYVIKKCIENKYSTWVMDGNMLLTSDLFSESENPNDDFFVANNFELFYAKSSSSSEKIWVDGFVSQIVAEVESLSGKDTEAHYRLSFVYIVKKLLEQKGARIGKVDESSLATKVGSGNASESFRGDKKLVYWSTEMELDSIRKWFKELNLWSIDNDLSCTAVVCHKS >Vigun10g103600.4.v1.2 pep primary_assembly:ASM411807v1:10:29663819:29667548:-1 gene:Vigun10g103600.v1.2 transcript:Vigun10g103600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKRHFLPLVLISLAAFIFYTFNHFSLSPAIAESNHRSTFPHPIPTLIHPNFNFVINVLAFNRLASLSRCLRSLAAADYLGDRVHLHLHIDHFASSDNASTVDPKLREARQILEFVDAFDWKFGEKVVHYRTGNVGLQAQWLEAWWPTSDHEFAFVVEDDLELSSLYYEFVKTVILNFYYNASNYSPSIFGVSLQRARFVPGKHGNKIQLDDKTTLFLYQLVGTWGQILFPKPWKEFRLWYDENKAKGNKPFLEGMVSTGWYKKLGERIWTPWFIKFIQSRGYFNIYTNLLHERALSVSHRDAGVNYGKTAGPDSTLVEKRYMDFNILEMQPLSSLKWFDFCFREVHPGKVVTNLEELGWLLHYRQKRDSVFLVNLFGVSDAIARNLLCHFERLNIRNYILMGPPSDSLFDLARRGHPVINVDQFLSSVGLYKLTSEGSNSETIKGILAKIYVIKKCIENKYSTWVMDGNMLLTSDLFSESENPNDDFFVANNFELFYAKSSSSSEKIWVDGFVSQIVAEVESLSGKDTEAHYRLSFVYIVKKLLEQKGARIGKVDESSLATKVGSGNASESFRGDKKLVYWSTEMELDSIRKWFKELNLWSIDNDLSCTAVVCHKS >Vigun10g103600.1.v1.2 pep primary_assembly:ASM411807v1:10:29663775:29667622:-1 gene:Vigun10g103600.v1.2 transcript:Vigun10g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKRHFLPLVLISLAAFIFYTFNHFSLSPAIAESNHRSTFPHPIPTLIHPNFNFVINVLAFNRLASLSRCLRSLAAADYLGDRVHLHLHIDHFASSDNASTVDPKLREARQILEFVDAFDWKFGEKVVHYRTGNVGLQAQWLEAWWPTSDHEFAFVVEDDLELSSLYYEFVKTVILNFYYNASNYSPSIFGVSLQRARFVPGKHGNKIQLDDKTTLFLYQLVGTWGQILFPKPWKEFRLWYDENKAKGNKPFLEGMVSTGWYKKLGERIWTPWFIKFIQSRGYFNIYTNLLHERALSVSHRDAGVNYGKTAGPDSTLVEKRYMDFNILEMQPLSSLKWFDFCFREVHPGKVVTNLEELGWLLHYRQKRDSVFLVNLFGVSDAIARNLLCHFERLNIRNYILMGPPSDSLFDLARRGHPVINVDQFLSSVGLYKLTSEGSNSETIKGILAKIYVIKKCIENKYSTWVMDGNMLLTSDLFSESENPNDDFFVANNFELFYAKSSSSSEKIWVDGFVSQIVAEVESLSGKDTEAHYRLSFVYIVKKLLEQKGARIGKVDESSLATKVGSGNASESFRGDKKLVYWSTEMELDSIRKWFKELNLWSIDNDLSCTAVVCHKS >Vigun05g301000.2.v1.2 pep primary_assembly:ASM411807v1:5:48442578:48443258:1 gene:Vigun05g301000.v1.2 transcript:Vigun05g301000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFEEAHQLKVRKMKTLHLLILSLFLFQVLLFPSTPPHAHAAPLGESTVKVMNLWPPRHPRRHPPPPPTPGYSPEPGPGKTKGSPPTLSSIVVAAPINPSNPSSASA >Vigun03g058500.1.v1.2 pep primary_assembly:ASM411807v1:3:4768505:4781681:1 gene:Vigun03g058500.v1.2 transcript:Vigun03g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRKKGVAAEDAIRVIGLGYDLTNDLRLKFCKNDSRLIAIDDDNLRTVELPPRISIPNVPKSIKCDKGDRMRLCSDVLSFQQMSEQFNQELSLSGKIPTGHFNVAFGFTSVWQKDAADTKTLAFDGVSITLYNIAFERTQLVLQDHVKQAVPSSWDPAALTRFIEKYGTHVIVGVKIGGTDIIYAKQQYSSTVQPAVVQKKLKDMADEFFVGGRINEKEKDNTLGFMDIQAGSYYESEVQDIKFICKRKGGNGKKSLSHSDWCQTVLSQPDVISMSFVPITSLLGGINGSGYLTHAMNLYLRYKPPIEELHQFLEFQLPRQWAPVFGELALGPERKPQNTASLQFSFLGPKLYVNTTPVDVGKKPVTGLRLYLEGKRSNCLAIHLQHLSSLPKTFQLQDEPNGNASNDSSERKYYEKVQWKSFSHVCTAPVQSDDEHAVVTGAHFEVGDTGLKKVLFLRLHFSKVVRATKVKEPQWDGSPGLTQKSGIISTLISTHFSGPQKPPPPRPSDVNINSALYPGGPPVPTQSPKLLRFVDTTEMTRGPQDFPGYWVVSGARLFVEKGKISLKVKYSLLTVIPDEEVGNF >Vigun09g254600.1.v1.2 pep primary_assembly:ASM411807v1:9:42121228:42124180:1 gene:Vigun09g254600.v1.2 transcript:Vigun09g254600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFMDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRKAQKSCSIL >Vigun07g166800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28128042:28129771:1 gene:Vigun07g166800.v1.2 transcript:Vigun07g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDEDGSSGSGEDVHMLDGHKRHQVVVPSGSGGRKRSRKANGDAIVDAMLEIAAASKMRANAILKNEDRFSISKCIKVLDELQGVDEQLYFLALDLFENNSSAREIFISLKGERRLPWLQCKLSAPHS >Vigun03g328600.1.v1.2 pep primary_assembly:ASM411807v1:3:52548493:52549487:-1 gene:Vigun03g328600.v1.2 transcript:Vigun03g328600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWIRPEVYPLFAAVGVVVGICGMQLVRNICINPDVRVSKEKRSTAVLENSAEGERYAEHVLRKFVRNKKPQIMPSINSFFADTDRD >Vigun03g231300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38550155:38551255:1 gene:Vigun03g231300.v1.2 transcript:Vigun03g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALRRLNGVAPTQEPDVVSDPHPKKCAAKRTLRDTANSGTAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGVKARTNFVYPDAADPHLFHPFNLPKHCHVSRFPHNTNLGSDFPATSHNSSSSSTSSLNMLLFRDFLNSSSNPCLLSSASNQQFHNSKSPTYVNTSSSTLPPTATNYLHGCCVGNADNYQNTVGANHGVEVADVIDEDSDFFPRESSDSGLLEEIVHKFLPKSKPKVETSTLNQSLPESVPQQIYDHVVVSASKGYGDSRMRVLPKVEGFGVCHDSPMQQFEALSGFNAMQGMTSFQRDNQFMLNHIPGFSSIEDYLQYPELLNSFATRMQNA >Vigun03g007550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:508915:509046:-1 gene:Vigun03g007550.v1.2 transcript:Vigun03g007550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNCAFHSFVLSILLSSPSASISHSPSFISTATDTEIHEIIE >Vigun03g290900.1.v1.2 pep primary_assembly:ASM411807v1:3:47408021:47411048:-1 gene:Vigun03g290900.v1.2 transcript:Vigun03g290900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVRSGFLRTALRGGARGSQAPKRNFSSAGHHDDAYETAKWEKITYLGIVSCSALAIYNLSKGHPHTEEPPAYPYLHIRNKEFPWGPDGLFETKNHH >Vigun11g179900.1.v1.2 pep primary_assembly:ASM411807v1:11:38394382:38398077:1 gene:Vigun11g179900.v1.2 transcript:Vigun11g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCASSLIHPGSTVLVSLPSIQRKKRGNVLCNNKVRKQRVASMSMSLGNQKNQNAEEASVREGERLYLGFDFGTSGARFAIIDKDDAIRAEAKREYPLYLSGESQDWVRSWKETLFSLLEDVPLDIRKHIVAISIDGTSATTLIVDSYTGEPLWRPFLYNESCSDALPMVKSIAPQNHTVCTGSSTLCKLVSWWNHVGSDKKPALLLHQADWLLWLLHGKLGVTDYNNALKVGYDPEVDSYPSWLVCQPYYHLLPSVLAPGTPIACLKEEIGKKYGFQKDCVVCTGTTDSIAAFLAARASQPGKAVTSLGSTLAIKLLSNTRIEDSRFGVYSHRLDDKWLVGGASNTGGAILRQLFTDNQLEKLSEQINPSQPSLLDYYPLPKAGERFPVADPNLAPRLHPRPENDVEYLHGILESIARIEAKAYGLLKELGATQVEEVFTAGGGAKNEKWIKIRERVLGLPVSRANQTEAAYGAALLAKKGDQQNILS >Vigun07g137300.1.v1.2 pep primary_assembly:ASM411807v1:7:24744705:24747948:1 gene:Vigun07g137300.v1.2 transcript:Vigun07g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Vigun05g081500.1.v1.2 pep primary_assembly:ASM411807v1:5:7671126:7675696:1 gene:Vigun05g081500.v1.2 transcript:Vigun05g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNLVFLIFSCTFVITLLNFPTTKAQNEDRVYFEYQNCSPNRTSSTSAYQNNLITLLSSFTSNASATLFYNTTILSRNNTVYGLFMCRGDIPLRLCKECVANATEKLSTDPECKNSVEAVMWYAECKLRYSNVPFFSVVATSPGIFLVSPSDVTTNSTISFMIFLRDAMNRTAEAAAVSDARFSTKETNLSHSQTVYTLAQCTQDLSPQNCRTCLAEAIKDLPTCCDGKQGGRVVFPSCNIWYEMYLFYGLITDNPPQRLAPSSGKGLSRTIILILIFAIALVGLLFGICCYILMRRKTRKSNNIILIENLGLGSSTIESLQFSLAIIEVATNNFADDNKIGKGGFGEVYKGILIDGTSIAVKRLSRNSKQGLEEFKNEVMLIAKLQHRNLVAFKGFCLDEEEKILIYEYVPNKSLDYFLFDTKQEDCLTWSERYKIIEGIAKGILYLHDHSRLKNC >Vigun11g003700.2.v1.2 pep primary_assembly:ASM411807v1:11:362087:364491:-1 gene:Vigun11g003700.v1.2 transcript:Vigun11g003700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEEVTAERVPHPPSPKLGFPLTDAGAANHVGGLSTILVASIQDAKDRIAQIEYVFCSQLYPQFKSDAASKRRRIDQLQREVDENMALHKNLVELVQSKVSALKDAEEKRNDAFSKLEDCESEKVKLLARIEELDEKLRRKTREVEEEGALLERVEALTRELQDEKAKRNRVTEAYKRLKSQHVYLRRKVGLCEENVVEQNKFESGSEFGTRQSPVAEPVLASDNPNITMGACEGAEVRNEIPLEDFGGLEMKTPDVFVTACEINEVKEKPSEEDRGANLSSPSSGFRDVPKCPPSTKLVSVSSTKRPASSWRQTRSHQSRTGPDPHDDFLDTPLENIRGHLNKDFNKEDLPNPIQKDINNDSSDDETQDMNAKSSPQKKLKQSSITVVDKRSYKYVEPVRKKAERENLKGVECKQCRKFYDAVLPNADGKDADSKQNFRCEHLDGVSRHRYRYVPPMTPEGFWNIGFESEM >Vigun11g003700.1.v1.2 pep primary_assembly:ASM411807v1:11:359852:364401:-1 gene:Vigun11g003700.v1.2 transcript:Vigun11g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEEVTAERVPHPPSPKLGFPLTDAGAANHVGGLSTILVASIQDAKDRIAQIEYVFCSQLYPQFKSDAASKRRRIDQLQREVDENMALHKNLVELVQSKVSALKDAEEKRNDAFSKLEDCESEKVKLLARIEELDEKLRRKTREVEEEGALLERVEALTRELQDEKAKRNRVTEAYKRLKSQHVYLRRKVGLCEENVVEQNKFESGSEFGTRQSPVAEPVLASDNPNITMGACEGAEVRNEIPLEDFGGLEMKTPDVFVTACEINEVKEKPSEEDRGANLSSPSSGFRDVPKCPPSTKLVSVSSTKRPASSWRQTRSHQSRTGPDPHDDFLDTPLENIRGHLNKDFNKEDLPNPIQKDINNDSSDDETQDMNAKSSPQKKLKQSSITVVDKRSYKYVEPVRKKAERENLKGVECKQCRKFYDAVLPNADGKDADSKQNFRCEHLDGVSRHRYRKGITKRFHITLPEKRYKANEWNKLRWLFLRWSLQCYTTVTFLLTTSHDKSG >Vigun11g003700.3.v1.2 pep primary_assembly:ASM411807v1:11:362203:364398:-1 gene:Vigun11g003700.v1.2 transcript:Vigun11g003700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEEVTAERVPHPPSPKLGFPLTDAGAANHVGGLSTILVASIQDAKDRIAQIEYVFCSQLYPQFKSDAASKRRRIDQLQREVDENMALHKNLVELVQSKVSALKDAEEKRNDAFSKLEDCESEKVKLLARIEELDEKLRRKTREVEEEGALLERVEALTRELQDEKAKRNRVTEAYKRLKSQHVYLRRKVGLCEENVVEQNKFESGSEFGTRQSPVAEPVLASDNPNITMGACEGAEVRNEIPLEDFGGLEMKTPDVFVTACEINEVKEKPSEEDRGANLSSPSSGFRDVPKCPPSTKLVSVSSTKRPASSWRQTRSHQSRTGPDPHDDFLDTPLENIRGHLNKDFNKEDLPNPIQKDINNDSSDDETQDMNAKSSPQKKLKQSSITVVDKRSYKYVEPVRKKAERENLKGVECKQCRKFYDAVLPNADGKDADSKQNFRCEHLDGVSRHRYRYIIK >VigunL079800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000604.1:12337:13116:-1 gene:VigunL079800.v1.2 transcript:VigunL079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGHLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFREKELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSRK >Vigun09g143600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30638526:30639485:-1 gene:Vigun09g143600.v1.2 transcript:Vigun09g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTHALPLLNSIFDLVTVTFISGLIILSVLSISFIFHLRLKSKSLTHLQNFNSLWTVRFLLVFFIFLWSITELFRLPFFRRKYIYTFLPSFTISQQANLCKVHIVLSLGFFEPAFLVTLLFLLNASIKRKTPNDAWAITFVLLICLPIATLHALLIFFSPLENRVPVETSMIFNDGYGFDTVLCTYPFLTSVAFAAFGITYCTWFLFSCWRVLSLVINKGLRVRIYILASIMLVALPLQIVALGFSVLWSPHQEVYGIISLVAFLGAFSCATTGEGILVIKPISDALDAGGDCCIWSPQQLPQLQGSVVLRLEGPPMS >Vigun05g292200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47840774:47841157:-1 gene:Vigun05g292200.v1.2 transcript:Vigun05g292200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLYVICVVCVFVLCCGVCMCFGFGVCCVLGCCDLGCVCLVVFCVVLCFVHVCVVVFGVFVVALIVFVLVCVFICFCGCGVLVVFYLYCLLCGVVFCVVYFFVFVLCVMCVCFFRVVYVLCCVLL >Vigun07g194800.1.v1.2 pep primary_assembly:ASM411807v1:7:31402668:31405453:-1 gene:Vigun07g194800.v1.2 transcript:Vigun07g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSEQKWMDNGPSRRAKWWYSTFHTVTAMIGAGVLSLPNAMAYLGWGPGILMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACIDCTQLKQSYWILIFGAIHFFLSQLPNFNSVAGVSLAAAVMSLSYSTIAWLACLTRGRIENVSYAYKRTSNTDFMFRIFNALGQISFAFAGHAVALEIQATIPSTPEKPSKIPMWHGALGAYFINAICYFPVALIGYWAFGQVVEDNVLMALERPAWLIASANLMVFVHVVGSYQVYAMPVFDLIERLMTRRFNFPSGLALRLVSRSAYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPRRFSINWFINWAAIYIGVCIMLASTIGGLRNIAADASSYSFYT >Vigun04g193400.2.v1.2 pep primary_assembly:ASM411807v1:4:41736810:41741375:-1 gene:Vigun04g193400.v1.2 transcript:Vigun04g193400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDRHVKEWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEHQTFTDKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDSKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNDNHPSIFSIVGMGGLGKTTLAHHVYRDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHALRDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLCKESSISYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDHEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKKTRHFSFAFDDVECFDGFGSFTDAKRLRSFFPYEEFGGRNIDYYPLQFKILVHELFSNFKFLRVLSLDGYSELKEVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCIEFENTKVTKMPMHFGELKNLQVLSPVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELLLKWESDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLESLKFSKMKELEECERKTAAFPRLEILSVYQCPKLKGLPDQLVNVKNLYIRKASCLERITLILVSRCCQFAVAHDLTHFLVKVYLLRSYC >Vigun04g193400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41736810:41741375:-1 gene:Vigun04g193400.v1.2 transcript:Vigun04g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDRHVKEWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEHQTFTDKVSTFFNSTFSSFNKKIESEMKEVLEKLKYLEQQKDALGLKNGTSYSDSKVSQKLPSSSLVVESIIYGRDADKEIIFNWLRSETDNDNHPSIFSIVGMGGLGKTTLAHHVYRDPKMEEAKFDIKAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVFKKHALRDDDLELNDEKEEIGRRIVEKCKGLPLALKTIGSLLCKESSISYWKRVLENDIWDLPKEVKIIPALLLSYQHLPSHLKRCFAYCALFPKDHEFDKKELILLWMAEGFLHHSQQINNVEEIGEQYFNDLLTRSFFLQSDFKTYFSMHDLLNDLAKYVCADFCFRLKFDKGNCIPKKTRHFSFAFDDVECFDGFGSFTDAKRLRSFFPYEEFGGRNIDYYPLQFKILVHELFSNFKFLRVLSLDGYSELKEVPDSVGDLKHLHSLDLSRTGIQKLPESTCLLYNLLILKLNYCSSLEELPLNLHKLTKLHCIEFENTKVTKMPMHFGELKNLQVLSPVFIDKNKEFSTKHLGCLNLHGRLSINEVQNIVNPLDALEANLKNKDLVELLLKWESDHIPDDPRKEKKVLENLQPSKIVEYLSIENYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLKTLEIIGFDGIVSIGDEFYGNSSSSFTSLESLKFSKMKELEECERKTAAFPRLEILSVYQCPKLKGLPDQLVNVKNLYIRKASCLERCEHTVSHNSLEALTFFVFPIMNISMSRSFDLLEQICIFESCDSLTTFPLDFFPNLKDLTLSSCRNLQMISQDHTHTSLEMLSIRSCSRFDSFPSEGLSAPQLLLIDIDGAENLKLLPKRIRILHPSLYVLNIINCPKVEMFPDGGLPPNVKDVSLSSLKLIASLKETLGTNTCLQSLSIEYIDVEYFPDEVLLPHSITSLEICRCPNLKKMEYKGLCHLSYLKLYDCPNLQCLPEDGLPESISSLRIWSCPLLERRCQNPEGQDWNKIAHIEDLSVRSKV >Vigun06g043800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16771863:16773562:1 gene:Vigun06g043800.v1.2 transcript:Vigun06g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVASYSVFPSKETPKGHLWLSDSDQVVRSGHTPTIYVYKAKHIDDTTKRLINSLAEILVHYYPLAGRLSLAESGRIEVDCNAKGVTFIEAETTKSLGDYGDFSPSESVKELVPKIDYTQPIEEIPLLLVQFTRFRGGEGFVVGVAFCHPLADGLAGTQFINLWAKVARGETLEPHELPYLDRTILKLQHSSASPCFDHPELKPLPLKLGSSDVNAEQSKKTSGVLLKLTPEQVQKLKKEANEEALEEGVREYSRFEAIAGHVWRCACKGRELDEKQPTVVRFNADIRSRLIPPLPRNYFGNALAATVSAECYVGEIVSKPLSYGAQKVREAIEKLSNEYIRSQLRIVLGEEQLDCIRGLFLGQGERMNVPFAGNPNLQITSWMSMAVYEADFGWGKPVFFGLAYVSAQDRAVILQSPDGDGSIIVSMHFQEPHLELFKKFFYQSL >Vigun09g110600.1.v1.2 pep primary_assembly:ASM411807v1:9:23910036:23911592:1 gene:Vigun09g110600.v1.2 transcript:Vigun09g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHLQPSTITLNTARSPPSLAPTLNAGLRPQFNPLALKSSFFSGPLNLLFHPKQQRVTSPAPRISMRVASKQAYICRDCGYIYNERTPFEKLPDKFFCPVCGAPKKRFRPYAPAVARNANDTDVRKARKSDIQKEEATGKALPIAAAVGIAVLAGLYFYLNNTF >VigunL000700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:9930:10892:1 gene:VigunL000700.v1.2 transcript:VigunL000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAHQGYENPREATARIVYANCHLANKAVDIEVPQAVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGNVLILPEGFELAPPIAICLFKTIALQKKNILVVGPILGQKYNEIMFPILAPDPASKRDIHFLKYPIYVGENRGKGHIYLDGSKSNNNVYNATTTGIVKKIIQKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESNKFDQPLTSNPNVNGFGQGDVEIVLQDLLRVQCLLFFLASITLAQIFLVLKKKQFEKVQLFEMNF >Vigun09g078100.1.v1.2 pep primary_assembly:ASM411807v1:9:9040647:9047715:-1 gene:Vigun09g078100.v1.2 transcript:Vigun09g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSQRSGHQVKEEKRTESSHGDEEHPREHEIVMQATPERSTVETGPNGSCISKKEEVDELENAKAEMGEVIEENQRLKMRLNRILNDYRTLEMQFHSIVEEERKDCSEKQKNDEAMEESELVCLSLGRIPNPRSNEKGKVPKRLKEEEDKEGLSLGLECKFETSKSGSTISERVGNGSPSNSVEEVGKEEGGGGESKGQKTNRDELIGEQNPAKKTRVCVRARCDTPTLNDGCQWRKYGQKISKGNPCPRAYYRCTVAPSCPVRKQVQRCAQDMSILITTYEGIHNHPLPLSATAMASTTSAAASMLLSGSSTSLSASRPSPTITTTAADLHGINFSLSDSPQPNQYYLSHPSLSSSPSHPTITLDLTSNPSSSSSSSSPPFVKFTSNSNYNPHRYPPSTSLSFTSSPSNATSWTNGFFTYNRNANANINLGRQQPEQQPNDNIYTSFMQRNNNPIPPPQHSLPDTIAAATKVITADPNFQSALAAALSSVIGSANIQGNQGAAENLIQKIKWGELFPPSFALPSSSKVNGCASSFLNKSPANSQPGSLMFLQPPLPLSSPKSASGSPGDHRDKKN >Vigun03g382800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58757989:58758750:-1 gene:Vigun03g382800.v1.2 transcript:Vigun03g382800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQTHIMKDAQYMESPEEDEEEEQEEALSLCDLPLNPDSRTPSFDETSLKKILRPSSLHDNAGEIFNGFSSSSSSDMCPADDIIFCGKLLPLKNLIVEEDKSPARRRRSESLSSVTRSNSVSTCTGSRRLMMRNSKSLDYNRLRESSNPEVDRNSSARSGTLQEAASKKTTKPRWYSLMFGTMKVPAEMELSDMKNRQVRRNASSTMFLAAEKMGGNRSTGKVSWRILKALSCKDHSSVAVTTSFPLPQAS >Vigun01g098900.1.v1.2 pep primary_assembly:ASM411807v1:1:26552266:26556965:-1 gene:Vigun01g098900.v1.2 transcript:Vigun01g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGAIQEPKNGSLARQGSLYNLTLDEVHNQLGNLGKPLGSMNLDELLKGVWTAESGTDAYMQQGQVASAGSSLNPQGSLTLCGDLSKKTIDEVWRDMQQKKSVSQERERQPTLGEMTLEDFLVKAGVTTELFSNEDSAMAMPGVDSQHNTSQHAHWLQYQLTSVQQQQPQQQHHQHQNHQNSLMPGFSGFMAVQQPMPVVVNPVLDAGYPEAMPSSLMGALSDSQSAGRKRAASGNVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSRLEEENERLRRQNEIEKVLPSAPPPDPKHQLRRTSSAPL >Vigun02g195600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33124361:33126694:-1 gene:Vigun02g195600.v1.2 transcript:Vigun02g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQFLLSVAFLLCSFTSGNHANSIQLGSTIVAGTNSSWLSSSGDYAFGFYHLTTGRYLVGIWFDKIPDRTLVWSANRDNPVEIGSSINLTRSGQFVLQPLNGDSFPIYEGTNAASAEMNDDGNFVLKNSLSNVIWQSFDSPTDTLLLGQTFNTSRKLYSNANGSVDYSTGQYSLEIQQSDGNILLKAHRFTDSAYWWSGTVQNTGVRIIFNSTTAFLYAVNATNQIIYYMTTEAEGAIQDYYHRVVVDDKGNFQKWIYLKENGNEWRSVWKAVTEPCTVTALCGVYGFCNTTDSENHTYTCGCLPGYTPLDPTAPSKGCYLSEVMDLCAANSSASNFMVEVKEIQRADIPTEGYVFFDQRVLNNMDLERCKKELMDDCLSMVSVLIGSDCHKKKWPIINAARIIPKTNNSVMLVKVPLVANDKKSSSLVVLIAALISCSLLASLFVATTIYHHPVCLHKKAPPKPKPKPMDINLKAFSFQQLREATNGFKEKLGRGAYGTVYGGILNMEGQQVQVAVKQLEQVEDQGDKEFVTEVQVIALTHHRNLVGLLGFCNEQSHRLLVYEKMENGTLSNFLFDEGDKPSWESRVRIVVEIARGLLYLHEDCDHQIIHCDIKPQNVLLDSNYTAKISDFGLAKLLMKDKTRTNTNARGTVGYMAPEWLKNAPVTTKVDIYSFGVMLLEIIFCRKHIELHQIEDETMGDDLILIDWVLYLAKQNNLRAAMIHHLEVESDIRRFERMAMVGLWCVNPNPALRPSMKTVVQMLEGNVEVGVPSIDS >Vigun04g052600.1.v1.2 pep primary_assembly:ASM411807v1:4:4907167:4909824:1 gene:Vigun04g052600.v1.2 transcript:Vigun04g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTAKLLSAFIIALIAISMLQTMVVASHGHGGHHYNDKKKFGPGSLQSSQCPSQCTRRCSRTQYHKPCMFFCQKCCMKCLCVPPGYYGNKAVCPCYNNWKTKRGGPKCP >Vigun05g025300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2059594:2061211:1 gene:Vigun05g025300.v1.2 transcript:Vigun05g025300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIASPMQEDDAGCSNENYGYHDIYGENRRKKQGAKVDEEESDDSMASDASSGPVHYHHAYGHSKNSHGTNASKKDKQDHGSKCSKKNASKQEKKRVDSRSKK >Vigun05g025300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2059594:2061211:1 gene:Vigun05g025300.v1.2 transcript:Vigun05g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFKQIWGGAEGCSSSESGWTMYIASPMQEDDAGCSNENYGYHDIYGENRRKKQGAKVDEEESDDSMASDASSGPVHYHHAYGHSKNSHGTNASKKDKQDHGSKCSKKNASKQEKKRVDSRSKK >Vigun09g095300.1.v1.2 pep primary_assembly:ASM411807v1:9:14128882:14130906:-1 gene:Vigun09g095300.v1.2 transcript:Vigun09g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQPLSSLLKELANQLNTSYKAMLLFFLSLLFVLTNQRRRRNKSNLPPSPPKLPIIGNLHQLGTLPHRSFQALSRKYGPIMFLKLGQTPTLVVSSADVAKEVYKTHDLAFSNKPQTTAVKIIMYGCMDVAFSPYGEEWRQKRKICVLELLSTKRVQSFQSIREEEVAAMLGVVREACRAGKTSSSVNLTQILIETSTNIVSRCVLGRKYDNPDGGISFGELGRKMMKHLATFSVGDFFPLLGWIDVLSGQIPEFKATFRALDSFFDQLIAERKTIMNMESYQPDRNKDFVDSLLQIQDGPGKYDFQLTHDDVKAILMDIFAGGSDTTSTLLEWVFAALLNNPATMKRAQEEVRRVVGDKLKVEENDLNELNYLKCVVKETLRLYPPAPLLIPRETLSDVKVKGFDIPSKTRVFVNAWAIQRDPEIWNRPEEFLPERFEEEPEVDFRGNDLQFIPFGSGRRGCIGISFALASTEYMLANLLYWFDWKIPHENGKPVQDVDMTEIWGLTVIKKLPLHLQPQLHSFGAYN >Vigun11g096600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28152781:28153501:-1 gene:Vigun11g096600.v1.2 transcript:Vigun11g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKYRTTMSKYVSKITEFALDKLKVIWVVALWLVINLVLYIWKFKQYRQKGAYEVMGYCVRFAKGAAKTLKLNMALIVLTMCRRTLTKLRE >Vigun05g157100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25546046:25547461:-1 gene:Vigun05g157100.v1.2 transcript:Vigun05g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTPISALIHAATMVAAGIFLVARLFPLFIVLPKIMNAIAFIGIITVILGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRGALFHLITHAYSKALLFLGSGSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPITKTFFLVGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSAAGLTAFYMFRIYLLVFEGYLNVHFLNFNGKKNSSFYSISLWGKKQVKLKRKNENFFLVLLKIKKNEITSFFIRKRYLHRVNQNIKNIKHLVFGIMHFGTKKTACLYPNESNNTMRFSMLILALFTLFVGAVGISFSQDGIHLDILSKLLIPFIDLLHKDSENFMNYYEFFTNATFSLSFTFWGIFLASFFYKSVYSYLKNLNLLNLFEKSFLKKNVADHFQNIIYNWSYNHGYIDLFYEKSLIASIRRLVKLNSFFDKKRIDGITNGIGITSFFLGEAIKYVGGGE >Vigun05g202500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39111585:39115041:-1 gene:Vigun05g202500.v1.2 transcript:Vigun05g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQVFSFGVASAGLPYIPSFPTVPSLPNRLLGSLKYDTGNSPNSPFSTYFDSDTISALSDSQEQYSPGEILSGVSSSCNSSLEANQYMYKSISSVDFIDNFPLYSAWNNSLPQNVNSNQKIQHALLELETALMAPDDDQVTTPNTLAESSRPMASGHRYRSWRHENDGAQYAQPSYAPSSRLSSEVVHVEKRQKLMEEPSLQAFPPNDLKQLLIACAKTLHENNLKDFDQLVGKARDAVSINGEPIQRLGAYMVEGLVARKEASGKSIYHALRCREPEGEELLSYMQLLFEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQIAQGSQWMTLLQALAARPGGAPHVRITGIDDPVSKYARGGDGLDIVGKRLSLMSEKFGIPVEFHGVPVFGPEVTREMLDIRPGEALAVNFPLQLHHTADESVHVSNPRDGLLRLVKSLSPKVTTLVEQESNTNTTPFFNRFIETLDYYLAIFESIDASLLPRDSKERINVEQHCLARDIVNIIACEGKERVERHELLGKWKSRLTMAGFTQSPLSSYVNSVIRILLKCYSEHYTLLEKDGAMLLGWKDRNLISASAWHC >Vigun05g163300.1.v1.2 pep primary_assembly:ASM411807v1:5:26286130:26288484:-1 gene:Vigun05g163300.v1.2 transcript:Vigun05g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLETTLLAISCILCSVLLGFSDAAPTPRYNVVKFGAKADGKSDCTEAFIKAWQSACANPNPATIYVPKGRYLLRNTNFRGPCKRKVTFLIDGTLVAPEDYNALGNSGFWILFNHVENLVVSGGKLDGKGAAFWNCRRSGKNCPPGARSMTFNWVNNLVVSGLTSVNSQLSHLVINTCNNVVVKNVRLIAPDQSPNTDGIHVERSTGVTINGCTLQTGDDCISIGDATYNLFISNIKCGPGHGVSIGSLGQKVDEKGVENVTLTNAIFSGSDNGVRIKTWARPSNGFVRNVVFQNIVMDRVQNPIIIDQNYCPNNQGCPGQTSGIKISQITYLNINGTSATPEAVTFDCSPSNPCRGIKFQDINLTYKNMAATSSCKNIEGTSSGTLVPESCL >Vigun06g033350.1.v1.2 pep primary_assembly:ASM411807v1:6:14169950:14170303:-1 gene:Vigun06g033350.v1.2 transcript:Vigun06g033350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMAYGLAITRLSEGQMPATCDEYKPLFAPCVPYLVSQEFSTPTPRNNPAALKNLCTCLDASTTNLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun08g113300.2.v1.2 pep primary_assembly:ASM411807v1:8:27983511:27987784:1 gene:Vigun08g113300.v1.2 transcript:Vigun08g113300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSVLNENSSPKSFLNQLVLPNGPPDLLPSSNSEEFEFSDVFGPSPSGGKVCNDPDCINIRSQSLFAPTTCIGQSLQLSKLTLHETEDALDLVEVLTETQKELEHSVNNAAVERHNLHFNGYCLNNQTIGVEDFGLLKVVGQGAFGKVYQVKRTGTSEIYAMKVMRKDKIIERNHAEYVKSERDILTKVDNPFVVRLRYAFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARFYAAEIICAVSYLHANDVMHRDLKPENILLDADGHAVLTDFGLAKQFNGTERSNSMCGTVEYMAPEIVMGKGHDKAADWWSVGILLYEMLTGKPPFCGGNRHKIQQKIIKDKIKLPAFLSNEAHSLLKGLLQKDVSKRLGSGSRSSEEIKSHKWFKSVNWKKLEAREVRPSFVPDVAGKHCVANFEERWTSMPLLDSPASTPKKDHSTFKKFSYTGAPVQ >Vigun08g113300.1.v1.2 pep primary_assembly:ASM411807v1:8:27983855:27987871:1 gene:Vigun08g113300.v1.2 transcript:Vigun08g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFSVLNENSSPKSFLNQLVLPNGPPDLLPSSNSEEFEFSDVFGPSPSGGKVCNDPDCINIRSQSLFAPTTCIGQSLQLSKLTLHETEDALDLVEVLTETQKELEHSVNNAAVERHNLHFNGYCLNNQTIGVEDFGLLKVVGQGAFGKVYQVKRTGTSEIYAMKVMRKDKIIERNHAEYVKSERDILTKVDNPFVVRLRYAFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARFYAAEIICAVSYLHANDVMHRDLKPENILLDADGHAVLTDFGLAKQFNGTERSNSMCGTVEYMAPEIVMGKGHDKAADWWSVGILLYEMLTGKPPFCGGNRHKIQQKIIKDKIKLPAFLSNEAHSLLKGLLQKDVSKRLGSGSRSSEEIKSHKWFKSVNWKKLEAREVRPSFVPDVAGKHCVANFEERWTSMPLLDSPASTPKKDHSTFKKFSYTGAPVQ >Vigun04g107500.1.v1.2 pep primary_assembly:ASM411807v1:4:25907726:25910250:1 gene:Vigun04g107500.v1.2 transcript:Vigun04g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFFYFFLTCIVLLFSWSFFFKTRSFWNLPPGPFSFPIIGNLHQMRQPLHRTFHALSQKHGKVFSLWFGSRFVVVVSSPEAVQECFTKNDIVLANRPRLLTGKYIGYNYTTVAVSPYGDHWRNLSRIVSLEVHSTHRLNCFSEIRRDEVTRLVRKLAHDSRSGFAKVELKSSFSEMTVNIIMRMVSGKRYYGEDCDVCDVEEARQFRGIIKELVAVGGANNPGDFLPLLRWFNFDDLEKNLNRTSKITDAFLQGFTDEHRNRKQSVNTMIDHLLTQQQSQPEYYTDEIIKGLVLVILLAGTDTSAVTLEWAMSNLLNHPEILKKAKREIDTHIGQNRLVDEVDIPKLPYIQNIVYETLHLHPAAPMLAPHFSSKDCPIGEYNLPQNTILLVNAWAIHRDSNLWSDPTHFKPERFENKNEVNKLLPFGLGRRACPGSNLAQRTLSLTLALLIQCFEWKRSTDEEIDLIEGKGVTVGKKFPLEAMCQVWQPSPISDIF >Vigun07g110400.4.v1.2 pep primary_assembly:ASM411807v1:7:20454126:20467885:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDSSDEHSWKKSRDLLEKVVRHGELTGYRTPCMVIAAKDDLSPLPRAVLDSFKVTQELGIKAPVHVSMKLGDSSNVYNKIVNAAENPHLSIPETEISRRKKQHHQFHRSLIFALVGAAMAVAGLTACRVRAAKKNATTA >Vigun07g110400.3.v1.2 pep primary_assembly:ASM411807v1:7:20454126:20467885:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQWALMTLLDPKLSLANLIYIGYKGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDSSDEHSWKKSRDLLEKVVRHGELTGYRTPCMVIAAKDDLSPLPRAVLDSFKVTQELGIKAPVHVSMKLGDSSNVYNKIVNAAENPHLSIPETEISRRKKQHHQFHRSLIFALVPSIYSWGCYGGCRFDSLSRACSEEECYYCLAMKQPLCYCF >Vigun07g110400.2.v1.2 pep primary_assembly:ASM411807v1:7:20454125:20467986:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQWALMTLLDPKLSLANLIYIGYKGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDR >Vigun07g110400.5.v1.2 pep primary_assembly:ASM411807v1:7:20454126:20467885:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQWALMTLLDPKLSLANLIYIGYKGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDR >Vigun07g110400.6.v1.2 pep primary_assembly:ASM411807v1:7:20454126:20467885:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDR >Vigun07g110400.1.v1.2 pep primary_assembly:ASM411807v1:7:20454125:20467986:-1 gene:Vigun07g110400.v1.2 transcript:Vigun07g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSAGDRRVVRVAIVGDGGTGKSTLVAAMASESFPKSVPPVLPPTRLPHNLYPDCVPLTLIDTPSSFEKQGARNEELKRADAVVLTYACNELLSFERLSTHWLPELRKLEVKAPVIVVGCKLDLRNENQLVSLESLTTNIMQQFTEIVTCVECSAATLYQVPEVFYFALKAVLHPVDPLFDYKRHGLTDRCVRALRRIFILCDQDMDGALNDEELNEFQVKCFNAALQPSEIVKVKSIVQQKVPDGVNSIGLTFPGFIYVHNMFLKKGRTETLWTVLRKFGYDNDLKLRDDFLPVPSMHASDQGVELTREAVEFLNGIFQLLDTDKDLTLQPAEVDNLFDTAPESPWNNAPYKDAAEKTDMGYISLNGFLSQWALMTLLDPKLSLANLIYIGYKGNPAAAFCVTSKRSLNRKKQTTERNVFQCYVFGSKHAGKSALLHSLLGRPFSNNYAPTTVEQYAANVIEVNGVTRKILILREIPEDRLPELLSNQDCLAACDVAVFVYDSSDEHSWKKSRDLLEKVVRHGELTGYRTPCMVIAAKDDLSPLPRAVLDSFKVTQELGIKAPVHVSMKLGDSSNVYNKIVNAAENPHLSIPETEISRRKKQHHQFHRSLIFALVGAAMAVAGLTACRVRAAKKNATTA >Vigun09g156400.1.v1.2 pep primary_assembly:ASM411807v1:9:32190715:32192445:-1 gene:Vigun09g156400.v1.2 transcript:Vigun09g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNRRLPPSPLGRNSSLLQRLHREENRRTREEKPSEVKIESSEKARGTDAGVNKRKPIRRPRSKRPYLLQTKPWQENLKVLRAAAACVYINKQTPEQNPLEDDASSSGCSNVKDFETDCDDNIGVHLLAEVATGISLGVEEKEEHGMEKSKLKRSL >Vigun04g057400.1.v1.2 pep primary_assembly:ASM411807v1:4:5648792:5652803:-1 gene:Vigun04g057400.v1.2 transcript:Vigun04g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPAEENEEGNVEELMMMEGVASIALLPCGSISGHFIQLPHSTCYGLSGTELACERECSRGEDYRVIKLTITDFNTKKEQATIVECKGHDAARFHSIDHIHGWGKDITGMVEQKDGKKRISVSFECETLKADKAAEDHIKKFMPKLAGLDAVVNIGKMKISGLDFGAEEDEETE >Vigun03g295600.1.v1.2 pep primary_assembly:ASM411807v1:3:48141124:48152914:-1 gene:Vigun03g295600.v1.2 transcript:Vigun03g295600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPSRLAILPFKNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVRDAAEIKPVGPTVSEGTDSANQNTRVQGGSSDSHKLDTKKQNDVVHWHNRGVAARPLHLSRGVEKPSGRVTYTVVLEGLCRFSVQELSTRGIYHTARITSLEMTKTELEQVEQDPDFIMLSRQFKATAMELISVLELKQKTGGRTKVLLDNVPVHKLADIFVASFEISFEEQLSMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSARMPQNIWKHAHRELRRLKKMQPQQPGYNSSRVYLELLADLPWQNASEEIELDLKAAQKRLDNDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKRVGVCNPVMLLDEVDKTGSDIRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVVFVATANRLQPIPPPLRDRMEVIELPGYTPEEKLHIAMQHLIPRVLDQHGLSSEFLQIPEAMVKLVIHRYTREAGVRNLERNIAALARAAAVRVLEQEQVVPLNKGMQGLTTPLVENRLADGTEVEMEVIPIGVNSRDITNTFRIASPLVVDETMLEKVLGPPRFDGSEAAERVATPGVSVGLVWTAFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQIALTWVRARATDLRLAAEEGFSLLEGRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQQRVRSDTAMTGEMTLRGLVLPVGGVKDKILAAHRYGIKRVILPERNLKDLVEVPSSVLANLEILLAKRVEDVLEHAFDGGCPWRQHSKL >Vigun03g295600.2.v1.2 pep primary_assembly:ASM411807v1:3:48143687:48152914:-1 gene:Vigun03g295600.v1.2 transcript:Vigun03g295600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPSRLAILPFKNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGLIGILPVRDAAEIKPVGPTVSEGTDSANQNTRVQGGSSDSHKLDTKKQNDVVHWHNRGVAARPLHLSRGVEKPSGRVTYTVVLEGLCRFSVQELSTRGIYHTARITSLEMTKTELEQVEQDPDFIMLSRQFKATAMELISVLELKQKTGGRTKVLLDNVPVHKLADIFVASFEISFEEQLSMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSARMPQNIWKHAHRELRRLKKMQPQQPGYNSSRVYLELLADLPWQNASEEIELDLKAAQKRLDNDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKRVGVCNPVMLLDEVDKTGSDIRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVVFVATANRLQPIPPPLRDRMEVIELPGYTPEEKLHIAMQHLIPRVLDQHGLSSEFLQIPEAMVKLVIHRYTREAGVRNLERNIAALARAAAVRVLEQEQVVPLNKGMQGLTTPLVENRLADGTEVEMEVIPIGVNSRDITNTFRIASPLVVDETMLEKVLGPPRFDGSEAAERVATPGVSVGLVWTAFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQIALTWLTSMFLSCQ >Vigun11g081550.1.v1.2 pep primary_assembly:ASM411807v1:11:24081136:24082289:1 gene:Vigun11g081550.v1.2 transcript:Vigun11g081550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDKENLIIVILFYFAVERKGAWRRRKSIFSIPLHLLTLFFLLYTLVGPIPIDDGVGKEVITRMTTNMATNKEFYTDSNDKDILK >Vigun08g146200.1.v1.2 pep primary_assembly:ASM411807v1:8:31918031:31918867:-1 gene:Vigun08g146200.v1.2 transcript:Vigun08g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYSPIMLALSLLLLVSFWNVAEAYTRSGTLRPSDCKPKCTYRCSATSHKKPCMFFCQKCCAKCLCVPPGTYGNKQLCPCYNTWKTKEGRPKCP >Vigun05g264800.1.v1.2 pep primary_assembly:ASM411807v1:5:45685571:45693745:-1 gene:Vigun05g264800.v1.2 transcript:Vigun05g264800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSRNLSEIFLPLSSVLLVLCTSNLRVYAYNDIAVTSREEKTFEHDHDIHLCTVRLENDDSEMDPEKSVEDQFSKLHPSMPVNTKIGIVGAGPSGLSAAYALIRLGYNNVTVLEKHHAVGGMCESVEIEGRVYDLGGQVLAASSAPIIFHLAKETGSPLEEMDSHKLAVIDSSSGKYQDIKVADDYVSVMSLTLAIQEKVKHSGRLGVHAVSEVASDLAPEYLEQHGLKSIPKSVAYGYTASGYGFVQDMPYAYLHEFTRTSMAGKIRRFKGGYTSLWQRIAESLPIKLHCNTEVLTIKRNSDSVTVNIKCSNEIETMEFDKIIISGNFPLKYGRTYRSVPSTNLECESEVMDVSELEKDLFSKVETNDYYTTVLKIKGMEHMPVGFYYFREYMEDPSTIGNPVAMQKFYADSNIFLFWSYGNSADIKGQTVTDLAIKSIKSMGGEVENFILQRRFKYFPHVSSQDMRNGFYEKLESELQGSRNTYYVGGLMAFELTERNSSYAMALICKKFSNSSDLPEFSYTKSLFPLQTEFQKKEPKELGELPGVQFPDLPTLNSYLKHWGTDPVTENRTLYTWINEGGTVGGKRTYREQHLNASCIAHKLLTSEKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPMQRGGQALLKIENIAKSCGIVAILSTVAYHSAVRVGLVKSMISLTGKIGKSSAQWPKLPWLHTDTWVNNNSRNLALGDAGEDQCESKPGDICFLQFTSGSTGDAKGVMITHGGLIHNVKLMKSIYKSTSRTMLVSWLPQYHDMGLIGGLFTALVSGGSAVLFSPITFIKKPLLWLETMSKYQATHSAGPNFAFELVVRRLESEKDKLQNLNLSSMIFLMIAAEPVRQKTLKRFLDLTASFGLSQKVMAPGYGLAENCVFVSCAFGEGYPILVDWQGRVSCGYIHPGDADIDIRIVDPENGEELREDGKEGEIWISSPSAGIGYWGKEELSQKTFRNELQNHPGRNYTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVESSSEFLRPGCCAVIGVPEEVLSAKGITLPDGSDQVGLVVVAEVRDAKAVSKDVIEHIQTRVAEEHGVSVASVKLIKPRTISKTTSGKIKRFECLKQFADDTLNLVPQPIQTRKSLLRSFTTGTCTEGRTPRAQLVRSSNPLPIPRISNKEIVEHLKRLVSEHSGIPVKDILVTENMSAYGIDSIGVVKATQKLSDFLGVPVAAIDVFTASCIQELANFSENLMLKSQPELMSNSHAPVAEIDTTEIVVDVSRSRQWGIRLLQLLALIYVSIMLFSPAYLSITAFQSFIPNASESVYGMPWSNYLLSLTLAPLSWILCMVSTCICISLLGNSFLRPNYALTPEISIYSMDFVKWWALYKTQEISSKVLATHLRGTVFLKYWFEMLGARIGSLVLLDTVDITDPSLVSIGDEVSIAEGVLIQSHEVKNGILSLLPIRIGKNSSIGPYSTIQRGSVIKEGSEVEPLQKIEGGQHVPKPAKLNNVKENAVLLVTTSKTQSNAMYHFLGIYLTGFLSSFAAAIAYILYIWFFQIPASFQHFSFVCLCGAFHWVPFTIVAYATMFSDIPSNPIFFTISFSCAYLLHGLILTSLTCALTRLLKFSQNQSHFKTWLRHQLTISCHQRFAKLLSGTEAFCIYLRLLGAKIGKHCSIRAINPVSNPELMSIGDGVHLGDFSKIITGFYYSNGYACGKIEVQENSVMGSQSLILPGSVVEKNVILGALSVAPMNSILHEGSVYIGSQTRVAIRNSSNSLDERIEEMNTEYKKVVANMAANLAATTINVKARYFHRIGVSGKGHLKIYEKLDGIPLHKVFQPGKSYPVMVRHSNSLSADDDARIDARGASLRILSDAPDSNHVPLIDLTLKTGNAFYARTIADFASWLVCGLAAREELVKRTPHVRDAVWNSLRHAHSYAELHYYSNICRLMRFTDGQEMYVKFKIRPIDTSIGEDTGKVKPTGILPPETGAIPRDENDTRPLLFLAEDFQRRVSSPGGVRYVFQVQLRAVPEDEATRDIALDCTKPWNESEFPYLDVGEININENLSREESDRLEFNPYLKSHELDVIPATSNTQSASIDHGRSLIYEICQHVRNGLPLPVSWRNLVEQSSVKVDLSCCPVAASVATSKPKKETKVVTTLTLTRTWYQTFSAVFTQPLLQAVLPYTVVGLSVFSPLNFVMNMKNAEKVSVQWLFPLFWILSGVMGALACVVAKWVLVGRKREGERVALWSKRVTMDSTWQAIRTLVGEYFMDIGSGSFLFVLWMRLMGAEIDMDGDVYVDSMGALLNPEMVKIERGGSVGREALLFGHIYEGDEGGMVKFGGIKIGEDGFVGSRAVIMPGVHVENEANLPVLSLAMKGEIVRSR >Vigun05g148500.1.v1.2 pep primary_assembly:ASM411807v1:5:21296560:21304086:-1 gene:Vigun05g148500.v1.2 transcript:Vigun05g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRLLHLFSLSATSNLPSILHKNSKVYNKVAGFRSLSTTSVKMGSIGNHGAVSFQSVVVMRHGERFDNLEPSWAATAARPWDPPLAEPGRRRALETGRRLRESLGFPIRRVFVSPFLRCLQTAVELVQSLATVEKGGSTTGDGVSVDPSEVKVSVEYGLCEMVNSKAIRPNVAPKDGNLRFDIAECEAMLPAEVVDKNVERVYKEFPRWGETEFQAGERYKHLIKDLADKYPTQNLLLITHGEGVKVAVSSLRKDAEINEVQYCGYVELRRPIFNKDHAFTFGEFDLLTPSDKTGVSYSLPPFHNSTNQTFP >Vigun05g148500.2.v1.2 pep primary_assembly:ASM411807v1:5:21296560:21304086:-1 gene:Vigun05g148500.v1.2 transcript:Vigun05g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNHGAVSFQSVVVMRHGERFDNLEPSWAATAARPWDPPLAEPGRRRALETGRRLRESLGFPIRRVFVSPFLRCLQTAVELVQSLATVEKGGSTTGDGVSVDPSEVKVSVEYGLCEMVNSKAIRPNVAPKDGNLRFDIAECEAMLPAEVVDKNVERVYKEFPRWGETEFQAGERYKHLIKDLADKYPTQNLLLITHGEGVKVAVSSLRKDAEINEVQYCGYVELRRPIFNKDHAFTFGEFDLLTPSDKTGVSYSLPPFHNSTNQTFP >Vigun06g196900.2.v1.2 pep primary_assembly:ASM411807v1:6:31229961:31236402:1 gene:Vigun06g196900.v1.2 transcript:Vigun06g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDLNTTEDDEAPLSASSSSAASSSSSHSGISTSASTLVGPPPPPPPPPSSVCLELWHACAGPLISLPKKGSVVVYLPQGHFEHVQDFPVTAYDIPPHVFCRVLDVKLHAEEGSDEVYCQVLLVPESEQVEQSLREGEIEADGEEEDTEAMVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAAQLKGSGSFAVPTGQQLNPGTFMDVVNALSARCAFSVCYNPRFSSSEFIIPVHKFLKSLDCSYLVGMRFRMRFETEDAAERRFTGLIAGISDVDPVRWPGSKWRCLLVRWDDMEAARHNRVSPWEIEPSGSASSSSNMMAAGLKRTRIGMTSTKMEFPSPTDGIGTSDFGESLRFRKVLQGQEILGVNPPFDGVNAQTPRLYDLGRYYIGPNCPGIPPTGNNIRMPHAASDFSCNGTGFSESFRFQKVLQGQEILPSQPYGRALSIEEARANGRFGHFDGYQLLNSRNGWSVQMHDNAPHLHASVTPSQVSSPSSVLMFQQAVNPVSNSDYNTKKHSKMYQGLCASEVKARTFASPPSDDLAFSRRAPERASSLGMFDVRNRLGSSQSHNSVSALRNNQELVSSCKSSCRLFGFSLTEDTHVATKEVDASTITLPMNAGPSFTRIVEDEFHPSRALQSKAVGSNCTKGVLQY >Vigun06g196900.1.v1.2 pep primary_assembly:ASM411807v1:6:31229924:31236468:1 gene:Vigun06g196900.v1.2 transcript:Vigun06g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDLNTTEDDEAPLSASSSSAASSSSSHSGISTSASTLVGPPPPPPPPPSSVCLELWHACAGPLISLPKKGSVVVYLPQGHFEHVQDFPVTAYDIPPHVFCRVLDVKLHAEEGSDEVYCQVLLVPESEQVEQSLREGEIEADGEEEDTEAMVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAAQLKGSGSFAVPTGQQLNPGTFMDVVNALSARCAFSVCYNPRFSSSEFIIPVHKFLKSLDCSYLVGMRFRMRFETEDAAERRFTGLIAGISDVDPVRWPGSKWRCLLVRWDDMEAARHNRVSPWEIEPSGSASSSSNMMAAGLKRTRIGMTSTKMEFPSPNGIGTSDFGESLRFRKVLQGQEILGVNPPFDGVNAQTPRLYDLGRYYIGPNCPGIPPTGNNIRMPHAASDFSCNGTGFSESFRFQKVLQGQEILPSQPYGRALSIEEARANGRFGHFDGYQLLNSRNGWSVQMHDNAPHLHASVTPSQVSSPSSVLMFQQAVNPVSNSDYNTKKHSKMYQGLCASEVKARTFASPPSDDLAFSRRAPERASSLGMFDVRNRLGSSQSHNSVSALRNNQELVSSCKSSCRLFGFSLTEDTHVATKEVDASTITLPMNAGPSFTRIVEDEFHPSRALQSKAVGSNCTKGVLQY >Vigun10g055200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9428062:9431671:1 gene:Vigun10g055200.v1.2 transcript:Vigun10g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHTLLCFFLLPFLCINHNANVFPANAYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWNGVTCKEGRVVALDLSEESISGGLLNSTVLFGLQYLQSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHCLKLDKPNIAMLLQNLTEITELYLDGITISAKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLLSLTVLNLSNNSMSSSVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLTGSLPNFQPRGSVRDLNLSETSFTGNVPGAISNLKLLSTIDISYCQFNGTLPSSMSELTQLVYLDLSSNNFSGPLPSFNMSKNLTYLSLSHNSLTGVLPSSHFEGLKNLANIDLGFNFFIGNLPLSLLKLPYLRELKLPFNQLTGILDESVITSPTLEMLDLDSNHLEGPIPLSIFNLRTLEVIQLNSNKFNGTLQLHMIRRLSNLTTLGLSYNNLSVDIYSRYDRDSSPFPALRNIMLASCKLRGIPAFVKSQSTLLHLDLADNEIQGTIPYWIWQLKYLVILNLSKNFLTKLEGNVWNFSSNLLHLDLSSNQLQGPFPFLPTFVNILDYSNNRFNSVIPADIGNRLPFVTQLSLSNNSFHGQIPESFLNASHLLLLDLSHNNFVGTIPRCFAKLSSTLRVLNFGGNKLQGYIPDTLPTSCSLQLLDLNNNLLDGTIPTSLAFCQKLQVLNLGRNFLTDKFPCFLSKISTLRIMDLRLNNLHGSIGCPKNRGDWEMLHMVDVAFNNFSGAIQGALLNRWKAMMRDNDNVGPEFGHLFIELVDNYDPKNFKELMSLLDKNIVAKLAKLVAHIPRSILDQGSSEPYKVDLTQYQNSILITNKGQQIKLDKIQRAFTYVDMSSNNFEGSIPIELMQFKAMMALNLSNNAFSGHIPSSIENLKNLESLDLSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGSPLTRNCSNSGMPTPETPQSHSKNSIDWSLLSVELGFIFGFGVFIIPLLFWKRWSFWYSKQMDEIFHKIIPQLDFAYEHQRGHTRQTLRRRY >Vigun09g170650.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34008881:34009685:1 gene:Vigun09g170650.v1.2 transcript:Vigun09g170650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENERRERNEEIGSVKRNPNNANGVVITVYKEEDGRRRVSEDPTNKTKPRGIGHDRRVLLLAYSRKLRNEACKKIPLPETKTKVMIITYRVYLLFLQVIQRIKKKKIRTFKI >Vigun09g170650.1.v1.2 pep primary_assembly:ASM411807v1:9:34008881:34009685:1 gene:Vigun09g170650.v1.2 transcript:Vigun09g170650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENERRERNEEIGSVKRNPNNANGVVITVYKEEDGRRRVSEDPTNKTKPRGIGHDRRVLLLAYSRKLRNEACKKIPLPETKTKLEVARARSIWWRSSLTKMCRNEGFASKQRTPNEFPLLSKIKNALKMLSCKEM >Vigun01g087000.2.v1.2 pep primary_assembly:ASM411807v1:1:24480785:24484573:-1 gene:Vigun01g087000.v1.2 transcript:Vigun01g087000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRLQGQSIGVFCEGIGLLLFRWSALRTAIENEWGGRESLVKADQLVTDILSWFTQFKEPLYIDDLEDILDQGMLSLNVEVEDGSIEEVAEKLMVMHEEFLDGNFSSFEELRKANLEQAARPQTAQIINDGEDDSDENGDDETMIVDGNSSSMSTEIPRSYSNNNSVNEPRQNVSGEADDGWVVVSNRRKGRKT >Vigun01g087000.3.v1.2 pep primary_assembly:ASM411807v1:1:24480852:24484560:-1 gene:Vigun01g087000.v1.2 transcript:Vigun01g087000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRLQGQSIGVFCEGIGLLLFRWSALRTAIENEWGGRESLVKADQLVTDILSWFTQFKEPLYIDDLEDILDQGMLSLNVEVEDGSIEEVAEKLMVMHEEFLDGNFSSFEELRKANLEQAARPQTAQIINDGEDDSDENGDDETMIVDGNSSSMSTEIPRSYSNNNSVNEPRQNVSGEADDGWVVVSNRRKGRKT >Vigun01g087000.1.v1.2 pep primary_assembly:ASM411807v1:1:24480785:24484573:-1 gene:Vigun01g087000.v1.2 transcript:Vigun01g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRLQGQSIGVFCEGIGLLLFRWSALRTAIENEWGGRESLVKADQLVTDILSWFTQFKEPLYIDDLEDILDQGMLSLNVEVEDGSIEEVAEKLMVMHEEFLDGNFSSFEELRKANLEQAARPQTAQIINDGEDDSDENGDDETMIVDGNSSSMSTEIPRSYSNNNSVNEPRQNVSGEADDGWVVVSNRRKGRKT >Vigun11g137000.1.v1.2 pep primary_assembly:ASM411807v1:11:34628184:34631756:1 gene:Vigun11g137000.v1.2 transcript:Vigun11g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKAAAAAVVAAASSKAADKAANGIADMHISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGQRELPIPEHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAEILAAQDDGGGESLERVYERLDALDAATAEKRAAEILYGLGFDKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKFERILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLMPSDGMVRRHNHLRIAQYHQHLAEKLDLDMSALQFMIKEYPGNEEEKMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCANQSVTRWEGGIMEFKAHLKAKAGLSD >Vigun11g137000.3.v1.2 pep primary_assembly:ASM411807v1:11:34628184:34631756:1 gene:Vigun11g137000.v1.2 transcript:Vigun11g137000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFSFVNVTKYSPITNPSEIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGQRELPIPEHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAEILAAQDDGGGESLERVYERLDALDAATAEKRAAEILYGLGFDKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKFERILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLMPSDGMVRRHNHLRIAQYHQHLAEKLDLDMSALQFMIKEYPGNEEEKMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCANQSVTRWEGGIMEFKAHLKAKAGLSD >Vigun11g137000.2.v1.2 pep primary_assembly:ASM411807v1:11:34628184:34631756:1 gene:Vigun11g137000.v1.2 transcript:Vigun11g137000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFSFVNVTKYSPITNPSEIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGQRELPIPEHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAEILAAQDDGGGESLERVYERLDALDAATAEKRAAEILYGLGFDKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKFERILVVISHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLMPSDGMVRRHNHLRIAQYHQHLAEKLDLDMSALQFMIKEYPGNEEEKMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCANQSVTRWEGGIMEFKAHLKAKAGLSD >Vigun09g016900.1.v1.2 pep primary_assembly:ASM411807v1:9:1259739:1262367:1 gene:Vigun09g016900.v1.2 transcript:Vigun09g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIESLTEDERRALRGSKFAPLPTTSSSQPRLAHPGGPLATNKAVALAKFLERKLKDPNGLASINPDLLELAVNNAKQTVHASGASNLQRTIRHVDSFGDSDSKDSSEEELNELSAVKECKRKKKKKEKKKKEKKKNKKRKNAEDLGCAVMKKPKQKFKF >Vigun06g237300.1.v1.2 pep primary_assembly:ASM411807v1:6:34227695:34232994:1 gene:Vigun06g237300.v1.2 transcript:Vigun06g237300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKAKSPPSHQYVSQNHTESLKATPSPNTNHFGDTTSLVSNSNSNSNATQEKASTPIQQKGDKKANGNGVQSTTQTQQPKMSRLYSITRGERGVQVLAGWPSWLSAVAGEAINGWIPRRADSFEKLDKIGQGTYSSVYKARDLETNTIVALKKVRFTNMDPESVCFMSREIIVLRRLDHPNVMKLEGMIASRVSGSLYLIFEYMEHDLAGLAAIPDIKFSEAQIKCYMQQLLRGLEHCHSRGVMHRDIKGSNLLLDGNGRLKIGDFGLATLLQPSQGQPLTSRVVTLWYRPPELLLGATDYGTTVDLWSAGCILAELFVGKPILSGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQHPYIRVVSQTFKDFPSSTLSLLEVLLAVESDDRGTAPSALRHEFFTTMPLPCDPSSLPKYPPSKEFDAKLREEEARRRRAPNKGHDYESLGNNLRESTAVPIPGANAEFLATIGKGQCNSKRVCEKYNYEKDEGYGFSREPVKSTSTAHNVLSHSGQSMHPSVYGSSRNLNLTEENVLTCPDHGFISRKSELSKHTSYWQGSVAKLSRFSNSIAVRGDSLLEMSGGDFGVNSQLPEDQFGRIYSHKADSESNQLFDGLKS >Vigun03g080800.2.v1.2 pep primary_assembly:ASM411807v1:3:6731921:6737673:-1 gene:Vigun03g080800.v1.2 transcript:Vigun03g080800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDLDSDAVLSDVEDDGGDPTPVATKAPSSDDVSVEKLREVLAELDRERQARVAAENTKSELQVSFNRLKTLAHEAIKKRDEFGRLRDDAVREKEETAKQLEENKKQLEETAKERDALRSEIGNSSHMLVTGMDKISAKVSNFAGSALPLPRSQKYTGMAAVAYGIIKRANEIVEELLKQNEAAVKARNEAREQMEQRNYEIAIEVSQLEATISGLRDEVAKKVSIVEDLERDLAVRDKRLNEVAENLSKEQSEALQLKEFVGECEDKLNSLESRIESQRPLLIDQLSLVSKIHNQICSVVKIIDDGGTEELSESLFVPQETDVEENIRASLAGMESIYELTKIVVQKAKDVVEEKNSEIKSLEETVARLVREKDQIGSLLRSALSKRMAIDPSSRKSELFQAAENGLREAGIDFKFSKVLGDGKVAASNEKLDRTEKEEDEIYSLAGALEDVVKASQLEIIELKHTVGELRVELT >Vigun03g080800.1.v1.2 pep primary_assembly:ASM411807v1:3:6731921:6737673:-1 gene:Vigun03g080800.v1.2 transcript:Vigun03g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDLDSDAVLSDVEDDGGDPTPVATKAPSSDDVSVEKLREVLAELDRERQARVAAENTKSELQVSFNRLKTLAHEAIKKRDEFGRLRDDAVREKEETAKQLEENKKQLEETAKERDALRSEIGNSSHMLVTGMDKISAKVSNFAGSALPLPRSQKYTGMAAVAYGIIKRANEIVEELLKQNEAAVKARNEAREQMEQRNYEIAIEVSQLEATISGLRDEVAKKVSIVEDLERDLAVRDKRLNEVAENLSKEQSEALQLKEFVGECEDKLNSLESRIESQRPLLIDQLSLVSKIHNQICSVVKIIDDGGTEELSESLFVPQETDVEENIRASLAGMESIYELTKIVVQKAKDVVEEKNSEIKSLEETVARLVREKDQIGSLLRSALSKRMAIDPSSRKSELFQAAENGLREAGIDFKFSKVLGDGKVAASNEKLDRTEKEEDEIYSLAGALEDVVKASQLEIIELKHTVGELRAELSLLKQHIEAQAKELDQRMRRIEELEEKERVANDNIEGLMTDIAAAEEEINRWKVAAEQEADAGRGVEQEFVSQLAALKQELEESKQSMLESEKKLKFKEETAAAAMAARDAAEKSLKLADLRSSRLRDRVEELTHQLEDIENREDSKGQNRPRYVCWPWQWLGMDFVGFQQRPATQQGASNEMELSEPLL >Vigun09g032100.1.v1.2 pep primary_assembly:ASM411807v1:9:2745755:2749361:-1 gene:Vigun09g032100.v1.2 transcript:Vigun09g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQAIVNFIVQSLGDLLIQEAVFLYGVEDQVLQLQTELRMMRSYLQDADRRQDENESLRSWISEIREAAYDSDDVIESYALREASRRNLPGVWNLVRRYVSIINRFIEIHIVGSHVDNVIARISSLTRSLKTYGIKPEKGEPSNSVHGRQILRRSYSHVIEEDIIGVDDDVKSLETCLLDPSKRVVAICGMGGLGKTTLAKKVYHSVDVRNSFESLAWAYISQHCQARDVWIGILFRLISPSQEQRQEIENMRDEELAKMLYEVQVEKSCLVVLDDIWNADSWNKLKPAFPHGTSVSAVGSKILLTSRNIDVAFQMDPSCYLHTPEFLNEVDSWELFQKKALLKIHDPDYREKEKLGREMVGRCGGLPLAIIVLGGLLASKPTFNEWDTVCKNINSYLRRANGQEQRLGEVLALSYYELPYQLKPCFLHLAHFPENLEIPTKKLIRIWVAEGIISLDHSEGEGEEALEDVAQRYLTELVERCMIQVVEKSSSGRIRTCQMHNLMRELCVERAYRENFLLEINSRNVDESRGTSRARPVGKVRRIALFLDHDVDRFFPSQLKSHHHLRSLLCFHEKPAKLSEWGLMKPFFKKCRLLRVLNLEGMQGLGGKLPKEIGYLIHLRFLSLRNTKIDELPASIGNLKCLMTLDLLTGNSTVQIPNVIGNMQKMRHLYLPESCGNSIERWQLDNLKNLQTLINFPAEKCHVRDLMKLTNLRKLVIDDPNFGGIFRYPNVQFKHLESLFFVSYEDISVVHVALGCPNLYKLHIEGPIKNFPEPHQLSSKLQKLKLSGSGLVVDPMPTLEKLPNLRLLELQLDSFVGKQLHCSGTGFAQLKSLVIHDLFNLEEWRLDKGAMTCLRELKIENCTKLEKVPEGLRFLTSIQHLEIRSMFAAFRTKLEKGGEDHYKIQHVPTVVFCYCDY >Vigun02g102000.8.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.5.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRNRMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.13.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKSRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.10.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKSRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRNRMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.7.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.15.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.2.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKSRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRNRMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.11.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKSRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.14.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRNRMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g102000.12.v1.2 pep primary_assembly:ASM411807v1:2:25659229:25664739:-1 gene:Vigun02g102000.v1.2 transcript:Vigun02g102000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEGRGFSGLYKNTSEELFLKTVMESPIGMPVPTMDMLGFKTVSQSFRTDSEELFKRWLTNGEGYNSSSMGLNSRLSKSRISTELANNVSNEQRVNVASEGRNNDKLYMQNNLFTNDVSGDINFQIRDPVNRELQSTSDLFLAKAWFLSDQRVTRSRSSELRRKYTEMQNAQTKQGIESMSIAPQHVDTTKQEIANFNGFDYITVCEIPSQKGSFMSPSNSSSSTFHAHQMVNADKVSSCVSMLKGTLQRKRLWNQVEKEAAGDSGLNGLFCPQEPIFQTGFSEEQENWNHQKLINVQGASAGQIKDPGVYFASDGFANQTNQTYVANVSREPSQSESSAAAPVISSGLDACEGPSNSNQNLCESSWKQVGVSRSSENTQNRVKGVREQIMDNIKDDRKRKSLERYGSVTSAVSEEKGDSTKKRRVERSRKMAEAKERNLTPSVPSDMQTVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >Vigun02g076600.1.v1.2 pep primary_assembly:ASM411807v1:2:22894382:22896809:-1 gene:Vigun02g076600.v1.2 transcript:Vigun02g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGIVLKLLNGLNTGVKPTSEHRSSLLQVTDIVPADLDEKNLIPKQGFYIKVSDSSHSIYASLPSDQDDVVLSNKMQLGQFIYVDCLEPGSPVPVLRGAKPLPGRHPLVGTPEPLMGLRAQKPSSVPRRGSWGNNGCGGGGDGVSVSSPMVFKPVNLDFDQCTPVKARNGGFQHAAMSSPLVRGKVGREGTPVSAVRCSVGGGLLAKMSDAKGESPALMRKSCVLVSSNGKFSRSRSVSEREHRIPVASPFKSAEKKSGTPPPRLKNHNLDSNVTFQPQSQSTTNSAFDNCNNLSLPVNLPGKLSSLGKEAVQQREVAQKIALQALRDASATETVVRSLKTFSNLCKSARTDAPAACFERFLEFHNEIVQAVNEMVSIQAATSASELKQEPQVLHEVVENYDHSGNSESSNLSKRRGCAVYNKSMAAIPEKQEQKRPLRKEILEKKGSTPFTKMPLEPIIENDENKKPGGSCSLSNTIKLGKQIETEAGNWFMEFIEKALETGLKKTKDASDGDVRKVPQSLILKVMNWVEVEQYHSNKRPSHPKAAQVARKLRIKMKNP >Vigun03g245100.1.v1.2 pep primary_assembly:ASM411807v1:3:40804520:40807504:-1 gene:Vigun03g245100.v1.2 transcript:Vigun03g245100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFNGSLHELETQGGSTIFVSVLSFLLHCLSQFSSFYPIINFLFSFLFLLSTSPTQFFHLSFLGPLSRPDIVVVDPVRNIEEGPPSKSSSMELQPESPTGRSDSPGASPRFSKQHIIDTAAPFESVKDAVSKFGGRVDWKSRRTQSLVEERSKLVEDFRREETVEELENTKKLTQKLRRNLEKVERDELLAKEEAERVHLKIEEMEQSIVSEANIEAKAEVEAEKAMLKEALSELEFVKKELDSLREEHASMVSGRDTAVNNAEETVAASQQIEKAVEDLTAELIATKEALNSSRAAHLEAEEQTLGVDDEEILNLKQELEQAEEELQTLNEKAFSVRLLKSKLESASSLLLDLKAEMATFMESKDNEECYKQQKEELEELKKTKEKAASDVKSLREACMSLNSKLEEEKSILATLKQSEEMASAAVLNLQTELEKSKTAAIFLEMNEHEARKMMSELPKKLQKAAEEADEAKSLAQSAQEELLEAQQEVEEVKSRSITLENSLVAALKEIEAAKIAEMLARDAITALEKSESAKNNNDNSSSSMVTLTLDEYHVLSSEAFKAEEEANARIEAANAQIKLARESEYTSLARLEELNEELSLRKESLNIATENAEKAAEEKLAMEHELSALLVAEQEEEPQEKANELNEHTAAEIEPVHEPLSPKEEVPSKSTENGSSSYKNKKKKKKSLFPSKVVMFFAKKKTHPSK >Vigun03g070700.1.v1.2 pep primary_assembly:ASM411807v1:3:5836698:5838582:-1 gene:Vigun03g070700.v1.2 transcript:Vigun03g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATTRVTHCELRPARPAVRGREPSGPVQVTIPKPKAVEAEGANTNIVLQPRLCTLRSYGSDRFGVIKTPREGGDDVSPFFAALSDYIESSKKSQDFEIISGRLAMMVFAGTVAMEVVTGNSVFRKMDIEGITEAGGVCLGAVTFAALFAWFSSARNRVGRIFSVNCNAFIDSVIDQIVDGLFYEGDPTDWPDQP >Vigun04g099940.1.v1.2 pep primary_assembly:ASM411807v1:4:23355713:23359481:-1 gene:Vigun04g099940.v1.2 transcript:Vigun04g099940.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPIKTSFEEWAKPVFSAHFGQLSIIFLWLGGMYFHGARFSNYEAWLSDPTHIRPSSQVVWPIVGQEILNASGITNELQLYCTAIGALVFATLMLFGGWFHYHKAAPKLAWFQDVESMLNHHLTRLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPISRGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTTVVAHHKHSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVDAAAHAAIFMVRDYDPTIRYNDLLDRVLRHHDSIISHINWVCIFLGFHNFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAVTSTSLTCGGENLVAMGETADFLVHHIHAFTIHVTILILLKGVLFAHSSRLISDKANLGFRFPCDRPGKGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGISYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPTTQPRALSIVQGHAVGVTHYLLGGIATTWAFFIARIIANIFASHFGQLAIIFLWTSENLFHTWVQDPLHVRPIAHAIWDPHFGQPTVEAFTRGGALGPWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVLWFKNAESHLNHHLSILFGVSSLAWTRHLVHVAIPGSRGESVRWNNLLDILPHPEGLGPFFTDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPLGGRLGRGYKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIVTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTKSPTFNGCLVG >Vigun07g066400.4.v1.2 pep primary_assembly:ASM411807v1:7:7826198:7828579:1 gene:Vigun07g066400.v1.2 transcript:Vigun07g066400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLANLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIMRNKKVSLWKKIYVVYSFFFVRKVVAHINTFMFYCIVLPATVVVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKGGGKAPKKIRFRIGDRIHMLELLVGFYLFFCGCYDVMFGKNRFYIFLYIQSIAFFIMAFGYVGTIVPNS >Vigun07g066400.1.v1.2 pep primary_assembly:ASM411807v1:7:7822347:7828579:1 gene:Vigun07g066400.v1.2 transcript:Vigun07g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEGIRRGDISSQVGLVWRQVKEPVMVPLLRVAVFLCLAMSLMMLVERVYMGLVICLVKVFGRRPEKRYKWEALKEDVELGNSTYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQILDDSTDPSIKEMVQMECSRWASKGVNIKYEVRDNRNGYKAGALKEGMKRSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVNNPELGLVQARWKFVNANECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLANLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIMRNKKVSLWKKIYVVYSFFFVRKVVAHINTFMFYCIVLPATVVVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKGGGKAPKKIRFRIGDRIHMLELLVGFYLFFCGCYDVMFGKNRFYIFLYIQSIAFFIMAFGYVGTIVPNS >Vigun07g066400.2.v1.2 pep primary_assembly:ASM411807v1:7:7822347:7828579:1 gene:Vigun07g066400.v1.2 transcript:Vigun07g066400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQEMVQMECSRWASKGVNIKYEVRDNRNGYKAGALKEGMKRSYVKQCDYVAIFDADFQPEPDFLWRTVPFLVNNPELGLVQARWKFVNANECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLANLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIMRNKKVSLWKKIYVVYSFFFVRKVVAHINTFMFYCIVLPATVVVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKGGGKAPKKIRFRIGDRIHMLELLVGFYLFFCGCYDVMFGKNRFYIFLYIQSIAFFIMAFGYVGTIVPNS >Vigun07g066400.3.v1.2 pep primary_assembly:ASM411807v1:7:7825619:7828579:1 gene:Vigun07g066400.v1.2 transcript:Vigun07g066400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYEDTNVVVVNANECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLANLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIMRNKKVSLWKKIYVVYSFFFVRKVVAHINTFMFYCIVLPATVVVPEVVVPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKGKGGGKAPKKIRFRIGDRIHMLELLVGFYLFFCGCYDVMFGKNRFYIFLYIQSIAFFIMAFGYVGTIVPNS >Vigun09g053600.1.v1.2 pep primary_assembly:ASM411807v1:9:5349264:5352066:-1 gene:Vigun09g053600.v1.2 transcript:Vigun09g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESLSQTPAMTLFPGLKPPHKTLLFHQICVLVITFLAYASFHASRKPPSIVKSVLGPSVPSNATQVSNLSSYDTGWPPFNGTEGTHRLGELDLAFLTSYSIGMYFAGHVGDRIDLRLFLVFGMMGSGFFTILFGLGYWLDVHVLGFFVGVQIVCGVFQSIGWPCVVAVVGNWLGESKRGLIMGVWNSHTSVGNIIGSVVASGVLEFGWGWSFVVPGLLIILVGILVFLFLVVNPESMGFVHPGMDIEMSVDTKNVENLQKGESEETKLIESDNSDSSSAIGFLEAWKLPGVAPFAFCLFFSKLVAYTFLYWLPYYIKHTAVAGVHISHKTAGLLSTIFDIGGVLGGITAGFISDLIEARAITSILFLFLSIPALALYRIFGSLSMLMNISLMFLSGFLVNGPYSLITTAVAADLGTQSSNDRNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFFMLILSIFFAGLFLIRIARTEISEKFSGK >Vigun07g126700.1.v1.2 pep primary_assembly:ASM411807v1:7:23351886:23353800:1 gene:Vigun07g126700.v1.2 transcript:Vigun07g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGRLISGGGWLLRRLCTAAESPAKGPNLYRMLSALDMTRGSVSEILDNHVMQGKAIRKAELDRCVEQLRRYRRFQHALEIIEWMEMKKIDLTWNNYAVQLDLVSKTKGLVAAEEFFNGLPPPAKNKYTYGALLNCYCKELMKDKALSHFDKMDELGYVTSLSFNNLMSLYMRSGEPQKVPHLVELMKKRNLPLSSFTYYIWMNGCASLGDLDGVERIYEEMKTEEDRIGWQAYSNLAAIYVKVKDFEKAEMMLKELEKLAKPRQRDAYHCLLGLYAGTGNLEEVHRVWNSLKSVSPVTNLSYLAMLSSLRKLNDIEGLTKCFKEWEASCVSYDVRLAGVCVSAYLGHNMVEEAALVFEGASRKSKRPLFRFEEMFMLYFLEKRQLDAAVRHLEAALSEVKGDEWRPSPQVVGAFLKYYEEETDMDGVDELYKILKAKNFDDSWITTCINAAKASPETDPILKEDDPQNYAQEN >VigunL059027.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000229.1:2374:2837:1 gene:VigunL059027.v1.2 transcript:VigunL059027.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKSELGRTAPKATWGDDAGKEFLGSWNRLKRILNSKYDRPFGCWLLGKRCETPNTTDSWVVGNNGRPRENSEYYGLLGCWKQGEAPLELRILWTLGLLEARGGPARTPNTTDSWVVGSKGRPR >Vigun03g293000.1.v1.2 pep primary_assembly:ASM411807v1:3:47815696:47817892:-1 gene:Vigun03g293000.v1.2 transcript:Vigun03g293000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLESEKDPPQPPSRAFLDTVEEITTIYRSLPPRPSIEEVEAATSTVDTLNNDEQTKLHEISKQKPPHDVPEDLFSVLQQLKKTMVLFHTHQHRREALQLLELEKMFQTFADLIQRASELVSGDTQKQSLPTIPEELVVITDQSETLVKEEEESQKNDSQVIQRSLSTGGGSTEKLSLMKVATVIESCAGSGATTLELRGKLEDQMEWLPVSIGKLSGVTEMDLSENRIMALPTTIVGLKALTKLDLHSNQLINLPHSFGELVNLVDLDLHANRLKSLPATFGNLTNLIDLDLSSNGFTNLPETIGNLSSLKRLNAETNELEELPHTIGNCSSLSALKLDFNQLKGLPEAIGKLEWLEVLTLHYNRVKRLPSTVGNLRNLKELDVSFNELEFVPENLCFATNLKKLNLGKNFADLRALPTSIGNLEMLEELDISDDQIKSLPESFRFLSKLRVFRADETPLEVPPRELVKLGAQEVVHYMADFVTKRDARIVPSKKKKGFWSWFCSIFCSKLE >Vigun07g089100.1.v1.2 pep primary_assembly:ASM411807v1:7:13875886:13877086:-1 gene:Vigun07g089100.v1.2 transcript:Vigun07g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNSNLRITIESNPPESRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVKAYPKGSSEFVEFGVGDLVTIPKGLHCTWDVSVAVDKHYKFESSSSS >Vigun08g203700.1.v1.2 pep primary_assembly:ASM411807v1:8:36762417:36762700:1 gene:Vigun08g203700.v1.2 transcript:Vigun08g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIRNPNPKNHPSLLPPPNLFSTPPSFSLWLPWHCYLSLLILPLPLPRCES >Vigun06g027600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12292582:12293121:-1 gene:Vigun06g027600.v1.2 transcript:Vigun06g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRRKPVGRPPGSRNKSGFSPQPVPQSLEPSMKVFSFRVPPNRDIMDFILNIAHNGHVSVAIISASGTVNNVTLHNSTMQHGPFTLLSLSGSYLYNNLYTLYPGATPPFPLSFTINLSTPNGHIFGGLITGTVIARENVKLTVSTFKNTGILKFPRDLDNNQNNDDNNNIGTMTTNA >Vigun04g142700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35536872:35542458:-1 gene:Vigun04g142700.v1.2 transcript:Vigun04g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMKAMMFVVCVVLQVAYGEHHMRCLPKEREALLQFKAAIVDPYGMLSSWTSPDCCRWEGIRCSNLTAHIVSLDLHGGYYDEVSRRYISGEIHKSLTELRQLQYLNLSLNSFPDINIPGFIGSLTNLRYLDLSSSRFRGNIPSELGSLSHLKYLNLASNYYLEGSIPRELGNLSRLRYLDFSDNILNGSIPFQLGNLFRLEYLYLRWNSFEGYIPSQLGNLSNLHTLYLGGSHSALKIVTSDQWLSNLTSLTHLYLASISNFNTSLSRLQTIANLPKLRELSLIECGLSDHFLLSFNPSNFNFSSSLSVLRLSENSFMKPMLFRWLSNTTSNLVELDLSSNLLEGVMTYRFDLAMNLLERLDLSDNLFKGEDLKSFMNICTLRSLYMFENNITEDLSSILRYFSSGCVRYSLQMLSLAHNQIRGSVPDLSAFSNLKTLDLSSNQLRGKIPEGTRLPSHLEQLSISFNSLEGGVPKSFGTTCTLELLDFSSNKLSEDLTMIFNHLSGCSRYSLQELYLDHNKFNGILPDFSIFSKLEALDLSGNQIKDGVPKLLHNNSVLRSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPCNLATMFPSLKVLYLDGNKLNGTISEDLRFPTKLEVLSLMSNSLKGVLTDSHFNNMTKLRTLMLSDNSLTLEVSQNWAPPFQLDDIRLRSCKLGPFFPKWLEKQNKFEYLDISNGGISDTVPKWFWTKFGLTNWMSINISCNNLQGMIPNLSIENHYYSLSLASNQFEGHVPPFLQGSIFLDLSNNKFTNSFSFLCSGGVAETLCQLDLSNNKFSGQIPDCWTHFKSLAYLNMSQNKFSGKIPTSMGSLLQLQVLLLRSNNLSGNIPSILKNCTQLVMVDIAENRLSGFIPNWIGNELSQLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPTCIQNFSSMAQMTSLRYYQSHHYLINTSDTEGNFSYNLNAFLMWKGSEQMFTNIGLSLLKSIDLSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPLNVGELISLEFLDLSRNQLVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASKYEDNVDLCGPPLKKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISITIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKRFSRA >Vigun01g015300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1664671:1665582:-1 gene:Vigun01g015300.v1.2 transcript:Vigun01g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHDLEDYSSEASSTSQDIFHKPSVEEGKKHHEVTTMKEKGIKFEESNKVSNSKTHMVLDFVKFSNDQTLCGSKVELDFFNAKGSSSRANHTQGRDERNKDEKPSLETNKTFSCNFCQKEFSSSQALGGHQNAHKQERALAKRRQGIDGGAFGNPNFPYYPYNPTHSFYGSYNRTLGIRMESMIHKPMYPSSSSLGLRFGQGLLRQEMMNSSSCSSSSAVVGLQANAGIRIMGSDTTFRAQHDHGTTRHSIPFLAESSANVTAKPNLTPLNHVKDNDDDHTKKEGTSNPSSSDEIDLSLKL >Vigun02g019800.1.v1.2 pep primary_assembly:ASM411807v1:2:7014115:7018449:1 gene:Vigun02g019800.v1.2 transcript:Vigun02g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRCNFWLPNKNRFCANCPLNGSLFCGNHNSRSEGQWIPCPIDPSHSVLEENLKGHVKRCPLLKQAQSLSVQPFYQKGINTGSDGEEEASGVDGSRLPIKTISSEMKRNALHEMSVPEFCKLIEKIEFLHESLCKDIQDSVQMPEVCSLWIKRGVEERKLPFQEKHIMQQASIVGNLENFGLLKNSHGRKPSECVEPVEKKDEDVPAVIEFGAGRGYLTQMLADCYGIQRVFLVERKAYKLKADRSLRQNESLMLERLRIDIEDLDLNAVESLQGVPFLAIGKHLCGAATDLTLRCCFPEYRKDSSEQHPANSKFGGLAIATCCHHLCQWKHYTNKRFFLDLGLTKEEFHAITWFTSWAVDANHDSDLPDTTNCISHLQFIKEQGDGYADGVEKILSDMQADRRAALGFKCKWIIDMGRLMWLREHGLDAKLVKFVPSSISPENHLLLARSSN >Vigun04g080800.1.v1.2 pep primary_assembly:ASM411807v1:4:11558160:11561889:1 gene:Vigun04g080800.v1.2 transcript:Vigun04g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQLLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVTYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGSDVDHNFSVKVCTADDVQGGEEDVIIISTVRYNNMGFVGFISNLPRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLGARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSTEICQKICSLLRQFSSGWRQPKREINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVFPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGPRKN >Vigun05g230800.1.v1.2 pep primary_assembly:ASM411807v1:5:42432280:42438886:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNKVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun05g230800.6.v1.2 pep primary_assembly:ASM411807v1:5:42432705:42438878:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun05g230800.3.v1.2 pep primary_assembly:ASM411807v1:5:42432280:42438886:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun05g230800.2.v1.2 pep primary_assembly:ASM411807v1:5:42432280:42438886:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNKVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun05g230800.5.v1.2 pep primary_assembly:ASM411807v1:5:42432705:42438878:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNKVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun05g230800.4.v1.2 pep primary_assembly:ASM411807v1:5:42432280:42438886:1 gene:Vigun05g230800.v1.2 transcript:Vigun05g230800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALIGLKKSEKPESSQKDGNVGKLHHQRRQAVEFDNGKLSNELDCEATPPIGDDNVRANLDAHYSSSSSQQAPDAAHDQQMREERAAIHIQTAFRGFLARRALRALKGVVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVCMALETQASQQKLQQNLANEARVREIEEGWCDSVGSVEDIQAKILKRQEAAAKRERAMAYALSHQWQAGSRQQPVSSGFEPDKSSWGWNWLERWMAVRPWENRFVDINVKDGVTVHENEAKDGKSGTTPQLSSANKKPFSSNTHPKPANHGTGPTISDECDSSPSKSAGLLESSKTQSVKLTPKGNVENAAEEVNSKLRIGSRSHSNPKERTSQVDKQAKKRLSLPNNGGGAGSQIVKHPISTTNVKGTLSTHKSSRDKPKLNGRRDVNTKSDP >Vigun10g056100.1.v1.2 pep primary_assembly:ASM411807v1:10:10188366:10190993:-1 gene:Vigun10g056100.v1.2 transcript:Vigun10g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYLALLILLFLFSLKLLFQTRRFRNLPPGPMSYPIIGNLLQLKQPYHRTFAQMSQKYGQVFSLWFGSRLVVVVCSQSAVQECFTKNDIVLANRPHFLFGKYISYDNSTILHSSYGDHWRHLRRILSLEVVSNNRLTSFYEVRRDEIMRLVQKLANLSRNQFTKVDLKNMFMETSFNTMTRIVAGKRLFGDDCDVNDVEKAKEFKIIIKELVILAGVNNRGDFLPFVRWFDFDNLEKKLKGFGKRTDAFLQELIEERRNGNNNGNTMIDHLLAQQRSQPEQYTDQIIKGLSLSLLLAGTDTSALTLEWTMANLLNHPEVIKKATNEINIHVGSNRLVEESDMSKLPYIQCIVYETLRLHPAAPIWSPHLSSEDCTVGKYNLPKDTIVLVNAWAAHMDPKMWNEPTHFKPERFEKESEINRLLSFGLGRRACPGSNLAQRTVGLSIALLLQCFEWKRIGKEKIDMSEANGITISRKNPLEAMCQLRQAPTVKDM >Vigun03g079500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6630688:6633042:1 gene:Vigun03g079500.v1.2 transcript:Vigun03g079500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRCILRGLDVKTYIFMFAVVPMCIFGFYIHGQKISYFLRPLWEKAPKPFNIIPHYYNENVTMENLCRLHGWGVREYPRRVYDAVLFSNELEILTLRWRELYPYITDFVLLESNSTFTGLPKPLVFQSNREQFKFIEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLKIAGISDDDLLIMSDVDEIPSAHTINLLRWCDEVPSILHLQLKNYLYSFEFRVDDKSWRASIHRYQTGKTRYAHYRQSDDMLADAGWHCSFCFRRISDFVFKMKAYSHYDRVRFSHYLNPDRIQKVICKGADLFDMLPEEYTFKDIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCERER >Vigun03g079500.1.v1.2 pep primary_assembly:ASM411807v1:3:6630088:6633042:1 gene:Vigun03g079500.v1.2 transcript:Vigun03g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMGEAGGNYSSKKTDDLCSNVCGQESSQVSSMSRVRCILRGLDVKTYIFMFAVVPMCIFGFYIHGQKISYFLRPLWEKAPKPFNIIPHYYNENVTMENLCRLHGWGVREYPRRVYDAVLFSNELEILTLRWRELYPYITDFVLLESNSTFTGLPKPLVFQSNREQFKFIEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLKIAGISDDDLLIMSDVDEIPSAHTINLLRWCDEVPSILHLQLKNYLYSFEFRVDDKSWRASIHRYQTGKTRYAHYRQSDDMLADAGWHCSFCFRRISDFVFKMKAYSHYDRVRFSHYLNPDRIQKVICKGADLFDMLPEEYTFKDIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCERER >Vigun04g082100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:12386922:12388086:1 gene:Vigun04g082100.v1.2 transcript:Vigun04g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKEDMEIWQDKLMEGSTYIMQNFKILKNKSQFRICDHPFKILFIGVTFVRPQPIANVPVKVFNLSIFLYYVLSNHVVSTTFTLLFTFEKKN >Vigun08g224600.3.v1.2 pep primary_assembly:ASM411807v1:8:38225548:38234250:1 gene:Vigun08g224600.v1.2 transcript:Vigun08g224600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLKCAISLMALGRIWKKDGVNEDNRLTTTLDEVIVYPIPAVLYLVKNLLQYYIFAYVDAPGYQILKNFNIISTGVLYRIILKKRLSEIQWAAFVLLTAGCTTAQLNSNSDRVLQTPFQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMCFNCVAMLVQDFDAVMNKGFFHGYSFITVLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFQLSLAFFLGTVVVSVAIYLHSVGKMQR >Vigun08g224600.2.v1.2 pep primary_assembly:ASM411807v1:8:38225548:38234250:1 gene:Vigun08g224600.v1.2 transcript:Vigun08g224600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDEIRDGALEDVEKSFLLSVPDSSLSSGGETKIDIHKTKAKWKRKSVVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFMVETLKCAISLMALGRIWKKDGVNEDNRLTTTLDEVIVYPIPAVLYLVKNLLQYYIFAYVDAPGYQILKNFNIISTGVLYRIILKKRLSEIQWAAFVLLTAGCTTAQLNSNSDRVLQTPFQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMCFNCVAMLVQDFDAVMNKGFFHGYSFITVLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFQLSLAFFLGTVVVSVAIYLHSVGKMQR >Vigun08g224600.1.v1.2 pep primary_assembly:ASM411807v1:8:38225548:38234285:1 gene:Vigun08g224600.v1.2 transcript:Vigun08g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDEIRDGALEDVEKSFLLSVPDSSLSSGGETKIDIHKTKAKWKRKSVVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFMVETLKCAISLMALGRIWKKDGVNEDNRLTTTLDEVIVYPIPAVLYLVKNLLQYYIFAYVDAPGYQILKNFNIISTGVLYRIILKKRLSEIQWAAFVLLTAGCTTAQLNSNSDRVLQTPFQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMCFNCVAMLVQDFDAVMNKGFFHGYSFITVLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFQLSLAFFLGTVVVSVAIYLHSVGKMQR >Vigun02g003500.1.v1.2 pep primary_assembly:ASM411807v1:2:1893377:1899347:1 gene:Vigun02g003500.v1.2 transcript:Vigun02g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKPAPSPSQPAPPPPLEDLFTTLNRHIRASAFDNVVKFTDQILAIAPDDEDALRCKVVALIKNDRVEDALSAIKSSRKQLDDFHFFKAYCLYRQNKLDEALESLKRQERTDETMLLECQILYRLGKMDACLEIYQKLQNSKIDNMEINSVAALVMAGRSSEVQGMLDSLRVKATSSFELAFNTACSLIARKKYTDAEQLLLSGRRIGQEVLMEDNLADDEIELELSPIAVQLAYVQQLLGRKQDAIEAYTDTIKRDMADESSIAVAVNNLISLKGSKDVSDSLRKLDRLKDKESQSFRLAPSLDLKLSAKEKEAIYANRILLLLHANKIEQARELVSALPDMFPESVIPVLLQAALLVRENKAGRAEEILAQFATKFPEKSKVVHLARAQVAAAAGHPHIAADSLAKISDIQHMPATVATLVSLKERAGDIDGAAAVLDAATKWWSNAMTEDNKLNIIMQEAASFKLRHGKEEEAAKLYEELVKNQGSVEALVGLVTTVARMDVNKAELYEKQLKALPGLKGIDVDSLERTSGVKQVEAPRVGVSETYEEGKNKTKTKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSTYRPKRKDKRAAQVRGSQGAVVRDKHDTGASSNTSHSKSNQGTSKGAGQNAVSEQTKPSSKSSRKKSRN >Vigun05g045800.1.v1.2 pep primary_assembly:ASM411807v1:5:3799719:3803213:-1 gene:Vigun05g045800.v1.2 transcript:Vigun05g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFMIFTCTALISQVTNSPSHYSKTDSSETKPIQRFISMAPSSSFVPIVLLGLVLVLGAIVPRSEARPRAFFVFGDSLVDNGNNNYLQTVARANAPPYGIDYPTHKPTGRFSNGFNIPDFISQELGAESTMPYSSPDLTRENLLVGANFASAGVGILNDTGDHFMNIIKMHKQLENFKEYQQRMSALIGVSRTKRLVNQALILITVGGNDFVNNYFLVDSTARSRQYPLPDYVNLLISRYRKHLQKLYDLGGRRVIVTGTGPIGCAPAELAMRGKNGECSADLQRAAALYNPQLEQMIIELNNKIGSVVFIAANTALMHNDFITNPKAYGFNTSKVACCGQGPYNGMGLCLPISNLCPNRELHVFWDAFHPTQKANQLVVQQIMSGSTKYMKPMNLSTILAIDHFHQD >Vigun08g007800.1.v1.2 pep primary_assembly:ASM411807v1:8:673197:676763:-1 gene:Vigun08g007800.v1.2 transcript:Vigun08g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTWALAMVFGYAYPAYECYKAVEKNKPEIEQLRFWCQYWILVAVLTVCERVGDTFISWVPMYSEAKLAFFVFLWYPKTKGTTYVYDSFFRPYVAKHETEIDRNLLELRTRAGDIAVLYWQRAFSYGQTRMYDILQFVAAQSTPAPRPAQQRPGVKVRQPAPASQKPAAATEPQVEEPPSPTSSTSSSQLQREVAEELDSPKVPKAATSATALSSQKSGGAGLTTQKSGGAGLSTQKSGGAALSTQKSGGAALSTQKSNVAPETSIQSAPAEAEAKAKQIEAPSSSSSVNVNGNPPTPTKETIMEESIRLTRGRLRKTRSAGTR >Vigun08g172000.1.v1.2 pep primary_assembly:ASM411807v1:8:34294635:34298376:1 gene:Vigun08g172000.v1.2 transcript:Vigun08g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGNFEEELSYNSSDEVSEYLAEYTLEGKWQKVVNMYNRFQACHTAMINHSVGTALHVAVDLDEEEVVEKLVNAIIRHKTMKALEMENDRGDTPLHVAASRGFAKICKWIIGTNKERIYLVSRKNKHGETPLFEAAINWKKQAFAYLSNLSDHSAPLQDLVRHNGDTILHCAIRREYFDLAVIIMHYYDFLSTHKNKEGFTPLKLLATRSSAFRSATNLSWWKRILYHCILVEPLDPEKQMKANLGKIRENPKSDQEEYPKNYATLHDFFARSFNVAALFGKMPTRKNKHDSENPSSKKCTIELGTPKVGFLPPNYETSQQFVRSAYVHTLGLSGVELKEIKKTKKRHQWSGQLLKALLERPYAAFTGSGGVPTDMKVETDMYNVYNEYKQVGTSELGWLEEEEEEENKTDVEEKKGVDRSESMKKESDQLAEEEKKIDKKETAFLVAARNGIVEMVDEMLNRIPSVIHNTNSKKENVLLVAVLNRQPLVVESLKTKMQSKLEVWNNLTLTVDEDENTMLHLAAYAPGGDKPWQIAGSALQMVWDIKWFQYIKSLVPQHFYFRSDKKGKTAGEIFEETHKKLIKESGEWLKDTSESCSVVAALVAGVSFTAANTVPGGTNEEGRPNLEGKPAFDAFAIASLVGLCFSVTGLIMFLTILTSRKQAKDFRRDLPLKLLLGLSSLFVSIAAMFVSFCTGHFFLLSHGYKTVLYPIYAATVFPVTFYAVAQFPLYFDLLTAILTTVPRSSDRGDKL >Vigun02g082000.1.v1.2 pep primary_assembly:ASM411807v1:2:23476798:23479495:1 gene:Vigun02g082000.v1.2 transcript:Vigun02g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAITDLFNLPPEKIKQLYTTDHTKNTKLYNYYLHVEGGEKVKMWSECFSHPWYPIEDVIHLLPQEIRTQYGEAFSEYAREIGSLVRRVLGLVSIGLGLEEDCLVKILGDEPRLRAQANFYPPCPDPELTLGLPVHTDLNVLTVILQSQVSGLQVIKDGKWIAVPAIPNAFVINLGDQIQVMSNGRFKSVHHRAVTNKLGPRVSMAMFYGPNSDTVIGPIEELTDEEHPPRYRSYRFSEFLEEFYNQEGSRRMVKEAFELPN >Vigun05g116650.1.v1.2 pep primary_assembly:ASM411807v1:5:12422904:12424998:-1 gene:Vigun05g116650.v1.2 transcript:Vigun05g116650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFISIGSSGGKNYPGKLTFRVFMTCFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFSEVYQKENNIKSSDNQYCKFDSQTLTFFTSSLYLAALVASVSASMVTRLFGRRPTMICGGILFLIGAGFNFFAQHVWMLIVGRMFLGFGIGCANQMENGWRYSLGFAAVPAIMIAIGACFLPDSPSSLIERGLNEKAKIELIKIRGTTDIEHEFEDLVAASESSKVVKHPWVSLLKRQYRPQLTFAIAISFFQQLTGMNVIVFYAPILFKTIGFGANVSLMSAMITGACNAIATLVSIFTVDKFGRRTLFLQGGIQMLICQTMITAAIAWKFGLDGNPEMLPKWYAIMVVCGICMYVAGFAWSWGPLGWLVLSEIFPLEVRSATQSINVSVNMIFTFAIMQIFTSMLCHMKFGLFIFFACFVLVMSTFIYKFLPETKGVPIEESILCGRITLIGKSLSNLLMQDLLMKSVRTFLFILEHC >Vigun03g114900.2.v1.2 pep primary_assembly:ASM411807v1:3:10498731:10512758:-1 gene:Vigun03g114900.v1.2 transcript:Vigun03g114900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDESSRVLPFQLQFDKPLASQITIAEWNPEKDLLAMVTDDSKILLHRFNWQRLWTIAPGKCITSLCWRPDGKAIAVGLDDGTVSLHDVENGKLLRSLKSHCAAIICLNWEEESQLITDDHGHTSKYEDRTSRFFPPAPRVPRMPGLVSGDNGFMDDSEDSFQELSNSSHQRFNILCSADKEGNICFSIFGIFPIGKVNIHNLTFPTCHDGAETTNRVSNASIQKVALSKDLCRLIVMCSGDLVKVGADLGEANMAGHNEHGLHCLALNTTIFWNRKNELHQVAQQASNIEDLTEVVRTSLSVMCRQWSDAMNTFQEKFSSLSTLIINHGLDSSPQEEFLSLLGGARTSPPVHQFLVNTLGEVGVKRISKVLSGAGKELQRIVLDHLQPAVEVIGFRIGELRGLSRWRARYHSIGLDESLINNATEKAGMLLVQVERFMRVLSSVVQQYSNFFNWLLKCIKLLMSEPSDQLLPYNSELVIIFLKFLYEQDPVKQLLEISETEYEVEIDLETMQRVRELVQFGGFSDTEYLRRTLAKEFQLMELSFKEAFQMPFTTISRKILCEDILPLFPLPSLPKSSSSMRIPTSVSYYEDFSGASVSPQTVQNQFIDYVSFQVPDECFSDIVNCICIVRGFMHDSHCLKKGCSSLEAVLLHVPVDYQCVDLSLYKDSQIVLLLNKVTNTSESAGDGCMMILQASDLQYISMSRSAYIDVWRLPELKDSVAYLHIEDEKARTIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVSDAE >Vigun03g114900.1.v1.2 pep primary_assembly:ASM411807v1:3:10498731:10512758:-1 gene:Vigun03g114900.v1.2 transcript:Vigun03g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDESSRVLPFQLQFDKPLASQITIAEWNPEKDLLAMVTDDSKILLHRFNWQRLWTIAPGKCITSLCWRPDGKAIAVGLDDGTVSLHDVENGKLLRSLKSHCAAIICLNWEEESQLITDDHGHTSKYEDRTSRFFPPAPRVPRMPGLVSGDNGFMDDSEDSFQELSNSSHQRFNILCSADKEGNICFSIFGIFPIGKVNIHNLTFPTCHDGAETTNRVSNASIQKVALSKDLCRLIVMCSGDLVKVGADLGEANMAGHNEHGLHCLALNTTIFWNRKNELHQVAQQASNIEDLTEVVRTSLSVMCRQWSDAMNTFQEKFSSLSTLIINHGLDSSPQEEFLSLLGGARTSPPVHQFLVNTLGEVGVKRISKVLSGAGKELQRIVLDHLQPAVEVIGFRIGELRGLSRWRARYHSIGLDESLINNATEKAGMLLVQVERFMRVLSSVVQQYSNFFNWLLKCIKLLMSEPSDQLLPYNSELVIIFLKFLYEQDPVKQLLEISETEYEVEIDLETMQRVRELVQFGGFSDTEYLRRTLAKEFQLMELSFKEAFQMPFTTISRKILCEDILPLFPLPSLPKSSSSMRIPTSVSYYEDFSGASVSPQTVQNQFIDYVSFQVPDECFSDIVNCICIVRGFMHDSHCLKKGCSSLEAVLLHVPVDYQCVDLSLYKDSQIVLLLNKVTNTSESAGDGCMMILQASDLQYISMSRSAYIDVWRLPELKDSVAYLHIEDEKARTIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVSDAE >Vigun01g029500.1.v1.2 pep primary_assembly:ASM411807v1:1:3641232:3644531:1 gene:Vigun01g029500.v1.2 transcript:Vigun01g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSVLTRVATGAAVGGAIGGAVGAVYGTYDAIRYKVPGFLKIRHIGQTTLGSAAVFSLFLAAGTLIRSH >Vigun03g179300.1.v1.2 pep primary_assembly:ASM411807v1:3:22528776:22531071:-1 gene:Vigun03g179300.v1.2 transcript:Vigun03g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRMLQKSVKKLHFGSWEEKEEAAKEIERLAKEDEKVRELATELGVVTVLVSMALSDVASRRRVALKALIHLSYGNHKNKALIVEAGILCKLPKKIELEDESIREFADLLSSLSSLGNIQFRDSSLNFLQFLIDILKSCRSFDTKESCLVAMCNISTVLENAGPLVSNGVVPILLELCSEKGTSEKALTILGNLGVTLMGKKALENSSTVPKCLIEILSWEDEPKCQELSANILIILAHKNSTQINKMVESGIIPVLLQVALLGTSSAQKRARKLLRLFRDERQIKMAKHSGPHSSRIALENQRDREEGKRMMKSLVRESLHRNMEIITKRVNAGEDSSNGLKSLLTSTSSKSLPN >Vigun07g260800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37713489:37713923:-1 gene:Vigun07g260800.v1.2 transcript:Vigun07g260800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSLSSHVFLSHPLSREHKVFFDATNPRRSLICASKSDSSGHHCDGKMVDENMILLRMRIREIEMLEMKTKASSDWNEWEKKYFQNYDSDVCDAVGVLQRVLMKTRPSFALATFALLMLTMSMATLQLLFHLVGFAKGIYHI >Vigun06g159700.2.v1.2 pep primary_assembly:ASM411807v1:6:28247481:28251915:1 gene:Vigun06g159700.v1.2 transcript:Vigun06g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSNVIVFCFCLWTLLFSLASSAPNDGLRRIGLKKIRLDDDDVIRFKELRSSATENVLQNILHGADGADIVALKNYMDAQYYGEIAIGTPPQTFTVIFDTGSSNLWVPSSKCYLSLACYTHAKYKSSKSRTYKENGTSAAIQYGSGSISGFFSNDNVRVGDVVVDNQAFIEATREPGVTFVAAKFDGILGLGFQEISVGNAVPVWYNMVERGLVKDPVFSFWLNRKPEEENGGEIVFGGADPAHYRGKHTYVPVTRKGYWQFDMGEVLIAGKPTGFCASDCSAIADSGTSLLAGPTPIITEINQAIGASGVVSKECKSVVEQYGQTILELLLAEEKPKKICSQIGLCSFDGTRAVSMGIESVVDKNERESSGAIRDARCSTCEMAVIWIQNQLRQNQTEEQIINYVNGLCDKLPSPMGQSSVDCGQISSMPIVSFTIGGKVFDLSPNEYILKVGEGPQAQCISGFIALDVPPPRGPLWILGDIFMGPYHTIFDYGQQRVGFAEAA >Vigun06g159700.1.v1.2 pep primary_assembly:ASM411807v1:6:28247009:28251915:1 gene:Vigun06g159700.v1.2 transcript:Vigun06g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSNVIVFCFCLWTLLFSLASSAPNDGLRRIGLKKIRLDDDDVIRFKELRSSATENVLQNILHGADGADIVALKNYMDAQYYGEIAIGTPPQTFTVIFDTGSSNLWVPSSKCYLSLACYTHAKYKSSKSRTYKENGTSAAIQYGSGSISGFFSNDNVRVGDVVVDNQAFIEATREPGVTFVAAKFDGILGLGFQEISVGNAVPVWYNMVERGLVKDPVFSFWLNRKPEEENGGEIVFGGADPAHYRGKHTYVPVTRKGYWQFDMGEVLIAGKPTGFCASDCSAIADSGTSLLAGPTPIITEINQAIGASGVVSKECKSVVEQYGQTILELLLAEEKPKKICSQIGLCSFDGTRAVSMGIESVVDKNERESSGAIRDARCSTCEMAVIWIQNQLRQNQTEEQIINYVNGLCDKLPSPMGQSSVDCGQISSMPIVSFTIGGKVFDLSPNEYILKVGEGPQAQCISGFIALDVPPPRGPLWILGDIFMGPYHTIFDYGQQRVGFAEAA >Vigun10g142100.1.v1.2 pep primary_assembly:ASM411807v1:10:35890262:35892457:1 gene:Vigun10g142100.v1.2 transcript:Vigun10g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNSSTFVTSQDSCSSKLLQLQLPIPFDTSSLLCSSVWPAHSFILRYAKASSDVWSFIFSFPLDPKAYAAIGFSKDGSMVGSSAIVGWMPSPGAGGMKYYYLGGKSEDEVIKDRSDLYIMNASFVPATDSLGYLIFQLKTTQPSTDLIFAIGPNGQFPDYPRYDLPKHIDQTSITIDYSKGGSTRSNSNLNLRRSHGVLNIMGWSILMIIGAIIARYFKERDPMWFYLHASIQAFGFLAGIFGVLCGLLLARNLKVTHHKNVGILIIILGFLQVLAIILRPGRESKIRKYWNWYHHNVGRILIIFAILNTFYGLHLGGEGSKWFLAYGVSIGILVIVVVFLEIRMRMIARRETKPSPQSLDMPY >VigunL059232.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000252.1:177465:177928:-1 gene:VigunL059232.v1.2 transcript:VigunL059232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRIQKQGDGIYSTEDLAQLIFDLHQMLLKMERVTLVCGRIVGVIAKKYGDTNFAGQCLDLQLNIQYLYLN >Vigun10g077600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21141078:21141879:1 gene:Vigun10g077600.v1.2 transcript:Vigun10g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGGNRTSRGVPIVPNIPRRPPREIDFGFGSIVNKKLASKTKKRQEQRESVGSLTLLQSITFAVVWLWTTIIGVLKVRIQGGVSTKKTLVDEKVKTSSRKSTKTRQKR >Vigun02g080050.1.v1.2 pep primary_assembly:ASM411807v1:2:23273676:23279150:-1 gene:Vigun02g080050.v1.2 transcript:Vigun02g080050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ELIVHISDIKLIRTDTTLDLSQKAEKGLCRSAKQRRCSRSQVASYFDDPLPKKINLISCELIVHISDIKLIRTDTTLDLSQKAEKGMI >Vigun06g080400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21240908:21243099:1 gene:Vigun06g080400.v1.2 transcript:Vigun06g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGAQPQPPSTTKPPPPPSPSTAPPQPQGSSPAPPPPPSRYESQKRRDWNTFFQYLHNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISGCPHFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYKENGGRPESNPFGAKAVMIYLKEVKEGQAKARGVPYEKKKRKRSTTRVSKVSDSGGESSIVLPVSGNNDTKVGVDAGSSASLPSSTTSVSTTTA >Vigun11g059800.2.v1.2 pep primary_assembly:ASM411807v1:11:13001559:13006059:1 gene:Vigun11g059800.v1.2 transcript:Vigun11g059800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDELREMAREMARRRNSA >Vigun11g059800.5.v1.2 pep primary_assembly:ASM411807v1:11:13001578:13004635:1 gene:Vigun11g059800.v1.2 transcript:Vigun11g059800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDELREMAREMARRRNSA >Vigun11g059800.3.v1.2 pep primary_assembly:ASM411807v1:11:13001559:13006059:1 gene:Vigun11g059800.v1.2 transcript:Vigun11g059800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDELREMAREMARRRNSA >Vigun11g059800.6.v1.2 pep primary_assembly:ASM411807v1:11:13003747:13004406:1 gene:Vigun11g059800.v1.2 transcript:Vigun11g059800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDELREMAREMARRRNSA >Vigun11g059800.4.v1.2 pep primary_assembly:ASM411807v1:11:13001578:13004635:1 gene:Vigun11g059800.v1.2 transcript:Vigun11g059800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIILMYTFANNSSNLRKFMGNRSYIEYPPEAERPPSPDELREMAREMARRRNSA >Vigun05g066000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5728913:5729503:1 gene:Vigun05g066000.v1.2 transcript:Vigun05g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFDRRVSIGWVLIMVVFLSSAKNAMSVDLHLHVQVTNSLASKIILSVYCNHVDFKQRLINPDQSYQWDYSGDLPPSIQPFLCLFHLGDDLQLYMFNFVTLFDSDCKQHCQWFVKEAGPCRYYESKEVCFKWLPHIKSLNSSTELKH >Vigun02g086800.2.v1.2 pep primary_assembly:ASM411807v1:2:24145947:24152330:1 gene:Vigun02g086800.v1.2 transcript:Vigun02g086800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIQSNDIQEYPQTLISEPSDSLMQDANESVFDSMVCDSTSRLITTGFTKKTEEECFMFVNAGGDAFSEAAGGITFLGDTYFDGGNVMRTNERIVEGGDYPFIYQSARLGSFCYRFENIPPGDYVVDLHFVEIININGPKGMRVFNVYIQDEKVLSELDIYAIVGANKPLQLVDSSVSVKDDGVILIRFEGISGSPVVSGICIRRATKASVPQVTSDHIECSYCAAQIEIPSSQMKLMQAKSIAKYESKIKELTMQCDLKAKECYEAWMSLTVTNEQLETVQMELDKVTIKSHTTDQTVEKQAESLRNISNMYELDKKKWADAICSLQEKIKLMKSDYLKLSFEAHECVDSIPELNNMVFAVQELVKQCEDLKVKYNEEMTKRKKLFNEVQEAKGNIRVFCRCRPLNKAEMSAGYNTVVDFDAAKDGCLGILTSGSVKKSFRFDRVYTPKDDQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGTQQNRGVNYRTLEHLFKVSKERSETFSYNISVSVLEVYNEQIRDLLATGQTSKRLEIKQASEGYHHVPGVVEAKIDSINEVWSVLQTGNNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGVELGPVKRQIDTSELQKLKAMLEKARSDCRIKDESMRKLEENLQSLESKAKGKDQIYKNLQEKIKELEGQIELKKAMQSESEKQVSQLSDKLRGKEETCSSLQQKVRELEKRMKEQLQSESASFQQKVWDLEKRLKDQMHESDSESAILKDKIKELERKLKEQEKSSMVMLHQQMKELEDRYREREQQWQQTHSYVDAVRATPDIGKSFMNEECPSEIEAGILRCSDSVNRQTSQGSSLFKGSDSTNKIRSRTREFRSNDENNYVMSSSSLHDRRRVTRKSDPPKIIRSVRPTPRPATGNQAPVSHKRTSTSRDQVPGIRERESKKKIWS >Vigun02g086800.1.v1.2 pep primary_assembly:ASM411807v1:2:24145903:24152417:1 gene:Vigun02g086800.v1.2 transcript:Vigun02g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIQSNDIQEYPQTLISEPSDSLMQDANESVFDSMVCDSTSRLITTGFTKKTEEECFMFVNAGGDAFSEAAGGITFLGDTYFDGGNVMRTNERIVEGGDYPFIYQSARLGSFCYRFENIPPGDYVVDLHFVEIININGPKGMRVFNVYIQDEKVLSELDIYAIVGANKPLQLVDSSVSVKDDGVILIRFEGISGSPVVSGICIRRATKASVPQVTSDHIECSYCAAQIEIPSSQMKLMQAKSIAKYESKIKELTMQCDLKAKECYEAWMSLTVTNEQLETVQMELDKVTIKSHTTDQTVEKQAESLRNISNMYELDKKKWADAICSLQEKIKLMKSDYLKLSFEAHECVDSIPELNNMVFAVQELVKQCEDLKVKYNEEMTKRKKLFNEVQEAKGNIRVFCRCRPLNKAEMSAGYNTVVDFDAAKDGCLGILTSGSVKKSFRFDRVYTPKDDQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGTQQNRGVNYRTLEHLFKVSKERSETFSYNISVSVLEVYNEQIRDLLATGQTSKRLEIKQASEGYHHVPGVVEAKIDSINEVWSVLQTGNNARAVGSNNVNEHSSRSHCMLCITVKAKNLLNGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGVELGPVKRQIDTSELQKLKAMLEKARSDCRIKDESMRKLEENLQSLESKAKGKDQIYKNLQEKIKELEGQIELKKAMQSESEKQVSQLSDKLRGKEETCSSLQQKVRELEKRMKEQLQSESASFQQKVQKVWDLEKRLKDQMHESDSESAILKDKIKELERKLKEQEKSSMVMLHQQMKELEDRYREREQQWQQTHSYVDAVRATPDIGKSFMNEECPSEIEAGILRCSDSVNRQTSQGSSLFKGSDSTNKIRSRTREFRSNDENNYVMSSSSLHDRRRVTRKSDPPKIIRSVRPTPRPATGNQAPVSHKRTSTSRDQVPGIRERESKKKIWS >Vigun06g143800.2.v1.2 pep primary_assembly:ASM411807v1:6:26962272:26970250:1 gene:Vigun06g143800.v1.2 transcript:Vigun06g143800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGGSHNNGGGKALSATIPASSRKMVQSLKEIVSNFPDHEIYATLKDCNMDPNEAVSRLLSQDPFHEVKSKREKKKEIKDPIDSRSRGSGTSNTSSRGGGRAGTDRHGGRGSANQFGSSDSGLQGKPAYKKENGAPTYGGSTSSTSSVLGNNSNRRPISYSDSVATEKTLALGISDGPSSSHRTGVQSAWMGNAGQVSMADIVKMGRPQARPSMNHSSIQSGNNQNIVLPPAASDNNLHSLQGYASKVSETNTNQGHALSDNVPQNDEWSSSIENQHDVRGYEDVDAHANSEYYANSSSFVETDWQQKTPLDEYDAEDGSIENADNNEYASISAKSTSEDNTGAEDDVSSMAANIEQLNIQRDDHGTEQEDDNPSVVIPNHLQLHTPECMNLSFGSFGSGNPLSGPVSFTSRPLKSKLEDTSGATDVSTIENSDTRNPDYYGDEHHATTTSDGNLVQVTGVSAGTFEHSSISQEALKPEAPEIAQEHQYSFPSQSHGFAYENAHQPEVTFPPSQTSSQMQNLASFSGVMAHSNSLPNALLASTVQTAREDIPYLPFPVTQSMPTKYSNIASSIGGSGITMSEALRASAISTPQPNAQNLPGASVATGPALPQHLPVHPYSQPTLPLGHFANMISYPFLPQSYTYMPSAFQQTFPGNSTYHQSLAAVLPQYKNNVSVSSLPQSAAIPPGYGFGSSTSIPGGNFSLSPPAAPTGTTIGYEDLINSQFKDSNHMISLQQNDNSPMWVQGAGPRTMSAVPPSNYYSMQGQNQQQPGGFRQRQQQQQQQPSQHFGSLGYPNFYQSQSGISLEHQPQNPREASLGGPQSQPSKQSQQLWQNSY >Vigun06g143800.1.v1.2 pep primary_assembly:ASM411807v1:6:26962272:26970250:1 gene:Vigun06g143800.v1.2 transcript:Vigun06g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGGSHNNGGGKALSATIPASSRKMVQSLKEIVSNFPDHEIYATLKDCNMDPNEAVSRLLSQDPFHEVKSKREKKKEIKDPIDSRSRGSGTSNTSSRGGGRAGTDRHGGRGSANQFGSSDSGLQGKPAYKKENGAPTYGGSTSSTSSVLGNNSNRRPISYSDSVATEKTLALGISDGPSSSHRTGVQSAWMGNAGQVSMADIVKMGRPQARPSMNHSSIQSGNNQNIVLPPAASDNNLHSLQGYASKVSETNTNQGHALSDNVPQNDEWSSSIENQHDVRGYEDVDAHANSEYYANSSSFVETDWQQKTPLDEYDAEDGSIENADNNEYASISAKSTSEDNTGAEDDVSSMAANIEQLNIQRDDHGTEQEDDNPSVVIPNHLQLHTPECMNLSFGSFGSGNPLSGPVSFTSRPLKSKLEDTSGATDVSTIENSDTRNPDYYGDEHHATTTSDGNLVQVTGVSAGTFEHSSISQEALKPEAPEIAQEHQYSFPSQSHGFAYENAHQPEVTFPPSQTSSQMQNLASFSGVMQAHSNSLPNALLASTVQTAREDIPYLPFPVTQSMPTKYSNIASSIGGSGITMSEALRASAISTPQPNAQNLPGASVATGPALPQHLPVHPYSQPTLPLGHFANMISYPFLPQSYTYMPSAFQQTFPGNSTYHQSLAAVLPQYKNNVSVSSLPQSAAIPPGYGFGSSTSIPGGNFSLSPPAAPTGTTIGYEDLINSQFKDSNHMISLQQNDNSPMWVQGAGPRTMSAVPPSNYYSMQGQNQQQPGGFRQRQQQQQQQPSQHFGSLGYPNFYQSQSGISLEHQPQNPREASLGGPQSQPSKQSQQLWQNSY >Vigun06g143800.3.v1.2 pep primary_assembly:ASM411807v1:6:26963150:26970250:1 gene:Vigun06g143800.v1.2 transcript:Vigun06g143800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGQVSMADIVKMGRPQARPSMNHSSIQSGNNQNIVLPPAASDNNLHSLQGYASKVSETNTNQGHALSDNVPQNDEWSSSIENQHDVRGYEDVDAHANSEYYANSSSFVETDWQQKTPLDEYDAEDGSIENADNNEYASISAKSTSEDNTGAEDDVSSMAANIEQLNIQRDDHGTEQEDDNPSVVIPNHLQLHTPECMNLSFGSFGSGNPLSGPVSFTSRPLKSKLEDTSGATDVSTIENSDTRNPDYYGDEHHATTTSDGNLVQVTGVSAGTFEHSSISQEALKPEAPEIAQEHQYSFPSQSHGFAYENAHQPEVTFPPSQTSSQMQNLASFSGVMQAHSNSLPNALLASTVQTAREDIPYLPFPVTQSMPTKYSNIASSIGGSGITMSEALRASAISTPQPNAQNLPGASVATGPALPQHLPVHPYSQPTLPLGHFANMISYPFLPQSYTYMPSAFQQTFPGNSTYHQSLAAVLPQYKNNVSVSSLPQSAAIPPGYGFGSSTSIPGGNFSLSPPAAPTGTTIGYEDLINSQFKDSNHMISLQQNDNSPMWVQGAGPRTMSAVPPSNYYSMQGQNQQQPGGFRQRQQQQQQQPSQHFGSLGYPNFYQSQSGISLEHQPQNPREASLGGPQSQPSKQSQQLWQNSY >Vigun09g195100.2.v1.2 pep primary_assembly:ASM411807v1:9:36975036:36980992:1 gene:Vigun09g195100.v1.2 transcript:Vigun09g195100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAIEETQRKLRLNLYQFVFYESADDQFTNPYWAQGDQPVANPIYQGSPPSEEEIEAMESCPKADIENLEELLEEENIRFDIDPNYGWAPHFGTHTMIPMLVLKLKENDEQKKRPAVVFLHSMYNNKESLRPLLKAYASRGYIAISVDTCYHGERCLRDTGAYRHVLELIKIANYLTTREDIDPSRIGITGISLGGIHAWFAAVADARYSVVVPLMAVRGFRWIIDNDKWKGLVDSSMKPLFEFVRHKMSKDVIDKEVVEKVLDGIAPSLDSQFDAPYSIPAIAPRPLLIINGAEDPQCPVASLDVTRSNASQAYEAFQCLDNFKFIAEPGVGHQLTKFQVKESSDWFDRFLKPYS >Vigun09g218300.1.v1.2 pep primary_assembly:ASM411807v1:9:39193147:39202354:1 gene:Vigun09g218300.v1.2 transcript:Vigun09g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKLSKLEQPPLPSAYIRSLVKQLTTSGTKGSMNTKDQSFVVNGGVSHGHNSKHGKVAHARRAQQSMQPQQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKEASEQKQQQQQQTQEQQRRPSVSLQPSQCLTFDQDGKFKSRRNPRIYPACTSYSCLSQPPSSVPNSYTWPAASPITPPHLLTENPNFILPNQTLGLNLNFQDFNNLDATFLFNNSSSSSNSSATSSSPEELPSLGISQAEGLYSMGDTVESNAATQVNGGLHTAMDDEGMAEIRSLGEQYQMEWNDTMNLVKSACWFKFLKNIEHRAPEAKNEDDAYHIFDQLLEFPAWLNANESCLEQCSVDYFQDSSLPRMDIGDFDTMDDDWLA >Vigun09g218300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39199529:39200629:1 gene:Vigun09g218300.v1.2 transcript:Vigun09g218300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKLSKLEQPPLPSAYIRSLVKQLTTSGTKGSMNTKDQSFVVNGGVSHGHNSKHGKVAHARRAQQSMQPQQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKEASEQKQQQQQQTQEQQRRPSVSLQPSQCLTFDQDGKFKSRRNPRIYPACTSYSCLSQPPSSVPNSYTWPAASPITPPHLLTENPNFILPNQTLGLNLNFQDFNNLDATFLFNNSSSSSNSSATSSSPEELPSLGISQAEGLYSMGDTVESNAATQVNGGLHTAMDDEGMAEIRSLGEQYQMEWNDTMNLVKSACWFKFLKNIEHRAPEAKNEDDAYHIFDQLLEFPAWLNANESCLEQCSVDYFQDSSLPR >Vigun09g218300.2.v1.2 pep primary_assembly:ASM411807v1:9:39193797:39202224:1 gene:Vigun09g218300.v1.2 transcript:Vigun09g218300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKLSKLEQPPLPSAYIRSLVKQLTTSGTKGSMNTKDQSFVVNGGVSHGHNSKHGKVAHARRAQQSMQPQQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKEASEQKQQQQQQTQEQQRRPSVSLQPSQCLTFDQDGKFKSRRNPRIYPACTSYSCLSQPPSSVPNSYTWPAASPITPPHLLTENPNFILPNQTLGLNLNFQDFNNLDATFLFNNSSSSSNSSATSSSPEELPSLGISQAEGLYSMGDTVESNAATQVNGGLHTAMDDEGMAEIRSLGEQYQMEWNDTMNLVKSACWFKFLKNIEHRAPEAKNEDDAYHIFDQLLEFPAWLNANESCLEQCSVDYFQDSSLPRMDIGDFDTMDDDWLA >Vigun06g133500.2.v1.2 pep primary_assembly:ASM411807v1:6:25987554:25993536:-1 gene:Vigun06g133500.v1.2 transcript:Vigun06g133500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFVPFRGIKNDLQGRLMCYKQDWIGGLRAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIQSIIGGQPLLILGVAEPTVIMYTFMFNFAKRRPELGSKLFLAWTGWVCMWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLIHEFHIPERANPSSPEFQSSWRFGNGMFSLVLSFGLLLTALKSRKARSWRYGSGCLRGFIADYGVPLMVLVWTAISYIPAGSIPKGVPRRLFSPNPWSSGAFENWTVIKDMLNVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVAAARSSMRKLESLGQVYGSMQDAYWQMQTPLVHEESSSQGLKELKESTIQLASSMGSINAPVDESIFDVKKEIDDLLPVEVKEQRVSNLLQSVMVVGCVAAMPFLKMIPTSVLWGYFAFMAIENLPGNQFWERILLILTAPSRRYKVLEECHATYVETVPFKTIAVFTVFQTAYLLVCFGITWIPTAGVLFPLMIMFLVPVRQYILPKFFKGAHLQDLDAAEYEEVPALPFSLAAEGDLSKTASFADDGEIVDGIITRSRGEIRHVCSPKLMNSTPIISQELTTLQSPRLPDKV >Vigun06g133500.1.v1.2 pep primary_assembly:ASM411807v1:6:25987554:25993536:-1 gene:Vigun06g133500.v1.2 transcript:Vigun06g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFVPFRGIKNDLQGRLMCYKQDWIGGLRAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIQSIIGGQPLLILGVAEPTVIMYTFMFNFAKRRPELGSKLFLAWTGWVCMWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLIHEFHIPERANPSSPEFQSSWRFGNGMFSLVLSFGLLLTALKSRKARSWRYGSGCLRGFIADYGVPLMVLVWTAISYIPAGSIPKGVPRRLFSPNPWSSGAFENWTVIKDMLNVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVAAARSSMRKLESLGQVYGSMQDAYWQMQTPLVHEESSSQGLKELKESTIQLASSMGSINAPVDESIFDVKKEIDDLLPVEVKEQRVSNLLQSVMVVGCVAAMPFLKMIPTSVLWGYFAFMAIENLPGNQFWERILLILTAPSRRYKVLEECHATYVETVPFKTIAVFTVFQTAYLLVCFGITWIPTAGVLFPLMIMFLVPVRQYILPKFFKGAHLQDLDAAEYEEVPALPFSLAAEGDLSKTASFADDGEIVDGIITRSRGEIRHVCSPKLMNSTPIISQELTTLQSPRLPDKV >Vigun09g034300.1.v1.2 pep primary_assembly:ASM411807v1:9:3010214:3013914:1 gene:Vigun09g034300.v1.2 transcript:Vigun09g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSSAWEEIVRHSLFGLSLNLTFGSKDGAVILRSGSFKKRESDTTSVLSKGASTTGSSKLKDYRPEHMIFERNLSCIKGMEMMGSERSELLQQKPVPVLSLPKEVVFSSPRPVSELDAAATKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKQETAMSRWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHMYYDIWFESQSSQPFFYWLDVGDGKEINLEKCPRAVLQRQCITYLGPKEREEYEVIVKDGKLVYRGDGRFVDTDGKSKWIFVLSTTRSLYVGRKQKGSFQHSSFLSGAATTAAGRLVAHQGVLEAIWPYSGHYHPTEENFKEFISFLNEHNVDLSNVKKCAIDDDAPSMVGSTSFSDIKESQQIKGPPLPSTNCITMNATTLHKETEKKVEVPVFDVSKRLTCKWSTGAGPRIGCVRDYPEHLQSRALEQVNLSPRPASARPYSYGPIPSPRPSPKVRMSPRLAYMGLPSPRRPIPATS >Vigun09g038500.1.v1.2 pep primary_assembly:ASM411807v1:9:3418067:3422589:-1 gene:Vigun09g038500.v1.2 transcript:Vigun09g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVWCAVAVLLCAVAGGSWLEDANPIRMVSDVEGKVIEVIGQCRSAVSFARFVGRFGKSYRNEEEMKRRYEIFSQNLRLIRAHNKKRLPYTLAVNHFADWTWEEFQSHRLGAAQNCSATLKGNHKLTDAVLPPVKDWRQENIVSAVKDQGSCGSCWTFSTTGALEAAYAQAHGKSISLSEQQLVDCAGNFNNYGCNGGLPSQAFEYIKYNGGLETEEAYPYTGKNGVCKFSAENVGIQVLDSVNITLGAEDELKHAVAFVRPVSVAFEVVRDFRFYEKGIYTSQTCGKTPLDVNHAVLAVGYGVEDDVEYWLIKNSWGENWGDDGYFKMELGKNMCGVATCASYPIVA >Vigun03g388600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59491131:59491869:1 gene:Vigun03g388600.v1.2 transcript:Vigun03g388600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVYVCEEEEKELGRQQAPGSCPYCGAKVQAMDVEIQSKLCFLPLCFKIKRKYFCTHCARRLELYY >Vigun04g095400.1.v1.2 pep primary_assembly:ASM411807v1:4:19866286:19880357:1 gene:Vigun04g095400.v1.2 transcript:Vigun04g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQSDLSSVVRLNIGGKKFCTTTDTLTQREPDSMLAAMFSGRHTLCQDSDKGYVFVDRDGKHFRHILNWLRDGVVPTLEEYQYSELLREAEYYQLLGLIDGIYAVLNKKKEVDEFHTELTRTDIIKCIQSEKVRFRGVNLSGLDLSKLDLSYVDFSYACLKNVFFSRANLQCAKFRDVDAEASIFHNATLRECEFTGANLRGALLAGACLQSANLQDACLVDSSLCGADLRTAHLQNADLTNANLEGAVLEGANLKGAKLNNANLKGANLQRAYLRHVNLRDTHLEGARLDGANLLGAIR >Vigun02g006900.1.v1.2 pep primary_assembly:ASM411807v1:2:3002493:3007444:1 gene:Vigun02g006900.v1.2 transcript:Vigun02g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPVLVMIIVNLALAFVNIFLKKVLNEGVDYFTILTYRQATSAISLAPIACFYERERKLEGHIICLLFISALVGVTFTQYLFLMGLEYTSTTFSCAFLNMVPVFTFIMALPLRIEKLNMRKVSAKAKVLGTFVCIGGALVLILYKGMPIVKQEQEHIADKDTTTPSASKLKKWIIGSLLLTAGCGLWSSWFLIQARISRKYPCQYSSTALLSFFAAIQSAILTLLIDRSKTKWILRGKLEILTVVYSGLVGSGLCYVAMSWCVKQRGPVFTSAFTPLLQMFVAVLDFSILQEEIYLGSVAGSMLVISGTYILLWGKSKEEEQNAMRDTQEDEECMNSFEANPNVASKLMPRGEQGLSELQVKQLAIAVTRS >Vigun03g218800.3.v1.2 pep primary_assembly:ASM411807v1:3:36421852:36431857:1 gene:Vigun03g218800.v1.2 transcript:Vigun03g218800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDVPPSMVPNGRSSRYSFGSSNGNDDTPAHNGAAVINVDDYDSDSSNFAPPTPSTTSMAVPAELAGAVPLINRFQVDGFLKLMQKQIQSAGKRGFFSKRSVGPQVREKVTFEDMLSFQKDPIPTSLLKLNVDLVSRATKLFQIILKYMGIDASDRVTPISLDERVELVGKLYKQNLKRPELRDELFVQISKQTRNNPERQYLIKAWELMYLCASSMSPSKDISSYLSEYIHNVANGVATDSEIQVLALNSLNALKHSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEIAYDMSTTVSDAVQELAGLIKLSAYSSFSLFECRKVVTGSKAPDVGNEEYIGLDENKYIGDLLAEFKVAKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGRDDAAQLSALQILAEIGFLSTPESCTDWNSLLERFLPRQISMTRAKREWEYDIISRYRSLENLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAAGAGSLNGAIPNNSSKPPNMELYEKRVQDLSKLIEESQKNADQLLEELRVKQRQEEKMQEELDGLKESLKADKQNLDSVTRDRDRLRSLCNAKDKELQAAILDKRNMESRMAKLNNAVIEKTAKKDLADAGSRQVTQKLEDELKVCKYELFAANETIKSLKNEIMILEQNLSALEKRNAGEISSLQWKLEQERKAVKSEAYELERKLEGCRQELLAAKATISAKDSELVSMQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLNEKEIAEKERKALTAVDEFTVEYPWKDDKPKQYIYDRVFDAVATQESVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFRILRRDNNKYSFSLKTYMVELYQDTLIDLLAPKNGKHLKLDIKKDSTGMVVVENVTVMSISTIEELNTIIQRGSERRHISGTQMNDESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSTGSQLKEAQSINKSLSALADVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGKGPEFEDLEEIQDERPTKENGSGNR >Vigun03g218800.2.v1.2 pep primary_assembly:ASM411807v1:3:36421852:36431857:1 gene:Vigun03g218800.v1.2 transcript:Vigun03g218800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDVPPSMVPNGRSSRYSFGSSNGNDDTPAHNGAAVINVDDYDSDSSNFAPPTPSTTSMAVPAELAGAVPLINRFQVDGFLKLMQKQIQSAGKRGFFSKRSVGPQVREKVTFEDMLSFQKDPIPTSLLKLNVDLVSRATKLFQIILKYMGIDASDRVTPISLDERVELVGKLYKQNLKRPELRDELFVQISKQTRNNPERQYLIKAWELMYLCASSMSPSKDISSYLSEYIHNVANGVATDSEIQVLALNSLNALKHSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEIAYDMSTTVSDAVQELAGLIKLSAYSSFSLFECRKVVTGSKAPDVGNEEYIGLDENKYIGDLLAEFKVAKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGRDDAAQLSALQILAEIGFLSTPESCTDWNSLLERFLPRQISMTRAKREWEYDIISRYRSLENLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAAGAGSLNGAIPNNSSKPPNMELYEKRVQDLSKLIEESQKNADQLLEELRVKQRQEEKMQEELDGLKESLKADKQNLDSVTRDRDRLRSLCNAKDKELQAAILDKRNMESRMAKLNNAVIEKTAKKDLADAGSRQVTQKLEDELKVCKYELFAANETIKSLKNEIMILEQNLSALEKRNAGEISSLQWKLEQERKAVKSEAYELERKLEGCRQELLAAKATISAKDSELVSMQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLNEKEIAEKERKALTAVDEFTVEYPWKDDKPKQYIYDRVFDAVATQESVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFRILRRDNNKYSFSLKTYMVELYQDTLIDLLAPKNGKHLKLDIKKDSTGMVVVENVTVMSISTIEELNTIIQRGSERRHISGTQMNDESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSTGSQLKEAQSINKSLSALADVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGKGPEFEDLEEIQDERPTKENGSGNR >Vigun03g218800.5.v1.2 pep primary_assembly:ASM411807v1:3:36421864:36431853:1 gene:Vigun03g218800.v1.2 transcript:Vigun03g218800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDVPPSMVPNGRSSRYSFGSSNGNDDTPAHNGAAVINVDDYDSDSSNFAPPTPSTTSMAVPAELAGAVPLINRFQVDGFLKLMQKQIQSAGKRGFFSKRSVGPQVREKVTFEDMLSFQKDPIPTSLLKLNVDLVSRATKLFQIILKYMGIDASDRVTPISLDERVELVGKLYKQNLKRPELRDELFVQISKQTRNNPERQYLIKAWELMYLCASSMSPSKDISSYLSEYIHNVANGVATDSEIQVLALNSLNALKHSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEIAYDMSTTVSDAVQELAGLIKLSAYSSFSLFECRKVVTGSKAPDVGNEEYIGLDENKYIGDLLAEFKVAKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGRDDAAQLSALQILAEIGFLSTPESCTDWNSLLERFLPRQISMTRAKREWEYDIISRYRSLENLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAAGAGSLNGAIPNNSSKPPNMELYEKRVQDLSKLIEESQKNADQLLEELRVKQRQEEKMQEELDGLKESLKADKQNLDSVTRDRDRLRSLCNAKDKELQAAILDKRNMESRMAKLNNAVIEKTAKKDLADAGSRQVTQKLEDELKVCKYELFAANETIKSLKNEIMILEQNLSALEKRNAGEISSLQWKLEQERKAVKSEAYELERKLEGCRQELLAAKATISAKDSELVSMQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLNEKEIAEKERKALTAVDEFTVEYPWKDDKPKQYIYDRVFDAVATQESVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFRILRRDNNKYSFSLKTYMVELYQDTLIDLLAPKNGKHLKLDIKKDSTGMVVVENVTVMSISTIEELNTIIQRGSERRHISGTQMNDESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSTGSQLKEAQSINKSLSALADVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGKGPEFEDLEEIQDERPTKENGSGNR >Vigun03g218800.4.v1.2 pep primary_assembly:ASM411807v1:3:36421864:36431838:1 gene:Vigun03g218800.v1.2 transcript:Vigun03g218800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDVPPSMVPNGRSSRYSFGSSNGNDDTPAHNGAAVINVDDYDSDSSNFAPPTPSTTSMAVPAELAGAVPLINRFQVDGFLKLMQKQIQSAGKRGFFSKRSVGPQVREKVTFEDMLSFQKDPIPTSLLKLNVDLVSRATKLFQIILKYMGIDASDRVTPISLDERVELVGKLYKQNLKRPELRDELFVQISKQTRNNPERQYLIKAWELMYLCASSMSPSKDISSYLSEYIHNVANGVATDSEIQVLALNSLNALKHSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEIAYDMSTTVSDAVQELAGLIKLSAYSSFSLFECRKVVTGSKAPDVGNEEYIGLDENKYIGDLLAEFKVAKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGRDDAAQLSALQILAEIGFLSTPESCTDWNSLLERFLPRQISMTRAKREWEYDIISRYRSLENLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAAGAGSLNGAIPNNSSKPPNMELYEKRVQDLSKLIEESQKNADQLLEELRVKQRQEEKMQEELDGLKESLKADKQNLDSVTRDRDRLRSLCNAKDKELQQAAILDKRNMESRMAKLNNAVIEKTAKKDLADAGSRQVTQKLEDELKVCKYELFAANETIKSLKNEIMILEQNLSALEKRNAGEISSLQWKLEQERKAVKSEAYELERKLEGCRQELLAAKATISAKDSELVSMQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLNEKEIAEKERKALTAVDEFTVEYPWKDDKPKQYIYDRVFDAVATQESVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFRILRRDNNKYSFSLKTYMVELYQDTLIDLLAPKNGKHLKLDIKKDSTGMVVVENVTVMSISTIEELNTIIQRGSERRHISGTQMNDESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSTGSQLKEAQSINKSLSALADVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGKGPEFEDLEEIQDERPTKENGSGNR >Vigun03g218800.1.v1.2 pep primary_assembly:ASM411807v1:3:36421864:36431838:1 gene:Vigun03g218800.v1.2 transcript:Vigun03g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDVPPSMVPNGRSSRYSFGSSNGNDDTPAHNGAAVINVDDYDSDSSNFAPPTPSTTSMAVPAELAGAVPLINRFQVDGFLKLMQKQIQSAGKRGFFSKRSVGPQVREKVTFEDMLSFQKDPIPTSLLKLNVDLVSRATKLFQIILKYMGIDASDRVTPISLDERVELVGKLYKQNLKRPELRDELFVQISKQTRNNPERQYLIKAWELMYLCASSMSPSKDISSYLSEYIHNVANGVATDSEIQVLALNSLNALKHSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEIAYDMSTTVSDAVQELAGLIKLSAYSSFSLFECRKVVTGSKAPDVGNEEYIGLDENKYIGDLLAEFKVAKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYILGNYPIGRDDAAQLSALQILAEIGFLSTPESCTDWNSLLERFLPRQISMTRAKREWEYDIISRYRSLENLTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIILGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAAGAGSLNGAIPNNSSKPPNMELYEKRVQDLSKLIEESQKNADQLLEELRVKQRQEEKMQEELDGLKESLKADKQNLDSVTRDRDRLRSLCNAKDKELQQAAILDKRNMESRMAKLNNAVIEKTAKKDLADAGSRQVTQKLEDELKVCKYELFAANETIKSLKNEIMILEQNLSALEKRNAGEISSLQWKLEQERKAVKSEAYELERKLEGCRQELLAAKATISAKDSELVSMQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMETLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPLNEKEIAEKERKALTAVDEFTVEYPWKDDKPKQYIYDRVFDAVATQESVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFRILRRDNNKYSFSLKTYMVELYQDTLIDLLAPKNGKHLKLDIKKDSTGMVVVENVTVMSISTIEELNTIIQRGSERRHISGTQMNDESSRSHLILSIVIESTNLQSQSVARGKLSFVDLAGSERVKKSGSTGSQLKEAQSINKSLSALADVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKQQAGKGPEFEDLEEIQDERPTKENGSGNR >Vigun03g155600.1.v1.2 pep primary_assembly:ASM411807v1:3:16598162:16602311:1 gene:Vigun03g155600.v1.2 transcript:Vigun03g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPQPQLQVQPQQPAPSSQDEALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCRYWLSGNCLNPKCSFRHPPLDGLLGTQAATAGGPSVSAPPSQIPTASATHSPYNSTKQAVPCFFFQKGLCLKGDRCAFMHGPPAPSTGNKVAAQVPVTSQGAENPGFKKPFGTNEKYTQERKTSQGNVAKSGGGPEPKPAPKIESAPKRNMFELEKEMVPPSVGFDSEASRFKTSSPPVTNGPTVVRSNRLHQARVPDDHNFHSGKDSDEFLRESSPGFDVLVADELRNSDYYHGEDEFGKARGPDERNLDSLNEYDLGHSGEYGLAADIDRERFRVSQGYESYDHMQEPYVWEQHRKASAHLDRRTRRRSGSPENAEVSDLRHQLSKRRKGNGLKSVVSHDYALEGHGEEQSHRSFSRKDSLQLPLNESSLGNRFRGRIKLPTNGGADQLERDDRGRVRGRLLSGRLQATQQGRVHDRMRGRLQDDERRNSKERIMGREVIGGGRSDFAGPKSLAELKNGRNPENREPQPLRRRGTLRDDHPQSEDDLQFDGPKPLSEILKEKKRGGAGGRGDADSGNGKSSGIKNEKVINGSDPTPVTNTQNGVLSETKEYVNNQNNEESKVEITDAVGGETDATDGQYEEGMYDEAGEDQYYEGDDQREGDYEYEQGDEGYYEYEQGEEGENQEEEYIEEEDGDDFAKKIGVIHS >Vigun03g155600.2.v1.2 pep primary_assembly:ASM411807v1:3:16599713:16602311:1 gene:Vigun03g155600.v1.2 transcript:Vigun03g155600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANPKEQVLVMKNGNECEYRHSEYARVNPRDCRYWLSGNCLNPKCSFRHPPLDGLLGTQAATAGGPSVSAPPSQIPTASATHSPYNSTKQAVPCFFFQKGLCLKGDRCAFMHGPPAPSTGNKVAAQVPVTSQGAENPGFKKPFGTNEKYTQERKTSQGNVAKSGGGPEPKPAPKIESAPKRNMFELEKEMVPPSVGFDSEASRFKTSSPPVTNGPTVVRSNRLHQARVPDDHNFHSGKDSDEFLRESSPGFDVLVADELRNSDYYHGEDEFGKARGPDERNLDSLNEYDLGHSGEYGLAADIDRERFRVSQGYESYDHMQEPYVWEQHRKASAHLDRRTRRRSGSPENAEVSDLRHQLSKRRKGNGLKSVVSHDYALEGHGEEQSHRSFSRKDSLQLPLNESSLGNRFRGRIKLPTNGGADQLERDDRGRVRGRLLSGRLQATQQGRVHDRMRGRLQDDERRNSKERIMGREVIGGGRSDFAGPKSLAELKNGRNPENREPQPLRRRGTLRDDHPQSEDDLQFDGPKPLSEILKEKKRGGAGGRGDADSGNGKSSGIKNEKVINGSDPTPVTNTQNGVLSETKEYVNNQNNEESKVEITDAVGGETDATDGQYEEGMYDEAGEDQYYEGDDQREGDYEYEQGDEGYYEYEQGEEGENQEEEYIEEEDGDDFAKKIGVIHS >Vigun05g172400.1.v1.2 pep primary_assembly:ASM411807v1:5:31039645:31043499:-1 gene:Vigun05g172400.v1.2 transcript:Vigun05g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNMLRFCFTTLCASKASPIPLSVSVSKAILKPTRVRSQMASFSSSSFSSSKLLFRQLFEKESSTYTYLLADASHPERPALLIDPVDKTVDRDVLLIEQLGLKLVYALNTHVHADHVTGTGLLKSKVPGVKSVISKASGATADLYLEPGDKVHFGDFFLEVRATPGHTKGCVTYVTGDAPDQPQPRMAFTGDAVLIRGCGRTDFQGGSSEQLYKSIHTQICTLPKNTLIYPAHDYRGFTVSTVGEEMEHNPRLTKDEEKFKNIMANLNLPYPKMIDIAVPANMVCGIQSNQ >Vigun04g180500.1.v1.2 pep primary_assembly:ASM411807v1:4:40450956:40451859:-1 gene:Vigun04g180500.v1.2 transcript:Vigun04g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSKVKEKINCYATGGLQTSVEAVLLVELFKHPHLLILQVRNSIYKLPGGRLWSGKLIHQPTN >Vigun02g070800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22152879:22154082:1 gene:Vigun02g070800.v1.2 transcript:Vigun02g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRALRSFVFILTLSSLFFDVSVSISFPPALGQQIARQFPLWFRFIKSSKLFSWFWNKLKSFLITFKDLKLGDTFQGLSNVKQYLDLLGYLNSTSHSNFTDNFTLDLQSAIITFQKNFNLNVTGKLDRNTTKVISEPRCGVPDIVNGTTTMNSGVSNTTAFNPWWKEGKRELTYAFDPENNVSDGVRFLFRDAFERWSNVTALKFTETALLNGSDVKIAFVVFDGRGGAVGVADTDYSEHVGSVYLDSEEEWVVRGENEDGDVDLESVVMHMVGHVLGLGHSSVEEAVMYPIVLEEKIDFALDDLRRIHQIYGVNSK >Vigun10g132800.1.v1.2 pep primary_assembly:ASM411807v1:10:34242298:34247580:1 gene:Vigun10g132800.v1.2 transcript:Vigun10g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSSSVRRIGITINASFSTELVQHRHYHHSVCVNLARLPSDSPSHPYYKRELQSAKNQFSNLASESFGSRHQFGTRTNVSFNPRFSSYCFGSALPFASANHVLNRRFYSSTVGGDKGSRDAGTEVSAGSGVSDMNATGDSVAGGDWAERIRDVWKSMVESASYAGEKVKARSDDLTPYAQQLLDSHPYLGNVIIPVGGTLTATLIAWFLMPMILRKFHRYAMQGPVSLLPASMSGDPVPYEKSFWGALEDPVRYLVTFMAFSQIGVMVAPTTITAEYLAPVWRGAVIVSFVWFLHRWKTNVFARTLSSQSLLGLDRDKVLALDKISSIGLFVIGIMAFAEACGVAVQSIVTVGGIGGVATAFAAKDILGNVFSGLSMQFSKPFSVGDTIKAGSIEGQVMEMGLTTTSLLSSEKFPVIVPNSFFSSQVIVNKSRAEYRAIISKIPLQTEDLSKIPQISDDVKSMLRSNAKVFLGKDVPYCFLSRIESSYAELTLGYNLKHMRKDELYSAEQEILLLAVQIIKNHGVALGSTWQEMPSK >Vigun08g124901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29498840:29500799:-1 gene:Vigun08g124901.v1.2 transcript:Vigun08g124901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCLWWVNGWMQTLLILKQILRWLKKPKVLKLLCLASSVVGLLCYALSSSFNRLLGSWSWWKMLLYILFSSITCLAVLFTPARSSSCSHRLEVHLAFLVLVTTSVYSFFFDNVVKGKPDAYSLISCAAFATMSLGLSNLTQFEFHIDLLYFFCGGLTVQLMKIKLWLVIVGGGFSYSLLRLRDYPSDTQGENLQLQVQNQVIIQVDDSGRLSNSSHDMMSQQANDVDSTVDTPPQDEDLRFQDHILTQSNSPSQDGSVGYGLIIEQQLMNCIKDLEKENQMLVPMVCSYVEKYLKAVCDSKEVPYGDVNLVMDALPSEVMRRLKETMELMVNAGFMEECSNIYSKWRREFLEQCLRALGLQFETPNNEDVEKWLKTCKAAVNILFPNENRLCNYLFSGIPVAAANVSINKVCKEVIIGLVSFADTIMTTGNLPNLLFNIVPKMSESFVELSREFTWIFFLKLKKLKQIKKIKKENKKKSKKPETNTWHVLFKNVNYLNTISEKNQIDHNLTKMRI >Vigun01g141400.1.v1.2 pep primary_assembly:ASM411807v1:1:32230214:32231398:-1 gene:Vigun01g141400.v1.2 transcript:Vigun01g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMINDYDLHKVEETQKTTKNPKRPQTPQPENSNMTGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVAHIFALQQQVVNLQAQVAHLREQAGQIYLNASATENPNEKYYGKSTNFPQYLQSWFQTENSNSASQFLPKLSTDTSTQYYGNTNTFMDLNPIGNDDNSVTVEESISFSSFEESCNSSMACDMQREWSFYQLDNLQ >Vigun01g007700.5.v1.2 pep primary_assembly:ASM411807v1:1:928609:933017:-1 gene:Vigun01g007700.v1.2 transcript:Vigun01g007700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFTVTTRRCEISIWFQRTNKILSWVQFVDFIFAYSVLGFKLCFKEERQHIVSTIVIVWLNILWASLNLLLGSLFISENNEKILPNKKKCVQKGAFFILREETISYRFQELWGIFIILSGTPYLLGEVVIGRNQKRLPSWTKKNWILIRVLWLQLKERMHAASQTFILNLTPQVTRCKQRVDRYIVPILNYGYFGLSWKLEVGARSILKRQDIKCLPAAGVLSDAEISSNQFEDFSVSVAETDDTRELKVSQNIY >Vigun01g007700.1.v1.2 pep primary_assembly:ASM411807v1:1:928609:933017:-1 gene:Vigun01g007700.v1.2 transcript:Vigun01g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFTVTTRRCEISIWFQRTNKILSWVQFVDFIFAYSVLGFKLCFKEERQHIVSTIVIVWLNILWASLNLLLGSLFISENNEKILPNKKKCVQKGAFFILREETISYRFQELWGIFIILSGTPYLLGEVVIGRNQKRLPSWTKKNWILIRVLWLQLKERMHAASQTFILNLTPQVTRCKQRVDRYIVPILNYGYFGLSWKLEVGARSILKRQDIKCLPAAGVLSDAEISSNQFEDFSVSVAETDDTRELKISVEVSGNKTQRIFDDVFKRMVAAAQPIPGFRRVKGGKTPDIPKNILLEVLGPSKVFKEVIKKIINSTVAEYVEKERLTVSTDLRVEQSFEDLESTFVEGEKFSFDVLLKLKN >VigunL001800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000106.1:10398:15173:-1 gene:VigunL001800.v1.2 transcript:VigunL001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGFLVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHNVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >VigunL001800.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000106.1:10398:15105:-1 gene:VigunL001800.v1.2 transcript:VigunL001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHNVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >VigunL001800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000106.1:10398:19604:-1 gene:VigunL001800.v1.2 transcript:VigunL001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWTSQKIKDTYSKHNAFDFKNVQKFERSMINAPGPCVLFATPGMISGGFSLEVFKQWAVSENNLVTLPGYCMAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICIPSTHNVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun02g154700.1.v1.2 pep primary_assembly:ASM411807v1:2:30120487:30123058:-1 gene:Vigun02g154700.v1.2 transcript:Vigun02g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGHMPSDKTKGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIEKPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAQVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEPGEGEEGEEGDEY >Vigun01g224000.1.v1.2 pep primary_assembly:ASM411807v1:1:39725001:39727690:1 gene:Vigun01g224000.v1.2 transcript:Vigun01g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVININKPSLHSLNPLILSVSEVHMRKEMASATTFSLMLHFLLLILCSSFHQPSSAFTSQEYQEALEKSILFFEGQRSGKLPSNQRLTWRGDSGLSDGSSYHVNLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGSSMMEQIENARAAIRWSTDYLLKAATTTPDTLYVQVGDPNMDHKCWERPEDMDTPRNVYKVSAQNPGSDVAAETAAALAASSIVFRDSDSAYSSKLLQAAIKVFNFADRYRGSYSDSLGSVVCPFYCSYSGYHDELLWGASWIYKASGTSSYMQYIRSNGHILGADDDGFSFSWDDKRPGTKILLSKEFLEKNSEEFQLYKAHSDNYICSLMSGIPGSQAQYTRGGLLYKGSESNLQYVTSTSLLLLTYAKYLSANGGSVRCGTSTVTGDNLVNLAKTQVDYILGDNPRKMSYMVGFGEQYPKHVHHRGSSLPSVRARTQHISCNEGFQYLYSGSPNPNELVGAIVGGPDSNDNFSDDRNNYQQSEPATYINAPFVGAVAYFSAKQ >Vigun04g106401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:25579647:25580793:-1 gene:Vigun04g106401.v1.2 transcript:Vigun04g106401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALTISQLATIHGPDLRLRSTTSARGARTIRWSRQMTLFQSSKRFFSGGGSSRRSDIIPVVAAKYVRDRDEVVMVSQFMMELQGLKAVDKEEPPRILHFNPRLRGDWSGRPVIEQSTCYRMQWGSDLRCEGWKSRADKCVLTLLSSFVC >VigunL036502.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:66095:66451:1 gene:VigunL036502.v1.2 transcript:VigunL036502.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMDAMPGMAMSMSDCIDHCLASHRRCLETAAYALGQGGALAAPDLIAMLTDCAELCQATANSMLRGSALHPVLCRACAEACDLCAAACSKPRADAPMAQCAETCRVCAAGCRQMSR >Vigun06g203600.2.v1.2 pep primary_assembly:ASM411807v1:6:31799401:31802935:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun06g203600.5.v1.2 pep primary_assembly:ASM411807v1:6:31799438:31802885:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEYTRIIMTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun06g203600.3.v1.2 pep primary_assembly:ASM411807v1:6:31799437:31802846:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEYTRIIMTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun06g203600.4.v1.2 pep primary_assembly:ASM411807v1:6:31799127:31802935:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEYTRIIMTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun06g203600.6.v1.2 pep primary_assembly:ASM411807v1:6:31799380:31802866:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEYTRIIMTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun06g203600.7.v1.2 pep primary_assembly:ASM411807v1:6:31799513:31802846:1 gene:Vigun06g203600.v1.2 transcript:Vigun06g203600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEYTRIIMTCGCFGAKSTKKRRSSNVAGEIDGYPLDNVKQFSDTELRLATDNYHSNNKIGRGGFGTVYLGTLRDGRRVAVKTLSFWSKQGVREFLTEIKTLSNVKHPNLVELIGFCIQGPSRTLVYEYVENGSLNSALLGTRNENIKLDWRKRSAICVGTAKGLAFLHEELSPPIVHRDIKASNVLLDKNFNPKIGDFGLAKLFPDGITHVSTRIAGTTGYLAPEYAIGGQLTKKADIYSFGVLILEIVSGRSSARRTNGEGSPRFLLEWAWQLYEEGRLLEFVDPEMEEFPEEEVLRYMKVALFCTQSAAGRRPLMIQVVNMLSKAIQLNEKELTAPGLFTDERDSSSRNSQPVPFITSTHGAITQVTPR >Vigun01g187100.3.v1.2 pep primary_assembly:ASM411807v1:1:36707219:36710845:-1 gene:Vigun01g187100.v1.2 transcript:Vigun01g187100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPKPARPCDYCGHSTAVLYCRADSAKLCFSCDREVHSTNQLFSKHTRTLLCDACDDSPATILCSTDTSVLCQNCDWEKHNPALSDSLHQRRPLEGFTGCPSVSELLSVVGFGDLSKKSLLSSPQGSAADGFLGCEIEGLSDFFVWDAPSVVTLDDLICSSASSHSFQAMEVPPLPKNRKAACGRHREEILSQLRELAKSEPLDLEQYVQPGNLSSAFERDVEVDIYPYHEWHRERSEPMYQVVPPDPSLRTCTEEVPVKQSTSVGETHTFGDKGGNPSISLNSETLPTTPKAGACELTSQERDSALLRYKQKKKTRRYDKHIRYESRKVRAESRVRVKGRFAKMEREH >Vigun01g187100.1.v1.2 pep primary_assembly:ASM411807v1:1:36706081:36710845:-1 gene:Vigun01g187100.v1.2 transcript:Vigun01g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPKPARPCDYCGHSTAVLYCRADSAKLCFSCDREVHSTNQLFSKHTRTLLCDACDDSPATILCSTDTSVLCQNCDWEKHNPALSDSLHQRRPLEGFTGCPSVSELLSVVGFGDLSKKSLLSSPQGSAADGFLGCEIEGLSDFFVWDAPSVVTLDDLICSSASSHSFQAMEVPPLPKNRKAACGRHREEILSQLRELAKSEPLDLEQYVQPGNLSSAFERDVEVDIYPYHEWHRERSEPMYQVVPPDPSLRTCTEEVPVKQSTSVGETHTFGDKGGNPSISLNSETLPTTPKAGACELTSQERDSALLRYKQKKKTRRYDKHIRYESRKVRAESRVRVKGRFAKMEREH >Vigun01g187100.4.v1.2 pep primary_assembly:ASM411807v1:1:36709370:36710699:-1 gene:Vigun01g187100.v1.2 transcript:Vigun01g187100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPKPARPCDYCGHSTAVLYCRADSAKLCFSCDREVHSTNQLFSKHTRTLLCDACDDSPATILCSTDTSVLCQNCDWEKHNPALSDSLHQRRPLEGFTGCPSVSELLSVVGFGDLSKKSLLSSPQGSAADGFLGCEIEGLSDFFVWDAPSVVTLDDLICSSASSHSFQAMEVPPLPKNRKAACGRHREEILSQLRELAKSEPLDLEQYVQPGNLSSAFERDVEVDIYPYHEVKLLLHLFCTCVF >Vigun01g187100.2.v1.2 pep primary_assembly:ASM411807v1:1:36706081:36710845:-1 gene:Vigun01g187100.v1.2 transcript:Vigun01g187100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPKPARPCDYCGHSTAVLYCRADSAKLCFSCDREVHSTNQLFSKHTRTLLCDACDDSPATILCSTDTSVLCQNCDWEKHNPALSDSLHQRRPLEGFTGCPSVSELLSVVGFGDLSKKSLLSSPQGSAADGFLGCEIEGLSDFFVWDAPSVVTLDDLICSSASSHSFQAMEVPPLPKNRKAACGRHREEILSQLRELAKSEPLDLEQYVQPGNLSSAFERDVEVDIYPYHEWHRERSEPMYQVVPPDPSLRTCTEEVPVKQSTSVGETHTFGDKGGNPSISLNSETLPTTPKAGACELTSQERDSALLRYKQKKKTRRYDKHIRYESRKVRAESRVRVKGRFAKMEREH >Vigun07g223600.14.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578586:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.9.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578670:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.13.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578586:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.8.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578680:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.7.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578669:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.10.v1.2 pep primary_assembly:ASM411807v1:7:34573966:34578670:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.12.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578586:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun07g223600.11.v1.2 pep primary_assembly:ASM411807v1:7:34573619:34578636:1 gene:Vigun07g223600.v1.2 transcript:Vigun07g223600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPVSKVVFGLIAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFQVITPDEAYATIDLPILGLLFGTMVVSVYLERADMFKYIGKLLAWKSRGAKDLLCRICVISAVSSALFTNDTSCVVLTEFILKIARQHNLPPTPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLIGILPAMVAGVVANATILLIMYWKLLSVHKDEEDSGAEAVVEEYDSHRFSPATMSHFSSLNSQEWNSHLEAISVQNSPQQILRNRSIATASEINGVSSNTFDSARISSLPRDGTNGVPSLTKEETSPTSSAATVDTLIHPSERKNSFIIRWKRVLWKSCVYIITVGMLVALLLGLNMSWTAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDIMEPYSHVDRASGVAILAVVILVLSNLASNVPTVLLLGGRVAASAAAISKADEKKAWLILAWASTISGNLSLLGSAANLIVCEQAIRAPNLPYTLTFWSHFKFGIPSTIIVTAIGLTFIR >Vigun05g242532.1.v1.2 pep primary_assembly:ASM411807v1:5:43555300:43555974:-1 gene:Vigun05g242532.v1.2 transcript:Vigun05g242532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCGQTAVLRTAKTPKNRGKQFWGCPKFKSGSQDLGGCNFFQWFIEQEIEERDMVQMEEKDVVKMEEKDFGGNKVLKVEKIEEKDVVNMRERDGGWIITRKLDEAIVRLQKWMKLMLGMMVVVCVMNVIVISLLL >Vigun07g199100.1.v1.2 pep primary_assembly:ASM411807v1:7:31963053:31964818:1 gene:Vigun07g199100.v1.2 transcript:Vigun07g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVIILFLSFSVINFVLVQPATLEISKFGGKPNTNIAKALTSAWAEACASTSAVKIVIPSGTYQMTHVDVKGPCKAPIEIQLDGIIKAPPKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNVLNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCTLKGTTNGVRIKTWPNEPGTITVTNMRFEDITMDNVMNPIIIDQEYCPWNQCSKQNPSKIKISKVIIKNIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVIATCSNVKPKITGKAPACTAPSTKKE >Vigun02g054700.5.v1.2 pep primary_assembly:ASM411807v1:2:19751616:19758279:1 gene:Vigun02g054700.v1.2 transcript:Vigun02g054700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDWFELRLEKGIEDAISRTRFAPHSNNLLISSWDSTLRLYDVDASLLRLQAPSQAPLLDCCFQDDVVAFAVSSDGLIRRYDLHSGLVDTVGCHDDMATCIGYSNETCQLVTSGFDKKLLLWDMHMEKASSWLRSLEAEVNSMSVYGFNLVVSIGASVHVYDLRNFDKPVLSKEAFNGTHSRCVSSIPNAEGFAVGSVDGRVSLQISYPFSSDDIGYIFRCHPKSKDGRHYLVSVNDIAFSPLVCGIFVTGDNEGYVTMWDAGSKRRLMELPRCSNSVASLSYNHVGELLAVASSFTYQEANEIEKPPSIFIHKVDNIDMGSISAGRKS >Vigun02g054700.4.v1.2 pep primary_assembly:ASM411807v1:2:19749515:19758122:1 gene:Vigun02g054700.v1.2 transcript:Vigun02g054700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDWFELRLEKGIEDAISRTRFAPHSNNLLISSWDSTLRLYDVDASLLRLQAPSQAPLLDCCFQDDVVAFAVSSDGLIRRYDLHSGLVDTVGCHDDMATCIGYSNETCQLVTSGFDKKLLLWDMHMEKASSWLRSLEAEVNSMSVYGFNLVVSIGASVHVYDLRNFDKPVLSKEAFNGTHSRCVSSIPNAEGFAVGSVDGRVSLQISYPFSSDDIGYIFRCHPKSKDGRHYLVSVNDIAFSPLVCGIFVTGDNEGYVTMWDAGSKRRLMELPRCSNSVASLSYNHVGELLAVASSFTYQEANEIEKPPSIFIHKVDNIDMGSISAGRKS >Vigun10g169500.1.v1.2 pep primary_assembly:ASM411807v1:10:38851984:38855570:-1 gene:Vigun10g169500.v1.2 transcript:Vigun10g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVERKKLIKGKVVLVQKSVAQTINTPQGLLTVGAKIVNNIPTLDITKSVSFKLISSTESENPTTLAGKVGKDTYLENNVSVVRTVQEIKEEFDIYFEWDRNEMGSPGAFYVTNQMDEEFFLVSLTLEYPSQHDNHTNIYFDCNSWVHNRSCYKTDRIFFANVPYLPGTTPVQLQTYREAELSNLRGDGTGLRQKWDRIYDYDVYNDLGFLASDAPTDHPVLGGLNYPYPRRVRTGRQLIQNNKNGEYYEVPADTYYVPRDENFSDQKILEFAQLSKTALGGSLGPLVLSMYLNTTSNEFNGIEEVLKMYEGGVNLPISITGNTPPSALNFPTPDVIKESKFAWMTDEEFAREMIAGVNPNVIRLLKNKEELVLPWTSTCKCERITVTEEDLEINMDGIKVDEAIKNRKLFVLDYYETFMAYLAKINDLPSIKAYATRTFLILKEDGTLKPLAIELSKPYICPCGLQFVETTVVLPADKGVESTIWQLAKAHVNVNDTGYHELISHWLHTHAVTEPFAISTHRNLSVLHPIYKLLYPHFRDTFNINSLARKSLISAGGIIEQTFLPGPYSMEMTAAVYKNWVFADQGLPKDLIKRGLAVKDVSAAHGLRLAIEDYPYAVDGLEIWNAIKLWVQDYVDLYYSDDGAVEDDWELQTWWTEAVEKGHGDLRAPWPQLHSSQDLVEICTTIIWVASALHAAVNFGQYSYGGYIVNRPTQSRRWIPKAGTEEYEEAKNNPQEAFLKTITAKYETIIDISVMELLSTHSSDEVYLGQRDSLIWTADKEANALFKRFTDDLARIEKDISDRNNNKELKNRTGPVQLPYTVLLPTSEPGLTFRGIPNSISI >Vigun07g022900.1.v1.2 pep primary_assembly:ASM411807v1:7:1939813:1946425:-1 gene:Vigun07g022900.v1.2 transcript:Vigun07g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSLPSAESNFDLDEQISQLMQCKPLSEHQVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNKLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDSKGHTFIQFDPAPRRGEPDVTRRTPDYFL >Vigun10g170100.1.v1.2 pep primary_assembly:ASM411807v1:10:38928681:38933272:1 gene:Vigun10g170100.v1.2 transcript:Vigun10g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIGGFFDNGTKIKGTVVLMPKNVLDFNALTSIGKGGVTETAKNIFGQVLDAAGNLVDAATAFAGRNISLHLISATQADASGKGKVGEKTYVDKNLPTFPTLGDKQLAYSISFDWDAKFGIPGAFYIKNYMTDEFFLVSVILEDIPNHGTIQFVCNSWIYNFNKYEKDRIFFANDTYLPSQTPAPLLKYRQEELQTLRGNGTGKRQEQDRIYDYDVYNDLGKPDEGDPRPVLGGSIDHPYPRRVRTGRERTRTDPDSEKPGAIYVPRDENFGHLKSSDFIMYGIKSLSQDVLPLLKSAIFDLRITSSEFKNFDDVRSLYEGGIKLPTDFLSQISPVPALKELFRSDGENVLQFPLPHVIQVKRSEWMTDEEFAREVIAGVNPNVVRLLQEFPPKSSLDPSLYGDQTSTITREQLEINLDGVTVDEALAAKRLFILDYQDAFFPYLRKINSLPIARAYATRTILFLKDDSTLKPLAIELSKPHPGGDNLGPVSKVVLPAKDGVESTIWLLAKAHVIVNDSGYHQLMSHWLNTHAVMEPFAIATNRQLSVLHPIYKLLYPHYRDTININGLARQSLINAGGIIEQSFLPGKYSIEMSSTVYKNWVFTDQALPADLIKRGLATEDPSAPHGLRLVIEDYPYAVDGLEIWDAIKSWVKEYVSLYYPTDVAVQQDTELQAWWKEAVEKGHADLKDKPWWPKMKTIEDLIKSCSIIIWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEEGTPEYDELVNSTQTAYLQTITPKYETLVDLSVIEILSRHASDEIYLGQRDTPNWTTDNKALEAFKRFGSKLSEIESKISARNSDPSLRNRTGPVELPYTLLHRSSEEGLTFKGIPNSISI >Vigun09g247000.1.v1.2 pep primary_assembly:ASM411807v1:9:41501371:41502344:-1 gene:Vigun09g247000.v1.2 transcript:Vigun09g247000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTLVPIFVFWTFLTIITPTLILLSENSKADLDLNGQDPLRNITEGVKQGRMIRHTHRENYIIKTAPKDTKFEEESAPAPEPLPTTATVTPTVSLSHQNRTLKHNTTHARIEHLKVKQTNIR >Vigun11g185000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38644484:38644759:-1 gene:Vigun11g185000.v1.2 transcript:Vigun11g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKASLPAIQASSKAVDVAKGYLAVYVGDKMKRFIVPVSYLNQPSFQDLLSQSEEEFGYDHPMGGLTIPCREDMFFDIIRLMKC >VigunL044050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:457709:458623:1 gene:VigunL044050.v1.2 transcript:VigunL044050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFF >Vigun10g078100.1.v1.2 pep primary_assembly:ASM411807v1:10:21252137:21252635:-1 gene:Vigun10g078100.v1.2 transcript:Vigun10g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFFSQILAFILIISVISRTSAIDTQRCQKVLQPTNCNLPKCQSDCFSMFKNLQASGQCIHNNQNNTYECVCIYNCNATPNLV >Vigun11g182300.1.v1.2 pep primary_assembly:ASM411807v1:11:38567920:38569310:1 gene:Vigun11g182300.v1.2 transcript:Vigun11g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGPYSGASTLALVARASAFSFGVVYGSIKLKYLKAKAKSHKKAQEKAHH >Vigun07g127700.1.v1.2 pep primary_assembly:ASM411807v1:7:23510740:23516253:-1 gene:Vigun07g127700.v1.2 transcript:Vigun07g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSVKVEEGREGKNGDLSVGPVYRNFLSQKEFPPLDPDFSTTWDIFCESVKNYPNNPMLGRRNIVDGKFGPYVWSTYKEVYDEVLHISSALRASGAEVGRRIGIYGSNCPEWIVAMEACSAQSLICVPLYDTLGPGAVNYIIDHAEIDVVFVQDKKVKEVLNPECKSSKRLKAIVCFTTLTQEEKDKASAIGIKPYSWDEFSNLGKENPKSTFPPQAHDICTIMYTSGTSGDPKGVVLTYENAMALVRGMDIFMEQFEDKMTVNDVYLSFLPLAHILDRTIEEYFFRKGASVGYYHGDLNALRDDLMELKPTLFAGVPRVFEKVYEGIKKAVEELNPIRRTVFGMLYNYKLGWMKKGYKQREASRLADLLAFRKVKARLGGRVRLIISGGAALSPEVEEFLRVTTCAFVCQGYGLTETCGPTTLGFPDEMCMVGTVGAVSIYNEIRLVEVPEMGYNPLETPPCGEICVRGKTVFSGYYKNPELTREAIIDGWFHTGDIGEMLPNGVIKIIDRKKNLIKLTQGEYIALEHLENVYGITPIVEDIWVYGNSLKSMLVAVIVPNEEVVNKWAYTNGHISSFPKLCSLDQLNKYVLSELKLTAERNKLRGFEHIKGVILESQPFDMERELVTATLKKKRNKLLKYYQVEIDELYQNLTKEKQKN >Vigun08g026900.1.v1.2 pep primary_assembly:ASM411807v1:8:2399241:2401967:-1 gene:Vigun08g026900.v1.2 transcript:Vigun08g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEMMEAEASLSSSQPHVFSSGQNDVVRDLLTLARQLITQGKPSEALQAVVAAMRTRGGDEAVFQSLHRAREVYRSKLQENATVDKLASLFAECAIAEAQPSVTEAPANNRTSPSITPNPDANGTSILAESGRMQVVLDAVSDGSSFICLKCGGLVSNHRKDEHYAYWCC >Vigun01g211300.2.v1.2 pep primary_assembly:ASM411807v1:1:38565353:38566636:1 gene:Vigun01g211300.v1.2 transcript:Vigun01g211300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLKEWSSPELNNETKKCCTDCKTTKTPLWRGGPAGPKTLCNACGIRYRKRGSCSRKREEVVVLHRTSSVVKKQRWKMLGEEEQAAVCLMALSCGFFTIPSLTAFQVT >Vigun01g211300.3.v1.2 pep primary_assembly:ASM411807v1:1:38565812:38566579:1 gene:Vigun01g211300.v1.2 transcript:Vigun01g211300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLKEWSSPELNNETKKCCTDCKTTKTPLWRGGPAGPKTLCNACGIRYRKRGSCSRKREEVVVLHRTSSVVKKQRWKMLGEEEQAAVCLMALSCGFFTIPSLTAFQVT >Vigun01g211300.4.v1.2 pep primary_assembly:ASM411807v1:1:38565613:38566531:1 gene:Vigun01g211300.v1.2 transcript:Vigun01g211300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLKEWSSPELNNETKKCCTDCKTTKTPLWRGGPAGPKTLCNACGIRYRKRGSCSRKREEVVVLHRTSSVVKKQRWKMLGEEEQAAVCLMALSCGFFTIPSLTAFQVT >Vigun03g033400.1.v1.2 pep primary_assembly:ASM411807v1:3:2572136:2577246:1 gene:Vigun03g033400.v1.2 transcript:Vigun03g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQAVDGALRKQAEENLKQFQEQNLPSFLFSLAGELANDEKPAESRKLAGLILKNALDAKEQHRKIEFVQRWLALDPTLKAQIKAFLLRTLSSPSLDARSTASQVIAKVAGIELPHKQWPELIGSLLSNVHQLPAPTRQATLETLGYICEEVSPDVVDQEHVNKILTAVVQGMNSTEENNDVRLAAIKALYNALGFAQANFSNDMERDYIMRIVCEATQSPELKIRRAAFECLVAISSTYYEKLAHYIQEIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFSGDSDVPCFYFIKQALSFLVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVALVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLVPLVNMALNFMLSALMKDPNNHVKDTTAWTLGRMFEFLHGSALDTPIITPANCQQIITVLVQSMKDVPNVAEKACGALYFLAQGYEDAGSSSSPLTPFFQEIVQALLNVTHREDAGESRLRTAAYEALNEVVRCSNDETAPMVVQLVPVIMLELHQTLENQKVSSDERQNELQGLLCGCLQVIIQKLGSSEPTKYHFMQYADQIMGLFLRVFASRSATAHEEAMLAIGALAYATGADFAKYMTEFYKYLEMGLQNFEDYQVCAITVGVVGDVCRALEEKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSAHTAGADDDMTEYTNSLRNGILEAYSGIFQGFKGSPKTQLLMPYAPHVLQFLDSLYMEKDMDDVVTKTAIGVLGDLADTLGSNAGHLIQQSVSSKDFLKECLSSDDHLIKESAEWAKLAISRAISF >Vigun06g098600.1.v1.2 pep primary_assembly:ASM411807v1:6:22927097:22928900:1 gene:Vigun06g098600.v1.2 transcript:Vigun06g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAHRTVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSRGYHAVAPDLRGYGDTEAPASMSSYTCFHIVGDLVALIELLGVDQVFLVAHDWGALIGWYLCMFRPHRVKAYVCLSVPFWPRNPKVKPLDAMRALYGDDYYICRFQKPGEMEAQMAEVGTEYVLKNILTTRKPGPPILAKGEYGSGFNPDITNSLPSWLSEDDLAYYVSKYQKTGFTGPLNYYRNGNSNWELTAPWSGVKVQVPVKFITGELDMVYTSLNMKEYIHGGGFKQDVPNLEEVIVQKDVAHFNNQEAAEEISDYIYEFIKKF >Vigun01g117100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29357513:29358707:1 gene:Vigun01g117100.v1.2 transcript:Vigun01g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMQPFVETPPKVVEPNRYSHRSIETLVVVIAVITIVGVIAGMIARLCGGRHFGGNGENDIEGWVEKKCRSCIDGGLPPPPPPEEPKPAPAPTPTPAPAEDASK >Vigun03g201600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31085451:31085582:-1 gene:Vigun03g201600.v1.2 transcript:Vigun03g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun08g225000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38285352:38287550:1 gene:Vigun08g225000.v1.2 transcript:Vigun08g225000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGSSSCSFFLLLVLGLLPFSLSEIRYSEIRTDDRPIVPFDQFGFTHRGRLELNVSRISLSNSNLDLSKVGFFLCTLDSWLHVLQQLEDGEIRCTLQSDLVKSVYTFNSLNGKDSFNTEYTENDADQYNLVFANCHPQQLKVSMDVKSAMYNLDGRSNTRDYLSAGRTILPKVYFLLSLVYFALAALWISVLYKKRLTAFRIHYFMLAVVILKALNLLCEAEDKSYIKRTGSAHGWDIIFYIFSFLKGISLFTLIVLIGTGWSFLKPFLQDKEKKVLMIVIPLQVIANIAQVVIDESGPYGHDWVTWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRHYYIVVICYIYFTRVVVYALETITSYRYSWTSVVAAELATLAFYIFTGYKFKPEAHNPYFVIDDEEEEAAAEALKLEDEFEL >Vigun05g181500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34771680:34772184:1 gene:Vigun05g181500.v1.2 transcript:Vigun05g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPSSMQPSNSTMEISIISTQRRGISVVQPKTKLSEGRSPHPQTFQTTTPKPNYMLTKADQKGDKGKRPQTT >Vigun04g079000.1.v1.2 pep primary_assembly:ASM411807v1:4:11018819:11021725:-1 gene:Vigun04g079000.v1.2 transcript:Vigun04g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDNNKRDRISVLSSHFTSSSPMASEKEAALAATPSDADAPTIFDKIINKEIPSTVVYEDDKVLAFRDITPQAPTHILIIPKVKDGLSGLSKAEERHFDILGRLLYTAKLVAKQEGLDDGFRIVINDGPKGCQSVYHIHVHLLGGRQMNWPPG >Vigun11g043200.3.v1.2 pep primary_assembly:ASM411807v1:11:6286670:6296273:1 gene:Vigun11g043200.v1.2 transcript:Vigun11g043200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTLGINNLSGELPKELGNLTELIILAFGSNNFSGSLPSELGKLTKLEELYFDSCGISGPIPSTFADLRSLKKVWASDVELTGNIPNFIGNWTRLQELRFEGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQNMKSLTTLFLGNNKLNGTLPTQIGSSLQYIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGVGRYSDFAIKCGGPQIRSTEGIIYERENETLGPATYFVTDTSRWAVSNVGLFRGSNNPVYTKFVSNQFSNTLNTELFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSATSWESLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYLDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNKTGLIVGIVVGVGVVSFISVFVIFCIIRRRKRQHEDEELLGIDTMPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLNDGRVIAVKQLSVGSHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNNKLLVYEYLENKSLDQGLFGKSLTLNWSTRYEICLGVARGLTYLHEESRLRIVHRDVKASNILLDYDLVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNADSSLEGEKVYLLQWAWQLQESNTLMDLVDPRLSEFNEEEVKRVLGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSAVTSKPGYLADWKFDDVSSFMTDSAIEGFDTSYQLGSTSMVGGADYSPESVSKPILKDALNEGR >Vigun11g043200.2.v1.2 pep primary_assembly:ASM411807v1:11:6286670:6296273:1 gene:Vigun11g043200.v1.2 transcript:Vigun11g043200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTLGINNLSGELPKELGNLTELIILAFGSNNFSGSLPSELGKLTKLEELYFDSCGISGPIPSTFADLRSLKKVWASDVELTGNIPNFIGNWTRLQELRFEGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQNMKSLTTLELRNNNISGLIPSSIGELHNLTLLDLSFNNIQGQIPGSIFNLSSLSTLFLGNNKLNGTLPTQIGSSLQYIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGVGRYSDFAIKCGGPQIRSTEGIIYERENETLGPATYFVTDTSRWAVSNVGLFRGSNNPVYTKFVSNQFSNTLNTELFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSATSWESLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYLDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNKTGLIVGIVVGVGVVSFISVFVIFCIIRRRKRQHEDEELLGIDTMPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLNDGRVIAVKQLSVGSHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNNKLLVYEYLENKSLDQGLFGKSLTLNWSTRYEICLGVARGLTYLHEESRLRIVHRDVKASNILLDYDLVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNADSSLEGEKVYLLQWAWQLQESNTLMDLVDPRLSEFNEEEVKRVLGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSAVTSKPGYLADWKFDDVSSFMTDSAIEGFDTSYQLGSTSMVGGADYSPESVSKPILKDALNEGR >Vigun11g043200.1.v1.2 pep primary_assembly:ASM411807v1:11:6283740:6296273:1 gene:Vigun11g043200.v1.2 transcript:Vigun11g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKSHGLAFAVVAVLFCHFSLVRFAQAQSANATTDPSEARALNSIFSKWGKSADTSVWNISGELCSGRAIDSTSTPESYNPFIRCDCSFDSGTTCHITALRVYALNVFGEIPEELWTLTYLNNLNIGQNYLTGSLPPAIGNLTRMQYLTLGINNLSGELPKELGNLTELIILAFGSNNFSGSLPSELGKLTKLEELYFDSCGISGPIPSTFADLRSLKKVWASDVELTGNIPNFIGNWTRLQELRFEGNSFNGSIPSSFSNLTSLTELRISGLSNGSSSLEFVQNMKSLTTLELRNNNISGLIPSSIGELHNLTLLDLSFNNIQGQIPGSIFNLSSLSTLFLGNNKLNGTLPTQIGSSLQYIDLSYNDLSGSLPSWVNEANLQLNLVANNLSIDDGSDTSGLPFGLNCLQKNFPCNRGVGRYSDFAIKCGGPQIRSTEGIIYERENETLGPATYFVTDTSRWAVSNVGLFRGSNNPVYTKFVSNQFSNTLNTELFQTARISPSSLRYYGLGLENGFYNITLQFAETAIQDSATSWESLGRRVFDIYIQGNLVLKDFDIKKEAGGISFRAVQRQFRFEVTENYLDIHLFWAGKGTCCIPAQGTYGPLIQAIHAIPDFIPTVSNEPPSNKSNKTGLIVGIVVGVGVVSFISVFVIFCIIRRRKRQHEDEELLGIDTMPYTFSYSELKNATNDFNLENKLGEGGFGPVYKGTLNDGRVIAVKQLSVGSHQGKSQFITEIATISAVQHRNLVKLYGCCIEGNNKLLVYEYLENKSLDQGLFGKSLTLNWSTRYEICLGVARGLTYLHEESRLRIVHRDVKASNILLDYDLVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFSFGVVALELVSGRPNADSSLEGEKVYLLQWAWQLQESNTLMDLVDPRLSEFNEEEVKRVLGIALLCTQTSPSLRPSMSRVVAMLSGDIEVSAVTSKPGYLADWKFDDVSSFMTDSAIEGFDTSYQLGSTSMVGGADYSPESVSKPILKDALNEGR >Vigun09g178600.10.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097670:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.3.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35094857:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.4.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097669:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSTTVTNAMALVFEVLGRFNRARAARLTLPHHVCQTPLFMPVGTQGTIKGLTNSQLEDIGCQIILGNTYHLALRPTSELLDEVGGLHNFMNWQRGLLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.6.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097669:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTQGTIKGLTNSQLEDIGCQIILGNTYHLALRPTSELLDEVGGLHNFMNWQRGLLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.9.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097670:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.1.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097669:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTSLQAPITWCLCFKPKPKPKTNTTNMLPPLHSGTRSRQGYSTTVTNAMALVFEVLGRFNRARAARLTLPHHVCQTPLFMPVGTQGTIKGLTNSQLEDIGCQIILGNTYHLALRPTSELLDEVGGLHNFMNWQRGLLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.2.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097669:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFEVLGRFNRARAARLTLPHHVCQTPLFMPVGTQGTIKGLTNSQLEDIGCQIILGNTYHLALRPTSELLDEVGGLHNFMNWQRGLLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.5.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097669:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTQGTIKGLTNSQLEDIGCQIILGNTYHLALRPTSELLDEVGGLHNFMNWQRGLLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.8.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097670:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFEVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun09g178600.7.v1.2 pep primary_assembly:ASM411807v1:9:35088887:35097670:-1 gene:Vigun09g178600.v1.2 transcript:Vigun09g178600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTSLQAPITWCLCFKPKPKPKTNTTNMLPPLHSGTRSRQGYSTTVTNAMALVFEVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPILRDICAKGLVDRNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALIPEGVLKLKHKAMAEDTRPIDPTCPCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVEGRFPEFVCDFLHKMFPKGDVPEWVCDAMEVAGIDISSCCAPFSSCEEYVSKSMPKEVILAG >Vigun03g275600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45182409:45183142:1 gene:Vigun03g275600.v1.2 transcript:Vigun03g275600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKFIFSMIFFSLIIFCQRFHSTEGRNLKHNEVHNDVHGGISATKAATLKNVGPLTPSTMAGATLAAPPPGRDVEDFRPTTPGHSPGVGHSVHS >Vigun09g113600.1.v1.2 pep primary_assembly:ASM411807v1:9:24920833:24923729:1 gene:Vigun09g113600.v1.2 transcript:Vigun09g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLELVLENLSSLVGKELALFLGFHDDLERLASLLTTIKATLEDAEHKQFLDRAIRIWLQNLKDAALTLDDIMDECRCELLGMEFQRRWGVSNKVQIFGSLSSFHPKHVVFRYKIAKKMRRISKRLEEIAEERTKFHFTETVSERSGASDWRQTTSFITEPQVYGREEEKDQIINFLVGVASRSENLSVYPILGLGGLGKTTLAQLIFNHERVANHFELRIWVCVSEDFSLKRMTRAIIEALTQRSCEYLDLEPLQRIFQDLLRSKRYLLVLDDVWDDKQENWQKLKSVLACGTMGTSILITTRLSKVASIMGTIPPHALSELPDSYCWELFRSRAFGANEVEPEELVFIGKEIVKKCRGVPLAAKALGGLLRFKREEKEWLNVKENNLWSLPQDEDSIMPALRLKAEDVGEGVWKELYWRSFFQDIETDDYGKVKSFKIHDLIHDLAQFVARDVCCVSKHNHVATFPERIHHLSIYREDSICLRTNIRPLLSGQRFHDVLNCHSWRVLHYQLCGPLVPSSIGHLKHLRYLNLSGGTFETLPKSVCKLWNLQILKLDNCRHLQKLPDNLVLLKGLQQLSLKGCFSLSSLPPHVGNLTSLRILSMYIVGKQRGSLLAELGPLKLKGDLEIKHMGKVQSVKDAKEANMFSKQLNKLRLSWDRYNTKLEVEEILEVLQPDPKQLESMTVEGYKGAYFPQWMSSSSLHLLSFLELNDCGHCLKLPQLGKLPSLKTIRLCDISNVKYLYEESCDGGVVFMSLEILSLRYLPNLTRLSKEGRENMFPHLSTLEIIVCPKLLNVSAGFECLTCVKDLRIKSCIEEVEGVHVALQHMTALKNLTLVDLPNLESLPDSFENLSLLHELTIRYCSKLRCLPTSLSRSLEYLTIFGCTELEKRCDKEKGEEWPKIAHIPHLNLSMWR >Vigun03g066000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5470957:5471678:1 gene:Vigun03g066000.v1.2 transcript:Vigun03g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun09g086950.1.v1.2 pep primary_assembly:ASM411807v1:9:11611427:11614239:1 gene:Vigun09g086950.v1.2 transcript:Vigun09g086950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEREILCTGFLYKISWVEVTRDRALIMRLLKTRRGTLALNILEVRDKEEKKSRSMFIYFQGTKCWKVVCHHHTIYEDQVVRAKQFRISIASFIIVL >Vigun09g053850.1.v1.2 pep primary_assembly:ASM411807v1:9:5373533:5373921:-1 gene:Vigun09g053850.v1.2 transcript:Vigun09g053850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKLEPATWFSLPPLSNAVKSWFPSMFSILLDRPNHGEFSDGWIPSSLIECSTK >VigunL045900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:476569:477540:-1 gene:VigunL045900.v1.2 transcript:VigunL045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFFNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKSAINASVRKICEKCRLIRRRGRIIVICFNPKHKQRQG >VigunL059104.8.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34096:37375:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPICILEMQTFRVNLFQSLASSQIWNIWNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.7.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPICILEMQTFRVNLFQSLASSQIWNIWNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKERVPSLICFYILFWTILVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.5.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:37375:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKERVPSLICFYILFWTILVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.11.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34096:36184:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVESSDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.12.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34540:38482:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKERVPSLICFYILFWTILVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34938:38497:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPICILEMQTFRVNLFQSLASSQIWNIWNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.10.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34096:36184:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTIDTLIVLDLSSNNLTGNFPANGSFSSFTPISFSDNRFLVQAIPISPPPTQKQNPSGLLLFIVGNEFNFSKNIALKVLGFGFTVIRATLCDFHQVNVHFYFECQHLFYKLNSRKLMMLISIGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >VigunL059104.9.v1.2 pep primary_assembly:ASM411807v1:NBOW01000637.1:34540:37375:-1 gene:VigunL059104.v1.2 transcript:VigunL059104.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKERVPSLICFYILFWTILVFHLVLRVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIICNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLFSDNRFLVQAIPISPPPTQKQNPSGQIMKRLRHPNVVLFMGAVTQTSKSFNCY >Vigun10g117500.1.v1.2 pep primary_assembly:ASM411807v1:10:32363954:32372151:-1 gene:Vigun10g117500.v1.2 transcript:Vigun10g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADLTAPLLSPDHIVLTVHSSAAPSADGNPYRALGCDDELLVPPPTTLDPFRNGTPTVEGLYEWVKTVLCLPVALLRLALFGLCLAVGYVATKVALEGWKDKENPMPKWRCRVMWITRMCARCILFSFGYQWIKRKGKPAPREIAPIIVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFLPSSRREAIREIKRRASCDKFPRVLLFPEGTTTNGRNLISFQLGAFIPGYPIQPVIVRYPHVHFDQSWGNVSLGKLMIRMFTQFHNFFEIEYLPVVYPLDDKETAVHFRERTSRALATAMNAVQTGHSYGDTMLYLKAQEAKQENPSSYMVEVTKFEASFHVSSMEVVDFLDKFLAMNPDPSGRAQYHDFLRVLRLKACPLSAKIFSFIDVEKCGTITFRQFLYGSAHVMSQPGFHQACEEAFAGCGGAVKPYIVEQELRDFIQPVIISWNADEVNELFMLFDNDNDGRVDKNDFVSCLRRNPLLIAFFTPQPKQKEFEGNGVIEIV >Vigun04g100601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23486334:23486432:1 gene:Vigun04g100601.v1.2 transcript:Vigun04g100601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFVEIVFLLISMILNTEHRARVLLNIGLLI >Vigun01g043800.1.v1.2 pep primary_assembly:ASM411807v1:1:6289561:6292559:1 gene:Vigun01g043800.v1.2 transcript:Vigun01g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKYLFMLFLLAYAAEAQRVFNVKDYGAIEDGNTDNSVAFVRAWSDACKWNGESTVLIPHGTYMSKSVIFNGPCKGSTTFQINGDLKAPIDPSMLVDQKWINFRYIDKLSVNGGGAFNGQGTATRKKCQNDSCQILFTSMVFDFITNGRIENLHSVDSKGGHFIVFGCGNMTFTNLTLTSPTNNRNTDGIKISHTNGINITSVKIGTGDDCVAMISGTKNVRITDVFCGPGHGISVGSLGGGNPHELPVEDVVVRNCTFNGSMNGVQIKTWPNPSKTPLNISNFIYEDIVMINVKTPIFINQQYCPEHNCDLTKSSYVQISNVSYKNIRGISATDIAVNLNCSMEFPCQNVTLEDIDLWSSGGKRGKHLKNYCFNVKGSSYGKQIPPSCLPSNKF >Vigun03g269700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44212522:44215266:1 gene:Vigun03g269700.v1.2 transcript:Vigun03g269700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRIFKPSKWFSNKSLRLSLRRPRSRSSNSSSQSSTASPRSPMSTCTTPKKGEMNGLVEAFRHLDGDGDGKISAYELRSYFGSIGEQVSHEDVEGVIHDLDSDGDNMLDLQDFTKLMKRDGGDGDERDLRKAFEMFVWEKEGCGCITPKGLQRMLHRLGDDRSYDDCVAMIGAFDIDHNGVLDFDEFYQMMA >Vigun10g028550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3515923:3516315:1 gene:Vigun10g028550.v1.2 transcript:Vigun10g028550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCECCHLHPYALILPIPWSCSRIKRKEKNPTIATIINITLSAFIITNLHLQPPASTYIIQKLSPYSHVSFQHRLTFIFIAHLHKSQRKIVTRHHHPLHFTIIFNPTNFHQSSIIITKSQNSQSIHALN >Vigun10g031550.1.v1.2 pep primary_assembly:ASM411807v1:10:4130408:4132117:-1 gene:Vigun10g031550.v1.2 transcript:Vigun10g031550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSSTSKLPGMYDVLINFTGEDIRRKFVSHLDYALSIAGLTTFLHEENAVKGRQIQQPILNLCRVAIVVFSKTYSQSAWCLHQLQQIIKWQETYSRHVLPIYYEIQPSDVRLQKGDFGEAFKATAHKTFSRQQLQHGMSRWSHALTKAANFFGWDGSNYRSDAELVDKIVKSVLNLAVLSATNFPVGLQSRMEEVIQIIKNESRDVCRIGICGMGGSGKTTLAKAIYNQIHGTFTDKIFIEDVAQVTQTRGHAHLKKQLLSSVLKTDIHSVKGTRGNMIRERLRKRVLIVLDDVDDHYFSYLEETRYGPALWPFFSEGSVIIITTRDVVVDIHFFSVFQINKMNPDESVELLSWHAFREAKPKEECHFLAKMIVDYCGGLPLSLEVIGSCLYERPKEEWNKVLSRLESIPPHEVLQILKISFDGLLNQSEKDLFLDVCCFFVGKDITYVTKILNVCGVDPDRGIRLLIERSLIKVEKNNKVGMHPLLQIMGIKIVCDFSRKRNLRGTIIFWLMKISNMRCQRNIKNIERKILIQVN >Vigun03g307400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49833028:49835023:-1 gene:Vigun03g307400.v1.2 transcript:Vigun03g307400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITAHGHSLAPSFHPRDLHLHQPQQHQFQTLNQPTDDENSGSSSAQKREREENNGNNNDEGAGEAEITRRPRGRPAGSKNKPKPPIIITRDSANAMRTHMMEVADGCDIVDSVSEFARKRQRGVCILSGTGTVTNVTLRQPASSGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGTLVASGPVVIMSASFSNAAYERLPLEDEDPSLQMQGGSIGSPGASGVGQSQLLGGGDATAPLFHGLPPNLLNSVQMQSEPFWAPNRPPHF >Vigun03g307400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49833028:49835023:-1 gene:Vigun03g307400.v1.2 transcript:Vigun03g307400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITAHGHSLAPSFHPRDLHLHQPQQHQFQTLNQPTDDENSGSSSAQKREREENNGNNNDEGAGEAEITRRPRGRPAGSKNKPKPPIIITRDSANAMRTHMMEVADGCDIVDSVSEFARKRQRGVCILSGTGTVTNVTLRQPASSGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGTLVASGPVVIMSASFSNAAYERLPLEDEDPSLQMQGGSIGSPGASGVGQSQLLGGGDATAPLFHGLPPNLLNSVQMQSEPFWAPNRPPHF >Vigun04g147300.1.v1.2 pep primary_assembly:ASM411807v1:4:36280260:36281945:-1 gene:Vigun04g147300.v1.2 transcript:Vigun04g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKVELYDDKIKKKAMKRVSGISGVESVSVDMKDQKMTVIGSVDSVKVAIKLKKLCHADILSVGPAKEEKKEEPKKEEKKPEAKKDPKEEYAEALKVYEAYFNQIRQQPYPYYYYRTVEENPTGCVIC >Vigun01g067100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17995126:17997198:-1 gene:Vigun01g067100.v1.2 transcript:Vigun01g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEMMIAIHMKALVFFLCLFLPSLPKHLLSCETPSPHVSSYHCIENLSHNQCATFALFLTNSYYSSLSNLTTYLGLNKFLLAQANGFSADTDFLSHHQPLLIPIHCKCKAGFSHAHLTKTAIKGESFYDIAQSLEGLTTCKAIRDNNPGVSPWNLDDRVRLIIPLRCSCPFSSQLTPQPKFLLSYPVTQGDTISSLASKFNITQQAIVSANHISSSQGFTPGTSLPPFTSILIPLNAKPVIGPLLKPKEPNSGFQPTSIPVKSPHNKSPMWKTELFIGLAGVALGVCIALAAAFFFIRLKHKKGDGSSCKEGDMELQHLNQSVRTTSTSDKKVSFEGSQDTLDVKIVDATSRKMLLETYTIENIRKATEDFSSSNHIEGSVYHGRLNGKNMAVKRTKAETVLKIDLGLFHDALHHHPNILRLLGTSVAEGKEQEESFLVFEYAKNGSLKDWLHGGLAIKNQFIASCYCFLTWSQRLRICLDVASALQYMHHVMNPCYVHRNVKSRNIFLDEEFGAKLGNFGTADCVEHDTEDPHFYSTNPASWSLGYLAPEYVHQGIIAPSIDIFAYGVVLLEVLSGQTPISRPNEKGEGSIWLTDKIKSILVSENVNELRGWIDSALGENYSFDAAVSIVNIARACVEEDSCLRPSAREIVEKLSRLVEELPEGEQHMLMSESSSKPLVKAVENSLE >Vigun01g030700.1.v1.2 pep primary_assembly:ASM411807v1:1:3849268:3851345:1 gene:Vigun01g030700.v1.2 transcript:Vigun01g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIANLIDKGSVESSRYFLSRGTVLEMLADRGYDVVQHANLVSSLSDFRSRFGQQPNHEALGFCVSHLSNPSNTVQVAFAGTDTIKMTTVMEICSRIVDSGSLKSLIIILQSKITSYAHKKLMNLPFKVEIIRIEDLLINITKHVLQPKYEILTGEEKKALLTKHSLEEKQLPCMLRSDVIARYYGLETGQVVKITHSGPMVDSHVTYRCVA >Vigun03g331000.1.v1.2 pep primary_assembly:ASM411807v1:3:52825885:52829123:-1 gene:Vigun03g331000.v1.2 transcript:Vigun03g331000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLINDATFSSANPSLSEIWPSQFPSSNKRPSSLLLHNHSPNSNKHVKLSPSPSETHQNAALQPPQPDATSVAAAHKSPLQTPKPKLDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCSKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRLSISPSIESFPSKEVGTQPFDLSGIIFGSQPARGFAQGSQAGWLHMQIAGGFEKVT >Vigun07g132300.1.v1.2 pep primary_assembly:ASM411807v1:7:24199938:24213036:-1 gene:Vigun07g132300.v1.2 transcript:Vigun07g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFRDLTRLFNGVSLIANEFAKRSLPATTDDFQTLIKKTLLSATDLTGLTKGNLRQFPNSSPSANPTPHHADTPSSSVVFFTDGNPSQSESTPTTTTTTPITDDDGVVHSPSDAYHPQASDANVCAAEEEKSEVVTSSETVNRGVSGEVAPPPPLRKRRPRERKVPATPFSRALGFAGLGAGLAWGTLQESAKRLAFGTPTSQGNQSALSPFLSEKNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALEIVRQGADVMPKSQLNQVLDAELGPGWSSKLISFDYEPIAAASIGQVHQAVMKDGMQVAMKIQYPGVADSIDSDIENVKLLLNYTNLIPKGLYLDRAIKVAKEELSRECDYRLEAANQKRFRDLLAGVDGFYVPIVVDTISSKRVLTTELVYGITIDKVASLDQETRNHIGKKLLELTLMELFVFRFMQTDPNWGNFLFDEATKTINLIDFGAARDYPKVFVDDYLRMVLACANGDSDGVIEMSRRLGFLTGMESDVMLDAHVQAGFIVGLPFSRSGGFDFRSNNITQSISHLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKIGAVVPCRELLLEVYKHHKFGDVNDILSSGSVSA >Vigun10g088800.3.v1.2 pep primary_assembly:ASM411807v1:10:25581161:25585621:-1 gene:Vigun10g088800.v1.2 transcript:Vigun10g088800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRRTQTFRISAAMPFSPNFVVNSVGGRNLEKYQLGGVIFGCKNTTLKECQSKLLFGLPANHFSYVKNIDPGLPIFLFNYSDRKLHGIFEASTKGKMYIDPYAWIDENSELDRTQYPAQVKVRERLQCQPLSEDKFAPVIAGNYYTNNHFWFELDHRQANKLTSLLASLAFASGCNLKWKTVFPSVPSKEDEAFEIPESETQHFTRSSKRTYSTEVTSSLDGNIRSLDTQLVLKEVNENEINLVYEKLKKIALGHESQDLSISDNVNDITDENDSCTVENDEKENDTPHENNACTVENDENDVYIVEKECPDVPECLEMEENPSTPVEHKYSIDKLIQEVEELMTFKKMQIENNCHLEQKLREAELKIQHLMDRCQILESASNLIHTHVEKTVIQSLDEQHVDPEETLFAKVFFDGEWCLSTIDLYWSFQLVIKSLCHVNSTSMKQLNYGSYNPIFYKWTLYPLLKSEERKFISSFFNQTNIFC >Vigun10g088800.2.v1.2 pep primary_assembly:ASM411807v1:10:25581161:25585621:-1 gene:Vigun10g088800.v1.2 transcript:Vigun10g088800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRRTQTFRISAAMPFSPNFVVNSVGGRNLEKYQLGGVIFGCKNTTLKECQSKLLFGLPANHFSYVKNIDPGLPIFLFNYSDRKLHGIFEASTKGKMYIDPYAWIDENSELDRTQYPAQVKVRERLQCQPLSEDKFAPVIAGNYYTNNHFWFELDHRQANKLTSLLASLAFASGCNLKWKTVFPSVPSKEDEAFEIPESETQHFTRSSKRTYSTEVTSSLDGNIRSLDTQLVLKEVNENEINLVYEKLKKIALGHESQDLSISDNVNDITDENDSCTVENDEKENDTPHENNACTVENDENDVYIVEKECPDVPECLEMEENPSTPVEHKYSIDKLIQEVEELMTFKKMQIENNCHLEQKLREAELKIQHLMDRCQILESASNLIHTHVEKTVIQSLDEQHVDPEETLFAKVFFDGEWCLSTIDLYWSFQLVIKSLCHVNSTSMKQLNFTKVLDLDIGRWISPGSKLDKIYAIAFVGINSLILFHTTRRDDVEYLNYQLKSYHYHLILE >Vigun07g047200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4888773:4889336:-1 gene:Vigun07g047200.v1.2 transcript:Vigun07g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIPFLLLIVVSNIVIANATFESNETNNVVIDSAQQRLISNIKNKRFHVLPKGPVPPFGPSHGCTPPCLPSNVVNNIKSKGFHVLPKGPVPPSGPSHGCTPPCLPSNVVNNIKSKGFHVLPKGPPHHSGPSSPCAHPPCGGTVAHKNVVSDIKSQQFHVLPKGPVPPSGPSPPCTHPPCGNRVN >Vigun03g434500.2.v1.2 pep primary_assembly:ASM411807v1:3:63862907:63863637:-1 gene:Vigun03g434500.v1.2 transcript:Vigun03g434500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKRDRECERIELEEEMGSGKNTAEFFRRRDAWRKHPMLTNQFRHATPGLGIALVAFGFYLVGEQVYDRFNADSHAHVKADSHH >Vigun05g024500.1.v1.2 pep primary_assembly:ASM411807v1:5:2022531:2026158:1 gene:Vigun05g024500.v1.2 transcript:Vigun05g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRKRSDSSEVVAQPKKEDAAPERPARTLLGWKDKSQVTDEVDDNNVASPKFRNKEKVLVTCSRRISYRYRHLMLNLVSLLPHCKKDSKVESKETKGATLNELVELKSCSSCLFFECRKGKDLYLWMAKCPGGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSANFEKDAHWKLLKEMLLQIFEIPKDHRKAKPFHDHVFVFSIADDHIWFRNYQISVHHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKKKKSGKFAKKVKAKTRRKMHEMSNPLEPDEFADMWKD >Vigun05g024500.2.v1.2 pep primary_assembly:ASM411807v1:5:2022580:2026064:1 gene:Vigun05g024500.v1.2 transcript:Vigun05g024500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRKRSDSSEVVAQPKKEDAAPERPARTLLGWKDKSQVTDEVDDNNVASPKFRNKEKVLVTCSRRISYRYRHLMLNLVSLLPHCKKDSKVESKETKGATLNELVELKSCSSCLFFECRKGKDLYLWMAKCPGGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSANFEKDAHWKLLKEMLLQIFEIPKDHRKAKPFHDHVFVFSIADDHIWFRNYQISVHHNESDKLPRGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKKKKSGKFAKKVKAKTRRKMHEMSNPLEPDEFADMWKD >Vigun11g103000.2.v1.2 pep primary_assembly:ASM411807v1:11:30014844:30015698:-1 gene:Vigun11g103000.v1.2 transcript:Vigun11g103000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLHSSFYVLNSELGGELNCITMDQLGIQPSSTKVERRLVEKNRRNQMKILFNKLNSLLPSYNPKEALALPDQVDEAINYIKSLEAKVKMAEEKKESLQGSKKRYRGCFSNNNSANFAATPLPKSPQLEIQEVGSSLQVVLTTGIGNQFIFHQIIRMLHEENIEVRSAHSSLAGDSVLHVVHAEVCFAVV >Vigun11g103000.1.v1.2 pep primary_assembly:ASM411807v1:11:30014252:30015698:-1 gene:Vigun11g103000.v1.2 transcript:Vigun11g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLHSSFYVLNSELGGELNCITMDQLGIQPSSTKVERRLVEKNRRNQMKILFNKLNSLLPSYNPKEALALPDQVDEAINYIKSLEAKVKMAEEKKESLQGSKKRYRGCFSNNNSANFAATPLPKSPQLEIQEVGSSLQVVLTTGIGNQFIFHQIIRMLHEENIEVRSAHSSLAGDSVLHVVHAEIPQSFLQFGATKVSERLKRFVNGSYSDVETQHEWWDFDIGSDDIWSF >Vigun03g358700.1.v1.2 pep primary_assembly:ASM411807v1:3:56076355:56080859:-1 gene:Vigun03g358700.v1.2 transcript:Vigun03g358700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLGLALSTHQSQSQPLDLNSHAYDPIFPFHQNKKRTLSQIFDHAPQTPHTDLVLPTLSLLPLTPTHHQDDHDHHSQCSSITKKDEEDADDVVGWPPVNYRRKKLRVDNCGDHDEVAGRNNHVIWVDHGCSTTSNSQYVKVKMEGVGIARKVDLGMHRSFHTLMETLMDMFGTCHQESNGYELAYQDKEGDWLLAQDVPWRSFVGCARRLKLVKNSSR >Vigun01g230200.1.v1.2 pep primary_assembly:ASM411807v1:1:40253021:40256750:-1 gene:Vigun01g230200.v1.2 transcript:Vigun01g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVERLLRERKCPFIFTLLVFVICVTILTFTKNATSTLDPIGFYPDVKPQPVAHFSSPAPEKRQRLALKGEPFSDDANIDWKLCKGHYAVDYVPCLDNLKVIKALRTRRHMEHRERHCPPSPLHCLVPLPRGYKVPLPWPKCRDMIWYDNVPHPKLVEYKKEQNWVVKSGDYLFFPGGGTQFKEGVNHYTEFIEKTLPAIQWGKNIRVVLDVGCGVASFGGFLLDKNVITMSFAPKDEHEAQIQFALERGIPATHSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLFELNRILRPGGFFAWSATPVYRDDERDRKVWNAMVTVTKAMCWTVVAKTLDSSGIGLVIYQKPTSSSCYQERKENTPPLCEYSDKKSISSWYARLSGCLIPLPVDGEGNIQSWPMSWPQRLTAIPPSLSSESDATEKFLNDTKHWSELVSDVYIDGLSINWSSVRNVMDMNAGYAGFAASLVDLPVWVMNVVPIDMPDTLTTIFDRGLIGLYHDWCESLSTYPRTYDLVHASFLFKHLEQRCEIVDVVVEIDRIVRPDGYVLVEDSVEMMNKLGPVLHSLHWSVTLYQNRFLVGKKSFWRPRR >Vigun01g230200.2.v1.2 pep primary_assembly:ASM411807v1:1:40253021:40256750:-1 gene:Vigun01g230200.v1.2 transcript:Vigun01g230200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVERLLRERKCPFIFTLLVFVICVTILTFTKNATSTLDPIGFYPDVKPQPVAHFSSPAPEKRQRLALKGEPFSDDANIDWKLCKGHYAVDYVPCLDNLKVIKALRTRRHMEHRERHCPPSPLHCLVPLPRGYKVPLPWPKCRDMIWYDNVPHPKLVEYKKEQNWVVKSGDYLFFPGGGTQFKEGVNHYTEFIEKTLPAIQWGKNIRVVLDVGCGVASFGGFLLDKNVITMSFAPKDEHEAQIQFALERGIPATHSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLFELNRILRPGGFFAWSATPVYRDDERDRKVWNAMVTVTKAMCWTVVAKTLDSSGIGLVIYQKPTSSSCYQERKENTPPLCEYSDKKSISSWYARLSGCLIPLPVDGEGNIQSWPMSWPQRLTAIPPSLSSESDATEKFLNDTKHWSELVSDVYIDGLSINWSSVRNVMDMNAGYAGFAASLVDLPVWVMNVVPIDMPDTLTTIFDRGLIGLYHDWCESLSTYPRTYDLVHASFLFKHLEQRL >Vigun09g130100.1.v1.2 pep primary_assembly:ASM411807v1:9:28747442:28753206:1 gene:Vigun09g130100.v1.2 transcript:Vigun09g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPNLFIPLLLLLLLFFTVFSASSSASLPELRSLMEFKKGITHDPQNLLESWAPAAVAEANAACPSTWQGIVCDEESGNVTGIVLDHLRLGGELKFHTLLDLKMLRNLSLSGNDFTGRLPPSLGSLSSLQHLDLSLNKFYGPIPARINDLWGLNYLNLSNNQFKGGFPSGLSNLQQLRVLDLHANALWAEIGDILSTLRNVERVDLSLNQFFGGLSLTVENISGLANTVHFLNLSNNNLNGHFFKNSTIGLFRNLQVLDLSNNSITGELPSFGSLPALRVLRLPRNQLFGSVPEELLQTSVPLEELDLSVNGFTGSIDAINSTSLNILNLSSNSLSGSLPTSLRRCTVIDISRNMLSGDISVIQNWEAPLEVINLSSNKLSGSLPPTLGTYSKLSTVDLSLNELKGSIPRGLVTSPSVTRLNLSGNQLTGQLLLQGSGASELLLMPPYQLMEYLDVSNNSLEGALPSEIDRMSVLKLLNVARNEFSGPLPSELNKLLYLEHLDLSNNKFSGNIPDKLSSSLTVFNVSNNDLSGRVPENLRQFSPSSFRPGNAKLVLPNDSPETSSVPDNIPDKGRRHSSKGNIRIAIILASVGAAIMIAFVLLAYHRTQLKEFHGRSEFTGQNTRRDVKLGGLSRSSLFKFNTNVQPPTTSLSFSNDHLLTSNSRSLSGGQSEFVTEISEHGLMQGMVATSSASVNPNLMDNPPTSSGRKSSPGSPLSSSPRFIEACEKPVMLDVYSPDRLAGELFFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLTVKWLRVGLVKHKKEFAREVKRIGSMRHPNIVPLLAYYWGPREQERLLLADYIHGDNLALHLYESTPRRYSPLSFSQRIKVAVDVARCLLYLHDRGLPHGNLKPTNIVLAGPDFSARLTDYGLHRLMTPAGIAEQILNLGALGYRAPELAAASKPVPSFKADVYALGVILMELLTRKSAGDIISGQSGAVDLTDWVRLCEREGRVMDCIDRDIAGGEESSKEMDELLGISLRCILPVNERPNIRQVFDDLCSISV >Vigun09g234300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40492297:40493319:1 gene:Vigun09g234300.v1.2 transcript:Vigun09g234300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPENAPEPQSEPQSEQDSVPPSKLSTEDQPAAAAAASKLGPASVSFTIWPPTQRTRDAVINRLIETLSSQSVLSKRYGTMPPEEASAAARQIEDEAFAVASGSSTSDSDGIEILQVYSKEISKRMLDTVKSKATTGSPAVDNGVAQTPTSEVAPTPAAESDPAAAAESET >Vigun10g146000.1.v1.2 pep primary_assembly:ASM411807v1:10:36381903:36387140:1 gene:Vigun10g146000.v1.2 transcript:Vigun10g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTRVTRQNPSTATLLTRHLSSAASKLHSSYTFKPPSSLTPEPQCPNSPPTKPNQKKSKPKYRPPSSLDPAGRKPVRSNDLPFDFRFSYTESSPKVRPIGLREPKYSPFGPGRLDRKWTGVCAPAVDPTVESLEGPEDPKLEEQRKMKREMIQGKPLNSDERKALISQFERSKTNRHVNLGRDGLTHNMLNVIHNHWKFAEAVRIKCMGVPTMDMKNICTQLEDKTFGKVIFRHGGTLILYRGRNYIPKKRSIVPLMLWKPHEPVYPRLIKTTIDGLSIQETKEMRKRGLAVPALTKLAKNGYYAFLVPMVRDAFLSCELVRIDCEGLERKDYKKIGCKLRDMVPCILVTFENEQVVVWRGKDYKPSKDGYFLKDRESFDDDNDDLNVAEEQQAI >Vigun06g053050.1.v1.2 pep primary_assembly:ASM411807v1:6:17879355:17882063:-1 gene:Vigun06g053050.v1.2 transcript:Vigun06g053050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVAVDLALSRFAIRLRNWLFLLCTNFTSTSLLWLTTSSLLCAYPCLGRLPPPDAHTRQAFNLAISLLPATTKVCFSFYPSIEFLPIHVTHVTHVTTISVGTRAYIDPDYYISGRVSNKSDVYSFRVFLFELIFSIHPSLVAGTNNETLAQFANIMVMMLQLMSMELLDRPSGATLKTCIKPLNFVKKHWQLLIQILHLLDQT >Vigun06g053050.2.v1.2 pep primary_assembly:ASM411807v1:6:17880288:17882063:-1 gene:Vigun06g053050.v1.2 transcript:Vigun06g053050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVAVDLALSRFAIRLRNWLFLLCTNFTSTSLLWLTTSSLLCAYPCLGRLPPPDAHTRQAFNLAISLLPATTKVCFSFYPSIEFLPIHVTHVTHVTTISVGTRAYIDPDYYISGRVSNKSDVYSFRVFLFELIFSIHPSLVAGTNNETLAQFANIMVMMLQLMSMELLDRPSGATLKTCIKPLNFVKKHWQLLIQILHLLDQT >Vigun03g067400.1.v1.2 pep primary_assembly:ASM411807v1:3:5562075:5570427:-1 gene:Vigun03g067400.v1.2 transcript:Vigun03g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEEDVDSSKKKKDITDKIERSYRKRDVDDDLHADDRRSKRRGDDDNGGSKKDRDRDRDRDRERERDRSSGRHRSERERDGEKKERDKDRERRDKDKDKDRDRRDRDRDKEKERERRERDREREERERSRRSGSRSERDRDRERDFEMRDGRRFRDKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNASGGVAGVAGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGPVEVVQLPLDLETGHCKGFGFVQFTHLEHAKAAQSLNGKLEIAGRTIKVSCVTDHVGSQDTTAKSADLDDDEGGLTLNAHSRALLMQRLAGADISASMGMPVVNGSVPAQQAVSLPIGAPGLPAQVMPTPVVEPVGSPSECLLLKNMFDPSTESEPDFDIDIKEDVEEECSKYGRVKHIFVDKKSAGFVYLQFETVEAASAAQHAMHMRWFARRLISAIFMQPQLYESKFKGE >Vigun01g234100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40587884:40589812:-1 gene:Vigun01g234100.v1.2 transcript:Vigun01g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSKLFHKLCAEIIILLASLIIELIILIQKVKSSSRAISTQQYLKLIEKKNPTICYTKGLLKAEQGGAECRVCLCELEEGEKVRKLQCRHMFHRDCLDKWLQQYWATCPLCRKQVVPEDLVFKHRQHQNQTEAGFDGNHEDNLPYFLSAFRRGNTLHRYF >Vigun07g208500.1.v1.2 pep primary_assembly:ASM411807v1:7:33004872:33012894:-1 gene:Vigun07g208500.v1.2 transcript:Vigun07g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALKSATRFLVAHPVSDLSVRGFSLSQSHKLTSTVVLPLHSHRPAKTLVRIATPSFRSCGCAPMSTVQVRDRIDLSDTERSIFDRLLATLRHFHLQTQLRVAGGWVRDKLLGKECYDIDIALDDMMGTEFVDKVREYLLSIGEDAQGVCVIESNPDQSKHLETARMRLFDMWIDFVNLRSEEYTENSRIPSKQRFGTAEEDAYRRDLTINSLFYNINTDSVEDFTKRGILDLKSGKIVTPLPPKATFLDDPLRVLRAIRFGARFEFTLDEDLKVAAACDDVKDALAAKISRERIGTEIDLMISGNQPVKAMTYICDLTLFWIVFSLPPEFEPAIPDGCKRLCISCLDTAWNLVDLLGESTFTAEQKRLALCAALFLPLRDTTYREKKAKKVPVVNHIIRESLKRKAKDAEMVLNLHQASHKFLSLFPCLTSGEDDQVVDHDWMGDLVDVPVYSRVRVLTGFLLRELKDFWRVALLISTILHPIDIEDGPSQLDRRRDLFNTVENAITKLGLERVWDVKQLINGKDVMNNLGLKGGPLVKESLDKAMAWQLAHPSGTAEDCIEWLRETNSKRVKLQ >Vigun04g069600.2.v1.2 pep primary_assembly:ASM411807v1:4:8051003:8053354:-1 gene:Vigun04g069600.v1.2 transcript:Vigun04g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYTEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun04g069600.1.v1.2 pep primary_assembly:ASM411807v1:4:8051003:8053354:-1 gene:Vigun04g069600.v1.2 transcript:Vigun04g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYTEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun10g026851.1.v1.2 pep primary_assembly:ASM411807v1:10:3223984:3231438:1 gene:Vigun10g026851.v1.2 transcript:Vigun10g026851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding EFQISGDAIPGEKLLGCGYPVRGTSLCMFQWVRHLEDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDKGRQGELVKLFANDQNKITCDSEMQHEIDTYLSKGEAIFSVLLLMDSSENWERATLYLRRSGYQIRINGTEATVVAEKFSKDLSIKVPSGLSVQFVLTCSDGSSHPLSTYSVRMRDTLVLTMRFFQSKALDDKRKGRA >Vigun03g033100.1.v1.2 pep primary_assembly:ASM411807v1:3:2546200:2550155:-1 gene:Vigun03g033100.v1.2 transcript:Vigun03g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQVPCCELNGTAIRRQKRRKLDTMARSFSRGSLLPLAIVSLGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTVFDVKRLIGRKFQDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFIGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRSLSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQISDKDKLADKLESDEKEKIETAVKEALEWLDDNQTVEKEEYEEKLKEVEAVCNPIVSAVYQRTGGAPGAGAGGEDDDDSRDEL >Vigun01g091700.5.v1.2 pep primary_assembly:ASM411807v1:1:25274855:25280825:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.4.v1.2 pep primary_assembly:ASM411807v1:1:25274840:25280837:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.6.v1.2 pep primary_assembly:ASM411807v1:1:25274855:25280825:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.8.v1.2 pep primary_assembly:ASM411807v1:1:25274838:25280824:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.10.v1.2 pep primary_assembly:ASM411807v1:1:25274871:25280824:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.3.v1.2 pep primary_assembly:ASM411807v1:1:25274840:25280837:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.2.v1.2 pep primary_assembly:ASM411807v1:1:25274838:25280837:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.9.v1.2 pep primary_assembly:ASM411807v1:1:25274871:25280824:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.7.v1.2 pep primary_assembly:ASM411807v1:1:25274838:25280824:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g091700.1.v1.2 pep primary_assembly:ASM411807v1:1:25274838:25280837:-1 gene:Vigun01g091700.v1.2 transcript:Vigun01g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR3 MEAQQIISRFCPKSSLAPSIPMVKQPFSLNFPPLHSLSSYPFLQSQNLGFPTGALHAISFVHKEVCSSSWRIWCSKSSSSTAEPEEDHEVRAQVTVRRKKLAVFVSGGGSNFRSIHEASKKGSLHGDVTVLVTNKSECGGAQYARNNGIPVILFPKAKDEPKGLSPCDLVDTLRKFEVDFVLLAGYLKLIPVELIRAFERSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLNEEHQLYVEVVEALCEERIVWRKDGVPLIQSRENPNEFL >Vigun01g058250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:11842614:11842862:1 gene:Vigun01g058250.v1.2 transcript:Vigun01g058250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWWCSSSSLFPFAPSPSTCSSLTTTRRYLPWWNWREKGVVAPVKDQVQCGSCWAFSTVAVVEGINQIITSNPFTCVIQPR >Vigun02g105200.1.v1.2 pep primary_assembly:ASM411807v1:2:25969608:25978573:1 gene:Vigun02g105200.v1.2 transcript:Vigun02g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLLKWQWPWLLLIVLLHVVIIKSNAITPDGEVLLSFRTAVVNSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLSLSHHKLSGSISPDLGKLESLRVLALHNNNFYGTIPSELGNCTALEGIFLQGNYLSGIIPSEIGNLSLLINLDISSNSLSGNIPASLGKLYNLKNFNVSTNFLVGPIPSDGVLGNFTGSSFVGNRGLCGVKINSTCKDDGSPGTNTQSASSDQNQMGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRISLAMDVGAGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGIGGFGTVYKLAMDDGNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDYLPGGSLDEALHERAEQLDWDSRLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKSDVYSFGVLTLEVLSGKRPTDAAFIEKGLNIVGWLNFLITENRPREIVDPLCDGVQMESLDALLSVAIQCVSSSPEDRPTMHRVVQLFESEVVTPCPSDFYDSSSD >Vigun03g040500.1.v1.2 pep primary_assembly:ASM411807v1:3:3116452:3118465:1 gene:Vigun03g040500.v1.2 transcript:Vigun03g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFPRFKFLSRAVHEEQEGEHDGERNKYPLTEGSLCTSLTLTVWKKSLVISCKGFTVIDSYGNLAYRVDNYIGHPNEVILMDASGNSVLTLHRSRKLGLVDRWFVYEGERGKQSTRSKWCKSKSRPVCCVRKRVNILDGKPKVQAYVYRVASDSDKRHAAFTVEGSYAHRTCKVFDECKKAVAEIKRKEANSKDVSFGIEIFQLVVHPGFDPSFAMALVLLLDQMFS >Vigun03g125000.1.v1.2 pep primary_assembly:ASM411807v1:3:11791492:11793608:1 gene:Vigun03g125000.v1.2 transcript:Vigun03g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTVELLKKEIPLEQESVVLAEDTVNGLVLVDIINGFCTVGAGNLAPREPNRQIRGMINESVRLARVFCEKKLPVMAFLDSHPPNKPEDPYPPHCIIGSDESNLVPELRWLEKEPNVTIRRKDCFDGYVGSVEEDGTNVFVDWVKNNKITTLLVVGVCTDICVLDFVCSTMSAKNRGFLKPLENVVVYSRGCATFDIPLEVASDTKGVLAHPQEFMHHVGLYMAKERGAKIASEVLIGASEKV >Vigun01g233400.1.v1.2 pep primary_assembly:ASM411807v1:1:40518100:40524255:-1 gene:Vigun01g233400.v1.2 transcript:Vigun01g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTTFANSIASTHAIPPTHPYRLQPSPFPPHLTNLKRSGLVKNRLIARCSSKSDEFGSVNGLQFTPNKLFVEEAIGAEYGEGFETFRTDGPLKVDVDYLNDKLQDGFLHRIRYAMKPDEAYGLIFSWDNVVAGTRAVKRKAWEQLAFEEGKDIPEEGEMHKLVFYTGADYVLRKFFVSDNAEEELNRLKLRFSQIYYDNLLRLAKPIDGLEDWLEAVYTARIPCAVVSSLDRRNMLEILERMGLSKYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYDLGQADLTVGNFSELSVINLRRLFANRGSSFMDLQKQIIEKTPPKRKLTIDTIF >Vigun03g434100.1.v1.2 pep primary_assembly:ASM411807v1:3:63823044:63824862:1 gene:Vigun03g434100.v1.2 transcript:Vigun03g434100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALELEDDLFFADLSKQISLLIMDEEDEHPLASSPQEHHFQTYYSSGSFYPPPQSGILYEHDLRRQSKGTGVFIPQSTQPRRKHRKGRSSSNAKHKKQTPDMAAQVVPIKKTLSNPKMVEDMYMSSQKTA >Vigun03g428400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63321403:63322645:1 gene:Vigun03g428400.v1.2 transcript:Vigun03g428400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQILRPQDCLVERISAPPPEFSQRRSSANRCNYYYYNNHVAASRSSRKQFTRPDQRKRPAPASGLPVLAEPAAAAFRRSSGDDSRLARSHGLEKVTILRRGQSLDSAVKSDVYAGSAFAMSPSPNALPLPSFPTKKNSSSAVFEDSATRDLRRLLRLE >Vigun10g043600.2.v1.2 pep primary_assembly:ASM411807v1:10:6129501:6131229:1 gene:Vigun10g043600.v1.2 transcript:Vigun10g043600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEFYGYISYVQSVKEIVEGALFPEAGIENNKVGDAGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFPDMESYSLGSNHKGVMGILVSSGSGVFENKDANLWADFFGLLQFRGIDKDKIHDKGSMDDQCWCPSLSSKVSSKNEELNLAMLTAVASILKSLGEDPTRKQLQGTPARYTKWLMNFKCSSIERALNCSLGIRTNGILNTNGGLGFHEKLHSELNMPFLSQCEHHLLPFHGVVHIGYFISKGFHPIEKTFLQSIVHFYGFKLQVQERLTKQIAETISPLIGGNVIVVVEASHTCMISRGIEKFGSNTATIATLGCFCTDLGARTSFLDSIPNDTYISCR >VigunL059917.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:81131:81646:1 gene:VigunL059917.v1.2 transcript:VigunL059917.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCTDNLAMCKTHQLKTFVHQDFHGIHLGHLEAIPTLTSQKPRISFFHKLPTKPFSYAVVHRQPCHVQNPPTQNFRAPRLSWYSFGAFGGHSNPHISKTTNFIFSQNSPPSLLAMPRCTANLAMCKTLRTQNFRAPRFHGIHLGHLEAFPTLTSQKPRISFFHKTPHQAF >Vigun11g115400.1.v1.2 pep primary_assembly:ASM411807v1:11:31944327:31945655:1 gene:Vigun11g115400.v1.2 transcript:Vigun11g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFTLIYTLLYINTTQSFPYSIHTLFCQNIFNIQHPSFQTSFQLAVAMADIDRSSNNSSPDSKVKSLQTSTVEFSEAEEILIAMVYNLVGERWSLIAGRIPGRTAEEIEKYWTSRYSSSQ >Vigun09g204800.1.v1.2 pep primary_assembly:ASM411807v1:9:37928831:37930221:1 gene:Vigun09g204800.v1.2 transcript:Vigun09g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNEAYKAHPITLGDIIPIDFSSSPSLPDSHSWSEPVHDDDDDFSATSSIPIIDLKDPNAQKLIALACENWGAFHLKNHGIPLDVTEEAEQELQRLFSLPAEQKMKALRSPDSASGYGNARISPFFSKAMWYEGFTIIGGSSSHDAKKIWPHDYQTFCDRMEKYEKQMRSIADRLTDMMMEVLGISEEKRKWVGASDVSTALQLNFYPCCPEPNRAMGLAPHTDTSIFTILHAKSSGLQIFKEGKWIHVHPHPNALIVHTGDFTRIMSNARFCSPLHRVVPNQDTERYSMAYFYSPPTDYVISPSDLNSVARFRDVTVKEYIGIKSQKFADSLSFIST >Vigun08g151000.3.v1.2 pep primary_assembly:ASM411807v1:8:32374464:32384977:1 gene:Vigun08g151000.v1.2 transcript:Vigun08g151000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKLQTQTGINAIEEASGQCDAGYPDKTTVACMINAEIGAVLAVMRRNVRWGVHYMSDDDHLEHSLVQSLKALRKQIFSWQNQWHVISPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASMMLSNQHICTIVNTCFRIVHQAGTKSELLQRIARYTMHELVRCIFSHLQDIDNTELALNNGSTALQKEIVGLNNEHSSPNRQLDNGNLTSASNGQPLSTGIAPSTVSDVAATVLDEDTAIGSSDQEADLNELQLINEPYGIPCMVEIFHFLCSLLNVAEHMGNSPRSNTIAFDEDVPLFALTLINSAIELGGPSFHRHPRLLSLIQDELFRNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDLTCGNVFEDIANLLSKSAFPVNSPLTSMHILALEGLIAVMHGMAERIGNGSLSSEQSPVNLEEYTPFWQEKCENFCDPKNWVPFVSRRKYFKKRLMIGADHFNRDTKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLIGDFLGNHDEFCIEVLHEFARTFDFKDMMLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDQHNSQVRNKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGSGFPEMTPSRWIYLKHKSKKSDPFIVSDSKAYLDYDMFSILSGPTIAAISVVFDNAENAEVYQTCMDGFIAVAKISAYYHLENILDDLVVSLCRFVTVFDPLAVEESILAFGEDMKARMATETVFTIANRYGDFIRTGWRNILDCILKFHKLGLLPARIASDAAEESELSTETEDGGKLTTNALSLSRLPSANTTKRSSGLMSRFSQLLYLGAEEPKSVPSEEQLAAQQCTLQTIQKCHIDSIFSDSKFLQAESLLQLTKAITSAGVRPKKGSSTSDDEDTLVFCLELLVAITLNNRDRIELLWQGVYEHISNIVQSTVMPCALVEKAVFGLLRICHRLLPYKENITDELLRSLQLVLKLDARVADAYYEQITQEVSHLMKANASHIRSHLGWRTITSLLSITARHLEAAEAGFDALLFIMSDGAHLLPANYVLCIDAAKQFAESRVGQVERSVMALDLMSGSVSCLKKWTNDAKQATKEEELAKVLQDIGDMWLRLVQGLKKLCLDQREEVRNHALLSLQKCLTGSVGINLPHSLWLQCFDQVIFSVLDDLIEISQTQSQKDYRNIEGTLVLALKLLCKVFLQLIQYLSELPDFSTLWLAVLSRLETYMKVKIRGRRSEKLHELVPELLKNTLLVMKTGQVLVRSSSEDGSSLWELTWLHINNIAPSMQAEVFPEPDSKHLQKKQTEKVEGLVSDENNSVSSNETVGQDGPGIS >Vigun08g151000.1.v1.2 pep primary_assembly:ASM411807v1:8:32374383:32383383:1 gene:Vigun08g151000.v1.2 transcript:Vigun08g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKLQTQTGINAIEEASGQCDAGYPDKTTVACMINAEIGAVLAVMRRNVRWGVHYMSDDDHLEHSLVQSLKALRKQIFSWQNQWHVISPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASMMLSNQHICTIVNTCFRIVHQAGTKSELLQRIARYTMHELVRCIFSHLQDIDNTELALNNGSTALQKEIVGLNNEHSSPNRQLDNGNLTSASNGQPLSTGIAPSTVSDVAATVLDEDTAIGSSDQEADLNELQLINEPYGIPCMVEIFHFLCSLLNVAEHMGNSPRSNTIAFDEDVPLFALTLINSAIELGGPSFHRHPRLLSLIQDELFRNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDLTCGNVFEDIANLLSKSAFPVNSPLTSMHILALEGLIAVMHGMAERIGNGSLSSEQSPVNLEEYTPFWQEKCENFCDPKNWVPFVSRRKYFKKRLMIGADHFNRDTKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLIGDFLGNHDEFCIEVLHEFARTFDFKDMMLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDQHNSQVRNKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGSGFPEMTPSRWIYLKHKSKKSDPFIVSDSKAYLDYDMFSILSGPTIAAISVVFDNAENAEVYQTCMDGFIAVAKISAYYHLENILDDLVVSLCRFVTVFDPLAVEESILAFGEDMKARMATETVFTIANRYGDFIRTGWRNILDCILKFHKLGLLPARIASDAAEESELSTETEDGGKLTTNALSLSRLPSANTTKRSSGLMSRFSQLLYLGAEEPKSVPSEEQLAAQQCTLQTIQKCHIDSIFSDSKFLQAESLLQLTKAITSAGVRPKKGSSTSDDEDTLVFCLELLVAITLNNRDRIELLWQGVYEHISNIVQSTVMPCALVEKAVFGLLRICHRLLPYKENITDELLRSLQLVLKLDARVADAYYEQITQEVSHLMKANASHIRSHLGWRTITSLLSITARHLEAAEAGFDALLFIMSDGAHLLPANYVLCIDAAKQFAESRVGQVERSVMALDLMSGSVSCLKKWTNDAKQATKEEELAKVLQDIGDMWLRLVQGLKKLCLDQREEVRNHALLSLQKCLTGSVGINLPHSLWLQCFDQVIFSVLDDLIEISQTQSQKDYRNIEGTLVLALKLLCKVFLQLIQYLSELPDFSTLWLAVLSRLETYMKVKIRGRRSEKLHELVPELLKNTLLVMKTGQVLVRSSSEDGSSLWELTWLHINNIAPSMQAEVFPEPDSKHLQKKQTEKVEGLVSDENNSVSSNETVGQDGPGIS >Vigun08g151000.4.v1.2 pep primary_assembly:ASM411807v1:8:32374464:32384977:1 gene:Vigun08g151000.v1.2 transcript:Vigun08g151000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKLQTQTGINAIEEASGQCDAGYPDKTTVACMINAEIGAVLAVMRRNVRWGVHYMSDDDHLEHSLVQSLKALRKQIFSWQNQWHVISPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASMMLSNQHICTIVNTCFRIVHQAGTKSELLQRIARYTMHELVRCIFSHLQDIDNTELALNNGSTALQKEIVGLNNEHSSPNRQLDNGNLTSASNGQPLSTGIAPSTVSDVAATVLDEDTAIGSSDQEADLNELQLINEPYGIPCMVEIFHFLCSLLNVAEHMGNSPRSNTIAFDEDVPLFALTLINSAIELGGPSFHRHPRLLSLIQDELFRNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDLTCGNVFEDIANLLSKSAFPVNSPLTSMHILALEGLIAVMHGMAERIGNGSLSSEQSPVNLEEYTPFWQEKCENFCDPKNWVPFVSRRKYFKKRLMIGADHFNRDTKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLIGDFLGNHDEFCIEVLHEFARTFDFKDMMLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDQHNSQVRNKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGSGFPEMTPSRWIYLKHKSKKSDPFIVSDSKAYLDYDMFSILSGPTIAAISVVFDNAENAEVYQTCMDGFIAVAKISAYYHLENILDDLVVSLCRFVTVFDPLAVEESILAFGEDMKARMATETVFTIANRYGDFIRTGWRNILDCILKFHKLGLLPARIASDAAEESELSTETEDGGKLTTNALSLSRLPSANTTKRSSGLMSRFSQLLYLGAEEPKSVPSEEQLAAQQCTLQTIQKCHIDSIFSDSKFLQAESLLQLTKAITSAGVRPKKGSSTSDDEDTLVFCLELLVAITLNNRDRIELLWQGVYEHISNIVQSTVMPCALVEKAVFGLLRICHRLLPYKENITDELLRSLQLVLKLDARVADAYYEQITQEVSHLMKANASHIRSHLGWRTITSLLSITARHLEAAEAGFDALLFIMSDGAHLLPANYVLCIDAAKQFAESRVGQVERSVMALDLMSGSVSCLKKWTNDAKQATKEEELAKVLQDIGDMWLRLVQGLKKLCLDQREEVRNHALLSLQKCLTGSVGINLPHSLWLQCFDQVIFSVLDDLIEISQTQSQKDYRNIEGTLVLALKLLCKVFLQLIQYLSELPDFSTLWLAVLSRLETYMKVKIRGRRSEKLHELVPELLKNTLLVMKTGQVLVRSSSEDGSSLWELTWLHINNIAPSMQAEVFPEPDSKHLQKKQTEKVEGLVSDENNSVSSNETVGQDGPGIS >Vigun08g151000.2.v1.2 pep primary_assembly:ASM411807v1:8:32374383:32383383:1 gene:Vigun08g151000.v1.2 transcript:Vigun08g151000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKLQTQTGINAIEEASGQCDAGYPDKTTVACMINAEIGAVLAVMRRNVRWGVHYMSDDDHLEHSLVQSLKALRKQIFSWQNQWHVISPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVGDAMHLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASMMLSNQHICTIVNTCFRIVHQAGTKSELLQRIARYTMHELVRCIFSHLQDIDNTELALNNGSTALQKEIVGLNNEHSSPNRQLDNGNLTSASNGQPLSTGIAPSTVSDVAATVLDEDTAIGSSDQEADLNELQLINEPYGIPCMVEIFHFLCSLLNVAEHMGNSPRSNTIAFDEDVPLFALTLINSAIELGGPSFHRHPRLLSLIQDELFRNLMQFGLSMSPLVLSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDLTCGNVFEDIANLLSKSAFPVNSPLTSMHILALEGLIAVMHGMAERIGNGSLSSEQSPVNLEEYTPFWQEKCENFCDPKNWVPFVSRRKYFKKRLMIGADHFNRDTKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLIGDFLGNHDEFCIEVLHEFARTFDFKDMMLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDQHNSQVRNKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGSGFPEMTPSRWIYLKHKSKKSDPFIVSDSKAYLDYDMFSILSGPTIAAISVVFDNAENAEVYQTCMDGFIAVAKISAYYHLENILDDLVVSLCRFVTVFDPLAVEESILAFGEDMKARMATETVFTIANRYGDFIRTGWRNILDCILKFHKLGLLPARIASDAAEESELSTETEDGGKLTTNALSLSRLPSANTTKRSSGLMSRFSQLLYLGAEEPKSVPSEEQLAAQQCTLQTIQKCHIDSIFSDSKFLQAESLLQLTKAITSAGVRPKKGSSTSDDEDTLVFCLELLVAITLNNRDRIELLWQGVYEHISNIVQSTVMPCALVEKAVFGLLRICHRLLPYKENITDELLRSLQLVLKLDARVADAYYEQITQEVSHLMKANASHIRSHLGWRTITSLLSITARHLEAAEAGFDALLFIMSDGAHLLPANYVLCIDAAKQFAESRVGQVERSVMALDLMSGSVSCLKKWTNDAKQATKEEELAKVLQDIGDMWLRLVQGLKKLCLDQREEVRNHALLSLQKCLTGSVGINLPHSLWLQCFDQVIFSVLDDLIEISQTQSQKDYRNIEGTLVLALKLLCKVFLQLIQYLSELPDFSTLWLAVLSRLETYMKVKIRGRRSEKLHELVPELLKNTLLVMKTGQVLVRSSSEDGSSLWELTWLHINNIAPSMQAEVFPEPDSKHLQKKQTEKVEGLVSDENNSVSSNETVGQDGPGIS >Vigun07g133500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24321685:24322905:1 gene:Vigun07g133500.v1.2 transcript:Vigun07g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLNPLFKSEPFGCYYNINNYYSEQNNTMLEKRLVFLRSYQFCRKKSLTERIKGSLVRAKKIVWLRLRSACKLRRSLIFFSRFKCAFYYRRRRFFQLLHTTNNRKTESSSCLW >Vigun07g146900.1.v1.2 pep primary_assembly:ASM411807v1:7:25784147:25787562:1 gene:Vigun07g146900.v1.2 transcript:Vigun07g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIACNFRCNYHPSIPPQTSATPFSLSFSHPLLCSYNGLSLRPSTSTFRVFAKFEKFQTESPEPDPPSPLEENTVTSVKVDEEDDSCLPSDLEGAVRQSGEAAGLFVSTGGMRATVELLIPQLQFLDDEGAQVELWDLSKIFLDTLIEKTKSQRVKAIFPDAGAAALLKFRWKDAIFRFASLSDRKPVEGDDEIVVMVVPDYQMLEYVERIASNLSNDPPRPLIMWNPRLISEDVGVGFNVRKLRRFFLSTFTTVYFMRPMPFGAIFRCYPGEWKVFSDDKERPNRYLLAKEFESRPDAEDIEILFAEEEQKSEQGQGLLDKAAGIFSSISRMMKSI >Vigun02g034800.2.v1.2 pep primary_assembly:ASM411807v1:2:14538572:14543920:-1 gene:Vigun02g034800.v1.2 transcript:Vigun02g034800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGETRNGSLDIRALICHAAAGASAGTIAATFVCPLDVIKTRLQVHGLPQGQKGNVIVTSLQNIVRNEGFRGMYRGLSPTILALLPNWAVYFTSYELLKGLLRSRAAAGAGAATAISVNPLWVVKTRLQTQGMRPDVVPYKSVLSALTRITHEEGLRGLYSGIIPSLAGISHVAIQFPAFERMKSYMAEKDNTTVDKLSPGSVAIASSISKVFASVMTYPHEVIRSRLQEQGQAKSNGIQYTGVIDCTKKLFQKEGIAGFYRGCATNLLRTTPSAVITFTSYEMIHRFLQGVVPQDKGYPQHGSSKSNELNKPQSKAEASGTDMGHPPSQSNMKASSIPLGNKEHLTRH >Vigun02g034800.1.v1.2 pep primary_assembly:ASM411807v1:2:14538572:14543920:-1 gene:Vigun02g034800.v1.2 transcript:Vigun02g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGETRNGSLDIRALICHAAAGASAGTIAATFVCPLDVIKTRLQVHGLPQGQKGNVIVTSLQNIVRNEGFRGMYRGLSPTILALLPNWAVYFTSYELLKGLLRSRDGCKELTTFGHMTAAAGAGAATAISVNPLWVVKTRLQTQGMRPDVVPYKSVLSALTRITHEEGLRGLYSGIIPSLAGISHVAIQFPAFERMKSYMAEKDNTTVDKLSPGSVAIASSISKVFASVMTYPHEVIRSRLQEQGQAKSNGIQYTGVIDCTKKLFQKEGIAGFYRGCATNLLRTTPSAVITFTSYEMIHRFLQGVVPQDKGYPQHGSSKSNELNKPQSKAEASGTDMGHPPSQSNMKASSIPLGNKEHLTRH >Vigun11g032600.1.v1.2 pep primary_assembly:ASM411807v1:11:4299856:4301211:-1 gene:Vigun11g032600.v1.2 transcript:Vigun11g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKITTEIGVHATAAKWFNLFAKQLHHVQNLAERVHGTKLHRGQDWHHPESIKQWTYVIDGKVTTCQESIESIDEANKTITYKLFNGDIDQQFKVFKFIFQAIDKNSGGAIIKWTIEYERVSEDVDPPYGYVEYLHKSTRDIDAHLLKA >Vigun05g179001.1.v1.2 pep primary_assembly:ASM411807v1:5:33813369:33842929:1 gene:Vigun05g179001.v1.2 transcript:Vigun05g179001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGKKSWLVLLRAMVVLGHVLGTNGCFQEDRRALLDFKASYGNESDVLPSWLNDPKSNCCAWEREIGMESFQFPYGEMGPYREVMRPFCLHPTRSLNWSLFLPFRELRSLGLSNYCFSGFIRNQDDGNSTLKKLETLDLSFNYLNESIMELVGTLPSIKNLNLCGNSIRGPFMKELSLLPNLEVLDLRMNLIGNGIFLASQDYQSLSRLKKLGTLNLSFNYLNESIMEVVGTFRSIKNLTMAANLIGGPFPMKELAFLPNLEMLDLSGNFFVSHVPTQDGHNVEFYAFKKLKTLNLADNNFDKGIFKSIVAFPSLRSLNLGYNPIKLDLDDKVLSDLSKLEGLFLSNAAINGIFPNQGLCNMKQLQMLDLSFNNLIGTLDACPDNLTSLRYLDFSFNFLSGNVTPFIAQLTSIEHLGIAFNKFEGKFSFNIFANHSKLKKLYITKMKVETENPPWVAPFQLEKLLISICELNLPAKIPTFLSNQSSLRYLALSGNNLVGKFPSWLLANNPNLETVYLFDNSFTGPFELPFDQNHLMYQKIKLSISNNKLQGNLPYNVGFFFPRLETLDVSNNREMSSLIELFLGSNNFSGNIPEHILNRCFSLQALMMDNNKFNGTLLSTIRKLRLISHNKLSGALPSCFKTPQILLLQGNNFTGTIPELFMGNRYLPRAIDFSENKFTGTIPDSVYKLWSLRFLLLAGNHLQGQISSQICQLKQINILDLSQNNFSGYIPACFSNMSFGNVTTPFYITNRLKPFSPGPIVAAIQLITKNLYLSYISDSSQLLSELDLSCNQLTGEIPPQIGDLHSLHSLNLSHNHLNGMIPENFQKLQNIESLDISYNNLSGQIPLQLQDLHFLAVFNVSYNNLSGRALEKGQFCTFDGSSYKGNPYLTWNTCKSDSLIPPLQPTLLPDVEEENSEIDFNVFYWSFATCYAMALVALVTLLWINPHWRRAWFYFVQECLHKCLRQFLHDLQF >Vigun10g121300.1.v1.2 pep primary_assembly:ASM411807v1:10:32834935:32838004:-1 gene:Vigun10g121300.v1.2 transcript:Vigun10g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFSSCVCDGDATPLRPRLGDLPESCVALMLMYLDPPDICKLARLNRAFRDASLADFIWESKLPLNYKFIVEKALKDACVEELGKRDIYARLCRPNLVDNGTKEIWLDKRTGGLCLAISSTALRITGIDDRRYWSRISTEESRFHTVAYLQQIWWLEVEGEVDFQFPPGTYSVFFRLQLGRSSKKLGRRVCKTDHIHGWDIKPVKFQLTTSDGQHAVSKTHLDNHGHWFLYHAGNFVSKNPNDLMKVKFSLAQIDCTHTKGGLCVDSVFICNSDVKPEV >Vigun09g233600.4.v1.2 pep primary_assembly:ASM411807v1:9:40460987:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCCLLSPFGFLAFSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKVRRLFII >Vigun09g233600.3.v1.2 pep primary_assembly:ASM411807v1:9:40459591:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKMLQYKLQTKPPKSKLYV >Vigun09g233600.5.v1.2 pep primary_assembly:ASM411807v1:9:40460923:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKVRRLFII >Vigun09g233600.2.v1.2 pep primary_assembly:ASM411807v1:9:40459591:40464219:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKMLQYKLQTKPPKSKLYV >Vigun09g233600.8.v1.2 pep primary_assembly:ASM411807v1:9:40459591:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKIF >Vigun09g233600.1.v1.2 pep primary_assembly:ASM411807v1:9:40459591:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKMLQYKLQTKPPKSKLYV >Vigun09g233600.7.v1.2 pep primary_assembly:ASM411807v1:9:40459591:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKIAGATMVHKPQLVL >Vigun09g233600.6.v1.2 pep primary_assembly:ASM411807v1:9:40460763:40464218:-1 gene:Vigun09g233600.v1.2 transcript:Vigun09g233600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPPTRTAPSQTHRWQHAWMLPPLAIWISVSATLRYGYYGDSLILLGPVSSRLITTSSVFVKQLQVSTKDKNQVFIHTFNKKPQLSSQTNWTASDFFLVEPYKNKVISLWLNQGSTIRIRWEEHTTTGLDKLHGMVVKGDMKFEQLQNSQPTFLNAISLRETVNGKEAEYLVEEDDRYHIGVLNMNAKNIFLTMEVNVSAKVYDTTKAKKMCSTENGPCRLSVFFPETHYIILTAADNGEGISYVEISLVARVFVYILLLGVIAIVVFLILKVLGVYDDAEQHSHVTIDVTYRTSNVVATHTETEPLMRVEENRMSYGTNAKDDEQNSGTYSSSSSEELYDEKLCCICYDEQRNSFFVPCGHCATCYDCAQRIVDGESKVCPICRRLIHKVRRLFII >Vigun03g257700.1.v1.2 pep primary_assembly:ASM411807v1:3:42379536:42381504:-1 gene:Vigun03g257700.v1.2 transcript:Vigun03g257700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFSHSSHQSIVFLLIHAFIGVAVSATTFTFVNKCDHTVWPGILGKPDLGTSGFELKRGSTRTFDAPPGWSGRFWGRTGCQFDDSGHGTCVTGDCGSGEVLCNGNGATPPATLAEFTLGSGSPDYYDVSLVDGYNLPMMVESSGGSGSCATTGCGADLNRRCPAELRVEGGDACQSACRAFGKPEFCCSGAFDSPAACAPSMYSEIFKNACPKSYSYAFDDATSTFTCTGADYTVTFCPSSSPSLKSLMESGPGSSVEQAAVATTSWIANLATGDSTITTPFSPSNSAFFLSVTFILSYLVS >Vigun10g066700.1.v1.2 pep primary_assembly:ASM411807v1:10:15432073:15438160:1 gene:Vigun10g066700.v1.2 transcript:Vigun10g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLKHFNLFHRDIWLHPRYVSSLALALHPPFSGNPNAALFSRNLDPPLSCSTPGTASELIQQANREQLAPFVYSVHNMLEVNAELKQLVKQGQLCKARNMFEKMDHRDEISWTTLIGGYVNASDSYEALFLFSNMWVQPELQIDQFMISVALKACALGVNICLGELLHGFSVKSGLITSVFVSSALIDMYMKVGKIEEGCRVFETMMAKNVVSWTAFIAGLVHAGYSVEGLLYFSEMWRSKVGYDSHTFSIALKASADLSFLHHGKAIHTQTIKQGFDESSFVINTLATMYNKCGKPDYVMRLFEKMRMPDVVSWTNLITTYVQMGEEEHAMEVFKRMRKSDVPPNKFTFAAIISACANLAIAKWGEQLHGHVFRLGFVDALSVANSIITLYSKCGLLTSASLVFRGITRKDIISWSTIIAVYSQGGYAKEAFDYLSWMRREGPKPNEFAISSILSVCASMALLEQGKQVHAHVLCIGIDHEAMVHSALISMYSKCGSVQDASKIFDSLKMNDIISWTAMINGYAEHGYSLEAINLFEKISIVGLKPDHVTFIGVLTACSHAGMVDLGLFYFLKMTNEYKISPSKEHYGCIIDLLCRAGQLSEAEHIIRTMPFHIDDVVWSTFLRACREHGDVDRGRWTAEQLLRLDPNSAGTHITLANIYAAKGRWKEVADIRKLMKSKGVIKERGWSWINVNDHLNAFVAGDQAHPQSEHISTILELLRANIGDAQLEIRSLHEDVEV >Vigun08g148600.2.v1.2 pep primary_assembly:ASM411807v1:8:32105722:32108934:1 gene:Vigun08g148600.v1.2 transcript:Vigun08g148600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSPAYYSPPRRGYGGRGGGSNRRGFGGGRRRDNNNGSLLVRNIPLDCRPEELRVPFERFGPVRDVYIPKDYYSGEPRGFAFVQFVDPYDASEAQYHMNRQIFAGREISVVVAEETRKRPEEMRHRTRTRGPAGYGGRRSSHYGRSRSRSVSRSRSPPYHGGSRSRYRSRSYSPAPRRQSDYSVSPRRQPEHPRSPRGPPRERDGDLKRRSYSPAYGNGIEQNQSNGYAEKSMYKSEADRGQWKSSRSPLGSRSRSADLSPRRGR >Vigun08g148600.1.v1.2 pep primary_assembly:ASM411807v1:8:32105529:32108934:1 gene:Vigun08g148600.v1.2 transcript:Vigun08g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSPAYYSPPRRGYGGRGGGSNRRGFGGGRRRDNNNGSLLVRNIPLDCRPEELRVPFERFGPVRDVYIPKDYYSGEPRGFAFVQFVDPYDASEAQYHMNRQIFAGREISVVVAEETRKRPEEMRHRTRTRGPAGYGGRRSSHYGRSRSRSVSRSRSPPYHGGSRSRYRSRSYSPAPRRQSDYSVSPRRQPEHPRSPRGPPRERDGDLKRRSYSPAYGNGIEQNQSNGYAEKSMYKSEADRGQWKSSRSPLGSRSRSADLSPRRGR >Vigun04g119525.2.v1.2 pep primary_assembly:ASM411807v1:4:30610233:30611656:1 gene:Vigun04g119525.v1.2 transcript:Vigun04g119525.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRWHSWLIEHVRSIETFATVVFDGSDETIGIISYIVLSRNLKTLLRNHRMYQALDLKEGEAEAIFEELISKESMDEHNSSLIQFHIKLLALILSDSEKESQSSLTKSETNSWLKHLEGLIMQNEKAKVSPCPMEQDDALIDIRTQVDEAHTDMLRLKSTNHGFLICFWIQGTLIIVIIVYTFFVFYFSGIQNEIIFFNLITIMVVFLIR >Vigun04g119525.1.v1.2 pep primary_assembly:ASM411807v1:4:30609926:30611656:1 gene:Vigun04g119525.v1.2 transcript:Vigun04g119525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRWHSWLIEHVRSIETFATVVFDGSDETIGIISYIVLSRNLKTLLRNHRMYQALDLKEGEAEAIFEELISKESMDEHNSSLIQFHIKLLALILSDSEKESQSSLTKSETNSWLKHLEGLIMQNEKAKVSPCPMEQDDALIDIRTQVDEAHTDMLRLKSTNHGFLICFWIQGTLIIVIIVYTFFVFYFSGIQNEIIFFNLITIMVVFLIR >Vigun05g162100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25673083:25673244:-1 gene:Vigun05g162100.v1.2 transcript:Vigun05g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun07g167500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28171396:28171968:1 gene:Vigun07g167500.v1.2 transcript:Vigun07g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGESSEAVMLKKAKEEKLRKGKMIVGDESKAEFEEGEKVKQYEEEKKVKLVTSDGVTMEVEISIVKEMETIQTFIGAIDTDNSFIFPISNVSSHILNQIIELVKGEYDEESAKKLSHDELKEMLVAANYLNMKTLFHFIATCIANIIQNKSVEFVRDFFGIINDFTEEEEAELRKTNEWAFQGVDED >Vigun06g215300.1.v1.2 pep primary_assembly:ASM411807v1:6:32637508:32646247:-1 gene:Vigun06g215300.v1.2 transcript:Vigun06g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVMLPAKDVDLSSVKYQREIVQAPHLTGFIFRLFVRILEAPLIGPIIIDVLKKQNKIEEILQHTVIPEEPMFKPEYPPQEAESGVVVLEEDGRPEDRVMSALQCLPHYDVAELWESSHASFRYWKIRDYAHAFRSRKVTPSMVAERIISIIEENGRSKPPIPLLISFVAAEIREQAAASTQRFEAGNPLSILDGIFMAIKDDIDCYPHPSKGASTWMHEVRTVKKDAVCVSKLRSCGVIFVGKANMHEFGMGTTGNNPNYGTARNPHAPDRYTGGSSSGPAAIVASGICSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTSMEGSLCDSGTVEIIGPIASSVEDVMLVYAAMLGASPANRISLRPSPPCLPTLSSNDYSNALGSLRIGKYTPWFNDVHSTEISDKCEDALNLLSKEHGCEMIEIVIPELLEMRTAHVVSIGSECLCSLNPDCADGKCAKLAYDTRTSLALFRSFTAADYVAAQCIRRRHMYYHMEIFKKVDVIVTPTTGMTAPIIPPSALKSGETDMQTTANLMQFVVPANLLGFPAISVPIGYDKEGLPIGLQIMGGPWAEATILRVASAVEKLCGESKKKPASYYDVLKAN >Vigun07g292400.1.v1.2 pep primary_assembly:ASM411807v1:7:40364130:40367571:-1 gene:Vigun07g292400.v1.2 transcript:Vigun07g292400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMMMNLNQMSQPQMMNQVQIIGQSQPQMLSHNQGMNQQAQPQMKTAQIMMNQSQPPMMNRGYKVWSQQPPLDPSMKFQNPMKQNYRSNWKGKKVTDKRKDPRRMEKPNPSGIATLSVPNTGVVGYQPPTLNELQSQNRLKARKFYPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEADVHDYEEEDDGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYGVDYNNVLENRVDDQDSHIAQLEEENLTLKERLFLMERELGDLRRRLMYLERQNQAVEDVNEEVVENGSENESEGGSDVPVMGIENNVVMVDSVMDSVRDRSIEANAKLDNDGVSEAEGVDNVFMEGSVPNEAIAGKDGIRGNELGNDCVFDDVREKDELQGEGVTQQCSADKIFAKENNVMDNKESGDFEMLDRNDESTSQGATDENKKDLVLNEKDESKSQVVGTSSETSRDDNLPVSYFTTNGVSQSGVRIATALDFPKELDG >Vigun10g124200.1.v1.2 pep primary_assembly:ASM411807v1:10:33236417:33244519:1 gene:Vigun10g124200.v1.2 transcript:Vigun10g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENEEIKTFKDLGLSESLVEACEKLGWKTPLKIQTEAIPLALEGKDVIGLAQTGSGKTGAFALPILHALLDAPRPKDFFACVLSPTRELAIQIAEQFEALGSEIGVKCAVLVGGIDMVQQSIKIAKQPHIIVGTPGRVLDHLKHTKGFSLSRLKYLVLDEADRLLNEDFEESLNEILQMIPRERRTFLFSATMTKKVQKLQRVCLRNPVKIEAASKYSTVDTLKQQYRFLPAKHKDCYLVYILTEMAGSTSMIFTRTCDATRLLALILRNLGLKAIPINGHMSQPKRLGALNKFKSGECNILLCTDVASRGLDIPTVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEFPAQEEEVLLLEERVSEAKRLAAAKMKEAGGNKKRRGGEDNDGEDIDKYLGLKDGKSSKKFRRR >Vigun10g124200.2.v1.2 pep primary_assembly:ASM411807v1:10:33236138:33244523:1 gene:Vigun10g124200.v1.2 transcript:Vigun10g124200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENEEIKTFKDLGLSESLVEACEKLGWKTPLKIQTEAIPLALEGKDVIGLAQTGSGKTGAFALPILHALLDAPRPKDFFACVLSPTRELAIQIAEQFEALGSEIGVKCAVLVGGIDMVQQSIKIAKQPHIIVGTPGRVLDHLKHTKGFSLSRLKYLVLDEADRLLNEDFEESLNEILQMIPRERRTFLFSATMTKKVQKLQRVCLRNPVKIEAASKYSTVDTLKQQYRFLPAKHKDCYLVYILTEMAGSTSMIFTRTCDATRLLALILRNLGLKAIPINGHMSQPKRLGALNKFKSGECNILLCTDVASRGLDIPTVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEFPAQEEEVLLLEERVSEAKRLAAAKMKEAGGNKKRRGGEDNDGEDIDKYLGLKDGKSSKKFRRR >Vigun01g250400.12.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.10.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLITCSYLSLQEFASLSYIIRITKVAGVMNEWLMLTVPSLVKTLLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.8.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCSDSRNVDVVDAEDEGFSVSCSQGHRSSLNLRTHDGASVCLVCFSNLLSNPLSPTVHVSYALSQLSRSLSLPHFLQPLLTFHPHFLLSPLVAALSSFHDEPIAAQLTHLILALSASADPSVSREFVSRVSDRITSGAFGWSSPQLHLLHCLGALLNCERGDDLHKHIKDIGNLISVLVTGLQLPSEEIRGEVLFVLYKLSVLESTSAEGDGSDMLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.6.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKSLHAILPGFVDWQSFLVSMKPSEPLAFIGIRCHDLCRLLHFGSPAIKIAASYTLLELFNRISDQINNNHEELRCNVGYLMSIRSILEGLVFYNDLRVATNCCLCLSMILGWENLTNKTKLLEGSSWCRLIIEEMTVSFAAPALASQSFMNTQSPQVFIAIAVLKLCKIPQWMRSVFDSSSISGILDNLTASNLSSEILVLFRELLKSNFLSTEQIATINQMLQECRKRIYTNNAQEDLPNEAIKKDLTASYDTGDICEFLIDLMTSEAYIDTGSRKLLEEIEMFYSSLSVDDGDCR >Vigun01g250400.7.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCSDSRNVDVVDAEDEGFSVSCSQGHRSSLNLRTHDGASVCLVCFSNLLSNPLSPTVHVSYALSQLSRSLSLPHFLQPLLTFHPHFLLSPLVAALSSFHDEPIAAQLTHLILALSASADPSVSREFVSRVSDRITSGAFGWSSPQLHLLHCLGALLNCERGDDLHKHIKDIGNLISVLVTGLQLPSEEIRGEVLFVLYKLSVLESTSAEGDGSDMLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.4.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLITCSYLSLQEFASLSYIIRITKVAGVMNEWLMLTVPSLVKTLLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKSLHAILPGFVDWQSFLVSMKPSEPLAFIGIRCHDLCRLLHFGSPAIKIAASYTLLELFNRISDQINNNHEELRCNVGYLMSIRSILEGLVFYNDLRVATNCCLCLSMILGWENLTNKTKLLEGSSWCRLIIEEMTVSFAAPALASQSFMNTQSPQVFIAIAVLKLCKIPQWMRSVFDSSSISGILDNLTASNLSSEILVLFRELLKSNFLSTEQIATINQMLQECRKRIYTNNAQEDLPNEAIKKDLTASYDTGDICEFLIDLMTSEAYIDTGSRKLLEEIEMFYSSLSVDDGDCR >Vigun01g250400.11.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLITCSYLSLQEFASLSYIIRITKVAGVMNEWLMLTVPSLVKTLLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.3.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCSDSRNVDVVDAEDEGFSVSCSQGHRSSLNLRTHDGASVCLVCFSNLLSNPLSPTVHVSYALSQLSRSLSLPHFLQPLLTFHPHFLLSPLVAALSSFHDEPIAAQLTHLILALSASADPSVSREFVSRVSDRITSGAFGWSSPQLHLLHCLGALLNCERGDDLHKHIKDIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKSLHAILPGFVDWQSFLVSMKPSEPLAFIGIRCHDLCRLLHFGSPAIKIAASYTLLELFNRISDQINNNHEELRCNVGYLMSIRSILEGLVFYNDLRVATNCCLCLSMILGWENLTNKTKLLEGSSWCRLIIEEMTVSFAAPALASQSFMNTQSPQVFIAIAVLKLCKIPQWMRSVFDSSSISGILDNLTASNLSSEILVLFRELLKSNFLSTEQIATINQMLQECRKRIYTNNAQEDLPNEAIKKDLTASYDTGDICEFLIDLMTSEAYIDTGSRKLLEEIEMFYSSLSVDDGDCR >Vigun01g250400.13.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKV >Vigun01g250400.5.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLITCSYLSLQEFASLSYIIRITKVAGVMNEWLMLTVPSLVKTLLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKSLHAILPGFVDWQSFLVSMKPSEPLAFIGIRCHDLCRLLHFGSPAIKIAASYTLLELFNRISDQINNNHEELRCNVGYLMSIRSILEGLVFYNDLRVATNCCLCLSMILGWENLTNKTKLLEGSSWCRLIIEEMTVSFAAPALASQSFMNTQSPQVFIAIAVLKLCKIPQWMRSVFDSSSISGILDNLTASNLSSEILVLFRELLKSNFLSTEQIATINQMLQECRKRIYTNNAQEDLPNEAIKKDLTASYDTGDICEFLIDLMTSEAYIDTGSRKLLEEIEMFYSSLSVDDGDCR >Vigun01g250400.9.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLITCSYLSLQEFASLSYIIRITKVAGVMNEWLMLTVPSLVKTLLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKR >Vigun01g250400.1.v1.2 pep primary_assembly:ASM411807v1:1:41698901:41706164:1 gene:Vigun01g250400.v1.2 transcript:Vigun01g250400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCSDSRNVDVVDAEDEGFSVSCSQGHRSSLNLRTHDGASVCLVCFSNLLSNPLSPTVHVSYALSQLSRSLSLPHFLQPLLTFHPHFLLSPLVAALSSFHDEPIAAQLTHLILALSASADPSVSREFVSRVSDRITSGAFGWSSPQLHLLHCLGALLNCERGDDLHKHIKDIGNLISVLVTGLQLPSEEIRGEVLFVLYKLSVLESTSAEGDGSDMLIPFCPQMLYLLVDVLMKTQNDDVRLNCIALLTMLARRNLLREECAYDTYNISSNERVDAKETEDGTKGTTLVNLFAEAIKGPLLSSDSQVQIGTLDLLFHYLSSVKTSDYQIRVLVEENIADYLFEILRLSEYKDPAVKMCLQVLDLLSTAEETFKLRLVVGISTLIPALHYVADIPFHPVQCATLKLIYECISECPGSVSISQIQELILVLIRMLGKHSDGEMGMIPETFIMVCSVFVALIRYPSCTGALDLSKSIGEATRHAILACLSVSERNANQILQCLYLLKEAYAYSHDGNSSNSSKLELQSSILDTCSAYLLPWLVMGINEMEEDIALGLLETFHSILLLQSSINATEFAETLISVGWFSFSYECLGLFTGDRMKNRIYLLLSSLMDSLLGNDSGQPIREAILHLSHDPIDLLFLLGQRSTNSLDLPSCQSAIFLILYTSSLYDERLADEKLILASLEQYILLNRSDFHHRTTDNMTVTRLVNLYSLLRGLDNTNYPIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQDNIINSLSHQILKFCRSYNIEETDIIIGNNNQNVNVQTLAELVSTEDNYGARIFVCLLAQLLEEESHECDVVCVLNVVATMVHAYPTACEQLSLHGIATTIRSWFYLNNSLPTTTYMSILILVFNTLSSVHPQTLSADQSWVAVTMKMMEYSIPSEKVDILNDESLFVIGILSFIMHLSTKKTLEETSKAILFNTSIISLVNTVICAASSKGHALVDHDEGTRTGETLIFLLLLHYFAVKSLHAILPGFVDWQSFLVSMKPSEPLAFIGIRCHDLCRLLHFGSPAIKIAASYTLLELFNRISDQINNNHEELRCNVGYLMSIRSILEGLVFYNDLRVATNCCLCLSMILGWENLTNKTKLLEGSSWCRLIIEEMTVSFAAPALASQSFMNTQSPQVFIAIAVLKLCKIPQWMRSVFDSSSISGILDNLTASNLSSEILVLFRELLKSNFLSTEQIATINQMLQECRKRIYTNNAQEDLPNEAIKKDLTASYDTGDICEFLIDLMTSEAYIDTGSRKLLEEIEMFYSSLSVDDGDCR >VigunL039400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:352303:354552:-1 gene:VigunL039400.v1.2 transcript:VigunL039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRLMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGDNYMSHDQGYLRFFAYLTLFNISMLGLVTSFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLFPLFIVLPKIMNAIAFIGIITVILGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRGALFHLITHAYSKALLFLGSGSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPITKTFFLVGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSAAGLTAFYMFRIYLLVFEGYLNVHFLNFNGKKNSSFYSISLWGKKQRYLHRVNQNIKNIKHLVFGIMHFGTKKTASLFTLFVGAVGISFSQDGIHLDILSKLLIPFLKKNVADHFQNIIYNWSYNHGITSFFLGEAIKYVGGGRIASYILLYILDILIFLVILLLHRNK >VigunL059062.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:16030:16338:1 gene:VigunL059062.v1.2 transcript:VigunL059062.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun11g093000.1.v1.2 pep primary_assembly:ASM411807v1:11:27516998:27518169:1 gene:Vigun11g093000.v1.2 transcript:Vigun11g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQMHRKDPSKKVKEREDDVEADGKESFGEKERELVSDRSSSGNKEVERESGGGKFERKGEENKGLGEKDKLEGRTKVVEGKVWNEEDKRRNNPSREKVEAEKARPREEAQREKDETEEGGGGREENEELSLEDISKYRAEAQQKSMNAVEAAKKNYERKREIEGSKERNKQANEEEKDLTKVKGEEGNVEGDKGRGVLNAIGETIAEIAETTRIMVSGEGQKEKPKSPAYEHADMKLD >Vigun01g059300.1.v1.2 pep primary_assembly:ASM411807v1:1:12392713:12400670:1 gene:Vigun01g059300.v1.2 transcript:Vigun01g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGTGGDVGGVPNTEDLRQLGNVSTEGAVFDASQYAFFGSDSAVQEVELGGLEDDDDLLESNGEFIVNREEAEDLKSLSDIDDLSTTFWKLNKVVSGPKSTEYIGEQGSRQNSAAEWAQKDDVLNWYEQNAYDNEGSLDGRRMSSQPHSSLSQLHEPKALYRTSSYPEQPRQQQHHQLQPGETAPNWFDQHMYGSETTHDGKRWSSQPHSTIAHLQETRPLHRTPLYPDKQQEFPHFSSEPILVPNSSFTSYPPPSGRSQQASPSHNTGHLNIPYHAMGAQMALSPQNRTHFSNPALQMSGTNHGPPFGGNMRQFPSGSPLNQIMQNQLVSQAGLYPGDHPNISSGLPMINKYDQMLGLMELRDQMPKSAQIGRPNLRFTPQGFDTSGHRSNSGWPRFRSKYMTTDEIENILRMQLAATHSNDPYVDDYYHQGCLAKKSSGAKLRHHFSPAQIRELPLRPSSNTEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSNVGSPEQSISEKPLEQEPMLAARVTIEDGIYLLLDVDDIDRFLQFNQLQDGGLQLKRKRQGLLEGLATSLQLVDPLGKNGRTVTLAAKDDFVFLRIVSLPKGRKLLARYLQLLFPGGDLMRVACMAIFRHLRFLFGNLPSDPASADTINNLAKVVSRCIREMDLSAISACLAAAVCTSDPPPLRPLGSSAGDGASLILVSVLERATELLTDPHAASNYNIANRSLWQATFDEFFVLLTKYCVSKYDGVMQSFLIQGTPNMAAIGADAAKAISKEMPVELLRASLPHTDDHQKKLLLDFAQRSIPVVGFNGNSGGHGHHVNSESVLS >Vigun01g059300.2.v1.2 pep primary_assembly:ASM411807v1:1:12392713:12400670:1 gene:Vigun01g059300.v1.2 transcript:Vigun01g059300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGTGGDVGGVPNTEDLRQLGNVSTEGAVFDASQYAFFGSDSAVQEVELGGLEDDDDLLESNGEFIVNREEAEDLKSLSDIDDLSTTFWKLNKVVSGPKSTEYIGEQGSRQNSAAEWAQKDDVLNWYEQNAYDNEGSLDGRRMSSQPHSSLSQLHEPKALYRTSSYPEQPRQQQHHQLQPGETAPNWFDQHMYGSETTHDGKRWSSQPHSTIAHLQETRPLHRTPLYPDKQQEFPHFSSEPILVPNSSFTSYPPPSGRSQQASPSHNTGHLNIPYHAMGAQMALSPQNRTHFSNPALQMSGTNHGPPFGGNMRQFPSGSPLNQIMQNQLVSQAGLYPGDHPNISSGLPMINKYDQMLGLMELRDQMPKSAQIGRPNLRFTPQGFDTSGHRSNSGWPRFRSKYMTTDEIENILRMQLAATHSNDPYVDDYYHQGCLAKKSSGAKLRHHFSPAQIRELPLRPSSNTEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSNVGSPEQSISEKPLEQEPMLAARVTIEDGIYLLLDVDDIDRFLQFNQLQDGGLQLKRKRQGLLEGLATSLQLVDPLGKNGRTVTLAAKDDFVFLRIVSLPKGRKLLARYLQLLFPGGDLMRVACMAIFRHLRFLFGNLPSDPASADTINNLAKVVSRCIREMDLSAISACLAAAVCTSDPPPLRPLGSSAGDGASLILVSVLERATELLTDPHAASNYNIANRSLWQATFDEFFVLLTKYCVSKYDGVMQSFLIQGTPNMAAIGADAAKAISKEMPVELLRASLPHTDDHQKKLLLDFAQRSIPVVGFNGNSGGHGHHVNSESVLS >Vigun09g242900.2.v1.2 pep primary_assembly:ASM411807v1:9:41196055:41202441:1 gene:Vigun09g242900.v1.2 transcript:Vigun09g242900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQKYPLFLFTLVVGELISFIPLTSAQLQHHECRQTCGSSDPFPYPFGFSSGCLIRLNCTAGRASVGEFPIQKVTIYSIIVTIEAQCSRPFDTFRQLFSHKYAPTSRNVILLDNCTGTPLPCSIPGNLIRTHFESVGCSDPAADNLTCYFENKTSGFLNRRELDPTGCKYFTSSLSFPDVTSVSGEPLSLEVNTIELGWWVQGDRCPCSDHANCTTLQSPVDGKQGFRCACRDGFVGDGFLAGTGCRKASSCNPAKYLSGRCGGTTRFIVLIGGFVVGVSLIITACSLCCFFRRRTKLRVTKSTKRRLTEATGNNGVPIYPYKDIERATNSFSEKQRLGTGAYGTVYAGKLYSDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVYEFMPNGTLSQHLQKERGQGLPWSVRLTIATETAQAIAHLHSAINPPIYHRDIKSSNILLDHNFRSKVADFGLSRLGMTEISHISTAPQGTPG >Vigun09g242900.1.v1.2 pep primary_assembly:ASM411807v1:9:41196055:41202441:1 gene:Vigun09g242900.v1.2 transcript:Vigun09g242900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQKYPLFLFTLVVGELISFIPLTSAQLQHHECRQTCGSSDPFPYPFGFSSGCLIRLNCTAGRASVGEFPIQKVTIYSIIVTIEAQCSRPFDTFRQLFSHKYAPTSRNVILLDNCTGTPLPCSIPGNLIRTHFESVGCSDPAADNLTCYFENKTSGFLNRRELDPTGCKYFTSSLSFPDVTSVSGEPLSLEVNTIELGWWVQGDRCPCSDHANCTTLQSPVDGKQGFRCACRDGFVGDGFLAGTGCRKASSCNPAKYLSGRCGGTTRFIVLIGGFVVGVSLIITACSLCCFFRRRTKLRVTKSTKRRLTEATGNNGVPIYPYKDIERATNSFSEKQRLGTGAYGTVYAGKLYSDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVYEFMPNGTLSQHLQKERGQGLPWSVRLTIATETAQAIAHLHSAINPPIYHRDIKSSNILLDHNFRSKVADFGLSRLGMTEISHISTAPQGTPGYVDPQYHQDFHLSDKSDVYSFGVVLVEIISGLKVVDFSRPPNEVNLASLAADRIGKGLLDEIIDPFLEPEARSDARTLSAIHKVAELAFRCLAFHRDMRPSMTEVACELEQLRLSQWTTLGDNNGATSTELSSCSSSSNESEKPLSTTAKNVGEKGKGYLKIQTRPITLKSLQRPNSNSPVSVQDQELWLSEQSSPSSNSFPSKSFD >Vigun09g242900.4.v1.2 pep primary_assembly:ASM411807v1:9:41196055:41202441:1 gene:Vigun09g242900.v1.2 transcript:Vigun09g242900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQKYPLFLFTLVVGELISFIPLTSAQLQHHECRQTCGSSDPFPYPFGFSSGCLIRLNCTAGRASVGEFPIQKVTIYSIIVTIEAQCSRPFDTFRQLFSHKYAPTSRNVILLDNCTGTPLPCSIPGNLIRTHFESVGCSDPAADNLTCYFENKTSGFLNRRELDPTGCKYFTSSLSFPDVTSVSGEPLSLEVNTIELGWWVQGDRCPCSDHANCTTLQSPVDGKQGFRCACRDGFVGDGFLAGTGCRKGFVVGVSLIITACSLCCFFRRRTKLRVTKSTKRRLTEATGNNGVPIYPYKDIERATNSFSEKQRLGTGAYGTVYAGKLYSDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVYEFMPNGTLSQHLQKERGQGLPWSVRLTIATETAQAIAHLHSAINPPIYHRDIKSSNILLDHNFRSKVADFGLSRLGMTEISHISTAPQGTPGYVDPQYHQDFHLSDKSDVYSFGVVLVEIISGLKVVDFSRPPNEVNLASLAADRIGKGLLDEIIDPFLEPEARSDARTLSAIHKVAELAFRCLAFHRDMRPSMTEVACELEQLRLSQWTTLGDNNGATSTELSSCSSSSNESEKPLSTTAKNVGEKGKGYLKIQTRPITLKSLQRPNSNSPVSVQDQELWLSEQSSPSSNSFPSKSFD >Vigun09g242900.5.v1.2 pep primary_assembly:ASM411807v1:9:41196055:41202441:1 gene:Vigun09g242900.v1.2 transcript:Vigun09g242900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQKYPLFLFTLVVGELISFIPLTSAQLQHHECRQTCGSSDPFPYPFGFSSGCLIRLNCTAGRASVGEFPIQKVTIYSIIVTIEAQCSRPFDTFRQLFSHKYAPTSRNVILLDNCTGTPLPCSIPGNLIRTHFESVGCSDPAADNLTCYFENKTSGFLNRRELDPTGCKYFTSSLSFPDVTSVSGEPLSLEVNTIELGWWVQGDRCPCSDHANCTTLQSPVDGKQGFRCACRDGFVGDGFLAGTGCRKGFVVGVSLIITACSLCCFFRRRTKLRVTKSTKRRLTEATGNNGVPIYPYKDIERATNSFSEKQRLGTGAYGTVYAGKLYSDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVYEFMPNGTLSQHLQKERGQGLPWSVRLTIATETAQAIAHLHSAINPPIYHRDIKSSNILLDHNFRSKVADFGLSRLGMTEISHISTAPQGTPGR >Vigun09g242900.3.v1.2 pep primary_assembly:ASM411807v1:9:41196055:41202441:1 gene:Vigun09g242900.v1.2 transcript:Vigun09g242900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTQKYPLFLFTLVVGELISFIPLTSAQLQHHECRQTCGSSDPFPYPFGFSSGCLIRLNCTAGRASVGEFPIQKVTIYSIIVTIEAQCSRPFDTFRQLFSHKYAPTSRNVILLDNCTGTPLPCSIPGNLIRTHFESVGCSDPAADNLTCYFENKTSGFLNRRELDPTGCKYFTSSLSFPDVTSVSGEPLSLEVNTIELGWWVQGDRCPCSDHANCTTLQSPVDGKQGFRCACRDGFVGDGFLAGTGCRKASSCNPAKYLSGRCGGTTRFIVLIGGFVVGVSLIITACSLCCFFRRRTKLRVTKSTKRRLTEATGNNGVPIYPYKDIERATNSFSEKQRLGTGAYGTVYAGKLYSDEWVAIKRIKHRDTDSIEQVMNEIKLLSSVSHTNLVRLLGCSIEYGEQILVYEFMPNGTLSQHLQKERGQGLPWSVRLTIATETAQAIAHLHSAINPPIYHRDIKSSNILLDHNFRSKVADFGLSRLGMTEISHISTAPQGTPGR >Vigun02g190500.1.v1.2 pep primary_assembly:ASM411807v1:2:32814480:32819060:1 gene:Vigun02g190500.v1.2 transcript:Vigun02g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNVSSQPKICYRPIRPSDLDILEHIHGRLFPIRYESTFFHDVVNGRDIVSWGAVDSNRSDGRSDELIGFVTARIVLAKESEIVDMLGYDSARSDQTLVYVLTLGVVEAYRSFGIASSLIREVIKYASSIPTCRAVYLHVISYNNPAINLYKKMSFKCVRRLQGFYLINGQHYDSFLFLYYVNGGRSPCSPLEILTAIVSFMRSGFKSVAARLCRSGSRKISRRAKCKESHSLVSATPNKRNVAVECSGYECV >Vigun09g139800.2.v1.2 pep primary_assembly:ASM411807v1:9:30157966:30162774:-1 gene:Vigun09g139800.v1.2 transcript:Vigun09g139800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVISSGVQYSNLPESYIRPESERPRLGEVSEFEDVPIVDLGCENRAQIVREIGEACRSFGFFQVMNHGVGVEAVREMTEVAHGFFKLPVEEKLKLYSEDPSKTTRLSTSFNVKKETVHNWRDYLRLHCYPLDKYVPEWPSSPPSFKESVKKYCEEVRELGLRIEEYIGESLGLEKDYIKNVLGEQGQHMAVNYYPPCPQPELTYGLPGHTDPNALTILLQDLHVAGLQVLKDGKWLAVKPHPNAFVINIGDQLQALSNGLYKSVWHRAVVNVDKPRLSVASFLCPNDEALISPAKPLTEDGSEAVYRGYTYAEYYKKFWSRNLDQEHCLELFKNK >Vigun06g183000.2.v1.2 pep primary_assembly:ASM411807v1:6:30264475:30268407:1 gene:Vigun06g183000.v1.2 transcript:Vigun06g183000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTCLSSIISPLPLSQICQAKMDKTRALLWGNKLSTIPITVSLKRSCIHCVIKRSKVSPEGGGPMEKKKGKKTTGKKEHHLWKSRDSAQSGQKALTLVRIVSKLPNEKEAVYGALDKWTAWETEFPVIAAAKALKILRKRGQWVRVIQVAKWMLSKGQGTTMGTFDTLLLAFDMDQRVDEAESLWNMIIHTHLRSVSKRLFSRMISIYDHHDMPDKIIEVFADMEELRVKPDEDTVRRVARAFRELGEEEKQKLVIKRYGIKWKYLHFNRERVRVRTEAYDDNESTN >Vigun06g183000.1.v1.2 pep primary_assembly:ASM411807v1:6:30264319:30268405:1 gene:Vigun06g183000.v1.2 transcript:Vigun06g183000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTCLSSIISPLPLSQICQAKMDKTRALLWGNKLSTIPITVSLKRSCIHCVIKRSKVSPEGGGPMEKKKGKKTTGKKEHHLWKSRDSAQSGQKALTLVRIVSKLPNEKEAVYGALDKWTAWETEFPVIAAAKALKILRKRGQWVRVIQVAKWMLSKGQGTTMGTFDTLLLAFDMDQRVDEAESLWNMIIHTHLRSVSKRLFSRMISIYDHHDMPDKIIEVFADMEELRVKPDEDTVRRVARAFRELGEEEKQKLVIKRYGIKWKYLHFNRERVRVRTEAYDDNESTN >Vigun06g183000.3.v1.2 pep primary_assembly:ASM411807v1:6:30264615:30268405:1 gene:Vigun06g183000.v1.2 transcript:Vigun06g183000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSEEVLSLNSLVVRMQTRKCSFDNSRVYLSLRLCDNTSPNLKSTMPMTCLSSIISPLPLSQICQAKMDKTRALLWGNKLSTIPITVSLKRSCIHCVIKRSKVSPEGGGPMEKKKGKKTTGKKEHHLWKSRDSAQSGQKALTLVRIVSKLPNEKEAVYGALDKWTAWETEFPVIAAAKALKILRKRGQWVRVIQVAKWMLSKGQGTTMGTFDTLLLAFDMDQRVDEAESLWNMIIHTHLRSVSKRLFSRMISIYDHHDMPDKIIEVFADMEELRVKPDEDTVRRVARAFRELGEEEKQKLVIKRYGIKWKYLHFNRERVRVRTEAYDDNESTN >Vigun06g183000.4.v1.2 pep primary_assembly:ASM411807v1:6:30264612:30268405:1 gene:Vigun06g183000.v1.2 transcript:Vigun06g183000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTCLSSIISPLPLSQICQAKMDKTRALLWGNKLSTIPITVSLKRSCIHCVIKRSKVSPEGGGPMEKKKGKKTTGKKEHHLWKSRDSAQSGQKALTLVRIVSKLPNEKEAVYGALDKWTAWETEFPVIAAAKALKILRKRGQWVRVIQVAKWMLSKGQGTTMGTFDTLLLAFDMDQRVDEAESLWNMIIHTHLRSVSKRLFSRMISIYDHHDMPDKIIEVFADMEELRVKPDEDTVRRVARAFRELGEEEKQKLVIKRYGIKWKYLHFNRERVRVRTEAYDDNESTN >Vigun09g173200.1.v1.2 pep primary_assembly:ASM411807v1:9:34339250:34340327:1 gene:Vigun09g173200.v1.2 transcript:Vigun09g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGTLEYFSDLLSSTKKKKKKKQMQTVALKIRMDCEGCARKVKHVLSGVKGAKSVEVDLKQQKATVTGYVEAKKVLKAAQSTKKKVELWPYVPYTMVANPYISQAYDKKAPPNMVRKVADTANVSETTVDDRYVQIFSDENPNACSIM >Vigun02g118400.1.v1.2 pep primary_assembly:ASM411807v1:2:27113553:27122065:-1 gene:Vigun02g118400.v1.2 transcript:Vigun02g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVGGLSDPRLGTIDRKLKCDTCTASMAECPGHFGHLELAKPMFHIGFLKTVLTIMRCVCFNCSKILADENDHKFKLALRIRNPKNRLKKILDACKNKGKCEGGDEIDIPGQDTDEPVKKSRGGCGAQQPKITIEGMKMIAEYKAQRKKSDDQEQLPEPVERKQTLSAERVLGVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLKRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDSLLGCRKITKRDTFIPKDVFMNILMWWEDFDGKVPTPAILKPEPLWTGKQVFNLIIPKPINLIRYSSWHSENERGSITPGDTMVRIEKGELLTGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQHAFSIGIGDTIADASTMETINQTISAAKEKVKQLIRDAQEKKLEAEPGRTMMDSFENRVNQTLNRARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKTEFDRVFRYEFDDENWKPTYMLEEPVEDLKTIREFRNVFEAEVQKLEADRYQLATEIAPSGDSSLPLPVNLKRLIWNAQKTFKVDFRRPSDMHPMEIVEAIDKLQERLKVVPGEDLLSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFEWVVGEIESRFLQSLVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLNPEVGKTKERAKSVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVKSYYEMPDEEVALEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGEIQDESAEDDVFLKKIESNMLTEMTLRGIADINKVFIKNTKTQKFDESEGFKPNEEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMDGLDFGMTPARSPISGTPYHDTVMSPSYLLSPNLRLSPTSDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPASPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPFNSGVSPDYSPSSPQYSPSTGYSPSQPGYSPSSTSQYTPQTSDKDDRGTR >Vigun05g127400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14445529:14447191:1 gene:Vigun05g127400.v1.2 transcript:Vigun05g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPLWAIGGWFSLSNCMAEPKSSSPISEPCSKSPSFLHFLTLFFILLLLINLSHQPHPSPMASSESTKASPESTMSTTAMMHHPHNTHKSRSPPSKGTSARREFGAEAHEVPSGPNPISN >Vigun05g047500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3970475:3971044:-1 gene:Vigun05g047500.v1.2 transcript:Vigun05g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHESIYINKNNNKPISKPKMDSYNNSITTNGSSGFDETLFSDTIEHYMVHDDGLNNNNVFVSNEEWFSGEGDKAEELVGRGTRYKGVRRRAHGKFAAEIKDPNRSNRVWLGTYDTEEEAALAYDNAAFKIRGSKAKLNFPHLIPPLDAPIDHPPPSSSSSSSSSSQDPSKKRKGLAGLLNKLAHEKNRI >Vigun04g137800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34357933:34362212:1 gene:Vigun04g137800.v1.2 transcript:Vigun04g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFPRLCCALLLLLLHAAESILGLNNSTEIKCIERERQALLHFKHGLIDGYGMLTTWRDDEKSRDCCKWKGIQCDHQTAHVSFLRLRGSDTQFLRGALNISSLFPLQNIQHLDLSNNGFVRSHIPQLIASLTNLRYLNLSYSHFGGSIPTQLGSLTHLLSLDLSHNYLLRGDIPYQLGSLSNLTSLDLSYSNLDGKLPCQFANLSQLRYLDLSGNSFSGALPFQVGNLPFLHTLRLGGYFDVKPKDAKWLSNLSSLTHLALYYLQNLQWLQTITFPNLKELRLVDCSLSDTHIHSLFYSPSNFSNSLMILDLSDNMLTSSTFQLLSNFSLNLQELYLSQNNIVFSSPVFSTFPSLVTLDLSYNNMTSSVFQGSFNFSSKLQNLYLSSCGLSNDNFLISAISITNSSSSLTSLDLSSNLLKSSSIFYWLFNSTTNLRTLQLYNNMLEGPIPDGFGKVMNSLEVLDLHGNKLQGEIPSFFGNICTLESLILFKNKLSGKFSSFFQNSSWCNKYVFQILDLSSNNITGTLPKSIGLLSELEYLLLDGNCLEGDVTESHLSNFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLDPSFPSWLHTQSSLSDLDISDNGLNYVPDWVWDNLQNMRTLNMSHNNLSGPIPNISLKLHYAPSVILNSNQFEGKIPSFLLQAFDLRLSNNKFSDLFSFICNQGNSEMWTLDLSNNQLKGQLPDCWTSVDGLWYLDLSNNKLSGRIPLSMGSLVELKVLVLRNNNLTGELASTLKNCSNLIMLDVADNMLSGPIPSWIGESMQQLIILNMRENHFSGNLPIQLCYLKYIQLLDLSKNMLSKRIPSCLKELTAMSKKGIDTRGTLNGMYFTYMPYIKIYDYFVEEEYSFNISLIWKGVEQRFKNAELIKGIDLSSNKLTGEIPKEIGYLAGLVSLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDNLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDDEDSIFYEALYMSMGIGYFTGFWGLLGPILLWSSWKNAYLDFLNRLTIGMYEQCGKCR >Vigun03g093300.1.v1.2 pep primary_assembly:ASM411807v1:3:7884679:7887377:1 gene:Vigun03g093300.v1.2 transcript:Vigun03g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDLTTTTMLLSLVVVLLGLWSEVHAAPQVPCYFIFGDSLVDNGNNNALQSLARADYLPYGIDFPGGPSGRFSNGKTTVDGIAELLGFDDLIPSYAEVSGDDILKGVNYASAAAGIREETGQQLGARISFSGQVQNYQSTVSQVVNILGNEDSAANYLSKCIYSIGLGSNDYLNNYFMPQFYSSSREYTPDQFADTLIDAYNDQLKTLYNYGARKMVLFGIGQIGCSPNELARNSPDGKTCVDRINVANQMFNNRLKGLTDQFNSQMPDARVIYINSYGIFQDIISNPGAFGFSVINAGCCGVGRNNGQITCLPMQTPCPNRREYLFWDAFHPTEAGNLIVAQRAYSAQSASDAYPMDIQHLAQI >Vigun01g072500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:20086308:20090031:1 gene:Vigun01g072500.v1.2 transcript:Vigun01g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSSKQPVAQEVVPNFLSLPQQQSPPSQQQQQEQGSNNNNMGENKGGEMKDFQIVVGEKDESKKQLGPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGNSVSAQGASLSSGLHQKIDELGGANMGSGSSRTSWQMVGGNLGRPHVATGLWPPHVSGFGFQTSSAPSNAALGTESSNYLQKIAFPGFDLPAAPTNMGHMSFTSILGAAGAHQMPGLELGLSQDGHIGVLNPQALSQIYQQMGQARVQQQQHQQTPAKDDSQGSGQ >Vigun07g075600.1.v1.2 pep primary_assembly:ASM411807v1:7:9873806:9878438:-1 gene:Vigun07g075600.v1.2 transcript:Vigun07g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGVLLGMCNPLLDISAVVDDDFLQRYGIKLNDAILAEDKHKPMYEELSSKSNVEYIAGGATQNSIRVAQWMLQAPGATGYIGCIGKDKFGEEMKKRCSLDGVNVHYYEIDTAPTGTCAVCVVGGERSLVANLSAANCYKSEHLVKPENWALVEKAKYYYISGFFLTVSPDSIQLVAEHAAANGKTFMMNLSAPFICEFFKDALLKVLPYMDYVFGNETEARTFSKVQGWETDNVEEIALKISKLPKASGTHKRITVITQGADPVCVAEDGKVKLYPVILLPKEKLVDTNGAGDAFVGGFLSQLVKGKPIEECVRAGCYAANVIIQRPGCTYPAKPDFH >Vigun03g076900.1.v1.2 pep primary_assembly:ASM411807v1:3:6375029:6377720:1 gene:Vigun03g076900.v1.2 transcript:Vigun03g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSVASPSSSTISFLPKPLPFKSSFTGIQLRRTATCSVPLAKRAASVPVVMMTKRAEELKEIRQLSTEQINEEVIDLKGELVMLRLQKSARNEFKSSEFGRMRKRIARMLTVKREREIEEGIGKRLSRKLDKKWKKSIVVRPPPSLKKLREEEAAAEAAEAEKAA >Vigun02g158800.1.v1.2 pep primary_assembly:ASM411807v1:2:30424112:30428177:1 gene:Vigun02g158800.v1.2 transcript:Vigun02g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEQQSLLLNSSSRFSPPQGVKLSYGTAGFRADASLLPSTVYRVGILAALRSLKTGSIIGLMITASHNKVSDNGVKIADPNGGMLSQHWEPFADALANAPSPQHLLVLINEFVAKEGILMDGVSHAEVLLGRDTRPSGDALLEAARQGVTSIVGAVATDMGVLTTPQLHWMVRARNKGVQASEQDYFEQLSSSFRCLEDLIPAEKSKLDGVNNKVVVDGSNGVGGVKLKVLRSLLNALVVEVRNSSEDGGVLNDGVGADYVQKEKVVPHGFDSKDAGIRCASLDGDADRLVYFIVPPESSGRIDLVDGDKILSLFAIFIREQLSFLNENEGIKNSHQARLGVVQTAYANGASTNYLKQLGLEVNFTPTGVKYLHEKAAEFDIGIYFEANGHGTVLFSESFVGWLEARTKEISSGSKGSEGEKAALRLLAVSKLINQAVGDALSGLLLVEVILQHMGWSIHRWNELYHDLPSRQLKVKVADRNAVITANAETVVVSPPGLQEAINAETGKYHQGRCFVRPSGTEDVVRVYAEASTQEAADTLSNSVAKLVDQFLGLGSS >Vigun02g158800.2.v1.2 pep primary_assembly:ASM411807v1:2:30424112:30428177:1 gene:Vigun02g158800.v1.2 transcript:Vigun02g158800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEQQSLLLNSSSRFSPPQGVKLSYGTAGFRADASLLPSTVYRVGILAALRSLKTGSIIGLMITASHNKVSDNGVKIADPNGGMLSQHWEPFADALANAPSPQHLLVLINEFVAKEGILMDGVSHAEVLLGRDTRPSGDALLEAARQGVTSIVGAVATDMGVLTTPQLHWMVRARNKGVQASEQDYFEQLSSSFRCLEDLIPAEKSKLDGVNNKVVVDGSNGVGGVKLKVLRSLLNALVVEVRNSSEDGGVLNDGVGADYVQKEKVVPHGFDSKDAGIRCASLDGDADRLVYFIVPPESSGRIDLVDGDKILSLFAIFIREQLSFLNENEGIKNSHQARLGVVQTAYANGASTNYLKQLGLEVNFTPTGVKYLHEKAAEFDIGIYFEANGHGTVLFSESFVGWLEARTKEISSGSKEGEKAALRLLAVSKLINQAVGDALSGLLLVEVILQHMGWSIHRWNELYHDLPSRQLKVKVADRNAVITANAETVVVSPPGLQEAINAETGKYHQGRCFVRPSGTEDVVRVYAEASTQEAADTLSNSVAKLVDQFLGLGSS >Vigun05g141500.2.v1.2 pep primary_assembly:ASM411807v1:5:17629172:17630027:-1 gene:Vigun05g141500.v1.2 transcript:Vigun05g141500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITTNTFIYLFPSIFIFFQQTHFHFIYPFISPSSLISPSHLLLQHQNATMGDTKLPDVLFLLFTLILAAKFTQGIKTEELLPQSSEPQREPSLEDGNETWKMRISRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSLYHYRCVCHR >VigunL051000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:23922:25136:1 gene:VigunL051000.v1.2 transcript:VigunL051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLRPKRRWVVPGIAALSLLAAAGLARADDPPPPLKDNGLARTPPMGWNSWNRFACDVDETLIRKTADAMVSSGMREAGYQYVVIDDCWHGARDAHGDIQPDAKRFPSGMKALGDYIHAKGLKFGIYSDAGLKTCGGRPGSWGHEYQDAKQYAAWGVDYLKYDWCMAGAQDARSAYYIMSAALQASGRDIMLSICEWGTSKPWLWADKVGNLWRTTGDIYDKWEGVRDYSSGVMNIVDKQAELYPYARPGHWNDPDMLEVGNGGMTAEEYRSHFSLWAMLAAPLIAGNDIVSMDASTQAILTNREVIAVDQDPLGQQGRRVSKEGDLEVWIRPLQGGGRAVVLLNRGAAPAQISLDWSQMDYPATLQAKVRDLWAGKDVGIHTGRYQATAASHGVVMLRVQP >Vigun10g063500.1.v1.2 pep primary_assembly:ASM411807v1:10:13603730:13615564:-1 gene:Vigun10g063500.v1.2 transcript:Vigun10g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSDSDCGDDEMEMDFLRERYEDSRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISISLRLAIENSPISIVVFSKNYAESRWCLKELEKIMECHRTIGHVVLPVFYDVDPSEVRHQRGEFGKAFQRLYGKIWNEDEEKELYWKQLWRKTVGEIGGFSAVEILSSRFERVNKPLKSWKKALSEVAQTTPTYVDSKGWDESVIADWISFPVKQLMERLCMGAEIPFREMEIDDKIDFIVKHWAVIFRKPYPIFHFDLYPSSRVETADAIEFQVKHCREALFEAVGISRGAILNSCREIEITKAIELQLKYWKDAFSEEAGGVLDSKGFRMRVIDYEIWKLRNHWSEKIHEAYGILEDKRQYSKDTQIERDLERWMKVLVEAANVATDAVYVSCIKMLKAYNRIETFAKHWRIALCDAVGISSFVVQHRRVMKDDEINDIEKHRDALREAAAISGVVILNSRNESEAVKNIVKNVTSLLDKTELFVANNPVGVESRVQEIVQLLEQKQSKDVLLLGVWGMGGIGKTTIAKAIYNKIGRNFQGRSFLADIREVWGQEAGHVCLQQQLLFDIQKEHNTKIHNIE >Vigun01g216900.3.v1.2 pep primary_assembly:ASM411807v1:1:39061199:39078699:1 gene:Vigun01g216900.v1.2 transcript:Vigun01g216900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAEIFFLLVSNQDQPVFNVSILAGTLARLDRDAPHYKKDGYNDFNTFYIQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALRFVQKGSETYVNKWQAVSIPRGTLQVTFLHKGFDETRRLGLKTETEQIVRQASPAGESGMLVVESVVPGGPGYKHLEPGDVLVRVNGEVITQFLKLETLLDDSVSKNIELQIERGGTSKSLTLLVQDLHSITPDSFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHAIIKKFAGEEISCLEELITVISKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDNSTGLWITKPALQRDSPFLSSGAKDVENMSRQPISPTGEHACGGHVCEGNNQDFVDGVTNMETNCEDPSECASHHDASDGVVKKRRVEEDLSADGSVVADLSQNGTRETKLEKSSVTQDDMLMDFQGATAAGANASVAERVIEPTLVMFEVYVPPSCMLDGVHSQHFFGTGVIIYHSKDMGLVVVDKNTVAISASDVMLSFAAFPVEIPGEVVFLHPVHNYALISYDPSALGPVGSSVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSTFSGVLADEQGRVQAIWGSFSTQLKFGCSTSEDHQFVRGIPIYAISQVLHKIVSGANGPPLLINGVKRPMPLLRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDIENACQDLDKSDANDGKLHLTIFRQGQEVELLVGTNVRDGNGTARAINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPNLDAFVDVTKELEHGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPNSAMWHRNIIKGLNCSTV >Vigun01g216900.4.v1.2 pep primary_assembly:ASM411807v1:1:39061199:39078699:1 gene:Vigun01g216900.v1.2 transcript:Vigun01g216900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAEIFFLLVSNQDQPVFNVSILAGTLARLDRDAPHYKKDGYNDFNTFYIQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALRFVQKGSETYVNKWQAVSIPRGTLQVTFLHKGFDETRRLGLKTETEQIVRQASPAGESGMLVVESVVPGGPGYKHLEPGDVLVRVNGEVITQFLKLETLLDDSVSKNIELQIERGGTSKSLTLLVQDLHSITPDSFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHAIIKKFAGEEISCLEELITVISKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDNSTGLWITKPALQRDSPFLSSGAKDVENMSRQPISPTGEHACGGHVCEGNNQDFVDGVTNMETNCEDPSECASHHDASDGVVKKRRVEEDLSADGSVVADLSQNGTRETKLEKSSVTQDDMLMDFQGATAAGANASVAERVIEPTLVMFEVYVPPSCMLDGVHSQHFFGTGVIIYHSKDMGLVVVDKNTVAISASDVMLSFAAFPVEIPGEVVFLHPVHNYALISYDPSALGPVGSSVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSTFSGVLADEQGRVQAIWGSFSTQKKGKRRKKITGKRREKTNFTQTSKGYLRLKFGCSTSEDHQFVRGIPIYAISQVLHKIVSGANGPPLLINGVKRPMPLLRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDIENACQDLDKSDANDGKLHLTIFRQGQEVELLVGTNVRDGNGTARAINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPNLDAFVDVTKELEHGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPNSAMWHRNIIKGLNCSTV >Vigun01g216900.1.v1.2 pep primary_assembly:ASM411807v1:1:39061199:39078699:1 gene:Vigun01g216900.v1.2 transcript:Vigun01g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNREEVPVHPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYIQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALRFVQKGSETYVNKWQAVSIPRGTLQVTFLHKGFDETRRLGLKTETEQIVRQASPAGESGMLVVESVVPGGPGYKHLEPGDVLVRVNGEVITQFLKLETLLDDSVSKNIELQIERGGTSKSLTLLVQDLHSITPDSFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHAIIKKFAGEEISCLEELITVISKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDNSTGLWITKPALQRDSPFLSSGAKDVENMSRQPISPTGEHACGGHVCEGNNQDFVDGVTNMETNCEDPSECASHHDASDGVVKKRRVEEDLSADGSVVADLSQNGTRETKLEKSSVTQDDMLMDFQGATAAGANASVAERVIEPTLVMFEVYVPPSCMLDGVHSQHFFGTGVIIYHSKDMGLVVVDKNTVAISASDVMLSFAAFPVEIPGEVVFLHPVHNYALISYDPSALGPVGSSVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSTFSGVLADEQGRVQAIWGSFSTQKKGKRRKKITGKRREKTNFTQTSKGYLRLKFGCSTSEDHQFVRGIPIYAISQVLHKIVSGANGPPLLINGVKRPMPLLRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDIENACQDLDKSDANDGKLHLTIFRQGQEVELLVGTNVRDGNGTARAINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPNLDAFVDVTKELEHGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPNSAMWHRNIIKGLNCSTV >Vigun01g216900.2.v1.2 pep primary_assembly:ASM411807v1:1:39061199:39078699:1 gene:Vigun01g216900.v1.2 transcript:Vigun01g216900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNREEVPVHPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYIQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALRFVQKGSETYVNKWQAVSIPRGTLQVTFLHKGFDETRRLGLKTETEQIVRQASPAGESGMLVVESVVPGGPGYKHLEPGDVLVRVNGEVITQFLKLETLLDDSVSKNIELQIERGGTSKSLTLLVQDLHSITPDSFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHAIIKKFAGEEISCLEELITVISKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDNSTGLWITKPALQRDSPFLSSGAKDVENMSRQPISPTGEHACGGHVCEGNNQDFVDGVTNMETNCEDPSECASHHDASDGVVKKRRVEEDLSADGSVVADLSQNGTRETKLEKSSVTQDDMLMDFQGATAAGANASVAERVIEPTLVMFEVYVPPSCMLDGVHSQHFFGTGVIIYHSKDMGLVVVDKNTVAISASDVMLSFAAFPVEIPGEVVFLHPVHNYALISYDPSALGPVGSSVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSTFSGVLADEQGRVQAIWGSFSTQLKFGCSTSEDHQFVRGIPIYAISQVLHKIVSGANGPPLLINGVKRPMPLLRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDIENACQDLDKSDANDGKLHLTIFRQGQEVELLVGTNVRDGNGTARAINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPNLDAFVDVTKELEHGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPNSAMWHRNIIKGLNCSTV >Vigun02g066100.1.v1.2 pep primary_assembly:ASM411807v1:2:21601415:21609282:1 gene:Vigun02g066100.v1.2 transcript:Vigun02g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPDVADGPVMSLINKRIRAFRKKMNRIVAMEEAVSQGKTLNKEQEEFLRTKPSILALIDEFEKLRQPLASAVAEELQGAAPNARSAEAPTQTLAESSGSFEQPPPQHTGDDVVVEDLLNLLYFGSLFDVKSDFASTMLTRTHERGCCLTYDYVTDDATDLLGEKDLDSISTLRGLLVSRPADSSFSHKNALQRCVEHAKLWLAKSEQSIGPDADVTYAGLREKLNKIMSSEYFTTTPEMKAPVEVAAAAAGGNYVSFHVPVHGSVVPVEVEQPVYQPQEKDEGTTNFQVHGSEDDHTDPEGELQKDEVEAENANEVVSIQQEQSNPQADAEQNERDLEAKEQQSYPRRGGYQNHRGGRGGGGRRGGGYSNGRGGRSGGGRGGYQNGRNSYYDQPGNYYPRNYYNNRGRGGRGGGYYNNHGAGGQVNHVAGDVGVH >Vigun07g028400.1.v1.2 pep primary_assembly:ASM411807v1:7:2579590:2582145:-1 gene:Vigun07g028400.v1.2 transcript:Vigun07g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTKKPSYIINSSHKLHQKKHNKLLSINVLHSITCTMKTMDDVQGYIPIFLIFLASLKLLQAIFKASKFRLPPSPLALPVIGHFHLLKPPLHRSFQKLSNRYGPLIHLYLGSTPVVVVSSAEIAREIFKTHELCFSNRPANVAISYLTYNSSDLGFAPYGPYWKFMKKLCMSELLNGRMLDQLLPIREEEINRFLVMLKMKGEACERVNVGDELLKLTNSVVMRMAIGKSCFNVDDEAQKVTERVKESSKVSGMFNLADYFWFCRGLDLQGIGKRMKEVRERFDTMIESIIREHEDARNRSTQKDPPKDVLDALLSIYEDQSSEVKITRDNIKAFLVDIFTGGTDTTAVTVEWSVAELMNHPTVMEKARKEIESVIGKERMVMELDTDNLPYLQAIVKETLRLHPPSPFVLRESTKKCSIAGYEIPPKTQVFTNLWAIGKDPKHWDNPLEFRPERFVSKENESAKTCEVDVRGQHYELLPFGSGRRGCPGTSLALKVAHTTLAAMIQCFEWKVEEKVGENCGSVDMKEGPSFILSRAQPMICIPKQRLLPFPLSHAKS >Vigun02g072000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22335411:22339756:1 gene:Vigun02g072000.v1.2 transcript:Vigun02g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTISHTFLSCDSETTCKMAEAVLKSVLGSLASPAVAELKPFLCFRREKEKLESMFTAIKATLEDAEEKQFSDRAIKDWVGKLKDAAYELDDILDEFAYEQMRLEEEESEEVKCCISEMVLRSSLASFHPMNLYFRYNIVRRMNIVSERLDQIASEKNQLHLTSTIQEETRGVPEWRQTFSFITEPKVYGREQDIKKIVEFLAGAASRPENLPVYPIVGQGGLGKTTLAKLIFNHNDLKDFQLKIWVCVSEDFGLERILKAIIEAASEDVRKDLGLEATQRRLRKLLTGKRYLLVLDDVWDVMKQNWKDNWQVLRSLLDCGEKGSSVLVTTRFSNVAQIMGTIKHPHMLPELSVDYCWELFKHQAFEADEVEPEELVVIGREIVKKCGGVPLAANTIGGLLRFYRNKDKWLNILESNLLALSLNEKSIMPVLRLSYLNLPIELRQCFAYCAIFPKDERIEKQYLIEFWMANGLISSDGRLDAEDVGDEVWNELYRRSLFQDIETDEFGKVTSFKMHDLVHDLAQVVADEEVCCITAEDYAPVLFERKRIHHLSDCRWWLNSTELHQVKSLRTYIKSTATELSSDVLKCYSLRMLHVSGLEELSSSIDNLKYLSYLNLSRGRFKTLPESLCKLLNLKILKLDSCRRLQKLPDGLVRLKALQQLSLKSCRSLSRLAPYIGKLNSLRSLSMYFVGEEKGFLLAELGQLKLKRDLEIKHLERVKNINDAKESNMSSKQLNNLMLRWRTVREGELEGNDEEVLEALEPCTETLQSLRVEGYQGVRFPEWMSSPSLKKLTHLELWSCTNCIKLPVLRNLRSLKWLEITKAKYVKYVQEECYDNDVGFMRLEYLSLRNLPSLIRLSSEDGENQFPCLSALDIEDCPHFSLQGLPSLKLLRMSRNPKLKVCPGLECLPCVEDLTIESCDEVEGLQFMTGLKKLALIHLMNITLPDCFGDLPLLRELHIYGLLVDASSNKP >Vigun03g297550.1.v1.2 pep primary_assembly:ASM411807v1:3:48451730:48454630:1 gene:Vigun03g297550.v1.2 transcript:Vigun03g297550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINFALSIYRKKLRATHKVTTCDALTHRLERASRSRSRGDADTCTTWSPKLAHGSDTTINLDTASDTTLFI >Vigun01g220400.1.v1.2 pep primary_assembly:ASM411807v1:1:39407073:39410388:1 gene:Vigun01g220400.v1.2 transcript:Vigun01g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEESSSKSKRGGRWCLKGMTALVSGGTRGIGHAIVSDLAAFGAAVHTCSRTQTELDKCLEEWRSEGFQVTGSVCDVTSPHDREKLLQKVASIFDGKLNIYVNNVGTNFRKPTIEYSAEEYSQLMTVNLDSSFHLCQLAYPLLKASEKGSIVFLSSVAGVTSMGTGSVYAASKAAINQLTKNLACEWAKDNIRSNCVVPWTTRTPLIQHLLQNQSFVDDVMSRTPLKRIAEPEEVSSLVTFLCLPAASYITGQVICVDGGVTVNGFQPSIRIT >Vigun01g220400.2.v1.2 pep primary_assembly:ASM411807v1:1:39407073:39410388:1 gene:Vigun01g220400.v1.2 transcript:Vigun01g220400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEESSSKSKRGGRWCLKGMTALVSGGTRGIGLLFISSKTTLHFLLCLSSHIFLPSKLCYRHAIVSDLAAFGAAVHTCSRTQTELDKCLEEWRSEGFQVTGSVCDVTSPHDREKLLQKVASIFDGKLNIYVNNVGTNFRKPTIEYSAEEYSQLMTVNLDSSFHLCQLAYPLLKASEKGSIVFLSSVAGVTSMGTGSVYAASKAAINQLTKNLACEWAKDNIRSNCVVPWTTRTPLIQHLLQNQSFVDDVMSRTPLKRIAEPEEVSSLVTFLCLPAASYITGQVICVDGGVTVNGFQPSIRIT >Vigun07g021400.1.v1.2 pep primary_assembly:ASM411807v1:7:1813484:1817682:-1 gene:Vigun07g021400.v1.2 transcript:Vigun07g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQLQLNPNSVAGLTESSAPSDDDEPSLSSHTLAALKEFLAEQQRQSDAEEGSEVSLVSENWNLSQFWYTPETAKTVSEEVLTLCGGVHARVACIACPTLYVYLKQMNPDATVQLLEYDKRFQQYGSDYTFYDYNLPDEIPSELKHSCKIVVADPPYLSKECLEKVAETIRLLIQPGESFVLLLTGKVQKERAAEILGLYPCGFRPQHSSKLGNEFRLFSNYDPETRLGGWEK >Vigun02g043000.3.v1.2 pep primary_assembly:ASM411807v1:2:17346445:17349020:1 gene:Vigun02g043000.v1.2 transcript:Vigun02g043000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCYLTLCFVLASVLPLSSTAPSYPTKHTPALYVFGDSLVDCGNNNHLPTGGPSFLPYGIDFMHGKPTGRATNGKTVADFLAIHLGLPLTPPYLGLSKHHRNKVRTGINYASAGSGVLPDTNNDTSLTLDKQIKFFHRTIKHNLPKTFTENEELEKHISESLFFVSTGVNDYFHNGTFRGNKSFAFFLLREITLRIKRMYSLGARKFLVNNIPPAGCFPSKAIHTRPIGKCDGKINKGITFYNKRLHEVLHQLQSKLPGFTFIHADLYGFLKKMRQNGSYYVLFAGIVETWKPCCPNTIYGDLKCQPRSVPCANRNTHLFFDQNHPSQIANQEYARLCFNEKIICKPWGLKLF >Vigun02g043000.5.v1.2 pep primary_assembly:ASM411807v1:2:17346406:17349049:1 gene:Vigun02g043000.v1.2 transcript:Vigun02g043000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCYLTLCFVLASVLPLSSTAPSYPTKHTPALYVFGDSLVDCGNNNHLPTGGPSFLPYGIDFMHGKPTGRATNGKTVADFLAIHLGLPLTPPYLGLSKHHRNKVRTGINYASAGSGVLPDTNNDTSLTLDKQIKFFHRTIKHNLPKTFTENEELEKHISESLFFVSTGVNDYFHNGTFRGNKSFAFFLLREITLRIKRMYSLGARKFLVNNIPPAGCFPSKAIHTRPIGKCDGKINKGITFYNKRLHEVLHQLQSKLPGFTFIHADLYGFLKKMRQNGSYYGIVETWKPCCPNTIYGDLKCQPRSVPCANRNTHLFFDQNHPSQIANQEYARLCFNEKIICKPWGLKLF >Vigun02g043000.2.v1.2 pep primary_assembly:ASM411807v1:2:17346406:17349049:1 gene:Vigun02g043000.v1.2 transcript:Vigun02g043000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCYLTLCFVLASVLPLSSTAPSYPTKHTPALYVFGDSLVDCGNNNHLPTGGPSFLPYGIDFMHGKPTGRATNGKTVADFLAIHLGLPLTPPYLGLSKHHRNKVRTGINYASAGSGVLPDTNNDTSLTLDKQIKFFHRTIKHNLPKTFTENEELEKHISESLFFVSTGVNDYFHNGTFRGNKSFAFFLLREITLRIKRMYSLGARKFLVNNIPPAGCFPSKAIHTRPIGKCDGKINKGITFYNKRLHEVLHQLQSKLPGFTFIHADLYGFLKKMRQNGSYYGIVETWKPCCPNTIYGDLKCQPRSVPCANRNTHLFFDQNHPSQIANQEYARLCFNEKIICKPWGLKLF >Vigun02g043000.1.v1.2 pep primary_assembly:ASM411807v1:2:17346443:17349020:1 gene:Vigun02g043000.v1.2 transcript:Vigun02g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCYLTLCFVLASVLPLSSTAPSYPTKHTPALYVFGDSLVDCGNNNHLPTGGPSFLPYGIDFMHGKPTGRATNGKTVADFLAIHLGLPLTPPYLGLSKHHRNKVRTGINYASAGSGVLPDTNNDTSLTLDKQIKFFHRTIKHNLPKTFTENEELEKHISESLFFVSTGVNDYFHNGTFRGNKSFAFFLLREITLRIKRMYSLGARKFLVNNIPPAGCFPSKAIHTRPIGKCDGKINKGITFYNKRLHEVLHQLQSKLPGFTFIHADLYGFLKKMRQNGSYYVLFAGIVETWKPCCPNTIYGDLKCQPRSVPCANRNTHLFFDQNHPSQIANQEYARLCFNEKIICKPWGLKLF >Vigun06g185000.1.v1.2 pep primary_assembly:ASM411807v1:6:30437055:30439572:1 gene:Vigun06g185000.v1.2 transcript:Vigun06g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASVFEHATPRTNVKNVKNVSPMVSSYKERIRPILDALENLRRLNITKEGIQLPTIVVVGDQSTGKSSVLESLAGINLPRGQGICTRVPLIMRLQNHSLHEPELELEYNCKRVPTDEAHVSEAICDATDELAGSGKGISNTPLTLIVKKNGVPDLTMIDLPGIARVPVQGQPKDVYDQIMKIIMEYIRPEESIILNVLSAAVDFSTYESIKMSQSVDKTGERTLAVVTKVDMPPEGLREKVTANDVNIGLGYVCVRNRIGDESYEEAREEEAKLFKTHTLLSNIDKSIVGIPVLADKLVQLQAASISKILPEIVKKINDRLDSQLANLEKFPRKLTSLVDVMSAFMDVIGLTRESLSKILLRGEFDEYRDDKQMHCTARLVEMLDQYCNDLRNGCESDAGEKFLMEEIKVLEETKRIGLPNFISRTVFLTRLHDKVESISNIPIKFIGKVWDYLEEVVVSVLNRHSEHYHQLQTCLRLCGQKLIAKMRENSMKYMKEVVEMEKLTDYTCNPEYTNEYNKLIGSQNSLVDRVKAHSSQTVINGFGDVQRLIDNTALHLQFSIFNLLKKDLGCDVLKDMVSPSADGIERLFEETPSVAVQRDDLNRSIKTLKESKEVVASIIDKISTYAN >Vigun09g168000.4.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRYRRVHPQGNNNVAAASSRGYFASDSPTLSGARRQPSCCIL >Vigun09g168000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRYRRVHPQGNNNVAAASSRGES >Vigun09g168000.1.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRYRRVHPQGNNNVAAASSRGYFASDSPTLSGAARRQPSCCIL >Vigun09g168000.7.v1.2 pep primary_assembly:ASM411807v1:9:33651172:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGYFASDSPTLSGGTNQNLTLLEFSH >Vigun09g168000.9.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRIFCI >Vigun09g168000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRYRRVHPQGNNNVAAASSRGES >Vigun09g168000.10.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRIFCI >Vigun09g168000.2.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGYFASDSPTLSGAARRQPSCCIL >Vigun09g168000.3.v1.2 pep primary_assembly:ASM411807v1:9:33650160:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGYFASDSPTLSGARRQPSCCIL >Vigun09g168000.8.v1.2 pep primary_assembly:ASM411807v1:9:33651172:33653337:-1 gene:Vigun09g168000.v1.2 transcript:Vigun09g168000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPRERNNGNGRRRERPTFQMYWCFQCNRMVRVAVDTNNPSDVTCPRCFGQFICEVNVPRPRLIVDFTTPDPSPEARLLEALSLMMDPPIRRFPGLLQPEPEEVPVHHHRRRRLRRRQPEPEPVPEPPHPRPRTWIVFQPNDQPNPFLPINNIHNRPGPGPFPFPLPRTVDTRDYFLGPGLNELIEQITENDRQGPAPAPERAIDAIPTVKIASAHLKENSKCPVCQEEFEVGGEARELACKHIYHSDCIVPWLRLHNSCPVCRHEVPVPSSSSEEDECIDVSGDEGRLRRCLRWTRRFTSVWPFNSRIFCI >Vigun03g102300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8813581:8813977:-1 gene:Vigun03g102300.v1.2 transcript:Vigun03g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGKSLSNKRSNGESESSERKKLLMSRKIVMENEKEDVNKMADAFINNFRKQLKIERENSFRRFQDMINRGAQ >Vigun07g001000.1.v1.2 pep primary_assembly:ASM411807v1:7:92752:99077:-1 gene:Vigun07g001000.v1.2 transcript:Vigun07g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLRRASLRLRSQGLNAGAIRASCANLVPTTCVENEGEGGIHQSRNISYGRFLPADVLCSTDLSSLNFAVGWRGLSSQADASSTKEDDGELEGGSNDESDADLSDGDEEGEKLNDALELSDDETDPTKKQSPGRYTQSELFKEIVKAPGLSVDSALNKWVEQGKELGRKEVLLAVRNLRKRKMYGRAFQLFQWLESNKKLEFTERDYVSKLDLIAKLRGLQKAEKYIESVPESFRGELLYRTLLANCVAQNNMMETERIFNKMKDLDLPLTTFTCNQLLLLYKKLDKKKIADVLLLMEKENVKPCIFTYRILLDTKGHANDIAGMEQVLETMKAEGIEPDIQIQTLLARHYTSAGLNEKAETVLKEIEGENLKENRWVCATLIRLYANLGKADEVERIWKVCESKPRVDDCLAAVEAWGKLKKIEEAEAIFERASKKWKLNANNYSVLLMIYANNKMLKKGKDLVKRMTDNGFEIGPLTWNALVRLYIQAGEVEKADSILQKAIQRYKMQPMFSTYMAILGQYAKRGDVHNSEKIFHAMRKSGYTSRISQFEALIQAYINAKVPAYGIRERMKADNLFPNKSVASQLVLVDAFKKNALSDLLD >Vigun05g138150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16770996:16771717:1 gene:Vigun05g138150.v1.2 transcript:Vigun05g138150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSRSFPHYFFSLFRGSVFPFFFLLYQNLDEKLDEQPSILPKSYEVSIERFRGHETVRCFLTPFLPTLGFSIICFFIMVFRLWFYLVFTFSIIVLLGVYIFDYGFIWCLPSQGLWFCLGFVGILFRVLIYYSAFFFCS >Vigun08g186700.1.v1.2 pep primary_assembly:ASM411807v1:8:35592754:35599832:-1 gene:Vigun08g186700.v1.2 transcript:Vigun08g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPPASSSLSSPSEGICYSTSYGITSNSIKLPIDGRRWHDLASTRYKSSCFGLPHLLWPSTGHDQCLSKVNVAADYSDSIPDSSDHMDEQGYHPLEELKGSDDIKPARLSPPEMAKTTVEANKNALLVFPGMVHCEPHEQISWAEFQYHIDDFGDIYFEIFDDANILADRGANNPVSVFIGMDIPIYDNNRRTASEYDIFNNGKDDELFTFDDEDDVVEVSEMEEFNISVNWGHPDTTNSIHPIYFSKCLTKAVNNVEYIKRMDHPSNGVSIIGFLRPIYDEERSYLRWMYHTEDGTVYISGLRDSYSNSIDDQGNSNSTLYRLEILKIKLYSLYGNQSEISVLEFQDAEPDILAHSSSEILERFNRFCHDDLKALCKKKGLDAEGAYLVGVDSLGVDVRVFSGAEVKTHRFPFKIQAATINAAAKQIWQLLFPRSQRKKNMKKWRTTTMI >Vigun08g186700.4.v1.2 pep primary_assembly:ASM411807v1:8:35592754:35599832:-1 gene:Vigun08g186700.v1.2 transcript:Vigun08g186700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPPASSSLSSPSEGICYSTSYGITSNSIKLPIDGRRWHDLASTRYKSSCFGLPHLLWPSTGHDQCLSKVNVAADYSDSIPDSSDHMDEQGYHPLEELKGSDDIKPARLSPPEMAKTTVESVFIGMDIPIYDNNRRTASEYDIFNNGKDDELFTFDDEDDVVEVSEMEEFNISVNWGHPDTTNSIHPIYFSKCLTKAVNNVEYIKRMDHPSNGVSIIGFLRPIYDEERSYLRWMYHTEDGTVYISGLRDSYSNSIDDQGNSNSTLYRLEILKIKLYSLYGNQSEISVLEFQDAEPDILAHSSSEILERFNRFCHDDLKALCKKKGLDAEGAYLVGVDSLGVDVRVFSGAEVKTHRFPFKIQAATINAAAKQIWQLLFPRSQRKKNMKKWRTTTMI >Vigun08g186700.2.v1.2 pep primary_assembly:ASM411807v1:8:35592754:35599832:-1 gene:Vigun08g186700.v1.2 transcript:Vigun08g186700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPPASSSLSSPSEGICYSTSYGITSNSIKLPIDGRRWHDLASTRYKSSCFGLPHLLWPSTGHDQCLSKVNVAADYSDSIPDSSDHMDEQGYHPLEELKGSDDIKPARLSPPEMAKTTVESVFIGMDIPIYDNNRRTASEYDIFNNGKDDELFTFDDEDDVVEVSEMEEFNISVNWGHPDTTNSIHPIYFSKCLTKAVNNVEYIKRMDHPSNGVSIIGFLRPIYDEERSYLRWMYHTEDGTVYISGLRDSYSNSIDDQGNSNSTLYRLEILKIKLYSLYGNQSEISVLEFQDAEPDILAHSSSEILERFNRFCHDDLKALCKKKGLDAEGAYLVGVDSLGVDVRVFSGAEVKTHRFPFKIQAATINAAAKQIWQLLFPRSQRKKNMKKWRTTTMI >Vigun08g186700.3.v1.2 pep primary_assembly:ASM411807v1:8:35592754:35599832:-1 gene:Vigun08g186700.v1.2 transcript:Vigun08g186700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPPASSSLSSPSEGICYSTSYGITSNSIKLPIDGRRWHDLASTRYKSSCFGLPHLLWPSTGHDQCLSKVNVAADYSDSIPDSSDHMDEQGYHPLEELKGSDDIKPARLSPPEMAKTTVEANKNALLVFPGMVHCEPHEQISWAEFQYHIDDFGDIYFEIFDDANILADRGANNPVSVFIGMDIPIYDNNRRTASEYDIFNNGKDDELFTFDDEDDVVEVSEMEEFNISVNWGHPDTTNSIHPIYFSKCLTKAVNNVEYIKRMDHPSNGVSIIGFLRPIYDEERSYLRWMYHTEDGTVYISGLRDSYSNSIDDQGNSNSTLYRLEILKIKLYSLYGNQSEISVLEFQDAEPDILAHSSSEILERFNRFCHDDLKALCKKKGLDAEGAYLVGVDSLGVDVRVFSGAEVKTHRFPFKIQAATINAAAKQIWQLLFPRSQRKKNMKKWRTTTMI >Vigun08g186700.5.v1.2 pep primary_assembly:ASM411807v1:8:35592754:35599832:-1 gene:Vigun08g186700.v1.2 transcript:Vigun08g186700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGYHPLEELKGSDDIKPARLSPPEMAKTTVEANKNALLVFPGMVHCEPHEQISWAEFQYHIDDFGDIYFEIFDDANILADRGANNPVSVFIGMDIPIYDNNRRTASEYDIFNNGKDDELFTFDDEDDVVEVSEMEEFNISVNWGHPDTTNSIHPIYFSKCLTKAVNNVEYIKRMDHPSNGVSIIGFLRPIYDEERSYLRWMYHTEDGTVYISGLRDSYSNSIDDQGNSNSTLYRLEILKIKLYSLYGNQSEISVLEFQDAEPDILAHSSSEILERFNRFCHDDLKALCKKKGLDAEGAYLVGVDSLGVDVRVFSGAEVKTHRFPFKIQAATINAAAKQIWQLLFPRSQRKKNMKKWRTTTMI >Vigun01g046500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6921838:6922830:-1 gene:Vigun01g046500.v1.2 transcript:Vigun01g046500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPISVAKRQLQVNFENLHLVFLQDIVPLLLFFSLFRINHYTATFYQCYQEHMTKLETSSPSSLSSKLRINRDSHAISKLKSEIRIVHVFAPKIIEIDAANFRELVQRLTGKPEEGSGGSKSKTAPTKDAMDSNPKEALMVHDEEEFLSLQNGVGVKDEHEEEEGKDGLWRSKLNEKFSGFLDGFSEFDSLLNELSTAPEVNHS >Vigun04g200500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42409699:42410216:1 gene:Vigun04g200500.v1.2 transcript:Vigun04g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKIIIIVCSLFVLGLHLKCNVSAIPIFPTKVTVEITNRLSMKHLDVHCKDKHHDLGLITLNVNATYSFRFYPNYYLPRTLYFCRFEWLDSDYHFDIYVEKRDGYCIHNRYHCSWDILENGPCKITHGHPRCFTWNKVFTGKNNTFSL >Vigun06g042000.3.v1.2 pep primary_assembly:ASM411807v1:6:16453560:16457500:1 gene:Vigun06g042000.v1.2 transcript:Vigun06g042000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFASRCSRVGRSLFGGLSNSSPGLLTTSHEITCNNLFTQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAHPNGKVKKGKVVYGVVVRAAMQKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAGHIA >Vigun06g013100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:5994603:5995386:-1 gene:Vigun06g013100.v1.2 transcript:Vigun06g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGFARSSLLSPCPSCFHLFFSSPSRVRCFCQLSYVISLCSPPLFFPSLWCVISCIFETVKSSRASKLRLLFNGSLPPIVMLVSLLCPFCLNFFLDDGKVRRKLSSSHPTTVAKVCSYDCHDCSSDTATPTFPPFFQDPQNREKQRTRKEPPAKSIGSHFIFFLIFLYTFLYVFLFLREKIR >Vigun08g107000.2.v1.2 pep primary_assembly:ASM411807v1:8:26621310:26624750:-1 gene:Vigun08g107000.v1.2 transcript:Vigun08g107000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEWGDYEDAIPESDQPEPDSHLNFDFFSALAKPKDYYKILEVDYDATDDDIRSNYIRLALKWHPDKQKDQDSTTSRFQDINEAYQVLSDPVKRRDYDINGMRYEYDYNIIDYLNRYKGLILTCNGLGIKHSIW >Vigun08g107000.1.v1.2 pep primary_assembly:ASM411807v1:8:26621310:26624750:-1 gene:Vigun08g107000.v1.2 transcript:Vigun08g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEWGDYEDAIPESDQPEPDSHLNFDFFSALAKPKDYYKILEVDYDATDDDIRSNYIRLALKWHPDKQKDQDSTTSRFQDINEAYQAFCTVLSDPVKRRDYDINGMRYEYDYNIIDYLNRYKGLILTCNGLGIKHSIW >Vigun03g306100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49696515:49697193:1 gene:Vigun03g306100.v1.2 transcript:Vigun03g306100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSHKNSTRVVLRLVWCFRQGTNKLCLSKLESKYAQLGNGSTDLPKGHLTVCVGESEDEKQRVLVPVTHFNHPLLGKLLEDAEKVYGFDYPGVITIPCTISEFQRVQNIIATTPTYNRPLTICCFIN >Vigun09g045900.1.v1.2 pep primary_assembly:ASM411807v1:9:4366176:4375895:1 gene:Vigun09g045900.v1.2 transcript:Vigun09g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLEGKNQYLYGPVVPEMKSVGKRSLEWDLNDWKWDGDLFTATQLNSVPSDCRSRQLFPADPEILATGDASNSLSSAYDDVNLAEGRRELEKRRRGVADEGGVEMNDGAGSLNLNLGVQVYPIIEGEEKSGKKTKITGSTLNRAVCQVEDCRADLSNAKDYHRRHKVCDMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPDASVVNDGSVNEEKGSSYLLMSLLRILSNMHSNGSDNMTSQDVLSHLLRNLASLAGTINGRNIVSLLEGSQDLLKAGTSGTAQNVPNTNSNGPETSRPVDTSTKMDNGVISQDPPEPMPKGFISSGRDGVGSSKSPSLPQSSNVLLSRDCLPPHSVSAETMAGRIGLSNIDLNSAYDDVQDYVENTRNSRPPLPSGNGSLDNPLWVHCDSLKSSPPQTSRNSDSTSTQSPSSSSGEAQSRTDRIVFKLFGKAPNDFPHALRSQILNWLSHSPTEIESYIRPGCIILTVYLRLENSAWEELCYNLGSSLRKLAVPNDSFWRSGWIYTRVQHSVAFLYNGQVVLDVPLRFKSPQNCQIFCVKPLAVSSSSCVQFIVKGFNLLLSNTRLLCALEGKYLVQDSCYDLVDADAAIGHNELQHLSFSCRIPNVTGRGFIEVEDNGLSSCSFPFIVAEQEICSEICNLENVIEAAETADDIQMKTKLMEEKTQALYFVQEMGWLLHRNRVKVRLGPVAPLQDCFHFNRFMWLVGFSMDHDWCAVMKKLLNIIFEGTVDIGEHTSVELALLEMDLLHKAVKRNCRPMVELLLKFVPSNASDVGDSKEKQVNKSPNRFIFRPDSVGPAGLTPLHVAASIHGLDNVLDALTDDPALVGIEAWKSAKDTTGLTPYDHASLRGYYSYIQLVQRKISNTCKSEHVLNIPATLVDSNIKQKQSDGHRSSKVSSLQTEKIETTAMPRHCGVCQHKLAYGGMRSALVYRPAMLSMVAIAAVCVCVALLFKSSPKVYYVFQPFSWESLEYGSM >Vigun03g393200.5.v1.2 pep primary_assembly:ASM411807v1:3:59942118:59944495:1 gene:Vigun03g393200.v1.2 transcript:Vigun03g393200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKDTLLHHPLLFLLKYSFSLFSHPYKWNAQHTSVKTQHFNSSHSFATIQRLPKQTHTSNFKSLPPLKAKMFEDRESHRHDDVFSESKDSGVTEEATVVHVKEEMDDTAVNGFMDKPPKPMGGLHEMGPPPFLKKTFDMVEDPKTDLVVSWSQTRDSFVVWDSHEFSKTLLPKYFKHSNFSSFIRQLNTYGFRKVDSDRWEFANEGFQGGKRHLLKKIRRRSKYNRLHHGAFNMMKPGVEAEVEKLKKDQNIMKAEILKLRQQQENSHVQLTNALERVRCAEMKQYQMMFFLTRMARKPAFVEQLIQKMRRKREVDGNDMVKRPRLMGNPCYVPFPNTMETTPNADYRPQNHKQFPSMQSELDEFLSETVNINKMEHPTPSPLEDQLCNPVQGSRAHGCSSTNAPDASSAYHVMSEKLMRENSVVDEELDVNDSNIYLELEDLITKPTDWYLGSASGLVEQTS >Vigun03g393200.4.v1.2 pep primary_assembly:ASM411807v1:3:59941987:59944495:1 gene:Vigun03g393200.v1.2 transcript:Vigun03g393200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKDTLLHHPLLFLLKYSFSLFSHPYKWNAQHTSVKTQHFNSSHSFATIQRLPKQTHTSNFKSLPPLKAKMFEDRESHRHDDVFSESKDSGVTEEATVVHVKEEMDDTAVNGFMDKPPKPMGGLHEMGPPPFLKKTFDMVEDPKTDLVVSWSQTRDSFVVWDSHEFSKTLLPKYFKHSNFSSFIRQLNTYGFRKVDSDRWEFANEGFQGGKRHLLKKIRRRSKYNRLHHGAFNMMKPGVEAEVEKLKKDQNIMKAEILKLRQQQENSHVQLTNALERVRCAEMKQYQMMFFLTRMARKPAFVEQLIQKMRRKREVDGNDMVKRPRLMGNPCYVPFPNTMETTPNADYRPQNHKQFPSMQSELDEFLSETVNINKMEHPTPSPLEDQLCNPVQGSRAHGCSSTNAPDASSAYHVMSEKLMRENSVVDEELDVNDSNIYLELEDLITKPTDWYLGSASGLVEQTS >Vigun03g393200.6.v1.2 pep primary_assembly:ASM411807v1:3:59942118:59944495:1 gene:Vigun03g393200.v1.2 transcript:Vigun03g393200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKDTLLHHPLLFLLKYSFSLFSHPYKWNAQHTSVKTQHFNSSHSFATIQRLPKQTHTSNFKSLPPLKAKMFEDRESHRHDDVFSESKDSGVTEEATVVHVKEEMDDTAVNGFMDKPPKPMGGLHEMGPPPFLKKTFDMVEDPKTDLVVSWSQTRDSFVVWDSHEFSKTLLPKYFKHSNFSSFIRQLNTYGFRKVDSDRWEFANEGFQGGKRHLLKKIRRRSKYNRLHHGAFNMMKPGVEAEVEKLKKDQNIMKAEILKLRQQQENSHVQLTNALERVRCAEMKQYQMMFFLTRMARKPAFVEQLIQKMRRKREVDGNDMVKRPRLMGNPCYVPFPNTMETTPNADYRPQNHKQFPSMQSELDEFLSETVNINKMEHPTPSPLEDQLCNPVQGSRAHGCSSTNAPDASSAYHVMSEKLMRENSVVDEELDVNDSNIYLELEDLITKPTDWYLGSASGLVEQTS >Vigun05g119200.4.v1.2 pep primary_assembly:ASM411807v1:5:12953104:12962702:-1 gene:Vigun05g119200.v1.2 transcript:Vigun05g119200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKSEECCQENKQSTAASCSSVSEGSGSAIHKSPGICSPASTSPSHRRTTGPIRRAKGGWTAQEDETLRNAVAVFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVTKYGPTKWSLIAQSLPGRIGKQCRERWHNHLNPEIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLHGRTDNAIKNHWNSSLKKKLDFYLATGRLPPIPKNSPQVTVKDTIRRSASKSILVYSNKELNAAVETSSETTAISKLDDSGRNQFESSVTVREVGDSSSVPANESADSDCVECKPGSSNIDLSCSNSEQVSKANWAITYGPRPDNSGLNGNSTFEHCTNNGNMSTTRLIGTSLQESPTCGSLCYEPPQLHGSVPIDSLYLNYICQQNEFCSSPTLSPLGFFTPPRVKGDELCTETPESILKRAANTFPNTPSILRRRKTGFQALTSPSKVLKVDNDTRSSNETERTNDDSGSRHFSRSPASHGNVIDIPSNKTFNASPPYRLRSKRTGIVKSVEKQLEFAFDKEKNDDKRSNVMTEDCLHEKKLVAT >Vigun05g119200.5.v1.2 pep primary_assembly:ASM411807v1:5:12957627:12962702:-1 gene:Vigun05g119200.v1.2 transcript:Vigun05g119200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKSEECCQENKQSTAASCSSVSEGSGSAIHKSPGICSPASTSPSHRRTTGPIRRAKGGWTAQEDETLRNAVAVFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVTKYGPTKWSLIAQSLPGRIGKQCRERWHNHLNPEIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLHGRTDNAIKNHWNSSLKKKLDFYLATGRLPPIPKNSPQVTVKDTIRRSASKSILVYSNKELNAAVETSSETTAISKLDDSGRNQFESSVTVREVGDSSSVPANESADSDCVECKPGSSNIDLSCSNSEQVSKANWAITYGPRPDNSGLNGNSTFEHCTNNGNMSTTRLIGTSLQESPTCGSLCYEPPQLHGSVPIDSLYLNYICQQNEFCSSPTLSPLGFFTPPRVKGDELCTETPESILKRAANTFPNTPSILRRRKTGFQALTSPSKVLKVDNDTRSSNETERTNDDSGSRHFSRSPASHGNVIDIPSNKTFNASPPYRLRSKRTGIVKSVEKQLEFAFDKEKNDDKRSNVMTEDCLHEKKLVAT >Vigun05g119200.3.v1.2 pep primary_assembly:ASM411807v1:5:12955530:12962702:-1 gene:Vigun05g119200.v1.2 transcript:Vigun05g119200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKSEECCQENKQSTAASCSSVSEGSGSAIHKSPGICSPASTSPSHRRTTGPIRRAKGGWTAQEDETLRNAVAVFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVTKYGPTKWSLIAQSLPGRIGKQCRERWHNHLNPEIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLHGRTDNAIKNHWNSSLKKKLDFYLATGRLPPIPKNSPQVTVKDTIRRSASKSILVYSNKELNAAVETSSETTAISKLDDSGRNQFESSVTVREVGDSSSVPANESADSDCVECKPGSSNIDLSCSNSEQVSKANWAITYGPRPDNSGLNGNSTFEHCTNNGNMSTTRLIGTSLQESPTCGSLCYEPPQLHGSVPIDSLYLNYICQQNEFCSSPTLSPLGFFTPPRVKGDELCTETPESILKRAANTFPNTPSILRRRKTGFQALTSPSKVLKVDNDTRSSNETERTNDDSGSRHFSRSPASHGNVIDIPSNKTFNASPPYRLRSKRTGIVKSVEKQLEFAFDKEKNDDKRSNVMTEDCLHEKKLVAT >Vigun05g119200.1.v1.2 pep primary_assembly:ASM411807v1:5:12953032:12962725:-1 gene:Vigun05g119200.v1.2 transcript:Vigun05g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKSEECCQENKQSTAASCSSVSEGSGSAIHKSPGICSPASTSPSHRRTTGPIRRAKGGWTAQEDETLRNAVAVFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVTKYGPTKWSLIAQSLPGRIGKQCRERWHNHLNPEIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLHGRTDNAIKNHWNSSLKKKLDFYLATGRLPPIPKNSPQVTVKDTIRRSASKSILVYSNKELNAAVETSSETTAISKLDDSGRNQFESSVTVREVGDSSSVPANESADSDCVECKPGSSNIDLSCSNSEQVSKANWAITYGPRPDNSGLNGNSTFEHCTNNGNMSTTRLIGTSLQESPTCGSLCYEPPQLHGSVPIDSLYLNYICQQNEFCSSPTLSPLGFFTPPRVKGDELCTETPESILKRAANTFPNTPSILRRRKTGFQALTSPSKVLKVDNDTRSSNETERTNDDSGSRHFSRSPASHGNVIDIPSNKTFNASPPYRLRSKRTGIVKSVEKQLEFAFDKEKNDDKRSNVMTEDCLHEKKLVAT >Vigun09g185900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35935884:35936653:1 gene:Vigun09g185900.v1.2 transcript:Vigun09g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSCSRSKSNGGWLSCWGCLKMKLPWTKKTSSYKPVGGFKYDPLSYAQNFDDGLLEDDEESLQRGFSARYAAPSSHKLLKQ >Vigun04g065700.1.v1.2 pep primary_assembly:ASM411807v1:4:7262941:7268054:1 gene:Vigun04g065700.v1.2 transcript:Vigun04g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMIFVCMVFFVSPIWGNPLVPALYLFGDSSIDNGNNNLLPTLARANFFPYGIDFALASTGRFTNGKTIADYFAEYLGLPYPPPYISVGSSRSLTGINYASASCGILPETGANFGRCLNLRDQVNLFQNTVENDLPVMINSIELSEHLSNSIYVFIIGTNDYISNYLGTKTYQSISFAELLITTISDQVKRVYELGGRNIMISEIRPVGCMPRISRVYPHAGPCVEELNQMVTCFNQRLPPLIKNLTSTLPASNFVLIRSNSIEYDAIRNPSKYGLSDASNPCCRSWGNGTEGCIPLSAPCQDRSRYVYWDGYHLTQTVHSIISSQCISNRSVCSPVTIEDLVKI >Vigun04g065700.2.v1.2 pep primary_assembly:ASM411807v1:4:7262941:7268054:1 gene:Vigun04g065700.v1.2 transcript:Vigun04g065700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMIFVCMVFFVSPIWGNPLVPALYLFGDSSIDNGNNNLLPTLARANFFPYGIDFALASTGRFTNGKTIADYFAEYLGLPYPPPYISVGSSRSLTGINYASASCGILPETGANFGRCLNLRDQVNLFQNTVENDLPVMINSIELSEHLSNSIYVFIIGTNDYISNYLGTKTYQSISFAELLITTISDQVKRVYELGGRNIMISEIRPVGCMPRISRVYPHAGPCVEELNQMVTCFNQRLPPLIKNLTSTLPASNFVLIRSNSIEYDAIRNPSKYEVGEMELKDASHFQHHARIVADMCIGMVIILHKLCIQSYHLNVSAIDLCAHQ >Vigun11g149800.1.v1.2 pep primary_assembly:ASM411807v1:11:36004513:36010808:-1 gene:Vigun11g149800.v1.2 transcript:Vigun11g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQRRGGLVPLSPSQTARSGDKLPRDLRSADSNSGGHSKFDKDKGVNVQVLVRCRPLSEDEARLNTPIVISCNEGRREVSAVQNIANKQIDRTFAFDKVFGPNSKQSELFEQAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILESQIAEYSMKVTFLELYNEEITDLLAPEETLKFVDDKSKKPIALMEDGKGGVFVRGLEEEVVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIVATISPSIHCLDETLSTLDYAHRAKNIKNKPEINQKMVKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEVEKKAMVEKIERMEQEAESKDKQLVELQELYNRQQLLTVELSDKLEKTEKSLEENEQSLFELEEKHTQANATIKEKEFLIFNLLKSEKTLVEHAIELRADLENAASDVSNLFSTIERKDKIEEGNRLLIQKFQSQLAQQLEDLHKAVAASVMQQELQLKAMEQDMHSFVSTKAEAIENLRVQVGKLKNMYGSGITVLDSLAEELKGNNQLNFGELNSEVAKHSSALEDLFKGIALEADSLLKDLQSSLQKQEAKLTDYARQQEAAHARAVENTRAVSKITVNFFETLHMHASNLIQIVEESQCTNDQKLYELQKKFEECTAYEEKQLLEKVAEMLASSSSRKKKLVQMAVNDLRESANAKISKLRQETLTMQDSTSSIKAKWKIHMEKTESNYHEDTSAVESGKNDLVEVLQYCRKKAEVGAQQWRNAQESILSQEKRNAASVDTIIRGGMKANNLVRARFSGAASTTLEDAEIANTDIKSSIEHSLQLDRDACGNINSRIIPCCGDLRELKGGHYHRIIEITENAGKCLLSEYVVDEPSCSTPRKRPFNLPSVSSIEELRTPPFEELLKSFWDSKSPKHGNGDVRYIGAYEAAQSVRDSRLPLIAIN >Vigun05g239600.4.v1.2 pep primary_assembly:ASM411807v1:5:43265318:43266235:-1 gene:Vigun05g239600.v1.2 transcript:Vigun05g239600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFPARRQLMRSKMKLSLCASNDLASRKGYLEENLKLANDLKDGVGGEQSIQYLTKLEMISLSGFLN >Vigun07g198300.1.v1.2 pep primary_assembly:ASM411807v1:7:31839497:31843733:1 gene:Vigun07g198300.v1.2 transcript:Vigun07g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTGIGALSLIHCFSKSHTLSFASFRTLPRFTCSFSSSINFNISFAPPKPKPKPKSEPNPDLDLQPDVSSEPDGPLLIPWIVRGEDGNLKLQSEPPPSLLKVIATAQTGTRKDSNQTKTTAATSKPQKIRSTAPPQHSKAARRFYNQNIKEPSGARLSKVLAASGVASRRSCEELIFEGKVTVNGSVCNTPQTRVDPAKDVIYVNGNRLSKRQPQKVYLALNKPKGYICSSGEKESKSVISLFDDFLNTWGKKHPGVPTPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSFNLSKEYIATVDGSIYKRHLIAISEGTTIEGVHCVPDAVELLPRQADMQRARIRIVVHEGRKHEVRELVKSAGLEIHSLKRVRIGGFRLPPDLGLGKYIELNTTNLNALGWKS >Vigun05g013200.3.v1.2 pep primary_assembly:ASM411807v1:5:1047175:1049978:1 gene:Vigun05g013200.v1.2 transcript:Vigun05g013200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPPNWSQQQPNPPAGIGNQNPHIPPPLQPSPSSVTAAIGGGGSCGSYPGSIRPGSMADRARMAKIHQPDAGLKCPRCESANTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSGGRSKSPMKPSTGSTSASANSSSSGCTTDHVMMTHFPTPTQTQFPFLTSLHHYNSDYGGIGSHFGTMTTTTPLVARNSSDVEFQIGTGSASSLGNSMLSNGLGEQWRLNNLQQQVQQQFPFLSTEPQIGLFQFGGGENNNAEPPSYAKDGVRFNIRSKLDSISGLSGLMPQVNTIKMEENIQGLNLPKNLLMGGSGNDALWNGSGNAWSEVPCFTPSNNHLL >Vigun05g013200.4.v1.2 pep primary_assembly:ASM411807v1:5:1047174:1049395:1 gene:Vigun05g013200.v1.2 transcript:Vigun05g013200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPPNWSQQQPNPPAGIGNQNPHIPPPLQPSPSSVTAAIGGGGSCGSYPGSIRPGSMADRARMAKIHQPDAGLKCPRCESANTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSGGRSKSPMKPSTGSTSASANSSSSGCTTDHVMMTHFPTPTQTQFPFLTSLHHYNSDYGGIGSHFGTMTTTTPLVARNSSDVEFQIGTGSASSLGNSMLSNGLGEQWRLNNLQQQVQQQFPFLSTEPQIGLFQFGGGENNNAEPPSYAKDGVRFNIRSKLDSISGLSGLMPQVNTIKMEENIQGLNLPKNLLMGGSGNDALWNGSGNAWSEVPCFTPSNNHLL >Vigun05g013200.2.v1.2 pep primary_assembly:ASM411807v1:5:1047175:1049978:1 gene:Vigun05g013200.v1.2 transcript:Vigun05g013200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPPNWSQQQQPNPPAGIGNQNPHIPPPLQPSPSSVTAAIGGGGSCGSYPGSIRPGSMADRARMAKIHQPDAGLKCPRCESANTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSGGRSKSPMKPSTGSTSASANSSSSGCTTDHVMMTHFPTPTQTQFPFLTSLHHYNSDYGGIGSHFGTMTTTTPLVARNSSDVEFQIGTGSASSLGNSMLSNGLGEQWRLNNLQQQVQQQFPFLSTEPQIGLFQFGGGENNNAEPPSYAKDGVRFNIRSKLDSISGLSGLMPQVNTIKMEENIQGLNLPKNLLMGGSGNDALWNGSGNAWSEVPCFTPSNNHLL >Vigun05g013200.1.v1.2 pep primary_assembly:ASM411807v1:5:1047174:1049395:1 gene:Vigun05g013200.v1.2 transcript:Vigun05g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPIYLDPPNWSQQQQPNPPAGIGNQNPHIPPPLQPSPSSVTAAIGGGGSCGSYPGSIRPGSMADRARMAKIHQPDAGLKCPRCESANTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSGGRSKSPMKPSTGSTSASANSSSSGCTTDHVMMTHFPTPTQTQFPFLTSLHHYNSDYGGIGSHFGTMTTTTPLVARNSSDVEFQIGTGSASSLGNSMLSNGLGEQWRLNNLQQQVQQQFPFLSTEPQIGLFQFGGGENNNAEPPSYAKDGVRFNIRSKLDSISGLSGLMPQVNTIKMEENIQGLNLPKNLLMGGSGNDALWNGSGNAWSEVPCFTPSNNHLL >Vigun07g197800.1.v1.2 pep primary_assembly:ASM411807v1:7:31787533:31791849:1 gene:Vigun07g197800.v1.2 transcript:Vigun07g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCNRCFFTLCPLLLLLTLPSLSGADLVFDFSSKIRDLNLSPSQDVNIVRDPNFHANKLVEKPLRFPNLLPPLSGVSLDNLTHSAGYYPIAHSHAARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFKIANNMSLLWNDYGWDKVSNLLYVDQPTGTGFSYSTDNRDIRHDEEGVSNDLYDFLQAFFAEHPEYAENDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYADYALDMGIIQKTDYDRINKLMVPACELAIKLCGTDGTIACTASYFVCNTIFNSILSHAADDINYYDIRKKCEGSLCYDFSNLEKFLQLESVREALGVGDIAFVSCSSTVYQAMLVDWMRNLEVGIPALLEDGINMLVYAGEYDLICNWLGNSKWVHAMEWSGQKEFVSSLEVPFKVDDSEAGLLKTYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTQGTLSESGDDVKKLIAEM >Vigun07g197800.2.v1.2 pep primary_assembly:ASM411807v1:7:31787533:31791849:1 gene:Vigun07g197800.v1.2 transcript:Vigun07g197800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCNRCFFTLCPLLLLLTLPSLSGADLVFDFSSKIRDLNLSPSQDVNIVRDPNFHANKLVEKPLRFPNLLPPLSGVSLDNLTHSAGYYPIAHSHAARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFKIANNMSLLWNDYGWDKVSNLLYVDQPTGTGFSYSTDNRDIRHDEEGVSNDLYDFLQAFFAEHPEYAENDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYADYALDMGIIQKTDYDRINKLMVPACELAIKLCGTDGTIACTASYFVCNTIFNSILSHAADDINYYDIRKKCEGSLCYDFSNLEKFLQLESVREALGVGDIAFVSCSSTVYQAMLVDWMRNLEVGIPALLEDGINMLVYAGEYDLICNWLGKCLCL >Vigun11g117350.1.v1.2 pep primary_assembly:ASM411807v1:11:32306586:32309513:-1 gene:Vigun11g117350.v1.2 transcript:Vigun11g117350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENLMQLLQCLLFSLSLSLSFLHKQQHVHPLYALYFFSIHRSLSVPSCFSSTHLNLYITTILSFILLLLLLTSSFCLLFLQICFNNIVAMRGRGDRFNGILVRFNKKAMLKPFSGPVFSLSRANITSHCFFSFHSSIASFISETCKICR >Vigun09g102000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:17447213:17448168:1 gene:Vigun09g102000.v1.2 transcript:Vigun09g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKVGLEDVLRYFDEDGDGKVSALELSQGLGMMGGELLMKEAEMAIAALDSDGDGLLSLEDFVTLMEGGGEEQKLKDLKVAFEMYDTEGCGFITPKSLKRMLKKMGESKTLHQCKAMIKHFDLNGDGFLSFQEFRIMMQ >Vigun01g044900.2.v1.2 pep primary_assembly:ASM411807v1:1:6641743:6648035:-1 gene:Vigun01g044900.v1.2 transcript:Vigun01g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTDSPVHSSNSDDFIAFLDAELGASSPESSPDKEAENEDELESVRIKRHKIESTEETEGSTSEGIMKQNLETSTKVDVCTHPGSFGSMCIRCGQQLDGKSGVTFGYIHKGLRLHDEEISRLRNTDMKSLLCRKKLYLVLDLDHTLLNSTLLAHLSSEESYLLNQTDSLRDISKGSLFKLDYMHMMTKLRPFVRSFLKEATEMFEMYIYTMGDRPYALEMAKLLDPQGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWVKHKDNLILMERYHFFASSCRQFGFNCKSLAELRNDEDETDGALAKILKVLKQVHCTFFDKHQEDDLINRDVRQVLSSVRSEVLSGCVIVFSRIFHGALPSLRKMAEQMGATCLAEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANYFWEKQPEENFIIKKKQ >Vigun01g044900.3.v1.2 pep primary_assembly:ASM411807v1:1:6641743:6646630:-1 gene:Vigun01g044900.v1.2 transcript:Vigun01g044900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLETSTKVDVCTHPGSFGSMCIRCGQQLDGKSGVTFGYIHKGLRLHDEEISRLRNTDMKSLLCRKKLYLVLDLDHTLLNSTLLAHLSSEESYLLNQTDSLRDISKGSLFKLDYMHMMTKLRPFVRSFLKEATEMFEMYIYTMGDRPYALEMAKLLDPQGEYFNAKVISRDDGTQKHQKGLDVVLGQESAVLILDDTEHAWVKHKDNLILMERYHFFASSCRQFGFNCKSLAELRNDEDETDGALAKILKVLKQVHCTFFDKHQEDDLINRDVRQVLSSVRSEVLSGCVIVFSRIFHGALPSLRKMAEQMGATCLAEVDPSVTHVVATDVGTEKSRWAVKENKFLVHPRWIEAANYFWEKQPEENFIIKKKQ >Vigun05g054200.1.v1.2 pep primary_assembly:ASM411807v1:5:4645184:4651397:1 gene:Vigun05g054200.v1.2 transcript:Vigun05g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGTDNYDRELEDVIDKLIGECDRKIGRALKRLEDEDAKAAIAISVSEVTQTPEVLELSKEIKEKLKEADQYDLEGKTDLKIRALEVVEELRTKRADKQSMLLLDAFNKDRASLPTPLPNPPPLAPLPVVAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKSRKTDRHEDRRSKERSRDRDRESSRDRERGDSRERGRDHDRRSRDRDRHYDRDRGYERDRDRDSDRTRSYESRSRRRSRSRSRERSRDYDRHRRHDRY >Vigun05g054200.2.v1.2 pep primary_assembly:ASM411807v1:5:4645191:4651379:1 gene:Vigun05g054200.v1.2 transcript:Vigun05g054200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGTDNYDRELEDVIDKLIGECDRKIGRALKRLEDEDAKAAIAISVSEVTQTPEVLELSKEIKEKLKEADQYDLEGKTDLKIRALEVVEELRTKRADKQSMLLLDAFNKDRASLPTPLPNPPPLAPLPVVAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKSRKTDRHEDRRSKERSRDRDRESSRDRERGDSRERGRDHDRRSRDRDRHYDRDRGYERDRDRDSDRTRSYESRSRRRSRSRSRERSRDYDRHRRHDRY >Vigun03g363700.2.v1.2 pep primary_assembly:ASM411807v1:3:56724005:56732294:1 gene:Vigun03g363700.v1.2 transcript:Vigun03g363700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYQTIHVAAQFGQTAFLYHVVSKWNADPDVPDNHGKSPLHWAAYKGFADCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMLADYTGLTPAQLASNKNHRQVAFFLGNARRLLDKRCDGNSRLGRISKLGLAPILWCIIFVLLVTYIHSVILATKLPKLTAAAGLLAWFGVLLATVGLVMFYKCSFKDPGYIRMNMRDTQDSKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFAFLILEVSAMLVTGGVCLTRVLSDPLAPHSFGAWIHYVGKNHPGAISFLIAEFFIFHGVFALTVVQANQISRNITTNERANAMRYSYLRGPGGRFRNPYDHGIKKNCSDFLINGYNEDVECIEETGQSEEGTGMMNIARSSNLTNGESHTRGHGNGQYVINVNSNSTNSKTHNGHVHSSHCSHNNHGKTRNFSAPLGLGLGLGRNSRSVTPSSS >Vigun03g363700.1.v1.2 pep primary_assembly:ASM411807v1:3:56724005:56732267:1 gene:Vigun03g363700.v1.2 transcript:Vigun03g363700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVQSRDEQSLASGGGDAVPDESLRNDVYTAASYGDLEKLKRLVEEEGCPVTEPDGLGYYALQWAALNNWTAVAQYIIEHGGDVNAKDHTGQTALHWSAVRGAIQAAELLLQEGARVSAADMNGYQTIHVAAQFGQTAFLYHVVSKWNADPDVPDNHGKSPLHWAAYKGFADCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMLADYTGLTPAQLASNKNHRQVAFFLGNARRLLDKRCDGNSRLGRISKLGLAPILWCIIFVLLVTYIHSVILATKLPKLTAAAGLLAWFGVLLATVGLVMFYKCSFKDPGYIRMNMRDTQDSKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFAFLILEVSAMLVTGGVCLTRVLSDPLAPHSFGAWIHYVGKNHPGAISFLIAEFFIFHGVFALTVVQANQISRNITTNERANAMRYSYLRGPGGRFRNPYDHGIKKNCSDFLINGYNEDVECIEETGQSEEGTGMMNIARSSNLTNGESHTRGHGNGQYVINVNSNSTNSKTHNGHVHSSHCSHNNHGKTRNFSAPLGLGLGLGRNSRSVTPSSS >Vigun07g141600.1.v1.2 pep primary_assembly:ASM411807v1:7:25172588:25177992:-1 gene:Vigun07g141600.v1.2 transcript:Vigun07g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKHRDALKTVRIPSISLPQSTSSRGGGGGPVIELVSTSLLNPNRSYAPLSTDDPGNSSRGPNAVNLGLPPAWVDLSEEISANVQRARTKMAELAKAHAKALMPSFGDGKEDQHAIETLTYEITDLIKRSEKRLRRLSASGPSEDSNVRKNVQRALATDLQNLSVELRKKQSTYLKRLRLQKEGQDGVDLEINLNGGKSRFEDDDLDNMVFNEHQMAKLKRSETFTVEREKEIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATKVEDGLKQLQKAERTQKKGGMVMCATVLVIMCFVMLVLLIIKEIVL >Vigun09g085300.2.v1.2 pep primary_assembly:ASM411807v1:9:11039400:11043231:1 gene:Vigun09g085300.v1.2 transcript:Vigun09g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVNFKWFDGFFLSVLATNTAIVIINWNLYQSCTYPLHIWTVVDYSVVFTFRLLMFIDNGLASRLRDFGWALRCANFYGRVVVLSILAFLLYPFLWAWTIIGTLWFIDTKICLSGGGQKWSFIIWLLFSYCGLLCIASLTAGKWLKRRQLHLLNSPEGMHISAFGIIMEMIQVPDWAFEAASTAQNATFHPGLYLTEAQKEAMEASIQELPTFKLDAVPTTCNECLICLEEFCAGNQVRGLPCGHNFHVECIDEWLRLNVSCPRCRCSAFPNLNLNAISDLHFDPEDFSNTSITRTHDLNQTTR >Vigun07g071000.16.v1.2 pep primary_assembly:ASM411807v1:7:8882880:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.10.v1.2 pep primary_assembly:ASM411807v1:7:8882880:8886598:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSRSVRFQDELETNKLMENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.15.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886599:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.13.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.9.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886598:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.4.v1.2 pep primary_assembly:ASM411807v1:7:8882880:8886598:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSRSVRFQDELETNKLMENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.1.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886123:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSRSVRFQDELETNKLMENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.19.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886598:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.17.v1.2 pep primary_assembly:ASM411807v1:7:8882880:8886600:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.8.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.11.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.3.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886598:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.6.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.12.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886600:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.14.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886600:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.5.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886123:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRSRSVRFQDELETNKLMENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.7.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886600:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.2.v1.2 pep primary_assembly:ASM411807v1:7:8882884:8886749:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKHLSLVAYEEMSEKHKVEKDAIGKSGDGRELSRVFSEDYDSTQILILDPRGPTINTWNKIFLAACLLSLFVDPLFFYLPVAKKEKCIDMSTGLEVFLTIIRSLIDAFYIIQIYFRFQTAYIAPSSRVSGRGELIIDSSKIASNYMRKDFWLDLVAAQPLPQVLIWAVIPNLKGSQMIASRHVLRLVSIFQYLLRLYLIYPLSSEIVKANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun07g071000.18.v1.2 pep primary_assembly:ASM411807v1:7:8882880:8886599:-1 gene:Vigun07g071000.v1.2 transcript:Vigun07g071000.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFWLDLVAAQPLPQANGVMMEKAWAGAAYNLMLYMLASHVLGSSWYLLSIERQNECWKKACTLQYPQCQYHYLDCQSMADPDRIAWLRSSNLSSLCDQNSDFFQFGIFTDALDLEITASKFFNKYYYCLWWGLRNLSSVGQNLLTGTRVAEINFAVIIAVLGLVLFALLIGNMQTYLQSTTTRLEEWRIRRTDTERWMRHRQLPRYLKQNVRRHEQFRWVATRGVDEETILRDLPTDLRRDIKRHLCLNLVRQVPLFDQMDERMLDAICERLKPSLFTPGACVVREGDLVNEMIFIVRGRLDSCTTNGGRTGFFNSCRLGSGDFCGEELLPWALDPRPTVVLPSSTRTVKAITEVEAFALIAGDLKFVAAQFRRLHSKQLRYTFRFHSHQWRTWAACFIQAAWFRYKRIKETSELKRKEIMMMAFVPGNGTEHFSAPLQAPMGTMYAAKLASSPRKGRSLRYGPELDILGSLRKPLEPDFTDDDDDG >Vigun10g136366.1.v1.2 pep primary_assembly:ASM411807v1:10:35024352:35024862:-1 gene:Vigun10g136366.v1.2 transcript:Vigun10g136366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRNKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKY >Vigun07g216600.1.v1.2 pep primary_assembly:ASM411807v1:7:33831319:33833639:-1 gene:Vigun07g216600.v1.2 transcript:Vigun07g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANMVCKKADVDMNKRAGELSAAELDNLMTVVANPRQFKIPDWFLNRKKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Vigun06g234100.1.v1.2 pep primary_assembly:ASM411807v1:6:34003993:34009028:1 gene:Vigun06g234100.v1.2 transcript:Vigun06g234100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESQLLRRHFDYGGAPVPLVSRRSLRFPFWNSVHANTDPNPKNHLSLRVKMQAQAETVMKPVSDNKIPHVLTVAGSDSGGGAGIQADLKACAARRVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLQSVLSDMHVDVVKTGMLPSLNIVKVLCQILRKFPVKALVVDPVMKSSSGDVLAGSSVLAGFLEELLPMSDIVTPNIKEASVLLGGVPLKSVSDMRTAAKLIHDMGPRNVLVKGGDLPNSLDSVDVFFDGEEFYELCSPRVNTRNSHGTGCTLASCIAAELAKGSSMLYAVQTAKHYIDAALDYSRDLAIGNGVQGPLDHFFELKNINHSSWRQNMFNPKDLLLYAVTDSGMNRKWGRSIAEAVKAAVEGGATIVQLREKDAETRDFLDASKVCLEICRSYGVPLLINDRLDVALACDADGVHVGQSDMPVRLARSLLGPEKIIGVSCKTPEQAHQAWIDGADYIGCGGVYPTNTKENNRTIGLDGLKQVCQASKLPVVAIGGIGLSNARAVMEIGEPNLKGVAVVSSLFDRESILSETRNLHALVSQEALLVQ >Vigun08g190400.1.v1.2 pep primary_assembly:ASM411807v1:8:35811991:35818560:1 gene:Vigun08g190400.v1.2 transcript:Vigun08g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHCEEEECVGFSYDVFISFRREDTGNSFIGHLRKELGRKGINSFVDESDVSTGEGPSHALCEEAIEESRVFIVVFSENYASSTWCLDELVRIMERIDNMNTKQLFFPVFYHVNPSDIRHGKNSFREHMKTHEDKFGKESQRIKAWSSALSKAVNYSGKHITTTGYENKFIEKIVKKVRKSIAPKPLSTGENLVGLEPHIEEVMSLLDMKPKDKTVRMLGIYGFGGIGKTELAKALYDNIVQHFDAASFLGGVGEKSNTINGMEDLQKTLLSEMLEELETKLGSTEKGIYEIKRKLHQKKVLLVLDDVDDKEELEKLAGGCDWFGPGSRIIITTREKDVLIAHHVGNIYEMKELDEQHSLELFCWNAFGQGCPKPGFQDVSVRAVHYAKGLPLALKVIGSDLATLHEESLEAWEDALEEYENTPPKKDIQDVLKISYDRLDNDAKQVFLDIACFFKGERVEYVNKILDEFGSASKIKLLANKSLVAVDKGCLKMHDLIQEMGREIVRNEAPNNPGERSRVWDYEDVLEILNEDYGSDKIQGIVLDPPQEEKVNWSGTEFEKMKWLRILIVRNTSFSSELQHLPNHLRLLHWENYPSKSFPPKFHPKKIVVFNLPRSRLTFQEPFKKFPCLTNMDFSYNQRLIEIPDVSELENLRELRLDHCRNLIAVHESVGFLKRLAHLSVYACTELQNFVSKMFLPSLKVFDINLCESLGYFPEIMQEMTKPLKISMINTGIQVLPESIGKLIGLVSIDISNNRKLKYLPTSLFMLPNVDSFKIESCSKLGESFRSLVQHPSEANVRPKLRSLNFENGNLSDEDLLAILCYFPKLEELIVAENNFVFIPSCIKECGDLTSLDLHGCKKLKKIPELTGLRILDVHHCFYLEEISELPSTVQKVDARFCFKLTRETSDMLWCQVKKRAGGIEMVMPFITEIPEWFNFVGVERIPHFWVRGKFPNIVLAMIFHFENESDREKLVRHRLVDLRILINGRYAPGKGYRSYEIEAEHILICDLGVFLSEKEWLGLDVENEWNLVQVEYEASSSLMISGWGAFVYEEKEGSNMEDILFTCPNPMYSDKIAAATIPEKDPMEKYKKRIRELRLDQLFKKTLTEWQENRERGGDRSHDDCMRRALGQIKKISEDAEDALNSKGSALEDPNSYLRWLLDTSENDDGEPKEIIKGELALIVQKNSPTGKKKDNVGHASCSRHQCRMEEEEGYDPVVEAPSMPFYTRVMRKRRGNDSVEEDLPEDIVVELFLEGMRDGLVEAQNKFPCLDIAETSNAVMEKGCNVRWPPEVEAQMSVQSRIYTIGIYSGLSEAMQRFPDLDMWATINTVAKRKGMEGIFVSASQANLGFPHLDWSTVTFSPSQDPLMQTFMRMKQQSNFEAEVMSKLLWKLKEEHQALRNKLAELDDGNENGGGKIGYDEFVEKREDNLDGVAKYKEVSVVLRGRGEEIERLYEDGVEGLKRSEEFEDLMGAIYLNGLRAGLLEAHALLLNLLARHRN >Vigun07g041700.3.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRRLGTAVGAAVATAYGGSILLSPPVSVSDGGSHLAAVREKIHDPFAAVPSREVQRSALIGAGATSPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCQALPCMTPCFDWFEVLYYWIGLKMYDLVAGARLLHLSRYYSAKESVELFPTLAKEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.4.v1.2 pep primary_assembly:ASM411807v1:7:4088034:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVWSEVANDFGGYVSLREQVGMILPGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCQALPCMTPCFDWFEVLYYWIGLKMYDLVAGARLLHLSRYYSAKESVELFPTLAKEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.10.v1.2 pep primary_assembly:ASM411807v1:7:4088034:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.12.v1.2 pep primary_assembly:ASM411807v1:7:4088034:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.9.v1.2 pep primary_assembly:ASM411807v1:7:4087466:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.8.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.6.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.11.v1.2 pep primary_assembly:ASM411807v1:7:4088034:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.2.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRRLGTAVGAAVATAYGGSILLSPPVSVSDGGSHLAAVREKIHDPFAAVPSREVQRSALIGAGATSPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCQALPCMTPCFDWFEVLYYWIGLKMYDLVAGARLLHLSRYYSAKESVELFPTLAKEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.1.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRRLGTAVGAAVATAYGGSILLSPPVSVSDGGSHLAAVREKIHDPFAAVPSREVQRSALIGAGATSPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCQALPCMTPCFDWFEVLYYWIGLKMYDLVAGARLLHLSRYYSAKESVELFPTLAKEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.7.v1.2 pep primary_assembly:ASM411807v1:7:4086955:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun07g041700.5.v1.2 pep primary_assembly:ASM411807v1:7:4088034:4095705:1 gene:Vigun07g041700.v1.2 transcript:Vigun07g041700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVWSEVANDFGGYVSLREQVGMILPGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCQALPCMTPCFDWFEVLYYWIGLKMYDLVAGARLLHLSRYYSAKESVELFPTLAKEGNGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDDAGERIIGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKSARDLISPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITFLPEPHEDEIQFILDAISDYLNVKVRRTDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLTATNGCVTNNLRIVGGEGWDPASFTILSQQYVRMKVTYKGKVVPGVMDTAAAKHLSHAYGTLAERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESAIDFISRRSRLAFLDTDAAGRALPRVIQILGAEHKWDKTRQKQELQKAKEFLETFKSSKNAQFHDGKHN >Vigun08g202800.1.v1.2 pep primary_assembly:ASM411807v1:8:36672231:36675553:-1 gene:Vigun08g202800.v1.2 transcript:Vigun08g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSRMMSFLPILLISCFFCVGKATDCGGNHVTETIIVGKEGDATFSTIQEAIDSVKINNDQWIKIHIQAGLYIERVTIPREKSCIILEGEGNSTTTISYADHRSINHNATFTSLASNVVASGITFKNSYNLVNKRYKSYNVGSKIEQANAARLHGDKYFFYNCSFLGYQDTLYDHWGRHYFKDCYIEGEIDFIYGSGQSFYENCWINVIGRFHSAGYVTAQGRTSPDDPDGFVFEGGSLIGNGKVNLGRAWKAYSRVIFHKTYFSDIVTPQGWNAWHYAGNESGITYAEVDCEGAGADTSKRVPWMKTLNASEMEEFSLASFINKDGWVDNLPIQSS >Vigun03g427700.1.v1.2 pep primary_assembly:ASM411807v1:3:63282554:63282907:1 gene:Vigun03g427700.v1.2 transcript:Vigun03g427700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTSKVVFRTVVVALLLLLVFYIGRPLYWKISATVHDIRNNKQTVRQGISQIVMEAQKSVGWYHDESDSGVRANNRKLLLHNPLSSLFA >Vigun03g183700.1.v1.2 pep primary_assembly:ASM411807v1:3:23804465:23819490:1 gene:Vigun03g183700.v1.2 transcript:Vigun03g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFKLPRRNPGKNEEPENVDPSDSSTTVQRHAEGSRPPLNTIQEPDVHCVSKIERTPSKTNNKGRGGAELRTPDKHGGGSMVWKHRFGWNHKNDSVSSFGDDRRGSVAGNVTPRVPRTVGRASSSVTACSESNSTQSTPTKSVTKPPPSSSVRSKADGGGFSARLGNYAALYKGVPSSACMAPTVVNTVEVPHFDLKEDSSFWINHNVQVIIRVRPLNSMERCTQGYNRCLKQESSQSISWIGHQENRFTFDHVACETIDQEMIFRLAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLDVKPSPDRGMTPRIFEFLFARIQAEEESRRDENLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEVQSVSDIIRLLIQGSANRKVAATNMNRESSRSHSVFTCVIESTWEKDSTTNYRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMILVDVANGKQRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQHQIRLLKEELSTLKRHQNVSRSLSFSLASFRDIKQSLELEDCCLENETDLVDQCEENMSDYESKGIRMSHKQLKSLETTLAGALRREQMAEISIKQLEAEIEQLNHLVRQREEDTRSCKMMLRFREDKIRRLESRLAGSIPTDTFLQEENKRLSEENQILQGKLDRNPEVTRFAVENIRLLDQLRRYQEFYEEGERDILLAEVSSLREQLLQYHGRNSIQRSSIHDTQLQEVQCCKKENSSFDQELRNTLDELHECRRNLNYCLEENAKLSREIDSLHSMLSNATATKASTKGPFVDAQAVPQMGVKHETQMLNHTEDVLNLQLELDIMKVILKEERTFRGILEEQTTCLNQDLFMTKDSLKLTSKQLEDANDELKEAKSVIEALESQQILSIKEIEEIRNKNSHYLELMGKQENEITTLKNQLVSKEFRDSFPSDHLEFENKSPLQVRLRRMHDSLEKAKQLNMSYQNDRAFQISNEEEMDEIRRQAEAETAEVIVCMQEELALLQHQVNDSHMKEKEMEESMLNLETELKEVYKKLHTTIDDNQILKEEIGQKDIDLIALAEEWELLTSEIEEILFDGCEALVDASDQLGDIRNSFPQKRIWISEQVGLVVRKISEKELLIDELRRCLEDASNKRSDMESMLKSLRSATLVITESHQKECAEKEKEILLLTSQLSDKTSIVANLKEKLIMAQDHSRKTSNCATAAFVVVNRLSEVNLGYLDDLKHKGIQLSQLAEANQTKDALLNDQSASLAQAERQVAKLQERCNELGQKLSEEQEHFCALAREHISRVSNCATAAFVVVNRLSEVNLGYLNDLKHKDIQLSELAETNQRMDALVIEQSTSLVEAERQITELQERCNELWQKLSEEQEHSCALEQKLGDIEKNDISKTKEQLLILQDGVSSLRSCMTSFADLSGSLDNRKSLDACTSNCDDIGEPRNCSETYTNNDSDPHSVEEPIVDQADLDKCGYYMNDSKSRRVSKDVHERDVTISLLRKEIECALESLKEVQYEMARLHEEKKEMSLCEMKSQQSIECLTKQILFLQEAMNHFEEKSKLKIEVLSQKLRNLEKPLKEASSHWYQKKELLELEVGEAKILQAQKSQEASCILAKFEEAQDTMKEADIMINGLVIANESMKIDIKILKDREMTLLNENGILVSNIESLQTVVDLKDREIEDLVESSLVETRDLTVKLDDVIKEVQLMTTENFMSLACDLECLKSQCLYSTNLIQPWLEKIWSEIVFKDCALSVLHLCHMGILLETVTGMHAENGLLSHGLCESNSVISDLKEHNYRTKQELDMCRILKGKLLADIKNSFDRITKKEVEAAEITSKLNIFAKNISDLQLQEEMMLQRSNEMGSQLAKLMRELDVSNIDIVTSLLDQEALLKQKVEAIDSEADLFMTDWYAKDFESLIYASELKYMSCNIANMEEYFVKHCILIEQLKKEVILSQVETKLAEQVLMDKEVELSLLEREVRQTKVERKDLLAELNQNVLRITEMGEVNKVLEQNIESLKDVTCSNNALKGELVDVKETRKRLLDKILDLEADYDKVIADVIEKDVASEFSFQQISLLEHQNTALKKVNCMLENSSCKLENEMTLKDSELTRMQNLLEVELSRKDDVIKGLLYDLSLLQESASNSKDQKDEIEKILVTMEALEADLAVKSGELAEAIANCQLLEAQLQDKSDMIRALELDFSKEREALQLQVSDNQELRNNIEEALAARKLSDNELREKMKITESLEDEILEMNSVISQMNDSIKSLSCDLDELTIERDQLQGQVICLKVRLEKAEAQAEANEAIAQETQEVAETRRIYAEDKEEEVKLLERSVEELESTINVLENKADIIKEEAERQRLQREDLELELHALKDMMQNVKNVGDMRRFLDEKEKGLNEAQNHIQVLKRELAGKDTEIQQMKAHISELNLHAEAQAKEYKQKFKALEAMAEQVKPEGLSSHSSSANSCNALSNKSEKNATKSRGSSSPFKCIGLGLAQQVKYEKVEELSAARQRIEELEAQAACRQKEIFALNAKLASAESMTHDVIRDLLGVKLDMTTCVSLFDNEQAEDITEKVKFLTLDPQDKEVVKLKKQLNEFIEERQGWLQEMDRKQAELIAVQSALENLRQRDQLLKTENEMLKMENTSKKNKVLDLEEEIKKLSGQQNLQQRIHHHAKIKEENNKLKAQNEELSAKLRRAEVFLSRVKEDLARLRSSVGVKTSINFDEEQRLMIKLKEIEEEKVELAQQLLRLSTNVLKVAGISRPTSDINPSVAEEALQELKNRITTLEMEQEDLKFKNKIIKEKIRLSELMPQASPLNSRSEENRLTPPRASLAPFLSTFDR >Vigun08g011100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:983467:984219:-1 gene:Vigun08g011100.v1.2 transcript:Vigun08g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGMRMSGSKQVKKGAKASSRKGCMRGKGGPENASCTFKGVRQRTWGKWVAEIREPNRGARLWLGTFETSVEAAMAYDCAARKLYGPDAILNLPHLPIMPFNFQFPPPYPQIPPPCDVFNLPSFDFTNNLTDHTNIIFNPVLSMPPQPPQPPQHAIADSAPVYTSDSHVAIPHETTTTTMSMEMNGDRVDSFGGSFDDIPEPMFDDSIWAEAAMSLDLPLIPDGAGIYEAKTFLEVGPWDSLQTPWCM >Vigun05g009200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:760670:761281:-1 gene:Vigun05g009200.v1.2 transcript:Vigun05g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKANAESFWTWNADKNADQKQFSVTKFLGNNNKPPKARTSVLGPGFGAGIGCGAGIGLGLVGGLGFEGWSPFNHLRLVFGLGMGCGVGVGFGFGQGIGYDFNFRTRKSRKSDKRFSDPHKTIVIQI >Vigun10g120000.1.v1.2 pep primary_assembly:ASM411807v1:10:32652943:32668274:-1 gene:Vigun10g120000.v1.2 transcript:Vigun10g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSKGSMEYVKLVGSLVGPLLGPGWPYLKPLLYKLVPYEIRVGHLAKDVNKLRLVKSRVQDKVKDEENRNERAISGGVKKWLDEVDEVIFDYEEFLEDEDRSYAVYSDGYLPKPSIRYRLRKMVNDIGSRVSVLLQTSNDDNFSCWLGPPSYDADFDNIRYQMFESRNQTTGNIIAALANSSVGMIGVYGLNGVGKTSLIKEVVKKVKDNMFDVVIMVNVTSRPDIRRIQGQIAKKLGMKLKGESESERAVHLRDRLKDPKLKTLIILDNLEVKLDFNMLGISSENNDDSQMNSRMKDLSAHHNYALKNKELDASILRKVEDPLARYKGCKILMISKNEQLLVRQMDGKAIKTFCVTPLTEKEAESMFKTMSEIDNENSLYKALAAQISKKCKGLPMTIVATAKALKNKSLLVWEDAYRNLERQNLTAVQEFSTKLSYNLLENDELKHTLLVCARMSNDALLTDLVRNCIGLGLLQGIYMVKEARDRIHMLVAELKELSLLSDSFSSDRFTMQDNIRDAVLSIASQEMHAFALTKGKLEEWPDKDKLERCTAISLQNCDVTDIMNKFPETINCFRLRVFHLENKDPHLKIPDNFFIGMKELRVLILIGVSLSFLPSSIMYLKKLRMLCLERCKLDKHLSIIGELETLRVLSLSGSDVEKLPTELSQLTKLQIFDISNCFKLREIPVHVLSSLIDLEELYVGNSPIQWKYEGHVNASLSELRQLYQLTTLDIEIPGTTHMPENLFFDKLDSYNIVIRDVGAYSIWDIKMLERRETSRFLALQLENGFDIHHQKDIKILFERVENLLLGQLNDVEDIFYELNYEGFPYLKYLSIVSNSKIKSVINSKNQKHLEKVFPRLESLFLYEVNHMEHICYNQLTTDSFGKLKIIKLNMCGQLKNVFFSSTIKLLSALEAVEVSECDTLKEIVTSEAENREQIIFPELRSVTLQSLSELIGFYGALPGEQESNKLFDEKVVISKLERMKLSSIKIQKIWSDQYWTSFQNLIKLDVTDCWNLKNLLSFTMSKSLMNLQSLLVSECGMMESIFEFIETEVSMFEIEPERIFPKLKNINLGSMKRLKEIWHPKFPLHSFGKLDELIIEGCNKLKNVFPSYMIGRFHSLCNLKVTNCLSMKEIFDLQDCQKQDFEDMTRLQSVHAEALPKLEHVWNKDPEGILNLKNLKKIWIQECLNLEHIFPVSTAKDLQELEYLEVWNCGKLKKIVSKGETNNTSSISFKFPKLTTVRFSKLPSLEGFYEGEHELHYSALNNLCVESCPKLELFSGENTNSEIKSVFFPEKAIYNLKSMQIESENAIWLRRYMGNYRMHKLEEFQLFGLPDTEILYFFIHRNPNMKSLLLSNCSFKELVPPRSHSEEKSGVVPKLKSLKVMNLQSLKMIDFKDDTILFQRLECLILKECPCLNTIAPSSISFTYLTTLEVGNCNKLACLMTPSTAKSLVQLTTMKVIQCEQMKTIVSELEHKEHIIFRKLKEIELVALQNLLSFCSSNHCAFDFPLLEKFVVSACSNMRKFSQHANSTPILRQILIGNGKEEKRYHWKGDLNVTISYMHQIWALHATEVVDSNPYKPLENSRLKILKLANCELGSHAIPTVVFSSLKNLEELEVSNTNVETIFDIMDEEMKGYTFLLKKMTLNNLPNLKKVWRDENPEGIFSSQNLQEVVVNNCEILETLFPIKLAKIVKKLKKLEIRCCKKFLKIVEQENAITEATAEFSFPRLTSLNLRMLPQLSCFYPERFTLECPHLSHLEVVSCGDFVTFEIHQAHGSTSVNRKPLFSEENANFILESLKLDWKNTTMLCNGKFPDEMLHQVIKFELDLDKDNDKEVADVILKKMPHAECIRIKGYSGLKELTSSQHEHGESSHPPEQGDSSHHEQGGRNQNLGRSRPPENKKKNKKIK >Vigun10g120000.2.v1.2 pep primary_assembly:ASM411807v1:10:32652943:32668274:-1 gene:Vigun10g120000.v1.2 transcript:Vigun10g120000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSKGSMEYVKLVGSLVGPLLGPGWPYLKPLLYKLVPYEIRVGHLAKDVNKLRLVKSRVQDKVKDEENRNERAISGGVKKWLDEVDEVIFDYEEFLEDEDRSYAVYSDGYLPKPSIRYRLRKMVNDIGSRVSVLLQTSNDDNFSCWLGPPSYDADFDNIRYQMFESRNQTTGNIIAALANSSVGMIGVYGLNGVGKTSLIKEVVKKVKDNMFDVVIMVNVTSRPDIRRIQGQIAKKLGMKLKGESESERAVHLRDRLKDPKLKTLIILDNLEVKLDFNMLGISSENNDDSQMNSRMKDLSAHHNYALKNKELDASILRKVEDPLARYKGCKILMISKNEQLLVRQMDGKAIKTFCVTPLTEKEAESMFKTMSEIDNENSLYKALAAQISKKCKGLPMTIVATAKALKNKSLLVWEDAYRNLERQNLTAVQEFSTKLSYNLLENDELKHTLLVCARMSNDALLTDLVRNCIGLGLLQGIYMVKEARDRIHMLVAELKELSLLSDSFSSDRFTMQDNIRDAVLSIASQEMHAFALTKGKLEEWPDKDKLERCTAISLQNCDVTDIMNKFPETINCFRLRVFHLENKDPHLKIPDNFFIGMKELRVLILIGVSLSFLPSSIMYLKKLRMLCLERCKLDKHLSIIGELETLRVLSLSGSDVEKLPTELSQLTKLQIFDISNCFKLREIPVHVLSSLIDLEELYVGNSPIQWKYEGHVNASLSELRQLYQLTTLDIEIPGTTHMPENLFFDKLDSYNIVIRDVGAYSIWDIKMLERRETSRFLALQLENGFDIHHQKDIKILFERVENLLLGQLNDVEDIFYELNYEGFPYLKYLSIVSNSKIKSVINSKNQKHLEKVFPRLESLFLYEVNHMEHICYNQLTTDSFGKLKIIKLNMCGQLKNVFFSSTIKLLSALEAVEVSECDTLKEIVTSEAENREQIIFPELRSVTLQSLSELIGFYGALPGEQESNKLFDEKVVISKLERMKLSSIKIQKIWSDQYWTSFQNLIKLDVTDCWNLKNLLSFTMSKSLMNLQSLLVSECGMMESIFEFIETEVSMFEIEPERIFPKLKNINLGSMKRLKEIWHPKFPLHSFGKLDELIIEGCNKLKNVFPSYMIGRFHSLCNLKVTNCLSMKEIFDLQDCQKQDFEDMTRLQSVHAEALPKLEHVWNKDPEGILNLKNLKKIWIQECLNLEHIFPVSTAKDLQELEYLEVWNCGKLKKIVSKGETNNTSSISFKFPKLTTVRFSKLPSLEGFYEGEHELHYSALNNLCVESCPKLELFSGENTNSEIKSVFFPEKAIYNLKSMQIESENAIWLRRYMGNYRMHKLEEFQLFGLPDTEILYFFIHRNPNMKSLLLSNCSFKELVPPRSHSEEKSGVVPKLKSLKVMNLQSLKMIDFKDDTILFQRLECLILKECPCLNTIAPSSISFTYLTTLEVGNCNKLACLMTPSTAKSLVQLTTMKVIQCEQMKTIVSELEHKEHIIFRKLKEIELVALQNLLSFCSSNHCAFDFPLLEKFVVSACSNMRKFSQHANSTPILRQILIGNGKEEKRYHWKGDLNVTISYMHQIWALHATEVVDSNPYKPLENSRLKILKLANCELGSHAIPTVVFSSLKNLEELEANFILESLKLDWKNTTMLCNGKFPDEMLHQVIKFELDLDKDNDKEVADVILKKMPHAECIRIKGYSGLKELTSSQHEHGESSHPPEQGDSSHHEQGGRNQNLGRSRPPENKKKNKKIK >Vigun03g431800.2.v1.2 pep primary_assembly:ASM411807v1:3:63595509:63599474:1 gene:Vigun03g431800.v1.2 transcript:Vigun03g431800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVDLSHDVQHWETLSVSEKHFITHVLAFFAASDGIVLENLAARFLSDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSREKYRLFNAIENLPCVARKAEWALSWIHSSTSFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKPLSSDRVHKLVNEAVEIETGFVCEALPCALIGMNSTLMSQYIKFVADRLLVALGYQRKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSNLQDAGKNFVFKLDEDF >Vigun03g431800.1.v1.2 pep primary_assembly:ASM411807v1:3:63595509:63599474:1 gene:Vigun03g431800.v1.2 transcript:Vigun03g431800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENGTYKPREEQEQEQEKEQEPILVEKSQRFCMFPIRYKQIWEMYKKAEASFWTAEEVDLSHDVQHWETLSVSEKHFITHVLAFFAASDGIVLENLAARFLSDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSREKYRLFNAIENLPCVARKAEWALSWIHSSTSFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKPLSSDRVHKLVNEAVEIETGFVCEALPCALIGMNSTLMSQYIKFVADRLLVALGYQRKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSNLQDAGKNFVFKLDEDF >Vigun10g142600.1.v1.2 pep primary_assembly:ASM411807v1:10:35940035:35947568:1 gene:Vigun10g142600.v1.2 transcript:Vigun10g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGGGPRELTGAVDLISHFKLLPHYEFFCKRPLPAAIADTHYLHNVVGDTEIRKGDGMQLDQLIQYTSSFRDTNARIQPFDLDVLKEAFQLRETAPIDLPAAEKGIPTISGKSKSENKDKEKKHKKHKDKDKDKDKEHKKHKHRHKDRSKDKDKDKDRDKKKDKSGHRDSSADHSKKHHEKKRKHDGDDDVNDVHKHKRSKHKSSKIDELGAIKVAG >Vigun05g019000.1.v1.2 pep primary_assembly:ASM411807v1:5:1549991:1557544:-1 gene:Vigun05g019000.v1.2 transcript:Vigun05g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILIGAGVVGSVIAKEGSLPDFSGLVSGAFKVVLNQFKSSDPAPAVKKLPYNDALMAQVNSLRQELQLLARDRSITIVNASGTGGKKYVTVVVIVVVGYGYVWWKGWKLPDLMFATKRSLSDACTSIGNQMGKLYGTIDDVKKKLSSRMNRLDENLDECAALTESTREEISVTQQKADTISGNFKSVHVAVRVLESRIKEIEEKQVATTEGVTMLCQFTKTLENSRPTEYIQPSSSSSSRPAIELPPVSPSSRGSQSGSSRLSLELPSVTPSAKAGSPSTVSTDQPSPSNSGGSVQESRTNGSSSGLFGLSRLSGVYAPFLSRTRSATDSVVQQTRSTS >Vigun08g023600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2020494:2021046:-1 gene:Vigun08g023600.v1.2 transcript:Vigun08g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKIMNLLKETDTNRDGRYNKDELKQDLKDLGAFFPRAFDQVDVNNDGQINDEEIDSLLEYLCSCGFGK >VigunL003700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:26992:27824:1 gene:VigunL003700.v1.2 transcript:VigunL003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun08g145600.1.v1.2 pep primary_assembly:ASM411807v1:8:31867725:31876003:1 gene:Vigun08g145600.v1.2 transcript:Vigun08g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSALIEAILREQEEEEEAHRRRTRTTQNTAAKNNTDEWQTVSYQKRNRNNKKSSSKQLLANDNFAADHSSDVFSSVERHSEERRRRLLESQIAAAAVAAEASPSRSKQHSDDEDDGDAEPDAGAVQNGSSEVKKAKQKKPKKPKVTVAEAASRISADELDTFLAEITASYESQQDIMMMRFADYFGRAFSSVSGAQFPWLKTFKESTVAKIVDIPLLHISEDVYKISTDWISHRSYEALGSFVIWSLDSILADITSHQGTVKGSKKVVQQSSSKSQVAIFVVLAMVLRRKPDVMISLLPIIKESQKYQGQDKLPVLVWVITQASQGDLVMGLYLWVSLLLPMLSVKSGGNPQSRDLILQLVERIITFPKARSILLNGAVRKGERVVPPWALDSLLRVTFPLPSARIKATERFEAVYPTLKEVALAGSPGSKAVKHLAQQILSLAIKAAGEANPDLSKEASDIFIWCLTQNPECYKQWDLLYMDNLEASIVVLRRLSGERKEYFVKHTTLDPLRETLKSFCKKNEKAFANVDDGSRHALLKDADKYCKVILGRLSQGHGCVKSMAVFSVVLAVGAVYMCQNMHLWDYNKLTEMLNLS >Vigun03g373300.3.v1.2 pep primary_assembly:ASM411807v1:3:57573984:57578956:1 gene:Vigun03g373300.v1.2 transcript:Vigun03g373300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHNHSAEAMLHGKMSYGRGPEDEDNSQFPAVIAGGRSRPVSGELPISSHYGEHPSLHNRVHPYPASDPRNGRWDESKDDRMDDWKLQQGNLGPEPDEDPDAAMLDEARQPLSRKIPIASSKVNPYRMVIVARLVVLAFFLRYRLMNPVHDALGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSIRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPQGGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQTGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPAYVGTGCVFRRQALYGYNPPKGTKRPKMISCDCCPCFGKRKKVKYEGNGADGEVATLTGPDDEKQMLMSQMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPASQLKEAIHVISCGYEDKTEWGIELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGTAPINLSDRLNQVLRWALGSIEIFFSRHCPLWYGYKEGKLKWLERFAYANTTVYPFTSIPLVAYCVLPAVCLLTDKFIMPPISTFAGLYFVALFSSIIATGLLELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKAADDEEFGELYTFKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDTKLCGINC >Vigun03g373300.2.v1.2 pep primary_assembly:ASM411807v1:3:57572835:57578956:1 gene:Vigun03g373300.v1.2 transcript:Vigun03g373300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVTTTNMEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDDVGVTVDGDLFVACNECGFPACRPCYEYERREGRQVCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFNIDDQMNKHNHSAEAMLHGKMSYGRGPEDEDNSQFPAVIAGGRSRPVSGELPISSHYGEHPSLHNRVHPYPASDPRNGRWDESKDDRMDDWKLQQGNLGPEPDEDPDAAMLDEARQPLSRKIPIASSKVNPYRMVIVARLVVLAFFLRYRLMNPVHDALGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSIRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPQGGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQTGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPAYVGTGCVFRRQALYGYNPPKGTKRPKMISCDCCPCFGKRKKVKYEGNGADGEVATLTGPDDEKQMLMSQMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPASQLKEAIHVISCGYEDKTEWGIELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGTAPINLSDRLNQVLRWALGSIEIFFSRHCPLWYGYKEGKLKWLERFAYANTTVYPFTSIPLVAYCVLPAVCLLTDKFIMPPISTFAGLYFVALFSSIIATGLLELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKAADDEEFGELYTFKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDTKLCGINC >Vigun03g373300.1.v1.2 pep primary_assembly:ASM411807v1:3:57572807:57578956:1 gene:Vigun03g373300.v1.2 transcript:Vigun03g373300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDDVGVTVDGDLFVACNECGFPACRPCYEYERREGRQVCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFNIDDQMNKHNHSAEAMLHGKMSYGRGPEDEDNSQFPAVIAGGRSRPVSGELPISSHYGEHPSLHNRVHPYPASDPRNGRWDESKDDRMDDWKLQQGNLGPEPDEDPDAAMLDEARQPLSRKIPIASSKVNPYRMVIVARLVVLAFFLRYRLMNPVHDALGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSIRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFSIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPQGGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQTGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPAYVGTGCVFRRQALYGYNPPKGTKRPKMISCDCCPCFGKRKKVKYEGNGADGEVATLTGPDDEKQMLMSQMNFEKKFGQSSIFVTSTLMEEGGVPPSSSPASQLKEAIHVISCGYEDKTEWGIELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGTAPINLSDRLNQVLRWALGSIEIFFSRHCPLWYGYKEGKLKWLERFAYANTTVYPFTSIPLVAYCVLPAVCLLTDKFIMPPISTFAGLYFVALFSSIIATGLLELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKAADDEEFGELYTFKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDTKLCGINC >Vigun01g061500.1.v1.2 pep primary_assembly:ASM411807v1:1:12966945:12974883:1 gene:Vigun01g061500.v1.2 transcript:Vigun01g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQQTQQQASTAPISTSTPPPSSGGSASEAPPKQVAQAMDKLGQAERIIADIRIGADRLFEALFVAAAQPDQAHKPLQMFLKEDACMRQYLQDLRSLGKELEESGVLSESLRSRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGLDASDSASKKCCGSEEITIDPKEEIRFLRTLPDVLKSVEKDVPSLKILAFDRLDWLKRASTLCSLASESSLEHNYHGSNKLRLGSVGSVAEEKVAVIEMLFPSIFRAVVSLHPAGSMDPDSVAFFSPHESGWELRACKGFFSSSCV >Vigun08g038100.6.v1.2 pep primary_assembly:ASM411807v1:8:3672983:3676090:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.3.v1.2 pep primary_assembly:ASM411807v1:8:3672972:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.5.v1.2 pep primary_assembly:ASM411807v1:8:3672984:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.8.v1.2 pep primary_assembly:ASM411807v1:8:3672984:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.2.v1.2 pep primary_assembly:ASM411807v1:8:3672972:3677891:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.9.v1.2 pep primary_assembly:ASM411807v1:8:3672984:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.7.v1.2 pep primary_assembly:ASM411807v1:8:3672984:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun08g038100.10.v1.2 pep primary_assembly:ASM411807v1:8:3672984:3677768:-1 gene:Vigun08g038100.v1.2 transcript:Vigun08g038100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPENANEHCPGPQSESAGKSDACEGCPNQQICATAPKGPDPDLVPIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDVDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDPTGVDGAIIVTTPQQVSLIDVRKEVNFCKKVGVKVLGVVENMSSLCQPITDFKFLRMSDNGEQKDVTQWVWEYMKEKAPEMLNLIACTEVFDSRGGGAVKMCNEMGVPFLGKVPLDPQLCKVAEEGTSCFTNKDCVVSAPALKKIIEELIENNGWSLLLSNGA >Vigun04g068100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7756344:7758068:1 gene:Vigun04g068100.v1.2 transcript:Vigun04g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRGVILDESVLLAESGDDQTASTLRPGTESLTRILFLSKIHCGIAYDSGLLDDKVSILKRTADLYSLDCFALNDSASEAKPGWSNTDEGSVIYLISNKEFSPKLNRYNWLIVVLNVGGESSCHDPNIHQIESLEELPLTICRINKKLIGTNAVTVGYTMKPSRVEDFAKRGAFPLCPTQQGLMFVPLTSNLSLSSQLKDVDIVLHKATDEILSIEDDKPTFTQNMRALQKYLDQHQDICVIDPLSYVYPLLDRLEIQQVLLGLVELNTEGKCLIRGAHFSKVDNFDEFDFATGLSEARLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLSVPLPAIIQEYVDHSSTLYKFYVLGEKIFYAVKKSIPNSDILMKSSNGDEHKPLLFDSLKSMPTADSITSNESIDLKLVTDAANWLRRRLHLTIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDIAIPAFWEAIRNKFDCRMSK >Vigun08g044100.1.v1.2 pep primary_assembly:ASM411807v1:8:4616030:4619852:-1 gene:Vigun08g044100.v1.2 transcript:Vigun08g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCNFPIYRNLDSLKHKIFPIFQLKKGPNLRNLLSSQPPKMSSMAFSTTFFTPIHDFNHARTPSFPFIKSSFASSNSTFFHPSLSLQTSSTFPRLFIKPRSFSVHARAATEKTIYDFTVKDIDRKDVPLNKFKGKVLLIVNVASRCGLTSSNYSELSRLYEKYKDQGLEVLAFPCNQFGMQEPGSNEEIKQFACTRYKAEFPIFDKVDVNGPFTAPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVIERYPPTTSPFQIEKDIQRLLAA >Vigun07g219800.1.v1.2 pep primary_assembly:ASM411807v1:7:34251031:34258896:-1 gene:Vigun07g219800.v1.2 transcript:Vigun07g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRHAEELVREFLVFRGFTNTLESYETELRTDIGKGFEVDKILDLIFSVYVPKFHADKLVALLGFFKHYLSSSSDTPLVSTLLKLEASILRFYVVHAVQCNRNDKVVEFFAVYGTELLQRSQDWTQWFAIPYKKNPHLDPEFRVFFSKEWYQALHLSSRNFFSEVFNATRLPALLKVSSEMNATNILKRDIVQLNLKLSQLQGLLDEKEAQLRQFRSMDGSTGSSSNLREETARTVKSSPDVFPTTTSQVVQIEVIQDLAVGELGNVHSELIGSTSCDDSAFLSEDCLRNGGADDASLKENDGDEDFAEVNLEHQETFLGHTSPISRCRFSASGNNIASASLDGTVRIWTYDTSTPVSRNATIYCGTEILSLDWECKSDRLLLIGTSDGCIKAWNVDAKRVVCDLSTTESFPSVLDIKCSPVEPIFVSAAASGGAGSKYVDNLGFASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAAAAVDGMIHMFDMSAGLQITGWPAHDSSISSILFGPDETSIFSLGSDGKIFEWSLQNQGQILWSRDCSRFCYPLNDSKYFRHEMALDANGRRLLVTSSSVRAPIYQVHGQLSGWRTLPHGAPITAVDWHPTLPIFLTGSADNSVRVTSLS >Vigun02g028152.1.v1.2 pep primary_assembly:ASM411807v1:2:10299712:10300869:-1 gene:Vigun02g028152.v1.2 transcript:Vigun02g028152.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQGGGRGGCGGGGDGGGQGGGCGGGHGGGGGVHRDGGGGAVGYRGGGGGGGGCGGCGGGGGSCGCGGVGDSGGGYGGGHGGGGCVHRDGGGGAVGCGGGGGGGWVLRGGGGGAVGYGGGGGGGCGGCGGGGCGGCGGVGDSGRVVVGVGMIVQGRGRGGCGGGGDGGGQGGGCGGGGGVHRGGSGGGGGGCGGGGGCGGGGGCGGCGGVGCDGGGGGGGGGDGNSGGSGARDCRGSGGSGRGCGSFGMLVVMVVLMVAVVVVVEVLMVVVIFVLIMVVVVIMVVVADIVMVGFMIVEVVVFVGVVVVATMGLAVVVLVVDVVVLVVVVVVTVVVVVVVVVVVAVVVDVVVVKVVGVWW >Vigun01g064400.1.v1.2 pep primary_assembly:ASM411807v1:1:17016125:17026027:-1 gene:Vigun01g064400.v1.2 transcript:Vigun01g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSFELEVVYEALGWFAFVSWSISFYPQVILNFRRRSVVGLNFDFVLLNLTKHSTYLIYNASLYFSSAIQKQYFDKYGYGEMIPVAANDVAFSIHAVLLTAITLFQIAIYERGSQKVSKVSIGIVSVAWLIAAVCFFIALSNHSWLWLISIFNTIQVTMTVIKYIPQAVMNFLRKSTDGFSIGNILLDFSGGVANYGQMVVQSIDQNSWVNFYGNIGKVLLSLVSVFFDIIFIIQHYILYAGNKTSKSDITAEHEDQIREHLVRPSDQSPPENA >Vigun01g064400.2.v1.2 pep primary_assembly:ASM411807v1:1:17016125:17025433:-1 gene:Vigun01g064400.v1.2 transcript:Vigun01g064400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPVAANDVAFSIHAVLLTAITLFQIAIYERGSQKVSKVSIGIVSVAWLIAAVCFFIALSNHSWLWLISIFNTIQVTMTVIKYIPQAVMNFLRKSTDGFSIGNILLDFSGGVANYGQMVVQSIDQNSWVNFYGNIGKVLLSLVSVFFDIIFIIQHYILYAGNKTSKSDITAEHEDQIREHLVRPSDQSPPENA >Vigun02g069500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21964806:21967394:-1 gene:Vigun02g069500.v1.2 transcript:Vigun02g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQRRKRKRAVGRHTHISSRSLFLCFCFLIFLLFFSSHHHFFIFTPSTFRPSLTASTLSLLYSSASNSILDPLHPTAPSYTLQHRIIFPDHHLLILTTPQQHQLHQLECVYYTLHPNASSPAPPLQLQVQPLLSTDRYDESRSIVRCPFPQTNYTAAGSQIVELRRRGEVGRRNLGFLMNQTAQSWDRVAYEAILDGDTVVVFVKGLNLRPHKISDPTRIRCHFGLKSFHQNNAFLFNTRAVSVAQEVVRCMLPQSIRNNPDKVRGIRVTVSYLGGNVRHPVRVLVPSVATVSAPGSGRVQKRKSRKYELCACTMVWNQASALREWVMYHAWLGVERWFIYDNNSDDDIEKVVQDLDLQGFNVSRKSWPWIKTQEAGFSHCALRAREECKWVGFFDVDEFFYFPSEFHQNLREGVPGENYLKSVVANFSSSKSIAEIRTACHSFGPSGLHSPPKQGVTLGYTCRLQSPERHKSIVRPDLLDISLLNVVHHFQLREGFRYHNMPEGTAIVNHYKYQVWETFKAKFFRRVATYVVDWQEDQNKGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLKDFLLSYFADPATGLMPWERSSL >Vigun03g077300.2.v1.2 pep primary_assembly:ASM411807v1:3:6394448:6403164:-1 gene:Vigun03g077300.v1.2 transcript:Vigun03g077300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVEMGFLFVLLLLGCLCSFVFPDTQGDALYALKVSLNASAHQLTDWNQNQVNPCTWSRVYCDSNNNVVQVSLAYMGFTGFLTPRIGVLKYVTALSLQGNGITGSIPKEIGNMTSLCRLDLESNKLTGEIPSSLGNLKVLQFLTLSQNNLSGTIPESLATLPKLINVMLDSNNLNGKIPERLFEVSKYNFTGNNLDCGHRLCESDNADQGSSHKSKTGLVVGIVIALVVVLFLGGLLFFWCKRRHKGYRREVFVDVAGEVDRRIAFGQLKRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNLLEVEMMIQVALLCTQATPEDRPPMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >Vigun03g077300.3.v1.2 pep primary_assembly:ASM411807v1:3:6394313:6402926:-1 gene:Vigun03g077300.v1.2 transcript:Vigun03g077300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVEMGFLFVLLLLGCLCSFVFPDTQGDALYALKVSLNASAHQLTDWNQNQVNPCTWSRVYCDSNNNVVQVSLAYMGFTGFLTPRIGVLKYVTALSLQGNGITGSIPKEIGNMTSLCRLDLESNKLTGEIPSSLGNLKVLQFLTLSQNNLSGTIPESLATLPKLINVMLDSNNLNGKIPERLFEVSKYNFTGNNLDCGHRLCESDNADQGSSHKSKTGLVVGIVIALVVVLFLGGLLFFWCKRRHKGYRREVFVDVAGEVDRRIAFGQLKRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNLLEVEMMIQVALLCTQATPEDRPPMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >Vigun03g077300.1.v1.2 pep primary_assembly:ASM411807v1:3:6394448:6402907:-1 gene:Vigun03g077300.v1.2 transcript:Vigun03g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVEMGFLFVLLLLGCLCSFVFPDTQGDALYALKVSLNASAHQLTDWNQNQVNPCTWSRVYCDSNNNVVQVSLAYMGFTGFLTPRIGVLKYVTALSLQGNGITGSIPKEIGNMTSLCRLDLESNKLTGEIPSSLGNLKVLQFLTLSQNNLSGTIPESLATLPKLINVMLDSNNLNGKIPERLFEVSKYNFTGNNLDCGHRLCESDNADQGSSHKSKTGLVVGIVIALVVVLFLGGLLFFWCKRRHKGYRREVFVDVAGEVDRRIAFGQLKRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNLLEVEMMIQVALLCTQATPEDRPPMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >Vigun07g128600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23720965:23721828:-1 gene:Vigun07g128600.v1.2 transcript:Vigun07g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVMMESHNDAVLIFITGKEEEVTKKLRSVSLLHRTACALSFSQNLRFFLLLFNFFSLAMEMSGSSSKKRKLICCEDDDEAQMETFFALVRNIREARDRWMGLKSGDRRSKRGEIMSKEENSRVGVWKPTFQVEDFAYEEAEQRKSGSEPSASQRENCEKKEGAEKGIDLSLSL >Vigun10g029500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3711314:3714171:-1 gene:Vigun10g029500.v1.2 transcript:Vigun10g029500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFAFLLCSMVLLHIFAVAQTRSNKIFDHSLLNETSKVSWVVSPSGQINQTRRNITVGDSLFAETISSNTSTWVVSPRGHFAFGFLPLENTNHFLLSVWYAKIPDMTVVWYANGDTPAPKGSKVELTGDDGLVLTAPNGEQLWKTKSLGGKVYQGFLKDNGNFVLVDENHQGAWETFKHPRDTLLPTQTLEKGERLSSRFLEANYSEGRFEMMFQMDGILSIHALNSPSGYANENYYESRTEESNTSSPGTRLVFEPSGYVYVLRKNSEKYNLSTWSGASTTTDESYFRATLNFDGVFTLYQHSKSSFGSGGWSAIWSQPDNICLSRLATEGSGVCGFNSVCTLGSNQRPSCQCPKWYSLVDPNDPYGSCKPDFIQGCAEDELIGNADAAEYDFEVLVNTDWPLSDYVLLKPFTEEQCEQSCLEDCMCAVAIYKSGSDCFKKKLPLSNGRVDVGLNGAKTFIKVRKDNFSLVVPQARVNENSKSSLSLVSWVLFGSSSFLNVIFIGALCMCSFYIFQYKKKHRGIEKNENALETNLRCFGYEELERATNGFQKELGRGSFGVVYEGVINIGSEIPIAVKKLNTLLFQQVEMEFKNELHVIGLTHHKNLVRLIGYCEAEKERLLVYEYMSNGTLASLVFNEVKPEWKLRLEIAFGVARGLAYLHEECITQIIHCDIKPQNILLDEYYNARISDFGLAKLLKMNQSRTNTAIRGTKGYVALEWFKNMAITAKVDVYSYGVLLLEIICCRRNVEMDLEEEEKAILTDWACDCYSRGTFDPLVEDDKEALDDKMNMKKLVMISIWCIQEDPSHRPTMRKVTQMLEGVVEVQPPPFPSQLSRHSQNS >Vigun10g029500.1.v1.2 pep primary_assembly:ASM411807v1:10:3711317:3714170:-1 gene:Vigun10g029500.v1.2 transcript:Vigun10g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFAFLLCSMVLLHIFAVAQTRSNKIFDHSLLNETSKVSWVVSPSGQINQTRRNITVGDSLFAETISSNTSTWVVSPRGHFAFGFLPLENTNHFLLSVWYAKIPDMTVVWYANGDTPAPKGSKVELTGDDGLVLTAPNGEQLWKTKSLGGKVYQGFLKDNGNFVLVDENHQGAWETFKHPRDTLLPTQTLEKGERLSSRFLEANYSEGRFEMMFQMDGILSIHALNSPSGYANENYYESRTEESNTSSPGTRLVFEPSGYVYVLRKNSEKYNLSTWSGASTTTDESYFRATLNFDGVFTLYQHSKSSFGSGGWSAIWSQPDNICLSRLATEGSGVCGFNSVCTLGSNQRPSCQCPKWYSLVDPNDPYGSCKPDFIQGCAEDELIGNADAAEYDFEVLVNTDWPLSDYVLLKPFTEEQCEQSCLEDCMCAVAIYKSGSDCFKKKLPLSNGRVDVGLNGAKTFIKYKKKHRGIEKNENALETNLRCFGYEELERATNGFQKELGRGSFGVVYEGVINIGSEIPIAVKKLNTLLFQQVEMEFKNELHVIGLTHHKNLVRLIGYCEAEKERLLVYEYMSNGTLASLVFNEVKPEWKLRLEIAFGVARGLAYLHEECITQIIHCDIKPQNILLDEYYNARISDFGLAKLLKMNQSRTNTAIRGTKGYVALEWFKNMAITAKVDVYSYGVLLLEIICCRRNVEMDLEEEEKAILTDWACDCYSRGTFDPLVEDDKEALDDKMNMKKLVMISIWCIQEDPSHRPTMRKVTQMLEGVVEVQPPPFPSQLSRHSQNS >Vigun08g080300.3.v1.2 pep primary_assembly:ASM411807v1:8:16689686:16692401:1 gene:Vigun08g080300.v1.2 transcript:Vigun08g080300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCGEDVPSQGLKSSDINILQNEQLLSEVFYECKKDLLEKTVIESPLTDIMEIKVPPLNIEDNSIEGNKPLPHMTLPKSISSGSLSSMDWMHGPAMKPTFLDFPGLDFNAVYGMRRAFSEGDIKTLNTGNTSFCQSPQERPFLLGNCTSEERQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEELDVKR >Vigun08g080300.1.v1.2 pep primary_assembly:ASM411807v1:8:16688263:16692401:1 gene:Vigun08g080300.v1.2 transcript:Vigun08g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAETELLFPYFRNFSQEFQQLEEYCMTQKSCASMNDLVQTSSAISEYDLLIEGDLFKAPEPILEEPAIDLDPVEAAISMISCGEDVPSQGLKSSDINILQNEQLLSEVFYECKKDLLEKTVIESPLTDIMEIKVPPLNIEDNSIEGNKPLPHMTLPKSISSGSLSSMDWMHGPAMKPTFLDFPGLDFNAVYGMRRAFSEGDIKTLNTGNTSFCQSPQERPFLLGNCTSEERQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEELDVKR >Vigun08g080300.2.v1.2 pep primary_assembly:ASM411807v1:8:16688936:16692401:1 gene:Vigun08g080300.v1.2 transcript:Vigun08g080300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFVVILPCSNDLVQTSSAISEYDLLIEGDLFKAPEPILEEPAIDLDPVEAAISMISCGEDVPSQGLKSSDINILQNEQLLSEVFYECKKDLLEKTVIESPLTDIMEIKVPPLNIEDNSIEGNKPLPHMTLPKSISSGSLSSMDWMHGPAMKPTFLDFPGLDFNAVYGMRRAFSEGDIKTLNTGNTSFCQSPQERPFLLGNCTSEERQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEELDVKR >Vigun02g092700.1.v1.2 pep primary_assembly:ASM411807v1:2:24783478:24785192:-1 gene:Vigun02g092700.v1.2 transcript:Vigun02g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPRREEISGVKKGPWTPEEDEKLVDYITKHSHGSWRTLPKRAGLNRCGKSCRLRWTNYLRPDIKRGQFTEEDERIIINLHSVLGNKWSKIAAHLPGRTDNEIKNYWNTHIRKKLLKMGIDPETHKPRTDFNHLMSLSQLLGMSNLSSVMSSTWGNNNLGLQPDITQLAKIQLLQNLLQLMNSNSFVNMGNPYLFGNPNLNPLFLNGTNPLQAKEPVVLSGSERFGNPSVYSQAQSECSKSLADVEGGSIPQDLDYSKISSINTNHSRENQEENPLPALVAASPRMGTLNQMDSGCGNLAQTNAESPSNTFFDDWEKLLDDERSGSYWKEILEFTPTSASPIMW >Vigun05g245400.1.v1.2 pep primary_assembly:ASM411807v1:5:43844499:43848713:1 gene:Vigun05g245400.v1.2 transcript:Vigun05g245400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKAVAASSKTSTSEKPADKLADGIGEIYISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAEALAAQDDGGGETLERVYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEENLKRFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRAELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPVDGMVRRHNHLRIAQFHQHLAEKLDMELSALQFMIKEYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCADQAVTRWEGDIMDFKEHLRSKAGLSD >Vigun01g175100.1.v1.2 pep primary_assembly:ASM411807v1:1:35652039:35656654:-1 gene:Vigun01g175100.v1.2 transcript:Vigun01g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLQLPSSSSCGGLTPNDGSHPSSLINAPTVEERVLPQSPLDLEAEEEEEEEEERKRERERDQLSILTLLIATFRKSLIGCSTTASSTSSSMEIGWPSNVRHVAHVTFDRFHGFLGLPVEFEPEVPRRSPSASANVFGVSTESMQLSFDARGNSVPTILLLMQRHLYAQGGLQAEGIFRINAENGQEEFVREQLNRGVVPDGIDVHCLAGLIKAWFRELPTGVLDPLSPEQVMQSQSEEECAQLVRLLPPTEAALLDWAINLMADVAQMESLNKMNARNVAMVFAPNMTQMADPLTALMYAVQVMNFLKTLVVKTLKEREESIVKSNPVPDLNSFDDDGHHSNSEMLHKVPKDCSDDEEDTLFVTAEPSQQSPSHLAEDGCETESKSLPTSTQNLISAGNRLLVDNCPCNLLSQICSFAIGLQDCGLTTGQTKGDPAKFCRSKSLQLSTYDMDKCSKKVMELPVAGAAEKNLGTAIIGRINSRTELAEAWR >Vigun03g231400.1.v1.2 pep primary_assembly:ASM411807v1:3:38585168:38585861:-1 gene:Vigun03g231400.v1.2 transcript:Vigun03g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVLSLMSKGLGLEENALVRRLGENPNFYSQANYYPPCPQPDLTMGLNEHADITGLTLLHQFNGVPDLQVKYDENWVAVDPLPGAFVIILADQIQLLSNGRYKSPVHRAVTNKMLPRLSLAMFYAPNDETLIGPMEEMTDEEHPPIYRNYRYKEYMQEFYRQQGKKKRLKEAFMLQRQP >Vigun03g231400.2.v1.2 pep primary_assembly:ASM411807v1:3:38584602:38585861:-1 gene:Vigun03g231400.v1.2 transcript:Vigun03g231400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVLSLMSKGLGLEENALVRRLGENPNFYSQANYYPPCPQPDLTMGLNEHADITGLTLLHQFNGVPDLQVKYDENWVAVDPLPGAFVIILADQIQLLSNGRYKSPVHRAVTNKMLPRLSLAMFYAPNDETLIGPMEEMTDEEHPPIYRNYRYKEYMQEFYRQQGKKKRLKEAFMLQRQP >Vigun03g333600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145233:53148059:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun03g333600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145078:53148071:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun03g333600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145141:53148072:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun03g333600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145110:53148071:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun03g333600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145233:53148059:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun03g333600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53145234:53148059:-1 gene:Vigun03g333600.v1.2 transcript:Vigun03g333600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVIKDASINSKSLDSPLDYPIVLNPDSILPTLKAEVKDESTSSLVRPLIGWHIAPKDAEIVDINKKFFTELNAKVKNTNNFDKGEFISSLNSYLENIRDKAGVVIEVDSSRSEYNKTLIDKLGVYMGKDVASLVLNGCVSLEMWEVVEALIVNAIIDHSCYSNLITKLVEKKRSDLICLCIKHAFDLGSSEILTILRYFLSPSKDAYNSMLSVKIEWERQAVLAIQILNDSKPKRKKLLLAKEASILLMMAYDGFSASETCLHYLIASSNINDVMLSPSLSKLNGKELLNLIRYLGKWLKKYERFPQAGPCPRASSVLRLEACDWVPKLEDVIKCLGLLLDEKFSSLVLHPLFHEELRSIEGVVSCLTAEAKFCHLTADVVGKLTIEAKNL >Vigun05g220600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41196511:41198236:1 gene:Vigun05g220600.v1.2 transcript:Vigun05g220600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIHLRNRFSSFTILNFCDKQMAEVRTHKLVEKSDVAPASLPSSTTRTTSSLPLSFLDLPLAGPVYVKRQFFYQFPHSTHHFCETTLPTLKHSLSLILQHFFPLAGNLLCPPPPHKPFIRCTDDDTVPLTIVESNADFNHLSSHHPKSLRELDHLNPGLTFATMHDGTFIFPLVALQATVLQNHGLCIAITYCHVMDGKCCNHFMKSWSSLCQSGGVDLTLLENSPPCFDRKVLRDPRGGLEDIFLRDYFEERSTWKDKLIDQSPKHGEYCKATIVLGRDDIEGLKRWVLAQWKKNEELNSPQYLSKFVVVCALVWTSLVKTRCKDDDDDEDVKEEYFRFAADCRGLLGYGIPETYFGNCLTLCYAMLKRNELKGEDGFVNAVKVIEKTVSDVKSEPFKDAEDWRALSVKTFVLGTPLLVTGSPKFDVYETDFGFGRPTKVEMVHSLKCMSLAESRDEEGGVEVGLVCKSAEFEYLFYVIEQGLQTTKNPQCVNY >Vigun09g107001.1.v1.2 pep primary_assembly:ASM411807v1:9:22059789:22060556:1 gene:Vigun09g107001.v1.2 transcript:Vigun09g107001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVQMSDDLARVVVEDVRDATTPVPVPTEEVKIVGEALGTFISWPKQLVKTKVPVACAFSPEAQSQPQPKQNFSPIHELAGIVGALFQKLLAIPWDKTVFGVDNSDIPLYVHMNDVYEIIQGHQMLNIAVIQLWILYLNEYVSKTGNADVYGFLEPQHIQNSGNKHMECQTYIQNWMGKSKKRIYMAPYIHA >Vigun02g073100.1.v1.2 pep primary_assembly:ASM411807v1:2:22459835:22463481:-1 gene:Vigun02g073100.v1.2 transcript:Vigun02g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTNFVLVTLFLVASYNPSSISILQSNNMTEFVVESVLHNLSTLEEFKPFGVFHPELRGLARMLTTIKASLEDAEEKQFSDQDVKNWLRKINGAVNMVDDIIDEFAYEDLVDLVGESRSDKVQLCLSIIPNIVFGFKMAGKLNRINERLIKVVKEKNKFQLSEIGMIAGRIQTTIFFRKPRVYGREEDKNRLLNYLNGLEDDLSIYPIEGPDGIGKTTFVKLIYDHPWVVNNFELRIWACGFSDFSLKGMIEAIIKAALGCDCENLDLELVPKQLQYLLQKKRYLLVLDFVLYSEDQNYDTFQYNWQKLKSVLACGGKGASILVTTRLSIVSEIMGTVPPHKFCKLSELSHNDCWKLFKRQVFEKNEPKPSELAVIGKEIVQNCRGVPLAAKVLGGLLHFSREEKQWLNVKESSIWSLPHAENSIVTPALILSYLNLPIRLKKCFAYCAIFPKGETITKAYLIELWMTNGFISSYDTLNVKEVGDDVWNELYRRSFFQDIEIDEFGNITGFKMHDLVIDLATFVAKDIECVIGDSRVTYSSAISHLSDYRRRIELNSIGLQQIKSLRTYLIPNQYSDKLFSNVLKCQYLRVLQLRLKRELSPSIGDLKHLRYLNLSKSDFKTLPEILCKLWNLQILKLDYCKHLQKLPHSLTDLRYLEKLSFKGSHKLSSLPPQMGKLSSLRSLTSYFVGNERGFRLGELGGMSLRGDLEMKHLGRVKSVEDAMEAKMSGKRLKELRLSWDRNEETKLGEDVEEILEVLRPDTQQLVSLTVTGYRGGRFPRWVFSGSLKKLEIERCRELKGLQEGLESMTALQWLRLYDLPNLESLPDCFQQLSSLRRLAIGFCCKLMSLPNSLRDKRLERLDIYACPALEDLLCDCSTSLSVCQLRVDGRLILNKVKEGLYPCQI >Vigun08g130500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30082936:30083846:1 gene:Vigun08g130500.v1.2 transcript:Vigun08g130500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTLVRCINTHLKHRTQQLRFRIWNNRGKRSFIPESPKPRRRATTMGNSLRCCLACVLPCGALDLIRIVHLNGCVEEITRPITAGEVLKANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPAASLPPEKRRHVKHVSGRTSHIDNEKNEVNSKVCSKKSNSDLSSSQQCDDKGSVISKENKCSRRDRRNGRGGLWRPRLESISED >Vigun08g022300.1.v1.2 pep primary_assembly:ASM411807v1:8:1946314:1950181:1 gene:Vigun08g022300.v1.2 transcript:Vigun08g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDSHSKTNEQTVQEMLNLASKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >Vigun01g085700.1.v1.2 pep primary_assembly:ASM411807v1:1:24191351:24193454:1 gene:Vigun01g085700.v1.2 transcript:Vigun01g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFFSGFSLSSSSSLSNIKTQAFLVCSFFVVSEAKENLMAEALTKASLVSSWNGSSQRHQRRVSMVPNSCSFVSGVGRFPSLKLKSQILRSWSSSSEFQGKKLIFHVNRGIPNRVSSRVRASTAVQMTHRIGKVQKWWEKGLQPNMKEVTSAEDLVESLLSAGDKLVVVDFFSPGCGGCRALHPKICQLAEMNPDVEFLQVNYEEQKSMCYSLNVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHSPDRCSLGPTKGLEEKELLALAANKDLSFTYSPNPLQPEHADEEFATASTPVPCSESLHSLTLFSEVSKEKTLATAGR >Vigun07g183300.2.v1.2 pep primary_assembly:ASM411807v1:7:29967410:29970586:1 gene:Vigun07g183300.v1.2 transcript:Vigun07g183300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVFADELLRHQPLRRYLMMADSVSSIHEKLFVCHFNQGDIAIVLEVLSSHFILSVSDEKAVEDFTVRLFLRCDKDFRCSELSIAPSIVLLHDPVVLAAPKMFQAHIVSMVSEAICSGLSSELLANFNLIALQKSVILYSTHVSSLQIDGFRVELKCSDSHLLDKGQLKFESYIQHGTRSRLNKVLSKSDDSCDSYQCKLFSKTKRDLLAEYIAFMKERQYLFDDSLREGITSILSCLIHQAFSQEAAGDAVYNIKENISAQDISLLGSIMKLMSVSLLQAIKYLRNSGDSDCLKTMKSATVREKYDFLISIIDHFQQFKICLPIQSFLYDEMKIQKSNRKVSEALLVHFIGLLSLSFNNGLELLAKGCISVLMALMYLFVFEEGDLHALGSLKGLSLPPCLSEISCDKSGKGARDKQSVYKVVAEFRRIQSCTLSTDSFTSCNDENGTEKTCDGEMFLNCILGNPKKLSDYDELADFLECRTGKNYSKWLNRREIYRDRRYQKKLELGKTKKKTFRKCFQFKKNGQSLKRRKNGMFVKHRR >Vigun07g183300.1.v1.2 pep primary_assembly:ASM411807v1:7:29965793:29970586:1 gene:Vigun07g183300.v1.2 transcript:Vigun07g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKYVKLYQTLSNATVPDPIFEGKDHRGVCETLFDQLHSIFRRFFSALPLCHRHDLLSRSLPPPHSGLWPIVEELSLILRCCLLLLTLPHSDQKFFLLKGRSLLRILNSFLSFHVSEHRGVRFRNFLTDEDLDLDDSCRPFLRALLEVFADELLRHQPLRRYLMMADSVSSIHEKLFVCHFNQGDIAIVLEVLSSHFILSVSDEKAVEDFTVRLFLRCDKDFRCSELSIAPSIVLLHDPVVLAAPKMFQAHIVSMVSEAICSGLSSELLANFNLIALQKSVILYSTHVSSLQIDGFRVELKCSDSHLLDKGQLKFESYIQHGTRSRLNKVLSKSDDSCDSYQCKLFSKTKRDLLAEYIAFMKERQYLFDDSLREGITSILSCLIHQAFSQEAAGDAVYNIKENISAQDISLLGSIMKLMSVSLLQAIKYLRNSGDSDCLKTMKSATVREKYDFLISIIDHFQQFKICLPIQSFLYDEMKIQKSNRKVSEALLVHFIGLLSLSFNNGLELLAKGCISVLMALMYLFVFEEGDLHALGSLKGLSLPPCLSEISCDKSGKGARDKQSVYKVVAEFRRIQSCTLSTDSFTSCNDENGTEKTCDGEMFLNCILGNPKKLSDYDELADFLECRTGKNYSKWLNRREIYRDRRYQKKLELGKTKKKTFRKCFQFKKNGQSLKRRKNGMFVKHRR >Vigun10g068600.1.v1.2 pep primary_assembly:ASM411807v1:10:16151626:16154600:1 gene:Vigun10g068600.v1.2 transcript:Vigun10g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLILCLTLVFFSFLLKYLKTLKNPPLPPGPGGLPIIGNLHQLNNSTLYLQLWQLSRKYGPIFSLKLGLRSAIVVSSPKLAKEVMKIHDLEFCGRPKLLGQQKLSYNGTDIAFSPYNSYWREIRKICVVHILSSIRVSNFSTIRHFEVKQMIRKISMQASSSKVTNLSDALMSLTTTIICRIAFGRRYEDEGTERSRFHGLLNECQAMLGMFFFSDYIPFLGWIDRITGLRARLEQNFKELDTFYQEVIDEHMDPNRKAPENEDLIDVLLQLKTQRSFSVHLENDHIKAVFMDMLVAATDTTAATTVWAMTLLLKNPRVMKKVQEEIRNLAGEKDFLYEDDIQKLPYFKAVLKETFRLHLPAPLLLPRETNEACILDGYKIPAKTIVYVNAWAIHRDPNTWKDPDEFLPERFLDNAIDFRGQDFELIPFGSGRRICPGMIMAIASLDLILVNLLRSFDWELPTGVKKEDIDVEVLPGLTQHKKNPLCVLAKVRL >Vigun05g264300.1.v1.2 pep primary_assembly:ASM411807v1:5:45644006:45648395:-1 gene:Vigun05g264300.v1.2 transcript:Vigun05g264300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVAVALALSLVGGVSTSLGALFVIVNQTPNLKMLGLLQGFAAGLMLSISFFDLAHNALNSLGFLKGNLWFFAGVIFFGVVASFIPEPTLAPTSDVKGRKKNGDEGGKDTMKKHRRQVLFSGIVTAVGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLASLSGFAEPLGVIIVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQSVKAVFLGMAFMSASLYFLSISLPEDLSL >Vigun05g264300.2.v1.2 pep primary_assembly:ASM411807v1:5:45644006:45648395:-1 gene:Vigun05g264300.v1.2 transcript:Vigun05g264300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVAVALALSLVGGVSTSLGALFVIVNQTPNLKMLGLLQGFAAGLMLSISFFDLAHNALNSLGFLKGNLWFFAGVIFFGVVASFIPEPTLAPTSDVKGRKKNGDEGGKDTMKKHRRQVLFSGIVTAVGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLASLSGFAEPLGVIIVAYLFPSSLSPEILEGLLGSGMQ >Vigun07g108250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20006711:20007421:-1 gene:Vigun07g108250.v1.2 transcript:Vigun07g108250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSELVKKTQCKKRQRDDSNEFAHSVPVEELVQRDNKRQHTEHEKSTLIPIKSLPSDLLINVVARVSSESCIDHYNMKVCCKDFFHASKDNYMWQQVSLRNVPLNLWFCKERVSMFDSFLQSCKEGGNIEVLYREGLQEIVRYAGNIGKGIEDLKIAAEKGHLEAKYVYGLILLCSEDDDLRKEGVEYMRFLRNAKCVVSCRNKVVASLGNIWRRPYETLVRNPIPLCYNRRCNG >Vigun09g144200.1.v1.2 pep primary_assembly:ASM411807v1:9:30696238:30697531:1 gene:Vigun09g144200.v1.2 transcript:Vigun09g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFLPQHQSPSTLKGISVNLTSMAETNKAAVEVFEGDDLAVALAKYVADLSNKFTSERGAFTVCLSGGSMMENLRKLPEPPYLDSLEWSKWHVFWVDDRVVPKTREDSDYKLALDGFLCKVPIPMDNVHAINETLSPEGAADDYERRIKELVDKNVITLSPTSGFPKFDLILLGMGPDGHVASLFPGRPQVQENKRWVTFIKDSPKPPPERITLTFPVINACAYAALVVTGKNEADAVQSALGKSENLVKLPVAMVSPSEGELKWFLDKDAASKL >Vigun01g050250.1.v1.2 pep primary_assembly:ASM411807v1:1:7930696:7934551:-1 gene:Vigun01g050250.v1.2 transcript:Vigun01g050250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKLPYPEKIKEKVDWWVVIKTKPRCTVDDRYTLEVAYQESTTNVNITTNEELLVHLVDGEEYEELDEVDMAVVDNSKESKQIEEEEEEEEEEEIVSQSEFEYDSEDDETQNKLDDEFNEHGKEVASTSHSTKRHPRRPSQFTWSSQLFAFPSIGSSFRRTHFGSRPLAQRALKSNSRPNVDQSFKTSQFAPPPLVHGALNSNSKPNVNESFKTSHFAPPPLVQGVQSTNPNPTPMDGRPNLGSDLTNSKSDETSSTINATSPGSNETFVASELNYNVFKPILNLDGQGDILKSHYNEPWPSWKKIPIRQRDLWFGELLARKINTKPNWILDSTWDILCQHWESKEFKIKSMTGKENRASNFGSFGGSLHTCGSITTSQHRYNMAKMNGMPPTLIELFRHTHQRRKDNSWMDEKSEQGKKQLSEKGKSLWDNSFSCCRSINAFLNSLFSFIEFTQSASTQGITPPNELDVWCDVVGTKNGRIYGLGMESTVIRGWPYYHGTSSSNGWIQNQELEKLKKHLEGVKQERDELRIKIVNIERLFEENNAMIRQWMNSINRQSMPLSFE >Vigun03g085200.5.v1.2 pep primary_assembly:ASM411807v1:3:7069935:7072718:1 gene:Vigun03g085200.v1.2 transcript:Vigun03g085200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPDAILQCILSRITSARDVSSCNCVSKRWKDSTPYVRTLYFPRNSFDSPLSSEGAADIVIKKMVSRVVKLEELIVYSPFSANGLASWLSLVGHSLLQLELRMDNLNDNRETPSKLDYVAFAKNLESLKLWGVLMVRTPNWDVFPNLKNLEIIGAKFEDHVLIAVLHTCPVLTRLLLLGCEGVRSLSIELPYLEQCKLDFYGMGNSSLILISPKIESLEVQGCSWIRVPETKHLRELSISNTSGRVYMVDFGNLSALEFLSMRGIQWCWDAICKMLRMASEVKHLFMKVEFSGDYDALQPFPEIDFVDFFNSHQKLQKFDIHGAMFAALCQKNSLKHVDSGFVIPFLEEVVITVRSPLNAEQKLTTLESLLKYGKSLRSMVIKILEMKSCHRSVEDFFDEICRFRYMNRNVVRIE >Vigun03g085200.4.v1.2 pep primary_assembly:ASM411807v1:3:7069748:7072718:1 gene:Vigun03g085200.v1.2 transcript:Vigun03g085200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSRSLLYHFLSLLPIKRERKRVPLSPPHPIQIFHATLFPFLLAMDALPDAILQCILSRITSARDVSSCNCVSKRWKDSTPYVRTLYFPRNSFDSPLSSEGAADIVIKKMVSRVVKLEELIVYSPFSANGLASWLSLVGHSLLQLELRMDNLNDNRETPSKLDYVAFAKNLESLKLWGVLMVRTPNWDVFPNLKNLEIIGAKFEDHVLIAVLHTCPVLTRLLLLGCEGVRSLSIELPYLEQCKLDFYGMGNSSLILISPKIESLEVQGCSWIRVPETKHLRELSISNTSGRVYMVDFGNLSALEFLSMRGIQWCWDAICKMLRMASEVKHLFMKVEFSGDYDALQPFPEIDFVDFFNSHQKLQKFDIHGAMFAALCQKNSLKHVDSGFVIPFLEEVVITVRSPLNAEQKLTTLESLLKYGKSLRSMVIKILEMKSCHRSVEDFFDEICRFRYMNRNVVRIE >Vigun03g085200.2.v1.2 pep primary_assembly:ASM411807v1:3:7069748:7072718:1 gene:Vigun03g085200.v1.2 transcript:Vigun03g085200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPDAILQCILSRITSARDVSSCNCVSKRWKDSTPYVRTLYFPRNSFDSPLSSEGAADIVIKKMVSRVVKLEELIVYSPFSANGLASWLSLVGHSLLQLELRMDNLNDNRETPSKLDYVAFAKNLESLKLWGVLMVRTPNWDVFPNLKNLEIIGAKFEDHVLIAVLHTCPVLTRLLLLGCEGVRSLSIELPYLEQCKLDFYGMGNSSLILISPKIESLEVQGCSWIRVPETKHLRELSISNTSGRVYMVDFGNLSALEFLSMRGIQWCWDAICKMLRMASEVKHLFMKVEFSGDYDALQPFPEIDFVDFFNSHQKLQKFDIHGAMFAALCQKNSLKHVDSGFVIPFLEEVVITVRSPLNAEQKLTTLESLLKYGKSLRSMVIKILEMKSCHRSVEDFFDEICRFRYMNRNVVRIE >Vigun03g085200.1.v1.2 pep primary_assembly:ASM411807v1:3:7066863:7072718:1 gene:Vigun03g085200.v1.2 transcript:Vigun03g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVSGAMDALPDAILQCILSRITSARDVSSCNCVSKRWKDSTPYVRTLYFPRNSFDSPLSSEGAADIVIKKMVSRVVKLEELIVYSPFSANGLASWLSLVGHSLLQLELRMDNLNDNRETPSKLDYVAFAKNLESLKLWGVLMVRTPNWDVFPNLKNLEIIGAKFEDHVLIAVLHTCPVLTRLLLLGCEGVRSLSIELPYLEQCKLDFYGMGNSSLILISPKIESLEVQGCSWIRVPETKHLRELSISNTSGRVYMVDFGNLSALEFLSMRGIQWCWDAICKMLRMASEVKHLFMKVEFSGDYDALQPFPEIDFVDFFNSHQKLQKFDIHGAMFAALCQKNSLKHVDSGFVIPFLEEVVITVRSPLNAEQKLTTLESLLKYGKSLRSMVIKILEMKSCHRSVEDFFDEICRFRYMNRNVVRIE >Vigun02g148500.1.v1.2 pep primary_assembly:ASM411807v1:2:29596910:29598691:-1 gene:Vigun02g148500.v1.2 transcript:Vigun02g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSFLSLALIAVVVAAVGGQSPSAAPTTTPPAATTTPAASPVTTPSKPTSPAPVASPTSSPPSSSPNAATATPASSPTASPPSKTTAPAPATTPPAATPPAATPPAATPPAATPPAATPPAATPPAATPPAATPPAATPPAATPPAATPPVATPPAATPPVATPPTATPPAAKPPTATPPAAKPPTAIPPAVTPVSSPPAPVPVSSAPVAVPASSPPALAPTVSAPVVAPSAEVPAPAPKSKKTTKKSKKHTAPAPSPTLLGPPSPPIGAPVSSQDALSPGPVSSEDVSGAESMRCLKKVVGFLALSWATLVLIF >Vigun03g305500.1.v1.2 pep primary_assembly:ASM411807v1:3:49555769:49561616:1 gene:Vigun03g305500.v1.2 transcript:Vigun03g305500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSSHVSPVLTDPVPVNKSRLRMHSSLLAYSQQDSPVSPGKYSRSNSRKSTGSVDDVKSNGWLDAMKASSPPRKKQLKGSSVQIASIDFEIEDYCTWMLEYPSALDSFEKIVDLAQNKKIAMFLDYDGTLSPIVDDPDCAFMSESMRTTVRSVATYFPTAIISGRSRDKVFDLVKLTELYYAGSHGMDIIGPVSETLSKNHPSCVMSTDHEGKEVTLFQPAREFLPMVDEVFRALVEITKDIEGAKVENHKFCVSVHYRNVEENNWTVVGQRVHDVLQSYPRLRSTHGRKVLEVRPVVDWNKGKAVEFLLESLGFNDSEDVLPIYIGDDKTDEDAFKVLRESNRGYGILVSSVRKESNAFYSLRDPNEVMKFLQLLVNWKRQERKHGKM >Vigun02g124900.2.v1.2 pep primary_assembly:ASM411807v1:2:27679821:27685223:-1 gene:Vigun02g124900.v1.2 transcript:Vigun02g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRCEGTAMGAIVLDLRPGLGIGPFILGMPICEAFAQIEQQPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYSTSLIGGPATLATFVAVYALFGPTYPGIFDKDRGIYTLFYPGLSFAFPIPSQFTDCCHDGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPIGSIYMEEVHVKLREELYFTVGSQHIPFGASPQDVWTELGRPCGIHQKQVDQMGIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGKTHKIKKFVLHSNFPGHADFNSYIKCNFVIYGADSGGSFEEVNNSKQRVITPSTKWEQVKEILGDCGRAAIQTQGSATNPFGSTFVYGYQNIAFEVMKNDYIATITLFQS >Vigun02g124900.1.v1.2 pep primary_assembly:ASM411807v1:2:27679821:27685223:-1 gene:Vigun02g124900.v1.2 transcript:Vigun02g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRCEGTAMGAIVLDLRPGLGIGPFILGMPICEAFAQIEQQPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYSTSLIGGPATLATFVAVYALFGPTYPGIFDKDRGIYTLFYPGLSFAFPIPSQFTDCCHDGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPIGSIYMEEVHVKLREELYFTVGSQHIPFGASPQDVWTELGRPCGIHQKQVDQMGIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGKTHKIKKFVLHSNFPGHADFNSYIKCNFVIYGADSAGGSFEEVNNSKQRVITPSTKWEQVKEILGDCGRAAIQTQGSATNPFGSTFVYGYQNIAFEVMKNDYIATITLFQS >Vigun10g022800.1.v1.2 pep primary_assembly:ASM411807v1:10:2681120:2687714:1 gene:Vigun10g022800.v1.2 transcript:Vigun10g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSGGTPWSKLVAPPIFHRSHAPRTFLFFKRRRFSVSALSEQPPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAMLKDLSWLGLDWDEGPGVGGDYGPYRQSERNSLYKQYAQNLHQSGHVYRCFCSNEELEKMKEDAKLKNLPPVYTGKWASARDEEVEEELAKGTPYTYRFRVPKGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPLFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPEAMVNYLALLGWGDGTENEFYTLGQLVEKFTIERVNKSGAIFDSTKLRWMNGQHLRGRPSEELTKLIAEYWKTSGILTVSAGPFIDEAVQLLKDGIDLINDADKALTNLLSYPLHSTLQSDEAEPLLQDNLSEFAASLLAAYDSGDLVAALEDGHDGWKSWVKGFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGASVVLLYKAGTSDVVAPEAGFVTLDERFKMLRQINWETLSKDQPVKETAASV >Vigun10g022800.3.v1.2 pep primary_assembly:ASM411807v1:10:2683191:2687714:1 gene:Vigun10g022800.v1.2 transcript:Vigun10g022800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDAKLKNLPPVYTGKWASARDEEVEEELAKGTPYTYRFRVPKGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPLFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPEAMVNYLALLGWGDGTENEFYTLGQLVEKFTIERVNKSGAIFDSTKLRWMNGQHLRGRPSEELTKLIAEYWKTSGILTVSAGPFIDEAVQLLKDGIDLINDADKALTNLLSYPLHSTLQSDEAEPLLQDNLSEFAASLLAAYDSGDLVAALEDGHDGWKSWVKGFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGASVVLLYKAGTSDVVAPEAGFVTLDERFKMLRQINWETLSKDQPVKETAASV >Vigun10g022800.2.v1.2 pep primary_assembly:ASM411807v1:10:2681983:2687714:1 gene:Vigun10g022800.v1.2 transcript:Vigun10g022800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDAKLKNLPPVYTGKWASARDEEVEEELAKGTPYTYRFRVPKGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPLFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPEAMVNYLALLGWGDGTENEFYTLGQLVEKFTIERVNKSGAIFDSTKLRWMNGQHLRGRPSEELTKLIAEYWKTSGILTVSAGPFIDEAVQLLKDGIDLINDADKALTNLLSYPLHSTLQSDEAEPLLQDNLSEFAASLLAAYDSGDLVAALEDGHDGWKSWVKGFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGASVVLLYKAGTSDVVAPEAGFVTLDERFKMLRQINWETLSKDQPVKETAASV >Vigun06g031900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13834976:13835437:1 gene:Vigun06g031900.v1.2 transcript:Vigun06g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPNKLVSLPTACHIQLSFSMDLCVSGP >Vigun09g274300.1.v1.2 pep primary_assembly:ASM411807v1:9:43493397:43498025:1 gene:Vigun09g274300.v1.2 transcript:Vigun09g274300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVITGIEAMRKAISFLVQPRCLLLVIVFTIFLILAISGSRRVEEKVEEEPEITDRVFLDVDIDGQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKNANGVKLHYKGTPFHRIISGFMFQGGDIVHRDGKGYESIYGGTFPDENFKIKHSHAGIVSMVNSGPDSNGSQFFITTVKTGWLDGEHVVFGKVVQGMDTVFAIEGGAGTYNGKPRKKVVIADSGLWKDTQESVR >Vigun09g274300.2.v1.2 pep primary_assembly:ASM411807v1:9:43493397:43498025:1 gene:Vigun09g274300.v1.2 transcript:Vigun09g274300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVITGIEAMRKAISFLVQPRCLLLVIVFTIFLILAISGSRRVEEKVEEEPEITDRVFLDVDIDGQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKNANGVKLHYKGTPFHRIISGFMFQGGDIVHRDGKGYESIYGGTFPDENFKIKHSHAGIVSMVNSGPDSNGSQFFITTVKTGW >Vigun09g274300.3.v1.2 pep primary_assembly:ASM411807v1:9:43493397:43498025:1 gene:Vigun09g274300.v1.2 transcript:Vigun09g274300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVITGIEAMRKAISFLVQPRCLLLVIVFTIFLILAISGSRRVEEKVEEEPEITDRVFLDVDIDGQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKNANGVKLHYKGTPFHRIISGFMFQGGDIVHRDGKGYESIYGGTFPDENFKIKHSHAGVRLSCCKYASRLQV >Vigun03g034500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2649661:2651323:-1 gene:Vigun03g034500.v1.2 transcript:Vigun03g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKGVDVAAVPYRPAPTSFAVFDINAIEEPWLKHLNESTTQVHQDKTPLPAPILHKLNMLDATDAPQSWDEVSKTLQDLKPVITKPPQTSPPQPPPQQPQPQPPQKTNSFHTLEELDAKTKPKPEPTKPDSVKPEAVKPAVAVVNVARGSKLKDNVFIMRDRMEREKEEKESAFERLRRDPLSAFPEKCPPGGSETVVVYTTSLRGVRKTFEDCNRVRDVLETHRVVFDERDVSLHGEFLREVKELVGEAVALPRVFVKGRYVGGLEELVELNETGRLGRILRATRVERGIGRQTCGGCGGARFVPCLDCGGSCKIVVDGVEKQRCPKCNENGLVHCPSCI >Vigun02g197700.3.v1.2 pep primary_assembly:ASM411807v1:2:33281709:33283962:-1 gene:Vigun02g197700.v1.2 transcript:Vigun02g197700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKCNKNGKQHEGETNSGTKLRDLPNEILQEVISNLPIKEAIQTDVISKKWRNQWKHISKVRLAEKGHREKKEFIDFVEKLLAVLNTSCIKIFSLACDVCEDTPIVNGWLSGFINPTIQELSLEFGNLDEEPLVFPDYLFSSKDLTHFHLSMPHLFMLPPFISFPSLTTMSLREVMFPDSFSTQRLFNGCPSLTQLTLIDCKWTNIETVQIACPSLQILTIREWEDDENDLIDDNDPTSCRIVITSSNLKTFSYDGDLLNDYVLDCNTSSITNGAVEVHPPPSDGTDAGLFVLRILKALSNVERLSISDFATEALCQGSFYIPELPLFNNLVELHVESTDPMNMACDALLTILRCSPRLEALYFAMVTSNPEIDSLFANIMSFIDS >Vigun02g197700.2.v1.2 pep primary_assembly:ASM411807v1:2:33281709:33283709:-1 gene:Vigun02g197700.v1.2 transcript:Vigun02g197700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSDLTWSWTVILHDLGGSGITMGTLKSFGMDAKCNKNGKQHEGETNSGTKLRDLPNEILQEVISNLPIKEAIQTDVISKKWRNQWKHISKVRLAEKGHREKKEFIDFVEKLLAVLNTSCIKIFSLACDVCEDTPIVNGWLSGFINPTIQELSLEFGNLDEEPLVFPDYLFSSKDLTHFHLSMPHLFMLPPFISFPSLTTMSLREVMFPDSFSTQRLFNGCPSLTQLTLIDCKWTNIETVQIACPSLQILTIREWEDDENDLIDDNDPTSCRIVITSSNLKTFSYDGDLLNDYVLDCNTSSITNGAVEVHPPPSDGTDAGLFVLRILKALSNVERLSISDFATEALCQGSFYIPELPLFNNLVELHVESTDPMNMACDALLTILRCSPRLEALYFAMVTSNPEIDSLFANIMSFIDS >Vigun04g137400.1.v1.2 pep primary_assembly:ASM411807v1:4:34317293:34320517:1 gene:Vigun04g137400.v1.2 transcript:Vigun04g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGPTLGFNNSTEIKCIERERQALLSFKLSLVDGYGMLSTWRDDENSRDCCKWKGIQCDHQTGHVIILHLRGSDTEYLRGALNISSLFPLQNIRYLDLSYNFFIGSHIPQLIGSLTNLRYLNLSCFLFSGSIPIQLGNLTHLLSLDLSQNFLLRGEIPYQLGSLTNLRYLDLSENNLDEKIPSQLGNLSQLKYLDLSQNAFSGTLSFQDAKWLSNLSSLRHLAFNGLHNPHWLQMIHNTHIQSLFYSPSNFSSSLTILDLSSNMLTSSSFQLLSNFSLNLQELYLSQITLFSHLRFNFSSKLQNLNLRNCSLRDGSFLISDISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTLDLYENVLEGPIPDGFGKVMNSLKVLDLYGNKLQGEIPSFFGNIYALQSLDLSHNKLSGKISSLFQNSSWGNTQVFQSLYLSDNQITGILPISIGLLSELEDLYLDGNCLEGDVTESHLSGFSKLRYLSLSDNSLSLKIVPSWVPPFQLYFLTLRSCMLGPTFPSWLQTQRSLFHLDISENRLNGSVPKWFWNNLHNVGYLDMSKNNLSGVIPNIISLRLFNRPSTFLNSNNFEGEIPQFLLQASDLTLSHNKFSDLFSFICDQHTYAMATLDLSNNQLKGQLPHCWKSVDRLLFIDLSNNKLSGKIPVSMGSRVKLEVLVLRDNNLMGELASTLKNCSNLIMLDVAENMFSGTIPSWIGESMQQLIILNMRGNHFSGHLPIQLCYLKHIQFLDLSRNMLSKGIPSCLNNLTAMSEKSINTSDTLNRIYSMDIITYIQIYGAYGHKNYTLNISLVWKGVEQGFKNPELLLKSIDISSNNLTGEIPKEIGYLVGLVSLNLSRNNLRGEIPCGIGSLRSLDSLDLSRNHITGKIPSSLHEIDGLAKLDLSHNYLSGRIPRGRHFETFDGSSFEENIDLCGVQLKKSCPGDQTIKKISKAEAINDVEDSGFCEALYMSMGIGYFTGFWSLVGPMLLWRSWRNAYMRFLNKLTKYI >Vigun08g151300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32410617:32414139:-1 gene:Vigun08g151300.v1.2 transcript:Vigun08g151300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHFRSEFLPTTLNPSSTYLQLSPLRPFHKTPNLKFHSHRRFAVVSLSQIPKPVSESSILGRNSAGTRRDLRCYAGRSKKSGGDGSSGGRIEGAAELRSRWRRINSARTKKYAESLFYRLKNPHGGGNYPDNFTEDELQQIGLGYDRMVRFMEKDDPNLRHPYDWYKYGQYGPYSWRGVVVGDPVRGGITDECVTLIGEVRDHEEWEKIEQAEMAEDYGEKVKQLDQSKLRYFWVFVRHPRWRLSELPWEQWTLVCEVVLEAGQQRLDKWNLMGRLGNKARSAIGQCAAWMRPDIVYVKKPVFQCRFEPQDGFFKPLIQQLNPETEQDFLFELENDDGSVELCTYYGGLCKIVKVNQKAFVDDVVNAYDKLSDEKKSKCLEFLLKNHPVELLHPYTKEWKAKLEEMELGCDAPDEEDDAVVDDPDDTEILDWIEDEGSDDDADDDDDEEEEELNDDDDDDDDVDPYKNQDLVMDMEEGEDGKYEAMEEDETDFNWNEEFEKALGSGDAMENLARKALETNKKLNKKQPVMHNVEEETAPSVDGDETALRGKRAKVSPEEWKYIGIGKWRKRIKRSRIPPELFLRAVVRPFTYRNLVKEIVLTRHAILDGEIGAQG >Vigun08g151300.1.v1.2 pep primary_assembly:ASM411807v1:8:32410673:32414134:-1 gene:Vigun08g151300.v1.2 transcript:Vigun08g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHFRSEFLPTTLNPSSTYLQLSPLRPFHKTPNLKFHSHRRFAVVSLSQIPKPVSESSILGRNSAGTRRDLRCYAGRSKKSGGDGSSGGRIEGAAELRSRWRRINSARTKKYAESLFYRLKNPHGGGNYPDNFTEDELQQIGLGYDRMVRFMEKDDPNLRHPYDWYKYGQYGPYSWRGVVVGDPVRGGITDECVTLIGEVRDHEEWEKIEQAEMAEDYGEKVKQLDQSKLRYFWVFVRHPRWRLSELPWEQWTLVCEVVLEAGQQRLDKWNLMGRLGNKARSAIGQCAAWMRPDIVYVKKPVFQCRFEPQDGFFKPLIQQLNPETEQDFLFELENDDGSVELCTYYGGLCKIVKVNQKAFVDDVVNAYDKLSDEKKSKCLEFLLKNHPVELLHPYTKEWKAKLEEMELGCDAPDEEDDAVVDDPDDTEILDWIEDEGSDDDADDDDDEEEEELNDDDDDDDDVDPYKNQDLVMDMEEGEDGKYEAMEEDETDFNWNEEFEKALGSGDAMENLARKALETNKKLNKKQPVMHNVEEETAPSVDGDETALRGKRAKVSPEEWKYIGIGKWRKRIKRSRIPPELFLRAVVRPFTYRNLVKEIVLTRHAILDGEIGAQVVW >Vigun01g212600.1.v1.2 pep primary_assembly:ASM411807v1:1:38657504:38660751:-1 gene:Vigun01g212600.v1.2 transcript:Vigun01g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASTKSAFKFVKNTSPSAAQWSGTNSKGMASRRSSPPKESNMASRRSPPKESTMAPPKSPPRSPPKENTKPQEFKLHTQERAVKRAMFNYAVTTKFYIMELQKKQEERLQKLIEEEEVRLLRKEMVPRAQLMPYFDKPFFPQRSSRSVPRESCLHMMSGKCWSCSVGNEIYNLHHYGHQALKPIK >Vigun02g088300.1.v1.2 pep primary_assembly:ASM411807v1:2:24310818:24311768:1 gene:Vigun02g088300.v1.2 transcript:Vigun02g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERRLELLEVQVGNASANPSLFAT >Vigun02g088300.2.v1.2 pep primary_assembly:ASM411807v1:2:24310880:24312775:1 gene:Vigun02g088300.v1.2 transcript:Vigun02g088300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERRLELLEVQVGNASANPSLFAT >Vigun03g177400.1.v1.2 pep primary_assembly:ASM411807v1:3:22073226:22081678:1 gene:Vigun03g177400.v1.2 transcript:Vigun03g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGSSAAKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKGLEKNREKVGGVVQSDSESEEYEDLAHKPIGPVDPSKCTAAGTGIAGGTACAPSSFVVVAKDADERKVSNGGAQIKVRVTPGLGVGGSEQEGMVKDMGDGTYTVTYVVPKRGNYMVSVECNGRPIMGSPFPVFFSAAGNGSGGLLGLAPASTFPNLVNQTMPNMPNYSGSVSGAFPGLLGMIPGVVAGASGGAILPGIGASLGEVCRDYLNGRCAKVDCKLNHPPHNLLMTALAATTSMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQSAKDSSGSPEKSSKDDALKKTLQVSNLSPLLTVEQLKQLFAFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNIDVGGRPLNVEMAKSLPQKPSAVNSSLASSSLPLMMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKSATELAAARAAEISKKLNPDGLESEAKEAKQKSRSPSPPRGRSRSKSRSPINYRRRRRSRSYSPVRHSRDHRSRSPLRSHHYSSYERERRYRDIREHSDRYRKRDLDRSLDYRLSASRRNKSRSVSPHTRKSSISPKRHRETSPHRARKQSRADSGSPSRHRGRSSPKTDEKKLRNRRRSRSRSSDDNRLLYSKNEEILHGKSKHKERRRSRSVSVDEKPHRRSRSSPRKVDESRSRYKKRSRSKSVDDKHDSPERLDQNRYRRSRHNDKRHSRSRSTENRDQSDVRVDESKNEKSKQRDSRRGRSKSVEGKHRSKDKSGESRDKKSKHRDRRRSRSISLEGELDKRGTSPHINLDERNFELKQSSSKFPEVKHHSSDKYANRDEKSDHQKKTPPKSKSEQFDGSGSFQGNFEDYDSKEKSQSDSGSAEVKHNLNDGDDATCEENSKLSGDVKDSITLNDTGMLTSVNGNYRLEGSNEADDNPGWICVEEVGNVKY >Vigun05g279133.1.v1.2 pep primary_assembly:ASM411807v1:5:46848880:46849523:-1 gene:Vigun05g279133.v1.2 transcript:Vigun05g279133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRWDGQFESARSDYSDATEDLNQLTPIIHGAIENLNQLAPIIEDYEAKIKRLEDTEKIRNAEIARLEDVGKKRDEDLARLEDLAKKSEADLARLEDLEQIWIPAYKSEIGRLKRFERRSMTRIHDLEEDKEELKMTLRMLRKDKNMCLYQLDVARCEKEELKAMSIKLKDDINRLHRNL >Vigun02g105800.1.v1.2 pep primary_assembly:ASM411807v1:2:25995839:26000843:-1 gene:Vigun02g105800.v1.2 transcript:Vigun02g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQSRKWMVLVATIWIQAFTGTNFDFSDYSSSLKSLLNISQIKLNYLATANDMGKVFGWSSGLALSRLPLSVVIFIASAMGLLGYGLQWLAINNFIALPYYLFFLLSLLSGCSICWFNTVCFVLCIRNFPVNRPLALSLTVSFNGVSAALYTLAANSIDPSSDSLYLLLNALVPLLTSLAALIPILLQPPLDSLSRSADAARRNSVIFLILNFLAIFTGIYLLLFASSTSDEATSRLYFGGAIFLLISPLCIPGTIYARDWFHNAINSSFQMEGSGFLLVHVDDLELHKELLTSTLNLSNGEDHGLLGENGSMHGSQRAKTSGMGCDKVLAQDQLAILGEEHTAAEVVRRVDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGLSSSISTLVTLYSSFSFFGRLLSAVPDYIRNKFYFARTGWLAIGLFPTPVAFILLAVSDSAAALKVSTALIGLSSGFIFAAAVAVTSELFGPNSVSVNHNILITNIPIGSLLYGFLAAAIYDANAYSVTGDFMGDTLVCMGRKCYFWTFVWWGAMSVLGLASSVLLFLRTKHAYDHFERHRSRIWAQSLVS >Vigun07g004500.1.v1.2 pep primary_assembly:ASM411807v1:7:373309:374310:-1 gene:Vigun07g004500.v1.2 transcript:Vigun07g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSGEKRVMVLAMDEHEHSNYALEWTLDRFFTPFGADAPYNLVIINAKPSPPPAVTMAGPGVLGSEIFPAVEVQLKLNANQIIEKAKQICGSKSVDEVTMEVAEGDARNVLCDAVERHRASILVMGSHGYGAIKRAVLGSVSDHCARHAQCSVMIVKRPKFKN >Vigun07g004500.2.v1.2 pep primary_assembly:ASM411807v1:7:373309:374310:-1 gene:Vigun07g004500.v1.2 transcript:Vigun07g004500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSGEKRVMVLAMDEHEHSNYALEWTLDRFFTPFGADAPYNLVIINAKPSPPPAVTMAGPEVQLKLNANQIIEKAKQICGSKSVDEVTMEVAEGDARNVLCDAVERHRASILVMGSHGYGAIKRAVLGSVSDHCARHAQCSVMIVKRPKFKN >Vigun06g153300.1.v1.2 pep primary_assembly:ASM411807v1:6:27726752:27727550:1 gene:Vigun06g153300.v1.2 transcript:Vigun06g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASTKPEYPVVDRNPPFTKVVGNFSTLDYLRFVTITGVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDDEVARLNKK >Vigun11g038900.8.v1.2 pep primary_assembly:ASM411807v1:11:5406409:5408168:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRSRSTLKD >Vigun11g038900.7.v1.2 pep primary_assembly:ASM411807v1:11:5404910:5408168:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRSRSTLKD >Vigun11g038900.6.v1.2 pep primary_assembly:ASM411807v1:11:5404910:5408168:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRWGCPLGKFPWSYEEKEIYYLVEGKVKVWVK >Vigun11g038900.5.v1.2 pep primary_assembly:ASM411807v1:11:5404910:5408168:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRWGCPLGKFPWSYEEKEIYYLVEGKVKVWVK >Vigun11g038900.2.v1.2 pep primary_assembly:ASM411807v1:11:5404898:5408170:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRSNPSVF >Vigun11g038900.1.v1.2 pep primary_assembly:ASM411807v1:11:5404896:5408170:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRWGCPLGKFPWSYEEKEIYYLVEGKVKVWVK >Vigun11g038900.4.v1.2 pep primary_assembly:ASM411807v1:11:5404910:5408171:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERFDCSHLRLSPLLFCFWVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRSRSTLKD >Vigun11g038900.3.v1.2 pep primary_assembly:ASM411807v1:11:5404903:5408168:-1 gene:Vigun11g038900.v1.2 transcript:Vigun11g038900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETITLTSLSMFTLSPFATFFFLRSLSPRSPLSFSFLLHFTLNHFTLTFPHVFVCSPFLLSPSFASICASVHDCRRSHLLDWVIQPRSEGERVFCLIPSRECEFECECEWGIRSISGRKTKKTRSSPELKSTVSESGRTFSKIPNSPLFSLLVPTSTSRWGCPLGKFPWSYEEKEIYYLVEGKVKVWVK >Vigun03g170400.1.v1.2 pep primary_assembly:ASM411807v1:3:20342872:20348743:1 gene:Vigun03g170400.v1.2 transcript:Vigun03g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMGSLARPNSLFFRTSGRAFTHKVGSNKVVSFQKFTWNGSFPSEKLEVEARQKNKEAPVVVVTGASRGIGRAIALSLGKAACKVLVNYTKSSTQAEEVSNLIEAFGGQALTFAGDVSNEADVESMIKTAVDAWGTVDVLVNNAGITRDGLLMRMKKSQWQDVIDLNLTGVFLCIQAAAKIMTMKKKGRIVNITSVIGQVGNVGQANYSAAKAGVIGLTKSAAREYASRNITVNAVAPGFIASDMTAMLRPDMEKKRLELIPLGRFGQPEEVAGLVEFLALNPSANYITGQVFTIDGGMAM >Vigun03g170400.2.v1.2 pep primary_assembly:ASM411807v1:3:20342872:20348743:1 gene:Vigun03g170400.v1.2 transcript:Vigun03g170400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMGSLARPNSLFFRTSGRAFTHKVGSNKVVSFQKFTWNGSFPSEKLEVEARQKNKEAPVVVVTGASRGIGRAIALSLGKAACKVLVNYTKSSTQAEEVSNLIEAFGGQALTFAGDVSNEADVESMIKTAVDAWGTVDVLVNNAGITRDGLLMRMKKSQWQDVIDLNLTGVFLCIQAAAKIMTMKKKVGNVGQANYSAAKAGVIGLTKSAAREYASRNITVNAVAPGFIASDMTAMLRPDMEKKRLELIPLGRFGQPEEVAGLVEFLALNPSANYITGQVFTIDGGMAM >Vigun05g166550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27693156:27697124:-1 gene:Vigun05g166550.v1.2 transcript:Vigun05g166550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALVGPPTELDYVRNQILSGTVVPSYDTVNEQLLCLSVPHMFGHSLVPPTDSSALYSQSSYWGGRSGTRGGYHGQRPHFNFCQRYGHTKEECRTKAQQQHKTANIAQIISPKPSYEVSISVDAYNEFLQYKAAMQPTQHVVAVTQSGNPLAYISQSSSLGSWILDFDDHMFGNKSFFFQLTYLDSLSSVTMANGSQMKVNGIGQTQPCSRIGVPDRQLEQDMSLEDYTICHNRLLVSPLHLKLLSINAYIILVLPKMRLMLPSFSYSSSFQCESCQLGKHTRHTYSTRVNKGVSSPFALVHSDIWRPSRVYSTLGFYYFVTFIDDFSRCTWLFLMKNCSDLFSIFQGFSTEIQNQFGTTIKILRTDNARE >Vigun06g114600.2.v1.2 pep primary_assembly:ASM411807v1:6:24272713:24274724:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.5.v1.2 pep primary_assembly:ASM411807v1:6:24271186:24274727:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.6.v1.2 pep primary_assembly:ASM411807v1:6:24273370:24274727:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.7.v1.2 pep primary_assembly:ASM411807v1:6:24273453:24274724:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.3.v1.2 pep primary_assembly:ASM411807v1:6:24273327:24274727:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.4.v1.2 pep primary_assembly:ASM411807v1:6:24273423:24274724:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >Vigun06g114600.1.v1.2 pep primary_assembly:ASM411807v1:6:24270642:24274724:1 gene:Vigun06g114600.v1.2 transcript:Vigun06g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPLMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMPGQYPSGGMMQQGAHYMQAQQAQQMTPQQLMAARSSHLYSQQPYSALQQQQVMHSQLGGSSGLHMLQSEGSHVNVGSGSSTLGGSGGFPDFVRGGGGSAGEGLHGRSMIGGGSGKQDIGGSGDGRGGSSGEGGESLYLKSAEDGN >VigunL014051.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:244273:244593:1 gene:VigunL014051.v1.2 transcript:VigunL014051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNLHWGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNKSIQSPQVGFETTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNS >Vigun09g055500.1.v1.2 pep primary_assembly:ASM411807v1:9:5557615:5558126:-1 gene:Vigun09g055500.v1.2 transcript:Vigun09g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIINKKNYGIGEKGSPMGRGPTSNARSSSPWNCLPVTNTFRGSKIERARHPKEEMGSVVKLKGFDIDSIQQYYTA >Vigun11g117500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32343641:32345526:-1 gene:Vigun11g117500.v1.2 transcript:Vigun11g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPSWSDQWGNGGFGSDHYEVEDEKVKKSSGSSKKMAAGMDKAKAAAIVGADKAKTAAVVGAQKVKSGTSAGIKWVKNQYQKRTSK >Vigun11g117500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32343884:32344901:-1 gene:Vigun11g117500.v1.2 transcript:Vigun11g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPSWSDQWGNGGFGSDHYEVEDEKVKKSSGSSKKMAAGMDKAKAAAIVGADKAKTAAVVGAQKVKSGTSAGIKWVKNQYQKRTSK >Vigun01g040600.2.v1.2 pep primary_assembly:ASM411807v1:1:5660741:5663358:-1 gene:Vigun01g040600.v1.2 transcript:Vigun01g040600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYSPVFKYSDVELQIRKNQEMDSSIGNPQQHCHQPNSGLLKYCSAPSSLLASLVDNNILDCVNEESFTSEIHQHYAPSTSSEMNTMLSNNGWNNSEALQEFGSKPVKQEIGESVPQGPPQQNEYSYGDSQLIYQSEQIQGLSNASSSASYGGSFGVLNSMASENPLQSKMGARNCSNLFRQKSSPAGFFSIENDLAALREVGGFKGNDVSNRQAITSTSGLSSSLAFSSRPSSGLKQMPQIAEDGKESLQENCDQSRILVNEDGDSKFYIPSFTTDIWETSSFNAPKTESDDEIMFSTSNGLESQESDFSYQNLGLTHHLSLPTSSTKMSSSIEKFLEVQGSVPCKIRAKRGFATHPRSIAERVRRTRISERIKKLQDLFPKSEKQTSTADMLDLAVEYIKDLRQQVKVLSDCKAKCKCTSTENHCTRTCA >Vigun01g040600.3.v1.2 pep primary_assembly:ASM411807v1:1:5660741:5663397:-1 gene:Vigun01g040600.v1.2 transcript:Vigun01g040600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYSPVFKYSDVELQIRKNQEMDSSIGNPQQHCHQPNSGLLKYCSAPSSLLASLVDNNILDCVNEESFTSEIHQHYAPSTSSEMNTMLSNNGWNNSEALQEFGSKPVKQEIGESVPQGPPQQNEYSYGDSQLIYQSEQIQGLSNASSSASYGGSFGVLNSMASENPLQSKMGARNCSNLFRQKSSPAGFFSIENALREVGGFKGNDVSNRQAITSTSGLSSSLAFSSRPSSGLKQMPQIAEDGKESLQENCDQSRILVNEDGDSKFYIPSFTTDIWETSSFNAPKTESDDEIMFSTSNGLESQESDFSYQNLGLTHHLSLPTSSTKMSSSIEKFLEVQGSVPCKIRAKRGFATHPRSIAERVRRTRISERIKKLQDLFPKSEKQTSTADMLDLAVEYIKDLRQQVKVLSDCKAKCKCTSTENHCTRTCA >Vigun01g040600.1.v1.2 pep primary_assembly:ASM411807v1:1:5660740:5663652:-1 gene:Vigun01g040600.v1.2 transcript:Vigun01g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYSPVFKYSDVELQIRKNQEMDSSIGNPQQHCHQPNSGLLKYCSAPSSLLASLVDNNILDCVNEESFTSEIHQHYAPSTSSEMNTMLSNNGWNNSEALQEFGSKPVKQEIGESVPQGPPQQNEYSYGDSQLIYQSEQIQGLSNASSSASYGGSFGVLNSMASENPLQSKMGARNCSNLFRQKSSPAGFFSIENDLAALREVGGFKGNDVSNRQAITSTSGLSSSLAFSSRPSSGLKQMPQIAEDGKESLQENCDQSRILVNEDGDSKFYIPSFTTDIWETSSFNAPKTESDDEIMFSTSNGLESQESDFSYQNLGLTHHLSLPTSSTKMSSSIEKFLEVQGSVPCKIRAKRGFATHPRSIAERVRRTRISERIKKLQDLFPKSEKQTSTADMLDLAVEYIKDLRQQVKVLSDCKAKCKCTSTENHCTRTCA >Vigun06g085501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21766943:21768690:1 gene:Vigun06g085501.v1.2 transcript:Vigun06g085501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRFRLSDMMPNAWFYKLKDMSKSRKKNGTHVMKNKVTSPTTSQRSQQPRYSHCFSIEPNIAGKLYNSPIYTKHSDNIFIDSPRRSSKRRTKRKTIYKPSPTVVSSPVIESFSFSSRSTNWIKPNPPQSSSDYYLSSSDTSYESNFHEYVSSESECDKFTIPDLLNGVASECSCRVSSSTNDIIIDMKNEPFTANSENLDGFDTISQLGLPPILTKPVKFDDKVIEAIELRRSAKFDEVNSHQSQAVKVSKEESSRSKRDRKTSPVSRISSASSTGIRLRVNSPKLASKKVQAYARRSVSSKAGKTSVDTGFPEGFAVVKSSLDPQRDFRESMVEMIVENNIRASKDLENLLACYLSLNSREYHDLIVKAFEQIWYDMALLGM >Vigun01g234600.5.v1.2 pep primary_assembly:ASM411807v1:1:40631605:40636177:1 gene:Vigun01g234600.v1.2 transcript:Vigun01g234600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDLNEYSPSRSLSSKHLSCSHHLCDNGSNCKSSQQQCPYMVSYLSDNTSSSGLLVEDILHLQSGGGLSNSSVQAPVVLGCGMKQSGGYLDGVAPDGLLGLGPGESSVPSFLAKSGLIHNSFSLCFDQDDSGRMFFGDQGPTIQQSTPFLPLDGLYSTYIVGVESSCIGNTCLKMTSFKAQIDSGTSFTFLPGHAYGVIAEEFDQQVNGSRSSFEGSPWEYCYVPSSQELPKVPSLTLMFQQNNSFVVYNPVFVFYGNEGVIGFCLAIQPTEGDMGTIGRKTRS >Vigun01g234600.2.v1.2 pep primary_assembly:ASM411807v1:1:40631605:40636177:1 gene:Vigun01g234600.v1.2 transcript:Vigun01g234600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDLNEYSPSRSLSSKHLSCSHHLCDNGSNCKSSQQQCPYMVSYLSDNTSSSGLLVEDILHLQSGGGLSNSSVQAPVVLGCGMKQSGGYLDGVAPDGLLGLGPGESSVPSFLAKSGLIHNSFSLCFDQDDSGRMFFGDQGPTIQQSTPFLPLDGLYSTYIVGVESSCIGNTCLKMTSFKAQIDSGTSFTFLPGHAYGVIAEEFDQQVNGSRSSFEGSPWEYCYVPSSQELPKVPSLTLMFQQNNSFVVYNPVFVFYGNEGVIGFCLAIQPTEGDMGTIGQNFMTGYRLVFDRENKKLAWSRSNCQDLSVDKRTPLSPNETSPNPLPSDEQQRTNGHAVAPAVAGRAPHKSSAALSRMNSVFRVYWQCSFFLLFQLVSASY >Vigun01g234600.4.v1.2 pep primary_assembly:ASM411807v1:1:40631605:40636177:1 gene:Vigun01g234600.v1.2 transcript:Vigun01g234600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQISISMRWRLLLLLLLELTARAMPLPITFSARLVHRFADEIKPVRIPTGDWPDRKSLRYYQMLLADDILRRKIKLGGARYQLLFPTHGSKTLSLGNDFGWLHYTWIDIGTPSTSFLVALDAGSDLLWIPCDCVQCAPLSSSYYSNLDRDLNEYSPSRSLSSKHLSCSHHLCDNGSNCKSSQQQCPYMVSYLSDNTSSSGLLVEDILHLQSGGGLSNSSVQAPVVLGCGMKQSGGYLDGVAPDGLLGLGPGESSVPSFLAKSGLIHNSFSLCFDQDDSGRMFFGDQGPTIQQSTPFLPLDGLYSTYIVGVESSCIGNTCLKMTSFKAQIDSGTSFTFLPGHAYGVIAEEFDQQVNGSRSSFEGSPWEYCYVPSSQELPKVPSLTLMFQQNNSFVVYNPVFVFYGNEGVIGFCLAIQPTEGDMGTIGRKTRS >Vigun01g234600.3.v1.2 pep primary_assembly:ASM411807v1:1:40631605:40636177:1 gene:Vigun01g234600.v1.2 transcript:Vigun01g234600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGGYLDGVAPDGLLGLGPGESSVPSFLAKSGLIHNSFSLCFDQDDSGRMFFGDQGPTIQQSTPFLPLDGLYSTYIVGVESSCIGNTCLKMTSFKAQIDSGTSFTFLPGHAYGVIAEEFDQQVNGSRSSFEGSPWEYCYVPSSQELPKVPSLTLMFQQNNSFVVYNPVFVFYGNEGVIGFCLAIQPTEGDMGTIGQNFMTGYRLVFDRENKKLAWSRSNCQDLSVDKRTPLSPNETSPNPLPSDEQQRTNGHAVAPAVAGRAPHKSSAALSRMNSVFRVYWQCSFFLLFQLVSASY >Vigun01g234600.1.v1.2 pep primary_assembly:ASM411807v1:1:40631605:40636177:1 gene:Vigun01g234600.v1.2 transcript:Vigun01g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQISISMRWRLLLLLLLELTARAMPLPITFSARLVHRFADEIKPVRIPTGDWPDRKSLRYYQMLLADDILRRKIKLGGARYQLLFPTHGSKTLSLGNDFGWLHYTWIDIGTPSTSFLVALDAGSDLLWIPCDCVQCAPLSSSYYSNLDRDLNEYSPSRSLSSKHLSCSHHLCDNGSNCKSSQQQCPYMVSYLSDNTSSSGLLVEDILHLQSGGGLSNSSVQAPVVLGCGMKQSGGYLDGVAPDGLLGLGPGESSVPSFLAKSGLIHNSFSLCFDQDDSGRMFFGDQGPTIQQSTPFLPLDGLYSTYIVGVESSCIGNTCLKMTSFKAQIDSGTSFTFLPGHAYGVIAEEFDQQVNGSRSSFEGSPWEYCYVPSSQELPKVPSLTLMFQQNNSFVVYNPVFVFYGNEGVIGFCLAIQPTEGDMGTIGQNFMTGYRLVFDRENKKLAWSRSNCQDLSVDKRTPLSPNETSPNPLPSDEQQRTNGHAVAPAVAGRAPHKSSAALSRMNSVFRVYWQCSFFLLFQLVSASY >Vigun06g131400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25773597:25774688:-1 gene:Vigun06g131400.v1.2 transcript:Vigun06g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTSRNVIFYSLLQEIHASGNEISVTVTANASAVRDWLTAALYSSRYYVHLKRLVVGLSVHWTLSGANLNTPVHTLQLLIGRRILIFQLAHATTVPNKLRTFLLNPSHTFVGLSNFWDPQKLLCSRHRLRMARAPVDLVLYVNSLLRGRLPKEIVIERCLG >Vigun05g178900.2.v1.2 pep primary_assembly:ASM411807v1:5:33728760:33740746:-1 gene:Vigun05g178900.v1.2 transcript:Vigun05g178900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGKKAWLVFLGAMVVLSHVLGTNGCFEKEKSALLDFKASYASESYALPSWLNDPKSNCCSWERVTCSSSSGHIIHLALGNLHRTNESEILPAEFPFGEMGPYLPIMRPYCLKPTRILNCSLFLPMRELRSLALSNNCFLGFFRNQDGGNLTLKKLETLDLSFNSFNESIMELVGTLPSIKNLNLCGNSIRGPFMKELSLLPNLEVLDLSMNLIGNGSFLASQDYQSMSRLKKLGTLDLSFNYLNESIMELVGVIPSIKNLTMAANFIGGPFPMKELTLLPNLEMLDLSGNRLVSHVPTEDVHSIEFYVLKKLKTLNLADNNFDKGIFKSLAAFPALRSLNLGFNPIKGDLDEKVLSDLSKLELLGLRNADINGILPNQGLCKMKQLQMLDLSLNNLRGTLDTCLGNLTSLRYVDFTFNFLSGNVTPFIAQLRSIEHLGIAFNRFEGIFQFNILANHSKLKELLIGNMKVETENPPWVASFQLEVLQICDCELNLPTKIPTFLSNQSRLRILDLSGNNLVGKFPSLLLMNNPNLQEVYLFHNSFTGPLELPFDQNHRMDQTKVFSISNNKLLGNLPYNVGFFFPRLETLDVSNNKFDGPIPASIGEMSSLVELFLGSNNFSGNVPDHILNRCFSLQTLMMDNNQLNGTLLSAIRKLRLTGLTASRNNIEGAITDEWCQHELFMLDISHNKFSGSLPSCFKMPAYLFLQGNNFTGMIPELFMSNRSKATAIDFSENKFTGTIPDSVYKLWSLKFLLLAGNHLQGQISSQICQLKLINILDLSKNNFSGSIPACFSNMSFGNVTTPFYITDRAKFGPRPDLAVMQLITKNLYLSYRSDRFQLLSELDLSCNELTGEIPHQLGDLHGLHSLNLSHNHLSGLIPESFQKLENIESLDISYNNLSGQIPFQLQDLHYLAVFNVSYNNLSGKALDKGQFCTFDGSSYKGNPYLTWTNCKSDSSKPPPLPTLLHDDEEEDSEIDFNAFYWSFATFYVMALAALVTLLWMNPHWRRVWFYFAQVCLQKCFGQFLHGLQF >Vigun07g064600.1.v1.2 pep primary_assembly:ASM411807v1:7:7518160:7521317:1 gene:Vigun07g064600.v1.2 transcript:Vigun07g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKWSCSWWISSEEFQMMKLVILIVPLFVLTWIATAKTSHICRWSLVANCYVDDDTTPSPPPPSQFNETQVFNVSKPYVEETSEPHLLLKQTTKSNILEKTEVGLAQARAAIREVRNENYPTLDSDYVPMGPVYLNPKTFHRSYLEMEKQFKVFVYGEGEPQIFRNPPCKRVYSMEDNFMHSIAMNDHFRTKDPEKAHVFFLPFSVVTNLRYGYERVSQDSSAERNTVTDYISVIAARYPYWNRSLGADHFTLSCHDMCRRTSLSSPDPLKNSIRVLCNANTSEGFKPTKDVSFPEINLKTGSTNSFIGGPSAFKRSVLAFFAGGVHGPIRPVLLKHWENKDEDIQVHEYLQKGTSYHEMMRKSKFCLCPSGNEVASPRVVEAIYTGCVPVIISDHYVPPFSDVLNWKSFSVQLSAKDIPNLKDILMSISPRQYVRMQRGVEQIRKHFEVHSPPKRFDVFHMILHSVWLKRLNFEVHDDHTALVQ >Vigun08g028700.1.v1.2 pep primary_assembly:ASM411807v1:8:2619040:2621288:1 gene:Vigun08g028700.v1.2 transcript:Vigun08g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKDEVVRGLSPARSRAKSPARSSSPMSSLLRRRRKHHAPPPELFIARSGSLRPAEALSPLKEGPDGTDGHDSTRGEGRWGQWMKGPLARAPSVSSSSSSSSSSCKKSDLRLLLGVLGAPLAPVHVCTTDPFPHLSIKDIPIETSSAQYILQQYIAASGGQKLQNSINNAYAMGKVRMIASEFETANKVTRSRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKKINEEDCFILKLCADPSTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSLDCFIPPSELRFASMSEACELPQGQRVKTAVAAAAYHAKVAQLQKSHEGNTNNINWTVDV >Vigun10g044700.1.v1.2 pep primary_assembly:ASM411807v1:10:6509778:6513713:1 gene:Vigun10g044700.v1.2 transcript:Vigun10g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASNLQASVTGFLVLLIAYKVFRLIKSPKQRKGTKVPEPHGALPFIGHLHLLNGRIPYFRTFSAMAEKYGPVFCVKLGCHPTIVVNNREIAKECLTQNDRVFASRPNTSGGRLMGYNNAIFGLSPYGDYWREIRKMAVLEILSSHRLEKLKHVRDSETLSLVKDLYSSMAVSSAKNVKGSNEVAISNLLEHMTFNIIVRMIAGKRFGGDTANQEDNEAWKLRKAIKDATYLFGVFVVADAIPSLGWLDFQGYVSFMKRTAQQIDLVLDNWLQEHLRERARDGECERDFMDVMISTFEEQEEICGYKKETVIKATAMMLILTASGSTSMTLTWALSLLVNHPKILKVALQELDSHVGKERWVQESDIKNLNYLHAIVKETLRLYPPAPLTGIREAMEDCSLVGYHVPKGTRLLINLWNLQRDPQVWTNPNEFQPERFLTTHRDIDFMSQDFELVPFSFGRRSCPGLTFGLQVTHLTLARLLQGFHIFTKGGAEVDMTEGLGVALPKEQELRLMLQPRLPLELYESL >Vigun01g124600.2.v1.2 pep primary_assembly:ASM411807v1:1:30151296:30153820:1 gene:Vigun01g124600.v1.2 transcript:Vigun01g124600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAWISCMVSSCLRPWKPHTNISSDDEDDPSTSTTSTLVQWRRDLLRHSHGEFSYAVVQGNVEIEDHSQVEIGSKGIFVGVYDGHGGATCSHFLKTRLFPIVINSARDHGTISDDTLRESVCAVESAFMENIGVGTPAVQMCNTRVGSCCLAAVIWEGVLHIANLGDSRAVVGTLGRCRSITAVQLTTDHNCCREEIRQELQAAHPTDPEIVVIDRGAWRVKGFIQVSRTIGDAHLKIPGLLRSPLTVPVLSAEPSVTSRTLSSNDKFLIFASDGLWDLLTNQHAAEIVHLNPRRGIARKLIKAALKAAARRAKVEYSTLMEVPAEGRRMIHDDITVVVVFLDGTSHHHVTELSVRGFEEAAGPSNFRSVRNFG >Vigun01g124600.1.v1.2 pep primary_assembly:ASM411807v1:1:30151296:30153820:1 gene:Vigun01g124600.v1.2 transcript:Vigun01g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAWISCMVSSCLRPWKPHTNISSDDEDDPSTSTTSTLVQWRRDLLRHSHGEFSYAVVQGNVEIEDHSQVEIGSKGIFVGVYDGHGGATCSHFLKTRLFPIVINSARDHGTISDDTLRESVCAVESAFMENIGVGTPAVQMCNTRVGSCCLAAVIWEGVLHIANLGDSRAVVGTLGRCRSITAVQLTTDHNCCREEIRQELQAAHPTDPEIVVIDRGAWRVKGFIQVSRTIGDAHLKIPGLLRSPLTVPVLSAEPSVTSRTLSSNDKFLIFASDGLWDLLTNQHAAEIVHLNPRRGIARKLIKAALKAAARRAKVEYSTLMEVPAEGRRMIHDDITVVVVFLDGTSHHHVTELSVRGFEEAAGPSNFRSVRNFG >Vigun09g072600.11.v1.2 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKEWTKRGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.13.v1.2 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKEWTKRGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSQFSWVLSSSSSVLVANDKSNNVRHANFSQIPSWFSLKSSPSSLRTNPNKQGEVENLHLISLTKQGKLREVHEFIERMDKEGISINPQSYEYLFKMCGTLRALSDGRLFHNRLQGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015913:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.4.v1.2 pep primary_assembly:ASM411807v1:9:8015914:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGWGVPSQRRGCSI >Vigun09g072600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSQFSWVLSSSSSVLVANDKSNNVRHANFSQIPSWFSLKSSPSSLRTNPNKQGEVENLHLISLTKQGKLREVHEFIERMDKEGISINPQSYEYLFKMCGTLRALSDGRLFHNRLQGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.12.v1.2 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKEWTKRGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSQFSWVLSSSSSVLVANDKSNNVRHANFSQIPSWFSLKSSPSSLRTNPNKQGEVENLHLISLTKQGKLREVHEFIERMDKEGISINPQSYEYLFKMCGTLRALSDGRLFHNRLQGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSQFSWVLSSSSSVLVANDKSNNVRHANFSQIPSWFSLKSSPSSLRTNPNKQGEVENLHLISLTKQGKLREVHEFIERMDKEGISINPQSYEYLFKMCGTLRALSDGRLFHNRLQGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun09g072600.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8015915:8022574:1 gene:Vigun09g072600.v1.2 transcript:Vigun09g072600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSQFSWVLSSSSSVLVANDKSNNVRHANFSQIPSWFSLKSSPSSLRTNPNKQGEVENLHLISLTKQGKLREVHEFIERMDKEGISINPQSYEYLFKMCGTLRALSDGRLFHNRLQGFSNSNRFIDNCILHMYCDCKSFTAAERFFEKMVDRDLFSWATIISAYTKEGHTDEAVRLLLHMLDLGIKPNSSIFSTLIMSFADPSLLDLGKQIHSQLIRIGFAADISVETLISNMYVKCGWLDGAEVSINKMTRKNVVACTGLMVGYTQATRHSDAMLLFAKMIGEGVELDEFAFSIVLKACATLGDLYTGRQIHSVCIKLGLESEVSVGTPLVDFYVKCARFDAARRAFETICEPNDFSWSALIVGYCQSGRFDTALEVFKTIRSKGVLLNSFIYTNIFQACSAVSDLMCCAQIHADAIKKGLVSFLSGESAMITMYSKCGKVDYAHQAFLTIDKPDTIAWTAIISAHAYHGKASEALGLFKEMQRSGVKPNAVTFIGLLNACSHSGLVKEGKQFLDSMSDEYGVNPTIDHYNCMIDIYSRAGMLQEAHEMIRSLPLEPDVMSWKSLLGGCWSHRNLEIGMIAADNIFRLDPLDSATYVIMFNLYSLAGKWDEAAKFRKMMAERNLRKEVSCSWINVKGKVHRFVVGDRHHPQTEQIYSKLKELNFSLKKDGEYLLNEEDALSDFTERKEQLLVHSERLAIAYGLMCTAAETPIMVFKNTRSCKDCHDFAKRVSMVTGRELIVRDATRFHHINSGDCSCCDYW >Vigun07g121100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22378474:22378953:-1 gene:Vigun07g121100.v1.2 transcript:Vigun07g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSIKFLCIVVVCLTASCALSQPQRLSVYDVLMEYGFPVGLLPKGAIGYSLNRETGQFAVYFDGACSFVIESYTLNYKSTITGVISNGRLYNLKGVTVKILLLWLNIVEVRRQGEDIFFSVGIASADFGVENFLESPQCGCGFDCNKLPLNGDVSSI >Vigun09g136100.1.v1.2 pep primary_assembly:ASM411807v1:9:29668346:29673224:-1 gene:Vigun09g136100.v1.2 transcript:Vigun09g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFVAMKLVFSVAVVGVLSWILYVYGSLWLESQRVRKRIQMQGIKGPPPSFLYGNLSDMQRIQAQAATLAKASISTSKGSDQYVAHDYTATLFPYFEHWRKQYGRLYTYSTGMKQHLYITQPDLVTEMNRFMNLVLGKPTYLTKKLEPMLGNGIMRANGISWSQQRKLVAAEFFMDKVKGMVDLIIESTQPLLLKWEQTIESQGGGKAEIKVDKDLRGLSADVISRVCFGHSYSKGKEVFSKLRSMQKVMFKQSGFIFGLGGLREKLKFWSKKKDEIADLEKEIESLIWDVVENRKRECSEASASEKDLMQLLLEAATNDPSLGKDFSKRFIVDNCKNIYFAGHETTAVSACWCLMFLGLHQEWQSRIRAEVAEHCPNGIPDSESLPLLKTLGMVIQEVLRLYPTAAFVSREAFEDIQIGNLDVPKGVCLWNLIPTLHRDPENWGADVNQFKPERFSEGVSKACKYPQAYVPFGLGPRMCLGKNMAMVELKVVLALIISRFSFTLSPNYRHSPTFRMLVEPEYDVDIIIQKI >Vigun07g131200.3.v1.2 pep primary_assembly:ASM411807v1:7:24088334:24090589:-1 gene:Vigun07g131200.v1.2 transcript:Vigun07g131200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNFKTPKKDENTSNSSFASLENNYYEGERLTNLLHSIRREIRTARDSDGTSLSEKIWLKQQFSIGVNDVTRVLERMKPCTELESSAQLVPLRSNNNKTPSAVLVASDCNPRWLTKHLQSLASSRSVPLIFVGDNKHGSFRLGELVQLKTAIAIGIKIKDSSINKIIEEVVQGDRFEPPPDDQN >Vigun07g131200.2.v1.2 pep primary_assembly:ASM411807v1:7:24088334:24090589:-1 gene:Vigun07g131200.v1.2 transcript:Vigun07g131200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNFKTPKKDENTSNSSFASLENNYYEGERLTNLLHSIRREIRTARDSDGTSLSEKIWLKQQFSIGVNDVTRVLERMKPCTELESSAQLVPLRSNNNKTPSVKLQAVLVASDCNPRWLTKHLQSLASSRSVPLIFVGDNKHGSFRLGELVQLKTAIAIGIKIKDSSINKIIEEVVQGDRFEPPPDDQN >Vigun07g131200.1.v1.2 pep primary_assembly:ASM411807v1:7:24088334:24090663:-1 gene:Vigun07g131200.v1.2 transcript:Vigun07g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNFKTPKKDENTSNSSFASLENNYYEGERLTNLLHSIRREIRTARDSDGTSLSEKIWLKQQFSIGVNDVTRVLERMKPCTELESSAQLVPLRSNNNKTPSVKLQAVLVASDCNPRWLTKHLQSLASSRSVPLIFVGDNKHGSFRLGELVQLKTAIAIGIKIKDSSINKIIEEVVQGDRFEPPPDDQN >Vigun03g246600.1.v1.2 pep primary_assembly:ASM411807v1:3:41016114:41018438:-1 gene:Vigun03g246600.v1.2 transcript:Vigun03g246600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKVLVVGGTGYIGRRIVRACLAEGHETYVVQRPELGLQIEKLQMLLSFKKQGAHLLQASFSDHKSLVDAVKKVDVVISAISGVHFRTHCITLQLKLVDAIKEAGNVKRFLPSEFGLDPARMGDALEPGRVTFVDKMAVRKAIEEANIPFTYISANLFAGYFAGSLSQMGSFVPPRDKVHLFGDGTLKAVFLDEDDVAAYTVKAIDDPRTLNKTLYLRPPQNILSQAELIGIWEKLIGKELEKTYIPPEGFLTTLKGLDYKLQVGIGHFYHIFYEGCLTNFEIGEEGAEAFELYPEVNYTRMDEYLKVYV >Vigun03g084700.1.v1.2 pep primary_assembly:ASM411807v1:3:7035083:7036309:-1 gene:Vigun03g084700.v1.2 transcript:Vigun03g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGPCFHCGIDVTPLWRNGPEDKPVLCNACGSRYKKRGSLGLEDYLPKNFQPEFFDKYKDQKAEKSERLWSTKIPTKKRSEVVYKEITPLERFHIRLLKMSKNHRNPNETSSEEVLLLNNANNFIPSTEIGIGCVILK >Vigun05g131350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15274141:15275612:1 gene:Vigun05g131350.v1.2 transcript:Vigun05g131350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQSTPLTQNHPIPYGYQRASSPSPAQIHMNTKFLHYHFHTQPQEQRTETSILVPNTRFIFPIVHPIETETKKRENRRRRVGDPDGGVWSHNVFEPSSDVVGTVVVS >Vigun09g058600.2.v1.2 pep primary_assembly:ASM411807v1:9:5938058:5941225:1 gene:Vigun09g058600.v1.2 transcript:Vigun09g058600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKGVVSAEYTPYGACEDQTASFRHQSLLRDYEDLHEETNATRMKLQAAKLKNSILSAELRFLRQRYRYLMQNPSPKQDTSHQQKLKVHATLIRKGKKHNREESTLHPATTSHLKSKGRISNRVGSTVQKTVPMFDLNQNALSLSKKEPSFLNSAPVADLNHEDRIHSGKEASKKNIKRRGGIPWY >Vigun09g058600.1.v1.2 pep primary_assembly:ASM411807v1:9:5938058:5941225:1 gene:Vigun09g058600.v1.2 transcript:Vigun09g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKGVVSAEYTPYGACEDQTASFRHQSLLRDYEDLHEETNATRMKLQAAKLKNSILSAELRFLRQRYRYLMQNPSPKQDTSHQQKLKVHATLIRKGKKHNREESTLHPATTSHLKSKGRISNRVGSTVQKTVPMFDLNQNALSLSKKEPSFLNSAPVADLNHEDRIHSGKEASKKNISKFFDLNQIWREEEEFLGIEAMRVEEQKRIIEEQHHDMKLSVCRNVGNGSNSAMKRKISWQDQVVLRVGT >Vigun03g272000.2.v1.2 pep primary_assembly:ASM411807v1:3:44692218:44694656:1 gene:Vigun03g272000.v1.2 transcript:Vigun03g272000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAAMAFHCYEMLVLVFLIVNMSFYGSVDGEKNALGASFIFGDSLVDAGNNNYLSTLSKANIPPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGQPNYAVPFLAPNATGKTILSGVNYASGGGGIMNATGRIFVNRIGMDVQIDYFSITRKQIDKLLGESKAKEYIMKKSIFSITVGANDFLNNYLLPVLSIGARISQSPDSFIDDMITHFKAQLTRLYEMDGRKFVIGNVGPIGCIPYQKTINQLNEDECVDLANKLALQYNARLKDLVAELNDNLPGATFVLANVYDLVSELIKNYKKYVQQVELAAAMGANSQG >Vigun03g272000.1.v1.2 pep primary_assembly:ASM411807v1:3:44692218:44694656:1 gene:Vigun03g272000.v1.2 transcript:Vigun03g272000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAAMAFHCYEMLVLVFLIVNMSFYGSVDGEKNALGASFIFGDSLVDAGNNNYLSTLSKANIPPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGQPNYAVPFLAPNATGKTILSGVNYASGGGGIMNATGRIFVNRIGMDVQIDYFSITRKQIDKLLGESKAKEYIMKKSIFSITVGANDFLNNYLLPVLSIGARISQSPDSFIDDMITHFKAQLTRLYEMDGRKFVIGNVGPIGCIPYQKTINQLNEDECVDLANKLALQYNARLKDLVAELNDNLPGATFVLANVYDLVSELIKNYKKYGFSTASRACCGNGGQFAGIIPCGPTSSMCSDRYKHVFWDPYHPSEAANLILAKQLLDGDNRYISPVNLRQLRDL >Vigun03g166800.2.v1.2 pep primary_assembly:ASM411807v1:3:19301404:19304692:-1 gene:Vigun03g166800.v1.2 transcript:Vigun03g166800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEEGHAMLITHLHHHHFSHTLLPSFTLQPHPTLSQQLPRWSFYGIDDYFVDDFCGLVMAAGKSGSFRMMEPLKHASKKSRRDRSRGKSSGRSSSDEAMEQQIWKDLPEDLFEPVIARLPIATFFRFRTVCQRWNSLLSSQSFSQHCAQVQQANPWFYTVTHEHTNSAAMYDPSMKKWYHPTTLALPAELIVLPVASAGGLVCFLDVYGQTLYVCNPLSESVKELPSCSVRVGSRAAVGMTVDENSTGAAVYRIMLVGCDGEYEIYDSGTKSWSYPGNMPADIKLPLSLNFRSQAIFIDSTLYFMHSDPEGIVSYDMATGVWVQYIIPAPLHLSDHTLAECDGRILLVGLLTKNAATCICIWELQKMTFLWKEVDRMPNVWCLDFYGKHVRMNCLGNKGLLLLSLRSRQMNRLVTYNIASKEWVKVPGCVMPHGRKRQWIAHGTAFYPCLTATA >Vigun03g166800.1.v1.2 pep primary_assembly:ASM411807v1:3:19301252:19304729:-1 gene:Vigun03g166800.v1.2 transcript:Vigun03g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEEGHAMLITHLHHHHFSHTLLPSFTLQPHPTLSQQLPRWSFYGIDDYFVDDFCGLVMAAGKSGSFRMMEPLKHASKKSRRDRSRGKSSGRSSSDEAMEQQIWKDLPEDLFEPVIARLPIATFFRFRTVCQRWNSLLSSQSFSQHCAQVQQANPWFYTVTHEHTNSAAMYDPSMKKWYHPTTLALPAELIVLPVASAGGLVCFLDVYGQTLYVCNPLSESVKELPSCSVRVGSRAAVGMTVDENSTGAAVYRIMLVGCDGEYEIYDSGTKSWSYPGNMPADIKLPLSLNFRSQAIFIDSTLYFMHSDPEGIVSYDMATGVWVQYIIPAPLHLSDHTLAECDGRILLVGLLTKNAATCICIWELQKMTFLWKEVDRMPNVWCLDFYGKHVRMNCLGNKGLLLLSLRSRQMNRLVTYNIASKEWVKVPGCVMPHGRKRQWIAHGTAFYPCLTATA >VigunL020000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000253.1:264850:266358:-1 gene:VigunL020000.v1.2 transcript:VigunL020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLLSLLLFFVFLLSFFNGKQYGYHRNHAHPSHPIIGCLVSFYKNRHRLLDWYTEQIAQSPTHTIVVHRLGARRTVVTANPRNVEYILKTNFGNFPKGKPFTEILGDLLGCGIFNVDGELWQAQRKLASHEFSTRSLKDFIVKTLQEEVQHRLIPLLEQASREKHVIDLQDVLRRLTFDTVCKVSLGYDPCCLDLKRPLPPLLTAFDTASEVSAARGAAPVFLVWKMKRMLNMGSEKALKEAVKLVHESVMKIIKGKKDEMTYNERKGGTDLLVRLLEAGQEETVVRDMVISMIMAGRDTTSAAMTWLFWLLSKHREEEALLVKEIYCGNNRCEGLDYECLKEMKLLKACLCESMRLYPPVAWDSKHASGADVLPDGTHVGKGERVTYFPYGMGRMEALWGKDCCDFKPQRWFDEENVDNGVLKCVNPYKFPVFQAGPRVCLGREMAFIQMEYVVASILNRFVISPVSDDHPRFVPLLTAHMAGGFKVRINSRTGAGTQE >Vigun04g063966.1.v1.2 pep primary_assembly:ASM411807v1:4:6943416:6944317:1 gene:Vigun04g063966.v1.2 transcript:Vigun04g063966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWEWVLSSKVDAFFSSSQRSSLWMCKRCGNLRRLACSTCKGTGSIREGEILEIKPVEDLLETIGNSDRLQTGFIQKVE >VigunL059327.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:7893:9163:-1 gene:VigunL059327.v1.2 transcript:VigunL059327.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun05g071501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6129243:6129743:-1 gene:Vigun05g071501.v1.2 transcript:Vigun05g071501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEICVSDFGSRVLGFGCRVWGFIFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFMGFQIRVSSYMFLVSGFGIRVSGFEFRVSSFGFWVSGLRFEILGFGFEVLSFGLGISSFGLRLFRFEF >VigunL072950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:57630:58343:-1 gene:VigunL072950.v1.2 transcript:VigunL072950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRMSILALFLLVIKTLVCYCFSLVLGFDLSLLLVKLKSMLLLRSLRLLFSRLLGWGWKGAALFHLMTHCIEGVFSFMEGDLTLYVGADGASSSKRPSIDLNFPPTDETEPETTSEPPQEELVLRREMEEHILRRLMATAPPGTTPEQLLNQARETAAFKRQIVDRMPTLDTGHSAFWRTHRYGLITDALLTNRQHEDSPKHLRTMWEEVNQPNSAIYKKMISVRQNFQMKGTFRC >Vigun03g134600.1.v1.2 pep primary_assembly:ASM411807v1:3:13182823:13185604:-1 gene:Vigun03g134600.v1.2 transcript:Vigun03g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSLRRAIGAVKDQTSIGLAKVGNSTSLADLHVAIVKATKHDEYPAEEKHMREILSLTCYSRTFISACVNILSRRLSRTSSWTVALKTLILIQRLLSEGDPAYEQEIFFATRRGTRFLNMSDFRDNSKYNSWEFSAFLRTYALYLDERLEYRMQSKRGKRSRFASDEEEDETMERESENGRHSRERDSEREMVKATPISELKTSNLFSKMQHLQLVLERFIACRPTGKAKTHRLVTVALYPIVKESFQIYNNITEILTIFIDRFVGMEIPECIRVYDIFCRVGKQYDELDLFYSWSKNIGIARSTEYPDIERVTTKKLEIMDQYIRDKAQNKKNQTQEENSEEEEAEAKAEEEKNEIKALPAPEHLCQEAAEDVVKEERKEEAREEKIVQTERDLLDFGDDMVTSEEHGDKLALALFDGAVAESSATQALPWHAFDDAVDWETALVQSPSNLSNRKPSLGGGFDTLLLDSMYRQAATNAAMQGQTYGSASSMALGFRGGPAMLALPAPQTSGGSASLDPFAASLAVAPPAYVQMSEIERRQKLLMEEQVMWQQYTNSMHGNAAFTIQPNNTYQMPQYQQNYGHYYY >Vigun04g058600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5842381:5843122:1 gene:Vigun04g058600.v1.2 transcript:Vigun04g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRHSYKLSLKRATKRTRTRTPRGRNQNLTTTIDPSNHEKCHKKSQKLSQKLEALKNLIPTTNTTEEEAVKPDQLFKETADYIVLLRTRVVVLQKLIEYYGNDSTQNDDEHALLL >Vigun10g073100.1.v1.2 pep primary_assembly:ASM411807v1:10:18477846:18481963:-1 gene:Vigun10g073100.v1.2 transcript:Vigun10g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSKSIFLCLFFTLSTVAPQAEARAFFVFGDSLVDNGNNNYLATTARADSYPYGIDSASHRASGRFSNGLNMPDLISEKIGSEATLPYLSPQLNGEKLLVGANFASAGVGILNDTGFQFINIIRITEQLAYFRQYQQRVAALIGEEQTRDLVNKALVLITLGGNDFVNNYFLVPFSARSREYALPDYVVFLISEYRKILAHLYELGARRVLVTGTGPLGCVPAELAMHSRNGECATELQRAVNLFNPQLVQLLQALNTEIGSDVFISANAFAMHLDFVTDPQAYGFVTSKVACCGQGAYNGIGLCTPASNLCPNRNLYAFWDAFHPSETANRLIVDKFMTGSTDYMHPMNLSTIIALDSTT >Vigun03g297900.2.v1.2 pep primary_assembly:ASM411807v1:3:48543166:48545114:-1 gene:Vigun03g297900.v1.2 transcript:Vigun03g297900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSMSTTMASFSPPTHFSATPSSHPKPRLFHKTHFLFSLRSRTLASSSLKAAAAPENGVGTAVEPPPEQAAPESSPPPLENSSVGTNGAAAAPAPAPAPAAAVQIEEVKVQSGFVDPRWVSGTWDLTQFQKNGATDWDAVIDAEARRRKWLEDNPESSSNENPVVFDTSIIPWWAWMKRFHLPEAELLNGEF >Vigun03g297900.1.v1.2 pep primary_assembly:ASM411807v1:3:48543166:48545114:-1 gene:Vigun03g297900.v1.2 transcript:Vigun03g297900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQSMSTTMASFSPPTHFSATPSSHPKPRLFHKTHFLFSLRSRTLASSSLKAAAAPENGVGTAVEPPPEQAAPESSPPPLENSSVGTNGAAAAPAPAPAPAAAVQIEEVKVQSGFVDPRWVSGTWDLTQFQKNGATDWDAVIDAEARRRKWLEDNPESSSNENPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMVGFFMAYLVDSLTGVGLVDQMGNFFCKTLLFVAVVGVLLIRKNEDLENLKKLFDETTLYDKQWQATWQDENSSTPKNE >Vigun02g029000.1.v1.2 pep primary_assembly:ASM411807v1:2:12169133:12174021:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVRAVGAVGFVLISSFLIRENILALITINIHASLTFVLTASGVNSIPSMNVIYTLFGILVLINSGCFMFLLHLLYSVFLTRIGMKSSLRLPRWLEKAI >Vigun02g029000.3.v1.2 pep primary_assembly:ASM411807v1:2:12172797:12173974:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLVSLLLI >Vigun02g029000.6.v1.2 pep primary_assembly:ASM411807v1:2:12167735:12174006:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVRAVGAVGFVLISSFLIRENILALITINIHASLTFVLTASGVNSIPSMNVIYTLFGILVLINSGCFMFLLHLLYSVFLTRIGMKSSLRLPRWLEKAI >Vigun02g029000.2.v1.2 pep primary_assembly:ASM411807v1:2:12169133:12174006:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIEVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVRAVGAVGFVLISSFLIRENILALITINIHASLTFVLTASGVNSIPSMNVIYTLFGILVLINSGCFMFLLHLLYSVFLTRIGMKSSLRLPRWLEKAI >Vigun02g029000.7.v1.2 pep primary_assembly:ASM411807v1:2:12167735:12174006:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIEVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVRAVGAVGFVLISSFLIRENILALITINIHASLTFVLTASGVNSIPSMNVIYTLFGILVLINSGCFMFLLHLLYSVFLTRIGMKSSLRLPRWLEKAI >Vigun02g029000.8.v1.2 pep primary_assembly:ASM411807v1:2:12169568:12174019:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVMYVQWVPLALS >Vigun02g029000.5.v1.2 pep primary_assembly:ASM411807v1:2:12169620:12174006:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVMFVTFRLIHLTPI >Vigun02g029000.4.v1.2 pep primary_assembly:ASM411807v1:2:12169654:12174006:-1 gene:Vigun02g029000.v1.2 transcript:Vigun02g029000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNIHPHSPFIFSDPKTKPLRFSLPPLSLSLLSLKSQLSLSSNLLRVSNAGVSVCRTENHEEEEKNKGISQELHDLSPDGAVYQKTLQLVECSMFAALTGLVYFLSNSLAIENYFSCFFSLPIVISSMRWGVDAGRKTLVATTILLLVLSGPVKALTYLLKHGIVGFTMGTLWRLGASWNLSIFLCTIVRAVGAVGFVLISSFLIRENILALVINYRIIS >Vigun04g158900.1.v1.2 pep primary_assembly:ASM411807v1:4:38090939:38094664:-1 gene:Vigun04g158900.v1.2 transcript:Vigun04g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLNGTISLWFFIPMLAFFMLLAFPTILNLLSKLSSKSEKNLPPSPPKLPVIGNLHQLGNLTHHTLKSFAQTYGPLMVLHFGKVPVLVVSNTEAAREILKNQDHVFCNRPHRKMFDVFWYGSRDVASAAYGHYWRQVKSICVLHLLSAKKVERFRRVREEEVMIMIEKIMESCGSVKPVNLTDLFSDVTNDIVCRSVIGRRYEGSVLRGPMSKLEEFLGAFVIGDYIPWLDWVGRVNGMYGRAKKVAKQLDEFLDEVVDEHVGMRNHDGDGVNGDMQNDFVDILLGIQKTSSTTDFQVDRTIMKALIMDMFGAGTDTTLAVLEWAMTELLRHPNVMQKLQAEVRSVGRAKTHVTEDDLSDMPYLKAVVKEILRLHPPSPILIPRESMQDTKVMGYDIAVGTQVLVNAWAISTDPSYWDQPLQFQPERFLKSSIDIKGHDFQLIPFGAGRRGCPGIGFAMVVNELVLANIVHQFDWTVPGGVLGDHTFCLSETTGLTVHRKLPLLALASPHL >Vigun03g225900.2.v1.2 pep primary_assembly:ASM411807v1:3:37573059:37577818:-1 gene:Vigun03g225900.v1.2 transcript:Vigun03g225900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLGNLTLPAKVGNHDDEDSDRPTVRVQTIDELHSLQRKISAPTTPRGTSQAPTSLSLSEEERSKIQLESISASLASLTRDSGPKVVKGDPARRFEGSRVAHVPHHRHAATPTISVSDSALKFTHVLYNLSPAELYEQAIKHEKGSFITSTGALATLSGAKTGRCPRDKRVVKDELTENDLWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPENKIKVRIVSARAYHSLFMHNMCIRPNQEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKAGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSESGVSNIEGGCYAKCIDLSQENEPDIWNAIKFGTVLENVVFDDHFRDVDYSDRSVTENTRAAYPIEYIPNVKLPCVGPQPKNVILLACDAFGVLPPVSKLNLSQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGSRLKLSYTRKIIDAIHSGSLLNAEYKKTEIFGLQIPTAVDGVPSEILDPENTWSDKQAYKETLVKLAKLFKNNFETFTDYQIGENKNLTEEILAAGPIVDGA >Vigun03g225900.1.v1.2 pep primary_assembly:ASM411807v1:3:37573065:37577784:-1 gene:Vigun03g225900.v1.2 transcript:Vigun03g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLGNLTLPAKVGNHDDEDSDRPTVRVQTIDELHSLQRKISAPTTPRGTSQAPTSLSLSEEERSKIQLESISASLASLTRDSGPKVVKGDPARRFEGSRVAHVPHHRHAATPTISVSDSALKFTHVLYNLSPAELYEQAIKHEKGSFITSTGALATLSGAKTGRCPRDKRVVKDELTENDLWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPENKIKVRIVSARAYHSLFMHNMCIRPNQEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKAGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSESGVSNIEGGCYAKCIDLSQENEPDIWNAIKFGTVLENVVFDDHFRDVDYSDRSVTENTRAAYPIEYIPNVKLPCVGPQPKNVILLACDAFGVLPPVSKLNLSQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGSRLKLSYTRKIIDAIHSGSLLNAEYKKTEIFGLQIPTAVDGVPSEILDPENTWSDKQAYKETLVKLAKLFKNNFETFTDYQIGENKNLTEEILAAGPIVDGA >Vigun03g427400.1.v1.2 pep primary_assembly:ASM411807v1:3:63258228:63259467:1 gene:Vigun03g427400.v1.2 transcript:Vigun03g427400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKTFEQNKLITVEYKVAMYCNECERIVAKTIIKCKGVEKFITDMNKNRVVVTGRIDPMKVKKKLKKKTGKRVEIVCNKDEEEAKDESHESDKLVVMYQFALENDCCVETEAMMIFSDENPHACALM >Vigun09g235500.4.v1.2 pep primary_assembly:ASM411807v1:9:40607411:40616609:-1 gene:Vigun09g235500.v1.2 transcript:Vigun09g235500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRQALLLRVCFHNDQRFTAGAVAARHRPWCSVTASLSSDEADEKTKSGGISNHSFVRKAQFPTSQSRWEDDPDYRKWKDKKEEILKDIEPIIMLAKDILHSERYVDGARLNMEDEKAVVEKLLVYHPQSEDKVGCGLESIMV >Vigun09g235500.1.v1.2 pep primary_assembly:ASM411807v1:9:40607411:40616578:-1 gene:Vigun09g235500.v1.2 transcript:Vigun09g235500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRQALLLRVCFHNDQRFTAGAVAARHRPWCSVTASLSSDEADEKTKSGGISNHSFVRKAQFPTSQSRWEDDPDYRKWKDKKEEILKDIEPIIMLAKDILHSERYVDGARLNMEDEKAVVEKLLVYHPQSEDKVGCGLESIMVDRHPQYRQSRCLFVVRTDGGWIDFSYQKCLREYIRDKYPTHAERFIRRHFKRESG >Vigun09g235500.3.v1.2 pep primary_assembly:ASM411807v1:9:40610852:40616369:-1 gene:Vigun09g235500.v1.2 transcript:Vigun09g235500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRQALLLRVCFHNDQRFTAGAVAARHRPWCSVTASLSSDEADEKTKSGGISNHSFVRKAQFPTSQSRWEDDPDYRKWKDKKEEILKDIEPIIMLAKDILHSERYVDGARLNMEDEKAVVEKLLVYHPQSEDKVGCGLESIMVDRHPQYRQSRCLFVVRTDGGWIDFSYQKCLREYIRDKYPTHAERFIRRHFKRESG >Vigun03g372500.1.v1.2 pep primary_assembly:ASM411807v1:3:57503248:57506014:1 gene:Vigun03g372500.v1.2 transcript:Vigun03g372500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKGVVSMDPPFEVYQDQRSRLRHHSLLQDYEDLHKETEVMRRKLQDAEHKRLILEDEVRFLRHRYKYLLKHPIPKPQPKKEVVKSQKLKIQAPIISKGKNYSRKDHTSRSHSSSHLNPNGKIPNVAEVSLQKTSHLFDLNQNARNFTYSKKDSTIHNSASPALDLNHKERIHSSKESTKKSVTPFFDLNQISREEEELQGNSELMRIEEPKRNTQRVGSDEQHNDIKLSACRSVGDGSNRAGKRKISWQDQVALRV >Vigun10g168500.1.v1.2 pep primary_assembly:ASM411807v1:10:38752267:38755502:1 gene:Vigun10g168500.v1.2 transcript:Vigun10g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAEQIKDKYAPTGDAENPLLGKFDKPLPCFGCGIGWFSLLLGFVCPLMWYYATILYFGNYYHKDPRERAGLAASAIAALVFTTAAVITVAVIVL >Vigun07g008700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:740328:740984:-1 gene:Vigun07g008700.v1.2 transcript:Vigun07g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSILYSSHSSESSEGSPHHHLPFNENDPEEMLLYGMITSYQEKNNSCKLIIKEEEVNSQHHKGSNNNNTNSKSFRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDNAEAAALAYDQAAFAMRGSAAILNFPVEKVRESLRDMDCSLSQLEGCSPVVALKRRHSLGRKMAAKKKKKETQESDGVVRVENVVVLEDLGEEYLEQLLMMSSSDARCIW >Vigun09g085250.1.v1.2 pep primary_assembly:ASM411807v1:9:10993343:10994082:-1 gene:Vigun09g085250.v1.2 transcript:Vigun09g085250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TIGFVDRAFAILYDDDLERQWTLTDEEGNKHVVTYNKNLQKPMLIGGWTELRHFYELHDFHTIYFGYVGHSCFHITVFSDLPADFGNYLRQGRFKYIYLYGPHKIVKCKLPLRNHPKKSSKIGSGWKEFYTSHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun02g188900.1.v1.2 pep primary_assembly:ASM411807v1:2:32703550:32705084:-1 gene:Vigun02g188900.v1.2 transcript:Vigun02g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPPNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEILKENPSMCAYMAPSLDARQDIVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAMIVGSDPIPQIEKPLFELVWTAQTIAPDSEGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFNPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRRKSVENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun01g096700.1.v1.2 pep primary_assembly:ASM411807v1:1:26186707:26189904:1 gene:Vigun01g096700.v1.2 transcript:Vigun01g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQEQRLLIKDQTSEEESSLVTRVWNESKLMWIVAGPAIFTRFSSFGLNVISQAFVGHIGPRELAAFALVFTVLIRFANGILLGMASALETLCGQAFGAKEYSMMGVYLQRSWIVLCSTAICLLPLFFFTGPILRLLGQDGNIAQVAEIISIWSIPILFAFIASFTTQMFLQAQSKNVIIAFLAAISIAIHVFLSWLLTIQFKFGITGAMISTILAYWVTNIGQLIFITCGWCPDTWSGFSFLAFKDLWPVVKLSLSSGVMLCLELWYNTILVLLTGNLKNAEVQIDALSICLNINGWEMMISLGFMAAVSVRVANELGKGSSKAAKFAILVTVLTSLAIGFVLFLFFLFLREKLAYIFTTSEEVADAVGDLSPLLAISILLNSVQPVLSGVAIGAGWQSIVAYVNIGCYYVIGIPVGLVLGKVLHLEVKGIWIGMLFGTFIQTVVLTIITYKTNWDDQVIKARNRVSKWSKVNTDHERITSNN >Vigun01g026500.1.v1.2 pep primary_assembly:ASM411807v1:1:3118716:3121119:-1 gene:Vigun01g026500.v1.2 transcript:Vigun01g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDKATKRLRRGREKPVLDNHAPKKLKYWVEEKSSENNTPQIKYDVFVSFRVEDIRHTLLSHLIGAFQRKKICAFIDAELQRGHEIGPSLFQAIERSDILLIIFSPHYASSHWCLEELEKILECRDKYERTVIPVFYNVQPTDVRHQLRTYENAFVEHELNYQNKVQIWKDALKHSADLSGIDSSKFPCDFELVEEIATVVLKGLAKPLVVAKELVGIDEKIATIESWIKKDSKGTCLIGIWGMGGIGKTTLAEEVFNKLHSEYEACYFLAHEREESNKHGIISLKEKIFSELLGCEVKIYTQNSLPGHIARRISRMKVLIVLDDVNNSDHIKNLLGDFGNFGSGSTIIVTTRNEQVLKTNKVDETYQLTKLSFNEALELFHLLVGKQNDNQREYNELCERVVHYAQGIPLVLKVLAGHLRGNKKEVWESELDKLKGMPHKEVYDVMTWSFYDLDRKQQQIFLTE >Vigun03g328800.1.v1.2 pep primary_assembly:ASM411807v1:3:52569312:52572118:-1 gene:Vigun03g328800.v1.2 transcript:Vigun03g328800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGEGAARFKQGLGFSSSASNDDVPKPGSALASSSSFLSKFVKASSTPSHSDKQPQVNATSAPSESEKQAQLQSIHDKLKKKPSSEPRVSSRDRSRRRSRSRDRYRESRRRSRSRDRYRYRDSEGDRNRERGRRRSRSRSVSPRRQRRSEKEANDTGKVSEARKGKNVGTDYSNLIQGYDRMSSAERVKAKMKLQLSETAAHDSEKGVGWERFEFNKDAPLDDEEVEVAEDDASLVKHIGQSFRFSAVQARREEQVQAAHEEAMFGTPALPPPTSTDSEPERENEKEIDKKNLVTSLLSETLLAKQKGSWRDRVRQA >Vigun09g242200.1.v1.2 pep primary_assembly:ASM411807v1:9:41158692:41160911:1 gene:Vigun09g242200.v1.2 transcript:Vigun09g242200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLENPLTQYTVPLTISFFCLFLFLFVFSSLSRKHKNHSAATRKPPPEASGAWPLIGHLHLLGGSKPPHVTLGQMADKYGPIFTLRLGAHKTLVVSNWEMAKECFTVNDRAFATRPKSMAFEVLGYNYSMIGFSPYGSYWRHVRKIATLELLSSHRLDTLHHVMDAEVKAAMKESYNLWLKKKDGGSEMMRWFGDITLNIMFRTVVGKRLASDGGDGGVNADNERLRKALRELFDLSGSFAVSDSLPYLRWLDLGGVEKKMKRTAKELEGFVQIWLEEHKRNRGCDLGEGKHNQDLMDVLLGLAEKGEDFDGHDPDTTIKATCLALILAGSDTTTGTLVWALSLLLNNREILKKVVHELDTEVGSERMVEMSDLKKLKYLHAIIKETLRLYPVAPLSVPHESMEDCTVGGYHIASGTRLLTNISKLHRDPSVYPNPLEFDPERFLTTHKEVDFKGQHFELIPFGAGRRMCPGLSFSLQVMPLALGTLLHGFDIATIDGGAVDMVEQIGLTNIRASPLQVTLTPRLSSCIYDKTFKH >Vigun04g193800.1.v1.2 pep primary_assembly:ASM411807v1:4:41810841:41812803:-1 gene:Vigun04g193800.v1.2 transcript:Vigun04g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHGEPAKLRWGELEEDDGEDLDFLLPPRQVIGPDDNGIKKVIEYKFDDDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGSRLTMVSTEEILLERPKPLGSKTEEPKTAGDPLAQFQKGAVLMVCRTCGKKGDHWTSRCPYKDLAPPSEGFVDKPATLDAAAATGGATKGAYVPPGMRAGAERSGGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGPVSRVYVAIDQKTSMSRGFGFVNFVNREDAQRAITKLNGYGYDNLILRVEWATPRAN >Vigun07g276400.7.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172578:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTKVRNLRRAVAALFFFATVSLSCLVLFGDVSSRRLFSTFPYAYSLSGLPSIFPSVYSDPLATSNEYPLEKVLNDAAMKDRTVILTTLNAAWAAPNSIIDLFLESFRIETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.1.v1.2 pep primary_assembly:ASM411807v1:7:39169316:39172585:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTKVRNLRRAVAALFFFATVSLSCLVLFGDVSSRRLFSTFPYAYSLSGLPSIFPSVYSDPLATSNEYPLEKVLNDAAMKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.9.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172571:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.11.v1.2 pep primary_assembly:ASM411807v1:7:39169455:39172579:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTKVRNLRRAVAALFFFATVSLSCLVLFGDVSSRRLFSTFPYAYSLSGLPSIFPSVYSDPLADADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.2.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172578:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.6.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172604:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTKVRNLRRAVAALFFFATVSLSCLVLFGDVSSRRLFSTFPYAYSLSGLPSIFPSVYSDPLATSNEYPLEKVLNDAAMKDRTVILTTLNAAWAAPNSIIDLFLESFRIETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.10.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172580:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTVILTTLNAAWAAPNSIIDLFLESFRIETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.4.v1.2 pep primary_assembly:ASM411807v1:7:39169316:39172571:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.8.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172579:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun07g276400.3.v1.2 pep primary_assembly:ASM411807v1:7:39168997:39172571:-1 gene:Vigun07g276400.v1.2 transcript:Vigun07g276400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTKVRNLRRAVAALFFFATVSLSCLVLFGDVSSRRLFSTFPYAYSLSGLPSIFPSVYSDPLATSNEYPLEKVLNDAAMKDRTVILTTLNAAWAAPNSIIDLFLESFRIGDRTRSFLNHLVIIALDQKAFARCQVIHIHCFCLVSEETDFREEAYFMTPRYLMMMWRRIDFLRSVLEMGYNFVFTDADVMWFRNPFPHFHVDADFQIACDHFTGRFDDVKNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFITYTGLKMRFLDTSNFGGLCEPSRDLNHVCTMHANCCFGLDSKLHDLRIMLQDWKHYLSLPPSLKKLSVVSWRVPQKCSLDSLRHHDAPKRSPEE >Vigun10g191700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40595482:40598232:1 gene:Vigun10g191700.v1.2 transcript:Vigun10g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLSLSTPLFFSLATFKFPFSWFPNRVICSTLATVVCSSNCFGESPISLLERCKSTYQLKQIHCHTLKIGLSSDPLFQNRVIAFCCAHQSGDMDYARQVFDTIPHPTVFIWNTMIKGYSRINRPENGVSMYLLMLANSIMPDRYTFPFLLKGFNSDMALQHGKVLLNHAVKHGFDSNLFVQKAFIHMFSLCGLVDLAYKVFYKGDAWEVVTWNIMLSGYNRVKQFKKSKKLFIEMEKRGVSPNSVTLVLMLSAFSKLKDFDGGKNIFKYIKEGTVEPNLILENALIDMFASCGEMDAAQGVFDNMKARDVISWTCIVTGFANVGQIDLARKYFDQMPERDYVSWTAMIDGYLRMNRFIEVLALFREMQMSNVKPDEFTMVSILTACAHLGALELGEWVKTYIDKNSIKNDTFVGNALIDMYFKCGNVGKAKTVFKEMLQKDKFTWTAMILGLAINGHGEEALAMFSSMIEASVTPDEITYIGVLCACTHAGMVEKGKGFFTAMTMQHGIKPNVTHYGCMVDLLGRAGHLEEAIEVIVNMPVKPNSIVWGSLLGACRVHKNVHLAEMAAKQILELEPENGAVYVLLCNIYAACKRWENLREVRKMMMERGIKKTPGCSLMELNGNVYEFVAGDQSHPQSKYIYEKLENMMQDLIKAGYSPDTSEVFLDLGEEDKETALYRHSEKLAIAYALISSGPGVTIRIVKNLRMCVDCHQMAKLVSEAYNRELIIRDKTRFHHFRNGSCSCNNFW >Vigun01g182900.1.v1.2 pep primary_assembly:ASM411807v1:1:36350161:36356772:1 gene:Vigun01g182900.v1.2 transcript:Vigun01g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAKPSSHRIGSGPMVKKKTPSELRGELLKRASFVDNNAESPPLLADPAKTTGVSNGLKRTGLWKPPRYTDTRVDEVFAAKKPRFKIASGKENAKEIPSLDQTSNLKNLSVFSNLEAKRQQENSCSETSDVSSQTNKDSVLQPCQTFEKCSQGKFLSVSELSTAVDKCCGSGAIDLGKALRGLAAPEEHYANDKTADLADGYPVLGNFLSECNLPGQKVPLDLTIKTSMRIVSSSKNCSVMRGTMPQLAFQNSYFRSQNVRGSDGLHSWIYPQSILPPSVISVLSSSTSDGELDFLRKRQVAWEESFRDLYYMLRKDICGLFYVCTAQFVVMFTGGDSSGKSKCSCNAYISQSTQGLRSLLREHDVCFSMPLCHSKVEQVATEDLVELSEIEKQNLGQIRRLRSFSEVDNSSQSLLVFSGNNNVHALYDLLLNYRFLLTSLSSMDTPVLCSPVPFQNSALSSPDIKYTETRRAEDIAASYNGSIWKDGESIQGSSDGLCTIEIKDALLPPWIICRMCALMSSEGRSFEASFATDFNSIGLNIALKSMCEKAKSEAGDSESLQEGINTFGIPETVVTPQMCSSSLKGVKYSDGSYMASLSPA >Vigun01g182900.2.v1.2 pep primary_assembly:ASM411807v1:1:36350147:36356772:1 gene:Vigun01g182900.v1.2 transcript:Vigun01g182900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAKPSSHRIGSGPMVKKKTPSELRGELLKRASFVDNNAESPPLLADPAKTTGVSNGLKRTGLWKPPRYTDTRVDEVFAAKKPRFKIASGKENAKEIPSLDQTSNLKNLSVFSNLEAKRQQENSCSETSDVSSQTNKDSVLQPCQTFEKCSQGKFLSVSELSTAVDKCCGSGAIDLGKALRGLAAPEEHYANDKTADLADGYPVLGNFLSECNLPGQKVPLDLTIKTSMRIVSSSKNCSVMRGTMPQLAFQNSYFRSQNVRGSDGLHSWIYPQSILPPSVISVLSSSTSDGELDFLRKRQVAWEESFRDLYYMLRKDICGLFYVCTAQFVVMFTGGDSSGKSKCSCNAYISQSTQGLRSLLREHDVCFSMPLCHSKVEQVATEDLVELSEIEKQNLGQIRRLRSFSEVDNSSQSLLVFSGNNNVHALYDLLLNYRFLLTSLSSMDTPVLCSPVPFQNSALSSPDIKYTETRRAEDIAASYNGSIWKDGESIQGSSDGLCTIEIKDALLPPWIICRMCALMSSEGRSFEASFATDFNSIGLNIALKSMCEKAKSEAGDSESLQEGINTFGIPETVVTPQMCSSSLKGVKYSDGSYMASLSPA >Vigun10g013600.1.v1.2 pep primary_assembly:ASM411807v1:10:1484163:1498749:-1 gene:Vigun10g013600.v1.2 transcript:Vigun10g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKSETFLQRYGYDLLLASVAALYVLTVPYTKVEESFNVQAMHDILYHRTKLDNYDHLEFPGVVPRTFLGALLVSVVAAPFVLTASLLHLPKFYALVIVRMALGCIILYTLRFFRRQIRNTFGHQVEAFFVILTAIQFHFLFYCTRPLPNILALGLVNLAYGYWFEGRVYAALNSLIFATTVFRCDMLLLLCSIGLQLLLTKKISVWGALKHCTGMALFCIGLTILVDSIMWKRLLWPEFEVFWFNSVLNKSSEWGTNAFHWYFTSALPRSLLAAYPLSLFGLFLDRRVRSFTFPVLAFIFLYSKLPHKELRFIISSVPIFNVSASIASNRIYNNRKKMIWNLLFLTLLALLLVSLAGTITSFMASYWNYPSGYALKELHGIGFHDDTDEQWVHIDTFSAMNGISRFCESDLPWRYSKEEQISLEEFQQRNFTFLINEHPVINGFKCLFTEDGFSRVRLKSGFPPISLVKEPKVYVHGSLRNQHIVSQNWSGCS >Vigun07g024700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2227781:2229754:-1 gene:Vigun07g024700.v1.2 transcript:Vigun07g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPWLAKHELAATVFIIVSLITQVTSNLNFNFPFFQPEDEAHLLLNENSKIYLNAVQVTPDIRGPITNYSGRVFYKEQLKLWDGQRGTKTSFNSSFVFNIYPVSSPGGEGFAFILTDDTSLQLNSGGQWLGIVNSTSTGVTDIVGVEFDTRKSFHEDVDDNHVGVDVKSIYSIKQQSLGPYGVNLSSGSDVVATIYFDAKHGKITIFVSMLGDLTGRPVLVVDRDLSELLPENVFVGFSASTGEYTQLNSIRSWNFSSWTDIEKNPKTLTWLWIFIPIAGVGGVCVLASVCYWTRKHIKGRGLEEDMKIELQIKSSSNAPLKFQLKELLSATRNFHSSNKLGKGGFGMVYKGTLNGKDVAVKRISKNSRHGKQDFIAEITTIGNLNHKHLVKLLGWCYEKGEIVLVYELMQNRSLDRFIFSNSGGDSTLNWEKRVAILCGVARALDYLHNGSDNRVLHRDIKPSNVMLDSEFNARLGDFGLARTIHLSEKSHHSTREIAGTPGYMAPESLHTQRASVETDVYAFGILMLEVVCGRRNAEHRQDLRFCSSIVEWVWELHGREKITDAVDLRLNDDFDKAEAKCVFELGLACCHPNPYERPSMRSVLLVLIGEASPPFVAAEKPAFTWPATASVLNEESNFQVIVSQNEPITELKSGR >Vigun06g233400.1.v1.2 pep primary_assembly:ASM411807v1:6:33930354:33949752:1 gene:Vigun06g233400.v1.2 transcript:Vigun06g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVSFFLIPILLLGIASAHTSTPKNVQTALRAKWFGTPLLLEAGELLSKEEPGHFWDFIHAWLHADHSDAQSHSAKSCVNKILQHSRPLLREPLASLFEFSLILRSASPSLVLYRQLAHDSLSSHSHAEIPKVDALNLGVSLQSPGGMCCWVDTGDTLFFDVSELLLWLQTPQKQVGGSIQGPQLFDFDHVHFYSSVGSPVAILYGALGTVCFKEFHTALVGAAEEGKVNYILRPVLPAGCETNFGHCGSVGASESVNLGGYGVELVFKNMEYKAMDDSTIKKGVTLEDPRTDDLSQEVRGFIFSKILERKPELTNEIMTFRDYLLSSTVSDTLDVWELKDLGHQTVQRIVRASDPLQSMQDINQNFPNIVSSLSRMKLDDSVRDEIMANQRMIPPGKSLMAINGALVNVEDVDLYLLIDLVHQDLLLADQFSKLKIPPSIVQKLLSTLPPSESSMFRVDFRTTQVHYLNNLEEDAKYKRWRSNLNEIWTPVFPGQLRHIRKNLFHAVFVLDPATICGLESIDMIMSLYESDFPVRFGVVLYSSKYIMQLENHSAKEDLDKFEDISDMIIRLFTYIKGNHSTQLAFGFLSNVNKLLTESADANLELHHVEGAFVETILPKVKSPPQETLLKLEKEPELKKLSQESSMLAFKLGLSKTDCSLLMNGLVIDPNEDALLNALNDETQRIQEQVYFGQIKAHTDVLDKFLSEAGIQRYNPRIISDSKPRFISLSAFLFGEESILNDIEYLHSPGTMYDLKPVTHLLAIDITSGIGLHLLHQGLNYLREGSKDARIGLLFSGNWSTSSLSLLFVKVFEITSSSYSHKNNVLDFLDQLCLFYQQKYFLAPVVEVEGTQTFIDKVCELAEANGLPSEGYKSALLKFSADEVRRHLNKVGIFLHRLLGSESDFNAVFTNGRVTYPIDESTFLSADLLLLESIEFKQRTKHILEIIEEVKWQHVDPDMLTSKFISDILMAVSSSMATRERSSESARFEILNDKHSAIILHNENSSIHIDACLDPLSPTSQKLSGILRVLWKYIQPSMRIVLNPLSSLADLPLKNYYRYVVPSMDDFSSADSSINGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHDPPRGLQLILGTKTTPHLFDTIVMANLGYWQMKVSPGVWFLQLAPGRSSELYVLKEGADGIENKQSSKLITINDLRGKVVHMDVVKRKGREDEKLLVSDEDDEDLQETKKGSGWNSNLLKWASGLISSNEQPKITETNDATEKVKGGRRGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPPFKDLIPRMAQEYGFECELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRTDMGELYDMDIKGKPLAYTPFCDNNKEMDGYRFWRQGFWKDHLRGKPYHISALYVVDLKKFRETAAGDNLRVFYETLSKDPNSLANLDQDLPNYAQHVVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLKGARRIVSEWPDLDSEASKFTAKILGDDLEPFPSPDQSKDLISEEALEVDMESKAEL >Vigun08g215600.1.v1.2 pep primary_assembly:ASM411807v1:8:37614866:37626500:1 gene:Vigun08g215600.v1.2 transcript:Vigun08g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLVCKGFNQLQYSFCFNEFVKEVEEEENNLTETRENVERRVTHAQRQNIKIDEVIDKWLENAKSDSKDVDCLLKDAKAKKSCCLGYCPNWIWRYRLGKKLANKKAELEKIIQKGRPYMQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGIIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSNTVEIPRIQEKIASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKTINLPILTDEEAWVLFQNKAVISKDTPKTITDLARSISNECKGLPVAIVAVASSLKEKQKDIWRSALNKLRSSKQINIGKGLQDPYKCLQLSYDNLDTEEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTTAKIKLVSSCLLLDVGDKHVKMHDLVRDIAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTQLEVSDAIFEKMEKLRVLIVSNQNYDNELQLSTMSFKTLQNLRCLVLQDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSDCYIKRKNFEEIKLIPSLEELYILEYYWNGNVEFFSVLPQTLKRYGIVLGYKEFNYYSPQDEFCSYHAYLALNHFDISNEIIMHMTKSAKELIMGNIKGGAKNIVPDIFQSGASMSELNQLQIYSSEIECLVDTSNHLNKVGNVFSELCSLTIVDMWCLRALWHDCVPTDGSFEKLENLNVVNCCELTSLFTYDMARGLQSKIFHNLKYLAVYECTKLKYVFPVGTIGGLPQLKRLVITNCNMLEQIIGDVVPSAHQDEKKEKDKIIEENEHQHFESNRLIFSSKRSFSLSSFALLTIHSCPMLGSLFTTSVAKTLISLEELRIYECDGLKHIVTPARVKINKKENMVEDEHEFESDLSMFSSLKRVTIWNCKSLKDIFGMAFVGGMMGPQVSLKLEELSLTDLPEMSHIWCQKLKVIFPRSMLTSLPELIDLYVSECDELRYVIEDSSIIAYSPRPCFPKLQSLRIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNCPKLSLTSTITPRQLPQKFSLLEDEVSGSNNSTELPSSQINEKSDKKLSEKDYDQQNPLGETQSTIKMSHEDQPISEIKSSSSQLNDDNQSMSDSRVEMVGQHKTIETKTLVSETQEFQNIDRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNTGKTTTSDKLAIPTSVLELVHKESNNETGFPYQHGLEETVNMEEIGRQRTKDGPPSEEAAIKTLSIGADNGVTIHKSKEVTPDIRTRLEECKNFAGLDDSQISLIMQAISAYPHLWKACEKFSDRFQALMLKTLADMLLFLRSESAGSVNPEREKEFLKLCDVAVELGFERSWVDEMRQRVVGRDPKLDHAKARIGDLLKKHAHLTQELHSMKIELMSLHDYFDVPKKCFDFV >Vigun08g215600.2.v1.2 pep primary_assembly:ASM411807v1:8:37614866:37626500:1 gene:Vigun08g215600.v1.2 transcript:Vigun08g215600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYGLLADISKDLVCKGFNQLQYSFCFNEFVKEVEEEENNLTETRENVERRVTHAQRQNIKIDEVIDKWLENAKSDSKDVDCLLKDAKAKKSCCLGYCPNWIWRYRLGKKLANKKAELEKIIQKGRPYMQLERIASIPSNTFDILTEKSMNFESRKYAYDQVMKALKYDGVGIIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSNTVEIPRIQEKIASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKGCKILITTRSEEVCTLMDCQKTINLPILTDEEAWVLFQNKAVISKDTPKTITDLARSISNECKGLPVAIVAVASSLKEKQKDIWRSALNKLRSSKQINIGKGLQDPYKCLQLSYDNLDTEEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTTAKIKLVSSCLLLDVGDKHVKMHDLVRDIAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTQLEVSDAIFEKMEKLRVLIVSNQNYDNELQLSTMSFKTLQNLRCLVLQDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSDCYIKRKNFEEIKLIPSLEELYILEYYWNGNVEFFSVLPQTLKRYGIVLGYKEFNYYSPQDEFCSYHAYLALNHFDISNEIIMHMTKSAKELIMGNIKGGAKNIVPDIFQSGASMSELNQLQIYSSEIECLVDTSNHLNKVGNVFSELCSLTIVDMWCLRALWHDCVPTDGSFEKLENLNVVNCCELTSLFTYDMARGLQSKIFHNLKYLAVYECTKLKYVFPVGTIGGLPQLKRLVITNCNMLEQIIGDVVPSAHQDEKKEKDKIIEENEHQHFESNRLIFSSKRSFSLSSFALLTIHSCPMLGSLFTTSVAKTLISLEELRIYECDGLKHIVTPARVKINKKENMVEDEHEFESDLSMFSSLKRVTIWNCKSLKDIFGMAFVGGMMGPQVSLKLEELSLTDLPEMSHIWCQKLKVIFPRSMLTSLPELIDLYVSECDELRYVIEDSSIIAYSPRPCFPKLQSLRIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFMHLSNFNQETIFLNVKYRIVRNCPKLSLTSTITPRQLPQKFSLLEDEVSGSNNSTELPSSQINEKSDKKLSEKDYDQQNPLGETQSTIKMSHEDQPISEIKSSSSQLNDDNQSMSDSRVEMVGQHKTIETKTLVSETQEFQNIDRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNTGKTTTSDKLAIPTSVLENMEEIGRQRTKDGPPSEEAAIKTLSIGADNGVTIHKSKEVTPDIRTRLEECKNFAGLDDSQISLIMQAISAYPHLWKACEKFSDRFQALMLKTLADMLLFLRSESAGSVNPEREKEFLKLCDVAVELGFERSWVDEMRQRVVGRDPKLDHAKARIGDLLKKHAHLTQELHSMKIELMSLHDYFDVPKKCFDFV >Vigun10g167000.1.v1.2 pep primary_assembly:ASM411807v1:10:38592950:38597109:-1 gene:Vigun10g167000.v1.2 transcript:Vigun10g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACTKQLNNGSLRQEEEEEAVHTPSTKQAIKALTAQIKDMAVKASGAYKSCRPCSGSSNGNRNRKYADSDMGSESARFNWAYRRTGSSNSTPRMWGKETEGGRVKGVSSGEGTPASVSGRTESVVFMEEDEPKEWIAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQQAVPLPTPPRSEDESSKIESARDSPVTPPLSKERAPRHFHHPMGMGYSSSDSLEHHQMHPHPCYETSGLASTPNLSNISAPKTEKSSLDGSVRTSSSGEDHSGEFSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Vigun10g167000.2.v1.2 pep primary_assembly:ASM411807v1:10:38592950:38597109:-1 gene:Vigun10g167000.v1.2 transcript:Vigun10g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACTKQLNNGSLRQEEEEEAVHTPSTKQAIKALTAQIKDMAVKASGAYKSCRPCSGSSNGNRNRKYADSDMGSESARFNWAYRRTGSSNSTPRMWGKETEGGRVKGVSSGEGTPASVSGRTESVVFMEEDEPKEWIAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQQAVPLPTPPRSEDESSKIESARDSPVTPPLSKERAPRHFHHPMGMGYSSSDSLEHHQMHPHPCYETSGLASTPNLSNISAPKTEKSSLDGSVRTSSSGEDHSGEFSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Vigun03g255600.1.v1.2 pep primary_assembly:ASM411807v1:3:42193375:42195126:-1 gene:Vigun03g255600.v1.2 transcript:Vigun03g255600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKITLKSSDGEAFEVDEIVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVEATSADEKPTEDELKAWDADFVKVDQATLFDLILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Vigun05g240100.2.v1.2 pep primary_assembly:ASM411807v1:5:43317972:43319164:1 gene:Vigun05g240100.v1.2 transcript:Vigun05g240100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLLHLGALLFFLFFLVSSYARPLTTEQGRNRSKLNEVFGEEDFALELEGGESLKLLGVEDCRGGDEECVQRRMTLEAHLDYIYTQHHKP >Vigun05g240100.1.v1.2 pep primary_assembly:ASM411807v1:5:43317972:43319164:1 gene:Vigun05g240100.v1.2 transcript:Vigun05g240100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLLHLGALLFFLFFLVSSYARPLTTEQGRNRSKLNEVFGEEDFALELEGGESLKQLLGVEDCRGGDEECVQRRMTLEAHLDYIYTQHHKP >Vigun09g270400.2.v1.2 pep primary_assembly:ASM411807v1:9:43300452:43302168:-1 gene:Vigun09g270400.v1.2 transcript:Vigun09g270400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSALALYGLIVGIILSSRAGQSRAD >Vigun09g270400.1.v1.2 pep primary_assembly:ASM411807v1:9:43300452:43302168:-1 gene:Vigun09g270400.v1.2 transcript:Vigun09g270400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun09g115000.2.v1.2 pep primary_assembly:ASM411807v1:9:25216867:25226482:-1 gene:Vigun09g115000.v1.2 transcript:Vigun09g115000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNSKIEFLVWLSQVMGGLCSRSAEDDRVFVNADSAAQSKPPADDAAVVFPVAPQRMERNPPEPSRTNGGASSAVEEDFYDGIPRFPKDSLPQKPRSKVSEVSSRLGKAGTTGIAIGIEKAVEVLDTLGSSMTNLNASSGFVSGAAIKGNEIAILAFEVANTIVKGFNLLESLSPKSIRHLKEEVLLSHPVQDLVSKDMDELLRIVAADKRQELKVFSDEVIRFGNRSRNPQWHNLDRYFEKVSGELNAQRPSRDEAESIMQQLMTLVQFTAELYHELHALDRFEQDIQRKCEDDDERGEGIAFLRAEIKTQKKQVRQLKKKSLWARSLEEVMEKLVDIVHFLLLEISNVFRNIDSHKPLTGHTSNRQRLGPAGLALHYANIVLQIDTIVARSSVPANTKDSLYQSLPPNIKLALRSKLPSLRVVEEVICMFIFGRIRRN >Vigun09g115000.3.v1.2 pep primary_assembly:ASM411807v1:9:25214207:25226482:-1 gene:Vigun09g115000.v1.2 transcript:Vigun09g115000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNSKIEFLVWLSQVMGGLCSRSAEDDRVFVNADSAAQSKPPADDAAVVFPVAPQRMERNPPEPSRTNGGASSAVEEDFYDGIPRFPKDSLPQKPRSKVSEVSSRLGKAGTTGIAIGIEKAVEVLDTLGSSMTNLNASSGFVSGAAIKGNEIAILAFEVANTIVKGFNLLESLSPKSIRHLKEEVLLSHPVQDLVSKDMDELLRIVAADKRQELKVFSDEVIRFGNRSRNPQWHNLDRYFEKVSGELNAQRPSRDEAESIMQQLMTLVQFTAELYHELHALDRFEQDIQRKCEDDDERGEGIAFLRAEIKTQKKQVRQLKKKSLWARSLEEVMEKLVDIVHFLLLEISNVFRNIDSHKPLTGHTSNRQRLGPAGLALHYANIVLQIDTIVARSSVPANTKDSLYQSLPPNIKLALRSKLPSLRVVEELTVADITDEMEKTLQWLAPMATNTSKAHHGFGWVGEWANTGSEINRKSGAMRVETFHHADKDKVEHYILELLVWLHRLVIRSKAGSDTGKVRPVTKYPVGVSLKTDEQSTNAVLSLLTLDEQNMLQDVNMKKPVRRISKSLDFGSVNIRFRENYRLTKSRSHSSSKSKEICFNRILSKLPVIDFDIDKERALNVIDRLDVISWEHANWNSWMERHHSGSYSMRILEVKIPYYTGRDGCERSDHLPTT >Vigun09g115000.4.v1.2 pep primary_assembly:ASM411807v1:9:25214659:25226482:-1 gene:Vigun09g115000.v1.2 transcript:Vigun09g115000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNSKIEFLVWLSQVMGGLCSRSAEDDRVFVNADSAAQSKPPADDAAVVFPVAPQRMERNPPEPSRTNGGASSAVEEDFYDGIPRFPKDSLPQKPRSKVSEVSSRLGKAGTTGIAIGIEKAVEVLDTLGSSMTNLNASSGFVSGAAIKGNEIAILAFEVANTIVKGFNLLESLSPKSIRHLKEEVLLSHPVQDLVSKDMDELLRIVAADKRQELKVFSDEVIRFGNRSRNPQWHNLDRYFEKVSGELNAQRPSRDEAESIMQQLMTLVQFTAELYHELHALDRFEQDIQRKCEDDDERGEGIAFLRAEIKTQKKQVRQLKKKSLWARSLEEVMEKLVDIVHFLLLEISNVFRNIDSHKPLTGHTSNRQRLGPAGLALHYANIVLQIDTIVARSSVPANTKDSLYQSLPPNIKLALRSKLPSLRVVEELTVADITDEMEKTLQWLAPMATNTSKAHHGFGWVGEWANTGSEINRKSGAMRVETFHHADKDKVEHYILELLVWLHRLVIRSKAGSDTGKVRPVTKYPVGVSLKTDEQSTNAVLSLLTLDEQNMLQDVNMKKPVRRISKSLDFGSVNIRFRENYRLTKSRSHSSSKSKEICFNRILSKLPVIDFDIDKERALNVIDRLDVIR >Vigun09g115000.1.v1.2 pep primary_assembly:ASM411807v1:9:25214653:25226482:-1 gene:Vigun09g115000.v1.2 transcript:Vigun09g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNSKIEFLVWLSQVMGGLCSRSAEDDRVFVNADSAAQSKPPADDAAVVFPVAPQRMERNPPEPSRTNGGASSAVEEDFYDGIPRFPKDSLPQKPRSKVSEVSSRLGKAGTTGIAIGIEKAVEVLDTLGSSMTNLNASSGFVSGAAIKGNEIAILAFEVANTIVKGFNLLESLSPKSIRHLKEEVLLSHPVQDLVSKDMDELLRIVAADKRQELKVFSDEVIRFGNRSRNPQWHNLDRYFEKVSGELNAQRPSRDEAESIMQQLMTLVQFTAELYHELHALDRFEQDIQRKCEDDDERGEGIAFLRAEIKTQKKQVRQLKKKSLWARSLEEVMEKLVDIVHFLLLEISNVFRNIDSHKPLTGHTSNRQRLGPAGLALHYANIVLQIDTIVARSSVPANTKDSLYQSLPPNIKLALRSKLPSLRVVEELTVADITDEMEKTLQWLAPMATNTSKAHHGFGWVGEWANTGSEINRKSGAMRVETFHHADKDKVEHYILELLVWLHRLVIRSKAGSDTGKVRPVTKYPVGVSLKTDEQSTNAVLSLLTLDEQNMLQDVNMKKPVRRISKSLDFGSVNIRFRENYRLTKSRSHSSSKSKEICFNRILSKLPVIDFDIDKERALNVIDRLDVIR >Vigun05g138900.1.v1.2 pep primary_assembly:ASM411807v1:5:16935478:16937586:1 gene:Vigun05g138900.v1.2 transcript:Vigun05g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDSDSSSDGGERSRRWEMLDFQNLKVVSAVGRGAKGVVFLARVEAGGSGDWLALKVVSKELLRKKNRNEGRCKRVSFERHILRRFDHPLLPRFRGSLDTDQLTGFAIDYCHGGTLHLLRKKQPEKTFSEETVRFYAVELVLALEYLHSFGVVYRDLKPENIMIQESGHIMLVDFDLSKKLYLRLTSPSSSNSSPGSDSPPEKEKDRRKRRLSRFSCYCHSGISLYDSDIPSQLNVIPTGRSMSDSVEKSNSFVGTEDYVAPEVISGEGHDFAVDWWSLGIVLYELIYGATPFKGANRKETFHRIITKEPDLSGATTPLRDLIKKLLHKDPNRRIQVNEIKRHDFFKGVQWDTILEISRPPYIPQNEIKDSLGFSQKDVESFVHGIFFPKSKEEEDKTKKGEAKNNEEETPKEENDKKEETNKNVWVDKLNQNSSKDENFLIF >Vigun09g168500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33764956:33767615:1 gene:Vigun09g168500.v1.2 transcript:Vigun09g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMDTDMDAIHNLHFSRYTTITDTAPSSDDDYGCNWNHWSPLVNWDAFTGSHDDFHHLIDSIVSEGPAEYPSPQDHAASNSPSASITEEDDAEEETASADDSKGLRLVHLLMAAAEALTGAAKSRELARVILVRLKDLVSHASHGSNMERLAAYFTDALRGLLEGAGGAHNHSKHHYNITYGSHHPRDDHHHHHQNDTLAAFQLLQDMSPYIKFGHFTANQAILEAVVHERRVHIVDYDIMEGVQWASLMQALASDKTGPPGPHLRITALSRTGTGRRSIATVQETGRRLTAFAASLGQPFTFHQCRLDPDETFKPSSLKLVRGEALVLNCMLNLPHLSYRAPDSIASFLSGAKELKPRLVTLVEEEVGSSVGGFVGRFMDSLHHYSAVFDSLEAGFPMQGRARALVERVFLGPRIVGSLARVYRTGEEVEERGSWGEWLGAAGLRGVPMSFANHCQAKLLLGLFNDGYRVEELGPNKLVLDWKSRRLLSASLWTSSSSSDSH >Vigun02g014000.1.v1.2 pep primary_assembly:ASM411807v1:2:5174026:5175609:-1 gene:Vigun02g014000.v1.2 transcript:Vigun02g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLQEMLIGFPFSSAAYLGNKKSQHRYLPDPSTQYNTSTTTSNQGEVGSVLNRVNKLGRKTNSFATGLREHVKLGPKISDTVKGKLSLGARILQVGGVEKVFMQLFSVKNGEKLLKASQCYISTTSGPLAGLLFISTDKVAFCSERSIKAYSSNGHLIRMHYKVVIPLEKIRCVNQSHHVKKPSQKYIEIVTVDNFDFWFLGFLNYQKAFKYLRQAISQARK >Vigun03g136600.1.v1.2 pep primary_assembly:ASM411807v1:3:13382883:13386376:-1 gene:Vigun03g136600.v1.2 transcript:Vigun03g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVQDLKPVVLMVLVQIAYSSVNVLYKLAINDGMSIRIVTAYRLIFAVAFTFSLALIFERKNRPKLTWRVLFMSFFSGLFGASLLHNLFLEALDLVSATFATAIYNLVPAVTFILAISCRLEKLNMRSTAGKAKVVGTIIGIGGSMLLTFFKGQEIDVKSFHTALLHKNNHSLTLHNTDSSHRFLGALCGFGSCFSFALWLIIQSKINKEYPSHHSSTALMSLMAAIQATAFALYVEKDWNQWKLCSSIRILTVLYTGIVASGLVVIAIAWCVKMRGPMFVSVFNPLMLLLVAVADSLMLGENLYVGSVIGGVLIVCGLYMFLWGKSKEEKKGNKTVSSEITQKHEATEVVVMSTTTNNENSDCISSTKPNCKSNIVANVS >Vigun03g136600.2.v1.2 pep primary_assembly:ASM411807v1:3:13382883:13386376:-1 gene:Vigun03g136600.v1.2 transcript:Vigun03g136600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVQDLKPVVLMVLVQIAYSSVNVLYKLAINDGMSIRIVTAYRLIFAVAFTFSLALIFERKNRPKLTWRVLFMSFFSGLFGASLLHNLFLEALDLVSATFATAIYNLVPAVTFILAISCRLEKLNMRSTAGKAKVVGTIIGIGGSMLLTFFKGQEIDVKSFHTALLHKNNHSLTLHNTDSSHRFLGALCGFGSCFSFALWLIIQGIVASGLVVIAIAWCVKMRGPMFVSVFNPLMLLLVAVADSLMLGENLYVGSVIGGVLIVCGLYMFLWGKSKEEKKGNKTVSSEITQKHEATEVVVMSTTTNNENSDCISSTKPNCKSNIVANVS >Vigun06g220100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33013912:33015054:-1 gene:Vigun06g220100.v1.2 transcript:Vigun06g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAMGTTVKNHLHDGKINTFVVNRTPNALVLRILSRSLFLILVLASLPFLLKALIGLSSSPNAAHGSLNVEQLDFILDNFADEGLLKDNDKTLLVNSPVPNGFDNEMHVLMDSDSESKKLFVDESYHFVLTNGSIDVDFIDRILKINGILAFPLGPKPSDYAFREKANYRVVHIMRYGFLIVGLKKTGPAKRMEDSDSSTKKKQLAKERKALEGLEDVHLEPPGKAWVKSRNYSSSIKYLPDVVGDSLEGYNRRVFISAGLAEENERVMEWFNRNYPTKNKKFETHSLVAAPEKHCDVSGWLCKNVREEDYVVMKAEAEVVEEMMVKKRAVGLVDELFLECKNEWWHSEKTMNGRAYWECLTLYGRLRDAGVAVHQWWD >VigunL059030.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000248.1:8665:9024:1 gene:VigunL059030.v1.2 transcript:VigunL059030.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g151550.1.v1.2 pep primary_assembly:ASM411807v1:5:23135546:23138161:-1 gene:Vigun05g151550.v1.2 transcript:Vigun05g151550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGLMLIQMGVGAEQKGLETGSDWRLLGSIGLGFKNS >Vigun02g152400.2.v1.2 pep primary_assembly:ASM411807v1:2:29899473:29911591:-1 gene:Vigun02g152400.v1.2 transcript:Vigun02g152400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSKATISVPFLSHGSTPNFHFLHLLSPLATATVPRRSCTFRSHNNKTTPLSPRDCGCHQSRAAGEDFGISEEDEEFVNVLRESQSYVLVHRASVFVVLISAEVVASPYLDPILKDIAFLHHLGIRFVLVPGTHVQIDKLLNERGCQPKYVGRYRITDEASLEAAMEAAGGIRLMIEAKLSPGPSICNIRRHGDNSRWHEVGVSVASGNFLAAKRRGVVSGIDFGSTGEVKKVDVSRMRERLDGGCVVILTNLGYSSSGEVLNCNTYEVATACALAMGADKLICLIDGPILDENGRLIRFLPLEEADMLIRKRAEQSEAAANYVKVVDEEGFNSPEYNNFNGIVKPPPIGRFTEWHKATFHNGVGFESGNGLESSEQGFAIGGQERLSRMHGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRTAEVKDISGIKQLIQPLEASGILVKRTDDELLQTLDSFVVVEREGHIIACAALFPHFEEKCGEVACIAVSPDCRGQGQGDKLLDYVEMKASYLGLNMLFLLTTRTADWFVRRGFSECSIDYIPEKKRRKINLSRNSKYYMKKLLPNKSGITVGVKSASS >Vigun02g152400.1.v1.2 pep primary_assembly:ASM411807v1:2:29899473:29908910:-1 gene:Vigun02g152400.v1.2 transcript:Vigun02g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLCPSLPNTSTFSDFCKLYSSSHHLCFHSPKSNLQFKPRRGPEARPLGNRKKGSSRKLTASSEGANGYGSIDAEGYHGSVEDKQFVRSFREAWPYLWAYRGSTFVVIISGEIVSSPFLDPILKDIAFLHHLGIRFVLVPGTHVQIDKLLNERGCQPKYVGRYRITDEASLEAAMEAAGGIRLMIEAKLSPGPSICNIRRHGDNSRWHEVGVSVASGNFLAAKRRGVVSGIDFGSTGEVKKVDVSRMRERLDGGCVVILTNLGYSSSGEVLNCNTYEVATACALAMGADKLICLIDGPILDENGRLIRFLPLEEADMLIRKRAEQSEAAANYVKVVDEEGFNSPEYNNFNGIVKPPPIGRFTEWHKATFHNGVGFESGNGLESSEQGFAIGGQERLSRMHGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRTAEVKDISGIKQLIQPLEASGILVKRTDDELLQTLDSFVVVEREGHIIACAALFPHFEEKCGEVACIAVSPDCRGQGQGDKLLDYVEMKASYLGLNMLFLLTTRTADWFVRRGFSECSIDYIPEKKRRKINLSRNSKYYMKKLLPNKSGITVGVKSASS >Vigun02g152400.3.v1.2 pep primary_assembly:ASM411807v1:2:29902415:29911592:-1 gene:Vigun02g152400.v1.2 transcript:Vigun02g152400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSKATISVPFLSHGSTPNFHFLHLLSPLATATVPRRSCTFRSHNNKTTPLSPRDCGCHQSRAAGEDFGISEEDEEFVNVLRESQSYVLVHRASVFVVLISAEVVASPYLDPILKDIAFLHHLGIRFVLVPGTHVQIDKLLNERGCQPKYVGRYRITDEASLEAAMEAAGGIRLMIEAKLSPGPSICNIRRHGDNSRWHEVGVSVASGNFLAAKRRGVVSGIDFGSTGEVKKVDVSRMRERLDGGCVVILTNLGYSSSGEVLNCNTYEVATACALAMGADKLICLIDGPILDENGRLIRFLPLEEADMLIRKRAEQSEAAANYVKVVDEEGFNSPEYNNFNGIVKPPPIGRFTEWHKATFHNGVGFESGNGLESSEQGFAIGGQERLSRMHGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRTAEVKDISGIKQLIQPLEASGILVKRTDDELLQTLDSFVVVEREGHIIACAALFPHFEEKCGEVACIAVSPDCRGQGQGDKLLAHTLASLK >Vigun05g059500.1.v1.2 pep primary_assembly:ASM411807v1:5:5104051:5105768:-1 gene:Vigun05g059500.v1.2 transcript:Vigun05g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRASNGTELLVHMNGGKGESSYANNSSLQKNLMLKAKHMLEETIMKLDCHFSPNCMKVADLGCSVGPNTLLLISNVVSIVDTACTRLNREPPTFQFYLNDLFENDFNTTFKSLPDFYTKLLQNEGNRSASCFINATPGSFYGRLFPSNSINLFHSSNSLHWLSQDPLLGCKDVASLNKGHCHIVSTSPPEVHKAYLKQYQQDFKLFLKSRSEELVPGGAMILLFLGNQENPRITGWEMISLILNEMFLEGMIEEEKLESFNIPVYEPTVEELRNVIQEEGSFFIQRLEILILPWDDGMSEGSDDIKAELMAKHVRAIMEPLMSTKFGAEVITEVFVRYQKKVVQLMEVEKEKLEFATLMISMTKNA >Vigun03g174000.1.v1.2 pep primary_assembly:ASM411807v1:3:21328518:21331523:-1 gene:Vigun03g174000.v1.2 transcript:Vigun03g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVSFVKTSATIRSLLPIASSSISKIMDSSSNAGTGGSQRLLTLAQHLRQYKAPSFPEDIVEQRIEESGGKVVSQVGFPEAVTPVGQNPEKFRPKKAAVLICLFEGDDGDLRVILTKRSSKLSTHSGEVALPGGKAEEGDKDDGDTAKREAKEEIGLDPELVNVVTVLQPFLSKHLLRVVPVIGILNDKKAFKPVLNPAEVEAVFDAPLEMFLKDENRRQEEREWMGEKFLLHFFDYGVGHKKYLIWGLTAGILIRAASVVYQRPPAFVEQNPKFKVPQNVSNDTTLP >Vigun04g121600.1.v1.2 pep primary_assembly:ASM411807v1:4:31159316:31162956:1 gene:Vigun04g121600.v1.2 transcript:Vigun04g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSVKWAQRSDVLYLTVELPDAQDVKLKLEPEGKFHFSATAGSEKIPYEVDIELFDAIDVNNSKASVGSRNICYLVKKAENKWWERLLKQGGKSPVFLKVDWDKWVDEDEEPENQPASDMDFGDIDFSKLNMGGGEGLDFDAAGDDDDDESDTEEEDAAEASTSKVPDTKDVVSAHPKDAPDSKA >Vigun04g051400.1.v1.2 pep primary_assembly:ASM411807v1:4:4655372:4665735:-1 gene:Vigun04g051400.v1.2 transcript:Vigun04g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEPKALPEAEKKKEQTLPFYKLFSFADKCDWMLMVSGSLGAIVHGSSMPVFFLLFGEMVNGFGKNQMDLKKMTEEVSKYALYFVYLGLVVCISSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQKPTIVEDPSEGKCLAEVNGNIEFKDVTFSYPSRPDVFIFRSFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKADATMAEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDTIAVIQQGQVVETGTHEELIAKAGTYASLIRFQEMVGNRDFSNPSTRRTRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDKKNPAPDGYFFRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYFRNYASMERKTKEYVFIYIGAGLYAVGAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQTKMLSVFCNELRVPQRQSLRRSLTSGFLFGLSQLALYASEALILWYGAHLVSKGASTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDPDDPDAEAVESLRGEIELRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPIAGKVMVDGKDIRKLNLKSLRLKIGLVQQEPALFAASIFENIAYGKDGASESEVIEAARAANVHGFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDCIGVVQDGRIVEQGSHAELVSRPEGAYSRLLQLQHHHI >Vigun04g051400.2.v1.2 pep primary_assembly:ASM411807v1:4:4655372:4663901:-1 gene:Vigun04g051400.v1.2 transcript:Vigun04g051400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQKPTIVEDPSEGKCLAEVNGNIEFKDVTFSYPSRPDVFIFRSFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKADATMAEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDTIAVIQQGQVVETGTHEELIAKAGTYASLIRFQEMVGNRDFSNPSTRRTRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDKKNPAPDGYFFRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYFRNYASMERKTKEYVFIYIGAGLYAVGAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQTKMLSVFCNELRVPQRQSLRRSLTSGFLFGLSQLALYASEALILWYGAHLVSKGASTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDPDDPDAEAVESLRGEIELRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPIAGKVMVDGKDIRKLNLKSLRLKIGLVQQEPALFAASIFENIAYGKDGASESEVIEAARAANVHGFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDCIGVVQDGRIVEQGSHAELVSRPEGAYSRLLQLQHHHI >Vigun08g205200.2.v1.2 pep primary_assembly:ASM411807v1:8:36858525:36860078:1 gene:Vigun08g205200.v1.2 transcript:Vigun08g205200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVTTLVFAVIGIVASLCTRICFNRGPSSNLFHLTLVLTATICCWMMWAIVYLAQMKPLIVPILSEGE >Vigun08g205200.3.v1.2 pep primary_assembly:ASM411807v1:8:36858549:36860066:1 gene:Vigun08g205200.v1.2 transcript:Vigun08g205200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVTTLVFAVIGIVASLCTRICFNRGPSSNLFHLTLVLTATICCWMMWAIVYLAQMKPLIVPILSEGE >Vigun08g205200.1.v1.2 pep primary_assembly:ASM411807v1:8:36858595:36860066:1 gene:Vigun08g205200.v1.2 transcript:Vigun08g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFLFSSCRNSFSVLSGSGSDMGFSVTTLVFAVIGIVASLCTRICFNRGPSSNLFHLTLVLTATICCWMMWAIVYLAQMKPLIVPILSEGE >Vigun07g292901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40439556:40440539:-1 gene:Vigun07g292901.v1.2 transcript:Vigun07g292901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSSRPGSNPTMPYPFWMRGNTQLGLNQRVNHPTTKQRSWVNVHPRETPHVAPPLPPPPPIVTNVKASDLLKEQLLRVPALARVLGGTWSRTTAGAQPAPPLRPRLHRFLDDFGTEATQKSTPQKPSEAATVINKEKEEAEKKKESEKKKEAEAEKKYDGRIHSNPHKKNGPYTCPKCKGVFETSQRFASHVSSIHYKFESKIERKKRLMARYYKRNPRVEWVNGKPTIVWGNSKNTSVIAPPPPQAPPPRVLVPKTECVVEELLPPPPGFGKPIPPPPGFEKVNYAIPGFHLPFGFHHPFPFGFHQKLPVAAPPRGVQIKLEIQ >Vigun06g165200.1.v1.2 pep primary_assembly:ASM411807v1:6:28712866:28719349:1 gene:Vigun06g165200.v1.2 transcript:Vigun06g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLFSPTYTVIPTPPQSLRSHSRSCSLSVRASVAVDTATEAVKLNKYSSRITEPKSQGASQAVLYGVGLSEEDMAKPQVGVSSVWYEGNTCNMHLLLLSEAVREGVAAAGMVPFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDANISIPGCDKNMPGTIIAMGRLNRPSIMVYGGTIKPGHFQGNTFDIVSAFQCYGEFVSGSISDDQRQNVIRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITRKSLRNAMVVVMALGGSTNAVLHFIAIAKSVGIDLTLDDFQKVSDEVPFIADLKPSGKYVMEDLHKIGGTPAVIRYLLEQGLLDGDCLTVTGKTLAENAELVPPLSKGQEIIRPLENPIKKTGHIQILYGNVAPQGSVAKITGKEGLYFSGPALVFEGEEAMIAAISEDPSSFKGKVVIIRGEGPKGGPGMPEMLTPTSAIMGAGLGKEVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIKNGDIINVDVQNRRIDVLVSDEEMEARRKKWTAPPYKANQGALYKYIKNVKSASSGCVTDE >Vigun07g240900.1.v1.2 pep primary_assembly:ASM411807v1:7:36219720:36222642:1 gene:Vigun07g240900.v1.2 transcript:Vigun07g240900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIALPNGISNGTINGVNGQTHSLNGYRKSCWYEEEIEEDLRWCFALNSILHTGASQYQDIALLDTKPFGKALVIDGKLQSAETDEFIYHECLVHPALLHNPNPKNVFIMGGGEGSTARELLRHKTIDKVVMCDIDEEVVNFCKSYLVVNKEAFRDQRLEVVINDARAELEARNESYDVIIGDLADPIDGGPCYKLYTKSFYELIVKPRLNQGGIFVTQAGPAGIFSHTEVFSCIYNTLRKVFKYVVPYSAHIPSYADIWGWVMASDSPLDLNAEELDLRMRQRIKGENRYLDGKTFTSASTLSKTVRKSLDNETHVYTEENARFIYGHGKQA >Vigun03g094000.1.v1.2 pep primary_assembly:ASM411807v1:3:7949204:7951236:-1 gene:Vigun03g094000.v1.2 transcript:Vigun03g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVSCIPLAYSSDVFICKQENRVADGNVERMQREGEDQVVVEGKVDWKGRKALKHKHGGMKVSLLIVAAFGMENMATLSLAVNLVSYFNQNMHYELADAANMVTNYMGVSYMLSIVVALLADTWIGRYKAVVISGFFESLGLALLTIQAHKASRESPVCNVYVKGAGCEKVSGKLEAFLFVGLYLLAFGSAGVKASLPSHGADQFDERDPKEAMQMSNFFNCLFLGLCIGGAVSLTFNVYVENNNGWVWGFGISTAAIVFGTIIFALGLPLYRIHVARITNGIMEIIQVYVAAIRNRNLPLPANPNELYEIDKDKEAALEIEYQPHTDIYRFLDKAAIQRNSDVEAENEKTPNPWKLCRVTQVENAKIILSMLPIFCCSIIMTLCLAQLQTFSIQQGSTMNTRIAKHFHIPPASIPIFPVVFLIVFVPFYDRIFVPFLSRFTGITTGITHLQRIGVGLILSCLSMAVAAVIEVKRKGVARDNNMLDAFPVLQPLPLSIFWLSFQYFLFGIADMFTYVGLLEFFYSEAPKSLKSTSTCFLWCAMAVGYFLSSILVKIVNRATKNITASGGWLAGNNINRNHLNLFYWLLSILSFINFFVYLLVSKRYKYRPQNPAVTGGN >Vigun03g229800.1.v1.2 pep primary_assembly:ASM411807v1:3:38261663:38265976:-1 gene:Vigun03g229800.v1.2 transcript:Vigun03g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASSAYSWWWASHIRTKQSKWMEQNLHDMEEKVHVVLKLLEEEGDSFAKRAEMYYKRRPELISFVEESFRAYRSLADRYDHISTELQNANNTIASVCPDQVPYMDEDDEDSPRPKTPRKMGDGYKPNVPSVPKVPKPPLRDFKTVITTATKKLNTKKAAAAAAAPKVSKVPKSGLSRKAALQEMDKLQKEILALQTVKEFVKSTYDNAIAKYWDTENQIKALQEKVSSLQDELGEGIAIDDEEARCLMAAAALKSCQDTLSNLEVKQSISLNEAKVESKRVKEVRDKLSSIMNECNYDQTTSKGPRAKRDVKEIVGTMGLDEEVEKLNQQKQEMQVLQEKIKEHFEAGSYSTLTVQDMAEKIDSLVNKVVSLETAVSSQTALVQRLKVETDELNSVVRTLESDKESLINDKAKLNEQLREMNDKLRGVQDLNQIVSDQNSNLRTHFTEAHCNLDQISEKVQKGKPDVVSEISQPERNSSTEAESEHGLKGQDALNQDSELLNDEKSNTANQATAGVDDTATSDKELKVAEVVKDNVIHTVNSDKELEGAEVLEDSVSHTVNSDKELKVAEAEEVKDGVIHTGNSDKELKVAEGVKDSVIHTVNSDEDFKDAEGEEDSETVNTDEEFKDPDDKPKVTSSQENDVKVTNSVEMEEEKPGEDKSQRELKEREKSPDSSHSDIAKSTDALKTTAENQVIQGHHSQEQKISKEDEPDWQEMLNGMRDREQALLSEYSNALQNYDEMKTKLAEIEKKNQDALFESSLQLKELKTANALKDEEIRLLRQKLGVLQKGLDGKEDLVDLTSVQPVLEKNDIEEYLKAAEPDTTISAIEEKFRMSIDELLEENLDFWLKFSTSFTGIQKFETTIKDLLIEVSRIEDKWKSSEGSSSAKYSLKTDAKPLYKHLAEILNELSVWLENSALLKEELQCRFTSLCEIQEEITAALKASAEDDDFKFTSYQAAKFQGEVLNMKQENNKVADELQAGLDLVTTLQLDGEKALAKMNEKFGLSSSKKAQRTPDSNSVPLRSFIFGVKPKKQKQSIFSCMTPGMNRKYRAYKG >VigunL045550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:472815:473129:1 gene:VigunL045550.v1.2 transcript:VigunL045550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRKQGISGPL >Vigun05g058100.1.v1.2 pep primary_assembly:ASM411807v1:5:4970601:4976308:1 gene:Vigun05g058100.v1.2 transcript:Vigun05g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIALLRAPPLSISTQRFHAKQICINGLKVATATTTSSSSSCFPCSITTQRGSFSSVIACSSSNGRDPGSVDDEEVQKELILEEKRRAALSARIASGEFTVRQKSVLLSLMEGLAKVGVPKEVLEFLFGWVEGGGVYAKIPEAKGSIKAVRSVAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPTIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAVVPALHQKYVAAMIGLFGQAADRLCQKLDAAASDGEDAEMESLFSRLTLDIIGKAVFNYDFDSLSNDTGIVEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRFRKVNAALKLINDTLDDLIAICKRIVDEEELQFHEEYMNEKDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPRVMSKLQEEVDSVLGDRYPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGEYPIKRGEDIFISVWNLHRSPKLWDDADKFEPERWPLDGPNPNETNQSFKYLPFGGGPRKCIGDLFATYETVVALAMLVRRFNFQMAVGAPPVDMTTGATIHTTQGLKMTVTHRIKAPIVPSLQMSTLEVDPSVSLSDQDEITQKGQVYQPQAQPQP >Vigun05g058100.2.v1.2 pep primary_assembly:ASM411807v1:5:4970601:4976308:1 gene:Vigun05g058100.v1.2 transcript:Vigun05g058100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIALLRAPPLSISTQRFHAKQICINGLKVATATTTSSSSSCFPCSITTQRGSFSSVIACSSSNGRDPGSVDDEEVQKELILEEKRRAALSARIASGEFTVRQKSVLLSLMEGLAKVGVPKEVLEFLFGWVEGGGVYAKIPEAKGSIKAVRSVAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPTIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAVVPALHQKYVAAMIGLFGQAADRLCQKLDAAASDGEDAEMESLFSRLTLDIIGKAVFNYDFDSLSNDTGIVEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRFRKVNAALKLINDTLDDLIAICKRIVDEEELQFHEEYMNEKDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPRVMSKLQEEVDSVLGDRYPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGEYPIKRGEDIFISVWNLHRSPKLWDDADKFEPERWPLDGPNPNETNQSFKYITYI >Vigun02g135900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28562029:28563564:1 gene:Vigun02g135900.v1.2 transcript:Vigun02g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFGESTRASAPSPSCSGNSSNDAGDFECNICFDLAQEPVITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKTQTDPRTKSYPGMEIPHRPSGQRPQTAPPPPPPEANPFGSYGFGLMGGFIPMATARFGNFTLSTAFAGFIPSLLNIHFHGFQDATVYGTTSGYPFGFNSFHGGGARGYHQATGGQVQRQEDNVLKNLLMLIGFLVLLTVIFVW >Vigun06g180600.1.v1.2 pep primary_assembly:ASM411807v1:6:30039594:30046211:-1 gene:Vigun06g180600.v1.2 transcript:Vigun06g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRNETSNSRRYRLSHFLVAVGVLYLVFILCNFSQFERVVSSLSGDESYNGVGMDRVTATGDTDDAALSKPFVGSVYKDAFHWRLVDDRGQDAPLRPKEEPTKEEDHGLESVKHIPRRYGRITGEILRRSNMTGDFSVLEKMADEAWTLGLKAWKELEQVDNKEAGERIEGKTESCPSWISMSREDLLKGDGLMFIPCGLAAGSSITVVGTPHHAHKEYATVKARSRSKGKGDGLVLVSVSQFVVELLGLRSVEGEDPPKIFHLNPRLRGDWSKRPVIEHNTCYRMHWGTSQRCDGLPSKDTEEMLVDGYRRCEKWMLNDILDSKESKTTSWFKRFIGRKQKPEVTWPYPFTEGRMFVLTLRAGVDGYHINVGGRHMTSFPYRTGFTLEDATGLVVKGDLDVHSVFATSLPTSDPIFSPQRVLEMSETWKASALPKHAVRLFIGVLSASNHFAERMAVRKTWMQAATIKSSDVVVRFFVALNPRKEVNTVLRKEASYFGDIVILPFMDRYDLVVLKTMAICEFGIQNVSTAYIMKVDDDTFIRVDTILKEIEAVPRNKPLYMGNLNLLHRPMRNGKWAVTYEEWPEEVYPPYANGPAYILSRDIVTFIISQHKERRLRLFKMEDVSMGMWVGRFNNTVAAVQYSHNWKFCQYGCMEGYFTAHYQSPRQLVCLWDNLSRGRARCCNF >Vigun08g203300.1.v1.2 pep primary_assembly:ASM411807v1:8:36698874:36702255:-1 gene:Vigun08g203300.v1.2 transcript:Vigun08g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLPILLISCFFCVGKATDCGGNHVTQTIVVGKVGHAAFRTIQGAIDSVKTKNDQWVKIHIKAGLYIETVVIPLDKPCIILEGEGSARTIISHWDHQSINNNATLFSSPPNVIASGIAFKNSYNVATDKPYDLGSRIEPANAVNLYGDKYLFQGCSFIGYQDTLYDHWGRHVFKDCYIQGEVDFIFGNGQSYYQNCSINAVGRARKSGGFVTAQGRNSPDDPSGFVFEGGSINGNGKVNLGRAWRPYARVIFRNTYFSDIITPQGWVAWGAASNPNTTTFAEVDCKGPGANTWKRVPWMKKLSSSELNQFSFASFINRDGWVDNLPTIS >VigunL059014.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000166.1:35995:37119:1 gene:VigunL059014.v1.2 transcript:VigunL059014.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPKRMKTTVGNPSKGQKRKERIYSHYFLTKDNEDRFQVVMQRKLVAERKVILKPGEVNEFQLELIRRGWERLGSYPSTFSVTLVKEFYANAKVTTSATPTFLIMISQGHDSERFPSPLARFWSAFVHANISPCSHVSDLTEGRATILYSILTGRVMDVGQFIANEIHRCANAVGKAALGHPSLITHLCSLAGVDISVPPRHHQPQPEAEPKPEPEVTPTIDMRLQLWRPSSITFSSWNPDVGLIPDGDGQCDMLRGISLTIPELHTPSAEEFAATVAWPGAQATTTGGGGASAV >Vigun05g118400.1.v1.2 pep primary_assembly:ASM411807v1:5:12733264:12740379:1 gene:Vigun05g118400.v1.2 transcript:Vigun05g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMATRVPLFCAATSPNQCHKYCALPPVSSSSLRLRAISLSSSSLGFFSLAKKRNEEAVKRVTRQFGVVAMAESPNSTVLVTGAGGRTGQIVYKKLKERPNQYVARGLVRTEESKQNIGAAEDVYVGDIRDAGSLVPAIQGIDALIILTSAVPLIKPGFDPTTGQRPEFYFEDGAYPEQVDWIGQKNQIDSAKAAGVKHIVLVGSMGGTDLNNPLNSLGNGNILVWKRKAEQYLADSGIPYTIIRAGGLQDKDGGLRELLVGKDDELLKTETRTISRADVAEVCIQALNYEEAKFKAFDLSSKPEGAGSPTKDFKALFSQITTRF >Vigun05g118400.2.v1.2 pep primary_assembly:ASM411807v1:5:12733264:12740379:1 gene:Vigun05g118400.v1.2 transcript:Vigun05g118400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMATRVPLFCAATSPNQCHKYCALPPVSSSSLRLRAISLSSSSLGFFSLAKKRNEEAVKRVTRQFGVVAMAESPNSTVLVTGAGGRTGQIVYKKLKERPNQYVARGLVRTEESKQNIGAAEDVYVGDIRDAGSLVPAIQGIDALIILTSAVPLIKPGFDPTTGQRPEFYFEDGAYPEQVDWIGQKNQIDSAKAAGVKHIVLVGSMGGTDLNNPLNSLGLEKEGRAISG >Vigun03g416100.1.v1.2 pep primary_assembly:ASM411807v1:3:62288795:62291468:1 gene:Vigun03g416100.v1.2 transcript:Vigun03g416100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQVARRVWKDYYAKVDAVVYLVDAYDKERFTESKKELDALLSDESLANVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLTESNLRPLEVFMCSIVRKMGYGDGFQWVSQYIK >Vigun09g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37507175:37507718:1 gene:Vigun09g200800.v1.2 transcript:Vigun09g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKSTPLIRQIHRSIKKLKTLMHSWRVHSTVSSSLKQRWCSLFHKRMNQQRFLVDEEITEDYMLRCRTTMACASEDDIDKRAEIFIAKFRRQLSLESIAYSETKYII >Vigun03g189900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25868609:25870627:1 gene:Vigun03g189900.v1.2 transcript:Vigun03g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTPSVEMFFFPYVGGGHQIPMIDAARVFASHGASSTILATPSTTPLFQKSITRDQKSGLPISIHTLSADVPESDISVGPFLDTSALLEPLRQLLLQRRPHCIVVDMFHRWAGDVVYELGIPRILFNGIGCFALCVQKNLSHVAFESVSSDSEPFLVPNIPDRIEMTMSQLPPFLRNPSEIPERVKGMKQLEEKSFGTLINSFYELEPAYADLIKSKWGNKAWIVGPVSFCNRTKEDKTERGKPPTIDEQNCLNWLNSKKPSSVLYASFGSLARLPPEQLKEIAYGLEASDQSFIWVVGNILHHPSENKENGSGNWLPEGFEQRMKETGKGLVLRGWAPQLLILEHAAIRGFMTHCGWNSTLEGVSAGVPMITWPLTAEQFSNEKLITEVLKTGVQVGNKEWWPWNAEWKGLVGREKVELAVRKLMMESVEAEEMRRRAKNITGKAARAVEEGGTSYADVEALIQELQARTFANQG >Vigun03g341800.1.v1.2 pep primary_assembly:ASM411807v1:3:54050000:54053344:1 gene:Vigun03g341800.v1.2 transcript:Vigun03g341800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSITPDAVSMLLANPSPDSSSDLPDIVVQVVDLKPSGNRYMFTASDGKKKLRAILPSNMYSEVLSGNIQNLGLVRILDYTLNDIPNKSEKYLIVTKCEPVAPALEKEIKSEEPGILLKPKEEVGVKSEGAAGIVLKQKQEVVTKSAAQILNDQHRNSAPAARMAMTRRVRPLVSLNPYQGNWTIKVSVTNKGNMRTYKNARGEGCVFNVELTDEEGTQIQATMFNDAARKFYDKFVLGKVYYISKGTLKVANKQFKTVQNDYEMTLNENSEVEEVAGEATFVPETKFSFVQIDQLGPYVNKSELVDVIGVVKNVSSTMSIRRKSDNESIPKRDITIADETKKTVVVSLWNDLATNTGQDLLDIADQSPVVAIKSLRVGDFQGVSLSTISRSVILVNPDVPEAKKLRSWYESEGKDAAMDSVGSGSSPTSNNGTRSVYSDRVPLAHITSNQSLGETKPAFFSLRGYISFIKPDQAMWYRACKTCNKKVTESIGSGYWCEGCQKSDEQCSLRYIMVAKVCDTSGEAFVSVFNEEAEKIVRCSADDLDDLKSQEGEDNPYSLKLKQATWVPHLFRVSVTQNEYNNEKRQRITARAVVPVDFAAESRMMLEEISKMRA >Vigun07g069800.1.v1.2 pep primary_assembly:ASM411807v1:7:8493647:8498124:-1 gene:Vigun07g069800.v1.2 transcript:Vigun07g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSSGSNSRRRHGGGGSGRRIHPPPPHPPVIPPPEVTANRFVYPPAATPYHNYPGYYPPPTTMPAPLPAPYDHHHRPSVDPMWGRYPAAPAPTPYVEHQKAVTIKNDVNIKKETLRIEPDEENPGRFLVTFTFDATVSGSITILFFAKEGEGCILTPMKENVLPPVIVNFQQGLGQRFKQPAGTGIDFSIFEETELLNVGDDDIYPVAIKADASSGDPDESKSNETPSSGNTNSQITQAVFEKEKGEFQVKVVKQILWVNEMRYELQEIYGIGNSVESDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGPETEE >Vigun08g210400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37231534:37234753:1 gene:Vigun08g210400.v1.2 transcript:Vigun08g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCMCMLVFFIIFMLFLGALSEVAATQNSVSFDFPSFTPNNNNITLLGDSFLWSNGVVRLTNVASTSSTGAVIYAYPIPFFHPPTATFASFSTTFTFSINNLNPASSGDGLAFFLSPNTASSNLSLSAPLGLPSSFVAVEFDTRFDSRFGDPNENHVGFDVDSVKSLKTGDPSLHGIDLKSGNTIITWIDYDAGRTSLTVFLSYSLPKPVDPVLSVKFNLSQHLRNPVYIGFSASTQGITEIHQIQSWTFHTAFAPARTRLHPHNVSVVGTVPVSGSRSGSRGSASVSSSNTKFTSKKHDKRVGIGVVVAGSVSFFVVFSVLGYVFVRKSRGEREEKFQNGFVACPRAFDYKELKSATREFHPSRIVGHGSFGTVYKAFFISSGTIAAVKRSRHSHEGKTEFLSELSIIAGLRHKNLVQLQGWCVEKGELLLVYEFMPNGSLDKMLYKEPEVGRLLSWSHRVNIAVGLASVLVYLHQECERRVVHRDIKTGNILLDGNMNPRLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKSDVFSYGVVVLEVACGRRPIEREGSKMVNLIEWVWGLHSEGKVIEAADKRLNGEFEEEEMKMLLLLGLSCANPDTSGRPSMRRVLQILNHEAPPLTVPKVKPTLTFSSALPLTIEDIVSDTEEEFSTSRSVCEINFD >Vigun05g164000.2.v1.2 pep primary_assembly:ASM411807v1:5:26477741:26478814:-1 gene:Vigun05g164000.v1.2 transcript:Vigun05g164000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAGLSHFFETKFLTGFGGVIAIPSIIDVTMAALCPGQDQCSLAIYLSSIQQTVKNFILLVGDIHKSIYFLDGKSMVFN >Vigun09g028850.1.v1.2 pep primary_assembly:ASM411807v1:9:2397950:2398463:-1 gene:Vigun09g028850.v1.2 transcript:Vigun09g028850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKMLFFQFFCIALLLTSGESRFIETSSVLVCREGGGICIKEDGCQKYCLFIGFKSGGDCIPFVGGDTCCCRK >Vigun04g044250.1.v1.2 pep primary_assembly:ASM411807v1:4:3811096:3811796:1 gene:Vigun04g044250.v1.2 transcript:Vigun04g044250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKMGRIRKLSLLKLPIKELPVSFQNLTGLHELHVDCDFVQLNSSVLTPELSELIVYNCKEWKCVKSREEGFTQLAQVRQLWLEKSSFTFLLECLGEFHNMYSLDVSDCKLLREIRGVPPNLKHFRAINCVSLGSSGSSMLSNQQLHEAGGTVYIFPGGSIPEWLDKQSKGPSMFV >Vigun03g016600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1157553:1159000:-1 gene:Vigun03g016600.v1.2 transcript:Vigun03g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASLQSSNPSPLLSSSNPVSIHRPAVILPGLGNNSGDYQSLKQTLSEKYGVSAVVAKVSRLDWLRNAAGLVDPNYWRGTLQPRPVLDWYLNRIEEAVEEAKESGNGSETETVSLIGHSAGGWLARVYMEEFGSSDISLLLTLGTPHLPPPKDVEGVIDQTRGLLHYVQHNCSRAVYTPELKYVCVAGRFIKGSRFFRNSDTGPAVSTQVVSETSASGTPSAPNAVTLRARFVGQGYKQVCGEAEVWGDGVVPEVSAHLEGALNIFLDGVYHSPVGADDATRPWYGSPQVLDQWVQHLLN >Vigun03g074900.1.v1.2 pep primary_assembly:ASM411807v1:3:6220273:6223264:1 gene:Vigun03g074900.v1.2 transcript:Vigun03g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSQSKCGCGGMIFWRSASKDNNADNFGKASKTSDTKKQQGGREGVTLIETASSDKHADSSSGSNTKPVPLVNQTRQRNHPKALQTQGYVNNGRRVPKEAEGNVNNGRRVPKEAEGYVNNGRRVPKDAVGISGELESMFSDRQKGSSTLVRASSSNVMMFSNLGNLRKGGNTNTNSQNVVDYRAKQSDESPVSNGRYTNQRVENASKGSTEKTGSLCRAVSTRMDPEQLKIMGNEDYKNGRFEEALALYEAAISIDPNKASYRSNKSAALTALGRLLEAVFECREAIQIEPHYQRAHQRLGSLNKRLGETDNALYHYKLAGSEADPEEMAKVKKIQFHLNKCTEARRIGDWNNLIKEASYAISSGADSAPQIFALQAEAFLKLRRHQHAEEALLKGPNFDVEQCTRFFGQICNANLLVIRARVYLAVGRFDDALVAINQACKLDSNNKDAIKVMTKTKAVASARSNGNELFKASNFSEACAAYEEGLENDPYNSVLLCNRAACRSKLGQFEKAVEDCNAALNLRPSYSRARLRRADCNAKLKRWEASIQDYEILVKETPEDEGIKRSLMEAKEHLLQQRSE >Vigun09g098975.1.v1.2 pep primary_assembly:ASM411807v1:9:15772306:15773350:1 gene:Vigun09g098975.v1.2 transcript:Vigun09g098975.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTWEPRQKRGSMASGCNRGQRLSSFGLAPICLDPGTEWWLCNTTHELEPGALSFFPKILPIGPLLRSYDSKIGTTKAIGQYWEEDLSCINWLDEQHHGTVLYVAFGSITLFDQNQFNEFALGLVLTNRPFLWVIREDNKMEYPTEFKGHKGKIVSWAPQQKVLSHPAIACFVSHCCWNSTMEGLSNGVPFLCWPYFAEQIQNGRYICDELKVGLGFDKDQNGVVSCKELKLKVEQLLSNENVKSRSFELKKKLMNNIVKGGESWENLNRFVKWLKE >Vigun03g283500.3.v1.2 pep primary_assembly:ASM411807v1:3:46398364:46404209:1 gene:Vigun03g283500.v1.2 transcript:Vigun03g283500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNCDGERMQCVYERQYAEMSTSSGVLGEDVISFGNQSDLAPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIMDQLVNKNVISDSFSLCYGGMDVGGGAMVLGGISPPSDMVFAYSDPVRSPYYNIDLKEIHVAGKQLPLNANLFDGKHGTVLDSGTTYAYLPEASFLAFKDAILKELQFLKQINGPDPNYNDICFSGAGIDASELSKSFPVVDMVFGNGDKYSLTPENYMFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREQEKIGFWKTNCTELWERLQESIAPSPLPPNSDVSTPTEALEPSVAPSSSQNEAPPGELKIAKITMLISFNISYVDMKPHITELAGLFAHELNVNTSQVHLLNFTSSGNDSLSKWAITPKPYAHYISNTTAMNIISRLSEHRIQLPDTFGNYELINWSVEHPSKNWWQQYFWVLGLAFVFALLVGLLILGTFLIWRKRQQNFSYQPVDAAVTEQELQPL >Vigun03g283500.4.v1.2 pep primary_assembly:ASM411807v1:3:46398364:46404209:1 gene:Vigun03g283500.v1.2 transcript:Vigun03g283500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRNGCWRGCNGSRWHISPIRHGLCIFRSCAQFRGGDSPYYNIDLKEIHVAGKQLPLNANLFDGKHGTVLDSGTTYAYLPEASFLAFKDAILKELQFLKQINGPDPNYNDICFSGAGIDASELSKSFPVVDMVFGNGDKYSLTPENYMFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREQEKIGFWKTNCTELWERLQESIAPSPLPPNSDVSTPTEALEPSVAPSSSQNEAPPGELKIAKITMLISFNISYVDMKPHITELAGLFAHELNVNTSQVHLLNFTSSGNDSLSKWAITPKPYAHYISNTTAMNIISRLSEHRIQLPDTFGNYELINWSVEHPSKNWWQQYFWVLGLAFVFALLVGLLILGTFLIWRKRQQNFSYQPVDAAVTEQELQPL >Vigun03g283500.2.v1.2 pep primary_assembly:ASM411807v1:3:46396836:46404209:1 gene:Vigun03g283500.v1.2 transcript:Vigun03g283500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRNGCWRGCNGSRWHISPIRHGLCIFRSCAQFRGGDSPYYNIDLKEIHVAGKQLPLNANLFDGKHGTVLDSGTTYAYLPEASFLAFKDAILKELQFLKQINGPDPNYNDICFSGAGIDASELSKSFPVVDMVFGNGDKYSLTPENYMFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREQEKIGFWKTNCTELWERLQESIAPSPLPPNSDVSTPTEALEPSVAPSSSQNEAPPGELKIAKITMLISFNISYVDMKPHITELAGLFAHELNVNTSQVHLLNFTSSGNDSLSKWAITPKPYAHYISNTTAMNIISRLSEHRIQLPDTFGNYELINWSVEHPSKNWWQQYFWVLGLAFVFALLVGLLILGTFLIWRKRQQNFSYQPVDAAVTEQELQPL >Vigun03g283500.1.v1.2 pep primary_assembly:ASM411807v1:3:46396836:46404208:1 gene:Vigun03g283500.v1.2 transcript:Vigun03g283500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFTHLILITFITFSPFLQLIAGDSELLRNRHNGARPAMVLPLYLSSPNSSTSALDPRRQLYGSESKRHPNARMRLHDDLLLNGYYTTRLWIGTPAQMFALIVDTGSTVTYVPCSSCEQCGRHQDPKFQPDSSSTYEPVKCTMDCNCDGERMQCVYERQYAEMSTSSGVLGEDVISFGNQSDLAPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIMDQLVNKNVISDSFSLCYGGMDVGGGAMVLGGISPPSDMVFAYSDPVRSPYYNIDLKEIHVAGKQLPLNANLFDGKHGTVLDSGTTYAYLPEASFLAFKDAILKELQFLKQINGPDPNYNDICFSGAGIDASELSKSFPVVDMVFGNGDKYSLTPENYMFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVMYDREQEKIGFWKTNCTELWERLQESIAPSPLPPNSDVSTPTEALEPSVAPSSSQNEAPPGELKIAKITMLISFNISYVDMKPHITELAGLFAHELNVNTSQVHLLNFTSSGNDSLSKWAITPKPYAHYISNTTAMNIISRLSEHRIQLPDTFGNYELINWSVEHPSKNWWQQYFWVLGLAFVFALLVGLLILGTFLIWRKRQQNFSYQPVDAAVTEQELQPL >Vigun11g211660.1.v1.2 pep primary_assembly:ASM411807v1:11:40665881:40666441:-1 gene:Vigun11g211660.v1.2 transcript:Vigun11g211660.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVFLFYVVHCVLLVVCFVVCFLSFGLCCLLLIVLCAMCCAFCVVCFVVCFLSFMLFVITCGLCVMFCVLRVISCVVCCTLCAVFVGCRLRRVACAVCCVFFRYVDFCMLCAEPIIYDL >Vigun11g019800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2497571:2501400:1 gene:Vigun11g019800.v1.2 transcript:Vigun11g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQVLFHKLDSHQVLDYFRGRKLNEKLLKNLRRKLVSINAVVDHAEQKKFRNAYVKTWLDDVRDVLLDTEDLLDEIHYEFLKSESELEYQSSAIKVRSFECKLKEVLDDLEFLLNQKDDLGLKNVCGVVSELGNKLLEGKNESSSLVVEDIIYGRDEDKEIILNWLTSDNGNRNHLSILAIVGMGGMGKTTLAQHVYNDPKMKEVGFDEKAWVCVSDEFDVLKVSKAIIGAFTKSRDDSEDIEMVHGKLKEKLTGRKFLLVLDDVWNEDRNQWKTLQTPLRYGAKGSKVLVTTRSNKVASIMQSSSVHQLKQLQKYYSWQVFAKHALQDDNSTLSCELEEIGTKIVEKCKGMPLALETLGCLLRTKSSVSEWEGVLTSEIWDLSIEDSKIIPALLLSYYHLPSHLKRCFAYCALFPKDHKFDRETLIQLWMAESFLQCSRQSKCAEEVGEQYFNDLLSRSFFQQSINDNETCFVMHDLHNDLAKYVCGEICFRLGVDRAERVPKTTRHFSTVIDPVQDRKSLCDAKGLRTFISFSADCEISIQELVSNFKFLRVLSLSCYKVKEVSDIIGNLIHLRSLDLSNTGIKKLPDSTCSLCNLQVLKLNNCFNLQELPSSLHKLTNLRRFELVGTTLRKAPILLGKLKNLQVWMGRFDVGKNSQFGIQLGELDLYGQLSIRNLENIMNPYDALAADLKNKTHLVALYLEWSLRRNNEDSIKEREVLENLQPSRHLKHLLIDGYGGTHFPRWLSDNSLSNVESLTLNNCRYCQRLPSLGLLTFLKHLTIRGLDWLVRIDADFYGNSCSAFASLETLSFIDMKEWEEWECMTGAFLSLQHLSVTNCPKLKGHLPEQLSHLKILTIDQCEQIEASIPKGVEIEDVKMEASSFDMIGPLVFDTPLESLSIYSCPGINMPLNHWYSLLVELDISESCDSLTNFPLDIFPKLCDLCLNECHNLQMISQGHPHSHLKSLTIQNCYKFESFPCEGLFATQLESFCIEELDRLKSMPRFMSVLLPSLNYLSIRDCPGVEFSDGCLPSNLKEMRLFNCSKLVASLKGVWGTNPSLKSLYIREVDVEFFQSEGFLPLSLTNLEIYDCPNLKKLDYEALSPLSSLEKLDIVNCPSLHCLPEEGLPKSILELGIKSCPLLKQRCKKEEGEDWAKIAHIKTIWVDFEQVNIEDEARVGKY >Vigun06g015300.1.v1.2 pep primary_assembly:ASM411807v1:6:7160277:7164535:1 gene:Vigun06g015300.v1.2 transcript:Vigun06g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTVTITLLLALACCAASAPSPAPETAAAPTPGVDSCFMALTNMSDCLTFVEEGSNLTKPDTGCCPGLAGLIDSNPICLCDLLGKPDAIGIKIDLNRALKLPSICNVSTPPVSACSAVGVPVSLPPSISDGSLPPSIAPEAGSPSNNAASSPGPDHAGGPGPSSSDASTSPTGSKNGVSAIKASAMINFIFGISTLFVSSFF >Vigun11g218200.1.v1.2 pep primary_assembly:ASM411807v1:11:41127966:41131657:1 gene:Vigun11g218200.v1.2 transcript:Vigun11g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQKSYVCLAKAIPATLVLLLAMSEGISGLTQAEALFRWKQSLPDQPILDSWVMNSTATTQTPCSWRGITCDSQGSVTVINLAYTGLAGTLQNLNLSVFPNLLRLDLKVNNLTGTIPQNIGVLSNLQFLDLSTNYLNGTLPLSIANLTQVFELDVSRNDISGVLDPTLFPDGSDTPKSGLIGIRNLLFQDTLLGGRIPNEIGNIRNLTTLALDGNSFNGPIPPSLGNCTHLSILRMPQNQLSGPIPPSIGKLTNLTDVRFFTNNLNGTVPPEFGNLSSLIVLHLAENNFVGELPPEVCKSGKLVNFSAAFNSFTGPIPRSLRNCPSLYRVRLEYNRLTGFADQDFGVYQNLTYMDFSHNRVEGDLTANWGACKNLQYLSMAGNGVNGNIPSEIFQNQLQELDLSSNHISGEIPSQIENSNLYELNLSGNKLSGMVPVEIGKLSNLRSLDLSMNMLLGPIPNQIGDISNLQNLNLSSNNFNGSIPYQVGNLASLQDFLDLSYNALSGEIPSNLGKLSNLVSLNISHNNLTGPIPDSLGKMLSLSSINLSYNNLEGPVPESGVFNSSHAVDLSNNKNLCGNVQGLKPCNVSLTKPGGGGSSNKKVVIPIVASLGGALVVSLVCVGIVFFCCKRKSRARRQKSSIKKLNPFSIWYFNGRVVYGDIIEATKNFDNQYCIGEGALGKVYKAEMKGQVYAVKKLKCDAENLDIESIKTFQHEVEAMSETRHRNIIKLYGFCSEGMHTFLIYEYMNRGNLSDMLKDDKEALELDWPKRVDIVKGVASALSYMHHDCSPALIHRDISSKNILLSYDLSAHVSDFGTARFLKQDSPIWTSFAGTYGYAAPELAYTMAVTEKCDVFSFGVLAFEVLTGKHPGDLVSYIQSTSEQKINFKEILDPRLMSPSKDNILKELALIANLALTCLQTNPQSRPTMRHIAQLLEMDAC >Vigun05g167575.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:28196521:28198186:-1 gene:Vigun05g167575.v1.2 transcript:Vigun05g167575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFAAVCALVAAARWLTEMYKRCCALRFPARMEMRIAAFSRERWKLPWFTNLVLSLMFADADLQCRWCCSGVRRGYNGGCESGSSMEDGRVAAAVAPLLMVVVAT >Vigun07g099400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17453617:17454240:1 gene:Vigun07g099400.v1.2 transcript:Vigun07g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFIHNQDWDMSQEPSEILFNSLPRNAVLDPIQDSLSFDMVDSSTAPPQGNHNHTQEVKKKERSYKGVRKRPWGKYAAEIRDTTRNGRRVWLGTFESAEAAALAYDQAAFSMRGHSAVLNFPVKRVKESLQEIQYTCFTGSSPALALKERHCIQRKLSSKPKKCKGKDPSQDPTQSLVVLEDLGVDYLEHLLSISDQSESPSCFH >Vigun11g115300.1.v1.2 pep primary_assembly:ASM411807v1:11:31937058:31939995:1 gene:Vigun11g115300.v1.2 transcript:Vigun11g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFETNIPSAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKLHGF >Vigun11g115300.2.v1.2 pep primary_assembly:ASM411807v1:11:31938517:31939400:1 gene:Vigun11g115300.v1.2 transcript:Vigun11g115300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFETNIPSAFDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKLHGF >Vigun06g110000.2.v1.2 pep primary_assembly:ASM411807v1:6:23903630:23907113:-1 gene:Vigun06g110000.v1.2 transcript:Vigun06g110000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSSIAKDVTELIGKTPLVYLNHIVDGCVAKVAAKLEMMEPCSSVKDRIGYSMIVDAEQQGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPSSMSLERRTILKAFGADLVLTDPAKGMKGAVQKAEEIREKTPNSYMLQQFENPANPKIHYETTGPEIWKGSSGKVDALVSGIGTGGTITGAGKYLKEQNPDITLYGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLDVDLIDEVIQISSEEAIETAKILALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESLVFEP >Vigun06g110000.1.v1.2 pep primary_assembly:ASM411807v1:6:23903630:23907468:-1 gene:Vigun06g110000.v1.2 transcript:Vigun06g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSSIAKDVTELIGKTPLVYLNHIVDGCVAKVAAKLEMMEPCSSVKDRIGYSMIVDAEQQGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPSSMSLERRTILKAFGADLVLTDPAKGMKGAVQKAEEIREKTPNSYMLQQFENPANPKIHYETTGPEIWKGSSGKVDALVSGIGTGGTITGAGKYLKEQNPDITLYGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLDVDLIDEVIQISSEEAIETAKILALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESLVFEP >Vigun07g206500.2.v1.2 pep primary_assembly:ASM411807v1:7:32801263:32805143:-1 gene:Vigun07g206500.v1.2 transcript:Vigun07g206500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEFLSNLFNKQFTRFSVNDFTTKHLEGDARSSKWVSAFLFGQTISVISPDVSYASSSMKINELYEVGELFDLSIQLIYLVLLLGLLGTGTFFVIRQVLVRRELDLSAKELQEQVRSGDAGATELFELGAVMLRRKFYPAATKYLLQAIEKWDGDNPDLAQVYNALGVSYVRDGKVDKGIAQFETAVKLQPGYVTAWNNLGDAYDSKKDYKSALKAFEEVLLFDPNNKVARPRRDSLKGLVEAAKGVTVTKSTEKK >Vigun07g206500.1.v1.2 pep primary_assembly:ASM411807v1:7:32801263:32805143:-1 gene:Vigun07g206500.v1.2 transcript:Vigun07g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIFTHFLLLPSTSSPSLSTLFPSKFNDSVPFTLFCNQFPSKLSTSSPSQSSHPRRYSCSVKKVNAFGIQQKCSIRHGDNLDAVKSGILLQKFQRKMPTEFLSNLFNKQFTRFSVNDFTTKHLEGDARSSKWVSAFLFGQTISVISPDVSYASSSMKINELYEVGELFDLSIQLIYLVLLLGLLGTGTFFVIRQVLVRRELDLSAKELQEQVRSGDAGATELFELGAVMLRRKFYPAATKYLLQAIEKWDGDNPDLAQVYNALGVSYVRDGKVDKGIAQFETAVKLQPGYVTAWNNLGDAYDSKKDYKSALKAFEEVLLFDPNNKVARPRRDSLKGLVEAAKGVTVTKSTEKK >VigunL059837.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:10236:10439:1 gene:VigunL059837.v1.2 transcript:VigunL059837.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun04g179500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318352:40323505:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318397:40323505:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318397:40323505:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318352:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318341:40323505:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318353:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318383:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318383:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318330:40323524:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318352:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun04g179500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40318352:40323526:-1 gene:Vigun04g179500.v1.2 transcript:Vigun04g179500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTLLKWPKQITNSLVVQLIKAEKDVHKAVTIFDSATAEYINGFRHDHETFGLMISRLVAVNQFRTAEGMLERMKQEDCKVTEDILLTISRGYGRVHRPLDAIRVFHKMEGFQLRPTQKAYLTILDILVEENHVKRAIGFYREMREMGFPPTVVSINILIKALCKNKETVDSALRIFQEMPNRGCQPDSYTYGTLINGMCRLGNIDEAKELFKEMEQKGFSASVVTYTSLIHGLCQSNNLDEAIGLLEEMKRNDIEPNVFTYSSLIDGLCKGGHSSQAMELLEVMTRKNHLPNMVTYSSLISGLCKEGKLCESVQILDRMRIQGLKPSAGLYGKVISGLCAAGSFQEAANFIDEMVLGGISPNRASWSLHVRMHNMVVQGLCNNVDPLRAFQLYLSMRTRGISVEVGTFDCLVKCFCKRGDLHKAARIIDEMVLDGCIPDEQIWNVVIGGLWDRKKVREATELLLVELRQKFVETES >Vigun02g109300.2.v1.2 pep primary_assembly:ASM411807v1:2:26344979:26346911:1 gene:Vigun02g109300.v1.2 transcript:Vigun02g109300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQSMAAVSVIGDTWKAHLCMPLVPLFYGGYTVITKVALNVGVNQLVFCFYRDFLAFTVLAPLAFFHERRTRPPITKRLLLSFFFLGLTGVFGNQLLFLIGLKYTNPTYAAAVQPSVPVFTFLFSVIMGIERLNLLRYEGVVKVGGTLICVLGAVLLVFFRGSAVIGDEEIEHVAGDTLSSRGQAESSGLLINGLVVLGFDHFQVGVMFLIGNCICMAAFLTIQAPLLKVYPANLSVTAYSFFFGFVLVAIASLFMVNESSDWILTQSEIVAVIYAILGPALVALYTPLHPLFSSLLSQIFLGTPIYLG >Vigun04g044600.1.v1.2 pep primary_assembly:ASM411807v1:4:3847195:3853041:-1 gene:Vigun04g044600.v1.2 transcript:Vigun04g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDLKPSINSFSFPKTLKLKTKQQELLIRVATLALIYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTRNGFAEFWNWFDSESWYPLGRIIGGTLYPGLMLTAAAIHSLLRFLRLFVHIREVCVLTAPFFASNTTLVAYFFAKEVWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALGSAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSLRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVRHLLSDTKLFQSFLKITVTSAVTVGAVALGVGMASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFLVMYGLTSMYFAGVMVRLILVATPAMCLISAIAVSATIKNLTRVVRAKSPAVQSGSTKGTSTAKSSSKGVIDNSQPFQRNGAIVLLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRVIFDDYREAYYWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTQNWIVRIYKVKPPKNRW >Vigun04g052100.7.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIDHRPYAIIFKS >Vigun04g052100.5.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREDNTKDEEKYAEKNEITSEMLVEILEESIRIFWHFTKADKDASSSAHRGSRETQVKLQNPADSEFLREIQVELQKKERRLGEFLKSRSSILRMFQKHEENSRDNFLYIFPQVEIKLVWRVLNMSKITKDQLVWCHNKLNNINIVNRRIHIEPPSFSLFPSIC >Vigun04g052100.6.v1.2 pep primary_assembly:ASM411807v1:4:4731385:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISGNNFSTFFDEPCVHSKYFPWENDFAVESKGSLEPESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREQYKNPLARKLVSNV >Vigun04g052100.3.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISVESKGSLEPESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREGKKTW >Vigun04g052100.2.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISVESKGSLEPESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREDNTKDEEKYAEKNEITSEMLVEILEESIRIFWHFTKADKDASSSAHRGSRETQVKLQNPADSEFLREIQVELQKKERRLGEFLKSRSSILRMFQKHEENSRDNFLYIFPQVEIKLVWRVLNMSKITKDQLVWCHNKLNNINIVNRRIHIEPPSFSLFPSIC >Vigun04g052100.1.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISGNNFSTFFDEPCVHSKYFPWENDFAVESKGSLEPESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREDNTKDEEKYAEKNEITSEMLVEILEESIRIFWHFTKADKDASSSAHRGSRETQVKLQNPADSEFLREIQVELQKKERRLGEFLKSRSSILRMFQKHEENSRDNFLYIFPQVEIKLVWRVLNMSKITKDQLVWCHNKLNNINIVNRRIHIEPPSFSLFPSIC >Vigun04g052100.4.v1.2 pep primary_assembly:ASM411807v1:4:4730698:4734542:-1 gene:Vigun04g052100.v1.2 transcript:Vigun04g052100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLIFQRKYIIFKEILHQRMDLFSWYAWIFLVQLVDTLFWVFTRILMRYFSHEAINSDCSSSLFHENYHTDLHNSDIKTEVEEDCSEHSKVAEFKSERFQEGNDANGSEPEAKSNGDCSETVTITNYIGNFGVEEKEKMMLVFKFQYQTWNSSETSDFVNTDKDKAPGITNKHEFISGNNFSTFFDEPCVHSKYFPWENDFAVESKGSLEPESYVDQAVRPHTEPSVEQPNEHQVENLNANEFIEEISVVDSVYSEDDFICVSFELDSMTSSVGGGFLLDTDFGTTVKLDTLENHDEENGVLAKENVDFEGETRSEGFHVEHRETMVEIRNLKEEMRVQKDSDIIEIKNLKGHCFEDRHGMKLHGSTGSDLEDSYRFDAQWEHQELIEQLKMELNKVKATGLPTTFETQTIMKDLKPWEIDENFKHGSTRNDLTKLYKSYTERMRKFDILNYQKLFAIGALKTTDLMLSFSSHENSSPAITSSISHLFHHCKRKKFESDPLKKFRREIYSDLEMVYVGQLCLSWEFLSWEYDKALQLWESDQHRFQSYSEVAEEFQQFQVLLLRFLENERFQGPRVEYYARNRCAMQNLLHVPVIREGKKTW >Vigun02g151800.1.v1.2 pep primary_assembly:ASM411807v1:2:29857577:29865274:1 gene:Vigun02g151800.v1.2 transcript:Vigun02g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKSKNSRTPFKRLPFYCCALTFTPFEEPVCTADGSVFDIMNITPYIIKYGKHPVTGTPLKLPDLIPLTFHKNSEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAVKELNIKTKNWKELLTDEPFTRDDLITIQNPNALDDRVLLDFDHVKNDLKVDDEELQKMSSDPTYNINMSGDIKQMLQELGTDKGKETAMHGGGGGKAQKERAAALAAIIAARSRVDEDSKSNPNKEAKAPQAFSIVDAASAAVHGRSAAAAKASSGDKTAARIAMHMSGDRAPVNAKMVKSRFTTGAASRSFTSTSFDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCERGFYNGVVFHRSIRNFMIQGGDPTGTGRGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGVVVGGLTTLAAMEKVPVDDNDRPLEEIKITSVTIFVNPYTEPDEGEEQDNATEKNVEDEDNGKVGSWFSNPGAGTSESGSTGVGGGVGKYLKARNAQATSANLDTSTAVVGKKRKVGVASSDFKDFSAW >Vigun04g082450.3.v1.2 pep primary_assembly:ASM411807v1:4:12574229:12576516:-1 gene:Vigun04g082450.v1.2 transcript:Vigun04g082450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNICKRRMKSSRRRRLKISSKSKARLSSTNNVLKRTHLQYLFFGWRNMVGVCEE >Vigun04g082450.2.v1.2 pep primary_assembly:ASM411807v1:4:12574229:12576516:-1 gene:Vigun04g082450.v1.2 transcript:Vigun04g082450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNICKRRMKSSRRRRLKISSKSKARLSSTNNVLKRTHLQYLFFGWRNMVGVCEE >Vigun04g082450.1.v1.2 pep primary_assembly:ASM411807v1:4:12574229:12576516:-1 gene:Vigun04g082450.v1.2 transcript:Vigun04g082450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNICKRRMKSSRRRRLKISSKSKARLSSTNNVLKRTHLQYLFFGWRNMVGVCEE >Vigun01g110400.3.v1.2 pep primary_assembly:ASM411807v1:1:28360194:28373038:-1 gene:Vigun01g110400.v1.2 transcript:Vigun01g110400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHCRLWWPKQLLSNQESSPSILFGWFVTCSPSSFDVIVAFTYSEVLLSSSSTGIEGIIHDACGRMPSFLEDKSKFSVLGLSIPDPTASNSLMNEMAYDKKKLSEYGNASEEGSTDTKNNCRSCCCFQLDCSLRKSRQYVLGKSNWVLLTFDSPEHNDLGLHRLPNLHHIHWNGQILSQYDVHVIIYETPAYGAHHFSLCHLSSSNEQAKVSIKNPTWVEKLHNKQQFIDLDTVVLAINCTAAAKRTFETHLVPRSSSRFSIFPVVVVIGHLFSKFLASFSTMLYIILQFFQTHFNYESEPWMYVTSANVFKKTAWINMQIRCQILYWPFALQKNDLRSESCVEYVEKAAMHRHSMWSTLVVDILLGNLVGWTFLYHREPICLSVLNFMHEFSTFLRSGCVWLMGNPAGFKLNAELAGVLGMVSLNAVQIWSTLWIFVGFVFNHIIQGLSVLGILCGFTVPAALIIDMIALATLHVSTLHWFISLIYSSQIQALAALWRLFRGRKWNPLRRRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTAINLICLLIEVTISIIHTTPYTKIFLWLVRRGRFPSGIWFEIIGCQSNSTVPAPSIDFTDEMTLPKESLHLKDFNREKSSILVSVLHSNYLSIGKVILPHYKTVFLGVSGSSISTVAYGILIGQRMPSMRGTLLPSLIPWTSMPYKEYWHLCHDSLIACFR >Vigun01g110400.4.v1.2 pep primary_assembly:ASM411807v1:1:28360194:28373038:-1 gene:Vigun01g110400.v1.2 transcript:Vigun01g110400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHCRLWWPKQLLSNQESSPSILFGWFVTCSPSSFDVIVAFTYSEVLLSSSSTGIEGIIHDACGRMPSFLEDKSKFSVLGLSIPDPTASNSLMNEMAYDKKKLSEYGNASEEGSTDTKNNCRSCCCFQLDCSLRKSRQYVLGKSNWVLLTFDSPEHNDLGLHRLPNLHHIHWNGQILSQYDVHVIIYETPAYGAHHFSLCHLSSSNEQAKVSIKNPTWVEKLHNKQQFIDLDTVVLAINCTAAAKRTFETHLVPRSSSRFSIFPVVVVIGHLFSKFLASFSTMLYIILQFFQTHFNYESEPWMYVTSANVFKKTAWINMQIRCQILYWPFALQKNDLRSESCVEYVEKAAMHRHSMWSTLVVDILLGNLVGWTFLYHREPICLSVLNFMHEFSTFLRSGCVWLMGNPAGFKLNAELAGVLGMVSLNAVQIWSTLWIFVGFVFNHIIQGLSVLGILCGFTVPAALIIDMIALATLHVSTLHWFISLIYSSQIQALAALWRLFRGRKWNPLRRRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTAINLICLLIEVTISIIHTTPYTKIFLWLVRRGRFPSGIWFEIIGCQSNSTVPAPSIDFTDEMTLPKESLHLKDFNREKSSILVSVLHSNYLSIGKVILPHYKTVFLGVSGSSISTVAYGILIGQRMPSMRGTLLPSLIPWTSMPYKEYWHLCHDSLIACFR >Vigun01g110400.1.v1.2 pep primary_assembly:ASM411807v1:1:28360247:28373038:-1 gene:Vigun01g110400.v1.2 transcript:Vigun01g110400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHCRLWWPKQLLSNQESSPSILFGWFVTCSPSSFDVIVAFTYSEVLLSSSSTGIEGIIHDACGRMPSFLEDKSKFSVLGLSIPDPTASNSLMNEMAYDKKKLSEYGNASEEGSTDTKNNCRSCCCFQLDCSLRKSRQYVLGKSNWVLLTFDSPEHNDLGLHRLPNLHHIHWNGQILSQYDVHVIIYETPAYGAHHFSLCHLSSSNEQAKVSIKNPTWVEKLHNKQQFIDLDTVVLAINCTAAAKRTFETHLVPRSSSRFSIFPVVVVIGHLFSKFLASFSTMLYIILQFFQTHFNYESEPWMYVTSANVFKKTAWINMQIRCQILYWPFALQKNDLRSESCVEYVEKAAMHRHSMWSTLVVDILLGNLVGWTFLYHREPICLSVLNFMHEFSTFLRSGCVWLMGNPAGFKLNAELAGVLGMVSLNAVQIWSTLWIFVGFVFNHIIQGLSVLGILCGFTVPAALIIDMIALATLHVSTLHWFISLIYSSQIQALAALWRLFRGRKWNPLRRRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTAINLICLLIEVTISIIHTTPYTKIFLWLVRRGRFPSGIWFEIIGCQSNSTVPAPSIDFTDEMTLPKESLHLKDFNREKSSILVSVLHSNYLSIGKVILPHYKTVFLGVSGSSISTVAYGILIGQRMPSMRGTLLPSLIPWTSMPYKEYWHLCHDSLIACFR >Vigun01g110400.2.v1.2 pep primary_assembly:ASM411807v1:1:28360203:28373038:-1 gene:Vigun01g110400.v1.2 transcript:Vigun01g110400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFCHNMMFTYVIIYETPAYGAHHFSLCHLSSSNEQAKVSIKNPTWVEKLHNKQQFIDLDTVVLAINCTAAAKRTFETHLVPRSSSRFSIFPVVVVIGHLFSKFLASFSTMLYIILQFFQTHFNYESEPWMYVTSANVFKKTAWINMQIRCQILYWPFALQKNDLRSESCVEYVEKAAMHRHSMWSTLVVDILLGNLVGWTFLYHREPICLSVLNFMHEFSTFLRSGCVWLMGNPAGFKLNAELAGVLGMVSLNAVQIWSTLWIFVGFVFNHIIQGLSVLGILCGFTVPAALIIDMIALATLHVSTLHWFISLIYSSQIQALAALWRLFRGRKWNPLRRRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTAINLICLLIEVTISIIHTTPYTKIFLWLVRRGRFPSGIWFEIIGCQSNSTVPAPSIDFTDEMTLPKESLHLKDFNREKSSILVSVLHSNYLSIGKVILPHYKTVFLGVSGSSISTVAYGILIGQRMPSMRGTLLPSLIPWTSMPYKEYWHLCHDSLIACFR >Vigun01g048900.1.v1.2 pep primary_assembly:ASM411807v1:1:7372563:7384237:1 gene:Vigun01g048900.v1.2 transcript:Vigun01g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLIQQACPLFTFSSSYKSNLPLSSHNVQLHVGFGAYTPFSPLPICRGGPYIRRNWGKCASSSSASAQAPLPPDPDKEYKQVEKESDGVVAAQGDSPDLQTLFRRFWKVAAPYWTSDDKVPARLQLAGVFALTLATTGISVGFSFLGRDFFNALANKDQEQFSKQLLYYLGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMDRYLNNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTSLAFSLTLFNAAVDLISFSNILFGIYPPLFVVLLVYSIGGTAISVFLGRGLVNLNFLQEKKEADFRYGLVRVRENAESIAFYSGEESEMQLLLQRFKSAFENLTELLIASRNLEFFTNGYRYVIQVLPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVINRLGEFDDVLDRSSSKSLTDTLEDIQITYKDFRSSSALESNGSTPPENFGTLLEVEDLILKTPSESTLITDLSLTIKEKDNLLVMGPSGSGKTSLLRAMAGLWKTGTGKITYYIKGGGYPEQSLCSDVNFPNNAHDTYVAHGKSISRKSGIFFLPQRPYMVLGTLRQQLLYPTWSNDVVPMSDSTKQTNALPFLTNMAKSEDANDRPTKPTTEELIKVLEDVRLGYLLGRFSLDSTHEWSSVLSLGEQQRLAFARLLLSKPQLALLDESTSALDEANEVHLYQKIRAANITYVSIGHRSSLYDYHERILRISTFDSDNEQLNWCIEPTTPKSSLKFTNL >Vigun11g056366.1.v1.2 pep primary_assembly:ASM411807v1:11:11004814:11005472:1 gene:Vigun11g056366.v1.2 transcript:Vigun11g056366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLSSDVLFKTQINLRNKHFILWNTMTSIILKKNQENDAIHKRCREDSRFEGNPQNSCLSKKIQDENVIKEMIERALSNESIAHSSQALGNESIVVSSCSSTTTHAPGYDEVPKVCEIFYATFHKLKNDMLQPLSCKMSQLSFRFENMICPYLEITSLMVSRKWDFVVFYCIFCLSWWCLCFSCVKLRW >Vigun04g058400.1.v1.2 pep primary_assembly:ASM411807v1:4:5800163:5804350:1 gene:Vigun04g058400.v1.2 transcript:Vigun04g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKCPSTSSSSLGLKSQLVPSQSGFSFKPFLSFSSLSTESASSRVQCSNRKQFSVLKAAKVENSNSNSDPVAVKEPNVASSKEKEVPNGKHSGGTIADEASVTAFMSQVADLVKLVDSRDIVELQLKQSDYELMIRKKEALQPPPVAAPVSLPPMYAAFPPPPAAPATAPTSSPPQKALPALPSPAKASSSSHPLLKSPMAGTFYRSPGPGEPPFVKVGDKVKKGQVICIIEAMKLMNEIEADQSGTIAEILIEDSKPVSLETPLFVLVP >Vigun03g162850.1.v1.2 pep primary_assembly:ASM411807v1:3:18352420:18356250:1 gene:Vigun03g162850.v1.2 transcript:Vigun03g162850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEGSTSTSSNTNSRIGNYELGQTLGKGNFSTVKLARCLDTGDNVAIKVFGKYTILANQPKNELRKLERNLLIMKMVRHPNVVHVIEEIYTKTHFFIVTEHVTGGELFDKVTNSGRMTEPEARTYFQQLIHAVDYCHSKGVSHKNLKPENLLVDADGVLKILDFGMNMLSQQVGPDGLLHTARGEPQYTAPEVKMNIGYEDAKSDIWSCGVILFVLLAGYLPFKCKDIATLCLEMFKADITCPSFFSPSVKSLIERILDPNPATRIAIKEILEDEWFKNGPQSPNSDENSQGPAEPAEPVEPVPKNAFQKMRVSLGFDHVGNLLNKVLGKKETSFVSKCSANEIISGIERTIASMGFNVKKRKYKLKIEGEKDEHKGHLSIVTKISKVNPSFFKVEVRRDGGNTLDFHEFYRDLSAGMRDIIWVEKSANSEREDGASTSTAR >Vigun10g027400.1.v1.2 pep primary_assembly:ASM411807v1:10:3310437:3312384:1 gene:Vigun10g027400.v1.2 transcript:Vigun10g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSYCSHMVNVKFIFSFSILLLLPNTSYSLYQNPINLIKTGTFVSESFEMEPGLIISKTFMDIEFPKGHIGVKSFDAELVDQEGNSIPSYETYLHHWFTIKYHQNVTMSHNPKLIRPEDFIYLRNEGTCNDYILPHYWGFGVESRGTTSKIHDPFAIEVGNPANIQNGYEEKWLLNIMVIDIRGAEDKKGCTECRCDLINLPKDFYNVTKDIHNQKLTPTNYKGGLFCCQDNVQCKLREDFQGPRRKVSLRYNISWVDWNEYQVPVKVYILDSTDKVRSNGSQIIHDCQAEYTIEPNNVSDTPHIQKADIPMEKGGYLIFGTAHMHSGVVNATLYGQDGRTLCTSTPKYGTGNEPGNEEGYLTGMSVCYPQPGSIKIEDGEVLTVESRYKNEFRTGAMGHFYMYLADNIPQGY >Vigun07g275900.2.v1.2 pep primary_assembly:ASM411807v1:7:39112970:39119319:1 gene:Vigun07g275900.v1.2 transcript:Vigun07g275900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQFNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >Vigun07g275900.1.v1.2 pep primary_assembly:ASM411807v1:7:39112962:39119319:1 gene:Vigun07g275900.v1.2 transcript:Vigun07g275900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQFNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >Vigun06g038700.1.v1.2 pep primary_assembly:ASM411807v1:6:15714633:15716636:-1 gene:Vigun06g038700.v1.2 transcript:Vigun06g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKVLVLEGEMSLVLRQMNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRLIPHKANKTLSIIDTGIGMTKTDLAYNLGVGFYSTYLIADKVIVTSKHKDHDQYIWESQPGASFIVNNDINAQQPSRGTNITLFLKDNQLEYLEEVTIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWFHNRERDSKHVAQKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGESQIQEDYLEGIGILGSSSINGIMCLYVKDLVYLWNPTINEFKVIPPSPFENAPYYIYIGIRYHGFGYDCVRDDYKVVRKVSFFVNSDDDVEPHDVFPLSCIWEMYSLRSNSWTILQLHDCVPTKGMCHWLGYAESYIQHVVSFDLINKVWITTLPPLDIPMEIYDNKHFVRRQLFLLNQSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPVGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHGGYSQLVVHKKSLLTIARINS >Vigun09g045100.2.v1.2 pep primary_assembly:ASM411807v1:9:4244343:4261262:1 gene:Vigun09g045100.v1.2 transcript:Vigun09g045100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGIDGHAVCEGPIEQVVRTETREAEDLLKKLDILSPPLSRNSARGIFCSRSLNLRSISAIGYDMDYTLVHYNVKAWEGLAYDYCMENLKKMGFPVDGLTFDPDLVIRGLVIDRERGNLVKADRFGCIKRAMHGTKMLSTQAVREIYGSELVDLRIEGRWVFLSTFFSVSEAVAYMQMVDILDDGIIPANLGYFDYKGLYKAMGIALVTAHLEGHLKNEIMSNPEQFVELDPELPVTLLDQKEAGKKLVLITNSDYHYTDKMMRYSFNRFLPSDMGWRDLFDIIVVSANKPEFFQISHPMYELVTDEGLMRPCFKVQIGGLYSGGSAKMVESSLNIHGNNILYIGDHIYTDVNQSKVNLQWRTALICRELEEEYNALIHSRGYRQSLLELINQKEVVGDILNQLQLTLQRRSKRLNAQTIAATDMDNENLSQSMKKLLVVMQKLDGKIAPMLEADGALFNKRFFFSSPTTRFLPTRLL >Vigun09g045100.1.v1.2 pep primary_assembly:ASM411807v1:9:4242816:4265579:1 gene:Vigun09g045100.v1.2 transcript:Vigun09g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISFVLSRDGEVVANNFSLLDTSYQIWVFLLLLLDICGIDGHAVCEGPIEQVVRTETREAEDLLKKLDILSPPLSRNSARGIFCSRSLNLRSISAIGYDMDYTLVHYNVKAWEGLAYDYCMENLKKMGFPVDGLTFDPDLVIRGLVIDRERGNLVKADRFGCIKRAMHGTKMLSTQAVREIYGSELVDLRIEGRWVFLSTFFSVSEAVAYMQMVDILDDGIIPANLGYFDYKGLYKAMGIALVTAHLEGHLKNEIMSNPEQFVELDPELPVTLLDQKEAGKKLVLITNSDYHYTDKMMRYSFNRFLPSDMGWRDLFDIIVVSANKPEFFQISHPMYELVTDEGLMRPCFKVQIGGLYSGGSAKMVESSLNIHGNNILYIGDHIYTDVNQSKVNLQWRTALICRELEEEYNALIHSRGYRQSLLELINQKEVVGDILNQLQLTLQRRSKRLNAQTIAATDMDNENLSQSMKKLLVVMQKLDGKIAPMLEADGALFNKRWGYLSRGDFWDKSHLMRQIEKYADIYTSRVSNFLYYTPFMYFRSQEQKPAHDSYTHYSSQLDQFPSNGKLN >Vigun09g266100.1.v1.2 pep primary_assembly:ASM411807v1:9:42992076:42996132:-1 gene:Vigun09g266100.v1.2 transcript:Vigun09g266100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVTLKANAHLVNSQKGHLFRQESSFLGERLKLGLNSSAFVTNRLAKCSRSQRRVPHDVASAILTSNDAKESVSLQVPSFMRRRADPKNVVSIILGGGPGKQLFPLTQRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGINFGDGTVEVLAATQTPGEAGKKWFQGTADAVRQFTWVFEDAKNTNVENVLILAGDHLYRMDYMDLIQSHIDKNADITVSCAAVGNSRASDYGLVKLDDRGRIIQFSEKPKGDDMTAMQVDTSLLGLSPPDALKSPYIASMGVYVFKTDVLLNLLECRHPTSNDFGSEIIPAAVRDHNVQSYFFRDYWEDIGTIKSFYDANLALTEESHEFEFYDPKTPIYTSPGFLPPTKIDKCRIVDAIISHGCFLRECTVQHSVVGERSRLDYGVEILDTVLMGADYYQTESEIASLLAEGKVPIGIGRNTKIRNCIIDKNAKIGKDVIIKNKDGVQEADRPEDGFYIRSGITIIAEKATIEDGTVI >Vigun09g141000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30297517:30298282:-1 gene:Vigun09g141000.v1.2 transcript:Vigun09g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMFSHFDIAQGPKWAFSLGSGPGPKKELSSKPNTKGASSTAEPETTRKDPNPSPAARTNQNPTRLRLRFAPELDGIHCFESILPC >Vigun07g227900.1.v1.2 pep primary_assembly:ASM411807v1:7:34984156:34986446:1 gene:Vigun07g227900.v1.2 transcript:Vigun07g227900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLWETLKEAIVVYTGLTPSTFFTVLALILAVYYVVLGVFGAPPERPRPRHVEEEEMPPLRPPVQLGEITAEELKAYDGTDQSKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGPGPFELDALQDWEYKFMSKYVKVGTVKSDVPATESESTAEPSETTSRDIDTAPAVKPNEDGKSETGAVKSEETPSNIDAGKEE >Vigun03g142100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14331429:14333280:1 gene:Vigun03g142100.v1.2 transcript:Vigun03g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKPYTKMKRLSSSFSAVIAIALLFSLTPASADSHEKFVQCLYDYPENISISSVVYTQTNSSYTSVLDVSIQNLRFFNATSKPVVIVTPLVVSHVQASIICAQRHGLQIRTRSGGHDYEGLSYVAGIPFVVVDLIKMREIVVDVENSTAWVQAGATLGELYYTISQKSNTLGFPAGVCPTVGVGGHISGGGYGFLMRHYALAADNVIDVHIVDVNGKLLDKKTMGDDLFWAIRGGGGASFGIVVAWIVKLVPVPSTVTVFRVPRLVEENATGIIHKWQRVASKLNESLTIRINMARVNSSQSGNLTVQAQFESLYLGRVDDLIPYLQKNFPELGLVKEECTEMSWIESILFMGGFTNGESTDVLLNRTQLNGLSFFKAKSDYVRDPIPDVGLEGLWPFFFEDEAQNAFVQFTPYGGRMDQIPESETPFPHRAGNIFHIQYGVSWFEKGDVAAQRHVNWIRRLYNYTEAYVSKNPRSAYLNYRDLDIGVNNKGHTSFSQASIWGFKYFSNNFNRLARVKTRVDPRNFFRNEQSVPPLVVKGRK >Vigun11g185401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38650553:38650825:-1 gene:Vigun11g185401.v1.2 transcript:Vigun11g185401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRKATFTRSQVSSKAVNTPKGYLAVYVGEKMKRFVIPTSYLKQTSFQELLILAEEEFGYKHPMGGLTIPCREEEFLDITSRLN >Vigun07g043850.1.v1.2 pep primary_assembly:ASM411807v1:7:4399907:4400414:1 gene:Vigun07g043850.v1.2 transcript:Vigun07g043850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNITEFLLRSLMSFQSYDLFFLLDGSNAILMELPRAPGYPAIRAFKNSTIVPRRLHNRWLNCMEKTKQMRIHVQKLGNFGIGSQCYTRWDNLLLILVFFRDRFQFPCCRFFQ >Vigun03g349200.4.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54960003:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLETNRLTNGEAENGLHKQVSPASAALANSLSDANDAVADPHVPAKRARLQKDLTFQDMYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKDEGFIWLVIFNF >Vigun03g349200.6.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54959785:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELENSFPSKAGLLTVLEVLKIQMKFWLSLGSIFVNLESQWRMYCFEKKRKQIKKRRRILIPMWWRWGRRSSLFYFMNGHLHKKSK >Vigun03g349200.2.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54960003:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLETNRLTNGEAENGLHKQVSPASAALANSLSDANDAVADPHVPAKRARLQKDLTFQDMYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELENSFPSKAGLLTVLEVLKIQMKFWLSLGSIFVNLESQWRMYCFEKKRKQIKKRRRILIPMWWRWGRRSSLFYFMNGHLHKKSK >Vigun03g349200.8.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54960003:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLETNRLTNGEAENGLHKQVSPASAALANSLSDANDAVADPHVPAKRARLQKDLTFQDMYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELENSFPSKAGLLTVLEVLKIQMKFWLSLGSIFVNLESQWRMYCFEKKRKQIKKRRRILIPMWWRWGRRSSLFYFMNGHLHKKSK >Vigun03g349200.7.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54959785:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLETNRLTNGEAENGLHKQVSPASAALANSLSDANDAVADPHVPAKRARLQKDLTFQDMYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKDEGFIWLVIFNF >Vigun03g349200.1.v1.2 pep primary_assembly:ASM411807v1:3:54951518:54960003:-1 gene:Vigun03g349200.v1.2 transcript:Vigun03g349200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLETNRLTNGEAENGLHKQVSPASAALANSLSDANDAVADPHVPAKRARLQKDLTFQDMYQNEGVFDEDDEEDSDWEPFQLHKCVDFEIKKWCCRNCTMINLDCNDCCYICGEHRESKILSHGFFASPLAQDEDLIEVQGDVKGLKDVGSQKSVANSSTAIGFDERMLLHAEVDKKSSPHPERPDRLQAIAASLARAGIFPGKCYAIPAREITPEELIAVHSLEHIESVEVTTESLSSYFTPDTYANQHSALAARLAAGLCADLASAIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGQFYPGTGAAEEVGSMGAEGYCVNIPWSRGGVGDNDYNFAFQHVVLPIASEFNPDFIIVSAGFDAARGDPLGCCDITPSGYAHMTRMLNTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELENSFPSKAGLLTVLEVLKIQMKFWLSLGSIFVNLESQWRMYCFEKKRKQIKKRRRILIPMWWRWGRRSSLFYFMNGHLHKKSK >Vigun06g007785.1.v1.2 pep primary_assembly:ASM411807v1:6:3803682:3803968:1 gene:Vigun06g007785.v1.2 transcript:Vigun06g007785.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRHKPFYLHTSGRFRCAIFLSATLSMGVVFVRYCLIALMFEYHGKPSYAVRSIKERKLVFLSFALSRQGLQISVRRHIHH >Vigun05g085500.1.v1.2 pep primary_assembly:ASM411807v1:5:8107578:8109333:1 gene:Vigun05g085500.v1.2 transcript:Vigun05g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSGSTSRKKAIEELLRGRDSATQLRSVINGNGEDLSSAKKLVKEVLMSFTNSLLLLNTSNTTSEFHDDVSNVQLWDSPKLEDSQESNCKNSTVKARRGCYKRRRTEQTREKESKAPIDDGHQWRKYGQKEILNAKFPRNYYRCTHRDQGCQATKQVQRVQEDPILYKTIYYGEHSCKSLTNPEIILDPMSPSSSSKFLSFNNSFPTPSKQDCPFLSSSFPSSSVKRECKEEIPPSTSSNDYLISSDLTFDGSPKHVTLSSSTLDSEYKAVDIPDVFDDVFEHFFEFR >Vigun04g124500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31635467:31636171:-1 gene:Vigun04g124500.v1.2 transcript:Vigun04g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKLLDITFDYFESGNVCPIESPKHFTDLIRSSIISSSELGLGKPEEGKEVNHVYSASQLMEAGVKFKISPNKSLLDLSYSKRDGALSMPILNIDDITEVLFKNMMVYEQCHPSAGDIIRQYVEILDFLINTEKDVDILVNKKIIVNLTGDANKVVTVINNLTSNIPTPEFNSHYFSICNSLNEFYDNPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun05g078200.2.v1.2 pep primary_assembly:ASM411807v1:5:7265446:7302473:1 gene:Vigun05g078200.v1.2 transcript:Vigun05g078200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTESMVMRDANAIQMLLDGCRRCYWTVPEIDSLNTVSLTGSTRPVGEINALVDELLVVVELLIVAASPSLASNDVRCLLGFMVDCPQPNQVARVLHLFYRLVVQPNASRAHTFAVEFLACGGVETLLVLLQREAKAGDNGVLDSWSMNSELHKTEIDGGNEMTKGSQEDEGSKEKNEAILQDNDHGSLSVDSGSSPDPISPVFASEIPSVKNLGGISLSISADSARKNVYNVDKSDGIVVGIIGLLGALVASGQLRIGSSAGPDTTSNLLGVGLHDKGGTMFEDKVSLVLFALQKAFQAAPNRLMTKNVYTSLLAASINASSSEDGLNFYDSGHRFEHSQLLLVLLHSLPFAPRPLQSRALQDLLFLACSHPENRSSLISMEEWPEWILEVLISNYEVGPGKLSDSTTIGDGDIEDLIHNFLSIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGEQRVRREEALPIFKRKLLGGLLDFAARELQVQTQIIAAAAAGVAAGGLSPREAKTEADNAAQLSVALVENAIVILMLVEDHLRLQSKQSSSTRAADASPSPIPAENKNNSIRISLSTIEESLEASDSEGVALDVLSSMADESGQVPSSVMERLAAAAAAEPYGSVSCAFVSYGSCAKDLADGWKYRSRLWYGVNLSENPALFGGGGSGWDFWKSAQEKDANGKWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREDDDGEDHMLMRNTSFEDSVSEGRKPRSALLWSVLSPILNMPISDSKRQRVLVACCVLYSEVYHAVSRDQKPLRKQYLEAILPPFVAVLRRWRPVLAAIQDLATADGLNPLVADDRALAADSLPIEAALAMISPAWAAAFASPPASMAMAMVAAGTSGGESHPPTTTSLLKRDTSLMERKQTKLHTFSSFQKPLEATNKTSPLPKDKAAAKAAALAAARDLERFSRIGSGRGLSAVAMATAAQRRNASDMERVRRWNISEAMGVAWMECLHPVDTKSVYGKDFNAFSYKYIAVLVASFALARNMQRSEVDRRAYVDVIGRHRISTGVRAWRKLIHQLIEMKSLFGPFADHLYSPPCVFWKLDLMEGSSRMRRCLRRNYHGSDHLGSAANYDDYLGEKNDQHTPILSAEAISLETVNEDEEQVEIDNLNTRVSDVDKGANQTRLSETADQAVQASLESGATQHASDEELVQSSSAIAPGYVPSELDERIVLELPSSMVRPLKVIRGTFQVTNKRINFIVDNSETSTTMDGSNSNVEAGKQEKDRSWLMLSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGNGEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRIQLMERWTRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSEYSSESLDLSNPSSYRDLSKPVGALNPDRLNRFQERYTSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYQSEVLTNENSIDFGTTQTGGKLDTVKLPAWAENPVDFIHKHRKALESEYVSAHLHEWIDLIFGFKQRGKEAVTANNVFFYTTYEGTVDLDKISDPVQQRAIQDQIAYFGQTPSQLLTVPHLKKKPLAEVLHLQTIFRNPKEVKPYDVPFPERCNLPAAAIHASSDTVVVVDMNAPAAHVVQHKWQPNTPDGQGTPFLFQHRKATLASAGGTIMRMFKAPASSSVEWQFPQAVAFAASGIRSQAIVSITCNKEVITGGHADSSIRLISSDGAKTLETAYGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRALASHTSVMSEHSAGTGTSSSTSNGSLHMLEKDRRRRIEGPIQVLRGHRTEILSCCVNSDIGIVVSCSHSSDVLLHSIRRGRLIRRLDGVEAHIVCLSSEGVVMTWNESQHTLNTFTLNGTPIARTELSFFCSISCIEISVDGMSALIGINSLENGRPIINSPTSQKSGDNFYSESEETFENAGIDVPSPSICFLDMHTLEVFHVLKLKEGQDITALALSKDNTNLLVSTLDKQLIIFTDPALSLKVVDQMLKLGWEGDGLQPLIKS >Vigun05g078200.1.v1.2 pep primary_assembly:ASM411807v1:5:7261840:7302473:1 gene:Vigun05g078200.v1.2 transcript:Vigun05g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEETKEINISGNGLDIDEIVDSGVKQFIGSPHQENVNSSSSVGVELIDERANLQEQVIDSVTTVMDEDQFEQVSLKDQDKNNEHEDSNRSSGSDNKQHPYGGNAEDFQQSFGSNSIENDSSPVAEMDNDNHSYSPGSEGHYGHTSKHFSTSINFDSSGYSTFNSPPKPRQKHAKPNVSPELLHLVDSAIMGKPEGMDKLKNIASGVEFFDGGEEMDSVPFLIVDSLLATMGGVESFEEDEDNNPPSVMLNSRAAILAGELIPWLPYAGDTDDVMSPRTRMVRGLLVILRACTRNRAMCSLAGLLEVLLRTAEKIFTVDVGLNGQMRWDGTPLCHCIQYLAGHSLTVSDLYRWFQVITKTLTTVWAPRLTLALEKAISGKESMGPACTFEFDGESSGLLGPGESRWPFINGYAFATWIYIESFADTLNTATVAAAIAAAAAARSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSGDNQGIEAYFHAQFLVVETASGKGKKSSLHFTYAFKPQCWYFVGLEHIGKHGILGKAESEVRLYIDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSGMASRGGDIVPSFGNAAGLPWLATNAYVQSKAEESVLLDAEIGGCLHLLYHPSLLSGRFCPDASPSGASGTLRRPAEVLGQVHVATRIRPVDALWALSYGGPLALLPLTISNLHENTLEPQQGNSPLSSATTSLAASIFRIISTAIQHPRNNEELARGRGPEVLSKILNYLLRTLSSLDVRKHDGVKDEELVAAVVSLCQSQKINHALKVQLFTTLLLDLKIWSLCSYGIQKKLLSSLADMVFTESMVMRDANAIQMLLDGCRRCYWTVPEIDSLNTVSLTGSTRPVGEINALVDELLVVVELLIVAASPSLASNDVRCLLGFMVDCPQPNQVARVLHLFYRLVVQPNASRAHTFAVEFLACGGVETLLVLLQREAKAGDNGVLDSWSMNSELHKTEIDGGNEMTKGSQEDEGSKEKNEAILQDNDHGSLSVDSGSSPDPISPVFASEIPSVKNLGGISLSISADSARKNVYNVDKSDGIVVGIIGLLGALVASGQLRIGSSAGPDTTSNLLGVGLHDKGGTMFEDKVSLVLFALQKAFQAAPNRLMTKNVYTSLLAASINASSSEDGLNFYDSGHRFEHSQLLLVLLHSLPFAPRPLQSRALQDLLFLACSHPENRSSLISMEEWPEWILEVLISNYEVGPGKLSDSTTIGDGDIEDLIHNFLSIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGEQRVRREEALPIFKRKLLGGLLDFAARELQVQTQIIAAAAAGVAAGGLSPREAKTEADNAAQLSVALVENAIVILMLVEDHLRLQSKQSSSTRAADASPSPIPAENKNNSIRISLSTIEESLEASDSEGVALDVLSSMADESGQVPSSVMERLAAAAAAEPYGSVSCAFVSYGSCAKDLADGWKYRSRLWYGVNLSENPALFGGGGSGWDFWKSAQEKDANGKWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREDDDGEDHMLMRNTSFEDSVSEGRKPRSALLWSVLSPILNMPISDSKRQRVLVACCVLYSEVYHAVSRDQKPLRKQYLEAILPPFVAVLRRWRPVLAAIQDLATADGLNPLVADDRALAADSLPIEAALAMISPAWAAAFASPPASMAMAMVAAGTSGGESHPPTTTSLLKRDTSLMERKQTKLHTFSSFQKPLEATNKTSPLPKDKAAAKAAALAAARDLERFSRIGSGRGLSAVAMATAAQRRNASDMERVRRWNISEAMGVAWMECLHPVDTKSVYGKDFNAFSYKYIAVLVASFALARNMQRSEVDRRAYVDVIGRHRISTGVRAWRKLIHQLIEMKSLFGPFADHLYSPPCVFWKLDLMEGSSRMRRCLRRNYHGSDHLGSAANYDDYLGEKNDQHTPILSAEAISLETVNEDEEQVEIDNLNTRVSDVDKGANQTRLSETADQAVQASLESGATQHASDEELVQSSSAIAPGYVPSELDERIVLELPSSMVRPLKVIRGTFQVTNKRINFIVDNSETSTTMDGSNSNVEAGKQEKDRSWLMLSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGNGEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRIQLMERWTRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSEYSSESLDLSNPSSYRDLSKPVGALNPDRLNRFQERYTSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYQSEVLTNENSIDFGTTQTGGKLDTVKLPAWAENPVDFIHKHRKALESEYVSAHLHEWIDLIFGFKQRGKEAVTANNVFFYTTYEGTVDLDKISDPVQQRAIQDQIAYFGQTPSQLLTVPHLKKKPLAEVLHLQTIFRNPKEVKPYDVPFPERCNLPAAAIHASSDTVVVVDMNAPAAHVVQHKWQPNTPDGQGTPFLFQHRKATLASAGGTIMRMFKAPASSSVEWQFPQAVAFAASGIRSQAIVSITCNKEVITGGHADSSIRLISSDGAKTLETAYGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRALASHTSVMSEHSAGTGTSSSTSNGSLHMLEKDRRRRIEGPIQVLRGHRTEILSCCVNSDIGIVVSCSHSSDVLLHSIRRGRLIRRLDGVEAHIVCLSSEGVVMTWNESQHTLNTFTLNGTPIARTELSFFCSISCIEISVDGMSALIGINSLENGRPIINSPTSQKSGDNFYSESEETFENAGIDVPSPSICFLDMHTLEVFHVLKLKEGQDITALALSKDNTNLLVSTLDKQLIIFTDPALSLKVVDQMLKLGWEGDGLQPLIKS >Vigun05g078200.3.v1.2 pep primary_assembly:ASM411807v1:5:7267220:7302473:1 gene:Vigun05g078200.v1.2 transcript:Vigun05g078200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCPQPNQVARVLHLFYRLVVQPNASRAHTFAVEFLACGGVETLLVLLQREAKAGDNGVLDSWSMNSELHKTEIDGGNEMTKGSQEDEGSKEKNEAILQDNDHGSLSVDSGSSPDPISPVFASEIPSVKNLGGISLSISADSARKNVYNVDKSDGIVVGIIGLLGALVASGQLRIGSSAGPDTTSNLLGVGLHDKGGTMFEDKVSLVLFALQKAFQAAPNRLMTKNVYTSLLAASINASSSEDGLNFYDSGHRFEHSQLLLVLLHSLPFAPRPLQSRALQDLLFLACSHPENRSSLISMEEWPEWILEVLISNYEVGPGKLSDSTTIGDGDIEDLIHNFLSIMLEHSMRQKDGWKDIEATIHCAEWLSMVGGSSTGEQRVRREEALPIFKRKLLGGLLDFAARELQVQTQIIAAAAAGVAAGGLSPREAKTEADNAAQLSVALVENAIVILMLVEDHLRLQSKQSSSTRAADASPSPIPAENKNNSIRISLSTIEESLEASDSEGVALDVLSSMADESGQVPSSVMERLAAAAAAEPYGSVSCAFVSYGSCAKDLADGWKYRSRLWYGVNLSENPALFGGGGSGWDFWKSAQEKDANGKWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREDDDGEDHMLMRNTSFEDSVSEGRKPRSALLWSVLSPILNMPISDSKRQRVLVACCVLYSEVYHAVSRDQKPLRKQYLEAILPPFVAVLRRWRPVLAAIQDLATADGLNPLVADDRALAADSLPIEAALAMISPAWAAAFASPPASMAMAMVAAGTSGGESHPPTTTSLLKRDTSLMERKQTKLHTFSSFQKPLEATNKTSPLPKDKAAAKAAALAAARDLERFSRIGSGRGLSAVAMATAAQRRNASDMERVRRWNISEAMGVAWMECLHPVDTKSVYGKDFNAFSYKYIAVLVASFALARNMQRSEVDRRAYVDVIGRHRISTGVRAWRKLIHQLIEMKSLFGPFADHLYSPPCVFWKLDLMEGSSRMRRCLRRNYHGSDHLGSAANYDDYLGEKNDQHTPILSAEAISLETVNEDEEQVEIDNLNTRVSDVDKGANQTRLSETADQAVQASLESGATQHASDEELVQSSSAIAPGYVPSELDERIVLELPSSMVRPLKVIRGTFQVTNKRINFIVDNSETSTTMDGSNSNVEAGKQEKDRSWLMLSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGNGEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRIQLMERWTRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSEYSSESLDLSNPSSYRDLSKPVGALNPDRLNRFQERYTSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYQSEVLTNENSIDFGTTQTGGKLDTVKLPAWAENPVDFIHKHRKALESEYVSAHLHEWIDLIFGFKQRGKEAVTANNVFFYTTYEGTVDLDKISDPVQQRAIQDQIAYFGQTPSQLLTVPHLKKKPLAEVLHLQTIFRNPKEVKPYDVPFPERCNLPAAAIHASSDTVVVVDMNAPAAHVVQHKWQPNTPDGQGTPFLFQHRKATLASAGGTIMRMFKAPASSSVEWQFPQAVAFAASGIRSQAIVSITCNKEVITGGHADSSIRLISSDGAKTLETAYGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRALASHTSVMSEHSAGTGTSSSTSNGSLHMLEKDRRRRIEGPIQVLRGHRTEILSCCVNSDIGIVVSCSHSSDVLLHSIRRGRLIRRLDGVEAHIVCLSSEGVVMTWNESQHTLNTFTLNGTPIARTELSFFCSISCIEISVDGMSALIGINSLENGRPIINSPTSQKSGDNFYSESEETFENAGIDVPSPSICFLDMHTLEVFHVLKLKEGQDITALALSKDNTNLLVSTLDKQLIIFTDPALSLKVVDQMLKLGWEGDGLQPLIKS >Vigun03g322500.1.v1.2 pep primary_assembly:ASM411807v1:3:51837391:51841059:1 gene:Vigun03g322500.v1.2 transcript:Vigun03g322500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAHQQQGLFVAFSSRQLLWSKRLKLKQCLSKCHLIGRADWHCILSVGPPHICGSKLKPLKISGFKGSAKGDDSVTSANGLKVPNPKTSVRLDKSSEVKSESPKSNNVPLSRASEANENLAVSSGIHKLLQKWLTMLQTPPPNQGEEEILGEPPPDVLPDSLQGTKKTIKGQSLKAAWSYFLALDAAIKIPFLIFAPFYLAVNVVYGAEVSKELAPLWVLGPLIMALYIMLWRWLCALYVFSFKQTIKVVKNSPSYCMLAYSYVFCGKLKEDIETHILQPILSITNTDYKQLGRKKLKELSEWIVEKYLDFVESIWPFYCRTIRFLKRANLI >Vigun06g173100.1.v1.2 pep primary_assembly:ASM411807v1:6:29390018:29399012:-1 gene:Vigun06g173100.v1.2 transcript:Vigun06g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQSLPPMDDSEDPSSIWDFSYLLDFNLDEDHTNNSLPFSSPFNDAPEIPNDRVRKRDPRLTCSNFLAGRVPCACPELDAKLEDEGLPGKKRARTARASSSARCQVPGCEVDISELKGYHRRHRVCLRCANASTVVLHGEPKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNTRRRRKPLVDSGGAAIAELEAVTQNEENNYDAEAGKDCSNLSNEINDVVVLPDHGDEPVPILRSAPDAQNVNSDSVVSLPVSGETRVNSGNTSNSPSYCDNKSAYTSMCQTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTSFIAMPNIMWINLRKDSLEYVNELVDPGKMLSGRGTALVHLNDIVFRVMKDGTSVTKVEVNLQAPRLHYVHPTCFEAGKPMEFVACGSNLLQPKFRLLVSFSGKYLKCEYCVPSPHHWTQENISCAFDNQLYKIYVPHTEESLSGPAFIEVENESGLSNFIPVLIADKETCSEMKTLQQKLDTSLLSNQFRSALGRSICSSCETCTLSHTSSDLLVDIAWLLKDTTSENFDRVITASQIQRYCHLLDFLMCNESTVMLEKILPNLIILTESMKSNCVINTTSDVDTRQLLNHIHNAEIAIFQKHQKNGSIIVQPEMEGFNNKISVAINSQGILSRADAKWGVLKNLTCSNKNERIPLLKRDIIMNVEELPERYGGGCLGSRGLLSPRPAIFVMVSIAVCLGVCVAVLHPGGVTEFAVSVRRCLFNY >Vigun01g195100.1.v1.2 pep primary_assembly:ASM411807v1:1:37233866:37238617:1 gene:Vigun01g195100.v1.2 transcript:Vigun01g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTASSPSSSPVTITVSSGGRRRSMGLTSPVPRASVSTNNPTSPLRASGGGRRLSGTGASKSGGIEEMNSEYVTYTVHIPPTPDRKPLTTSEDGKGSTSFISGTIFTGGYNSVTRSHSSVEVEALRKSTSVCGMKGCNEEPMKGSLCDPCECGFKICRECYLECGGNNGGGKCPGCKLPYKYASDDEDDEEGVGSEGEDQPLPLPSMAEVKLDKRFSLVKSFKAQNHPPELDHTRWLFETKGTYGYGNAVWPKDGYGANGFEPPPDFGKKARRPLTRKVGVSAAILSPYRMLILLRLVALGLFLTWRIRHPNHEAIWLWAMSITCELWFAFSWILDQLPKLCPVNRVTDLSVLKEQFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARIWVPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERKRVKREYDEFKVRINSLPESIRRRSNAYNAHEELRVKKKQMETDANASEPVKVPKATWMSDGSHWPGTWASAEQDHSRGDHAGIIQAMLAPPNAEPEFGAGAEGDNLIDTTDVDIRLPLLVYVSREKRPAYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHRGWFGKKKIKLFLRRPKVSKKEEDEVSVPINCDHNDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQGKGTHGRPVGSLAVPREPLDAATVAEAITVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSANNALLASPRMKFLQRVAYLNVGMYPFTSMFLILYCFLPAMSLFSGQFIVQSLSVTFLVFLLGITITLCLLALLEIKWSGITLHDWWRNEQFWLIGGTSAHVAAVLQGLLKVIAGVEISFTLTSKSATPENEDDEFADLYEVKWSFLMIPPITIMMVNAIAIAVGVARTMYSPFPQWSRLVGGVFFSLWVLCHLYPFAKGLMGRRGKIPTIIYVWSGLLSIIISLLWVYINPPSGVTEDYMNFKFP >Vigun11g079000.1.v1.2 pep primary_assembly:ASM411807v1:11:23230079:23236158:-1 gene:Vigun11g079000.v1.2 transcript:Vigun11g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGAPADSFYETRPECTDVPKSKFRIKAGKTLSARKWHAAFSPEGYLDIGKTLSRIHRGGIHPSIRGEVWEFLLGCYDPKSTFQERDEIRQRRRDLYNTWKEECRILFPLVGSGRFITAPAVAEDGTPCLDPMVLLENNPENGPVVLQDVTPPSYLEKITDKRIIQWMLTLHQIGLDVVRTDRTLVFYEKKENLSKLWDILSVYAKIDSDVGYGQGMSDLCSPMIILLNDEADAFWCFERLMRRLRGNFRCTANSVGVETQLSNLATITQVIDPKLHQHIEQIGGGDYLFAFRMIMVLFRREFSFCDSLYLWEMMWALEYDPDLFWLYEEADDKSEDYKGRMKSLRHYGKYERENMKNGAKSGEDPPFPISVFLVASVLKEKSAILLQQAKGLDDVVKILNDTNGNLDAKKACMAALKLHKKYLKKAKNP >VigunL056650.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000429.1:11758:15350:1 gene:VigunL056650.v1.2 transcript:VigunL056650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIGTGTPLSVPIGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRFAPTFIQLDTKLSIFETGIKVVDLLAPYHRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGRVESGVINEQNIAKSKVALVYGQMNEPPGARMRVGLTALTMAEYFRDFNEQDVLLFIDNIFRFVQAGSEVSTLLGQMPSVVGYQPTLSTEMGSLQERITSTKEGSITSIQVVYVPADDLTDPAPATTFAHLGATIVLSRGLAAKGIYPAVDPLDSTSTMLQPRIIGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAKVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGQKYVLITLEIVETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun04g172701.1.v1.2 pep primary_assembly:ASM411807v1:4:39663202:39665240:1 gene:Vigun04g172701.v1.2 transcript:Vigun04g172701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTNYCIRNSFTCRTCIHCGNIVRGCACTCFLVFCLIGVEIKIICQHTARPRNRELKF >Vigun05g259600.1.v1.2 pep primary_assembly:ASM411807v1:5:45324057:45327141:-1 gene:Vigun05g259600.v1.2 transcript:Vigun05g259600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELSNTLKNLKFMQRAAVREEKTKREEIKPDVSIVTTPTTVTRKCVVIMEGDPHPGALKGRMSFQSFNPSVDKLNEEEARLRQPAAETTVSRNQNESVSVRENNFSVESPESVNVNKKNVEANGNVKRKQSEVVSEAQYPNKSPKNDHDDKQSVPSSSLGTFKKPGGDKLDWNVLRPSRVNQSR >Vigun05g259600.2.v1.2 pep primary_assembly:ASM411807v1:5:45324057:45327141:-1 gene:Vigun05g259600.v1.2 transcript:Vigun05g259600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELSNTLKNLKFMQRAAVREEKTKREEIKPDVSIVTTPTTVTRKCVVIMEGDPHPGALKGRMSFQSFNPSVDKLNEEEARLRQPAAETTVSRNQNESVSVRENNFSVESPESVNVNKKNVEANGNVKRKQSEVVSEAQYPNKSPKNDHDDKQSVPSSSLGTFKKPGGDKLDWNVLRPSRVNQSR >Vigun03g065500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5421568:5423312:1 gene:Vigun03g065500.v1.2 transcript:Vigun03g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDEDARPRFVFQSGAVASTEPPHPDLKPTKPFLFVTISLSSLFLALSLFFLESEPFKSLLFWLALSLLIGPFAPPSLTGGDVRVGLGEVVNFPDPEPETDDDARKPSQRRSRQRRPEEVAAIGPVVSVGAAEKRGGGGGVEKVATVVEEKDWREEDVEVLKKQLVKNPVGKPGRWEAIAAAFGGRHGVESVIKKAKELGEKKVDDSDSYALFLKNRKTLDKRVVEENGGEDSVTVDKAVDNGWSSGEDIALLNALKVFPKDVSMRWEKVAAAVPGRSKAACMRRFTELKKGFRNAKAANE >Vigun04g007500.1.v1.2 pep primary_assembly:ASM411807v1:4:531352:533782:-1 gene:Vigun04g007500.v1.2 transcript:Vigun04g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGDRFVGATQAVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIVAGAATGGFLSMRQGFAASARSAAFGGVLLALIEGAGIMLNKFLSAQQPMPIMIDEPPPPPPSTQEAAAAPGWIGGWFGGGKKDETSTGSGSETKILESFDAPPVPNFEYNAHGEFTSVCLTLWCLCDIDLVQGALVGWCYEIQLVLLLF >Vigun04g007500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:531352:533826:-1 gene:Vigun04g007500.v1.2 transcript:Vigun04g007500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGDRFVGATQAVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIVAGAATGGFLSMRQGFAASARSAAFGGVLLALIEGAGIMLNKFLSAQQPMPIMIDEPPPPPPSTQEAAAAPGWIGGWFGGGKKDETSTGSGSETKILESFDAPPVPNFEYK >Vigun04g007500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:531352:533826:-1 gene:Vigun04g007500.v1.2 transcript:Vigun04g007500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGDRFVGATQAVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIVAGAATGGFLSMRQGFAASARSAAFGGVLLALIEGAGIMLNKFLSAQQPMPIMIDEPPPPPPSTQEAAAAPGWIGGWFGGGKKDETSTGSGSETKILESFDAPPVPNFEYK >Vigun04g007500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:531352:533826:-1 gene:Vigun04g007500.v1.2 transcript:Vigun04g007500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGDRFVGATQAVRLNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIVAGAATGGFLSMRQGFAASARSAAFGGVLLALIEGAGIMLNKFLSAQQPMPIMIDEPPPPPPSTQEAAAAPGWIGGWFGGGKKDETSTGSGSETKILESFDAPPVPNFEYK >Vigun11g176400.1.v1.2 pep primary_assembly:ASM411807v1:11:38111483:38116602:-1 gene:Vigun11g176400.v1.2 transcript:Vigun11g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKHRLDKYYHLAKEHGYRSRASWKLVQLNSKYQFLESARAVLDLCAAPGGWMQVAVQRVPVDHLVIGVDLAPIAPIRGAIAIQEDITKTECKSRIKKLMNQHGCRAFDVILHDGSPNVGGAWAQEAMSQNALVIDAVRLATQFLAPKGIFVTKIFRSQDYSSVVYCLKQLFEKVEVDKPAASRSESAEIYVLGLRYKAPAKIDPRLLDVKHLFQGSVEPQPKVVDVLRDTKQKRHRDGYEDGNTTLRKISSASNFIWSDSPLEILGSVTSISFTDADDLPIKDHDLTTEEVKSLCDDLRVLGKQDFKHLLKWRINIRKALSPTQKHDPPTTKEQAENEPKVDEEDRLLNEMEELTNVMDRKKKRAKKLLAKRRAKDKSRKATGMQMDAVEDGYVDQELFSLSSIKGKKDLVAVDNTEYEGDEGEVEDSENEEMHEGPERSSSDLEDSDEERKRYNEQMEDLMDKAYEKFVIRKEGSTKQRKRIKKSYDAEAQLLEGGEDDDIVEAKYDSDEEQGDQEANPLMVPLNDGAEPTQEEIMKKWFSQDIFAEAAEEGDFEKDESKDEMDIDDEPKEKTSVAKKVKENKTAAPAVVDHPQPQASKTADDFEIVPAPGSDSSDDSSSDESEEDVETKAEILAYAKKMMRKKQREQILDDAYNKYMFDDEGLPKWFLDEEKKHRQPIKPISKEEVAAMKAQFKEIDARPAKKVAEAKARKKRVAMKKLEKVRKKANAISDQTEISDRSKRKQIEQLYKKAVPKRPKKEYVVAKKGVQVKTGKGKVLVDRRMKKDARKRGMGKGGKGGSKGKGKASKGKGASKASSAKKGKQRTN >Vigun07g004000.1.v1.2 pep primary_assembly:ASM411807v1:7:327083:334453:1 gene:Vigun07g004000.v1.2 transcript:Vigun07g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRLSSEESQALYSLLRAEQRPFHEILSQFNSSIPSSRHFTLSSYILFLLQDNKILTTTERLIAFSLLVEAYSSQKPASNPFISFVVNASCHEGSEKVERAFILQLLGFDSSNSGKEFLKQSASDYVKGFDESLHEFPPLDQLKQQFSDKVHLEPYHCLLRDGTVKNVVPDPDVPPSCDADSLEFDVRPGTKTKYGAGDKEEAVVGLLSNLSLEGLNPHWIRPLPPRLPILDGELVWLNPDDNHELMWDYGMCVDTSRGAAVRDLIAKALKGALAPAQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVDVLTKLIKSPEISEYFTVLVNMDMSLHSMEVVNRLTTAVELPSQFIHMYITNCIASCVSIKDKYMQNRLVRLVCVFLQSLIRNDIINVKDLFIEVQAFCIEFSRIREAAALFRLLKSLE >Vigun08g002800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:288049:289529:-1 gene:Vigun08g002800.v1.2 transcript:Vigun08g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENKQSKLKSRILKILPKAAAAVTFQNPPFSPGRDHKFKTHAGKSFFSGPMIPDEARRKPRDGGAETQEPTSPKISCMGQIKHKKKHIKKGGPKTMSMPTRATETASSTTPRDEKKQRTKFQRMLFPAGKPKAAAARKQSDAGDDRAPPLGHMRRFASGRETFSNFDWKAQIAPEERDCYSDNDDRVESDAEDDVVIPFSAPLGAGGAPLLSVQPRKEINLWKRRTMAAPRPLQLNPVLTAK >Vigun08g002800.2.v1.2 pep primary_assembly:ASM411807v1:8:288049:289529:-1 gene:Vigun08g002800.v1.2 transcript:Vigun08g002800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAETQEPTSPKISCMGQIKHKKKHIKKGGPKTMSMPTRATETASSTTPRDEKKQRTKFQRMLFPAGKPKAAAARKQSDAGDDRAPPLGHMRRFASGRETFSNFDWKAQIAPEERDCYSDNDDRVESDAEDDVVIPFSAPLGAGGAPLLSVQPRKEINLWKRRTMAAPRPLQLNPVLTAK >Vigun05g005600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:476161:478061:1 gene:Vigun05g005600.v1.2 transcript:Vigun05g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLGYRSLPPKAKNFVVAGGLTAFVFGAYFYTMRAVGGTDELQVAIDKFESDKSKKEGEANLPSKV >Vigun01g107300.1.v1.2 pep primary_assembly:ASM411807v1:1:27917327:27950550:1 gene:Vigun01g107300.v1.2 transcript:Vigun01g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSVSSVPHVLRLSEPFPSLHNAHLLLDLVPLRRKPKRRTRKLRAFPSPSPLPRSAVKAVLHIDRTTDNRLHASSASSSSDSKPQVANLEDILSERGACGVGFIANLENKGSHEIVKDALNALSCMEHRGGCGADNDSGDGSGLMTAVPWDLLDNWANKQGIASFDKLHTGVGMVFLPKDAQLLNEAKKVIINIFRQEGLEVLGWRPVPVNTSVVGYYAKETMPSIQQVFVKIVKEENVDDIERELYICRKLIEKAVSSESWGNELYFCSLSNQTIVYKGMLRSEVLGLFYSDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSREPSLKSPVWRGRENEIRPYGNPKASDSANLDSAAELLIRSGRSPEEAMMILVPEAYKNHPTLTIKYPEALDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNMVYVASEVGVVPVDESKVVLKGRLGPGMMITVDLTGGQVYENTEVKKRVALSNPYGKWIQENLRSLKPGNFLSASLMDNEAVLRNQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAALSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNLLEVGPDNASQVMLSSPVLNEGELESLLKDSQLKPQVLPAFFDITKGIEGSLEKALNKLCEAADEAVRNGSQLLVLSDRSETLEPTHPAIPILLAVGTVHQHLILNGLRTSASIIADTAQCFSTHQFACLIGYGASAVSPYLALETCRQWRLSNKTVNLMRNGKMPTVSIEQAQKNYCKAVKAGLLKILSKMGISLLSSYCGAQIFEVYGLGKEVVDLAFRGSVSKIGGLTFDEVARETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVRQKSQSAFSVYQQYLANRPVNVLRDLLEFKSDRAAIPVGKVEPASSIVQRFCTGGMSLGAISRETHEAIAVAMNRLGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDIATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSMYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLIENGLRERVILRVDGGFRSGVDVMMAAIMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGILAQLGYDKLDDVIGRTDLLQPRDISLAKTQHLDLSYILSSAGLSKWSSTEIRNQEPHTNGPVLDDGLLADPEVADAIQNEKVVNKTVKIYNIDRAVCGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLVGEANDYVGKGIAGGELVITPVDKTGFEPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNREIVKIQRVSAPVGQMQLKSLIEAHVEKTGSTKGAAILKDWDKYLALFWQLVPPSEEDTPEANAKYDTTTAEQVTFQSA >Vigun05g207300.1.v1.2 pep primary_assembly:ASM411807v1:5:39698475:39703591:-1 gene:Vigun05g207300.v1.2 transcript:Vigun05g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPLGQQQLQPPQVATPPQQLPQAENDPMKVDSRGGSDAASNKEMSAPVAEGNEAHGLTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRMMDHPNVVSLRHCFFSTTSRDELFLNLVMEYVPETIFRVIKHYSSINQRIPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPITHQLKLCDFGSAKVLVKGESNISYICSRYYRAPELIFGATEYTTSVDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPHIKAHPWHKVFHKRMPPEAIDLASRLLQYSPKLRYSAVEALAHPFFDELREPNARLPNGRSLPPLFNFKQELDGAPPELLPKLIPEHARRQGLPDTQTQM >Vigun09g010500.1.v1.2 pep primary_assembly:ASM411807v1:9:791294:796374:1 gene:Vigun09g010500.v1.2 transcript:Vigun09g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDPNTLPKSTNILNKQRVLWESCPNSMPSKLVFSDDVLPVGNLPHKEKEHPILYFFLSQIPISQLKPQIYNLFPNPIVSPKKPPPNSAHLWLVASFRFLKRSTRRVADLRQLMAAGTMATAAGAAVVLYYVLSRRLSRKAEEDSEDHGGGSDVSKSSRSVRRRRLSRRPAQAPATLLESIVTLSETLRFTYSETFGKWPIGDLAFGINYFMRKQGNLAVASVYAGSDSVQLKGDEIIVELYELLRLLTLCMLFSKKPFPVFLDSAGFSLDDVLIQKPKAGLLKPAFTIIRDTQSKCLLLLIRGTHSIKDTLTAATGAVVPFHHSVLNDGGISNLVLGYAHCGMVAAARWIAKLCTPTLLKALDEFPDFKVKVVGHSLGGGTAALLTYILREQKEFSSSTCVTFAPAACMTWELAESGKHFITTIINGSDLVPTFSTSSIDDLRSEVTASSWLNDLRDQVEHTKVLNVVYRSATALGSRLPSISSARARVAGAGAILWPVTSSTQVVMRRAQSVAEAVVRTRSSLSSWSCMSARRRNVGPSLNSKSEDLSEASVISARNTESVMTEEVIVEPMLKDENTSSSGGSGHDDTDEEEPLLPANQDITATAVDELTEGQLWYELEKELQKQDNVMNIDAQEEEAAAAKEITEEESQIVDAAAECSSSSITTSDNLDSHRFYPPGRIMHIVPVPSSDESNSNSNSNSDDPIEEHVCLYETPRELYSKLRLSRTMINDHYMPMYKKMMELLIRELEKDKDISCNILN >Vigun10g192300.1.v1.2 pep primary_assembly:ASM411807v1:10:40644553:40647480:1 gene:Vigun10g192300.v1.2 transcript:Vigun10g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALGSVSRRLGTKLFPALSSTPRLLHSHATSFGFKHVNEEDKARMVGDVFTSVASSYDFMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILESINKVKLRGLQGVFQDTLEAETQIYVCDINPKMLNVGRQRALEKGFGEDGSLVWVEGNAESLSFQNDSMDGYTIAFGIRNVTHIEKVLSEAHRVLKPGGRFLCLELSHVAIPIFKDLYDYYSFSVIPYMGELVAGDRESYQYLVESIRRFPSQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >Vigun03g446400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64787819:64790396:-1 gene:Vigun03g446400.v1.2 transcript:Vigun03g446400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSGFLNKSSGFGGGSPRNEDFDEEDVWGGVTLERETDFFCPEMKLSKDSSDSSSAWCMTTSPRKIPRTNNVKPHSLKSDSNVVQRSSAPMDIPDWSKIYGKKGIEEEGVNKKFDFGYGDHCLDDYEDDDDGMIPPHQWISRKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFIE >Vigun01g083000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23499272:23500780:1 gene:Vigun01g083000.v1.2 transcript:Vigun01g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDPNLSFEHPYPPTKAIFIPDKDCHRPDLLATSSDFLRVWRIADTDAESSPRAVELKSLLNGNKNSEYCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Vigun01g235350.1.v1.2 pep primary_assembly:ASM411807v1:1:40689732:40691641:1 gene:Vigun01g235350.v1.2 transcript:Vigun01g235350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFWDLQKKNRALSIGNLDISSLVWFGGFNEIADCEDMAGQQERTLKKLANQDIGY >Vigun11g151900.1.v1.2 pep primary_assembly:ASM411807v1:11:36198852:36204389:-1 gene:Vigun11g151900.v1.2 transcript:Vigun11g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTSASVSSSADDSNWRENKLVKGSFAVSGIMLTLVTYGILQEKIMRVPYGVNKDYFKYSLFLVFCNRITTSAVSAGALVARNKVLDPVAPIYKYCLVSVSNILTTSCQYEALKYVSFPVQTLAKCAKMIPVMVWGTVIMQKKYRGTDYLLAFIVTLGCSVFILYPAGTDISPYGRGRENTVWGVLLMLGYLGCDGFTSTFQDKMFKGYNMEIHNQIFYTTLCSCVLSLTGLIIQGQLLPAVEFVYLHKDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIMISCVWFVHPLSWEQWIGAVIVFGAIYSKSFLRKAPEKTTTSSVEHVQNGNSNNLKDNP >Vigun09g238500.1.v1.2 pep primary_assembly:ASM411807v1:9:40907568:40909268:1 gene:Vigun09g238500.v1.2 transcript:Vigun09g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVGRGLPIQTRSLFNSKSIYRASPAGRVSWLGHSAAATPRHRKTCVAAQQRPTWLPGLDPPPYLDGTLAGDFGFDPLGLGEDPDTLRWYVQAELVHSRFAMLGVLGILVTDLLRVTGVSKIPVWFEAGAVKYDLANTQTLFIVQLLLMGFAETKRYMDFVSPGSQAKEGSFFGLEASLEGLEPGYPGGPLLNPLGLAKDIKNAHDSKLKEIKNGRLAMVAMVGFFVQASVTHVGPIENLVEHLSNPWHKTIIQTIANSSS >Vigun08g140950.1.v1.2 pep primary_assembly:ASM411807v1:8:31326787:31327546:1 gene:Vigun08g140950.v1.2 transcript:Vigun08g140950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILFFVLCFVSSYDNSRVHGIQNTLEEDLEMKKQLQLMNKTPVKSIHTKYGYIVDCIDIYKQPAFDHPLLKDHKLQRKPSFQNSFEKTSLKNSSNKIIFGLEKDECPEGTVPIRRTTMKM >Vigun02g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31409400:31411640:1 gene:Vigun02g171100.v1.2 transcript:Vigun02g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSMYVMELVFLLGLIFMLGTNATSFAEDHGNKLQTYIVHVKRPESRPTLESEELHNWYHSFLPQTSNKDRMVFSYRNVASGFAVKLTPEEAKALLEKDEIVSARPERTLSLHTTHTPSFLGLRQGGGLWNSSNLGQGVIIGIIDTGIYPFHPSFSDEGMPPPPSKWNGHCEFTGQRTCNNKLIGARNLLKTAAEEPPFENFFHGTHTSAEAAGRFVENASVFGNAQGTAAGMAPNAHLAMYKVCNDQVGCTESAILAAMDIAIDDGVDVLSLSLGLGSLPFFEDPIALGAFAAIQNGVFVSCSAANAGPDYGTLSNEAPWILTVGASTIDRKIAAVAVLGNGAEYEGESLFQPQDFSPTLLPLVYPGADGNINSSFCFPDSLDNVDVEGKVVVCDIGGGFSSLAKGQVVLKAGGAAMILANPETLGFSTFAVAHVLPAVEVSYLAGLAIKSYINSTTSPNATISFEGTVIGDALAPAVVSFSSRGPSRASPGILKPDIVGPGVNILAAWALSVDNKFPPYNIVSGTSMSCPHLSGIAALLKSAHPDWSPAAIKSAIMTTANTVNLGGKPILDQRLLPADIFATGAGHVNPNKANDPGLVYDIQPDDYIPYLCGLGYDDREVGIVVQKRVRCSDVTVIPEAQLNYPSFSIVLGSTTQDYTRTLTNVGPAEATYIVDLEVPLATGMSVNPSQITFTEVNQKVTFSVEFIPEEKENRGNQSFSQGSLSWIRVSDKYTVRIPISVIFE >Vigun02g062300.1.v1.2 pep primary_assembly:ASM411807v1:2:20855529:20858348:1 gene:Vigun02g062300.v1.2 transcript:Vigun02g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGDNFLQVLFKNFDVLALPLVTLVYPLYASIKAIESRSSIDDQQWLTYWDLYSLITLFELTFSKVLEVLAIWPYAKLILSCWLVLPQFNGAAHVYRHYVRPFYMNPQMPQMPQMPKFSGASQMWYVPRKNIFSKQDDVLSAAERYMEEHGTEAFERLITKADRDARARRNGSYMIFDDDYIY >Vigun07g114500.1.v1.2 pep primary_assembly:ASM411807v1:7:21214748:21217660:1 gene:Vigun07g114500.v1.2 transcript:Vigun07g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVNQLQAKLCEHQSAMFHQGFLDDQFSQLQKLQDESTPDFVLEVVTMFFDDSESILKNMARCLEQVPADFKQIDAYAHQYKGSSASVGAARVKNVCATFRPFCETKNLDGCMRCLQQLQQEYSLLKNGLQYLFRLQKDIKAGGGSFS >Vigun03g206600.2.v1.2 pep primary_assembly:ASM411807v1:3:33508263:33510879:-1 gene:Vigun03g206600.v1.2 transcript:Vigun03g206600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAKEDPKLQSDAEAQDLPPDPAGSESPGAEEEEEEGECGFCLFMKGGGCRDAFIAWEKCVQEAETNNDDLLEKCARVTASLKQCMDANSDYYEPILRAEKLAEEQAVAELEKENIVSQQDQKTDHVVEEVATIELLKESQNLSLNESQAPPPSSQDPK >Vigun03g206600.1.v1.2 pep primary_assembly:ASM411807v1:3:33508263:33510827:-1 gene:Vigun03g206600.v1.2 transcript:Vigun03g206600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAKEDPKLQSDAEAQDLPPDPAGSESPGAEEEEEEGECGFCLFMKGGGCRDAFIAWEKCVQEAETNNDDLLEKCARVTASLKQCMDANSDYYEPILRAEKLAEEQAVAELEKENIVSQQDQKTDHVVEEVATIELLKESQNLSLNESQAPPPSSQDPKIKKC >Vigun03g402800.1.v1.2 pep primary_assembly:ASM411807v1:3:60973204:60994335:1 gene:Vigun03g402800.v1.2 transcript:Vigun03g402800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGVVGILAESVNKWERRAPLTPSHCARLLHGGTGVSRIIVQPSTKRIHHDALYEEVGAEISQDLSQCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERASLFDYELIVGDNGKRLLAFGKFAGRVGMIDFLRGLGQRFLSLGYSTPFLSLGSSYMYPSLAAAKAAVISVGEEIATQGLPLGICPLVFVFTGSGNVCSGAQEIFRLLPHKFVDPSRLSDLHRTDTNQPRHASKRVFQVYGCVVTAKDMVEPKDPTKVFDKADYYAHPEHYNPTFHEKVAPYASVIVNCMYWEKRFPQLLSYKQMQDLTSQGCPLVGIADITCDIGGSLEFVNHATSIDSPFFRYDPITNSYHDDMDGDGVICLAVDILPTEFAKEASQHFGNILSQFVVNLALATDITKLPAHLKRACIAHRGVLTSLYDYIPRMRHSDSEEASENSENSLSSKRKYNISVSLSGHLFDQFLINEALDIIESAGGSFHLVNCHVGQSVKAVSFSELEVGADDRAVLDQIIDSLTAIAKRTENDRFSNQDSSKISLTLGKIEENGTVKELGSKRKAAVLILGAGRVCQPAAVMLSSFGRPSSSEWNKALLEDDFECQTDVEVIVGSLYLKEAEQIVEGIPNVTGIQLDVMDHERLCKHISQVDVVISLLPPSCHIIVANVCVELKKHLVTASYVDSSLSMLDDKAKDAGITILGEMGLDPGIDHMMAMKMINQAHARKGKVKSFTSYCGGLPSPEAANNPLAYKFSWNPAGAIRAGRNPATYKWGGETVHVNGQDLYDSATRLRLPELPAFALECLPNRNSLLYGDLYGIASEASTVFRGTLRYEGFSEIMGTLFRIGLFNDEAHSLLTDERRPTFRKFLFELLKVVSADLDGPLIGENDITERILIQGHCKDSRTAKNTAKTIIFLGLLEQTEIPAFCKSAFDVARFRMEERLSYTSTEKDMVLLHHEVEIEYPDNQNTEKHRATLLEFGKTVNGNTTTAMALTVGIPAAVGALLLLTNKIQTRGVLRPIEPEVYTPALDIIEAYGIKLIEETE >Vigun06g066100.1.v1.2 pep primary_assembly:ASM411807v1:6:19438272:19441971:1 gene:Vigun06g066100.v1.2 transcript:Vigun06g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSAVTALSLLPPAVRRGGHHNEQNVVTSLSLPRRSWTTNNSISPSCAHFPFGARARSPQTATIICSAALNARCGAEQTQTVTREAPTITHIPGKEKSPQLDDGGTGFPPRDDDDGGGGGGGGGGNWSGGFFFFGFLAFLGFLKDKETEDTYGDDRRR >Vigun03g414300.1.v1.2 pep primary_assembly:ASM411807v1:3:62116229:62132300:-1 gene:Vigun03g414300.v1.2 transcript:Vigun03g414300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAFAYGICRFLLMLIPPKVPSIDVDTSDVLDDGSQQQENSFIYVPPRGTSQQSGKIVQCYEPATMKYLGYVPALTHDEVKDRVAKVRKAQKMWAKSSFKQRRLFLRILLKYIIKHQALICEISSRDTGKTMVDASLGEIMTTCEKINWLLSEGEQWLKPEYRSSGRSMLHKRAKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAIFSGNGIVIKISEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFAETGEALVSSVDKVIFVGSPGVGKMIMSNAADTLIPVTLELGGKDAFIVCEDVDLDHVAQIAVRAVLQSSGQNCAGAERFYVHREIYSSFVSKVTKIVKSVTAGPPLVGKYDMGALCMHEHSEKLDGLLNDALDKGAEIVARGSLGNIGEDAVDQYFPPTIIVNVNHSMRLMQEEAFGPIMPIMKFSSDEEVVKLANDSKYGLGCAVFSGNQSRAREIASQIHAGVAAVNDFASTYMCQSLPFGGVKHSGFGRFGGVEGLRACCLVKAVVEDRWWPFIKTKIPKPIQYPLAENGFEFQESLVEALYGLGIRDRLRALVNVLKMLTEQNPGGSSSNKRRND >Vigun07g277200.1.v1.2 pep primary_assembly:ASM411807v1:7:39246673:39253890:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRNRPQANTVTTQQNTQSLGFNAKKENMGRKMSEGSLLKKGCQDVSHIVRDELGGSSSVKKNRKYIEISCMCHQCQRNDKGRVVRCTKCRRKRYCLPCIRNWYPHLKEEDIAEACPMCRGNCNCKACLRSDVLIKEMKIKTKTNEDEKVEFSMYLLHVLLPYLRLMDEEQMIENETEAKIQGISVSELRVVQTIYFMDERQYCDNCKTSIFDYHRSCTKCSFELCLICCRELRSGQLVGGADPIMLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCEVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKSCIQVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun07g277200.6.v1.2 pep primary_assembly:ASM411807v1:7:39246453:39253900:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCEVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKSCIQVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun07g277200.5.v1.2 pep primary_assembly:ASM411807v1:7:39246673:39253890:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEMKIKTKTNEDEKVEFSMYLLHVLLPYLRLMDEEQMIENETEAKIQGISVSELRVVQTIYFMDERQYCDNCKTSIFDYHRSCTKCSFELCLICCRELRSGQLVGGADPIMLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCEVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKSCIQVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun07g277200.2.v1.2 pep primary_assembly:ASM411807v1:7:39246673:39253890:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRNRPQANTVTTQQNTQSLGFNAKKENMGRKMSEGSLLKKGCQDVSHIVRDELGGSSSVKKNRKYIEISCMCHQCQRNDKGRVVRCTKCRRKRYCLPCIRNWYPHLKEEDIAEACPMCRGNCNCKACLRSDVLIKEMKIKTKTNEDEKVEFSMYLLHVLLPYLRLMDEEQMIENETEAKIQGISVSELRVVQTIYFMDERQYCDNCKTSIFDYHRSCTKCSFELCLICCRELRSGQLVGGADPIMLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCEVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun07g277200.3.v1.2 pep primary_assembly:ASM411807v1:7:39246673:39253890:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRNRPQANTVTTQQNTQSLGFNAKKENMGRKMSEGSLLKKGCQDVSHIVRDELGGSSSVKKNRKYIEISCMCHQCQRNDKGRVVRCTKCRRKRYCLPCIRNWYPHLKEEDIAEACPMCRGNCNCKACLRSDVLIKEMKIKTKTNEDEKVEFSMYLLHVLLPYLRLMDEEQMIENETEAKIQGISVSELRVVQTIYFMDERQYCDNCKTSIFDYHRSCTKCSFELCLICCRELRSGQLVGGADPIMLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCELLVKIQVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKSCIQVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun07g277200.4.v1.2 pep primary_assembly:ASM411807v1:7:39246673:39253890:-1 gene:Vigun07g277200.v1.2 transcript:Vigun07g277200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEMKIKTKTNEDEKVEFSMYLLHVLLPYLRLMDEEQMIENETEAKIQGISVSELRVVQTIYFMDERQYCDNCKTSIFDYHRSCTKCSFELCLICCRELRSGQLVGGADPIMLEFSSKGRGYLHGEKVDGSVNQTESNDVAKPVVRKWSRSGWHAESNGRIPCPKVGDECNHGFLELRSVLGQHFITDLLCKANALAQTFELGTPDNFCMCSRLDRNTDVRYHDTRKAASRSDSGDNYLYCPRAAQPQDEDLWHFQWHWEKGEPVIVSHVVDCASGLSWEPLVMWRAFRQLSNTRREQHLNVKAIDCLDLCELLVKIQVEINIHQFFTGYTKARGDWLDWPKILKLKDWPSSCLFEERLPRHCAEFICSLPFKEYTNPLGGFLNLAVKLPLKCLRPDLGPKTYIAYGFPQELGRGDSVTKLHYDICDAVYVLAHIAEVQWEPKHLTAIEKLKQKHFEQDKRELLSDDQDGETNVDTHYNISSALNSLEKQNSVQVLENKSGCCDEKEADQFHQPSAGSEDGLSCGSELNEVDRANMKGESDLSFAGDGSEGALWDIFRRQDVPKLEEYLRKHFREFRHINCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPYQLRNLKSCIQVALDFVSPENVGECFRLSDEIRTLPVNHVSAEDKLEVKKMTLYAMQDVITTLEKAKV >Vigun09g065400.1.v1.2 pep primary_assembly:ASM411807v1:9:6894916:6898942:1 gene:Vigun09g065400.v1.2 transcript:Vigun09g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVADTLHSFNSIQLREVFSQIITLGLVVSSALVTWKGLSCVTGSESPVVVVISGSMEPGFQRGDILFLHMSKDPIRAGDIVVYSLDGKDIPIVHRVIEVHDRKNTEETYILTKGDNNDEDDRVMYNTGQKWLQKHHIIGRAVGFLPYLGWGTIIMSDIPVLKYILIGTLGVIVLTMKD >Vigun07g126600.1.v1.2 pep primary_assembly:ASM411807v1:7:23348850:23350685:1 gene:Vigun07g126600.v1.2 transcript:Vigun07g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGRLVSGGGWLLRRLCTAAESPTKSPNLYRILSALDKTGGSVSETLDSHVMQGKAIKKTELERCVEELRRYRKFQHALQIIEWMEKRKINFSWDNYAVQLDLVSKTRGVVAAEEFFSGLPPPAKNKYTYGALLNCYCKELMKDKALSHFDKMDELGYVTSLSFNNLMSLYMRSGEPQKVPHLVELMKRRSISLSPFTYHIWMNGCASLGDLDEVERIYEEMKTEHRIGWQTYSNLAAIYVKVKDFEKAEMMLKEMEKRVKPRERVAYHSLLGLYAGTGNLGEVHRVWNSLKSVSPVTNFSYLVMLSTLRRLNDIEGLTKCFKEWEASCVSYDVRLAGVCVRAYLGQNMVEEAALMFEGASRRSERPLFRIEEMFMLYFLKKHQLDAAVRHLEAALSEVKGDEWRPSPQVVGAFLKYYEEETDMDGVDELYKILKAMNFDDSWIKTCINAAKASPETDPILKEDDPQNYRAQEN >Vigun01g228900.1.v1.2 pep primary_assembly:ASM411807v1:1:40142569:40155236:1 gene:Vigun01g228900.v1.2 transcript:Vigun01g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLPSIGINPQFITFTHVTMESDKYICVRETAPQNSVVIVDMNMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIEMKAKMKSYQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPVKMFERTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTLNAGQIISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVNLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELSDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGIDACIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESSFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWDKVLNPDNAYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEMAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDTTQFLDVIRAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFATRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIDQGKKECFASCLFVCYDLIRADIALELAWMNNMIDFAFPYLLQFIREYTGKVDELVKDKIEAQNQVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGFVPPPPMGGLGMPPMPPFGMPPMGSSY >Vigun09g227300.1.v1.2 pep primary_assembly:ASM411807v1:9:39930748:39935768:1 gene:Vigun09g227300.v1.2 transcript:Vigun09g227300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAKATILFLWVFFALCIITFNVDAKPSSFVEKQIEAKLKQLNKPAVKTIKSEDGDIIDCVNIYGQPAFDHPALKNHTIKMMPDNLLQLENSTTEEDSESQIYQTWQKSGSCPKGTIPIRRIVKEDLLRATSLDIFGQQFPQHYDNSTGEEDGYVPPTRSSAFLLTVGFNYIGAQADINLWTPRVAQTDEFTTAQIWLKNSNGPYFASVESGWTVNPKLYGDGATRFFVYWTRDSYRSTGCFDLTCSGFVQTGQVALGASIGPISSFYGKQYVLNVGIFQEPNSGNWYLKLKNNVPVGYWPAEIVGLLRHSATSVEWGGQVSSTNIRKKRPHTTTQMGSGEFGNGRYQQACFMQNIKIMDYSLKLKYPRDVSPVAQEPFCYSAVNAARPGVEPMFYFGGPGRDLPYCP >Vigun09g227300.3.v1.2 pep primary_assembly:ASM411807v1:9:39934244:39935768:1 gene:Vigun09g227300.v1.2 transcript:Vigun09g227300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAFLLTVGFNYIGAQADINLWTPRVAQTDEFTTAQIWLKNSNGPYFASVESGWTVNPKLYGDGATRFFVYWTRDSYRSTGCFDLTCSGFVQTGQVALGASIGPISSFYGKQYVLNVGIFQEPNSGNWYLKLKNNVPVGYWPAEIVGLLRHSATSVEWGGQVSSTNIRKKRPHTTTQMGSGEFGNGRYQQACFMQNIKIMDYSLKLKYPRDVSPVAQEPFCYSAVNAARPGVEPMFYFGGPGRDLPYCP >Vigun09g227300.4.v1.2 pep primary_assembly:ASM411807v1:9:39933847:39935768:1 gene:Vigun09g227300.v1.2 transcript:Vigun09g227300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDNLLQLENSTTEEDSESQIYQTWQKSGSCPKGTIPIRRIVKEDLLRATSLDIFGQQFPQHYDNSTGEEDGYVPPTRSSAFLLTVGFNYIGAQADINLWTPRVAQTDEFTTAQIWLKNSNGPYFASVESGWTVNPKLYGDGATRFFVYWTRDSYRSTGCFDLTCSGFVQTGQVALGASIGPISSFYGKQYVLNVGIFQEPNSGNWYLKLKNNVPVGYWPAEIVGLLRHSATSVEWGGQVSSTNIRKKRPHTTTQMGSGEFGNGRYQQACFMQNIKIMDYSLKLKYPRDVSPVAQEPFCYSAVNAARPGVEPMFYFGGPGRDLPYCP >Vigun09g227300.2.v1.2 pep primary_assembly:ASM411807v1:9:39933870:39935768:1 gene:Vigun09g227300.v1.2 transcript:Vigun09g227300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDNLLQLENSTTEEDSESQIYQTWQKSGSCPKGTIPIRRIVKEDLLRATSLDIFGQQFPQHYDNSTGEEDGYVPPTRSSAFLLTVGFNYIGAQADINLWTPRVAQTDEFTTAQIWLKNSNGPYFASVESGWTVNPKLYGDGATRFFVYWTRDSYRSTGCFDLTCSGFVQTGQVALGASIGPISSFYGKQYVLNVGIFQEPNSGNWYLKLKNNVPVGYWPAEIVGLLRHSATSVEWGGQVSSTNIRKKRPHTTTQMGSGEFGNGRYQQACFMQNIKIMDYSLKLKYPRDVSPVAQEPFCYSAVNAARPGVEPMFYFGGPGRDLPYCP >Vigun03g414800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62159957:62160671:1 gene:Vigun03g414800.v1.2 transcript:Vigun03g414800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGVNKLAGGPGDARRRSLQPQTTPDTDNTDANNPDTNKTDTNNNDTNKTDSNSDSSEEFISYVNSSKQDIKGLTNLTGYVKGNANGVINFGNLTASDPSVQP >Vigun05g142000.1.v1.2 pep primary_assembly:ASM411807v1:5:17991864:18003777:-1 gene:Vigun05g142000.v1.2 transcript:Vigun05g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFRRRHHHNIATLTSGLRHFSATPKPPLLYTADTTAGNTAILQVLSWGRGASGQLGGGVEETRLYPSPVANLAVPKSSFSLAQTPGRLPHAEKCRTPEVGISCGLFHSSLVAGGALWIWGKGDGGRLGFGHENSLFVPTLNPHLDNLRSVALGGLHSVALTSAGEVFTWGYGGFGALGHSVYHRELFPRLVKGSWEGTIKHIATSGTHTAAITESGELYIWGRDEGDGRLGLGPGRGPDHAGGLSIPSRVKELPYPVAAASCGGFFTMALTEDGQLWNWGANSNYELGRGDKTGGWKPRPVPSLENVKIIQIASGGYHSLALTGDGKVLSWGHGGQGQLGHGSIQNQKIPAVVEALSQEHIIYITCGGSSSAALTDNGKLYMWGNANDSQLGVPGLPPVQPSPVEVNFLMDDDGLGPHKVLSVAIGASHAMCLALRESS >Vigun03g440400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64317948:64318322:-1 gene:Vigun03g440400.v1.2 transcript:Vigun03g440400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKIHEPSAVRSSIVLLQERFRQLQKVKEMRKKRELLKMFTVEPKHFNSNPTTRQFFHPELMNPSGSLSLWPSSQCMVEYNKSTIENPVSMDSTHTQSLQPSCKNLYDWDSGSDSGVDTSLHL >VigunL007700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000144.1:11198:12109:1 gene:VigunL007700.v1.2 transcript:VigunL007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEMVSASAINFKVPYQILRHDGPLSDDERDERVSLRPKKWGRLRGVPLRRRLRVKVGSWRKVWMMKKVMLLCSKVKRRFKEGHGHFGDLFAGNYVFTQINPTSLKYLQNKLSQAKIV >Vigun10g133800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34358173:34359477:-1 gene:Vigun10g133800.v1.2 transcript:Vigun10g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTAKHPVIETNKGKRRRRASSSSPERPIINRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWINYDRAAISEFLSNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDIATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVDLTEKIRHAITKRFIEHFCTHPEDLEQQEEPQLDQQAEDQPAAEQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYHNVRGPYPGMTPPDFFTYLHWPGDSPIFPGGGGPVAGEGPSGAADADGANIEDEIDFGGD >Vigun05g113400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11987315:11987970:1 gene:Vigun05g113400.v1.2 transcript:Vigun05g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLSWAQALGCAWGFMLVVALMCCCLGTKPRQDTDLLSGNGGCTCDGGGQACV >Vigun01g000400.2.v1.2 pep primary_assembly:ASM411807v1:1:83009:85798:1 gene:Vigun01g000400.v1.2 transcript:Vigun01g000400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCAVHAKNLFHTNSFCHRPIPSIYGRVFEITVLRPKLYRRGLRPFLVSATGKKNNDNSSFSSGNDERSMPEGDGTRGNNSADGNKSDSNSEKCHHANLDWREFRAKLYRDELKEISDTDTHNQSGTLPDSNPLGTLWAHPIPVPETGCVLVATESLMVFAPLKELLFFFSDPELDIIKEGLLELSLTVLCTKKSNT >Vigun09g228300.3.v1.2 pep primary_assembly:ASM411807v1:9:40043445:40046896:-1 gene:Vigun09g228300.v1.2 transcript:Vigun09g228300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRPVNLSRRFGDSGGGLFSSSKSKTSPALSVGLIIVGGLFLIGYVYKGSGGFGSRLESVSRLQGDYLCTGEVPRAIPILKKAYGDSLHKVLHVGPDTCYVVSKLLKEEETEAWGIEPYDIEDADANCKALIRKGIVRMADIKFPLPYRPKAFSLVVISDALDFLSPRYLNKTLPDLARISTDGIVIFTGYPDNKKAKGADVSKYGKTAKMRSSSWWVRYFLQINLEENDAASKKFAQASTKSSYVPNCQIFHLKSLN >Vigun09g228300.1.v1.2 pep primary_assembly:ASM411807v1:9:40043445:40046776:-1 gene:Vigun09g228300.v1.2 transcript:Vigun09g228300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRPVNLSRRFGDSGGGLFSSSKSKTSPALSVGLIIVGGLFLIGYVYKGSGGFGSRLESVSRLQGDYLCTGEVPRAIPILKKAYGDSLHKVLHVGPDTCYVVSKLLKEEETEAWGIEPYDIEDADANCKALIRKGIVRMADIKFPLPYRPKAFSLVVISDALDFLSPRYLNKTLPDLARISTDGIVIFTGYPDNKKAKGADVSKYGKTAKMRSSSWWVRYFLQINLEENDAASKKFAQASTKSSYVPNCQIFHLKSLN >Vigun09g228300.2.v1.2 pep primary_assembly:ASM411807v1:9:40043445:40046763:-1 gene:Vigun09g228300.v1.2 transcript:Vigun09g228300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRPVNLSRRFGDSGGGLFSSSKSKTSPALSVGLIIVGGLFLIGYVYKGSGGFGSRLESVSRLQGDYLCTGEVPRAIPILKKAYGDSLHKVLHVGPDTCYVVSKLLKEEETEAWGIEPYDIEDADANCKALIRKGIVRMADIKFPLPYRPKAFSLVVISDALDFLSPRYLNKTLPDLARISTDGIVIFTGYPDNKKAKGADVSKYGKTAKMRSSSWWVRYFLQINLEENDAASKKFAQASTKSSYVPNCQIFHLKSLN >Vigun08g007400.1.v1.2 pep primary_assembly:ASM411807v1:8:642624:645427:-1 gene:Vigun08g007400.v1.2 transcript:Vigun08g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKYTNFVEFRTHKIIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >Vigun01g240700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41069686:41071678:1 gene:Vigun01g240700.v1.2 transcript:Vigun01g240700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKMGFGQRQHCYGDERMRMDTQSEEENYFFHEESWSSENNNFHKQQQQHPAMHMNMQKQPCKLGGGMFQEGMHSEHGFGNGYAHHGGGRRFPYGGNGGGGGRFNSGGQHREYFSEETEYEEFYTEEHVGSKLGEMRYQNNNCYANAYDRNMISNMNYKPHKVQWTAKGV >Vigun08g022600.1.v1.2 pep primary_assembly:ASM411807v1:8:1957293:1958822:-1 gene:Vigun08g022600.v1.2 transcript:Vigun08g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFYHEEPANQNKRCKFLAGSLKEVFFHCQTFTRRLSTASLEEECPIIDDVDEMVVSAVRSRAMEKQKLKPSPLRDGFSWTYSPATRELFVTVAPQPRVVIGDGEDEEREEFFSVKSCLSCCSSSAVSDKAFFSVKTNLSRCSSMSGVDMSEHWRRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSPSESWLSRKIQRSTKVI >Vigun07g290300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40227106:40227644:1 gene:Vigun07g290300.v1.2 transcript:Vigun07g290300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWISISIRVSFLVLFAVCLNLQSWISAYSDSNSYVDINIIRLSIENDLPPASPELLLFADFERKPVEIRPGNRNAYLKFLNMENHSAVFKWKQCATFSVKPATEEGHQSIYWSVRADGLYHSWDNSNWDKREQWGSC >Vigun10g071700.1.v1.2 pep primary_assembly:ASM411807v1:10:17742833:17752818:-1 gene:Vigun10g071700.v1.2 transcript:Vigun10g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEETAVTAVDGSGDEGLLLLDGSDVGGGKDRVKGPWSPEEDAILSRLVSKFGARNWSLIARGISGRSGKSCRLRWCNQLDPSVKRKPFTDEEDRIIVAAHAIHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRGVELDKIKLESGNFMEDASLEKAKGSSEDTLSCGDVSLKSSEGRDVSSVDVMDDKSDGKAQAEGQLHHKVKDPPTLFRPVARVSAFNVYQSNDVTQPSTSIQRPVPKQGPILQSSRPDMEFCRMVEGICGDQAVPHQCGHGCCAAPNGRKSESSLLGPEFIEFSEPPSFPNFELAAIAADISNLAWLKSGLENSSVKMMGNTSGSVISNGSRVHIGH >Vigun11g161400.3.v1.2 pep primary_assembly:ASM411807v1:11:36875605:36880556:-1 gene:Vigun11g161400.v1.2 transcript:Vigun11g161400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMDVHYNSISYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESSYPSNSSFYKFGLSEPENSSYYRYSQGYEVNHHEPLVDEYRRPSENSLTINEQTAAVNTEWVEGGNTDTRDNSIECPRRHHSNSSDYQVIWQDNIDPDNMTYEELLELGEAVGTQSRGLTQEQISSLPVSKFKCGFFLRKKSRGERCVICQMEYKRGDKRITLPCKHLYHASCGNRWLSINKACPICYTEVFADKSKHK >Vigun11g161400.2.v1.2 pep primary_assembly:ASM411807v1:11:36875605:36880544:-1 gene:Vigun11g161400.v1.2 transcript:Vigun11g161400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMDVHYNSISYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESSYPSNSSFYKFGLSEPENSSYYRYSQGYEVNHHEPLVDEYRRPSENSLTINEQTAAVNTEWVEGGNTDTRDNSIECPRRHHSNSSDYQVIWQDNIDPDNMTYEELLELGEAVGTQSRGLTQEQISSLPVSKFKCGFFLRKKSRGERCVICQMEYKRGDKRITLPCKHLYHASCGNRWLSINKACPICYTEVFADKSKHK >Vigun11g161400.1.v1.2 pep primary_assembly:ASM411807v1:11:36875605:36880544:-1 gene:Vigun11g161400.v1.2 transcript:Vigun11g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMDVHYNSISYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESSYPSNSSFYKFGLSEPENSSYYRYSQGYEVNHHEPLVDEYRRPSENSLTINEQTAAVNTEWVEGGNTDTRDNSIECPRRHHSNSSDYQVIWQDNIDPDNMTYEELLELGEAVGTQSRGLTQEQISSLPVSKFKCGFFLRKKSRGERCVICQMEYKRGDKRITLPCKHLYHASCGNRWLSINKACPICYTEVFADKSKHK >Vigun06g062101.1.v1.2 pep primary_assembly:ASM411807v1:6:18983869:18984735:-1 gene:Vigun06g062101.v1.2 transcript:Vigun06g062101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSFKETISSMGKSSLNKSVKIELYKWSSSEFAEEYALVYTSVEDVFRSSILRLSVDTDMVLCRLASDMCKIHQARCHVKISALRDSRGDCKFGEIVVSQQGDVTPFVWGESAAFTYWCDNRPSIIYLKPTEQKLDRMMERTFWELGYFYDVGDKALLKYFVNIHCNKETGLSVGFNGPWMGESLLLTEKLGLASKREVSGEPPKGLTENAESEFEAQRYTLLKRDEYGCTPPSGKAKKAINKAAQATGLINNIGGHTQGNFNGAILRDVYFYGPQ >Vigun06g184900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30431559:30434106:1 gene:Vigun06g184900.v1.2 transcript:Vigun06g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTLASVFDHTTPIAELYEVKNVAPMVSSYNERIRPILDALENLRRLNITKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNHSLLQPVLELEYNDKHVPTDEAHVSEAIRHATDELAGSGKAISNTPLTLIVKKNDVPDLTMIDLPGITRVPVQGQPMDIYDQIVNIIMEYIKPEESIILNVLSATVDFSTCESIRMSQSVDKTGERTLAVVTKVDLFPEGLREKVTADDVNIGLGYVCVRNRVGDESYEEARGIEAKLFRTHALLSNIDKSIVGIPVLAEKLVQLQAVSISKILPEIVKKINDKLDSQLANLEKFPRKLNSLVDVMSAFVHVIGLTKESLSKILLRGEFDEYPDDEQMHCTARLVEMLDQYSNDLRNGSESDAGEKFLMEEIKMLEETKRIGLPNFIPRAVFLTRLRDKVESISSIPIKFINQVWDYLEAVAVMVLNRHSEHYHQLQTCIRLAGQNLIAKMRENSMKYMKEVVEMEKLTDYTCNPEYTNEYNKLIGSQNFLVDRVQSYYSKVAIDGFGDVELSHLTQHTTLVPQAFDLKVRLTAYWNIVLQRLIDNTALHLQFSIFNLLNKDLGYEVLKDMASPSGGGIERLFEETPSVAVRRDHLIKSITILKESKQVVASIIDKISTYAN >Vigun05g170566.1.v1.2 pep primary_assembly:ASM411807v1:5:30183071:30194489:1 gene:Vigun05g170566.v1.2 transcript:Vigun05g170566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSRLLPCFRTRALLGFQRSSDLRLAWPLFCSLANWALELLCSLVTRRRSCTNLFPDGRGSHGQQANGDSAA >VigunL032100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:206556:206801:-1 gene:VigunL032100.v1.2 transcript:VigunL032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun05g099400.8.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.6.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.3.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736320:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.10.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.16.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9735010:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNVGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.2.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.9.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.12.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.5.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.11.v1.2 pep primary_assembly:ASM411807v1:5:9731714:9735010:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNVGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.15.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9735010:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNVGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.14.v1.2 pep primary_assembly:ASM411807v1:5:9731714:9735010:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNVGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.7.v1.2 pep primary_assembly:ASM411807v1:5:9728610:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRDCRIVELLLATS >Vigun05g099400.13.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEAN >Vigun05g099400.4.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736462:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQCQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun05g099400.1.v1.2 pep primary_assembly:ASM411807v1:5:9731675:9736320:-1 gene:Vigun05g099400.v1.2 transcript:Vigun05g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPGTHALSRDQFPPDFVFGASTSAYQLEGAANEDGRKPSIWDTFSHAGNADLYAGDGDIASDHYHKYKEDVNLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLLQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARVYRKKYQGMQHGFIGFSLLLQGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFIQKESNLVKGSIDFLGINFYFSYYVKDSPDNLKKENRDYLADFSAKLQLYLPNITTKFEVPITPKILLRMLDSLRNAYGNIPIFIHENGQLTPHNSPLEDWSRVNYLHAYIGSMVDVLRSGLNVKGYFVWSFMDVFEIGSGYELSYGLYYVDMNDPNLRRKPKLSAKWYSNFLKGKPMDPKITETQNNASVLSHIPSLHSGT >Vigun01g078300.2.v1.2 pep primary_assembly:ASM411807v1:1:22024603:22027265:-1 gene:Vigun01g078300.v1.2 transcript:Vigun01g078300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKAKPFNLRTFNSLSLPTTVTPITESELLNSIESSQWHFIKQVAPHYTPSLLSSALTSLRHKPRLVLQLLSHLNDHPHSLDLTTSSLAACILCRLPSPKPSINLLQSIILSSTATNRTIFHELELSRDRVDAKTSLIFDLLIRAYCELKKPNESLECFYLMKEKGVEPNIETCNQMLSLFLRLNRTQMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDKGLEPDCYTYNSFISGLCKERRLEEASGLLCKMLEIGLVPNAVTYNALIDGYCNKGDLDKAFACRDEMISKDAGMQREPSAFLMKWWEKGFGQLWSRIHHLYMFWVKGIE >Vigun01g078300.1.v1.2 pep primary_assembly:ASM411807v1:1:22024603:22027265:-1 gene:Vigun01g078300.v1.2 transcript:Vigun01g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKAKPFNLRTFNSLSLPTTVTPITESELLNSIESSQWHFIKQVAPHYTPSLLSSALTSLRHKPRLVLQLLSHLNDHPHSLDLTTSSLAACILCRLPSPKPSINLLQSIILSSTATNRTIFHELELSRDRVDAKTSLIFDLLIRAYCELKKPNESLECFYLMKEKGVEPNIETCNQMLSLFLRLNRTQMAWVLYAEMFRMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIGYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDKGLEPDCYTYNSFISGLCKERRLEEASGLLCKMLEIGLVPNAVTYNALIDGYCNKGDLDKAFACRDEMISKGVTTTLVTYNLFIHALFMEGRMGEADNMINEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIRPTLVTYTSLIYVLGKRNRMKEADALFNKVQQEDG >Vigun03g201200.2.v1.2 pep primary_assembly:ASM411807v1:3:30520365:30523448:-1 gene:Vigun03g201200.v1.2 transcript:Vigun03g201200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun03g201200.5.v1.2 pep primary_assembly:ASM411807v1:3:30520365:30523458:-1 gene:Vigun03g201200.v1.2 transcript:Vigun03g201200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun03g201200.3.v1.2 pep primary_assembly:ASM411807v1:3:30521115:30521620:-1 gene:Vigun03g201200.v1.2 transcript:Vigun03g201200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLPTLFMFQWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun03g201200.1.v1.2 pep primary_assembly:ASM411807v1:3:30520365:30523448:-1 gene:Vigun03g201200.v1.2 transcript:Vigun03g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun03g201200.4.v1.2 pep primary_assembly:ASM411807v1:3:30521115:30521620:-1 gene:Vigun03g201200.v1.2 transcript:Vigun03g201200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLPTLFMFQWNPKDKLLRGNQNLGSDRALHFLGKAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun07g235900.1.v1.2 pep primary_assembly:ASM411807v1:7:35756850:35759474:-1 gene:Vigun07g235900.v1.2 transcript:Vigun07g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGVGLLARKRAENNHRHENRHNYYLKEESLHPPSLPLTVLDQTALKARQRLHHKLGHFFSSYRSGENPRKKGGKVRESSNQKKEGGLGRKLLENSWLLRGNKVKEERKVCAVCLEELGEEQQHVMNLSCSHKYHSACLLPWLAAHPHCPYCRTPVQL >Vigun01g223700.1.v1.2 pep primary_assembly:ASM411807v1:1:39708518:39712097:-1 gene:Vigun01g223700.v1.2 transcript:Vigun01g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPPSSPLRDLLLRSSTRLSESLATVPYTPHRASNVSVKAFLEPLLLPTASVKDLALACALLCSSAFDYSGILSWIPSHLSSLATSSFSELSCAYHAELGNGNRDVVVPPEKRLVLELLPEVLPLLKERIQESSINKSDESDEFSAASARVPVGFAILAAFQFRWFITQVDYPHLGKLCGLVIPCALTAVDHWSAVVKGQGMISLAHIGRNVDGAELGGYVDAVLDACCQNTASDDDIWHHVVEASVVLVTLTQRSNPRSPWFERMLNEMISHLERQPRNKERRIAWLKSADSLFDGVGLVLLAHFRRIFPLFFQWMHADDNETIILVLKCTYIILRLTWIRNSPFFAKLVDELAVVYKEAALRTAREDIRANIYQILILLQESKGLHFEAAWDKHRLDPDLTTLNLSLSGRSNTKTVPSEDCLQQVSVPVQ >Vigun01g223700.2.v1.2 pep primary_assembly:ASM411807v1:1:39709269:39712097:-1 gene:Vigun01g223700.v1.2 transcript:Vigun01g223700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPPSSPLRDLLLRSSTRLSESLATVPYTPHRASNVSVKAFLEPLLLPTASVKDLALACALLCSSAFDYSGILSWIPSHLSSLATSSFSELSCAYHAELGNGNRDVVVPPEKRLVLELLPEVLPLLKERIQESSINKSDESDEFSAASARVPVGFAILAAFQFRWFITQVDYPHLGKLCGLVIPCALTAVDHWSAVVKGQGMISLAHIGRNVDGAELGGYVDAVLDACCQNTASDDDIWHHVVEASVVLVTLTQRSNPRSPWFERMLNEMISHLERQPRNKERRIAWLKSADSLFDGVGLVLLAHFRRIFPLFFQWMHADDNETIILVLKCTYIILRLTWIRNSPFFAKQGLP >Vigun06g021100.2.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931498:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.3.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRLGESLLPCKVEEDHQPQRGFMAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.4.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRLGESLLPCKVEEDHQPQRGFMAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.9.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.8.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRLGESLLPCKVEEDHQPQRGFMAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKNPDARLLKGRVIRNYNELCIIIGHCDPHDSPMSGACANMGMTTDNGVMEVQETSCRRTNYAKEKGNTVTWTDEMDHYLTELLVNQVLLGNKLEKNFKTSAYIAVLTALNERFGLNITKENIISRLNTWRKQYGLLKEMLSQGSFEWDEECKMIVATDIEWNEYIKKHPDARHLRDRHIENYHELETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.1.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKFRLGESLLPCKVEEDHQPQRGFMAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKNPDARLLKGRVIRNYNELCIIIGHCDPHDSPMSGACANMGMTTDNGVMEVQETSCRRTNYAKEKGNTVTWTDEMDHYLTELLVNQVLLGNKLEKNFKTSAYIAVLTALNERFGLNITKENIISRLNTWRKQYGLLKEMLSQGSFEWDEECKMIVATDIEWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.7.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKNPDARLLKGRVIRNYNELCIIIGHCDPHDSPMSGACANMGMTTDNGVMEVQETSCRRTNYAKEKGNTVTWTDEMDHYLTELLVNQVLLGNKLEKNFKTSAYIAVLTALNERFGLNITKENIISRLNTWRKQYGLLKEMLSQGSFEWDEECKMIVATDIEWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.6.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKNPDARLLKGRVIRNYNELCIIIGHCDPHDSPMSGACANMGMTTDNGVMEVQETSCRRTNYAKEKGNTVTWTDEMDHYLTELLVNQVLLGNKLEKNFKTSAYIAVLTALNERFGLNITKENIISRLNTWRKQYGLLKEMLSQGSFEWDEECKMIVATDIEWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g021100.5.v1.2 pep primary_assembly:ASM411807v1:6:9917641:9931497:1 gene:Vigun06g021100.v1.2 transcript:Vigun06g021100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVTYQPVVLEANQRPGLARAEGPPGMPSKVYQTRCSSDKEKPKYMVWTNKMDKCLTEVLAEQVKKGNKMDNMFTPAAFSGALKALNEKYDMYVTKGQIKNRLKTLKKQFGVLKELLAQRGFMWDDTKKMVVADNSVWNDYIKMHPDARIFRAKSIENYDQLCVILGKEQVIASLSDNVIDTDVNFAVDKRDPDLALVSEVHHDGNQTKSLRWTVEMDHWLGKILVDQVKKGLKVDKVLLTEAYESAVSVVNAKFGLHLEKFNIKNRLKTWKKQYEQLKEILSHTGFQWDKIKKMIIANDSTWNDYIRTHLDARTFRGRVFENYDQFCIIFGNEPLDWDESEPCDAVNYDINVRDPGRQMRWTSDMDSCLSAILVQQIKQGNRSEFDYKWRPAALEASVLAINEKFQLYLTKDHVKNRLKTWKRQYDILKELMNQSGFEWDEKRKIVIAKDSVWNEYIKKHPDARHLRDRHIENYHELGMIVGNEQGIGNWSENSERFDVNITPNYEEHAETPALVLANADMSRDDDASDEVQGSSEQTRARPSSSQSHSKQPSKRRRTCDVLLQMMSVMAADISRIADALTETNNRVCLEEVVEKVQNMPDFDDDLIIEACEYLCFDEKRALLFLKLEDRLRKKWLLKRLRGQ >Vigun06g171800.1.v1.2 pep primary_assembly:ASM411807v1:6:29303098:29303993:1 gene:Vigun06g171800.v1.2 transcript:Vigun06g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARFIKPKRGEFPPEEFEILRQKVKMEQLPENLSETKRLAPSPPPPGGIHHQRDVDDDDENVNQLDECSSLYRLMQDCVVRSNRNWKVCQPEVHALRECFEKRKNMQGK >Vigun06g171800.2.v1.2 pep primary_assembly:ASM411807v1:6:29303412:29303993:1 gene:Vigun06g171800.v1.2 transcript:Vigun06g171800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPENLSETKRLAPSPPPPGGIHHQRDVDDDDENVNQLDECSSLYRLMQDCVVRSNRNWKVCQPEVHALRECFEKRKNMQGK >Vigun08g051800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6054550:6058345:-1 gene:Vigun08g051800.v1.2 transcript:Vigun08g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLHMMWRVVAVLLLLLVQTSHAGCLPSSCGKISYISYPFRLKGDPEKCGDERYELGCENNVTLLYLYSAKYHVEAINYKNYTVRVVDPALQLHNCSSLPLRSISRSNFSHTYSKSYTYTYSSDPYQAGLRAHSKWESLSFEHIVFLSCNHSVRENGKYVGSGECVKWDSKGYAYAVVGDLKAEDLEVGCDVKLVAPTSLWSFNNHSYASMHRALAYGFEISWLKVACQKNHCRFRG >Vigun09g156500.1.v1.2 pep primary_assembly:ASM411807v1:9:32199561:32200953:-1 gene:Vigun09g156500.v1.2 transcript:Vigun09g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRRPARFKKPDFMQVKPISEEKLNVLRAAAARVYVNEQNPAEKFSEKNRVGGVPESENVREEADNDNGVLLLAAVASGLKRTVDKKVKQGVRKIYPDDISR >Vigun07g181000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29785489:29785866:1 gene:Vigun07g181000.v1.2 transcript:Vigun07g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIWWSLLGAAIPVVVAGQAFRVKKRHAEEQRLKSARGRERSSDEIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDLDACADACARTVCVNQHQVPNWNDICLRRCQSECLKLSSQSS >Vigun04g098700.2.v1.2 pep primary_assembly:ASM411807v1:4:22680163:22682268:1 gene:Vigun04g098700.v1.2 transcript:Vigun04g098700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNISMANSLESNPRQRSEAGLVTQSLSNSLSDIDIHNYNKLFWMRNNSIKAPSLWKLGKYIEQTGLQKVDWIGFSFINTDILATNPINLSVVLGLLVFFGKGVLLSLRDLLDNRKQKIWRTIRNSEELQENAIEQLKKAQARLRKVETEVDMFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRIVSVNTGVFGITK >Vigun09g117150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25803745:25803930:1 gene:Vigun09g117150.v1.2 transcript:Vigun09g117150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLSLLGLFFSSTPLYLPSSSLENPKHQQPSLLFHSPISTTSFSLSPFLSNCLCHRLNN >Vigun04g002800.1.v1.2 pep primary_assembly:ASM411807v1:4:212916:217734:-1 gene:Vigun04g002800.v1.2 transcript:Vigun04g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCSSFRATFVGVRLFGVQLHISNSSSLTIKKSFSMDSLPLLSSPSSSFSSSRISIDDHLSDSDGLIVRPQDRKKGVPWTEEEHRTFLVGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFIRLATLNKKKRRSSLFDLVGGSSNSKSGEIENDVTLSLLQAQETKQKDSDDCCEDEAGADHEAVMWLHPQMKSSSNNSIAAIPDLELTLSVSKGKAKTMEQTKSTPGSFLLGPISVT >Vigun04g002800.2.v1.2 pep primary_assembly:ASM411807v1:4:212916:217734:-1 gene:Vigun04g002800.v1.2 transcript:Vigun04g002800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYQIPMASLFDHKTGRKVCITLKFIHIFRSIPPQGVPWTEEEHRTFLVGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFIRLATLNKKKRRSSLFDLVGGSSNSKSGEIENDVTLSLLQAQETKQKDSDDCCEDEAGADHEAVMWLHPQMKSSSNNSIAAIPDLELTLSVSKGKAKTMEQTKSTPGSFLLGPISVT >Vigun11g212700.1.v1.2 pep primary_assembly:ASM411807v1:11:40755971:40759328:1 gene:Vigun11g212700.v1.2 transcript:Vigun11g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSYSYSSSPRSLAVEHNILFLRGFTILFLTCIAIRLDLGLGLSSIPSSLKSLPLGGHLNFDELSLRNAARDFGNRYQYHPMAVLHPESVSDIAATIKHVWLRGPSSHLTVAARGHGHSLQGQAQAHGGVVINMESLKVPEMQVHVGNFAPYVDVSGGELWINILHETLRYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFKYGPQISNVLQLEIVTGTGEVVNCSAEHNGELFHSVLGGLGQFGIITRARIVLEPAPAMVKWIRVLYSDFTAFTRDQERLISSENTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQANHFKSDGRTLFCLELAKYFNVEEIDAANQEVEEYLSRLSYISSTLFSTEVTFVDFLDRVHVSEVKLRSKGLWDVPHPWLNLLIPKSQIQNFAEVVFGNILTETSNGPVLIYPVNKSKWDNRTSVVIPEEDIFYLVAFLTSAVPSSNGTDGLEYILSQNKRILEFCQRAQLGVKQYLPHYNTQQEWRAHFGPQWETFLQRKSVYDPLAILAPGQRIFQKAITFS >Vigun11g017800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2218318:2221869:-1 gene:Vigun11g017800.v1.2 transcript:Vigun11g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEMVTGALVSAFLERTIDTLASRFMDIFRARKHKKKQLSDLKMKLFTIDVVAYDAEQKQFTDPRVRDWLLRAKDVVFDAEDLLDEIDYELLTNQVVEAESQSATKKVWNSLKSSFVSFFENEIESKMDQVIEDLEDLATQSDFLGLKKASGAGIGSGSGSKLTYTSLPNETVIYGRDDDKEFVFNWLTSDTDNKLSILSIVGMGGLGKTSLAQHVFNDPRLEAKFDIKAWISVPQEFDVLNVSRAILDTITGSTEHSMQQEVIQRKLKEKIMGKKFLLILDDVWNEKRSKWEDVQKPLTFGGQGSRILVTTRSEKVVATMRSEKHLLQVLKEDYCWDLFAKHAFQSYNPQTDPDFVEIGKNIVKKCNALPLALKTTGSLLHNKSSLWEWESIMKSEIWDFSENESDILPALRLSCLHLPSHLKKCFAFCAMFPKGYRFDKDLLIQLWMAEMFLESPLKEKSPEEVGEQYFNDLVSWSFFQLSGDDEENYFIMHDLLNDLAKYVSEDICIRLGFDEPKGISNITRHCSFSTAKFFFDGFGSSINTQKLRTFIQTDWRTNFPFPLRSWHCTTSIDDLFSKFKSIRVLYLSHCTNLREVPKSVGNLKHFRSLDLSHTDIEKLPDSMSLLYKLQILKLNKCEKLKELPSYLHELDNLRCLELVNTGVKNVPAHLGKMKNVQVLMSPFYVEKSKEFSIQQLGDLNLHGSLTIDELQNIENPSYALEANLKSKAHLVELVLNWNFIENSSVDSAKAGDVIENLRPSKYLKKLAIKNYVGNQLPNWLLNNSLLNMVSLVLRRCKSCQRFPPLGLLPFLKNLEISGFEEIVNIDADFHGNNSSSFKSLERLEFSSMSQWEKWDCQDVTGAFPRLHYFSISSCPKLKGHLPEFVALKTLRVIRCEHVEALIVFAIELRLQDCGKLQLELSTTNKLRMGGHTMEASMVKTVGHIIFNTSLEYLSIYSPLKSISDDCVSLRTFPLHFFPKLKNLYLGGFCNLQRISQHEPHNHLKDLKIKNCPKFESFPENMHRMSLWELWIEECPKLESFPNGGLPSNLSFMDLKDCSKLIGSLRGAFRDNPSLRCLWIEKVDAKSFPDEGLLPCSLVSLTILDFPNLEKLDHKGLYQLSSLEKLGLWNCPNLQHLPEEGLPISISRLQIRNCPLLKERCQEEGGEDWQKIAHIQDLEIQE >Vigun09g145300.1.v1.2 pep primary_assembly:ASM411807v1:9:30843858:30848067:1 gene:Vigun09g145300.v1.2 transcript:Vigun09g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFYAVFGGLAAVVAALELSKSNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKVLMLGRILGGIATSLLFSAFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNVLVDTLALGPVAPFDAASCFLAIGMAIILSSWTENYGDPSESKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSLRVESYMQIVFAVSSAALMLPILTTFLVVPTGVKGGSISFTGCIQLLGFCAFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLLVACILQRRLMVISDKPSCSCSSPIRNTFLLIAGIIFV >Vigun09g145300.3.v1.2 pep primary_assembly:ASM411807v1:9:30843858:30848067:1 gene:Vigun09g145300.v1.2 transcript:Vigun09g145300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFYAVFGGLAAVVAALELSKSNKDRINTSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKVLMLGRILGGIATSLLFSAFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNVLVDTLALGPVAPFDAASCFLAIGMAIILSSWTENYGDPSESKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSLRVESYMQIVFAVSSAALMLPILTTFLVVPTGVKGGSISFTGCIQLLGFCAFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLLVACILQRRLMVISDKPKAAEWQLKDRDGESEPLNI >Vigun07g040700.1.v1.2 pep primary_assembly:ASM411807v1:7:3993195:3998831:1 gene:Vigun07g040700.v1.2 transcript:Vigun07g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESLTVCVTGASGFIGSWLVMRLIQRGYTVRATVVDPDNMKKVKPLLDIPGAESKLSLWKANLAEEGSFDEAIKGCIGVFHVATPIDFESKDPENEVIKPAIRGVIDIMKACLKAKSVRRLIYTSSAITTHITNHHKSLYDETCWTDVELCRREKMPGWMYFVSKTLAEQEAWKFAKENGLDFITILPTLVVGAFLPPSMPSSIITALSPIIRKEEHYSIIRQGKLVHIEDICLAHIFLFEEPKAEGRYICNACDVTIHEIAQLINKKYPEYKVPTEFEKIPDALVPVRLSSKKLRDLGFEFKYSLEDMYTQAIDACREKGLLPKTAESP >Vigun08g132100.2.v1.2 pep primary_assembly:ASM411807v1:8:30302727:30307321:-1 gene:Vigun08g132100.v1.2 transcript:Vigun08g132100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCVSSSPHFLSIPTRVRVRESKISTLVSMLFPSCSLPYLLLPPTLFNCFCSSTHSLPTPSQKWEPFLKKKVVMRVGYVGTDFRGLQIQRDEHTLSTIEKELETAIFMAGGMRDSNFGDLNKVKWGRSSRTDKGVHSLATMISFKMEIPENAWKGDDYGIALANRINTYLPYTIRVFSILPSTKFDPRRECNLRKYSYLLPADIIGIQSHFSKDEIDFHISEFNSILNVFEGEHPFHNYTVRSKYRKKYQLKQSSGSDVMSDRTGPSSLASGCESEGEDSDDYDFEGGIPTTLTDKEERHKPPESSETNGLSSQNSNVVVRARWLHQPDEKDRLNASHFRNVFRCSCGKLETSLGYGYVEIHIWGDSFMLHQIRKMVGTAVAVKRNLIPKDIILLSLNKFSRIVLPLAPPVVLILRGNAFKMRSSAGSFTRQEMVSMVESEQILKAVDEFYTGVVLPEVSKFLDSSNSPWAKWVENLENYSSIPDGELDEVRKAWKTWKENFRLKSASETVNAQMLNHG >Vigun08g132100.1.v1.2 pep primary_assembly:ASM411807v1:8:30302727:30307321:-1 gene:Vigun08g132100.v1.2 transcript:Vigun08g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCVSSSPHFLSIPTRVRVRESKISTLVSMLFPSCSLPYLLLPPTLFNCFCSSTHSLPTPSQKWEPFLKKKVVMRVGYVGTDFRGLQIQRDEHTLSTIEKELETAIFMAGGMRDSNFGDLNKVKWGRSSRTDKGVHSLATMISFKMEIPENAWKGDDYGIALANRINTYLPYTIRVFSILPSTKRFDPRRECNLRKYSYLLPADIIGIQSHFSKDEIDFHISEFNSILNVFEGEHPFHNYTVRSKYRKKYQLKQSSGSDVMSDRTGPSSLASGCESEGEDSDDYDFEGGIPTTLTDKEERHKPPESSETNGLSSQNSNVVVRARWLHQPDEKDRLNASHFRNVFRCSCGKLETSLGYGYVEIHIWGDSFMLHQIRKMVGTAVAVKRNLIPKDIILLSLNKFSRIVLPLAPPVVLILRGNAFKMRSSAGSFTRQEMVSMVESEQILKAVDEFYTGVVLPEVSKFLDSSNSPWAKWVENLENYSSIPDGELDEVRKAWKTWKENFRLKSASETVNAQMLNHG >VigunL029600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:153505:154110:-1 gene:VigunL029600.v1.2 transcript:VigunL029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >Vigun07g076300.1.v1.2 pep primary_assembly:ASM411807v1:7:10149910:10153120:1 gene:Vigun07g076300.v1.2 transcript:Vigun07g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYDDVVIISQPQKDGDPTVLTVNCPDKTGLGCDLCRIILFFGLNILRGDVSTDGKWCYIVFWVVGKHKTRWSLLKTRLIEACPSCSSASGISYYRSELQPSKPPDVFLLNFCCHDRKGLLHDVTEVLCELELTIKKVKVSTTPDGKVMDLFFITDTRELLHTKKRKDETIEHLTEILGDAIISIDIDLVGPEITACTQASPLLPTAITEDAFDLELPDSARGGTLRSDYVSVMMDNTLSPAHTLVQIMCQDHKGLLYDIMRTLKDYNIQISYGRFTTKPRGKCEIDLFIMQADGKKIVDPHKQNSLSSRLRMELFRPLRVTIVSRGPDTELLVANPVELSGKGRPLVFYDITLALKMLGICIFSAKVGRHVIGDREWEVYRVLLDEGEGLSFPKNKIEEGVWKMVMGWE >Vigun07g118600.6.v1.2 pep primary_assembly:ASM411807v1:7:22017225:22018414:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLVSYLFLFVG >Vigun07g118600.5.v1.2 pep primary_assembly:ASM411807v1:7:22017089:22018414:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLVVCLKITAAQKQVGSNQFKGCS >Vigun07g118600.2.v1.2 pep primary_assembly:ASM411807v1:7:22012113:22018880:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLDTVFDPVSLACGHIFCYTCACLAASVTIVDGLKAAKFKAKCALCRQQGVFEDAVHLEELNILLGRRCKEYWEQRLRMERIERVKQVKEHWQTQCREFVGI >Vigun07g118600.3.v1.2 pep primary_assembly:ASM411807v1:7:22010983:22018626:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLDTVFDPVSLACGHIFCYTCACLAASVTIVDGLKAAKFKAKCALCRQQGVFEDAVHLEELNILLGRRCKEYWEQRLRMERIERVKQVKEHWQTQCREFVGI >Vigun07g118600.1.v1.2 pep primary_assembly:ASM411807v1:7:22013799:22018626:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLDTVFDPVSLACGHIFCYTCACLAASVTIVDGLKAAKFKAKCALCRQQGVFEDAVHLEELNILLGRRYEVIHKTGYYLEVLETYIYCVILVLVFMIYIFIVD >Vigun07g118600.4.v1.2 pep primary_assembly:ASM411807v1:7:22013351:22018626:-1 gene:Vigun07g118600.v1.2 transcript:Vigun07g118600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKTYQRYMQGHDHKLPAVGFKKLKKTMKRCRRASTPTCPNHCPDHCPVCDGTFFPSLLNEMSDIVGCFNQRAQKLLEVHLASGFRKYFLMLKGKMHRNHTALIEEGKDLVIYALINAIAIRKILKKYDKIHYSKQGQLFKSKVQTMHKEILQSPWLSELIALHINLRETKAKSREASALFHGCYLTFKDGKPSLTCELFDSFKIDINLTCSICLDTVFDPVSLACGHIFCYTCACLAASVTIVDGLKAAKFKAKCALCRQQGVFEDAVHLEELNILLGRR >Vigun10g067900.3.v1.2 pep primary_assembly:ASM411807v1:10:15823221:15833091:-1 gene:Vigun10g067900.v1.2 transcript:Vigun10g067900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLRSSLSMSSRNSKTPNTQTQSNSKLQHQQQEESVYGITEELINHVKSFTVDTFKNFPLKDEDEGSYWEETQSSSTKVRKDLSDWQERHAILVLSRVKEISQLRYALCPRHLKENQFWKIYFKLTRSHVLE >Vigun10g067900.2.v1.2 pep primary_assembly:ASM411807v1:10:15823221:15833091:-1 gene:Vigun10g067900.v1.2 transcript:Vigun10g067900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLRSSLSMSSRNSKTPNTQTQSNSKLQHQQQEESVYGITEELINHVKSFTVDTFKNFPLKDEDEGSYWEETQSSSTKVRKDLSDWQERHAILVLSRVKEISQLRYALCPRHLKENQFWKIYFKLTRSHVLEYELRHIKQEKLKRMAMEDEKSSVNNSYEVEMAEAKDISFVEPLPPSE >Vigun10g067900.1.v1.2 pep primary_assembly:ASM411807v1:10:15823221:15833091:-1 gene:Vigun10g067900.v1.2 transcript:Vigun10g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLRSSLSMSSRNSKTPNTQTQSNSKLQHQQQEESVYGITEELINHVKSFTVDTFKNFPLKDEDEGSYWEETQSSSTKVRKDLSDWQERHAILVLSRVKEISQLRYALCPRHLKENQFWKIYFKLTRSHVLEYELRHIKQEKLKRMAMEDEKSSVNNSYEVEMAEAKDISFVEPLPPSE >Vigun10g067900.4.v1.2 pep primary_assembly:ASM411807v1:10:15830065:15832916:-1 gene:Vigun10g067900.v1.2 transcript:Vigun10g067900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLRSSLSMSSRNSKTPNTQTQSNSKLQHQQQEESVYGITEELINHVKSFTVDTFKNFPLKDEDEGSYWEETQSSSTKVRKDLSDWQERHAILVLSRVKVLLWALSNHLVDCLITFFLLSFNNTK >Vigun01g231600.2.v1.2 pep primary_assembly:ASM411807v1:1:40398175:40404204:1 gene:Vigun01g231600.v1.2 transcript:Vigun01g231600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVIRSFNYPMGTMSHMRPSRDKQVVVPIHNVGWNSKSRLFTQHLSYDRKHINSHMKGSTTLVSCAKTAEPINTSKSGDASSDSTPQGSLEKKPLQATTFPNGFEALVLEVCDETEIAELKVKVGDFEMHIKRNIGATTVPLSNISPTTPPPIPSKPMDESAPGTLPPSPPKSSPEKKNPFIDSFREKSPRLAALEASGTTTYVLVPSPTVGFFRRGRTVKGKRQPPICKEGDLVTEGQIIGYLDQFGTGLPVKTDVAGVVLKLVVDDGEPVGYGDPLIAVLPSFHDIK >Vigun01g231600.1.v1.2 pep primary_assembly:ASM411807v1:1:40398177:40404204:1 gene:Vigun01g231600.v1.2 transcript:Vigun01g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVIRSFNYPMGTMSHMRPSRDKQVVVPIHNVGWNSKSRLFTQHLSYDRKHINSHMKGSTTLVSCAKTAEPINTSKSGDDVAASSDSTPQGSLEKKPLQATTFPNGFEALVLEVCDETEIAELKVKVGDFEMHIKRNIGATTVPLSNISPTTPPPIPSKPMDESAPGTLPPSPPKSSPEKKNPFIDSFREKSPRLAALEASGTTTYVLVPSPTVGFFRRGRTVKGKRQPPICKEGDLVTEGQIIGYLDQFGTGLPVKTDVAGVVLKLVVDDGEPVGYGDPLIAVLPSFHDIK >Vigun09g216800.1.v1.2 pep primary_assembly:ASM411807v1:9:39078008:39080341:-1 gene:Vigun09g216800.v1.2 transcript:Vigun09g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNPFVSPTRTFLPLRVSAPPNKGLRWTLYSPSFKPSLRSKHVTCGATADIKAAPLADSEADGAVLEVPTSEEEVKVEYNWTEEWYPLYLTKNVPEDAPLGLTVFDKQLVLFKDGNGQLHCYEDRCPHRLAKLSEGQLVDGRLECLYHGWQFEGEGKCVKIPQLPADAKIPRTACVKTYEVRDSQGVIWVWLSLKTPPNDSKLPWFENFARPGFTDISTIHELPYDHSILLENLMDPAHVPISHDRTDWTAKRENARPLSFEVTERTDRGFAGWWGAEKDGSKPNFLRFEAPCVLQNNREFADKSGVTNYFTGLFLCRPTGQGKSMLIVRFGSTRSSSLLVKLFPKWYFHQNASTVFDQDMGFLSSQNEILLKEKVPTKHLYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHSTISLPKQPAVVEHAPAGLVAGQSASSPAKGGIGSIHAPNLANRYFRHVIHCKECRTAVKAFQAWKNALSAVAVTLIALAILVSGKQWKAILLASASLCSIGVYACSRAIAMNTTNFVRTHRRL >Vigun04g082301.1.v1.2 pep primary_assembly:ASM411807v1:4:12447686:12449579:1 gene:Vigun04g082301.v1.2 transcript:Vigun04g082301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSDKSASRIHSDRESLSNIPLRSGYEWVDSIVREYFSKYKWSSSIRRFAEAYAILDEDSPDEVVSLDRVGRVDNACHGREGYSDEFFYMYVVLFTNLHVRLPFDEFTVGVLRILNVAPSQLHPNAWAALQAFRFLCRILGLKPSPAVFLHHYSTRPKEPVRWLSLFGQPRIGLLAPYSSSFKNYKNTFFRVVINPVGRSYFFDGDTPKFPFYWTRNPLHYDEWPRTMMSAEDCEVLNLLDSLPRRLPTKRIVAILSSPRPRGDMLGIRFHLLREKLNERKKGGDNAPGTSSTAQPKCALGVGSPRPPPAAEKNKRKTAQKDASSSRPPSPKHSRVSGDASYQRLMGAEMQIYDGMSITISQEEANLITESPLPSLMKAFAEFQSRALVIGRHMGHELIKVGQTEDLEAQIASLKKQLRVANTEKDNLAGEVGDFQKQLQQAIGDRKSWRNCCLEAEEKLKKSSEEASALKRSIDEMKTAHAELDKEVWELREGVVEEHELGFRKALRQAALLFYIPADDDHFDVGKDVYQKALVRIEDIPVISDQAEDTPHTPTAEDTERSRDGNADGAGDRD >Vigun11g059200.1.v1.2 pep primary_assembly:ASM411807v1:11:12822579:12822841:1 gene:Vigun11g059200.v1.2 transcript:Vigun11g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYPSINMGKKQFFEYLQGEAESGMERLHQCVEKELLPFLCLMSPSNLESSMIFALN >Vigun03g076700.1.v1.2 pep primary_assembly:ASM411807v1:3:6358121:6365322:1 gene:Vigun03g076700.v1.2 transcript:Vigun03g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVREAHERLKAGSVDVLHCYYAHGEEDENFQRRTYWLLEEELSNIVLVHYRQVKGAKANYTCAKENEESLPCAQHTDKIMLKAEMDSSFSSTPHPNSYQVPSQTMDTSMNSAQVSEYEEAESAFNSHASSEFYSFLELQRPVEKIIDQPAGSYSPHPHINEQKKLPVNAEVNYISLTQDRKIIDIHNGGLIYESPKPLGFSSWEDILENNGGNQHMPFQPLFPEMQPDDMAVSSNFCQGYDIMVPHLTTSMAKLHDNGSLIQAEGSWQGYSVDSLRVSSWPIDNVHSSSACEASCSNCEHEVNEVDIQESLEQSLLHQHKQNKVLMLNDPQEMLLNTKEKLKSDFEASRTLDGIEDTPFTFKRTPLDGSPAEEGLKKLDSFNQWMSKELGDVEESNKPSTSGAYWDTVESESEVGSTTIPSQGHLDTYVLDPSVSNEQLFSIIDYSPSWAFEGSEVKIIISGRFLRSQQEAEQCKWSCMFGEVEVPAVILAKDVLCCHTPPHKAGRVPFYVTCSNRLACSEVREFDFQVNCTQEINTAAKDRASIFLTLSRRFGELLSLGHALPQNSDSISENEKSQLRSKISSLLRGEDDVWDKLLELTQEKDFSPEDLQEQLLQNLLKDKLHAWLLQKITEDGKGPNVLDEGGQGVLHFAAALGYDWALEPTVVAGVNVNFRDVNGWTALHWAAFYGRERTVAFLISLGAAPGLLTDPCPEHPSGRTPADLASTNGHKGIAGYLAESSLSAQLTTLDLNKDVGENSGTKVVQRTQNIAQVNDLDGPSYEQSLKDSLAAVCNATQAAARIHQVFRMQSFQRKQLKEFGDDKFGISDERALSLVKMNGKSHKSGSRDEPVHAAAIRIQNKFRGWKGRKEFLMIRQRIVKIQAHVRGHQVRKNCGKIIWSVGILEKVILRWRRKGSGLRGFKSEANSEVTMIQDVTSPEDDYDVLKEGRKQTEQRLQKALARVKSMIQYPEARDQYHRVLNVVTEIQENQVKHDSNCNNSEEMRDFNNLTDLEALLDEDIFMPTAT >Vigun03g076700.2.v1.2 pep primary_assembly:ASM411807v1:3:6358419:6365113:1 gene:Vigun03g076700.v1.2 transcript:Vigun03g076700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVREAHERLKAGSVDVLHCYYAHGEEDENFQRRTYWLLEEELSNIVLVHYRQVKGAKANYTCAKENEESLPCAQHTDKIMLKAEMDSSFSSTPHPNSYQVPSQTMDTSMNSAQVSEYEEAESAFNSHASSEFYSFLELQRPVEKIIDQPAGSYSPHPHINEQKKLPVNAEVNYISLTQDRKIIDIHNGGLIYESPKPLGFSSWEDILENNGGNQHMPFQPLFPEMQPDDMAVSSNFCQGYDIMVPHLTTSMAKLHDNGSLIQAEGSWQGYSVDSLRVSSWPIDNVHSSSACEASCSNCEHEVNEVDIQESLEQSLLHQHKQNKVLMLNDPQEMLLNTKEKLKSDFEASRTLDGIEDTPFTFKRTPLDGSPAEEGLKKLDSFNQWMSKELGDVEESNKPSTSGAYWDTVESESEVGSTTIPSQGHLDTYVLDPSVSNEQLFSIIDYSPSWAFEGSEVKIIISGRFLRSQQEAEQCKWSCMFGEVEVPAVILAKDVLCCHTPPHKAGRVPFYVTCSNRLACSEVREFDFQVNCTQEINTAAKDRASIFLTLSRRFGELLSLGHALPQNSDSISENEKSQLRSKISSLLRGEDDVWDKLLELTQEKDFSPEDLQEQLLQNLLKDKLHAWLLQKITEDGKGPNVLDEGGQGVLHFAAALGYDWALEPTVVAGVNVNFRDVNGWTALHWAAFYGRERTVAFLISLGAAPGLLTDPCPEHPSGRTPADLASTNGHKGIAGYLAESSLSAQLTTLDLNKDVGENSGTKVVQRTQNIAQVNDLDGPSYEQSLKDSLAAVCNATQAAARIHQVFRMQSFQRKQLKEFGDDKFGISDERALSLVKMNGKSHKSGSRDEPVHAAAIRIQNKFRGWKGRKEFLMIRQRIVKIQAHVRGHQVRKNCGKIIWSVGILEKVILRWRRKGSGLRGFKSEANSEVTMIQDVTSPEDDYDVLKEGRKQTEQRLQKALARVKSMIQYPEARDQYHRVLNVVTEIQENQTDCISFAGKA >Vigun03g076700.3.v1.2 pep primary_assembly:ASM411807v1:3:6358419:6365081:1 gene:Vigun03g076700.v1.2 transcript:Vigun03g076700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARHYVPPLNWISSKLSWKHSIDGCVRLKFAQFSATIRSSELLQSLLICHQVLRYFRKDGHNWRKKKDGKTVREAHERLKAGSVDVLHCYYAHGEEDENFQRRTYWLLEEELSNIVLVHYRQVKGAKANYTCAKENEESLPCAQHTDKIMLKAEMDSSFSSTPHPNSYQVPSQTMDTSMNSAQVSEYEEAESAFNSHASSEFYSFLELQRPVEKIIDQPAGSYSPHPHINEQKKLPVNAEVNYISLTQDRKIIDIHNGGLIYESPKPLGFSSWEDILENNGGNQHMPFQPLFPEMQPDDMAVSSNFCQGYDIMVPHLTTSMAKLHDNGSLIQAEGSWQGYSVDSLRVSSWPIDNVHSSSACEASCSNCEHEVNEVDIQESLEQSLLHQHKQNKVLMLNDPQEMLLNTKEKLKSDFEASRTLDGIEDTPFTFKRTPLDGSPAEEGLKKLDSFNQWMSKELGDVEESNKPSTSGAYWDTVESESEVGSTTIPSQGHLDTYVLDPSVSNEQLFSIIDYSPSWAFEGSEVKIIISGRFLRSQQEAEQCKWSCMFGEVEVPAVILAKDVLCCHTPPHKAGRVPFYVTCSNRLACSEVREFDFQVNCTQEINTAAKDRASIFLTLSRRFGELLSLGHALPQNSDSISENEKSQLRSKISSLLRGEDDVWDKLLELTQEKDFSPEDLQEQLLQNLLKDKLHAWLLQKITEDGKGPNVLDEGGQGVLHFAAALGYDWALEPTVVAGVNVNFRDVNGWTALHWAAFYGRERTVAFLISLGAAPGLLTDPCPEHPSGRTPADLASTNGHKGIAGYLAESSLSAQLTTLDLNKDVGENSGTKVVQRTQNIAQVNDLDGPSYEQSLKDSLAAVCNATQAAARIHQVFRMQSFQRKQLKEFGDDKFGISDERALSLVKMNGKSHKSGSRDEPVHAAAIRIQNKFRGWKGRKEFLMIRQRIVKIQAHVRGHQVRKNCGKIIWSVGILEKVILRWRRKGSGLRGFKSEANSEVTMIQDVTSPEDDYDVLKEGRKQTEQRLQKALARVKSMIQYPEARDQYHRVLNVVTEIQENQVKHDSNCNNSEEMRDFNNLTDLEALLDEDIFMPTAT >Vigun03g264500.1.v1.2 pep primary_assembly:ASM411807v1:3:43355988:43358681:-1 gene:Vigun03g264500.v1.2 transcript:Vigun03g264500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQVLRMNGGAGKTSYANNSFVQQKAITLSKPIREEAITSLYSSTLPRSLAIADLGCSSGPNTLFVVSEVIKTVEQLCRKLNHKSPEYRVFLNDLPGNDFNSIFVSLESFKEKLQTEVEGGIGPCYFTGVPGSFYGRVFPYQSLHFVHSSYSLQWMSKVPEGIDNNRGNIYIGTTSPSNVARAYYHQFQRDFSSFLKCRAEELVEEGRMVLTILGRKNEAEDPASSEGGYLIWELMAMALSDMCVQGIIKEEELDSFNIPQYTPSPSEVKLEVLKEGSFVINRVEMAQVNWNPLEDWNGVEFESERCESVSDSGYNLAQCMRSVAEPMLVSHFGGHIIEEVFSRFQKILADRMSKEKTEFSNITILMTRKA >Vigun03g408600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61559703:61562771:-1 gene:Vigun03g408600.v1.2 transcript:Vigun03g408600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIHDKEILNIVKENFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGREDPDFTWEVVKPLKWEKA >Vigun07g283100.1.v1.2 pep primary_assembly:ASM411807v1:7:39704670:39713089:1 gene:Vigun07g283100.v1.2 transcript:Vigun07g283100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALIFVYIVVAAVLLLFLSHSPRTFSGHRHRRLKLRSNYTLAPSRHHAVPFDPLVAELERHREDKEWEKQLIHQKHPELDPDPDSAPAHESQPEWEDFMDAEDYLNDEDKFNVTNRLILLFPKIDVDPADWFVTEHELTQWNLYQAQREVLHRTQREMELHDKNHDGFVSFSEYDPPSWAQNADNESFGYDIGWWKEEHFNASDADGDGVLNLTEFNDFLHPADSKNPKLHQWLCKEEVRERDTDRDGKVNFKEFFHGLFDLVRNYDEESHNDSNHSDNSMDAPARVLFGQLDKDGDGYLSDAELLPIIGKLHPSEHYYAKQQADYIISQADEDKDGRLTLTEMIENPYVFYSAIFNDDEDDDGDYHDEFR >Vigun07g243600.3.v1.2 pep primary_assembly:ASM411807v1:7:36494155:36501989:1 gene:Vigun07g243600.v1.2 transcript:Vigun07g243600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQNLILPPENPRPAAASFASKPMSNEIAIAAQKPPPSILVGRFKALLKQRDDELRLVAGVPVPPPTTDEIVQIYDLLLSELTCNLKPIITDLTIIAEQQREHAKGIADTICTRILEVPADQKLPSLYLLDSIVKNFGQEYIKYFSLRLPEVFCEAYRQVQPSLHPAMRHLFGTWSKVFPPSVLRKIEAELQFSVAVNTQSSTLNAVRASESPRPSHGIHVNPKYLRQLDHSTVDSVGAEKLDSSGNANNTNFGILGSKTHQILSGSSRLGISSSPSRSGHDRHLSAPMDEYAADSSANRLIERDSPHPAVDYGVGKAIGRDMELSEWQRKQYGGDGRNRFPTSITYSLSNGHQRQSPRALIDAYGSDKSQETSSTKPLLVERLDRNGIDNKVLPTSWQNTEEEEFDWEDMSPTLTDHSRNNSILASTIGFTRERPVVAANAALSEHDSRKGVWSSGSQLPPVDDSSAVAEDAFASLGFRRAPLGQVPGFQNHVNHSLGSSHHLSNSSQHIFGNRGRARTLTYPPIDNIHNADTNPYRVRPALSRMVSGRVSNVEPRPSVLPATLEIRPSVNLNVTRPPALNPINPLQKHVRSQFESLHTSNPIVNHVNKSSFMPEQSFDSVENKDASILKIHQLPNQLPGLLSSNQQNLRVESVHLYLQVALLLPLK >Vigun07g243600.1.v1.2 pep primary_assembly:ASM411807v1:7:36494155:36501989:1 gene:Vigun07g243600.v1.2 transcript:Vigun07g243600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQNLILPPENPRPAAASFASKPMSNEIAIAAQKPPPSILVGRFKALLKQRDDELRLVAGVPVPPPTTDEIVQIYDLLLSELTCNLKPIITDLTIIAEQQREHAKGIADTICTRILEVPADQKLPSLYLLDSIVKNFGQEYIKYFSLRLPEVFCEAYRQVQPSLHPAMRHLFGTWSKVFPPSVLRKIEAELQFSVAVNTQSSTLNAVRASESPRPSHGIHVNPKYLRQLDHSTVDSVGAEKLDSSGNANNTNFGILGSKTHQILSGSSRLGISSSPSRSGHDRHLSAPMDEYAADSSANRLIERDSPHPAVDYGVGKAIGRDMELSEWQRKQYGGDGRNRFPTSITYSLSNGHQRQSPRALIDAYGSDKSQETSSTKPLLVERLDRNGIDNKVLPTSWQNTEEEEFDWEDMSPTLTDHSRNNSILASTIGFTRERPVVAANAALSEHDSRKGVWSSGSQLPPVDDSSAVAEDAFASLGFRRAPLGQVPGFQNHVNHSLGSSHHLSNSSQHIFGNRGRARTLTYPPIDNIHNADTNPYRVRPALSRMVSGRVSNVEPRPSVLPATLEIRPSVNLNVTRPPALNPINPLQKHVRSQFESLHTSNPIVNHVNKSSFMPEQSFDSVENKDASILKIHQLPNQLPGLLSSNQQNLRQAPQLQFFPPSQDSSNSQFSHGSSLQGHGSSISTAMSNPLPVMPFHLPLQNVANNPLHLQGGVRPPLPPGRPPAPSQMIPHPNASPFMSSQQPSVGYTNLISSLMSQGVISLANQLPAQDSVGIEFNPDILKLRYESAVNALYGDLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKSRKQKPSRKWFVSDRMWLSGAEALGTESVPGFLPTETIEEKIDDEELAVPAEEDQNTCALCGEPFDEFYSDEMEEWMYRGAVYLYAPTGTTAGMDRSQLGPIIHAKCRSESNMAPSEDLGPDEKGGDEEGTQRKRRRS >Vigun07g243600.2.v1.2 pep primary_assembly:ASM411807v1:7:36494155:36501989:1 gene:Vigun07g243600.v1.2 transcript:Vigun07g243600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQNLILPPENPRPAAASFASKPMSNEIAIAAQKPPPSILVGRFKALLKQRDDELRLVAGVPVPPPTTDEIVQIYDLLLSELTCNLKPIITDLTIIAEQQREHAKGIADTICTRILEVPADQKLPSLYLLDSIVKNFGQEYIKYFSLRLPEVFCEAYRQVQPSLHPAMRHLFGTWSKVFPPSVLRKIEAELQFSVAVNTQSSTLNAVRASESPRPSHGIHVNPKYLRQLDHSTVDSVGAEKLDSSGNANNTNFGILGSKTHQILSGSSRLGISSSPSRSGHDRHLSAPMDEYAADSSANRLIERDSPHPAVDYGVGKAIGRDMELSEWQRKQYGGDGRNRFPTSITYSLSNGHQRQSPRALIDAYGSDKSQETSSTKPLLVERLDRNGIDNKVLPTSWQNTEEEEFDWEDMSPTLTDHSRNNSILASTIGFTRERPVVAANAALSEHDSRKGVWSSGSQLPPVDDSSAVAEDAFASLGFRRAPLGQVPGFQNHVNHSLGSSHHLSNSSQHIFGNRGRARTLTYPPIDNIHNADTNPYRVRPALSRMVSGRVSNVEPRPSVLPATLEIRPSVNLNVTRPPALNPINPLQKHVRSQFESLHTSNPIVNHVNKSSFMPEQSFDSVENKDASILKIHQLPNQLPGLLSSNQQNLRQAPQLQFFPPSQDSSNSQFSHGSSLQGHGSSISTAMSNPLPVMPFHLPLQNVANNPLHLQGGVRPPLPPGVISLANQLPAQDSVGIEFNPDILKLRYESAVNALYGDLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKSRKQKPSRKWFVSDRMWLSGAEALGTESVPGFLPTETIEEKIDDEELAVPAEEDQNTCALCGEPFDEFYSDEMEEWMYRGAVYLYAPTGTTAGMDRSQLGPIIHAKCRSESNMAPSEDLGPDEKGGDEEGTQRKRRRS >Vigun03g268200.1.v1.2 pep primary_assembly:ASM411807v1:3:43929976:43932990:1 gene:Vigun03g268200.v1.2 transcript:Vigun03g268200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLDNVSTASGEASMSSSANQTKPAPKRKRNLPGMPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSTKEVRKRVYVCPEPACVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGNREYKCDCGTVFSRRDSFITHRAFCDALAKESTRSHTVVTKANEENDSTVLTDSPPPEVAAAAATTPSQSNSVISSGVQTQNPELPECNPPEVIEEQQATTAISGSCGSNNNSTNCSASNGGATNNSNSSSVFASLFASSTSSGTLQSQTPAFSNLVRAMGPPDHHADITVPSSSEAVSLCLSTSNASPIFAAGGQEHRQYASSPPQPAMSATALLQKAAQMGAAATNASLLRGFGIVSSSSSASTPSGQQNGLQWGQPQLESESVSVPAGLGLSLPCDGDSGLKELMTGTPSMFGPKHTTLDFLGLGMAAGGGLSALITSIGGGLDVAAATTFGNGEFSGKDIGRRSS >Vigun05g101200.1.v1.2 pep primary_assembly:ASM411807v1:5:10033670:10036414:1 gene:Vigun05g101200.v1.2 transcript:Vigun05g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CPRD49 MAGPLRPQIVLFGSSIIQMSFDNGGWGAILANLYARKADIVLRGYSGWNSRRALEVLDEIFPKDASVQPSLVIVYFGGNDSIDPHPSGLGPHVPLQEYLENMRKIANHLKSLSDHIRVIFLTSPPINEEQLRRKLSATQSGRSNESCGVYANALMELCEEMNLKAINLWSAIQAREDWLDVSFTDGVHLSAEGSKVVVKEILRVLREADWKTSLHWMSMPTEYAEDSPYYPPTPDGTKTINVSHIVSRRCLQWDI >Vigun01g110750.1.v1.2 pep primary_assembly:ASM411807v1:1:28402289:28414975:-1 gene:Vigun01g110750.v1.2 transcript:Vigun01g110750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVREAPSWKFHVFLSFRGEDTRNGFTDHLYAAFRGRGFAVFRDDEELERGEVISHALLKAIDESLCSVVVLSPHYASSRWCLDELLRILESRANFGRNVLPIFYDVDPADVRHQRGTFAEAFAKHVERFGSDEVRMWRQALKDVAALSGWTSKDKRETELIEEIVAEVWKNLQSKLPSYDDELVGIDYRINSIYSLLRTNSQEVRFMSIWGMGGIGKTTLARFVYNKIHDQYDISCFLENVREVSNERDGLLCLQRKLLSHLKIRSMRIESLDQGKETIRNLLFNKKVLLVLDDLSSDIQVENLAGKPEWFGQGSRVIITTRDKHLLKSLHVCENYDVQVLNSYESLQLFCQKAFRGEKPEEAYLELSRSVVQYAGGVPLALKVLGSFLCGRSASIWEDALKMLRKDAQNDICKTLRISYDGLRDNEKAIFLDIACFFKGNTKDDVTRILENCDFNPLIGIEVLIEKSLVTYDGLHLGMHDLLQEMGRNIVLQQSPNDASKRSRLWTLKDIDQVLRNNNGTESIQAIVLNLPEPYETCWNPDAFSKMSNLRLLMILNKLQLPLGLKCLPSGLKVLVWKEYPLESLPVGAQLDELVELHMCQSKIKHLWGGTKFVENLKIINLRNCNNLHRTPDFTGIPNLEKLDLEGCVNLVEVHASLGLLKKLSYLTFEDCRNLKILPRKLLLDSLNRLVLSGCSAVRNLPEFGESMKSLSVLALEETSIAELPVSVGHLTSLTNLLLEGCKNIVRLPNTISNLKSLRRLNISGCSKISKLPDNLNENEALESLNASETAIREVPSSIVLLKNLRLLLFRGCQDLASNSWSSLLPFEKILRFNSHPTTKRLVLPSFSGLSSLRKLDLSYCNLHDGSIPEDLGCLSSLVTLDLSGNNFVCFPGCISELLKLERLLLKCCPRLESFPKLPPEVHYVNASDCGSMKPLSDPQQIWGHLVSFAFDKLQDASNFKTLLVSPGNEIPSFFFYQKHLNQVQDIEYLKENYIWADSTVSIPMDIAPLRHRYHRSEWWGILVSLVVEDVESSPSQEYRIGWISKVPSLKNILQQLCHKTEQGLISGIQNHKYPHLLILYIPIYRARWFYVHDKFQLIFYSSSLKSKLVIKKCGWRILCKEDAENWRTNLSECNTNSANQCVANPRDGRLSPHFSSWRWISRLKVPQHCKTFLLAILCDRLPANERCSFCCLEGTVIHVLRDCTRATAIWVQMVPPEVCDEFFSTSLHDWMHRFLLKLWFPDRDYYADCLRFTITIWLLWKDRNSSIFKRNSPTPTDNDGLYSLIQSLVKEYAILLHLKGEEGTSAANSLSQNSRLKLFVKLNVDGCCNGNPGNAGYGGLFRDVEGKWLGGFYGSLGLATNVRAELYAICQGLIAAWDLGYRTMLVETDSLEAINLIKEANIEDCAYSGLLADIRSLMQRNWSLDLIHSLRQDNACANMLSKLGAEQHEVYCFLAHPPQQLQPALVADALQVQLPCL >Vigun03g275100.3.v1.2 pep primary_assembly:ASM411807v1:3:45082654:45085665:-1 gene:Vigun03g275100.v1.2 transcript:Vigun03g275100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMASSASSRGIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEILVYNAYQPLSSYPTSFQDLRIDSFHKSLAVSSVGAFHCAQQVLPGMVERGKGTLLFTGCSTSLNGIAGYSELYETGCGKFALRALSQCLAKEFQPQGVHVAHVIIDGLIGPPRGATLTTSLQRGNSSGEQSSGVMGGGGESTMDPDALAQTFWHLHVQDRNAWTQEMDLRSPSARFF >Vigun03g275100.2.v1.2 pep primary_assembly:ASM411807v1:3:45082654:45085665:-1 gene:Vigun03g275100.v1.2 transcript:Vigun03g275100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMASSASSRGIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEILVYNAYQPLSSYPTSFQDLRIDSFHKSLAVSSVGAFHCAQQVLPGMVERGKGTLLFTGCSTSLNGIAGYSELCKYQLYIFSFHSPPPPSSSRIIKLS >Vigun03g275100.4.v1.2 pep primary_assembly:ASM411807v1:3:45084500:45085260:-1 gene:Vigun03g275100.v1.2 transcript:Vigun03g275100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMASSASSRGIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEILVYNAYQPLSSYPTSFQDLRIDSFHKSLAVSSVGAFHCAQQVLPGMVERGKGTLLFTGCSTSLNGIAGYSEL >Vigun03g275100.1.v1.2 pep primary_assembly:ASM411807v1:3:45082654:45085665:-1 gene:Vigun03g275100.v1.2 transcript:Vigun03g275100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMASSASSRGIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEILVYNAYQPLSSYPTSFQDLRIDSFHKSLAVSSVGAFHCAQQVLPGMVERGKGTLLFTGCSTSLNGIAGYSELCCGKFALRALSQCLAKEFQPQGVHVAHVIIDGLIGPPRGATLTTSLQRGNSSGEQSSGVMGGGGESTMDPDALAQTFWHLHVQDRNAWTQEMDLRSPSARFF >Vigun05g213400.3.v1.2 pep primary_assembly:ASM411807v1:5:40420291:40438929:1 gene:Vigun05g213400.v1.2 transcript:Vigun05g213400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSHFNAQEIFQSVRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVKKGIKKHIEAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGVCYVETAALDGETDLKTRVIPSACAGIDVELLHKIKGVIECPCPDKDIRRFDANMRLFPPFIDNDICPLTIKNTILQSCYLRNTEWACGVAVYTGNETKMGMSRGIPEPKLTAMDAMIDKLTGAIFIFQIVVVLVLGIAGNVWKETEAKKQWYVLYPHEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNQMIDHETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGNFYGNENGDALKDVELINAVSSGSSDVVRFLTIMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAQLHMIYFNKSGNILEVKFNSSILQYEVLETLEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPFARAGQQTRHFIEAVEQYAHLGLRTLCLGWRELKKDEYREWSLMFKEANSTLVDREWRVAEVCQRVEHDLEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLSIDGKTEEEVCRSLERVLRTMRITTSEPKDVAFVVDGWALEIALTHYRKAFTELAVFSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYDKSEMEEVSMVALSGCIWLQAFVVTMETNSFTILQHMAIWGNLAAFYVINWIFSALPSSGMYTIMFKLCHQPSYWITVSLMVAAGMGPILAIKYFRYTYRPSKINTLQQAERVGGPILSLGPIEPQPRSIEKDVSTLSITQPKTRNPVYEPLLSDSPNATRRSFGSGTPFDFFQSQSRSSLSNYTRKDN >Vigun05g213400.1.v1.2 pep primary_assembly:ASM411807v1:5:40418183:40438929:1 gene:Vigun05g213400.v1.2 transcript:Vigun05g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYVYIDDDESSHDIYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVKKGIKKHIEAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGVCYVETAALDGETDLKTRVIPSACAGIDVELLHKIKGVIECPCPDKDIRRFDANMRLFPPFIDNDICPLTIKNTILQSCYLRNTEWACGVAVYTGNETKMGMSRGIPEPKLTAMDAMIDKLTGAIFIFQIVVVLVLGIAGNVWKETEAKKQWYVLYPHEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNQMIDHETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGNFYGNENGDALKDVELINAVSSGSSDVVRFLTIMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAQLHMIYFNKSGNILEVKFNSSILQYEVLETLEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPFARAGQQTRHFIEAVEQYAHLGLRTLCLGWRELKKDEYREWSLMFKEANSTLVDREWRVAEVCQRVEHDLEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLSIDGKTEEEVCRSLERVLRTMRITTSEPKDVAFVVDGWALEIALTHYRKAFTELAVFSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYDKSEMEEVSMVALSGCIWLQAFVVTMETNSFTILQHMAIWGNLAAFYVINWIFSALPSSGMYTIMFKLCHQPSYWITVSLMVAAGMGPILAIKYFRYTYRPSKINTLQQAERVGGPILSLGPIEPQPRSIEKDVSTLSITQPKTRNPVYEPLLSDSPNATRRSFGSGTPFDFFQSQSRSSLSNYTRKDN >Vigun05g213400.2.v1.2 pep primary_assembly:ASM411807v1:5:40418183:40438929:1 gene:Vigun05g213400.v1.2 transcript:Vigun05g213400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYVYIDDDESSHDIYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVKKGIKKHIEAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGVCYVETAALDGETDLKTRVIPSACAGIDVELLHKIKGVIECPCPDKDIRRFDANMRLFPPFIDNDICPLTIKNTILQSCYLRNTEWACGVAVYTGNETKMGMSRGIPEPKLTAMDAMIDKLTGAIFIFQIVVVLVLGIAGNVWKETEAKKQWYVLYPHEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNQMIDHETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGNFYGNENGDALKDVELINAVSSGSSDVVRFLTIMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAQLHMIYFNKSGNILEVKFNSSILQYEVLETLEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPFARAGQQTRHFIEAVEQYAHLGLRTLCLGWRELKKDEYREWSLMFKEANSTLVDREWRVAEVCQRVEHDLEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLSIDGKTEEEVCRSLERVLRTMRITTSEPKDVAFVVDGWALEIALTHYRKAFTELAVFSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYDKSEMEEVSMVALSGCIWLQAFVVTMETNSFTILQHMAIWGNLAAFYVINWIFSALPSSGMYTIMFKLCHQPSYWITVSLMVAAGMGPILAIKYFRYTYRPSKINTLQQAERVGGPILSLGPIEPQPRSIEKDVSTLSITQPKTRNPVYEPLLSDSPNATRRSFGSGTPFDFFQSQSRSSLSNYTRKDN >Vigun08g038750.1.v1.2 pep primary_assembly:ASM411807v1:8:3760869:3764035:-1 gene:Vigun08g038750.v1.2 transcript:Vigun08g038750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLFKSQVERRQSKFGQIQSVFATSYFSVNKFEHRPGYRLLGGRKRFQSSYLGSVARRARDAADEAAEVAYLKQLYHQNDPEAVIRVFESKPYLHNSLSALSEYVKALVKVNRLDESELLKTFRRGMSNSIACVGETAAGLSALRNLGKSAKRNIIGTASNPIHTVAKVGNLKDQLWRTFKSIVLAFLFICGVGALPEDKGIRKGLGINEEVQPIMETSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSSSASEFDELYVGVGARRVRDLFSAARNRAPCIIFIDEIDAIGDGFKQNEGIIVIGATNFPKSLDDATGRHQILESHMSEVLKDPDVDLRIIARGTPGFSGADLANLINMAAIKAAMNDSNAVTNADLEFAKDKILMGSERKSALVSEEARKVTAFREAGHALAAIYTDGADPVHKATIVPVPHQNQRTRKQFLAAIDTYMAGWAAEELIFGENEVSSSVSSDLRKATRLARIMVTKYGMGNEVGPVTHEYKDSGKSMSSETRLLIENEVKQLLRSGYNNAKTILTTHIKELHALANALLEHESLTGTQIKTLLAQLTIPQTLEAQSTSQSNTPPSTATVAADTAKPKRAPVGS >Vigun05g129600.1.v1.2 pep primary_assembly:ASM411807v1:5:15014804:15024347:1 gene:Vigun05g129600.v1.2 transcript:Vigun05g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLEEVLAQENERGRSLEPETSEDEWRKSRARSLRRKAMTASTRLSYSLRKRNTRVADSEFASIFIEDVRDANEEKAVNSFRQVLLTRDLLPDSHDDYHTMLRFLKARKFDIDKTVQMWEDMLRWRKEYGVDSILKDFVYKEYGEVQRYYPHGYHGVDKEGRPVYIERLGQVEPSKLMSVTTVDRFLKYHVQGFEKMFKEKFPACSIAAKRHIDKTTTILDVQGLNWVSFSKVAHDLVMRMQKIDGDNYPETLNQMFVVNAGSGFKLLWNTAKGFLDPRTTAKIHVLGNKFQNRLLEIIDSSQLPDFLGGSCSCPNDGGCIRSNKGPWNDPNIWKILHSREAMKLTKFGSSSVANEVDMKSYTSKVTSSEISETVSASAARLSPSASVQSVPSSDKKTTRDCAPSANLLEPVNAARDVGDVDLTGDSSNNYLRRLPQKPIPYITSILAQIAVKLLTCIYVVLAALGRLFMVRSVDKQPRNHERTQSAQSNFQEHLINAAIKEPLWQRLQNLEAVVTEMANKPKTIPPEKEDILQESLSRIKCIEYDLQKTKKALLATASKQVQLAESLESLKEGRFDGSNSCWPKNRSYGPGR >Vigun04g096550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:20562360:20563173:1 gene:Vigun04g096550.v1.2 transcript:Vigun04g096550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCCCFELLMLMFCAVAILAHGFVLKIVAIIVEICVVIWVALLLGFFLFVFFLLSFFILFVYDTVDTFLPRGSKQRQWYEADICWKIENVIAQEGVQRGRGLSLRTGGSNE >Vigun02g158400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30409049:30409876:-1 gene:Vigun02g158400.v1.2 transcript:Vigun02g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTVELTVLSAENLQMNKKPVRGNTFVTVHSDASSDGGAVTKVDSEGGSYPSWNEKVVVNVPLHARFITVEVRSKTSSSSSLTGSNSVGVARIPVSDFIGGYVPENQLHFLSYRLWDGNVRRNGVINISVRVKVPERSSCSSNSMPFAAVTGVPVAGNGSTGVVTGIPALWLNYQRNV >Vigun06g150300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27513321:27513641:-1 gene:Vigun06g150300.v1.2 transcript:Vigun06g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVAFFLSLNLLFFSMVSSNTLTTATPPNCPGLHVCAGILVPPFKPDPNCCPLIAGLIDLEAAVCLCAALKLDLGILKVNLDLLVNLLLNTCGRQPTTYTCPP >Vigun10g146600.1.v1.2 pep primary_assembly:ASM411807v1:10:36464247:36470342:-1 gene:Vigun10g146600.v1.2 transcript:Vigun10g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKISNPANFSIPYFCHPKTLKPKFPPNYNKPASPFRRTPFSLYLSRSTAVKFQTWAHSGRPTKRRNSLRKKLLRDHKVIPNQIPNDPLSVSGNDVEESGGGVQGDSVVDSVVEAEKSKSKLLGESVLWDKLESWVDQYKRDIEYWGVGSGPVFTVYEDSLGGVKRVFVDEEEILKRSKVRRDVIGDFPEVRSKILNAKNMAREMESGNNVIARNSSVAKFVVHGKEGGGFVKAVQGFVAKPQLLPRLSRVGRFVLYVLVVMWVVKKLFAFGEGDKEVEYTALEKEMMRRKVKARKEKEKLMKGAVEVIVEPSETPVVDIKRPKLDKEQLRNNILKAKGSSDKLVVGDSSDKIKKTISMEMDYKVQEIKEMARQARKIEGRDNVVVNKDLEMDDSVIGKSSDDNEFIKRKSEQDDNLSDNQIEVMRETADSNAILQSTSIDVPENIDNSVLHEVVPADEDSVHASDVIVSGDKETKKQEIEFSENNVHLKDKENDNPLGTRINGSSMTNENSVKKKRRIIRSVKEARDYLSSKHDKQNPSAGAVSKLDSVKETITDLKSSSVIDFKDQKSQNLKMNTTGSRSDTSNGTLNSKPVINDHGTLDSKSSSVIDFTDQKSQNLKMNTTGSRSDTLNGTLDSKPVINDHGRLDSKSSSVNDFTDQKSQNLKRNTTESRCDTLNGRLDSKPVINDHEDSALNDKEIPIKNDYKDSGVEPGAGNHQKSVTTFESGVNGTSTTNGKPENWPEKSLLEVEQIISDGLNGLSDSKPSTKPIEDSNPKNKEFSPMKDDTTLDHKTNSISTETRLPLMPENGTLNGLSDSKPATNPIEVSDQKNKELGTTEDDYLKVSGVEPEIRNHLNSGTTLDDEVNDISTETKVSEKTENWLEKNFHEVEPIVKQIRAGFRNNYMAAKEGVDQPLDIPTEMESLRGVGDGGELDWMQDDHLRDIVFRVRENELSGRDPFYLMSDEDKDTFFRGLEKKVEKENMKLSHVHEWLHSNIENLDYGAGGISIYDPPEKIIPRWKGPAVEKIPEFLNEFLDERKTGSTRNMNSVKKDEGGFAITSSDSSSEDKFDGPAAPTKKLKNPKTIIEGSDGSVKAGKKSGKEYWQHTKKWSQGFIDSYNDETDPDVKSIMKDMGKDLDRWITEKEIKEAAELMDKLPDRNKSFMEKKLNKVKREMELFGPQAVVSKYREYADDDEEDYLWWLDLPHILCIELYTVEEGEQKVGLYSLEMAGDLELEPKPHHVIAFQDPNDCKNLCYIIQAHMEMLGNGHAFVVARPPKDAFREAKANGFGVTVIKKGELQLNIDQPLEEVDELITEIGSKMYHDMMMKERSVDINTLMKGVFGFNDRSIKRLKKKLKKSRKG >Vigun10g146600.3.v1.2 pep primary_assembly:ASM411807v1:10:36464703:36470327:-1 gene:Vigun10g146600.v1.2 transcript:Vigun10g146600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKISNPANFSIPYFCHPKTLKPKFPPNYNKPASPFRRTPFSLYLSRSTAVKFQTWAHSGRPTKRRNSLRKKLLRDHKVIPNQIPNDPLSVSGNDVEESGGGVQGDSVVDSVVEAEKSKSKLLGESVLWDKLESWVDQYKRDIEYWGVGSGPVFTVYEDSLGGVKRVFVDEEEILKRSKVRRDVIGDFPEVRSKILNAKNMAREMESGNNVIARNSSVAKFVVHGKEGGGFVKAVQGFVAKPQLLPRLSRVGRFVLYVLVVMWVVKKLFAFGEGDKEVEYTALEKEMMRRKVKARKEKEKLMKGAVEVIVEPSETPVVDIKRPKLDKEQLRNNILKAKGSSDKLVVGDSSDKIKKTISMEMDYKVQEIKEMARQARKIEGRDNVVVNKDLEMDDSVIGKSSDDNEFIKRKSEQDDNLSDNQIEVMRETADSNAILQSTSIDVPENIDNSVLHEVVPADEDSVHASDVIVSGDKETKKQEIEFSENNVHLKDKENDNPLGTRINGSSMTNENSVKKKRRIIRSVKEARDYLSSKHDKQNPSAGAVSKLDSVKETITDLKSSSVIDFKDQKSQNLKMNTTGSRSDTSNGTLNSKPVINDHGTLDSKSSSVIDFTDQKSQNLKMNTTGSRSDTLNGTLDSKPVINDHGRLDSKSSSVNDFTDQKSQNLKRNTTESRCDTLNGRLDSKPVINDHEDSALNDKEIPIKNDYKDSGVEPGAGNHQKSVTTFESGVNGTSTTNGKPENWPEKSLLEVEQIISDGLNGLSDSKPSTKPIEDSNPKNKEFSPMKDDTTLDHKTNSISTETRLPLMPENGTLNGLSDSKPATNPIEVSDQKNKELGTTEDDYLKVSGVEPEIRNHLNSGTTLDDEVNDISTETKVSEKTENWLEKNFHEVEPIVKQIRAGFRNNYMAAKEGVDQPLDIPTEMESLRGVGDGGELDWMQDDHLRDIVFRVRENELSGRDPFYLMSDEDKDTFFRGLEKKVEKENMKLSHVHEWLHSNIENLDYGAGGISIYDPPEKIIPRWKGPAVEKIPEFLNEFLDERKTGSTRNMNSVKKDEGGFAITSSDSSSEDKFDGPAAPTKKLKNPKTIIEGSDGSVKAGKKSGKEYWQHTKKWSQGFIDSYNDETDPDVKSIMKDMGKDLDRWITEKEIKEAAELMDKLPDRNKSFMEKKLNKVKREMELFGPQAVVSKYREYADDDEEDYLWWLDLPHILCIELYTVEEGEQKVGLYSLEMAGDLELEPKPHHVIAFQDPNDCKNLCYIIQAHMEMLGNGHAFVVARPPKDAFREAKANGFGVTVIKKGELQLNIDQPLEEVDELITEIGSKMYHDMMMKERSVDINTLMKGVFGFNDRSIKRLKKKLKKSRKG >Vigun10g146600.4.v1.2 pep primary_assembly:ASM411807v1:10:36464428:36470327:-1 gene:Vigun10g146600.v1.2 transcript:Vigun10g146600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKISNPANFSIPYFCHPKTLKPKFPPNYNKPASPFRRTPFSLYLSRSTAVKFQTWAHSGRPTKRRNSLRKKLLRDHKVIPNQIPNDPLSVSGNDVEESGGGVQGDSVVDSVVEAEKSKSKLLGESVLWDKLESWVDQYKRDIEYWGVGSGPVFTVYEDSLGGVKRVFVDEEEILKRSKVRRDVIGDFPEVRSKILNAKNMAREMESGNNVIARNSSVAKFVVHGKEGGGFVKAVQGFVAKPQLLPRLSRVGRFVLYVLVVMWVVKKLFAFGEGDKEVEYTALEKEMMRRKVKARKEKEKLMKGAVEVIVEPSETPVVDIKRPKLDKEQLRNNILKAKGSSDKLVVGDSSDKIKKTISMEMDYKVQEIKEMARQARKIEGRDNVVVNKDLEMDDSVIGKSSDDNEFIKRKSEQDDNLSDNQIEVMRETADSNAILQSTSIDVPENIDNSVLHEVVPADEDSVHASDVIVSGDKETKKQEIEFSENNVHLKDKENDNPLGTRINGSSMTNENSVKKKRRIIRSVKEARDYLSSKHDKQNPSAGAVSKLDSVKETITDLKSSSVIDFKDQKSQNLKMNTTGSRSDTSNGTLNSKPVINDHGTLDSKSSSVIDFTDQKSQNLKMNTTGSRSDTLNGTLDSKPVINDHGRLDSKSSSVNDFTDQKSQNLKRNTTESRCDTLNGRLDSKPVINDHEDSALNDKEIPIKNDYKDSGVEPGAGNHQKSVTTFESGVNGTSTTNGKPENWPEKSLLEVEQIISDGLNGLSDSKPSTKPIEDSNPKNKEFSPMKDDTTLDHKTNSISTETRLPLMPENGTLNGLSDSKPATNPIEVSDQKNKELGTTEDDYLKVSGVEPEIRNHLNSGTTLDDEVNDISTETKVSEKTENWLEKNFHEVEPIVKQIRAGFRNNYMAAKEGVDQPLDIPTEMESLRGVGDGGELDWMQDDHLRDIVFRVRENELSGRDPFYLMSDEDKDTFFRGLEKKVEKENMKLSHVHEWLHSNIENLDYGAGGISIYDPPEKIIPRWKGPAVEKIPEFLNEFLDERKTGSTRNMNSVKKDEGGFAITSSDSSSEDKFDGPAAPTKKLKNPKTIIEGSDGSVKAGKKSGKEYWQHTKKWSQGFIDSYNDETDPDVKSIMKDMGKDLDRWITEKEIKEAAELMDKLPDRNKSFMEKKLNKVKREMELFGPQAVVSKYREYADDDEEDYLWWLDLPHILVRVYILFTSTHEITESVVYFLCFSIH >Vigun10g146600.2.v1.2 pep primary_assembly:ASM411807v1:10:36464428:36470327:-1 gene:Vigun10g146600.v1.2 transcript:Vigun10g146600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKISNPANFSIPYFCHPKTLKPKFPPNYNKPASPFRRTPFSLYLSRSTAVKFQTWAHSGRPTKRRNSLRKKLLRDHKVIPNQIPNDPLSVSGNDVEESGGGVQGDSVVDSVVEAEKSKSKLLGESVLWDKLESWVDQYKRDIEYWGVGSGPVFTVYEDSLGGVKRVFVDEEEILKRSKVRRDVIGDFPEVRSKILNAKNMAREMESGNNVIARNSSVAKFVVHGKEGGGFVKAVQGFVAKPQLLPRLSRVGRFVLYVLVVMWVVKKLFAFGEGDKEVEYTALEKEMMRRKVKARKEKEKLMKGAVEVIVEPSETPVVDIKRPKLDKEQLRNNILKAKGSSDKLVVGDSSDKIKKTISMEMDYKVQEIKEMARQARKIEGRDNVVVNKDLEMDDSVIGKSSDDNEFIKRKSEQDDNLSDNQIEVMRETADSNAILQSTSIDVPENIDNSVLHEVVPADEDSVHASDVIVSGDKETKKQEIEFSENNVHLKDKENDNPLGTRINGSSMTNENSVKKKRRIIRSVKEARDYLSSKHDKQNPSAGAVSKLDSVKETITDLKSSSVIDFKDQKSQNLKMNTTGSRSDTSNGTLNSKPVINDHGTLDSKSSSVIDFTDQKSQNLKMNTTGSRSDTLNGTLDSKPVINDHGRLDSKSSSVNDFTDQKSQNLKRNTTESRCDTLNGRLDSKPVINDHEDSALNDKEIPIKNDYKDSGVEPGAGNHQKSVTTFESGVNGTSTTNGKPENWPEKSLLEVEQIISDGLNGLSDSKPSTKPIEDSNPKNKEFSPMKDDTTLDHKTNSISTETRLPLMPENGTLNGLSDSKPATNPIEVSDQKNKELGTTEDDYLKVSGVEPEIRNHLNSGTTLDDEVNDISTETKVSEKTENWLEKNFHEVEPIVKQIRAGFRNNYMAAKEGVDQPLDIPTEMESLRGVGDGGELDWMQDDHLRDIVFRVRENELSGRDPFYLMSDEDKDTFFRGLEKKVEKENMKLSHVHEWLHSNIENLDYGAGGISIYDPPEKIIPRWKGPAVEKIPEFLNEFLDERKTGSTRNMNSVKKDEGGFAITSSDSSSEDKFDGPAAPTKKLKNPKTIIEGSDGSVKAGKKSGKEYWQHTKKWSQGFIDSYNDETDPDVKSIMKDMGKDLDRWITEKEIKEAAELMDKLPDRNKSFMEKKLNKVKREMELFGPQAVVSKYREYADDDEEDYLWWLDLPHILCIELYTVEEGEQKVGLYSLEMAGDLELEPKPHHVIAFQDPNDCKNLCYIIQAHMEMLGNGHAFVVARPPKDAFREAKANGFGVTVIKKGELQLNIDQPLEEVDELITEIGSKMYHDMMMKERSVDINTLMKGVFGFNDRSIKRLKKKLKKSRKG >Vigun06g067100.1.v1.2 pep primary_assembly:ASM411807v1:6:19565424:19568390:1 gene:Vigun06g067100.v1.2 transcript:Vigun06g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAYRVETTPRLAQWRIENLASCTYRKSDPFKIGKWNWHLSVEKNRVLFVKLFPEISNLTRDNPPIASFIVRVVSSVGDRRAITHPEIKDKVLKNNEDFVWAIEVPLTGKFIIDVEFLDLKTASPNSGEPCSIWAEGFTQQRSNANAIECLGRMLTEGIHTDITINVSDGSIGAHRAVLAARSPVFRSMFSHNLQEKELSTINISDMSLESCQAFLNYLYGIIRHEEFLIHRLALLRAADKYDISDLRDACHESLLEDIDTKNVLERLQNASLYQLMKLKMSCIRYLVKFGKIYEIRDDFNTFLHNADRDLIAEVFHEVLDAWKGF >Vigun03g386800.4.v1.2 pep primary_assembly:ASM411807v1:3:59285473:59293699:-1 gene:Vigun03g386800.v1.2 transcript:Vigun03g386800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESIYCTASDLLSNINSGETPLDRLILVVAWAISTTRPLSFGVAPYNPTLGETHHVSNGNLNLLMEQVSHHPPVSALYATDDKENIGITWCHSPVSKFNGTSIETKVHGKRQLKLHNHGETYEMNSPNLVIRILPIPGTEWVGNLSIRCLETGLVAELNYMTQSFFGFGGGRRQIKGKIYDSLSMKILHKIEGHWDRTVTVKSTSNAEERVIYDAREVISGLQAPIVKNPESVWPTETALVWGELSEAILSKDWEKAREAKKIVEERQRELLREREAKGENWTPKHFLVSYTKQEGWHCSPITNSVPNAPIVTL >Vigun03g386800.2.v1.2 pep primary_assembly:ASM411807v1:3:59285473:59293699:-1 gene:Vigun03g386800.v1.2 transcript:Vigun03g386800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGSDLSCFKLPPMFNMAKSQLQCYAESIYCTASDLLSNINSGETPLDRLILVVAWAISTTRPLSFGVAPYNPTLGETHHVSNGNLNLLMEQVSHHPPVSALYATDDKENIGITWCHSPVSKFNGTSIETKVHGKRQLKLHNHGETYEMNSPNLVIRILPIPGTEWVGNLSIRCLETGLVAELNYMTQSFFGFGGGRRQIKGKIYDSLSMKILHKIEGHWDRTVTVKSTSNAEERVIYDAREVISGLQAPIVKNPESVWPTETALVWGELSEAILSKDWEKAREAKKIVEERQRELLREREAKGENWTPKHFLVSYTKQEGWHCSPITNSVPNAPIVTL >Vigun03g386800.1.v1.2 pep primary_assembly:ASM411807v1:3:59285473:59293699:-1 gene:Vigun03g386800.v1.2 transcript:Vigun03g386800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIVLSKPLTLEGQTDSDEHCEAPNILQRILSLFKNMRQGSDLSCFKLPPMFNMAKSQLQCYAESIYCTASDLLSNINSGETPLDRLILVVAWAISTTRPLSFGVAPYNPTLGETHHVSNGNLNLLMEQVSHHPPVSALYATDDKENIGITWCHSPVSKFNGTSIETKVHGKRQLKLHNHGETYEMNSPNLVIRILPIPGTEWVGNLSIRCLETGLVAELNYMTQSFFGFGGGRRQIKGKIYDSLSMKILHKIEGHWDRTVTVKSTSNAEERVIYDAREVISGLQAPIVKNPESVWPTETALVWGELSEAILSKDWEKAREAKKIVEERQRELLREREAKGENWTPKHFLVSYTKQEGWHCSPITNSVPNAPIVTL >Vigun03g386800.3.v1.2 pep primary_assembly:ASM411807v1:3:59285472:59293700:-1 gene:Vigun03g386800.v1.2 transcript:Vigun03g386800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESIYCTASDLLSNINSGETPLDRLILVVAWAISTTRPLSFGVAPYNPTLGETHHVSNGNLNLLMEQVSHHPPVSALYATDDKENIGITWCHSPVSKFNGTSIETKVHGKRQLKLHNHGETYEMNSPNLVIRILPIPGTEWVGNLSIRCLETGLVAELNYMTQSFFGFGGGRRQIKGKIYDSLSMKILHKIEGHWDRTVTVKSTSNAEERVIYDAREVISGLQAPIVKNPESVWPTETALVWGELSEAILSKDWEKAREAKKIVEERQRELLREREAKGENWTPKHFLVSYTKQEGWHCSPITNSVPNAPIVTL >Vigun06g132900.1.v1.2 pep primary_assembly:ASM411807v1:6:25922074:25945886:1 gene:Vigun06g132900.v1.2 transcript:Vigun06g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSSKERDRKNLLEQTRLERNRRLWLRQQNSAALRIQKCFRGRKVVRTEQSKLREKFLSIYGENCQNLDRNAFGPDSDFLRQFLYFFNAENIDDFLILVQICRLLQQFVQESGDVVQLFAAEDYLSRCALVNYRVKQFVYTCIRAVHHNRYYLKDQLLLTLKESNTSAIPLLEVLVLLIDPKLPWSCKIVSSLSKNSAFSLLREIILTGKDNAENCIYSEKGSSLERVLTVVTCHIGQKPCICSPTDPVYSFSSQILSIPFLWHVFPNLKQVFAKQGLGQHYVHQMATWLPNLMNSLPNDISDEFPTYACLLGNILETGGIALSRPDCSFDMAVDLASVTTFLLESYPSPTRSDDSKIADDEMTGEDEVMEVVLDGKLNQQISNAIDTRFLLQLTTILFRDFSSANGSDREPEDREVAAVGAVCGFLHVIFNTLPLEKIMTVLAYRTELVPILWNFMKRCHENKKWSSLSERLSYLSGDAPGWLLPLSVFCPVYKHMLMIVDNEEYYEQEKPLSLKDIKSLITLLRQALWQLLWVNHTTSANSVKSGPVSTAVKKQFEAIQQRVSIVVSELLSQLQDWNNRRQFTSPSDFHADGVNDYFISQAVIENTRANEILKQAPFLIPFTSRAKIFTSQLAAARQRQGSQAVFTRNRFKIRRNHILEDAYNQMSQLSEDDLRGLIRVAFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDLPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKHYEGDISELELYFVIVNNEYGEQTEEELLPGGKNIRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRQHTNYAGGYHSEHYVIEMFWEVLKGFSLENKKNFLKFVTGCSRGPLLGFRYLEPLFCIQRAGGNASEEALDRLPTSATCMNLLKLPPYKSKEQLETKLLYAINADAGFDLS >Vigun11g102500.2.v1.2 pep primary_assembly:ASM411807v1:11:29917083:29925031:-1 gene:Vigun11g102500.v1.2 transcript:Vigun11g102500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSPNSTIWRNIVSKVRKNLFSINEPFAVRSFSAVPVAAAAKLHKQEIPCDFQKWGSVGFCRTSKFASGFNPLQPKPLDSIVDVHRLKDRYPEDIASIWDDYHIGRGHVGASMKAKLYNLLAHRASECRYFVIPLWKGSGYTTMFVQDATHDFYKS >Vigun11g102500.1.v1.2 pep primary_assembly:ASM411807v1:11:29916902:29925031:-1 gene:Vigun11g102500.v1.2 transcript:Vigun11g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSPNSTIWRNIVSKVRKNLFSINEPFAVRSFSAVPVAAAAKLHKQEIPCDFQKWGSVGFCRTSKFASGFNPLQPKPLDSIVDVHRLKDRYPEDIASIWDDYHIGRGHVGASMKAKLYNLLAHRASECRYFVIPLWKGSGYTTMFVQVQTPHMIFTSLEDYKARGTQASPYFTVSFYTEFAESKDLVLIRGDIVFTSKLTDSEAEWLLETAQSFYLNDARYKLVERFNRETRDFEFKDVLQILNMPIL >Vigun11g102500.4.v1.2 pep primary_assembly:ASM411807v1:11:29918053:29925031:-1 gene:Vigun11g102500.v1.2 transcript:Vigun11g102500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSPNSTIWRNIVSKVRKNLFSINEPFAVRSFSAVPVAAAAKLHKQEIPCDFQKWGSVGFCRTSKFASGFNPLQPKPLDSIVDVHRLKDRYPEDIASIWDDYHIGRGHVGASMKAKLYNLLAHRASECRYFVIPLWKGSGYTTMFVQDLCANCSSQCKCISSFFK >Vigun11g102500.3.v1.2 pep primary_assembly:ASM411807v1:11:29918118:29925031:-1 gene:Vigun11g102500.v1.2 transcript:Vigun11g102500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSPNSTIWRNIVSKVRKNLFSINEPFAVRSFSAVPVAAAAKLHKQEIPCDFQKWGSVGFCRTSKFASGFNPLQPKPLDSIVDVHRLKDRYPEDIASIWDDYHIGRGHVGASMKAKLYNLLAHRASECRYFVIPLWKGSGYTTMFVQASANAYPASSSSQSSKSVHLHPTGWCSEVAYCWQQVASTA >Vigun01g080100.1.v1.2 pep primary_assembly:ASM411807v1:1:22572229:22574219:1 gene:Vigun01g080100.v1.2 transcript:Vigun01g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRSFWNSPTGLKTTHFWGPTFNWTLPLAAAMDTKKPPETISVNMTGVMCVYSASFMRFAWVVRPRNLHLLVCHITNETMQLYQLSRWFRAQREQSKEEANVE >Vigun03g421900.1.v1.2 pep primary_assembly:ASM411807v1:3:62753517:62760026:-1 gene:Vigun03g421900.v1.2 transcript:Vigun03g421900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTSNGKRRPNWLSAAHHLPSPNSKRLRLSATSDNHADPVLSSSSIVSRISKYPEAKPLGRREVHAPCRPRKFDFPTFNRMKSSLNASSSYYDRKEEIVNDVGNTLSENYERAKKSALASIRFEEKGKEVIEVDDGSPKGMVSEDSGVEEVRFREDGRDVRSVVTEHKWQEGDLVVTEVKDLKAKDVRGGPQQQSASSVVSELTNGDLNVVNAVKMFGTLSLTPERDLSDVHAYKKLLEAVDKRSDTIKRLSAEIKLNEKRRSTFELLRPKKELVQEVPLEPFIPLTEDDELEVTRAFSANRRKILVAHEKSCIEVSGEKFQCLRPGAWLNDEVINLYLELLKERERREPQKFLNCHFFNTFFYKKLISGKGGYDFKSVRRWTSQKKLGYGLHECDKIFVPIHKEIHWCLAVINKKDKKFQYLDSLKGIDTHVMKVLASYIVDEVKDKTGKDLDVSSWEKEFVEDLPEQQNGYDCGVFMIKYADFYSRNLGLCFNQEHMSYFRRRTAKEILRLRAN >Vigun03g421900.2.v1.2 pep primary_assembly:ASM411807v1:3:62753517:62760026:-1 gene:Vigun03g421900.v1.2 transcript:Vigun03g421900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTSNGKRRPNWLSAAHHLPSPNSKRLRLSATSDNHADPVLSSSSIVSRISKYPEAKPLGRREVHAPCRPRKFDFPTFNRMKSSLNASSSYYDRKEEIVNDVGNTLSENYERAKKSALASIRFEEKGKEVIEVDDGSPKGMVSEDSGVEEVRFREDGRDVRSVVTEHKWQEGDLVVTEVKDLKAKDVRGGPQQQSASSVVSELTNGDLNVVNAVKMFGTLSLTPERDLSDVHAYKKLLEAVDKRSDTIKRLSAEIKLNEKRRSTFELLRPKKELVQEVPLEPFIPLTEDDELEVTRAFSANRRKILVAHEKSCIEVSGEKFQCLRPGAWLNDEVINLYLELLKERERREPQKFLNCHFFNTFFYKKLISGKGGYDFKSVRRWTSQKKLGYGLHECDKIFVPIHKEIHWCLAVINKKDKKFQYLDSLKGIDTHVMKVLASYIVDEVKDKTGKDLDVSSWEKEFVEDLPEQQNGYDCGVFMIKYADFYSRNLGLCFNQVGYYSFLFLKENHAFVFCSL >Vigun11g101000.1.v1.2 pep primary_assembly:ASM411807v1:11:29578450:29584505:1 gene:Vigun11g101000.v1.2 transcript:Vigun11g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTALSHSPGFTIAANAPEDPLVPFLWSIKKALEASGDSTPNLSNLLRDCIRNFKNNDRYRNDVRFLKIWLIYMGVSDDFVVVFKEMLDSNVCANNSALYVWSASLFELKGRLRDALSIYQLGIGRNAKPVVWLREAHTLFLNRMSEIQNAASNLKVDDIESMKLENSGINPWDTSTMDSMLKTIHPLLMKFDGYRSSPKPYSGKVALSSLKNSSRNKVIEIGGMKYLIKGCAGQGGFAQVYKANANSDPDNVVALKIQKPAFPWEFYIYRQLDLRILDRERSSYGFAHRIHLYSDCSILICDYLANGTLQDVVNSYLVLGKSMEEVLCIYYTIEMLNMVETLHGVGLIHGDFKPDNLLIRYARSDLTEDGFLNRSGPWCDQGLCLVDWGRGIDLQLFPDHTVFKGDCRTSGFRCIEMLENKPWKFQVDAYGLCANVHVMLHGSYMEVVKKEQSDGGYVYLPKLPFKRYWNIELWKSFFTKMLNQYPHDDDRSLLHDLKKSFQDYMTSNPQLIKKLKELLSRQRASMCSA >Vigun02g099100.1.v1.2 pep primary_assembly:ASM411807v1:2:25434967:25437102:1 gene:Vigun02g099100.v1.2 transcript:Vigun02g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLIVGGTGYIGKRMVKASLAEGHQTFVLHRPEIGVDIEKVQLLLSFKEQGARLVSGSFNDHQSLVNAVKLVDVVICAISGVHIRSHQILLQLKLVDAIKEAGNVKRFLPSEFGTDPARMGHALEPGRVTFDDKMVIRKAIEEAGIPFTYISANCFAGYFLGGLCQPGIIMPPRDSVVLYGDGNVKAIYVEEYDIAMYTIKSIDDPRTLNKTVYIRPPENILSQREVVQIWEKLIGKELQKSSISAEQLLSSMEGQPYEQQVGIVHYYHVCFEGCLTNFEIGEEGLEACELYPEVKYTTVEDYMKRYV >Vigun02g185100.2.v1.2 pep primary_assembly:ASM411807v1:2:32468027:32470751:1 gene:Vigun02g185100.v1.2 transcript:Vigun02g185100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSISESKRKREMEGSIRCSANYVPLTPITFLERAAVVYRHRLSVVFGDVTYTWQQTHQRCVKLASSISQLGVGLAPRDVVAVLAPNVPAMYELHFAVPMSGAVLCTLNTRHDSAMVSLLLKHSEAKLIFVDYQLLDIARGALQILSKTTTNLPQLVLILECGDRSPPHASNNLIYEDLVERGDLGFEVRRPKDEWDPISLNYTSGTTSKPKGVIYSHRGAYLNSLATVLLNEMKSMPVYLWCVPMFHCNGWCLPWGIAVQGGTNVCQRNVTAEGIFGNVFRHKVTHMAGAPTVLNMIINSPPEVRKPLPGKVEVMTGAAPPPPDVIFRMEELGFVVTHSYGLTETYGPSTICTWKPEWNNLSRVAQAKLKARQGVAHVGLEDLDVKDPQTMKSVPADAKTIGEVMFRGNTIMNGYLKDLEATQEAFKGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIELEGVIFSHPAVLEAAVVGRPDEYWGETPCAFVKLKEGCTATAEEIIQFCRNRLPHYMAPRTVVFADLPKTSTGKTQKFVLREKARALGSLTKNTSRL >Vigun02g185100.4.v1.2 pep primary_assembly:ASM411807v1:2:32468027:32470751:1 gene:Vigun02g185100.v1.2 transcript:Vigun02g185100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSISESKRKREMEGSIRCSANYVPLTPITFLERAAVVYRHRLSVVFGDVTYTWQQTHQRCVKLASSISQLGVGLAPRDVVAVLAPNVPAMYELHFAVPMSGAVLCTLNTRHDSAMVSLLLKHSEAKLIFVDYQLLDIARGALQILSKTTTNLPQLVLILECGDRSPPHASNNLIYEDLVERGDLGFEVRRPKDEWDPISLNYTSGTTSKPKGVIYSHRGAYLNSLATVLLNEMKSMPVYLWCVPMFHCNGWCLPWGIAVQGGTNVCQRNVTAEGIFGNVFRHKVTHMAGAPTVLNMIINSPPEVRKPLPGKVEVMTGAAPPPPDVIFRMEELGFVVTHSYGLTETYGPSTICTWKPEWNNLSRVAQAKLKARQGVAHVGLEDLDVKDPQTMKSVPADAKTIGEVMFRGNTIMNGYLKDLEATQEAFKGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIELEGVIFSHPAVLEAAVVGRPDEYWGETPCAFVKLKEGCTATAEEIIQFCRNRLPHYMAPRTVVFADLPKTSTGKTQKFVLREKARALGSLTKNTSRL >Vigun02g185100.3.v1.2 pep primary_assembly:ASM411807v1:2:32468027:32470189:1 gene:Vigun02g185100.v1.2 transcript:Vigun02g185100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSISESKRKREMEGSIRCSANYVPLTPITFLERAAVVYRHRLSVVFGDVTYTWQQTHQRCVKLASSISQLGVGLAPRDVVAVLAPNVPAMYELHFAVPMSGAVLCTLNTRHDSAMVSLLLKHSEAKLIFVDYQLLDIARGALQILSKTTTNLPQLVLILECGDRSPPHASNNLIYEDLVERGDLGFEVRRPKDEWDPISLNYTSGTTSKPKGVIYSHRGAYLNSLATVLLNEMKSMPVYLWCVPMFHCNGWCLPWGIAVQGGTNVCQRNVTAEGIFGNVFRHKVTHMAGAPTVLNMIINSPPEVRKPLPGKVEVMTGAAPPPPDVIFRMEELGFVVTHSYGLTETYGPSTICTWKPEWNNLSRVAQAKLKARQGVAHVGLEDLDVKDPQTMKSVPADAKTIGEVMFRGNTIMNGYLKDLEATQEAFKGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIELEGVIFSHPAVLEAAVVGRPDEYWGETPCAFVKLKEGCTATAEEIIQFCRNRLPHYMAPRTVVFADLPKTSTGKTQKFVLREKARALGSLTKNTSRL >Vigun09g156900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32263482:32267460:-1 gene:Vigun09g156900.v1.2 transcript:Vigun09g156900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSADVAPTPYKNAKFFSLKGTDVRPGLSSQIFGPDKHRSIYITDSYSSESYEKYFHDSQTEELIEPSNSSISGSSIPPDVASSYQLTASSEASVVANNPFDCSFMSTVTHDVYEANFGSDLMENGILDSRENDGLMSLKLQALERALLDDSEAEEEDIFEAVQSMEIDPDIAEWDSMQDMVLHDSPKEYSSSDSSISSISSTKEISQISHTPKQLLYECAIALSEGNEEEGSSMINNLRQMVSIQGEPSQRIAAYMVEGLAARLAESGKSIYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFIAANNAITEAVKDDMNIHIIDFDINQGSQYINLIQTLASRSSKPHVRLTGVDDPESVQRTVGGLKNIGQRLEKLAEALGLPFEFRAVASRTSIVTPSMLNCSPGEALVVNFAFQLHHMPDESVSTVNERDQLLRLVKSLNPKLVTVVEQDVNTNTTPFLPRFVEAYNYYSAVFESLDVTLPRESQDRMNVERQCLARDIVNVVACEGEDRIERYEVAGKWRARITMAGFTSSPMSTNVTDEIRNLIKVMYCDRYKIKEEMDALHFGWEDKNLIVASAWKLPR >Vigun09g156900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32262754:32267679:-1 gene:Vigun09g156900.v1.2 transcript:Vigun09g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSADVAPTPYKNAKFFSLKGTDVRPGLSSQIFGPDKHRSIYITDSYSSESYEKYFHDSQTEELIEPSNSSISGSSIPPDVASSYQLTASSEASVVANNPFDCSFMSTVTHDVYEANFGSDLMENGILDSRENDGLMSLKLQALERALLDDSEAEEEDIFEAVQSMEIDPDIAEWDSMQDMVLHDSPKEYSSSDSSISSISSTKEISQISHTPKQLLYECAIALSEGNEEEGSSMINNLRQMVSIQGEPSQRIAAYMVEGLAARLAESGKSIYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFIAANNAITEAVKDDMNIHIIDFDINQGSQYINLIQTLASRSSKPHVRLTGVDDPESVQRTVGGLKNIGQRLEKLAEALGLPFEFRAVASRTSIVTPSMLNCSPGEALVVNFAFQLHHMPDESVSTVNERDQLLRLVKSLNPKLVTVVEQDVNTNTTPFLPRFVEAYNYYSAVFESLDVTLPRESQDRMNVERQCLARDIVNVVACEGEDRIERYEVAGKWRARITMAGFTSSPMSTNVTDEIRNLIKVMYCDRYKIKEEMDALHFGWEDKNLIVASAWKLPR >Vigun09g182600.2.v1.2 pep primary_assembly:ASM411807v1:9:35626322:35632525:1 gene:Vigun09g182600.v1.2 transcript:Vigun09g182600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKIKIKKIDNITARQVTFSKRRRGLFKKAEELSVLCDADVGLIVFSSTGKLFDYSSSSMNGIITKYNSHSPGIDKLDRPSLEMQLETTSKLSKEVAERTQELSWMKGDNLQGLGLDELQQLEKKLESGLDRVIEIKEKQMMGQISELQKKGIVLEEENKHLKKKLAETEMEAMAMVRKTKMHFLMESNMGMQEEGVSLESTNNVSSCISDPPVEDGSSDTSLKLGLPFSN >Vigun09g182600.1.v1.2 pep primary_assembly:ASM411807v1:9:35625993:35632526:1 gene:Vigun09g182600.v1.2 transcript:Vigun09g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKIKIKKIDNITARQVTFSKRRRGLFKKAEELSVLCDADVGLIVFSSTGKLFDYSSSSMNGIITKYNSHSPGIDKLDRPSLEMQLETTSKLSKEVAERTQELSWMKGDNLQGLGLDELQQLEKKLESGLDRVIEIKEKQMMGQISELQKKGIVLEEENKHLKKKLAETEMEAMAMVRKTKMHFLMESNMGMQEEGVSLESTNNVSSCISDPPVEDGSSDTSLKLGLPFSN >Vigun07g015400.8.v1.2 pep primary_assembly:ASM411807v1:7:1422803:1423501:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEIVYDDITDRSRGFAFVTMGSVEEAECATRMFDGSVSLAHTLVSKIFRWVIR >Vigun07g015400.6.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423521:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEEIGGRIIKVNFTEIPRKGKWRVMGSNYRGFVDSPHKIYAGNLGWGVTSQCLRDAFVEQPGFLSAKVIYERNSGKSQGYGFVSFETAEDVEAALNSMNGVEVQGRPLRLNLAAG >Vigun07g015400.2.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423521:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEIVYDDITDRSRGFAFVTMGSVEEAECATRMFDGSEIGGRIIKVNFTEIPRKGKWRVMGSNYRGFVDSPHKIYAGNLGWGVTSQCLRDAFVEQPGFLSAKVIYERNSGKSQGYGFVSFETAEDVEAALNSMNGVEVQGRPLRLNLAAG >Vigun07g015400.7.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423522:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEIVYDDITDRSRGFAFVTMGSVEEAECATRMFDGSMVKMEADIGTRVITWCIIKAWL >Vigun07g015400.5.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423521:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEEIGGRIIKVNFTEIPRKGKWRVMGSNYRGFVDSPHKIYAGNLGWGVTSQCLRDAFVEQPGFLSAKVIYERNSGKSQGYGFVSFETAEDVEAALNSMNGVEVQGRPLRLNLAAG >Vigun07g015400.4.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423521:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEEIGGRIIKVNFTEIPRKGKWRVMGSNYRGFVDSPHKIYAGNLGWGVTSQCLRDAFVEQPGFLSAKVIYERNSGKSQGYGFVSFETAEDVEAALNSMNGVEVQGRPLRLNLAAG >Vigun07g015400.3.v1.2 pep primary_assembly:ASM411807v1:7:1420443:1423521:-1 gene:Vigun07g015400.v1.2 transcript:Vigun07g015400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYFFQTRNIQRNIHAVNLFSMAASSLIFNSSLSFNFSHTVISFKPQNLKPQFPFSSAISLYHLPLSLVSFQDAQNPLQHETLQKSEPNASRLNHSARLFVGNLPYSLPSSQLAQRFEEAGNVVSSEIVYDDITDRSRGFAFVTMGSVEEAECATRMFDGSEIGGRIIKVNFTEIPRKGKWRVMGSNYRGFVDSPHKIYAGNLGWGVTSQCLRDAFVEQPGFLSAKVIYERNSGKSQGYGFVSFETAEDVEAALNSMNGVEVQGRPLRLNLAAG >Vigun07g260600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37706384:37707391:1 gene:Vigun07g260600.v1.2 transcript:Vigun07g260600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSLCSPVFLSNPLSREHKIFSNVTTPRRSLICASKSDSFGHHYEGKMVDENMILLRMRIREIEMIELKGKASSDWSEWEKKHFQNYDSDVCEAVGVLQRVLMNTRPSLALATFALLTLTMSMSMLQLLFHLLGLDKGIL >Vigun01g176100.3.v1.2 pep primary_assembly:ASM411807v1:1:35741218:35743871:-1 gene:Vigun01g176100.v1.2 transcript:Vigun01g176100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLMSRDGGGWIDAAKFLTGASAVGSIAIPIILRHAHMIETGAMLIELVSFFIFICTVLCFHQANLDDDW >Vigun01g176100.1.v1.2 pep primary_assembly:ASM411807v1:1:35741247:35743833:-1 gene:Vigun01g176100.v1.2 transcript:Vigun01g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIHGLAGLAFMFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLMSRDGGGWIDAAKFLTGASAVGSIAIPIILRHAHMIETGAMLIELVSFFIFICTVLCFHQANLDDDW >Vigun01g176100.5.v1.2 pep primary_assembly:ASM411807v1:1:35741565:35742648:-1 gene:Vigun01g176100.v1.2 transcript:Vigun01g176100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLMSRDGGGWIDAAKFLTGASAVGSIAIPIILRHAHMIETGAMLIELVSFFIFICTVLCFHQANLDDDW >Vigun01g176100.4.v1.2 pep primary_assembly:ASM411807v1:1:35741247:35743833:-1 gene:Vigun01g176100.v1.2 transcript:Vigun01g176100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMYVLVPMPCLFFGGGSTQFLMSRDGGGWIDAAKFLTGASAVGSIAIPIILRHAHMIETGAMLIELVSFFIFICTVLCFHQANLDDDW >Vigun07g193700.1.v1.2 pep primary_assembly:ASM411807v1:7:31290901:31293550:-1 gene:Vigun07g193700.v1.2 transcript:Vigun07g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCSSREEMQKMKNLGSIGSSGRLSAEEYEDEDISKLAISTFQSKEEEIERKKMEVREKVELQLGRAEEETRRLAHIWEELEVLDDPMRKEVAMLRKKIDLANRDLKPLGLNYQKKEKECKEALEAFNEKNKEKAHLVATLVEMLTESERMRMKKLDELCKTIESLSLKP >Vigun07g193700.2.v1.2 pep primary_assembly:ASM411807v1:7:31290346:31293200:-1 gene:Vigun07g193700.v1.2 transcript:Vigun07g193700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCSSREEMQKMKNLGSIGSSGRLSAEEYEDEDISKLAISTFQSKEEEIERKKMEVREKVELQLGRAEEETRRLAHIWEELEVLDDPMRKEVAMLRKKIDLANRDLKPLGLNYQKKEKECKEALEAFNEKNKEKAHLVATLVEMLTESERMRMKKLDELCKTIESLSLKP >Vigun06g161200.1.v1.2 pep primary_assembly:ASM411807v1:6:28346993:28352873:-1 gene:Vigun06g161200.v1.2 transcript:Vigun06g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSVLAVIRAARPTFRNPNDKIAFAVHSSFLASGYVLTATGPQALSDNAFSDPSNDEVSVDNWNELNEEYAFVYANPEKGSEKVLVKCLVMNDKLLVHVLSQGSSEPLSLEIDVGDYAGEDGGSNYAQQFKNLGKLVKRIDGDILSKLDGSANASSSSRSSETRDRTRQEIPEPVSGFGEPAGPPTQIIFPSVPIGSGSDLVPGPPAGVLPSRGGHGIGGGSMHLGPNDPLWFGGIGRDPAFPGGMPGLPPGARFDPYGPPGVPGFEPNRFARNPRRPGYDGHPDLQHFRRDADSDYI >Vigun04g073700.1.v1.2 pep primary_assembly:ASM411807v1:4:8747191:8752521:1 gene:Vigun04g073700.v1.2 transcript:Vigun04g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLHFPLLKGNIGSKQKQTMSQKVTILVFSSLFVFLLTTISYSLLSYDSLWKINEFTGLSNDYGLKNNETQSLQNETESLKNQTCFRNETESLKNLRDRLRDEAEKRASKALEKCEIFSGEWVPNPEAPYYTNTTCWEIHEHQNCMKYGRPDSEFMKWRWKPNECELPIFNPFQFLEIMRGKSMAFVGDSIGRNHMQSMICLLSRAEWPIDVSYTDDFSFKRWQYSNYNFTMATFWSPYLVRAENVDSKGDLINIYLDEFDEKWTAEIKEFDYVIINGGQWFLRPIVFYEKKKIVGCQYCPRENVTHLTWHSGYRKAFRTAYKAIINLGNSKVVTILRTYAPSHFENGVWDKGGNCIRTTPFKSSETRLEGYNLELHTIQVQEFKRAEKKGVKRGLKFMLLDTTQAMLLRPDGHPNNYGHSPHQNLTNDCVHWCLPGPIDTLADFLLETLRREGLSSVPKKDFI >Vigun10g090100.2.v1.2 pep primary_assembly:ASM411807v1:10:26031920:26034481:1 gene:Vigun10g090100.v1.2 transcript:Vigun10g090100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLNHTHLLHFFPHYKFLHSLLSSRTPLALTTIIMATKFLLSFLFISCYVLSISADKETGFVGPVDPKSVSYKKKHTFSHFRFYWHEIFSGSNPSSVRIVPPQPKYSTTTTFGSVGVFDNVLTLGPELYSKVVGSAEGLYSSASQKEFALLVIMNFALTEGKYNGSTITFVGRSPIAQKVREMPVIGGTGVFRFARGYVESSTITFDPQTRNNTIEYNVYVYH >Vigun05g272400.1.v1.2 pep primary_assembly:ASM411807v1:5:46337516:46341751:1 gene:Vigun05g272400.v1.2 transcript:Vigun05g272400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRGFFGNDKQTIKGTVVLMRKNVLDINTILDPSSFVDNIFDFAGSLLDAATAFATSISIQLISSTKADGQGKGKVGTATKLRGQISLPTLGASEEAYDVNIEWDSDFGIPGAFYIKNFMTNEFYLKSFTLEDIPNQGTIHFVCNSWVYNNNKYKTPRIFFANNTYLPGDTPAPLVKYREDELKVVRGDGTGERQEYDRIYDYDVYNDLGNPDKGDAYARPVLGGSVLPYPRRGRTGRGKTRKDPNSEKPSDFVYLPRDEAFGHLKSSDFLAYALKSVSQDVLPVLTDAFDGNILSLEFDSFAEVHKLYDGGVTLPTSFLSKYAPIPIVKEIFRSDGEQFLKYPPPKVMQVDKSAWMTDAEFARETIAGVNPNVIKILKEFPPRSKLDTQAFGDHTSIITKEHLEPQLGGLTVEQAIENNKLFILDHHDYLIPYLRKINSSTTQTYATRTIFFLKDDGTLTPLAIELSKPHGQGDEFGPLSEVYVPQYEGVEAYIWLLAKAYVVVNDSCYHQIVSHWLSTHAVVEPFVIATNRQLSVVHPVYKLLFPHYRDTMNINSLARKSLVNADGIIEKTFLWGRYSLEMSAVIYKDWSFVDQALPNDLVKRGVAVKDPSAPHGVKLLIEDYPYASDGLEIWDAIKSWVEEYVAFYYKSDEALQKDPELQAWWKELVQVGHGDLKDKAWWPKMQTRGELVEVSTTLIWISSALHAAVNFGQYPYGGLILNRPTISRRFMPEKGSAAYDALAKNPEKEFLRTITAKKETLIDLTVIEILSRHASDEFYLGERDGGDFWTSDAGPLEAFKRFGKKLAEIEQKLVQKNNDETLRNRTGPAKMPYTLLFPSSEEGLTFRGIPNSISI >Vigun03g144025.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14576314:14576637:1 gene:Vigun03g144025.v1.2 transcript:Vigun03g144025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVVQSDFDDVDNAFRLYIFVCFVILYFSRNSKTVSNTPCSVLDHIDRLLSYNWGKAVHSYLVKSLSRAFLALRQTEICLSGSVTVLHVHSTLCNDYLLFVEYLK >Vigun11g070250.1.v1.2 pep primary_assembly:ASM411807v1:11:20429199:20431483:-1 gene:Vigun11g070250.v1.2 transcript:Vigun11g070250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLKRPTHNWRIRRQSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYQYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAIAKLIAKRGIGHIKITFWGVGQVGNVGKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun02g172700.1.v1.2 pep primary_assembly:ASM411807v1:2:31535166:31541813:-1 gene:Vigun02g172700.v1.2 transcript:Vigun02g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSKRLAVSEPNQVDTNETPFRNKRIMEGSLFDVHRAEPSQHPRPTVTAPSLDMKRAESSQQHVRALNTQFASWVQMQLKNHPDELWEDGVRDYLNHASSIMEKFSDVVNWLKANASMVENSVADAGAAFSGKKLLPEVVNKENKSFGEKTVFTAATTATNFSSSWSPGLFSSSQSIFGFGNQISAPSNPKASDDVDEENELEQPSSPSVKKTEEKGVVVVHEVKCKLYVKSSDPADKDVWKDKGMGQLSIKCQEGVSKATKESKPTIVVRNEVGKILLNALLYPGIKTNPQKNSLVAIFHTTTGNADGSGDSDSVVARTFLIRMKTEDDRNKLASTIQEYAPVS >Vigun02g172700.3.v1.2 pep primary_assembly:ASM411807v1:2:31535166:31541813:-1 gene:Vigun02g172700.v1.2 transcript:Vigun02g172700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKNHPDELWEDGVRDYLNHASSIMEKFSDVVNWLKANASMVENSVADAGAAFSGKKLLPEVVNKENKSFGEKTVFTAATTATNFSSSWSPGLFSSSQSIFGFGNQISAPSNPKASDDVDEENELEQPSSPSVKKTEEKGVVVVHEVKCKLYVKSSDPADKDVWKDKGMGQLSIKCQEGVSKATKESKPTIVVRNEVGKILLNALLYPGIKTNPQKNSLVAIFHTTTGNADGSGDSDSVVARTFLIRMKTEDDRNKLASTIQEYAPVS >Vigun02g172700.2.v1.2 pep primary_assembly:ASM411807v1:2:31535166:31541813:-1 gene:Vigun02g172700.v1.2 transcript:Vigun02g172700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAESSQQHVRALNTQFASWVQMQLKNHPDELWEDGVRDYLNHASSIMEKFSDVVNWLKANASMVENSVADAGAAFSGKKLLPEVVNKENKSFGEKTVFTAATTATNFSSSWSPGLFSSSQSIFGFGNQISAPSNPKASDDVDEENELEQPSSPSVKKTEEKGVVVVHEVKCKLYVKSSDPADKDVWKDKGMGQLSIKCQEGVSKATKESKPTIVVRNEVGKILLNALLYPGIKTNPQKNSLVAIFHTTTGNADGSGDSDSVVARTFLIRMKTEDDRNKLASTIQEYAPVS >Vigun03g414200.2.v1.2 pep primary_assembly:ASM411807v1:3:62107741:62114066:1 gene:Vigun03g414200.v1.2 transcript:Vigun03g414200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDHHCFSRKLDSAMDVDCCQEDEDKEEEELDPFLKFVEHARSELLSLEGDANGDDDGSAGLGWSWIVSRILKTCIAYSSGVTPAILLSELSQAWSEQRRVGAPKKQLEVINQLKKNHRRTKLPNTVTIDSIFEKNFLSLNSVLEAVIVDAFVLPGTNIHMLILGDYWSSNTIDLYLHRRFYDLAGMQNGILKRGREIFLTGCYLRTATGGSGRARLLPTEYLVILLDENQDDDAMLLGAQFCSDSFSSISLDAVNGGASYSLYARIEKIESSEVQGKFGILQRKQITLVDGDGVVIEFFLWGEQILLANLFRVGSMLALDKPYVASSEDCDVETSEGFCLEYGSETQLYLVPYIQHEEQVCVTLTPNRRHGSRPLGSCNPSQDLKVSQVSLPRDSQGTIDFSNYPFRSFVVDLRDKMTGISLYGVITDIIKEENIKETVFSLRIADASGEIWTKLHFARFWSLGRVSLGHTVFISGLTCSMSKQTCLEVLWFEKGIGASFINLSCLPALIYSSCLHKLSRLSDISDQTSYAQMPDGSVKCCFCHTISDATLVRTFHLKITLVDEGTKVLAWCTGQTAMDLLQIAPEEFYELPEEEQLMYPSSLENERFMVALVKCKRDGCLIDGLSPDDSVSWEITRAYKCE >Vigun03g414200.1.v1.2 pep primary_assembly:ASM411807v1:3:62107741:62114066:1 gene:Vigun03g414200.v1.2 transcript:Vigun03g414200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDHHCFSRKLDSAMDVDCCQEDEDKEEEELDPFLKFVEHARSELLSLEGDANGDDDGSAGLGWSWIVSRILKTCIAYSSGVTPAILLSELSQAWSEQRRVGAPKKQLEVINQLKKNHRRTKLPNTVTIDSIFEKNFLSLNSVLEAVIVDAFVLPGTNIHMLILGDYWSSNTIDLYLHRRFYDLAGMQNGILKRGREIFLTGCYLRTATGGSGRARLLPTEYLVILLDENQDDDAMLLGAQFCSDSFSSISLDAVNGGASYSLYARIEKIESSEVQGKFGILQRKQITLVDGDGVVIEFFLWGEQILLANLFRVGSMLALDKPYVASSEDCDVETSEGFCLEYGSETQLYLVPYIQHEEQVCVTLTPNRRHGSRPLGSCNPSQDLKVSQVSLPRDSQGTIDFSNYPFRSFVVDLRDKMTGISLYGVITDIIKEENIKETVFSLRIADASGEIWTKLHFARFWSLGRVSLGHTVFISGLTCSMSKQTCLEVLWFEKGIGASFINLSCLPALIYSSCLHKLSRLSDISDQTSYAQVCRVSLDPIRYYYVNTRFSHSLCGHFVNKMPDGSVKCCFCHTISDATLVRTFHLKITLVDEGTKVLAWCTGQTAMDLLQIAPEEFYELPEEEQLMYPSSLENERFMVALVKCKRDGCLIDGLSPDDSVSWEITRAYKCE >Vigun10g141200.1.v1.2 pep primary_assembly:ASM411807v1:10:35815884:35820709:-1 gene:Vigun10g141200.v1.2 transcript:Vigun10g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMATNLLRHGFKVTVWNRTLSKCEELVQYGASVGETPATVVKKCKYTIAMLSDPSAALSVVFDKDGVLDHINGKGYIDMSTVDADTSSKISEAIKEKGGYFLEAPVSGSKKPAEDGQLVILAAGDKALYDEALPAFDILGKKSFFLGDVGNGAKMKLVVNMIMGSVMNAFSEGLTLAERSGLSPATLLDVLDLGAISNGMFKLKGPTMLQNSYSPAFPLKHQQKDMRLALALGDENAVSMPIAAAANEAFKKARSMGLGDHDFSAVHETYKAPHHSS >Vigun10g097900.1.v1.2 pep primary_assembly:ASM411807v1:10:28670258:28676958:1 gene:Vigun10g097900.v1.2 transcript:Vigun10g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGAESRGNINGEAVIPKVGGDYEGNRMRTIEDGERERGCCNCGTFIQGLLQRLHHLLTALNLSISASELKEELQSLAKVACPIIMTSLMMYSRSAVSMLFLGRQGKVELAGGSLALGFANITANSVLKGLTMGMDPICCQAFGAKRWSVLNQTFFRTICLLLLVAIPISILWLNMEPILRMLGQDPEVTKVAQVYMVFSIPELLAQAHLNPLRTFLRTQGLTTPVTVAASCAALLHLPINYFLATYLNLGVKGIALATGLNSINMTLGLMLYVFFSNKPLKPWQGATVLSAFHGWKPLLSLALPSCISVCLEWWWYEIMLFLCGLLSNPQATVATMGILIQTLGFLYVFPFSLSIALTTRIGHSLGAGQASKAQSTAIIGFITAFTLGITAFILLFLVRKSWAKLFTDEIQIVDLVTTILPILGLCEISNWPQTVSCGILSGTARPYLGARINLCAFYLVGLPVSVFATFVYKYELVGLWSGMVAAQASCLCMMVYTLIQTDWGQQCKRAVELAQKTTDQENKNDEESGLLGSDQ >VigunL061227.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:48559:48720:-1 gene:VigunL061227.v1.2 transcript:VigunL061227.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun04g174000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39842521:39844365:-1 gene:Vigun04g174000.v1.2 transcript:Vigun04g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSSLASFRRPLYLWNLMIRDSTNNGFFTQTLNIYSSMAHSGVHGNNLTYPLLLKACANLASIQHGIVLHGHVLKLGFQADAFVQTGLVDMYSKCSRVESARLVFDEMPQRSVVSWNAMVSAYTRVSSMDQAFSLLKEMWVLGFEPTASTFVSILSGYSNLDTFKFRLQGVSIHGCLIKLGIVHTEVSLANSLMAMYAQFCAMDEARKVFDLMDEKSIISWTTMIGGYVKIGRAAEAFGLFNKMQRQSVGIDFVVFLNLISGCIQVGELLLASSVHSLVLKCGCDEVDSVENLIITLYAKCGNLTFARRIFDLIIEKSMLSWTSMIAGYAHSGHPSEALDLFRRMVKTDIRPNGATLATVLSACADLGSLSTGQEIEEYVFISGLESDQQVQTSLIHMYSKCGNIKKAREVFEKVTDKDLTVWTSMINSYAIHGMGNEAITLFHKMTTEEGIIPDAIVYTSVLLACSHSGLVEDGLKYFKSMQQDFRIAPTVEHCTCLIDLLGRVGQLNLALDAIQGMPLAVQAQAWGSLLSACRIHGNVELGELATVKLLETSPGSSGSYVLMSNLYTSLGKWKEAHMMRNLIDGKGLVKECGWSQVEVSGSHDALAAGN >Vigun09g143000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30567945:30568511:-1 gene:Vigun09g143000.v1.2 transcript:Vigun09g143000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VNNEIYFEFINDFVVFFFFLQSSNSFNIISKYCKEVSNNDPNLEYDFCVASLEDASSKLQPPPTNLETLVEISIQLTKSNGTNVLSIISKFLKDKRFDTYRHACLQDCSKLYSDSLSNLDRALVALKSKDFDTAATMLSSSMDSPNTCEEQFNEKKGEKSPLTKKNQVYSQLNLLSLVLLQMFHKQHH >Vigun06g152400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27661811:27662883:-1 gene:Vigun06g152400.v1.2 transcript:Vigun06g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNKRKDQVLREYFSNKQFLKRTLQFVLSVSVFSVFVWYSSGFSTHPHSFNVYFSTCLFSMFTHTIERKYMFLICNGILAFVAKTSLMSSSDSDFDQLLPSNLSETKTTTVSDMVVAPLVESFASPENVCLVVEEQQGQEEYSEEVSEDEDQEQDTKTEGRESEGYITDEDIKEGESDSEVEMALDDELAETTTTTNNEELLNTDELNRKFEEFIRKMKEEIRIEARRQLIAV >Vigun01g093700.3.v1.2 pep primary_assembly:ASM411807v1:1:25646798:25650699:1 gene:Vigun01g093700.v1.2 transcript:Vigun01g093700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGANVENEATEDGEYDDSLSEDESESLSDIDDCDVDAYIHNEEEKNIKKMLWERVNRVYVMEQAAKEAVTTANKKAFEGKFGNSSEDFLAARAAAAAKSRKGMKQKRAHEAKSIAPTQSAAEAFGQMSNEKKSLNSKVNLDRLEELFNDMEEDEMGSADDY >Vigun01g093700.2.v1.2 pep primary_assembly:ASM411807v1:1:25646707:25650743:1 gene:Vigun01g093700.v1.2 transcript:Vigun01g093700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGANVENEATEDGEYDDSLSEDESESLSDIDDCDVDAYIHNEEEKNIKKMLWERVNRVYVMEQAAKEAVTTANKKAFEGKFGNSSEDFLAARAAAAAKSRKGMKQKRAHEAKSIAPTQSAAEAFGQMSNEKKSLNSKVNLDRLEELFNDMEEDEMGSADDY >Vigun01g093700.5.v1.2 pep primary_assembly:ASM411807v1:1:25646798:25650699:1 gene:Vigun01g093700.v1.2 transcript:Vigun01g093700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGANVENEATEDGEYDDSLSEDESESLSDIDDCDVDAYIHNEEEKNIKKMLWERVNRVYVMEQAAKEAVTTANKKAFEGKFGNSSEDFLAARAAAAAKSRKGMKQKRAHEAKSIAPTQSAAEAFGQMSNEKKSLNSKVNLDRLEELFNDMEEDEMGSADDY >Vigun01g093700.1.v1.2 pep primary_assembly:ASM411807v1:1:25646798:25650687:1 gene:Vigun01g093700.v1.2 transcript:Vigun01g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGANVENEATEDGEYDDSLSEDESESLSDIDDCDVDAYIHNEEEKNIKKMLWERVNRVYVMEQAAKEAVTTANKKAFEGKFGNSSEDFLAARAAAAAKSRKGMKQKRAHEAKSIAPTQSAAEAFGQMSNEKKSLNSKVNLDRLEELFNDMEEDEMGSADDY >Vigun07g091500.1.v1.2 pep primary_assembly:ASM411807v1:7:14300654:14301718:1 gene:Vigun07g091500.v1.2 transcript:Vigun07g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHENGANAGEEEPMIGPGPAPRARPKRPLQFEQAYLNALPSANMYEKSYMHRDVVTHVAVSAADFFITGSSDGHLKFWKKKPIGIEFAKHFRSHLGSIN >Vigun06g070500.1.v1.2 pep primary_assembly:ASM411807v1:6:20058000:20063744:-1 gene:Vigun06g070500.v1.2 transcript:Vigun06g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSFYGSNVWSACANMRVWTLFLLLLLLETGVVCSSSDYLVGLGSYDITGPAADVNMMGYANTGQTASGIHFRLRARAFIVAEPKGKRVVFVNLDACMASQIVKIKVIERLKARYGDLYTENNVAISGIHTHAGPGGYLQYVVYIITSFGFVHQSFDVIVDGIEKCIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPAAERKKYEYNVDKEMTLLKFVDDEWGPVGSFNWFPTHGTSMSRTNSLISGDNKGVAARFMEDWFEKKGYEKTDSAKTEDGGLPRKISNIIPGLHNNKHELLEIATSFQSSPGRSASKTSSVSKRVRGAHRKDDKPRFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCGGKNELCYSRGPGYPDEFESTRIIGERQFRKAVDLFNTVDEEIEGEVDFRHTYIDFSQLEVTISDEGDSEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDKGNPFWKLVRDLLKTPTKEQIECHRPKPILLDTGEMKKPYDWAPSILPIQIIRIGQLVLLCVPGEFTTMAGRRLRDAVKTVLTSEEDFDFDDIYIVIAGLSNTYSQYVTTYEEYQVQRYEGASTLYGPHTLSAYIQEFKKLAVALINDEAVEAGPEPPDLLEKQIGLLPPVVVDGIPLGVNFGDVCADVPQNSTFKSGDMVAASFWSACPRNDLMTEGTFALVEFLQEKDDWIPAYDDDDFCLRYKWSRPYKLSSRSRATLEWRIPEGVTPGVYRFRHFGAAKGLFGSIHHFTASSSAFVVA >Vigun06g070500.2.v1.2 pep primary_assembly:ASM411807v1:6:20058077:20063717:-1 gene:Vigun06g070500.v1.2 transcript:Vigun06g070500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSFYGSNVWSACANMRVWTLFLLLLLLETGVVCSSSDYLVGLGSYDITGPAADVNMMGYANTGQTASGIHFRLRARAFIVAEPKGKRVVFVNLDACMASQIVKIKVIERLKARYGDLYTENNVAISGIHTHAGPGGYLQYVVYIITSFGFVHQSFDVIVDGIEKCIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPAAERKKYEYNVDKEMTLLKFVDDEWGPVGSFNWFPTHGTSMSRTNSLISGDNKGVAARFMEDWFEKKGYEKTDSAKTEDGGLPRKISNIIPGLHNNKHELLEIATSFQSSPGRSASKTSSVSKRVRGAHRKDDKPRFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCGGKNELCYSRGPGYPDEFESTRIIGERQFRKAVDLFNTVDEEIEGEVDFRHTYIDFSQLEVTISDEGDSEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDKGNPFWKLVRDLLKTPTKEQIECHRPKPILLDTGEMKKPYDWAPSILPIQIIRIGQLVLLCVPGEFTTMAGRRLRDAVKTVLTSEEDFDFDDIYIVIAGLSNTYSQYVTTYEEYQVQRYEGASTLYGPHTLSAYIQEFKKLAVALINDEAVEAGPEPPDLLEKQIGLLPPVVVDGIPLGVNFGDVCADVPQNSTFKSGDMVAASFWSACPRNDLMTEGTFALVEFLQEKDDWIPAYDDDDFCLRYKWSRPYKLSSRSRATLEWRIPEGVTPGVYRFRHFGAAKGLFGSIHHFTASSSAFVVA >Vigun04g182600.5.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684905:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.8.v1.2 pep primary_assembly:ASM411807v1:4:40680574:40684903:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.1.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684903:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVITGPIRNIFSNVLYKFIYKDFHEAVAKMAMIDAFLFIIVHSIDKLGIWPRLPVLLGLLYLAIRRHLHQEYNLFNVGTTPTVVRFNEVAGSQGTFFGRNMLPVDQKKKLLKPDPTVVATKLLARRKYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.4.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684903:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDQKKKLLKPDPTVVATKLLARRKYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.9.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684163:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDAFLFIIVHSIDKLGIWPRLPVLLGLLYLAIRRHLHQEYNLFNVGTTPTVVRFNEVAGSQGTFFGRNMLPVDQKKKLLKPDPTVVATKLLARRKYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEREDIIRNRSCNTACVNGSPSLWGIRALELSRMA >Vigun04g182600.2.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684903:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHSIDKLGIWPRLPVLLGLLYLAIRRHLHQEYNLFNVGTTPTVVRFNEVAGSQGTFFGRNMLPVDQKKKLLKPDPTVVATKLLARRKYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.3.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684903:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDQKKKLLKPDPTVVATKLLARRKYKDTGKQFNVIAASWIQFMIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.7.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684904:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun04g182600.6.v1.2 pep primary_assembly:ASM411807v1:4:40680575:40684904:-1 gene:Vigun04g182600.v1.2 transcript:Vigun04g182600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWIDHLEDTKQIELTAPKEVSSQCPLKSFKFFKTKEIPTVPTGFYEIKSGSLNIRTPWWDASVVYGSNGEVLQKVRTFKDGKVKISKDGNLVHNENGTAVAGDVRNSWAGVSTLQSLFIQEHNAVCDSLKKYYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGLLGKKFKDTFGHVGGSILGGFVGMKKPENHGVKYSLTEEFVSVYRMHSLLPDNLQLRDISATPGPNKSPPVIKEIPMKNLIGLPGEKTLSEIGVAIQLVSMGHQACGALELWNYPEWLRDLVPQNVDGTERSELVDLAALEIYRDRERNVPRYNQFRRALLLIPITKWEDLTDDKEAIEVLEEVYGDDVEELDLLVGLMAEKKIKGFAISETAFVIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVIDRHYPEMTHKWLNSSSAFSVWDSLPNSPNHVPLYLRVPH >Vigun05g000500.2.v1.2 pep primary_assembly:ASM411807v1:5:69283:74580:-1 gene:Vigun05g000500.v1.2 transcript:Vigun05g000500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRNDCKASMKNSLRKLRGLALHNRTRKHDSSNSIQPLGQLDELARATQDMQDMRDCYDTLLSAAAATASSAYEFSESLRDMGSCLLEKTALNDHGEETGKLLLMLGKIQFKLHKLIDDYRSHIIQTITIPSESLLNELRIVEEMKRQCDEKRDLYDYMVARYREGGRSKGGKGETFSLQQLQTAHDEYDEEATLFVFRLKSLKQGQSRSLLTQATRHHASQLCFFKKAVKSLETVEPHVKSVTEQQHIDYQFSGLEEEDGYEGDDGDDDGGGYDDNDDGELSFDYGQTEQDRDVSTSRNSMELDQVEVTPPGGLTSEAAKENLDKLQRNLFSFRVRTGSQSAPLFADSKPDAIEKMRQMRPSLSRKFSSYVLPTPVDAKSSISSSSNNPKPSKVQANLSEPTKNLWHSSPLDQKKHEKDSGDEFSGSIVRSAQSVHKESNSNTASTRLPRPLADNFLSSNRDYISAHSKKIKRYAFSGPLTSDPGPTRPVLVESVQLFSGPLLPSPIPQPRSSSPKLSPTASPTLVSSPKISELHELPRPPTSFPSNSRFLGLVGHSGPLLSRGQKVSSANNLAASSAASPLPMPPPAMTRSFSIPSSGARVAALHVPRTLDSSHGSSISETNASPLIPLALSSSQPSSDC >Vigun05g000500.1.v1.2 pep primary_assembly:ASM411807v1:5:69303:74580:-1 gene:Vigun05g000500.v1.2 transcript:Vigun05g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRNDCKASMKNSLRKLRGLALHNRTRKHDSSNSIQPLGQLDELARATQDMQDMRDCYDTLLSAAAATASSAYEFSESLRDMGSCLLEKTALNDHGEETGKLLLMLGKIQFKLHKLIDDYRSHIIQTITIPSESLLNELRIVEEMKRQCDEKRDLYDYMVARYREGGRSKGGKGETFSLQQLQTAHDEYDEEATLFVFRLKSLKQGQSRSLLTQATRHHASQLCFFKKAVKSLETVEPHVKSVTEQQHIDYQFSGLEEEDGYEGDDGDDDGGGYDDNDDGELSFDYGQTEQDRDVSTSRNSMEAGKLHLLTRKREQLDQVEVTPPGGLTSEAAKENLDKLQRNLFSFRVRTGSQSAPLFADSKPDAIEKMRQMRPSLSRKFSSYVLPTPVDAKSSISSSSNNPKPSKVQANLSEPTKNLWHSSPLDQKKHEKDSGDEFSGSIVRSAQSVHKESNSNTASTRLPRPLADNFLSSNRDYISAHSKKIKRYAFSGPLTSDPGPTRPVLVESVQLFSGPLLPSPIPQPRSSSPKLSPTASPTLVSSPKISELHELPRPPTSFPSNSRFLGLVGHSGPLLSRGQKVSSANNLAASSAASPLPMPPPAMTRSFSIPSSGARVAALHVPRTLDSSHGSSISETNASPLIPLALSSSQPSSDC >Vigun05g000500.4.v1.2 pep primary_assembly:ASM411807v1:5:69303:74580:-1 gene:Vigun05g000500.v1.2 transcript:Vigun05g000500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMRDCYDTLLSAAAATASSAYEFSESLRDMGSCLLEKTALNDHGEETGKLLLMLGKIQFKLHKLIDDYRSHIIQTITIPSESLLNELRIVEEMKRQCDEKRDLYDYMVARYREGGRSKGGKGETFSLQQLQTAHDEYDEEATLFVFRLKSLKQGQSRSLLTQATRHHASQLCFFKKAVKSLETVEPHVKSVTEQQHIDYQFSGLEEEDGYEGDDGDDDGGGYDDNDDGELSFDYGQTEQDRDVSTSRNSMELDQVEVTPPGGLTSEAAKENLDKLQRNLFSFRVRTGSQSAPLFADSKPDAIEKMRQMRPSLSRKFSSYVLPTPVDAKSSISSSSNNPKPSKVQANLSEPTKNLWHSSPLDQKKHEKDSGDEFSGSIVRSAQSVHKESNSNTASTRLPRPLADNFLSSNRDYISAHSKKIKRYAFSGPLTSDPGPTRPVLVESVQLFSGPLLPSPIPQPRSSSPKLSPTASPTLVSSPKISELHELPRPPTSFPSNSRFLGLVGHSGPLLSRGQKVSSANNLAASSAASPLPMPPPAMTRSFSIPSSGARVAALHVPRTLDSSHGSSISETNASPLIPLALSSSQPSSDC >Vigun05g000500.3.v1.2 pep primary_assembly:ASM411807v1:5:69302:74580:-1 gene:Vigun05g000500.v1.2 transcript:Vigun05g000500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMRDCYDTLLSAAAATASSAYEFSESLRDMGSCLLEKTALNDHGEETGKLLLMLGKIQFKLHKLIDDYRSHIIQTITIPSESLLNELRIVEEMKRQCDEKRDLYDYMVARYREGGRSKGGKGETFSLQQLQTAHDEYDEEATLFVFRLKSLKQGQSRSLLTQATRHHASQLCFFKKAVKSLETVEPHVKSVTEQQHIDYQFSGLEEEDGYEGDDGDDDGGGYDDNDDGELSFDYGQTEQDRDVSTSRNSMEAGKLHLLTRKREQLDQVEVTPPGGLTSEAAKENLDKLQRNLFSFRVRTGSQSAPLFADSKPDAIEKMRQMRPSLSRKFSSYVLPTPVDAKSSISSSSNNPKPSKVQANLSEPTKNLWHSSPLDQKKHEKDSGDEFSGSIVRSAQSVHKESNSNTASTRLPRPLADNFLSSNRDYISAHSKKIKRYAFSGPLTSDPGPTRPVLVESVQLFSGPLLPSPIPQPRSSSPKLSPTASPTLVSSPKISELHELPRPPTSFPSNSRFLGLVGHSGPLLSRGQKVSSANNLAASSAASPLPMPPPAMTRSFSIPSSGARVAALHVPRTLDSSHGSSISETNASPLIPLALSSSQPSSDC >Vigun10g193800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40789727:40791397:-1 gene:Vigun10g193800.v1.2 transcript:Vigun10g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKDFPEECWESVFRFLGLGHHHLESLSLVCKQFLAITNRLKFSLTVYDPTIPLFPRLFLRFPRLKILDLSLLNGHHEHLLHQISQSGLDLDLINLSNQRTLSVDGLRELGSNMRNLRALICSNIGSLRDSHLVIIAYCFPLLEELDISFPLNSQTSDFGVLRLSSMLENLRKINISGNHLITDKSLFSLCQNCLSLEEISFFICFKITQTGIASAIRLRPGLRSISFNIEKKRIHGPGLTLTPIDLNLIDSFKSLKGLTAIDLSNSVISDEFLFALAEGGGLLLKQITLQDCCNCTFSGISYVLSKCQSVQCLDLRKVDFLTDECISKLSVFLLSLTTINLSGCCQLTNSTFFILTRNCPLLSEIKMERTYLGVEGEEDSMRDFAVNLEVKKVFLGDNVLLSDASLLKFVSICPSLQILDLIGCEGVSGEGVVEVLKRCIEIRHLNLANTGMKVFDIDFEVSKLKVLNLSGSRIEDETLSVISRRCCGLMLLDIQSCWFVTEKGVREVVENCKTLKELNLKNCRLVSDDFVDWLEFSKPSLRIIVTPTDVGAYY >Vigun09g133350.1.v1.2 pep primary_assembly:ASM411807v1:9:29234921:29237842:1 gene:Vigun09g133350.v1.2 transcript:Vigun09g133350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSSPFSSSISRHILVQSPTQTLLRFIAKLSVTWPITASATIIADNASPSLHENHERASYLLPPSRCCTSCGCRQCRHMIRWPPRCWERDRSCWCHHPPSEPPWH >Vigun06g012701.1.v1.2 pep primary_assembly:ASM411807v1:6:5830195:5832568:-1 gene:Vigun06g012701.v1.2 transcript:Vigun06g012701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFYLIKDIDEKKETLKLVGRVKDLWFVQNQDMNRHMELILLDQKGDMIPTMVKKKDIGLWEEKLVEGHTYIMHNFKIMKNEGQFRVCDHPYKLLFIGATTIKEQPISSIPLNIYNFKSIEDIVDGKFSADLVYVVDNVSIVVSFYNFVVIGCTLWDSYYFKFMSNWRGEPDSFIVVVMLTQAKIKPSSVYCVTVATTVKFNLENDGWCYPVCNGCKKKTEEIGAFKCVMCGFNNEKFGIRYKLELHVCDGDSYANFVIWDQDCINLIGVSAVELMNKMIEDGEDDPKCFPEDLDVILGCTLAFKVRVQPKTRSSSVMKASNNLETIAFIRSKLESKMIKDSSAEGMCDSSTEENSKGRDQIQEVKYFRLNVSLKKIGEKVE >VigunL077100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000541.1:58146:59207:-1 gene:VigunL077100.v1.2 transcript:VigunL077100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HSSSLSQPKALPTLQRNHFIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun08g138400.1.v1.2 pep primary_assembly:ASM411807v1:8:31074059:31075210:1 gene:Vigun08g138400.v1.2 transcript:Vigun08g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGAFSVSLLPPLYKPYPPSLLPSSILSISRYRSISIMAQPQPESHATNGSCQPSPKIPKLHQNDDVSSTPPFLRVKKLSDKAVLPSRASPLSAGYDLSSAVETKVPARGKALVATDISIAVPEGTYARVAPRSGLAWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKVGDRVAQLIIEKIVTPSVTEVDDLDETVRGEGGFGSTGV >Vigun02g199100.1.v1.2 pep primary_assembly:ASM411807v1:2:33391181:33394059:1 gene:Vigun02g199100.v1.2 transcript:Vigun02g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKLIQLLLLCTFSLFSDVVFGDPPYTICSSSGSYVNGSSFENNLNNLLSSLSSNASDSKFYNTSYGIAPDTVYGLYMCLDYISNDSCQKCIATVTEEIVKLCPQAEEAIVWEELCQLRYSNNNFLGSLNVTGNIGLDNVQNLTDSEKFESAVNETLRNLTKVASFKVSANMYATGDVPFEDETIFALVQCTRDLTANDCNRCLQSAIGDIPSCCYASIGGRVLSRSCYLRYEFYAFYNGATGPTDSSNGNRESKNRSSKIWMIAGITVVVGLAIVFFIFGLYLVRNKRHPNGKNEIENHNINLGSLRVATNNFSDMNKLGQGGFGPVYKGKLSDGQEVAIKRLSTWSEQGSEEFINEVLLIMKLQHKNLVKLLGFCVDGEEKILVYEFLPNGSLDVVLFNQKQRAQLDWSKRLNIINGIARGILYLHEDSRLKVIHRDLKASNVLLDYDMNAKISDFGMARIFSGNEGEANTATIVGTYGYMAPEYAMEGLYSIKSDVFGFGVLLLEIITGKRNAGFYHSKNSPSLLSYAWSLWNEGKGIELSDPLLCDSCPGDEFLRYMQIGLLCVQEDAYDRPTMSSVVMMLKNESATLGHPEKPPFSVGRFNANDPDSQECSLNFLTMSDILPQ >Vigun07g066075.1.v1.2 pep primary_assembly:ASM411807v1:7:7734517:7735009:1 gene:Vigun07g066075.v1.2 transcript:Vigun07g066075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIIVDNICRNIRAIRTRTVDLLGKTDQTNYYLNDLNCFQNPTCIFFFLH >Vigun11g043900.9.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVVPLLDIQGFKATIILMMSVELGRRAFRKLQKIDLEDNTIVDTLGPLFVCMNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.22.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477725:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.3.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.7.v1.2 pep primary_assembly:ASM411807v1:11:6470995:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.15.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.14.v1.2 pep primary_assembly:ASM411807v1:11:6470990:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVELGRRAFRKLQKIDLEDNTIVDTLGPLFVCMNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.18.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.6.v1.2 pep primary_assembly:ASM411807v1:11:6470990:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.2.v1.2 pep primary_assembly:ASM411807v1:11:6470990:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.8.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVVPLLDIQGFKATIILMMSVELGRRAFRKLQKIDLEDNTIVDTLGPLFVCMNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.16.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.11.v1.2 pep primary_assembly:ASM411807v1:11:6470990:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVELGRRAFRKLQKIDLEDNTIVDTLGPLFVCMNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.17.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.13.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.23.v1.2 pep primary_assembly:ASM411807v1:11:6470995:6477519:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.21.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477725:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.19.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g043900.20.v1.2 pep primary_assembly:ASM411807v1:11:6470996:6477726:1 gene:Vigun11g043900.v1.2 transcript:Vigun11g043900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRDKAQLMMNIHCLGRNLTTASSSLLPVQLPAQREVHFWYVLPHEVQSTNLLNQYLEILSPCEKENIFSMRGEQIKKRALLARALVRTTLARYQTNCQIDPKSLKFRKNNYGKPELDWQYADDWSLPPLRFNISHTSSLIACGVTVGSAIGIDVEAKQRRLKNDTLAFARRFFSPCEIDMLSHIVDPELRLQEFIKLWTLKEAYVKALGKGFSASPFKTFTLRLGDHVKGNIHAPPHMISKVHDITVESSDDQKNPSSNWQFGLLELAGSHYAAICIEQDSIDAGNASIPINLTLRKTIPYVEDDCISAIDSAVVIGGLARLSVCH >Vigun11g143600.1.v1.2 pep primary_assembly:ASM411807v1:11:35318186:35319847:1 gene:Vigun11g143600.v1.2 transcript:Vigun11g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKWSILVAIFILLIAMEAAIAQGQGGGNGKGKGNENGNGNGNGNGKEKTPKEKKPKEKTPKEKKPKEKKPKKQHDEASDYDNLSPLPSGQERGFCRTNTTCEMKTIVCPSECAERKPKKNKKQKACFINCGSKKCEATCKVRKANCDGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALAVMFDSHTLVIAANRVSHWNDKVDSLTVKWDGEVINVPTDGEAEWRANGDEREVVVERTDETNAVRVMVSGLVEMDISVKPIGEQENKVHNYQLPPDDAFAHLETQFRFKKSTDHFEGVLGQTYRPGYVSPVKRGVPMPMMGGENKYETLSLFSTSCTRCMFQRPSSIASTEGLVAQN >Vigun11g056200.2.v1.2 pep primary_assembly:ASM411807v1:11:10642971:10646217:-1 gene:Vigun11g056200.v1.2 transcript:Vigun11g056200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGSNNNNNNPYVHISPLQTNRPNPMDTVFGALNQCSRKVGDATRRAEIVADNLWNHIRIGSSVADAAVARIVQGTKVLALGGSDALFQQSFGVFPGEKLVKSFACYLSTSSGPVIGTLYISNLRFAFCSDYPLFHYPFSLQQNQTLHYKIHKQGSTVTLIAFICGGASGSVKHG >Vigun11g056200.3.v1.2 pep primary_assembly:ASM411807v1:11:10644281:10646217:-1 gene:Vigun11g056200.v1.2 transcript:Vigun11g056200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGSNNNNNNPYVHISPLQTNRPNPMDTVFGALNQCSRKVGDATRRAEIVADNLWNHIRIGSSVADAAVARIVQGTKVLALGGSDALFQQSFGVFPGEKLVKSFACYLSTSSGPVIGTLYISNLRFAFCSDYPLFHYPFSLQQNQTLHYKVL >Vigun11g056200.1.v1.2 pep primary_assembly:ASM411807v1:11:10642971:10646217:-1 gene:Vigun11g056200.v1.2 transcript:Vigun11g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGSNNNNNNPYVHISPLQTNRPNPMDTVFGALNQCSRKVGDATRRAEIVADNLWNHIRIGSSVADAAVARIVQGTKVLALGGSDALFQQSFGVFPGEKLVKSFACYLSTSSGPVIGTLYISNLRFAFCSDYPLFHYPFSLQQNQTLHYKVVVQVDQLSTVSPSSNRFNPAEKYIELVTVDGYEFYFMGFIAYDKALKTIREVLQQYHNHSREA >Vigun02g177500.1.v1.2 pep primary_assembly:ASM411807v1:2:31913618:31917270:1 gene:Vigun02g177500.v1.2 transcript:Vigun02g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCEDKSSSEFCYSDVSSGNPTMLVNQIQGFVSDPEMYNLSTGMEMIGFPKSDTNAVMWRSFIPKPGPSSSKTMNEPSTPFYHRDYCNNKPSDFTPGNISETSAENLIVGAHDSAPWQDDNNHNRFDDSSLRCVFPCEANERPSQGLSLSLSSTNPSTIGLQSFELRQTSHHPDFVPSSSREGFFGKPVSVQQQQMLQDGYVSSNSKAAIVYQQGHFLVKNSRYLLPAQDLLNEFCSLDAKISEAGKPKSLKKQWEEDNICSGSSKRPSLTSLEFVELQKRKTKLLSMLEEVDRRYKHYRNQMKSVVSSFEAVAGNGAATVYSALALKAMSRHFKCLKDGILGQIQVTRKAMGEKDPIAPGTTRGETPRLKVIDQALRQQRAFQQMSMMETHPWRPQRGLPERAVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRGQVSNWFINARVRLWKPMVEEMYLEEVKDHENNMASSEGATDPDNDINSNVQNPPPHSSRSEDQKPSLLRIDSECASSIINNHTIDNKNDPKSQEQCFGSVELDFSSYTHQSFGSNDQNGNGQSGVSLTLGLQQHGVSLAFPPPTQSSLYYANPRDQIEDCQPVQYSLLDGEGQNLPYRNLMGAQLLHDLAG >Vigun05g100500.1.v1.2 pep primary_assembly:ASM411807v1:5:9902648:9903475:-1 gene:Vigun05g100500.v1.2 transcript:Vigun05g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSCDAVMTRSVWSYNLEAEFELIRRVIGLFPFISMDIEFPGVIFQSHPTLRQPQNNYAVMKANVDCMHLIQVGLTFSDYHGNLPTFGTSHHFIWEFNFCEFDVTCHPHAPHSVALLQRQGMDFHKNRNLGVNIVQFVELMMISGLLCNSHIRWITFHGAYDFAYMIKEIYDVKHLVRFCPNLHGCLDRVSESLGLDNSARKSHHAGSDSLVTLHVFNEIKKLYFHTQNDLKKYAGVVYGLEML >Vigun08g010700.1.v1.2 pep primary_assembly:ASM411807v1:8:936029:939245:1 gene:Vigun08g010700.v1.2 transcript:Vigun08g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGRHNKAEEDEDHREDNNNNTNNSQFLFRNVNDEIYNTNKGFEIWPQSSYHHNFTNYYSFGVGPSRRNNTANNNNSSSNNVNDDVSVSFSDESNRFGFTVMRSGGGVGGGGGGAGMNCQDCGNQAKKDCSHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQQNQPPQFRGDHSKRHRESIEGVAAGGSLACAPVPITTTGLEVGQFPPELNSPAVFRCVKVSAMDAPDERFAYQTAVNIGGHVFKGILYDQGADGPYAGAGCEGSSGGGGEARPLALMAAATTTTAAGNPFDASLYTAPMNAFMAGTQFFPPPRS >Vigun05g117932.1.v1.2 pep primary_assembly:ASM411807v1:5:12636619:12637052:1 gene:Vigun05g117932.v1.2 transcript:Vigun05g117932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMKMNSPMKSAVRFVFVMLLVLFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFTNNKFIQSP >Vigun01g083700.2.v1.2 pep primary_assembly:ASM411807v1:1:23708776:23710901:1 gene:Vigun01g083700.v1.2 transcript:Vigun01g083700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGQKSASPLSDLKVTIHETSTIFPSKQTEKKCLFLSNIDKVVNFDVETLHFFAANKAFSLQKVAEKLKKALEDVLVHYSFLAGRLRQNAETKRLEIDCNAKGAAFVVASCKHKLSELGDLAYPNPAFAKLVHKSKDKDLPLAVQLTSFDCGGFAMGFTTSHAAFDGLSFKTFLDNLAALAANKPMPVMPCHDRHLLAARSPPRVTFAHPELAELDYSPIASTESNVFDASKGPKSNFFDVSKGPESNVFDASKGTESNVFDASKGTESNVFDASKGTESNVFDASKGTKSNVFDDSKEKLDFRVFKLTPEDIVSLKEKAKGSTNDSNTGFNAITAHIWRCKALSAPYDPTRSSTVLFPVDIRSKLNPPLPEGFAGNAVLTAFATAKYEELEKGEFSRLVEMVKEGAERMNDEYVRSVIDRGELCDGFPRGDVLVSSWQRLGFEKVEYPWGKPKYCCPVVHQRKEIIHLFPPFVAGGDDGINLIVALPPKKLEKFENLFHTFLRSV >Vigun01g083700.1.v1.2 pep primary_assembly:ASM411807v1:1:23708776:23710900:1 gene:Vigun01g083700.v1.2 transcript:Vigun01g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGQKSASPLSDLKVTIHETSTIFPSKQTEKKCLFLSNIDKVVNFDVETLHFFAANKAFSLQKVAEKLKKALEDVLVHYSFLAGRLRQNAETKRLEIDCNAKGAAFVVASCKHKLSELGDLAYPNPAFAKLVHKSKDKDLPLAVQLTSFDCGGFAMGFTTSHAAFDGLSFKTFLDNLAALAANKPMPVMPCHDRHLLAARSPPRVTFAHPELAELDYSPIASTESNVFDASKGPKSNFFDVSKGPESNVFDASKGTESNVFDASKGTKSNVFDASKGTKSNVFDVSKGTGSNVFDDSKGTESNVFDASKGTKSNVFDVSKGPESNVFDASKGTESNVFDASKGTKSNVLDVSKGPESNVFDASKGTKSNVLDVSKGPESNVFDASKGTESNVFDASKGTESNVFDASKGTKSNVFDDSKEKLDFRVFKLTPEDIVSLKEKAKGSTNDSNTGFNAITAHIWRCKALSAPYDPTRSSTVLFPVDIRSKLNPPLPEGFAGNAVLTAFATAKYEELEKGEFSRLVEMVKEGAERMNDEYVRSVIDRGELCDGFPRGDVLVSSWQRLGFEKVEYPWGKPKYCCPVVHQRKEIIHLFPPFVAGGDDGINLIVALPPKKLEKFENLFHTFLRSV >Vigun02g010500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4123347:4125501:1 gene:Vigun02g010500.v1.2 transcript:Vigun02g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALNGKVRDVRPCLQRFFSLLPRSEHADAEQLLCRCSNLNHLHQTHAFMLTRALDKDHILLSRFIHASASLGFPSFAYSIFIYNHPPTIFLYNNLISTLSSHNPTLAISLFKAIRFHGLRPDSYSFPFALKAVASSPSLRLGRQIHSQAILSGFATHPTVLTSLIHMYSSCANVSSARKLFDDAAAFKHVSLWNAMLAGYAKLGDLFNARNLFESMPEKYRDVVSWTTLISGYTHAHSPQQAITLFRTMLLHDVQPDKICILAVLSACADLGALQLGMWIHNYILKHKLPKIVSLYNSLIDMYAKSGDISKARQLFESMERKTIITWTTMIAGLALHGLGKEALELFSCMEKAGVRPNEVTFITVLSACSHAGLVELGRYYFTCMRSKYGIEPKIEHYGCMVDLLGRGGYLEEAKELVRLMPSEANAAVWGSLLAASNRYGDTALAAEALRHLSVMEPQNCGNYSLLSNTYAALGRWKEAGTVRKVMRDTGMEKVAGVSFVEVNNTVYEFIAGDRLNIKFVDICHVLQSINGQLKIIDVKWEQSIEVY >Vigun08g081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17081172:17081501:-1 gene:Vigun08g081500.v1.2 transcript:Vigun08g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFLENHFGGLQPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKFLIIKTEKHELRKKFFRLKRRATRRT >VigunL075200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:217403:219038:-1 gene:VigunL075200.v1.2 transcript:VigunL075200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVNNQPHITHYSYMKGLSFCPEGIILSQSIMPQLDKFTYFTQFFWSCLFLFTFYIPICNDGDGVLGISRILKLRNQLVSNRGNKIRSNDPKSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGKRRKITFLSCFGEISGSRGMERNILYLISKSSYGASSSNPGWVITSRNDIMLIHVPHGQGRIKKIREERS >Vigun01g134800.1.v1.2 pep primary_assembly:ASM411807v1:1:31339523:31347107:1 gene:Vigun01g134800.v1.2 transcript:Vigun01g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLHSVSASSAASSSSTPSMAKRPCPSQNPRVENLSDVERLLDAFLSLSDLPSLALDLSFERLLQSVPSDPDLIDRALKMGSLLLDAAKHSSRKRASNHNSLAWPLPPDLTIKVFSMLDTQSLCYASATCSMFSKCAKDPLCYTNLDLTTLVPKVNNAVVATMIQRAGKALRSLKLGVVPGATTSLGSCPPFVCTIRNAIVEVSNFSWNDKRSRQGRESSILTRCCLSPLSGDGGAPGALLRKLHLYNIERMDNASLGAALSACPSLLDLEIVGLHVELRQTLMSVSANCHLIERLFFESSKTGRDDSLKAQTCFELVNNCPHLTSLSLRGFKLHDCKVRVLVKGFRKLKYVDFSTSYSITGNFLRNLGSCNGGNFLEVLILRDCMHLKEMEVARLLTAILAGDFKFLVHLDISNREGLASEADWYHRCYNSSIMPIKQVLETRPDMCVVAEYPSAEGSYMETFDADMNSEISLPSQLSSHTSDGSIFMSTSESSYNSDQGSGNEDGQDANYVIYEESSDEIDFLSL >Vigun11g202400.1.v1.2 pep primary_assembly:ASM411807v1:11:40033110:40036332:-1 gene:Vigun11g202400.v1.2 transcript:Vigun11g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFSFFTAPTSSSSSFSSSKALPSLTLSSSFTVRFPRRRADRFFPLCSTIGASVGGSFGGNGGGHGGGHGHGGHGGGGGDEEHSSEGKNEALMVVVEAGRALDSVPADLATAIKEGKIPAAVVTRFLELEKSAFFRWLLQFPGFRERLLADDLFLAKVAMECGVGVFTKTAAEYDRRRENFFNELEIVFADVVMAIIADFMLVYLPAPTVALRPPLAGTAGPIAKFFHGCPDNAFQVALSGTSYSLIQRIGAIVRNGSKLFAVGTASSLVGTAMTNALINAKKAVEKSSEGEIENVPILSTSAAYGVYMSISSNLRYQVVAGIIEQRLLEPMLHQHKLILSALCFAVRTGNTYLGSLLWVDYARWIGVQ >Vigun11g170200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37620761:37621526:-1 gene:Vigun11g170200.v1.2 transcript:Vigun11g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHRAKNLQSKGFYFTGLQLLKKMSAVVEVWVGELAKLREKVLSRKPFSSKAKEGSEREQEEKEAQKKKNTAVTRDSSGTMSEATMCLLMDRFVPW >Vigun05g286300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47361539:47363086:1 gene:Vigun05g286300.v1.2 transcript:Vigun05g286300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYLYTQSLLNLTHTSLYQISFSFPLTTRTMPKKIRDYLFKIKSPRPQIRLPSKNWILSGCKHPRTPSFALYSVRNEPSRRPRHHHHQQQHVVRESSNNHHRKDDAATLADVDRFLLENFKSLYLKDDDETLNTKRVFEGDDGDHEAVETTSPRDVRGSTRFFVKPGFSGSLVEDALTNTTINTATSDEVGSVSTVSTLYDVSSSGSYEKEGADHALPDDSIALLTHSTSPYDDFRRSMQEMVNNHEGVVDWDFMEELLFSYLNLNHKKSHKFILGAFVDLITVMRRSNSEPPSDKPRSVRTVRSVTKNVTLGFGSSL >Vigun05g094300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9139035:9140523:1 gene:Vigun05g094300.v1.2 transcript:Vigun05g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPNPQVLPVSNPQNTITTTVTTAEQQPPQPAVRAIVSFFSESIRHSLSHRRPWPELMDRSALAKPMSFSEATLRVRHNVSYFRVNYYVVVSLILAVSLLTSPFSLVLLLSLLSSWLFLYLLRPTDRPLHLFGRTFTDFETLSLLAGASFFLLFLTPLGSLLATAFTVSIALVAAHAAFRVPEDLFLDDRDSNQPAGFLSILRAAVNVPPVPPPVPARG >VigunL047002.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000424.1:60550:61519:-1 gene:VigunL047002.v1.2 transcript:VigunL047002.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVSIKHKSLPANLLELSPALDTKVVKKRLQFHRGMVFVPFGIRFTYNHEFENMEESKGGSPYGSRAWLLLVYFVCLTQES >Vigun05g132900.2.v1.2 pep primary_assembly:ASM411807v1:5:15615950:15618170:-1 gene:Vigun05g132900.v1.2 transcript:Vigun05g132900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRRTLDEALDGEADNIDRLSALPESVLLHILSGLELKEAAATSVLSTTWRDLFLQRPNIELAFDIYGNPSDRSRLFHIFTLFANRVLRQRNPEAPITSLKVSVKDFTKRMEEDYRSLLMSAAAAVYTYKVQQFDVDLGTYNLSTETSSIVLPPAMFTSETLTRLLLTLSVGWDVPENVWLPNLRYAHFIPYRLMHEDSIQRFLDGCPSLETLMFLMRVTTEDETEVKTLCISSSSLKLLMVDWDMIDETEMNITVKSESLERLTLYLKGGHNVNVDAPNLNFFSISGQVLDLNIIQGLPSINEAVLDVAYTFQVSDLNEFFTRSEKVCTFFRELQNLTLLSISEPIMEALYDSTMAMPTFRNMYKIKLIPDYSDDFPRERMQHVLFNLLESCPKLQVLSFERIMRSRNGIQAD >Vigun05g132900.1.v1.2 pep primary_assembly:ASM411807v1:5:15615950:15618170:-1 gene:Vigun05g132900.v1.2 transcript:Vigun05g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRRTLDEALDGEADNIDRLSALPESVLLHILSGLELKEAAATSVLSTTWRDLFLQRPNIELAFDIYGNPSDRSRLFHIFTLFANRVLRQRNPEAPITSLKVSVKDFTKRMEEDYRSLLMSAAAAVYTYKVQQFDVDLGTYNLSTETSSIVLPPAMFTSETLTRLLLTLSVGWDVPENVWLPNLRYAHFIPYRLMHEDSIQRFLDGCPSLETLMFLMRVTTEDETEVKTLCISSSSLKLLMVDWDMIDETEMNITVKSESLERLTLYLKGGHNVNVDAPNLNFFSISGQVLDLNIIQGLPSINEAVLDVAYTFQVSDLNEFFTRSEKVCTFFRELQNLTLLSISEPIMEALYDSTMAMPTFRNMYKIKLIPDYSDDFPRERMQHVLFNLLESCPKLQVLSFERIMVFSSYFIDDIDFESVFPFRMLQNLKELEILDFRDREMEYKLIEFFINNGRSLEIVSLKKHFVETVALRKDIPKAIMKTWTPRQKKRLLSFLSCSEDCKIIFREKSGAIQSP >Vigun07g120700.3.v1.2 pep primary_assembly:ASM411807v1:7:22308470:22311187:1 gene:Vigun07g120700.v1.2 transcript:Vigun07g120700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQVVVDEIVFNNIDPMKHPGSWGLSKLLKEFVTIGGKLLHGISDHTLLNSLGLLNDVSSVDIVNFSLPNLPVPPNAFTSIM >Vigun07g120700.1.v1.2 pep primary_assembly:ASM411807v1:7:22308470:22311187:1 gene:Vigun07g120700.v1.2 transcript:Vigun07g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVQVVVDEIVFNNIDPMKHPGSWGLSKLLKEFVTIGGKLLHGISDHTLLNSLGLLNDVSSVDIVNFSLPNLPVPPNAFTSIM >Vigun07g120700.2.v1.2 pep primary_assembly:ASM411807v1:7:22308466:22311187:1 gene:Vigun07g120700.v1.2 transcript:Vigun07g120700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLKLIIVNCSLSNFLHLKRWKRYVQVVVDEIVFNNIDPMKHPGSWGLSKLLKEFVTIGGKLLHGISDHTLLNSLGLLNDVSSVDIVNFSLPNLPVPPNAFTSIM >Vigun01g231550.1.v1.2 pep primary_assembly:ASM411807v1:1:40389285:40394607:1 gene:Vigun01g231550.v1.2 transcript:Vigun01g231550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASWPLSWARTGGALSRSRMVGVSYGQKVNETDTVMS >Vigun01g247150.1.v1.2 pep primary_assembly:ASM411807v1:1:41495258:41500777:1 gene:Vigun01g247150.v1.2 transcript:Vigun01g247150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCEAVDGPMIEEYAFSFCYSDSDNQEVSMNISRTGNKKSRGAFKCNSTTEITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPADYEPPFFRGCTGEEAYHPWEKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEGIPDDVSNGDDSMQQNEYYDTDSEVDLTQGNRYVVAPIDKQQEQEDNSMIDEDNTQDPVEDEQQRVRIKEWINCCHRDTIELTDVLSNFPDISVVLTEGKNVKNARAVRRVYQLQALFIFSLILIGSLEIMDKFVEEGVLLKIGKESYAINKDKNLQYEFTIVKEEIDGQLPKVFDKALQVEDRLYMKALYHALPMTHVSISKIQSLLEGEVNQTAARKIIDKMVRDGFVEPKGSKRLGKRVIHSELTERKFIEVQKALSTTEDMDVDHCEPNSKSKLTGFRLNGNNHDVSTCGVLHSIGSDLTRMKVTSETNTDSGNGQNTTKAKEPGNTPISRPVISRESFAIGKENGRTNGIANQGDEGDTIICSKSSQEKRSRKTSAVKEPIHQNIKRQRSEVL >Vigun01g247150.2.v1.2 pep primary_assembly:ASM411807v1:1:41495258:41500777:1 gene:Vigun01g247150.v1.2 transcript:Vigun01g247150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCEAVDGPMIEEYAFSFCYSDSDNQEVSMNISRTGNKKSRGAFKCNSTTEITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPADYEPPFFRGCTGEEAYHPWEKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEGIPDDVSNGDDSMQQNEYYDTDSEVDLTQGNRYVVAPIDKQQEQEDNSMIDEDNTQDPVEDEQQRVRIKEWINCCHRDTIELTDVLSNFPDISVVLTEEIMDKFVEEGVLLKIGKESYAINKDKNLQYEFTIVKEEIDGQLPKVFDKALQVEDRLYMKALYHALPMTHVSISKIQSLLEGEVNQTAARKIIDKMVRDGFVEPKGSKRLGKRVIHSELTERKFIEVQKALSTTEDMDVDHCEPNSKSKLTGFRLNGNNHDVSTCGVLHSIGSDLTRMKVTSETNTDSGNGQNTTKAKEPGNTPISRVEPVISRESFAIGKENGRTNGIANQGDEGDTIICSKSSQEKRSRKTSAVKEPIHQNIKRQRSEVL >Vigun01g247150.3.v1.2 pep primary_assembly:ASM411807v1:1:41495183:41500777:1 gene:Vigun01g247150.v1.2 transcript:Vigun01g247150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCEAVDGPMIEEYAFSFCYSDSDNQEVSMNISRTGNKKSRGAFKCNSTTEITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPADYEPPFFRGCTGEEAYHPWEKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEGIPDDVSNGDDSMQQNEYYDTDSEVDLTQGNRYVVAPIDKQQEQEDNSMIDEDNTQDPVEDEQQRVRIKEWINCCHRDTIELTDVLSNFPDISVVLTEEIMDKFVEEGVLLKIGKESYAINKDKNLQYEFTIVKEEIDGQLPKVFDKALQVEDRLYMKALYHALPMTHVSISKIQSLLEGEVNQTAARKIIDKMVRDGFVEPKGSKRLGKRVIHSELTERKFIEVQKALSTTEDMDVDHCEPNSKSKLTGFRLNGNNHDVSTCGVLHSIGSDLTRMKVTSETNTDSGNGQNTTKAKEPGNTPISRPVISRESFAIGKENGRTNGIANQGDEGDTIICSKSSQEKRSRKTSAVKEPIHQNIKRQRSEVL >Vigun04g130500.1.v1.2 pep primary_assembly:ASM411807v1:4:32691570:32692397:1 gene:Vigun04g130500.v1.2 transcript:Vigun04g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHHHHHHLHHHKEEEVPGEVDYKKEEKHHKHLEHLGELGAAAAGAYALHEKHEAKKDPEHGHRHKVEEEIAAAAAAGAGGFAFHEHHEKKDSKKEDEEAHGKKHHHLFG >Vigun08g223200.1.v1.2 pep primary_assembly:ASM411807v1:8:38094892:38101485:1 gene:Vigun08g223200.v1.2 transcript:Vigun08g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPAVTVMEATHFTSSPFVSQYHGSSRRRAFTFKRNHICYGYCPRFLRQPQSLSSPLITLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQDVDVKRELLLLSVPAIAGQAIDPLSQLMETAYIGRLGTLELASAGVSVSIFNMISKLFNIPLLSIATSFVAEDMAKAASTQHSDSDQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGTFLNLIGVSTQNPTFAPARQFLSVRAVGAPAVVLSLALQGIFRGFKDTKTPVICLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKRAELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSVAARHGPVAMAAHQICMQVWWSVSLLSDALAASGQALIASYVSRHEYKVVKEITSILLRIGLVMGICLAAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIVDGLHYGVSDFRYAAFSMMLVGAVSSVFLLFAAPLFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun02g162500.1.v1.2 pep primary_assembly:ASM411807v1:2:30764455:30767807:1 gene:Vigun02g162500.v1.2 transcript:Vigun02g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKRWLPLEANPEVMNQFLWGLGLGENEAECCDVYGLDEELLQMVPNPVLAVLFLYPITTQSEEERLQQENEKKEYNSRVYFMKQTVGNACGTIGLLHALGNLTSEVKLVEGSFFNNFFKSTASMDPLQRAKFLENDREMEVAHSVAATAGDTEASDNADAHFICFVCVDDELYELDGRKSWPISHGPSSPSTLLRDAAKVIQSMIQKNPESLNFNVMALYKKSG >Vigun10g047400.1.v1.2 pep primary_assembly:ASM411807v1:10:7079110:7081680:-1 gene:Vigun10g047400.v1.2 transcript:Vigun10g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKPREITIKLLCPSLSKVAQVVAWEDQRIDLGSISRAFGLDPSTLRLNGYFISRGVDLIASSLTWNSLLSFFSSKGLSTGRDQCDALLVTGKLCKVGLKRGHDSLDFQDGIGKMIESENADNNKGIQLQAINLIKNKKLKESSSGEILKGQSCKRKQLWEDVNLFKKLKIDEDKSDIGDKIDDHSGSIARNQFTCSYSSKNMKRIREDEAIVAANYKRIR >Vigun07g002900.1.v1.2 pep primary_assembly:ASM411807v1:7:233556:234857:1 gene:Vigun07g002900.v1.2 transcript:Vigun07g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSEEQIGEIKEAFGLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMINEVDADGNGTIEFVEFLNLMAKKMKETDAEEDLKEAFKVFDKDQNGYISASELRHVMINLGEKLSDEEVEQMIKEADLDGDGQVNYEEFVKMMMTIG >Vigun07g002900.2.v1.2 pep primary_assembly:ASM411807v1:7:233556:234857:1 gene:Vigun07g002900.v1.2 transcript:Vigun07g002900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSEEQIGEIKEAFGLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMINEVDADGNGTIEFVEFLNLMAKKMKETDAEEDLKEAFKVFDKDQNGYISASEVYYIYQFFL >Vigun07g259600.1.v1.2 pep primary_assembly:ASM411807v1:7:37646558:37647721:-1 gene:Vigun07g259600.v1.2 transcript:Vigun07g259600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDFLSDYFSVSTPKKKRKLMQTVEIKVKMDCDGCERRVRNSVSNMSGVKEVEVSRKQSKVTVTGYVDRNKVLKKVQGTGKRAEFWPYIQYNLVAYPYVAQAYDKKAPSGYVKNTEQALPNPNDEKLTSLFSDDNPNACLIM >Vigun02g017400.3.v1.2 pep primary_assembly:ASM411807v1:2:6180546:6183732:-1 gene:Vigun02g017400.v1.2 transcript:Vigun02g017400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSRKLKRKLELEPPPVIVTKKHRQKLPRRRRQNFSPVLLVSDSCQNPRFSVDSSSGSDYAAGDASCNSSRASIAGKGNTNSRNESSIDSTRNQRFEKRNENEVEVSESSCADSNSFARDRSRRSILKFKSGRECNNQRGEDDVSEVFMKSDITGILKFKSGSESKNIKKNDDVSCGKSEITCEEQVNSKSSSEGNGNMKISSESNGNDVVSFSSFVRASLEEEKDSSKENRALECEYSVGSKNLHADENCADLVAQSMTRQESENDDVVVDLTCSEELRLSNCDDDDESEYCSSQGTMFSEFHSEIFGECSEQELSDYTPSLFVDSGSQFSEGSVGETPSPTYLLFLQYRKEFTTLTSASPVVNSSSSDEDEADFVRFEDSDDEDSYQMLRKRERKQGFVSNYAERYFSTTEFGETVLEQRAQMVHWIVEQSCRKQLRQETIFLGVNLLDRFLSKGYFKAKKRLQIVGIACLTLATRIEENQQQNRFNIKSLHFSPILLVM >Vigun02g017400.1.v1.2 pep primary_assembly:ASM411807v1:2:6177840:6183731:-1 gene:Vigun02g017400.v1.2 transcript:Vigun02g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSRKLKRKLELEPPPVIVTKKHRQKLPRRRRQNFSPVLLVSDSCQNPRFSVDSSSGSDYAAGDASCNSSRASIAGKGNTNSRNESSIDSTRNQRFEKRNENEVEVSESSCADSNSFARDRSRRSILKFKSGRECNNQRGEDDVSEVFMKSDITGILKFKSGSESKNIKKNDDVSCGKSEITCEEQVNSKSSSEGNGNMKISSESNGNDVVSFSSFVRASLEEEKDSSKENRALECEYSVGSKNLHADENCADLVAQSMTRQESENDDVVVDLTCSEELRLSNCDDDDESEYCSSQGTMFSEFHSEIFGECSEQELSDYTPSLFVDSGSQFSEGSVGETPSPTYLLFLQYRKEFTTLTSASPVVNSSSSDEDEADFVRFEDSDDEDSYQMLRKRERKQGFVSNYAERYFSTTEFGETVLEQRAQMVHWIVEQSCRKQLRQETIFLGVNLLDRFLSKGYFKAKKRLQIVGIACLTLATRIEENQQQNRVGQSSFNVGSNRYSRGEVVAMEWMVQEVLKFQCFLPTIYNFLWYYLKAANADAVVEKRVKYLAVLTLSSHEQLCYWPSTVAAALVVLAGLEFNQSSPLKVIGIHVRSKDENLYECIGSLETLLRYIG >Vigun02g017400.2.v1.2 pep primary_assembly:ASM411807v1:2:6177840:6183732:-1 gene:Vigun02g017400.v1.2 transcript:Vigun02g017400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSRKLKRKLELEPPPVIVTKKHRQKLPRRRRQNFSPVLLVSDSCQNPRFSVDSSSGSDYAAGDASCNSSRASIAGKGNTNSRNESSIDSTRNQRFEKRNENEVEVSESSCADSNSFARDRSRRSILKFKSGRECNNQRGEDDVSEVFMKSDITGILKFKSGSESKNIKKNDDVSCGKSEITCEEQVNSKSSSEGNGNMKISSESNGNDVVSFSSFVRASLEEEKDSSKENRALECEYSVGSKNLHADENCADLVAQSMTRQESENDDVVVDLTCSEELRLSNCDDDDESEYCSSQGTMFSEFHSEIFGECSEQELSDYTPSLFVDSGSQFSEGSVGETPSPTYLLFLQYRKEFTTLTSASPVVNSSSSDEDEADFVRFEDSDDEDSYQMLRKRERKQGFVSNYAERYFSTTEFGETVLEQRAQMVHWIVEQSCRKQLRQETIFLGVNLLDRFLSKGYFKAKKRLQIVGIACLTLATRIEENQQQNRYYLKAANADAVVEKRVKYLAVLTLSSHEQLCYWPSTVAAALVVLAGLEFNQSSPLKVIGIHVRSKDENLYECIGSLETLLRYIG >Vigun06g145000.2.v1.2 pep primary_assembly:ASM411807v1:6:27048527:27061526:1 gene:Vigun06g145000.v1.2 transcript:Vigun06g145000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNFDYSPLLSPRGDETASKTLSSSLVSATKWTLKFFISVIFVLWTAFIFFQPAKPVHNLFSKWYELSRNTPFGITGSIFLVFTAPLLIIAFLAIAHLNLTGEDQLQGKKSSKLPRFRLWTFPVLIRGPFGVVSATEFVGIVLFLLYVFWATYAYSVQALGMISESDLSSFREKSIFMIKIMGLRTGAIGLMCLAFLFVPVSRGSVLLRYIDIPFGHATRYHVWLGHLTMVMFTIHGLLYVIAWAMEGLLVQKLVQWKDIGVANLPGVISLLAGLLMWVTSLPGVRTWNFELFFYTHQLYVVFVVFLALHVGDFIFTMTAGGIFLFLLDRFLRFCQSRRKVNIISSRCLPCGTVELVISKPQNLRYNALSFIFVQVRELSWLQWHPFSVSSSPLDGKHHLAVLIKVLGKWTEKLRQKITDTDAQKDLSVITTSVEGPYGHEVPYHLMYENLILVAGGIGLSPFIAILSDILHRVREGKPCQPRNILLVWAVKNSNELPLLSTINMETICPSFSDKVNIDIRIYVTRESDPPLEEGYTHKPIKSSFCPMASDYGMSVLVGTGDNFWSGLYVISSTVGFVILLTLLYVYYITPFHIEIWWYKGLLYVICMVASVVIFGGSVVVLWHNWEKQSYLKDKSNNENVDDKIHQNGSLAPKDQSEDSVEKSTVVSYGSRPDFKEIYESSSKKWGLVDVGVIVCGPSTLQTSVAEEIRSHSMTRQRHDSIFHFHSHSFDL >Vigun06g145000.1.v1.2 pep primary_assembly:ASM411807v1:6:27054942:27061526:1 gene:Vigun06g145000.v1.2 transcript:Vigun06g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNFDYSPLLSPRGDETASKTLSSSLVSATKWTLKFFISVIFVLWTAFIFFQPAKPVNTLFSKWYELSRNTPFGITGSIFLVFTAPLLIIAFLAIAHLNLTGEDQLQGKKSSKLPRFRLWTFPVLIRGPFGVVSATEFVGIVLFLLYVFWATYAYSVQALGMISESDLSSFREKSIFMIKIMGLRTGAIGLMCLAFLFVPVSRGSVLLRYIDIPFEHATRYHVWLGHLTMVMFTIHGLLYVIAWAMEGLLVQKLVQWKDIGVANLPGVISLLAGLLMWVTSLPGVRTWNFELFFYTHQLYVVFVVFLALHVGDFIFTMTAGGIFLFLLDRFLRFCQSRRKVNIISSRCLPCGTVELVISKPQNLRYNALSFIFVQVRELSWLQWHPFSVSSSPLDGKHHLAVLIKVLGKWTEKLRQKITDTDAQKDLSVITTSVEGPYGHEVPYHLMYENLILVAGGIGLSPFIAILSDILHRVREGKPCQPRNILLVWAVKNSNELPLLSTINMETICPSFSDKVNIDIRIYVTRESDPPLEEGYTHKPIKSSFCPMASDYGMSVLVGTGDNFWSGLYVISSTVGFVILLTLLYVYYITPFHIEIWWYKGLLYVICMVASVVIFGGSVVVLWHNWEKQSYLKDKSNNENVDDKIHQNGSLAPKDQSEDSVEKSTVVSYGSRPDFKEIYESSSKKWGLVDVGVIVCGPSTLQTSVAEEIRSHSMTRQRHDSIFHFHSHSFDL >Vigun10g087300.1.v1.2 pep primary_assembly:ASM411807v1:10:24892487:24893634:1 gene:Vigun10g087300.v1.2 transcript:Vigun10g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYLLVAVLALTSSVVSSYDPSPLQDFCVAIKESDGVFVNGKFCKDPKAVKAEDFFLHVEPGNTDNPLNANVTPVAVDQLPGLNTLGISLARIDFAPKGLNPPHTHPRGTEILIVLEGTLYVGFVTSNQDGNRLFTKVLNNGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGTITIANALFKAVPPISVEVLARALQVDNKVIEDLQRKQWYGKD >Vigun04g033050.2.v1.2 pep primary_assembly:ASM411807v1:4:2710222:2713511:1 gene:Vigun04g033050.v1.2 transcript:Vigun04g033050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRSLRFSLLPFLPILSSRFCSHSHSRTFIFDEAISRFNRMLHKRHVPPIFEFGKILGFFVRMKQYPTAISLIKQMELKGIHPDLANLTLLINCFCHLDQMAFAFSVFAKILKRGYHPDAVTLNTLIRGLCDRGEVMKALNLHDKVVALGFQVSHFTYGTLINGLCKIGEIKAAVELFRTIESRSTLEEALGFINEMLSNSIRPDVYTYNILIDALCKEGKLREAKNVLGMMVKAYVGPDIFSFNALLDGYYLVNDVKNAKQVFNAMTKMEVSPRVCSYNIMINGLIKNERVDEAINLFQEMQQRNVVPDILTYNTLINGLCRMERVSEAIDLFPEMHKRNMVPDTVTYTTLINGLLKIGRISHVWDLIDEMHDRNQQPDVITYNCLLHALCKSYQLDKAFELFKTMTLKGIQPDLYTWNILIHGMCIGGRLQKARDIFKDLLIKGYHLDVWSYSIMINGLCKKGLFDEALSVWSKMEDNGCLPNEVTFEIMIRALSKRDETVKANTLLLEMISRGLLKS >Vigun04g033050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2710221:2713476:1 gene:Vigun04g033050.v1.2 transcript:Vigun04g033050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRSLRFSLLPFLPILSSRFCSHSHSRTFIFDEAISRFNRMLHKRHVPPIFEFGKILGFFVRMKQYPTAISLIKQMELKGIHPDLANLTLLINCFCHLDQMAFAFSVFAKILKRGYHPDAVTLNTLIRGLCDRGEVMKALNLHDKVVALGFQVSHFTYGTLINGLCKIGEIKAAVELFRTIESRSTVMYNIIIDCLFKDKHPKEAYDLYSEMGVKGISPDLFTYNTLVYGFCLGNQLEEALGFINEMLSNSIRPDVYTYNILIDALCKEGKLREAKNVLGMMVKAYVGPDIFSFNALLDGYYLVNDVKNAKQVFNAMTKMEVSPRVCSYNIMINGLIKNERVDEAINLFQEMQQRNVVPDILTYNTLINGLCRMERVSEAIDLFPEMHKRNMVPDTVTYTTLINGLLKIGRISHVWDLIDEMHDRNQQPDVITYNCLLHALCKSYQLDKAFELFKTMTLKGIQPDLYTWNILIHGMCIGGRLQKARDIFKDLLIKGYHLDVWSYSIMINGLCKKGLFDEALSVWSKMEDNGCLPNEVTFEIMIRALSKRDETVKANTLLLEMISRGLLKS >Vigun06g029900.1.v1.2 pep primary_assembly:ASM411807v1:6:13266622:13270027:1 gene:Vigun06g029900.v1.2 transcript:Vigun06g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFPFKRLFSPKTLSSVYLGLQMARDSCLTRVVAGAAMGGAVGGAVGAVYGTYEAIRYKVPGLMKIRHIGQTTLGSAAIFGLFLGAGSLIHCGKSY >Vigun01g148200.2.v1.2 pep primary_assembly:ASM411807v1:1:32989219:32990458:-1 gene:Vigun01g148200.v1.2 transcript:Vigun01g148200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNSSSELKALVVDDDRVNRMTHEALLKKVGVENLASVENGKKAVDLYCNGESFDLILMDKDMPVMNGIEATKQLRSMGIGDMIVGVSSSSRGAENVQQFMEAGLDEYYTKPLTVDTLKAILRKIKS >Vigun01g148200.1.v1.2 pep primary_assembly:ASM411807v1:1:32989219:32990458:-1 gene:Vigun01g148200.v1.2 transcript:Vigun01g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNSSSELKALVVDDDRVNRMTHEALLKKVGVENLASVENGKKAVDLYCNGESFDLILMDKDMPVMNGIEATKQLRSMGIGDMIVGVSSSSRGAENVQQFMEAGLDEYYTKPLTVDTLKAILRKIKS >Vigun05g282100.1.v1.2 pep primary_assembly:ASM411807v1:5:47107173:47109358:-1 gene:Vigun05g282100.v1.2 transcript:Vigun05g282100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKVCVTGASGFLASWLIKRLLSSGYHVIGTVRDSGKQKKYEYLWSLEGATERLQLVQADLMEEGSFDNAIMGCKGVFHVASPVLSTITDPKTQILEPAVKGTLNVLRSCRKNAALGRVVLTSSSSTVRVRSDFDPNIPLDESSWSSLEFCEKLQAWYAMSKIEAERAAWEFCRENGIDLVTVLPSFIIGPCLPPNLCSTASDVLGLLKGETKRFQVLGRMGYVHIDDVALCQILVYEDASSHGRYLCSSVVMNEDELASLLANRYPTLSISRFEKVDRPYYELNTEKLKSLGFKFKTVEKMFDDCIASLVKQGHLTIPECQHNI >Vigun11g195400.2.v1.2 pep primary_assembly:ASM411807v1:11:39469327:39474004:1 gene:Vigun11g195400.v1.2 transcript:Vigun11g195400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFFRSLFFTFLLTIEIQEQSSDRNEQEGDRVKYLPEQPIVNFNHYAGYVSLKPDKDKALFYWFFEAENDPSQKPLVLWLTGGPGCSSVSFGATQEIGPFLVDQEKHLKLNEFSWNKVANIIFLESPIGVGFSYTNNTKDLDELGDQVVASDNYDFLLGWFRRFPNFRTNEFYIVAESYGGHYAPQLADLIHEGNKKGPYINLKGFMMGNAVINDITDFKGIYDFALGHTIISKEVYDGITGDCDLTKERQTNDCIMNLARFLKAYSDIDMFNIYSPICFRDNNKTVPKKPIVTRHDLTQDVHWNILPLSGYDFCPDDHVIEYFNRKDVQRAIHANVTNLSYPYTLCSTVIEKWNDSPTTMLPLIKKLLGIGLRIWMYSGDTDGRVPVLSTRYSLEEMKLNVTEGWRAWFSGGQVGGWVEEYDGGLTFASIRGAGHQAPAHKPQQALFLFSHFLSSRPLPSSRF >Vigun11g195400.3.v1.2 pep primary_assembly:ASM411807v1:11:39469327:39474004:1 gene:Vigun11g195400.v1.2 transcript:Vigun11g195400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFFRSLFFTFLLTIEIQEQSSDRNEQEGDRVKYLPEQPIVNFNHYAGYVSLKPDKDKALFYWFFEAENDPSQKPLVLWLTGGPGCSSVSFGATQEIGPFLVDQEKHLKLNEFSWNKVANIIFLESPIGVGFSYTNNTKDLDELGDQVVASDNYDFLLGWFRRFPNFRTNEFYIVAESYGGHYAPQLADLIHEGNKKGPYINLKGFMMGNAVINDITDFKGIYDFALGHTIISKEVYDGITGDCDLTKERQTNDCIMNLARFLKAYSDIDMFNIYSPICFRDNNKTVPKKPIVTRHDLTQDVHWNILPLSGYDFCPDDHVIEYFNRKDVQRAIHANVTNLSYPYTLCSTVIEKWNDSPTTMLPLIKKLLGIGLRIWMYSGDTDGRVPVLSTRYSLEEMKLNVTEGWRAWFSGGQVGGWVEEYDGGLTFASIRGAGHQAPAHKPQQALFLFSHFLSSRPLPSSRF >Vigun11g195400.1.v1.2 pep primary_assembly:ASM411807v1:11:39470991:39474005:1 gene:Vigun11g195400.v1.2 transcript:Vigun11g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFFRSLFFTFLLTIEIQEQSSDRNEQEGDRVKYLPEQPIVNFNHYAGYVSLKPDKDKALFYWFFEAENDPSQKPLVLWLTGGPGCSSVSFGATQEIGPFLVDQEKHLKLNEFSWNKVANIIFLESPIGVGFSYTNNTKDLDELGDQVVASDNYDFLLGWFRRFPNFRTNEFYIVAESYGGHYAPQLADLIHEGNKKGPYINLKGFMMGNAVINDITDFKGIYDFALGHTIISKEVYDGITGDCDLTKERQTNDCIMNLARFLKAYSDIDMFNIYSPICFRDNNKTVPKKPIVTRHDLTQDVHWNILPLSGYDFCPDDHVIEYFNRKDVQRAIHANVTNLSYPYTLCSTVIEKWNDSPTTMLPLIKKLLGIGLRIWMYSGDTDGRVPVLSTRYSLEEMKLNVTEGWRAWFSGGQVGGWVEEYDGGLTFASIRGAGHQAPAHKPQQALFLFSHFLSSRPLPSSRF >Vigun03g022700.1.v1.2 pep primary_assembly:ASM411807v1:3:1687795:1690733:1 gene:Vigun03g022700.v1.2 transcript:Vigun03g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEALEEVPSMKGGCEEGLNLKATELRLGLPGRESPEREGVFKSAVVSGAKRGFSDAIHGGSGNWNGGSEVGLGKDAVLFSARGTVSAAKSLTLTATDCTNQPTALGASALKETVPLSPKPLHENKPQISAPAAKAQVVGWPPIRSFRKNSMASQPQKNEDAEAEAKSECLYVKVSMEGAPYLRKVDLNSFGTYKELSLALEKMFSCFTISQCGSYGVSSSREKLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKRLRIMKSSEAIGLAPRAVEKCKSRN >Vigun03g336600.1.v1.2 pep primary_assembly:ASM411807v1:3:53467915:53470485:1 gene:Vigun03g336600.v1.2 transcript:Vigun03g336600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLALVIVLITFFGIGLDGCHSKVVQFIFGDSLSDVGNNMHLSRSLAQASLPWYGIDMGNGLPNGRFTNGRTVADIIGDRTGLPRPPAFLDPSVSEEVILENGVNYASGGGGILNETGAYFIQKFSLDKQIELFQGTQKLIRGKIGKSAADKFFKEGRYVVALGSNDFINNYLMPVYRDSWTYNDDTFLDYLIGTLERQLKLLHSLGARQLVVFGLGPMGCIPLQRVLSTTGNCREKANKLALSFNKGVSELVDDLGKHFPDSTYRFGDAYDVVNDVISNPNKYGFQNSDSPCCSFWNIRPALTCVPASSLCKDRSKYVFWDEYHPTDSANELIADELIKKFGLTNSDQGDAPSPAPDVAPSPEDQ >Vigun03g321100.1.v1.2 pep primary_assembly:ASM411807v1:3:51580554:51582426:-1 gene:Vigun03g321100.v1.2 transcript:Vigun03g321100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFGSQTSWTAEMWKSCRLRWINYLRPDLKRGMFSQQEEDLIVSLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPNTHKPLTEAHVKEEKKITETAAMQTPLSQGLSVPLTFPSSQGSTLLIDSNYYDGGLTEASREIFLNKPALDPLSYYDFPMGGAQSGFSLPMSQYQTSLKASDQSPFGPNSSYGFLSLPSLTNSDHGNVSVTEFSDNNSASKISSLLMNDQVKESSSNSSNMSTIYAGGGCHISSMMENASFSWEGDNKFDPLFQFQVNATKSEDFKTSPWEEGQLQTRNSIDFTSFPLTSLSEDLTGENFDVFQHI >Vigun03g321100.2.v1.2 pep primary_assembly:ASM411807v1:3:51580638:51582154:-1 gene:Vigun03g321100.v1.2 transcript:Vigun03g321100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCVKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAEMWKSCRLRWINYLRPDLKRGMFSQQEEDLIVSLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPNTHKPLTEAHVKEEKKITETAAMQTPLSQGLSVPLTFPSSQGSTLLIDSNYYDGGLTEASREIFLNKPALDPLSYYDFPMGGAQSGFSLPMSQYQTSLKASDQSPFGPNSSYGFLSLPSLTNSDHGNVSVTEFSDNNSASKISSLLMNDQVKESSSNSSNMSTIYAGGGCHISSMMENASFSWEGDNKFDPLFQFQVNATKSEDFKTSPWEEGQLQTRNSIDFTSFPLTSLSEDLTGENFDVFQHI >Vigun07g205100.1.v1.2 pep primary_assembly:ASM411807v1:7:32658969:32660917:1 gene:Vigun07g205100.v1.2 transcript:Vigun07g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MSCRGFVRGASLTANAVWHRRSFFPTVTVSVHHRKLLKFQSFSSTTTCSSLPPPDLPRLAKTAQISLTPNEVEEIAPKIQQVVDWFGQLQGVDLENVEPSIRAETENNLRDNAPETFDHRDAMIASVPSYEEPYIKVPRVLSMD >Vigun02g109600.3.v1.2 pep primary_assembly:ASM411807v1:2:26358019:26364584:1 gene:Vigun02g109600.v1.2 transcript:Vigun02g109600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVGGGLGGDIWKSHVSMAMVQLFNGGYHVITKVALNVGINQLVFCVFRDLIALSILAPLAYIREKRIRPPTTKNLLVSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMMGTERVNLVTYDGLAKVGGTIICVSGAVVMVLYRGPALIGYADINLATQNEISARGQPEPSGWLIGSLQDFGLDHFHLGVLCLIGNCICMAAFLAIQAPVLKKYQANISVTAFSYFFGASLMVIVSLFMNYEANDWTLTSSEILAVMYAVMTTKSSESIFL >Vigun02g109600.4.v1.2 pep primary_assembly:ASM411807v1:2:26358019:26364584:1 gene:Vigun02g109600.v1.2 transcript:Vigun02g109600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVGGGLGGDIWKSHVSMAMVQLFNGGYHVITKVALNVGINQLVFCVFRDLIALSILAPLAYIREKRIRPPTTKNLLVSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMMGTERVNLVTYDGLAKVGGTIICVSGAVVMVLYRGPALIGYADINLATQNEISARGQPEPSGWLIGSLQDFGHQY >Vigun02g109600.2.v1.2 pep primary_assembly:ASM411807v1:2:26358019:26364584:1 gene:Vigun02g109600.v1.2 transcript:Vigun02g109600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVGGGLGGDIWKSHVSMAMVQLFNGGYHVITKVALNVGINQLVFCVFRDLIALSILAPLAYIREKRIRPPTTKNLLVSFFFLGLTGYTNPTYAAAIQPSIPVFTFILAVMMGTERVNLVTYDGLAKVGGTIICVSGAVVMVLYRGPALIGYADINLATQNEISARGQPEPSGWLIGSLQDFGLDHFHLGVLCLIGNCICMAAFLAIQAPVLKKYQANISVTAFSYFFGASLMVIVSLFMNYEANDWTLTSSEILAVMYAGTVASALNYGLITWCNKILGPAMVSLYNPLQPAFSAILSQIFLGSPIYLGSIIGGSFIITGLYLVTWASFKEKRASLGVGVASPSSSWVSEPLVHDRSSLQKGHAFSGSSSVSPKTFSD >Vigun02g109600.1.v1.2 pep primary_assembly:ASM411807v1:2:26358019:26364584:1 gene:Vigun02g109600.v1.2 transcript:Vigun02g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVGGGLGGDIWKSHVSMAMVQLFNGGYHVITKVALNVGINQLVFCVFRDLIALSILAPLAYIREKRIRPPTTKNLLVSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMMGTERVNLVTYDGLAKVGGTIICVSGAVVMVLYRGPALIGYADINLATQNEISARGQPEPSGWLIGSLQDFGLDHFHLGVLCLIGNCICMAAFLAIQAPVLKKYQANISVTAFSYFFGASLMVIVSLFMNYEANDWTLTSSEILAVMYAGTVASALNYGLITWCNKILGPAMVSLYNPLQPAFSAILSQIFLGSPIYLGSIIGGSFIITGLYLVTWASFKEKRASLGVGVASPSSSWVSEPLVHDRSSLQKGHAFSGSSSVSPKTFSD >Vigun02g109600.5.v1.2 pep primary_assembly:ASM411807v1:2:26358019:26364584:1 gene:Vigun02g109600.v1.2 transcript:Vigun02g109600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVGGGLGGDIWKSHVSMAMVQLFNGGYHVITKVALNVGINQLVFCVFRDLIALSILAPLAYIREKRIRPPTTKNLLVSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMMGTERVNLVTYDGLAKVGGTIICVSGAVVMVLYRGPALIGYADINLATQNEISARGQPEPSGWLIGSLQDFGHQY >Vigun11g083100.1.v1.2 pep primary_assembly:ASM411807v1:11:24712154:24718884:1 gene:Vigun11g083100.v1.2 transcript:Vigun11g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATALFNKISPQYDACCYIDDLSKIYFNFGATSAQKQLLCQALNQGNMEIHNVSRGTMLMKSRLCRLKTLLVLDNVDEVEHLEKLGLRPEYLGAGSRLIIISRDRCILQSYGVKEVYDVQLLDKIEALQLFCKKAFKSNDIGREHEELTLDVLQYANGLPLAIKVLGSLLHDRDVSEWRNALARMKENPSKHIMDVLRISYDALENLEKEIFLDIACFFSNRNNYSYETRVKRLLEYRQFYPDIGMKVLIEKSLISCQDGEIEMHDLLKELGKSIVREKAPKEPRKWNRLWNYKDLQKVMKINKETENVEAIVIHQSEKEFLEDVDALSKMNQLELLILENVNCSGTLDCISNELRYLQWNHFPLMSLPSNFHPDQLVELILPHSNIKKLWEGKKFLPNLTMLDMSHSKYLIAVPDLSEVPRLESLDLEGCIQLVEIHPSIGILRELRCLNLKNCKNLVLNLNMLFGISSLSGLYLSGCSKLLNSKMLMEPRDTKHLEEIVKITNAIQFPTSSVYKLLMLPFNFLYPPKPEDSLGLVLSLSSVPCLVYLDISFCNLLRIPDEIGNLHSLVGLNLGGNKFVTLPSTIKQLSNLERLYLEYCKQLKYLPELPTIKQKNIGGYYGLGLYIFDCTKLSDMEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTLQDPMNLGERDDDHLSNLWFGVNNSKRRWYIGVPIYFKKDLVTVGLDHLVTVFYSRQQFIHLLSTPPNTMHDLYQTEFGTFIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSRKRKLLTSD >Vigun11g083100.2.v1.2 pep primary_assembly:ASM411807v1:11:24712154:24716493:1 gene:Vigun11g083100.v1.2 transcript:Vigun11g083100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATALFNKISPQYDACCYIDDLSKIYFNFGATSAQKQLLCQALNQGNMEIHNVSRGTMLMKSRLCRLKTLLVLDNVDEVEHLEKLGLRPEYLGAGSRLIIISRDRCILQSYGVKEVYDVQLLDKIEALQLFCKKAFKSNDIGREHEELTLDVLQYANGLPLAIKVLGSLLHDRDVSEWRNALARMKENPSKHIMDVLRISYDALENLEKEIFLDIACFFSNRNNYSYETRVKRLLEYRQFYPDIGMKVLIEKSLISCQDGEIEMHDLLKELGKSIVREKAPKEPRKWNRLWNYKDLQKVMKINKETENVEAIVIHQSEKEFLEDVDALSKMNQLELLILENVNCSGTLDCISNELRYLQWNHFPLMSLPSNFHPDQLVELILPHSNIKKLWEGKKFLPNLTMLDMSHSKYLIAVPDLSEVPRLESLDLEGCIQLVEIHPSIGILRELRCLNLKNCKNLVLNLNMLFGISSLSGLYLSGCSKLLNSKMLMEPRDTKHLEEIVKITNAIQFPTSSVYKLLMLPFNFLYPPKPEDSLGLVLSLSSVPCLVYLDISFCNLLRIPDEIGNLHSLVGLNLGGNKFVTLPSTIKQLSNLERLYLEYCKQLKYLPELPTIKQKNIGGYYGLGLYIFDCTKLSDMEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTLQDPMNLGERDDDHLSNLWFGVNNSKRRWYIGVPIYFKKDLVTVGLDHLVTVFYSRQQFIHLLSTPPNTMHDLYQTEFGTFIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSRKRKLLTSD >Vigun04g154150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37286126:37290694:-1 gene:Vigun04g154150.v1.2 transcript:Vigun04g154150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHLKGKFQSGTQIQSFEASNFVGNNLCGPPLPIINCSSNKQIPYIDYSETKNGEDGVNWFFVSMTVGFILGSCCSFVHL >Vigun02g173300.2.v1.2 pep primary_assembly:ASM411807v1:2:31602408:31605499:1 gene:Vigun02g173300.v1.2 transcript:Vigun02g173300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFCLGALLIIGITHWVYRWRNPSCHGKLPPGSMGFPLLGETLQFFSPNTSSDIPPFIKQRMKRYGPIFKTNLVGRPVIVSTDPDLNYFIFQQEGQVFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNLVLNLFGPESLKKMLPEVEQTACRTLEKWSCEDSVELKEATARMIFDLTAKKLISYDSTKSSENLRDNFVAFIQGLISFPLDVPGTAYHKCLQGRKRAMKMLKNMLEERRGMQKKEQEDFFDYVIEELKKEGTVLTEAIALDLMFVLLFASFETTSLALTYATKVLSDHPLVLKQLQEEHEAILKRREDPNSGITWKEYKSMTFTFQFINETVRLANIVPGIFRKALREINFKGYTIPKGWAVMVCPPAVHLNPAKYQDPLSFNPRRWEVRLREWN >Vigun02g173300.1.v1.2 pep primary_assembly:ASM411807v1:2:31602408:31605499:1 gene:Vigun02g173300.v1.2 transcript:Vigun02g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFCLGALLIIGITHWVYRWRNPSCHGKLPPGSMGFPLLGETLQFFSPNTSSDIPPFIKQRMKRYGPIFKTNLVGRPVIVSTDPDLNYFIFQQEGQVFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNLVLNLFGPESLKKMLPEVEQTACRTLEKWSCEDSVELKEATARMIFDLTAKKLISYDSTKSSENLRDNFVAFIQGLISFPLDVPGTAYHKCLQGRKRAMKMLKNMLEERRGMQKKEQEDFFDYVIEELKKEGTVLTEAIALDLMFVLLFASFETTSLALTYATKVLSDHPLVLKQLQEEHEAILKRREDPNSGITWKEYKSMTFTFQFINETVRLANIVPGIFRKALREINFKGYTIPKGWAVMVCPPAVHLNPAKYQDPLSFNPRRWEGMELNGATKHFMAFGGGMRFCVGTDFTKVQMAIFLHCLVTKYRWRPVKGGNIVRTPGLQFPNGFHVQIMEKDQTKQEPKFNETK >Vigun10g187300.1.v1.2 pep primary_assembly:ASM411807v1:10:40338002:40340851:-1 gene:Vigun10g187300.v1.2 transcript:Vigun10g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETATTPTDSSSASLFELLTEMKKQSCPQSLTLQAKSPSQNCSECWFDDACILDMDYFVKTLSGIKAKGVRADLIGSIITHYASKWLPDLSAGDMVEKGLTQMEESPESVTASWMKKRFFVETLVGVLPPEKDAIPCNFLLRLLRTANMVGVEGNYRQELEKRISWQLDQASLKELVIPSFSHTCATLLDVELVIRLVQRFVSLDSEGAKSVASLVKVAKLVDSYLAEAAVDSNLSLNDFVTLAAALPSHARATDDGLYRAIDTYLKAHPAVSKQERKGLCRLIDSRKLTPEASLHAAQNERFPVRAVIQVLLSEQSKLTRHVDWSGSLVSGTRSPSALELPTRCLSKREVNAQQHEIRKLKDDVHRLQSQCNAMQAQMERMVEKKKGFFKWKKFAFGKVEHEKMEQELEGNGMHTPAGLKTRLVNVKGSKHNNNAHRWRKSMS >Vigun06g195000.1.v1.2 pep primary_assembly:ASM411807v1:6:31104579:31107454:1 gene:Vigun06g195000.v1.2 transcript:Vigun06g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLVWPFRCRVPRVGAGGVSRGIRIGSARVRRKTVTAMRAVVQRVASASVEVEGRIVSEIGPGLLVLVGIHDTDSDADADYICRKVLNMRLFPNENSGKAWDHSVMQKNYQVLLVSQFTLYGFLKGNKPDFHVAMAPQRAKPFYASLVDRFRSAYNSDAIKDGVFGAMMKVNLVNDGPVTMQLDSQTSKNTVDATES >Vigun11g067000.1.v1.2 pep primary_assembly:ASM411807v1:11:16998149:17023924:1 gene:Vigun11g067000.v1.2 transcript:Vigun11g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRFPSSDGVTQMGGIIRHNRQCRDITVLVIFIAFSVAMVVNSSFAFNQGNPLRLTYGLDYKGNLCGDKHAHPGLSELELRYWQNPNQVYESGLKDSKFKLGDARSICLSECPIPSEDSLNWVCDYPEGDIRLSMTDWANRNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARPSNTSLKHWQQMGGVKINEDIIIDKSIHKSINSRSAVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLMMIRHFVAAMPWITVVLFNVLIISVTMFYYLKAGWIGNDAISPIIGEHDPYVSVYGRELTHIRAVTILMTFIMVVAFLTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPLIPYSILVVFYMFWISASLHMFSSGQVVQNNCNSNCCTYDLIAKRVNCDRCCGYSIHYTPNIGVAILFHLFGCYWATQFFIACSSTVIAGSVAAYYWAHGETSSEIPFLSVFSSMKRLMRYNLGSMALGSLIVSFVEFIRFLLEAIRRKLKGASDGNDSCIGKAAYRSSQCFLKCIEWTIKSVNRNAYIMIAITGKSFFTSSSIAADLIMNNILKIGRLNVIGDVILFLGKLCVSLSCALFAFLMLETHKYRSALNKTSSPLLPVVICWALGYIVATLFFVVVEMSIDTIVLSFCQDSEEHQGTAQYAPTLLTETLTDHNEMQRLTQGP >Vigun05g041000.1.v1.2 pep primary_assembly:ASM411807v1:5:3315509:3319588:-1 gene:Vigun05g041000.v1.2 transcript:Vigun05g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKDPTIKLFGKTIPLSEIPTGSAGAPASSSGDLVDDSLEHSHASFSSNSSRESHTREEGVVDKDSLGEKPAEDKKEVPTQSFEEINNPDSASGTCEESITVPTDKETTTLKTSKTEEELSETSKSQDKNLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSTSHYRQITVSEAAPQNPQIDLPNAAYHPSLKCNGAVLTFGSDTPLCESMASVLNLADKTVHNYARNGFHKPEELKVPVPHASGEKGDDLSNKSSVTSTKSVEGASINGAQEQAMPNCHRFPPQVPYFTGNSWPIPWNPVQWSSPVPPPAFGPPGFAMPLYPAAAYWGCAVSGAWNIPWVAQPSSPNGATSNSGPNSPTLGKHSREDNILKPNESGGSEDGHNKENNKEKCLWVPKTLRIDDSGEAAKSTIWTTLGIKTDKADSVPRETLFKGFPSKLDEKNHSMHASPVLQANPAALSRSLNFHETS >Vigun04g071900.1.v1.2 pep primary_assembly:ASM411807v1:4:8370397:8371236:1 gene:Vigun04g071900.v1.2 transcript:Vigun04g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKMDSQNASYNVGQAKGQAQEKASNMMDKASDAANSAQDSMQQVGQQMQEKAQGAADSIKSALNSKN >Vigun07g193650.1.v1.2 pep primary_assembly:ASM411807v1:7:31288644:31289169:-1 gene:Vigun07g193650.v1.2 transcript:Vigun07g193650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIVMPVPLKGHDKKEELANNKNEKTVFWGEGILPLDGNPWSLIPSQEKSIQEYIHELIIRFNSAAVNTSISSPLVSQSFSTYAAGAF >Vigun03g361900.1.v1.2 pep primary_assembly:ASM411807v1:3:56542410:56544557:-1 gene:Vigun03g361900.v1.2 transcript:Vigun03g361900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIPIHTVLQMPSLLQAVAADTILAAAQSMALIGYLLSNITNPVSPNLIPTCGRFPLDNLLGSKHAYLENKNDSGTEDDEDDEEDEEGHEEDDDDVDDEDFSAEEVDEEGDPEDDPETNGGGSDDGDEDDDDDDNGDDDDDDGEDEEEDEEEEEEETPQPPSKKRK >Vigun09g119300.1.v1.2 pep primary_assembly:ASM411807v1:9:26315458:26317266:-1 gene:Vigun09g119300.v1.2 transcript:Vigun09g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQAEVGVPLKLVVNKETNKVLFAEARKDFVDVLFSFLTLPLGTIARLVGNESNIGPVKIGSLNSLYHSVAALDDNCLTAQAYKERLLRPWNMAEDFCNTLKLNIDDTPLKQYFVCNNFCAHTCSAIMRCTSNSVCSCGRAVNQTVFLKSSANGFVNDDAIFVITDDLIVMPNSMDYLSFALVLKLGIKFPSSLKEITVNVTTKKVVDLLKCSMLSKSCLTDLFLEKKPVIQKPTFVSCSVENNSNINIKLKLVIRKSDGKILYALGEKDFADMLLSFLNFALGGVIQKLGGNCSVGSIDGLYESVTDMSENLYFMSKVAKSRLVDPHLLPLFKSSAQILATVNEEDLKYLLYYSNKEAKFLVQFLRSCEGVPDGGNYLGLMPLTDSESATESIVKGSRMFVVTDDLVVAPSSPISDLNIIIRLNTSFLDLKEKDVTIGLMECLNILKASLTSTSALTVGLGHLISEVKEGK >Vigun03g444600.1.v1.2 pep primary_assembly:ASM411807v1:3:64678766:64680171:1 gene:Vigun03g444600.v1.2 transcript:Vigun03g444600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETEINEAVDAIEGGSMNNTNNEITKPSHSRLPILTKIHVGYFFICLSFGAQALLWKSLSKHNKDSYSLWHGFNFMPSFAFLLLWCVALFIATTLSLLYVLKCIFHFDMVKEEFSHYIGVNCMYAPWISWLLMLQSAPMILHTTPCYRALCLAFSFMILVIDVKLYGQWFTTKRRFLSIVANPTSQVSVIGNLVSARVIAEIGWKESAVVMFSIGSVFYLVIFITLYQRQKSGNQFPTVLRPAYFLFFAAPSMASLAWKSILGAFVTPSKMLLFLSFFLFMSQACRPAMFKKSIKRLNVTWWLYSFPLTFLGLACGEYAEDVKSGMAPWLMLVICMVSVLVFIALMIVTVLRIEMLLNKSAPFIS >Vigun01g251300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41771023:41773368:-1 gene:Vigun01g251300.v1.2 transcript:Vigun01g251300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQFLHSQLCSVARQSPCLAKKIHAQIIKAGLNHHEPIPNTLLDAYGKCGLVEDAIQLFDALPRRNPVAWASLLTACNLANRPHRALSISRSLLAAGFHPDHFVFASLVKACSNLGSLHVKQGKQVHVRFFLSPFSDDDVVKSSLVDMYAKFGLPDYGRAVFDSISSLNSISWTAMISGYARRGRKLEAFELFRQTPYRNLFAWTALISGLVQSGNGFEALNMFVEMRHDGVSVTDPLVLSSVVGACANLALWELGKQMHALVIALGYGSCLFISNALVDMYAKCSDIVAAKYIFWDMCRKDVVSWTSIIVGSAQHGQAEEALGLYDGMVLAGVKPNEVTFVGLIHACSHAGLVSKGRALFRSMVEDYGIEPSLQHYTCLLDLFSRSGHLHEAESLIRTMPVSPDEPTWAALLSACKRHGNTQMAVRIADHLLHLKPEESSSYILLSNVYAGAGMWENVSKVRKLMMVMEVKRNQVIAASTWERKAMCFMLERLLTQ >Vigun03g416200.1.v1.2 pep primary_assembly:ASM411807v1:3:62292441:62295266:1 gene:Vigun03g416200.v1.2 transcript:Vigun03g416200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHMGLSNFTTGKGKVNLADSNLRPLEVFMCSIVRKMGYGEGFQWLSQYIK >Vigun08g198600.1.v1.2 pep primary_assembly:ASM411807v1:8:36398669:36402392:1 gene:Vigun08g198600.v1.2 transcript:Vigun08g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEVPSFSLGFDTPPHSPVNPPPSPIAHVSDSEPDTRPDPPRPLLKRLRRGPPSPSLDADVDDDIEEFSSQEDPDQVPTLPSGSNRSVCSSSKVSLNGSRVLSLTPHHCSNSSRDGKRKEHSDDVPASSRLETGKSGLMFPKLTTSPLRRFQLIDSDDSDVDVGGGANNVNPNDNLKQDKRLSFDKNRNVDLWKDFSPLKNDSVPGFRLIDSDSDDSDVNVVGANKVYPKNHLEKNKKASFDKNRNEDLWKDFSPLKDVSVPTPAFNELYEEYFCSDKSKEVRGDVNESHNERYPGVSSSCQRNQQQWESVDPVYPAHKYFFHEDPRIQQLVHTRLRNFNPLGAINGVNQQPNASHIDYMGQFGNGRASNTQGVQNACVNSSTRGKNKSSNFVVEGSFNTSGGWVDPKIVSPFSHGESSRKKATKRNSTKKNSVSKGKGKANKSSPANQSRASGDWVQPRSSASSPKDASKRRVQASGQSAGHWYTSPEGRKVYVNKSGQELTGRIAYGQYRKESGTGFKKSKKKTGSKTTKARKKRN >Vigun04g032700.1.v1.2 pep primary_assembly:ASM411807v1:4:2684512:2689296:-1 gene:Vigun04g032700.v1.2 transcript:Vigun04g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLRRAATYGGATSDHLLALRTFSSAAAVSAASNSDPSLPSSPESQRKASRWLLFLPGAIAFGLGTWQIIRREEKIKMLEYREKRLQMEPLQFNSTYSSNEELNSLEFRKVACKGYFDDRKSIYVGPRSRSISGLTENGYFIITPLMPVPNCPDSVSFPILVNRGWVPRSWKDKFLEASQNENLADSPPSSSHTDGTTSWWRLWSKKPPVITEDQVPSVTPIEVVGVVRGSEKPSIFVPANDPKSSQWFYVDVPAIARTCGLPENTIYVEDIHENVNPSNPYPLPKDVNTLIRSSVMPRDHLNYTLTWYSLSAAVTFMAFKRLKQKSKRR >Vigun04g032700.2.v1.2 pep primary_assembly:ASM411807v1:4:2684512:2688634:-1 gene:Vigun04g032700.v1.2 transcript:Vigun04g032700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYREKRLQMEPLQFNSTYSSNEELNSLEFRKVACKGYFDDRKSIYVGPRSRSISGLTENGYFIITPLMPVPNCPDSVSFPILVNRGWVPRSWKDKFLEASQNENLADSPPSSSHTDGTTSWWRLWSKKPPVITEDQVPSVTPIEVVGVVRGSEKPSIFVPANDPKSSQWFYVDVPAIARTCGLPENTIYVEDIHENVNPSNPYPLPKDVNTLIRSSVMPRDHLNYTLTWYSLSAAVTFMAFKRLKQKSKRR >Vigun07g145700.1.v1.2 pep primary_assembly:ASM411807v1:7:25638420:25642373:-1 gene:Vigun07g145700.v1.2 transcript:Vigun07g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITSCDSGSFSTENTREDAAAVKHQPEMLPQFHSPHSLTSTTTTTNNTNASNTISQPPPPVKKKRSLPGNPDPSAEVIALSPNTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEVRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKANEGQIPKIGSNLQCQQIPNLVSSLPINTNVVPNPQQIGGTSEFNRGEQKHPLSLPHELMPMPAPKPFNNNTAMAGTVFSRSLSSTSSPSLQLSSNIFEENGPHLAAGSPHMSATALLQKAAQMGATVTEKSFSTNMAPPSFGVLQQQQPNGQSFMNQYMHSGQHQQDPNVNISAQYNGFGGNGMSGGSVGMNGVDMFNAILDQSKALSKIIEQSNRSSSGGATNGGSSAAINVAGSKGSEDVMTLDFLGIGGGGGGGGAQGNFYGSAQQAENGAADEVWRNWSSKNAGFESFSATSSI >Vigun03g078700.1.v1.2 pep primary_assembly:ASM411807v1:3:6506239:6518332:1 gene:Vigun03g078700.v1.2 transcript:Vigun03g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVLFTLRYYAAPRVPRYVLFTVGYTWLSSLSIIVLVPADIWTTISSYHEKGAISFFWSWSYWSTFLLTWIVVPLIQGFEDAGDFTVSERLKTSLHVNLIFYVIVGSIGIFGIILLVMMHRHWSGGLLGLAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNADWTIRQKVLSHKIAKMAVKLDDAHQELSNAIVIAQATSNQMSKRDPLRPYMNVIDDMLTQMFREDPSFKPQGGQLGESDMDYDTDEKSMATLRRHLRGATEEYYRYKSEYITYVLEALELEDVMKNYDRRNSSGWKYISSFRDARTGKFGSLCDNLEFFWRCILRKQVQKGLAVILGVMSVTILLAEATLLPSLDLSLFSILIKAVGTEEVLVQAFAFVPLMYMCICTYYSLFKIGTLVFYSLTPRQTSSVSLLMICSMIARYAPPISYNFLNLIRLGSDKTTIFEKRMGNIDNAVPFFGDKFNKIYPLIMVVYTILVASNFFDRVFNFLGSWKRYVFEPEAEDMDGFDPSGLIILQKERSWLEQGRNVGEQVVPLVRNFNGIDLESNDNSTVKNDVEMKGTSALVNKEIDGNQPKTSKEETRRHSSSREAISSKYAAIRQQNGSAYKLKAEEKNLASAKVSLVEHDDARPGNAAGTSGLASTWQTMKTNFQSFKANLGANRFIPIRQTQEDKIPRVSSSESLDDIFQRLKQPSLDQNINNDEENLMGRNISGPRR >Vigun08g008450.1.v1.2 pep primary_assembly:ASM411807v1:8:746147:746593:-1 gene:Vigun08g008450.v1.2 transcript:Vigun08g008450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCYCGEKVVLRTARTPKNRGKQFWGCPKYKRGSEQLVGCNYFSWFNGDENEEIIGSVTKNEERDVSVLNMEEMYGQRMKILILEKSVMNLENMIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun03g129400.2.v1.2 pep primary_assembly:ASM411807v1:3:12551341:12556750:1 gene:Vigun03g129400.v1.2 transcript:Vigun03g129400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDVEMLGSESNEAGLELDDMKKRLKEMEDEASALREMQAKVEKEMGSVQAPATAASSQNNKEEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVQAVQEALSLNESELHGRQLKVTAKRTNIPGMKQYRPRRPNPYQGFRGRAPYAPPFAYAPFGYGSKV >Vigun03g129400.1.v1.2 pep primary_assembly:ASM411807v1:3:12551341:12556750:1 gene:Vigun03g129400.v1.2 transcript:Vigun03g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDVEMLGSESNEAGLELDDMKKRLKEMEDEASALREMQAKVEKEMGSVQAPATAASSQNNKEEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVQAVQEALSLNESELHGRQLKVTAKRTNIPGMKQYRPRRPNPYQGFRGRAPYAPPFAYAPFGYGKVPRFRMGMRYSPYY >Vigun03g129400.4.v1.2 pep primary_assembly:ASM411807v1:3:12554817:12556750:1 gene:Vigun03g129400.v1.2 transcript:Vigun03g129400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVPSAQKYNRYFFPMVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVQAVQEALSLNESELHGRQLKVTAKRTNIPGMKQYRPRRPNPYQGFRGRAPYAPPFAYAPFGYGSKV >Vigun03g129400.3.v1.2 pep primary_assembly:ASM411807v1:3:12554817:12556750:1 gene:Vigun03g129400.v1.2 transcript:Vigun03g129400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVPSAQKYNRYFFPMVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVQAVQEALSLNESELHGRQLKVTAKRTNIPGMKQYRPRRPNPYQGFRGRAPYAPPFAYAPFGYGKVPRFRMGMRYSPYY >Vigun07g078400.1.v1.2 pep primary_assembly:ASM411807v1:7:10708412:10731658:1 gene:Vigun07g078400.v1.2 transcript:Vigun07g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSFNLLHNFEHTRDSYGFALRPQYAQRYREYFPIYKEEEDERSDKWSDFLEHATESSRPTSNEHKETLKAEPKSDEVNEERIPQRPNNGDDSSRRQFCELGEETSIGGDSSDRKTSGGTEIKEGTTPGRVSECGISRDRNFISHSATGNISRKELHHCEERKTRKVVQHWAEIRPSLIAIEEIFSSRVKGKKMEGAEINRNNNHPPSIEESKPVDDGSNGSKEENSLVDQNLPELFSRWKELESLVQGGVPKDLRGEVWQAFVGVKMRRVEGYYEDLLARNESEEQDVSSAAFGKWKKQIEKDLPRTFPGHPALDENGRNSLRRLLLAYARHNPQVGYCQAMNFFAGLLLLLMPEENAFWAFVGIIDEYFAGYYTEDMIESQVDQLIFEELMRERFPKLVNHLDYLGVQVPWISGSWFLSIFVNVIPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALLTTKDAGDAITLLQSLVGSTFDSSQLVFTACMGYPAVTEARLNELRDKHLPSVLVVIEERSMKGRALKDSKGIATKLYSFKHDRGSRVEERKTTEESVAVADASVQLESHSSNLNEMLNSLNVDSELEALPDPQDQVVWLKVELCRLLEEQRSAILRAEELETAFMEMVKEDNRLELNARIEQLEQEVAELQQALDDKKEQEVVMLQVLVRLEQDQKVTEDARRRAEQGLAAQKLEVHELQEKYDKAVQSIADMQKRVVMAESMLEATLQYESGQSKALSSPRAGRVQSPKSDNPSRKIGLLRFGLGWRDKNKGKPNAETEEAGESLHSNGSPKKESDTQETDR >Vigun11g037500.1.v1.2 pep primary_assembly:ASM411807v1:11:5139585:5150242:-1 gene:Vigun11g037500.v1.2 transcript:Vigun11g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSLGDLVVDLHTNKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGTGGDSVYKFLYGDQARFFSDEIHIDLKHSKTGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGFETLTRINEAYVDDKGRPYKNIRIKHTYILEDPYDDASQLSEFIPEASPEGKPKDEVDDEVRLEDDWVPMDEQLNSAELEEVIRSKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLNTIFSRFGTVSSAEIIRDHKTGDSLCYAFIEFEDKLSCEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQKGKGGGCFKCGSTDHIAKDCTGDATMKQPQTKYILKDDNTQRGGDNSRYEMVFDGDDNPESPRQDVKHRRHDRDDPVEKKGREEDFKDHRSRRDQDMVDSKRDRYGDRSRGDGGNGENKARYERGARDLDSNADKNDRDRHKGRHGNDDYRWKHDHGSRKEEDDSNKRKDERDTRRRDEDDSYKRKDERDTRRRDEDDSYKRKDERDSRRRDEDDRRNTNSSHLERRNDRGYRKRPEESGKQDVKIDSGRRKRSPADDDYKNGRKDEDYRHRKEERGKRQDIEADEDYKRRREDGDYRPRREERGHKRQDIEADDYPHRRHHGDRR >Vigun11g037500.2.v1.2 pep primary_assembly:ASM411807v1:11:5135855:5150242:-1 gene:Vigun11g037500.v1.2 transcript:Vigun11g037500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSLGDLVVDLHTNKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGTGGDSVYKFLYGDQARFFSDEIHIDLKHSKTGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGFETLTRINEAYVDDKGRPYKNIRIKHTYILEDPYDDASQLSEFIPEASPEGKPKDEVDDEVRLEDDWVPMDEQLNSAELEEVIRSKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLNTIFSRFGTVSSAEIIRDHKTGDSLCYAFIEFEDKLSCEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQKGKGGGCFKCGSTDHIAKDCTGDATMKQPQTKYILKDDNTQRGGDNSRYEMVFDGDDNPESPRQDVKHRRHDRDDPVEKKGREEDFKDHRSRRDQDMVDSKRDRYGDRSRGDGGNGENKARYERGARDLDSNADKNDRDRHKGRHGNDDYRWKHDHGSRKEEDDSNKRKDERDTRRRDEDDSYKRKDERDTRRRDEDDSYKRKDERDSRRRDEDDRRNTNSSHLERRNDRGYRKRPEESGKQDVKIDSGRRKRSPADDDYKNGRKDEDYRHRKEERGKRQDIEADEDYKRRREDGDYRPRREERGHKRQDIEADDYPHRRHHGDRR >Vigun02g134600.1.v1.2 pep primary_assembly:ASM411807v1:2:28470301:28472508:-1 gene:Vigun02g134600.v1.2 transcript:Vigun02g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRTFQDNMMFMSQLYPADAPYTQIIAQQGESKKPKRRRSKKNKGGENGASEANRKRKLSAEQVNLLEQNFGNEHKLESERKDRLALELGLDPRQVAVWFQNRRARWKNKKLEEEYSNLKKSHEANLLEKCRLETEVLKLKDQLCEAEKEIQRLVESGERVPSNSASSSQSQSQSMEAVDPALFGVFGVDGYDDDVFYAPETHCINALEWINLYM >Vigun06g148200.2.v1.2 pep primary_assembly:ASM411807v1:6:27309206:27316718:-1 gene:Vigun06g148200.v1.2 transcript:Vigun06g148200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYTEQATRSLVSDAQADLVIKINDTTYVLHKSSLLPKCGLLQRLCSDSSDSENVPLELHDMPGGADAFELCAKFCYGVSINISAHNFVPALCAAKLLQMNESIEKGNFVGKLEAFFSSCILEGWKDSIAALQATDKLPEWSENLGITRKCIDSIIEKILTPPPQVKWSYTYTRPGYTRKQHHSVPKDWWTEDVSDLNIDLFRCIIMAIRSTYVLPPQLIGEALHVYACKWLPGITKLKNSLGSAAITEESKAINRKILETIVSMIPADRGSVSAGFLLRLLSISGPLGVSPVTKTELVKRASIQFEEATVSDLLYPSTSSLDQNFYDTELVLAVLESFLKFWKRLYPGAVDNRHLLKSIRNVGKLIDSYLQVVARDDNMPVSKFVSLAETVPAIGRLDHDDLYQAINIYLKVHPDLSKAEKKRLCGILECQRLTPEVRAHAVKNESLPLRTVVQLLYFEQEKDSMETTSSKLQKPHDLLLGAKKRPVTRDSHGKRSLINKEEVTRRISHAEDREKGQHKAKQSDAKLALDLERKMVIREDSEEIGSEKLRVAKEERMSSSKLDLDSKNNIQRARSKKSEHGRQKGR >Vigun06g148200.1.v1.2 pep primary_assembly:ASM411807v1:6:27309236:27316375:-1 gene:Vigun06g148200.v1.2 transcript:Vigun06g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYTEQATRSLVSDAQADLVIKINDTTYVLHKSSLLPKCGLLQRLCSDSSDSENVPLELHDMPGGADAFELCAKFCYGVSINISAHNFVPALCAAKLLQMNESIEKGNFVGKLEAFFSSCILEGWKDSIAALQATDKLPEWSENLGITRKCIDSIIEKILTPPPQVKWSYTYTRPGYTRKQHHSVPKDWWTEDVSDLNIDLFRCIIMAIRSTYVLPPQLIGEALHVYACKWLPGITKLKNSLGSAAITEESKAINRKILETIVSMIPADRGSVSAGFLLRLLSISGPLGVSPVTKTELVKRASIQFEEATVSDLLYPSTSSLDQNFYDTELVLAVLESFLKFWKRLYPGAVDNRHLLKSIRNVGKLIDSYLQVVARDDNMPVSKFVSLAETVPAIGRLDHDDLYQAINIYLKVHPDLSKAEKKRLCGILECQRLTPEVRAHAVKNESLPLRTVVQLLYFEQEKDSMETTSSKLQKPHDLLLGAKKRPVTRDSHGKRSLINKEEVTRRISHAEDREKGQHKAKQSDAKLALDLERKMVIREDSEEIGSEKLRVAKEERMSSSKLDLDSKNNIQRARSKKSEHGRQKGR >Vigun02g145400.1.v1.2 pep primary_assembly:ASM411807v1:2:29354401:29357215:1 gene:Vigun02g145400.v1.2 transcript:Vigun02g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGVVLYGGAPRGSVVPLLLRRRRVSLRVCSSSSYSSISDHVSFVKDVAATQPPQHLSHLLNILKTRGETIVSPGARQGLIPLAIPLTKNNSGNVTALLRWPTAPPEMEMPVVEVRKHGVWLLAKTVDQFIHRVIVEEDAKNSQERNEAVFNASGDAGKKLYRTGDFSESGISNLDVYLLKKVGIFPDIIERKVMRHFEEGDHVSALVTGEFYTKKEHFPGFARPFAFNAEVLLRVGRKVEAKDAARGALKSPWWTLGCKYEDVANIAQWDDEQIEYIKEKVTEEGRQEDLKKGKAPAQVVLDEAAFLLDLASVEGEWDGYLERIAKCYEEAGLPDVAKFILYRD >Vigun07g166400.2.v1.2 pep primary_assembly:ASM411807v1:7:28054101:28076605:-1 gene:Vigun07g166400.v1.2 transcript:Vigun07g166400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLAEKLSGLSVNQHGQQEVHDQSNLSSNNNDNLYQVMKAVEAAEATIKQQVEENDRLKSELLSKVKELEKYRKKESVDQKSDLVAPWKERDHRSYEAHQSGPSIARGNTGDHSDSSQINGTFRVQPNDQLPPDNIGYSHLSSPSTRSVSPSRHLLEGDHDPRFNSPQHGLMPVAEANNKNNSLLKQEHEEEIILLRKHLADYSVKEAQIRNEKYLLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTESKLKESQYQLTPWRSDTNHANVATQSQSIGAPLANSNRNSLELVPQHMYSQVKPQVSVDAQAGTDWDLLGRHQNDFSGGVATSVDDLGRYSPLASRNLSSRDASTHLVVTQGDTHPAQYGEEMTNKQVTFRDPVSNTEVDDPDGDGTHSERETPANWSSGNTPYTTTVDDPGSSYSPYLPPVLEEPSSSFSEAADEDPLPAIEGLQISGEAFPGRELQACGYSINGTTSCNFEWIRHLEDGSFNYIDGAKQPAYLVNADDVGTLLAIEVQPLDNRKRKGEPVKVFANDSKKITCDPEMQNLIEKAFYSGHASYRVSHSTGYLDIWEPATLAIKREGYSIKCSGPNGVVITEKFSPSTQVVIPYGHASEFIIIGSSGSEHLLKAENNSTDISGARDTIVLTLRLFILRRPGEKRRVKKKGLFF >Vigun07g166400.3.v1.2 pep primary_assembly:ASM411807v1:7:28054101:28076605:-1 gene:Vigun07g166400.v1.2 transcript:Vigun07g166400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLAEKLSGLSVNQHGQQEVHDQSNLSSNNNDNLYQVMKAVEAAEATIKQQVEENDRLKSELLSKVKELEKYRKKESVDQKSDLVAPWKERDHRSYEAHQSGPSIARGNTGDHSDSSQINGTFRVQPNDQLPPDNIGYSHLSSPSTRHLLEGDHDPRFNSPQHGLMPVAEANNKNNSLLKQIQEHEEEIILLRKHLADYSVKEAQIRNEKYLLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTESKLKESQYQLTPWRSDTNHANVATQSQSIGAPLANSNRNSLELVPQHMYSQVKPQVSVDAQAGTDWDLLGRHQNDFSGGVATSVDDLGRYSPLASRNLSSRDASTHLVVTQGDTHPAQYGEEMTNKQVTFRDPVSNTEVDDPDGDGTHSERETPANWSSGNTPYTTTVDDPGSSYSPYLPPVLEEPSSSFSEAADEDPLPAIEGLQISGEAFPGRELQACGYSINGTTSCNFEWIRHLEDGSFNYIDGAKQPAYLVNADDVGTLLAIEVQPLDNRKRKGEPVKVFANDSKKITCDPEMQNLIEKAFYSGHASYRVSHSTGYLDIWEPATLAIKREGYSIKCSGPNGVVITEKFSPSTQVVIPYGHASEFIIIGSSGSEHLLKAENNSTDISGARDTIVLTLRLFILRRPGEKRRVKKKGLFF >Vigun07g166400.1.v1.2 pep primary_assembly:ASM411807v1:7:28054101:28076605:-1 gene:Vigun07g166400.v1.2 transcript:Vigun07g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLAEKLSGLSVNQHGQQEVHDQSNLSSNNNDNLYQVMKAVEAAEATIKQQVEENDRLKSELLSKVKELEKYRKKESVDQKSDLVAPWKERDHRSYEAHQSGPSIARGNTGDHSDSSQINGTFRVQPNDQLPPDNIGYSHLSSPSTRSVSPSRHLLEGDHDPRFNSPQHGLMPVAEANNKNNSLLKQIQEHEEEIILLRKHLADYSVKEAQIRNEKYLLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTESKLKESQYQLTPWRSDTNHANVATQSQSIGAPLANSNRNSLELVPQHMYSQVKPQVSVDAQAGTDWDLLGRHQNDFSGGVATSVDDLGRYSPLASRNLSSRDASTHLVVTQGDTHPAQYGEEMTNKQVTFRDPVSNTEVDDPDGDGTHSERETPANWSSGNTPYTTTVDDPGSSYSPYLPPVLEEPSSSFSEAADEDPLPAIEGLQISGEAFPGRELQACGYSINGTTSCNFEWIRHLEDGSFNYIDGAKQPAYLVNADDVGTLLAIEVQPLDNRKRKGEPVKVFANDSKKITCDPEMQNLIEKAFYSGHASYRVSHSTGYLDIWEPATLAIKREGYSIKCSGPNGVVITEKFSPSTQVVIPYGHASEFIIIGSSGSEHLLKAENNSTDISGARDTIVLTLRLFILRRPGEKRRVKKKGLFF >Vigun07g166400.4.v1.2 pep primary_assembly:ASM411807v1:7:28054101:28076605:-1 gene:Vigun07g166400.v1.2 transcript:Vigun07g166400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLAEKLSGLSVNQHGQQEVHDQSNLSSNNNDNLYQVMKAVEAAEATIKQQVEENDRLKSELLSKVKELEKYRKKESVDQKSDLVAPWKERDHRSYEAHQSGPSIARGNTGDHSDSSQINGTFRVQPNDQLPPDNIGYSHLSSPSTRHLLEGDHDPRFNSPQHGLMPVAEANNKNNSLLKQEHEEEIILLRKHLADYSVKEAQIRNEKYLLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTESKLKESQYQLTPWRSDTNHANVATQSQSIGAPLANSNRNSLELVPQHMYSQVKPQVSVDAQAGTDWDLLGRHQNDFSGGVATSVDDLGRYSPLASRNLSSRDASTHLVVTQGDTHPAQYGEEMTNKQVTFRDPVSNTEVDDPDGDGTHSERETPANWSSGNTPYTTTVDDPGSSYSPYLPPVLEEPSSSFSEAADEDPLPAIEGLQISGEAFPGRELQACGYSINGTTSCNFEWIRHLEDGSFNYIDGAKQPAYLVNADDVGTLLAIEVQPLDNRKRKGEPVKVFANDSKKITCDPEMQNLIEKAFYSGHASYRVSHSTGYLDIWEPATLAIKREGYSIKCSGPNGVVITEKFSPSTQVVIPYGHASEFIIIGSSGSEHLLKAENNSTDISGARDTIVLTLRLFILRRPGEKRRVKKKGLFF >Vigun02g169200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31243867:31244310:-1 gene:Vigun02g169200.v1.2 transcript:Vigun02g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSDEQVSAMKEAFSLFDTDGDGRIAPSELGILMRSLGGNPTQAQLKSIVAEENLTAPFDFPRFLDLMAKHMKPEPFDRQLRDAFKVLDKDSTGFVSVSELRHILTNIGEKLEPSEFDEWIREVDVGSDGKIRYEDFIARMVAK >Vigun02g156700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30281259:30283585:-1 gene:Vigun02g156700.v1.2 transcript:Vigun02g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKSPSKKASEELARELLIAISDSLPDKILDSDIVPESEEADGFARPNVDWDDKFRSELISISYVESPDVKI >Vigun09g161200.1.v1.2 pep primary_assembly:ASM411807v1:9:32858200:32861965:-1 gene:Vigun09g161200.v1.2 transcript:Vigun09g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEISVLCDAQVSLIIFGASGKMHEYISPSTTLIDILDRYQRASGKTLWDAKHENLSNEIDRLKKENDSMQIELRHLKGEDITSLNYKELMALEDALENGLNGVREKKVEVHRMFKRNGKILEEQNKELNFLLQQHLALESVGNMHGQWI >Vigun03g124200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11687718:11689437:1 gene:Vigun03g124200.v1.2 transcript:Vigun03g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKHIAVIAFPFGSHLKPLLNLVLKLAQAAPHSSFSFIGTHKSNAILFPGSHIPNNIKPYTISDGIPEGHVLSNNPTEKIDLFLKTGPHNLHKGIQLAEADTEKRVTCIIADAFVTSSLLVAQTLDVPWIALWLPNSCSLSLYFYTDLIRHHCANHAAKTTLDFLPGLSKIRVEDIPQDLVVVGEKESVFTRTLVSLGKVLPQAKAVVMNCFEELDPPLFVQDMRSKLQSLLYVVPFPSPLLPLSDKDSSGCLSWLDTKSARSVVYVCFGTVVAPPPDELVAVAEALVESGFPFLWSLKEALMGGLPSGFVERTKMRGKVVPLAPQTQVLAHDSIGVFLTHCGANSVMECVSSGVPMICRPFFGDQDIAGRVIEDIWESGMIIEGRVFTKNALVKSLNLILVQEEGKKIRENALKAKKIVEDAVRPEGQAAKDFKTLVEIISTS >Vigun11g101050.1.v1.2 pep primary_assembly:ASM411807v1:11:29602045:29608978:1 gene:Vigun11g101050.v1.2 transcript:Vigun11g101050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKLAGQSYLVSSLSPNFQSMNYSAITVNAPTFMVNFLMSGVYSAVSKTAIAPIERVKLLNQNQNEMIKTGRLSQPYRGIGNCFARTIKNEGVITLWRGNSVNAIRYFRAQIGCFGWWVVGGFTISSLASYPIDTVRRRMMMTSGEAVKYKSSLHAFQTIVAKKGTKSLFKGFDAHILCGAAGVVVVASFDKLQLALFEKEYGYCGEFPL >Vigun10g157700.1.v1.2 pep primary_assembly:ASM411807v1:10:37719486:37721265:1 gene:Vigun10g157700.v1.2 transcript:Vigun10g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTISTMGKVCPQALESSTTCTFTCKQETFTLWMKSLVLNGKGCTVFDSNGQIAYRVDNYNCKHRAQVHLMDQNGDILFTMLKKQYKLSRFWEGYRFPATRNDHKGPCFRVSKTYKISRGGSIYEVELGLDKNQPYIHKIESSTCNSACKISNEVGVVVAELRRKKSHSGVDLGDDVFTMVVEQNIDISLVMGLVVAYNLINCKM >Vigun07g261700.1.v1.2 pep primary_assembly:ASM411807v1:7:37778281:37785114:-1 gene:Vigun07g261700.v1.2 transcript:Vigun07g261700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYLHLGLFLCVLFVCCSATSSSSFASHRSILREVKNAVNHPDYAIELNGTNFDAVLKNTPATFAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCASKINTKLCDKFSVGHYPMLFWSSPSKFVGGGWDPKQDKSDIRVIDDARTADRLLSWINKQLGSSFGLDDQKFQNELLSSNVSDPGQIARAIYDVEEATSTAFDIILEHKMIKPETRASLIKFLQLLAAHHPSRRCRKGTAEFLVSFDDLYPTDFWSTKQEDDNSSIRNLKICGKDVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFNAICDFVHNFFICEECRQHFYKMCSSVTSPFNTAREFALWLWSSHNKVNERLMKEEASLGTADPNFPKTIWPSNQLCASCYSVLDQKSNKIEWNQDEVFKFLTDYYGKTLASLYKNRNMDGNEGAEGAGEDLIVATNAIVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >Vigun07g261700.3.v1.2 pep primary_assembly:ASM411807v1:7:37778281:37785114:-1 gene:Vigun07g261700.v1.2 transcript:Vigun07g261700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYLHLGLFLCVLFVCCSATSSSSFASHRSILREVKNAVNHPDYAIELNGTNFDAVLKNTPATFAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCASKINTKLCDKFSVGHYPMLFWSSPSKFVGGGWDPKQDKSDIRVIDDARTADRLLSWINKQLGSSFGLDDQKFQNELLSSNVSDPGQIARAIYDVEEATSTAFDIILEHKMIKPETRASLIKFLQLLAAHHPSRRCRKGTAEFLVSFDDLYPTDFWSTKQEDDNSSIRNLKICGKDVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFNAICDFVHNFFICEECRQHFYKMCSSVTSPFNTAREFALWLWSSHNKVNERLMKEEASLGTADPNFPKTIWPSNQLCASCYSVLDQKSNKIEWNQDEVFKFLTDYYGKTLASLYKNRNMDGNEGAEGAGEDLIVATNAIVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >Vigun07g261700.2.v1.2 pep primary_assembly:ASM411807v1:7:37778281:37785114:-1 gene:Vigun07g261700.v1.2 transcript:Vigun07g261700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYLHLGLFLCVLFVCCSATSSSSFASHRSILREVKNAVNHPDYAIELNGTNFDAVLKNTPATFAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCASKINTKLCDKFSVGHYPMLFWSSPSKFVGGGWDPKQDKSDIRVIDDARTADRLLSWINKQLGSSFGLDDQKFQNELLSSNVSDPGQIARAIYDVEEATSTAFDIILEHKMIKPETRASLIKFLQLLAAHHPSRRCRKGTAEFLVSFDDLYPTDFWSTKQEDDNSSIRNLKICGKDVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFNAICDFVHNFFICEECRQHFYKMCSSVTSPFNTAREFALWLWSSHNKVNERLMKEEASLGTADPNFPKTIWPSNQLCASCYSVLDQKSNKIEWNQDEVFKFLTDYYGKTLASLYKNRNMDGNEGAEGAGEDLIVATNAIVVPVGAALAIAVASCAFGALACYWRSQQKSRKPRRTWK >Vigun09g021300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1658906:1659076:1 gene:Vigun09g021300.v1.2 transcript:Vigun09g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAFYLLFIFLPSFNSCGCRISSHRKYRFSLLSWIMPTGMFLFPFLVLVILGDW >Vigun03g105700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9114558:9117528:-1 gene:Vigun03g105700.v1.2 transcript:Vigun03g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun03g105700.2.v1.2 pep primary_assembly:ASM411807v1:3:9114558:9117528:-1 gene:Vigun03g105700.v1.2 transcript:Vigun03g105700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun01g152700.1.v1.2 pep primary_assembly:ASM411807v1:1:33511052:33514293:-1 gene:Vigun01g152700.v1.2 transcript:Vigun01g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPNGSNSNLDNLLLQTMMGRLQLRAPINNPLVTQSLEDFLFNDLDEDDADEENFEGKSELAREEAKLEKEVIKIILSGNGESLLKPNSGQAVSVRDHHICVGFQDEEASGYRVWEWHGHIMAFDEEFGYNPEYIYGNYFQWFKPRPGGASAAVADPVVKKEEEEEEEEKQENQGLRDLIETKDSADARILHRNINAASPSLTGTR >VigunL059311.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000134.1:19188:21975:-1 gene:VigunL059311.v1.2 transcript:VigunL059311.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQRSVGGATHKGIPPISFLAPYEFTHPLTRTHVRLLGPCFKTGRMGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun05g137900.2.v1.2 pep primary_assembly:ASM411807v1:5:16735993:16741609:-1 gene:Vigun05g137900.v1.2 transcript:Vigun05g137900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQCVMSFDFTYYLILTYVFVLFFEDHLKMKKTSTKSNSSDVHTTHKSSSTWGQVVDHKSSSCHHPSNPGVFKNKFATSIKSKSDTQVHISFPAPKFTNSRHLQRPSTAGSSFPFPHPPPTTPQRSGEHAPPPPPPSPAPQPSTEQDPLPSAPTPQPSNENDPPPPAPAPQPINEHDPLLSTPAPQPRTLKVRISSTSTSYVYSESEEEESEESELQEDQQRLVARKRA >Vigun01g134050.1.v1.2 pep primary_assembly:ASM411807v1:1:31224833:31226081:1 gene:Vigun01g134050.v1.2 transcript:Vigun01g134050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMISESSVTFPERRKSRWLMKRLILKKTHGLA >Vigun05g295800.1.v1.2 pep primary_assembly:ASM411807v1:5:48088096:48095126:1 gene:Vigun05g295800.v1.2 transcript:Vigun05g295800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTLSLLPPSTCACFCGTVHLRSHNSFISIHSPSSSTSFSSVSNPNLAPTRRRFHTVFAASSDYYSTLGISKSATGKEIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGGSAYTTNPFDLFETFFGPSMGGFGGMDSTGFGTRRRSTVTKGEDIRYDFSLEFSEAIFGTEKEFDLSHLETCEACTGTGAKIGSKMRVCSTCGGRGQVMRTEQTPFGLFSQVSVCPSCGGDGEVISEYCRKCNGEGRIRVKKSIKVKVPPGVSAGSILRVSGEGDAGPRGGPPGDLYVYLDVQEIPGIQRDDINLSSTISISYLDAIVGAVVKVKTVEGISELQIPPGTQPGDVLVLARKGVPKLNKPSIRGDHLFTVKVTIPKRISTKERELLEELASLGDTSRRLKSRPKTHSSSGTETPTLQKDESPTATAEEKSEKSEEENDVWNKLKDFAGSVANGALKWLKDNL >Vigun03g036000.1.v1.2 pep primary_assembly:ASM411807v1:3:2770942:2774105:-1 gene:Vigun03g036000.v1.2 transcript:Vigun03g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLILCSFCLLLFHLQTTRANNNQHPNCDTFRCGGVDILFPFGLKQSNQDPRCSYFPVPSFQLSCINRTQTVIDIPGFGNLVVKNIDYDSQSIQVNDPNGCLPKRFLHNWNLSGSPFILNPRIYGSSPFNLTFMRCPSNVTGSSQFPPLMPISCLSDNNRNYSVIASWSQPIVSSTMMPELCQVMSHSLVPLPVLDMPMWPFWPDLNTDIELVWTEPRCGDCALSGQVCGFSDKKNQSLRVGCFPGDSGKGLSRSAKYGLTIGVGIPGLLCLIGISCFVCGKFRRLSHRRRGTHLPMSISLQPVPFAAGLDGATIEKYPKTLIGESGRLLKPSDNTCSICLSEYEPKETLRSIPECDHYFHADCIDEWLRLNATCPLCRKSPETSTASFSSSSPASSSFSSPQ >Vigun01g144500.1.v1.2 pep primary_assembly:ASM411807v1:1:32646845:32651936:1 gene:Vigun01g144500.v1.2 transcript:Vigun01g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASPGLYRRELPSPAIEFASPLGKKLFGDAHEQGTMEAFFKLISYYQTQSEPAYCGLATLSVVLNALAIDPGRKWKGPWRWFDESMLDCCEPLAQIKLEGITFGKVACLARCNGAKVEAFRSDKSSVDEFRKRVISCSHSEDSHVIVSYHRTHLNQTGIGHFSPVGGYHAERDMVLILDVARFKYPPHWVPLTLLWEGMSTIDQATGLRRGYMVISRLNRAPSILYTLSCRHEGWSRVAKFLTEDVPQLLNSEDLTDVQEVLSVVFKPPLSELRGLITWVAEVRRQEDRNLTLSDEEKGRLALKADILEQIRTTSLFKHVTRWLDSESSCCKTYANLGDEDTLSVLATGVCCQGADLLTGCGRLGLSGGKSCSQIVVKHLNVDSENPGTLASGTVTTSDGSEEIEVLVPLCQMEPSRLCLYNEGHCKEMHPSDTDFLTILLLALPLHTWSGFKEEKLRVEVLSLLATEDLPPLLQEEVLLLRDQLHFLMQRCGDV >Vigun03g230900.1.v1.2 pep primary_assembly:ASM411807v1:3:38474258:38481118:-1 gene:Vigun03g230900.v1.2 transcript:Vigun03g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKPEAESQSHVSNGHSTTTPNGSGSEQQVPKEKRTTSSTGTTKKSVHWSPELVTESTFASSPNESHSNPYFSSSYSQQPAPNFMETMVTVRNVLGRWGRKVGEATRKAESLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFLNTFETGPEERLQNSFACYLSTSAGPVMGVLYISTAKIAYSSDNPISYKNNNQTEWSYYKVIIPLHELKAVHPSSNTTNPAEKYVQVISVDNHEFWFMGFLNYEGALECLQEALEAGRHIQSEA >Vigun07g265800.1.v1.2 pep primary_assembly:ASM411807v1:7:38135095:38140107:1 gene:Vigun07g265800.v1.2 transcript:Vigun07g265800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRIRTVVEAIHSSSFQAVLHLTGGASQTVGSLLSVPGASNTVLEVVVPYSKMSLIQLLGKIPSQFCSQQTAEDMALLAYNRALKLSTPGSPVVGVGFTGSLASSRPKLGEHRLYMSTRTADQLWMSRVTLTKGLRTREEEDGVSSHLLIKAIANACKIRAASISVLSESDVSDECVTHFNEDQQLEQLINGQICFKIYPFANEIPAERKIIMPGSFNPLHDGHLKLMEVATRICGDGYPCFEISAVNADKPPLSVSEIKDRVKQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGDYYKMLRILIGCKETGCTFLVGGRNVDGAFKVLDDIDVPEELKGMFVSIPAEQFRMDISSTELRNRSGM >Vigun07g265800.2.v1.2 pep primary_assembly:ASM411807v1:7:38135095:38140107:1 gene:Vigun07g265800.v1.2 transcript:Vigun07g265800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRIRTVVEAIHSSSFQAVLHLTGGASQTVGSLLSVPGASNTVLEVVVPYSKMSLIQLLGKIPSQFCSQQTAEDMALLAYNRALKLSTPGSPVVGVGFTGSLASSRPKLGEHRLYMSTRTADQLWMSRVTLTKGLRTREEEDGVSSHLLIKAIANACKIRAASISVLSESDVSDECVTHFNEDQQLEQLINGQICFKIYPFANEIPAERKIIMPGSFNPLHDGHLKLMEVATRICGDGYPCFEISAVNADKPPLSVSEIKDRVKQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGDYYKMLRILIGCKETGCTFLVGGRNVDGAFKLSSSAWIYPPLN >Vigun07g092100.9.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun07g092100.1.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWVSKLASDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun07g092100.7.v1.2 pep primary_assembly:ASM411807v1:7:14347682:14355170:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun07g092100.5.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNIYFIFQRHMPSL >Vigun07g092100.4.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWVSKLASDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun07g092100.2.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWVSKLASDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun07g092100.6.v1.2 pep primary_assembly:ASM411807v1:7:14347688:14355118:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNIYFIFQRHMPSL >Vigun07g092100.8.v1.2 pep primary_assembly:ASM411807v1:7:14347684:14355170:1 gene:Vigun07g092100.v1.2 transcript:Vigun07g092100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKKVVAAYRAMGSLGIDESKVKSALKKLLKVFDKNWELIEAENYRVLVDAIFEEDDTMVPEVKKKKVQSDDVHDTHHSLSSFSNNQEEETECEEAQMHNERAQPLKRLRLRGQDSQPLHPLTNISTSPPSKRPKLEDNALHQGSSGKKPQNKPESSDGNPRIEAPLLRPPDDIVDKGKQPASAQVLQRGRKLTSGRSSPSTPSKERTVEAGKLLMPNNIIPRTQALIIPKDEPIDEVPDYAMPIAVIPPEPSSARDSSFKNGVAGKHVSHDDTVASPARRKRVSDEDVLPTSNEEATCNVEIASSTLGEVKLSLSCSSALWGSNFHMPSQDELIEMMEEKCLRSYKIADPNFSVMKLLRDVCDCMLEFRNNSNNDPEEGSLVTSNVDVSKESQEPGTLSVERNKDLDIHSHVSNGSINVNPSAALASPRSFLPHADLNGLNDAVLVSMKDQTTNDFSQCNVKKELEDSMSPNSHSLVIVPQHQLTADDIRSLYDVNDLTKGEENVEISWLNDYTNDFPSSFNYIPRNLVFQDAYVNISLSRIGSEDCCSTCMGNCVLSTPCACANKTGGEFAYSSQGLLKEQFLEECITISRNPQNHFFYCKICPLERSKNDGGLEPCKGHLKRKFIKECWSKCGCGKQCGNRIIQRGITCKLQVFFTSEGKGWGLRTLEDLPKGAFVCEFVGEILSIKELHERNMKCTGKGKHTYPVLLDANWDSGYMKNKEALCLDSASFGNIARFINHRCFDANLVEIPVEVEDPGHYYYHFAFFTSRKIAALEELTWDYGIDFDDHDHPIKLFQCSCGSKFCRHMKRSNRSSRSASISG >Vigun03g164066.1.v1.2 pep primary_assembly:ASM411807v1:3:18535893:18536750:-1 gene:Vigun03g164066.v1.2 transcript:Vigun03g164066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYERWIVPLEEDILSFLISSFSLKSESSLECFVHTRVTTQNYHQYRHVEKQRWKLGWIWAKSEVIWSIRL >Vigun07g076400.1.v1.2 pep primary_assembly:ASM411807v1:7:10158923:10163528:-1 gene:Vigun07g076400.v1.2 transcript:Vigun07g076400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKRMEEGFSTPHNGDGDSIEQIVEQEQPSVKQKTKRVATLDAFRGLTIVVMVLVDDAGGAYPHIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKVRDAVKKIILRTLKLLFWGVLLQGGYSHAPDDLSYGVDMRFIRWCGILQRIALVYCVVALIETYTTKLRPSSLKPGQLSIFSAYQWQWFGGFVAFVIYMVTTLSLYVPDWSFVDYNSQEAKRYTIQCGMRGHLGPACNAVGYVDRQVWGVNHLYSQPVWTRSKECTLSSPAEGPFLKNAPSWCRAPFEPEGLLSSISAILSGVIGIHYGHVLIHFKGHSERLKQWLSLGFVLFTIGLILHFTHAIPINKQLYSLSYVCFTAGAAGIVFSVLYLLIDVWKLRTPFLLLEWIGMNAMLVFVMAAQGIFAAFVNGWYYKDPDNSLVNWIENHVFINVWHSERLGTLLYVIFAEITFWGVVAGIFHKLGIYWKV >Vigun01g050000.1.v1.2 pep primary_assembly:ASM411807v1:1:7820333:7824188:1 gene:Vigun01g050000.v1.2 transcript:Vigun01g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMMQWNKTNNSNNMFKVSILLTFTLLLFSLPAPVHGATLALVHRNHDRFTGGKMDRLEAVKGFVQRDNHRRLQINQRLGYDDDHDTRRRAAETDEAEMPLLTGRDLGIGEYFVEVKVGTPGQKLWLAADTGSEFTWFNCLSLKKTDIPHQRPKKKHPHHARSHHHKTTKNHTKAKKKAKNHKNDPCTGVYCPDKSRTFHSVTCASRKCKVDLSDLFSLTYCPNPSDPCLYDIGYADGSSAKGFFGTDTIILNGANGKQEKLNNLTVGCTKEMLDGINFNEETGGILGLGFVKDSFIDKACSKYGSIFSYCLVDHLSHRDVPSYLTFGGHHRAKLQAEMKKTELILFPPFYGVNVVGMSVGNQMLNITPEVWNFNTQGGTIVDSGTTLTALLTPAYEAVSEALTKPLSSVKKIPAEDFGALDFCFEGDGFDEKIVPRLAFHFAGGVKFEPPVKSYIIDVAPQVKCLGIVPIMGAAGVNVIGNIMQQDHLWQYDFAKRTVGFAPSLCS >Vigun08g009300.1.v1.2 pep primary_assembly:ASM411807v1:8:824849:828462:1 gene:Vigun08g009300.v1.2 transcript:Vigun08g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMAEFFTMDHQSHQIFGDDKVKMVINTLPPNGGSGTFSYSKNSYFQKRSSSVEESKIEEEIQKKLDVKKLASASNMIIRVADFGCATGPNTFVNAHKVLEAMKHKHKSQCPNTETNPEFHVFFNDLPSNDFNTLFTSLPQDRNFFACGVPGSFYNRLFPQSSIHFAYSTYALHFLSKSPEVVQELNDPAWNKGRIHYTSASEEVVDAYATQFARDAGNFLDARAVELVPGGMLVIVMQGVPNGMPYSHIINGMLFDCMGSILMELSKEGLFDESEVDSFNFPYYAPSPEEMRKVIEKNGRFSIERMELTDPAPWLKSMEQVIPEWILHVRAAMEPIFITQFGNQATHQMFQRLTKQLLDKRHLLQTKYRDKIQLFLVLKKN >Vigun09g129900.1.v1.2 pep primary_assembly:ASM411807v1:9:28719460:28732510:1 gene:Vigun09g129900.v1.2 transcript:Vigun09g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLHLPELHFLSPQITHKRRISLSKLPSSAYSFSRHAASNVTLRAARIRASRDDSAVAERVDDVKWSGNGAPAANGKDRDVADGNGAVERFANGASNGSLVTYGYENGNGVATEVVVEVEASKRNEDGRKKRLEEIGKEDAWFKQTGSEQVEVAVAPGGRWSRFKTYSTIQRTLEIWGFVATFVFKAWLNSQKFSYKGGMTEEKQTLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETSVAIVEEELGSPIGDIFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFGSNFKNMDYVKVPTIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRKRIGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRLEREAATTELGFKKPLSKEEKIKKRKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFRKRWDRQSQAFYNLFRQADRIDKLAEIIQRLEQGDLKLRVRTLESERAFQRVAAVQKTIGNAVAAGSLINLATVLYLNSIRVPAIVAYIFCAIFGFQVLFGIVKVKKLDERERLITGTA >Vigun07g120400.1.v1.2 pep primary_assembly:ASM411807v1:7:22269005:22269823:1 gene:Vigun07g120400.v1.2 transcript:Vigun07g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANVLIQSGLHKVLKGRNCNMVEEKCEKLDLKAASAIRLCLDKNVIANMQRMSTAKELWRDLKAYYIRQRAQIDCC >Vigun05g183500.1.v1.2 pep primary_assembly:ASM411807v1:5:35369727:35373568:1 gene:Vigun05g183500.v1.2 transcript:Vigun05g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDANIVVMLVGNKSDLRHLVAVTTEDGKSYAEKESLYFMETSALEATNVENAFAEVLTQIYRIVSKKAVEGAENGTASVPAKGEKIDLKNDVSALKRVGCCSS >Vigun10g033450.1.v1.2 pep primary_assembly:ASM411807v1:10:4455443:4455724:-1 gene:Vigun10g033450.v1.2 transcript:Vigun10g033450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSVVSSKAFLEASFDWNWKLPRILQYISEEIPCCFLLGFFIEFAAKHHFLHLSHPSMSGV >Vigun04g118900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30401418:30404056:1 gene:Vigun04g118900.v1.2 transcript:Vigun04g118900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVDSPSPSHSFRSYSVSSAENEGRCHGVATCLTRKLSLPPSAVHSFLAPTSSSKVTPQPTGSSNNLMPGGTPRLVRSRAVTRDRVRNWNFDEIVMQS >Vigun04g118900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30401418:30404089:1 gene:Vigun04g118900.v1.2 transcript:Vigun04g118900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVDSPSPSHSFRSYSVSSAENEGRCHGVATCLTRKLSLPPSAVHSFLAPTSSSKVTPQPTGSSNNLMPGGTPRLVRSRAVTRDRVRNWNFDEIVMQS >Vigun04g118900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30401416:30404100:1 gene:Vigun04g118900.v1.2 transcript:Vigun04g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVDSPSPSHSFRSYSVSSAENEGRCHGVATCLTRKLSLPPSAVHSFLAPTSSSKVTPQPTGSSNNLMPGGTPRLVRSRAVTRDRVRNWNFDEIVMQS >Vigun07g008750.1.v1.2 pep primary_assembly:ASM411807v1:7:754110:754532:-1 gene:Vigun07g008750.v1.2 transcript:Vigun07g008750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQREEHEKLKETKVRYRGVRRRLWGKYAAKIRDPSKQGSMLWSGTFESAEEATRAYDHAAFTMRGRVAILNFPNMYRSHVRVYPQNLSSPSSLSSSSSFVFEFEYLDDKVLEDLLEFEEKKNNRQG >Vigun11g151100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36157430:36159170:-1 gene:Vigun11g151100.v1.2 transcript:Vigun11g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASCSHQLLRTHQLHHIHIIMVFELASLNCLFIPVSRRSSNHHIIKLTIFLKSLSTSINMTMPLKAMLLVVALTFLAISSSTSTRILDEVEEAPQQPNTAQSPVSSTLPPLLPATSVAAPINGVDQHHTLSFFMHDILGGSNPSARAVTGVVTNPALNAQVAFAKPNGANLPLNGGLPQNNNNGGILNNNNLPFLTGLGGTTANVFNNNGNNFGNGGIGFPVTNTNQLPEGMTLQKIMFGTMIVFDDELTEGHELGSGLVGKAQGFYIASSVDGTSQTMAFTAKFEESGYVDSLSFFGVHRTQVSESHIAIIGGTGKYLNAEGYAIIKTFPVSAQQHNTDGVETLLQLTAYLAY >Vigun01g215550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38928831:38929638:1 gene:Vigun01g215550.v1.2 transcript:Vigun01g215550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCMYIYMYFCMDVCMYEYIHVCMYEWMNVCLHACMYAWIYVCMDVCMHVYIHGWMYVCMYRCMYECMHICKYVYMYVCVGVCMHECMYGCMHVCKYV >Vigun04g062000.1.v1.2 pep primary_assembly:ASM411807v1:4:6447783:6449813:1 gene:Vigun04g062000.v1.2 transcript:Vigun04g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRVFLLVVVMLPLCYRVDAACSNGKCKLDDECSSNGDCEAGLYCFSCPLGYLGSRCVRSSITDQFKLINNSLPFNKYAFLTTHNAFAIDGEPSHTGVPRVTITNQEDSVTQQLKNGVRALMLDTYDFNGKVWLCHSFQGQCYDFTAFEPAIDTLKEIAAFLSANPTEIVTLILEDYVKTPKGLTKVFTDAGLMKFWFPVSRMPKKGGDWPLVSDMVAKNQRLLVFTSVRSKEQSEGIAYQWNYMVENQYGDGGRKAGSCPSRAESSALNDKSKSLVLVNYFRSAPLKAITCEDNSGGLIDMLQTCYVAAGNRWANYVAVDYYKRSEGGGSFKAVDTLNGKLLCGCNDVHACVPGSASQACSAKKA >Vigun06g050800.1.v1.2 pep primary_assembly:ASM411807v1:6:17636146:17637998:1 gene:Vigun06g050800.v1.2 transcript:Vigun06g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKSLKELIASITDKESLNRLCPKPFQPSMLDWLISQDAPHFYTKEELLQLEDYYQQISNCYESHIKRNDTRTSVPIRQREETSKGKVMGPNIKKQRKIILSSSSSSSSPPPSSSLPPSLPPPPLRMRVTMVSPTPSSPELPETLPINVKPNNNRLSIPISEIKCDFLTDEIMKLDEKEKRKIIGLEVTVLDPCMREYTLPMKKWTMKSDTYNLVKEWNKIVASNKFKVDEELQIWSFRVNRKLYFFLNKL >Vigun03g257400.3.v1.2 pep primary_assembly:ASM411807v1:3:42345433:42358061:1 gene:Vigun03g257400.v1.2 transcript:Vigun03g257400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTKRVFMKGTKWEFTLNPGKFNVKEHVLITIFASSGAASVYAIHFVSAVKVFYRKELTVLAALLVVITSQVLGFGWAGIFRRYLVEPATMWWPQNLVQVSLFRALHEKEERKKGGLTRNQFFLAAFLCSFAYYVFPGYLFPMLTSISWICWMFPSSVIAHQLGSGLHGLGVGAVGFDWSSICSYLGSPLASPWFATANVAAGFAIFVYVIVPFAYSTNLYNARRFPIFSDDLFMSSGQKYNISAIIDSNFHLDMEAYEREGPLYLSTMFAMSYGIGFASLSATLVHVTLFHGSEMLRLSKSAFQENKIDIHTKIMRKNYKQVPEWWFLCILLFNIVATVSVCEYFNNELQLPWWGVVLACVVAMSFTLPIGVIRATTNQAPALNIITEYIIGYVYPGYPVASMLFKVYGNVSMKQAIFFLQDFKLGHYMKIPPREMFLAQVLGTLISAVVHLLTAWWLMNTVPNICERELLPAGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGHYSAINWFFLAGAIAPFLVWVAHKALPQKQWIRLITVPVLLGALADMPPATAVNYSSWVLVGFVSGFVVYRYHRGWWSRHNYVLSGALDAGLAFMGVLLYLCLGMERISINWWGSDSDRCPLASCPTAPGVESKGCPIY >Vigun03g257400.1.v1.2 pep primary_assembly:ASM411807v1:3:42345024:42358061:1 gene:Vigun03g257400.v1.2 transcript:Vigun03g257400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPEINAPLIKRPHQNNDAISEAENSPAVENSPVEQVALTVAVTDDPSLPSFTFRTWILGTLACVLLSFLNQFFGFRREPLSVTAISAQIAVVPAGHLMAAAVTKRVFMKGTKWEFTLNPGKFNVKEHVLITIFASSGAASVYAIHFVSAVKVFYRKELTVLAALLVVITSQVLGFGWAGIFRRYLVEPATMWWPQNLVQVSLFRALHEKEERKKGGLTRNQFFLAAFLCSFAYYVFPGYLFPMLTSISWICWMFPSSVIAHQLGSGLHGLGVGAVGFDWSSICSYLGSPLASPWFATANVAAGFAIFVYVIVPFAYSTNLYNARRFPIFSDDLFMSSGQKYNISAIIDSNFHLDMEAYEREGPLYLSTMFAMSYGIGFASLSATLVHVTLFHGSEMLRLSKSAFQENKIDIHTKIMRKNYKQVPEWWFLCILLFNIVATVSVCEYFNNELQLPWWGVVLACVVAMSFTLPIGVIRATTNQAPALNIITEYIIGYVYPGYPVASMLFKVYGNVSMKQAIFFLQDFKLGHYMKIPPREMFLAQVLGTLISAVVHLLTAWWLMNTVPNICERELLPAGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGHYSAINWFFLAGAIAPFLVWVAHKALPQKQWIRLITVPVLLGALADMPPATAVNYSSWVLVGFVSGFVVYRYHRGWWSRHNYVLSGALDAGLAFMGVLLYLCLGMERISINWWGSDSDRCPLASCPTAPGVESKGCPIY >Vigun03g257400.2.v1.2 pep primary_assembly:ASM411807v1:3:42345251:42358061:1 gene:Vigun03g257400.v1.2 transcript:Vigun03g257400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPEINAPLIKRPHQNNDAISEAENSPAVENSPVEQVALTVAVTDDPSLPSFTFRTWILGTLACVLLSFLNQFFGFRREPLSVTAISAQIAVVPAGHLMAAAVTKRVFMKGTKWEFTLNPGKFNVKEHVLITIFASSGAASVYAIHFVSAVKVFYRKELTVLAALLVVITSQVLGFGWAGIFRRYLVEPATMWWPQNLVQVSLFRALHEKEERKKGGLTRNQFFLAAFLCSFAYYVFPGYLFPMLTSISWICWMFPSSVIAHQLGSGLHGLGVGAVGFDWSSICSYLGSPLASPWFATANVAAGFAIFVYVIVPFAYSTNLYNARRFPIFSDDLFMSSGQKYNISAIIDSNFHLDMEAYEREGPLYLSTMFAMSYGIGFASLSATLVHVTLFHGSEMLRLSKSAFQENKIDIHTKIMRKNYKQVPEWWFLCILLFNIVATVSVCEYFNNELQLPWWGVVLACVVAMSFTLPIGVIRATTNQAPALNIITEYIIGYVYPGYPVASMLFKVYGNVSMKQAIFFLQDFKLGHYMKIPPREMFLAQVLGTLISAVVHLLTAWWLMNTVPNICERELLPAGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGHYSAINWFFLAGAIAPFLVWVAHKALPQKQWIRLITVPVLLGALADMPPATAVNYSSWVLVGFVSGFVVYRYHRGWWSRHNYVLSGALDAGLAFMGVLLYLCLGMERISINWWGSDSDRCPLASCPTAPGVESKGCPIY >Vigun08g171700.1.v1.2 pep primary_assembly:ASM411807v1:8:34258812:34263319:1 gene:Vigun08g171700.v1.2 transcript:Vigun08g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAELQHTHHHQDTPMCRQIQLTITDHTLSHTTGDGASDKLDGEDLFVPPLNFSMVDNGIFRSGFPEPANFSFLQTLGLRSIIYLCPEPYPEANMEFLKSNGIKLYHFGIEGHKEPFVNIPEDTIREALKVVLDVRNHPVIIHCKRGKHRTGCLVGCYRKLQKWCLSSVFDEYQRFAAAKARVSDQRFVELFDISSMKHSPIPFSCLKR >Vigun07g129600.1.v1.2 pep primary_assembly:ASM411807v1:7:23855522:23859896:1 gene:Vigun07g129600.v1.2 transcript:Vigun07g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDELQKSAESGGPYVVKNPAGNDGNYHASETAKQGTQPVKARPIEVPNIPADELKEVTDNFGQDALIGEGSYGRVYYGVLKSGQAAAIKNLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDTRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLATRPGPAGETPN >Vigun11g106500.2.v1.2 pep primary_assembly:ASM411807v1:11:30499311:30500361:1 gene:Vigun11g106500.v1.2 transcript:Vigun11g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKHVVPSWDSLRIRSSEFHPDTRRVRREGSYIYEEFMPTGETNVKSCYLK >Vigun11g106500.3.v1.2 pep primary_assembly:ASM411807v1:11:30498223:30500361:1 gene:Vigun11g106500.v1.2 transcript:Vigun11g106500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEKLRSSEFHPDTRRVRREGSYIYEEFMPTGETNVKSCYLK >Vigun08g114000.15.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.3.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.14.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.13.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.12.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.16.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.6.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.10.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.5.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.8.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.2.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.7.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.9.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTNFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun08g114000.4.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.1.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQGMWKCHHCTWTKRFDSPWTVPNWNLKGYPDLMMSVKPMIQHAPCFVYEIKDDEVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPLNIIALGFANIIGGLVILGHNLVDLKNDHSGEDQTQTVVQDRYREFLGRRENFLLHAVVAVLSFLIFGAVPLVVYGLLINKSYDTELKLAVVAATSVVCIILLAIGKVYTSRPPKAYMKTVLYYVAMALSTSGVTYIAGNLIKDLLEKYNHPESGFAITMMPISGTNTGSTWMSQ >Vigun08g114000.11.v1.2 pep primary_assembly:ASM411807v1:8:28073377:28081068:-1 gene:Vigun08g114000.v1.2 transcript:Vigun08g114000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPHQWVVHPHEEEEEEGMMEDGALIRKKIVTEEVTSFSSTTSSSSSSSNDSEHDSGTCSVVTAPDGSPVQKDEEVSEIGNGGENFNHVNGDKSDYEAVGLAQVAEFAGEPTNVEAISGFNMSQNMNSVYFDKQQVNGLNGVLNGVANGSVHPTNLGEREVNVQADLSAIQNSLCSENTSQCIKTVDNKLPSIPELSHKHNSSDIQATPVADFHEETSAKEAPNLIKEEIDQPLEEFDVEAVLEKQETHDLFCPNCHSCITKRVILKKRKRNPGKTDIEAKRDKLEKIHNLEHDKLETIGSSGLLDSPEHPNANPGDNANVRSEPDIVSQEPPAEDNQPREEPEVFRCLSCFSFFIPSGKCFDAIRIFGGASKKEGAQNPPSVPASNLQFPSNPQGSSANWFKSLINKGKKASDAPLEYSGTGSAQQHNSTSITSDELTSRGIGHSEDPPADTSVVTDVKPTPDINHAHGGMDSLISSTNDLSSIQSGTKSAGDLVNGMQKVVRDTSDFSYVKPLLDGNLSSEGEKKGYDSLPLAASTPKEAVLKPYEGKTEILTSTTVGFQFLEGTPKDVDKTPEIIGNGYSSVVHGAQSPIQSSGSAIHANDVASNKQNFKTDAIFPSKLDFTPIDKEIYPPTRKENEGGDVIVDIGKGTFVSAAPHTADDIPAESTTITETQIETVQPRDEVGEPQGWEILKSIVYGGLVESITSLGIVSSAVSSGVTPSC >Vigun11g113000.1.v1.2 pep primary_assembly:ASM411807v1:11:31568634:31571835:1 gene:Vigun11g113000.v1.2 transcript:Vigun11g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYVCFSNPLLLPVKSKFQSQISISHSHRHLFLLFHPHTLTTYISLSIAMPEAPSHYLCNNNNEALKYIEDVTSNADEIQKRVLAEILSSSAHAEYLQRHGLDGRTDRHTFKNIMPVVTYEDLKPDIDRIANGDTSQILCSKPISEFLTSSGTSGGERKLMPTIEDELERRSLLYSLLMPVMDQFVPGLEKGKGMYFLFVKSEAKTPGGLLARPVLTSYYKSSHFKNSKQRYDPYTNYTSPIESILCLDSYQSMYSQMLCGLSQNEQVLRVGAVFASGFIRAIKFLEKHWVSLCRDIRNGTVDPEISDSSVREAIMKILKPNPKLADFIEGECKKESWKGIITRVWPNTKYVDVIVTGTMSQYIPILDYYSNGLPLVCTMYASSECYFGLNLNPLCEPNEVSYTLIPTMAYFEFLPLEKTKGQTNISISQPDQERLVDLVDVELGQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAVKNGAKRLADFGASLTEYTSCADTSTIPGHYVLYWEINTNDETPIPGSVFEECCFAVEGCLNSVYRQGRVSESIGALEIKIVENGTFDKLMDFALSQGASINQYKTPRCVKYAPIVELLDSKTVSSYFSRKCPQWVPGHKKWCMFP >Vigun03g111600.1.v1.2 pep primary_assembly:ASM411807v1:3:10107661:10112363:-1 gene:Vigun03g111600.v1.2 transcript:Vigun03g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLAVVFVFDLIAFALAVAAEQRRNTASLSEDSAGRKYCEYDSDIATGLGVGSLFILVASQVIIMVVTRCLCCGKAMRPTGSRSWAICLFITSWVTFIIAASCLLAGSVRNAYHTKYRDLMGERAPSCQTLRKGVFAAGAAFIVMTGITSELYYVSFSKANTNGPPPYARDAGVRMGNL >Vigun06g178500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29860351:29860596:-1 gene:Vigun06g178500.v1.2 transcript:Vigun06g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAVIAVVGVILGWITIEIACKPCLEKGREAIDRSLNPDYDPDDDEDNAIRAPLNPPAVSNSSSSAAIASSAAVKAV >Vigun07g233000.2.v1.2 pep primary_assembly:ASM411807v1:7:35478809:35483567:-1 gene:Vigun07g233000.v1.2 transcript:Vigun07g233000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSLSVTDTTLQENKKLSAKKAKNQSPIIAEKKSASKLLSDPNTPDPDQDGVSGKLQKKAKKRKASDIEAMAATNDADEDTGSELVEPESSREDDHKKKKKKKKAKSEEQPLVMEAEEKEEKKEDPNAVSKFRISEPLRLKLKEKGIESLFPIQAMTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLINGPAKSSRKTGYGRTPSVLVLLPTRELACQVHADFEVYGGAMGLSSCCLYGGAPYNTQEIKLRRGVDIVIGTPGRVKDHIERGNIDLSQLKFRVLDEADEMLRMGFVEDVELILGKVENVNKVQTLLFSATLPDWVKHIAAQFLKPDKKTADLVGNTKMKASTNVRHIVLPCSASARSQLIPDIIRCYSSGGRTIIFTETKESASQLAGLLPGARALHGDIQQAQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEFPREVESYIHRSGRTGRAGNTGVAVTLYDPKRSNISKIERESGVKFEHISAPRPDDIAKAVGGEAAEMITQVSDSVIPAFKETAEELLKSSGLTVVELLAKALAKAVGYTEIKQRSLLTSMENYVTLLLEIGKPIFTPSFAYGILRRFLPEEKVEAVKGLSLTADGNGAVFDVPAEDLDTYLSGQENAANVSLEVVVETGVERDGEFSLCTVW >Vigun07g233000.3.v1.2 pep primary_assembly:ASM411807v1:7:35478729:35483581:-1 gene:Vigun07g233000.v1.2 transcript:Vigun07g233000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSLSVTDTTLQENKKLSAKKAKNQSPIIAEKKSASKLLSDPNTPDPDQDGVSGKLQKKAKKRKASDIEAMAATNDADEDTGSELVEPESSREDDHKKKKKKKKAKSEEQPLVMEAEEKEEKKEDPNAVSKFRISEPLRLKLKEKGIESLFPIQAMTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLINGPAKSSRKTGYGRTPSVLVLLPTRELACQVHADFEVYGGAMGLSSCCLYGGAPYNTQEIKLRRGVDIVIGTPGRVKDHIERGNIDLSQLKFRVLDEADEMLRMGFVEDVELILGKVENVNKVQTLLFSATLPDWVKHIAAQFLKPDKKTADLVGNTKMKASTNVRHIVLPCSASARSQLIPDIIRCYSSGGRTIIFTETKESASQLAGLLPGARALHGDIQQAQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEFPREVESYIHRSGRTGRAGNTGVAVTLYDPKRSNISKIERESGVKFEHISAPRPDDIAKAVGGEAAEMITQVSDSVIPAFKETAEELLKSSGLTVVELLAKALAKAVGYTEIKQRSLLTSMENYVTLLLEIGKPIFTPSFAYGILRRFLPEEKVEAVKGLSLTADGNGAVFDVPAEDLDTYLSGQENAANVSLEVLKALPRLQQRDQSRGGRFGDGGRGGGNRFGRGGGGRNGRFSNDRFSNGGGRGGRGNWGGKRW >Vigun07g233000.4.v1.2 pep primary_assembly:ASM411807v1:7:35478776:35483567:-1 gene:Vigun07g233000.v1.2 transcript:Vigun07g233000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSLSVTDTTLQENKKLSAKKAKNQSPIIAEKKSASKLLSDPNTPDPDQDGVSGKLQKKAKKRKASDIEAMAATNDADEDTGSELVEPESSREDDHKKKKKKKKAKSEEQPLVMEAEEKEEKKEDPNAVSKFRISEPLRLKLKEKGIESLFPIQAMTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLINGPAKSSRKTGYGRTPSVLVLLPTRELACQVHADFEVYGGAMGLSSCCLYGGAPYNTQEIKLRRGVDIVIGTPGRVKDHIERGNIDLSQLKFRVLDEADEMLRMGFVEDVELILGKVENVNKVQTLLFSATLPDWVKHIAAQFLKPDKKTADLVGNTKMKASTNVRHIVLPCSASARSQLIPDIIRCYSSGGRTIIFTETKESASQLAGLLPGARALHGDIQQAQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEFPREVESYIHRSGRTGRAGNTGVAVTLYDPKRSNISKIERESGVKFEHISAPRPDDIAKAVGGEAAEMITQVSDSVIPAFKETAEELLKSSGLTVVELLAKALAKAVGYTEIKQRSLLTSMENYVTLLLEIGKPIFTPSFAYGILRRFLPEEKVEAVKGLSLTADGNGAVFDVPAEDLDTYLSGKVWRKCWMLIST >Vigun07g233000.1.v1.2 pep primary_assembly:ASM411807v1:7:35478334:35483567:-1 gene:Vigun07g233000.v1.2 transcript:Vigun07g233000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSLSVTDTTLQENKKLSAKKAKNQSPIIAEKKSASKLLSDPNTPDPDQDGVSGKLQKKAKKRKASDIEAMAATNDADEDTGSELVEPESSREDDHKKKKKKKKAKSEEQPLVMEAEEKEEKKEDPNAVSKFRISEPLRLKLKEKGIESLFPIQAMTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLINGPAKSSRKTGYGRTPSVLVLLPTRELACQVHADFEVYGGAMGLSSCCLYGGAPYNTQEIKLRRGVDIVIGTPGRVKDHIERGNIDLSQLKFRVLDEADEMLRMGFVEDVELILGKVENVNKVQTLLFSATLPDWVKHIAAQFLKPDKKTADLVGNTKMKASTNVRHIVLPCSASARSQLIPDIIRCYSSGGRTIIFTETKESASQLAGLLPGARALHGDIQQAQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEFPREVESYIHRSGRTGRAGNTGVAVTLYDPKRSNISKIERESGVKFEHISAPRPDDIAKAVGGEAAEMITQVSDSVIPAFKETAEELLKSSGLTVVELLAKALAKAVGYTEIKQRSLLTSMENYVTLLLEIGKPIFTPSFAYGILRRFLPEEKVEAVKGLSLTADGNGAVFDVPAEDLDTYLSGQENAANVSLEVLKALPRLQQRDQSRGGRFGDGGRGGGNRFGRGGGGRNGRFSNDRFSNGGGRGGRGNWGGKRCS >Vigun02g098200.1.v1.2 pep primary_assembly:ASM411807v1:2:25355405:25358811:1 gene:Vigun02g098200.v1.2 transcript:Vigun02g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTVYSTQSLSTNCSISTPSKTQLSFHQKQVVFYTTSRRNSKRGSNSGRSYVITCAASDQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKDGIAVQKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDSRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFEAYIDPQKQYADAVIEVLPTQLIPDDNEGKILRVRLIQKEGVNFFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFSYGPDTYYGNEVSVVEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIVATRAETAVAAKA >Vigun05g290400.1.v1.2 pep primary_assembly:ASM411807v1:5:47679561:47683692:1 gene:Vigun05g290400.v1.2 transcript:Vigun05g290400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMSEEVWLSCLTHALSTETEEIMGLLLGDIQHSKNGNVTALIWGASPQTRSDRQKDRVETNPELLAAASAHADRMTVATGTTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDTGFIGLIFSCYSEDANKVGRIQVIAFQSTDGKQNHTSRPIPLSPVNRSSIIDIDSSPSSSENLSLRPGYFKAESPVQDTGDSTSVGASKDGGRSDLGNFFANADANYHPNNSDINLVDVDPMDMSDSMQEAMHRSNLDMRGAQNVRKEVPLHVVPALSILNLDSPLSSYADLQNVLFEEERSAYNQAISQNMRDGKVHPLTFIHHTSTYQASLCKLIEYCLSPAISTLQDRLRDNQIRLSVLSEEAKSLEAESYNRGSEASTGSPRQVASPTHRGGSSPGLRNLHGSPESSGSRKVASPGSRSRKGY >Vigun04g177300.5.v1.2 pep primary_assembly:ASM411807v1:4:40041898:40045433:1 gene:Vigun04g177300.v1.2 transcript:Vigun04g177300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSPAFRYDVFLSFRGEDTRYGFTGNLYKALRDRGIHTFIDDEHLQRGDEITPALLKAIEESRIAIVVLSHNYASSSFCLDELAAILDCKNKGLLIIPVFYKVHPSDLRHQKGSYGEALTKHQRRFKIRRRSCKNGRWLEEGDGYEYKFIGSVVDQVCHKINPARLHADYTVGLGPQVLRLRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIAGCFDGSCFLGNVREKSNKDGPEHLQSILLSKILGEKDIKLASKHEGISMIQRRLQRKKVLLILDDVDKCEQLQALAGSPDWFGPGSRVVITTRDTQPLASHHVKETYEVMTLNKDDASRLLTWKAFQTEQVDASYVEVLNHAVTYASGLPLALEVIGSNLAKKSVEKWKSAINQYKRIPNNQIIEILKVSFEGLEREEKSVFLDIACCFKGYTLGEVEDILGAIYDDCMKYHISVLVDKSLIKIGRWSTVEIHDLIEEMGRQIDRQESPEGSGKRRRISLPKVIIQDLKENTETSMIEILCLDISIYKEVETLDWNGNAFGNMKNLKALIIRNCKISGGPNCLPESLRVLEWHGYPSNCFPSNFDPNKLLICKLPDGDFTSFEFPGSSKKFENLTELNFDYCDLLEQIPDVSHLPNLEKLSFKECASLISVDDSVGFLTKLKILIAEDCVELKRFPPLNLPSLEILELSYCCSLENFPEILGKTGKIKKLSLDGLPIKELPVSFQNLTGLHELYVHCDFLQLNSSVLTPAFTDFRVFECKEWKWINSKDGEEVVRFYRGVSPSPTHKTLTPLSTQNLKAMGLWVFILI >Vigun06g057300.1.v1.2 pep primary_assembly:ASM411807v1:6:18401109:18405093:1 gene:Vigun06g057300.v1.2 transcript:Vigun06g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTTLLIRTLVFLFILNSLSLFYYFTHHHSPKPSSSSSSLKVNNYLTPISHQTHFSKPWPILPSYLPWPQSATTAPLRSCEAYFGNGFTHRRDVLRARDGAGWFRCWYSETLRSSVCEGGRLRMLPERIAMASGGESLTAVIGRREEEELPAFQEGAFEVDGGGFVDRKFLVDREFLDRFVPRGEVTRHTMRDLIGKIRIVGGKDFECDEWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNLPHLIFVDGHCMAPLEETWKALFSSLRYAKNFSGSVCFRHAILSPLGYETALFKGLTEDIECSGVPAKELWQKPDDHKTARLSEFGEMIRAAFGLPLNIRRDGKPLFGHNVLFVRREDYLAHPRHGGKVESRLSNEQEVFESLKSWVSSYKGCKINLVNGLFAHMSMKDQVRAIQDASVIIGAHGAGLTHIVSALPKTVILEIISSQFRRPHFAYIAGWKGLEYHAINLAGSHADPGTVIKELTDIMKKSFGC >Vigun05g186300.1.v1.2 pep primary_assembly:ASM411807v1:5:35971461:35983211:-1 gene:Vigun05g186300.v1.2 transcript:Vigun05g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNDVEDLFDDNFEGSNEERQIFSEVFSGNDIFQSSQKCVVPGVINFEHESAKNTFKSFCSSNENSVALHPSSSRLTHPEEGNFVVIEHSKEAAPGCLPESFICEEQNDEDVNVKRMKFSLHELACSRSDSEKNLSSSRLSKVAVSNLSSAATGCDREPIAFRLVESSKHGVISSCYLLNHNNLNKQAVKDEVDATNFNTATADGHIAKEVSISKAAASPVSQESFASRLVVTSPSITVVKKSGSPLNPEEMPELTDVDISNSPSTLPEEDPRTILQFQIVQLLTMAGWTIEKRQRPSRRYPESVYRTPKGKPIREFTKAWRICGELLSVEKCNLMCRDYKEWTDISQFWSDLSSALINIDKTKMQSEDPAAMLAYRWWLLDPFVVVIFVDRKIGALKKGEVVKATWSLVSSKYKVSRAPIDSNSGNLNQVPGGSNVNSVRQAKIRNSRSLDKQSSENYQETDNIIDGHAPMDMSEENNTSSVSYGLVHSHDSRAIQQSECSEEEGGKILVDPASGKDNTYSVANLTLRKKMRRKCKRVSEIKMSMSYHGDMLGSTVTDQVQSLDGEECGLEEVQNYLVDNAGRKRSCRKLSSVSAIQRNIRKTNCSVTGTDRSNRCQIKDDDLLVSAIFRNKDFGPKAIRGNSRAKSCKSRGQRKLKSQKGRCRLLPRNPCKGGKYNKDSNRFYLGSRTILSWLIDNGVISLNDVIQYRNAKDNVVIKDGRITKDGIICICCGKVLTLSEFKLHAGFTLNRPCMNIFMESGEPFTLCLLQAWSAEYKARKSLNQAVQTEDNDKNDDSCGLCGEGGELICCDNCPSTFHAACLSTQEIPDGDWYCANCTCRICGNLVIDKDTSDLQDLLQCSLCEHKYHETCLKDRETREGTASDIWFCGQSCQEVYTGLQSQVGLVNQVADGISWTLLRCIHDDQKVHSAQWFALKAVCNTKLAVALTIMEECFVSMLDPRTGIHMIPQVLYNWGSEFARLNFQGFYTMVLEKQDVLVSVASIRVHGTTVAEMPLIATCSRFRRQGMCRLLVSAIEEMLTSFKVERLVVSAIPDLVETWTKGFGFKPVDDVERKKLNKFNMMIFPGTVFLEKPLHRKMKTEVETGLCDESTQATDESVKVCISSEGMNITDSLLPDVANITTNQVEAKSEHEPVDGKNQSDYEAGSDTLRKDDTQPVDAALEAKESTEISSSCFTEEIIQLTVSGGSEKSMEENNVSELRTCNKVEIESDSVKQSSENFCAIKDGAEPSIRILEDKNIKIGEGQENALQGHFSNLSCKTFLGSNFDTDSSIECSVMYDETAFFGTFAKSAS >Vigun05g186300.3.v1.2 pep primary_assembly:ASM411807v1:5:35971461:35983211:-1 gene:Vigun05g186300.v1.2 transcript:Vigun05g186300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNDVEDLFDDNFEGSNEERQIFSEVFSGNDIFQSSQKCVVPGVINFEHESAKNTFKSFCSSNENSVALHPSSSRLTHPEEGNFVVIEHSKEAAPGCLPESFICEEQNDEDVNVKRMKFSLHELACSRSDSEKNLSSSRLSKVAVSNLSSAATGCDREPIAFRLVESSKHGVISSCYLLNHNNLNKQAVKDEVDATNFNTATADGHIAKEVSISKAAASPVSQESFASRLVVTSPSITVVKKSGSPLNPEEMPELTDVDISNSPSTLPEEDPRTILQFQIVQLLTMAGWTIEKRQRPSRRYPESVYRTPKGKPIREFTKAWRICGELLSVEKCNLMCRDYKEWTDISQFWSDLSSALINIDKTKMQSEDPAAMLAYRWWLLDPFVVVIFVDRKIGALKKGEVVKATWSLVSSKYKVSRAPIDSNSGNLNQVPGGSNVNSVRQAKIRNSRSLDKQSSENYQETDNIIDGHAPMDMSEENNTSSVSYGLVHSHDSRAIQQSECSEEEGGKILVDPASGKDNTYSVANLTLRKKMRRKCKRVSEIKMSMSYHGDMLGSTVTDQVQSLDGEECGLEEVQNYLVDNAGRKRSCRKLSSVSAIQRNIRKTNCSVTGTDRSNRCQIKDDDLLVSAIFRNKDFGPKAIRGNSRAKSCKSRGQRKLKSQKGRCRLLPRNPCKGGKYNKDSNRFYLGSRTILSWLIDNGVISLNDVIQYRNAKDNVVIKDGRITKDGIICICCGKVLTLSEFKLHAGFTLNRPCMNIFMESGEPFTLCLLQAWSAEYKARKSLNQAVQTEDNDKNDDSCGLCGEGGELICCDNCPSTFHAACLSTQEIPDGDWYCANCTCRICGNLVIDKDTSDLQDLLQCSLCEHKYHETCLKDRETREGTASDIWFCGQSCQEVYTGLQSQVGLVNQVADGISWTLLRCIHDDQKVHSAQWFALKAVCNTKLAVALTIMEECFVSMLDPRTGIHMIPQVLYNWGSEFARLNFQGFYTMVLEKQDVLVSVASIRVHGTTVAEMPLIATCSRFRRQGMCRLLVSAIEEMLTSFKVERLVVSAIPDLVETWTKGFGFKPVDDVERKKLNKFNMMIFPGTVFLEKPLHRKMKTEVETGLCDESTQATDESVKVCISSEGMNITDSLLPDVANITTNQVEAKSEHEPVDGKNQSDYEAGSDTLRKDDTQPVDAALEAKESTEISSSCFTEEIIQLTVSGGSEKSMEENNVSELRTCNKVEIESDSVKQSSENFCAIKDGAEPSIRILEDKNIKIGEGQENALQGHFSNLSCKTFLGSNFDTDSSIECSVMYDETAFFGTFAKSAS >Vigun08g086700.1.v1.2 pep primary_assembly:ASM411807v1:8:19397651:19401555:-1 gene:Vigun08g086700.v1.2 transcript:Vigun08g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGVPVKVLSTSCKLSQIHIPKSSFISNCLPPFPSFTTKPSLLVQPNQPLSALRYRTLAPCNCNKSDIISQLELGKPEPKRKPEKRVNGIFWIILLNIGVFIADHFFHVNWAKDLYLYHTWPAWYQFVTATFCHANWKHLSSNLFFLYIFGKLVEEEEGNLALWLSYILTGVGANLVSWLVLPRNTVSVGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTSLSGTFRGGYTLQNVNHIAHLSGALVGVLLVWLLSKVPSAPSDQ >Vigun08g086700.2.v1.2 pep primary_assembly:ASM411807v1:8:19397651:19401555:-1 gene:Vigun08g086700.v1.2 transcript:Vigun08g086700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGVPVKVLSTSCKLSQIHIPKSSFISNCLPPFPSFTTKPSLLVQPNQPLSALRYRTLAPCNCNKSDIISQLELGKPEPKRKPEKRVNGIFWIILLNIGVFIADHFFHVNWAKDLYLYHTWPAWYQFVTATFCHANWKHLSSNLFFLYIFGKLVEEEEGNLALWLSYILTGVGANLVSWLVLPRNTVSVGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTSLSGTFRGGYTLQNAKLRAYLS >Vigun06g043700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16744806:16746137:-1 gene:Vigun06g043700.v1.2 transcript:Vigun06g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIVRSYNVTPNEPTPSDPLWLSDSDQIGSLGHVPNIFIYKAKHSNNPIEKMMNSLSKILVHYYPVAGRLSLTEDDRMEVNCNAKGVTLLEAESTKSFGDYGDFSPSESTEELVPKVDYTQPIEEIPLLLLQVTRFHGGEGLALGVLISHPITDATGIIRFVNNWAKVARGEELLPHEIPFLDRTGLKFPHQNSSPRVKLPEWKPVPQIEQRKRSALLLKLTSSQVERLKKKANEKPSKERVRPYTRFEAVAAHIWRCAAKARESSENHPTFVRFSVDFRSRLNPPLPQNYFGNALAKGVTPKCYEGDIISNPLGFSAEKIREAAHAVTDEFIRSQLKASLGKGQKDHIRAFFKGQGHLMNVPYAGNHNILLTSLTRMPVYEADFGCGKPVHFGIARSFQEDRAVIVRSPDGDGVVVTIIFQTPLMQLFRKFFYEDLFVSSL >Vigun09g089950.1.v1.2 pep primary_assembly:ASM411807v1:9:12503151:12503630:1 gene:Vigun09g089950.v1.2 transcript:Vigun09g089950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDLKHFTLFWKLKDSIVWIWGTNTQFQVSKQNSILDIIDTYIILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun08g021800.2.v1.2 pep primary_assembly:ASM411807v1:8:1908611:1911379:1 gene:Vigun08g021800.v1.2 transcript:Vigun08g021800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQTPSKVDDGALITVLSIDGGGIRGIIPGILLAFLESELQKLDGADARLADYFDVIAGTSTGGLVTAMLTAPNENNRPLYAAKDIKDFYLEHTPKIFPQSSSWNLIATAMKKGRSLMGPQYDGKYLHKLVREKLGNTKLEHTLTNVVIPAFDIKNLQPAIFSSFQVKKRPYLNAALSDICISTSAAPTYLPAHCFETKTSTASFKFDLVDGGVAANNPALVAMAEVSNEIRNEGSCASLKVKPLQYKKFLVISLGTGSQQHEMRYSADKASTWGLVGWLSSSGGTPLIDVFSHASSDMVDFHISSVFQARHAEQNYLRIQDDTLTGDLGSVDVATEKNLNGLVQVAEALLKKPVSKINLRTGIHEPVESNETNAEALKRFAARLSNQRRFRKSQTFA >Vigun08g021800.1.v1.2 pep primary_assembly:ASM411807v1:8:1908817:1911378:1 gene:Vigun08g021800.v1.2 transcript:Vigun08g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQTPSKVDDGALITVLSIDGGGIRGIIPGILLAFLESELQKLDGADARLADYFDVIAGTSTGGLVTAMLTAPNENNRPLYAAKDIKDFYLEHTPKIFPQSSSWNLIATAMKKGRSLMGPQYDGKYLHKLVREKLGNTKLEHTLTNVVIPAFDIKNLQPAIFSSFQVKKRPYLNAALSDICISTSAAPTYLPAHCFETKTSTASFKFDLVDGGVAANNPALVAMAEVSNEIRNEGSCASLKVKPLQYKKFLVISLGTGSQQHEMRYSADKASTWGLVGWLSSSGGTPLIDVFSHASSDMVDFHISSVFQARHAEQNYLRIQDDTLTGDLGSVDVATEKNLNGLVQVAEALLKKPVSKINLRTGIHEPVESNETNAEALKRFAARLSNQRRFRKSQTFA >Vigun09g253100.2.v1.2 pep primary_assembly:ASM411807v1:9:42010388:42012291:-1 gene:Vigun09g253100.v1.2 transcript:Vigun09g253100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKDGVMLVRKAEIDTRAPFRSVKEAVSLFGEKVLAGEVYATANKLKKMESGRSENGVEYSRIENVEAELEETRENLQRAKEESMVMAHCLSSLQEELERTKEELQQLKQRETEKHPVESEIEDVKFVENLTTFGVKSSRFDEEKMEFQKKRYVTFANPPSVSHMMLPQQGVEKLERHPSLRKKKKSLIPLIGAIFSRKKGSQEVP >Vigun09g253100.1.v1.2 pep primary_assembly:ASM411807v1:9:42010334:42012291:-1 gene:Vigun09g253100.v1.2 transcript:Vigun09g253100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKDGVMLVRKAEIDTRAPFRSVKEAVSLFGEKVLAGEVYATANKLKKMESGRSENGVEYSRIENVEAELEETRENLQRAKEESMVMAHCLSSLQEELERTKEELQQLKQRETEKHPVESEIEDVKFVENLTTFGVKSSRFDEEKMEFQKKRYVTFANPPSVSHMMLPQQGVEKLERHPSLRKKKKSLIPLIGAIFSRKKGSQEVP >Vigun08g138000.1.v1.2 pep primary_assembly:ASM411807v1:8:31029206:31031681:-1 gene:Vigun08g138000.v1.2 transcript:Vigun08g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRYWCAGGGGSILRQRVSFVFNGSHGCGGKVQAFDPLRGFEGECGGRRGGVGFVLRQMKRGVCSTTSVSHPASDGANGNGNSNNNNNNNDKDKDNNRSNDDNGNGTKGSMSFREAKKLMRLANVESLKEKLEREEKDMIPYCELLQECEKMGVARNAEEAAAFAQCLDDAGVILLFRDKVYLHPDKVVDMVRRAVPLALADDGDPVMEELKKMQEKKREIDSLAHKQVRRILWSGLGCGVFTVGLFFRLTFWEFSWDVMEPITYFTTTTGLVVGYAYFLFTSRDPTYQDFMKRLLNSRQKILNKTFNFDVDRFNELQCMCHKPLNAKAIFRNRLGVEQDLEDVLRKD >Vigun09g177900.1.v1.2 pep primary_assembly:ASM411807v1:9:35025800:35036278:1 gene:Vigun09g177900.v1.2 transcript:Vigun09g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRDRRAEALGDLRVLPDEILCAILERFTPRDVARVACVSSVMYTLCNEEPLWMSLCLKEATGLLQYKGSWKKTVLHNLNLADKYKEYHRGPLYFDGFNSLFLYRRLYRCHTTLGAFHADTGNVERIKDISLKDFYNEYDAKKPVMLSGLADTWPARHKWTTDQLLLNYGDVAFKISQRGARKISMKFKDYVSYMKVQHDEDPLYIFDEKFGEAAPSLLKDYCVPHLFEEDFFDVLDTDKRPSYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEEDGDVNVETPSSLQWWLDFYPLLADEDKPFECTQLPGETIYVPSGWWHCVLNLETTIAVTQNFVNSNNFEFVCLDMAPGYHHKGVCRVGLLALDEDGYENVRQNMPCNEKNSSYNDLSRKEKRAKTQKDADGLFNKRAINGVSRSYNLWKDGFSYDINFLSMFLDKDRDHYSSLWSSGNSIGQRELREWLSKLWIQKPNLRELIWKGACIALNANKWLEFLSKICAFHNLPPPTDDERLPVGTGSNPVYLVGNTVVKIFVEGGLEASLYGLGTELEFQSRLHEANSPLSKHIPDVLASGIIYLENGSCTNLSWDGKGVPDIIVKNNITSRKCNVDDFSFGVWGRKQFEYKNAGMPVDESGSLAGNSYIWPYVITKRCAGNMFADLRDKLTWEDTTNLASFLGEQLHYLHLLSYPPPNISSFSDIDHELSLVEANGCIATVNSKSNVTAEWWLFTRTLAKMRKDVSTRLTKWGDPIPIKLIEKIDEYIPPDFAEKFGNYACKPCSWIHTDIMDDNIYMKPSLVCSTAAANNEDSTMLDNVLLSNYEVKSWCPSHILDFSDLSIGDPLVDLIPIYLDVFRGDSRLLKQFLESYKLPFVREVSRWESTEGDQKFGRLSYLAMCYCILHDDNVLGALFSIWEELRSAQSWEEVEVAVWGELNNYKGFP >Vigun09g177900.2.v1.2 pep primary_assembly:ASM411807v1:9:35025683:35036278:1 gene:Vigun09g177900.v1.2 transcript:Vigun09g177900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRDRRAEALGDLRVLPDEILCAILERFTPRDVARVACVSSVMYTLCNEEPLWMSLCLKEATGLLQYKGSWKKTVLHNLNLADKYKEYHRGPLYFDGFNSLFLYRRLYRCHTTLGAFHADTGNVERIKDISLKDFYNEYDAKKPVMLSGLADTWPARHKWTTDQLLLNYGDVAFKISQRGARKISMKFKDYVSYMKVQHDEDPLYIFDEKFGEAAPSLLKDYCVPHLFEEDFFDVLDTDKRPSYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEEDGDVNVETPSSLQWWLDFYPLLADEDKPFECTQLPGETIYVPSGWWHCVLNLETTIAVTQNFVNSNNFEFVCLDMAPGYHHKGVCRVGLLALDEDGYENVRQNMPCNEKNSSYNDLSRKEKRAKTQKDADGLFNKRAINGVSRSYNLWKDGFSYDINFLSMFLDKDRDHYSSLWSSGNSIGQRELREWLSKLWIQKPNLRELIWKGACIALNANKWLEFLSKICAFHNLPPPTDDERLPVGTGSNPVYLVGNTVVKIFVEGGLEASLYGLGTELEFQSRLHEANSPLSKHIPDVLASGIIYLENGSCTNLSWDGKGVPDIIVKNNITSRKCNVDDFSFGVWGRKQFEYKNAGMPVDESGSLAGNSYIWPYVITKRCAGNMFADLRDKLTWEDTTNLASFLGEQLHYLHLLSYPPPNISSFSDIDHELSLVEANGCIATVNSKSNVTAEWWLFTRTLAKMRKDVSTRLTKWGDPIPIKLIEKIDEYIPPDFAEKFGNYACKPCSWIHTDIMDDNIYMKPSLVCSTAAANNEDSTMLDNVLLSNYEVKSWCPSHILDFSDLSIGDPLVDLIPIYLDVFRGDSRLLKQFLESYKLPFVREVSRWESTEGDQKFGRLSYLAMCYCILHDDNVLGALFSIWEELRSAQSWEEVEVAVWGELNNYKGFP >Vigun09g004400.1.v1.2 pep primary_assembly:ASM411807v1:9:341265:342745:1 gene:Vigun09g004400.v1.2 transcript:Vigun09g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPSTRTTPSEVLLSPASNFFVTDPPGVSLGSSVKLLLDEVFYKSWSELKGSILEGGVAFDRAHGMHAFEYPRVDPRFNEVFNKGMIGLTTVIMKRILELYDGFEHVSRLVDVGGGYGVNLKLIKSKYPHIEAINFDLPHVVENAPLHPGVEHVGGDMFESVPSGDAIFMKWVLHDWSDEHCVKVLKNCYKGIGDDGKVIVVESIVSMVAETSVTAKGALGSDLIMMTQNPGGKERTRQQMIELAEASGFSGVRFICSVCGTWVMEFYK >Vigun11g050584.1.v1.2 pep primary_assembly:ASM411807v1:11:8453681:8455094:1 gene:Vigun11g050584.v1.2 transcript:Vigun11g050584.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQQKALADLQQMQTVHIIECRRVLKWTYTWVLLTRA >Vigun01g145000.7.v1.2 pep primary_assembly:ASM411807v1:1:32694930:32703216:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTFFSFFPPTLLHTMTKKPLLCSLLLLLAVLAVAGATSPGVELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMVLDPHNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPAMLEERDLYFHKLKLTFETAYKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNEWLDQHIHAYFAVGAPFLGAIETIEATLSGFTFGLPISEGTARLMFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKEFLED >Vigun01g145000.4.v1.2 pep primary_assembly:ASM411807v1:1:32692812:32703216:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTFFSFFPPTLLHTMTKKPLLCSLLLLLAVLAVAGATSPGVELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMVLDPHNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPAMLEERDLYFHKLKLTFETAYKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNEWLDQHIHAYFAVGAPFLGAIETIEATLSGFTFGLPISEGTARLMFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKLEFVTKAKRGPLRHEDCYWDYGKARCAWPDYCEYRYVFGDVHLGQSCRLRYTTAEVLSHYL >Vigun01g145000.1.v1.2 pep primary_assembly:ASM411807v1:1:32692614:32703277:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTFFSFFPPTLLHTMTKKPLLCSLLLLLAVLAVAGATSPGVELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMVLDPHNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPAMLEERDLYFHKLKLTFETAYKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNEWLDQHIHAYFAVGAPFLGAIETIEATLSGFTFGLPISEGTARLMFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKLEFVTKAKRGPLRHEDCYWDYGKARCAWPDYCEYRYVFGDVHLGQSCRLRYTTAEVLSHYL >Vigun01g145000.2.v1.2 pep primary_assembly:ASM411807v1:1:32692702:32703216:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWISIFMPILLLELPFLEQLKPLKQLFLDSPLVFLYLRYQGTARLMFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKLEFVTKAKRGPLRHEDCYWDYGKARCAWPDYCEYRYVFGDVHLGQSCRLRYTTAEVLSHYL >Vigun01g145000.3.v1.2 pep primary_assembly:ASM411807v1:1:32692702:32703216:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVPVMAGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPAMLEERDLYFHKLKLTFETAYKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNEWLDQHIHAYFAVGAPFLGAIETIEATLSGFTFGLPISEGTARLMFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKLEFVTKAKRGPLRHEDCYWDYGKARCAWPDYCEYRYVFGDVHLGQSCRLRYTTAEVLSHYL >Vigun01g145000.6.v1.2 pep primary_assembly:ASM411807v1:1:32692702:32699554:-1 gene:Vigun01g145000.v1.2 transcript:Vigun01g145000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSFGSALWMLPFSKYCRTDNKYWKHFSGGRHAGQPTYKCDEQEFQSNFSGWPTKIINIEIPSTHAFDAYPSFSEIPESNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDPDSKRMLQLLQKSYLGDPVLNPLTPWERPPIKNVFCIYGSDSKTKVGYYFAPSGKPYPDNWIITDVMYEFEGSLISRSGTLVEGKIGPISGDETVPYLSLSLCKDWLGPKVNITRAPQSEHDGSDVQIQLNVEHHHEEDIVPKMTRLPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSPVLMRELWLEMWHDIHPDAKLEFVTKAKRGPLRHEDCYWDYGKARCAWPDYCEYRYVFGDVHLGQSCRLRYTTAEVLSHYL >Vigun11g147533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35638320:35639630:-1 gene:Vigun11g147533.v1.2 transcript:Vigun11g147533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLKLSRLQQHREAERVESRLPPPGHEQSQPPRVEKTQASGRNISHTMAESSAANEGLVLSRHPIQASGNFPFTQFILETPLPDRWKMPTFDKYDGTTNPDNHMRVFIHQMMFHVMSDPIWCRVFSTSLTGEALEWFFELPVNSIDSFATLKARFSTQFAPLRPAILTVDNLVNIRQEDGESLRSYLDRYNCMSVKIKDLSDEIARHHFSYGLQSQSGVFADKISRKKPKTMDEMRERATKFIQMEDMQEFRVKKRAKEDATSQKSAPRPSKPLARPNEKRTPKFTTYTHLAVPRAKILQEAFSADSLPASIKKPPSPDADGSKHCQYHRTICHTTEECHTLRDKIEELIRQGHLKKYIQQDRPQRSPIGNRSLARRQAHARWEKRQESERDRRRRESSRAHRSLRRSRSRSRDKPLRGYINTISGGFAGGGSS >Vigun07g240000.1.v1.2 pep primary_assembly:ASM411807v1:7:36118544:36122858:-1 gene:Vigun07g240000.v1.2 transcript:Vigun07g240000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAACVEAEGFEFAEVDPTGRYGRYNEILGKGASKTVYRAFDEYDGIEVAWNQVKLYDFLQNPEDLERLYSEIHLLKTLKHKNIMKFYTSWVDTANRQINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHNPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSNAARCVGTPEFMAPEVYEEDYNELVDIYSFGMCILEMVTFEYPYSECNHPAQIYKKVVSGKKPEALYKVVNPEVRQFVEKCLATASLRLSAKELLEDPFLQIDDYGFDSKVVQYQRDCYEVAPLIRQPLNGAYGINTSMSRYTDNLGGYGSFSELDYHQNDFETREIGLFDCEEDNNLVKVDTTIKSGRKEDDGIFLRLRIADNEGRIRNIYFPFDIETDTALSVANEMVAELDITDQDVSDLANMIDNEIATLVPEWRTGARIEENSECSSAGVCLNCAANGYLFDYVSSNNPCGKNLQFLHCSKNGCAAIHGRFEEITYQVEGSASSDTEGTRHASSHSDGIHFTDIWAQRDEPELCNEELKDIHCDQTHEVSNPLNIKEEGKAVNVDEESDLNTKRPHSNPAVSCVMSDCENEIRQELRWLKAKYQIQLRELKDQQLGVKPKLTCIISPDTKKLNCGKNGIQSLSAQYKLKIQKNKPQLRSIVSGKHFPVEDEKFNDYADQMVQNVDEINQSNSPERMVTAKDFFTGALFPSSLQRATSLPVDAIEF >Vigun07g240000.2.v1.2 pep primary_assembly:ASM411807v1:7:36118754:36122417:-1 gene:Vigun07g240000.v1.2 transcript:Vigun07g240000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAACVEAEGFEFAEVDPTGRYGRYNEILGKGASKTVYRAFDEYDGIEVAWNQVKLYDFLQNPEDLERLYSEIHLLKTLKHKNIMKFYTSWVDTANRQINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHNPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSNAARCVGTPEFMAPEVYEEDYNELVDIYSFGMCILEMVTFEYPYSECNHPAQIYKKVVSGKKPEALYKVVNPEVRQFVEKCLATASLRLSAKELLEDPFLQIDDYGFDSKVVQYQRDCYEVAPLIRQPLNGAYGINTSMSRYTDNLGGYGSFSELDYHQNDFETREIGLFDCEEDNNLVKVDTTIKSGRKEDDGIFLRLRIADNEGRIRNIYFPFDIETDTALSVANEMVAELDITDQDVSDLANMIDNEIATLVPEWRTGARIEENSECSSAGVCLNCAANGYLFDYVSSNNPCGKNLQFLHCSKNGCAAIHGRFEEITYQVEGSASSDTEGTRHASSHSDGIHFTDIWAQRDEPELCNEELKDIHCDQTHEVSNPLNIKEEGKAVNVDEESDLNTKRPHSNPAVSCVMSDCENEIRQELRWLKAKYQIQLRELKDQQLGVKPKLTCIISPDTKKLNCGKNGIQSLSAQYKLKIQKNKPQLRSIVSGKHFPVEDEKFNDYADQMVQNVDEINQSNSPERMVTAKDFFTGALFPSSLQRATSLPVDAIEF >Vigun03g122000.1.v1.2 pep primary_assembly:ASM411807v1:3:11399405:11412538:-1 gene:Vigun03g122000.v1.2 transcript:Vigun03g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVSSSSSVSKPNDQPLILDVFTASTHGDFNKLRTFVEQHGASVSVPDVGGYYAIQWASLNNFHDIAHYLIQHGADVNAKDNMQQTALHWAAVRGSIAAADVLMENGARIEAADLNGYRAVHVAAQYGQTAFLNHIVAKYHADFDVPDNDGRSPLHWAAYQGFADTIRLLLFRDACQGRQDKDGCTPLHWAALRGHSEACAVLVHAGTKEELMVKDNAGHTPVQLAYDKGHRHVAPFLSNQQQAHSNNWKGKVCRGVVTDIGYAPILLFIMILSLILFINSVIAAPNLGKITASVGLWAWTALSLEAAALIMFYRCSSKDPGYIKRLGDLGTQSDTEDPLLNIDLNSSSVWTGNWSQLCPTCKIIRPVRSKHCPTCKRCVEQFDHHCPWISNCVGKRNKRDFFIFICLGTLTSSVSGAVAVQRIWTSTPTLLAGETWIHYMLVQHPGLVVFLVMDAIVFIAASTLTITQTSMIARNVTTNELANSSRYDYLRGPDGRFRNPYDHGCWKNCADFLSLGYTNDDEIAWPRLQQVAT >Vigun07g072000.1.v1.2 pep primary_assembly:ASM411807v1:7:9003194:9005015:-1 gene:Vigun07g072000.v1.2 transcript:Vigun07g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAFSLSSPSSALFQSRHSFAKCLASKQNSPLQMEQMKSRDNAPITLHSFSPFPLLCAAALLPGGEAVGSVFGPFVDLVKSWNLPDWLVHWGHPANMAVVLFAMGGYGTYLGFRIRYSDSVEEKAQAKDLHPKLLAGMFFFFALGATGGITALLTSDKPIFESPHAVTGVIGLALLTIQTVLPSLFEGNPGLRNVHGILGSGIMTLFLVHFALGLQLGFSY >Vigun02g155300.1.v1.2 pep primary_assembly:ASM411807v1:2:30177944:30182351:-1 gene:Vigun02g155300.v1.2 transcript:Vigun02g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLLTTLGDLERDTFVPLLSKLIGESKHVQNNPPELVPEEDRVVKHVLDVLLPFSTTTGGGPLLLNHVTYTPGRGNLIVEYPGTVPGKILSFVGCHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVAELMKKLGQTKPNLKSTVVAVFIANEENSSITGIGVDALVKDGLLNKLKNGPLYWIDTADKQPCVGTGGMIPWKLQFTGKLFHSGLAHKAINAMELGMDALKEIQSRFYRDFPPHPQEQVYGFATPSTMKPTQWSYPGGGINQIAGECTISGDVRLTPFYNVKDVMKKLQEYVDDINKNIEKLESRGPVSKYVLPDENLRGSITLSFNEALSGVACDLNSRGFHVLCKATEEVVGHVKPYSITGSLPLIRELQEEGFDVQTSGYGLMATYHAANEYCLFTDMSQGYRVFARIISKLED >Vigun04g186700.1.v1.2 pep primary_assembly:ASM411807v1:4:41160565:41162435:1 gene:Vigun04g186700.v1.2 transcript:Vigun04g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMISNFSDDILLYILSFLPTKQVVATSVLSKRWYLLWRSVTSFDFDDFPTDYNIDHDKGKEAYTNFLHSVDSFLLRRDRDQPLHRFRLSCVSHCYYDPTFPHTESIQRWIQIALSESARVQHLDLNMSCCMQSLVFNCKTLVVLKLTNIGVEDISFVDLPVLKILHLDFVISFKRVDLMQLLSVSPNLEVLEAKSTFLFPEEKFNGCPKLVRANVHGDIVSLEIVKNVQVLFISLRRQKDLLFDFHNLLQLQLDYMSFHINWDDVLKMLNHCPKLQTLAIGCEKFRIGSRHQKYEGSIWQYPESVPACISTHLKTCHLKNFRGSKDELRFARFIAENAKYLRNMKICSDNDNFDRETLNIVRYLSSCMKSSACTLSFEY >Vigun10g063566.1.v1.2 pep primary_assembly:ASM411807v1:10:13690061:13691270:1 gene:Vigun10g063566.v1.2 transcript:Vigun10g063566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDSKEKTSATRYSFKNWFQTSMTLVYPEWFDETFFHYWGEKFLMGTNYFRDPLGNYIMVEFEDCMMETRSFRIARELANFYKLNDLYCENTIRLDDTFVIFWGHELQFEKVLLIDPKQKKHDVRIHRTDNRDLVICSGVLEISQYYGLLSNKIIHLNYVDENTFLFKLFYCHGVEMDYTPPLVSSSNPGYDPADFYHSMAKCLSAHDIKSSSLYLESEFASKALVKNRKRHLLVNAEGDCWPCTVRWSGKSNRDCYITRGWKDFCIQNGFKEGSIIQFGVDRHLSLFINVMEVEI >Vigun04g059000.1.v1.2 pep primary_assembly:ASM411807v1:4:5898109:5899969:-1 gene:Vigun04g059000.v1.2 transcript:Vigun04g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTTVLVLPFPAQGHVNPMMILSQKLVENGCKVVFLNTEFNHKRMVSCMVEQQDEEDSLIKLVSIPDGLGGDDHRKDLAKVCDVILSTMPHALENLITQENIRFIVADVNMGWSLKVGCRLGIKGALFSPASAAMFALVYNIPRLIHDGIINSDGSLLTTKKTIQLSPGMLEIDTGTLFWLKLLDDGMNIKQILNYLEACARSSDLTELWFCNTTYELEPRVLTFFPKILPIGPLLRNYSNMNASSSTRSMGQLWEEDLSCMSWLDQQPRSSVIYVAFGSHTRFDQNQFNELALGLDLTDRPFLWVVRQDNNMAYPNEFQGNKGKIVQWAPQQKVLNHSAIASFFSHCGWNSTMEGLCNGVPFLCWPYFADQLYNKVYICDELKVGMGLDLGENGVVSRWEIKKKLDQLLSDVNMRTRSLKFKENQLDKGRSSENLNKLVEWIKG >Vigun05g234400.1.v1.2 pep primary_assembly:ASM411807v1:5:42805716:42809614:-1 gene:Vigun05g234400.v1.2 transcript:Vigun05g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSSEQVLKDNNVSDPTSVTTLHLTYKALSDITCLANFINLEKLDLKFNNLTSLEGLRSCANLKWLSVVENKLESLEGIQGLTKLTVLNAGKNKLKSIDQIASVVSLRALILNENNISSICKLDQLKELNTLVLSKNPIRNIGDALLKVKSITKLSLSHCELQGIDTSLKSCVELSELRLAHNEIKSLPDELKLNSKLRNLDVGNNVITRWSEVKVVKLLTNLRNLNLQGNPVATVDKITRKIKKALPKLQIFNARPVDKDTENKQGGVVDGTPDFSVDQTGQNLKDSSEEKSARFHTKDKKKYDHLEATDDLDSERKSSKKRKKTDDVSKKEDMVVIAEGSKARKKDKADRKKDNLIVTVDPDMENKSTKKKVKKDDKPLDNKGFASEENVSKVGKKLKKKRKNEEQSELDIIDDAEASFAELFKINDVQNQNHGDEMKTQEKVSKDVKFAGITVTSFTKPKNAKMQNMESLSFSFPVVDFGNGGPSTWGDE >Vigun05g234400.2.v1.2 pep primary_assembly:ASM411807v1:5:42805716:42809548:-1 gene:Vigun05g234400.v1.2 transcript:Vigun05g234400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSSEQVLKDNNVSDPTSVTTLHLTYKALSDITCLANFINLEKLDLKFNNLTSLEGLRSCANLKWLSVVENKLESLEGIQGLTKLTVLNAGKNKLKSIDQIASVVSLRALILNENNISSICKLDQLKELNTLVLSKNPIRNIGDALLKVKSITKLSLSHCELQGIDTSLKSCVELSELRLAHNEIKSLPDELKLNSKLRNLDVGNNVITRWSEVKVVKLLTNLRNLNLQGNPVATVDKITRKIKKALPKLQIFNARPVDKDTENKQGGVVDGTPDFSVDQTGQNLKDSSEEKSARFHTKDKKKYDHLEATDDLDSERKSSKKRKKTDDVSKKEDMVVIAEGSKARKKDKADRKKDNLIVTVDPDMENKSTKKKVKKDDKPLDNKGFASEENVSKVGKKLKKKRKNEEQSELDIIDDAEASFAELFKINDVQNQNHGDEMKTQEKVSKDVKFAGITVTSFTKPKNAKMQNMESLSFSFPVVDFGNGGPSTWGDE >Vigun06g079300.1.v1.2 pep primary_assembly:ASM411807v1:6:21097964:21099232:-1 gene:Vigun06g079300.v1.2 transcript:Vigun06g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQTKEKMVTSVDSSSNHVEEFQPEKQDKDPGVPRRTVSNYSAKKLMKKKKLIKKLKDETKILQDELAVLKPQYEIQKQYLQRLMEEEKALLKEMHDLQEKALLRNAKGENNMNTMKSLQELRQKQLEQLVELGIDPLDPVFSAAQDGGSGSKSS >Vigun06g079300.2.v1.2 pep primary_assembly:ASM411807v1:6:21097964:21099232:-1 gene:Vigun06g079300.v1.2 transcript:Vigun06g079300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQTKEKMVTSVDSSSNHVEEFQPEKQDKDPGVPRRTVSNYSAKKLMKKKKLIKKLKDETKILQDELAVLKPQYEIQKQYLQRLMEEEKALLKEMHDLQEKALLRNGAPTKAARAIG >Vigun04g049800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4366383:4368126:1 gene:Vigun04g049800.v1.2 transcript:Vigun04g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAKVVFTMLLTLAIIIPCLEAGIAEYDDFLKNQAEKAHAIALESYVPTPEFVASELNYHVHLAMQNSTRRGLRAPRNPAFGPCDSTNPIDNCWRCNKDWANDRYQLAKCGKGFGRRAVGGLGGPIYVVNDTSDDDMQNPKPGTIRHAVTQQGPLWITFSRSMRITLQQELMISSDKTIDGRGANVQFKDGGGLTMQFVNNIIIHGIRVKNIVPKDGGMIRDSYNHVGHRTRSDGDAISIFGASNIWIDHVSLSNSADGLIDVIEGSTAITVSNCHMTRHNDVMLFGASDTNPHVNDKLMQITVAFNHFGQGLSQRMPRCRFGFFHVLNNDYTHWQIYAIGGSSKPTILSQGNRFIAPNLDFAKEITHRDYATPEQWMQWQWQSDMDLLMNGATFNTTGAPIQMTYKKGLIMKPRDGTHVSRLTRHAGALNCYAGFPC >Vigun02g025800.1.v1.2 pep primary_assembly:ASM411807v1:2:8695438:8696375:1 gene:Vigun02g025800.v1.2 transcript:Vigun02g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFWTLALACLCFHLPSNAQAPATSPSSTTPPVATQPPTVVASPPTTTTTPPPTSPPPTTVPPVTPPPAPKVAPASPPQTPPQPPKPSPVSPPTSPPPLPPPPVATPPPLPPPKVAPTPAITPPAPAPVKATPAPAPAKPAPTPSPVPPPPTLAPTPVVEAPAPAPSSHKHRRHRHKHRRHQAPAPAPTIIRKSPPAPPDSTAESDTTPAPSPSLNLNASPPNQQLGRNMWATAGVAVAVFLAVTGYSC >Vigun11g087200.1.v1.2 pep primary_assembly:ASM411807v1:11:26072097:26077888:-1 gene:Vigun11g087200.v1.2 transcript:Vigun11g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLLLSQARRHSLAKRASFSHSPFSLTSHRSLCSSSESNSNPPTRPSQPIPIQPVSYPVKPKPPPPEPSSESSPPPAEPQSLRPPSDSQRAWTREDIRYVKDAPSIGVVSYAPRVAPLPDDKVADEGEEIERKKIEAVNELRMRMAKATEEERKKVPFPLLIKPKKNEKPSPLDLAEAIRQVKANAKAKFDETVEAHIRLGVDSKRTELAVRGTVILPHGAPKAVSVAVFAEGAEAEEAKAAGADIVGGKELIEEIASGKNKLKVDKCFSSPGMAPQLGKIAQYLRKRRLMPDKKLGTLTSDIAGQLKELRQGRVEFKMESKSILHVGLGKVSYKEDALRENISAFMNAVLLAKPAGLKKTSKYAGYVLSVHISSTMGPGFPVSIQSLSKAADNYKKTHVV >Vigun03g187900.1.v1.2 pep primary_assembly:ASM411807v1:3:25248771:25249397:-1 gene:Vigun03g187900.v1.2 transcript:Vigun03g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPLFEIVHGIVVSWEEAVEKCEHLFGEGS >Vigun03g126300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11996480:11997094:-1 gene:Vigun03g126300.v1.2 transcript:Vigun03g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRCCTMLALIIAISFSTMDTTLALPPPVVEPLQLPPVVIPPIQLPSLNISPIDIPSIQLPPIVFPPIQFPPIVFPPIGPIQLPPLQLPSLQLPPLVFPPIDIPFIQLPPIVFPPIEIPPIELPSLP >Vigun03g293900.1.v1.2 pep primary_assembly:ASM411807v1:3:47956388:47964779:1 gene:Vigun03g293900.v1.2 transcript:Vigun03g293900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLELSKQVKFKDDTEELTLDGSVDWHGRPAIRAKSGRWVAGTIVLLNQGLATLAFFGVGVNLVLFLTRVMGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVSLSISSYLSLIRPKGCGNETISCGKHSSLEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEEHSKEGYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGLWALGFWASAGSAFAALVLFLLGTPRYRHFKPSGNPLSRFGQVLVAALRKWGAHMTSNEEDLYVMDENESPTNGNRKILHTDGFKFLDRAAFISTRDVEDQKRGLHNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISHFRIPPASMSSFDILSVAVFIFFYRRVIDPLVGRLKKTSSKGLTELQRMGIGLVIAVMAMVSAGIVECYRLKYAKTGCPHCSGTSSLSIFWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKISTEDHMPGWIPGNLNRGHLDRFYFLLAALTSIDLIVYIVCAKWFKSIQLEGKYEKNDMPGSYKV >Vigun03g293900.2.v1.2 pep primary_assembly:ASM411807v1:3:47959467:47966275:1 gene:Vigun03g293900.v1.2 transcript:Vigun03g293900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVSLSISSYLSLIRPKGCGNETISCGKHSSLEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEEHSKEGYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGLWALGFWASAGSAFAALVLFLLGTPRYRHFKPSGNPLSRFGQVLVAALRKWGAHMTSNEEDLYVMDENESPTNGNRKILHTDGFKFLDRAAFISTRDVEDQKRGLHNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISHFRIPPASMSSFDILSVAVFIFFYRRVIDPLVGRLKKTSSKGLTELQRMGIGLVIAVMAMVSAGIVECYRLKYAKTGCPHCSGTSSLSIFWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKISTEDHMPGWIPGNLNRGHLDRFYFLLAALTSIDLIVYIVCAKWFKSIQLEGKYEKNDMPGSYKV >Vigun08g173500.1.v1.2 pep primary_assembly:ASM411807v1:8:34407818:34411599:1 gene:Vigun08g173500.v1.2 transcript:Vigun08g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSVATLFLAACYFSSKQYSSNGPYLTRGIQQLHYIVQKGKTPFCEHSSLTSKSNMMFTKLVTFQFILITFFFSLLRFSSSEVSGVSLSNGTVMFGHEAVEDKNIEASALLKWKASLDNQSQVSLSSWSTFTSPCKWKGIVCDESNSISTINLVNFGLKGTLLNLNFSSFPKLLRFHVSYNNLGGPIPRQLGNMSKLSELRMDHNYFSDSIPQEIGTLHNLEYLFLRANQLSGSIPLTIGMLTNLVTLDLSSNHLSGTIPSLRNLTNLQELILFNNLLSGPIPEHLGGLHHLTTIKLLKNHISGLIPSSIGDLANLMKLQLTRNQLQGSIPSSLGNLTKLIELSMSENMLSGSIPASIGNLVNLEKLNLAQNKLSGPIPSIFRNLTKLTFLLLHVNKLTGNFSAATSNLTNLVNLQLSSNHFTGPLPQYICLGGSLLCFAANENRFTGPIPSSLKNCSSLERLNLAENMLMGNINDFGMYPNLEYIDLSRNAFNGHLSSNWVKCHNLIGLFISYNRLFGGIPPELGQAPKLQRLKLSSNSLTGKIPKELGNLTQLFELSISNNNLSGNIPFEIGSLKQLRIFDLSTNVLSGPIPKQLGRLLKLNHLNLSHNKLNESIPSEFSQLQHLRELDLSWNLLNGKIPATLRKLKMLENLNLSHNNLSGNISSSFKDMLSLTNVDISNNQLEGPIPNNRAFLKAPFQALQNNKNLCGYASGLMPCPQLLSHNPHRKKIKMLLFLTLGALFVVGVSLYIHWQKARKIKKQEREEKNGDLFSILHYDGKIVHETIIEATNNFDERYLIGKGGFGGVYKAILPSGQIVAVKKLQVEVDSEMVDFKAFTSEVRTLTEIKHRNIVKLHGFCEHPRYRFLVYEFVEGGSLDKVLNNDTHASMFDWNKRVNVVKGVANALYHMHHGCSPSIVHRDISSKNVLIDLEYEARLSDFGTAKILNPNSRNLTSFAGTYGYAAPELAYTMEVNEKCDVFSFGVLCLEIIIGNHPGDLISSMHSPSSTSVTANLLLKDVMDQRLPFPVMPVVKEVVIIAKVAFACLNERPLSRPTMEDVYNKFVMPKSPLTRDTLNTIVLGQLQNY >Vigun08g173500.2.v1.2 pep primary_assembly:ASM411807v1:8:34407818:34411599:1 gene:Vigun08g173500.v1.2 transcript:Vigun08g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHEAVEDKNIEASALLKWKASLDNQSQVSLSSWSTFTSPCKWKGIVCDESNSISTINLVNFGLKGTLLNLNFSSFPKLLRFHVSYNNLGGPIPRQLGNMSKLSELRMDHNYFSDSIPQEIGTLHNLEYLFLRANQLSGSIPLTIGMLTNLVTLDLSSNHLSGTIPSLRNLTNLQELILFNNLLSGPIPEHLGGLHHLTTIKLLKNHISGLIPSSIGDLANLMKLQLTRNQLQGSIPSSLGNLTKLIELSMSENMLSGSIPASIGNLVNLEKLNLAQNKLSGPIPSIFRNLTKLTFLLLHVNKLTGNFSAATSNLTNLVNLQLSSNHFTGPLPQYICLGGSLLCFAANENRFTGPIPSSLKNCSSLERLNLAENMLMGNINDFGMYPNLEYIDLSRNAFNGHLSSNWVKCHNLIGLFISYNRLFGGIPPELGQAPKLQRLKLSSNSLTGKIPKELGNLTQLFELSISNNNLSGNIPFEIGSLKQLRIFDLSTNVLSGPIPKQLGRLLKLNHLNLSHNKLNESIPSEFSQLQHLRELDLSWNLLNGKIPATLRKLKMLENLNLSHNNLSGNISSSFKDMLSLTNVDISNNQLEGPIPNNRAFLKAPFQALQNNKNLCGYASGLMPCPQLLSHNPHRKKIKMLLFLTLGALFVVGVSLYIHWQKARKIKKQEREEKNGDLFSILHYDGKIVHETIIEATNNFDERYLIGKGGFGGVYKAILPSGQIVAVKKLQVEVDSEMVDFKAFTSEVRTLTEIKHRNIVKLHGFCEHPRYRFLVYEFVEGGSLDKVLNNDTHASMFDWNKRVNVVKGVANALYHMHHGCSPSIVHRDISSKNVLIDLEYEARLSDFGTAKILNPNSRNLTSFAGTYGYAAPELAYTMEVNEKCDVFSFGVLCLEIIIGNHPGDLISSMHSPSSTSVTANLLLKDVMDQRLPFPVMPVVKEVVIIAKVAFACLNERPLSRPTMEDVYNKFVMPKSPLTRDTLNTIVLGQLQNY >Vigun03g435000.1.v1.2 pep primary_assembly:ASM411807v1:3:63898941:63901512:-1 gene:Vigun03g435000.v1.2 transcript:Vigun03g435000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMSTILVTGGAGFIGSHTVLQLLKQGFRVTIIDNLDNSVIEAVHRVRRLVGPHLSNNLTFCHGDLRNPNDLEPLFSQTKFDAVIHFAGLKGVGESVAKPRRYYDNNVVGTINLFQAMAKFNCKNMVISSSATVYGQPHQVPCVEEDLHLHAMNPYGRTKLFVEEIARDIQRAEGDWRIILLRYFNPVGAHESGQIGEDPRGIPNNLMPYIHQVAVGRLPELKVYGDDYPTKDGTPIRDYIHVMDLADGHIAALRKLFATDNIGCTAYNLGTGRGTSVLEMVAAFEKASGKKISMKMCPRRPGDATAVYASTEKAEKELGWKAKYGIEEMCRDLWNWASKNPWGYQGKH >Vigun03g435000.2.v1.2 pep primary_assembly:ASM411807v1:3:63898941:63901512:-1 gene:Vigun03g435000.v1.2 transcript:Vigun03g435000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMSTILVTGGAGFIGSHTVLQLLKQGFRVTIIDNLDNSVIEAVHRVRRLVGPHLSNNLTFCHGDLRNPNDLEPLFSQTKFDAVIHFAGLKGVGESVAKPRRYYDNNVVGTINLFQAMAKFNCKNMVISSSATVYGQPHQVPCVEEDLHLHAMNPYGRTKLFVEEIARDIQRAEGDWRIILLRYFNPVGAHESGQIGEDPRGIPNNLMPYIHQVAVGRLPELKVYGDDYPTKDGTPIRDYIHVMDLADGHIAALRKLFATDNIGLSSLS >Vigun03g332850.1.v1.2 pep primary_assembly:ASM411807v1:3:53087268:53089186:1 gene:Vigun03g332850.v1.2 transcript:Vigun03g332850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQSILFSEMVGRFISMFLKDQEFGYVDQVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYPFFLY >Vigun02g158000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30393709:30396388:1 gene:Vigun02g158000.v1.2 transcript:Vigun02g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHHSSTLVRILTCSFRSVRYVYDGTNHSQCECDEPLLLHYLSNGCHHEARNLLQNSSGGDLHARVVRWTKLLSNFSRHGYVAEARTLFDIMPHRNLVTSNAMLTAYIRSGMLEEASRFFETMLEKNVVSWTAMLCGFSDAGRIDDARKVFDVMPERNVVSWNAMVVALLRSGDLEDARMVFEETPCKNVVSWNAMIAGYVESGRMDEARELFEKMEFRNVITWTSMISGYCREGDVEGAWCLFRAMPEKNIVSWTAMIGGFAWNGLYEKALLLFIEMVRVSDAQPNGETFVSLVYACGGLGFCCLGKQVHAQLIVNSWRIDDYDGRLHRGLVRMYSGLGMMDSARNVFEGNLKDSDDQCFNSMINGYVRAGQLARAQELFDMVPTRNKVASTCMIAGYLSVGRVLEAWNLFNDMPDRDSIAWTEMIYGYVQNELIAEAFCLFSEMMDHGVSPMSSTYAVLFGAMGSVAYLDQGRQLHGMQFKTVNEDDLILENSLIAMYAKCGEIDDAYRVFSNMTCRDKISWNTMIMGLSDHGRASEAIKVYETMLELGIYPDGLTFLGVLTACAHAGLVDKGREFFIAMVNAFAIQPSLEHCISIINLLGRAGKVKDAEEFVLKLPVEPNHAVWGALIGVCGLNKTDTDVATHAAKRLFELDPLNAPGHVALCNIYAANDRHTEEMRLRKEMRLKGVRKAPGCSWILVKGTVHIFFSDDKLHACL >Vigun05g171000.8.v1.2 pep primary_assembly:ASM411807v1:5:30574570:30575557:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQVLYLSDAGL >Vigun05g171000.2.v1.2 pep primary_assembly:ASM411807v1:5:30572980:30575723:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQNVPHLKTVVQVLSNMESSQLSALSRTHPLQQELEPGNHSQGTS >Vigun05g171000.6.v1.2 pep primary_assembly:ASM411807v1:5:30573069:30575723:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQKSEPSLV >Vigun05g171000.5.v1.2 pep primary_assembly:ASM411807v1:5:30573069:30575723:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQNVPHLKTVVQVLSNMESSQLSALSRTHPLQQELEPGNHSQGTS >Vigun05g171000.7.v1.2 pep primary_assembly:ASM411807v1:5:30574412:30575557:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQKSEPSLV >Vigun05g171000.3.v1.2 pep primary_assembly:ASM411807v1:5:30573069:30575723:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQNVPHLKTVVQVLSNMESSQLSALSRTHPLQQELEPGNHSQGTS >Vigun05g171000.1.v1.2 pep primary_assembly:ASM411807v1:5:30573371:30575723:-1 gene:Vigun05g171000.v1.2 transcript:Vigun05g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDNLINGQTAFWRRMAAPGSVGGGGTAKATVAEQISQAVQSTSNLLHLMQHSSPAQAQLVKLPKNLLAMVPTIKNTEQILEQLPKVISSLDGHMENGLQNVPHLKTVVQVLSNMESSQLSALSRTHPLQQELEPGNHSQGTS >VigunL012300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:42720:43241:-1 gene:VigunL012300.v1.2 transcript:VigunL012300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFTLMSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun01g241000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41075867:41076649:-1 gene:Vigun01g241000.v1.2 transcript:Vigun01g241000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNFPSASEGVLPVLVINTVLSVAVLKNMFRSMLQVVGGSESQSQSDGENTEEECRESSRERRVSITQYKALRKDMGRRSVRMVECCVCLCRFEGNEEVSELPCKHYFHRGCLEKWFHNKHSSCPLCRSMD >Vigun11g019300.5.v1.2 pep primary_assembly:ASM411807v1:11:2390124:2393301:-1 gene:Vigun11g019300.v1.2 transcript:Vigun11g019300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIRKATVETNQLYYMDGFNLSSTQRRYGLVQCSRDLTSEGCRECLETMLAKVPKCCEHKLGWQVSTASCLIKYDDYIFYLFHNPASPVPVPDTQIVCLFYIVVTAKERGGGKAKLLIIGLSVLGAVALLCFSVYCFWFRKRTRSGRKTGGRLPETIRLSSYHNVQTEETLNPDISTIPLITILESTDNFSEASKLGEGGFGPVYKGVLPDGRQIAVKRLSETSGQGSEEFKNEVTFIAKLQHRNLVRLLACCLEDKEKILVYEYMTNSSLDFHLFDERKKRQLDWQIRLSIIHGIAKGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARSFEKGQNQANTRRVMGTYGYMAPEYAMEGLFSVKSDVFSYGVLVLEIICGKKNSGFYLSECGQSLTLYAWKVWCEGKCLELMDPVLEESCVESEVAKCMHIGLLCVQEDAADRPTMSTVVVMLASDMMSLPKPNQPAFSVGRMTLDDTSTSKSSKNLSINDVTVSNIFPR >Vigun11g019300.3.v1.2 pep primary_assembly:ASM411807v1:11:2390124:2393301:-1 gene:Vigun11g019300.v1.2 transcript:Vigun11g019300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIRKATVETNQLYYMDGFNLSSTQRRYGLVQCSRDLTSEGCRECLETMLAKVPKCCEHKLGWQVSTASCLIKYDDYIFYLFHNPASPVPVPDTQIAKERGGGKAKLLIIGLSVLGAVALLCFSVYCFWFRKRTRSGRKTGGRLPETIRLSSYHNVQTEETLNPDISTIPLITILESTDNFSEASKLGEGGFGPVYKGVLPDGRQIAVKRLSETSGQGSEEFKNEVTFIAKLQHRNLVRLLACCLEDKEKILVYEYMTNSSLDFHLFDERKKRQLDWQIRLSIIHGIAKGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARSFEKGQNQANTRRVMGT >Vigun11g019300.1.v1.2 pep primary_assembly:ASM411807v1:11:2390124:2393301:-1 gene:Vigun11g019300.v1.2 transcript:Vigun11g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIQKGEAFMRSLIRKATVETNQLYYMDGFNLSSTQRRYGLVQCSRDLTSEGCRECLETMLAKVPKCCEHKLGWQVSTASCLIKYDDYIFYLFHNPASPVPVPDTQIAKERGGGKAKLLIIGLSVLGAVALLCFSVYCFWFRKRTRSGRKTGGRLPETIRLSSYHNVQTEETLNPDISTIPLITILESTDNFSEASKLGEGGFGPVYKGVLPDGRQIAVKRLSETSGQGSEEFKNEVTFIAKLQHRNLVRLLACCLEDKEKILVYEYMTNSSLDFHLFDERKKRQLDWQIRLSIIHGIAKGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARSFEKGQNQANTRRVMGTYGYMAPEYAMEGLFSVKSDVFSYGVLVLEIICGKKNSGFYLSECGQSLTLYAWKVWCEGKCLELMDPVLEESCVESEVAKCMHIGLLCVQEDAADRPTMSTVVVMLASDMMSLPKPNQPAFSVGRMTLDDTSTSKSSKNLSINDVTVSNIFPR >Vigun11g019300.2.v1.2 pep primary_assembly:ASM411807v1:11:2390124:2393301:-1 gene:Vigun11g019300.v1.2 transcript:Vigun11g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIQKGEAFMRSLIRKATVETNQLYYMDGFNLSSTQRRYGLVQCSRDLTSEGCRECLETMLAKVPKCCEHKLGWQVSTASCLIKYDDYIFYLFHNPASPVPVPDTQIAKERGGGKAKLLIIGLSVLGAVALLCFSVYCFWFRKRTRSGRKTGGRLPETIRLSSYHNVQTEETLNPDISTIPLITILESTDNFSEASKLGEGGFGPVYKGVLPDGRQIAVKRLSETSGQGSEEFKNEVTFIAKLQHRNLVRLLACCLEDKEKILVYEYMTNSSLDFHLFDERKKRQLDWQIRLSIIHGIAKGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARSFEKGQNQANTRRVMGT >Vigun11g019300.4.v1.2 pep primary_assembly:ASM411807v1:11:2390124:2393301:-1 gene:Vigun11g019300.v1.2 transcript:Vigun11g019300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIRKATVETNQLYYMDGFNLSSTQRRYGLVQCSRDLTSEGCRECLETMLAKVPKCCEHKLGWQVSTASCLIKYDDYIFYLFHNPASPVPVPDTQIAKERGGGKAKLLIIGLSVLGAVALLCFSVYCFWFRKRTRSGRKTGGRLPETIRLSSYHNVQTEETLNPDISTIPLITILESTDNFSEASKLGEGGFGPVYKGVLPDGRQIAVKRLSETSGQGSEEFKNEVTFIAKLQHRNLVRLLACCLEDKEKILVYEYMTNSSLDFHLFDERKKRQLDWQIRLSIIHGIAKGLLYLHEDSRLKVIHRDLKASNVLLDDEMNPKISDFGLARSFEKGQNQANTRRVMGTYGYMAPEYAMEGLFSVKSDVFSYGVLVLEIICGKKNSGFYLSECGQSLTLYAWKVWCEGKCLELMDPVLEESCVESEVAKCMHIGLLCVQEDAADRPTMSTVVVMLASDMMSLPKPNQPAFSVGRMTLDDTSTSKSSKNLSINDVTVSNIFPR >Vigun01g149500.2.v1.2 pep primary_assembly:ASM411807v1:1:33088118:33094622:1 gene:Vigun01g149500.v1.2 transcript:Vigun01g149500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKVFSVTNGSVLHNLDTHSRFQRRFNLIKMSSDALPPLPENRIVGHGMTAVDFLATVDRFPNPDDKVRSCSLKVEGGGNAGNALTCVARLGLKPKVISKIADDAHGFAILKELEADGVDTSFIVVSKGGSSTFSYVLVDNQTKTRTSIYTPGDPPMMLDDLPQSTLLSAFDGARLVYFDGMFPETALFVAQEAARNNIPILVEAESPREGLDELMKLADFVVCSSKFPQAWTQAPSIASALVTMLLRFPNINFVIVTLGEDGCLMLERCENEDADIEEVDVESFLEFLYKGKRDSLTIPTCIPLAVKKFRANGIGTICGRFYIGTAEKIPDSELVDTTGAGDAFIGAIMYAICANMVPEKMLPLAAQVAATKCRALGARSGLPHRLDPRLASFL >Vigun01g149500.1.v1.2 pep primary_assembly:ASM411807v1:1:33088118:33094622:1 gene:Vigun01g149500.v1.2 transcript:Vigun01g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKVFSVTNGSVLHNLDTHSRFQRRFNLIKMSSDALPPLPENRIVVGHGMTAVDFLATVDRFPNPDDKVRSCSLKVEGGGNAGNALTCVARLGLKPKVISKIADDAHGFAILKELEADGVDTSFIVVSKGGSSTFSYVLVDNQTKTRTSIYTPGDPPMMLDDLPQSTLLSAFDGARLVYFDGMFPETALFVAQEAARNNIPILVEAESPREGLDELMKLADFVVCSSKFPQAWTQAPSIASALVTMLLRFPNINFVIVTLGEDGCLMLERCENEDADIEEVDVESFLEFLYKGKRDSLTIPTCIPLAVKKFRANGIGTICGRFYIGTAEKIPDSELVDTTGAGDAFIGAIMYAICANMVPEKMLPLAAQVAATKCRALGARSGLPHRLDPRLASFL >Vigun08g100050.1.v1.2 pep primary_assembly:ASM411807v1:8:24549071:24550443:-1 gene:Vigun08g100050.v1.2 transcript:Vigun08g100050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFHKYGDLFFPSTGDAKIHLLEIALVASISLLMVTSNVLASQKYSICHLLDAPHGPCIMLYSHETPMIITGGYQSHKQVRKLYQNWYHWCRKRFGRRLKRAFEDGFETIIIHVVSISSKF >Vigun01g016100.2.v1.2 pep primary_assembly:ASM411807v1:1:1742998:1758474:-1 gene:Vigun01g016100.v1.2 transcript:Vigun01g016100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKKLNPQQPSKFGIQHFFDRASQKQPHLQPHTTTTTTATAASASTTATATSTSTAADTTFTSTSTAAATSTSTAAATSTSTSTAAAVTSTINSPQQPDEGSPQTRFNFSPGMLVKQSQDDGVNEVTWKISPVNERLLAVSKHTPEVIKALAASSKMNLSPIRNDSGKETCLDKVASPTPKVPLSFKRINSALDVIGQSPFRTPPSLSYRPDKVQRSKDIEHKRPCDQLLLRQNKKALLELLDQVEDAIAIDDAPVCNSNTYSFKIPQNQAYELPVKVRPAVERTKSHLPEEVVDVFSNSNYLVLEVSELRSADSSAAQCSSYKVLRLLNEQTGEERAVNLWNEWSCCVIAPGDTVHVIGQFDEGGNCDIDRDKNFLIVHPDILMSGTRVASSFSCPRRTVLDERLKNNDYSTAALAGTMLHQIFQAGLTNDHPTVSFMEDYAELVLQKNIESLYACGVNENDIRKTMRDAIPRLLSWIMRFKNMEERNDPNVKFGFDNGPKNVGISEVIDIEEMVWAPKYGLKGMIDASVRVKIESQKGEQEEKIMPVEFKSGKTPNSQSSVEHSAQVILYTLLMSERYQTTVDSGLLYYLQSDQTQGIVVQRSDLTGLIMQRNELASYILKALTLQQLPPMLQSPSICRGCRHLNVCSIYHKANGGSTESSGLREVFDSLTNHMNSSHSKFLRQWDRLIDLEAKETKVLRKEVWQSHSSKSSNSSNSSGLSSIVLDSLGIPPEKSLKDNQFTYRFIQQDSSSNLSEVSDVSSSASLKNDLDSIFRSGDHVILRNQSTHQIIAKGVISDISPNHVSVSFSKRLRIPGSSSTVNDLIQQVWRIDKDEAVTSFAIMRFNLVQLFLQNDRSAHLRRMIVDLEAPRFDSGSILSQDPAISYVCSEKSLNYDQRRAILKILTAKDYALILGMPGTGKTSTLVHAVKALLIRGTSILLTAYTNSAVDNLLIKLKAQGIDFVRIGRHEVVNEVVREHCLSAMSVQGVEDIKRRLEQVKVVAVTCLGISSPLLANTKFDVCIMDEAGQTTLPVSLGPLTFASTFVLVGDHYQLPPLVNSTEARENGMGVSLFCRLSEAHPQAILALQSQYRMCQDIMDLSNALIYGDRLRCGSVEVANAKLEFSDLSCDLPWLEQVLNPRRPVIFIDTDKLPALEARDQKIVNNPIEAQIIAEIAKELVKNGIGKEHIGIITPYNSQANVIRHCTTSLAILTSLEIHTIDKYQGRDKDCILLSFVRSTENPSSCAASLLGDWHRINVALTRAKKKLIMVGSRRTLSKVPLLKLLISKVEEQSGILSLSKKDIYRKGELKRCSQLK >Vigun01g016100.1.v1.2 pep primary_assembly:ASM411807v1:1:1742998:1758474:-1 gene:Vigun01g016100.v1.2 transcript:Vigun01g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKKLNPQQPSKFGIQHFFDRASQKQPHLQPHTTTTTTATAASASTTATATSTSTAADTTFTSTSTAAATSTSTAAATSTSTSTAAAVTSTINSPQQPDEGSPQTRFNFSPGMLVKQSQDDGVNEVTWKISPVNERLLAVSKHTPEVIKALAASSKMNLSPIRNDSGKETCLDKVASPTPKVPLSFKRINSALDVIGQSPFRTPPSLSYRPDKVQRSKDIEHKRPCDQLLLRQNKKALLELLDQVEDAIAIDDAPVCNSNTYSFKIPQNQAYELPVKVRPAVERTKSHLPEEVVDVFSNSNYLVLEVSELRSADSSAAQCSSYKVLRLLNEQTGEERAVNLWNEWSCCVIAPGDTVHVIGQFDEGGNCDIDRDKNFLIVHPDILMSGTRVASSFSCPRRTVLDERLKNNDYSTAALAGTMLHQIFQAGLTNDHPTVSFMEDYAELVLQKNIESLYACGVNENDIRKTMRDAIPRLLSWIMRFKNMEERNDPNVKFGFDNGPKNVGISEVIDIEEMVWAPKYGLKGMIDASVRVKIESQKGEQEEKIMPVEFKSGKTPNSQSSVEHSAQVILYTLLMSERYQTTVDSGLLYYLQSDQTQGIVVQRSDLTGLIMQRNELASYILKALTLQQLPPMLQSPSICRGCRHLNVCSIYHKANGGSTESSGLREVFDSLTNHMNSSHSKFLRQWDRLIDLEAKETKVLRKEVWQSHSSKSSNSSNSSGLSSIVLDSLGIPPEKSLKDNQFTYRFIQQDSSSNLSEVSDVSSSASLKNDLDSIFRSGDHVILRNQSTHQIIAKGVISDISPNHVSVSFSKRLRIPGSSSTVNDLIQQVWRIDKDEAVTSFAIMRFNLVQLFLQNDRSAHLRRMIVDLEAPRFDSGSILSQDPAISYVCSEKSLNYDQRRAILKILTAKDYALILGMPGTGKTSTLVHAVKALLIRGTSILLTAYTNSAVDNLLIKLKAQGIDFVRIGRHEVVNEVVREHCLSVVSISAMSVQGVEDIKRRLEQVKVVAVTCLGISSPLLANTKFDVCIMDEAGQTTLPVSLGPLTFASTFVLVGDHYQLPPLVNSTEARENGMGVSLFCRLSEAHPQAILALQSQYRMCQDIMDLSNALIYGDRLRCGSVEVANAKLEFSDLSCDLPWLEQVLNPRRPVIFIDTDKLPALEARDQKIVNNPIEAQIIAEIAKELVKNGIGKEHIGIITPYNSQANVIRHCTTSLAILTSLEIHTIDKYQGRDKDCILLSFVRSTENPSSCAASLLGDWHRINVALTRAKKKLIMVGSRRTLSKVPLLKLLISKVEEQSGILSLSKKDIYRKGELKRCSQLK >Vigun04g116700.2.v1.2 pep primary_assembly:ASM411807v1:4:29326159:29331602:-1 gene:Vigun04g116700.v1.2 transcript:Vigun04g116700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKRAKGEEDEGEIPVLTVLKNNTILKNIFIVNKPPEEPDKASRGEHVDVLLVGRHPDCDLMLTHPSISRFHLQIRSKPSSRAFSVVDLSSVHGTWVSGKRIEPMVSVEMWEGDVLRIGVSSRVYRLHWIPISRAYDLENPFVAQLDVVAEEEEDEEEEEDEEKMQKLNGCSAEMEVDSIVEDISSLFLDENVKLTVEEEIHLAPWILEEEKSPSQEEAIGIQISDGEKSLGDSVSQVLSPPYVGSLVRGHDILTENLSETLCLPPLEAFLETKMLHFHTPLDTLSSPLPPGHENSFEKLHFNLPKEAECEYECTDRDDERVVDAFTVPAPLDEESLAEDVILPMESESECALKDDGSTSDDFTAGVGNMDSVDMLLPVKEAVLGTLVEQIKIVDIVAIDSSSDVEKQDMYRSQSQLRKDKFCHDSGHLLNEITQDVRNKCTDSISLISGQIESVNLSMTQELVFNIMNKDQTLQSDMEILESCVKAEEKTSANHNIWSRRGRSNRAPQVRTSKSTVKNAANVDAEVAMSKEKDIRKRTITKNLFSVQDGEVEEEEIFTPDKENFSPNTLQKRLLKKDKVEEIKHSRSQRSRPFSKHRSESTALSKMNQKDIINKTISKDLFSVSDGEKEEEFFAPDKENISPNTLQLKLLKNKGKVEEIKRSKSKMSPLSKGIFNPDIYPNESTGPTLCKTNQTDVKNSTMSDDFISDFDGEEEEEEIFTPNKENFSPNTLQLRLLKNKGSACGKLKQIDTINSSISKGHFSDLAWEEEDEEIFTPDKENFSPNAYQLRLLTKKGKVAEIKHSKSPWKDNQKLQRNPFCSQINLAQEQHLVTSEDRVERVPFQSLNSSADKGKSNTFYPVSAAKSFHFSNCGQILDQHINHPSDISGVPKKTSWDMIVDTSCLVNKESRKALQLLQGLKGTRLIIPRLVIRELDRMMRQFKIFRRTSEASLALEWIEECIVKTNWWIKIQSSADEGRLIAPTPPASPQSQFSEDSGTSLSYQKCMEIVSPTVEDHILDFALLYRRNQNDGQLVLLSEDSPLKIKCMAEGLLCEPVQEFRESLVNPFSERFLWTNSSPRGQTWSCKDDVVLREKYCRVPFCKSLKTTASGLKLILLHNSKYGL >Vigun04g116700.1.v1.2 pep primary_assembly:ASM411807v1:4:29326159:29331602:-1 gene:Vigun04g116700.v1.2 transcript:Vigun04g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKRAKGEEDEGEIPVLTVLKNNTILKNIFIVNKPPEEPDKASRGEHVDVLLVGRHPDCDLMLTHPSISRFHLQIRSKPSSRAFSVVDLSSVHGTWVSGKRIEPMVSVEMWEGDVLRIGVSSRVYRLHWIPISRAYDLENPFVAQLDVVAEEEEDEEEEEDEEKMQKLNGCSAEMEVDSIVEDISSLFLDENVKLTVEEEIHLAPWILEEEKSPSQEEAIGIQISDGEKSLGDSVSQVLSPPYVGSLVRGHDILTENLSETLCLPPLEAFLETKMLHFHTPLDTLSSPLPPGHENSFEKLHFNLPKEAECEYECTDRDDERVVDAFTVPAPLDEESLAEDVILPMESESECALKDDGSTSDDFTAGVGNMDSVDMLLPVKEAVLGTLVEQIKIVDIVAIDSSSDVEKQDMYRSQSQLRKDKFCHDSGHLLNEITQDVRNKCTDSISLISGQIESVNLSMTQELVFNIMNKDQTLQSDMEILESCVKAEEKTSANHNIWSRRGRSNRAPQVRTSKSTVKNAANVDAEVAMSKEKDIRKRTITKNLFSVQDGEVEEEEIFTPDKENFSPNTLQKRLLKKDKVEEIKHSRSQRSRPFSKHRSESTALSKMNQKDIINKTISKDLFSVSDGEKEEEFFAPDKENISPNTLQLKLLKNKGKVEEIKRSKSKMSPLSKGIFNPDIYPNESTGPTLCKTNQTDVKNSTMSDDFISDFDGEEEEEEIFTPNKENFSPNTLQLRLLKNKGKVEEIECFKPQKSPLSKGTFNLDVYPNEIIGSACGKLKQIDTINSSISKGHFSDLAWEEEDEEIFTPDKENFSPNAYQLRLLTKKGKVAEIKHSKSPWKDNQKLQRNPFCSQINLAQEQHLVTSEDRVERVPFQSLNSSADKGKSNTFYPVSAAKSFHFSNCGQILDQHINHPSDISGVPKKTSWDMIVDTSCLVNKESRKALQLLQGLKGTRLIIPRLVIRELDRMMRQFKIFRRTSEASLALEWIEECIVKTNWWIKIQSSADEGRLIAPTPPASPQSQFSEDSGTSLSYQKCMEIVSPTVEDHILDFALLYRRNQNDGQLVLLSEDSPLKIKCMAEGLLCEPVQEFRESLVNPFSERFLWTNSSPRGQTWSCKDDVVLREKYCRVPFCKSLKTTASGLKLILLHNSKYGL >Vigun08g022900.1.v1.2 pep primary_assembly:ASM411807v1:8:1969723:1972985:1 gene:Vigun08g022900.v1.2 transcript:Vigun08g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNGSWDEDEDEEFEFSRNYFLAKELASSAKKSKHKLTDIDVVDEQELREAASRIQPKHEDEIALLLDSYKTMYPEWLLALRCGFGLLMYGFGSKKTLIEDFASTALTEYSVVVINGYLQSINLKQAMIALAEVLWDQIKTKRRVSHRELPKNQFNSQSMEELLTFLDQAEIDDVDFFVCVVIHNIDGPGLRDSETQQYLARLAACARIRIVATIDHVNAPLFWDKNMAHTQFNWCWYHVPTFAPYKVEGMFYPMILAHGSASQTVKTATIVLLSLTRNAQSVFKVLAEHQLSHPDEGMPISDLYSVCRERFLVSSQVTLNSHLTEFKDHELVKIKKHSDGQDCLHIPLTAEALQKVVLEIN >Vigun04g043100.1.v1.2 pep primary_assembly:ASM411807v1:4:3731377:3734369:-1 gene:Vigun04g043100.v1.2 transcript:Vigun04g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGYVINKCIFIEVWSRSATKTLLLMAVISPSQAFSYDVFLNFRGSDTRQGFTGYLNKALHDSGIHVFIDDEGLQSGKIITPELKEAIEKSRIAIPVLSTNYASSSFCLDELAVILDCSRRNGLLVLPVFYKVPPRHVRHQQGSYGEALARLEKRLLEEHNMENWKMALKEVAGHSGFHFEDGKEYEHKLVEKIVERVFSFINNGEERLHVADYPVGLGSQVLEIRKLLDARKNDASVNMIGIHGMGGVGKSTLARAVYNLITDQFEGSCFLQNVREESNKHGLKHLQSIILSQVLGMKEINLASEQQGISIIKNRLKRKKVLLILDDVDQHKQLQGIAGSSDWFGPGSIVIITTRDKQLLASHEVKTTHEMKELNKEDALKLLKFKAFKNGEVYPSYTEVLDQVVTYASGIPLALEVIGSNLFGKSVQEWESAIKQYKRIPNNQIREILEVSFDSLGEEEKSVFLDIACCFKGYKLWEIEEKLCALYDNCMKYHIGVLIEKSLIKISHDERVTFHDLIEDMGKKIDHQQSPREPGKRRRLWLHEDIIQVLKDNSVSD >Vigun04g034700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2879931:2881408:-1 gene:Vigun04g034700.v1.2 transcript:Vigun04g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRHRRHPNLRLPLPEISERRPRFPLPLPPTAPKPAAGDAITAADLENIAVLGHGNGGTVYKVRHKTTSATYALKIIHSNADATMRRRAFSETSILRRATDCPHVVRFHGSFEKPSGDVAILMEYMDGGTLETALATGGTFSEERLAAVARDILEGLACLHSRNIAHRDIKPANILVNSQGEVKIADFGVSKLMCRTLEACNSYVGTCAYMSPERFDPEASGGNYNGFAADIWSLGLTLFELYVGHFPFLETGQRPDWATLICAICYGDPPSLPATASAEFRSFVESCLKKESGDRWTAAQLLTHPFVCKNPETH >Vigun05g237200.1.v1.2 pep primary_assembly:ASM411807v1:5:43034700:43041114:1 gene:Vigun05g237200.v1.2 transcript:Vigun05g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRPVLDDGGPGPASNGDAAVAARPKTKPKDGEGGGDGEDPEQAKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPNNLTESDFEYLASRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFFKNPEGMWIPCGPKQQGSVQTTMQDLATKGLASKILPPPITRTDFEKVLARQRPTVSKTDLDVHERFTKEFGEEG >Vigun09g247700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41547353:41548509:1 gene:Vigun09g247700.v1.2 transcript:Vigun09g247700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNDKANAMRRIQRKLNLENIFHLFNVGAAILFFSHSFSFPITLQTLSHLHHTFISLFHNSFYAFLFLNAIILFLFALSNNKNKENNNNDNNVGVCTDDYDEILNHSESRLVVTAQQEQPRTAEKEFVETVTAVTETTAASSCTTVATVTEAVSVSEKKPYRRVQSESYERRMVVAAARGELKRWETVRLRRPFESELRCVEELSEEEFNRAVEEFIALHKRMQWEEQLTQNLACSPSN >Vigun10g064100.4.v1.2 pep primary_assembly:ASM411807v1:10:13942018:13946349:1 gene:Vigun10g064100.v1.2 transcript:Vigun10g064100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLSKFSKEEEEKVLDWKQRWMKALGEIGGISSSVEILNSSFERVEEHVEHWTEALSEVAPSSGVVDLYPRINFLVKQWVEGLCKGAEVPIREMEIDEKMDFLLKQWRVVCKRLSMSSDVAFFDPCGDMEISSVIEFHVLYCRETLSKAAGISRGAVLNSCGETDISNAIKLHIKCWSELSEEAGAVLDSDYFRYTFMKNWTKALYDISKDAVYIPR >Vigun10g064100.3.v1.2 pep primary_assembly:ASM411807v1:10:13940532:13948700:1 gene:Vigun10g064100.v1.2 transcript:Vigun10g064100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNKLDNIYEPYYSSKYKIYIFSEIVKVSKQSKSLGFAIKVCQLYSGGQGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLSKFSKEEEEKVLDWKQRWMKALGEIGGISSSVEILNSSFERVEEHVEHWTEALSEVAPSSGVVDLYPRINFLVKQWVEGLCKGAEVPIREMEIDEKMDFLLKQWRVVCKRLSMSSDVAFFDPCGDMEISSVIEFHVLYCRETLSKAAGISRGAVLNSCGETDISNAIKLHIKCWSELSEEAGAVLDSDYFRYTFMKNWTKALYDISKDAVYIPSVQKLKAYNRIESLVKHWRVALSEAVGILRLVVQPYRGITNNEVNYIEKCARDALREAAGISGVVILNSR >Vigun10g064100.2.v1.2 pep primary_assembly:ASM411807v1:10:13940532:13948700:1 gene:Vigun10g064100.v1.2 transcript:Vigun10g064100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNKLDNIYEPYYSSKYKIYIFSEIVKVSKQSKSLGFAIKVCQLYSGGQGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLSKFSKEEEEKVLDWKQRWMKALGEIGGISSSVEILNSSFERVEEHVEHWTEALSEVAPSSGVVDLYPRKMADRINFLVKQWVEGLCKGAEVPIREMEIDEKMDFLLKQWRVVCKRLSMSSDVAFFDPCGDMEISSVIEFHVLYCRETLSKAAGISRGAVLNSCGETDISNAIKLHIKCWSELSEEAGAVLDSDYFRYTFMKNWTKALYDISKDAVYIPSVQKLKAYNRIESLVKHWRVALSEAVGILRLVVQPYRGITNNEVNYIEKCARDALREAAGISGVVILNSR >Vigun10g064100.5.v1.2 pep primary_assembly:ASM411807v1:10:13942018:13946349:1 gene:Vigun10g064100.v1.2 transcript:Vigun10g064100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRFSFTSHLYTALQNAGIVVFKDDEALPRGNQISPSLRLAIEESRISVVVFSKNYAESWWCLKELEKIMECHRTIGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLSKFSKEEEEKVLDWKQRWMKALGEIGGISSSVEILNSSFERVEEHVEHWTEALSEVAPSSGVVDLYPRINFLVKQWVEGLCKGAEVPIREMEIDEKMDFLLKQWRVVCKRLSMSSDVAFFDP >Vigun05g014300.1.v1.2 pep primary_assembly:ASM411807v1:5:1143739:1146446:-1 gene:Vigun05g014300.v1.2 transcript:Vigun05g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIDMSLDDIIRSSAEAAATRRRFTFVRNPVRATPYPVPRARHRGMIPEMVFEDDGAAMIESGTKLLISNLDLGVSNGDMKLLFSEEGELKRCSIHYDQNGRSKGTAEVVFMRHSDALSAIKKYNNMRLDGKPLQIELVGTSSPVVAPLHQNNLLGPSASLLSKGERVGGRGFHNDFVQGYIPRVHGEEKGYIRKLYFTDLGHALERPHRVPIGHAKVKNNNRKVTAKDLDDDLERYHLEAKRIKQQNGK >Vigun08g084750.1.v1.2 pep primary_assembly:ASM411807v1:8:18549518:18549881:-1 gene:Vigun08g084750.v1.2 transcript:Vigun08g084750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGFTEQRKPPSPNGGGLTGCCSHCPLYPSEPSLLRPTLFTFFCEVINYGNEKMVHLQSKKFQFASETQSNPQSNL >Vigun08g084750.2.v1.2 pep primary_assembly:ASM411807v1:8:18549605:18549881:-1 gene:Vigun08g084750.v1.2 transcript:Vigun08g084750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGFTEQRKPPSPNGGGLTGCCSHCPLYPSEPSLLRPTLFTFFCEVINYGNEKM >Vigun10g029600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3717124:3719565:-1 gene:Vigun10g029600.v1.2 transcript:Vigun10g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLPFLFLSMILLPFQLISVAAQTKNSLVIGDSHTAGKATTPWLVSSPSGDFSFGFLPLKDSPDNFILCIWYAKIQTNTIVWFANRDNPSPKDSKVELTANDGLVLTAPNGDRLWNTATSLRVSKGSFNDTGNLVLQDGDSNSAWESFKDHRNTLLPYQTLERGQKLSSMLRENDFNRGRFELFFQNDGNLVMRSINLPSGYSNENYYESRTFESNTSGAGTQLVFDSSGDMYILRANNGRYNLSDEGVGASTTQFYLRATLDFDGVFTLYQHPKGSSGSGGWTPVWSHPDNICKNYLAGASSGVCGYNSICSLREDKRPTCQCPKWYSLADPNDPNGNCKPDFIQECFVDDLSNRKDLYDFEVLIDIDWPLSDYELQRPFSENQCKQSCMEDCMCSVAIFRLGDSCWKKKLPLSNGRVDAALNGGKAFMKVRKDNFSLTSPTTIVLKNNRNTLILALSVLLGSSALLNLILVFAICLSTSYVFRYKKKLRRVGKTDTTVETNLRCFTYEELEEATEGFDKVLGKGAFGVVYEGVVNIGSVTRVAVKRLNTFLLEEVQKEFKNELNVIGLTHHKNLVRLLGFCETESERILVYEYMSNGTLASLLFNVEKPSWKLRLQIASGVARGLLYLHEECITQIIHCDIKPQNILLDDYYVARISDFGLAKLLNMNQSRTNTAIRGTKGYVALEWFKNMPITAKVDVYSYGVLLLEIVSCRKSVEFELEDESKAILSEWAYECYSEGALDALIEGDKEALDDMKTVEKLVMIALWCVQEDPGFRPTMRNVTQMLEGVVEVEVPPCPSQVSVQYSLN >Vigun07g008500.2.v1.2 pep primary_assembly:ASM411807v1:7:725050:728502:-1 gene:Vigun07g008500.v1.2 transcript:Vigun07g008500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLDGIITRLLQVRGRPGKQVQLSEAEIKHLCMVSRDIFLKQPNLLELEPPIKICGDIHGQYSDLLRLFEHGGLPPRSNYLFLGDYVDRGKQSLETISLLLAYKIKYPQNFFLLRGNHECASINRVYGFYDECKRRFNVRLWKIFADCFNCMPVAAIIDEKILCMHGGLSPELHNLSQINSLPRPTEVPESGLLCDLLWSDPSKETEGWGENERGVSYTFGANLVTQFLGKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMTVDETLMCSFQILRPVETRKPKFGFGSKTTFKAILDATRVRPH >Vigun07g008500.1.v1.2 pep primary_assembly:ASM411807v1:7:725132:728278:-1 gene:Vigun07g008500.v1.2 transcript:Vigun07g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLDGIITRLLQVRGRPGKQVQLSEAEIKHLCMVSRDIFLKQPNLLELEPPIKICGDIHGQYSDLLRLFEHGGLPPRSNYLFLGDYVDRGKQSLETISLLLAYKIKYPQNFFLLRGNHECASINRVYGFYDECKRRFNVRLWKIFADCFNCMPVAAIIDEKILCMHGGLSPELHNLSQINSLPRPTEVPESGLLCDLLWSDPSKETEGWGENERGVSYTFGANLVTQFLGKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMTVDETLMCSFQILRPVETRKPKFGFGSKTTFKQAILDATRVRPH >Vigun09g130700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:28895799:28898331:-1 gene:Vigun09g130700.v1.2 transcript:Vigun09g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSKFRKAAEVSCVFRRSQKLPTYLRRFSLPSEESPSSDPQLPLRFCISLALKFETLSSGKYRALVSEVLCTNNWFSLYWEALEYLKKSGVLITSDSVCVLIRAYWRVGLTEKAIESFGRMGELGCRPDARAYNTILDIVFQKELFVLGFAVYNLMLKTNCGPNERTFDMLIGGFCRSDDVNGALEMLEEMRQRDVEPSEMTFLAIFSGLCRGGRVHEAHRLFNVMRESGRKPNFMSYCVLFNGYCKMGRLDEAVSILQLLERDRLPLNVKGYSHLIAGFFRERRYNEAHSWYGRMLKKGIVPDVVLYTILIRGLSSEGRIGEAVNMFCEMTRRGLVPDAVCYNEVIKGLCDANLLDRARALQLQISEHEGFHNVCTHTILICDLCKRGMVAEAQEIFNQMEKPGCLPSVVTFNALIYGLCKAGKLEEAQLMLYKMEIGRSPSLFFRLSQGSDQVLDGVSLKKKVEQMCEAGQILEAYKFLIQLADSGVMPDIVTYNVLIYGLCRASKVNGALKLFMDMHNKGLSPDSVTYGTLIDGLFRAAREEDALKMYDHMLKHGCQPSFEICKAIMTWLSRNRKVSQAFSFYLDFLKNLRGREDDSINALEGYFVRGEVELAIQGLLELDLRFRDFNLAPYTILLIGFCQAKQLDEALIVFSVLDKLNININPPSCVYLIEGLCTKGRLDDAVNIFLYALDKGFALQSRICERLLKCLFCSPDKRDYAIDLVRRMKSSGYRLNYLVGTNAAFT >Vigun09g261700.2.v1.2 pep primary_assembly:ASM411807v1:9:42680035:42684368:1 gene:Vigun09g261700.v1.2 transcript:Vigun09g261700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRVVCWVVWCLGFVGAANVTSSRPAIVNIGAIFNLDSILGKVAKITLEEAVKDVNADTSILHGTKIVLTMQNSNYSGFLGMVQALRFMETDVVAIIGPQSSVVAHIISHVANELRVPLLSFAATDPTLTSLQFPFFVRTTQSDLYQMKAVAEIIDYYGWKEVIAIYVDDDYGRNGVAALDDELAARRCRISFKEGINSGTEVNRGEITSLLVKVALMQSRVIVLHAQTDYGFMVFNVARYLGMTNNGYVWIVTDWLSSLLDSASLPSEKMDVLQGVLVLRQHTPDSDRKRAFVSRWNKLTGGSLGLHSYGLYAYDSVWLVARAMDAFFSQGGVVSCTNYTRLGGGDKGGDLNLDAMSIFDNGTLLLKNIMQSDFVGVSGRMKFEPDRSLVHPAYDILNVVGTGLRRVGYWSNYSGLSIVSPEILYAKPPNRSSANQKLYSVIWPGETLSKPRGWVFPNNGRQLRIGVPIRVSYREFVSPVKGTDMFKGFCVDVFTAALNLLPYAVPYQFVPFGDGHKNPSYTELVRLITTGYFDGAIGDIAIVTNRTRVVDFTQPYAASGLVVVAPFTKINSGGWAFLQPFTPLMWIVTACFFLFIGIVIWILEHRINDEFRGPPRQQIITLLWREYHEWSWSVCDASMAICGVDPHFQLHCKFNIHTHSAAVIFSYQWNRKLKGW >Vigun09g261700.1.v1.2 pep primary_assembly:ASM411807v1:9:42679758:42684368:1 gene:Vigun09g261700.v1.2 transcript:Vigun09g261700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRVVCWVVWCLGFVGAANVTSSRPAIVNIGAIFNLDSILGKVAKITLEEAVKDVNADTSILHGTKIVLTMQNSNYSGFLGMVQALRFMETDVVAIIGPQSSVVAHIISHVANELRVPLLSFAATDPTLTSLQFPFFVRTTQSDLYQMKAVAEIIDYYGWKEVIAIYVDDDYGRNGVAALDDELAARRCRISFKEGINSGTEVNRGEITSLLVKVALMQSRVIVLHAQTDYGFMVFNVARYLGMTNNGYVWIVTDWLSSLLDSASLPSEKMDVLQGVLVLRQHTPDSDRKRAFVSRWNKLTGGSLGLHSYGLYAYDSVWLVARAMDAFFSQGGVVSCTNYTRLGGGDKGGDLNLDAMSIFDNGTLLLKNIMQSDFVGVSGRMKFEPDRSLVHPAYDILNVVGTGLRRVGYWSNYSGLSIVSPEILYAKPPNRSSANQKLYSVIWPGETLSKPRGWVFPNNGRQLRIGVPIRVSYREFVSPVKGTDMFKGFCVDVFTAALNLLPYAVPYQFVPFGDGHKNPSYTELVRLITTGYFDGAIGDIAIVTNRTRVVDFTQPYAASGLVVVAPFTKINSGGWAFLQPFTPLMWIVTACFFLFIGIVIWILEHRINDEFRGPPRQQIITLLWFSLSTLFFSHRENTMSGLGRFVMLLWLFVVLILTSSYTASLTSILTVQQLSSPISGIESLKAGDEPIGYQVGSFAEHYLTQDIGISKSRLIALGTPEEYAKALKLGPKRGGVAAIVDERPYVEIFLSSQCTFRIVGQEFTRSGWGFAFPRDSPLAEDMSTAILQLSETGDLQRIHDKWMTRSSCSLDNAEIDSDRLQLKSFWGLFLICGIACFVALLLHFLQIIFQLWKSPPSEPAASSTACSISGRFQRFLSLIDEKEDASRSNGRKRERSLEEQLGRQSKRVQLQTETTT >Vigun09g261700.3.v1.2 pep primary_assembly:ASM411807v1:9:42680104:42684368:1 gene:Vigun09g261700.v1.2 transcript:Vigun09g261700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRVVCWVVWCLGFVGAANVTSSRPAIVNIGAIFNLDSILGKVAKITLEEAVKDVNADTSILHGTKIVLTMQNSNYSGFLGMVQALRFMETDVVAIIGPQSSVVAHIISHVANELRVPLLSFAATDPTLTSLQFPFFVRTTQSDLYQMKAVAEIIDYYGWKEVIAIYVDDDYGRNGVAALDDELAARRCRISFKEGINSGTEVNRGEITSLLVKVALMQSRVIVLHAQTDYGFMVFNVARYLGMTNNGYVWIVTDWLSSLLDSASLPSEKMDVLQGVLVLRQHTPDSDRKRAFVSRWNKLTGGSLGLHSYGLYAYDSVWLVARAMDAFFSQGGVVSCTNYTRLGGGDKGGDLNLDAMSIFDNGTLLLKNIMQSDFVGVSGRMKFEPDRSLVHPAYDILNVVGTGLRRVGYWSNYSGLSIVSPEILYAKPPNRSSANQKLYSVIWPGETLSKPRGWVFPNNGRQLRIGVPIRVSYREFVSPVKGTDMFKGFCVDVFTAALNLLPYAVPYQFVPFGDGHKNPSYTELVRLITTGYFDGAIGDIAIVTNRTRVVDFTQPYAASGLVVVAPFTKINSGGWAFLQPFTPLMWIVTACFFLFIGIVIWILEHRINDEFRGPPRQQIITLLWFSLSTLFFSHRENTMSGLGRFVMLLWLFVVLILTSSYTASLTSILTVQQLSSPISGIESLKAGDEPIGYQVGSFAEHYLTQDIGISKSRLIALGTPEEYAKALKLGPKRGGVAAIVDERPYVEIFLSSQCTFRIVGQEFTRSGWGFAFPRDSPLAEDMSTAILQLSETGDLQRIHDKWMTRSSCSLDNAEIDSDRLQLKSFWGLFLICGIACFVALLLHFLQIIFQLWKSPPSEPAASSTACSISGRFQRFLSLIDEKEDASRSNGRKRERSLEEQLGRQSKRVQLQTETTT >Vigun10g076100.1.v1.2 pep primary_assembly:ASM411807v1:10:20601118:20603794:1 gene:Vigun10g076100.v1.2 transcript:Vigun10g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPGWRAISYILGNQIVERIATLGMMGNFMVFLLQFFNLGQVAAANLLGAWTGVSNLIPIIGACVADAYLGKFKTIAISSFGTLLGMVVLTLTAWVPQLHPPSCTSTEHCVPPTSSQLGFLILGLAWLALGTGGIGPCTIPFAIDQFDTTSSEGKKGVNRFFNWYYISQTIVTLISLTAIVYLENKSWVLAFGILSLLMSFSIIIFFVGASVYVYIPPEGTIFSGIAQVFVAAYKKHHLKIPVIEDEGLYYDPPVDAKTLLKMPLTKQLRCLNKAALIGDNEVNARGSVKNPWRLCSIQQVEEVKCLIKMLPIWASGILCLIPIVQQGTFPVSQALKMDRHLGAHFKLPAATYNAVSLITIGIFLPCFDIFIQPALAKVTKNEEGLTSLQKIVIGGICSVLTMLCAGLVEWRRRGVAISHGAPDGVAPMNAMWLAPQFVFLGLCEIFTLIGHFQFYSTESPEKMKSIGNSLQYLVMAFSIYVGTLMVNVVNQVTRKRGGIGWLNNDINAGRLDYYYFLVAGFAAMNLVYILLCVKRYHYKVVIVKAEVKDRP >Vigun05g176150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:32588799:32589146:-1 gene:Vigun05g176150.v1.2 transcript:Vigun05g176150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTFALFRTFLFKYNMHLSNGYIHLYCTGPPTLTSCGRCITKCSIESKNAGGKIFSSLQIVSMIEILTCSMTEACTAFAQISLKNKLTSVKTPHIGFGNISRNAKGISLCIKT >Vigun03g030100.1.v1.2 pep primary_assembly:ASM411807v1:3:2264440:2272718:-1 gene:Vigun03g030100.v1.2 transcript:Vigun03g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSPAILNLLFLCDLLLWLLPTFSFSLLAADAKPEPEFLLAGKNATSIVNASLGRSGDHSFANMIDRALEREFPENEQNEGTDPGGFNNSVAEQQAVLETVARVVPKKNESKEEKSFQFHNVFNLENENRADDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFASAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRAVAILGGLLQIFLFMCLCGITASLCGGKSSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGHVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGVVSMAKSLVTLIAFLAILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVIIIKTIVAASVVKGFGYNNKTSLLVGMSLAQIGEFSFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGALLRWFPPDSSTEIAFKGDSFRVDSAKRITLMVQGSHDS >Vigun03g030100.2.v1.2 pep primary_assembly:ASM411807v1:3:2264440:2272718:-1 gene:Vigun03g030100.v1.2 transcript:Vigun03g030100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSPAILNLLFLCDLLLWLLPTFSFSLLAADAKPEPEFLLAGKNATSIVNASLGRSGDHSFANMIDRALEREFPENEQNEGTDPGGFNNSVAEQQAVLETVARVVPKKNESKEEKSFQFHNVFNLENENRADDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFASAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRAVAILGGLLQIFLFMCLCGITASLCGGKSSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGHVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGVVSMAKSLVTLIAFLAILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVIIIKTIVAASVVKGFGYNNKTSLLVGMSLAQIGEFSFVLLSRASNLHLVEGKLYLLLLGTTALSLLCILVHCCGGSLLIVQLRLHLKGTAFVWTVLSVLL >Vigun09g038400.2.v1.2 pep primary_assembly:ASM411807v1:9:3411675:3417264:-1 gene:Vigun09g038400.v1.2 transcript:Vigun09g038400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRNASPPATSPEDNALFLDILHEAPLFAHRKPARVIGSVFYCILLAGYAAFAIGAQWIFLPVQGLISPVLCSCDVLLLLLTGIFQQYLVYQVQKIRLQGYYSFSQKLKFLVRIPFYITAYGTAAMLLVIVWKPYTGSLSISVILRIIMVIEAVSAGCFMSLYIGYIHQYNSLNSHPDVLKSLYSPLQPSSSLEGLRYHEGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGTDDRSTPQYS >Vigun09g038400.1.v1.2 pep primary_assembly:ASM411807v1:9:3409825:3417264:-1 gene:Vigun09g038400.v1.2 transcript:Vigun09g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRNASPPATSPEDNALFLDILHEAPLFAHRKPARVIGSVFYCILLAGYAAFAIGAQWIFLPVQGLISPVLCSCDVLLLLLTGIFQQYLVYQVQKIRLQGYYSFSQKLKFLVRIPFYITAYGTAAMLLVIVWKPYTGSLSISVILRIIMVIEAVSAGCFMSLYIGYIHQYNSLNSHPDVLKSLYSPLQPSSSLEGLRYHEGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGTDDRSTPQVDLAHLLAVRDQELRTLSAEMNQVQSELRLARSLIAERESEIQHFRTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSTLELQRKLSTLRSQYTSAAEATEPEP >Vigun03g152500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16100244:16100850:-1 gene:Vigun03g152500.v1.2 transcript:Vigun03g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAAIVCALGLNTMLQWMPSRTFNSGLKRKQMLALPTSTFTHSPSSPSTCVICLAEFCDGDPIRFLPNCNHFFHVLCIDKWLLSHSSCPTCRHLLNPNHHHHRLHSLHIIIT >Vigun05g170900.1.v1.2 pep primary_assembly:ASM411807v1:5:30520823:30529295:-1 gene:Vigun05g170900.v1.2 transcript:Vigun05g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRESDIPVLFLVLVVLPLVAYILLGKWSETTKKRDRINLLAHLAAEEALRAEEMAVADVIPPVSASKGEHHECARCAAPARTRCSKCKSVRYCSGNCQIIHWRLVHKQECQQLEPHKSSSFPMAVSAEEFGHGNYLYENLNNQLLSPTLKQTLRESAPMDNLVHPLVATAAPATADFPLFNNFQSSTFERTSHKPNRETRRRDNGSIYESSIESSDYKATSSLPSVVSKEAFMRQKSRNSNDSVMEEEVSNVNSGGFGVYINRLDASRTTIHEDENHQNQYGNAFVTRNKYGRPNSDNIVDEFNTDIAAKGVNVVKGGTYHSEETAQHKRSSEMTIKGSVKAKKAMHTPKTKSSKSPKSTSKTSTDFCCSEIEKKGKTADEPKVASISDSPLHGNVSNGAAGTGIMKMMGLKKSTKPCPLASTEGIDVKFKKVKKIKMLFPYDEFVKIFQSDIFGICPRGLLNCGNSCYANAVLQCLTSTKPLVVYLLYRSHSKACCAKDWCLMCELEQHIMILRENGAPLSPSRILWHMRSINCQMGEGSQEDAHEFLRLLIASMQSICLEGLGGEKKVDPRLQETTFIQHTFGGRLQSKVKCLNCNHESERYENIMDLTLEILGWVESLEDALTQFTSPEDLDGENMYRCGRCTAYVRARKQLSIHEAPNILTIVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVQPVLINQVMSEGAYILFYMRSCPRPPVEHTGKAIQQSVYDASKYNPMEMQKPKPGHGRHGSQSFVPEASPNGRPEMVTRIVDTTNGFLRKSTNRNALPMTQTYAENVRHEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYGDSCNMDPISSIFNYTPEKSYMKFSHSRPVTRVFPQKGHVEQIQRIDQSKRVSYSSSNEHPPNGNCGMYVYYGSNPVCGITRTSSSQCEF >Vigun02g019480.1.v1.2 pep primary_assembly:ASM411807v1:2:6890462:6898870:1 gene:Vigun02g019480.v1.2 transcript:Vigun02g019480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFPLFVDPMRKVIDKREAKTKGMSKTKLKSSFTAFLDLVAYKVIAVGPGHLDDDNNRRPLSMTLGTQFCTPNMLEMTSRENMMTILTRGSQIS >Vigun03g093000.2.v1.2 pep primary_assembly:ASM411807v1:3:7841160:7849912:-1 gene:Vigun03g093000.v1.2 transcript:Vigun03g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGLDLDDDYYYDDDYDDYDYDDVDAEGHGGPDTKQEAIRPGLWQCSICTYDNEESMAFCDICGVVRRPLPNIGTSDSSKTAEDIHKIPGASKLARSLFQSSPQQIPKEVILFPKPGDGFLTDGNIFYKFENVRGEFHEIHKDFSTQSHPHIKIDPFKFDDPSPDDVIFTGLHSSKVGLKDNATNRKSSKISSSIREINELSVQSNAESSNNLSSLTQKSRQDSSAKSKLSKNVATGLQASGKTSNSLPGSLPKDKGENINKINSLKNGTIDIQSSKEKSGSSSALSKVKESDKNSFPSAKDGKPESISSNFNMTADVRSGNSDNTNAKKPHSRVSYKPEKWMLPQQAEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEREAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDRVIVAVNKMDAVAYTKDRFDFIRQHLGAFLHSCGFKDSSLSWIPMSAMENQNLVASPSDTRFKNWYGGPYLLDAIDSLQAPTREFSKPLLMPVCDVIKSTMLGQASASGKLEAGALRTGSKVLVMPSAVVGTVRSLERDSNACTVARAGDNVAVTLQGVDGNQVMAGDVLCHPDFPVAVAKHLELKVLVLDGASPILVGTQLEFHIHHAKEPARVSRILSLLDPKTGKVTKKSPRCLTAKQSAVIEVVLNESVCVVEFSSCKALGRVSLRSMGRTIAVGVVTRIIEDEG >Vigun03g093000.5.v1.2 pep primary_assembly:ASM411807v1:3:7841160:7849912:-1 gene:Vigun03g093000.v1.2 transcript:Vigun03g093000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGLDLDDDYYYDDDYDDYDYDDVDAEGHGGPDTKQEAIRPGLWQCSICTYDNEESMAFCDICGVVRRPLPNIGTSDSSKTDNATNRKSSKISSSIREINELSVQSNAESSNNLSSLTQKSRQDSSAKSKLSKNVATGLQASGKTSNSLPGSLPKDKGENINKINSLKNGTIDIQSSKEKSGSSSALSKVKESDKNSFPSAKDGKPESISSNFNMTADVRSGNSDNTNAKKPHSRVSYKPEKWMLPQQAEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEREAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDRVIVAVNKMDAVAYTKDRFDFIRQHLGAFLHSCGFKDSSLSWIPMSAMENQNLVASPSDTRFKNWYGGPYLLDAIDSLQAPTREFSKPLLMPVCDVIKSTMLGQASASGKLEAGALRTGSKVLVMPSAVVGTVRSLERDSNACTVARAGDNVAVTLQGVDGNQVMAGDVLCHPDFPVAVAKHLELKVLVLDGASPILVGTQLEFHIHHAKEPARVSRILSLLDPKTGKVTKKSPRCLTAKQSAVIEVVLNESVCVVEFSSCKALGRVSLRSMGRTIAVGVVTRIIEDEG >Vigun03g093000.4.v1.2 pep primary_assembly:ASM411807v1:3:7841160:7849912:-1 gene:Vigun03g093000.v1.2 transcript:Vigun03g093000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGLDLDDDYYYDDDYDDYDYDDVDAEGHGGPDTKQEAIRPGLWQCSICTYDNEESMAFCDICGVVRRPLPNIGTSDSSKTDPFKFDDPSPDDVIFTGLHSSKVGLKDNATNRKSSKISSSIREINELSVQSNAESSNNLSSLTQKSRQDSSAKSKLSKNVATGLQASGKTSNSLPGSLPKDKGENINKINSLKNGTIDIQSSKEKSGSSSALSKVKESDKNSFPSAKDGKPESISSNFNMTADVRSGNSDNTNAKKPHSRVSYKPEKWMLPQQAEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEREAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDRVIVAVNKMDAVAYTKDRFDFIRQHLGAFLHSCGFKDSSLSWIPMSAMENQNLVASPSDTRFKNWYGGPYLLDAIDSLQAPTREFSKPLLMPVCDVIKSTMLGQASASGKLEAGALRTGSKVLVMPSAVVGTVRSLERDSNACTVARAGDNVAVTLQGVDGNQVMAGDVLCHPDFPVAVAKHLELKVLVLDGASPILVGTQLEFHIHHAKEPARVSRILSLLDPKTGKVTKKSPRCLTAKQSAVIEVVLNESVCVVEFSSCKALGRVSLRSMGRTIAVGVVTRIIEDEG >Vigun03g093000.1.v1.2 pep primary_assembly:ASM411807v1:3:7841160:7849912:-1 gene:Vigun03g093000.v1.2 transcript:Vigun03g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGLDLDDDYYYDDDYDDYDYDDVDAEGHGGPDTKQEAIRPGLWQCSICTYDNEESMAFCDICGVVRRPLPNIGTSDSSKTAEDIHKIPGASKLARSLFQSSPQQIPKEVILFPKPGDGFLTDGNIFYKFENVRGEFHEIHKDFSTQSHPHIKIDPFKFDDPSPDDVIFTGLHSSKVGLKDNATNRKSSKISSSIREINELSVQSNAESSNNLSSLTQKSRQDSSAKSKLSKNVATGLQASGKTSNSLPGSLPKDKGENINKINSLKNGTIDIQSSKEKSGSSSALSKVKESDKNSFPSAKDGKPESISSNFNMTADVRSGNSDNTNAKKPHSRVSYKPEKWMLPQQAEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEREAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDRVIVAVNKMDAVAYTKDRFDFIRQHLGAFLHSCGFKDSSLSWIPMSAMENQNLVASPSDTRFKNWYGGPYLLDAIDSLQAPTREFSKPLLMPVCDVIKSTMLGQASASGKLEAGALRTGSKVLVMPSAVVGTVRSLERDSNACTVARAGDNVAVTLQGVDGNQVMAGDVLCHPDFPVAVAKHLELKVLVLDGASPILVGTQLEFHIHHAKEPARVSRILSLLDPKTGKVTKKSPRCLTAKQSAVIEVVLNESVCVVEFSSCKALGRVSLRSMGRTIAVGVVTRIIEDEG >Vigun03g093000.3.v1.2 pep primary_assembly:ASM411807v1:3:7841160:7849912:-1 gene:Vigun03g093000.v1.2 transcript:Vigun03g093000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVSYGLDLDDDYYYDDDYDDYDYDDVDAEGHGGPDTKQEAIRPGLWQCSICTYDNEESMAFCDICGVVRRPLPNIGTSDSSKTAEDIHKIPGASKLARSLFQSSPQQIPKEVILFPKPGDGFLTDGNIFYKFENVRGEFHEIHKDFSTQSHPHIKIDNATNRKSSKISSSIREINELSVQSNAESSNNLSSLTQKSRQDSSAKSKLSKNVATGLQASGKTSNSLPGSLPKDKGENINKINSLKNGTIDIQSSKEKSGSSSALSKVKESDKNSFPSAKDGKPESISSNFNMTADVRSGNSDNTNAKKPHSRVSYKPEKWMLPQQAEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEREAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAILVIDASLGSFEAGMDGSKGQTREHAQLIRSFGVDRVIVAVNKMDAVAYTKDRFDFIRQHLGAFLHSCGFKDSSLSWIPMSAMENQNLVASPSDTRFKNWYGGPYLLDAIDSLQAPTREFSKPLLMPVCDVIKSTMLGQASASGKLEAGALRTGSKVLVMPSAVVGTVRSLERDSNACTVARAGDNVAVTLQGVDGNQVMAGDVLCHPDFPVAVAKHLELKVLVLDGASPILVGTQLEFHIHHAKEPARVSRILSLLDPKTGKVTKKSPRCLTAKQSAVIEVVLNESVCVVEFSSCKALGRVSLRSMGRTIAVGVVTRIIEDEG >Vigun05g043200.5.v1.2 pep primary_assembly:ASM411807v1:5:3580810:3584428:1 gene:Vigun05g043200.v1.2 transcript:Vigun05g043200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDGYTALVTNLSSRATENDVHNFFAYCGPIEHVEIIRSDENASTAYVTYQDAYALETALLLNGSMILDQCIFISRYEAYVNDYDNWGSHVSKPEDSITISQDDRMDKFVSSPGEALTLAQVVVKTMVAKGYVLGKDAFVMAKAFDESHNVSSTASSKVVELSNKIGLTDSINSGIETFKSVDEKYHVTDFTKSAATVTGTTAIVVASVTGKAAVAAGNAIANSRYFAKGALWVSDILTRAAKAAADLGQSEKK >Vigun05g043200.3.v1.2 pep primary_assembly:ASM411807v1:5:3580781:3584428:1 gene:Vigun05g043200.v1.2 transcript:Vigun05g043200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDGYTALVTNLSSRATENDVHNFFAYCGPIEHVEIIRSDENASTAYVTYQDAYALETALLLNGSMILDQCIFISRYEAYVNDYDNWGSHVSKPEDSITISQDDRMDKFVSSPGEALTLAQVVVKTMVAKGYVLGKDAFVMAKAFDESHNVSSTASSKVVELSNKIGLTDSINSGIETFKSVDEKYHVTDFTKSAATVTGTTAIVVASVTGKAAVAAGNAIANSRYFAKGALWVSDILTRAAKAAADLGQSEKK >Vigun05g043200.4.v1.2 pep primary_assembly:ASM411807v1:5:3580810:3584428:1 gene:Vigun05g043200.v1.2 transcript:Vigun05g043200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDGYTALVTNLSSRATENDVHNFFAYCGPIEHVEIIRSDENASTAYVTYQDAYALETALLLNGSMILDQCIFISRYEAYVNDYDNWGSHVSKPEDSITISQDDRMDKFVSSPGEALTLAQVVVKTMVAKGYVLGKDAFVMAKAFDESHNVSSTASSKVVELSNKIGLTDSINSGIETFKSVDEKYHVTDFTKSAATVTGTTAIVVASVTGKAAVAAGNAIANSRYFAKGALWVSDILTRAAKAAADLGQSEKK >Vigun05g043200.2.v1.2 pep primary_assembly:ASM411807v1:5:3580779:3584428:1 gene:Vigun05g043200.v1.2 transcript:Vigun05g043200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDGYTALVTNLSSRATENDVHNFFAYCGPIEHVEIIRSDENASTAYVTYQDAYALETALLLNGSMILDQCIFISRYEAYVNDYDNWGSHVSKPEDSITISQDDRMDKFVSSPGEALTLAQVVVKTMVAKGYVLGKDAFVMAKAFDESHNVSSTASSKVVELSNKIGLTDSINSGIETFKSVDEKYHVTDFTKSAATVTGTTAIVVASVTGKAAVAAGNAIANSRYFAKGALWVSDILTRAAKAAADLGQSEKK >Vigun02g165100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30978163:30979964:1 gene:Vigun02g165100.v1.2 transcript:Vigun02g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFSYFNLFFLGVAIFLSHPCFPARIVPESATVITSESQKTQTHNATWHDFSKFLYAERGSHVSGMSELKKYFHRFGYLALPETTPNFTDTFDSQFETAVFRYQKRLGLPVTGKLDSDTISAIVAPRCGVSDAATHGIHASRHYAYFNGKPRWTRGTPMTLTYAFSPYNMIDRVSVPEIRVVFERAFSRWASVIPVSFRETPEYDKADITIGFYLGDHGDGEPFDGVLGVLAHAFSPQNGRFHLDAAEMWSVDFEREDSRVAVDLESVATHEIGHVLGLGHSSVKEAVMYPSLSPRRKKVDLKIDDVAGVQSLYGSNPNFTFSSLLQSQNSLNAAVGLEARFFRWTLSLALLVLFLCV >Vigun03g021600.4.v1.2 pep primary_assembly:ASM411807v1:3:1591390:1595585:-1 gene:Vigun03g021600.v1.2 transcript:Vigun03g021600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFLRCDDPKGVVGCGTIRKYRTRSHKVKDKTKMQETSEIMETSLINKRYKEEKKVAEECDGNLVGPSSLQLTQVFGKDHMLDSWSRDIICEGKSEDIAKGILKGTIGLQDSLSMLRKLQEDAAQHTPSFGRKQTEKPERDRIDGNKIGRREANPLGEQSNTKGFQRPQLSAGGSSSNCKEELKKVIKESLVRQNMFPKTSEGFHSGSETFHISTSQCSGVKTDTLSDPSLSVIASKIERGPSLVFKLMGLEEGPSKSFPAAKQKLLGGEIDMSKVRNNDSTAEKVNPELKPVRETLDTMHFKGISKENFVKHVHHHFNDTSSKQFVDLSHISLTEPQCTIYQQSEKRAYVPVLPKELTKLRREILSSKTIKHRKGSSSTNMGKEMEKGMRKRLKKEEGPNFLKEDAKGSIPVEEYAGKVKLYCHIGHTSHVNETIDRKWKVRPISRKQTEKDISQPTIVAEHQYKREIPSTKLSKLKSGSRIDKNEISCLKSRGSDNKKTTNSKDVNVDNKKVNSVIDSLTGRKNQMKEQSTVAEPEPAKLTVEQIRQREKKKNYPEIRISTRLEDELSMVCEADAFTNKIGEKCKLRKSSSGDDLITLLKSEHKNDGINAYSIDADREGTELKHFLLTSPSFIGHAKKLFNLDVDRPNTLKKDETVCSMANMRLYLDCAYELTERKSLENSRLRISLCRLVEEICDGIENLKFYREDYDRGEEDFSYNNDTFAMMEKDMKCNGEINGTWESGWRTGFCADEAQLVVNKIENLIITGLIEDLVINLLA >Vigun03g021600.2.v1.2 pep primary_assembly:ASM411807v1:3:1591298:1595823:-1 gene:Vigun03g021600.v1.2 transcript:Vigun03g021600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFLRCDDPKGVVGCGTIRKYRTRSHKVKDKTKMQETSEIMETSLINKRYKEEKKVAEECDGNLVGPSSLQLTQVFGKDHMLDSWSRDIICEGKSEDIAKGILKGTIGLQDSLSMLRKLQEDAAQHTPSFGRKQTEKPERDRIDGNKIGRREANPLGEQSNTKGFQRPQLSAGGSSSNCKEELKKVIKESLVRQNMFPKTSEGFHSGSETFHISTSQCSGVKTDTLSDPSLSVIASKIERGPSLVFKLMGLEEGPSKSFPAAKQKLLGGEIDMSKVRNNDSTAEKVNPELKPVRETLDTMHFKGISKENFVKHVHHHFNDTSSKQFVDLSHISLTEPQCTIYQQSEKRAYVPVLPKELTKLRREILSSKTIKHRKGSSSTNMGKEMEKGMRKRLKKEEGPNFLKEDAKGSIPVEEYAGKVKLYCHIGHTSHVNETIDRKWKVRPISRKQTEKDISQPTIVAEHQYKREIPSTKLSKLKSGSRIDKNEISCLKSRGSDNKKTTNSKDVNVDNKKVNSVIDSLTGRKNQMKEQSTVAEPEPAKLTVEQIRQREKKKNYPEIRISTRLEDELSMVCEADAFTNKIGEKCKLRKSSSGDDLITLLKSEHKNDGINAYSIDADREGTELKHFLLTSPSFIGHAKKLFNLDVDRPNTLKKDETVCSMANMRLYLDCAYELTERKSLENSRLRISLCRLVEEICDGIENLKFYREDYDRGEEDFSYNNDTFAMMEKDMKCNGEINGTWESGWRTGFCADEAQLVVNKIENLIITGLIEDLVINLLA >Vigun03g021600.1.v1.2 pep primary_assembly:ASM411807v1:3:1591298:1595823:-1 gene:Vigun03g021600.v1.2 transcript:Vigun03g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFLRCDDPKGVVGCGTIRKYRTRSHKVKDKTKMQETSEIMETSLINKRYKEEKKVAEECDGNLVGPSSLQLTQVFGKDHMLDSWSRDIICEGKSEDIAKGILKGTIGLQDSLSMLRKLQEDAAQHTPSFGRKQTEKPERDRIDGNKIGRREANPLGEQSNTKGFQRPQLSAGGSSSNCKEELKKVIKESLVRQNMFPKTSEGFHSGSETFHISTSQCSGVKTDTLSDPSLSVIASKIERGPSLVFKLMGLEEGPSKSFPAAKQKLLGGEIDMSKVRNNDSTAEKVNPELKPVRETLDTMHFKGISKENFVKHVHHHFNDTSSKQFVDLSHISLTEPQCTIYQQSEKRAYVPVLPKELTKLRREILSSKTIKHRKGSSSTNMGKEMEKGMRKRLKKEEGPNFLKEDAKGSIPVEEYAGKVKLYCHIGHTSHVNETIDRKWKVRPISRKQTEKDISQPTIVAEHQYKREIPSTKLSKLKSGSRIDKNEISCLKSRGSDNKKTTNSKDVNVDNKKVNSVIDSLTGRKNQMKEQSTVAEPEPAKLTVEQIRQREKKKNYPEIRISTRLEDELSMVCEADAFTNKIGEKCKLRKSSSGDDLITLLKSEHKNDGINAYSIDADREGTELKHFLLTSPSFIGHAKKLFNLDVDRPNTLKKDETVCSMANMRLYLDCAYELTERKSLENSRLRISLCRLVEEICDGIENLKFYREDYDRGEEDFSYNNDTFAMMEKDMKCNGEINGTWESGWRTGFCADEAQLVVNKIENLIITGLIEDLVINLLA >Vigun03g021600.3.v1.2 pep primary_assembly:ASM411807v1:3:1591342:1595824:-1 gene:Vigun03g021600.v1.2 transcript:Vigun03g021600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFLRCDDPKGVVGCGTIRKYRTRSHKVKDKTKMQETSEIMETSLINKRYKEEKKVAEECDGNLVGPSSLQLTQVFGKDHMLDSWSRDIICEGKSEDIAKGILKGTIGLQDSLSMLRKLQEDAAQHTPSFGRKQTEKPERDRIDGNKIGRREANPLGEQSNTKGFQRPQLSAGGSSSNCKEELKKVIKESLVRQNMFPKTSEGFHSGSETFHISTSQCSGVKTDTLSDPSLSVIASKIERGPSLVFKLMGLEEGPSKSFPAAKQKLLGGEIDMSKVRNNDSTAEKVNPELKPVRETLDTMHFKGISKENFVKHVHHHFNDTSSKQFVDLSHISLTEPQCTIYQQSEKRAYVPVLPKELTKLRREILSSKTIKHRKGSSSTNMGKEMEKGMRKRLKKEEGPNFLKEDAKGSIPVEEYAGKVKLYCHIGHTSHVNETIDRKWKVRPISRKQTEKDISQPTIVAEHQYKREIPSTKLSKLKSGSRIDKNEISCLKSRGSDNKKTTNSKDVNVDNKKVNSVIDSLTGRKNQMKEQSTVAEPEPAKLTVEQIRQREKKKNYPEIRISTRLEDELSMVCEADAFTNKIGEKCKLRKSSSGDDLITLLKSEHKNDGINAYSIDADREGTELKHFLLTSPSFIGHAKKLFNLDVDRPNTLKKDETVCSMANMRLYLDCAYELTERKSLENSRLRISLCRLVEEICDGIENLKFYREDYDRGEEDFSYNNDTFAMMEKDMKCNGEINGTWESGWRTGFCADEAQLVVNKIENLIITGLIEDLVINLLA >VigunL010700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:1985:2284:-1 gene:VigunL010700.v1.2 transcript:VigunL010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GKEKCYSEGKEETKIGTEISFDSPILKKEISKVPSLSEKWEIHGKLESLPRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >VigunL059024.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000217.1:10956:12043:1 gene:VigunL059024.v1.2 transcript:VigunL059024.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANASIHHATIIFLHSRATVSDNHGSSLTPPRRTTAKRCAILQKHRNTTNSHTRLCTVADRERRKKRSSAATVPSPPRHAALPCNHHFRASATCASSSSSRLHRLHSHRCNNEHHHAGKQHQPPSAAQPGRRV >Vigun08g220000.1.v1.2 pep primary_assembly:ASM411807v1:8:37893277:37897764:-1 gene:Vigun08g220000.v1.2 transcript:Vigun08g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASASSDFIKPRDVCIVGVARTPMGGFLGTLSSLSATKLGSIAIEAALKRANVDPSLVEEVIFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGTNDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNHAFTREDQDNYAIQSFERGIAAQDSGAFKWEIVPVEVSGGRGKPSTVVDRDEGIGKFDAAKLRKLRPSFKETGGSVTAGNASSISDGAAALVLVSGEKALKLGLEVLAKITGFADAAQEPELFTTAPSLAIPKAISNAGLEASQIDYYEINEAFAVVALANQKLLGLNSEKVNVHGGAVALGHPLGCSGARVLVTLLGVLKQKNGKYGVGGICNGGGGASALVVELL >Vigun06g030701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13432655:13433758:1 gene:Vigun06g030701.v1.2 transcript:Vigun06g030701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVPSLTVFFSFLFFLTLTVARDLQNPFSEPQPHSEPVNLPEPKTHHGTVAFTVDTIDPVPLTFLRFRPINRHFHHFRESTLPLSLRSSRRRFHHGHRLQIPYGNDAIVLDNAAARRIHPRWVTVHADSEPRVLATEEHVDSAHEHHHRGENQHHHQHREENSFAKKIRKFLNLF >Vigun02g130500.1.v1.2 pep primary_assembly:ASM411807v1:2:28150606:28155416:-1 gene:Vigun02g130500.v1.2 transcript:Vigun02g130500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDIGEGEALLNPRSFKVHGKMCTELAKLVDRISRIVPDIEAARPGFSSGIESLCLLNNTIEKARVLMQHCSECSKLYLVMTGDTVLSRCLKTTRLLEKSLIQIQNMVPVMLAVKVSRIIHDLECTRFVLDPDEEEAGRVVKEELLTSTSDSVEDSEVNALQSVASRLKITSPKAIVTEQRSIRKLLDKLGPHDLTKKEILRYLLHLLKKHAKLLVEEHEERVYSPEEHVETDNSRHSLRSHHVESDQSLNYDQYITHSSELGGVDLPEEYKCPISSRLMYDPVIIESGVTYERMWIKKWFDGGNDICPKTRKALIHTALTPNVVMKDLISKWCRENGVSIPDPSRHAEDISTWEASSTSINSIGSYFNDFNPPVDLSNMSIGSLDASFSSDASHGRATRGSMLIRNSDNSERHQVHTEIHDTDLMLLPQLGNLQWDSQCKVIQDLKDHLKSNSQAFVSVSAENFVEPLLRFLNNAYDLRDFQALKAGTQLLLEFVNNCRNGNTNLNEETFIMLASFLDSEATEEILAILEELSGCWYNAPKIAASSALNSILNILDSENKGFQQQAIRIMYNLSFIGEVCSRMLSLKCIPKLLPFFSDRNLLRYCIRILKNLCDTEEGRISVAETKGCVSSVTEILNTGNNEEQEHALAVLVSLCSHVDYLKLVMSEHDNIIISLCLISQNGNDKGKKSALELIHLLDDVKIGDNKGCSEPNISNSCGDSKTHPPEENRPPKKSTFLKKLSPFSKSSSHGSKSKRIQ >Vigun11g212401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40733473:40733787:-1 gene:Vigun11g212401.v1.2 transcript:Vigun11g212401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWWCVFFFFAMCIVCYVLLIVLCIVYCVPCRVLCVLYYQLCVVYFIVLLLCFVCCAQSLCVHSQSLYIKMIDNLLRVHELLCLWCYDVRFSILHCVLCVMCY >Vigun03g438600.2.v1.2 pep primary_assembly:ASM411807v1:3:64204453:64208206:1 gene:Vigun03g438600.v1.2 transcript:Vigun03g438600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPSYSSVETTSGYEDMFSEPGIPTMITWSYGGKEVAVEGSWDNWKARMPLQRSGKDFAIMKVLPSGVYQFRFIVDGLKRYTPDSPWARDDAGNAYNILDLQDYVPEDIGSISSFEPPQSPESSYDNLQLSSEDYAKEPPLVPPLLQMTLLNVAETNMEIQPPLSRPQHGVLNHLYTQKGKSSPTVVGLGTTHRFLAKYVTVVLYKSL >Vigun03g438600.5.v1.2 pep primary_assembly:ASM411807v1:3:64204453:64208206:1 gene:Vigun03g438600.v1.2 transcript:Vigun03g438600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRSGKDFAIMKVLPSGVYQFRFIVDGLKRYTPDSPWARDDAGNAYNILDLQDYVPEDIGSISSFEPPQSPESSYDNLQLSSEDYAKEPPLVPPLLQMTLLNVAETNMEIQPPLSRPQHGVLNHLYTQKGKSSPTVVGLGTTHRFLAKYVTVVLYKSL >Vigun03g438600.1.v1.2 pep primary_assembly:ASM411807v1:3:64204453:64208206:1 gene:Vigun03g438600.v1.2 transcript:Vigun03g438600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNVRGSEEEAEEASADGNAMPHSPPTISPFVFTPQLPEVPLQTSEEMHVPSYSSVETTSGYEDMFSEPGIPTMITWSYGGKEVAVEGSWDNWKARMPLQRSGKDFAIMKVLPSGVYQFRFIVDGLKRYTPDSPWARDDAGNAYNILDLQDYVPEDIGSISSFEPPQSPESSYDNLQLSSEDYAKEPPLVPPLLQMTLLNVAETNMEIQPPLSRPQHGVLNHLYTQKGKSSPTVVGLGTTHRFLAKYVTVVLYKSL >Vigun03g438600.3.v1.2 pep primary_assembly:ASM411807v1:3:64204542:64208026:1 gene:Vigun03g438600.v1.2 transcript:Vigun03g438600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNVRGSEEEAEEASADGNAMPHSPPTISPFVFTPQLPEVPLQTSEEMHVPSYSSVETTSGYEDMFSEPGIPTMITWSYGGKEVAVEGSWDNWKARMPLQRSGKDFAIMKVLPSGVYQFRFIVDGLKRYTPDSPWARDDAGNAYNILDLQDYVPEDIGSISSFEPPQSPESSYDNLQLSSEDYAKEPPLVPPLLQMTLLNVAETNMEIQPPLSRPQHGVLNHLYTQKGKSSPTVVGLGTTHRFLAKYVTVVLYKSL >Vigun03g438600.4.v1.2 pep primary_assembly:ASM411807v1:3:64204453:64208206:1 gene:Vigun03g438600.v1.2 transcript:Vigun03g438600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRSGKDFAIMKVLPSGVYQFRFIVDGLKRYTPDSPWARDDAGNAYNILDLQDYVPEDIGSISSFEPPQSPESSYDNLQLSSEDYAKEPPLVPPLLQMTLLNVAETNMEIQPPLSRPQHGVLNHLYTQKGKSSPTVVGLGTTHRFLAKYVTVVLYKSL >Vigun05g120600.3.v1.2 pep primary_assembly:ASM411807v1:5:13219336:13227545:-1 gene:Vigun05g120600.v1.2 transcript:Vigun05g120600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIPFSGILSPDPSQNPDFFNWNKVKIRYCDGASFAGHPESEQRGSELFFRGQVIWEAIMDELLSIGLSEAKQALLSGCSAGGLAALIHCDNFRQVLPKEATVKCLSDAGFFLDEKDISGNSTMRSFYHDVAQLQGLEKSLHKDCIAKMEPYKCLFPQEIVKNIKTPLFLVHPAYDFWQIRNILVPQGSDPLGHWQSCRLNIRNCNANMIDKLDGYRGSLLKTLNEFLQRKEIGMFINSCFVHCQTEMEVTWHSPNSPKINDKTIAESVGDWYFDREAVKRIDCSSFPCNPTCHNMDFT >Vigun05g120600.4.v1.2 pep primary_assembly:ASM411807v1:5:13219336:13226318:-1 gene:Vigun05g120600.v1.2 transcript:Vigun05g120600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIPFSGILSPDPSQNPDFFNWNKVKIRYCDGASFAGHPESERGSELFFRGQVIWEAIMDELLSIGLSEAKQALLSGCSAGGLAALIHCDNFRQVLPKEATVKCLSDAGFFLDEKDISGNSTMRSFYHDVAQLQGLEKSLHKDCIAKMEPYKCLFPQEIVKNIKTPLFLVHPAYDFWQIRNILVPQGSDPLGHWQSCRLNIRNCNANMIDKLDGYRGSLLKTLNEFLQRKEIGMFINSCFVHCQTEMEVTWHSPNSPKINDKTIAESVGDWYFDREAVKRIDCSSFPCNPTCHNMDFT >Vigun05g120600.2.v1.2 pep primary_assembly:ASM411807v1:5:13219336:13227545:-1 gene:Vigun05g120600.v1.2 transcript:Vigun05g120600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSSSPSSVPTISNLRFRALILGFSKNYAIAAFVFLLLFSLSIFSHLDSRSRSRPGYPSHPRSTLVPLTLLRNVNRTRALCLDGSAPGYHFQSGFGSGSRNWLLHIEGGGWCNSIASCTQRKFTHLGSSNYMEKLIPFSGILSPDPSQNPDFFNWNKVKIRYCDGASFAGHPESERGSELFFRGQVIWEAIMDELLSIGLSEAKQALLSGCSAGGLAALIHCDNFRQVLPKEATVKCLSDAGFFLDEKDISGNSTMRSFYHDVAQLQGLEKSLHKDCIAKMEPYKCLFPQEIVKNIKTPLFLVHPAYDFWQIRNILVPQGSDPLGHWQSCRLNIRNCNANMIDKLDGYRGSLLKTLNEFLQRKEIGMFINSCFVHCQTEMEVTWHSPNSPKINDKTIAESVGDWYFDREAVKRIDCSSFPCNPTCHNMDFT >Vigun05g120600.1.v1.2 pep primary_assembly:ASM411807v1:5:13219336:13227545:-1 gene:Vigun05g120600.v1.2 transcript:Vigun05g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSSSPSSVPTISNLRFRALILGFSKNYAIAAFVFLLLFSLSIFSHLDSRSRSRPGYPSHPRSTLVPLTLLRNVNRTRALCLDGSAPGYHFQSGFGSGSRNWLLHIEGGGWCNSIASCTQRKFTHLGSSNYMEKLIPFSGILSPDPSQNPDFFNWNKVKIRYCDGASFAGHPESEQRGSELFFRGQVIWEAIMDELLSIGLSEAKQALLSGCSAGGLAALIHCDNFRQVLPKEATVKCLSDAGFFLDEKDISGNSTMRSFYHDVAQLQGLEKSLHKDCIAKMEPYKCLFPQEIVKNIKTPLFLVHPAYDFWQIRNILVPQGSDPLGHWQSCRLNIRNCNANMIDKLDGYRGSLLKTLNEFLQRKEIGMFINSCFVHCQTEMEVTWHSPNSPKINDKTIAESVGDWYFDREAVKRIDCSSFPCNPTCHNMDFT >Vigun11g057733.1.v1.2 pep primary_assembly:ASM411807v1:11:11890934:11894068:-1 gene:Vigun11g057733.v1.2 transcript:Vigun11g057733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDRAILHRCDHTSTNAPDPIRTPQLSVLGREPKQPTSRPRAPAPRQVFKLRPNAKPICTLIVQYFTGAIIPALMHRIPSELRSEACLGESSTRMGDLLGSPRVAPLFYVIFFSYVLFITVFYCNQILNYHLNHSNRLLPKSLQTKTTHLTSPCDHTSTNAPDPIRTPQLSGLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNAPDPIRTPQLSVLGRECDHTSTNALDPIRTPQLSVLGRE >Vigun09g040300.1.v1.2 pep primary_assembly:ASM411807v1:9:3634828:3636513:-1 gene:Vigun09g040300.v1.2 transcript:Vigun09g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRPQPLIGKISELLVSGGRAAALFDAIGSPRSPFEHMNLKMQQSPKGLKSYDLGGVGLGIVVALDKSEEPGHEILPKHAVCTSSSNKSVKQQSAFQKGVTEIPVGSSEDYTYVTYHIPNKTITKVYYDGGEGGILTHGYYNINNNTNTNNDGGVRRFPQTHNLIEDDDEPSYATSDFLSTCHLCRKNLHGQDIYMYRGEKAFCSTDCRSRQISMDERKERCRSEASRSVELSSSSSHTREQMFSTGIVAL >Vigun01g052500.1.v1.2 pep primary_assembly:ASM411807v1:1:8859496:8864211:1 gene:Vigun01g052500.v1.2 transcript:Vigun01g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSAMSSYKLSSSAAISSFPFSGSRRQSNGVVLARRSRNARVSAMAKELHFNKDGTAIKKLQSGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKSLVSELKKMSKEVEDSELADVAAVSAGNNHEVGHMIAEALSKVGRKGVVTLEEGKSADNSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLFNILEDAIRSGYPIIVIAEDIEQEALATLVVNRLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKAGKEVLGSASKVVLTKDTTTIVGDGSTQEAVNKRVAQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIRDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPRYGYNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDGSGYGL >Vigun09g050900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5017873:5019227:-1 gene:Vigun09g050900.v1.2 transcript:Vigun09g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAGAVALHSSLCSTASEKPYSPLRSTVLHVAPKPKSLLQNHPLYPPTHAKLSLEFKEKILCLEVMGVDAGKALSQNPDLRTATMESIQSIITFLFSNGILEKDLPRIFGMCPKILTSDIKTDLTPVFDFILNELKVPENSFRRVINKCPRLLTSSVKDQLRPALVYLRRLGFKDLGALAYQDSVLLVSSVEHTLIPKLRFLETLGLSKDEIRSMVLRCPALLTFSIENNFQPKYGYFAGEMGRKVEELKEFPQYFAFSLENRIKPRHTEVVQSGITLPLSVMLKSTDEEFGELLKQGGG >Vigun02g197000.5.v1.2 pep primary_assembly:ASM411807v1:2:33206195:33216562:1 gene:Vigun02g197000.v1.2 transcript:Vigun02g197000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISELPLRSGTHSRVQILNSIWSTLFFPSSASSSSLALLVSQHFGLRLLETERQRDLLLLLLLLLLLLSMDLHNRPDHCFPEKKGQKRKLEEDFEDDRQISAPPTGHARDALLSDVNEQVIVLDSTFSWKESDRAAAKRATHALADLAKNEEVVNVIVEGGAIPALVKHLQAPPLAGSDRLQRPMPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALKHLVDLLKRHENGLTSRAINSLIRRAADAITNLAHENSSIKTRVRMEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLIPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADFIRVGGIQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVSEKAFQRRVALALAHLCSADDQRKIFIDHHGLELLMGLLGSYYNPKQQLDGAVALCKLANKATTLSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFIYTGSVEITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLESVSSMYELSEAFNAISLRHTCILFILEHFDKLSGKPGHSHLIQRIIPEIQNYFVKALTKANSNNHP >Vigun02g197000.1.v1.2 pep primary_assembly:ASM411807v1:2:33206195:33216562:1 gene:Vigun02g197000.v1.2 transcript:Vigun02g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISELPLRSGTHSRVQILNSIWSTLFFPSSASSSSLALLVSQHFGLRLLETERQRDLLLLLLLLLLLLSMDLHNRPDHCFPEKKGQKRKLEEDFEDDRQISAPPTGHARDALLSDVNEQVIVLDSTFSWKESDRAAAKRATHALADLAKNEEVVNVIVEGGAIPALVKHLQAPPLAGSDRLQRPMPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALKHLVDLLKRHENGLTSRAINSLIRRAADAITNLAHENSSIKTRVRMEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLIPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADFIRVGGIQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVSEKAFQRRVALALAHLCSADDQRKIFIDHHGLELLMGLLGSYYNPKQQLDGAVALCKLANKATTLSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFIYTGSVEITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLESVSSMYELSEAFNAISLRHTCILFILEHFDKLSGKPGHSHLIQRIIPEIQNYFVKALTKANSNNHP >Vigun02g197000.3.v1.2 pep primary_assembly:ASM411807v1:2:33206195:33214273:1 gene:Vigun02g197000.v1.2 transcript:Vigun02g197000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISELPLRSGTHSRVQILNSIWSTLFFPSSASSSSLALLVSQHFGLRLLETERQRDLLLLLLLLLLLLSMDLHNRPDHCFPEKKGQKRKLEEDFEDDRQISAPPTGHARDALLSDVNEQVIVLDSTFSWKESDRAAAKRATHALADLAKNEEVVNVIVEGGAIPALVKHLQAPPLAGSDRLQRPMPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALKHLVDLLKRHENGLTSRAINSLIRRAADAITNLAHENSSIKTRVRMEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLIPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADFIRVGGIQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVSEKAFQRRVALALAHLCSADDQRKIFIDHHGLELLMGLLGSYYNPKQQLDGAVALCKLANKATTLSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFIYTGSVEITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLESVSSMYELSEAFNAISLRHTCILFILEHFDKLSGKPGHSHLIQRIIPEIQNYFVKALTKANSNNHP >Vigun02g197000.4.v1.2 pep primary_assembly:ASM411807v1:2:33206193:33216562:1 gene:Vigun02g197000.v1.2 transcript:Vigun02g197000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISELPLRSGTHSRVQILNSIWSTLFFPSSASSSSLALLVSQHFGLRLLETERQRDLLLLLLLLLLLLSMDLHNRPDHCFPEKKGQKRKLEEDFEDDRQISAPPTGHARDALLSDVNEQVIVLDSTFSWKESDRAAAKRATHALADLAKNEEVVNVIVEGGAIPALVKHLQAPPLAGSDRLQRPMPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALKHLVDLLKRHENGLTSRAINSLIRRAADAITNLAHENSSIKTRVRMEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLIPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADFIRVGGIQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVSEKAFQRRVALALAHLCSADDQRKIFIDHHGLELLMGLLGSYYNPKQQLDGAVALCKLANKATTLSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKEARDIEIPNIRWEVFELMMRFIYTGSVEITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLESVSSMYELSEAFNAISLRHTCILFILEHFDKLSGKPGHSHLIQRIIPEIQNYFVKALTKANSNNHP >Vigun04g113800.1.v1.2 pep primary_assembly:ASM411807v1:4:28513754:28517843:-1 gene:Vigun04g113800.v1.2 transcript:Vigun04g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPTKNLRDSFNISYLRRLEWNKRRMKMEKDQQSSTEQKLLENDNQDNSNGQAPNLLNCEAGSVSSLFHEVLLVLSCCYCCFCCGACVDEK >Vigun04g113800.2.v1.2 pep primary_assembly:ASM411807v1:4:28513754:28517843:-1 gene:Vigun04g113800.v1.2 transcript:Vigun04g113800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPTKNLRDSFNISYLRRLEWNKRRMKMEKDQQSSTEQKLLENDNQDNSNGQAPNLLNCEAGLC >Vigun01g066100.1.v1.2 pep primary_assembly:ASM411807v1:1:17486405:17491434:1 gene:Vigun01g066100.v1.2 transcript:Vigun01g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVTVIGSGNWGSVAAKLIACNTITLHSFHDEVRMWVFEETLPSGEKLTDVINKTNENVKYLPGIKLGKNVVADPDLENAVKDANMLVFVTPHQFVEGICKRLAGKIRADAEGISLIKGMEVKKEGPSMISNLVTKQLGINCSVLMGANIANEIAMEKFSEATVGYNQNKSAADRWVQLFCTPYFDVTAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLKEMMAFSKMCFPSVKDSTFMESCGVADLITTCMGGRNRKVADAYARNGGKRSFDELEAEMLQGQKLQGVLTAKEVHEVLSNRGWLHIFPLFSAVHLISIGRLPPSAIVKISEEKPRYVQLLSSL >Vigun01g066100.2.v1.2 pep primary_assembly:ASM411807v1:1:17488703:17491434:1 gene:Vigun01g066100.v1.2 transcript:Vigun01g066100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFEETLPSGEKLTDVINKTNENVKYLPGIKLGKNVVADPDLENAVKDANMLVFVTPHQFVEGICKRLAGKIRADAEGISLIKGMEVKKEGPSMISNLVTKQLGINCSVLMGANIANEIAMEKFSEATVGYNQNKSAADRWVQLFCTPYFDVTAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLKEMMAFSKMCFPSVKDSTFMESCGVADLITTCMGGRNRKVADAYARNGGKRSFDELEAEMLQGQKLQGVLTAKEVHEVLSNRGWLHIFPLFSAVHLISIGRLPPSAIVKISEEKPRYVQLLSSL >Vigun08g062900.1.v1.2 pep primary_assembly:ASM411807v1:8:8577487:8581243:1 gene:Vigun08g062900.v1.2 transcript:Vigun08g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASATSLFLSSSFKLNAPTNNSVTLRFPLLNRRFNGCRIAASVSVSNPNLRTGPGDLVASILSKVVQTDGGVLLKNEEHKEVAEVAQELQKYCVSEPVKCPLIFGDWDVVYCSRPTSPGGGYRSAIGRLFFNTKQMVQVVEAPDIVRNKVTLSVLGFLDAEVSLKGKLTALDSEWIKVIFEAPEIKVGSWKVQYGGQSEVKLKITYVDDKIRLGLGSRGSLFVFQRI >Vigun07g056300.1.v1.2 pep primary_assembly:ASM411807v1:7:6130065:6172650:-1 gene:Vigun07g056300.v1.2 transcript:Vigun07g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVVTRNVAVFLPRCRSLSPFSHSPFPIFISCVPSRFLRLNRCVKNVSSYMENKVSRGSSRTTKKPKVPKNALDDKDLPHILWWKERLKLCKKFSTVQLIEKLEFSNLLGLDSKLKNGSVKEGTLNWELLQFKSKFPRQVLLCRVGEFYEAWGIDACVLVEYAGLNPCGGLQSDSVPRAGCPVMNLRQTLDDLTQNGYSVCIVEEVQGPTQARSRKRRFISGHAHPGNPYVYGLAAVDHDLNFPEPMPVIGISYSARGYCINMVLETMKTYSSEDCLTEEAIVTKLRTCQYHHLFLHTSLKQDSCGTCKWGEFGEGGLLWGECSSRHFEWFDGNPLSDLLVKVKELYGLGAEVNFRNATVSSGHRARPLTLGTSTQIGAIQTEGIPSLLKVLLPLSCNGLPVLYIRNLLLNPPSYEIASKIQETCKLMSSLTCSIPEFTCVSSAKLVKLLEWREVNHMEFCRIKNVVDEILQMYRTPELNEILENLIAPTWATTGLEIDFEALVAGCEVASCKIGEVISLDGGNDQKINSFSLIPHEFFEDAESKWKGRIKRIHIDDVFTAVQKAAEVLHIAVTEDFVPVVSRVKATIAPFGGPKGEISYAREHEAVWFRGKRFTPNLWSGSPGEEQIKQLRHALDSKGKKVGEEWFTTPKVEAALTRYHEANAKATERVLEILRELATELHYSINILVFSSTLLVITKALFAHASEGRRRRWVFPTLADSNGFEDVKPSEKTHGMKIVGLSPYWFHIAEGVVRNDVDMESLFLLTGPNGGGKSSLLRSICAAALLGICGLMIPAESAVIPYFDSITLHMKSYDSPADKKSSFQVEMSELRSIIGGTTKRSLVLVDEICRGTETAKGTCIAGSIIETLERIGCLGVVSTHLHGIFTLPLNIKSTVHKAMGTTSIDGQIIPTWKLTDGVCKESLAFETAIREGVPEPIIRRAEYLYQSVYAEENFPNEEKFSTCNNFNNLNTSRLHSKRSLSGVNQMEVLRQEVERAITVICQDYILERKSKKIALELPEIKCLLIGTREQPPPSVVGSSSVYVILRPDKKLYVGETDDLENRVRRHRLKEGMQDASFLYFLVPGKSLACQFESLLINQLSSQGFQLSNMSDGKHRNFGTSNLCA >Vigun08g124700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29489152:29492507:-1 gene:Vigun08g124700.v1.2 transcript:Vigun08g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLSYLRKNSMALLSKILRWLKKPKVWRIVSLASSVVGLLCYALSSSFNHFLGNWSWWKMLLYIVFSFIICLAVLFAPARSSSISFRLASHLAFLVLIITSICSFLFDIMVKGKPDAYSVISWAAFATMSLGLSNLTQFGFQIDLLHFFCEGLTVQLMLIKWWLGTVGVGFIYFLLQLRHHPSDTDGENLRLQDQNQVIIQVNDSGIALLTQSSSPSQDGSVDDGFIIGQQLINCIEELEKENEMLVPMFCRHIEKYLTNVLVDPEGVPNPDVNLVMDALPSEIMRRLKEIVKLMVDAGFQEECSDIYSKWRRKFLDHCQQKLGLQITFQFEDPMDYVKIIDWLDTCKVAEKILFPNERRLCDYLFSEFPVAADVSFDKVCEELTIGLLRFANIIIANWSYLSDLLLDFFPKMSDSLLELVLKFTSETSFVDDIIDIQQKLDMLNDFGLIIYTNNVQELVTDGGGLHLFIMEAMNYISRITEQWAPRVGNFVFWVMIVRMIELLKSELVAKSENYHSDPALGHDFMINNLRCIEDATNDLKFNNPWFGKNATKVEEYCNVMSQHVDADSTLTSPEDGDLRFGGHLITQSNSHSQDDVLIIQQQQLMNCVKELEKENEMLVPMACSHVNKYLEAVFDNKEVPDPDVNLVMDALPSEIMRRLKETAELMVNGDFMVECGDIYIKWRREFLEQWLRELGLQFQAPNNNDVENWLKTCKAASKVLFPNEKRLLNYLFSGLFFTVDVFFEMVCKELMIGLVSFADTTITASSYSPNLLFNIVPKMSKSLDELLPEFTSQIFLHTPSFLRDLKDIQKILDMLNFWDSIYPNNVQAPVTDGGLHPITEKTMDYIHRICKGKIEQRAGQTYRIGNSSFWVVIGRMIEFLETELEIKSKDHYADPALGYVFMINNLSYIEQKTLDLKFDDDWFRQNRAKVEQNCNRYLSWWNMMVEFLKVETYESAEPDVVADLMKDKLHLFNMHFEETCTIQSTWTVSDKELKEGIIESIEKFLLPQYGNFCDRFQAVSGYQAHEYIKFGIVDIQRCLSHLFLLDELTLKDPKSVFARI >Vigun07g046300.3.v1.2 pep primary_assembly:ASM411807v1:7:4690443:4710538:-1 gene:Vigun07g046300.v1.2 transcript:Vigun07g046300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPVAARATSSHHDACEHLSAEEELAAEESLSIYCKPVEFYNILQRRAMRNPLFLQRCLHYQIKEKHKKRIQMTVSLMRTITESQNVFPMSICLARRVSDYGSSRQSAVYRIGRMFIFRNSPGIDLNTQVQANFTLPEVNKLADEARSCSLDILFVSTATVKNSNISSGVNSNSMPSDTNHLTFFESGEYCLWGKVSLESLYMAWDCFPNFRLGQRAEIMSTVDLLPCILKSDFKNDDRRVSIQVPSNFENMSASKQVQITISAEEFGAKEKSPCISYTGSEVPSSSLSHLIGLREGNVMFNYRYYNNKLQRTEVTEDFTCPFCLVKCSSFKGLRCHLSSSHDLFNFEFWVSDECHAVNVTVKNDISRSEIVADNVDPRVQTFFYCGKPLKRRTPKDLSSKNAVGLESAFPAGEADILEKDDGISATIIRSHPDRDSVQSMSDCDQAVLQFAKTRKLSIESSDSRNIALLKKRQFFHSHKAQPMTIEQVLSDKDSEDEVDDDVADFEDRRMLENFVDVSKDEKNFMHMWNSFVRKHRVIADGHISWACEAFSKLHAPEFLRSRSLAGCWRIFMVKLYNHGLLDARTMNDCNIILEHHHKENSDPNS >Vigun07g046300.5.v1.2 pep primary_assembly:ASM411807v1:7:4690443:4710538:-1 gene:Vigun07g046300.v1.2 transcript:Vigun07g046300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLFLQRCLHYQIKEKHKKRIQMTVSLMRTITESQNVFPMSICLARRVSDYGSSRQSAVYRIGRMFIFRNSPGIDLNTQVQANFTLPEVNKLADEARSCSLDILFVSTATVKNSNISSGVNSNSMPSDTNHLTFFESGEYCLWGKVSLESLYMAWDCFPNFRLGQRAEIMSTVDLLPCILKSDFKNDDRRVSIQVPSNFENMSASKQVQITISAEEFGAKEKSPCISYTGSEVPSSSLSHLIGLREGNVMFNYRYYNNKLQRTEVTEDFTCPFCLVKCSSFKGLRCHLSSSHDLFNFEFWVSDECHAVNVTVKNDISRSEIVADNVDPRVQTFFYCGKPLKRRTPKDLSSKNAVGLESAFPAGEADILEKDDGISATIIRSHPDRDSVQSMSDCDQAVLQFAKTRKLSIESSDSRNIALLKKRQFFHSHKAQPMTIEQVLSDKDSEDEVDDDVADFEDRRMLENFVDVSKDEKNFMHMWNSFVRKHRVIADGHISWACEAFSKLHAPEFLRSRSLAGCWRIFMVKLYNHGLLDARTMNDCNIILEHHHKENSDPNS >Vigun07g046300.2.v1.2 pep primary_assembly:ASM411807v1:7:4690443:4710538:-1 gene:Vigun07g046300.v1.2 transcript:Vigun07g046300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPVAARATSSHHDACEHLSAEEELAAEESLSIYCKPVEFYNILQRRAMRNPLFLQRCLHYQIKEKHKKRIQMTVSLMRTITESQNVFPMSICLARRVSDYGSSRQSAVYRIGRMFIFRNSPGIDLNTQVQANFTLPEVNKLADEARSCSLDILFVSTATAGEYCLWGKVSLESLYMAWDCFPNFRLGQRAEIMSTVDLLPCILKSDFKNDDRRVSIQVPSNFENMSASKQVQITISAEEFGAKEKSPCISYTGSEVPSSSLSHLIGLREGNVMFNYRYYNNKLQRTEVTEDFTCPFCLVKCSSFKGLRCHLSSSHDLFNFEFWVSDECHAVNVTVKNDISRSEIVADNVDPRVQTFFYCGKPLKRRTPKDLSSKNAVGLESAFPAGEADILEKDDGISATIIRSHPDRDSVQSMSDCDQAVLQFAKTRKLSIESSDSRNIALLKKRQFFHSHKAQPMTIEQVLSDKDSEDEVDDDVADFEDRRMLENFVDVSKDEKNFMHMWNSFVRKHRVIADGHISWACEAFSKLHAPEFLRSRSLAGCWRIFMVKLYNHGLLDARTMNDCNIILEHHHKENSDPNS >Vigun07g046300.4.v1.2 pep primary_assembly:ASM411807v1:7:4690443:4710538:-1 gene:Vigun07g046300.v1.2 transcript:Vigun07g046300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPVAARATSSHHDACEHLSAEEELAAEESLSIYCKPVEFYNILQRRAMRNPLFLQRCLHYQIKEKHKKRIQMTVSLMRTITESQNVFPMSICLARRVSDYGSSRQSAVYRIGRMFIFRNSPGIDLNTQVQANFTLPEVNKLADEARSCSLDILFVSTATAGEYCLWGKVSLESLYMAWDCFPNFRLGQRAEIMSTVDLLPCILKSDFKNDDRRVSIQVPSNFENMSASKQVQITISAEEFGAKEKSPCISYTGSEVPSSSLSHLIGLREGNVMFNYRYYNNKLQRTEVTEDFTCPFCLVKCSSFKGLRCHLSSSHDLFNFEFWVSDECHAVNVTVKNDISRSEIVADNVDPRVQTFFYCGKPLKRRTPKDLSSKNAVGLESAFPAGEADILEKDDGISATIIRSHPDRDSVQSMSDCDQAVLQFAKTRKLSIESSDSRNIALLKKRQFFHSHKAQPMTIEQVLSDKDSEDEVDDDVADFEDRRMLENFVDVSKDEKNFMHMWNSFVRKHRVIADGHISWACEAFSKLHAPEFLRSRSLAGCWRIFMVKLYNHGLLDARTMNDCNIILEHHHKENSDPNS >Vigun07g046300.1.v1.2 pep primary_assembly:ASM411807v1:7:4690443:4710538:-1 gene:Vigun07g046300.v1.2 transcript:Vigun07g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPVAARATSSHHDACEHLSAEEELAAEESLSIYCKPVEFYNILQRRAMRNPLFLQRCLHYQIKEKHKKRIQMTVSLMRTITESQNVFPMSICLARRVSDYGSSRQSAVYRIGRMFIFRNSPGIDLNTQVQANFTLPEVNKLADEARSCSLDILFVSTATVKNSNISSGVNSNSMPSDTNHLTFFESGEYCLWGKVSLESLYMAWDCFPNFRLGQRAEIMSTVDLLPCILKSDFKNDDRRVSIQVPSNFENMSASKQVQITISAEEFGAKEKSPCISYTGSEVPSSSLSHLIGLREGNVMFNYRYYNNKLQRTEVTEDFTCPFCLVKCSSFKGLRCHLSSSHDLFNFEFWVSDECHAVNVTVKNDISRSEIVADNVDPRVQTFFYCGKPLKRRTPKDLSSKNAVGLESAFPAGEADILEKDDGISATIIRSHPDRDSVQSMSDCDQAVLQFAKTRKLSIESSDSRNIALLKKRQFFHSHKAQPMTIEQVLSDKDSEDEVDDDVADFEDRRMLENFVDVSKDEKNFMHMWNSFVRKHRVIADGHISWACEAFSKLHAPEFLRSRSLAGCWRIFMVKLYNHGLLDARTMNDCNIILEHHHKENSDPNS >Vigun08g090700.2.v1.2 pep primary_assembly:ASM411807v1:8:21106739:21121203:-1 gene:Vigun08g090700.v1.2 transcript:Vigun08g090700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERALQWILVVAVCASSTINSAGIDETPHRKLANVDYSDVISIDCGVKEGYIDETRIQYEDDDIELGETHTISENSFETQPQINKQLMSLRSFPEGKRNCYSLKPKEGKNKRYIVRGYFAYGNYDNKSMPPTFDLYIDVTVFTSIRFTVASSTRRVEAIYSSLADTIDLCMVNIEQGVPFISLLELWPLGSANVYQDLLNLQTLDLLTRVTLGVSSAHGQLLRYMDDEYGRSWLARAVTRNEKEMKTVLGIDLDTLNDPYKLPTEVLNTAVSALRLSDSVNIWRNYTDRSVEYKVYLHFFDFEERVDSSEKRIMNVVVNGFGEGVHDNVTENVSISYKEPLTLQLTVEQGMGINNIFIKATPDSQLPAMLNAYEIYRVIPQSVSATHQDDDIYKISRMKWQGDPCGPTGFTWDGLTCNAGNPPRIISLNLSSSKLSGNIDVSFSNLANLEILDLSNNQLVGEVPEFFAKLSQLKILNLSRNSLTGSIPDALIAKSESNSLQLSLDGNTDICEIGSCKKKNIVPLVATIVASIVVSIIIVGIVMIWRSLRRNKGGISSTSKKGQLKLKNQTFSYSEVHDITNGFETMIGKGGFGEVYLGTLQSDERVAVKKLSLSSNQGYKEFKSEAKFLTLVHHRNVVFLVGYCDEGDAKALIYEYLSKGNLQEQLSGKSSSVLGWKERVQIALHAASGLDYLHNGCKPPIIHRDLKGSNILLDENMHAKISDFGLSRTFANDNDTHVLTNYPGGTPGYLDPEFHSSGTLNKRSDVYSFGVVLLELITGQPAIRGTPEKPSHIHSWVKKKLEAGDIEAIVDPRLEGNFHVASAWKFLDIAMSCLPDIAIQRPDISHVTSELKDCLSLEASLQRTGSNNRDSFLMDSVLIHFNESDIFPNPR >Vigun08g090700.1.v1.2 pep primary_assembly:ASM411807v1:8:21106739:21121203:-1 gene:Vigun08g090700.v1.2 transcript:Vigun08g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERALQWILVVAVCASSTINSAGIDETPHRKLANVDYSDVISIDCGVKEGYIDETRIQYEDDDIELGETHTISENSFETQPQINKQLMSLRSFPEGKRNCYSLKPKEGKNKRYIVRGYFAYGNYDNKSMPPTFDLYIDVTVFTSIRFTVASSTRRVEAIYSSLADTIDLCMVNIEQGVPFISLLELWPLGSANVYQDLLNLQTLDLLTRVTLGVSSAHGQLLRYMDDEYGRSWLARAVTRNEKEMKTVLGIDLDTLNDPYKLPTEVLNTAVSALRLSDSVNIWRNYTDRSVEYKVYLHFFDFEERVDSSEKRIMNVVVNGFGEGVHDNVTENVSISYKEPLTLQLTVEQGMGINNIFIKATPDSQLPAMLNAYEIYRVIPQSVSATHQDDVDAIKRIKDIYKISRMKWQGDPCGPTGFTWDGLTCNAGNPPRIISLNLSSSKLSGNIDVSFSNLANLEILDLSNNQLVGEVPEFFAKLSQLKILNLSRNSLTGSIPDALIAKSESNSLQLSLDGNTDICEIGSCKKKNIVPLVATIVASIVVSIIIVGIVMIWRSLRRNKGGISSTSKKGQLKLKNQTFSYSEVHDITNGFETMIGKGGFGEVYLGTLQSDERVAVKKLSLSSNQGYKEFKSEAKFLTLVHHRNVVFLVGYCDEGDAKALIYEYLSKGNLQEQLSGKSSSVLGWKERVQIALHAASGLDYLHNGCKPPIIHRDLKGSNILLDENMHAKISDFGLSRTFANDNDTHVLTNYPGGTPGYLDPEFHSSGTLNKRSDVYSFGVVLLELITGQPAIRGTPEKPSHIHSWVKKKLEAGDIEAIVDPRLEGNFHVASAWKFLDIAMSCLPDIAIQRPDISHVTSELKDCLSLEASLQRTGSNNRDSFLMDSVLIHFNESDIFPNPR >Vigun05g029600.1.v1.2 pep primary_assembly:ASM411807v1:5:2366805:2368351:1 gene:Vigun05g029600.v1.2 transcript:Vigun05g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKCLGSTSSSKKQYLTVIEELCHQFSLAELRKSTNNFDRKRLIGRGGFGEVYKGYLQHSNYIVAVKRFSAEHSEVFKNEIELLCQLRHPNCVSLIGFCNHKKEKIVVYEYMSNESLDRHLQRGELSWKQRLEICTGAARGLHYLHAGAKRTIIHRIVKPRTILLDDNMHPKLTGFCISVKGSRLMSKPKPIKVDVVAGTPGYMARELFTDDAITEKSDVYSFGMVLLEVVCGRKYITMPAEREFLEKPVEEKVDASIKGKIAPKCWEVFIDITKRCVMYEPDERPTMGEVEVQLEHALSLQEQADITNTNGDYTLLSTTVIHPGAELVYSTEDSDTEEM >Vigun10g147000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36527535:36528440:1 gene:Vigun10g147000.v1.2 transcript:Vigun10g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPHHHSSAATNSADKPATQRGGTRHPLFRGVRKRRWGKWVSEIREPRKKSRIWLGSFPAPEMAAKAYDVAAYCLKGRKAQLNFPDEVHRLPPLPSACTARDIQAAAAKAAHMMIVQAAAAAAPDSPGKSSITSGCDHAADDFWREIELPELLHSKWWTSSADSSPWTEDDLSPQQPFTTACL >Vigun02g038900.1.v1.2 pep primary_assembly:ASM411807v1:2:16253699:16256802:-1 gene:Vigun02g038900.v1.2 transcript:Vigun02g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSPISSPLGPPACDKDAKALRFIEEMTRNADAVQERVLAEILTRNAHTEYLKRFELGGASDRQTFKSKVPVISYEDVKSEIQRIANGDCSPILSAHPISEFLTSSGTSAGERKLMPTIKEDLDRRQLLYSLLMPVMNIYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPFDPYNVYTSPNEAILCPDSFQSMYTQMLCGLIERNHVLRLGAVFASGLLRAIRFLQLQWPKLVRDIRSGTLTSQITDPAIREYMDNVLKPDPELAQFMTEQCSKDNWEGIITRIWPNTKYLDVIVTGAMAQYIPTLNYYSGGLPLACTMYASSECYFGLNLNPMCKPSKVSYTIMPNMAYFEFLLHDPNSGSVSSKLVDLVDVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSTPQFHFVRRKNVLLSIDSDKTDESELQNGIENASKLLADFNTSVVEYTSYADTTTIPGHYVIYWELLSKDSANAPSHEVLNRCCLEMEESLNSVYRQCRVADHSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSVHFSQELPHWTPERRR >Vigun06g037350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15336401:15337093:-1 gene:Vigun06g037350.v1.2 transcript:Vigun06g037350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGQQGTFVEPNANVGDSNKNDVPIDSILGETESSTPTVNSRRLLSDVWNHFKRQKVDGKWKGICNYWAKSLLGDAKQGTSHLRSHFKSCKLRTTRDIRQSFLKTHKEGGETLVIGNYVFNQQAARDALCKMIILHGYPMSMVDHIGFKEFCDVVQPLFKVISRNTLKKDIMKDYNAKKEKMKLMLSRIQSRVVITTDMWTATNQNIGYMTIIAHFSDDLWRLQSRLVR >Vigun03g004200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:284765:285926:1 gene:Vigun03g004200.v1.2 transcript:Vigun03g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPIATENIDAAPNADASNKSSVYLRDMDEMKRVFSRFDANGDGMISVNELDNVLRALGSSIPPQELQRVMDDLDTDHDGFINLSEFAAFYRAETADGGDTELQDAFNMYDQDQNGLISAAELCQVLNRLGMSCTIDECRNMIKSVDADGDGNVNFAEFKCMMSNNRENTC >Vigun10g172600.1.v1.2 pep primary_assembly:ASM411807v1:10:39128177:39133394:1 gene:Vigun10g172600.v1.2 transcript:Vigun10g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASIRLLPSPFLSFAPRLSLYSCRSRPSSVVAFSSVHSTAPKGDGGVELGGDQRQAEVIFLGTGTSEGVPRVSCLTNPLHKCEVCSKAAQPGNRNRRLNTSILIRHPNSSGTQNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVTKRDFEVMTKTHYYLVDTSVILPGAKVSELQFKIISEESFSVHGLKITPLPVWHGQNYRSLGFRFGNICYISDVSEIPEETYPLLNNCEILILDALRPDRSTSTHIGLPRALEEVRKIQPKRTLFTGMMHLMDHEKVNDYLASLLESEGLDAQLSYDGLRIPVRL >Vigun03g064800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5353780:5356379:1 gene:Vigun03g064800.v1.2 transcript:Vigun03g064800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQGTRPPPLRVSKDSHTIHKTRKPPLPPTAHLPPPPPPENRKPVIIYTVSPKVLHVTVSDFMNVVQRLTGPSSGDEPALRPGDVSPAARLASIEKTSPSERERDHGGEEDVTLMLEGVELGQFPGILSPATLPQISPGFFNEPQTTTSFWHDLSPFWSTHSFVASPSGLLSAAAISPLPSPDIFNLFD >Vigun03g064800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5353666:5356479:1 gene:Vigun03g064800.v1.2 transcript:Vigun03g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQGTRPPPLRVSKDSHTIHKTRKPPLPPTAHLPPPPPPENRKPVIIYTVSPKVLHVTVSDFMNVVQRLTGPSSGDEPALRPGDVSPAARLASIEKTSPSERERDHGGEEDVTLMLEGVELGQFPGILSPATLPQISPGFFNEPQTTTSFWHDLSPFWSTHSFVASPSGLLSAAAISPLPSPDIFNLFD >Vigun06g027900.1.v1.2 pep primary_assembly:ASM411807v1:6:12340215:12342489:-1 gene:Vigun06g027900.v1.2 transcript:Vigun06g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFDQSHQTTSFRNIHNICIYDNQDVYAKFSLTYNPDDTLSTRIINGGGENPIFNENLRMKITQMDAVLKCEIWMFSRSRNHLEDQLLGFALVQISQVVGKGKVTEDYSLSSTDLFHCPAGTVQLTVSLDTCFPTSSKVNSLSQSATNSSISSEVVLLDSKISQDIADPVEYSRIEFPDVGVMKDNQKLVSEYFNLDSYGSSASRPNSVGFLPFLQLGDSPQGNDYEMTVTDSDENHESIPPNVSTQNSVFPSSTTTSLSDDRNSSDSVEDKSNLRGESRNSFNVSVKVEGYQNSGASPDTPTSKKESGARDDKESKFPSSKEKEINSERNTEPARFGQVFSAPLRNINMEAEQSVMQQQIVDMYMRSMQQFTESLAKMKIPMDLDKPESEGHGDVIQNHNNSKLEIDKKMDGSRVFYGSRAFF >Vigun08g138500.1.v1.2 pep primary_assembly:ASM411807v1:8:31079367:31082108:1 gene:Vigun08g138500.v1.2 transcript:Vigun08g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLSKKNGSSSASSRAVSHSENCVSVALSKPTEPEVSLKNKTLQEKQDQESAPQHDGEVRKEVFIIKHRKSHDDRERTTATTTTISKSPPFIAQSPAPQKGDGASTNEESMVNNKIAPSTPNIGVGVRTSSCTKEEVDAILIQCGRLSRSSSCRKYSGSKRSFDFDNCDNDTTSAEDEQRRAKGSGSEENDVAAESRRHRQSPRRSQGRRRTPSRERDQSSGKERRVSRSPGRRSSDTAANASNNNASSRPGKMVSVPPTVSSLAMDKSNNCGGESGTKRITVKRNVGDVGSRGSASPRAQSPARVKALSENQQQQQPSLSRNNSSRKAEQSPYRRNPLGEVDTNIKVQQNKPKIEAEVMQKANGRVALEKGVLVSSKTKEHHEEVYSESAVVKTTVVSSGVDNLKPQGLTRSRSSRRSRDLDMNPEAVVNVNATHSYASLLLEDIQNFHQKNTPPQQTQQPQQPSSMSLPACLTKACSILEAVADLSYNTSSNVSGGFPEDRKSASTQQSIRNEYYGKKVQGGPFVESEVGVGDDVMEPSLHKYVTVKRGGGVVDMDDQESSGSNSFTVSSSGQNHWEGISCSSWEPNSADSRDCWTSRLSSREEGQCNLSSEVKKKKKDLNSKRRECDHEHSSGIGRGRLGSDKGVCVW >VigunL009000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000163.1:6272:9880:-1 gene:VigunL009000.v1.2 transcript:VigunL009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLSKFFHYPIGFNLSNSFPLKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTESSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAVVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun11g016000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1982737:1983720:1 gene:Vigun11g016000.v1.2 transcript:Vigun11g016000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKQTNEANELMLSLPKEMGLNAGPYLHLFQDFWCPSFYFQGVINFQKHFHAKDSDVFLATFPKSGTTWLKALTFLIVNHQRFSSFENQPLLSSNPHELVSSPEFILSHDLHDQILSLSNMSEPRLFSTHLPFSALPKTMTESKCKIIYICRNPFDTFVSAWEFSTKIKSVSSSPAFTFEEAFEKYCNGITGFGPWWNHMLGYWNESITKPDKVLSLKYEELKEDTVFHVKRIVEFLDSPITQEGEGTTAIENIINLCRFEKMKDLGVNKSGYIHNIAEKKNFFRKGKIGDWKNYFSPSMIEKLSTIIEEKLSGSGLSFKVHS >Vigun03g435800.1.v1.2 pep primary_assembly:ASM411807v1:3:63971567:63974794:1 gene:Vigun03g435800.v1.2 transcript:Vigun03g435800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEIHATDSATDDERSRNLQELDRPALDETQQSWLLGAGEQKKKKKKYVDLGCIIVSRKIFIWTLGTLAVSAVLAGLITLIVKTVPRHHHKPAPPDNYTLALHKALLFFNAQKSGKLPRHNNVSWRGNSCMADGKKSQGTSSAIKDLIGGYYDAGDAIKFHFPKAFAMTMLSWSVIEYSAKYEAAGELAHVKELIKWGTDYFLKTFNHTADTINSIAAQVGSGDTSDGSTTPNDHYCWMRPEDIDYDRPVKECSSCSDLAAEMAAALAAASIVFKDNRAYSQKLVHGATTLYGFSRRQRGRYSQGNEASVFYNSTSYWDEFVWGGAWMYFATGNSSYLKLATTPGLAKHAGAFWGGPDYGVLSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTGIIMCSYLPMFTSFNRTRGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFFSTDVLRNFAKTQIDYILGKNPRKMSYIVGFGNHYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKPNPHTIVGAMVAGPDKHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGDKSSGIDKNTLFSAVPPMFPTPPPPPAPWKP >VigunL059097.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000611.1:44124:45138:1 gene:VigunL059097.v1.2 transcript:VigunL059097.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSTYGANNRTILWNFNTIYICSQPFGFVDRHFAIAYEHELVPQWHLVDVNGKSTTDTYNMDSNNPKIIEGWSNMRDLYDIKSDSHMRFQYLGNSLFHDLPSKFANFIRDENVEDVFLIGSKTIVNCKILISNKNRSSTKIGQGWRLFCSENELKEGDIVVFQAYNDFIEPNIEVFVNGYCCD >Vigun03g162200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18148389:18150995:1 gene:Vigun03g162200.v1.2 transcript:Vigun03g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSNSKLLRWRPSLQPNPFSTFSTAEVLSDPEPSHGSPQPVSQPVPPRENNLELVICRMMANRAWTTRLQNSIRSLVPSFDPSLVYNVLHGATSPEHALQFYRWVERAGLFVHTPDTTLKIVQILGRYSKLNHARCILLDNKRIGEVATEDAFVSLIDSYGRAGIVQESVKLFQKMKELGVDRTVKSYDALFKVILRRGRYMMAKRYYNAMLREGVEPTRHTYNILLWGMFLSLRLDTAVRFYEEMKSRGVLPDVVTYNTLINGYFRFKKVEDAEKLFVEMKERDIAPNVISFTTMLKGYVAAGRIDDAMKVFEDMKNCGIKPNAVTFSTLLPGLCDAEKTVEARDVLGEMVERYIAPKDNSVFMKLLSVQSKSGNLDAAADVLKAMIRLSIPTEAGHYGVLIESFCKANEYDKAEKLLDKLIEKEIVLRPQNAFEMEASAYNLMIEYLCDHGRTSKAEMFFRQLLKKGVQDSVAFNSLIRGHSKEGNPDSAFEIMKIMGRRGVPRDADSYRLLIESYLRKGEPADAKTALDSMLESGHHPESSVYRLVMESLFDDGRVQTASRVMKSMVEKGVKEHMDLVSKILEALLMRGHVEEALGRIDLLMHNGCEPDFDHLLSVLCEKEKTIAALKLLDFVLERDCIIDFSIYDKVLDALLAAGKTLNAYSILCKILEKRGSTDWRSREELIKSLNLEGNTKQADILSRMFKGTGGGLVNREGKRKATVAT >Vigun01g090600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25097624:25098500:-1 gene:Vigun01g090600.v1.2 transcript:Vigun01g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLFSELVRNHEWDAATLLAAYPPPNFTITSSSCAVAAKTTLKDEGFVQKKSKETVTENPLESRVCVDLVWP >Vigun04g038532.1.v1.2 pep primary_assembly:ASM411807v1:4:3235430:3236279:-1 gene:Vigun04g038532.v1.2 transcript:Vigun04g038532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRRLSQDGSLIGVFSMENSKIDEQLLQMSKSWDIVGIKFTILSSNKSSSAEHRGFKR >Vigun01g192100.1.v1.2 pep primary_assembly:ASM411807v1:1:37022739:37025142:1 gene:Vigun01g192100.v1.2 transcript:Vigun01g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEAFPVTCINKLPSPVCTSKRKLCVSIAKLSRDNDPLLLSATASASLRYSESLRPEPLFLYPYAGCFVPDNTPEDVIQDVHPYCLVAKFIDDKLLHTVSLIDGIKQVIAYLFDVMDTRPYRLQWPTSTIIFDISPEIVFQFAAEKLKDAGAKMPKGCTLCHIPLESSDMEQAMQFKGYSGSRPSIWVLQGFPVMTLANFEEVLSMISSLAMKGSLFWGELPSCLAETDTEIKSHARSNIRQRVDKLFMSKGFRVEVINYEGIAESYGKDFASGHNNSILFAAEQLLHSDDQMESWRREFQRIENEGDEDGFEEL >Vigun01g001150.2.v1.2 pep primary_assembly:ASM411807v1:1:226308:227061:-1 gene:Vigun01g001150.v1.2 transcript:Vigun01g001150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTHIQAPSVTIFRDCLCGDLRITYVTTSLSFASHVSYMLQKRKSNQKVDFFLINNFEGNPVCGQGWILGTFKQRLVK >Vigun01g001150.1.v1.2 pep primary_assembly:ASM411807v1:1:226308:227061:-1 gene:Vigun01g001150.v1.2 transcript:Vigun01g001150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTHIQAPSVTIFRDCLCGDLRITYVTTSLSFASHVSYMLQKRKSNQLLLHLKVDFFLINNFEGNPVCGQGWILGTFKQRLVK >Vigun08g174300.1.v1.2 pep primary_assembly:ASM411807v1:8:34468838:34472669:-1 gene:Vigun08g174300.v1.2 transcript:Vigun08g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGGNSCSELSEMDMMNMETNRKFLSLPLTNNSGAQMRTDRRLPVATNSIPQDHHYTHHNPTDTCSVRDKIMAHPLFPRLLSSYLNCLKVGAPPEMVASLEESCAKCESINASSGRTGSGSIGEDPALDQFMEAYCEMLIKYEQELTKPFKEAMLFFSRIECQLKALAVSSDFGQSESSSQNEVDVHENNLDTQAEDRELKVQLLRKYSGYLGSLKKEFLKKKKNGKLPKEARQQLLDWWNRHYKWPYPSESQKQALAESTGLDLKQINNWFINQRKRHWKPSEDMQFAVMDATNYYMENVMCKPFPMDGMPMLL >Vigun08g174300.2.v1.2 pep primary_assembly:ASM411807v1:8:34468859:34473604:-1 gene:Vigun08g174300.v1.2 transcript:Vigun08g174300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMNMETNRKFLSLPLTNNSGAQMRTDRRLPVATNSIPQDHHYTHHNPTDTCSVRDKIMAHPLFPRLLSSYLNCLKVGAPPEMVASLEESCAKCESINASSGRTGSGSIGEDPALDQFMEAYCEMLIKYEQELTKPFKEAMLFFSRIECQLKALAVSSDFGQSESSSQNEVDVHENNLDTQAEDRELKVQLLRKYSGYLGSLKKEFLKKKKNGKLPKEARQQLLDWWNRHYKWPYPSESQKQALAESTGLDLKQINNWFINQRKRHWKPSEDMQFAVMDATNYYMENVMCKPFPMDGMPMLL >Vigun05g292600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47864184:47864417:1 gene:Vigun05g292600.v1.2 transcript:Vigun05g292600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASFSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRL >Vigun10g016400.1.v1.2 pep primary_assembly:ASM411807v1:10:1805975:1808180:-1 gene:Vigun10g016400.v1.2 transcript:Vigun10g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYLKFKSENRIMPDGVNAKLLGCHGPLANRQPGRAFLSSATA >Vigun08g124300.1.v1.2 pep primary_assembly:ASM411807v1:8:29452032:29454625:1 gene:Vigun08g124300.v1.2 transcript:Vigun08g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFSVLYHHHLLEQNGHYPVNLSENGEKRVDYIPGISTMRLADFPLNNGSCRSTQTMQLSLKGFKWVSKAQYLLFASIYELEPEAIDVLKEELPFPVYTIGPAIPYFSLKNTPTLSPNNGTSHGYMEWLDAQPVGSVLYISQGSHFSVSRAQIDEIAFSLRESGIRFLWVARGEAWRLKEICGENGLVVTWCDQLRVLCHGSIGGFWSHCGWNSTKEGVLAGVPFLTFPIVMDQPLDSKLIVEDWRVGRRVKEDVDVNRLVKKGEILMLLQKFMDLDTELARGIRERSKTLQQICLRAVTDGGSAATHLDAFCKDLMQTNH >Vigun09g151000.1.v1.2 pep primary_assembly:ASM411807v1:9:31537441:31543992:1 gene:Vigun09g151000.v1.2 transcript:Vigun09g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSAPGKVLMTGGYLILERPNSGLVLSTNARFYAIIKPLHHEIKSDSWAWAWTDVRLTSPQLSREALYKLALKNLDIKTVSSSDTRNPFVEYAVQYSVAAAYATFDQSKKEVLHKLLLQGLDITILGSNDFYSYRNEIERRGLPLTPESLASLPPFSSITFNADGADGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLDVVKLSSSKNHRERKDVADLDMVHKIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVISSTQIADKAVPLPDVLTEIIRGKWDHETTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKSDPQKSLDTWRRLSEANSALEIQLNLLSKLAKEQWNAYKSVIESCSILRSDKWIELSSEPNKEAVIKALLSAKDAMLRIRYHMRLMGESAGVPIEPESQTQLLDGTLNLEGVLLAGVPGAGGFDAVFAVCLGNSSSNVTKIWSSHNVLALLVKEDPCGVCLESADPRTYEITSAVSSINIE >Vigun07g049400.1.v1.2 pep primary_assembly:ASM411807v1:7:5106261:5108013:1 gene:Vigun07g049400.v1.2 transcript:Vigun07g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTSYVDLKKAAMKDVDLEAGMAGPPGVELTSSITHLDTDMGLFLEEAEKVKTEMGSLRDILGNLQQANEESKSLHKAEELKALRTRINADIVAVLKKARAIRTQLEEMDRANAANRRLSGLKDGTPAIYRTRIAVTNGLRKKLKELMMEFQGLRQRMMSEYKDTVGRRYFTVTGEYPDEEVIEKIIANGNEEEVLGKAIQEHGRGKVLETVVEIQDRHDAAKEVEKSLLELHQVFLDMAVMVEAQGEKMDDIEHHVLHASHYVKDGTKNLQTAKHYQKNSRKWMCIGIILLLILILVIVIPVATSLSSS >Vigun08g044250.1.v1.2 pep primary_assembly:ASM411807v1:8:4637502:4639935:-1 gene:Vigun08g044250.v1.2 transcript:Vigun08g044250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLGCMDLDLALRIEKPPSPTDSSTSEERKDYEKWDRSNRISLMIIKRDIPEVFMGARSEEIISAKDFLVEIEKHFARSDKTETSALLQSLISMKYDGKGNFEQFKVSYNRKKEKWSLYELISYCAQEEERLKQEKIESAHSASTSKDKGKRKKTDNFKNEIGKGPLQKKQKQDDKCFFCNKVGHVKKNCTKYHAWCAKKGLS >Vigun03g188900.1.v1.2 pep primary_assembly:ASM411807v1:3:25679640:25683573:-1 gene:Vigun03g188900.v1.2 transcript:Vigun03g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLENHTDTSSSSNRSSEIKTNQNLVVNTMSNEDVVDENEKKIITSGEESYDPGTNTELHLSIERGRRKKMKEMFDDLSALLPQLPSKADKATIVNEAVNYIKVLQETLEKLERKKEERAEDGLKQIMGKSCCAVSVSEKQKVGFDKTWAASNMVLNIRGNEAQFSICSVHKPGLMTNIVSVLEKHNIELISATISTNGNGGTCMIQVHGKQASAANSMEETYRKAAEEIMPWIS >Vigun08g046500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4969423:4973288:-1 gene:Vigun08g046500.v1.2 transcript:Vigun08g046500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYLSQNAIIFVWLLCGVMFNTAFCSFNLRCNQRDMHALLNFKQGVTDTSGVLSSWSTERDCCEWKGVMCSNITSRVTGITLPCSATLQSYEDKMDRSHCLTGSIHLSLLLVELELLDYLDLTNNDFLELQFDYLHNHTCHNLSMATSSRQCVNSSTLRHLDLSHNWNLVINTLKWLPYISSLEYLNLRRIDLSKETNWLQSVTMLPSLSHLNMRDCELKDLSLSLQYANFTKLQFLSLSANEFNSELPKWLFNLSSGIYDLYLSSSSLKGYLPKALLNLHELKDLALDDNNFDGPIPDWLGEFEHLETLCLGDNMFSGSIPTNLGNLSSLITLDVSSNPLTGVVSERNLAKLSKLKNLEISSCSTLIFDFDSHWIPPFQLEELTLGFSNPNLPAWLYTQRSIQFLTIWDSSFKASNKFWNFISRVTEIELQGNLIDGNISNVLLNSTIIYLSSNCLKGGLPILSSNVIYVSLSNNSLSGDMSPLLCGHNVSNEKNNLLFLDISLNNLSGGLTNCWNNWKSLVAVHLGTNNLSGKIPSSMGLLSNLKSLHLHENKLHGEIPHSLKNCHSLLVFNVRNNDLSGNIPDWISKSVMALQLRSNNFIGKIPPQICQMSSLLILDIAYNAIYGHIPSCLGNIKTLVFNNASVNKFRFYSPSFDEDSYLFNDDNLELVTKGQVLEYDKNLHFMTLIDMSSNNLSGAIPFQMFSLIGLLSLNLSNNKLAGKIPNEIGNMKNLESLDFSTNQLGGEIPQSLSSLSFLGYLNLSFNNLTGKIPSGTQLLGFSTLSYIGNRDLCGSPLTKMCFQDDKHKGKELVDEDGNQSKFLTWFYIGLESGFVTGFLGVCCAIFLNRNMRHVCFKLLYNLRDQLYIMVNVNMNPFH >Vigun08g046500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4969423:4974874:-1 gene:Vigun08g046500.v1.2 transcript:Vigun08g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLNFKQGVTDTSGVLSSWSTERDCCEWKGVMCSNITSRVTGITLPCSATLQSYEDKMDRSHCLTGSIHLSLLLVELELLDYLDLTNNDFLELQFDYLHNHTCHNLSMATSSRQCVNSSTLRHLDLSHNWNLVINTLKWLPYISSLEYLNLRRIDLSKETNWLQSVTMLPSLSHLNMRDCELKDLSLSLQYANFTKLQFLSLSANEFNSELPKWLFNLSSGIYDLYLSSSSLKGYLPKALLNLHELKDLALDDNNFDGPIPDWLGEFEHLETLCLGDNMFSGSIPTNLGNLSSLITLDVSSNPLTGVVSERNLAKLSKLKNLEISSCSTLIFDFDSHWIPPFQLEELTLGFSNPNLPAWLYTQRSIQFLTIWDSSFKASNKFWNFISRVTEIELQGNLIDGNISNVLLNSTIIYLSSNCLKGGLPILSSNVIYVSLSNNSLSGDMSPLLCGHNVSNEKNNLLFLDISLNNLSGGLTNCWNNWKSLVAVHLGTNNLSGKIPSSMGLLSNLKSLHLHENKLHGEIPHSLKNCHSLLVFNVRNNDLSGNIPDWISKSVMALQLRSNNFIGKIPPQICQMSSLLILDIAYNAIYGHIPSCLGNIKTLVFNNASVNKFRFYSPSFDEDSYLFNDDNLELVTKGQVLEYDKNLHFMTLIDMSSNNLSGAIPFQMFSLIGLLSLNLSNNKLAGKIPNEIGNMKNLESLDFSTNQLGGEIPQSLSSLSFLGYLNLSFNNLTGKIPSGTQLLGFSTLSYIGNRDLCGSPLTKMCFQDDKHKGKELVDEDGNQSKFLTWFYIGLESGFVTGFLGVCCAIFLNRNMRHVCFKLLYNLRDQLYIMVNVNMNPFH >Vigun08g193500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36034915:36036688:-1 gene:Vigun08g193500.v1.2 transcript:Vigun08g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCSALPPSSSPSIANFISEHPCLTMLQNQCFNMKDLQKIHPHIIKTGLALDHIAASRVLTFCASSYGDINYAYLVFTRIPNPNLYCWNTIIRGFSRSSTPQFAISLFVDMLYSAVEPQRLTYPSVFKAYAQLGAGHDGAQLHGRVVKLGLEKDQFISNTILHMYANSGLMSEARRVFDELLELDEVACNSMIMGLAKCGEVHKSRRLFDNMPKRTAVTWNSMISGYVRNGRLMEALELFRKMQEERVEPSEFTMVSLLSACAHLGALQHGEWVHDYIKRCNFELNVIVLTAIIDMYCKCGSIEKAIEVFEASPTRGLSCWNSIIIGLAMNGHEREAIEYFSKLESSNLKPDCVSFVGVLTACKYLGAVEKARDYFTLMIDKYEIEPSIKHYTCMVEVLGHAALLEEAEELINGISIEADFIIWGSLLSSCRKHGNVEMAKRAAQRVFELNPREASGYLLMSNVQAASNQFEEALEHRILMKERSVEKEPGCSSIELHGEVHEFLAGGRLHPKVQEIYSLLNNSSFALQD >Vigun08g193500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36034915:36036926:-1 gene:Vigun08g193500.v1.2 transcript:Vigun08g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCSALPPSSSPSIANFISEHPCLTMLQNQCFNMKDLQKIHPHIIKTGLALDHIAASRVLTFCASSYGDINYAYLVFTRIPNPNLYCWNTIIRGFSRSSTPQFAISLFVDMLYSAVEPQRLTYPSVFKAYAQLGAGHDGAQLHGRVVKLGLEKDQFISNTILHMYANSGLMSEARRVFDELLELDEVACNSMIMGLAKCGEVHKSRRLFDNMPKRTAVTWNSMISGYVRNGRLMEALELFRKMQEERVEPSEFTMVSLLSACAHLGALQHGEWVHDYIKRCNFELNVIVLTAIIDMYCKCGSIEKAIEVFEASPTRGLSCWNSIIIGLAMNGHEREAIEYFSKLESSNLKPDCVSFVGVLTACKYLGAVEKARDYFTLMIDKYEIEPSIKHYTCMVEVLGHAALLEEAEELINGISIEADFIIWGSLLSSCRKHGNVEMAKRAAQRVFELNPREASGYLLMSNVQAASNQFEEALEHRILMKERSVEKEPGCSSIELHGEVHEFLAGGRLHPKVQEIYSLLNNSSFALQD >Vigun10g184000.2.v1.2 pep primary_assembly:ASM411807v1:10:40078506:40084048:-1 gene:Vigun10g184000.v1.2 transcript:Vigun10g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLKEKEKNTLHGPSYTSSFQRSTRASPSSSGFNSKIFKGCLVCSPLTALGPIYLIYHSWVPLYTTLLVQVSHLIKNMNRQGQRSGATGVHHNRQHSEHYLDASSKWFNSSSNHIPPLQDYNLYGGGGRMYRNSVQKSFSEYSMDPLTPPRSYAVKKNGDEDDSPHDFSPGLLDIHSFDTELLPQIPSSNAYDSEPYIIGKQTARARATDNNVYNSLVAAENLKSSNVAKIKVVVRKRPLNKKETAKHEEDIIDTVSNSLTVHETKLKVDLTQYVEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRSQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYQVSDVETIKELIEQGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNVSKPPRVVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDVLSSNFNVKDSISIPLSSVNTYTYEDRTTDTWPEEKDGDEFSPPEDYYEQVKPSWKKNGKIEPYGVTDDRFKKPPNGQIKWKDIPKVEPKAVQSDDDLNALLQEEEDLVNAHRKQVEETMNIVREEMNLLVEADKPGNQLDDYIAKLNAILSQKAAGIMQLQTRLTHFQKRLKEHSVLASSAGY >Vigun07g079000.1.v1.2 pep primary_assembly:ASM411807v1:7:10893483:10895738:-1 gene:Vigun07g079000.v1.2 transcript:Vigun07g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVTVQSLEGDMATDASATVPIPDVMRASIRPDIVNFVHSNISKNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVLARGHRIETVPELPLVVSDSAEGVEKTKEAIKVLKQIGAFPDAEKAKDSHGIRPGKGKMRNRRYISRKGPLIVYGTEGAKAVKAFRNVPGVEVANVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFDKPSEKKKGYLLPRSKMVNSDLSRIINSDEVQSVVKPIKRDVTRATLKKNPLKNLNVMLKLNPYAKTAKRMALLAEAQRVKAKKEKLDKKRKTVSKEEASTIRAAGKAWYHTMVSDSDYTEFDNFSKWLGVSQ >Vigun01g165700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34769684:34771541:1 gene:Vigun01g165700.v1.2 transcript:Vigun01g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPLHFVFIPLMAPGHLLPMVDMAKMFARRKVKVSIVTTPLNSIHFQESIDREIQSGSPIQILHVPFPWAEAGLPEGCESQDTLPSMDLLYNFNVALNLLQRPIQDLLQNQTPFPSCIIADTNFLCAAEVAEKLNVPRIIFDGTSCFYLLCCHSLNKNKVHEAVSYDEKFLVPGMPHRIELRRCQLPGIFYPGKDLKLQAFREAIRESAKKAYGIMVNSFEELEGEYVKEYERVTGHKVWCVGPVSLCNKNDTEKGLRSKRNWSDEDRYVKWLDSWPERSVIYVCLGSQNRATPEQLIEVGLGLEATKRPFIWVLRGAYRREEMEKWLLEDGFEERVKGRGILIKGWVPQVLILSHRAIGAFLTHCGWNSTVEGICAGVPLVTYPLYAEQFLNEKVVVQVVETGVSAGAETVVRLGDEFKARVEVTRDNVTDSIERVLGEGREKEVMRERARNYADMARKTVEEGGSSYNNMSLLIQDIVRSKMSQQNGTPNVFLE >Vigun08g020850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1811972:1812274:1 gene:Vigun08g020850.v1.2 transcript:Vigun08g020850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRQATPTIAQTASTEVWRHRQHQVAIASTRDRDFCRQRRFVVRRMTVRGAARVSWWDDSGGSWRGGGRWWRGGGGRYSKMERVSWCGEQRRKQMISR >Vigun10g110300.1.v1.2 pep primary_assembly:ASM411807v1:10:31027343:31030658:1 gene:Vigun10g110300.v1.2 transcript:Vigun10g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGTIPTQIATLTNLSYLILSNNHLHGSIPPQLGNLTQLQMLYLSQNSLTGLIPSSLGNLKNLRALLLHANKLQGSVPPQLGNLTQLTNLFLCDNSLSGLIPPELGNLTQLEVLFLCKNSLAGSIPSTLGQLINLQYLYLDSNKLEGSIPPAFGQLKNLTDFFLQYNQITGPIPVGFGNLNSLQRLHLSYNSLNGSIPHSLGRLENLVHLYLDSNLLQGHIPEELGNLTNLEVLQLSHNKISGLLPPTLLQMEKMSSLYLSSNQLCGSIPLKTMRCPYAAKVDLSHNLFNGSITSNIVCVDDLNLSHNFLDGEIPFILRRGSIPSRLDLSYNNFSGKVYKELASLPYINLSYNSFDFSHDFDSKSKVPDYCFFRKDSLINDHHMPNFSYCHLVYKTDLQTRKSKPSIMLVVIPIIFFSLLVLLLILYFLRSIPKKKCEEILTKNGNLFSVWNYDGKIAFEDIIEATEDFDLKYCIGTGGYGSVYRAQLPSGNVVALKKLHRMESQNPSFDRSFRNEVKMLTEIRHRNIVKLHGFCLHNRCMFLIYQYMERGSLFYILNNDEEAKELNWSKRVNVIKGMAQALSYMHHDCTTPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLRVTEKCDVYSFGVVTLETLMGKHPGELISSLSNSTTQNMLVKDLLDSRLPLPIQKDAEDISLVINVALSCLCSKPNLRPSMQQVTEKLSSFKFLLDLPFHEVFIHQVMSQDIFHLSSNFQE >Vigun02g190900.1.v1.2 pep primary_assembly:ASM411807v1:2:32849475:32854328:-1 gene:Vigun02g190900.v1.2 transcript:Vigun02g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRSGLSETSNKATPATPNKARPSTPNKTSPATPRVSRLSKSVSKPESESPSPLQNLRLSAEKSSPRASNSKPVIERKSPRPASTTPDKQLPRVAKGSELQTQLNLAQEDLKKAKEQLSQAEKEKAKAIDELKEAQRVAEEANEKLREAMVAQKRAEEDSEIEKFRAVELEQAGIEAVQTREVEWQKELESVRNQHALDVASLLSTSQELQRIKQELAMTCDAKNQALSHADDATKIAELHVEKAEILSAELMNLKALLDSKMETEARENKIVSELQTEIEALNEELEKAKDYDVKLTEKENHIEQLNVELEAARMAESYAHSLLEEWTKKAEELEVKVEEANKLERSTSASLESVMKQLEGNNELLHEAKSEISSLKEKVGLLEMTIDRQRGDLEESERCLLVAKEESLELSKKVETLESELETVKEEKAQALNRETLAASNVQTLLEDKDKLINELEICRDEEEKTKRAMESLTSALHEVSAEARDAKEKLLASHAEHENYESEIEDLKLVLKATDEKYEAMLNDARHEIDDLTCSVENSKNNMECSKAEWDQREHHLVNCLNLTEEENSSLGKEINRLIRLLKETEEEAGAKKEEEAQLKENLKEVEAEVIHLQEELKEAKAESMKLKESLLDKENEFQNVFQENEELRTRESTSIKKVEELSKMLDEATSRNQPEENGDVTDSEKDYDMLPKVVEFSEENGHGGEDLSKKVELSANEEGLGQNLQEETIPMDDKYEKTESPKAVNVNGKVKEDADKGKDDSAEAEFKMWESCKIEKKEFSPEREPEPESFENEVESKKEGGEGFEQMNGASLKENVDDSGRNSPSKEQVKKKKKPLLGKFGSLLKKKGGSNHK >Vigun02g190900.2.v1.2 pep primary_assembly:ASM411807v1:2:32849477:32854213:-1 gene:Vigun02g190900.v1.2 transcript:Vigun02g190900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRSGLSETSNKATPATPNKARPSTPNKTSPATPRVSRLSKSVSKPESESPSPLQNLRLSAEKSSPRASNSKPVIERKSPRPASTTPDKQLPRVAKGSELQTQLNLAQEDLKKAKEQLSQAEKEKAKAIDELKEAQRVAEEANEKLREAMVAQKRAEEDSEIEKFRAVELEQAGIEAVQTREVEWQKELESVRNQHALDVASLLSTSQELQRIKQELAMTCDAKNQALSHADDATKIAELHVEKAEILSAELMNLKALLDSKMETEARENKIVSELQTEIEALNEELEKAKDYDVKLTEKENHIEQLNVELEAARMAESYAHSLLEEWTKKAEELEVKVEEANKLERSTSASLESVMKQLEGNNELLHEAKSEISSLKEKVGLLEMTIDRQRGDLEESERCLLVAKEESLELSKKVETLESELETVKEEKAQALNRETLAASNVQTLLEDKDKLINELEICRDEEEKTKRAMESLTSALHEVSAEARDAKEKLLASHAEHENYESEIEDLKLVLKATDEKYEAMLNDARHEIDDLTCSVENSKNNMECSKAEWDQREHHLVNCLNLTEEENSSLGKEINRLIRLLKETEEEAGAKKEEEAQLKENLKEVEAEVIHLQEELKEAKAESMKLKESLLDKENEFQNVFQENEELRTRESTSIKKVEELSKMLDEATSRNQPEENGDVTDSEKDYDMLPKVVEFSEENGHGGEDLSKKVELSANEEGLGQNLQEETIPMDDKYEKTESPKAVNVNGKVKEDADKGKDDSAEAEFKMWESCKIEKKEFSPEREPEPESFENEVESKKEGGEGFEQMNGASLKENVDDSGRNSPSKEQVKKKKKPLLGKFGSLLKKKGGSNHK >VigunL089000.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20941:25153:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20924:25932:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.5.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20919:25871:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.7.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20919:25872:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.8.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20919:25141:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:21556:25828:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20941:25871:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARIMVSERKASRFQQELVSTKEEALQMLLRLKQMFDSKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >VigunL089000.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:20919:25932:-1 gene:VigunL089000.v1.2 transcript:VigunL089000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun11g139200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34859437:34859928:1 gene:Vigun11g139200.v1.2 transcript:Vigun11g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTPVPHKPSNTKLPPLRAKKDRHTKVNGRERRVLLPPLCAARIFQLTRELGYKTHGETIGWLLRQAEPSIISATGTGISPSIVDLTSSLSSPSSSSPPISQDNNNNVVGQHASSVHVSIDNEINSKETFLPLDFDVFSNFNVEFSAAEIEMLQSLMTRLG >Vigun02g076000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22795363:22801219:-1 gene:Vigun02g076000.v1.2 transcript:Vigun02g076000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYANLLDLAGGLLDMPPTQRTIPRIMTVPGVISDLDASGRYDGDSDVSSSGYRERKILVANMLPLQAKRDTDTGKWCFSLDEDSILLQLKDGFPSDTEVIYVGSLKVEIEAHEQEVVAQKLQEDFNCIPTFLPHDLMKNFYLGFCKQQLWPLFHYMLPMFPDHGERFDRTLWKAYVSANKIFADKVMEIINPDDDFVWVQDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNTDLIGFHTFDYARHFLSCCKRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLQSTSAKLKEIQEEFKGRKVILGVDDMDIFKGISLKLLAVEQLLEQNRDLKGKVVLIQIVNPARSSGKGVLEAKRETYLIAQRINDTYGSNNYQPVILIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAQMDKALSRKSDSPRTSTIVVSEFIGCSPSLSGAIRVNPWNIDSVADALYSAITMHDSEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYTKRCWGMGLGLGFRVVSLSPGFRKLSVDHIVSAYKRTDKRAIFLDYDGTIVSKSSINKTPSPEVISVLNDMCNDPKNTLFIVSGRSRDSLSNWFSSCKMIGLAAEHGYFLRYLFKF >Vigun02g076000.1.v1.2 pep primary_assembly:ASM411807v1:2:22795363:22801219:-1 gene:Vigun02g076000.v1.2 transcript:Vigun02g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYANLLDLAGGLLDMPPTQRTIPRIMTVPGVISDLDASGRYDGDSDVSSSGYRERKILVANMLPLQAKRDTDTGKWCFSLDEDSILLQLKDGFPSDTEVIYVGSLKVEIEAHEQEVVAQKLQEDFNCIPTFLPHDLMKNFYLGFCKQQLWPLFHYMLPMFPDHGERFDRTLWKAYVSANKIFADKVMEIINPDDDFVWVQDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNTDLIGFHTFDYARHFLSCCKRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLQSTSAKLKEIQEEFKGRKVILGVDDMDIFKGISLKLLAVEQLLEQNRDLKGKVVLIQIVNPARSSGKGVLEAKRETYLIAQRINDTYGSNNYQPVILIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAQMDKALSRKSDSPRTSTIVVSEFIGCSPSLSGAIRVNPWNIDSVADALYSAITMHDSEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYTKRCWGMGLGLGFRVVSLSPGFRKLSVDHIVSAYKRTDKRAIFLDYDGTIVSKSSINKTPSPEVISVLNDMCNDPKNTLFIVSGRSRDSLSNWFSSCKMIGLAAEHGYFLRWNKDSEWETSHLSSDLDWKKIVEPVMQLYTEATDGSNIETKESALVWHHQDADPDFGSCQAKELLNHLESVLANEPAVVTRGQHIVEVKPQGLNKGLVTEKILSTMVNGGNPPDFVMCVGDDISDEDMFESILRTVSCPSLPVVPEIFACTVGQKPSKAKYYLDDSADVTKLLHGLGASSKPKPRNLAQFQVSFESMA >Vigun02g076000.3.v1.2 pep primary_assembly:ASM411807v1:2:22795363:22801219:-1 gene:Vigun02g076000.v1.2 transcript:Vigun02g076000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYANLLDLAGGLLDMPPTQRTIPRIMTVPGVISDLDASGRYDGDSDVSSSGYRERKILVANMLPLQAKRDTDTGKWCFSLDEDSILLQLKDGFPSDTEVIYVGSLKVEIEAHEQEVVAQKLQEDFNCIPTFLPHDLMKNFYLGFCKQQLWPLFHYMLPMFPDHGERFDRTLWKAYVSANKIFADKVMEIINPDDDFVWVQDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNTDLIGFHTFDYARHFLSCCKRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLQSTSAKLKEIQEEFKGRKVILGVDDMDIFKGISLKLLAVEQLLEQNRDLKGKVVLIQIVNPARSSGKGVLEAKRETYLIAQRINDTYGSNNYQPVILIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAQMDKALSRKSDSPRTSTIVVSEFIGCSPSLSGAIRVNPWNIDSVADALYSAITMHDSEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYTKRCWGMGLGLGFRVVSLSPGFRKLSVDHIVSAYKRTDKRAIFLDYDGTIVSKSSINKTPSPEVISVLNDMCNDPKNTLFIVSGRSRDSLSNWFSSCKMIGLAAEHGYFLRWNKDSEWETSHLSSDLDWKKIVEPVMQLYTEATDGSNIETKESALVWHHQDADPDFGSCQAKELLNHLESVLANEPAVVTRGQHIVEVKPQGLNKGLVTEKILSTMVNGGNPPDFVMCVGDDISDEDMFESILRTVSCPSLPVVPEIFACTVGQKPSKAKYYLDDSADVTKLLHGLGASSKPKPRNLAQFQVSFESMA >Vigun02g076000.4.v1.2 pep primary_assembly:ASM411807v1:2:22795363:22801219:-1 gene:Vigun02g076000.v1.2 transcript:Vigun02g076000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYANLLDLAGGLLDMPPTQRTIPRIMTVPGVISDLDASGRYDGDSDVSSSGYRERKILVANMLPLQAKRDTDTGKWCFSLDEDSILLQLKDGFPSDTEVIYVGSLKVEIEAHEQEVVAQKLQEDFNCIPTFLPHDLMKNFYLGFCKQQLWPLFHYMLPMFPDHGERFDRTLWKAYVSANKIFADKVMEIINPDDDFVWVQDYHLMVLPTFLRKRYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNTDLIGFHTFDYARHFLSCCKRMLGLDYESKRGHIGLDYFGRTVFIKILPVGIHMGRLESVLNLQSTSAKLKEIQEEFKGRKVILGVDDMDIFKGISLKLLAVEQLLEQNRDLKGKVVLIQIVNPARSSGKGVLEAKRETYLIAQRINDTYGSNNYQPVILIDRPVPRFEKSAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTAQMDKALSRKSDSPRTSTIVVSEFIGCSPSLSGAIRVNPWNIDSVADALYSAITMHDSEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYTKRCWGMGLGLGFRVVSLSPGFRKLSVDHIVSAYKRTDKRAIFLDYDGTIVSKSSINKTPSPEVISVLNDMCNDPKNTLFIVSGRSRDSLSNWFSSCKMIGLAAEHGYFLRWNKDSEWETSHLSSDLDWKKIVEPVMQLYTEATDGSNIETKESALVWHHQDADPDFGSCQAKELLNHLESVLANEPAVVTRGQHIVEVKPQGLNKGLVTEKILSTMVNGGNPPDFVMCVGDDISDEDMFESILRTVSCPSLPVVPEIFACTVGQKPSKAKYYLDDSADVTKLLHGLGASSKPKPRNLAQFQVSFESMA >Vigun01g013350.1.v1.2 pep primary_assembly:ASM411807v1:1:1506338:1509089:-1 gene:Vigun01g013350.v1.2 transcript:Vigun01g013350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCILILYTQGTSQTKMSNPHTKVKQEKQHMSYHEAHQRAEKSVCSVCHNRRPQFERNRKKEFSYAELCAATRGFSPKNYLSEGGFGCVYKGELRGQKVAVKKHTYANQKGEKEFKSEVDVLSKAMHENVVMLLGSCSERNNRLLVYEYVCNASLDQHLSQHSRKPLDWTDRVKVADGAAKGLLYLHENNIIHRDLTANNILLTHDYDALLGDFGLARTVIEDSSYSTECFGSRGYMAPEYAEFGKVSIKTDVYSFGVVLLQLITGMRPMDKRLGERSLMGWARPLLKDRKYADLVDERITKSHDAHQLFWMIRLAEKCLSREPKKRLNMIQVVDALTHIVEGRTHASILRDCFPARSGSIYSASDSDESEEEMKESFKFEDELLIQFSSFK >Vigun05g292800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47890305:47893057:-1 gene:Vigun05g292800.v1.2 transcript:Vigun05g292800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCVCVRFVVYVFCYVLWCVLLVCVLCCMCFVVIWNVCFVVICVVCICVVLVCIVLLLLVFGVFVVVKCFCSCVFFYLFLWLFRVLGVFDLYCFFCGVLCFMLCVCFLCCVLLWCVFGVFCVLCCMCFVLYVFCCDLGCVFCCVLIRNLKVWDLIACSMLFQVVLSHLIY >Vigun06g158400.3.v1.2 pep primary_assembly:ASM411807v1:6:28171292:28175867:1 gene:Vigun06g158400.v1.2 transcript:Vigun06g158400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKSKDHTDYKTKFSSPAKSSGIRKRSNEQYLSKCPKSGENGEAPIVLEELSNRYTDNLIGKIPRCSRGFGSSKRRKDAETTSKKCHQCRKKERAVFVPCTKCPKMYCLWCIRKWYPNLTVSDISHECPFCRNNCNCSVCLRSRGTIKTSNSSITDEERVQHLQYMINLLIPFIQHICEEQSQELEIEAKIQGKSSSEIEIPQTSCENERIYCDHCATSFTDLYRSCPECSFEICLNCCKEIRNGSISPRCNMKFQYVNKGCDYMHGGDPLPVSSDFGTLRGHSKIFSKWEANSDGGIRCAPKEMGGCGGHVMELRRIFLNGWISDLEAKARNMVKGFSKTEQTVLQKEAISSCNSKIRAAFRDGTSDNNLYCPLSSDLINEGLLLFQKHWTQGEPIIVRDVLKQGTGLSWEPMVTLRALCENGVPGISSNTTELKAIDCLSCCEVEINTRTFFKGYTQGRAYANLWPEMLKLKDWPPSDKFDDLLPRHCDEFIRCLPFQEYCDPQNGILNLAVKLPSHVLKPDLGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILIHTTEVTLSDEQHSAIPKLKKAHIAQDEKEGRARGRVDEFLNEGLCKDNREEYKSSVSKETGGALWDIFRREDTEKLEAYLRKHSKEFRHTYCSPVEEVVHPIHDQCFYLTLEHKKNLKKEFGVEPWTFEQQLGEAVFIPAGCAHQVRNLKSCTKVAVDFVSPENVHMCLHLTEEFRRLPKNHKAREDKLEIQKMIVYAVDSAVKELETLRS >Vigun06g158400.4.v1.2 pep primary_assembly:ASM411807v1:6:28172347:28176893:1 gene:Vigun06g158400.v1.2 transcript:Vigun06g158400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLIPFIQHICEEQSQELEIEAKIQGKSSSEIEIPQTSCENERIYCDHCATSFTDLYRSCPECSFEICLNCCKEIRNGSISPRCNMKFQYVNKGCDYMHGGDPLPVSSDFGTLRGHSKIFSKWEANSDGGIRCAPKEMGGCGGHVMELRRIFLNGWISDLEAKARNMVKGFSKTEQTVLQKEAISSCNSKIRAAFRDGTSDNNLYCPLSSDLINEGLLLFQKHWTQGEPIIVRDVLKQGTGLSWEPMVTLRALCENGVPGISSNTTELKAIDCLSCCEVEINTRTFFKGYTQGRAYANLWPEMLKLKDWPPSDKFDDLLPRHCDEFIRCLPFQEYCDPQNGILNLAVKLPSHVLKPDLGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILIHTTEVTLSDEQHSAIPKLKKAHIAQDEKEGRARGRVDEFLNEGLCKDNREEYKSSVSKETGGALWDIFRREDTEKLEAYLRKHSKEFRHTYCSPVEEVVHPIHDQCFYLTLEHKKNLKKEFGVEPWTFEQQLGEAVFIPAGCAHQVRNLKSCTKVAVDFVSPENVHMCLHLTEEFRRLPKNHKAREDKLEIQKMIVYAVDSAVKELETLRS >Vigun06g158400.2.v1.2 pep primary_assembly:ASM411807v1:6:28170483:28175867:1 gene:Vigun06g158400.v1.2 transcript:Vigun06g158400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKSKDHTDYKTKFSSPAKSSGIRKRSNEQYLSKCPKSGENGEAPIVLEELSNRYTDNLIGKIPRCSRGFGSSKRRKDAETTSKKCHQCRKKERAVFVPCTKCPKMYCLWCIRKWYPNLTVSDISHECPFCRNNCNCSVCLRSRGTIKTSNSSITDEERVQHLQYMINLLIPFIQHICEEQSQELEIEAKIQGKSSSEIEIPQTSCENERIYCDHCATSFTDLYRSCPECSFEICLNCCKEIRNGSISPRCNMKFQYVNKGCDYMHGGDPLPVSSDFGTLRGHSKIFSKWEANSDGGIRCAPKEMGGCGGHVMELRRIFLNGWISDLEAKARNMVKGFSKTEQTVLQKEAISSCNSKIRAAFRDGTSDNNLYCPLSSDLINEGLLLFQKHWTQGEPIIVRDVLKQGTGLSWEPMVTLRALCENGVPGISSNTTELKAIDCLSCCEVEINTRTFFKGYTQGRAYANLWPEMLKLKDWPPSDKFDDLLPRHCDEFIRCLPFQEYCDPQNGILNLAVKLPSHVLKPDLGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILIHTTEVTLSDEQHSAIPKLKKAHIAQDEKEGRARGRVDEFLNEGLCKDNREEYKSSVSKETGGALWDIFRREDTEKLEAYLRKHSKEFRHTYCSPVEEVVHPIHDQCFYLTLEHKKNLKKEFGVEPWTFEQQLGEAVFIPAGCAHQVRNLKSCTKVAVDFVSPENVHMCLHLTEEFRRLPKNHKAREDKLEIQKMIVYAVDSAVKELETLRS >Vigun06g158400.1.v1.2 pep primary_assembly:ASM411807v1:6:28172348:28175867:1 gene:Vigun06g158400.v1.2 transcript:Vigun06g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLIPFIQHICEEQSQELEIEAKIQGKSSSEIEIPQTSCENERIYCDHCATSFTDLYRSCPECSFEICLNCCKEIRNGSISPRCNMKFQYVNKGCDYMHGGDPLPVSSDFGTLRGHSKIFSKWEANSDGGIRCAPKEMGGCGGHVMELRRIFLNGWISDLEAKARNMVKGFSKTEQTVLQKEAISSCNSKIRAAFRDGTSDNNLYCPLSSDLINEGLLLFQKHWTQGEPIIVRDVLKQGTGLSWEPMVTLRALCENGVPGISSNTTELKAIDCLSCCEVEINTRTFFKGYTQGRAYANLWPEMLKLKDWPPSDKFDDLLPRHCDEFIRCLPFQEYCDPQNGILNLAVKLPSHVLKPDLGPKTYIAYGIKEELGRGDSVTKLHCDMSDAVNILIHTTEVTLSDEQHSAIPKLKKAHIAQDEKEGRARGRVDEFLNEGLCKDNREEYKSSVSKETGGALWDIFRREDTEKLEAYLRKHSKEFRHTYCSPVEEVVHPIHDQCFYLTLEHKKNLKKEFGVEPWTFEQQLGEAVFIPAGCAHQVRNLKSCTKVAVDFVSPENVHMCLHLTEEFRRLPKNHKAREDKLEIQKMIVYAVDSAVKELETLRS >Vigun08g206500.1.v1.2 pep primary_assembly:ASM411807v1:8:36971976:36974483:-1 gene:Vigun08g206500.v1.2 transcript:Vigun08g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIEKHAALAPFILITEEETNEGSSQKMQNKRGFSMLRLIFFATLFFIIECARGELSESESFFNFLRAVDPQNVLNKTTLVGSSSHSCFVKLKGVRCDSNATNIVHIKLENLNLGGTIDADSLCRLRKLRVVSLADNNIRGTIPPSILHCTRLTHLNLTRNQLSGTLPKALTKLKHLRNLDISNNNFSGMIPSKQQYRRLVTYIVTPSVKLENNSTKEGLKESDKKTTPDSLSDTADKARKSKNLTETLVVLLLGTVVLLSSLYFMVRKSLDLTERREVVKENHDVSKAPCKEIQEVMNNQKEGDSELVFFVEDRERFTMEDLLRATADLRSEGFCSSLYKVKLENNDHYAVKRLKNLQVSLEEFGETLRKISNLKHQNILPLVGYRSTSEEKFVIYKYQNNGSLLNLLNDYIADRKDFPWKLRLNIACGIARGLAFIYKKLDEKEEVIPHGNLKPSNILLDENNEPVISEHGLSKFMDPNRGFLFSSQGYTAPEKSLTEKGDVYSFGVILLELLTGKSTEISRIDLPRWVRSMVREEWTGEVFDKEVRENEHQWAFPLLNIALLCVSRFQETRPTTVDILQKIEEIMDQHEQYQERFASKCCSNGSNRDECCSLHKIIPETWDSPGSNY >Vigun07g157900.1.v1.2 pep primary_assembly:ASM411807v1:7:26965875:26967159:1 gene:Vigun07g157900.v1.2 transcript:Vigun07g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTKIPTPTPIHSFSKPPNATPKITSEMNLSHSAIILTLTFFLFLPSSNPLSDYTTLIYRTCAAQTLNHQFSLTLNSLFQQLIAQSFQHKFFRTTEAVDDETAISGLFQCRDDISKEECFSCVNLLPQMSNTLCSDSVSARVQLDGCYLHYEIEETAGESRSNSLVHRECGKPVMEYVKFKELMEEGFATLESGILNSNGFYSVNYRSVKIMAQCEGDLETCDCSSCVNDAVLVGKEECGTSLSAQIYLDKCFINYNFYGNSVPGARSNGNTERLAAIIVGGAVALFVGFALMSMLNSRFRKDEYE >Vigun02g055100.1.v1.2 pep primary_assembly:ASM411807v1:2:19813613:19820230:-1 gene:Vigun02g055100.v1.2 transcript:Vigun02g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYISPTMSFHICGSRWHFSCSRWSNTRGDCFYISFGLSFHICGSHSHFSCSSRSNDGGEESHQSYPYSADDGATMSFG >Vigun02g055100.2.v1.2 pep primary_assembly:ASM411807v1:2:19813613:19820230:-1 gene:Vigun02g055100.v1.2 transcript:Vigun02g055100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYISPTMSFHICGSRWHFSCSRWSNTRGDCFYISFGLSFHICGSHSHFSCSSRSNDGGEESHQSYPYSADDGATMSFG >Vigun08g175700.1.v1.2 pep primary_assembly:ASM411807v1:8:34601667:34604041:-1 gene:Vigun08g175700.v1.2 transcript:Vigun08g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPYCTLHPLSSSSSSLSPSSTLVQLCSCSSTPSRSLLQFPSNLRRKATKKPRLLMIVHPILLFNGVATTFYFDTQTVIVTVSVLAAIALSLFLGLKGDPVPCERCGGNGGTKCVFCDNGKMKQDTGLINCKVCKGSGLIFCKKCGGSGYSRRL >Vigun06g095900.1.v1.2 pep primary_assembly:ASM411807v1:6:22703255:22706406:-1 gene:Vigun06g095900.v1.2 transcript:Vigun06g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHSHSQTQQPSTQPFLSLSLISPPTYIIAFNMNNLPKCEANFSALTPLTFLSRASACYANRVSVIHEGTSFTWAQTYERCRRLASSLRALNIAMTDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDAKNIATILRHSEAKVFFVDYEYVSKARDALRMLMDDDNNSEQKGNSAAIPTNQQHRAFSLPLVIVIDDINSPTGIRLGELEYEQMVHHGNPNYVPEIIQDEWTPIALNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWTLPMFHCNGWTFTWGVAARGGTNVCLRTTAARDIYRNIILHNVTHMCCAPIVFNILIEAKASERREIRLKGKPPVEILTGGAPPPASLLEQIESLGFHVTHAYGLTEATGPALVCEWQKKWNMLPQQEQAQLKARQGVSVLTMADVDVKNLETMESVPKDGKTMGEIVLKGSGIMMGYYKDDEASSKAFGKGWFRTGDVGVIHHDGYLEIKDRSKDVIISGGENISSVEVESLLYKHPRVLEAAVVAMPHPRWGESPCAFVALKKSSPKNEVTESEMIGYCRKNLPHFMVPKVVKFMEELPKTSTGKIQKFELRVMAKSFVVSENVQSKKKSSNQVGQNSIGGYSNNQQLLAMSRL >Vigun02g004300.1.v1.2 pep primary_assembly:ASM411807v1:2:2148304:2189152:-1 gene:Vigun02g004300.v1.2 transcript:Vigun02g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPESIFLEDFGQTVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATTVSLCLDRRTHRGDSLLTNSLAQWQGPALLAYNDAVFTEDDFVSISKIGGSAKHGQASKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGAYLPRVSAANPGKRIDFTGSSALSFYRDQFSPYCTFGCDMQSPFSGTLFRFPLRNADQAARSKLSRQAYSPEDISSMFVQLFEEGVLALLFLKSVLCIEMYLWDVGEPEPKKIHSCSVSSVSDDTVWHRQALVRLSKRLNTTAEMDAFLLDFVSERISGDEAKRQTERFYVVQSMAAASSRIGSFATTASKDYDIHLLPWASIAACISENLPNNNILRTGQAFCFLPLPVRTGLSVQVNGFFEVSSNRRGIWYGDDMDRSGKVRSNWNRLLLEDLVAPAFMHMLLGIKELLGPTDLYYSLWPTGTFEEPWNILVEQIYKNICNATVMYSDVNGGSWVSPSEAFLHDEKFSKSEDLGLALMKLGMPVVHLPNSLFDLLLQYSSSKVVTPGTVRQFLRENGRLNYLSRQYKLLLLEYCLEDLVDDDVGKEAYDMPLLPLANGNFASFSEASKEVSYFICDELEYKLMQLVSDRVIDPNIPPNILRRLSAIAMSSKTNVILFSIHHFAQLFPAFMPSDWKYKSKVFWNPDSCQKPTSSWFLLFWQYLGKHTEILPLFSDWPILPSTSGHLLRPSRQLKMINGSNISDMVQGVLVKVGCHILNPNYVIEHPDISSYVCDDSATGVLESIFNAVSSPEAMHASLDSLATEERNELRRFLLDPKWYVGHSMDEFSIRFCKRLPIFRVYGRESAEDFQFSALDNPRKYLPPLDVPEIIFVGIEFMVKSSKVEEDILSRCYGVERMGKAQFYMQHVFNRVSELQADVRDSIMLSVLQNLALLSLEDAYIKDSLKNLKFIPTLTGALKCPSVLYDPYNEELYALLEDSDNFPAGAFRESEILNILRGLGLRTSVSPDTVIECARCIERLMHEDQQKAYLRGKVLVSYLEVNALKWLPDQVVDNKGAVNRILSRATTAFRSSNTKSDLEKFWNELRLISWCPVLVTTPFQSLPWPVVSSMVAPPKLVRPLSDLWLVSASMRILDIECSSTALLYGLGWMSPPGGGVIAAQLLELGKNNEIVSDQVLRQELALSMPRIYSILTGMMSSDEIEIVKAVLEGCRWIWVGDGFATSEEVVLDGPLHLAPYIRVIPVDLAVFKKLFLELGIREFLQPADYANILHRMAVRKGSSPLDTQEIRAVTLIVHHLAEVYHHEQKVQLYLPDVSGRLFLAGDLVYNDAPWLLGSDDSNGSFGSAPTVDWNVKKTVQKFVHGNISNDVAEKLGVCSLRRMLLAESSDSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAGASEVIFLLDNSHYGTSSILSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKAFAIGRFGLGFNCVYHFTDIPMFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRQILEQFPDQFSPMLHFGCDLQHPFPGTLFRFPLRSAGVASRSQIKKEIYTPEDVRSLFTSFSEVVSETLLFLRNVKSISIFVKEGTVNEMHLLHRVCRTCIGEPEIGSAEAQDVFNFFKESRRVGMNRAQLLKKLSLSIGRDLPYKCQKIIITEQNTSSRSSHYWITTECLGDGNAQKKRSETANSSCYNFVPWACVAAYLNSVKVDIDLVESSKGENDCTVSPDLFQSVSLPNHQLENFEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGSDMAGGGRKRSEWNIFLLENVVAPAYGRLLEKIASEIGPCNLFFSLWPTTLGLEPWASAVRKLYQFVAQFNLRVLYTEARGGQWISTKHAIFPDFTFPKAAELVKALSGAALPVITLPQSLSERFMEICPSLHFMTPKLLRTLLIRRKREFKDRDAMILTLEYCLHDLQKSLQFDALCGLPLLPVADGSFTSIDMKGVGERVYIARGDEYGLLKDSIPHQLVDCAIPEEVHRKLCYIAETDGTNISFLSCQLLEKLLVKLLPVEWQHARQVSWTPGIHGQPSVEWLQLLWNYLKSYCDDLIMFSNWPILPVGDDCLMQLAQKLNVIRNDGWSEKMSSLLVKVGCLFLRHDLLLDHPKLECFVQSATARGVLNVFLAIALEPQKIEGIFTDVSEGELHELRSFILQTKWFSEEQIDDTHIEIIKHLPIFESYKSRKLVSLSSPIKWLGPTGVCEDLLNDNFLRTESETERVIMKRYLGMKEPTKVEFYKDYIFNHMSEFLSRQEVVLAILHDVQNLIEEDLSLKSSFSCAQFVQAANGSWQQPSRLYDPRVPHLKKMLHGNAFFPSDKFLDPKILDTLVCLGLRTTLGFTGLLDCARSVGLLHDSGDIDASKHGGELLHLLDTLAHNLSNKVGSKNDDQQGGVALESSSMTDDAFVYDGFPKEETYLTDIDLFCSSSTFDMVEEEFWSELKLISWCPVISDPAVMGLPWLKSNNQVVAPPTTVRPKSQMWMVSSSMFILDGECDTTYLQTELGWMDCPNVDVLTRQLVELSKSYQRLKINSLLEPSFEAQLQKEIPCLYSKLQEFINTEDINNLKAGLDGASWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELSEYKDLLIKLGVRLSFGISDYLQVLQRLQNDVHGVPLSTDQLNFVHCVLEAVVECCLEKPLFETFDSPLLIPNDFGVLMQAGDLVYNDAPWLENSSLIGRHFVHPVIGNDLADKLGVQSVRCLSLVSDDLTKDLPCMDYNKVNELLAVYGNNEFLLFDLLELADCCQAKRLHLIYDKREHPRQSLLQHNLGEFQGPALIVIFEGACLSREEFSNLQLRPPWRLRGSTINYGLGLVSCYSICDLLSVMSGGFFYMFDPRGLVLGTPLTNAPSAKMFSLIGNDLTQRFCDQFSPMLIEQTDLWSLADSTIIRMPLSSDCLKHGPDLGSNRIRNIIDIFMKHGSRALLFLKSVLQVYISTWEEGESNPRRNFSISIDPSSSILRNPFSEKKWRKFQLSRIFSSSNAMIKMHVIDVNLYSEGTKVIDRWLVALSLGSGQTRNMALDRRYLAYNLTPVAGIAALISSNGHHANDCSRSSIMAPLPLSGCVNLPVTIIGCFLVCHNRGRYLFKYQDRGASTEGHFDAGNQLIESWNREVMSCVCDSYVEMVLQIQKLRRDIPSSLFDSSTYSAISLSLKSYGDQIYSFWPRSCERQVLIDQHANHDDNPPSPPRVVLKADWECLKDRVIQPFYSRIVDLPVWQLYSGNLVKAEEGMFLSQPGNGLVGNLLPATVCSFVKEHYPVFSVPWELVTEIQAVGFSVREIRPKMVRDLLKVSSKPFTLRSVDMYIDVLEYCLSDFQKTESPTSAKDNDPDTSNAFSRETDIHRITSSQHNIQGSTTRGEASSGDALEMMTSLGKALFDFGRGVVEDIGRSGAPGAYSNAMTSIGQSRDQKFILIASELKGLPFPTGTSNLKKLGFNELWIGNKEQQSLMLPLGEKFIHPKLIDRPLLGGIFSNVTLQSLLKLRNFSLNLLANHMKQIFHEDWVNHVMGSNMAPWLSWEKLPSSGSQGGPSPEWIRTFWKSFRGSTEELSLFSDWPLIPAFLGRPVLCRVSERHLVFIPPLLEHSNSTSGISERESLEHSNSTSGISERESLEHSNSTSGISERESTESYVSGVRVTRDDTSETDLAESYISAFKRFKTNYPWLLPMLNQCNIPIFDEAFIDCAASSNCFSMSGQSLGHVIASKLVGAKQAGYFTEPTNLSTSNCDALFSLFSDEFFSNDFHYTREQIEALRSLPIYKTVVGSYTKLQGQDQCMIPSNSFLKPYDERCLSCATDSNESSFLRALGVLELHDQQILVRFGLPGFERKSQNEQEEILIHIFKNWHDLQSDQLVVEALKETKFVRNSDEFSTDLLKPLDLFDPGDAILISVFFGERRKFPGERFSTDGWLRILRKLGLRTATEVEVIIECAKRVEFLGIECMKSGDLDDFETDIINSHSEVSPEVWALGGSVVEFVFSNFALFFSNNFCDLLSKIACVPAELGFPSVGCKRVLASYNEAILSKDWPLAWSCAPILSKQHTVPPEYSWGPLHLRSPPAFCTVLKHLQVIGRNGGEDILAHWPIASGMNIEECTCEILKYLDKIWGSLSSSDVAELRKVAFLPVANGTRLVTADALFARLMINLSPFAFELPTVYLPFVKILKDLGLQDMLTLSVAKGLLLHLQKACGYQRLNPNELRAVMEILNFICDQIVEGNTLDGSNWKSEAIVPDDGCRLVHSGSCVYVDSYGSRYVKCIDTSRVRFVHVDLPERVCIMLGIKKLSDIVVEELDENHALQTLGSLGSVLLVTLKQKLSSKSLQTAVWTIVKSMGSYIPAFNSFSLDTIECLLNSTAEKMQFVKCLKTKFLLLPNLVDVTRAGKDFSIPGWKNDSAHQTLYFLNQSRSCILVAEPPTYISLFDLIAIIVSQVLGSPIILPVGPLFGCPEGSEIAIVNVLKLCSDKKEVEPINGSSNMVGKEILPQDARLVQFHPLRPFYSGEVVAWRSQQGEKLKYGRVWEDVRPSAGQALYRIKIEVAQGDTQFFLSSQVFSFKSVSASSPLKETLVHDSPLLSSNMPNVDFPESSGRGESNSQVQPVREQSGKVSSAELVQAVNEILSAAGIKMDVEKQTLLQRTLNLQENLRESQAALVLEQEKVEKATKEADTAKSAWICRVCLSSEVDITIVPCGHVLCRRCSSAVSRCPFCRLQVTKAIRIYRP >Vigun08g194100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36070061:36070495:1 gene:Vigun08g194100.v1.2 transcript:Vigun08g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMEQEDNTPKHTIIPTFLRKPSDDQASSAVSSHKSLHVFRHSRRRCRKELTAKEPAKEGDEDEEKEKEEDEDGDGDDREEIERKIHALQRIVPGGESLGVDKLFDETAGYILALQYQVKALRALTGFFEKLEKEKTKFGG >Vigun03g180900.2.v1.2 pep primary_assembly:ASM411807v1:3:22952355:22955520:1 gene:Vigun03g180900.v1.2 transcript:Vigun03g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIISNSSRRSSTTIEKVKGLGFDASLFLGAVTSGELTHQYLQRRDDPWFAALGRSCIHFTWNGRGAISLEGLDLQVVENVEEAEFVLAHGTEALGNADGSARSMKLEDLEKILELCASKGIPMVVANPDYVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDEIIYKSAMAMAGTDASDCIAVGDSFHHDIKGANAAGIESVFITGGIHATELGLHSFGEVADSSSVQSLASKYEAYPSYVLPAFNW >Vigun03g180900.1.v1.2 pep primary_assembly:ASM411807v1:3:22952296:22955529:1 gene:Vigun03g180900.v1.2 transcript:Vigun03g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKCSVPPLQFHTLNGLRQLAETRRFKVWLLDQFGVLHDGKQPYPAAISTLENIAKTGAKMVIISNSSRRSSTTIEKVKGLGFDASLFLGAVTSGELTHQYLQRRDDPWFAALGRSCIHFTWNGRGAISLEGLDLQVVENVEEAEFVLAHGTEALGNADGSARSMKLEDLEKILELCASKGIPMVVANPDYVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDEIIYKSAMAMAGTDASDCIAVGDSFHHDIKGANAAGIESVFITGGIHATELGLHSFGEVADSSSVQSLASKYEAYPSYVLPAFNW >Vigun03g180900.3.v1.2 pep primary_assembly:ASM411807v1:3:22952361:22955489:1 gene:Vigun03g180900.v1.2 transcript:Vigun03g180900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKCSVPPLQFHTLNGLRQLAETRRFKVWLLDQFGVLHDGKQPYPAAISTLENIAKTGAKMVIISNSSRRSSTTIEKVKGLGFDASLFLGAVTSGELTHQYLQRRDDPWFAALGRSCIHFTWNGRGAISLEGLDLQVVENVEEAEFVLAHGTEALGNADGSARSMKLEDLEKILELCASKGIPMVVANPDYVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDEIIYKSAMAMAGTDASDCIAVGDSFHHDIKGANAAGIESVFITGGIHATELGLHSFGEVADSSSVQSLASKYEAYPSYVLPAFNW >Vigun08g213700.1.v1.2 pep primary_assembly:ASM411807v1:8:37448311:37451917:1 gene:Vigun08g213700.v1.2 transcript:Vigun08g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGTVWSVLRRGKEAIGIPKLRGTTTSFSSSTQAPPLKFLTPPVATHYIHAFRSQLLPKGPHVHVSYTRNFSKVVAAGAQHKEEGLKLLVTGGSHAQKVVGIWLFGSAAWVFSMVVLGGLTRLTRSGLSMTDWKFTGTLPPLSDEEWLEEFDKYKESPEYKRVNRGMKIEEFKFIYWMEYAHRMWGRALGVMFALPYSYFLHKGYITLRLGLRLSALFGLGAGQGLIGWWMVKSGLEEPPSEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVLPEPPTESLTWVRGAAKVRRFALPVSVLVGLTAVSGAFVAGNDAGHAFNTFPKMGDTWIPEDIFEMKPLIRNFFENTSTVQLDHRILATATLVSVGILWWSTRKLDLHPAIRSVIGGTVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLTFMLLLNHTVRRPSLSLLKSLPQVVKAH >Vigun03g159100.1.v1.2 pep primary_assembly:ASM411807v1:3:17490818:17492632:-1 gene:Vigun03g159100.v1.2 transcript:Vigun03g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVWHVLKKSLHCRSHSSEVHDPQAKRRDQRNKRESKNQVSHEIFLDRSSGEIKICTCYPIEEPLSHSPRKTKVSIVSSKTPSRCPAKTKLVSSKTHCVDCDECVVFSKKKVPVKDFNNPPVSTRHRGFEDKMKSCDTVEEHQNHLHSVIQLEREDSSSKIIEQICEGNFTESNAIECVLRVQSKQESFAWYEECREMVRVKAETLENEHPRCLVDGNELLRFHGTTLACSLASTLCSSDQCGVCQILKHGFSSNQQLFHGALGVCTSSSSAKAIHSISSSNNKSVRRKCVMLCRVIAGRIHNPLQEIQEITHRGFDSLVKKMRDHSEIEELVVLNPRAVLPCFLVIYNL >Vigun06g007645.1.v1.2 pep primary_assembly:ASM411807v1:6:3787943:3788551:-1 gene:Vigun06g007645.v1.2 transcript:Vigun06g007645.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAILLILFQTGTADLQISLTTEFSERRQIFLWIASFAAFVIKVPMVPVHIWLPEAHVEAPTAGSVILAGIPSKLGTHGFLRFSIPMFPEATLCSTPFIYTPSVIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSQNMCAGRATHQPTSNGGENIACRKLDSRRQQNIAVCSKNKSPL >VigunL030900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:174932:177155:-1 gene:VigunL030900.v1.2 transcript:VigunL030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun07g222500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34479606:34479764:1 gene:Vigun07g222500.v1.2 transcript:Vigun07g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKGCGKLRRMGPTNGSMSAYEFSLMLSPVVSFWDCIFRKTRYSYRPEWV >Vigun02g191800.2.v1.2 pep primary_assembly:ASM411807v1:2:32903969:32906200:-1 gene:Vigun02g191800.v1.2 transcript:Vigun02g191800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTKMDTGLVLVVIAMLCAGAAAQTSCTNVLVSLSPCLNYITGNSSTPSSGCCSQLASVVRSQPQCLCQVLSGGGSSLGININQTQALAMPGACKVQTPPTSQCNNAASPPTGTAAESPNSVPSGAGSKTLPTTDGGSSAGNSFKLSIPLILILAATYVSTFTTY >Vigun02g191800.1.v1.2 pep primary_assembly:ASM411807v1:2:32903969:32906200:-1 gene:Vigun02g191800.v1.2 transcript:Vigun02g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTKMDTGLVLVVIAMLCAGAAAQTSCTNVLVSLSPCLNYITGNSSTPSSGCCSQLASVVRSQPQCLCQVLSGGGSSLGININQTQALAMPGACKVQTPPTSQCNNVAASPPTGTAAESPNSVPSGAGSKTLPTTDGGSSAGNSFKLSIPLILILAATYVSTFTTY >Vigun04g028300.1.v1.2 pep primary_assembly:ASM411807v1:4:2203461:2205816:-1 gene:Vigun04g028300.v1.2 transcript:Vigun04g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAASSPTRSPPRRPLYYVQSPSRDSHDGEKTATTSFHSTPVLSPVASPPHSRHSSSTRFSKKDHSHSLKPWKQIDVIEEEGLLQGDDHRNGLPRRCYFLAFVVGFLLLFSLFSLILWGASRPMKPKISVKSIKFDDLRVQAGSDATGVTTDMITMNSTLKFTYRNTGTFFGVHVTATPVELYYSDIVIASGDMKKFYQSRKSQRLVSVAVMGNKIPLYGSGASLSSTTGMPTVPVPLNLKFVLRSRAYVLGKLVKPKYYKTIQCSITLDPKKLTSPVSLKKSCTYD >Vigun09g156000.1.v1.2 pep primary_assembly:ASM411807v1:9:32152182:32154632:1 gene:Vigun09g156000.v1.2 transcript:Vigun09g156000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSTTSVLCWGNPQNLHVLPSPHTPCHSSQQIGILRTLAASASKGRESEKFSSLLVTRRTVLASGVSLLGFPGESLAVVTQGLLAGRIPGLSEPDEQGWRTYRRPDEKSGGHGVGWSPIIPYSFRVPQEWEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFSDDLGDNATIEKIGPPEKVINAFGPEVIGENVEGKVLSSNVAEHEGRTYYQFELEPPHIFITATAAGNRLYLFGVTGSGLQWKRHYDDLKKIAESFRVV >Vigun09g156000.2.v1.2 pep primary_assembly:ASM411807v1:9:32149154:32154632:1 gene:Vigun09g156000.v1.2 transcript:Vigun09g156000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALSPWLVAAATRGVDLVLLVELRFDVPAVLLVALPVVCICPCQFGWRTYRRPDEKSGGHGVGWSPIIPYSFRVPQEWEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFSDDLGDNATIEKIGPPEKVINAFGPEVIGENVEGKVLSSNVAEHEGRTYYQFELEPPHIFITATAAGNRLYLFGVTGSGLQWKRHYDDLKKIAESFRVV >Vigun09g156000.3.v1.2 pep primary_assembly:ASM411807v1:9:32152182:32154632:1 gene:Vigun09g156000.v1.2 transcript:Vigun09g156000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTGWRTYRRPDEKSGGHGVGWSPIIPYSFRVPQEWEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFSDDLGDNATIEKIGPPEKVINAFGPEVIGENVEGKVLSSNVAEHEGRTYYQFELEPPHIFITATAAGNRLYLFGVTGSGLQWKRHYDDLKKIAESFRVV >Vigun09g208200.1.v1.2 pep primary_assembly:ASM411807v1:9:38243743:38247124:1 gene:Vigun09g208200.v1.2 transcript:Vigun09g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFQCLSCARTEPFLTPPQSLTLPFQRKPFFCSLPLSSRARNLSSSIVAAASKKRKNKKKLSRHHVTEGGEEDMDAFELLFNQLEQDLKRGGLSDDDDEDGITEEEMALLERELENALGDFDDELLNSDVIDVELGSDPENGDEDEDEDEDEDEDEDEDEDDDGDGDDSESEDGDEKPLNLKNWQMKKLARALKAGRRKTSIKNLAADLCLDRALVLQLLRDPPPNLLMMSLTIPDEPATTAVSLETKPGEILLQETSIDQVESEPEAKVPVHTLQRNWYAQKRLKKAHVDTLERVYRRSKRPTNAMISSIVHVTNIPRKRVVKWFEDKRAEEGVPDHRLPYQRSVPETA >Vigun09g000100.1.v1.2 pep primary_assembly:ASM411807v1:9:29413:38661:1 gene:Vigun09g000100.v1.2 transcript:Vigun09g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESLSETPDISPPSSTAQLQSDPSLSRNGSFSRLNAQAPEFVPTRATPRTDLQQPRLVVPPPPPASSMVHVYSPPHLPIQGHVVPVQNHHHNLGHQHHVPVHYRPHHHPHQYYVSSDSTVQQSQVDPDRLPSSKSKLSDEASQKILNQVEYYFSDLNLATTDHLMRFINKDPEGFVPISVVASFKKIKALITSHSLLATVLRNSSKLVVSEDGKKIRRQYPLTESDIEELQSRIVVAENLPEDHCHQNLMKVFSAVGSVKTIRTCPPQTSNSGASSALRLGKVDGLPLSNKLHAFVEYESIELAERAVAELNDEGNWRSGLRVRLMLRRMSKPSQGRGKKGLDVEVGCDEDYTSVPEPHASEKQLEEASFPDTQLHEHAGEEHGYEKETGQRKGRSRGRGKGRGRVHCHQNNRVGTPPSNNTIFTDQVIAKQPPGPRMPDGTRGFSMGRGKPVAVNIA >Vigun06g019400.1.v1.2 pep primary_assembly:ASM411807v1:6:8955981:8962631:1 gene:Vigun06g019400.v1.2 transcript:Vigun06g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFITCISFFLFLKSLLLRRLPSWATEMRLLPLLFSQALSVRAISKLFRNRFWLGFVCQIPAGMSLVRKSLTSRVENVEESHGMSVLDLPELALDCILERLPPSSLCQMVAVCRSLRERCVSDHLWERHMKQKWGSVVGPAAYREWKWHVASKRNVGGLKHGRQRGLMRFLSLRWPLQWMRPKVDENNSLKQRTSLPVDSIMNWYLAIESGNFCFPAQVYNRENGHVGFMLSCYDATISYDPRTDTFQARYPPHGRRADARECGIPWERLRAPPIDASPHDLHVSECLNDLYPGDHIEIQWRRNKEFPYGWWYGVVGHLESCNGSENYCRCHKSDTVVLEFNHYTPGSRWRQTTVSRKDHREEGNEADGFYGGIRKIKSEAEIAIWKRLWPSEVLD >Vigun03g156400.1.v1.2 pep primary_assembly:ASM411807v1:3:16783652:16785915:-1 gene:Vigun03g156400.v1.2 transcript:Vigun03g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPKPSSESDLFLHSNFASCYGGDSLPRFSMPENSMPKEAAYQNIHDELQLDAIPKLNLASFVTTSMEEECNKLIMESINKNYVDMDEYPATTDLHNRCVNMIARLFHAEIGEDENAIGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNLVTGSNVQVCWEKFARYFEVELREVEVREGYYVMDPAKAVELVDENTICVAAILGSTYNGEFEDVKLLNDLLLQKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLALVKSINVSGHKYGLVYAGIGWVIWRTKDDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGQEGYRSIMENCRENAMVVKENLEKSGRFNILSKDDGVPVVAFSLKDRRQYDEYKISEMLRRHGWIVPAYPMPPAAQHINVLRVVIRAEFSRTLAQRLVFDIYNVLHELEKPHLPKVINNITEEKKELVENGVKKTALDAHREIIAQESNKRQKIMAA >Vigun10g099100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29029533:29031194:-1 gene:Vigun10g099100.v1.2 transcript:Vigun10g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGTAPPRGSAAATANMRRRRTAGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >Vigun08g105300.1.v1.2 pep primary_assembly:ASM411807v1:8:26186489:26188480:1 gene:Vigun08g105300.v1.2 transcript:Vigun08g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLLLLNSVLLALGTSGGPLVMRLYFIHGGNRIWLSSFLETAAFPLILIPLTISHLHSSSHHSPKPNLVSMKLPLFLASAVIGILTGLDDYLYACGIARLPVSTSSLIQASHLAFTAVFAFLLVRHRFTVYSVNAVMLLTVAAVVLALRSGGDRPSGESTRQYVIGFLMILAAAALYGLVLPLMELVYKRSKQRVTYSLVMEIQLVMCFFATLFCTLGMLINNDFKVISREAKDYELGESKYYVVLVGSAIMWQFFFLGAIGVIFCSSSLFSGIIIAAFLPVTEVLGVIVYKEKFEAEKGVALVLSLWGFVSYFYGEIKEEREKNKNGCPETELPQSLPPNA >Vigun04g165200.1.v1.2 pep primary_assembly:ASM411807v1:4:38987342:38990751:1 gene:Vigun04g165200.v1.2 transcript:Vigun04g165200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNILIFFILNFLNFAITEPQDYPPLYQYCSSEQTTDNTSLQVNVKTLLSNLSSLSSGHTQYHTNFSCANPSDSIYGLFMCRGDTSPHHCQKCVQGAKTKLSLDCSLSKESVTWYEECMVRYSTNYFFSTVATTQCNTGKVVSNPESFMQLYFLTMNQTADEAARVPEVHNNTKMFSTKQAHVSGSHTLYCMAQCTPDLSPSDCRSCLGEAIRDVPNSPQCSGVRLGGKVLYPSCNIRYESYPFYLVPPTNPSSELVPETRTSHHADLTFSEDPLYLSHNCSSNNKTLVSNNASQISLNTLFSYLSSNATKRKYYEADVNSTVYGLFMCRGDVSYCVCEKCVQNATRQIALDCKSFQEGIVWYSHCMVRYSFRKIFRTVETSPKFSELNKTVGIEEQSFFSVTLAKTLDKVAVMAGDSDDRFGKYSTKLNDQQTLYTLAQCTHDLPTDDCKGCLGILIGTEIPWSGLKSIAGRVHYPSCNIRFEFSQFYLDKHQQHSKGFYSSAKPGKKRINVRRIILVTVPTIILMNLLFVAWYFQRRNTRKSHATILKENFGHETATLEPLHFNLTAIELATNNFSNENKIGRGGFGEVYKGILPNGRRIAVKRLSKSSKQGVAEFKTEVLLIAKLQHRNLVEFIGFCLEEEEKILIYEYVPNKSLDHFLFDPQPQKSLSWCERYKIIGGIARGIVYLHEHSRLKIIHRDLKPSNVLLDENMTPKISDFGIAKIIEISQDQESTDLIVGTCGYMSPEYAMFGQFSEKSDVFSFGVMVLEIITGRKNIYSPEPRRIVDGLLSYVWKQWRAQTPLSILNSSIKENYAEIEVIKCIQIGLLCVQESPDVRPTMVTIVSYLDGHFSELPTPQRPAFFLHGRMDSKSIGRKSSSRRFMNISTPLSINEMSTSECFPR >Vigun10g128900.1.v1.2 pep primary_assembly:ASM411807v1:10:33680025:33683241:1 gene:Vigun10g128900.v1.2 transcript:Vigun10g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDDGKESSNSVNKVEDSNSVLICGLPDDISLMCLARVPRKYHPVLKCVSKRWRDLICNEEWCTYRRKHKLEETWIYALCRDKLNETFCYVLDPTASLRYWKLVDGIPPQASKRKGMGFEALGNKLFLLGGCSWSIDCTDEVYSYDACSNCWVQATSMSTARCFFACEVLDEKLYAIGGLASNPTYSHSWDTFDPLTNCWRSQRDIAIFSDVEDSVVLGGKIYVRFSRYPVTPHVFAIVYEPSSDTWQYADADMVSGWTGPAVVVDDTLYVLDQTLGTRLMMWHKEKRQWILVGKLSPLLTRPPCQLVAVGRSIFIVGKMLSTVVVDVGNLGNEVLMSSSIPGLLSDFTVISCKCLSI >Vigun10g128900.2.v1.2 pep primary_assembly:ASM411807v1:10:33680023:33683241:1 gene:Vigun10g128900.v1.2 transcript:Vigun10g128900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDDGKESSNSVNKVEDSNSVLICGLPDDISLMCLARVPRKYHPVLKCVSKRWRDLICNEEWCTYRRKHKLEETWIYALCRDKLNETFCYVLDPTASLRYWKLVDGIPPQASKRKGMGFEALGNKLFLLGGCSWSIDCTDEVYSYDACSNCWVQATSMSTARCFFACEVLDEKLYAIGGLASNPTYSHSWDTFDPLTNCWRSQRDIAIFSDVEDSVVLGGKIYVRFSRYPVTPHVFAIVYEPSSDTWQYADADMVSGWTGPAVVVDDTLYVLDQTLGTRLMMWHKEKRQWILVGKLSPLLTRPPCQLVAVGRSIFIVGKMLSTVVVDVGNLGNEVLMSSSIPGLLSDFTVISCKCLSI >Vigun10g178600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39683093:39683419:1 gene:Vigun10g178600.v1.2 transcript:Vigun10g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLGGHYIPEISCQSWILGVEAHNIIGFSSVPKDCIGYIGNYLVGDQYRSDSKTVCREAYFYVKTLNITSNDAWVFDIDETTLSNLPYYADHGFGYAYVLFFEFYY >Vigun06g158000.1.v1.2 pep primary_assembly:ASM411807v1:6:28139194:28145933:-1 gene:Vigun06g158000.v1.2 transcript:Vigun06g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHPSSLSPSLAFIFLALLPLLSLANTDPTDVQALEVMYNALNSSTELTGWRIGGGDPCGESWKGVTCEGSAVVSIELSGLGLDGTLGYLLSDLMSLRKLDLSDNKIHDTIPYQLPPNLTSLNLARNNLSGNLPYSFSAMASLNYLNLSNNALSMTVGDVFANLSDLDTLDLSFNNFSGDLPPSFGGLANLSSLFLQKNQLTGSLSVLVGLPLDTLNVANNNFSGWLPREITSIHHFIYDGNSFENTPAPLPPAVTSPPSGSHGHHHSGSGSHNKTQASDNENSDGHTGLSVGAVVGIVLGSVVVAAILLLAFVFCIRKQKGKKKGARNFSGSLPRGVINVTPQMQEQRVKSAAIVTDLKPRPAENVSVERLPTKSGSVRHMKSPITSTSYTIASLQSATNSFSQEFIIGEGSLGRVYKADFPNGKEMAIKKIDNSALSLQEEDNFLEAVSNMSRLRHPNIVTLTGYCAEHGQRLLVYEYIGNGNLHDMLHFAEENSGKALSWNARVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRIRSEQSLVRWATPQLHDIDALAKMVDPTLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDESGIGHKTPEHEAIDMSF >Vigun01g022800.1.v1.2 pep primary_assembly:ASM411807v1:1:2408658:2410065:-1 gene:Vigun01g022800.v1.2 transcript:Vigun01g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQVQKMETEVHIKASADKFYDVFCNKPYHIANISPQNIQSVQIHNGNWGTEGSILTRNYMIDGKTYVEKEMVEGIDKENKKLSFKVIEGDLMGHYKTFKSNLQVIPKEKGSVVHWTMEFEKHQNNIPDPHTTLQLATEVTKDIDSYLTQDHK >Vigun06g079200.1.v1.2 pep primary_assembly:ASM411807v1:6:21079095:21081903:-1 gene:Vigun06g079200.v1.2 transcript:Vigun06g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAGCVMFRSTTVQMIDRIAYLSAPPLQAPTTRLTFLSPSSPFLFKLRPTSLFTSSSSSFFSLTSPPRSTMLHHDPLVSDLYATAISAAVAFSLLRLWQETAKRGIFDQKLNRKLVHISIGLMFILCWPLFSTENMAPFLAVLIPGVNIIRMLVIGLGIVKDEATVKSMSRFGDYRELLKGPLYYVATISLATIIYWRTSPISIAAICNLCAGDGMADIVGRRFGGEKIPYNKNKSFAGSIAMASAGFLASIAYMWYFSSFGYIEGSWKLVLGFLLVSVGTAFVESLPISTELDDNLTVPLTSILLGSVVF >Vigun08g155600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32829009:32831798:-1 gene:Vigun08g155600.v1.2 transcript:Vigun08g155600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANALAILNEDRFLAPRGWGLSDFSAGQTKSFKGQVLGLIYATQYLRFPLILLNSICIIVKLVSG >Vigun06g070900.3.v1.2 pep primary_assembly:ASM411807v1:6:20169855:20174495:1 gene:Vigun06g070900.v1.2 transcript:Vigun06g070900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSHDSWNRLPRRRYSNLDKNVVYGAKDAQARKREKEAESRVAESHAPHDHEQRNGSDEVQHSLDNPSSPVESPTEKIGGQVEASNPLDTKPSPEASSVEAMSSAEQPSASQPKPDHHKRSLDALAAAKERFLNAKRCVRKQRINENFRAFVYMLVTHLVFSFSCLI >Vigun06g070900.4.v1.2 pep primary_assembly:ASM411807v1:6:20171585:20174495:1 gene:Vigun06g070900.v1.2 transcript:Vigun06g070900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSHDSWNRLPRRRYSNLDKNVVYGAKDAQARKREKEAESRVAESHAPHDHEQRNGSDEVQHSLDNPSSPVESPTEKIGGQVEASNPLDTKPSPEASSVEAMSSAEQPSASQPKPDHHKRSLDALAAAKERFLNAKRITIDGS >Vigun06g070900.2.v1.2 pep primary_assembly:ASM411807v1:6:20171585:20174495:1 gene:Vigun06g070900.v1.2 transcript:Vigun06g070900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSHDSWNRLPRRRYSNLDKNVVYGAKDAQARKREKEAESRVAESHAPHDHEQRNGSDEVQHSLDNPSSPVESPTEKIGGQVEASNPLDTKPSPEASSVEAMSSAEQPSASQPKPDHHKRSLDALAAAKERFLNAKRCVRKQRINENFRAFVYMLVTHLVFSFSCLI >Vigun06g076800.1.v1.2 pep primary_assembly:ASM411807v1:6:20748851:20751268:-1 gene:Vigun06g076800.v1.2 transcript:Vigun06g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQTLQHFGNGAREDQSYFDPGAPPPFKIADIRAAIPKHCWEKSTLRSLSYVLRDVLVVAALVAAAIGFNSWFFWPLYWPAQGTMFWALFVLGHDCGHGSFSNSSKLNSVVGHILHSLILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYKNLDNMTRTLRYSFPFPIFAYPFYLWNRSPGKEGSHFNPYSNLFSPGERKGVVTSTLCWTIVLSLLLYLSLTIGPIFMLKLYGVPYLIFVMWLDFVTYLHHHGYTQKLPWYRGQEWSYLRGGLTTVDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLVEATKSAKSVLGKYYREPQKSGPLPFHLLRYLLQSISQDHFVSDTGDIVYYQTDPKLHQDSWTKSK >Vigun05g111300.2.v1.2 pep primary_assembly:ASM411807v1:5:11594880:11595746:1 gene:Vigun05g111300.v1.2 transcript:Vigun05g111300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMYMSPGGYMPLMPNGTSNTQSSMQSMMQMSFYWGKDATVLFHGWPGTSPLVGGLMQAFVQFFRISFVYMVMLAVMSFNGGIFIAAVVGHTLGFFIAKSRALALVNKEEDKGSSSVRNNV >Vigun05g111300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11594877:11595647:1 gene:Vigun05g111300.v1.2 transcript:Vigun05g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMYMSPGGYMPLMPNGTSNTQSSMQSMMQMSFYWGKDATVLFHGWPGKSVGMYILAIVFVFLLAMAIEVLSNQPLIKPGTSPLVGGLMQAFVQFFRISFVYMVMLAVMSFNGGIFIAAVVGHTLGFFIAKSRALALVNKEEDKGSSSVRNNV >Vigun05g156726.1.v1.2 pep primary_assembly:ASM411807v1:5:25527392:25528499:1 gene:Vigun05g156726.v1.2 transcript:Vigun05g156726.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSWLPVRDVTRYKTVSKDWNRLITNSEFVQLHLQRSSRNTHILLTFDAEITDSYAVICPVENIFDNPSSTLDRLIRDYGVLGVCNGLVCIQQVRGCFGKERELIEYGFHIHNPAIQVISEDNPPHVRLHWGANYKCPYMFGFGYEEKERTYQIVFLETHTNDYKKQDIKVCSLGGAWTTVLTCEAISAVFPDGICVSSTLCWLACPRFFRGDPRTIFKVKELRIFSYDLKNKTWLKGCLCFSYQHEGNFVVWLKRKFNDESSWSRLLNLDYQNGPHGFGYNSSRDFEIIGMSEDDDVLLGDMHESEFIRYNIRNNTIKDRESYRDDISHLLSYDYS >Vigun07g075000.2.v1.2 pep primary_assembly:ASM411807v1:7:9784884:9790380:-1 gene:Vigun07g075000.v1.2 transcript:Vigun07g075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYENSDVMQWGFNLFGSDPAYSPGYYCDIIQPNTGDVYNNGHYFHSHYTTQHNQIENDEIIARALQEQFSRVDIDDCSRYSQTHEEQFHASEPAYDWRNSSMMYCSGGHDYTYDGIGDIKPSSSLCCRPCEAEESSLELTDNYSLDDEIGRKLSQMVPIPHVPKINGEIPSIDEATSDHQRLLDRLQLYDFVEHTVQGDGNCQFRALSDQLFNTPDHHNTVRQEVVNQLRSHPEIYEGYVPMEYGEYLEKMSKSGEWGDHVTLQAAADSYGVRIFVVTSFKDTCCIEILPNFENPRGVIFLSFWAEVHYNSINPQGDSFKKNNPIDSNIPSSESKKKKRWWNFGTKH >Vigun07g075000.1.v1.2 pep primary_assembly:ASM411807v1:7:9784884:9790380:-1 gene:Vigun07g075000.v1.2 transcript:Vigun07g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYENSDVMQWGFNLFGSDPAYSPGYYCDIIQPNTGDVYNNGHYFHSHYTTQHNQIENDEIIARALQEQFSRVDIDDCSRYSQTHEEQFHASEPAYDWRNSSMMYCSGGHDYTYDGIGDIKPSSSLCCRPCEAEESSLELTDNYSLDDEIGRKLSQMVPIPHVPKINGEIPSIDEATSDHQRLLDRLQLYDFVEHTVQGDGNCQFRALSDQLFNTPDHHNTVRQEVVNQLRSHPEIYEGYVPMEYGEYLEKMSKSGEWGDHVTLQAAADSYGVRIFVVTSFKDTCCIEILPNFENPRGVIFLSFWAEVHYNSINPQGDSFKKNNPIDSNIPSSESKKKKRWWNFGTKH >Vigun10g001700.1.v1.2 pep primary_assembly:ASM411807v1:10:185785:190250:-1 gene:Vigun10g001700.v1.2 transcript:Vigun10g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKIRILQAIVAGLTYLLALLTKVVVSQKGRPHQQKSAEEEENERFGLSWRVAVEANNVCPWRTVPPRCYHHLQNYMCAGQYERDLNLVVEHILIYASQIQLSSDGMDAWILDVDDTCISNVSYYKAKRFGCEPFDSTIFKAWIMKGMCPANPAVRLLFNTLKERGFKLFLLTGRDQATLSAITTHNLHTQGFVGYQRLILRSPEYKGQGAVKYKSAIRKEIEREGYRIWGNVGDQWSDLQGECSGKRTFKLPNPMYFIS >Vigun09g158500.2.v1.2 pep primary_assembly:ASM411807v1:9:32513022:32515536:-1 gene:Vigun09g158500.v1.2 transcript:Vigun09g158500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACQCAYNAFLFSQTREFFSTNHAMYKPLSTRCRPFLLSITNATPSYNTLVSEAVRLLVPTAKFEASKLKVVLLEDQTSKYASILPRTYILSHCDFTANLTLAVSNVIHLEQLRGWYEKDDVVAEWKKVQNEMCLHVHCFVSGPNSFSDLAAEFRYHIFSKEMPLVLKAIHFGDSALFREHPELLDSIVRVYFHSSSQNYNRMECWGPLKDAMEGKQANKFQGSISRDNPEKWRSPKSIFQALFAFLL >Vigun09g158500.3.v1.2 pep primary_assembly:ASM411807v1:9:32513130:32515504:-1 gene:Vigun09g158500.v1.2 transcript:Vigun09g158500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACQCAYNAFLFSQTREFFSTNHAMYKPLSTRCRPFLLSITNATPSYNTLVSEAVRLLVPTAKFEASKLKVVLLEDQTSKYASILPRTYILSHCDFTANLTLAVSNVIHLEQLIGISCAEQLRGWYEKDDVVAEWKKVQNEMCLHVHCFVSGPNSFSDLAAEFRYHIFSKEMPLVLKAIHFGDSALFREHPELLDSIVRVYFHSSSQNYNRMECWGPLKDAMEGKQANKFQGSISRDNPEKWRSPKSIFQALFAFLL >Vigun09g158500.1.v1.2 pep primary_assembly:ASM411807v1:9:32513142:32515300:-1 gene:Vigun09g158500.v1.2 transcript:Vigun09g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQWHVNVLTMPFYFHKRESSSAPTMPCINHYPQDADPSSCPSPTPHHPTIPLFLRLLVPTAKFEASKLKVVLLEDQTSKYASILPRTYILSHCDFTANLTLAVSNVIHLEQLRGWYEKDDVVAEWKKVQNEMCLHVHCFVSGPNSFSDLAAEFRYHIFSKEMPLVLKAIHFGDSALFREHPELLDSIVRVYFHSSSQNYNRMECWGPLKDAMEGKQANKFQGSISRDNPEKWRSPKSIFQALFAFLL >Vigun09g005000.1.v1.2 pep primary_assembly:ASM411807v1:9:362936:365220:-1 gene:Vigun09g005000.v1.2 transcript:Vigun09g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNATFLLSLTSSSLPISLFNHNLHTQFRSSHKLFVSFSKAPTFSFTASAATVDKARVVHGGENAEKETFRQPRPTEVYICNLPRSCDVQHLLHLFNPHGTVLSAQVSRSETGESRGSAYVTMTSIDSAKRAIEALDASDVGGREVLVRFSAEMNPKRRNLDTMNSLPMRTIYYEGPHKLYVGNLSKFTMPEDLRQLFGRFGNVTSVRVLQDTRQGKQRVYAFVSYLSERERNAALSLNGTVLCGRILVIRERVKREE >Vigun05g178800.1.v1.2 pep primary_assembly:ASM411807v1:5:33692390:33697316:-1 gene:Vigun05g178800.v1.2 transcript:Vigun05g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESATWSNPLSFSFFNSHSRHPSQYKFMAFSTLQTFPVPSFSFTFSSSFSSSSSSSSSHLKPSSSFPKPTTLLLNPRPLRSFPRAMHASSIQDAIGGAISLVQSSPATWHSALFSNAMIFLLGSPILVTGLSLSGIVAAFLLGTLTWRAFGPSGFFLVAVYFVIGTAATKVKMAQKVAQGVAEKRRGRRGPGSVIGSSAAGCICAFLTIFGVGGETFSRLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASVVLAFISFLVGEVGSHEAIICVLAAQIANLGESVIGAALQEKEGFKWLNNDAVNVINILMGSIIAVFMQQALQIWCS >VigunL059915.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:54780:56871:-1 gene:VigunL059915.v1.2 transcript:VigunL059915.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGGPARTPNTMDSWVVGSKGRSR >Vigun01g012450.1.v1.2 pep primary_assembly:ASM411807v1:1:1386323:1388663:1 gene:Vigun01g012450.v1.2 transcript:Vigun01g012450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDDDRMSGANYDGDDPYVEEETMRVEEEAEEDDEEEEEVVTLEELETRMWRDRMMLRKLKEEKREKEKGETVEMMKKKTLIRAQDTVLKNMVKMMKICGVRGFVYGIIPEKGKPVSGASDNLRGWWKDRVKFDHNGPAAMLRYEEETGFEDMVSDAFNGEPSTTAHSLNDLPDTTLGSLLSCLMQNCDPPQRRYPLDKGIAPPWWPTGQEWWWAQMGFTMDPGPPPYKKPHDLKKVWKMCVLTAVIKHISPDMAKIRNIVRHSRTLQDKLNAKETAIWSAIVKREESFARRSYPNNFFQTLPLRAGPTVHYDNRGQQSNNLGGAMNLLGGLNNIPQHNNVGNGGSWSFLGGQNNPSTSTSAARILLGNPPSTTDLITDDGATSFPAPPTNGGARNFFDPPTPTSNILVHSELMRAFLDGQYNPPPPLPSTTAITMMNNGIVMPLDHAGDKRKGGDDFHGIVTFPQEAYSCHITECPYHHETGFGFSDRNARNNHQLTCRYRSKNQNFTVGDMNAPSLAGQNQYLQTVQTQVWNDSLFDGRICLDKDVFGNNMYVCSLESKNQQQQVKNVGNAEVSNVVHGNMSVDSSFAWDSNNSQAEFLDSPMFVTPDQDLLWP >Vigun03g204500.3.v1.2 pep primary_assembly:ASM411807v1:3:33071054:33078484:1 gene:Vigun03g204500.v1.2 transcript:Vigun03g204500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGMGTKVQSLPGYYSMRDLNEESSSCGWPLYYGDKTLANGHYHNYLPSAAADACSTHDKDVVKQTMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMNDLHRNQISVERSFSTGPLASHITSEDVKKWQIPGFPIVGSSTSARPSISGVEGIHSPLSSNKGTGRQAGLFPSPNGSSSKEVEILGFRPSKVRRKMFDLHLPADEYIDTEENEQPGDEKISGTTKYLSDRNYKPEKGGDMNIFYGNGGQEDNTLRPERSLRSINGLADLNEPIQREEPNDVAYVSPEDHNPCQGPTECSDLSAKQKSRFFGLSKEDLLNSRHGTDSWARNNGYLDNDRNGKMWISSIESGQAKSNLKPIHQVLKQEQSLLSPQTMQDELNKVHEPTSDRLTNRSIADLLREKTASDLDISERNREYSANKLSESVASSHRNGLFAIAPSSDLARSWSQSSWEMASSSLNQKLISVQTPPSPCLNASIALSRNSQSHQSNGMLGDSWPPLNINSKLNTRFQHEASGKNGFHTRTSSGTNELSVNISSISYLNQDNDCKKFPEHFNNGSANCYKSSNCNDMKAAKNINLNEMLSNGSSNNLVSQSGLRFMDREQNREEQLAVLPWLRAKSACKNEAQNAGRSLNAGGLSVFEIASLSNKDESGKGSNRKFSHNVTPGLCPNDIEPKGREVNESSSSKRKILGVPIFSIPHISSKESTSFNSPSGLVPNSSGVELAENNRKRQILDINLPCDASVPELDNQAITEVIVCETGLSSTIKASSRNQIDLNLSMNEEEEAFLTNIPATSLGTKAEIDLEAPAIPETEEDTIPEEKGLETQSVSPLGQQGTVEKLQDELMRYAAEAIVVLSSSCFQQLDDVINSPSESPVVDPLSWFVDIVSSCVDDLQKKNDNRRGKDGEDNEEYSSDGMDYFESMTLKLTETKEEEYMPEALVPENIKVEETGTTSLPARTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHAWHSGLNRRSSSRNGCGRGRRRSQPQVSPSPPPPAAATIETSTPLIQQLNNIEVGLEDRSLTGWGKTTRRPRRQRFPAGNPPSIRLI >Vigun03g204500.1.v1.2 pep primary_assembly:ASM411807v1:3:33071054:33078484:1 gene:Vigun03g204500.v1.2 transcript:Vigun03g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGMGTKVQSLPGYYSMRDLNEESSSCGWPLYYGDKTLANGHYHNYLPSAAADACSTHDKDVVKQTMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMNDLHRNQISVERSFSTGPLASHITSEDVKKWQIPGFPIVGSSTSARPSISGVEGIHSPLSSNKGTGRQAGLFPSPNGSSSKEVEILGFRPSKVRRKMFDLHLPADEYIDTEENEQPGDEKISGTTKYLSDRNYKPEKGGDMNIFYGNGGQEDNTLRPERSLRSINGLADLNEPIQREEPNDVAYVSPEDHNPCQGPTECSDLSAKQKSRFFGLSKEDLLNSRHGTDSWARNNGYLDNDRNGKMWISSIESGQAKSNLKPIHQVLKQEQSLLSPQTMQDELNKVHEPTSDRLTNRSIADLLREKTASDLDISERNREYSANKLSESVASSHRNGLFAIAPSSDLARSWSQSSWEMASSSLNQKLISVQTPPSPCLNASIALSRNSQSHQSNGMLGDSWPPLNINSKLNTRFQHEASGKNGFHTRTSSGTNELSVNISSISYLNQDNDCKKFPEHFNNGSANCYKSSNCNDMKAAKNINLNEMLSNGSSNNLVSQSGLRFMDREQNREEQLAVLPWLRAKSACKNEAQNAGRSLNAGGLSVFEIASLSNKDESGKGSNRKFSHNVTPGLCPNDIEPKGREVNESSSSKRKILGVPIFSIPHISSKESTSFNSPSGLVPNSSGVELAENNRKRQILDINLPCDASVPELDNQAITEVIVCETGLSSTIKASSRNQIDLNLSMNEEEEAFLTNIPATSLGTKAEIDLEAPAIPETEEDTIPEEKGLETQSVSPLGQQGTVEKLQDELMRYAAEAIVVLSSSCFQQLDDVINSPSESPVVDPLSWFVDIVSSCVDDLQKKNDNRRGKDGEDNEEYSSDGMDYFESMTLKLTETKEEEYMPEALVPENIKVEETGTTSLPARTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHAWHSGLNRRSSSRNGCGRGRRRSQPQVSPSPPPPAAATIETSTPLIQQLNNIEVGLEDRSLTGWGKTTRRPRRQRFPAGNPPSIRLI >Vigun03g204500.2.v1.2 pep primary_assembly:ASM411807v1:3:33070969:33078484:1 gene:Vigun03g204500.v1.2 transcript:Vigun03g204500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQSLPGYYSMRDLNEESSSCGWPLYYGDKTLANGHYHNYLPSAAADACSTHDKDVVKQTMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMNDLHRNQISVERSFSTGPLASHITSEDVKKWQIPGFPIVGSSTSARPSISGVEGIHSPLSSNKGTGRQAGLFPSPNGSSSKEVEILGFRPSKVRRKMFDLHLPADEYIDTEENEQPGDEKISGTTKYLSDRNYKPEKGGDMNIFYGNGGQEDNTLRPERSLRSINGLADLNEPIQREEPNDVAYVSPEDHNPCQGPTECSDLSAKQKSRFFGLSKEDLLNSRHGTDSWARNNGYLDNDRNGKMWISSIESGQAKSNLKPIHQVLKQEQSLLSPQTMQDELNKVHEPTSDRLTNRSIADLLREKTASDLDISERNREYSANKLSESVASSHRNGLFAIAPSSDLARSWSQSSWEMASSSLNQKLISVQTPPSPCLNASIALSRNSQSHQSNGMLGDSWPPLNINSKLNTRFQHEASGKNGFHTRTSSGTNELSVNISSISYLNQDNDCKKFPEHFNNGSANCYKSSNCNDMKAAKNINLNEMLSNGSSNNLVSQSGLRFMDREQNREEQLAVLPWLRAKSACKNEAQNAGRSLNAGGLSVFEIASLSNKDESGKGSNRKFSHNVTPGLCPNDIEPKGREVNESSSSKRKILGVPIFSIPHISSKESTSFNSPSGLVPNSSGVELAENNRKRQILDINLPCDASVPELDNQAITEVIVCETGLSSTIKASSRNQIDLNLSMNEEEEAFLTNIPATSLGTKAEIDLEAPAIPETEEDTIPEEKGLETQSVSPLGQQGTVEKLQDELMRYAAEAIVVLSSSCFQQLDDVINSPSESPVVDPLSWFVDIVSSCVDDLQKKNDNRRGKDGEDNEEYSSDGMDYFESMTLKLTETKEEEYMPEALVPENIKVEETGTTSLPARTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHAWHSGLNRRSSSRNGCGRGRRRSQPQVSPSPPPPAAATIETSTPLIQQLNNIEVGLEDRSLTGWGKTTRRPRRQRFPAGNPPSIRLI >Vigun03g204500.4.v1.2 pep primary_assembly:ASM411807v1:3:33072477:33078484:1 gene:Vigun03g204500.v1.2 transcript:Vigun03g204500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGMGTKVQSLPGYYSMRDLNEESSSCGWPLYYGDKTLANGHYHNYLPSAAADACSTHDKDVVKQTMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMNDLHRNQISVERSFSTGPLASHITSEDVKKWQIPGFPIVGSSTSARPSISGVEGIHSPLSSNKGTGRQAGLFPSPNGSSSKEVEILGFRPSKVRRKMFDLHLPADEYIDTEENEQPGDEKISGTTKYLSDRNYKPEKGGDMNIFYGNGGQEDNTLRPERSLRSINGLADLNEPIQREEPNDVAYVSPEDHNPCQGPTECSDLSAKQKSRFFGLSKEDLLNSRHGTDSWARNNGYLDNDRNGKMWISSIESGQAKSNLKPIHQVLKQEQSLLSPQTMQDELNKVHEPTSDRLTNRSIADLLREKTASDLDISERNREYSANKLSESVASSHRNGLFAIAPSSDLARSWSQSSWEMASSSLNQKLISVQTPPSPCLNASIALSRNSQSHQSNGMLGDSWPPLNINSKLNTRFQHEASGKNGFHTRTSSGTNELSVNISSISYLNQDNDCKKFPEHFNNGSANCYKSSNCNDMKAAKNINLNEMLSNGSSNNLVSQSGLRFMDREQNREEQLAVLPWLRAKSACKNEAQNAGRSLNAGGLSVFEIASLSNKDESGKGSNRKFSHNVTPGLCPNDIEPKGREVNESSSSKRKILGVPIFSIPHISSKESTSFNSPSGLVPNSSGVELAENNRKRQILDINLPCDASVPELDNQAITEVIVCETGLSSTIKASSRNQIDLNLSMNEEEEAFLTNIPATSLGTKAEIDLEAPAIPETEEDTIPEEKGLETQSVSPLGQQGTVEKLQDELMRYAAEAIVVLSSSCFQQLDDVINSPSESPVVDPLSWFVDIVSSCVDDLQKKNDNRRGKDGEDNEEYSSDGMDYFESMTLKLTETKEEEYMPEALVPENIKVEETGTTSLPARTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHAWHSGLNRRSSSRNGCGRGRRRSQPQVSPSPPPPAAATIETSTPLIQQLNNIEVGLEDRSLTGWGKTTRRPRRQRFPAGNPPSIRLI >Vigun03g204500.5.v1.2 pep primary_assembly:ASM411807v1:3:33071054:33078484:1 gene:Vigun03g204500.v1.2 transcript:Vigun03g204500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGMGTKVQSLPGYYSMRDLNEESSSCGWPLYYGDKTLANGHYHNYLPSAAADACSTHDKDVVKQTMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMNDLHRNQISVERSFSTGPLASHITSEDVKKWQIPGFPIVGSSTSARPSISGVEGIHSPLSSNKGTGRQAGLFPSPNGSSSKEVEILGFRPSKVRRKMFDLHLPADEYIDTEENEQPGDEKISGTTKYLSDRNYKPEKGGDMNIFYGNGGQEDNTLRPERSLRSINGLADLNEPIQREEPNDVAYVSPEDHNPCQGPTECSDLSAKQKSRFFGLSKEDLLNSRHGTDSWARNNGYLDNDRNGKMWISSIESGQAKSNLKPIHQVLKQEQSLLSPQTMQDELNKVHEPTSDRLTNRSIADLLREKTASDLDISERNREYSANKLSESVASSHRNGLFAIAPSSDLARSWSQSSWEMASSSLNQKLISVQTPPSPCLNASIALSRNSQSHQSNGMLGDSWPPLNINSKLNTRFQHEASGKNGFHTRTSSGTNELSVNISSISYLNQDNDCKKFPEHFNNGSANCYKSSNCNDMKAAKNINLNEMLSNGSSNNLVSQSGLRFMDREQNREEQLAVLPWLRAKSACKNEAQNAGRSLNAGGLSVFEIASLSNKDESGKGSNRKFSHNVTPGLCPNDIEPKGREVNESSSSKRKILGVPIFSIPHISSKESTSFNSPSGLVPNSSGVELAENNRKRQILDINLPCDASVPELDNQAITEVIVCETGLSSTIKASSRNQIDLNLSMNEEEEAFLTNIPATSLGTKAEIDLEAPAIPETEEDTIPEEKGLETQSVSPLGQQGTVEKLQDELMRYAAEAIVVLSSSCFQQLDDVINSPSESPVVDPLSWFVDIVSSCVDDLQKKNDNRRGKDGEDNEEYSSDGMDYFESMTLKLTETKEEEYMPEALVPENIKVEETGTTSLPARTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHAWHSGLNRRSSSRNGCGRGRRRSQPQVSPSPPPPAAATIETSTPLIQQLNNIEVGLEDRSLTGWGKTTRRPRRQRFPAGNPPSIRLI >Vigun03g088150.8.v1.2 pep primary_assembly:ASM411807v1:3:7399760:7402172:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKIR >Vigun03g088150.3.v1.2 pep primary_assembly:ASM411807v1:3:7399538:7403007:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088150.5.v1.2 pep primary_assembly:ASM411807v1:3:7399538:7402976:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088150.1.v1.2 pep primary_assembly:ASM411807v1:3:7399538:7402976:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGLLNLTHKLDLCSKMAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088150.7.v1.2 pep primary_assembly:ASM411807v1:3:7399538:7402976:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKIR >Vigun03g088150.2.v1.2 pep primary_assembly:ASM411807v1:3:7399538:7402976:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGLLNLTHKLDLCSKMAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088150.6.v1.2 pep primary_assembly:ASM411807v1:3:7399867:7402172:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088150.4.v1.2 pep primary_assembly:ASM411807v1:3:7399525:7402976:-1 gene:Vigun03g088150.v1.2 transcript:Vigun03g088150.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g225600.3.v1.2 pep primary_assembly:ASM411807v1:3:37522783:37526109:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.5.v1.2 pep primary_assembly:ASM411807v1:3:37522416:37526109:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYRLYVEMGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.6.v1.2 pep primary_assembly:ASM411807v1:3:37522355:37525143:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.1.v1.2 pep primary_assembly:ASM411807v1:3:37522263:37526109:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.7.v1.2 pep primary_assembly:ASM411807v1:3:37522355:37525143:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.2.v1.2 pep primary_assembly:ASM411807v1:3:37522296:37526110:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.4.v1.2 pep primary_assembly:ASM411807v1:3:37522416:37526109:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYRLYVEMGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun03g225600.8.v1.2 pep primary_assembly:ASM411807v1:3:37522258:37526109:-1 gene:Vigun03g225600.v1.2 transcript:Vigun03g225600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLICGHPFDTIKVKLQSQPVPPPGQLPKYSGAFDAVKQTIASEGPRGLYKGMGVPLATVAAFNAVLFSVRGQMETLVRSNPGVPLTVNQQFVCGAGAGVAVSILACPTELIKCRLQAQSALAGSETATVAVKYGGPMDVVRHVLRSEGGIRGLFKGLVPTMAREIPGNAIMFGVYEALKQKFAGGTDTSGLSRGSLIVAGGLAGASFWFIVYPTDVIKSVIQVDDYRNPKFSGSFDAFRKIQASQGFKGLYKGFGPAMARSIPANAACFLAYEMTRSALA >Vigun11g107000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30558429:30568733:-1 gene:Vigun11g107000.v1.2 transcript:Vigun11g107000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMQVPVGGRVPTCFSRRRLLEEKLCYLHKCTNLNHVNQIFAQILKANLHRDLFVAPKLIAAFSLCRHLSAAVNVFNQLPQPNVHLYNCIIRAHANNHSHPSLPFNAFLQMQKNGLFPDNFTYPSLLKACIDSSSLPLVRMIHAHVEKFCLYRDIFVPNSLIDSYSRCGSFGLDAAMNLFLAMEERDVVTWNSMIGGLVRCGELECACKLFDEMPERDMVSWNTMLDGYTKAGEMEKAFEVFERMPERNVVSWSTMVCGYSKTDDMDMARVLFDRCPKKNVVLWTTIIAGYAEKGNAREATELYGKMEEAGLRPDDGFLFSILAACAESGMLELGRRIHTSVKKWKFRCSSKVLNAFIDMYAKCGCLDAASEVFIGMMAKRDVVSWNSMIQGFAMHGHGKKALELFSRMVDEGFEPDRCTFIGLLCACTHAGLVNEGRKYFYSMEKVYGIVSEIEHYGCMVDLLGRGGHLEEAFTLLRNMPMKPNAIILGTLLNACRMHNDVDLARAVCEQLFKLEPSNAGNYSLLSNIYAQAGDWMNMANVRLQMKNTGRQKPSGASFIEVEEEVHEFTVFDQSHPKSDDIYWMIDRLVEDLQQVGFVPMIHQ >Vigun09g146400.2.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988286:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSPASAPVPTSISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYLLGTAEKRARFGFYFLYAMIIICYRFSFQVMVLRRGNVHLGLLSFEFPSV >Vigun09g146400.5.v1.2 pep primary_assembly:ASM411807v1:9:30983040:30988286:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSPASAPVPTSISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.7.v1.2 pep primary_assembly:ASM411807v1:9:30983040:30988251:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSPASAPVPTSISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHTDIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.3.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988286:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSPASAPVPTSISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.4.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988282:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYWRYAAESRHAPSSITGKRSRSDFDVSGVHDLPNYFPHDDDRGGLRVIRDAESLDASYENYLRSAISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.9.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988251:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYWRYAAESRHAPSSITGKRSRSDFDVSGVHDLPNYFPHDDDRGGLRVIRDAESLDASYENYLRSAISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHTDIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYLLGTAEKRARFGFYFLYAMIIICYRFSFQVMVLRRGNVHLGLLSFEFPSV >Vigun09g146400.6.v1.2 pep primary_assembly:ASM411807v1:9:30981237:30988272:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYWRYAAESRHAPSSITGKRSRSDFDVSGVHDLPNYFPHDDDRGGLRVIRDAESLDASYENYLRSAISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.1.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988282:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYWRYAAESRHAPSSITGKRSRSDFDVSGVHDLPNYFPHDDDRGGLRVIRDAESLDASYENYLRSAISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYLLGTAEKRARFGFYFLYAMIIICYRFSFQVMVLRRGNVHLGLLSFEFPSV >Vigun09g146400.8.v1.2 pep primary_assembly:ASM411807v1:9:30983040:30988251:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYWRYAAESRHAPSSITGKRSRSDFDVSGVHDLPNYFPHDDDRGGLRVIRDAESLDASYENYLRSAISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHTDIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYKFDELDRNSVNLRFQFARRYPGARSGGVHRGKR >Vigun09g146400.10.v1.2 pep primary_assembly:ASM411807v1:9:30981458:30988251:-1 gene:Vigun09g146400.v1.2 transcript:Vigun09g146400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSPASAPVPTSISSYGSGQSTRTIGGRVPNRTVDDSHVANIGGVDRGTNAKDKILGFSSGRADRSLPPDATSTLFVEGLPSNCTRREVAHTDIFRPFVGYKEVRLVSKESRQPGGDPLVLCFVDFLSPGHAATAMEALQGYLLGTAEKRARFGFYFLYAMIIICYRFSFQVMVLRRGNVHLGLLSFEFPSV >Vigun07g107200.1.v1.2 pep primary_assembly:ASM411807v1:7:19713032:19717244:-1 gene:Vigun07g107200.v1.2 transcript:Vigun07g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFTVNCDRNESLKTRTGTHLPESPRVPMEFLSRSWSASALEVSKALAPPPPPPSYYIPSKPPIIPSSITNSISEETSSAKSEEFSATMCANQFSFASSATSQLVLDRIMSQSTREEVSPLTSGRLSHSSEPLNGGASLTGTDSPPISPSDEFDDVVKFFRANNSIHPLFNGGRAMSGGTGNATPCSGPKTVGRWLKERREKKKEENRSHNAQLHAAVSVAAVAAAVAAVTAATAATSAASKDEKMAMSVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDISTLTAAAATALRGAATLKARALKEVWNINAVTPLEKGAGIGKCGKSKNSNSSTSDSGEIMNGENLLGACNQEFLARGTALLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTITKKKKNVVLDVCTNLAAWPGRDLVDEGEERRYFGLKTEARGVVEFECRNQREYDIWTQGVSRLLSIVARRQNLT >Vigun09g113066.1.v1.2 pep primary_assembly:ASM411807v1:9:24759377:24759886:1 gene:Vigun09g113066.v1.2 transcript:Vigun09g113066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAKKELWPMGWRQDDTLFSLVNNNALLRSTTTLVVFTFGVVPCCSCSCSCSCLPSGFNVPACHPPQSLPLLG >Vigun03g216300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35973155:35975281:1 gene:Vigun03g216300.v1.2 transcript:Vigun03g216300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPSAYENFSSFHYPQLYTHRMHFAPCFNPVWQPYSASFLQQHAGPYQPQNQQLSQPCWEPFGAPYQQGNVSQSQQYAEPYCNQVNKSQFEQPYFQQLLQMDGPFFDQLQQGSLISEIQDLSNQMAQLVAAVKKLERRESETSLCKSVEEAITKEALVVEAPDFDCHTDFSAAKTCLEAPIEDVSHTLVMQYFEMEFQVVLDDPAPDFDAFHLSHTAPLELNSATTDFSNFHVRTHDFDGINVVDHINISTTSFDNVCSDFIAFSAEEYDAD >Vigun09g147200.1.v1.2 pep primary_assembly:ASM411807v1:9:31089317:31094206:1 gene:Vigun09g147200.v1.2 transcript:Vigun09g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTPVLLNAQSIDGNVRKHAEDTLRQFQEQNLPGFLVSLSGELASEDKPVDSRKLAGLILKNALDAKDESRKQELVQRWLSLDPVAKTQVKSCLLQTLSSLALEARSTATQVIAKVAGIELPQKQWPELIGSLLSNIHQVPTHVKQATLETLGYLCEEVSPQVVDQEQVNKILTAVVQGMNASEGNNDVRLAATIALYNALGFAQANFTNDMERDYIMRVVCETTMSPEVKIRQAAFECLVSIAAMYYEKLAPYIQDIYNITAKAVRGDEEPVALQAIEFWSTICDEETDILEEYIGDSNGDSEIPCFYFIKQALPALIPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAASYAFGSILEGPSPDKLAPLVNHALPFMLSALVKDPNNHVKDTTAWTLGRMFEFLHSSIVGTPIINEGNCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDVGPTSPLTPFFQEIVQSLLTVTRREDATESRLRTAAYETLNEVVRCSTDETAPLVLQLVSVIMMELHKCLEAQNLSSDEREKRSELIGLLCGCMQVIIQKLGSSEGTKYVFLQCSDQIMGLFFRVFAFRNATAHEEAMLAIGALAYAIGPDFAKYMPEFYKFLDMDLQNFEEYQVCAVTVGVVADICTALEDKILPYCDGIMTQLLKNLSSDNLHRSVKPPLFSCIGDIALAIGDNFNKYLMYAMNTLQLAAEMYAHTSGFDDEMTEYINSLRNGILEAYSGIFQGFKNSSKSQLLIPYAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSRDFLNECLTSEDHLIKESAEWAKLAITRAISV >Vigun09g147200.2.v1.2 pep primary_assembly:ASM411807v1:9:31089317:31094206:1 gene:Vigun09g147200.v1.2 transcript:Vigun09g147200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTPVLLNAQSIDGNVRKHAEDTLRQFQEQNLPGFLVSLSGELASEDKPVDSRKLAGLILKNALDAKDESRKQELVQRWLSLDPVAKTQVKSCLLQTLSSLALEARSTATQVIAKVAGIELPQKQWPELIGSLLSNIHQVPTHVKQATLETLGYLCEEVSPQVVDQEQVNKILTAVVQGMNASEGNNDVRLAATIALYNALGFAQANFTNDMERDYIMRVVCETTMSPEVKIRQAAFECLVSIAAMYYEKLAPYIQDIYNITAKAVRGDEEPVALQAIEFWSTICDEETDILEEYIGDSNGDSEIPCFYFIKQALPALIPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAASYAFGSILEGPSPDKLAPLVNHALPFMLSALVKDPNNHVKDTTAWTLGRMFEFLHSSIVGTPIINEGNCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDVGPTSPLTPFFQEIVQSLLTVTRREDATESRLRTAAYETLNEVVRCSTDETAPLVLQLVSVIMMELHKCLEAQNLSSDEREKRSELIGLLCGCMQVIIQKLGSSEGTKYVFLQCSDQIMGLFFRVFAFRNATAHEEAMLAIGALAYAIGPDFAKYMPEFYKFLDMDLQNFEEYQVCAVTVGVVADICTALEDKILPYCDGIMTQLLKNLSSDNLHRSVKPPLFSCIGDIALAIGDNFNKYLMYAMNTLQLAAEMYAHTSGFDDEMTEYINSLRNGILEAYSGIFQGFKNSSKSQLLIPYAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSRDFLNECLTSEDHLIKESAEWAKLAITRAISV >Vigun11g116000.1.v1.2 pep primary_assembly:ASM411807v1:11:32085396:32090085:1 gene:Vigun11g116000.v1.2 transcript:Vigun11g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNLMEALEMGQNTSESEVPRIREDEFDSATKSGSENHEGASGEDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYSNISPSLPPRPLELGVGAGFGGQPAIGVDMYGAGDLLRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLTTLDATSTILNEDEYIRSFPRGIGPKPTGFKCEASRETAVVIMNHVSLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQLPTPLVPTRESYFVRYCKQHSDGTWAVVDVSLDNLRPSPSARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTVDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTISHETGPGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSGEPA >Vigun10g102300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29507624:29507728:1 gene:Vigun10g102300.v1.2 transcript:Vigun10g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun03g140000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13872636:13873325:1 gene:Vigun03g140000.v1.2 transcript:Vigun03g140000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSPLAFLLLMLAKISLCVMLSSDEKNCGFSFLSCSRNARKTSLNMISTESDAFPCSSMAIASSLLA >VigunL059415.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:32799:33158:1 gene:VigunL059415.v1.2 transcript:VigunL059415.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g108900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:23012720:23014369:1 gene:Vigun09g108900.v1.2 transcript:Vigun09g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFGHHQRPPPEASPATPSPFTPPRAKRYWVSSCALQRIQDRGCIDPLHGREVLLAGYCRQQFQPPPASTPTPIRLQSSTLLFRERERCARTLRLVTTVPATAIGSATITRPHSSA >Vigun11g215600.1.v1.2 pep primary_assembly:ASM411807v1:11:40964683:40965757:1 gene:Vigun11g215600.v1.2 transcript:Vigun11g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMQQQEVREEEKKIDGKAEKGKEEKEEDDEKAPPLMALNHVSRLCRNVKESIDFYTKVLGFVVTERPQGLDFEGAWLFNYGVGIHLVQSKEEDQRLPSDAQHLDPQDNHISFQCEDVEAMEKKLKEMNVRYMKRNLEAEDGTRMEQIFFNDPDGFMVEICNCENLKLVPAESLSKIKIPMDRHTPPVETNHTQ >Vigun01g001125.1.v1.2 pep primary_assembly:ASM411807v1:1:218242:226136:1 gene:Vigun01g001125.v1.2 transcript:Vigun01g001125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRYHVETVQPCLFLSRLHIFIHLLAVVSLCYYRISHLFHQPPTAPWILITIAELLLSALWFFNQAFRWHPVSRTTITDKLPREENLPGLDIFVCTLDPEKEPTVQVMDTVVSAIAMDYPSDKLAVYLSDDGGCPVTLYAMREAGEFAKEWVPFCRKYGVKLRCPKVFFSPMGEDEDLLRLQGFKPHRDLIKAKYEKMQKNIEKFGSDPNNLRIVTDRAPRIEIINEEPVCHLCLCVSGKKAIPSSQFKGGALNTLLRVSGFLSNAPYFLVVDCDMYCNDPTSAKQAMCSSLILTPSKILHCSIPSMFTTLSKKDIYDSQTRLLLRQCGKAWMD >Vigun08g005300.2.v1.2 pep primary_assembly:ASM411807v1:8:461158:464953:-1 gene:Vigun08g005300.v1.2 transcript:Vigun08g005300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHSSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMDPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKESGFVVPQDIPNHNWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun06g141800.1.v1.2 pep primary_assembly:ASM411807v1:6:26742823:26746358:-1 gene:Vigun06g141800.v1.2 transcript:Vigun06g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNGDRTLPRIAEAFKDLASVVTDSQNAEVKVAPFSHACSLVSPLFGCLGVAFKFAEMDYVAKVHDLAEASKSIQSLQSLIDLDVRGGTVRKGGSHTRNLLRVKRGLDMVRVLFEQILVTEGNSLKDPASKAYDQVFAPHHGWAIRKAVAAGMYVLPTKEQLLKKLNEDEATAKVHMQSYVTASAPLIQYIDKLFVSRDLGIDW >Vigun01g007600.1.v1.2 pep primary_assembly:ASM411807v1:1:897287:911614:-1 gene:Vigun01g007600.v1.2 transcript:Vigun01g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAMWRVVSRGTSLIFHSKKRSALSVPVRAFSAPTGLYGFPHLKTAKGFQSFVDEAIQRSGELISYICRKQPAAEVMRAMDEISDTVCSVVDSAELCRHTHPDREFVEEASKASMRINEYLHYLNTNHDLYDAIKKAEQECHMLSEEAKRGVRNLRVDFERGGINLCSGKLDRVNTLNIEISQLCREYNENIVMDPGTVDIYPSSRIPRNLHYLVKPIHRSVSLITKDLSGSRSTLKEKGFRITTDPQTLSSVLQFSSDDEVRKMVYIRGNSVPHANVDVLKRLISARHELAQIMGCSSYAEFSIKPNMALSPKVVMSFLLEMSKMVKPKTREEHKLLTKFKREKCGQSDGDLRPWDETYYMTMMKSSAYKLDASVVGSYFSLSNCIEGLKVLVQSLFGATFHSIPLAPDESWDSHVLKLSLHHPEEGDLGYLYLDLYSRKGKYPGCAHFAIKGGRRISQTEYQLPIVALVCNFSGSQNPSAVRLSHWEVETLFHEFGHALHSLLSRTDYQHFSGTRAVLDFAEIPSNLFEYYAWDYRVLRKFARHYSTGEEIPQKLVESMQGARDMFAATELQRQIFYALVDQTLFGEQPLPHGDISSVVAELKREHTNYGHVEGTHWETRFSHLLNYGAGYYSYLYAKCFAATIWKKLCEEDPLSSTTGLALRTKFLQHGGAREPDALLNDLLGDGIYRYQDGGIMPDISCLCDEMKLVEEYPRQVHLL >Vigun08g191300.1.v1.2 pep primary_assembly:ASM411807v1:8:35895227:35900352:1 gene:Vigun08g191300.v1.2 transcript:Vigun08g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCRYNLLLHPAPASHSTSPSHLFPPRVTLSQLPYPLHSTTTTAAITPQTNSNTMGNQTQLQSDFKLVGFKNFVRTNPKSDRFQVNRFHHIEFWCTDATNAASRFSWGLGMPIVAKSDLSTGNQIHASYLLRSGDLSFLFSAPYSPSISAGGAATAAIPTFDAAACLSFAAKHGLGVRAIALEVADAEAAFRASVAHGAEPVSSPALLGGRTGFAEVRLYGDVVLRYVSYKDASHTSDTDPSRWFLPGFEASVAAFQGLDYGIRRLDHAVGNVPELAPAVSYLKNFTGFHEFAEFTAEDVGTSESGLNSVVLANNSETVLLPLNEPVYGTKRRSQIETYLEHNEGAGVQHLALVSNDIFRTLREMRKRSFVGGFEFMPSPPPTYYANLHKRAGDVLTVDQIKQCEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIERVGCMVEDEEGKVYQKGACGGFGKGNFSELFKSIEEYEKTLLEARTKS >Vigun03g264900.1.v1.2 pep primary_assembly:ASM411807v1:3:43463097:43467112:-1 gene:Vigun03g264900.v1.2 transcript:Vigun03g264900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIAFDRLIEPGASKPSYKSAPVPMPGPKKLERRSSEPAVAKKPAPRPQLKPALYATPEVTPLPDAPSSFPPSPYIVNHKRRGPRLLKCSSEANVQAKQKDLDDGNSNGKSNDSVVACSDGELQVTSANAEPVTAKEVQVNGVHDTGLSSNNSGDLGHRQRETESGVVLNGLRMDKVVALNLERAGECEDFFDPHDSMSIKSCTDGEDVGMEQAMKRAEFFDAWEELSSDSGTQNFHRDIEADLREIRMSLLMEIEKRKQVEESLNSMQSQWEKLRQGLSQVGIVLPADPTAEGGQLSSDPMEDIFQQLYITRFISNSIGRGIARAEVEMEMEAQLELKNFEIARLLERLHCYETMNREMSQRNQEAVEMARRERQRRSRRLRWIWGSITTTLVLGTAAIAWSYLPVGRGSTSAAHDPVPEHDDAAN >Vigun10g129600.1.v1.2 pep primary_assembly:ASM411807v1:10:33768656:33774680:1 gene:Vigun10g129600.v1.2 transcript:Vigun10g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKRYESNTEVEKKKKKKQKKRSQPQTTGLLVVDEDPMWQKPVELAEENNDNSSDEEKPLVDEDIDVKRMKRLEQLRARRPYNAISEDGSGWVSLSSKPENSLDSNNDMSPPRKRKGVDLSPGQWSKRNDTPSPDYRTSSLQDISPPRRGHDSPPQDALHGSVASDLSPPRKILKNAARTSLPDISRGRSTEDFSPPRRGHRGFESYDLQDISPPRRSRHDSPSQDVLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHPSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMDPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKELGFVVPQDIPNHSWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun04g104033.1.v1.2 pep primary_assembly:ASM411807v1:4:24470575:24478449:-1 gene:Vigun04g104033.v1.2 transcript:Vigun04g104033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPNQKNWNEIFHDLSLVSQPQPIEILSSVSSLSRRPISVEPFDLRRALRSPSRPPISVVPSHLRRALPSPSHPPIFVVPSPLRRRAISVGVVFFASSQSCCLCFPFPSLRHLLLWRFGHCYRRVLPLFPILNQFCNREHILKAPQVVSVVFSPSSLALTLDGLTLL >Vigun06g093200.1.v1.2 pep primary_assembly:ASM411807v1:6:22516910:22517426:1 gene:Vigun06g093200.v1.2 transcript:Vigun06g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFISSSYDLGFSTYSSSTFYLILNIEGSSRKNLVSALYLNLICGLDRISLSFHLCILRVLLQELNLWTLYKTLSCIKVALDLVSPENNSVSKFATNLALQFRILP >Vigun06g093200.2.v1.2 pep primary_assembly:ASM411807v1:6:22516910:22517426:1 gene:Vigun06g093200.v1.2 transcript:Vigun06g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFISSSYDLGFSTYSSSTFYLILNIEGSSRKNLELNLWTLYKTLSCIKVALDLVSPENNSVSKFATNLALQFRILP >Vigun01g122400.3.v1.2 pep primary_assembly:ASM411807v1:1:29931843:29938874:1 gene:Vigun01g122400.v1.2 transcript:Vigun01g122400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTEPSTSSSLARDPGTVIHPRREPFEHGLLPIPRLIFSDPAQTLIPLKQKLLQLSSNQRVDSVAISDSLQISIEHARLVLDTLASILHSDSEPLTAAKFGEIDSVGVDVHDLVLFLYIQSYKRLLPRTHKDSAAIADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANIMSLLAEPVEGEGEESLVLTMDRFEHLGFLFQFGDKGLEGNSFSQCSPFFANSDPDMPAVPVPAAQVHDWLLQNIVATLEYISERTSSKENGPSSASDQDVAMTDASTVSVKVSTSNRGASFIEGISKSSYTKNASDIKGSSVKVLNCHESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKRICIANCRECIFFLGVNQQPLMLGDNHKLQVAPYNTFYSQLEEHMNEVGIVPTVNRWDEPLALGMVDPHDSLSHPAGVSDVQAESATQVDPDQFTSFVVRTLFLH >Vigun01g122400.2.v1.2 pep primary_assembly:ASM411807v1:1:29931843:29940510:1 gene:Vigun01g122400.v1.2 transcript:Vigun01g122400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTEPSTSSSLARDPGTVIHPRREPFEHGLLPIPRLIFSDPAQTLIPLKQKLLQLSSNQRVDSVAISDSLQISIEHARLVLDTLASILHSDSEPLTAAKFGEIDSVGVDVHDLVLFLYIQSYKRLLPRTHKDSAAIADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANIMSLLAEPVEGEGEESLVLTMDRFEHLGFLFQFGDKGLEGNSFSQCSPFFANSDPDMPAVPVPAAQVHDWLLQNIVATLEYISERTSSKENGPSSASDQDVAMTDASTVSVKVSTSNRGASFIEGISKSSYTKNASDIKGSSVKVLNCHESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKRICIANCRECIFFLGVNQQPLMLGDNHKLQVAPYNTFYSQLEEHMNEVGIVPTVNRWDEPLALGMVDPHDSLSHPAGVSDVQAESATQVDPDQFTSFVIPSWLGGDSTGSAQGNPFTLPDAYKASQHKNHKNLGEIRQLIREASLEESRKRELSSALHVYFKDWLYASGNIRQLYCLQGD >Vigun01g122400.1.v1.2 pep primary_assembly:ASM411807v1:1:29931843:29939541:1 gene:Vigun01g122400.v1.2 transcript:Vigun01g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTEPSTSSSLARDPGTVIHPRREPFEHGLLPIPRLIFSDPAQTLIPLKQKLLQLSSNQRVDSVAISDSLQISIEHARLVLDTLASILHSDSEPLTAAKFGEIDSVGVDVHDLVLFLYIQSYKRLLPRTHKDSAAIADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANIMSLLAEPVEGEGEESLVLTMDRFEHLGFLFQFGDKGLEGNSFSQCSPFFANSDPDMPAVPVPAAQVHDWLLQNIVATLEYISERTSSKENGPSSASDQDVAMTDASTVSVKVSTSNRGASFIEGISKSSYTKNASDIKGSSVKVLNCHESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKRICIANCRECIFFLGVNQQPLMLGDNHKLQVAPYNTFYSQLEEHMNEVGIVPTVNRWDEPLALGMVDPHDSLSHPAGVSDVQAESATQVDPDQFTSFVIPSWLGGDSTGSAQGNPFTLPDAYKASQHKNHKNLGEIRQLIREASLEESRKRELSSALHVYFKDWLYGNRLSFIVVFYSDTCDFYL >Vigun06g103500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23305699:23308584:1 gene:Vigun06g103500.v1.2 transcript:Vigun06g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINQNSILSLLAKCRSMSELKKLHGLIVTTPTINCIVPLSKLIDFCVDSEFGDINYANLVFRQIDTPSVYIWNSMIRGFVNCHNPRMSMLVYRQMIEDGYSPNSFTFPFALKACCLIADQDCGKCIHSCIVKSGFEADAYVATGLLQMYASCADMKSGLKVFGNIPKSNVVAWTCLIAGFVNNNQPNEALKVFEDMSHWGVEPNEITMVNALVASALSRDVDSGRRIHQRIRKAGYDPFVPTPDSNTILATAILDMYAKCGCLKIARDLFDKMPKRNTVAWNSIINAYNQYERHQEALDLFFDMWTCGIHPDKATFLSVLSVCGHLCALAFGQAVHAYLLKTNIIATDIALDTALLDMYAKTGELGGAQKIFSSLQQKDVVIWTSMINGLAMHGRGNEALRMFQIMQKDSSIVPDHITYLGVLFACSHVGLVEEAQKHFRQMTEVYGIVPEREHYVCMVDLLSRAGRLIEAERLVGTMSVKPNTAIWGALLNGCQIHENLCVANQVKPRLTEREPGQSGVHVLLSNIYATAGMWEEVNVTRKVMKRRRITKTIGHSSLEII >Vigun03g196800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27835632:27836159:-1 gene:Vigun03g196800.v1.2 transcript:Vigun03g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISAEPSSSSSSSSSSFSSRVPLKRHDVFISFRGEDTRNSFTSHLYAAFQQKNIQAFIDNRLHKGDEISPSIFEAIKHSNVSLVVLSKYYASSTWCLRELAKILQLRKRGGHFVIPVFYKIDPSHVRKQTGTYGMAFQKYKNDVKQNMAMLQTWKAALTQVADIVGWESKNFR >Vigun01g254900.1.v1.2 pep primary_assembly:ASM411807v1:1:41989748:41994737:-1 gene:Vigun01g254900.v1.2 transcript:Vigun01g254900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVRKKSRGSAKEKGVATHLPKKVIESTNSTVESVDEGVLVAKETNYCPHLVKGVNLSILSTKIESCGSIRCEDCREGAADRRGGKGKGKHGKKKSGASLDSKSESKSIWVCLECGQYTCGGVGLPITPHCHAVGHARKSRHPLVVHFDKPQLCWCFPCKMLIQDDKIEKTDESSHLLSDVVKLLRGRSQEKSSVDIEDVSAGDCSITSEIKSRALFTNDSTVQGGYVVRGMLNLGNTCFFNSVMQNLLAMSRLRDSFLKLDAPVGPLLSSLKKLFTETNPVSGLKNVINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQNGAPKRDGTSSNTLVDTLFGGQISSTVRCIECGHFSTVYEPFLDLSLSVPTKKPPLRKVQPVPRTKKGKLPPKRGGKTRVKVNRDTDPLPVQTLSNQLSSHQSQCPDQSVMSAAGDMGTCSGDSTVLGSEQRNSVADKDLSSPNLVISGEPQHMQVLDNGATRTSEDFSWLDYVEAGSMIDECDFISQKGDAPEVQDTERKDDCLNEFHGQASSESSGPVCFPTDDQNLGPEFSSANGWEDEVPLQVQDSEVLLLPYKEESSSAAEITGGNGEASSSVLGGRPEELEIDGFGDLFNEPEVVAGPAPRPSSCSEVVEASFIVGSNSESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKVLHLQKMEEEKQARAVCDGNESGIHDEPWHAVTSSSVDVRTNGNGSIENDQNIESSVSREKHHTKLENGQRDELCLILNERNGGSLEKEDIPNDEMQSSRFHNACNEESSSHLAADSCTAEIVRRDSPMIDNDNNDLEDADSKRVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVNFREKMDIRPYIDPSRCTIEEKYEYHLVGLVEHSGTMRGGHYVAYVRGGHRNSGKENEGFTWYQASDAYVREVSLDEVLRCEAYILFYEKV >Vigun01g254900.5.v1.2 pep primary_assembly:ASM411807v1:1:41989695:41994737:-1 gene:Vigun01g254900.v1.2 transcript:Vigun01g254900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVRKKSRGSAKEKGVATHLPKKVIESTNSTVESVDEGVLVAKETNYCPHLVKGVNLSILSTKIESCGSIRCEDCREGAADRRGGKGKGKHGKKKSGASLDSKSESKSIWVCLECGQYTCGGVGLPITPHCHAVGHARKSRHPLVVHFDKPQLCWCFPCKMLIQDDKIEKTDESSHLLSDVVKLLRGRSQEKSSVDIEDVSAGDCSITSEIKSRALFTNDSTVQGGYVVRGMLNLGNTCFFNSVMQNLLAMSRLRDSFLKLDAPVGPLLSSLKKLFTETNPVSGLKNVINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQNGAPKRDGTSSNTLVDTLFGGQISSTVRCIECGHFSTVYEPFLDLSLSVPTKKPPLRKVQPVPRTKKGKLPPKRGGKTRVKVNRDTDPLPVQTLSNQLSSHQSQCPDQSVMSAAGDMGTCSGDSTVLGSEQRNSVADKDLSSPNLVISGEPQHMQVLDNGATRTSEDFSWLDYVEAGSMIDECDFISQKGDAPEVQDTERKDDCLNEFHGQASSESSGPVCFPTDDQNLGPEFSSANGWEDEVPLQVQDSEVLLLPYKEESSSAAEITGGNGEASSSVLGGRPEELEIDGFGDLFNEPEVVAGPAPRPSSCSEVVEASFIVGSNSESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKVLHLQKMEEEKQARAVCDGNESGIHDEPWHAVTSSSVDVRTNGNGSIENDQNIESSVSREKHHTKLENGQRDELCLILNERNGGSLEKEDIPNDEMQSSRFHNACNEESSSHLAADSCTAEIVRRDSPMIDNDNNDLEDADSKRVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVNFREKMDIRPYIDPRCTIEEKYEYHLVGLVEHSGTMRGGHYVAYVRGGHRNSGKENEGFTWYQASDAYVREVSLDEVLRCEAYILFYEKV >Vigun01g254900.4.v1.2 pep primary_assembly:ASM411807v1:1:41989739:41994737:-1 gene:Vigun01g254900.v1.2 transcript:Vigun01g254900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVRKKSRGSAKEKGVATHLPKKVIESTNSTVESVDEGVLVAKETNYCPHLVKGVNLSILSTKIESCGSIRCEDCREGAADRRGGKGKGKHGKKKSGASLDSKSESKSIWVCLECGQYTCGGVGLPITPHCHAVGHARKSRHPLVVHFDKPQLCWCFPCKMLIQDDKIEKTDESSHLLSDVVKLLRGRSQEKSSVDIEDVSAGDCSITSEIKSRALFTNDSTVQGGYVVRGMLNLGNTCFFNSVMQNLLAMSRLRDSFLKLDAPVGPLLSSLKKLFTETNPVSGLKNVINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQNGAPKRDGTSSNTLVDTLFGGQISSTVRCIECGHFSTVYEPFLDLSLSVPTKKPPLRKVQPVPRTKKGKLPPKRGGKTRVKVNRDTDPLPVQTLSNQLSSHQSQCPDQSVMSAAGDMGTCSGDSTVLGSEQRNSVADKDLSSPNLVISGEPQHMQVLDNGATRTSEDFSWLDYVEAGSMIDECDFISQKGDAPEVQDTERKDDCLNEFHGQASSESSGPVCFPTDDQNLGPEFSSANGWEDEVPLQVQDSEVLLLPYKEESSSAAEITGGNGEASSSVLGGRPEELEIDGFGDLFNEPEVVAGPAPRPSSCSEVVEASFIVGSNSESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKVLHLQKMEEEKQARAVCDGNESGIHDEPWHAVTSSSVDVRTNGNGSIENDQNIESSVSREKHHTKLENGQRDELCLILNERNGGSLEKEDIPNDEMQSSRFHNACNEESSSHLAADSCTAEIVRRDSPMIDNDNNDLEDADSKRVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVNFREKMDIRPYIDPSRCTIEEKYEYHLVGLVEHSGTMRGGHYVAYVRGGHRNSGKENEGFTWYQASDAYVREVSLDEVLRCEAYILFYEKV >Vigun01g254900.6.v1.2 pep primary_assembly:ASM411807v1:1:41989695:41994737:-1 gene:Vigun01g254900.v1.2 transcript:Vigun01g254900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVRKKSRGSAKEKGVATHLPKKVIESTNSTVESVDEGVLVAKETNYCPHLVKGVNLSILSTKIESCGSIRCEDCREGAADRRGGKGKGKHGKKKSGASLDSKSESKSIWVCLECGQYTCGGVGLPITPHCHAVGHARKSRHPLVVHFDKPQLCWCFPCKMLIQDDKIEKTDESSHLLSDVVKLLRGRSQEKSSVDIEDVSAGDCSITSEIKSRALFTNDSTVQGGYVVRGMLNLGNTCFFNSVMQNLLAMSRLRDSFLKLDAPVGPLLSSLKKLFTETNPVSGLKNVINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQNGAPKRDGTSSNTLVDTLFGGQISSTVRCIECGHFSTVYEPFLDLSLSVPTKKPPLRKVQPVPRTKKGKLPPKRGGKTRVKVNRDTDPLPVQTLSNQLSSHQSQCPDQSVMSAAGDMGTCSGDSTVLGSEQRNSVADKDLSSPNLVISGEPQHMQVLDNGATRTSEDFSWLDYVEAGSMIDECDFISQKGDAPEVQDTERKDDCLNEFHGQASSESSGPVCFPTDDQNLGPEFSSANGWEDEVPLQVQDSEVLLLPYKEESSSAAEITGGNGEASSSVLGGRPEELEIDGFGDLFNEPEVVAGPAPRPSSCSEVVEASFIVGSNSESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKVLHLQKMEEEKQARAVCDGNESGIHDEPWHAVTSSSVDVRTNGNGSIENDQNIESSVSREKHHTKLENGQRDELCLILNERNGGSLEKEDIPNDEMQSSRFHNACNEESSSHLAADSCTAEIVRRDSPMIDNDNNDLEDADSKRVKVKRDATKRVLIYKAPPVLTIHLKRFSQDARGRLSKLNGHVNFREKMDIRPYIDPRCTIEEKYEYHLVGLVEHSGTMRGGHYVAYVRGGHRNSGKENEGFTWYQASDAYVREVSLDEVLRCEAYILFYEKV >VigunL060001.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000451.1:33778:34390:1 gene:VigunL060001.v1.2 transcript:VigunL060001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGLLTSVVSIYYYLKIIKLLMTGRNQEITPHINNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun04g053600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5021460:5021774:-1 gene:Vigun04g053600.v1.2 transcript:Vigun04g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVERPALRLQLPGTLILQESPLQIAADRGATERGVTTTRSVRLLSLTAGRDCIARQREKHNHELCRLLPRRLEELHRENSHSHTSCDLERGSNTICADCEF >Vigun09g120100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:26485011:26486608:1 gene:Vigun09g120100.v1.2 transcript:Vigun09g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLVRSLVLGETINHNPHHMLTQNHHHYNTHYDEDSEIDDEARGTSQPHHRRRRRRRRRRYKIPGLIFLPTKEIITDTYRLATIARDLGLDLYPTPSLSHIIFSNPSSSSSKPSSLSFSSSSCSRQSDAVPIPFPSLSATPLTHLRCFLTLSPRAFKIVLFSSHPDAAAAAGNAGNWDCDSFSLYSRDLGHRVGTMEEFCRILAGKGWSFYKTKKNPCSDQRRGGAFYLFRRVDVNRVRLGAPVDGACRVRELRLPYLDFGNAPLRILQYILLMTGDIFCLA >Vigun05g078000.5.v1.2 pep primary_assembly:ASM411807v1:5:7227539:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDSASKKAKSATGSSVIRRRPRVLLAACGSVAAVKFGHVCRCFAEWAEVIVWFILSLIDGLKSWSLLHCQLILLIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun05g078000.6.v1.2 pep primary_assembly:ASM411807v1:5:7227539:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDRVVHIELNRWAEIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun05g078000.1.v1.2 pep primary_assembly:ASM411807v1:5:7227532:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDSASKKAKSATGSSVIRRRPRVLLAACGSVAAVKFGHVCRCFAEWAEVRAVVTKSSLRFISAQTFPTDIHVFWDDNEWNTWKRIGDRVVHIELNRWAEIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun05g078000.3.v1.2 pep primary_assembly:ASM411807v1:5:7227545:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDSASKKAKSATGSSVIRRRPRVLLAACGSVAAVKFGHVCRCFAEWAEVRAVVTKSSLRFISAQTFPTDIHVFWDDNEWNTWKRIGDRVVHIELNRWAEIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun05g078000.2.v1.2 pep primary_assembly:ASM411807v1:5:7227545:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDSASKKAKSATGSSVIRRRPRVLLAACGSVAAVKFGHVCRCFAEWAEVRAVVTKSSLRFISAQTFPTDIHVFWDDNEWNTWKRIGDRVVHIELNRWAEIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun05g078000.4.v1.2 pep primary_assembly:ASM411807v1:5:7227539:7230121:-1 gene:Vigun05g078000.v1.2 transcript:Vigun05g078000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSDSASKKAKSATGSSVIRRRPRVLLAACGSVAAVKFGHVCRCFAEWAEVIVWFILSLIDGLKSWSLLHCQLILLIAGGLCDNLLTCIVRAWDYRKPLFVAPSMNSVMWKNPFTERHCIAIDELGISLIPPVTHRAANGDIEHGAMAEPSTIYSTVRLFYDSKMQ >Vigun06g141600.1.v1.2 pep primary_assembly:ASM411807v1:6:26721141:26723333:-1 gene:Vigun06g141600.v1.2 transcript:Vigun06g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVVAVLCAVVMHGVSCGDLSSTYYDTTCPNLYSIVYGVINNAYLSDPRIAANLLRLHFHDCFVQGCDGSVLLNNTDTIESEQDASPNVNSLRGLDVVNNIKTAVEDSCPETVSCADILAIAAEVGSVLGGGPSWSVLLGRRDSLTANRTLAGINLPAPHFTLYQLQQSFAVQGLNTTDLVSLSGAHTFGQAHCNNFINRLYNFNYTGNPDPTLNTTYLEALREICSVGLDNLADLDLTTPKQFDNKYYSNLQYQNGLLQSDQELFSTSDADTVALVNRFSTDQSVFFDNFVVSMIKMGNTSVLTEDEGEIRLQCNFVNGDSSGLVGVVSKAQKLKLAAKSK >Vigun10g131600.1.v1.2 pep primary_assembly:ASM411807v1:10:34048975:34056357:-1 gene:Vigun10g131600.v1.2 transcript:Vigun10g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSILWCQLNSILLSVLFLFCCSLDLSSANDELVGVTDDVFTVSSFSYPQTTLGPFDMRYIRVDIPPWFSAVSLALNSDVDLDVSRVERIPKSSLPIICFRDGSPPLPDALNISLKDSAVTGINGLDNEQCFPMEKNITMKLTNEQISPGAWYIGLFNGIGAARTQSRMIIRGSAYSFSANISVEACSNSMMKGELCNSTVYPLSCTVSDAYNSVKATVTKPLMENVMTCKSNLDTFCAHEGVPELYSLDVTNMVEELIIKVENVKFNTTTSNNTSSANDVGLMGFVRHGAIPSETLHDYSGDLNKAPLIIRYPLIGRLYISIVPVDVSKKSVGTLDGNLKVCYSMESQVLQCALGKAGPNCTMDSYTLQTVLRRGGPIPFESYFLPVVDGEGASSANFPLEPFLNKSSNEGRTDDIWTYFTLDIPHGAAGRNIHIRLSADVKINYEVYARFGGLPSLDNWDYYYANRTRKSDQSMFFMLYDSSDDKIDFYIIYAREGTWGLGLRHLYTSSDSTKAQTVMSVSLEGCPKQCSFHGDCKYSFDASGLTSFSFCSCDRNHGGFDCSVEIVSHKGHILQSIFLIGSNAAAILPAYWSLREKAFAEWVLFTSSGIASGLYHACDVGTWCALNFNVLQFMDFWLSFMAVVSTFVYLATIDEVYKRAIHTTVAILTALLAATKATRSSNIVLVIVIGALGLLIAWLIEISKRYRSLSFSSGLSLSFLQSMQTMKQWFCNLVKTLLRRFRWGFMVVGFIALAMAGLSWTLETSATYWFWHSFWHVTIYTSSFFFLCSKANIDDNEISPPSSGNYALTRQDSFSRGI >Vigun02g098900.3.v1.2 pep primary_assembly:ASM411807v1:2:25424705:25427712:1 gene:Vigun02g098900.v1.2 transcript:Vigun02g098900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGLTLYHLKSHLQKYRLGQQARKQNEELNKENSRCSFVNFSNRSSGPNTTYRGDNEGGETPIAEALRHQIEVQKRLEEQLEVQKKLQMRIEAQGKYLQAVLEKAQRTLSLDGSGSIEASRAQLTEFNSALSNFMENMNKDSKENIIEVTDFYNKNHGSAFHYEEVGREQNRDQKPKIEGGSIQFDLNIKGSNDLVSAAGAEMDVNMISYRG >Vigun02g098900.4.v1.2 pep primary_assembly:ASM411807v1:2:25424705:25427712:1 gene:Vigun02g098900.v1.2 transcript:Vigun02g098900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGLTLYHLKSHLQKYRLGQQARKQNEELNKENSRCSFVNFSNRSSGPNTTYRGDNEGGETPIAEALRHQIEVQKRLEEQLEVQKKLQMRIEAQGKYLQAVLEKAQRTLSLDGSGSIEASRAQLTEFNSALSNFMENMNKDSKENIIEVTDFYNKNHGSAFHYEEVGREQNRDQKPKIEGGSIQFDLNIKGSNDLVSAAGAEMDVNMISYRG >Vigun02g098900.1.v1.2 pep primary_assembly:ASM411807v1:2:25424705:25427712:1 gene:Vigun02g098900.v1.2 transcript:Vigun02g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGRESYNGVVMTMTRDPKPRLRWTSDLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARKQNEELNKENSRCSFVNFSNRSSGPNTTYRGDNEGGETPIAEALRHQIEVQKRLEEQLEVQKKLQMRIEAQGKYLQAVLEKAQRTLSLDGSGSIEASRAQLTEFNSALSNFMENMNKDSKENIIEVTDFYNKNHGSAFHYEEVGREQNRDQKPKIEGGSIQFDLNIKGSNDLVSAAGAEMDVNMISYRG >Vigun02g098900.2.v1.2 pep primary_assembly:ASM411807v1:2:25424705:25427721:1 gene:Vigun02g098900.v1.2 transcript:Vigun02g098900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKVSALSENDINLKWFVFCSEATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARKQNEELNKENSRCSFVNFSNRSSGPNTTYRGDNEGGETPIAEALRHQIEVQKRLEEQLEVQKKLQMRIEAQGKYLQAVLEKAQRTLSLDGSGSIEASRAQLTEFNSALSNFMENMNKDSKENIIEVTDFYNKNHGSAFHYEEVGREQNRDQKPKIEGGSIQFDLNIKGSNDLVSAAGAEMDVNMISYRG >Vigun02g119900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27239547:27241798:1 gene:Vigun02g119900.v1.2 transcript:Vigun02g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTRQRFPFRITFLTRPITTTRTSYSSLTEAKKPGFTLFSQFETLLRNSCQSARHLLQIQAFLVTSSLFRNPFLARTVLSRASRLCDVAYTLLIFRHINSSDTFCVNTVINAYCDSDAPHQTVIFYFRALMGGFFPNSYTFVPLIGSCAKMGCIDYGKECHAQATKNGVDSVLPVQNSLIHMYACCGSVQLARVVFDGILTRDLVSWNSIIDGHMMAGELNAAHRLFDEMPERNLVTWNVMISGYLKGRNPGYAMKLFRTMGGLGMRGNARTMVCLATACGRSGRLKEGRSVHGSIVRMFVRSSLIIDTALIDMYSKCRRVEAARRVFERMTERNLISWNAMILGNCIQGNPEDGLSLFGVMVAIDGNEREESLRLLPDEVTFIGILCACARSELLAEGRSYFKQMTEVFGLKPNYAHFWCMANLLANVGLIDEAEGFLQSMAKFDGHMSCESLLWASLLGLCRFKRDVYLGERIAKLLLNLDPKNLVCYQFLLIIYAVSGQWENVSGVQKLIKERRLGIIPGSSLLDLKNIVHNFKVSNKDREGIEEVNSMMDELAHRFRLPSADLSRS >Vigun06g117500.2.v1.2 pep primary_assembly:ASM411807v1:6:24527602:24533855:-1 gene:Vigun06g117500.v1.2 transcript:Vigun06g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDNQLTSFFHHHHPHHHHHHQPQPPPHTAAPTTASPTNGLLPNADASHILYPHSVASAVSSQLEPAKRKRGRPRKYGTPEQALAAKKAATSQSFSADKKPHSPTFPSSSSFTSKKSHSFALGNAGQGFTPHVIAVAAGEDVGQKIMLFMQQSRREMCILSASGSISNASLRQPATSGGNITYEGRFEIISLTGSYVRNEIGTRTGGLSVCLSNTDGQIIGGGVGGPLKAAGPVQVIVGTFFIDNKKDSSPKVDASASKLPPPVSEPVSSLGFRQSVDSPSGNPIRGNDDHQAMGGSHFMIQQLGLQGTPPRSTDWARPDSRNSSFELTGRTGHGSHQSPENGGYDQIPD >Vigun06g117500.1.v1.2 pep primary_assembly:ASM411807v1:6:24527602:24533855:-1 gene:Vigun06g117500.v1.2 transcript:Vigun06g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDNQLTSFFHHHHPHHHHHHQPQPPPHTAAPTTASPTNGLLPNADASHILYPHSVASAVSSQLEPAKRKRGRPRKYGTPEQALAAKKAATSQSFSADKKPHSPTFPSSSSFTSKKSHSFALGNAGQGFTPHVIAVAAGEDVGQKIMLFMQQSRREMCILSASGSISNASLRQPATSGGNITYEGRFEIISLTGSYVRNEIGTRTGGLSVCLSNTDGQIIGGGVGGPLKAAGPVQVIVGTFFIDNKKDSSPKVDASASKLPPPVSEPVSSLGFRQSVDSPSGNPIRGNDDHQAMGGSHFMIQQLGLQGTPPRSTDWARPDSRNSSFELTGFLSAGRTGHGSHQSPENGGYDQIPD >Vigun03g409400.1.v1.2 pep primary_assembly:ASM411807v1:3:61642860:61648395:1 gene:Vigun03g409400.v1.2 transcript:Vigun03g409400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYDASETRNADAYLIEGTDLNSHLTNPNFEQFQVMFNDGAPEFVIDQNSYYPAATNYGYYCTGFESPREWEDHHRIFGVDGPDIQYTGSQHESFPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGSLGGANQYYALPNYQNPISSPAYIPFVVQPDNFPNSSVNSLFDTSASVSRPDGKGLKQKFSSASGAFARNSSKSLSNPTSSLARISEGPRDNAGIKKDVTSGSASGRGFLNLPLPAVRQARSIDALTHPVDTISNGNVLSHRSQLKIASPLSSEFSDCGSNANGQSAVKLRPKAQMAKGWSDVNGSSDVLGEQNRGPRISNSNSKYQLAVKAYTNKGDGNTQENIIIYTDQYNREDFPVSIENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQNAYEDAERISAGKSGGCPIFLFFSVNASGQFCGVAEMVGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNANFRHIILENNENKPVTNSRDTQEIMYGKGLEMLKMFKNHSLKTSLLDDFMYYENRQKIMQEEKAKLLIRTLEIPLSLPTLEPPRKLNFVFDIPPVSAEKDSKTDDEFDNLKQTSSSGHIVGSSDVTNTAPVDEKAEKGTVEKEDITSVFKIGSVTITPKQVETKPSSISVSKKEPPDVFTVGSMQVKVNGFAKSSSFLKIGSIPLDPRKVQLDGGTRVKNGS >Vigun03g409400.2.v1.2 pep primary_assembly:ASM411807v1:3:61643698:61648287:1 gene:Vigun03g409400.v1.2 transcript:Vigun03g409400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYDASETRNADAYLIEGTDLNSHLTNPNFEQFQVMFNDGAPEFVIDQNSYYPAATNYGYYCTGFESPREWEDHHRIFGVDGPDIQYTGSQHESFPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGSLGGANQYYALPNYQNPISSPAYIPFVVQPDNFPNSSVNSLFDTSASVSRPDGKGLKQKFSSASGAFARNSSKSLSNPTSSLARISEGPRDNAGIKKDVTSGSASGRGFLNLPLPAVRQARSIDALTHPVDTISNGNVLSHRSQLKIASPLSSEFSDCGSNANGQSAVKLRPKAQMAKGWSDVNGSSDVLGEQNRGPRISNSNSKYQLAVKAYTNKGDGNTQENIIIYTDQYNREDFPVSIENAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQNAYEDAERISAGKSGGCPIFLFFSVNASGQFCGVAEMVGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNANFRHIILENNENKPVTNSRDTQEIMYGKGLEMLKMFKNHSLKTSLLDDFMYYENRQKIMQEEKAKLLIRTLEIPLSLPTLEPPRKLNFVFDIPPVSAEKDSKTDDEFDNLKQTSSSGHIVGSSDVTNTAPVDEKAEKGTVEKEDITSVFKIGSVTITPKQVETKPSSISVSKKEPPDVFTVGSMQVKVNGFAKSSSFLKIGSIPLDPRKVQLDGGTRVKNGS >Vigun10g147600.2.v1.2 pep primary_assembly:ASM411807v1:10:36578854:36581471:-1 gene:Vigun10g147600.v1.2 transcript:Vigun10g147600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAWKQLLLNALESNAHLKHSSFMQLATIGTNGTPSNRTVVFRGFQDNTDNIQINTDARTRKIEELKLCPSAEICWYFTDSWEQFRINGNVDIIDGSNAEPLKLEKCNPYPVIEDDALFFTLKETTKRKFLVCQFIEIKVAIFVPQP >Vigun10g147600.3.v1.2 pep primary_assembly:ASM411807v1:10:36578854:36581471:-1 gene:Vigun10g147600.v1.2 transcript:Vigun10g147600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICVFRGFQDNTDNIQINTDARTRKIEELKLCPSAEICWYFTDSWEQFRINGNVDIIDGSNAEPLKLEQRENSWFASSLRSRSQYLCPNPELPCLHEQAQPDISLDPSTGPIDAFCLLILEPHQVDYLNLKSNQRLTFKSSVSAAAEKSWTVERVNP >Vigun10g147600.1.v1.2 pep primary_assembly:ASM411807v1:10:36578854:36581471:-1 gene:Vigun10g147600.v1.2 transcript:Vigun10g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAWKQLLLNALESNAHLKHSSFMQLATIGTNGTPSNRTVVFRGFQDNTDNIQINTDARTRKIEELKLCPSAEICWYFTDSWEQFRINGNVDIIDGSNAEPLKLEQRENSWFASSLRSRSQYLCPNPELPCLHEQAQPDISLDPSTGPIDAFCLLILEPHQVDYLNLKSNQRLTFKSSVSAAAEKSWTVERVNP >Vigun02g178000.1.v1.2 pep primary_assembly:ASM411807v1:2:31941169:31942379:1 gene:Vigun02g178000.v1.2 transcript:Vigun02g178000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGESSGGGQSSLGYLFGSEEQRNEAQPTTTLPLPPYGVEIDNTTVNPPNTQLVVTNNRSQLGNIVTDRPSTKVKSVPGGHSSLGYLFGDK >Vigun05g065850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5705641:5706249:-1 gene:Vigun05g065850.v1.2 transcript:Vigun05g065850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFDRRVSIGWVLTMVVFLSSAKNAMSLDLHVQVTNSLASKVILSVYCNHVDFKQRLINPDQSYQWDYSGDLPPSIQPFLCLFHLGDDLQLYMFNFVTLFDSDCKQHCQWFVKQSGPCRYYDSKEVCFNWLPHVKTLNSSSQLKH >Vigun07g155400.2.v1.2 pep primary_assembly:ASM411807v1:7:26651875:26654355:1 gene:Vigun07g155400.v1.2 transcript:Vigun07g155400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTTLFPTPLPSSIPKPSLSVSLSTETSLSQHHLRKGYPSHARPLFLSFSLSLPLLVRDAGQPDNNYCNYYNMINFEETELRLGLPGASATDHGELTLKGSGGKRGFSETASVDLKLNLSSNNDSASVSPAAENPKEKTTTVEPPPRANDPAKPPAKTQVVGWPPVRSFRKNIVNVHNQRNEGGGAAAFVKVSMDGAPYLRKVDIRLYKSYQELSEALAKMFSSFTIQKCGSQGSKDFINETKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVQSCKRLRIMKGSEAIGLAPRAVEKCKSRS >Vigun11g006600.1.v1.2 pep primary_assembly:ASM411807v1:11:697415:700329:-1 gene:Vigun11g006600.v1.2 transcript:Vigun11g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLMLLKNFTLLFLLISASLLSPSFAGRRSKDIKNFTKDVNAIQEGTPRKALNKEEVRNIHERLLRANTKDYGRYDPSPSLSKPPFKLIPN >Vigun06g238900.1.v1.2 pep primary_assembly:ASM411807v1:6:34347593:34348586:-1 gene:Vigun06g238900.v1.2 transcript:Vigun06g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCSGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCVRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKEQAQEIKTYKLIRSLFSS >Vigun09g246400.1.v1.2 pep primary_assembly:ASM411807v1:9:41472233:41473196:-1 gene:Vigun09g246400.v1.2 transcript:Vigun09g246400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTREENRRFEDALAVHGPDDPNRWQHVANAVGGKSVEEVKMHYEILQEDVIRIERDQIPLPRYRGAGINGRQIDNEQRRMRNLTLR >Vigun10g126400.1.v1.2 pep primary_assembly:ASM411807v1:10:33442452:33448449:-1 gene:Vigun10g126400.v1.2 transcript:Vigun10g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNEAYLKLFWGRSSNQKMSLLSKKGKSRTMVFVMVFFAATFLAFTVWNITSTFSPGASILMKKKPSPVPIPKVQEQEFPLRCTPPHVPQECPRDYPRSHKPTGPARTCPSYFKWIHEDLKAWRERGITREMVEGARRTAHMRVVIVDGRVYVEKYKKSIQTRDAFTLWGIMQLLRVYPGKIPDLELLFDCDDRPVIPKGRFEGPNAATPPLFRYCSDQWSFDIVFPDWSFWGWAEINIKPWKYVLEDIKNGNKKTKWKERVPYAYWKGNPNVTPTRKNLMTCNLTDKDDWNTRLYIQDWDQESQQGFKQSNLGDQCTHRYKIYVEGWAWSVSEKYILACDSTNLYVRSRFHDFFIRGMVPLEHYWPIRDNTKCSSLKFAVEWGNNHTDKAQAMGEAASKFIHEDMDMDHVYDYMFHLLNEYAKLLKFKPVIPPKAVEYCPETMACALNGTERRFMEDSMVKFPSHSNPCTIPPPYDATSLQQFLDRKANSTRQVEIWEDEYWESKKKVQ >Vigun01g035000.1.v1.2 pep primary_assembly:ASM411807v1:1:4568007:4573049:1 gene:Vigun01g035000.v1.2 transcript:Vigun01g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAMRLYNCWVFGLVCVSLSLVSCCGEEDGLGCNMYEGEWVWDDSYPLYDSAKCPHLRSEFDCSKYGRPDHFYLKYRWQPSKCNLPRFDGKEFLTKLRGKQIMFVGDSVSMNQWQSLICLLHSSVPQIQILDQLDETVYNYTFPEYKVSLFVFHSTHLVDIEVEKIGRVLKLDSIKNGGSIWKNMDILVFNTWLWWYRRGPKQPWDYIQIGDKIVKDMDRMEAFKIGLTTWGKWVDAEVDTDKTKVLFQGISPQHYNGVEWNEPGVRNCAKETRPINGSTYSAGLPKASYVVEDVLKNITKPVHLLNITTLSQLRKDAHPSSYNAFKGMDCTHWCVAGLTDTWNQLLSAQLTLEIEG >Vigun03g317600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51213755:51215222:1 gene:Vigun03g317600.v1.2 transcript:Vigun03g317600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSEKFQQRCVASLKTLTPHITCLAVHRNLLYAASLNLINVFDLSHYTHIDAFNQSPASGFVKSIAFTNSRVFTAHQDRKIRVWLITPSKRHRLLSSLPTVTDRLRRCIVPRNYVSVRRHKSRLWIQHCDTVSGLAVNQRLMYSVSWDKSFKVWDLFSFRCLESVKAHEDAINALVVNRDGTVYTASTDGSIKVWRRDCEAKRHVLVSTIGRQKSTVNALALDGGGAGLFSGGCDGEICRWEWGCEENGAVKMETLRGHSGAILCLIHVAGLLASASADLTVRIWQRERGRSGYSCRAVLEGHEKPVKSLVAFPGGDDDSNGVVRLFSGSLDGEIKVWELFGSARFCVPN >Vigun07g078500.1.v1.2 pep primary_assembly:ASM411807v1:7:10732444:10735964:-1 gene:Vigun07g078500.v1.2 transcript:Vigun07g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGSSNTKKKLKKKLEKMEAQNSLVDPIKTTPGKMKRNSSMNSKDSTKNGNPEHIAAQTFSFRELATATRNFRAECLLGEGGFGRVYKGRLESINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMSLGSLEDHLHDISPGKKQLDWNTRMKIAAGAARGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEMITGRKAIDNSRSAGEQNLVAWARPLFKDRRKFSQMADPMLQGQYPSRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLASQKYDPNTQTVQSSRLAPGTPPRTKRG >Vigun03g063400.1.v1.2 pep primary_assembly:ASM411807v1:3:5200210:5202560:-1 gene:Vigun03g063400.v1.2 transcript:Vigun03g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLAKAAYDAKMLKLLREYSQVLVVSSDNVGSNQLQGIRRGLHADSVVVMGNNSLMKRSIILDAQKTGNKAFLNLVPLLVGNVALIFTKGDIREVSEQIAKYKVVQPILMCPKYMSHDTYHNCSYMQSGQLPLGLTCCNQQQSSQVSEPFLVCSKFQPHQHCFMMRSRQFLMTSIWSPLLLLAGNL >Vigun05g138850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16895895:16896368:1 gene:Vigun05g138850.v1.2 transcript:Vigun05g138850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQNYRPTKKNILVVGPVPGQKYNEITLFLCPFTFMPKLILAKR >VigunL078000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000559.1:8605:9260:1 gene:VigunL078000.v1.2 transcript:VigunL078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINMRQDLKKLFDMVIQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKCL >Vigun07g083100.1.v1.2 pep primary_assembly:ASM411807v1:7:12182310:12183915:1 gene:Vigun07g083100.v1.2 transcript:Vigun07g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRNKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKTKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKELYFPLRKYAIKV >Vigun07g083100.2.v1.2 pep primary_assembly:ASM411807v1:7:12182310:12183915:1 gene:Vigun07g083100.v1.2 transcript:Vigun07g083100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVYELRNKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAALREAYKTKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKELYFPLRKYAIKV >Vigun10g063800.1.v1.2 pep primary_assembly:ASM411807v1:10:13734831:13760041:1 gene:Vigun10g063800.v1.2 transcript:Vigun10g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGGDDDMEMDFLRDRYQDSRNFEVFLSFRGEDTRASFTSHLYAALQNAGIIVFKDDESLPRGKQISSSLRLAIENSPISIVVFSKNYAESWWCLKELEKIMECHRTTGQVVIPVFYDVDPSEVRHQRGDFGKAFQRLLSKISKEEEEKVLDWKQRWRKALGNEALPVSSDFYRIFFGRVNKPLEHWKEALSKVAQIPGVIDLDPRGKMKIADMIDFLVNTWIWGLHIGAQIPIREMESDDKIYFLLKQWRKIFWEPASFSDVAFLDPCGEMEISNVIEFHMKHCREALFEAARITGGAILNSCFGKWEVHHEIQNLRYHWREKLDEAYGMSELYSSVQKLKAYNRIESLVKHWKIALSEAAGISRLVVQHFRGIKDNDYDVKHARDTLREAAGISGVVILNSSFHLLAHSVVWLQLLSPLNFFCPILKDKMLQVCDKTELFVTNNPVGVESRVQEMIQLLEQKSKDVLLLGVWGMGGIGKTTIAKAIYNKIGRNFEGRSFLADIREVWGQEAGHVCLQEQLLFDIFKENNTKIHNIETGKIILRERLRHKRILLILDDVNKLQQLNALCGYREWFCSGSRIIITTRDIHLLRGKRVDQVFAMTGMDVGESIELFSWHAFKQASPKEDFIELSRNLVAYAGGLPLALEVLGAYLFDMEVSEWKSVLEKLRKIPNDEVQEKLKISYDGLTDDTKKGIFLDIACFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTIDGKNKLGMHDLLRDMGREIIRSKSPMELEERSRLWFLEDILDVLSKETGTKFIEGLTLKLPRSNAKSLSTKAFMNMKKLRLLQLSSVELVGDFDHLSKDLRWLCWHGFPLAFIPTSLYQESLVSIELENSKITMVWRGTQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEISYTIIGHLTKVLLINFQDCISLRNLPRSCLKIDKLEEDIEQMVSLTTLVADNTAITRVPFSIARSKSIGYISLCGYEGFARNVFPSIIWSWMSPVNSFSSRVQTLVDVSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSFDTTSILDALNATNSEESESSATASQMQNVFTLIECISRSKLFEKTLLIQMGTSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSEGSSVTFEIPQVNGCNLKTMMCHIHYSSSENITSDGMKNLLVINHTKSTIQLYKRNALASFDDEEWQRVLSNIVAGNKVQIVVVFWSRLTVIKTSIYLIYEAIDEKEEHYHAPNMTIPSYESSCAVGSISPRVESMEDLRGASVISLTKRLLNKFFSCKGKVEKKKNEG >Vigun08g058200.1.v1.2 pep primary_assembly:ASM411807v1:8:7367706:7369636:1 gene:Vigun08g058200.v1.2 transcript:Vigun08g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSYICKRTVVSTKAVEPGKYFPLSALDHHMEHNHIRLVCYYQGLREVMELGEITKKLRESLSEMLTHFPMVSGRLTRDERGKWKIKCNDAGVRVVEAKAKGSVEEWLANVDREKELQLVHWEDMFHKPYYWSTFYVQVTEFEEGGLAIGLSCVHLLADSTSATSFMKAWADISMGNKIITPPLFHPLPLTTQANKNTNHHPHMGLIHHYKSLIEKKPICLKEAKYTTISLGFSAQMVQACMSMAQFNGPITPFEALAGLFWVSLSRAKGLRNELVDMSICLDMRKVLGLDTGFFGNCMVYNKVHEVEGENQTLKFAEAVRAISDVVARMDSEGVMDLMEWLENGDVNSPTMMNGHDLVCGSLEGVDPYFVFEDRLKPIHVSCYVEPVLGEGQVLILPGPPGEGPLSRVVMVTLREEEATKLCEDELISQFSPTTLIKF >Vigun09g116000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25405550:25407298:1 gene:Vigun09g116000.v1.2 transcript:Vigun09g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNNIVSSVEKCSFMISKCVSARRVKLAHAVHGHLIKTALFFDAFLANGLIDAYSKCGCEESLQKAFDDLPNKTTRSWNTLISFYSKTGLFDKARNLFDIMPQRNVVSYNSLISGFTRYDLHEDSVKLFRMMQNGRNVLVLDDFTLVSVVGSCACLGNAKLLHQVHGVAVIVGMDGNLILNNALIDAYGKCGEPDSSYSVFCWMPERDVVSWTSMVVAYSRACRLDEACKVFKDMPVKNTVSWTALITGFVRNGRCGEAFDVFKQMLEEGVRPSAPTFVSIVDACAEEALIGRGKQLHGQIIRGCISGNLFNVYVYNALIDMYGKCGDMKSAENLFEMAPVRDVVTWNTLITSFAQNGHGEDSLAVFRRMIEAKVEPNHVTFLGVLSGCSHAGLDNEGLELVDLMERKYGVKPKADHYALLIDLLGRKNRLKEAMSLTEKVPDEIVNHVAVWGAILGACRVHGNLDLARKAAEALFDLEPENTARYVMLANIYAAYGRWGDAKRIRTVMKERCLKKEPAFSWIELRNERHEFVAKDKLHPQIEEICEVNNKLVLHLKDAGYHQPYIDYPFLPDYDDDFYFS >Vigun09g265000.1.v1.2 pep primary_assembly:ASM411807v1:9:42913481:42920225:1 gene:Vigun09g265000.v1.2 transcript:Vigun09g265000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEAEYSPFMGIEKGSVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDMGLRRMVYLMIKEISPSADEVIIVTSSLMKDMNSKIDMYKANAIRVLCRITDGTLLSQIERYLKQAIVDKNPVVASAALISGFHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIYESGNNTQSGERPFYDYLESCLRHKSEMVIFEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILISDIPDAKEAGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENAIVRASAVSTLAKFGAAVDALKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDGSVVETDKDVKDFLFGSFDIPLVNLENSLKNYEPSEDAFDINSVPKEVRSQPLAEKKAPGKKPTGLGAPPSGPVSTVDLYEKMLLTIPECANFGKLFKSSAPVELTEAETEYAVNVIKHIFDRHVVFQYNCTNTIAEQLLEDVIVNVDASEAEEFSEVFSKPIRSLPYDSPGQTFVAFEKPEGISAVGKFSNVLKFIVKEVDPTTGETEDDGVEDEYQLEDLEVVAADYVLKVGVSNFRNAWESLGPDFERVDEYGLGPRESLAEAVNTVINLLGLQPCEGTEEVPPNSRSHTCLLSGVFIGNVKVLVRLSFGLDGPKDVAMKLSVRSEDETVSDAIHEIVASG >Vigun03g109200.1.v1.2 pep primary_assembly:ASM411807v1:3:9792363:9793016:1 gene:Vigun03g109200.v1.2 transcript:Vigun03g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLILAGLLAASNVAVGTAGIVGLVQDHNKKQNEKEKQKK >Vigun07g242600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36398654:36400271:1 gene:Vigun07g242600.v1.2 transcript:Vigun07g242600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTRQLQLKMASTTTNANAKEIVTEIPEWVRVFSDGTVERPRDFPIVPPTLHDSNTGVSSKDIAISDNPPKPISARIYLPNISDSQTEKLPIYVYFHGGGFFFESAFSKLYDVHLLKLVSSAKVMVVSVEYRLAPEHPLPAGYDDCWEALKWVASHSDSTKYPTHNTEPWLIHHGDFNRLFIGGDSAGANIVHNILAFRLGPQPLPGDVKILGAVLAHPYFYGSQPVGSEPVTGIEQNFYNLVWKLAYPSAPGGIDNPFINPLAPDAPTLAQLACSRIFVCVAEKDGLYDRGVWYYEAVKKSGWQGQIQLFRQEDEDHVYHLLQPALDQDSPNAHKFINLIASFLVNN >Vigun05g017400.1.v1.2 pep primary_assembly:ASM411807v1:5:1439721:1442364:1 gene:Vigun05g017400.v1.2 transcript:Vigun05g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPKIKPKKPTAGKPLGRGRGRGRGRGRGRGR >Vigun06g132400.1.v1.2 pep primary_assembly:ASM411807v1:6:25838873:25839416:1 gene:Vigun06g132400.v1.2 transcript:Vigun06g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDGFVAEGPYKRFLIMHVTSSKEAMVNSLRIGRCDQKKAISGSEIGADNSPGLVAAAAGASL >Vigun04g070401.1.v1.2 pep primary_assembly:ASM411807v1:4:8185101:8187220:-1 gene:Vigun04g070401.v1.2 transcript:Vigun04g070401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPGTDLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLVDKISPGLLKSLVTLNDK >Vigun04g070401.2.v1.2 pep primary_assembly:ASM411807v1:4:8185101:8187220:-1 gene:Vigun04g070401.v1.2 transcript:Vigun04g070401.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPGTDLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLVDKISPGLLKSLVTLNDK >Vigun06g129600.1.v1.2 pep primary_assembly:ASM411807v1:6:25607212:25613893:1 gene:Vigun06g129600.v1.2 transcript:Vigun06g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSFEAFEAAIKETFDKLVEEGKVTPIKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGFGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVQVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Vigun06g129600.3.v1.2 pep primary_assembly:ASM411807v1:6:25607475:25613893:1 gene:Vigun06g129600.v1.2 transcript:Vigun06g129600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSFEAFEAAIKETFDKLVEEGKVTPIKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGFGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVQVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Vigun06g129600.4.v1.2 pep primary_assembly:ASM411807v1:6:25607194:25613893:1 gene:Vigun06g129600.v1.2 transcript:Vigun06g129600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVPTSFEAFEAAIKETFDKLVEEGKVTPIKEITPPPIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGFGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVESMKKKGIRVPGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVQVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Vigun03g412400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61969193:61971338:-1 gene:Vigun03g412400.v1.2 transcript:Vigun03g412400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNPTTASTERSRDAKRRKKKKTQEREDEKQPKWKSQAQQQIYSSKLRQALARVNNLGSASPKGKAVRQAADRVLAATAKGRTRWSRAILTNRLKLKFTKHKRQRVAATLPSRSKRARVSVHRLKGKGGAGVQRKVRFLGRLVPGCRKEPLPVVLEEAIDYIPALEMQVRAMNALFNLLSAASSSSSSSS >Vigun05g060300.1.v1.2 pep primary_assembly:ASM411807v1:5:5164405:5165425:-1 gene:Vigun05g060300.v1.2 transcript:Vigun05g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHDPPPQNNPFLFNPSEQPLEQGLCDFDSWGNLFSAQNSLLLLNGDAKETIQRASASSSSLMAQNQEEKGNKEKRKGGRVKKTTRVPRFAFQTRSADDVLDDGYRWRKYGQKSVKNSTYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQIQFLASL >Vigun05g239000.1.v1.2 pep primary_assembly:ASM411807v1:5:43206033:43206848:1 gene:Vigun05g239000.v1.2 transcript:Vigun05g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQIEYPFSFQSSSTVFQSSSPCTPPNNNNNNNPNLLSHPLVHAQFVVSPKRKGGRKKFKETRHPIYRGVRQRKGKWVCELREPKKTTRIWLGTYPTPEMAARAHDVGALAIRGTSAILNFPNSASLLPILNSSSPKDIRAAAAEAAESFRPIALSSLSNPRNNKTGRKTNGMEKNNCDLSSTVFFDEEALFNMPGLLDRMAESLCLLPLPSVDYWDDHAYFTDFNLWTD >Vigun01g018900.1.v1.2 pep primary_assembly:ASM411807v1:1:2019885:2020402:-1 gene:Vigun01g018900.v1.2 transcript:Vigun01g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYSKMESSRKYSLTLAFLLAFFIISSDMIMKSEANFAQHCNHDRDCHHYCQTCKCNCFYKMCHCHKPPLADNAPTF >Vigun09g277900.3.v1.2 pep primary_assembly:ASM411807v1:9:43768443:43775135:1 gene:Vigun09g277900.v1.2 transcript:Vigun09g277900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDYIYHIILCGVVVGSVVNRRRVIYPLSVGFGIGVPMEGLALLPFAHSQLFLRLPKVAAIPSPHNSNTNHNNTNGFVSLRPHRRRRFRFRASLFSKAQGVPLPQHQQQQQQVTANPNCVSGDSIRQRFLQFYASRGHKLLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPRQVPCAATAQRCIRTNDINNVGVTARHHTFFEMLGNFSFGDYFKKQAILWAWELSTSEFGLPPDRLWVSVYEDDDEAFQLWSDEVGVPFERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYVDAQDLNDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQQVPNNYETDLIFPIIEEASKLANVSYGFADDQTKRNLKIIGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDLEGAFSPIIAEKVVELSTHIDADVKNKAPRIFEELKREELRFVQTLERGEKLLEEKLADALSSAERNGTVPCLAGEDVFLLYDTFGFPMEITKEVAEERGVSIDMDGFDIEMEKQRRQSQAAHNTVRLAIENGENIAENVRDTEFIGYDRLHCKAMIENLMVNGNPAVQVSEGSNVEVLLNKTPFYAESGGQIGDHGFLYISEGDNQPKAVVEIIDVQKSLGNIFVHKGTVQKGVVEVGKEVEAAVDLKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLRDSELAEIELLINGWIEDATLLQTKVMPLADAKSAGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVNNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYINARDFYLKQLCSTLKVKPEEVTTRIENLLEELRAVRNENSAVRAKAAIYKASGIASKALLVGNSKQYSVLVESFDDVDAESLKSAAEYLLETLTDPAAVVLGSCPGEGKVSLVAAFSPSVVDQGLQAGKFIGQIAKLCGGGGGGRPNFAQAGGRKPENLSSALDKARSELMATLNEKGS >Vigun09g277900.1.v1.2 pep primary_assembly:ASM411807v1:9:43768443:43775135:1 gene:Vigun09g277900.v1.2 transcript:Vigun09g277900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDYIYHIILCGVVVGSVVNRRRVIYPLSVGFGIGVPMEGLALLPFAHSQLFLRLPKVAAIPSPHNSNTNHNNTNGFVSLRPHRRRRFRFRASLFSKAQGVPLPQHQQQQQQVTANPNCVSGDSIRQRFLQFYASRGHKLLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPRQVPCAATAQRCIRTNDINNVGVTARHHTFFEMLGNFSFGDYFKKQAILWAWELSTSEFGLPPDRLWVSVYEDDDEAFQLWSDEVGVPFERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYVDADLNDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQQVPNNYETDLIFPIIEEASKLANVSYGFADDQTKRNLKIIGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDLEGAFSPIIAEKVVELSTHIDADVKNKAPRIFEELKREELRFVQTLERGEKLLEEKLADALSSAERNGTVPCLAGEDVFLLYDTFGFPMEITKEVAEERGVSIDMDGFDIEMEKQRRQSQAAHNTVRLAIENGENIAENVRDTEFIGYDRLHCKAMIENLMVNGNPAVQVSEGSNVEVLLNKTPFYAESGGQIGDHGFLYISEGDNQPKAVVEIIDVQKSLGNIFVHKGTVQKGVVEVGKEVEAAVDLKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLRDSELAEIELLINGWIEDATLLQTKVMPLADAKSAGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVNNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYINARDFYLKQLCSTLKVKPEEVTTRIENLLEELRAVRNENSAVRAKAAIYKASGIASKALLVGNSKQYSVLVESFDDVDAESLKSAAEYLLETLTDPAAVVLGSCPGEGKVSLVAAFSPSVVDQGLQAGKFIGQIAKLCGGGGGGRPNFAQAGGRKPENLSSALDKARSELMATLNEKGS >Vigun09g277900.4.v1.2 pep primary_assembly:ASM411807v1:9:43768443:43775135:1 gene:Vigun09g277900.v1.2 transcript:Vigun09g277900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDYIYHIILCGVVVGSVVNRRRVIYPLSVGFGIGVPMEGLALLPFAHSQLFLRLPKVAAIPSPHNSNTNHNNTNGFVSLRPHRRRRFRFRASLFSKAQGVPLPQHQQQQQQVTANPNCVSGDSIRQRFLQFYASRGHKLLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPRQVPCAATAQRCIRTNDINNVGVTARHHTFFEMLGNFSFGDYFKKQAILWAWELSTSEFGLPPDRLWVSVYEDDDEAFQLWSDEVGVPFERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYVDADLNDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQQVPNNYETDLIFPIIEEASKLANVSYGFADDQTKRNLKIIGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDLEGAFSPIIAEKVVELSTHIDADVKNKAPRIFEELKREELRFVQTLERGEKLLEEKLADALSSAERNGTVPCLAGEDVFLLYDTFGFPMEITKEVAEERGVSIDMDGFDIEMEKQRRQSQAAHNTVRLAIENGENIAENVRDTEFIGYDRLHCKAMIENLMVNGNPAVQVSEGSNVEVLLNKTPFYAESGGQIGDHGFLYISEGDNQPKAVVEIIDVQKSLGNIFVHKGTVQKGVVEVGKEVEAAVDLKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLRDSELAEIELLINGWIEDATLLQTKVMPLADAKSAGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVNNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYINARDFYLKQLCSTLKVKPEEVTTRIENLLEELRAVRNENSAVRAKAAIYKASGIASKALLVGNSKQYR >Vigun09g277900.2.v1.2 pep primary_assembly:ASM411807v1:9:43768443:43775135:1 gene:Vigun09g277900.v1.2 transcript:Vigun09g277900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDYIYHIILCGVVVGSVVNRRRVIYPLSVGFGIGVPMEGLALLPFAHSQLFLRLPKVAAIPSPHNSNTNHNNTNGFVSLRPHRRRRFRFRASLFSKAQGVPLPQHQQQQQQVTANPNCVSGDSIRQRFLQFYASRGHKLLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPRQVPCAATAQRCIRTNDINNVGVTARHHTFFEMLGNFSFGDYFKKQAILWAWELSTSEFGLPPDRLWVSVYEDDDEAFQLWSDEVGVPFERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYVDADLNDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQQVPNNYETDLIFPIIEEASKLANVSYGFADDQTKRNLKIIGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDLEGAFSPIIAEKVVELSTHIDADVKNKAPRIFEELKREELRFVQTLERGEKLLEEKLADALSSAERNGTVPCLAGEDVFLLYDTFGFPMEITKEVAEERGVSIDMDGFDIEMEKQRRQSQAAHNTVRLAIENGENIAENVRDTEFIGYDRLHCKAMIENLMVNGNPAVQVSEGSNVEVLLNKTPFYAESGGQIGDHGFLYISEGDNQPKAVVEIIDVQKSLGNIFVHKGTVQKGVVEVGKEVEAAVDLKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLRDSELAEIELLINGWIEDATLLQTKVMPLADAKSAGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVNNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYINARDFYLKQLCSTLKVKPEEVTTRIENLLEELRAVRNENSAVRAKAAIYKASGIASKALLVGNSKQYR >Vigun05g126500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14305481:14308195:1 gene:Vigun05g126500.v1.2 transcript:Vigun05g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITNLSSDLVELILSLLPIPNLIRVSTVCKLWHSIISSPSFSTLSNHSNHPWFFLHGIHNISSKNNQTFAFDPSSNTWFLLPTPQHHHPHQPNTSFIGTNSFFFITAPNFLYTPILHPSWHLAPPLHFPRINPLMGVFHDAKATNFNHPNFIVVGGVKFIGNLVDIEDRLDVEIYDPLLGNWDLGPPLPPDFRSGNSSSSLSSALFEGKFYVFGIYSCFVSSFDLHHRVWSEVHTLRPHGAVFSFLVACREMLVLAGVCNFPHGSSSFVLWKVDERTMRLTQIDVMPHDLLCSLFDGDEDDKFASLKCVGLGDLIYVYNEDYHRMYPACVCEIDAESGRCVWRKVPPLPSLMNRFHKVSSFCSTVSLHSVLRELPGPALQF >Vigun09g158900.1.v1.2 pep primary_assembly:ASM411807v1:9:32584386:32587879:-1 gene:Vigun09g158900.v1.2 transcript:Vigun09g158900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLNQRLLLVILTAAAAAAAEEQKCPTKCGNVNIPFPFGLTELCSLNTSFIITCNKTLSQPIPFLKIDPKVRVLDISLDGQLHVSLPVATSCVNNTIGESEDENWLFEFKLKPFHLSSKQNKLTVLGGDAAGVVYDQGSRSGRLYITTACVSVYSGLDKEPTNDESCSGTFCCETPIQQRLSEFYIYSANIIINNFTKSFQSYPCGYTFLVKDGAYNFSMTHLINFNRTNTFPVVLDWAVGNTCIDAQKNASSYACKSKYSECHNAIVGPGYHCKCSTGFQGNPYLPHGCQDVDECTEESDDCLKGRSTCSNDPEGSYTCLCPKGYEGDGKINGSGCVIRSNRKIIIAFSVSGSILALLGGTLFVCCTLKKRKLNRLKEHFFQLNGGQLLQQQIGRYSGSNELTKIFTVEELKDATHNFSEEMVLGAGGEGTVYKGILPDNRTVAIKKSRISNPNQIEHFINEVILLCQINHRNVVKLLGCCLETEVPLLVYEFVPHGTVYDHLHDQTKSLRLTWKRRLQIAVETSGALAYLHSATNAPIVHRDVKTSNILLDHNLTAKVSDFGASKIIPLDRSQLTTLVMGTLGYLDPQYFHSSQLTEKSDVYSFGVVLVELLTGEKALSFERPEAHRNLAVHFHSSMNEGGLLNIVDSRIIDEANVEQLTDVANIARHCLRLKGEERPTMREVAMELEGINTVEKHQWEKVNLSSEETETLLKATPSSSFSVDGVNRRSMHSGSDTLNRIISLSLTSGR >Vigun01g156900.1.v1.2 pep primary_assembly:ASM411807v1:1:33922589:33928042:1 gene:Vigun01g156900.v1.2 transcript:Vigun01g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPSSPGGGSHESGDHSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSAKKDVQPGPSAQLAHQGSFSQGVSYTNSQGQHMMVPMQGPE >Vigun04g103900.1.v1.2 pep primary_assembly:ASM411807v1:4:24320760:24328020:1 gene:Vigun04g103900.v1.2 transcript:Vigun04g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGGKRRRVAPKPFVVLCALVTGLLGLLVLAFRPLEDAPSTLVPFARASEFNGSSSDGGTSVEGVVAFQRVAEKGCKTVEEMGEDFGRGVGKESLRVRKIIENHFVANGASRVRDFPPEQFCRHGFVLGKTAEAGFGNEMYKVLTAAALSVMLNRSLIIGQTRGKYPFGDYISYSNFTFTVKEIKHLWRQKGCESKYGRELVMRIDDFNKPLETNVLCSNWKKWKQPIIWFQGTTDSVAAQFFLKNIYSQMRIAAFNLFGDPQVLGLRPNVFGELMRVLISPSEDVEAAVNWVIGGGENPDISLHMRMLMNRSARAVQAVLRCLKKAIQSQHLMSSRPKVVLVSDTPAVVKNIMSMSNISEFAEVLHFDYDQFRGSMSKGMRISDFRRKDWGSAPRWVAFVDFFLASRAKFAAISGAHRRVGTTYAQLIAALAAAYNLGDKSGSRFLFFSSFQSNLLRDGLKHQVGWGHVWNRYGGPLSCRNQANQCAFTPVLPSAWWDGLWQSPIPRDINRLASYGIRLSGLGNVDNDSLKSQCKKRKHVVRTITFKL >Vigun03g437200.1.v1.2 pep primary_assembly:ASM411807v1:3:64083323:64089520:-1 gene:Vigun03g437200.v1.2 transcript:Vigun03g437200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTKADTFYTEQATRTLISEIAADLVIQINDITYLLHKLQFPLLPKCGLLQRLCYDSSDSESVSLELHDIPGGEEAFELCAKFCYGIAINISAHNFVSALCAAKFLRMNDSIEKGNFVGKLESFFNSCILEGWKDSIATLQTTATLPEWSENLGIVRKCIDSIIEKILTPPPQVKWSYTYTRPGYTKKQHHSVPKDWWTEDVSDLDIDLFRCIIMAIRSTYVLPPQLIGEALHVYACRWLPGITKLKSSGSSVSQTEESKEKNRKILETIVSMIPADRGSVSVGFLFRLLSISIHLGVSSVTKTELIRRASLQFEEATVSDLLYPSTSSSDQNYYDTELVLAVLETFLKLWKRMSPGAVDSSYFLRSIRNVGKLIDSYLQVVARDDNMQVSKFVSLAETVPSIAREDHDDLYQAINIYLKMHPELSKADKKRLCGILDCQRLSPEVRGHAVKNELLPLRTVVQLLYFEQDKGSKATSSHKLPKPHEILLGAKDRAATTRDTQSKHSLGPTKEALNGEEIRERDHQQKTKRSDGKLALELEKKMVIRGDIEETQSEKARVVRDESSSSGKVKMVDPKKMIRRARSKSEHGVKK >Vigun01g000700.1.v1.2 pep primary_assembly:ASM411807v1:1:143297:147099:-1 gene:Vigun01g000700.v1.2 transcript:Vigun01g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLSPCCYSSSSFPFFSISVLSVPQVSNFLPMSAAPQPPTAPASSLSDKKKPFGALRPHLSSRYLSFSSNTCCVCRLSLTTTTNTLLLLNHSPNRSLFSASHGSFFSHSLRDFSVESNHAKEKTPFSLRLNRRQKGPTTSSPSPSNPDLLAIPGVGPRNFRKLVQKGIAGVAQLKQLYKDKFFGKSSDKMVEYLQSSVGIIHKNHAESITTFIKKSVDEELEENSSSQPQQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPVNKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLVPDGFIYLRASPDTCHKRMMLRKREEEGGVTLDYLRDLHEKHESWLFPFQSGNHGVLAVNKLPHHIDSSLHPDIRDRVFYLEGGHMHSCIQKVPALVLDCEPNIDFSKDIEAKRQYARQVAEFFEFVKKKQEVPSKEGVGDARSSQAQPQVLLPHEGGLWLPDGKPFPQEALKPLDFRRTMSFMSG >Vigun03g040400.1.v1.2 pep primary_assembly:ASM411807v1:3:3078803:3088734:-1 gene:Vigun03g040400.v1.2 transcript:Vigun03g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIARHERRWASDTVPGRATVSAGTSPGTDSNSAAEEFVEVTLDLQDDDTIVLRSVEPASVINIDDGVAGSGYETPASVSRSPTIRRSSSRGFRQFSQELKAEAVAKARQFSQELKSELRRFSWSHSQASRALSSSSAPNGAGGGFETALAARALRRQRAQLDRTRSGAHKALRGLKFISSKSNGVDAWNEVQDSFDRLSKDGFLKRADFAQCIGMKDSKEFALELFDALSRKRRLKVDTINKEELFEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANRLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKKSPIRRMSRRMIYYLQENWKRLWVLTLWACIMTGLFTWKFIQYKRKDAFHIMGYCLSTAKGGAETLKFNMALILLPVCRNTITWLRSTTKLGYAVPFDDNINFHKTIAGAIVIGIILHAGDHLACDFPRLVSTSEDNYETYLKGVFGHHKPSYGDLAKGVEGVTGILMVILMIIAFTLATKWFRRNLIKLPKPFSRLTGFNAFWYSHHLFVIVYVLLIIHGTKLYLVHKWYLKTTWMYLAVPVLLYTSERILRLFRSGLYTVRLGKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACEPPVSGKSGLLRADETTKKSLPKLKIDGPYGAPAQDYKKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEMADSISDISRGSDLSVGSTTDSPSHNKIAPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFNKMCSKHYNGRIGVFYCGAPVLAKELSKLCFEFNEKGPTKFEFHKEHF >Vigun07g121200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22392435:22393725:1 gene:Vigun07g121200.v1.2 transcript:Vigun07g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDYETKYATNGKVMFGSTVLLFLLVIVLLFVHTFRHSCFPRRNHAIRIPSKGLLPCSLKFLPIFTYSSNTHRSLHDCAVYLSEFTDGQQCRVLPNCNHVFHAHCIDAWFTSHSNCPLCRITVHRHAIPVQPFSNTEPASLGFSSFPASVWCPRKSLEMVSLIVELKGGRDPDPGRGPMISRADN >Vigun11g075500.1.v1.2 pep primary_assembly:ASM411807v1:11:22017253:22017762:-1 gene:Vigun11g075500.v1.2 transcript:Vigun11g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKVALIAVVFALIACNGFVLCLEPQCKNDNDCKGKVPFCRVKAICVGTTCECSMSKQQKPAKCKTTADCPFYCIPPCEKRFCDVSTGSCKCLCNKH >Vigun02g085700.2.v1.2 pep primary_assembly:ASM411807v1:2:24011402:24016595:1 gene:Vigun02g085700.v1.2 transcript:Vigun02g085700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNWLSFSLSPMEMLRTSEPQFVQYDAASAATNSHNYFLDNLYTNGWGNGNLKPQMLMEQNLNQNDVSFVESSQSQSVSHAPPPKLEDFLGDSSAVMRYSDSQTETQDSSLTHMYDHHHGSAYFGDQQDLKAIAGFQAFSTNSGSEVDDSASIGKVQPSEFGTHSVESSGNEFAAFSGGPTGTLSLAVAQSSEKAVVAADSGSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYSKELEEMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSALPVGGAAKRLKLSLESEQKVGVSSSQQNPPCGNVSGSINFSAIHQPIASIPCGIPFDSSAAYYHHNLFQHFHPSNAGTAVSTVTSGNATGLTALPPPATTAAEFFIWPHQSY >Vigun02g085700.4.v1.2 pep primary_assembly:ASM411807v1:2:24011861:24016595:1 gene:Vigun02g085700.v1.2 transcript:Vigun02g085700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNWLSFSLSPMEMLRTSEPQFVQYDAASAATNSHNYFLDNLYTNGWGNGNLKPQMLMEQNLNQNDVSFVESSQSQSVSHAPPPKLEDFLGDSSAVMRYSDSQTETQDSSLTHMYDHHHGSAYFGDQQDLKAIAGFQAFSTNSGSEVDDSASIGKVQPSEFGTHSVESSGNEFAAFSGGPTGTLSLAVAQSSEKAVVAADSGSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYSKELEEMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSALPVGGAAKRLKLSLESEQKVGVSSSQQNPPCGNVSGSINFSAIHQPIASIPCGIPFDSSAAYYHHNLFQHFHPSNAGTAVSTVTSGNATGLTALPPPATTAAEFFIWPHQSY >Vigun02g085700.1.v1.2 pep primary_assembly:ASM411807v1:2:24011402:24016595:1 gene:Vigun02g085700.v1.2 transcript:Vigun02g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNWLSFSLSPMEMLRTSEPQFVQYDAASAATNSHNYFLDNLYTNGWGNGNLKPQMLMEQNLNQNDVSFVESSQSQSVSHAPPPKLEDFLGDSSAVMRYSDSQTETQDSSLTHMYDHHHGSAYFGDQQDLKAIAGFQAFSTNSGSEVDDSASIGKVQPSEFGTHSVESSGNEFAAFSGGPTGTLSLAVAQSSEKAVVAADSGSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYSKELEEMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSALPVGGAAKRLKLSLESEQKVGVSSSQQNPPCGNVSGSINFSAIHQPIASIPCGIPFDSSAAYYHHNLFQHFHPSNAGTAVSTVTSGNATGLTALPPPATTAAEFFIWPHQSY >Vigun02g085700.3.v1.2 pep primary_assembly:ASM411807v1:2:24011861:24016595:1 gene:Vigun02g085700.v1.2 transcript:Vigun02g085700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATNWLSFSLSPMEMLRTSEPQFVQYDAASAATNSHNYFLDNLYTNGWGNGNLKPQMLMEQNLNQNDVSFVESSQSQSVSHAPPPKLEDFLGDSSAVMRYSDSQTETQDSSLTHMYDHHHGSAYFGDQQDLKAIAGFQAFSTNSGSEVDDSASIGKVQPSEFGTHSVESSGNEFAAFSGGPTGTLSLAVAQSSEKAVVAADSGSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARAYDLAALKYWGPTATTNFPVSNYSKELEEMKHATKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSALPVGGAAKRLKLSLESEQKVGVSSSQQNPPCGNVSGSINFSAIHQPIASIPCGIPFDSSAAYYHHNLFQHFHPSNAGTAVSTVTSGNATGLTALPPPATTAAEFFIWPHQSY >Vigun06g026500.1.v1.2 pep primary_assembly:ASM411807v1:6:12010660:12012459:-1 gene:Vigun06g026500.v1.2 transcript:Vigun06g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEKVPTNGSMKNDRKIISGLLAIRFLIFSYRIVCEPEAKSKYNIFLNQGKSLIPLG >Vigun06g026500.2.v1.2 pep primary_assembly:ASM411807v1:6:12007339:12012440:-1 gene:Vigun06g026500.v1.2 transcript:Vigun06g026500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEKVPTNGSMKNDRKIISGLLAIRFLIFSYRIVCEPEAKSKYNIFLNQVDLR >Vigun05g176000.1.v1.2 pep primary_assembly:ASM411807v1:5:32458942:32463820:1 gene:Vigun05g176000.v1.2 transcript:Vigun05g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQLKKDIKELEFFTEYGDANRYKILEVVGKGSYGVVCSAIDTHTGGKVAIKKIHDIFEHISDAIRILREVKLLRLLRHPDIVDIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDAPTTTFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSPETIAGVRNDKARKYLMEMRKKSPVPFEQKFPNADPLALRLLQRLLAFDPKDRPTAQEALSDTFFKGLAKVEREPSCQPISRLEFEFERRRVTKDEVRELIYREILEYHPQLLKDYMNGTEGTHFLYPSAIDQFRKQFAYLEENHGKSGPVIPPERKHVSLPRSTVHSSTIPPTTQPSFASYESKQMVAEASKVSRSVESNSGSQLRSSRPPPRVPAAKPGRIVGPVLHYDNGRTIKDAYDQRIFYRNSLPHAVSPHCFHRVQPANAKATSETYKDISQGKHQLSCQQCSMPARPAIDLNTNPYYQQGKRDHLNDPVGSIDAKLLQAQSQFGAVGAAAVAVAAHRHSGSFHYGLS >Vigun11g079900.2.v1.2 pep primary_assembly:ASM411807v1:11:23555844:23573316:1 gene:Vigun11g079900.v1.2 transcript:Vigun11g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRPDISQAVGVVSRFIADLVESSEVLTRVHRTKLTTNKHSLHFLNFLDRVIGYVFFLFVVYVPSSERTTLFMIKIVPKSMESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGTNENVTLVSTNGTFEAGFFSPSNSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDSDQGILSIKSGTGAKIWSSNASHTPSKPVAVELLESGNMVVKDGHNNFLWQSFDYPDDTLLPGMKLGINLKTGHYRALRSWKSLNDPTPGEFSFGVDTRGLPQLVITKDSNDDIVYRPGSWNGVGVTGVPGQRTTHPLTKSLFVMNEDEIFYEIQLLNSSTKLRSRLLPEGYQMRLLWSDESKSWDPLYTGSFDECEKYGMCGANTICNVSGAHQHCECLSGFRSNVADSTCDRIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLDECQKLCLSNCSCTAYAQLDISANGSGCLQWFHNIVDFRILAQDGQDFYLRIGASKFQDHAFNRKIGGIILGCTIFIIAIVVFGSIFCLNRNKLKQAETNYWKDKNKKDDIDLPIFDFLYISNATNNFSESNKLGQGGFGPVYKGILPDGQEIAVKRLSKTSGQGLDEFKNEVLLIAKLQHRNLVKLLGCSIQQDERLLIYEFMPNRSLDYFIFDSARSSSIDWAKRFEIIDGIARGLLYLHQDSRLKIIHRDLKTCNILLDNNMKPKISDFGMARAFNLDQDEANTNKVMGTYGYMPPEYAVHGSFSIKSDVFSFGVIVLEIISGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMDELVDTGDGPSEILRYIHIGLLCVQQRPEDRPNMSSVVLMLNGEKLLPEPSQPGFYTGRNNLTTMTDSSSRNVDVYSLNEMSETLLEAR >Vigun11g079900.1.v1.2 pep primary_assembly:ASM411807v1:11:23555844:23573313:1 gene:Vigun11g079900.v1.2 transcript:Vigun11g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRPDISQAVGVVSRFIADLVESSEVLTRVHRTKLTTNKHSLHFLNFLDRVIGYVFFLFVVYVPSSERTTLFMIKIVPKSMESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGTNENVTLVSTNGTFEAGFFSPSNSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDSDQGILSIKSGTGAKIWSSNASHTPSKPVAVELLESGNMVVKDGHNNFLWQSFDYPDDTLLPGMKLGINLKTGHYRALRSWKSLNDPTPGEFSFGVDTRGLPQLVITKDSNDDIVYRPGSWNGVGVTGVPGQRTTHPLTKSLFVMNEDEIFYEIQLLNSSTKLRSRLLPEGYQMRLLWSDESKSWDPLYTGSFDECEKYGMCGANTICNVSGAHQHCECLSGFRSNVADSTCDRIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLDECQKLCLSNCSCTAYAQLDISANGSGCLQWFHNIVDFRILAQDGQDFYLRIGASKFQDHAFNRKIGGIILGCTIFIIAIVVFGSIFCLNRNKLKQADLIQFQVAETNYWKDKNKKDDIDLPIFDFLYISNATNNFSESNKLGQGGFGPVYKGILPDGQEIAVKRLSKTSGQGLDEFKNEVLLIAKLQHRNLVKLLGCSIQQDERLLIYEFMPNRSLDYFIFDSARSSSIDWAKRFEIIDGIARGLLYLHQDSRLKIIHRDLKTCNILLDNNMKPKISDFGMARAFNLDQDEANTNKVMGTYGYMPPEYAVHGSFSIKSDVFSFGVIVLEIISGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMDELVDTGDGPSEILRYIHIGLLCVQQRPEDRPNMSSVVLMLNGEKLLPEPSQPGFYTGRNNLTTMTDSSSRNVDVYSLNEMSETLLEAR >Vigun11g079900.3.v1.2 pep primary_assembly:ASM411807v1:11:23555844:23573317:1 gene:Vigun11g079900.v1.2 transcript:Vigun11g079900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRPDISQAVGVVSRFIADLVESSEVLTRVHRTKLTTNKHSLHFLNFLDRVIGYVFFLFVVYVPSSERTTLFMIKIVPKSMESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGTNENVTLVSTNGTFEAGFFSPSNSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDSDQGILSIKSGTGAKIWSSNASHTPSKPVAVELLESGNMVVKDGHNNFLWQSFDYPDDTLLPGMKLGINLKTGHYRALRSWKSLNDPTPGEFSFGVDTRGLPQLVITKDSNDDIVYRPGSWNGVGVTGVPGQRTTHPLTKSLFVMNEDEIFYEIQLLNSSTKLRSRLLPEGYQMRLLWSDESKSWDPLYTGSFDECEKYGMCGANTICNVSGAHQHCECLSGFRSNVADSTCDRIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLDECQKLCLSNCSCTAYAQLDISANGSGCLQWFHNIVDFRILAQDGQDFYLRIGASKFQDHAFNRKIGGIILGCTIFIIAIVVFGSIFCLNRNKLKQAAETNYWKDKNKKDDIDLPIFDFLYISNATNNFSESNKLGQGGFGPVYKGILPDGQEIAVKRLSKTSGQGLDEFKNEVLLIAKLQHRNLVKLLGCSIQQDERLLIYEFMPNRSLDYFIFDSARSSSIDWAKRFEIIDGIARGLLYLHQDSRLKIIHRDLKTCNILLDNNMKPKISDFGMARAFNLDQDEANTNKVMGTYGYMPPEYAVHGSFSIKSDVFSFGVIVLEIISGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMDELVDTGDGPSEILRYIHIGLLCVQQRPEDRPNMSSVVLMLNGEKLLPEPSQPGFYTGRNNLTTMTDSSSRNVDVYSLNEMSETLLEAR >Vigun08g055000.1.v1.2 pep primary_assembly:ASM411807v1:8:6739990:6743637:-1 gene:Vigun08g055000.v1.2 transcript:Vigun08g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMENWRPWFWGHVEKHYVVKVTLLKLEFGATKVGALDEDIIALQITGTATRKEKLSSSFSSSNCALKLESTHTTLTPRVINSKAPTLLWDARDLSAFHLLLNNGTLHMIFLVLHGEGDVGEYEPEMVVVGEVSMSITMAELMQREEMKNESNSCEVQRTLPIQLKVHGLFLEASLSVSLSLLKLKNFDDDLPLPRTFVNRGTNIEENSGKFDEVEELSSYESDELAVFNSDDSSDESTTTSSSGSSIEIECAGSRVSNESERLMDSDTETLLDTMQKSWSMLPWNRSFKGWSFRRTTSRKQEPLTSHSTHCTKLDELSTQAYFHHSTSGWENKEFWSRDGQAKLKTNVFFASFDQRSKEACGESACTTLAVFIAHWLRTNHSMPTRTQFDSLIKRGSSEWIRLSHNDHYLKLFPDKHFDLETVLEANIRPVVVLPQNSYTGFFSPEKFQCLEGAMSFDDIWDEITRKDDEVDQEPRVYIVGWNDHFFVLKVDVDACYVIDTLGERLYEGCQKAFILKFDGSSSIHEKRSKKHRGGIVCRGKECCKEFIKRFLAAIPLRQLEEEVESKGVVYNPYLHRQLQIDFHYSLLSSFSSPSSEGEPFQPTYIT >Vigun11g095700.1.v1.2 pep primary_assembly:ASM411807v1:11:27870384:27877567:1 gene:Vigun11g095700.v1.2 transcript:Vigun11g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVARRTEILTNHLLRRALPPSSVLQPHCCLSYSPPELSNEIAFDTREMRRLMDGHNLEDRDWLFSVIVQSALFNRRDRAGRIFVCPDYNQSMEQQREVTLRRIEYLVERGVFRGWLIGEGPEEELKKLALHEVIGMYDHSLAVKLGVHYFLWGGAVKFLGTKRHHDKWLSATENYDLKGCFAMSELGHGSNVRGIETITTYDSNTGEFVVNTPCESGQKYWIGGAANHATHTIIFSQLYINGSNQGVHAFIAQIRDSDGNICPNIRIADCGHKTGLNGVDNGRIWFDNVRIPRENLLNSVADVSPTGEYLSAIKNVDQRFAAFLAPLTSGRVTIAVSAVYMSKISLAIAIRYALTRRAFSITPNGPEVLLLDYPSHQRRLLPLLAKVYAMSFSANDLKMMYVKRTPKSNKAIHIISSAYKATFTWNNMRTLQECREACGGQGIKSENRVGHFMGEFDVQSTFEGDNNVLMQQISKALFAEYVACQKQKKPFSGLGLEHMNKPLPVIPSHLTTPTIRSSEFQIDLFHLRERDLLRRFAEEVSEYQSRGESKESAFILSYQLAEDLGRAFSERAILKTFMDAESTLPAGSLKNVLGLLRSLYAVISVDEDATFLRYGYLSTENASAVRKEVPKLCAELRPHALALVSSFGIPDAFLSPIAYNWVDSNSWSSSQL >Vigun11g095700.2.v1.2 pep primary_assembly:ASM411807v1:11:27870384:27877567:1 gene:Vigun11g095700.v1.2 transcript:Vigun11g095700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMYVKVRGIETITTYDSNTGEFVVNTPCESGQKYWIGGAANHATHTIIFSQLYINGSNQGVHAFIAQIRDSDGNICPNIRIADCGHKTGLNGVDNGRIWFDNVRIPRENLLNSVADVSPTGEYLSAIKNVDQRFAAFLAPLTSGRVTIAVSAVYMSKISLAIAIRYALTRRAFSITPNGPEVLLLDYPSHQRRLLPLLAKVYAMSFSANDLKMMYVKRTPKSNKAIHIISSAYKATFTWNNMRTLQECREACGGQGIKSENRVGHFMGEFDVQSTFEGDNNVLMQQISKALFAEYVACQKQKKPFSGLGLEHMNKPLPVIPSHLTTPTIRSSEFQIDLFHLRERDLLRRFAEEVSEYQSRGESKESAFILSYQLAEDLGRAFSERAILKTFMDAESTLPAGSLKNVLGLLRSLYAVISVDEDATFLRYGYLSTENASAVRKEVPKLCAELRPHALALVSSFGIPDAFLSPIAYNWVDSNSWSSSQL >Vigun03g246800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41025773:41026425:1 gene:Vigun03g246800.v1.2 transcript:Vigun03g246800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHTLFVLFSFVAVAALVSSASMVESSRSMSNPSSSLLVRLKLEGEPSNCWESLWQLQACSGEIVTFFLNGETYLGHGCCAAIRVIGHDCWPNIVASLGFTDEESDVLEGYCEEVVLHSPPPPPKSMLLP >Vigun04g077100.1.v1.2 pep primary_assembly:ASM411807v1:4:10347253:10352141:1 gene:Vigun04g077100.v1.2 transcript:Vigun04g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKRADYNFRRSSGDPGRSNHYSQYSYGYSRSGSRYEYKPGSGGGGGLASNFEMALRILTGRSSILYLGFAAAILCGIVVIDSSRESLWRMQNSGKSFEEAMKSIEKAKAYREDNMKERP >Vigun11g208000.5.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412940:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDERKQLVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g208000.13.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412914:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMCTSKKELEKN >Vigun11g208000.3.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412939:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g208000.8.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412202:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDERKQLVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g208000.9.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412202:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANASSCWFCPSNCKGVKRANSREHRSFYRQGRVGFKVSQGPKVLLASQDQLMAE >Vigun11g208000.12.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412939:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGGRTRIFKLYLFKFMFLIFQLIAVYKQERIGEKLKDEVSG >Vigun11g208000.1.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412939:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDERKQLVQRMDFYLKVVTSIPGIDNHDANASSCWFCPSNCKGVKRANSREHRSFYRQGRVGFKVSQGPKVLLASQDQLMAE >Vigun11g208000.11.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412939:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGGRTRIFKLYLFKFMFLIFQLIAVYKQERIGEKLKDEVSG >Vigun11g208000.4.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412939:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDERKQLVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g208000.6.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412940:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANASSCWFCPSNCKGVKRANSREHRSFYRQGRVGFKVSQGPKVLLASQDQLMAE >Vigun11g208000.15.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412914:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMCTSKKELEKN >Vigun11g208000.7.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412940:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g208000.14.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412914:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMCTSKKELEKN >Vigun11g208000.2.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412940:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSTPSHATFSGTAGICLMRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANASSCWFCPSNCKGVKRANSREHRSFYRQGRVGFKVSQGPKVLLASQDQLMAE >Vigun11g208000.10.v1.2 pep primary_assembly:ASM411807v1:11:40410235:40412202:-1 gene:Vigun11g208000.v1.2 transcript:Vigun11g208000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWKEDQHPSFINFISTFLSANSFRLNFVPIDPDFIFNCGGLSVAFIFVTNWDRYNVASIFNRVKKLKMQFARFYVVITLPAKEQINSFIQSYFTFGMVIGKPTFVPVQDLEMGFEKMVKIAHSSGVYKQERIGEKLKDELVQRMDFYLKVVTSIPGIDNHDANALSQAVGSVQAIAKASKEQILENTDLSTDKAELVSRFLRDPKFYSRPKIN >Vigun11g106100.1.v1.2 pep primary_assembly:ASM411807v1:11:30457060:30459485:1 gene:Vigun11g106100.v1.2 transcript:Vigun11g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEVLSSQLFLVILWSFSTIITSLVSVVSLPNNESVPALFVFGDSIVDTGNNNYINTIAKCNFRPYGKDFGAGNQPTGRFSNGLTPSDFIAAKFEIKKLLPPYLDPTLQRKGLLTGVSFASGASGYDPQTNKIASVLSLSDQLDKFREYKTKIHDMVGENTTTTIISKSVYILCTGNNDITNTFFTLPFRRLKYSISTYTDFMVSEAKKFLQDLHGLGARRIGILGLPKLGCVPSQRTLRGGFNRTCSEPENQAAMLFNKKLSSQIDILGKDFPDARLVYLDIYNPLSDMIQNPTKYGFEVANKGCCGTGNLEASVLCNPLTLEICSNRSNYIFFDSFHPTEKAYNILCSEVLDKNINKFF >Vigun08g166100.2.v1.2 pep primary_assembly:ASM411807v1:8:33811400:33812439:1 gene:Vigun08g166100.v1.2 transcript:Vigun08g166100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFQSSTSSRLNPNAPMFVPLAYRTVEDFSDEWWDLVHSSSWFRDYWLRECYQDPQFQNEVFDFEFDLDLEYENEGKEGKEVVSLEVLKWRSCGGGWAEVPRYAEKAPKFIKPRVSPRAIHQPR >Vigun08g166100.1.v1.2 pep primary_assembly:ASM411807v1:8:33811400:33812439:1 gene:Vigun08g166100.v1.2 transcript:Vigun08g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFQSSTSSRLNPNAPMFVPLAYRTVEDFSDEWWDLVHSSSWFRDYWLRECYQDPQFQNEVFDFEFDLDLEEYENEGKEGKEVVSLEVLKWRSCGGGWAEVPRYAEKAPKFIKPRVSPRAIHQPR >Vigun06g094400.2.v1.2 pep primary_assembly:ASM411807v1:6:22584313:22586671:1 gene:Vigun06g094400.v1.2 transcript:Vigun06g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYESSDFFDQIFSRSSMLCGNQSADGSTDFSAVGEQLLIDLRTATPKIRGYFAATKTKMAIGSIYAFAQCAETLSQDTCLDCLSDEQTSVQGCLPSTDGRAFDPGCFMRYSETPFFADNQTVDITPFLKQGGGSNRKWFVIGGCVGGALLLVILISLFPWIRRSQSPMRVPTSNVLGASELKGATKFKYSELKAATRNFSEKNILGEGGFGAVYKGTMKNGKIVAVKKLFSGKSSEIDENFESEVTLVSNVHHRNLVRLLGCCSKGQEKILVYEYMANNSLDKFLFGNRKGSLNWKQRYEIILGTARGLTYLHEEFYVSIIHRDIKSGNILLDEQLQPKISDFGLVKLLPGDQSHLRTKVAGTLGYTAPEYVLHGQLSKKADTYSYGIVVLEIISGQRCTDVNTLDGSHEYLLRRAWNLYEKGMQLELVEKNLSPNSYDREEVKKVIDIALLCTQPSAGMRPAMSEVVVLLSSSEFLEHMRPSMPIFIDSNGRPPRDISASTASSVSNASVSNSIVPAR >Vigun06g094400.1.v1.2 pep primary_assembly:ASM411807v1:6:22582538:22586671:1 gene:Vigun06g094400.v1.2 transcript:Vigun06g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVVGLTLLWLWSWWSLEGVEGDPQTFLLKWDCSGFMVTNLSNFNKNLNATFADLRAHLTNQTNYFATAQATTGTDPVYAMFQCRNYLSHTDCATCFAAAVTKIRNCSAGSNGARVIYDGCFLRYESSDFFDQIFSRSSMLCGNQSADGSTDFSAVGEQLLIDLRTATPKIRGYFAATKTKMAIGSIYAFAQCAETLSQDTCLDCLSDEQTSVQGCLPSTDGRAFDPGCFMRYSETPFFADNQTVDITPFLKQGGGSNRKWFVIGGCVGGALLLVILISLFPWIRRSQSPMRVPTSNVLGASELKGATKFKYSELKAATRNFSEKNILGEGGFGAVYKGTMKNGKIVAVKKLFSGKSSEIDENFESEVTLVSNVHHRNLVRLLGCCSKGQEKILVYEYMANNSLDKFLFGNRKGSLNWKQRYEIILGTARGLTYLHEEFYVSIIHRDIKSGNILLDEQLQPKISDFGLVKLLPGDQSHLRTKVAGTLGYTAPEYVLHGQLSKKADTYSYGIVVLEIISGQRCTDVNTLDGSHEYLLRRAWNLYEKGMQLELVEKNLSPNSYDREEVKKVIDIALLCTQPSAGMRPAMSEVVVLLSSSEFLEHMRPSMPIFIDSNGRPPRDISASTASSVSNASVSNSIVPAR >Vigun09g179600.2.v1.2 pep primary_assembly:ASM411807v1:9:35203964:35210519:-1 gene:Vigun09g179600.v1.2 transcript:Vigun09g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKRYHMLGIYMQRSWIVLFVCCFVLLPFYIFATPLLKFLGQPDDVAEWSGVVAVWLIPLHFSFAFQFPLQRFLQCQLKTAVIAWASLAGLVVNAVTSWALVYVWDFGLYGAAISLDISWWVLVFGMYAYTAYGGCPLTWKGFSVEAFSGLWEFLKLSSASGVMLCFENWYYRILVLMTGHLENATIAVDALSICMTINAWEMMIPLAFFAGTGVRVANELGAGNGKGAKFATQVSVTQSIAIGVVLCVLIMIFHDYLAYIFTTSSSVLEAVDDMSFLLAITILLNSVQPILSGVAVGSGWQSYVAYINIGSYYLIGLPLGIIMGWVFKTGVAGIWTGMIFGGTALQTFILIIVTIRCDWESEAKKARFRVNKWSRSNSNAALQISN >Vigun09g179600.1.v1.2 pep primary_assembly:ASM411807v1:9:35203965:35210519:-1 gene:Vigun09g179600.v1.2 transcript:Vigun09g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKGDDETEKNLAEALLQPEEAVIHAEQRHDQPYEEQSFGNKLWLETKKLWVIVGPSIFSRLASFNMNVITQAFAGHLGDVELAAISIANTVIVGFNFGLLLGMASALETLCGQAYGAKRYHMLGIYMQRSWIVLFVCCFVLLPFYIFATPLLKFLGQPDDVAEWSGVVAVWLIPLHFSFAFQFPLQRFLQCQLKTAVIAWASLAGLVVNAVTSWALVYVWDFGLYGAAISLDISWWVLVFGMYAYTAYGGCPLTWKGFSVEAFSGLWEFLKLSSASGVMLCFENWYYRILVLMTGHLENATIAVDALSICMTINAWEMMIPLAFFAGTGVRVANELGAGNGKGAKFATQVSVTQSIAIGVVLCVLIMIFHDYLAYIFTTSSSVLEAVDDMSFLLAITILLNSVQPILSGVAVGSGWQSYVAYINIGSYYLIGLPLGIIMGWVFKTGVAGIWTGMIFGGTALQTFILIIVTIRCDWESEAKKARFRVNKWSRSNSNAALQISN >Vigun04g015400.2.v1.2 pep primary_assembly:ASM411807v1:4:1138331:1140443:-1 gene:Vigun04g015400.v1.2 transcript:Vigun04g015400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWPSVLLCTRPLYIRGAPSSKEKNLTLTPLLHTHTHTLSLSFTHSHSLSRTEKNSDTHVHTSHTPSLLVSSSSSSSCSVPFLFFLHGMMEHNIISNTNNINNVIAPFVIKTYNMVNDPTTDKLITWGPANNSFIVLDPLDFSHSLLPAFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKHLLRNIVRRKHGGGRSSNLHLHTLKLEELDDEAMVLEIAKLKEEQKALEEELQGMNKRLETTEKRPQQMMAFLSKVVEDPQVLSRILRERERKHLGEKKRRLIPPPSTAATSSSSSSGIKTEFEEDEGNNIMSSSPETGLEIDNNSNNIICSPATAGYWGEQGCYGYNCGGVTTPLTVVTPAAPAMGGGYFGRGSYFGEMAAEGSALPPYPFSLLEGGF >Vigun03g020400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1487874:1488781:-1 gene:Vigun03g020400.v1.2 transcript:Vigun03g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTQVQRKLTVSVIAETESGGGNGTASLGKGQCLCSPTTHEGSFRCRLHRSVTASSSPPSWMKRSNPK >Vigun06g088400.1.v1.2 pep primary_assembly:ASM411807v1:6:22071604:22072740:1 gene:Vigun06g088400.v1.2 transcript:Vigun06g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNVVLLDFWPSSYGMRVKIALAEKGISYECKQEDLEAKSSLLTEMNPVHKMIPVLIHNAKPISESLNIVQYIDEAWKHKPSLLPSDPYKRSQARFWGDYIDKNVYNGVKRVWTGKGKEQEEWKKQLIECLKSLEDELGDKAYFGGEEFGYVDVALVPFTTWFYTVETFGKLSIEEECPKLVAWAKRCMQKESVATSLPHPHQIYDFALQYKHRHGLQ >Vigun07g164700.1.v1.2 pep primary_assembly:ASM411807v1:7:27692363:27695281:-1 gene:Vigun07g164700.v1.2 transcript:Vigun07g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGKEVAKEVEWRIKVEEEEEEDTLKRRVVGCMWAATAGVALKVWRFVKKAWELGVNDPRKFIHCLKVGIALSLVSLFYYWKPLYDGVGGNAMWAVMTVVVVFEYTAGATISKTVNRMCGTSLAGFLGIGVHWVASRAGEQFEPIIIGISLFLLASAATFSRFIPTIKARFDYGAMIFILTFCLVSISGYRVDELLAMAQYRMFTIIIGSILCIIVSVTIRPIWAGFELFVLVTGNLDKLANSLQCCVAQYFDGSETSDEESDNKVSEKELLGYKCVLSSKATEEAMANLARWEPGHGRFNFRHPWRQYVKIGASMRSCASCLDALIGCINSDNKASDEMKNNMRSISMKVGANSASVIRELATTMRNLTKSSKLDILVTEMNCAAQELRSLLSSYPNLVNASSHNAKCSAQTETTTSSDIPQAAKIEIPLMQIIQVITVASLLIEIVARVEGIVETVEELSDLANFRPEMCVKSKQHSPDNKISPDQQINEETERTLQMV >Vigun01g195200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37239700:37243797:-1 gene:Vigun01g195200.v1.2 transcript:Vigun01g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGITRFSGFFSAAMFVILLIILSPSFQSEAIRSSHRFSFRKALPFRNAHECAGVSAQTKVCDPSLVHVAITLDVEYLRGSIAAVHSILRHALCPENVFFHFLVSDTNLQTLVESTFPHLKFNVYYFDPNTVSHLISSSVRQALEQPLNYARNYLADLLESCVERVIYLDSDLVVVDDVAKLWSASLGSRAIGAPEYCHANFTKYFTAGFWSEPSLAGTFAQRRACYFNTGVMVMDLVKWRKEGYTRKIERWMEIQKSDRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRGLHPGPVSLLHWSGSGKPWLRLHSKRPCPLDSLWAPFDLYAPSPF >Vigun02g106700.1.v1.2 pep primary_assembly:ASM411807v1:2:26065542:26069004:1 gene:Vigun02g106700.v1.2 transcript:Vigun02g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSLLFPSFCSVACLPMLVFFIINSLLCIPTTTASILGNQTDHLVLLKFKESISNDPYKIIASWNSSIHFCKWPGVTCDSMNQRVVELNLEGKQLHGFIPPHIGNLSFLTRLNLGNNSFCGKIPQELGRLLQLQNLSLTNNSLKGEIPANLTRCSNLRELHLFGNNLVGEIPMEIGCLQKLQELTLAVNNLTGAIPSSIGNLSSLVSLSIGVNYFEGNIPREICLLRNLSLMSLHVNKLIGTFPSCLYNMSSLTIISAADNQFNGSLPPNMFHTLPNLREFLVGGNHISGSIPTSIANASFLQTLDVGTNHLEGQVPSLGKLQYLWFLSLYSNNLGENTTKDLEFLKSLANCSKLEVVSISYNSFGGSLPNFMGNLSTKLSQLYLGGNHISGKIPAELGNLVSLTILTMEINHFEGVIPATFGKFQKLQKLELSRNKLTGDIPDFIGNLTQLYYLGMAENMLEGKIPPNIGKCQNLQYLNLWKNNLIGSIPLEVFSLFSLTNLLDLSQNSLSGKLPDEIGRLKNIGKMSVADNNLSGEIPETIGDCISLEYLCLQGNSFHGIIPSSLASLKGLRVLDMSRNLLSGSIPKDLQNISFLEYFNVSFNMLEGEVPFEGVFQNASELALTGNNKLCGGVSQLHLPPCPIKGKKPAKHLNFLWIAMVVVSAVVFLLVLPFFVSIYRLRIRNHKNPSSDLPIIDQVDKVSYQNLHQGTDGFSVKNLIGSGSFGSVYKGTIELEGEENVVAIKVVNLQQKGAQKSFIAECNALKNIRHRNLVKTVTCCSSIDHRGQEFKALVFEYMINGSLESWLHPEVETSKEPARSLNIDQRLNIIIDVASAIHYLHYECGQAIIHCDLKPNNVLLDDCLVAHVSDFGLARRLTTTIAVSPKQTSTIEIKGTIGYAPPEYGMGSEVSTEGDVYSFGILVLEMLTGRRPIDEMFEDGLNLHNYVKISIPNHLPQIVDPIILPKGIKVVANGQNLPSMHPLVEKCLLSLFRIALACSKELPKERMSMVDVIRELHLIKTSFSS >Vigun02g084400.3.v1.2 pep primary_assembly:ASM411807v1:2:23832836:23836462:-1 gene:Vigun02g084400.v1.2 transcript:Vigun02g084400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKISSNMGVHEELEEAKAEIQKLKHNANLLQNMKKSYDAHTNQILEAIFKIENLNQQLFQKEDETNGSQQRNEDLTDSAASDELGADCDENFRKWKDEKRRLLVPFEEEKEKIENQEQLMRVNTEEIESRNGCIPVSNDGCLKIENNLKTPREIEEPDAMFQKLEEENMKVEEQLKWKEEQFKHQEEENETLREQFKASKEEWEMQKNTLLDEISSLQLLLDNHERKADDLQHQLVKCKQALADEENQRKCLEDEVSNLQKEKEEECFQLMKQLELKDELINVQDDINEAAYQLFEERVEDMEADFKEQLKEAYDALDRANIELDERICETSEIEYELRMWKSLSERLKNGLEVNLVMRQELENSLLDQVHFSESLNQEKHSLICKLEDSENEIDRLQQHVFLCKQEPKVKETEASSPVRGLTSESSETVEVSYLQIIEEKNKILEEFQKEVLSIEQESNRKQFESAATAQRNMGRTDEGEEETDTDILEGNNNRTDEIMQQVTSLEQKFTGILTSISSQLFEKQAEIIHVKEACNMITAAEVLAAIEIEEKKFMIEELEDDICDLEQKLELQEVNLKQSEQLALDVEEEMNAKQFKAKELVDEMEKKLRDSDAFLEKTLN >Vigun02g084400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23832835:23836448:-1 gene:Vigun02g084400.v1.2 transcript:Vigun02g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKISSNMGVHEELEEAKAEIQKLKHNANLLQNMKKSYDAHTNQILEAIFKIENLNQQLFQKEDETNGSQQRNEDLTDSAASDELGADCDENFRKWKDEKRRLLVPFEEEKEKIENQEQLMRVNTEEIESRNGCIPVSNDGCLKIENNLKTPREIEEPDAMFQKLEEENMKVEEQLKWKEEQFKHQEEENETLREQFKASKEEWEMQKNTLLDEISSLQLLLDNHERKADDLQHQLVKCKQALADEENQRKCLEDEVSNLQKEKEEECFQLMKQLELKDELINVQDDINEAAYQLFEERVEDMEADFKEQLKEAYDALDRANIELDERICETSEIEYELRMWKSLSERLKNGLEVNLVMRQELENSLLDQVHFSESLNQEKHSLICKLEDSENEIDRLQQHVFLCKQEPKVKETEASSPVRGLTSESSETVEVSYLQIIEEKNKILEEFQKEVLSIEQESNRKQFESAATAQRNMGRTDEGEEETDTDILEGNNNRTDEIMQQVTSLEQKFTGILTSISSQLFEKQAEIIHVKEACNMITAAEVLAAIEIEEKKFMIEELEDDICDLEQKLELQEVNLKQSEQLALDVEEEMNAKQFKAKELVDEMEKKLRDSDAFLEKVKIDNRGLLESATRLSSSERESLLSFVEGLDDKMYECTVADTQLMDRLRRLVESFEDDREGMDFRKDDELIVKENMMMHSSSPGLKKSETFSELRSPFKELNV >Vigun02g084400.2.v1.2 pep primary_assembly:ASM411807v1:2:23832836:23836462:-1 gene:Vigun02g084400.v1.2 transcript:Vigun02g084400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKISSNMGVHEELEEAKAEIQKLKHNANLLQNMKKSYDAHTNQILEAIFKIENLNQQLFQKEDETNGSQQRNEDLTDSAASDELGADCDENFRKWKDEKRRLLVPFEEEKEKIENQEQLMRVNTEEIESRNGCIPVSNDGCLKIENNLKTPREIEEPDAMFQKLEEENMKVEEQLKWKEEQFKHQEEENETLREQFKASKEEWEMQKNTLLDEISSLQLLLDNHERKADDLQHQLVKCKQALADEENQRKCLEDEVSNLQKEKEEECFQLMKQLELKDELINVQDDINEAAYQLFEERVEDMEADFKEQLKEAYDALDRANIELDERICETSEIEYELRMWKSLSERLKNGLEVNLVMRQELENSLLDQVHFSESLNQEKHSLICKLEDSENEIDRLQQHVFLCKQEPKVKETEASSPVRGLTSESSETVEVSYLQIIEEKNKILEEFQKEVLSIEQESNRKQFESAATAQRNMGRTDEGEEETDTDILEGNNNRTDEIMQQVTSLEQKFTGILTSISSQLFEKQAEIIHVKEACNMITAAEVLAAIEIEEKKFMIEELEDDICDLEQKLELQEVNLKQSEQLALDVEEEMNAKQFKAKELVDEMEKKLRDSDAFLEKTLN >Vigun09g100050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16380180:16380731:1 gene:Vigun09g100050.v1.2 transcript:Vigun09g100050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYQAYLELRRSRYQDILYPLFFRESIYGLAYAHESFFIENVDYNNKFSLLIIKCLSTRMYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVDILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNYKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFLLLL >Vigun03g387600.10.v1.2 pep primary_assembly:ASM411807v1:3:59361844:59370801:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.6.v1.2 pep primary_assembly:ASM411807v1:3:59361836:59370806:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.5.v1.2 pep primary_assembly:ASM411807v1:3:59361835:59370807:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.3.v1.2 pep primary_assembly:ASM411807v1:3:59361691:59370812:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.2.v1.2 pep primary_assembly:ASM411807v1:3:59361691:59370810:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.1.v1.2 pep primary_assembly:ASM411807v1:3:59361691:59370810:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.8.v1.2 pep primary_assembly:ASM411807v1:3:59361844:59370801:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.4.v1.2 pep primary_assembly:ASM411807v1:3:59361691:59370810:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.9.v1.2 pep primary_assembly:ASM411807v1:3:59361844:59370801:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun03g387600.7.v1.2 pep primary_assembly:ASM411807v1:3:59361844:59370801:-1 gene:Vigun03g387600.v1.2 transcript:Vigun03g387600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPETLSFMELAIHQARLALDALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLGQWQKNGLSMSEVAEKFSNCSLYVTCEPCIMCASALSILGIKEVFYGCSNDKFGGCGSILSLHSSNTAPVNNGAPSGKNYKCTGGIMASEAVLLFRTFYEQGNPNAPKPHRPLARQE >Vigun05g024900.2.v1.2 pep primary_assembly:ASM411807v1:5:2036296:2036760:-1 gene:Vigun05g024900.v1.2 transcript:Vigun05g024900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VKVKTNSVEVNIMTKLQSSVLVVSALFVLFSQTYGQLKFCPVSMNFEGQCSVGVKSCFDEFLARLGTSTMPSNCMCFDLPENLRRCTCDVVCGQ >Vigun09g168400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33743768:33746334:1 gene:Vigun09g168400.v1.2 transcript:Vigun09g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMDPDMDVIHNLHFSRHTTPSSDDDYGCNWNHWSPLVNWDAFTGSHDDFHNLIDSIVSDGPAEYPSPDDHAASNSPSASITEQDDEDEETAAADDSKGLRLVHLLMAAAEALTGTTKSRELARVILVRLKDLVSHASHGSNMERLAAYFTDALRGLLEGAGGAHNHSKHHYNITYGSHHPRDDHHHHHHQNDTLAAFQLLQDMSPYVKFGHFTANQAILETVAHERRVHIVDYDIMEGVQWASLMQALASDKTGPPGPHLRITALSRTGTGRRSITTVQETGRRLTAFAASLGQPFTFHQCRLDPDETFKPSSLKLVRGEALVLNCMLNLPHLSYRTPDSIASFLSGAKELKPRLVTLVEEEVGSSVGGFVGRFMDSLHHYSAVFDSLEAGFPMQGRARALVERVFLGPRIVGSLARVYRTGEEVEERGSWGEWLGAAGLRGVPMSFANHCQAKLLLGLFNDGYRVEELGANKLVLDWKSRRLLSASLWTSSSSSSDSH >Vigun01g071900.1.v1.2 pep primary_assembly:ASM411807v1:1:19852858:19864073:1 gene:Vigun01g071900.v1.2 transcript:Vigun01g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALKPPIGLRPLQPPPLFPVSKSLKFPTTQLPRINCSHSSFEVREVSYQPPGTQLKLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGICKPTSGSIYIQKYGNDGNPSQTPEPLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQKGSHHLRENLTLGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADVVKLLKHLKKELTVLVVSHDLREFASLVDRSWRMEMGGNLKEEFLPL >Vigun01g071900.4.v1.2 pep primary_assembly:ASM411807v1:1:19852861:19866095:1 gene:Vigun01g071900.v1.2 transcript:Vigun01g071900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALKPPIGLRPLQPPPLFPVSKSLKFPTTQLPRINCSHSSFEVREVSYQPPGTQLKLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGICKPTSGSIYIQKYGNDGNPSQTPEPLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQKGSHHLRENLTLGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADVVKLLKHLKKELTVLVVSHDLREFASLVDRSWRMEMGGNLKEEFLPF >Vigun01g071900.3.v1.2 pep primary_assembly:ASM411807v1:1:19852866:19866095:1 gene:Vigun01g071900.v1.2 transcript:Vigun01g071900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALKPPIGLRPLQPPPLFPVSKSLKFPTTQLPRINCSHSSFEVREVSYQPPGTQLKLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGICKPTSGSIYIQKYGNDGNPSQTPEPLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQKGSHHLRENLTLGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLENLQV >Vigun01g071900.5.v1.2 pep primary_assembly:ASM411807v1:1:19852866:19866095:1 gene:Vigun01g071900.v1.2 transcript:Vigun01g071900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALKPPIGLRPLQPPPLFPVSKSLKFPTTQLPRINCSHSSFEVREVSYQPPGTQLKLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGICKPTSGSIYIQKYGNDGNPSQTPEPLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQKGSHHLRENLTLGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADVVKLLKHLKKELTVLVVSHDLREFASLVDRSWRMEMGGNLKEEFLPF >Vigun01g071900.2.v1.2 pep primary_assembly:ASM411807v1:1:19852866:19866095:1 gene:Vigun01g071900.v1.2 transcript:Vigun01g071900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALKPPIGLRPLQPPPLFPVSKSLKFPTTQLPRINCSHSSFEVREVSYQPPGTQLKLLNSVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGICKPTSGSIYIQKYGNDGNPSQTPEPLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQKGSHHLRENLTLGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLENLQV >VigunL027600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:110173:110763:-1 gene:VigunL027600.v1.2 transcript:VigunL027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf4 MNILKKRSKEVLIYSITESRKISNIFSAFIIFLGSLGLLLVAISSYLGMGLFLFSQEISNFPFIPQGAAMAFYGIGGLFISFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNEIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFFLGVPLLY >Vigun07g045000.2.v1.2 pep primary_assembly:ASM411807v1:7:4543166:4551417:1 gene:Vigun07g045000.v1.2 transcript:Vigun07g045000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGLDSGMFIDSDEDVENEHHKYLNDGNESDYSNYSIDNHSRKPSNYNMAWPQSYRQSIDLYGSVPSPNIGFLGTTSLSRLGSSFLVSSLTRRQTLEITQPEKKPLLQPKEEEQLRHSLLPPQLSRKSSIRKDASKVSHEVHIPGQCTFGQAVLNGINALCGIGILSTPYAVKEGGWVGLSILLLYAIFSYYTGLLLRYCLDSSPGLETYPDIGQAAFGTTGRVIISLILYMELYACCIEYIIVESDNLSTLFPNAHITLGGIEVNAHILFAILTALALLPTVWLRDLRILSYISACGVVATFLVVACLIWVCLVDKASIDHSQGTTTLNLTTFPVAMGLYGYCYAGHAVFPNLYTAMANRNQFPGVLLVCFAICTSLYCAVAVVGYSAFGEGVHSQFTLNMPQHLVAAKIAVWTTVVNPFTKYALSLSPVAMCIEELIPPTHPHFNIYSKVIRTALVLSTLVVGLSVPFFGLVMSLTGSLLTMFVSLILPAACFLSIRGGRISSFQAALCVTIIIVGAVSSCFGSYSSLYEIIEKLFG >Vigun07g045000.1.v1.2 pep primary_assembly:ASM411807v1:7:4543554:4551417:1 gene:Vigun07g045000.v1.2 transcript:Vigun07g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGLDSGMFIDSDEDVENEHHKYLNDGNESDYSNYSIDNHSRKPSNYNMAWPQSYRQSIDLYGSVPSPNIGFLGTTSLSRLGSSFLVSSLTRRQTLEITQPEKKPLLQPKEEEQLRHSLLPPQLSRKSSIRKDASKVSHEVHIPGQCTFGQAVLNGINALCGIGILSTPYAVKEGGWVGLSILLLYAIFSYYTGLLLRYCLDSSPGLETYPDIGQAAFGTTGRVIISLILYMELYACCIEYIIVESDNLSTLFPNAHITLGGIEVNAHILFAILTALALLPTVWLRDLRILSYISACGVVATFLVVACLIWVCLVDKASIDHSQGTTTLNLTTFPVAMGLYGYCYAGHAVFPNLYTAMANRNQFPGVLLVCFAICTSLYCAVAVVGYSAFGEGVHSQFTLNMPQHLVAAKIAVWTTVVNPFTKYALSLSPVAMCIEELIPPTHPHFNIYSKVIRTALVLSTLVVGLSVPFFGLVMSLTGSLLTMFVSLILPAACFLSIRGGRISSFQAALCVTIIIVGAVSSCFGSYSSLYEIIEKLFG >Vigun07g045000.4.v1.2 pep primary_assembly:ASM411807v1:7:4543756:4551376:1 gene:Vigun07g045000.v1.2 transcript:Vigun07g045000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGLDSGMFIDSDEDVENEHHKYLNDGNESDYSNYSIDNHSRKPSNYNMAWPQSYRQSIDLYGSVPSPNIGFLGTTSLSRLGSSFLVSSLTRRQTLEITQPEKKPLLQPKEEEQLRHSLLPPQLSRKSSIRKDASKVSHEVHIPGQCTFGQAVLNGINALCGIGILSTPYAVKEGGWVGLSILLLYAIFSYYTGLLLRYCLDSSPGLETYPDIGQAAFGTTGRVIISLILYMELYACCIEYIIVESDNLSTLFPNAHITLGGIEVNAHILFAILTALALLPTVWLRDLRILSYISACGVVATFLVVACLIWVCLVDKASIDHSQGTTTLNLTTFPVAMGLYGYCYAGHAVFPNLYTAMANRNQFPGVLLVCFAICTSLYCAVAVVGYSAFGEGVHSQFTLNMPQHLVAAKIAVWTTVVNPFTKYALSLSPVAMCIEELIPPTHPHFNIYSKVIRTALVLSTLVVGLSVPFFGLVMSLTGSLLTMFVSLILPAACFLSIRGGRISSFQAALCVTIIIVGAVSSCFGSYSSLYEIIEKLFG >Vigun07g045000.3.v1.2 pep primary_assembly:ASM411807v1:7:4543823:4551418:1 gene:Vigun07g045000.v1.2 transcript:Vigun07g045000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGLDSGMFIDSDEDVENEHHKYLNDGNESDYSNYSIDNHSRKPSNYNMAWPQSYRQSIDLYGSVPSPNIGFLGTTSLSRLGSSFLVSSLTRRQTLEITQPEKKPLLQPKEEEQLRHSLLPPQLSRKSSIRKDASKVSHEVHIPGQCTFGQAVLNGINALCGIGILSTPYAVKEGGWVGLSILLLYAIFSYYTGLLLRYCLDSSPGLETYPDIGQAAFGTTGRVIISLILYMELYACCIEYIIVESDNLSTLFPNAHITLGGIEVNAHILFAILTALALLPTVWLRDLRILSYISACGVVATFLVVACLIWVCLVDKASIDHSQGTTTLNLTTFPVAMGLYGYCYAGHAVFPNLYTAMANRNQFPGVLLVCFAICTSLYCAVAVVGYSAFGEGVHSQFTLNMPQHLVAAKIAVWTTVVNPFTKYALSLSPVAMCIEELIPPTHPHFNIYSKVIRTALVLSTLVVGLSVPFFGLVMSLTGSLLTMFVSLILPAACFLSIRGGRISSFQAALCVTIIIVGAVSSCFGSYSSLYEIIEKLFG >Vigun07g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3335135:3336842:1 gene:Vigun07g034800.v1.2 transcript:Vigun07g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDQNIPHQDETKVVVLMIPFPAQAHLNQLLHLSRLIVAQNIPVHYVGTVTHIRQATLRHQNSTSNIHFHAFQVPPFVSPPPNPNDQETDFPSHLIPSFEASTHLREPVGKLLQSLSSQAKRVIVIHDSLMASVAQDAINMPNVENYTFHIFSAFNNAVYLWQEKGRPRVGDIHFPEIPSDEGCIATQFMGFLSAQYEFLNFSHGDIYNTSRAIDGPYVEFLERLSGGKKVWAPGPLHLLDAEKNDSTGFRHPCMEWLDKQEPNSVIYVSFGTTTTLKEEQIKQIATGLEQSKQKFIWVLRDADKGNIFDEKEAKRHDLPNGFEERVKGMGVVVRDWAPQWEILRHPSTGGFMSHCGWNSCLESLSMGVPMATWPMHSDQPRNATLITEVLKVGLVVKDWSQRNALVSASDIENGVRRLMQTKEGEEMRERAMELKNGIRRSMEEGGVSRMEMESFISHITQ >Vigun08g058300.1.v1.2 pep primary_assembly:ASM411807v1:8:7386350:7394375:1 gene:Vigun08g058300.v1.2 transcript:Vigun08g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGISRVDSARSSGSKIWRNNSMDVFSTSEREDDEEALKWAAIERLPTYLRIQRSILNNEDGKGREVDIKQLGLTERKIIVERLVKIAEEDNERFLLKLRERMDRVGLDIPTIEVRFEHVNVEAQVYVGGRSLPSMLNFFANVIEGFLHCLHIIPSPKKPLHILQNVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLGKDLKHYGRVTYNGYELEEFVPQRTSAYISQHDNHIGEMTVRETLAFSARCQGVGQNYEMLAELLRREKQAKIKPDPDIDAYMKAAALGRQRTRVVTDYILKILGLEVCADIIVGDGMIRGISGGQKKRVTTGEMLVGPIKVLFMDEISTGLDSSTTFQIVNSIQQSIHILNGTALVSLLQPAPETYGLFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSKKDQWQYWARKDEPYSFVTVKDFAESFQLFHIGQKLGEELATPFDKSKCHPNALTTKKYGVNKKELLRACASREFLLMKRNSFVYIFKVTQLVYLAVMTTTLFLRTKMHHETVEDGGTYMGALFFAVTVAMFNGISELNMAIMKLPVFYKQRDLLFYPAWAYSIPPWILKIPITLIEVAIWEGISYYAIGFDPNFLRLLKQYLILLCINLMASSMFRLMAALGRDVIVANTVGGFALLVVLVLGGFVISREDVHKWFVWGYWSSPLMYGQNAIAVNEFLGHSWKKVAPNSNETLGVLILKTRGLFPEAYWYWIGVGALIGYVFLFNFLFTLALQYLSPFGKDQAGLSQETLLERNASTSEELIQLTNEKSSSEIKMVDEASMSSRSFSGRVSDDKTNRSGRRGMVLPFQPLSLTFDEIKYSIDMPQEMKHQGVFEERLELLKGVSGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYIKRQETFARISGYCEQFDIHSPNVTVYESLLYSAWLRLPGEVDRATRKMFLEEVMELVELNSIRGALVGLPGETGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQIYAGPLGRHCSHLIQYFEAIEGVPKIKDGYNPATWMLEVTSAGTEASLKVNFTNVYRNSELYRRNKELVQELSIPPQGSKDLHFDSQYSQTLVAQCKACLWKQHLSYWRNTSYTAVRLLFTILIALLFGIIFWDIGLKRRKEQDLFNAMGSMYAAVTFIGVQNATSVQPIIAVERTVFYRERAAGMYSALPYAIAQVIIELPHILVQTLMYGIIVYAMMGFDWTTSKFLWFLFFMFFTFLYFTLYGMMTMAITPNAHVAAILSSAFFAIWSLFSGFVIPLSRIPIWWKWYYWICPVAWTLNGLVASQYGDDMHKLENGQRVEEFVKNYFGFEHDFLGVVAIVVAGFSLLFALIFAFGIKVFNFQKR >Vigun06g006200.1.v1.2 pep primary_assembly:ASM411807v1:6:3376309:3377690:-1 gene:Vigun06g006200.v1.2 transcript:Vigun06g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQCSSCNGGENQNLSASFCGGSGSTDLGVSVMCYCGEKAALRTARTLKNKGKKFWGCPKYKSGSDQCGDCNYFKWFTDNEIEEKGWSSQKIEGMGGGKLKIEEMGCDGKLSIKNVEEMGCGGKKNAEKAAAVRSVVAEEMEKCMKSIENTAAVRSVVAEEMEKCMKSIENRLTVLTVVVGVLCVLNIIVVYVLVTKA >Vigun05g082000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7719054:7720135:1 gene:Vigun05g082000.v1.2 transcript:Vigun05g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAPKQEEIMTEAKNNQDHEQVVVVSQKKLKRRRVCVMVTGAVLLLLIVLVVVVIILAFTLFKTKDPRTQLVSATLEGISPRVSFPDIDIQINVTLDLKVQVENRNRASFKHDGGKSVLLYKGKEVGETDIYPGLVPSRGSAILSCRLTLQADEFASNITGLLGDVVGGDISMDTVTRIPGRVTFLGFIKKHIVAKSYCQFTVTVPDFKIASQSCRNKAKL >Vigun06g177900.1.v1.2 pep primary_assembly:ASM411807v1:6:29789560:29792782:-1 gene:Vigun06g177900.v1.2 transcript:Vigun06g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMQQQQQQHHQFSQNKSGREIAKEDHIFIMGRLITTTKPLIFYSKLLCFSLLYLFTTLFLALYSLSNSKCFFRSSPLDPLQNSLFSYPSSYGEHKYAVPTTRSTCSSPVFFSDYWDVVQEIKTLRETNRPYSGALRYVRGNADTFGGNLSTLARFSYFDHQNPNARVLCGFLKKFPISESDRIAMEQCDSVVVVSAIFNDHDKIRQPKGLGSITLQEVCFFMFVDDVTLKGFEHHGLISMNSTEYNIGVWRIVKVAKENLYQNPAMNGVIPKYLLHRLFPNSQFSIWIDAKMQLMVDPLLLIHSLVISDDVDMAISKHPFYVHTMEEAMATARWKKWWDVNALKVQMETYCENGLQPWTPKKQPYDSDVPDSALILRRHGIGSNLFSCLVFNELEAFNPRDQLAFAFVRDKMKPEVKINMFEVEVLEQVAVEYRHNLRNSDGTTFKRVSSSGRTKRAHPDLLYVNGSCCSKCQKYLSIMWGDTSNDEGPH >Vigun03g073700.1.v1.2 pep primary_assembly:ASM411807v1:3:6073218:6075767:-1 gene:Vigun03g073700.v1.2 transcript:Vigun03g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNINVRSQRGGNRETSPDRAKICRLKHKVKPSRKVQVVYYLSRNGLLEHPHFMEVTLLPNQPLRLKDVFDRLMALRGSGMPLQYSWSSKRNYKSGYVWYDLALKDIIHPAEGGEYVLKGSELVEGCSERFNVSNKQGIHQQGEGNYSYSYDSRSKALGVCNKTQQKEREEYEDYEEGEKTSYTSSTTTPHSRCSRGVSTEELVYEEENNNGGNATKVVVGAKTRNDNDKNLGGGEGDARSEKVKRREDGDGSVSSRYSVLLQLIACGSAGTELKGKQGPRLSDVGTKEREREKKSLFWEEGDSEIVNCVSENPRMLGNLQAEEKEYFSGSLVESMKANRVAFQGEPVLKKSNSYNEERRSRLGMEEVKAKVVVEEEEKRVVKGGGVKEKCIPLMKSPKQNSGRK >Vigun03g073700.2.v1.2 pep primary_assembly:ASM411807v1:3:6073316:6076458:-1 gene:Vigun03g073700.v1.2 transcript:Vigun03g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNINVRSQRGGNRETSPDRAKICRLKHKVKPSRKVQVVYYLSRNGLLEHPHFMEVTLLPNQPLRLKDVFDRLMALRGSGMPLQYSWSSKRNYKSGYVWYDLALKDIIHPAEGGEYVLKGSELVEGCSERFNVSNKQGIHQQGEGNYSYSYDSRSKALGVCNKTQQKEREEYEDYEEGEKTSYTSSTTTPHSRCSRGVSTEELVYEEENNNGGNATKVVVGAKTRNDNDKNLGGGEGDARSEKVKRREDGDGSVSSRYSVLLQLIACGSAGTELKGKQGPRLSDVGTKEREREKKSLFWEEGDSEIVNCVSENPRMLGNLQAEEKEYFSGSLVESMKANRVAFQGEPVLKKSNSYNEERRSRLGMEEVKAKVVVEEEEKRVVKGGGVKEKCIPLMKSPKQNSGRK >Vigun10g000800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:130096:131499:-1 gene:Vigun10g000800.v1.2 transcript:Vigun10g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLCKDSMKDAITTPIPKAATDCAVPITPQALAENGDFQSQYPLTLSVLRKQLKRACIHCDSVRCGGSVDNSDENDNGNGSIDSPRTPEEGVFDPFAPGPDDMARAPNSNKYLDDYRNSVARRLNFQPSFDVSQVDSDTLSDEDMVESVYENLLQVIFSKQAEEVLAQLSYYCETPPSATRITVIADTCPGAPKKPAAPPRNIDPRLCKKLEF >Vigun06g114500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24262565:24262996:1 gene:Vigun06g114500.v1.2 transcript:Vigun06g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKMCLVLTAMILVLSLQKTSGARRLENVVLFHSLQRGPVQRSERNPYSTVPGRSKGRCTVGEIHVHAQPQPHAPPFFSNSEAER >Vigun08g004000.1.v1.2 pep primary_assembly:ASM411807v1:8:351875:356459:1 gene:Vigun08g004000.v1.2 transcript:Vigun08g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAKSPNHHSSSTAPPQVQSADVSPKFPRKNLPSPWAQVVRGGEPESVPGIYQSPPSTSSSSSSLIADQVFSSDSPPKIIPASPPMDNSNTVAVADSFDVAEDNADRSKKPVWNKPSNGVVLETGPVMGAESWPALSASTKGSAKLPAESSSKTVADGSLSTSQAPMTSQAPQKQSNTNAKANPATNYNVPARQRSMKRVGGSGIVSGPSQSNFSNPPPPPPPPPFPVYHLPPVSYGMVTVVPEPAPRDHYRNSNWDPRPMVGGFVPGMNEYRGSSHRSYFGHNPRGDGSYHNSYGSRHDQDRRNYGNTRDTFVPQPRMPPRGLLRHPPPSSAAFVGPQPIGPFANPMGFSEFYYYQPVTMEQFTGMPYFTPSPAPTTFISATESALSNMILRQIEYYFSDANLVRDEFLRSKMDEQGWVPVTLIADFPRVKSLTTNVQLILDSLRTSAIVEVLGDKLRRHNEWMRWVSSKTRPESTSTSSSPRGSRSNNLSNFQGITLAETAESCSLTQLSNGGATGSST >Vigun08g004000.2.v1.2 pep primary_assembly:ASM411807v1:8:351875:356459:1 gene:Vigun08g004000.v1.2 transcript:Vigun08g004000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESWPALSASTKGSAKLPAESSSKTVADGSLSTSQAPMTSQAPQKQSNTNAKANPATNYNVPARQRSMKRVGGSGIVSGPSQSNFSNPPPPPPPPPFPVYHLPPVSYGMVTVVPEPAPRDHYRNSNWDPRPMVGGFVPGMNEYRGSSHRSYFGHNPRGDGSYHNSYGSRHDQDRRNYGNTRDTFVPQPRMPPRGLLRHPPPSSAAFVGPQPIGPFANPMGFSEFYYYQPVTMEQFTGMPYFTPSPAPTTFISATESALSNMILRQIEYYFSDANLVRDEFLRSKMDEQGWVPVTLIADFPRVKSLTTNVQLILDSLRTSAIVEVLGDKLRRHNEWMRWVSSKTRPESTSTSSSPRGSRSNNLSNFQGITLAETAESCSLTQLSNGGATGSST >Vigun09g103950.7.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18459074:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMVGNAS >Vigun09g103950.4.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18459316:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMPLCSITAPPFKAAEAPSNPLKRNF >Vigun09g103950.5.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18459273:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMQALVLNHCSSV >Vigun09g103950.2.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18462228:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMPLCSITAPPFKAAEAPSNPLKRNF >Vigun09g103950.1.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18462228:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMPLCSITAPPFKAAEAPSNPLKRNF >Vigun09g103950.3.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18462228:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMPLCSITAPPFKAAEAPSNPLKRNF >Vigun09g103950.6.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18462228:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRVCLLWSLLLLVLPTHLSESWFLLMVGNAS >Vigun09g103950.8.v1.2 pep primary_assembly:ASM411807v1:9:18458309:18462233:1 gene:Vigun09g103950.v1.2 transcript:Vigun09g103950.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVIYFERKNSFGSIGSLTETLTSFLALSLALTKTRFSFRSKETASPFPSPSSSTSIHTRMQRRSRGLSPSPFHHGSVVASTPFARASVILRY >Vigun04g104100.1.v1.2 pep primary_assembly:ASM411807v1:4:24565298:24570401:1 gene:Vigun04g104100.v1.2 transcript:Vigun04g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSDLCMMKAEARGPIYRLPCDNDKQCQVGCKNPNCGCSSVCIQHVCQCPHDLTSTDIVKSPHQEPPPHHQSPPHHGPPHHLPHHHPHHHQAPAPHHHPYHHQAPPPPHHQAPPPHHVPSN >Vigun04g104100.2.v1.2 pep primary_assembly:ASM411807v1:4:24569759:24570315:1 gene:Vigun04g104100.v1.2 transcript:Vigun04g104100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDLCMMKAEARGPIYRLPCDNDKQCQVGCKNPNCGCSSVCIQHVCQCPHDLTSTDIVKSPHQEPPPHHQSPPHHGPPHHLPHHHPHHHQAPAPHHHPYHHQAPPPPHHQAPPPHHVPSN >Vigun02g201300.1.v1.2 pep primary_assembly:ASM411807v1:2:33610843:33613596:-1 gene:Vigun02g201300.v1.2 transcript:Vigun02g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALASSQCSSGCESGWTLYLEQSFQLNHNAFSHASSQFNGEDNEEYKDKRTKKEEEAEEEDLSMVSDASSGPPHLPDAQDNGSFYSASKAPKLGKRSKKRQKVKEYQHLPSFLDDTASSPVFDFSMNNVTVTNQQTSTESMLDYSQGFSATYYEERSSLQHHFGFLEPSLSENGVENNKQMLLLYTGQSS >Vigun11g131200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33922398:33923335:1 gene:Vigun11g131200.v1.2 transcript:Vigun11g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRGYSKTQMCFFKILRIISSTPPFTEKQEQGEEHQEPFPTKGVGIDLNLRFSPLTESESSRSDSASATLQNSNEERQEEGFPEKSVQLSEKPDGDNQNLNNEVNDVQTASFQGRDSNCLDLLIEAARVVSGKDESDSGEERGLGTESTTQRASPEKRKERWVVVDIYGDVLEEREPVVRSKRGRNQALPYRFRDSVVEPLKRATRSQRPSSTSHLTKRLLRSSSTT >Vigun06g026200.1.v1.2 pep primary_assembly:ASM411807v1:6:11939750:11942040:-1 gene:Vigun06g026200.v1.2 transcript:Vigun06g026200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLYFSALFFCVFTTLILRKLGKKADDTATPSNMPNGPTKLPIIGNIHNLVSSHPHRKLRDLALKYGPLMHLQLGEVSTIVISSPECAKEVMKTHDINFATRPKVLAIDVLNYNCTSIAFAPYGNYWKEVRKISMLEFLSQKRVNSYEAIREEELFNLVKWIDAQKGSLVNLTEAVLSFIYTIASRAALGKKFKDHDNFISVITKLTKLAGGFYIGDFFPSAVWLQHVTGMRQKLERLHQQADRIMENIINEAKDYQREAANLLDVLIQYENGSKPDFSLTRNNMKAIIMDIFGAGGETSATTIDWAMAEMMKNSRVMKKAQDEVREVFNMKGRVDENSLHQLKYLKLVVKETLRLHPPTPLLLPRECGETCEISGYKIPAKSKVIVNAWAIGRDSNYWTEPESFFPERFIHSHIDYKGNDFEYIPFGAGRRICPGITFASRVIELALASLLYHFDWKLPSGMINEDLNMTEDFGVTVRRKHHLFLLAFPYLPLSVPSISH >Vigun09g233100.1.v1.2 pep primary_assembly:ASM411807v1:9:40411766:40413397:-1 gene:Vigun09g233100.v1.2 transcript:Vigun09g233100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFEEDDTPRSFESVGLVIGVTGIVGNSLAEILPLADTPGGPWKVYGVARRPRPPWNADHPIEYVQCDVSDPGDAESKLSVLTDVTHIFFVSWTNRPTEAENCEVNGAMLRNVLRAVIPNAPNLRHVSLQTGTKHYLGSFESVGKIQPHEPPFTEDLPRLDTPNFYYTQEDILFEEIAKKEGLTWSVHRPGTIFGFSPYSLMNLVGSLCVYAAICKHEGVPLRFPGTKGAWESYSFSSDADLIAEQHIWAAVDPYARNEAFNCSNGDVFKWKHLWKVLAEQFGIEEYGFEEGSRLRLSEAMKDKGPVWDEIVSQNQLLPTKLDEVGDWWFVDIIFSGEGILDSMNKSKEHGFLGFRNSKNSFISWIDKSKGYKIVP >Vigun01g026000.2.v1.2 pep primary_assembly:ASM411807v1:1:3020424:3023865:-1 gene:Vigun01g026000.v1.2 transcript:Vigun01g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLPMKSMEPTICGDKLGNLIMEVAKNFVVYIGMVLACNGLHFLLKPYKQPRITSDIIVGMLVGNIGFVRRLFEKFNLTFGFIIDFGMTCYMFTLGIEMDPYVLFAKPNRHTKTAVAGIICSFVLAGLATPMFKFFPDENKLLEFTLAFSTMISSTDSPVLTRLITQLKIGKSDIGKLIIGAGMHTDFVCCLMLSIGFIAVPMPAYCQNLLAKFEIKRTVNVAFAVVVQVGFAAMVSPMLMKWVSNENPEGRPMKGPHLVLSIAFMVLMCASTSMYNYNPILSAFLVGLCVPREGRVSRWFITRINYMLTTIFFPIFFLWMGYESNFRQFELHHPATWVRLSVLLVVAVAGKVVGVVIYGAMSGVHWPESVAIGMLLVTKGHLQIYLAIKVIGCGGLSTSTGIVMVLGTFLTALPASRIVASIIRRAKKRAPTHRLALQMLDPSSELRILLCVQGPQNTPASINLVEITKGTAETGIVVYVTDMIELTAEISDSLERDEALHTATVKDRAVMERRDQVTNSFQAYVADNDDGITLKRTMTLSTITNMPQDIFILAEDLMIALIILPFHRSQRDDKRLDSGNPGFRYVNRKVLRSAPCSVGILVDRGLGSVEGITKCEATVNVAVIFIGGKDDREALAYASRVARHSQVKLTVLRFLVDSSVESAALYRIISPEQEKEMKLDDECFTHFYERNVIGGRISYMEKHFANAAETFSTLRSFEGQYSLVIVGREGGTNSVLTRGMNDWQQCPELGPIGDVLSGTDFSMTVSVLIIQQHRLRGEIDGLDEDFSII >Vigun01g026000.1.v1.2 pep primary_assembly:ASM411807v1:1:3020334:3023865:-1 gene:Vigun01g026000.v1.2 transcript:Vigun01g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNIGFVRRLFEKFNLTFGFIIDFGMTCYMFTLGIEMDPYVLFAKPNRHTKTAVAGIICSFVLAGLATPMFKFFPDENKLLEFTLAFSTMISSTDSPVLTRLITQLKIGKSDIGKLIIGAGMHTDFVCCLMLSIGFIAVPMPAYCQNLLAKFEIKRTVNVAFAVVVQVGFAAMVSPMLMKWVSNENPEGRPMKGPHLVLSIAFMVLMCASTSMYNYNPILSAFLVGLCVPREGRVSRWFITRINYMLTTIFFPIFFLWMGYESNFRQFELHHPATWVRLSVLLVVAVAGKVVGVVIYGAMSGVHWPESVAIGMLLVTKGHLQIYLAIKVIGCGGLSTSTGIVMVLGTFLTALPASRIVASIIRRAKKRAPTHRLALQMLDPSSELRILLCVQGPQNTPASINLVEITKGTAETGIVVYVTDMIELTAEISDSLERDEALHTATVKDRAVMERRDQVTNSFQAYVADNDDGITLKRTMTLSTITNMPQDIFILAEDLMIALIILPFHRSQRDDKRLDSGNPGFRYVNRKVLRSAPCSVGILVDRGLGSVEGITKCEATVNVAVIFIGGKDDREALAYASRVARHSQVKLTVLRFLVDSSVESAALYRIISPEQEKEMKLDDECFTHFYERNVIGGRISYMEKHFANAAETFSTLRSFEGQYSLVIVGREGGTNSVLTRGMNDWQQCPELGPIGDVLSGTDFSMTVSVLIIQQHRLRGEIDGLDEDFSII >Vigun01g026000.3.v1.2 pep primary_assembly:ASM411807v1:1:3020424:3023865:-1 gene:Vigun01g026000.v1.2 transcript:Vigun01g026000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNIGFVRRLFEKFNLTFGFIIDFGMTCYMFTLGIEMDPYVLFAKPNRHTKTAVAGIICSFVLAGLATPMFKFFPDENKLLEFTLAFSTMISSTDSPVLTRLITQLKIGKSDIGKLIIGAGMHTDFVCCLMLSIGFIAVPMPAYCQNLLAKFEIKRTVNVAFAVVVQVGFAAMVSPMLMKWVSNENPEGRPMKGPHLVLSIAFMVLMCASTSMYNYNPILSAFLVGLCVPREGRVSRWFITRINYMLTTIFFPIFFLWMGYESNFRQFELHHPATWVRLSVLLVVAVAGKVVGVVIYGAMSGVHWPESVAIGMLLVTKGHLQIYLAIKVIGCGGLSTSTGIVMVLGTFLTALPASRIVASIIRRAKKRAPTHRLALQMLDPSSELRILLCVQGPQNTPASINLVEITKGTAETGIVVYVTDMIELTAEISDSLERDEALHTATVKDRAVMERRDQVTNSFQAYVADNDDGITLKRTMTLSTITNMPQDIFILAEDLMIALIILPFHRSQRDDKRLDSGNPGFRYVNRKVLRSAPCSVGILVDRGLGSVEGITKCEATVNVAVIFIGGKDDREALAYASRVARHSQVKLTVLRFLVDSSVESAALYRIISPEQEKEMKLDDECFTHFYERNVIGGRISYMEKHFANAAETFSTLRSFEGQYSLVIVGREGGTNSVLTRGMNDWQQCPELGPIGDVLSGTDFSMTVSVLIIQQHRLRGEIDGLDEDFSII >Vigun04g125500.1.v1.2 pep primary_assembly:ASM411807v1:4:31770772:31776113:1 gene:Vigun04g125500.v1.2 transcript:Vigun04g125500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTIELLKGGANHEEITEVLAAVAADLGDVIDDLNSLQVKPLKGAMTNEVFEVNWPTKSDVHQRKVLVRLYGEGVEVFFNRVDEIQTFECMSKHGQGPRLLGRFTTGRVEEFIHAKTLSAADLRDPEISALVASKMREFHHLHMPGTKKAQLWQRLRKWLSHAKSLCSQKDIKNFSLDHLDAEINLLVELLSQGHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCEMVANYHSDQPHVLDYTKYPSLEERQRFVYNYLSSEGKEPSSSEMEQLLNLAEKYTLANHLFWGLWGLISSYVNKIDFDYKEYARQRFEQYWLKKATLLDSPTVTSEDGMIKGSHH >Vigun01g195000.1.v1.2 pep primary_assembly:ASM411807v1:1:37227853:37232795:1 gene:Vigun01g195000.v1.2 transcript:Vigun01g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTVEFRKHRDAVKSVRAPLSSSAAASASSTGPVIEMVSLLPSNRSSYAPLSTEDPSTSRDAVTVGLPPSWVDDSEEIATAIQRARVKISELTKAHSKALLPSFGDGKEDQRLIETLTQEITSLLRKSEVRLKRLSAASGSSEDSNVRKNVQRSLAIDLQNLSMDLRRKQSTYLKRLQQQKEGFDGVDLEMNFNGSQFGSHDDEFSDVGFSEEQMTKLKKSEQFSVEREREIEQVVKSVHELAQIMKDLSVLVIDQGTIVDRIDYNIQSVSTSVEEGLKQLQKAERTQKKGGMIMCATSLVIMCFIMLVLLILKEILF >Vigun07g009100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:783963:785999:1 gene:Vigun07g009100.v1.2 transcript:Vigun07g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSQRHLLHIHTQADSPCTVPPNHDIPHHSGSNLPLLAILVVGMLFTTFFLIGYYMLVIKCCFNWPHVNHTRLFSLTRQGEEDPSAGFSAASEPRGLEEAVIRLIPVIHYKGDTNFGERSNIDDCAVCLSEFQQDEKLRVIPNCSHVFHIDCIDVWLQNNTHCPLCRTIVSLTTQLNLLSPRPSTQDQSQNIENHVGDEGFVVIDLDGEGDRGQNLQRIRQELPTIISVSSAKKLHKVSSLGDECIGIRAKGESFSSVQAMRRSFSMDSSVDGKFYGAIQQVLQQHQQHEHEHEHELFHEINTVEACDGSGRVKRSFFSFGHGSRSRSAVQPLYLDP >Vigun08g063900.1.v1.2 pep primary_assembly:ASM411807v1:8:8914480:8924208:1 gene:Vigun08g063900.v1.2 transcript:Vigun08g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNENVDLERIPIEEVFEQLKCSRAGLTSDEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDPLSVDQSALTGESLPVTKSSSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIAIELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVEKEYVILLAARASRTENQDAIDAAIVGMLADPKEARSGIREVHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQIITLCNCKEDVRKKVHAVIDKFAERGLRSLGVARQEVPEKSKDAAGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDASISALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYMALMTVIFFWAMKDTNFFSNKFGVRPLRNSPDEMMAALYLQVSIISQALIFVTRSRSWSFVERPGLLLLGAFLIAQLVATFIAVYANWGFARIQGMGWGWAGVIWLYSLVTYIPLDFLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Vigun10g183900.3.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40077286:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYD >Vigun10g183900.1.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40077286:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYGETDLNRINMYGNNNRHDSAMGAASCSESSVCFSKQRLQTSKNEERAQEKKKKKGSSHPRKKEDFNSENMNKKVHALAQKMKAMDMVDAGDLERVLDIEEALHYYSRLKSPVYVDIVDNFFMSIQSELSASQPCASSIKPSKERLGPIQFR >Vigun10g183900.6.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40077286:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYVP >Vigun10g183900.7.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40077286:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYVP >Vigun10g183900.5.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40073975:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYGEVHKSLHQFFKLKKTCCQ >Vigun10g183900.2.v1.2 pep primary_assembly:ASM411807v1:10:40068648:40077286:1 gene:Vigun10g183900.v1.2 transcript:Vigun10g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEGAPKFPGFPFEPYSIQTDFMNSLYQSLNQGGVSMLESPTGTGKTMSVICSALQWVLDKRLQQEAVCDENPGNGGGGGVGSDDEPDWMRDFVVNKVENNKKEEKYGSVWGKPHNSKKRTEIRKDFRVMGAGEEKGCESPQKKIDPVDFLDKDFLLEEYESEDERGPGSMMSKRKTVNTSFSSSTEDESSEEEEEEKKLKVYFCSRTHSQLSQFIKELRRTVFAKEMKVVSLGSRKNLCINEEVVALGNSTRINERCLELQKKKKNDATKVKNLKVGSGIRRTKATSGCPMLRKHRVQQDFRNEVFQQRPLDIEDLANLGRTMGTCPYYGSRSMVRRADLVVLPYQSLLSKSSRDALGLNLKSNIVIIDEAHNLADSLINMYDSKITLSQLETVHNHLERYFLRFRSLLGPTNRRYIQTVMVLTQAFLRVLLDDKDGNLIDSCCDVDQASEKSSSDFTMAINDFLFEQNIDNINLVKLLKYIKESNVMHKVSGYGEKFAAMATQHKIEQHAEEGSCVSAFQALANMLLSLTNNDGDGRIIISRFRLTSLKKRGGYLKYVMLSGEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNQLHFFSCGHIVPPDSIMPIALARGPTGRTFDFSFGSRSSPDMMRELGLLLCNLVTVVPEGIVVFFPSFDYENKVYEHWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTLSGMNSEVNQASYSGAILLAVVGAKLSEGINLSDGMGRCVVMVGLPYASPSDIELLERIKHIDRFQNLKLENSSVSTSYDLYSGHAEGGFAILRSCTHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYTSDSSKRSLAHPVTKLPQWIKDRLVSSVSYD >Vigun03g005400.1.v1.2 pep primary_assembly:ASM411807v1:3:369429:372189:-1 gene:Vigun03g005400.v1.2 transcript:Vigun03g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKQETNHIHIHSFHTLLSPFSSPFSVLELRLDPLKLTMTLTLPPPKSPFFKTLFFFLALFPSYLALPDPDAAASASLQPIIPHPSSTSSSTGTIPAFPEQADVEGCPLNLSDEHYEGIKSACGSNKNAADKDLHRSRCCPVLAAWLYSAYSVTALGGGMQHQRASQGHATSYDMPLLPDDSETCVSDLGKALKVRGIHLVQPNETCDVVYCYCGIRLHPLTCTESFSITPSGSLVVDGSVRRLERDCLSSSTNVNKFPGLGGCSKCLHSLYSLRKKSSNSSKPQDRTTKIHNKDCELMGITWLLAKNRTAYIHTVSGVLRALMLSNEGSDPQSCTLNSDGMPLAVDSSEMSDQSPSSYLQAPIFLSLLLLGVHYFTASST >Vigun10g066433.1.v1.2 pep primary_assembly:ASM411807v1:10:15286244:15291994:-1 gene:Vigun10g066433.v1.2 transcript:Vigun10g066433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDYNFKNRPPSVPLEQWKILIKFWKSDIAKVRCEKNKTSRAKFTSVHTTGTKTFAEIRYEEMMKNIDGREPSRAEMFIMTHKPKNEETKEIISKLEDAISSHSIELEKNSTRDDVFFQVFGKDRHGYVRTYGKGVSPSDLWGSNSRVGIQKLIDEVQRNAQVELQSMKNRMQEEMEIKLKEQVKEQVETKLKEQLEAMKVELLKNFKIAFTQIPSYAPEVMVSNLNKEEVDIEDDPTLKMMDNDDNISHKVIEDPTSELVEKNAHALIFPFTHTSPRRKKEKVQKTNTKLTKRKLEDLNVEIVTLDKDLSILKHPSNAFELFLQEFANNYKETKVDLDNFERVKKKAVKAWNSLTNKELESYFNAATKLKRKLHLSTLKESVVEGKEIGKRERRPKIHFDGTDLKKLKQEKSHKFAK >Vigun03g198100.1.v1.2 pep primary_assembly:ASM411807v1:3:28353460:28356038:1 gene:Vigun03g198100.v1.2 transcript:Vigun03g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAQHMNLFPAQLLTAREMAKPNRAFYNAQIEGAVALPSTVLPFHHATLCDPNSINKSDSGLTYHIPLPRKRSRDSVTESNVAPPASNKIKLSSFDQDLVFHFQNQQSEIDRFIAQHTQSVWMELEEQRVRQSRMLVKAIQEAVAKKLKEKDEEIQRLGKLNWVLQERVKSLSVENQIWRELAQNNEATANSLRNNLEEVLAHVSEENRNDGGVAPAESSCGSNNRGTEEVEENEVCGNRKQNDGVVGRRMCNECGVRESIVLLLPCRHLCLCTMCGSTVHNCPLCHSGINASVHVNYS >Vigun03g217600.1.v1.2 pep primary_assembly:ASM411807v1:3:36239536:36247365:1 gene:Vigun03g217600.v1.2 transcript:Vigun03g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKSMENNGESHSRSSSFRMGFGLGVNEAKVHTVLQLGTVETHTSLLIDADADGDVGEEREKHQKPLTNSLSPRQMKSLVALCDTIIPSIDNNLVRFSDESVANFYNTSASMAGTPHHLGIVISEKLKHPNTWQLLLTLWLLSTWFGTFILCGMASVSWRFPFFHSYPEMSLLKRQKVMQSWSLSYLRPLKMFFRTIKLLTLLLFFTQVDEAEDNPSWKAIGYCGPDPELKAQLKNHFLHRTLKEEEHEKKDNDDDDDEEFRGPLHKGLVHLNYPIDIIKNSLRRFGFSVAATSRKNKASNMSSPSLVIQCDAVVVGSGSGGGVIAGVLANAGYKVLVLEKGSYCARNNLSLLEGPSMDQMYLSNGLVATKDMSVLILAGSTVGGGSAVNWSASIRTPQHVCKEWCDRNELELFESKLYKEAMDAVCEKMGVQSEIEEEGFNNEVLRKGCLEMGYHVCNIPRNASSDHYCGWCCMGCKNGKKKSTSETWLVDLVKSGNGAIIPSCEAMQVLHKKKKGSERKVARGVAFAVEYKGKKDICVVESKVTIVACGALSTPALLKKSGLKNENIGKNLHLHPVAMAWGHFPDSSSSPKMWPEKHKKSYEGGIMTAMSTVVAQFDKTGYGAVIQTPSLHPGMFSILMPWISGKDMKDRMHKFSRTAHVFALARDQGSGTVNSPSSINYELKDVDKENLAKGIEKVLRILAAAGAEEIGTHNNKGRSINVKEVSYHEFEKFVKEESSVSLADLTTPLCSAHQMGSCKMGSNPSDSVVNQMGETWEVEGLYLADSSVFPTALGVNPMVTVQAIAYCTAQAVVEVLRRKRK >Vigun06g119600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24769309:24771495:1 gene:Vigun06g119600.v1.2 transcript:Vigun06g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYMPRVFTSQRHWYSSTVTSLDSATEHVNSSILYSYENALHGFSAALSPQQLQSLKETPGFISAYRDRAATLDTTASYTFLSLNVSHGLWPASNYGQNVVVGVVDSGIWPESDSFKDDGMVTQTPPKWKGKCQGGQRFDPSLCNSKLIGARYFNKGLLAAHDGDDDDTKIGSNSVRDSMGHGTHTASTVAGNYVRGASYFGYAKGTARGIAPRAKIAMYKVSWAQGAFASDMLAGLDQAIADGVDVISISMGLDMVPLYEDPVAIAAFSALEKGIVVSASAGNAGPSLGSIHNGIPWVLTVGASNTERSFGGTLILGNGKRFSGWTLFPASATVNNLPLVYHNNASACDSSTLLSQVARGSVVICDSVMDVNQQIQQVSLSAVYGAVFISSDPTLFETGKMTFPGLVISPHHGKNVIEYARATQPASATIKFQETFVGTKRAPTVASYSSRGPSWECPWVLKPDIMAPGSSILAAWVPDLPAAQIGPNVVLNNEYNLLSGTSMACPHASGVVALLRSAHPEWSASAVRSALITTASPLDNSGKPIEENGELSPRASPLAMGAGLIDPNRALDPGLVYDTTPQDYVNLLCAMNFTQAQILVITRSKAYNCSSPSYDVNYPSFVAFSADQSVTVERKFRRSVTYVGDGPAAYTARVSSSNGTQISVSPNRLIFKAKYEKRKFSLTLKSEMKKENAVAFGSLEWVEETGTHVVRSPLVVVPTNFVFNP >Vigun10g071300.2.v1.2 pep primary_assembly:ASM411807v1:10:17540211:17541923:-1 gene:Vigun10g071300.v1.2 transcript:Vigun10g071300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTSHYFRVFTFCILFLKTLAFDPIPLFSYAGFGKDLKFKPNVALFGNAKVVNDGSGIHFSASGSSDTGRVMYKKPIKLFQVVAKISNNLALTSGEKLHAWIDYEASSRRLEVRFSQHGKSRPSVPLLWHSIDLSNVLKENEMFAGFSSVKGNDSQACFLYSWSFVLRSFPHSIHSEPLDPKVFVKSTESPVVKQRSDCFLRVLAAMIFGTGCGALTAFIVLYLWTIFGNKRAVVPEETVMQPVDVEYRKVKIVVDKTIQDSKN >Vigun10g071300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:17540211:17541923:-1 gene:Vigun10g071300.v1.2 transcript:Vigun10g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTSHYFRVFTFCILFLKTLAFDPIPLFSYAGFGKDLKFKPNVALFGNAKVVNDGSGIHFSASGSSDTGRVMYKKPIKLFQGKPRQLVSISTYFAFSISLDMGGGLAFVMVPKGSVGDVFYQSSSGLNDRNFEVIAVEFSASGGGRNGVSTGCNMTIIVGGSVVAKISNNLALTSGEKLHAWIDYEASSRRLEVRFSQHGKSRPSVPLLWHSIDLSNVLKENEMFAGFSSVKGNDSQACFLYSWSFVLRSFPHSIHSEPLDPKVFVKSTESPVVKQRSDCFLRVLAAMIFGTGCGALTAFIVLYLWTIFGNKRAVVPEETVMQPVDVEYRKVKIVVDKTIQDSKN >Vigun02g189500.1.v1.2 pep primary_assembly:ASM411807v1:2:32739047:32742714:-1 gene:Vigun02g189500.v1.2 transcript:Vigun02g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEPFPSPKILLAKPGIVTGAPVSGKFGRGGAGDDDSVQLRSRLPSVASLNLLSDSWDFHIDRFLPFLTENTDFTVIGVIGPPGVGKSTIMNELYGFDSSSPGMLPPFAIQSEETRAMARHCSTGVEPRISTERIILLDTQPVFSASVLAEMMRPDGSSTISVLGGETMPAELAHELMGIQLAVLLASICHIVLVVSEGVRDDSMWQLMLTVDLLKHGISDPSLMASSLSQSSSSGLEKDRHLEHEEYVATPVFVHTKLQDQDFTPNNFVQLKKALMQYFRPTSFVRQHIGNKPEEHALSSTVRGSQMKSNLIKLYAIPLKKKDENPRAQHESYVSALWKLRDQILSMKSPSFTRPVSEREWLKNSAKIWEQVKNSPTVLEYCRTLQHSGMYRR >Vigun02g189500.2.v1.2 pep primary_assembly:ASM411807v1:2:32739047:32742714:-1 gene:Vigun02g189500.v1.2 transcript:Vigun02g189500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMVLIQVPLSEETRAMARHCSTGVEPRISTERIILLDTQPVFSASVLAEMMRPDGSSTISVLGGETMPAELAHELMGIQLAVLLASICHIVLVVSEGVRDDSMWQLMLTVDLLKHGISDPSLMASSLSQSSSSGLEKDRHLEHEEYVATPVFVHTKLQDQDFTPNNFVQLKKALMQYFRPTSFVRQHIGNKPEEHALSSTVRGSQMKSNLIKLYAIPLKKKDENPRAQHESYVSALWKLRDQILSMKSPSFTRPVSEREWLKNSAKIWEQVKNSPTVLEYCRTLQHSGMYRR >Vigun03g438700.1.v1.2 pep primary_assembly:ASM411807v1:3:64208407:64212970:-1 gene:Vigun03g438700.v1.2 transcript:Vigun03g438700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTTTMDSEKAENGDSPPDRCPVEEVALVVPETDDPSIPVMTFRAWFLGLASCVLLIFLNTFFTFRTQPLTISAILMQIAVLPVGRFMASTLPTKEYNFLGWRFTLNPGPFNMKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLSFLCALFIVLTTQMLGYGWAGILRRYLVDPVEMWWPANLAQVSLFRALHEKEEKSKGFTRMQFFLIAMGASFLYYALPGYLFTVLTFFSWVCWAWPNSITAQQVGSGYHGLGIGAFTVDWAGISAYHGSPLVSPWSSIVNVGVGFIMFIYIIVPVCYWKFNTFDARKFPIFSNQLFTTTGHKYDTTKILTKEYDLNIDAYNKYGKLYLSPLFALSIGSGFARFTATLTHVALFYGRDIWRQSKSAMSGAKLDVHGRLMQAYKRVPEWWFLSILFGSMALSLLMAFVWKTDVQLPWWGMLLAFGLAFVVTLPIGVIQATTNQQPGYDIIAQFFIGYILPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGVVNLAVAWWMLDSIKDICLDDKLHHDSPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFLIGAVLPVPIWVLSKIYPDKKWIPLINIPVISYGFAGMPPATPANIASWLLTGMIFNYFVFRYNKRWWQKYNYVLSAALDAGTAFMGVLIFFALQNAGHNLKWWGSEMDHCPLATCPTAPGIVVDGCPVF >Vigun04g106200.1.v1.2 pep primary_assembly:ASM411807v1:4:25533730:25535003:1 gene:Vigun04g106200.v1.2 transcript:Vigun04g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >Vigun03g334000.1.v1.2 pep primary_assembly:ASM411807v1:3:53189544:53193209:1 gene:Vigun03g334000.v1.2 transcript:Vigun03g334000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARTFSANKREYNRRVREIVEQSWTAD >Vigun03g159700.3.v1.2 pep primary_assembly:ASM411807v1:3:17606506:17611334:1 gene:Vigun03g159700.v1.2 transcript:Vigun03g159700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQKIFAYETLAAVTKNFSNIHKLGEGGFGPVYKGKLNDGREIAVKKLSQSSNQGKKEFMNEAKLLARVQHRNVVNLVGYCVHGNEKLLVYEYVAHESLDKFLFKSQKREKLDWKRRLGIITGVAKGLLYLHEDSHNCIIHRDIKASNILLDDKWTPKIADFGMARLFPEDQTQVNTRVAGTNGYMAPEYVMHGNLSVKADVFSYGVLVLELITGHRNSSFNLDVDAQNMLDWAYKMYKKGKSLEIVDPTLAGTMVDEEVAMCIQMGLLCTQGDPQLRPTMRRVVVMLSRKPGQMQEPSRPGVPGSRYRRPRRHSALSSTLGTSGASDSHTFDSSNYTTVTNSATGTSSATVETDPKGKRPMREG >Vigun03g159700.1.v1.2 pep primary_assembly:ASM411807v1:3:17606506:17611334:1 gene:Vigun03g159700.v1.2 transcript:Vigun03g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKDKSHTRCFFHSFVKHFKFGSSKEGNDEADIQRMAAQEQKIFAYETLAAVTKNFSNIHKLGEGGFGPVYKGKLNDGREIAVKKLSQSSNQGKKEFMNEAKLLARVQHRNVVNLVGYCVHGNEKLLVYEYVAHESLDKFLFKSQKREKLDWKRRLGIITGVAKGLLYLHEDSHNCIIHRDIKASNILLDDKWTPKIADFGMARLFPEDQTQVNTRVAGTNGYMAPEYVMHGNLSVKADVFSYGVLVLELITGHRNSSFNLDVDAQNMLDWAYKMYKKGKSLEIVDPTLAGTMVDEEVAMCIQMGLLCTQGDPQLRPTMRRVVVMLSRKPGQMQEPSRPGVPGSRYRRPRRHSALSSTLGTSGASDSHTFDSSNYTTVTNSATGTSSATVETDPKGKRPMREG >Vigun03g159700.2.v1.2 pep primary_assembly:ASM411807v1:3:17606506:17611334:1 gene:Vigun03g159700.v1.2 transcript:Vigun03g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQKIFAYETLAAVTKNFSNIHKLGEGGFGPVYKGKLNDGREIAVKKLSQSSNQGKKEFMNEAKLLARVQHRNVVNLVGYCVHGNEKLLVYEYVAHESLDKFLFKSQKREKLDWKRRLGIITGVAKGLLYLHEDSHNCIIHRDIKASNILLDDKWTPKIADFGMARLFPEDQTQVNTRVAGTNGYMAPEYVMHGNLSVKADVFSYGVLVLELITGHRNSSFNLDVDAQNMLDWAYKMYKKGKSLEIVDPTLAGTMVDEEVAMCIQMGLLCTQGDPQLRPTMRRVVVMLSRKPGQMQEPSRPGVPGSRYRRPRRHSALSSTLGTSGASDSHTFDSSNYTTVTNSATGTSSATVETDPKGKRPMREG >Vigun10g125200.1.v1.2 pep primary_assembly:ASM411807v1:10:33356232:33358547:1 gene:Vigun10g125200.v1.2 transcript:Vigun10g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKERYAVVTGANKGIGLEIVKQLASAGIKVVLTARNEERGLHAMEKIKASGLSHLVMFHLLDVADATSVASLADFIKSKFGKLDILVNNAGIIGAVTKDIELSRSVLLKPGAATKEEGTKAMTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPRIVNVSSSVGQLENIPEESWARGVLSDADNLTEEKVDEIVKKFLSDFKEGSLDSKGWPRYKGAYIMSKAAINGYTRILAKKNPSFCINSVCPGYVKTDLTFNTGYLTVEEGAASPVRLALLPNGSPSGLFYHRADVASF >Vigun07g207400.1.v1.2 pep primary_assembly:ASM411807v1:7:32886084:32889660:1 gene:Vigun07g207400.v1.2 transcript:Vigun07g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNVTATRDEEKGEDDDSPSAKKPKLERFPLNTWEFAAAVAVFFVFSTGLFCIYLTMPPAAYTSLKLPRTLSDLRLLKEDLSTYASNNPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGILLVVFNATAGASSCFFLSKLIGRPLVTWLWPDKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHVFFLATLVGLVPAAYITVRAGLALGDLKSIKDLYDFKTLSVLFLIGFVSIVPTLLKRKRVYE >Vigun06g231650.1.v1.2 pep primary_assembly:ASM411807v1:6:33811493:33812907:1 gene:Vigun06g231650.v1.2 transcript:Vigun06g231650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHSPLLFIFAVISSAPETCGSSKTSTIVNYCKETIWPEITGSDNFSGDALNPGQSKVLTGCNFDKNGNGNCETGACGTNINCTGPGTPPVTIADFTFGEPDFYYVSLVDGFNLPVTVKAVNGTGNCSTLLALASKQGDKVIACCSACDVFNTDEYCCRGTYGNPATCLSSNYSTMFKQVCPAAYSFAHDDPSIITCSGADFVITFCGFRNQTLCSYHGKTVACNESNTCKVKPRTWLALILPLVYMHTSWITW >Vigun10g098825.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28966343:28966735:-1 gene:Vigun10g098825.v1.2 transcript:Vigun10g098825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPETIETLTPATSGIDPLPPCMATSSTEHSKGRSDVWGHFTKQDPYSEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRKKLSSSTTEGVSVGPSPTISKFDQNASRMKLVKLVNPKP >Vigun10g130200.1.v1.2 pep primary_assembly:ASM411807v1:10:33854783:33857764:-1 gene:Vigun10g130200.v1.2 transcript:Vigun10g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQITSATIAGDNVLAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKDKKELDADVHRKYVFGGHVAAYMKTLNEDEPEKYQSHFSAFIKRGIEPDGLEDLYKKVHAAIRADPTFKQSEKQAPKEHKRYNLKKLTYEERKAKLVARLQALNSAAGDDDESDDE >Vigun05g261100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45398959:45399810:1 gene:Vigun05g261100.v1.2 transcript:Vigun05g261100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMECQSLMRRRRGEGCTTRLQKHAPASLDIDKVYCDRPSNPFGEVSKAIPLLSPLTFSHQSIYEDITAHVGTPENSEKNNGSGGMNENRTLCTGWEHPAMASFPHASSSSFCSFFQKQCVFVNHA >Vigun01g222500.1.v1.2 pep primary_assembly:ASM411807v1:1:39589393:39592112:1 gene:Vigun01g222500.v1.2 transcript:Vigun01g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKKKVAAGSSSSSLTNFDHLFGPKDPSTTSSSSTSFFGSIFPPPPTVGGRDSTKQEMGGKNYGAPGNYGYKGENSGVSNKNASSNYQNEAVEPSSYYSSSIFYGGQENYSPRTRTTESHHIFKKDKEDDDPNGNDSNSASRGNWWQGSLYY >VigunL059071.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000042.1:1574:3025:1 gene:VigunL059071.v1.2 transcript:VigunL059071.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKSHISYIRKRKDPLGSRFILDNESDWTNINPFFFIDPREKVQQSLSQNHGTIHMLLNRNEKCRSLIILSSSNCFQIRSFHDGKYYNGIKEEINPIQRDPLIPIQNSLGPLGIALQVAHFYFYLLITNNQISINKNGQLDKLKETFQVFKYYLIDENEIIYKSDLSSNILLNPFYLNWHFFHHNYCEKKTFPIISLGQFICENVCIVQTKNEPHLKSGQILTVQMDSVGIRSANPYLATPGTTVHGHYGEILSEGDILVTFIYQKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVDTWNGRITRILGIPLGILH >Vigun06g108100.4.v1.2 pep primary_assembly:ASM411807v1:6:23726770:23731370:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLDLLQAQESGSQSLRLDQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun06g108100.5.v1.2 pep primary_assembly:ASM411807v1:6:23726771:23731304:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISRDVSKGIISEVKEEKGLSGQSKRKVDGVSGSKSEIVVEIQNGEEKEKGGGEEVQRPRGERRRSKANPRLSNLPKHLRGEQVAAGWPPWLTAVCGEALSGWIPRKADTFEKIDKIGQGTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLQGLVTSRMSCSLYLVFDYMDHDLAGLAASPGIRFTEPQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun06g108100.6.v1.2 pep primary_assembly:ASM411807v1:6:23726771:23731304:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLDLLQAQESGSQSLRLDQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun06g108100.7.v1.2 pep primary_assembly:ASM411807v1:6:23726771:23731241:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLDLLQAQESGSQSLRLDQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun06g108100.3.v1.2 pep primary_assembly:ASM411807v1:6:23726771:23731241:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLQGLVTSRMSCSLYLVFDYMDHDLAGLAASPGIRFTEPQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun06g108100.1.v1.2 pep primary_assembly:ASM411807v1:6:23726770:23731370:-1 gene:Vigun06g108100.v1.2 transcript:Vigun06g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISRDVSKGIISEVKEEKGLSGQSKRKVDGVSGSKSEIVVEIQNGEEKEKGGGEEVQRPRGERRRSKANPRLSNLPKHLRGEQVAAGWPPWLTAVCGEALSGWIPRKADTFEKIDKIGQGTYSNVYKAKDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLQGLVTSRMSCSLYLVFDYMDHDLAGLAASPGIRFTEPQVKCYMHQLLSGLEHCHSRHVLHRDIKGSNLLIDNEGTLKIADFGLASIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILGELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIRETFKDFPSSALPLIDTLLAIDPVERETASDALRSEFFTTQPYACDPSSLPKYPPSKEMDAKRRDDEMRRLRAAGKAQVDGPKKHRTRDRGTKAFPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTVPTDVSFTSTSYTYSKEPFQAWSGPIGNAADIGVPKRKKHTAGDALDLSKPQKDSLKDKIKGKKIIA >Vigun01g011100.1.v1.2 pep primary_assembly:ASM411807v1:1:1251559:1256602:1 gene:Vigun01g011100.v1.2 transcript:Vigun01g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDTPTANGNGKSPPFDGAETALESNTSKRRRSSVLPLEVGTRVMCRWRDGKYHPVKVIERRKVHNADYEYYVHYTEFNRRLDEWVKLEQLDLDSVEAVVDEKVEEKGATGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQS >Vigun09g076250.2.v1.2 pep primary_assembly:ASM411807v1:9:8716450:8722935:-1 gene:Vigun09g076250.v1.2 transcript:Vigun09g076250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKQVGCLHVLEILEGVADIDLAINLKLQEDVQHDKCLDRRIWNKCGW >Vigun09g076250.1.v1.2 pep primary_assembly:ASM411807v1:9:8716450:8725774:-1 gene:Vigun09g076250.v1.2 transcript:Vigun09g076250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKQVGCLHVLEILEGVADIDLAINLKLQEDVQHDKCLDRRIWNKCGW >Vigun03g009200.1.v1.2 pep primary_assembly:ASM411807v1:3:642972:645449:-1 gene:Vigun03g009200.v1.2 transcript:Vigun03g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENLSPKERSRDWVGVLMNSTFGYCDYHHDLRSNEKNVFCVDCALRMCRHCKEAHSLHRRFQIYKYSYQDVFRHAELQKYFDCSKIQTYISNNERIVHLKPRPSINNKSKSDLSPESKSKEPSIRPKTGGKCEECGKHLQDERNRFCSITCKIAVLPVETENQSGRSMLTPKSEGIDFTMNDNHNSEPESSISEAEPYGWVEVVNFRKRPRKSTPQRPVFVFTS >Vigun03g055400.4.v1.2 pep primary_assembly:ASM411807v1:3:4525723:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.8.v1.2 pep primary_assembly:ASM411807v1:3:4525724:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.1.v1.2 pep primary_assembly:ASM411807v1:3:4525696:4529918:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.5.v1.2 pep primary_assembly:ASM411807v1:3:4525724:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKSRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.2.v1.2 pep primary_assembly:ASM411807v1:3:4525724:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKSRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.3.v1.2 pep primary_assembly:ASM411807v1:3:4525723:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.6.v1.2 pep primary_assembly:ASM411807v1:3:4525723:4529918:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGVSHRVMFIAHHEENGSKLSSSIPTVEESNDKENITKGEPIFMPKFKKRKRLSLNRLREIKADMCASTRESSSVMPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun03g055400.7.v1.2 pep primary_assembly:ASM411807v1:3:4525696:4529906:-1 gene:Vigun03g055400.v1.2 transcript:Vigun03g055400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETKKSENRDRWSTKRYTLAEQSMWEVLKGEGATFESPITRPALRMAVRKHIGDTGLLDHLLKHIDGRLAPGGTERFRRRFNTKGIMEYWSESANLDEIRQETGMQDPYRIQPSKLRAGSVPMQNMDSVDELKMLKIEMAQMKRDMQELIAKKKEKRERCLMEETQKSFVNWKAMTEKRVTEIFTFLKGVQGINEDMLIWKTKVEQQLMEIDNKLSDVRPLRELTTSNYLPVSWEDWLESTNLDIVHGNEFSPWFGNPELLDVPQEIVLQEPNSTLPIQLQSEEQTNMKSNLHDLVPKKLGEDQPNVIPDSSVAVNSKSDLDNSLILYKEMFLELFTWRDKMDQQLLEISNTVYGMLTTE >Vigun08g101200.1.v1.2 pep primary_assembly:ASM411807v1:8:25048181:25053976:-1 gene:Vigun08g101200.v1.2 transcript:Vigun08g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRLPSCSRCPQSVVVFMAFIFALFHQTCSAKHRTPCPSSSCGEFRDIKYPFRLKGDPRGCGLPNYELDCVNNRTVLTLFSGKYYVKEIDYSRYEIRLSDAGVVEDTSCSFPRHFLSTQNFSIFDTHPLTTSFVEEYLYEPPKVVFLNCSHRVIDDPRYVEVKSGGCDSGGGVIYAVLDRNEAGAPEFTVMDVKAGCRLKVATFTNWTHDRKVSYADILRSLEEGFWLSWLPLVCRDHCGNGVYCALNKTTQQIQCSFCQIFNQDTLNCGILSRIRGYTTGFFKSIIKGFVNRIKTVNRSLSVWNDAGEFIGQDIIPILIATRYLFGLSLLLVLFIYKWRRRHFSVYENIENFLLDNYINPIRYKYKEVKKMTKGFKVKLGEGGFGFVYKGKLQSGLDVAVKMLSKSKDNGQDFVNEVATIGRIHHVNVVRLIGYCVEGKRSGLIYEYMPNGSLDKYIFSKEGSIHLSYEKIYKISLGIAHGIAYLHRGCDVQILHFDIKPHNILLDNSFTPKVSDFGLAKLYPTKDGSIILTAIKGTLGYMAPELFYKNVGGVSYKADVYSFGMLLMEMASRRKNSDPRAEHSSQQYFPFWIHDQFKEEKDIDMEGASEMDKNLIRKMFIVALWCVQFKPCDRPTMSKVVEMLEGKVENLEIPPKPYFYPHEMLEHDGTINSNEISWSDSTTYNVNVDENMSNHSSLE >Vigun04g062600.1.v1.2 pep primary_assembly:ASM411807v1:4:6576873:6584352:-1 gene:Vigun04g062600.v1.2 transcript:Vigun04g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGHRFRALNKRRRHRFSQSHNAISFDKPRPLRHSPPFLFRIQILLLFLHRRPKIPSTLLLPRFLPFLSRTQASFSVHSNQFILLQDFNTSLNADAQAIDTIFREYVVNVNDAESLILTFTPSEPNSYAFINGIEVLSMPTDLYYTPLDDVGFTLVGHGTQFSVQSSTAMETVYRIKTGGQEISPQNDTGLFRDWAAEEGYFIKNNPRNDDLPADLDGKMNITVNPDYLAPKELFRTARSLGTNATLNKMSNLTWEFPVDAGFTYVLRLHFCELDPNITDIGDMQFYIYIASQLAEARSDVMKWSQKQKGLAVHRNYAVLIPENGGQKKFNLSLQMHPYESSVDTMYSDAILNGLEIFKISDPRTNNLAGPNPDPIQTPHQKRNSKSRRQIIGITAAVVSGVIFITFVLYFILLLTISKWRSALFFSTTKSTKRQIFSLPSNQCRRFSLVEIKAATKNFDNVLIVGDGGFGHVYKGYIDDVSVPVAIKRLRHGSQQGASEFVNEIQMLSQLRHRHLVSLIGYCCDNNEMILVYDFMGRGNLRDHLYGTDNPPSLVEAAVEDLHWRCARTTLSSQWREPHDHPP >Vigun09g212800.1.v1.2 pep primary_assembly:ASM411807v1:9:38704245:38709754:1 gene:Vigun09g212800.v1.2 transcript:Vigun09g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGAHFCNSCGEQVGVDANGEVFVACHECYFPICKACFEYEVNEGRKVCLRCATPYADRAKDNDDTKAYGNQSTMAAQINVSQDVGLHARHVSTVSTVDSELNDESGNPIWKNRVESWKEKDKKNKKKKSAPKTENEASVPQEQQMEEIQSSEAAAAEPLSTIVPIPKTRITPYRIVIVVRLIILGLFFHYRVTNPVDSAFGLWLTSIICEIWFAFSWVLDQFPKWSPINRDTFIDRLSARYERPGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETADFARKWIPFCKKFAIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKVRINALVAKAQKTPDEGWTMQDGTSWPGNNSRDHPGMIQVFLGHSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPVLGRELCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPSMPKLPKSSSCCCCPSKKQTKDVSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQMSFEKTFGLSTVFIESTLMESGGLPESADPSMLIKEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMQCRGWRSVYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFAGGRLRWLQRLAYINTIVYPFTSLPLIAYCTLPAICLLTGKFIIPTLSNLASALFLGLFLSIIITSVLELRWSGVTIEALWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAAEDTEFGELYIIKWTTLLIPPTTLIVINIVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTVVILWSVLLASVFSLVWVKINPFISRADSSSISQTCISIDC >Vigun06g092100.4.v1.2 pep primary_assembly:ASM411807v1:6:22416430:22423919:1 gene:Vigun06g092100.v1.2 transcript:Vigun06g092100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRQPSFPFSKPESQVPTEAKYFDAEGSNTKDSDDEDWESEAEESEVEESEDEESEAEESETEEESEVEESEAEGINSEESDAEDINSESDAEDSDEEPPRQDKRDYA >Vigun06g092100.1.v1.2 pep primary_assembly:ASM411807v1:6:22416430:22423919:1 gene:Vigun06g092100.v1.2 transcript:Vigun06g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRQPSFPFSKPESQVPTEESGLAYAKQLDKGSNTKDSDDEDWESEAEESEVEESEDEESEAEESETEEESEVEESEAEGINSEESDAEDINSESDAEDSDEEPPRNLTGINQSMHNLSMARQDKRDYA >Vigun06g092100.3.v1.2 pep primary_assembly:ASM411807v1:6:22416430:22423919:1 gene:Vigun06g092100.v1.2 transcript:Vigun06g092100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRQPSFPFSKPESQVPTEGSNTKDSDDEDWESEAEESEVEESEDEESEAEESETEEESEVEESEAEGINSEESDAEDINSESDAEDSDEEPPRNLTGINQSMHNLSMARQDKRDYA >Vigun06g092100.5.v1.2 pep primary_assembly:ASM411807v1:6:22416430:22423919:1 gene:Vigun06g092100.v1.2 transcript:Vigun06g092100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRQPSFPFSKPESQVPTEGSNTKDSDDEDWESEAEESEVEESEDEESEAEESETEEESEVEESEAEGINSEESDAEDINSESDAEDSDEEPPRQDKRDYA >Vigun06g092100.2.v1.2 pep primary_assembly:ASM411807v1:6:22416430:22423919:1 gene:Vigun06g092100.v1.2 transcript:Vigun06g092100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRQPSFPFSKPESQVPTEAKYFDAEGSNTKDSDDEDWESEAEESEVEESEDEESEAEESETEEESEVEESEAEGINSEESDAEDINSESDAEDSDEEPPRNLTGINQSMHNLSMARQDKRDYA >Vigun03g225300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37471013:37473810:1 gene:Vigun03g225300.v1.2 transcript:Vigun03g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYRSPPTMNLWTDDNSSVMEAFMSSSDFSSLWLPTPQSAASTTTPGADAARAPPPPPPSQSQSLLNQETLQQRLQTLIEGARESWTYAIFWQSSYDYSSGASLLGWGDGYYKGEEDKGKGKAPKETSSAEQDHRKKVLRELNSLISGPSASADDVDEEVSDTEWFFLVSMTQSFVNGSGLPGQAFFNSSPVWVAGGDRLSESACERARQGQVFGLQTLVCIPSANGVVELASTEVIFQNPDLMNKVRDLFNFSNPDAGSWPLNCVATDQGENDPSSLWLNPSSSIEIKDTSNAVAPASANATAKTMPFETPGSSTLTETPSAAAVAAAHVPNPKNQGFFPRELNFSNSLKPESGEILSFGESKKSSYNGSYFPAVAAEETNKKRRSPVSRSSIDDGMLSFTSGVILPASNVKSGAGGGGGAGGGDSENSDLEASVVKEADSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLSELESEKGELEKQLDSVKKELELSTKNPSPPSPPRGPSPSNKEAKETTTKLIDLEVEVKIIGWDAMIRIQCSKKNHPAARLMAALKDLDLDVHHASVSVVNDLMIQQATVNMGNRFYTQEQLLSALSSKIGHAL >Vigun06g239000.1.v1.2 pep primary_assembly:ASM411807v1:6:34368077:34376088:-1 gene:Vigun06g239000.v1.2 transcript:Vigun06g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPGATNDALYKELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMNQGLEQQMPSFNLPSKILCKVVNVHLRAEPETDEVYAQITLLPDADQSEVTSPNNPLPEPPRCSVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQGNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGDEVPERRFSGTIVGVGDNKSSVWTNSEWRSLKVQWDEPSSILRPDRVSPWELEPLVSTPPTNSQPSQRNKRSRPPILPSMADSSLQGVRKSPLDSAPFSYRDHQHGRDIYPSAKFNSTATGFLGFGGNCSASNKSIYWSNRTENSTESFSPVALKESGEKRHGTANGCRLFGIQLLDNCNGEESLPMVTLSGRVGDDGPLPSLDAESDQHSEPSNINRSDIPSVSCDAEKSCLRSPQESQSRQIRSCTKVHMQGMAVGRAVDLTRFDGYEDLLRKLEEMFDIKDELCGSTKKWQVVYTDNEDDMMMVGDDPWLEFCSIVRKIFIYTAEEVKKLSPKMGLPINEEVKPSKMDSEAVVNPEDQSSIVGSGC >Vigun09g141200.1.v1.2 pep primary_assembly:ASM411807v1:9:30312324:30313976:1 gene:Vigun09g141200.v1.2 transcript:Vigun09g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDTGVQGCILSVLILHDEEIAVTAEKIFALLKAANVSVESYWPSLFAKLAQNKNIEDLILNAGSGGGAAVAVAAPAAGGAGAAAAAPAAEEKKEEVKEESDDDMGFGLFD >Vigun01g066350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17693979:17694311:-1 gene:Vigun01g066350.v1.2 transcript:Vigun01g066350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLKYLSRNCTLAVIFCSKKQTFFQLWSFFSLFCTILYWLTFSQGFDFRVHDDSPETSFKTPFFELPIHTDIKKEAKIFNKDVNFINNIFRWGIRNHQFVLFKCGSYQK >VigunL074601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:159993:160891:1 gene:VigunL074601.v1.2 transcript:VigunL074601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVLSSDRCLLGCMALTLLGALLYSFMKGGSQRKIDHLIFQRSPAAQLEPPGLPIFRSCLRSFWYLSLPFLCDFWNGWGFSLSNWTRIKEGRPGL >Vigun03g081900.1.v1.2 pep primary_assembly:ASM411807v1:3:6815648:6823117:-1 gene:Vigun03g081900.v1.2 transcript:Vigun03g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSRPAVVIDNGSGYTKMGFAGNVEPCFIAPTVVAVNESFLNQSRGSSKGNWVAQHNAGVMADLDFFIGDDALSKSRSSSTYSLSYPIRHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPVAGKDVTLFVQQLMRERGEKVPPEDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPGKYIKHWRGIKPKTGAPYSCDIGYERFLGPEIFFNPEIYGSDFKTPLPVVIDKCIQSAPIDTRRSLYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLSSEARLNGEIRSQPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMY >Vigun07g173400.1.v1.2 pep primary_assembly:ASM411807v1:7:28857447:28861422:-1 gene:Vigun07g173400.v1.2 transcript:Vigun07g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSKQNYSTQMKTPQSKHRLNFHGPKSTPQVHPSPNPNCAANKEPPPEHPIEVIARIRDYPDRKDKPLSVLQTSSNSSSIRVRADFGYRDFTLDGVSLAEEEALDVFYKKFVESRINGVKLGDKCTIMMYGPTGSGKSHTMFGCSKQAGIVYRSLRDILGDGDNADGDSGGDSREEHLGLGTFVQVTVLEIYNEEIYDLLSTNGGGGGGGFGFGWPKGGSASKVKLEVMGKKAKNATYISGNEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMVILDVPTVGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTISTLEYGAKAKCIVRGPHTPVKDDESSSAVILGSRIAAMDEFILKLQMENKQREKERNEAHRKLLKKEEEIAALRNKLNLAEEKGTPPSEEEINLKVNERTRLLRQELEKKLAECQRMTNEFVELERKRMEERMLQQQEEVETLRRRLEEIELQLSCSRQGGNSEENESKDMESSGFMKRLLRVYKSEEDPGMVKSMDLDMDDQEPLGREVNIVGGVMCRTDYNVKQQDFSNLPCPNTLNGGKDDAHNFAPNFGQRVCLSTVYEEEGEGDEDKGGDEEVEKEVIEETKVCSVEKPSAAGSLATLNLSNTSPKKDDCCINVDDKDLGPSRLLRIQNIFTLCGNQRELSQHIGTPLPTKKITGENFEFSPSKTTDKDSVFKISNKENFEPQNVLGN >Vigun03g109600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9820928:9821894:1 gene:Vigun03g109600.v1.2 transcript:Vigun03g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAAVSRDCTSVRTVEETVKSFIGPIYEKFHLVPDELLRIADCSVSPVQHPPAPSRTTASDIAGAVYSKCKPVAMEAYDRFGAKVEHCAETARQRLFPPAPKTSCWTEKYNEKIVTAAKKSCRVPALVPPERIARMLSDKRVPQIPFHS >Vigun07g042300.1.v1.2 pep primary_assembly:ASM411807v1:7:4169897:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQFQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGFKGGSTQVRFQPRVGHLLAAASGSFVSLFDVETCMQMHTFQGHSAEVHFVCWDPNGDYLASVSEECVKVWSIASGECIHELNCNGEAFRSCVFHPIYSTLLVIGAYQSLELWNMVENKIMTIPAHECVISALAQSPVTGMIASASHDKSVKIWK >Vigun07g042300.3.v1.2 pep primary_assembly:ASM411807v1:7:4167720:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQFQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGFKGGSTQVRFQPRVGHLLAAASGSFVSLFDVETCMQMHTFQGHSAEVHFVCWDPNGDYLASVSEECVKVWSIASGECIHELNCNGEAFRSCVFHPIYSTLLVIGAYQKQQLPLPL >Vigun07g042300.8.v1.2 pep primary_assembly:ASM411807v1:7:4169897:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQFQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGRIYPGEVSAKGWSPSGCSKWEFCVSL >Vigun07g042300.2.v1.2 pep primary_assembly:ASM411807v1:7:4167720:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGFKGGSTQVRFQPRVGHLLAAASGSFVSLFDVETCMQMHTFQGHSAEVHFVCWDPNGDYLASVSEECVKVWSIASGECIHELNCNGEAFRSCVFHPIYSTLLVIGAYQKQQLPLPL >Vigun07g042300.7.v1.2 pep primary_assembly:ASM411807v1:7:4169897:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGRIYPGEVSAKGWSPSGCSKWEFCVSL >Vigun07g042300.6.v1.2 pep primary_assembly:ASM411807v1:7:4169897:4176231:-1 gene:Vigun07g042300.v1.2 transcript:Vigun07g042300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIKLNLPPISLLDLPESTLDFILKCLSPMDLCRMSKVCVLLKGKCESDEFWENHIKEKWGRVIGDAVYKEWEWHIAIAKDGVLLNNQHTNQTGSMGSFSGVWPNLCLGSYLEHFKLLNGKNSNNFMMSLYFSLETGSFWFPAQVYKELVIYNALVKYDSQSNTFQARYQNRDWGFLGRNIEWDMVRAPAVDTPPYVVHVSHCSHNLKPEDHVEIQWRPDTQSPYDWWYAVIGHLDSCNQNCCRCHYSDTLIVEFRQYPEESDSRRIKLCRKKNEEQSDQIEGYYGGIRKLENEDEIETWKRLFPLQIQDDMEDFDDVGSLEDSVESFHSHDDMEHFGDVDSLDDNVESFLSQDDGDGTNPSEHHTDASQGFTFGEVGSMRNSNSKVVCCHFSSDGKLLASAGNDKKVVVWNMETLQTESTAEEHSLTVTDVRFRPNSTQLATSSSDSTVRLWDAADPLFSLQVHIGHTSHVASLDFHPTKNDLFCSCDDINEIRFWNINQYSSIQGFKGGSTQVRFQPRVGHLLAAASGSFVSLFDVETCMQMHTFQGHSAEVHFVCWDPNGDYLASVSEECVKVWSIASGECIHELNCNGEAFRSCVFHPIYSTLLVIGAYQSLELWNMVENKIMTIPAHECVISALAQSPVTGMIASASHDKSVKIWK >Vigun02g142600.1.v1.2 pep primary_assembly:ASM411807v1:2:29120874:29123488:1 gene:Vigun02g142600.v1.2 transcript:Vigun02g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSETQPPKYDLITVLSIDGGGIRGIIPGVILDYLESQLQEIDGDKDARLADYFDIISGTSTGGLVTAMLAAPDPKANNRPLFSAKDIVPFYLKNSPKIFPQTGGIFASLVNVGKALMGPKYDGKYLHELIRKILGDTMLHQTLTNVVIPAFDVKKLQPTIFSSFQLETEAALDVALSDICIATSAAPTYLPAHYFTKEDGQGKVIKEFNLIDGGLAANNPTLVAIREVTKKIIRKPDATPINPLDYDRFLVLSLGTGSNMSEQKYNAKAVSKWGILTWLVNSGSTPIIDCFSEASIDMVDYHNVVVFSALQSEDNYLRIQDNTLKGDLASVDVATKENLDNLVRVGEELLKKTVTRVNLDTGHYDPLPDQGTNAEALKRFAKLLSDARKKKKSNSQDGN >Vigun05g018600.3.v1.2 pep primary_assembly:ASM411807v1:5:1511341:1516174:1 gene:Vigun05g018600.v1.2 transcript:Vigun05g018600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRSFLQVAATEEAAPPLRVVQIEGLVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSDQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDYDRLQLSTNSLMERNMEFLIECMDDLSLEQQKFQFYYRSLSRQQAQQQAWLQKRRAENMARKAAGEEPLPEEDPSNPVFKPLPEPSRLESFLITNQISNYCNQINGVSGQSFNRLYLMKALHED >Vigun05g018600.2.v1.2 pep primary_assembly:ASM411807v1:5:1511341:1516174:1 gene:Vigun05g018600.v1.2 transcript:Vigun05g018600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRSFLQVAATEEAAPPLRVVQIEGLVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSDQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDYDRLQLSTNSLMERNMEFLIECMDDLSLEQQKFQFYYRSLSRQQAQQQAWLQKRRAENMARKAAGEEPLPEEDPSNPVFKPLPEPSRLESFLITNQISNYCNQINGVSGQSFNRLYLMKALHED >Vigun07g037200.4.v1.2 pep primary_assembly:ASM411807v1:7:3573253:3582762:-1 gene:Vigun07g037200.v1.2 transcript:Vigun07g037200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVVNNGQKQNLSSFEAAMEKISSLITRQRRGEKPPIANKLEIMSLYLKILGLEEGINRLNIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGMDISEDKFLQYFWECWNQLEENTSEKLSMPPLFLFLTILAFKIFISEQVDAAIIEVGLGGKEDSTNVIKKPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELMVPLEVTEPLDCKQIKGLKLGLSGDHQFYNAALAVSLCRCWLQKTGNWEKKYQNQFQDSNLPDEFMRGLSTAHFSGRAQIVYASTSNSHCSEIVSKNCGELIFYLDGAHSPESMEACAKWFSNAVEIPSESSFEVQKADGSSENGHILHKGNTLGQYEKSFKRILLFNCLDVRNPHILLPRLVNTCASSGIHFSRALFVPNMSKYSKVVSGASVISSDLHGIDLSWQFNLQRIWEKITHGKASRGNNTQISMFRMK >Vigun07g037200.2.v1.2 pep primary_assembly:ASM411807v1:7:3572148:3582762:-1 gene:Vigun07g037200.v1.2 transcript:Vigun07g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVVNNGQKQNLSSFEAAMEKISSLITRQRRGEKPPIANKLEIMSLYLKILGLEEGINRLNIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGMDISEDKFLQYFWECWNQLEENTSEKLSMPPLFLFLTILAFKIFISEQVDAAIIEVGLGGKEDSTNVIKKPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELMVPLEVTEPLDCKQIKGLKLGLSGDHQFYNAALAVSLCRCWLQKTGNWEKKYQNQFQDSNLPDEFMRGLSTAHFSGRAQIVYASTSNSHCSEIVSKNCGELIFYLDGAHSPESMEACAKWFSNAVEIPSESSFEVQKADGSSENGHILHKGNTLGQYEKSFKRILLFNCLDVRNPHILLPRLVNTCASSGIHFSRALFVPNMSKYSKVVSGASVISSDLHGIDLSWQFNLQRIWEKITHGKEITVPIEKDFKIDSKEIVPPPEFLNDNASSGDHSHNCFASSAVIPSLPLTIKWLQDCVKEHPSTRLQVSHLCMTPRLVQPLCS >Vigun07g037200.3.v1.2 pep primary_assembly:ASM411807v1:7:3572148:3582762:-1 gene:Vigun07g037200.v1.2 transcript:Vigun07g037200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVVNNGQKQNLSSFEAAMEKISSLITRQRRGEKPPIANKLEIMSLYLKILGLEEGINRLNIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGMDISEDKFLQYFWECWNQLEENTSEKLSMPPLFLFLTILAFKIFISEQVDAAIIEVGLGGKEDSTNVIKKPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELMVPLEVTEPLDCKQIKGLKLGLSGDHQFYNAALAVSLCRCWLQKTGNWEKKYQNQFQDSNLPDEFMRGLSTAHFSGRAQIVYASTSNSHCSEIVSKNCGELIFYLDGAHSPESMEACAKWFSNAVEIPSESSFEVQKADGSSENGHILHKGNTLGQYEKSFKRILLFNCLDVRNPHILLPRLVNTCASSGIHFSRALFVPNMSKYSKVVSGASVISSDLHGIDLSWQFNLQRIWEKITHGKEITVPIEKDFKIDSKEIVPPPEFLNDNASSGDHSHNCFASSAVIPSLPLTIKWLQDCVKEHPSTRLQVTMT >Vigun07g037200.1.v1.2 pep primary_assembly:ASM411807v1:7:3572148:3582762:-1 gene:Vigun07g037200.v1.2 transcript:Vigun07g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVVNNGQKQNLSSFEAAMEKISSLITRQRRGEKPPIANKLEIMSLYLKILGLEEGINRLNIIHVAGTKGKGSTCIFCEAILRECGFRTGVFTSPHLIDVRERFRIDGMDISEDKFLQYFWECWNQLEENTSEKLSMPPLFLFLTILAFKIFISEQVDAAIIEVGLGGKEDSTNVIKKPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQPPEAMDVILERAKELMVPLEVTEPLDCKQIKGLKLGLSGDHQFYNAALAVSLCRCWLQKTGNWEKKYQNQFQDSNLPDEFMRGLSTAHFSGRAQIVYASTSNSHCSEIVSKNCGELIFYLDGAHSPESMEACAKWFSNAVEIPSESSFEVQKADGSSENGHILHKGNTLGQYEKSFKRILLFNCLDVRNPHILLPRLVNTCASSGIHFSRALFVPNMSKYSKVVSGASVISSDLHGIDLSWQFNLQRIWEKITHGKEITVPIEKDFKIDSKEIVPPPEFLNDNASSGDHSHNCFASSAVIPSLPLTIKWLQDCVKEHPSTRLQVLVTGSLHLVGDVLKLLKR >Vigun11g089950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27033394:27033971:1 gene:Vigun11g089950.v1.2 transcript:Vigun11g089950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALMETEEKRKAVDALSGSGLRYRRYTVEEIEVATNIFAELQKIGERGYGGPVYKCLLDRTPVAMKVLRPNAAQGRLQFQREVINQKDKLSCPFMQHFMCNTNK >Vigun09g156700.3.v1.2 pep primary_assembly:ASM411807v1:9:32249895:32256847:1 gene:Vigun09g156700.v1.2 transcript:Vigun09g156700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRILGYLVQEVANVEQLIPELKQIHSPLLGQQFSNPSSNQMLPDQQHSQQLEQQNFQHSQQSMQQFSGPLNTQQLPPQQHFQSIRGGIGGMGPVKLEPQVSNDQLGQQQQPLQSLRSLSSVKLEPQQMQTMRTLGPVKMEPQHSDQPLFLQQQQQQQQQQQQFLHMSSQSSQAAAAQINLLRQHRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQQQNMLMRSVKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCAQRHEELIPRRLLIPQVSQLGAVAQKYQAFTQNATPNISVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETETGPMDSLAKFPRRTNGSSGPRGQAQQHEEQLQQQQQQQMVSHNSNGDQNSVQAAAMQIASTNGMVSVNNNVNSASTSTTTSTIVGLLHQNSMNSRQQNSMNNASSPYGGSSVQIPSPGSSNTVPQAQPNSSPFQSPTPSSNNPPQTSHPTLTSGNHMSTTNSAANISMQQQQPSISGDPDPSDTQSSVQKIIHEMMMSSQINGTGGMIGVGSLGNDVKNVNGILPVGANTGLNGGNGLMGNGSMNSNSGVGVGNYGTMGLGQSPMPNGMRAAVVNNSIMNGRGGMASLARDQAMNHQQDLSNQLLSGLGAVNGFNNLQFDWKPSP >Vigun09g156700.2.v1.2 pep primary_assembly:ASM411807v1:9:32249895:32256785:1 gene:Vigun09g156700.v1.2 transcript:Vigun09g156700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPMPSQTSFPSLVSPRTQFNNMNILGNMSNVTSILNQTFPNGAPNPGLSGPGSSQRGAIDTGAETDPLSTVGQGQQFSNPSSNQMLPDQQHSQQLEQQNFQHSQQSMQQFSGPLNTQQLPPQQHFQSIRGGIGGMGPVKLEPQVSNDQLGQQQQPLQSLRSLSSVKLEPQQMQTMRTLGPVKMEPQHSDQPLFLQQQQQQQQQQQQFLHMSSQSSQAAAAQINLLRQHRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQQQNMLMRSVKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCAQRHEELIPRRLLIPQVSQLGAVAQKYQAFTQNATPNISVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETETGPMDSLAKFPRRTNGSSGPRGQAQQHEEQLQQQQQQQMVSHNSNGDQNSVQAAAMQIASTNGMVSVNNNVNSASTSTTTSTIVGLLHQNSMNSRQQNSMNNASSPYGGSSVQIPSPGSSNTVPQAQPNSSPFQSPTPSSNNPPQTSHPTLTSGNHMSTTNSAANISMQQQQPSISGDPDPSDTQSSVQKIIHEMMMSSQINGTGGMIGVGSLGNDVKNVNGILPVGANTGLNGGNGLMGNGSMNSNSGVGVGNYGTMGLGQSPMPNGMRAAVVNNSIMNGRGGMASLARDQAMNHQQDLSNQLLSGLGAVNGFNNLQFDWKPSP >Vigun09g156700.1.v1.2 pep primary_assembly:ASM411807v1:9:32249885:32256847:1 gene:Vigun09g156700.v1.2 transcript:Vigun09g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPMPSQTSFPSLVSPRTQFNNMNILGNMSNVTSILNQTFPNGAPNPGLSGPGSSQRGAIDTGAETDPLSTVGNGMSFNNSSSTFVQSNIVNAASSGQGQGQQFSNPSSNQMLPDQQHSQQLEQQNFQHSQQSMQQFSGPLNTQQLPPQQHFQSIRGGIGGMGPVKLEPQVSNDQLGQQQQPLQSLRSLSSVKLEPQQMQTMRTLGPVKMEPQHSDQPLFLQQQQQQQQQQQQFLHMSSQSSQAAAAQINLLRQHRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQQQNMLMRSVKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCAQRHEELIPRRLLIPQVSQLGAVAQKYQAFTQNATPNISVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETETGPMDSLAKFPRRTNGSSGPRGQAQQHEEQLQQQQQQQMVSHNSNGDQNSVQAAAMQIASTNGMVSVNNNVNSASTSTTTSTIVGLLHQNSMNSRQQNSMNNASSPYGGSSVQIPSPGSSNTVPQAQPNSSPFQSPTPSSNNPPQTSHPTLTSGNHMSTTNSAANISMQQQQPSISGDPDPSDTQSSVQKIIHEMMMSSQINGTGGMIGVGSLGNDVKNVNGILPVGANTGLNGGNGLMGNGSMNSNSGVGVGNYGTMGLGQSPMPNGMRAAVVNNSIMNGRGGMASLARDQAMNHQQDLSNQLLSGLGAVNGFNNLQFDWKPSP >Vigun03g365000.1.v1.2 pep primary_assembly:ASM411807v1:3:56840200:56842200:1 gene:Vigun03g365000.v1.2 transcript:Vigun03g365000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFVVFLLILSLLLAQTQGIRLGKVSSPLQQQKQQDGEFSLLKRSNTDAEETVLLCKDNECTGKLKNRKLVATSISTTKSLSKNVEESKGEELVNGRNVNTLRSWKQKDVPEEHNHDLVEITEMDYSPAKRKPPIHN >Vigun01g241900.4.v1.2 pep primary_assembly:ASM411807v1:1:41137306:41142478:-1 gene:Vigun01g241900.v1.2 transcript:Vigun01g241900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEYLKSVLRQEVGFFDKQSESSTTFQVIATITSDAQTIQDTIADKVPNCLGHLSAFLSSFIVALFLSWRLAVSAFPFSIMMIMPAIIFGKAMKELGNKMKDAYGVAGSIAEQAISSIRTVYSYVGEKQTMQAFNSGLEKSMEIGIKQGQIKGVIIGSIGLLYATWAFQSWVSSVLVRTKGESGGPVFCAEICIIWGGLSLMSALPNLGFILEATIATTRIFEMIDRVPSINSYREKGKVLTHARGEITFQDVEFSYPSRPDALILQGLNLKVQAGKTLGLVGGSGSGKSTIISLLERFYDPVYGEILLDGYDIQTLHPKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDAVISAAKAANAHDFIVKLPNAYETQVGQFGAQLSGGQKQRIAIARALIREPKILLLDEATSALDSQSERLVQEALEKASRGRTTIIIAHRLSTIRKADSIVVLQTGKVVECGSHDELLQLNNGQGGAYRKMLQLQQATSQNEIALHTIDKSPLAMENLTSSNSRWQSSPTHHALSSTQPFSPIYSISVVGSSFDDYDREHYEKSSHANFSQWRLLRMNSPEWKHALLGCLGAIGSGICQPIYSYCLGIVASVYFIKDDSLIKSKIRLYSSIFCCIAVVYFISGLLQHYNFTIMGESLLKRVRENLLEKVLTFEMGWFDEEENGSAAICARLASEANLVRSLVAERMSLIVNISVMALLAFVLSLIVTWRVAIVMIAMQPLIIACFYSKNILMKSMAGKARRAQREGSQLAMEATVNHRTIAAFSSEKRILNLFRTSMEGPKKESIKQSWISGSILSVSYFVTTASITLTFWYGGRLLNRNLVDSKHLLQVFLILMGTGRQIAETASATSDIAKSGRAISSVFAILDRKSEIEPEDPRLRKCKNSLKGHIKLRDVFFSYPTRPDQVILKGLNLDIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGSISIDNCDIREFNLRSLRAHIALVSQEPTLFAGTIRDNIVYGKKDASEDEIRKAARLSNAHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQNVDTIVVIQNGKVVEQGSHSELLRIGSNGAYYSLTRLQQDHST >Vigun01g241900.2.v1.2 pep primary_assembly:ASM411807v1:1:41137110:41142150:-1 gene:Vigun01g241900.v1.2 transcript:Vigun01g241900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEYLKSVLRQEVGFFDKQSESSTTFQVIATITSDAQTIQDTIADKVPNCLGHLSAFLSSFIVALFLSWRLAVSAFPFSIMMIMPAIIFGKAMKELGNKMKDAYGVAGSIAEQAISSIRTVYSYVGEKQTMQAFNSGLEKSMEIGIKQGQIKGVIIGSIGLLYATWAFQSWVSSVLVRTKGESGGPVFCAEICIIWGGLSLMSALPNLGFILEATIATTRIFEMIDRVPSINSYREKGKVLTHARGEITFQDVEFSYPSRPDALILQGLNLKVQAGKTLGLVGGSGSGKSTIISLLERFYDPVYGEILLDGYDIQTLHPKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDAVISAAKAANAHDFIVKLPNAYETQVGQFGAQLSGGQKQRIAIARALIREPKILLLDEATSALDSQSERLVQEALEKASRGRTTIIIAHRLSTIRKADSIVVLQTGKVVECGSHDELLQLNNGQGGAYRKMLQLQQATSQNEIALHTIDKSPLAMENLTSSNSRWQSSPTHHALSSTQPFSPIYSISVVGSSFDDYDREHYEKSSHANFSQWRLLRMNSPEWKHALLGCLGAIGSGICQPIYSYCLGIVASVYFIKDDSLIKSKIRLYSSIFCCIAVVYFISGLLQHYNFTIMGESLLKRVRENLLEKVLTFEMGWFDEEENGSAAICARLASEANLVRSLVAERMSLIVNISVMALLAFVLSLIVTWRVAIVMIAMQPLIIACFYSKNILMKSMAGKARRAQREGSQLAMEATVNHRTIAAFSSEKRILNLFRTSMEGPKKESIKQSWISGSILSVSYFVTTASITLTFWYGGRLLNRNLVDSKHLLQVFLILMGTGRQIAETASATSDIAKSGRAISSVFAILDRKSEIEPEDPRLRKCKNSLKGHIKLRDVFFSYPTRPDQVILKGLNLDIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGSISIDNCDIREFNLRSLRAHIALVSQEPTLFAGTIRDNIVYGKKDASEDEIRKAARLSNAHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQNVDTIVVIQNGKVVEQGSHSELLRIGSNGAYYSLTRLQQDHST >Vigun01g241900.1.v1.2 pep primary_assembly:ASM411807v1:1:41137050:41142891:-1 gene:Vigun01g241900.v1.2 transcript:Vigun01g241900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGIFRYADGFDKLLLLFGTLGCIGGGLQTPMTMLVLGSLINDYEGGSEHSVPNHVIDKYALRLLGVAIGVALSSFIEGVCWTRTAERQTSRMRIEYLKSVLRQEVGFFDKQSESSTTFQVIATITSDAQTIQDTIADKVPNCLGHLSAFLSSFIVALFLSWRLAVSAFPFSIMMIMPAIIFGKAMKELGNKMKDAYGVAGSIAEQAISSIRTVYSYVGEKQTMQAFNSGLEKSMEIGIKQGQIKGVIIGSIGLLYATWAFQSWVSSVLVRTKGESGGPVFCAEICIIWGGLSLMSALPNLGFILEATIATTRIFEMIDRVPSINSYREKGKVLTHARGEITFQDVEFSYPSRPDALILQGLNLKVQAGKTLGLVGGSGSGKSTIISLLERFYDPVYGEILLDGYDIQTLHPKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDAVISAAKAANAHDFIVKLPNAYETQVGQFGAQLSGGQKQRIAIARALIREPKILLLDEATSALDSQSERLVQEALEKASRGRTTIIIAHRLSTIRKADSIVVLQTGKVVECGSHDELLQLNNGQGGAYRKMLQLQQATSQNEIALHTIDKSPLAMENLTSSNSRWQSSPTHHALSSTQPFSPIYSISVVGSSFDDYDREHYEKSSHANFSQWRLLRMNSPEWKHALLGCLGAIGSGICQPIYSYCLGIVASVYFIKDDSLIKSKIRLYSSIFCCIAVVYFISGLLQHYNFTIMGESLLKRVRENLLEKVLTFEMGWFDEEENGSAAICARLASEANLVRSLVAERMSLIVNISVMALLAFVLSLIVTWRVAIVMIAMQPLIIACFYSKNILMKSMAGKARRAQREGSQLAMEATVNHRTIAAFSSEKRILNLFRTSMEGPKKESIKQSWISGSILSVSYFVTTASITLTFWYGGRLLNRNLVDSKHLLQVFLILMGTGRQIAETASATSDIAKSGRAISSVFAILDRKSEIEPEDPRLRKCKNSLKGHIKLRDVFFSYPTRPDQVILKGLNLDIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGSISIDNCDIREFNLRSLRAHIALVSQEPTLFAGTIRDNIVYGKKDASEDEIRKAARLSNAHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQNVDTIVVIQNGKVVEQGSHSELLRIGSNGAYYSLTRLQQDHST >Vigun01g241900.5.v1.2 pep primary_assembly:ASM411807v1:1:41137092:41142477:-1 gene:Vigun01g241900.v1.2 transcript:Vigun01g241900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEYLKSVLRQEVGFFDKQSESSTTFQVIATITSDAQTIQDTIADKVPNCLGHLSAFLSSFIVALFLSWRLAVSAFPFSIMMIMPAIIFGKAMKELGNKMKDAYGVAGSIAEQAISSIRTVYSYVGEKQTMQAFNSGLEKSMEIGIKQGQIKGVIIGSIGLLYATWAFQSWVSSVLVRTKGESGGPVFCAEICIIWGGLSLMSALPNLGFILEATIATTRIFEMIDRVPSINSYREKGKVLTHARGEITFQDVEFSYPSRPDALILQGLNLKVQAGKTLGLVGGSGSGKSTIISLLERFYDPVYGEILLDGYDIQTLHPKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDAVISAAKAANAHDFIVKLPNAYETQVGQFGAQLSGGQKQRIAIARALIREPKILLLDEATSALDSQSERLVQEALEKASRGRTTIIIAHRLSTIRKADSIVVLQTGKVVECGSHDELLQLNNGQGGAYRKMLQLQQATSQNEIALHTIDKSPLAMENLTSSNSRWQSSPTHHALSSTQPFSPIYSISVVGSSFDDYDREHYEKSSHANFSQWRLLRMNSPEWKHALLGCLGAIGSGICQPIYSYCLGIVASVYFIKDDSLIKSKIRLYSSIFCCIAVVYFISGLLQHYNFTIMGESLLKRVRENLLEKVLTFEMGWFDEEENGSAAICARLASEANLVRSLVAERMSLIVNISVMALLAFVLSLIVTWRVAIVMIAMQPLIIACFYSKNILMKSMAGKARRAQREGSQLAMEATVNHRTIAAFSSEKRILNLFRTSMEGPKKESIKQSWISGSILSVSYFVTTASITLTFWYGGRLLNRNLVDSKHLLQVFLILMGTGRQIAETASATSDIAKSGRAISSVFAILDRKSEIEPEDPRLRKCKNSLKGHIKLRDVFFSYPTRPDQVILKGLNLDIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGSISIDNCDIREFNLRSLRAHIALVSQEPTLFAGTIRDNIVYGKKDASEDEIRKAARLSNAHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQNVDTIVVIQNGKVVEQGSHSELLRIGSNGAYYSLTRLQQDHST >Vigun01g241900.3.v1.2 pep primary_assembly:ASM411807v1:1:41137110:41142558:-1 gene:Vigun01g241900.v1.2 transcript:Vigun01g241900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFKDMYALRLLGVAIGVALSSFIEGVCWTRTAERQTSRMRIEYLKSVLRQEVGFFDKQSESSTTFQVIATITSDAQTIQDTIADKVPNCLGHLSAFLSSFIVALFLSWRLAVSAFPFSIMMIMPAIIFGKAMKELGNKMKDAYGVAGSIAEQAISSIRTVYSYVGEKQTMQAFNSGLEKSMEIGIKQGQIKGVIIGSIGLLYATWAFQSWVSSVLVRTKGESGGPVFCAEICIIWGGLSLMSALPNLGFILEATIATTRIFEMIDRVPSINSYREKGKVLTHARGEITFQDVEFSYPSRPDALILQGLNLKVQAGKTLGLVGGSGSGKSTIISLLERFYDPVYGEILLDGYDIQTLHPKWLRSQIGLVNQEPILFATSIRENILFGKEGASMDAVISAAKAANAHDFIVKLPNAYETQVGQFGAQLSGGQKQRIAIARALIREPKILLLDEATSALDSQSERLVQEALEKASRGRTTIIIAHRLSTIRKADSIVVLQTGKVVECGSHDELLQLNNGQGGAYRKMLQLQQATSQNEIALHTIDKSPLAMENLTSSNSRWQSSPTHHALSSTQPFSPIYSISVVGSSFDDYDREHYEKSSHANFSQWRLLRMNSPEWKHALLGCLGAIGSGICQPIYSYCLGIVASVYFIKDDSLIKSKIRLYSSIFCCIAVVYFISGLLQHYNFTIMGESLLKRVRENLLEKVLTFEMGWFDEEENGSAAICARLASEANLVRSLVAERMSLIVNISVMALLAFVLSLIVTWRVAIVMIAMQPLIIACFYSKNILMKSMAGKARRAQREGSQLAMEATVNHRTIAAFSSEKRILNLFRTSMEGPKKESIKQSWISGSILSVSYFVTTASITLTFWYGGRLLNRNLVDSKHLLQVFLILMGTGRQIAETASATSDIAKSGRAISSVFAILDRKSEIEPEDPRLRKCKNSLKGHIKLRDVFFSYPTRPDQVILKGLNLDIEAGKTVALVGQSGSGKSTIIGLIERFYDPIKGSISIDNCDIREFNLRSLRAHIALVSQEPTLFAGTIRDNIVYGKKDASEDEIRKAARLSNAHEFISSMKDGYDTYCGERGVQLSGGQKQRIAIARAVLKNPSILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQNVDTIVVIQNGKVVEQGSHSELLRIGSNGAYYSLTRLQQDHST >Vigun08g038900.1.v1.2 pep primary_assembly:ASM411807v1:8:3789846:3793119:1 gene:Vigun08g038900.v1.2 transcript:Vigun08g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNASSDNISSNKYMVPEKQPYRTWYHFQPPQNWMNDPNGPMYYKGVYHFFYQFNPYAPTFGSYMVWGHSASYDLINWIHLNHALEPSESYDINGCYSGSITTLPGEKPIIMYTGSDSNKHQVQNLAVPKNLSDPFLREWEKHPQNPILIPPSGVEVDGFRDPTTAWKGSDGKWRVVIGAKNGDEGKALLYYSEDFVDWKLFPDPMYASENTGMFECPDFFPVYISGTKKGVDTSVNNSSVKHVLKTSYQNKQLEYYFLGDYFLDQEKFVPDADLGGPNLNLILDHGMFYASKSFFDYAKNRRILWGWSKECDTTQDDYEKGWAGLQCIPRQVWLDESGKWLMQWPIEEVEKLRDKQISIKGEKLAGGSIVEVSGITASQADVEVLFEVPEIENAEFLDESEVDPELLCSEEYASRSGTIGPFGLFALASEDQTEHTAIFFRIYKTSNRYVCLMCSDQSRSSLRENVDKSSYGTIFDIDPNQKTISLRILIDRSIIESFGEKGRICITSRVYPSLAIDKDAHLHVFNNGTQSVVISELNAWSMNKAEFVQEESIK >Vigun08g038900.3.v1.2 pep primary_assembly:ASM411807v1:8:3790306:3793119:1 gene:Vigun08g038900.v1.2 transcript:Vigun08g038900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIATGCLHHADPNGPMYYKGVYHFFYQFNPYAPTFGSYMVWGHSASYDLINWIHLNHALEPSESYDINGCYSGSITTLPGEKPIIMYTGSDSNKHQVQNLAVPKNLSDPFLREWEKHPQNPILIPPSGVEVDGFRDPTTAWKGSDGKWRVVIGAKNGDEGKALLYYSEDFVDWKLFPDPMYASENTGMFECPDFFPVYISGTKKGVDTSVNNSSVKHVLKTSYQNKQLEYYFLGDYFLDQEKFVPDADLGGPNLNLILDHGMFYASKSFFDYAKNRRILWGWSKECDTTQDDYEKGWAGLQCIPRQVWLDESGKWLMQWPIEEVEKLRDKQISIKGEKLAGGSIVEVSGITASQADVEVLFEVPEIENAEFLDESEVDPELLCSEEYASRSGTIGPFGLFALASEDQTEHTAIFFRIYKTSNRYVCLMCSDQSRSSLRENVDKSSYGTIFDIDPNQKTISLRILIDRSIIESFGEKGRICITSRVYPSLAIDKDAHLHVFNNGTQSVVISELNAWSMNKAEFVQEESIK >Vigun08g038900.2.v1.2 pep primary_assembly:ASM411807v1:8:3789846:3793119:1 gene:Vigun08g038900.v1.2 transcript:Vigun08g038900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNASSDNISSNKYMVPEKQPYRTWYHFQPPQNWMNDPNGPMYYKGVYHFFYQFNPYAPTFGSYMVWGHSASYDLINWIHLNHALEPSESYDINGCYSGSITTLPGEKPIIMYTGSDSNKHQVQNLAVPKNLSDPFLREWEKHPQNPILIPPSGVEVDGFRDPTTAWKGSDGKWRVVIGAKNGDEGKALLYYSEDFVDWKLFPDPMYASENTGMFECPDFFPVYISGTKKGVDTSVNNSSVKHVLKTSYQNKQLEYYFLGDYFLDQEKFVPDADLGGPNLNLILDHGMFYASKSFFDYAKNRRILWGWSKECDTTQDDYEKGWAGLQWPIEEVEKLRDKQISIKGEKLAGGSIVEVSGITASQADVEVLFEVPEIENAEFLDESEVDPELLCSEEYASRSGTIGPFGLFALASEDQTEHTAIFFRIYKTSNRYVCLMCSDQSRSSLRENVDKSSYGTIFDIDPNQKTISLRILIDRSIIESFGEKGRICITSRVYPSLAIDKDAHLHVFNNGTQSVVISELNAWSMNKAEFVQEESIK >Vigun03g307000.1.v1.2 pep primary_assembly:ASM411807v1:3:49789324:49793122:-1 gene:Vigun03g307000.v1.2 transcript:Vigun03g307000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYDNDGGRREEVVLDISCTIRPDDGNGGYVKLRAGPDDVSPLGAAVEPSVPTIRATVLYWVKLVMLFLCLGFLAVVALVWIGPFCMDKGVIPIINWETETFSTPVLTVFVFASVAIFPTLLLPSTPSMWVAGMTFGYGFGFLLIISAAAIGVSLPFFIGKTFHHKIEGWLEKYPKKASILRSAGGGNWFHQFRAVALIRISPFPYLIFNYCAVATNVKYGPYIVGSLVGMVPEIFVAIYTGILIRTLADASHEKHSLSAPQIILNVAGFCITVATTIFITAFARRRLDELQKEEETLLQ >Vigun11g068201.1.v1.2 pep primary_assembly:ASM411807v1:11:19972587:19974716:-1 gene:Vigun11g068201.v1.2 transcript:Vigun11g068201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LWLHAYLLVPSLKTSIAISSIEVSQTMSDGETLVSNGGSYELGFFSPGNSQKRYLGIWYKNIPVKKVLWVVNRVNPINDSSGILTLNTTGNLLLTQNGSLVWYTNSHRKAQNPVAEILESGNLVIRNEGETNKEEYLWQSFDDPSDTLLPGMKYGWDLRTGLEWRYTCWKSPDDPSPGDVSRVLKLYNYPEFYIMKGTQKWFRFGPWNGLYFSGTPSVYNNTIFYFNMISNMKEIYYTYSLANSATITFTVTNETGKVYRYVWTEDDQNWTTFGYYPSEFCDTYGLCGPNGNCVDTQTQVCQCLKGFKPKSPQKWNSSNWHGGCVRNEPLICKGKDKDGFNKFEGLKVPDSTHTWLDMSIDLEECKVKCLSDCSCMAYTNSDIRNGGSGCVMWFGDLIDIRQFQSGGQDLYIRMPASELEPVYRPKRNTVAIVASIVAAISGVLLLSSCCIYRFRRNSAEC >Vigun11g040700.1.v1.2 pep primary_assembly:ASM411807v1:11:5789489:5791939:1 gene:Vigun11g040700.v1.2 transcript:Vigun11g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAPHFNISHPQQLIPNRETLTNPMDATIMNMYATQTGLGLGNHSMLPLSGTTTASETFFPPPHFSSLLHKPAVLESDNSTLSHNNVVPVSRKRSRDSIHDNNFPFHSYPQKKTDSFSFLGEDISSHIHRQQLDLDALVSQHMEKVRMELEEKRKRQARRLMESIEVEMAKRLRAKEEEILKIEKLNWVLEEKVRSLSIENQLWRDLAQTNEATANALRTNLEQVLAQVAAPPHTADEDAESCCGSSDEGWRTLATGAQDKEKEGCSEVKENIIIVNNNNNNEKNNYNDNEKSGGKRLCRKCEKEESCVLILPCRHLCVCTGCGSSLDSCPVCKSFKNASVHVNMTL >Vigun05g274300.1.v1.2 pep primary_assembly:ASM411807v1:5:46443172:46446455:1 gene:Vigun05g274300.v1.2 transcript:Vigun05g274300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCSTFCSSIPHHLNLASMMIMKRFSAPISSYVSPRAQAITEPPSRVGFLGIGIMGSPMAHNLLKAGIDLTVWNRTKSKCDPLISLGAKYKPSPEEVAASCDVTFAMLADPQSALDVACGKHGAVNGIGPGKGYVDVSTVDGDTSKLISERIKSTGALFLEAPVSGSKKPAEDGQLIFLTAGDKNLYDTVGSLLDIMGKSKFYLGNVGNGAAMKLVVNMIMGSMMASFSEGLLLSEKVGLDPDVLVQVVSQGAISAPMYSTKGPSMIQSLYPTAFPLKHQQKDLRLALGLAESVSQPTPIAAAANELYKVAKSHGLSDQDFSAVIEALKSKFQHSETK >Vigun04g154701.1.v1.2 pep primary_assembly:ASM411807v1:4:37427727:37428664:-1 gene:Vigun04g154701.v1.2 transcript:Vigun04g154701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYQFSIIHLQDEVVGFVDRAFAIFYDDDLDRQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYVGDSCFHITIFPSKCKPLSIARFLKRIEADQPLFNGPKLHFKIFLNPNQCNASHLDLPADFGNYLRQGSFKYIFLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun02g046600.1.v1.2 pep primary_assembly:ASM411807v1:2:18513995:18519459:-1 gene:Vigun02g046600.v1.2 transcript:Vigun02g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFYYALAFTISAFFAVLKWNSLRYSRKGMPPGSLGWPLVGETVKFLTQGPDFMKGRKLRYGSLFKTHALGSPTVISMDPDMNRYILLNEAKGLVPGYPDSMRTILGTNISDVHGAVHKRIRGSLLSLIGPIAVKDRLLTEVDDFMTSFLENWDGKTVDIQEKTVEMSFLVSMKAVVEDEPDSFLRTFKASFDKMALATISLPFKIPGTQYYRGLKARERVITMLSELIAKRRASSATHSDILDRLLRNEDGKYKLNDEEIMEQITTILYSGYETVSTTTMMAIKYLCDNPDILQTVRDEHFAILQKKVHGERINWDDYKSMSETRAVILETMRLASVVSGVMRRTTEDLELNGYVIPKGWRVYAYTRDGNFDPILYEEPFTFNPKRWLEKGLENHNHNMLFGAGGRVCPGKEWGMFKISIFIHYLVTRYRWEEVEGSKTLAKFPRVLAPEGLHMKITKY >Vigun10g114200.1.v1.2 pep primary_assembly:ASM411807v1:10:31660822:31666138:-1 gene:Vigun10g114200.v1.2 transcript:Vigun10g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSERQWCLRDFEIGKPLGKGKFGRVYVARERKSKFVVALKVISKEQLEKYKIHHQLRREMEIQFSLKHPNILRLYGWFHDSENVFLILEYAHNGELYKELSRKGHFSEQQAATVYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFEAESQVDTFRRIMKVDLSFPSTPYVSSEAKHLISRLLVKDSSRRLSLQRIMEHPWIIKNANAIGVCS >Vigun10g114200.3.v1.2 pep primary_assembly:ASM411807v1:10:31660822:31666138:-1 gene:Vigun10g114200.v1.2 transcript:Vigun10g114200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSERQWCLRDFEIGKPLGKGKFGRVYVARERKSKFVVALKVISKEQLEKYKIHHQLRREMEIQFSLKHPNILRLYGWFHDSENVFLILEYAHNGELYKELSRKGHFSEQQAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFEAESQVDTFRRIMKVDLSFPSTPYVSSEAKHLISRLLVKDSSRRLSLQRIMEHPWIIKNANAIGVCS >Vigun10g114200.2.v1.2 pep primary_assembly:ASM411807v1:10:31660822:31666138:-1 gene:Vigun10g114200.v1.2 transcript:Vigun10g114200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSERQWCLRDFEIGKPLGKGKFGRVYVARERKSKFVVALKVISKEQLEKYKIHHQLRREMEIQFSLKHPNILRLYGWFHDSENVFLILEYAHNGELYKELSRKGHFSEQQAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFEAESQVDTFRRIMKVDLSFPSTPYVSSEAKHLISRLLVKDSSRRLSLQRIMEHPWIIKNANAIGVCS >Vigun01g128900.1.v1.2 pep primary_assembly:ASM411807v1:1:30686630:30688472:1 gene:Vigun01g128900.v1.2 transcript:Vigun01g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLCKISLTSANQLAAKAIQLRLKGKHEEAEKLMRMQNKEYSVSTQAYDEHDFEDGPSRKSRKKNVGDDSKTQKKTNRFLAQQERCLFCLENPNRPMHLVVSIANFTYLMLPQWQPVVPGHCCILPIQHESATRTVHENVWTEIKNFKKCLVMMFAKQGKEVVFIETVMGLAQQRRHCMVECIPLPQDIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSEKGLHNSIPMHFPYFHVEFGVKKGFVHVIDDEKEFNSRLGLSVVRGMLHLTEEDMCRRRRYKAMEVQKQEVASFFKEWKHFDWTKQLQDRF >Vigun09g086600.1.v1.2 pep primary_assembly:ASM411807v1:9:11460466:11483114:-1 gene:Vigun09g086600.v1.2 transcript:Vigun09g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVEKEQQQNQVVGIIEEEKLQKQESKSSIKITRTQVESGEGEREMTPTAANSMHRSGSRPQLDVSKAEIQGNEEEKYPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHENQSVDDKRKSVKERLGFSNGNRRSYPILGGRLHFVKFETRKINECLDFIHSKQLHCGGLESRYSDGVTDQNGIIKATGGGAYKYADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIEPNDLFPYLLVNIGSGVSMIKVDGDGTFERISGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNRSMDMLVGDIYGGLDYSKIGLSASTIASSFGKTISEKKELEEYRPEDISLSLLRMISYNIGQIAYLNALRFRLKRIFFGGFFIRGHAYTMDTISFAVHFWSNGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGNLNEKISWMEKFLRKGTEITAPVPMSPLGGTTGLGGFEVPLSKGSTLRSDASALSVGVLHLVPTLEVFPLLADPKLYEPNTIDLSDNNELEYWLTILSEHLSDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPSAYGKLGLANLLEMREECLREFHFVDAYRSIKQRENEASLAVLPDLLVELDSMDEESRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMRRPWRVDDFDDFKERMLGAKNNKNTRHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIIAEAAKHCDILRHAAEVGGLLVDAMINTSNSSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLLILEGMGRALHTNLDARFKCDALKLAMVKNQRLAEKLVKGNIYDCICKYEPVS >Vigun01g210000.1.v1.2 pep primary_assembly:ASM411807v1:1:38493662:38495934:1 gene:Vigun01g210000.v1.2 transcript:Vigun01g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLADSNVRAMEVFMCSIVKKMGYGEGFKWLSQYIK >Vigun08g077066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:14162874:14163401:-1 gene:Vigun08g077066.v1.2 transcript:Vigun08g077066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAAETLATVNEPAQQQSAAVRPPFSPEATASSTFAASTIVILARNHPDQHQHGNNSTPFLQSPKQHHLRAPNRRRRITHPSLHAFFIFTKLEQPLRSRCSSATPPQFLHLHHELTIAASLENANQRSFLAHHHGHRRPATNNSSLTGEEEAVAPEVIMPGTSDAQHSTTLTH >Vigun02g175800.1.v1.2 pep primary_assembly:ASM411807v1:2:31784165:31788650:1 gene:Vigun02g175800.v1.2 transcript:Vigun02g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSSKDPKSVYDFSVKDAKGDVVDLSAYEGKVLLIVNVASKCGLTDSNYTELNELYAKYKDEGLEILAFPCNQFAEQEPESNDKIVDFVCTRFKSEFPIFDKIEVNGDNSAPLYKFLKSGKWGIFVDDIQWNFSKFVVDKKGQVADRYYPTTSPLSLERDIRQLLGIS >Vigun09g243300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41241786:41242337:-1 gene:Vigun09g243300.v1.2 transcript:Vigun09g243300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSYKNLFKMITFPCLTTPRPKPLPLPAPAPLIGPPGPKVENGLKDVFDHLDVDKDGKISSSELTDYFASVGESVSHKVAERVINEFDSDGDELLDFGDFEKLMKQDESKDVEDVLRSAFEMFEVEKGCGCITPKGLQQMLRQLGDVKSHDECVAMIRPFDLDGNGFLDFHEFQQMMSPT >Vigun11g152400.1.v1.2 pep primary_assembly:ASM411807v1:11:36224570:36226426:1 gene:Vigun11g152400.v1.2 transcript:Vigun11g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDPWPVFFKREWKRNWPFLVGFAVTGAVITKFSLGLTEEDAKNSKFVQAHKR >Vigun02g152000.2.v1.2 pep primary_assembly:ASM411807v1:2:29873892:29878283:-1 gene:Vigun02g152000.v1.2 transcript:Vigun02g152000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGADIQYRTGGESQLRLNHHPQFIELQEVEEENIQIPPPKGKRSPRAAKRRPKRTTTLIDEFLDENSQLRHVFFPGRKKTIDPIQTPANESFYYYPGRIWLDTDGNPIQAHGGGILYDKKSRTYYWYGEYKDGPTYQIHKKGAARVDIIGVGCYSSKDLWTWKHEGIVLAADETNETHDLHTSNVLERPKVIYNERTGKYVMWMHIDDANYTKAAAGVAISDTPDGPFDYLGSQRPHGYESRDMTVFKDDDGTAYLIYSSEDNSELHIGPLSEDYLNVTSVMRRILVGQHREAPALFKHEGTYYMITSGCTGWAPNEALAHAAESILGPWETIGNPCIGGNKMFRLTTFFAQSSFVLPLPGLPGSFIFMADRWNPADLRDSRYVWLPMIVAGPVDHPLEYTFEFPLWSRVSIYWHRKWRLPHGWSSFK >Vigun02g152000.1.v1.2 pep primary_assembly:ASM411807v1:2:29873892:29878283:-1 gene:Vigun02g152000.v1.2 transcript:Vigun02g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNKYRKPTILGCNAGSRYSMSVVVLSLLGCLFLLHLYTYIHHTDRTGGESQLRLNHHPQFIELQEVEEENIQIPPPKGKRSPRAAKRRPKRTTTLIDEFLDENSQLRHVFFPGRKKTIDPIQTPANESFYYYPGRIWLDTDGNPIQAHGGGILYDKKSRTYYWYGEYKDGPTYQIHKKGAARVDIIGVGCYSSKDLWTWKHEGIVLAADETNETHDLHTSNVLERPKVIYNERTGKYVMWMHIDDANYTKAAAGVAISDTPDGPFDYLGSQRPHGYESRDMTVFKDDDGTAYLIYSSEDNSELHIGPLSEDYLNVTSVMRRILVGQHREAPALFKHEGTYYMITSGCTGWAPNEALAHAAESILGPWETIGNPCIGGNKMFRLTTFFAQSSFVLPLPGLPGSFIFMADRWNPADLRDSRYVWLPMIVAGPVDHPLEYTFEFPLWSRVSIYWHRKWRLPHGWSSFK >Vigun07g052300.2.v1.2 pep primary_assembly:ASM411807v1:7:5467430:5490697:1 gene:Vigun07g052300.v1.2 transcript:Vigun07g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPSLVQNQLMLYLRGWSKRLLLRFGLKALEASSEGQSVPDVPEAQMVNEQLWVDKYAPKSFTELLSDEQTNREVLLWLKQWDSVVFGSEIRSTSDDVLSALKRHSSIVHNQKPLNSKFPRKNGGSKWSNGGRYVNSVSMDESGSSKSIQDAWSTKSRNIGPPEQKILLLSGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSTSTIEAKILDVVQMNSVLSDSRPKCLVVDEIDGALGDGKGAVEVLLKMISSERKPDAGKQTVGKGQLERKSSKKGSKTASLSRPVICICNDLYAPALRPLRQVAKVHIFVQPTVNRAVSRLTYICKKEGMKASAIALTALAEYTECDIRSCLNTLQFLSKKKEDLNVFDIGSQVVGQKDTSKNVFDIWKEIFHKRRTKKSERKSHRGNSFDFDSLYSLVSKRGDSDLILDGIHENVLRLNYHDPLMQKTVKCFNNLGVYDLLHQYIVHTHQMSLYVYLPLVAITVHHIVSQVQKPNIEWPKSYQRYRTMMTEKMDILNNWHHKIPPHIARHLSASSFVEDLISPLLHILSPPTIRPVALQLLSDTEKNDLAQLVSKMVSYAITYKTVKSDMLHQTLKSELADGLALSFVPPISDFINFKDYTSNHYVLSVAMKQLLVHEVEKHKILQVGVDKTRALANEGHEIIETGTPNIPLANTNVVARKSNANPTIVSSNLNTDKSSSAKDIGKPQNVGNIKKATRSSSSFFDRFKKVNIKGVESNDRSLPEEAILEKDRYPLLFKYNEGFTNAVKRPVRIREFLS >Vigun07g052300.1.v1.2 pep primary_assembly:ASM411807v1:7:5467430:5490697:1 gene:Vigun07g052300.v1.2 transcript:Vigun07g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDMEMDIPLPDELEFLESNFHEPEQNQEEEEDHHYHFADLEPPAEPYSPQPPPDLEAPSVDIESSGHKRSRSSSLPEEEKTAKVRVTVQEDSSTEEAADEDWLRYSPPPQEPAAQETTFAKEKTLSRFASEIDGECMPITAPNGDRVYAKLTRFQGEERVKTLDCNGYSAELSSEPINVILERLEQEAFAKALEASSEGQSVPDVPEAQMVNEQLWVDKYAPKSFTELLSDEQTNREVLLWLKQWDSVVFGSEIRSTSDDVLSALKRHSSIVHNQKPLNSKFPRKNGGSKWSNGGRYVNSVSMDESGSSKSIQDAWSTKSRNIGPPEQKILLLSGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSTSTIEAKILDVVQMNSVLSDSRPKCLVVDEIDGALGDGKGAVEVLLKMISSERKPDAGKQTVGKGQLERKSSKKGSKTASLSRPVICICNDLYAPALRPLRQVAKVHIFVQPTVNRAVSRLTYICKKEGMKASAIALTALAEYTECDIRSCLNTLQFLSKKKEDLNVFDIGSQVVGQKDTSKNVFDIWKEIFHKRRTKKSERKSHRGNSFDFDSLYSLVSKRGDSDLILDGIHENVLRLNYHDPLMQKTVKCFNNLGVYDLLHQYIVHTHQMSLYVYLPLVAITVHHIVSQVQKPNIEWPKSYQRYRTMMTEKMDILNNWHHKIPPHIARHLSASSFVEDLISPLLHILSPPTIRPVALQLLSDTEKNDLAQLVSKMVSYAITYKTVKSDMLHQTLKSELADGLALSFVPPISDFINFKDYTSNHYVLSVAMKQLLVHEVEKHKILQVGVDKTRALANEGHEIIETGTPNIPLANTNVVARKSNANPTIVSSNLNTDKSSSAKDIGKPQNVGNIKKATRSSSSFFDRFKKVNIKGVESNDRSLPEEAILEKDRYPLLFKYNEGFTNAVKRPVRIREFLS >Vigun01g029100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3499368:3502840:1 gene:Vigun01g029100.v1.2 transcript:Vigun01g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNFIWQRMEVIAQIVLQNLSSFAQEEFGIIWNLKDDVQQMKSTVSAIKAVLLDAEAKTNNLQISNWLEELKDVLYDADDLLNDISSEAMKRKVIGSSTVLRKIQIFFSQENQIAYSFKLGHQMKAIQKRLDAIAKNKITLQLTDRPLETPIAYREQRQTYSFVREDDVIGREEEKKLLKSYLLDTKVSVIDNVSVLAIVGFGGLGKTALAQLVFNDNAVQCYFEQKMWVCVSDEFDMRKIAEKMIGNDKNSEIEQLQQDLRNKVRGKKFLLVLDDVWNEDRELWLKLKSLVVEGGKGSAIIVTTRSRTVAKIMATHPPLFLKGLDLERSWKLFSRVAFDEGKEPNDLELLAMGRDIVQKCAGVPLAIRTIGSLLYSRNLGRSDWLYFSEVEFSKIDQHKDKIFAILKLSYDHLPSFLKKCFAYCSLFPKDFEFDKKTLIQLWVAEGFIQSSRDNRCEEDVGHEYFMNLLSMSLFQDVTLDDFGDILTCKMHDLVHDLAQLVVGKEYAFVEGKKEDIRNRTRYLSSCTSLHFLEKTSSFSNKLRTFIFIGEPLYGSQNFGPPPSLHFPFLLSMKCLRVLTLSGLHLITIPNSIRELKQLRYLDLSMNKFLVSLPLDVTSLHNLQTLKLSRCGELKELPSDISRSLRHLELNDCGKLTCMPCGLGQLTNLQTLTHFLLDSKSKNVDISELSGLNNLRGKLVIKWLDSLRENAAVVESANILLEKQHLQELELRWRLGVNSLWRDPIEERLKMENEKIYIDEPLLKKDEKIMQGLQPHHSIKRLVIDGYCGKSLPDWIGNLSSLLSLEISNCNGLKSLPDGIRDLVSLQRLCIYNCSLLEKRCARGNGVEWSKIAHIPKVLVSAFTPTDLRYIN >Vigun07g010500.1.v1.2 pep primary_assembly:ASM411807v1:7:914308:919256:-1 gene:Vigun07g010500.v1.2 transcript:Vigun07g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTRRDSYSGPLLSSTAQHRKDYNYNCCHRCHHSNPPSFEYVGKDETNDLVSPFPVLESLSQDDVRECAYEIFFTACRSSPGFGSRQLHAFYLNNQENDVKSPNVVMSPTSKVKRALGLKMLKRSPSRRMVSGGSGGPSSPVAGGSPFHNNTPPRPRRPMTSAEIMRQQMRVPEHNDNRLRKTITRTLVGQAGRRAETIILPLELLRHLKPCEFSDSVEYHMWQKRQLRILEAGLLTHPSIPIEKATTFAMRLRDIIRSSESRPIDTGKNSDTLRTLSNSVVSLAWRSSNGTPTDICHWADGFPLNVHLYTSLLQAIFDNRDDTLVLDEVDELLELMKKTWSILGITRAIHNVCFTWVLFQQYVVTGQIEPDLLCATNAMLSEVATDAKREKESFYVKLLTSVLSSILGWAEKRLVNYHEYFQRGNIGQIENLLPVVLSVSQILGEDLSIFEDGEGGKKGDITIVDSSGDRVDYYIRSTIKHAFERIIGALNTKAIELEIKRDFREILLQLAQETEELAIKERENFTPMLRKWHPAAGAVAAMMMHSCYGHVLRQYLGEVTSLTRETVEVLQRAEKVEKVLLQMVVEECGEDNAKPAMKEMVPYEVDSIILNLLRKWINESLSSGKDCLQRAKETETWNPKSKSEPYAPSVAELVNCANTAIEQFFKIPMGITEDIVQELADGLESLFQDYMMFVAACGTKQSYIPTLPPLTRCNRDSKFIKLLKKASPCGASSSETDHISEGHHPRPSTSRGTQRLYVRLNTLHYLLSHINSIEKSLSHTRGVNPSPNRKHNGPYFEIINSSIPTACQHVSEVAAYRLIFLDSNPAFYGGLYLGDVANARIQPALRILKQNITLMTTLVTDRAQALAMREVMKASFDAFLMVLLAGGSSRVFNRSDHVMIQEDFDSLNRVFCTCGEGLIAENLVEREAAVVKGVIALMGQNTEQLMDDFSIATCETSGIGVMGTGQKLPMPPTTGRWNRSDPNTILRVLCHRNDRSANIFLKRTFQLAKRR >Vigun01g108400.1.v1.2 pep primary_assembly:ASM411807v1:1:28086302:28089212:-1 gene:Vigun01g108400.v1.2 transcript:Vigun01g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSEDFSVVVLASDLGVDARPFLEHQQQQEEENWHDCSQYLFPDEDFSDLDQLQFLLFQGTDKNSNRILRIVGKYFPATVVSAERLKRYVFHKICSELPDEPFCIVYMHTTVQKEDNSPGITILRWIYEELPSNFKDRLQIVYFIHPGLWSWLVIATVGRLFLSGGLYWKIKYVSRLQYLWDDIKKGEIEIPAFVKNHDDILEHRPLTDYGIEPDPFHLTGIPSSTYSFGKYEERWAGRDYVS >Vigun11g011266.1.v1.2 pep primary_assembly:ASM411807v1:11:1410927:1412460:-1 gene:Vigun11g011266.v1.2 transcript:Vigun11g011266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNRDGHVYLSEENKILFCHFCKTKFVGAINNRLSEQQKNYIEGTPFWWFPMVTDKVKISRKLLSLLCYNWVERRGGFRIGGQVVEFNLLDVCLGLGLRVLGERIDLNETISDSDTLNIFGGQTVTVELIYHYLLKYDYDVGGVEVFCRIYILLGISEFLLPNKKGIVFPIIFKLVDDIDNIGKYNWGTLCVVDVSVSDEELRHDVVKEAFKQFGNAYRNEEKKEKEEVLRLLEYEEGEIASMEHSISELEEMVAKCEGEVGNEDSPNDGCADDVFDDDGDEDDEMCDHPPVKPHVDEEVVVNDDGGQHSNMYDRMKAQPRRRFKSVATRTPFSVYGNKKNAKRK >Vigun09g278300.1.v1.2 pep primary_assembly:ASM411807v1:9:43791916:43796086:1 gene:Vigun09g278300.v1.2 transcript:Vigun09g278300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVTLQEWFDRVDSEKSGSITAVQLKAALAVGNLQFPLSVVHQMIRMYDFDRNGTMSFQEFVALNNFLLKVQHAFSDLERGRGFLVPDDVFEALVKIGFKLDSPAFYTVCESFDQSKNGRFRLDDFISICIFLQSARNLFNSFDTAKQGRVTLDLNQFVYCTANCRI >Vigun06g028400.1.v1.2 pep primary_assembly:ASM411807v1:6:12612235:12613782:-1 gene:Vigun06g028400.v1.2 transcript:Vigun06g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAVKRYLEKEVGTSSEVDGLPPRFLEPLIMNSLKVDLIEPGRILCSMKIPQRLLNAGNTLHGGATAALVDVVGSAVIPTVGFTVPNTGVSVEINVS >Vigun01g027500.1.v1.2 pep primary_assembly:ASM411807v1:1:3275336:3280249:-1 gene:Vigun01g027500.v1.2 transcript:Vigun01g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHCHAPHHSMAILSPFNSKPLCASLPNPSFITHHNPYPLTTTTHLNNNKPLSLSTVPRKLLCKPTQGKYIRDDYLVKKLSAEEIQELVKGERKVPLIIDFYATWCGPCILMAQELEMLAVEYENKALFVKVDTDDEYEFSRDMQVRGLPTVFFISPDPNKDAIRTEGLIPIQMMRDIIDKDM >Vigun03g242300.1.v1.2 pep primary_assembly:ASM411807v1:3:40463386:40470007:-1 gene:Vigun03g242300.v1.2 transcript:Vigun03g242300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLQTRYSLSICSPRYPYHFPNPYYHNPFLIIPATSTKSLSSFHAPPIAFSAHPTPSRGSPPSPTASALHRQPPPQTPPIKAVAGLAASAVLFLCIGVRLCLASSPPSPLPAGPPVVQEDQTFQDDLDGRKQDDKETIVDKELEEAFNTWKSKSFALTVPLKVVALRGSLPPSWIKDFINCQGRRMKFNVKYYASLESIFSDLTIPFTKGKIGPASALAADIVGIGDSWLKYAIEKAIIEPIRDVEDQEWFKSLNDKWKVYLRRNSEGEIDPKGDIWAAPYRWGCMVIAYKTNKFQEHNLAPVEDWVDLWRPDLAGRISMVDSPREVVGAVLKYMGTSYNTVDINAEVKGGRDAVKHNLALLAKQVRLFDSSNYLKAFGVGDVWVAVGWSSDIIPAAKRLSNVSVVVPKSGASLWADLWAIPAASRIETNRIGGRVRGPSPLIHQWIEFCLQSARALPFKQEVIPGASPSHLQGHSANVPVELTNGRPKLKGNLVDGAPPPDILERCEFLEPLSNSTLSDYHWLITSIQEPSHGLIHKIYHNIISLAKFSGRFNSKLT >Vigun03g297000.1.v1.2 pep primary_assembly:ASM411807v1:3:48337524:48341160:-1 gene:Vigun03g297000.v1.2 transcript:Vigun03g297000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKGREGESVVVRKRIKDKTQHQQQQQQQQHHRQPSPSTARPHQLHRRSVSLPNNQHEESETDIEGSDVSVSEGDDSSWISWFCNLKGNEFLCEVDDDFVQDDFNLCGLSSQVPYYDYALDLILDVEPSHAEMFTEEQNELIESAAEMLYGLIHARYILTSKGMAAMLHKFKNMDFGRCPRVYCSGQPCLPVGQSDIPRSSNVKIFCPKCEDTYYPKSKYQGNMDGAYFGATFPHLFLMTYGNLKPQKPAQNYVPRVFGFKVHKT >Vigun03g297000.2.v1.2 pep primary_assembly:ASM411807v1:3:48337524:48341160:-1 gene:Vigun03g297000.v1.2 transcript:Vigun03g297000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYKGREGESVVVRKRIKDKTQHQQQQQQQQHHRQPSPSTARPHQLHRRSVSLPNNQHEESETDIEGSDVSVSEGDDSSWISWFCNLKGNEFLCEVDDDFVQDDFNLCGLSSQVPYYDYALDLILDVEPSHEMFTEEQNELIESAAEMLYGLIHARYILTSKGMAAMLHKFKNMDFGRCPRVYCSGQPCLPVGQSDIPRSSNVKIFCPKCEDTYYPKSKYQGNMDGAYFGATFPHLFLMTYGNLKPQKPAQNYVPRVFGFKVHKT >Vigun05g082500.1.v1.2 pep primary_assembly:ASM411807v1:5:7771597:7775835:-1 gene:Vigun05g082500.v1.2 transcript:Vigun05g082500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNADAFRPSSSPSASPNARRISGILSPTNIIQAPLSALLEYSGILPSRSSNHHSQPAPDAVNNDGEVSIRIIGPAEQEQQPREEQHSPPAASGLVVGLAHSQNDAVLPSSSPRSDGAEESAGAGGDGVGRDSSYQRYDIQHAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVLFKSNDIMRKQTALKGERKIPVLIGISVGFALHVVGVYWWYQNDDLMYPLIMLPPKDIPPFWHAIFIIMVNDTLVRQAAMVVKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAAVKALSRKEMHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Vigun03g133100.1.v1.2 pep primary_assembly:ASM411807v1:3:13046787:13049330:1 gene:Vigun03g133100.v1.2 transcript:Vigun03g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSLIVCFMALCAIDLAQSASSPQAPAPSVDCSSLVLTMADCLSFVTNGSTITKPEGTCCSGLKSVLKTAPICLCEAFKSSAQFGVILNATKATTLPTACKVSAPSVANCGLSEAPAAAAPANGVSPKASPSPKASGASSSGAAREVSPAPAPAKGNAASFPISAGTLLVGILVATFSGF >Vigun08g020800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1807522:1808118:-1 gene:Vigun08g020800.v1.2 transcript:Vigun08g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKQCSSSFSSHSSASESNPSNNHNNNNNCVRIRGAWSAEEDRILTGLVEAHGPRNWALISRHVKGRSGKSCRLRWCNQLSPAVEHRPFTTREDALILHAHDRLGNKWAAIARMLPGRTDNAVKNHWNATLKRRASQRRRFWDEPLTALTLAPPGSGGGGGGWTAGFRDVVAREVREYVSFSFSDKLGSNEKLSKY >Vigun03g150700.1.v1.2 pep primary_assembly:ASM411807v1:3:15769883:15776242:-1 gene:Vigun03g150700.v1.2 transcript:Vigun03g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATVWWRARAISAGVGSDWRLSEARIRKRCISVSTRSSCSSSPLTLIVSSMPYEKELAAAKKAATLAARLCQKVQKALLQSDVHSKSDKSPVTVADYGSQALVSFILERELPSEPFSIVAEEDSGDLRKESGQETLKRITELVNDTLASEGSDSFPTLTTDDVLAAIDNGKSEGGPVGQHWVLDPIDGTKGFVRGDQYAIALGLLHEGKVVLGVLACPNLPLASIGSNQQHSSNEVGCLFFAKVGDGAYMQTLGGSTQTRVHVWDVDNPEEASLFESFEAAHSSHDLSSSIAEKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGIVTDAAGNPLDFSKGKFLDVVSGIIVTNQKLMPSLLRAVKEALNEKASSL >Vigun07g292200.3.v1.2 pep primary_assembly:ASM411807v1:7:40350203:40360108:1 gene:Vigun07g292200.v1.2 transcript:Vigun07g292200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNKIVNPLITFEHKRDAYGFTVRPQHLQRYREYANIYKEEEEERSERWSLFLERQAESTKLATDRLVVGDGEKVLGDEVAEPEADPSSEKGFHEASNRIPDDSESAAENDSQKEVPATEAKVHRVQLWTEIRPTLRTIEDMMSVRVKKKGGSVKDERVKKYVLKDDEIIETEKSPLHSDDVKSPKGVFEEDSEEEYYDVEKSDPSPDMPLADGTNASANGITADAAPPEASFPWREELEVLIRGGVPMALRGELWQAFVGVKARRVEKYYQDLLASESDSEIKTDQQSLQSIDSNGKTGGDFVRMPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQSINETRLQQLRNKHRPAVIASIEERSKGLKAWRDSQGLASKLFGFKHDSKTEQSTDMQVLDSLSRTESGSTNADEILISLTGEGEIDSVPDLQEQVVWLKVELCRLLEEKRSSILRAEELETALMEMVKQDNRRQLSAKVEQLEEDVAQLRQALSDKQEQETAMLQVLMRVEQEQKVTEDARRFAEQDAAAQRYAAQVLQEKYEEATAALTEMEKRAVMAESMLEATLQYQHGQVKVLQSPRSQSESPVSRNSQEPTAEIPARRISLLSRPFGLGWRDRNKGKPSNVEEPAEEKPSNLEEPAEGKPSVEEKNTIYEQEGIKVQQDGSRSEVIA >Vigun07g292200.1.v1.2 pep primary_assembly:ASM411807v1:7:40350204:40360108:1 gene:Vigun07g292200.v1.2 transcript:Vigun07g292200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNKIVNPLITFEHKRDAYGFTVRPQHLQRYREYANIYKEEEEERSERWSLFLERQAESTKLATDRLVVGDGEKVLGDEVAEPEADPSSEKGFHEASNRIPDDSESAAENDSQKEVPATEAKVHRVQLWTEIRPTLRTIEDMMSVRVKKKGGSVKDERVKKYVLKDDEIIETEKSPLHSDDVKSPKGVFEEDSEEEYYDVEKSDPSPDMPLADGTNASANGITADAAPPEASFPWREELEVLIRGGVPMALRGELWQAFVGVKARRVEKYYQDLLASESDSEIKTDQQSLQSIDSNGKTGGDFVRMPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQSINETRLQQLRNKHRPAVIASIEERSKGLKAWRDSQGLASKLFGFKHDSKTEQSTDMQVLDSLSRTESGSTNADEILISLTGEGEIDSVPDLQEQVVWLKVELCRLLEEKRSSILRAEELETALMEMVKQDNRRQLSAKVEQLEEDVAQLRQALSDKQEQETAMLQVLMRVEQEQKVTEDARRFAEQDAAAQRYAAQVLQEKYEEATAALTEMEKRAVMAESMLEATLQYQHGQVKVLQSPRSQSESPVSRNSQEPTAEIPARRISLLSRPFGLGWRDRNKGKPSNVEEPAEEKPSNLEEPAEGKPSVEEKNTIYEQEGIKVQQDGSRSEVIA >Vigun07g292200.2.v1.2 pep primary_assembly:ASM411807v1:7:40350204:40360090:1 gene:Vigun07g292200.v1.2 transcript:Vigun07g292200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNKIVNPLITFEHKRDAYGFTVRPQHLQRYREYANIYKEEEEERSERWSLFLERQAESTKLATDRLVVGDGEKVLGDEVAEPEADPSSEKGFHEASNRIPDDSESAAENDSQKEVPATEAKVHRVQLWTEIRPTLRTIEDMMSVRVKKKGGSVKDERVKKYVLKDDEIIETEKSPLHSDDVKSPKGVFEEDSEEEYYDVEKSDPSPDMPLADGTNASANGITADAAPPEASFPWREELEVLIRGGVPMALRGELWQAFVGVKARRVEKYYQDLLASESDSEIKTDQQSLQSIDSNGKTGGDFVRMPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQSINETRLQQLRNKHRPAVIASIEERSKGLKAWRDSQGLASKLFGFKHDSKTEQSTDMQVLDSLSRTESGSTNADEILISLTGEGEIDSVPDLQEQVVWLKVELCRLLEEKRSSILRAEELETALMEMVKQDNRRQLSAKVEQLEEDVAQLRQALSDKQEQETAMLQVLMRVEQEQKVTEDARRFAEQDAAAQRYAAQVLQEKYEEATAALTEMEKRAVMAESMLEATLQYQHGQVKVLQSPRSQSESPVSRNSQEPTAEIPARRISLLSRPFGLGWRDRNKGKPSNVEEPAEEKPSNLEEPAEGKPSVEEKNTIYEQEGIKVQQDGSRSEVIA >Vigun05g241500.1.v1.2 pep primary_assembly:ASM411807v1:5:43475255:43477162:1 gene:Vigun05g241500.v1.2 transcript:Vigun05g241500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHATYFFTSFLLVSVLNVAKGQPLVPALFIFGDSVVDVGNNNHLLTIVKANFPPYGRDFNNHSSTGRFCNGKLATDYTAESLGFTSYPPPYLNLDVKGTNLLNGANFASAASGYYDSTAKLYHTIPLSKQLEHYKESQNILVGIVGQSNASSIISGAIHLVSAGNSDFIQNYYINPLLYKLYSADQFSDILMQHYSTFIQNLYALGARRIGVTTLPPMGCLPAAITLFGSGSNECVVRLNSDAVNFNKKLNTTSQSLQKSLSGLKLVILDIYQPLYDLVTKPSENGFFEARKACCGTGLLETSILCNKKSVGTCANASEYVFWDGFHPSEAANKVLAGDLLAAGISLIS >Vigun05g291432.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47762173:47762667:-1 gene:Vigun05g291432.v1.2 transcript:Vigun05g291432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQIQIHFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun05g291432.2.v1.2 pep primary_assembly:ASM411807v1:5:47761754:47762613:-1 gene:Vigun05g291432.v1.2 transcript:Vigun05g291432.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQIQIHFQNLLFQFLNPLFLPSSIFMRFINIYLFRYARNIPQKVKLKEKVAFVKNS >Vigun05g047000.1.v1.2 pep primary_assembly:ASM411807v1:5:3928318:3933708:1 gene:Vigun05g047000.v1.2 transcript:Vigun05g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDVRLEEDDLEMENGRVPVSAILESKEPKTVQPIENIPKSGANEEDVQVNIVGSGSVVFGGKAVEDVCEDATDYECSSSSSFGDTDSDTQDASTFTNNGIESLPMCDGDQSKTSLYRKNKATTWHWKSFIHPVRWRSKWLELQVKKLNTLALKYDKELAAYEHRKQLEFSKFTIDDLNVKSVPIYDDIGRNKVMKRKKRNKAEERDLSSYVSKHNIFSYYENKNRGICVEDSCGDTLNFTEEVKFNDTLSPTDLEDNDKTIIDVIKRIEELQSHVGKLKTRIDNVVRENPGKFCSVTQLGMTGPSDGLNHSGHNSSSLVGNDNTFPARFFRASSQYKSELDKEDLLLTQNTLPTRPMTTPFIETTGMPQHEFLQENTKDDILIQNQAAKEELHDFESIRNQFMEKAKESVEEHKSMSTENPVSALQVGSASNLNLRRSKRRGRRKIVSKGWKRR >Vigun02g021500.1.v1.2 pep primary_assembly:ASM411807v1:2:7433802:7469286:-1 gene:Vigun02g021500.v1.2 transcript:Vigun02g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQNQRPATSTTPSRSLQFHPARGPILDLFNLYLGLGRNSRNKPEESLREPPNKTQKRVHALNRELPPPNEQFILDFEQLQSQFPDQDQLRSVTEAILISLVVQCSGHGPRADFLLFVLRSLCGIGCINWDSLLQSLLSSVSSAELPVGQPSQAVPTVSSSSLSQTGMLPPPSTIANSSNFQSSNPASPLTSVHAIGSPAQSTIEPLSCAAMSPVKSSDISSAGQQSKLRGGSSVRNNDISNSSLRQLCCKIILIGLEFSLKPLTYAEIFNHMLNWLVNWDQRQQGINESDVIKSWRPDKAVIAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPTVSGEAATHMRLSPITYSSVLGEPLHGEDIATSIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLVLAPCYRLSSPVPTAGAVFSSEMICEATIDRIVELLKMTNSEINCWQDWLVFSDIFYFLIKSGCIDFVDFVDKLVSRLTEGDHHILKTNHVTWLLAQIIRIEQVMNALNTDPRKVETTRKILSFHREDKSSDPNNSQSILLDFVSSCQNLRIWSLNSSTREYLNNEQLQKGKQIDEWWRQASKGDRMMDYMNMDERSIGMFWVVTYTMAQPACETVMNWLNSAGVADLLPGTNLQPAERLMATREVSPLPMSLLAGFSINLCVKLSYQMEDSLFSGQVIPSIAMVETYTRLLLLAPHSLFRSHFNHLVQRNPSLLSKPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISAIKGKRGDHRVFRLAENLCLNLLFSLRDFFLVKREGKGPTDFTETLNRVTVITLAILIKTRGVADAEHLLYLQSMLEQIMATSVHTWSEKTLHHFPSVLREALSGRTDKRSLAIQTWQQAETTVINQCNQLLSPSADPSYVMTYISHSFPQHRQYLCTGALILMHGHAENINSGNLGRVLREFSPEEVTSNIYTMVDVLLHHMQIELQQGHSLQDLMLKACASIAFFVWTNELLPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLFCMTRGFPEHWLYSGIFKRVELQKALGNHLAWKDRYPVFFDDIAARLLPVIPLIIYRLIENDAMDTAERVLAMYTHLLAYYPLRFTFVRDILAYFYGHLPGKLIVRILNVLDVSKIPFSESFPQQISSTNPVMCPPLDYFTTLLLGIVNNVIPPLHNNSKSGSMGDASNNALRTTQSKPAAVSQSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPVSASQIVQSLVQIVVNIQPTLIQSSNALHGGSNSAGQGSVLPTSPSGGSTDSLGASRSTPSVSGINTSNFASRSGYTCQQLSCLLIQACGLLLAQLPSDFHSQLYLETTRIIKENWWLKDGTRSLGEIDSAVGYALLDPTWAAQDNTSTAIGNVVALLHSFFSNLPQEWVEGTTVIIKQLRPVTSVALLRIAFRIMGPLLPKLANAHALFNKILSSLLSILVDVFGKNSQTTIGVDASDITDIIDFLHHVIHYEGQGGPVQGSSKARPEVLALLGRASESLRPDIQHLISHLNSNVNASVYAASHPKLQNPT >Vigun07g060500.1.v1.2 pep primary_assembly:ASM411807v1:7:6841143:6846055:1 gene:Vigun07g060500.v1.2 transcript:Vigun07g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLSLSLAILLLSGFRVEARLQPHATSYQKLFVFGDSYVDTGNTRIDQPGSWKNPYGITFPGKPAGRFSDGRVITDYIAKFLGLKSPLPYKFRKFIPQNLKYGMNFAYGGTGVFDTSSKNPNMTIQIDFFQELIKENVYTASDLSKSVALVSVAGNDYNFYLARNGSIQGFPSFIASVVNQTATNLLRIQSLGVKKIVVNGLQPLGCLPETTASSSFQKCNSTFNDLVLLHNNLLNQAVTKLNQETKNQTTFIVLDLYDSFLSVLNHPSSNNIKDALEPCCVGISSQYFCGSVDENNVKKYKVCDNPKSAFFWDLLHPTQAGWLAVYNELQTTKALQQIRY >Vigun01g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23312291:23313489:1 gene:Vigun01g082300.v1.2 transcript:Vigun01g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQRSIEVKLISCKDLRAFNFFQKLTVYATVFIDSEDPKREMTEERRQRQRTLTHRESDGDGSNPEWNNYARFDLGKLSRSPRHSDYDDLFLCFEFRHDGVILGDKIVGECRVAFSDMIRDGAAGAARIVSYEVRSPEGKPNGVFNFSYKLIGFGIGIGNWGGIVSGMHSSQILQGRISGYPVLAPEDCACAPNRVQYPTCDIDNTCCYPTVALPVGCPVYPAAAPPPPVMLPSYGEFHFNYPQPSSPPPPVAYPYPPPPPPAVHAYPHFGPEAHPWLPGPYSERRW >Vigun03g331800.1.v1.2 pep primary_assembly:ASM411807v1:3:52939929:52948283:-1 gene:Vigun03g331800.v1.2 transcript:Vigun03g331800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNPAPRTKQSAAASPAVQSGVGGAANGATSPDADASNVSDHKPHNPSKIELAPPPSEGSEYSTIKLECERALTTLRRGNHNKAMKLLREICAREEGSPHSAFVHRVHSLMCFKTATVITDPSSKQRHLKNALESARRAVELMPNSVEYAHFRATVMLEAATEGKDYEDVVHECERGLAIENPSDPAKETLQDESEQKASSTEERIAHVQNELRQLIQKSNIASLSSWMKNLSNGEERFRFPIRRTPEDPMEVRLVQTRRPNEIKKVTKTPEERRKEIEVRVAAARLLQQKSEAPQSPNEGDRDDRPLDSSAGSGQRIGDRRRHGNVKKSGFTAEKMKWVHSYWNSVTMDRKKDFLRVKICDLKSHYGSSKDTLPNDILSEALSYAEVNKNWKFWPCCNCEEKHSNPDSHRHHVVQEHMGSLSPQMQRLLPQNVDSEWIEMILNCSWKPLDVLAAVRMLDNKARFKSPSLPEDLYLDHHTLDYNVCFKEASSSYIEKESSGDTLRNCLEECNNHCKIIENDVREGVEDQLSGVDRIIDCWPVSDDPERAKLLGKIHAMFETLIRHKCLAASHLNKVIQFTIGEIQGLAAGSQLLNHGVDQTPMCICFLGPSQLKTIFQFLQEISHACGLARNADKGSSPTNDSLNISQGSEIKDKIVLDGDASRLLLDECLLQSQVTAGTNQGSVLDDVTTPRSPDGISCYNNALLSWIFSSSAIGDQVTSWLRIREDKINKGKEIVQMLEKEFYNLQGLCEKKGERLSYEEALQTVEDLCLEEGKKRETVGEFVQRSYESVLRKRREELIESENDMMYVSNRFELDAISNVLQEAEARNVNQFGYEETYAGVTSQLCDLESGEEDEWRMKDYLHQMDGCIENAIQKLKEHLSIELSKIDARIIRSVTEMQQLEFKLGPISANDYRAILVPLVKSYLRALLEDLAEKDAREKSDAASEAFLAELALDSKKTVKGGSENTKHVEKTKDRKKNKDHRKARDLKASGDHVQFSVGSTIPDSNLVAPESDFLDHEAVSMNDDDLEQLEEEFRRKIELEEEEKKLEETLEFQRRIENEAKQRHLAEQQKRSSGFYLEVEEDLQDFQTKAVTDSLDSYKHDQLVQDNGSRSSLDGVLMPTTNGSIYLHQSKVKQSDLPNGVIRENGLPVADRRTGKKHKRRNSSRPVDGKIESFSSEKENTEDTHPESHLRERLKFNNSQDNNNVWQNNGSNVMIELPVKDAEEERFQADLEIAVRQSLDTFQARGNLPSASSLRMSQRAYSVVDSVDCPPIEDPTDNLNGATLLGTGLKNEVGEYNCFLNVIIQSLWHLRRFRVEFLGRSRTEHDHVGNPCVVCALYEIFTALDIASKDSRREAVAPTSLRIALSNLYPHSSFFQEAQMNDASEVLAVIFDCLHRSFTRGSSVSDEESAESNCMGSWDCANDSCIAHSLFGMNIFEQMNCYHCGLESRHMKYTSFFHNINASALRDMKEKSSASFFDNLLNLVEMNHQLACDPEADGCGKLNHIHHFLSTPPHVFMTVLGWQNTCESADDITATLAALSTTINISALYGGLNLECTHNLVSVVCYYGQHYHCFAYSHDHEQWIMYDDKTVKVIGGWADVLTMCERGHLQPQVLFFEAVN >VigunL059435.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000262.1:21235:22663:-1 gene:VigunL059435.v1.2 transcript:VigunL059435.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSCHPPKLSLPTTQESIVFGVLAGPPLASNNPRRGLPLLPTTQESIVFGVLAWPPIVSNNPRVGRIWSFTSLPRKPTTKRSVVFGVQYSLQSIPTSQKPAFFAVRLSLPRFQLSSKLPSSQFGQVHSFPASSPKLPWAQFGLVHSFPASSPQVVLFAVRPSSLFSSVIPPSCLVRSSCHPPKLSLPTTQESIVFGVLAGPPLASNNPRVHSIRSSSGASPCFQQPKSP >VigunL068801.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:76200:77248:1 gene:VigunL068801.v1.2 transcript:VigunL068801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISERHGKGCFYVSSRSPSSWNCRSCSS >Vigun04g053200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4996654:4997346:1 gene:Vigun04g053200.v1.2 transcript:Vigun04g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKAKGAKELEVKDELQVEFVLSAGIVKDCELSLPYVIQLSNDKWNLFLAWQGFEETLIGFLKEGEDVREGIEVNAYDKGGHEFQMMLKKWVKDSNQFYVLNRGWFGFYNQHSLQQHDLIALPVFRHAITDILSLLVTFTRIR >Vigun11g071950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20589758:20590003:-1 gene:Vigun11g071950.v1.2 transcript:Vigun11g071950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPIDVLETIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun02g086600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24124600:24132723:1 gene:Vigun02g086600.v1.2 transcript:Vigun02g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSSLIARCAHTKSLTTLRAVHNNVIKSGFTYSFLGHKLIDGYIKCGTLAEARKLFDELPNRHIVTWNSMISSHISHGKSKEAVEFYGNMLVEGVLPDAYTFSAISKAFSHLGLLRHGQRAHGLAVVLGLEVQDVFVASALVDMYAKFDKMRDAHLVFHRVLEKDVVLFTALIVGYAQHGLDGEALEVYEDMVNRGVKPNEYTLACILISCGNLGDLVNGQLIHGLVIKSGLESVVASQTSLLTMYSRCHMVEDSIKVFNLLDYANQVTWTSFVVGLVQNGREETAVSIFREMIRCLIRPNPFTLSSIFQACSSLAMLEVGEQMHAITMKLGLDGNKYTGAALINLYGKCGNVENARYVFDVLTELDVVAINSMIYAYAQNGFGHEALELFERMEILGLVPNGVTFISILLACNNAGLVEEGCRIFASIRNNHKIELTRDHFTCMIDLLGRSRRLEEAAMLIEEVRNPDVVLWRTLLNTCKIHGEVEMAEKAMRKILEFAPEDGGTHILLTNLYASAGKWNQVIEMKSTIRDMKLKKSPAMSWVDIDREVHTFMAGDLSHPRAHEIFEMLHGLIEKVKILGYNPDTRFVLQDLDEEKKMSSLYYHSEKLAIAFALWKTIGRTTTIRIFKNLRVCGDCHSWIKFVSLLTGRYIIARDAKRFHHFKGGLCSCKDYW >Vigun02g140600.1.v1.2 pep primary_assembly:ASM411807v1:2:28945102:28946380:1 gene:Vigun02g140600.v1.2 transcript:Vigun02g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAKQEEKPLTTPSYSSDVDNGVVKDVVLWRRKKLNAIVIVVATAAWVLMEVFEFNFLTVISWVAILVVASTFLYANMLRLLGKERPNLLRLELSEETSRRIANEVRAWFEKTIRWLVLVGVRKKWQVFVGVVAGLWSLSYIGRCMDLLTVVYIGVLVGMAIPLTYVKNEEKIKRFGEWLREKYKRCCEIIDEKTIQKIKSRIPKEKKTE >Vigun09g258900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42429982:42431668:-1 gene:Vigun09g258900.v1.2 transcript:Vigun09g258900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHRYINTQTKSHEAPQNTRIFSLFREKKIEIEIKMVGVFRRSLSFPNKNPNRPSQKPHISHHIRSISLPCRSHPLISEIKDEINGLRSWAATSKAQQQTSTTLSQGLTLLKDTHETLQHILNLPQTLESLRSHPLWLQNLLEDFLRFVDAFGIFQTSVMALKEEHSSAQMAIRKRDDSKVVAYVKAKNKISKEIENIVSVLRCVSLAQHQQHCTQQAPTSLVDAELRHVIADVMSVTVSVSAALFNGMAASFASRRLSWAQMVKLSRKGGRVKKDREGVEELRERDVVEMENLRNLKKKDDEEVRLILKKMRDLEERICAIENDTEKVLRALINSRVALLNLLTLTQ >Vigun07g183500.1.v1.2 pep primary_assembly:ASM411807v1:7:30027993:30058341:-1 gene:Vigun07g183500.v1.2 transcript:Vigun07g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQRSGRISAYFSASKPILSRKRPSDSSPLHSHRIKNAGDVGSDSFAKRVPLAEVSLNMFNATGNYHGPSSESIHGSFNARSVSLSALGSANENLCRALFETPRREPEGSKPKELDYFAASGLLDDDFDDSFLEQIDILVEQKSAEKAAEQQFDRICDEKVLNQSNISGEVSLSSGCSTVSVGLGNDYLLNYGVDLDTIQEEVDTSLQGLLNSNSSMPEEYLKYLQSLNDRQREAACTDISTPLMIVAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTTAAASEMRERIGAIAGKTTAKELTISTFHSFSLQLCRSHGEKLGRTSEFFIYGQGQQRNAIIEAIRLLENEKSRNKDGALLMDDLSNSPKNPKQFKDKAKKWQKFVAQAKASGRTSAECRAMGNEIGAEILENYNNILKSCNALDYHDLINCSVMLLSDFPEVLKECQDSWKAIVIDEFQDTSAMQYKFLKILASHHKITIVGDDDQSIYSFNGADISGFTSFRTDFPNYKEIRLNKNYRSTRCIVEAASCLIQNNSKRCQLKNVLTDNSSGSKIVMKECHNEDAQCAFVVDKILEMSSNHSTDKCCFGNVAILYRRQVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVRTIIAMLRTTLPGCDDGSYGRVFKALLPLEKDKKKRIIDHINKISTIRKCSFLSAAYDIFSAKISGIFKRSELTHGRKVLTTLEMISKLTQREKSISAIITSVANMIPEKYLLEQRAITDVDGGTFLNEDYDIRSVLQYLLDDVSEFLSTKFVEVEREREISEDKGCVFVLKAFIDYLFERERENFRARRRDNENSVTLTTIHQAKGLEWDVVFIVKANDSEIPLIHNFKGTLKDTAALVEEERRLLYVAMTRARQKLFMLYVLMDSNWQMLQPSRFLKEIPGSLIEVQGETNLQELQIKHEAFQKEPTPCTTDLLIKERQTEADVVPMPPEILDNHSSEISNELALFAEANSGNDFLRRFSVQDRSIVSHLFHQWAKKKAFQDPKRLLDKVSFVIDERLRQKKNKNKDLLNTLKPCLSCDEAFQYAQYVLRWEQIPADKRAYLMREKQEHFLKLKIENAMGSATPTVKQISYLKKLGCTVTPTSRLHASHLIEQYKSL >Vigun07g183500.3.v1.2 pep primary_assembly:ASM411807v1:7:30027993:30058341:-1 gene:Vigun07g183500.v1.2 transcript:Vigun07g183500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQRSGRISAYFSASKPILSRKRPSDSSPLHSHRIKNAGDVGSDSFAKRVPLAEVSLNMFNATGNYHGPSSESIHGSFNARSVSLSALGSANENLCRALFETPRREPEGSKPKELDYFAASGLLDDDFDDSFLEQIDILVEQKSAEKAAEQQFDRICDEKVLNQSNISGEVSLSSGCSTVSVGLGNDYLLNYGVDLDTIQEEVDTSLQGLLNSNSSMPEEYLKYLQSLNDRQREAACTDISTPLMIVAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTTAAASEMRERIGAIAGKTTAKELTISTFHSFSLQLCRSHGEKLGRTSEFFIYGQGQQRNAIIEAIRLLENEKSRNKDGALLMDDLSNSPKNPKQFKDKAKKWQKFVAQAKASGRTSAECRAMGNEIGAEILENYNNILKSCNALDYHDLINCSVMLLSDFPEVLKECQDSWKAIVIDEFQDTSAMQYKFLKILASHHKITIVGDDDQSIYSFNGADISGFTSFRTDFPNYKEIRLNKNYRSTRCIVEAASCLIQNNSKRCQLKNVLTDNSSGSKIVMKECHNEDAQCAFVVDKILEMSSNHSTDKCCFGNVAILYRRQVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVRTIIAMLRTTLPGCDDGSYGRVFKALLPLEKDKKKRIIDHINKISTIRKCSFLSAAYDIFSAKISGIFKRSELTHGRKVLTTLEMISKLTQREKSISAIITSVANMIPEKYLLEQRAITDVDGGTFLNEDYDIRSVLQYLLDDVSEFLSTKFVEVEREREISEDKGCVFVLKAFIDYLFERERENFRARRRDNENSVTLTTIHQAKGLEWDVVFIVKANDSEIPLIHNFKGTLKDTAALVEEERRLLYVAMTRARQKLFMLYVLMDSNWQMLQPSRFLKEIPGSLIEVQGETNLQELQIKHEAFQKEPTPCTTDLLIKERQTEADVVPMPPEILDNHSSEISNELALFAEANSGNDFLRRFSVQDRSIVSHLFHQWAKKKAFQDPKRLLDKDLLNTLKPCLSCDEAFQYAQYVLRWEQIPADKRAYLMREKQEHFLKLKIENAMGSATPTVKQISYLKKLGCTVTPTSRLHASHLIEQYKSL >Vigun07g183500.2.v1.2 pep primary_assembly:ASM411807v1:7:30027993:30058344:-1 gene:Vigun07g183500.v1.2 transcript:Vigun07g183500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQRSGRISAYFSASKPILSRKRPSDSSPLHSHRIKNAGDVGSDSFAKRVPLAEVSLNMFNATGNYHGPSSESIHGSFNARSVSLSALGSANENLCRALFETPRREPEGSKPKELDYFAASGLLDDDFDDSFLEQIDILVEQKSAEKAAEQQFDRICDEKVLNQSNISGEVSLSSGCSTVSVGLGNDYLLNYGVDLDTIQEEVDTSLQGLLNSNSSMPEEYLKYLQSLNDRQREAACTDISTPLMIVAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTTAAASEMRERIGAIAGKTTAKELTISTFHSFSLQLCRSHGEKLGRTSEFFIYGQGQQRNAIIEAIRLLENEKSRNKDGALLMDDLSNSPKNPKQFKDKAKKWQKFVAQAKASGRTSAECRAMGNEIGAEILENYNNILKSCNALDYHDLINCSVMLLSDFPEECQDSWKAIVIDEFQDTSAMQYKFLKILASHHKITIVGDDDQSIYSFNGADISGFTSFRTDFPNYKEIRLNKNYRSTRCIVEAASCLIQNNSKRCQLKNVLTDNSSGSKIVMKECHNEDAQCAFVVDKILEMSSNHSTDKCCFGNVAILYRRQVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVRTIIAMLRTTLPGCDDGSYGRVFKALLPLEKDKKKRIIDHINKISTIRKCSFLSAAYDIFSAKISGIFKRSELTHGRKVLTTLEMISKLTQREKSISAIITSVANMIPEKYLLEQRAITDVDGGTFLNEDYDIRSVLQYLLDDVSEFLSTKFVEVEREREISEDKGCVFVLKAFIDYLFERERENFRARRRDNENSVTLTTIHQAKGLEWDVVFIVKANDSEIPLIHNFKGTLKDTAALVEEERRLLYVAMTRARQKLFMLYVLMDSNWQMLQPSRFLKEIPGSLIEVQGETNLQELQIKHEAFQKEPTPCTTDLLIKERQTEADVVPMPPEILDNHSSEISNELALFAEANSGNDFLRRFSVQDRSIVSHLFHQWAKKKAFQDPKRLLDKVSFVIDERLRQKKNKNKDLLNTLKPCLSCDEAFQYAQYVLRWEQIPADKRAYLMREKQEHFLKLKIENAMGSATPTVKQISYLKKLGCTVTPTSRLHASHLIEQYKSL >VigunL059096.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000610.1:7546:8556:1 gene:VigunL059096.v1.2 transcript:VigunL059096.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSTYGANNRTILWNFNTIYICSQPFGFVDRHFAIAYEHELVPQWHLVDVNGKSTTVTYNMDSNNPKIIEGWSNMRDLYDIKSDSHMRFQYLGNSLFHDLPSKFANFIRDENVEDVFLIGSKTIVNCKILISNKNRSSTKIGQGWRLFCSENELKEGDIVVFQAYNDFIEPNIEVFVNGYCCD >Vigun05g170950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30537875:30538624:-1 gene:Vigun05g170950.v1.2 transcript:Vigun05g170950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFIKEKKKKKKKKRKKKKKKKKKKKKKRKKKTKKKKKRKKRKRKKRKKRKKKKNKKRKKKKKKKKKRKKKKKKKRKKRKKKKKKKKKKKRKKRKKKRKKKRKKRKKKKKKKKKKKKKKKKKKKNKKMMMMMMMMMKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNKKKKRKRKKRKRKKKKTKKKKEKEKEKEKEKEKEKEKEKEKEKKKKKKKKKKKKEKEKEKEKKNKEKEKEKKEKEK >Vigun11g102400.1.v1.2 pep primary_assembly:ASM411807v1:11:29912054:29916546:1 gene:Vigun11g102400.v1.2 transcript:Vigun11g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLGLASKNNIFSSLIQFHSLMDESYEEVEEAVSPPGQYFNSSVICSYVFGFLEIAIPIDDSKTIPLIKDVFLPINPRFSSIMIRDENGKRRWKRVEVKPEEHVKIPKFPKSESYDHYFDDYVTSILTERTPQNKPLWEIHIIKYPTSNAAGTIIFKLHHALGDGYSLMGALLSCLQRIDDPSLPLTFPSRTSSSSQGSKKNMIQKLPSFISSFFSSMSDFGSSLVKTRMVVDDKTPIRSGYEGTESMPFALSSISLSLDHVKAIKTKLGVTINDVITGIIFYGIRLYMQEIDYMARKAKSTAVVMLNTRNVKGYQSVKEMQNSKVKGLWGNKISFLQITIPKLSQSENCNPLEFVWNARKLIKKKRRSFSVYLIGLLLDLEMKLKGPEAVAKIIYKTLGNCSVVISNIFGPMEQMALANHPISGLYFTMTGGPENIDITIMSYVKVLRITLRTLKGFIDEQKFKLCIEKAFDVIFKAAMEISRTPNK >Vigun10g030300.2.v1.2 pep primary_assembly:ASM411807v1:10:3880407:3885521:-1 gene:Vigun10g030300.v1.2 transcript:Vigun10g030300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTNQQTSLVPLHLESSHVPLLQYSDRVQIKTLLDRSETIVGQHVTVGGWVKSAKEVAKPAAPYSLSLSTTTNDPAGHGKGKDVSCVEILQSKIPLIRSILDVFGGSGYVQRKKRESVVTEPNHATVLPPKASVAYLLLTDGSCVSSLQVVVDSSIASPNRVMSTGTCLLVEGQLERASEGKHVIELKAEKVLHIGTVDFDKYPLSKKRIPLDTLRDYSHFRPRTTTVATVMRIRSALSFATHTFFNKHEFIDVQVPTITTIDSEGFSNMFKVTTLDQNQKAEKKEKLDTIYENEGVSLEHVKAAAKEKSNIVEHLQRTESNREALAAAVQDLKKTNDLASQLEAREKRKLGTSFKDDKVDFFPFQTYLTVSGRLHLESYACALGNVYSFGPRFLADKADSAKLAAEMWMVEVEMAFSHLKDSMNCANDLFKYLCNWVLEHCSEEMNFVAKRIDTTCVNRLQQIIAGSPKMLTYHEAIEILKKSSSQTEDKKYETNFESGFVLTSEHTSFLAEAIFKNPIIIYNYPKEAKPFYVRQNDDGTVAAFELVVPKLLENFCSLEQ >Vigun10g030300.1.v1.2 pep primary_assembly:ASM411807v1:10:3880407:3885521:-1 gene:Vigun10g030300.v1.2 transcript:Vigun10g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTNQQTSLVPLHLESSHVPLLQYSDRVQIKTLLDRSETIVGQHVTVGGWVKSAKEVAKPAAPYSLSLSTTTNDPAGHGKGKDVSCVEILQSKIPLIRSILDVFGGSGYVQRKKRESVVTEPNHATVLPPKASVAYLLLTDGSCVSSLQVVVDSSIASPNRVMSTGTCLLVEGQLERASEGKHVIELKAEKVLHIGTVDFDKYPLSKKRIPLDTLRDYSHFRPRTTTVATVMRIRSALSFATHTFFNKHEFIDVQVPTITTIDSEGFSNMFKVTTLDQNQKAEKKEKLDTIYENEGVSLEHVKAAAKEKSNIVEHLQRTESNREALAAAVQDLKKTNDLASQLEAREKRKLGTSFKDDKVDFFPFQTYLTVSGRLHLESYACALGNVYSFGPRFLADKADSAKLAAEMWMVEVEMAFSHLKDSMNCANDLFKYLCNWVLEHCSEEMNFVAKRIDTTCVNRLQQIIAGSPKMLTYHEAIEILKKSSSQTEDKKYETNFESGFVLTSEHTSFLAEAIFKNPIIIYNYPKEAKPFYVRQNDDGTVAAFELVVPKLGTVISGSQNEERLNMISSRIDELGLGKEKYEWYLDLRINGTVMNSGFTLRFDLMVLFATGLANVRDVIPFPRSYGKANN >Vigun07g121300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22394002:22395756:1 gene:Vigun07g121300.v1.2 transcript:Vigun07g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKANLLWLLGTCMCVIVAHHEAGAAGPIGAAGPAGAASPIGAARPVGASRPAGAARPAGAAGAARPAGAAGPASAAGAAGPAGAVGAARPVGAAGVAGAAGPAGATGAAGPAGAADPTGAAGELRGKELIKSVCKTRGNSELCLKVLMSDPSSPEASLKDLEIITLKAAAENASNILVDAKMMIDDPTLEPAIQQGLSDCKENLLDAESQIQDTIASVLSDDKKDALTWMKAALAAIDTCDESIPGDDDILSKRSVSFRQLCNIAVSINKRMMGVNA >Vigun01g090100.4.v1.2 pep primary_assembly:ASM411807v1:1:25019802:25022403:1 gene:Vigun01g090100.v1.2 transcript:Vigun01g090100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGSMPAPQASAESWVDMVNEFQKGALSTRLRIPVFYGVDAVHGHNTLYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPELVQAMTEIIPGLQGDIPDNLPKGVPFISGKEKVIGSAKHYVGDGGTVDGINEHNTVIDRDGLMKIHMPPYLTSINKGVASIMVSYSSWNGVKMHAHHDLITSFLKNTLHFKGFVISDFKGIDRITTPHRANMTYSIEAGVSAGIDMFMYPKLYTEFIDGLSMLVKSERIPMSRIDDAEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKKAPKILVAGIHADNLGYQCGGWTIQWQGVSGNNLLEGTTILNAVKNTVDPETTVIYKEKPDAEFVKSNEFSYGIVVVGEPPYAETHGDNMKLEIPDPAPEIIRNVCGTTKCVVIVISGRPLVIEPYVGMVDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFGFGLSTEPTKTFFS >Vigun01g090100.1.v1.2 pep primary_assembly:ASM411807v1:1:25019096:25022358:1 gene:Vigun01g090100.v1.2 transcript:Vigun01g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKSPCCWWGFGYYLTGQGLLSVDQMKYKDPNQSIDARVEDLSRMTLEEKIGQMLQVERKHTSADLVNKYFIGSVMSEGGSMPAPQASAESWVDMVNEFQKGALSTRLRIPVFYGVDAVHGHNTLYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPELVQAMTEIIPGLQGDIPDNLPKGVPFISGKEKVIGSAKHYVGDGGTVDGINEHNTVIDRDGLMKIHMPPYLTSINKGVASIMVSYSSWNGVKMHAHHDLITSFLKNTLHFKGFVISDFKGIDRITTPHRANMTYSIEAGVSAGIDMFMYPKLYTEFIDGLSMLVKSERIPMSRIDDAEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKKAPKILVAGIHADNLGYQCGGWTIQWQGVSGNNLLEGTTILNAVKNTVDPETTVIYKEKPDAEFVKSNEFSYGIVVVGEPPYAETHGDNMKLEIPDPAPEIIRNVCGTTKCVVIVISGRPLVIEPYVGMVDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFGFGLSTEPTKTFFS >Vigun01g090100.3.v1.2 pep primary_assembly:ASM411807v1:1:25019096:25022358:1 gene:Vigun01g090100.v1.2 transcript:Vigun01g090100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGSMPAPQASAESWVDMVNEFQKGALSTRLRIPVFYGVDAVHGHNTLYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPELVQAMTEIIPGLQGDIPDNLPKGVPFISGKEKVIGSAKHYVGDGGTVDGINEHNTVIDRDGLMKIHMPPYLTSINKGVASIMVSYSSWNGVKMHAHHDLITSFLKNTLHFKGFVISDFKGIDRITTPHRANMTYSIEAGVSAGIDMFMYPKLYTEFIDGLSMLVKSERIPMSRIDDAEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKKAPKILVAGIHADNLGYQCGGWTIQWQGVSGNNLLEGTTILNAVKNTVDPETTVIYKEKPDAEFVKSNEFSYGIVVVGEPPYAETHGDNMKLEIPDPAPEIIRNVCGTTKCVVIVISGRPLVIEPYVGMVDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFGFGLSTEPTKTFFS >Vigun01g090100.2.v1.2 pep primary_assembly:ASM411807v1:1:25019088:25022409:1 gene:Vigun01g090100.v1.2 transcript:Vigun01g090100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKSPCCWWGFGYYLTGQGLLSVDQMKYKDPNQSIDARVEDLSRMTLEEKIGQMLQVERKHTSADLVNKYFIGSVMSEGGSMPAPQASAESWVDMVNEFQKGALSTRLRIPVFYGVDAVHGHNTLYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPELVQAMTEIIPGLQGDIPDNLPKGVPFISGKEKVIGSAKHYVGDGGTVDGINEHNTVIDRDGLMKIHMPPYLTSINKGVASIMVSYSSWNGVKMHAHHDLITSFLKNTLHFKGFVISDFKGIDRITTPHRANMTYSIEAGVSAGIDMFMYPKLYTEFIDGLSMLVKSERIPMSRIDDAVRRILWVKFMMGIYLRTLLQITV >Vigun06g218700.1.v1.2 pep primary_assembly:ASM411807v1:6:32913570:32914403:-1 gene:Vigun06g218700.v1.2 transcript:Vigun06g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTEKVKGEAVQIIEAFEVLPKLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILLALKEKGIDVAIASRSPTADIATAFLNKLGLSSLFVAQEIYSSWTHKTDHFQRIHSRTAVPFNSMLFFDDENRNIQSVSKMGVTSILVGDGVNLGSLREGLTQFSRNWNASQKNKQKWLSKYSNKPDTSNPAA >VigunL016300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:883582:884101:1 gene:VigunL016300.v1.2 transcript:VigunL016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTGERSFADIITSIRYWIIHSITIPSLFIAGLLFVSTGLAYDGFGSPCPNEYFTENRQGIPLITGHFDPLEQLDEFNQYQQCSSSNDKFNLNYRAMTQSNLNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun05g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2849916:2851104:1 gene:Vigun05g034800.v1.2 transcript:Vigun05g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun03g433100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63713745:63715464:1 gene:Vigun03g433100.v1.2 transcript:Vigun03g433100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCGIIHLFIFSIALLSLGSSSFAVNAPSNKPRSFILPIEKDQKTLQYSTSVEMGTPAVTLDLVIDIRERFLWFECGSDYNSSTYRPVRCGTKNCIQAKGTDCISCLNHPLKTGCTNNTCGVQPFNPFGAFYVSGDVGQDTLSSAHSTTRARTPSNLHVPAFISSCVYPDKFGVEGFLLGLARGKKGLLGLANTAVSLPAQLATKYHLQRKFALCLPSSSKFNKLGDLFVGGGPYYLPPHDASKFLTYTPLVTNPHSTGPIFDDDPSSEYFIDVKSIKVDGKTVNVNTSLLSIDELGNGGTKLSTVIPYTTLHSSIYRPLVNAFVKKAAVRKMKRVSSVAPFGACFSSRTVGANVPAIDLVLGGGVEWRIYGSNSMVKVNKKVQCLGFVDGGLDGSPIATSIVIGGYQMEDNLVEFDLASSKLGFSSSLLLHNATCSHFRVV >Vigun02g010933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4298139:4299934:1 gene:Vigun02g010933.v1.2 transcript:Vigun02g010933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQLLSRPVIVLLDAEAQIHCGHKHQPLGLHCRSFRHCTVHRYSLLQPLHERKGLSFFAPKKKSPNRIHTKISLIEHSRTSSSSPIFLQPITTTVLTVTLTATPTSSISNSDELRLVVCRQLGLHTTNIAQHQDGVVTVIEGKVVF >Vigun10g071152.1.v1.2 pep primary_assembly:ASM411807v1:10:17423101:17425020:-1 gene:Vigun10g071152.v1.2 transcript:Vigun10g071152.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFISMFLKDQDFGHVDRVFIIRCWKDLATKWIVVDYQSNVHHVTYNMDIHTPMITQGWNQLRSFYGDHQLSAEDHPELFFEIESERTSRDIKVLYPFFWY >Vigun08g054700.2.v1.2 pep primary_assembly:ASM411807v1:8:6696880:6701126:-1 gene:Vigun08g054700.v1.2 transcript:Vigun08g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIDATSAAPFDFEILDSDSYIVRSVRASSNRANPWIEPERLKLRHRIGRGVFGDVWLATHHQSTEDYDECHEVAAKMLHAIGEDHMKTVIEKFNELYFKCQGVASMSWLHGISILDGRICIIMNLYAGSIGDNIAALKEGGISLHDALRYGVNLAQAVLELHSLGILILNLKPFNVVLNENDQAILGDFGIPSLLLESSFLSTYMDKRLGTPNYMAPEQWEPEVRGPISFETDSWGFGCTIVEMLTGNQPWYGCPVSRIYQSVVENHEKPNIPSGLPPSVENILSGCFEYDLRNRPLMVDILSVFQRALNELANDGEWRYMGNVKVNPKSDSSGYTKWFLSKDHLQVGDMVRTRKLPNSCRPQNMDIPEGAVVSLESNTDHRFAIVRVHGYDDPIRVHASSLERVTSGLVAGDWVCLREQNEKHSHVGILHAINRDSSVSVGFLGLHALWDGNSSELKKVEPFCVGQFVRLKDSVSCPRFEWRRKRGGAWAAGRISWILPNGGLVVKFPGMLDFGNEANTYMADPSEVEVVEFRTCPGIIEKYEHLEDHHWAIRPVLVAFGLFTALKFGILVGKKVRRNNKVNAVEIESHYLGGQNQNANSATTTSTTTTMRSSNAAKQGNAAWLPLVPNVMFKEAGNSSNSR >Vigun08g054700.1.v1.2 pep primary_assembly:ASM411807v1:8:6696880:6700969:-1 gene:Vigun08g054700.v1.2 transcript:Vigun08g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSKEVIDATSAAPFDFEILDSDSYIVRSVRASSNRANPWIEPERLKLRHRIGRGVFGDVWLATHHQSTEDYDECHEVAAKMLHAIGEDHMKTVIEKFNELYFKCQGVASMSWLHGISILDGRICIIMNLYAGSIGDNIAALKEGGISLHDALRYGVNLAQAVLELHSLGILILNLKPFNVVLNENDQAILGDFGIPSLLLESSFLSTYMDKRLGTPNYMAPEQWEPEVRGPISFETDSWGFGCTIVEMLTGNQPWYGCPVSRIYQSVVENHEKPNIPSGLPPSVENILSGCFEYDLRNRPLMVDILSVFQRALNELANDGEWRYMGNVKVNPKSDSSGYTKWFLSKDHLQVGDMVRTRKLPNSCRPQNMDIPEGAVVSLESNTDHRFAIVRVHGYDDPIRVHASSLERVTSGLVAGDWVCLREQNEKHSHVGILHAINRDSSVSVGFLGLHALWDGNSSELKKVEPFCVGQFVRLKDSVSCPRFEWRRKRGGAWAAGRISWILPNGGLVVKFPGMLDFGNEANTYMADPSEVEVVEFRTCPGIIEKYEHLEDHHWAIRPVLVAFGLFTALKFGILVGKKVRRNNKVNAVEIESHYLGGQNQNANSATTTSTTTTMRSSNAAKQGNAAWLPLVPNVMFKEAGNSSNSR >Vigun08g182600.1.v1.2 pep primary_assembly:ASM411807v1:8:35210600:35212850:1 gene:Vigun08g182600.v1.2 transcript:Vigun08g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKDEELAMPRDAKIVKCLLKSMGVGDYEPPVIHTFLELWYRYIVDVLTDAQVYSEHAGKSEIDCDDVKLAIQSKLNFSFSQPPPREVLLELAKNRNKIPLPKTIAGPGIPLPPDQDTLISPNYMFGIPSQGSGEGEETEDEETTIPNPSQEEKIDMQQQDPHQRVSFPLPKCEKDYF >Vigun03g441500.1.v1.2 pep primary_assembly:ASM411807v1:3:64413621:64422982:1 gene:Vigun03g441500.v1.2 transcript:Vigun03g441500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIETPAVHHLSGGADHHRHSSKRKLDEEDDGDFSDLVCVRMRKEEAVNSWSGSSGDAGSGSAAALQNTRSHIQFFVRMMSAGNTIVMQAFPEDSVKSIHERIQSMKGIPVFEQRLIYRGKQLQWEQTLAECSIQNDANLQLVGRMRSTEHPQAWQIINDMVSLVYRLCCGETVHDSLKTIKGLITSYLNMTPRIDNESASGYFQIFMSSSAPDVLVMLYVSPYAGNKDCAESSVRHFLSSCRNTLSKALHGQCACVVLEFCKLLRRVGSNDPLYLYCRSTFGSLLETAGVSYAGSDNAKGLVLIKDIFPFVFELVNCLLMDLDSSMESHRAVGPLSNDVVDFTAFLVPLRTGIKEQQAVDGSMAEDKSNNDLLHAKEIEYLHCLYIQLLNKIDQCLQKMDQSLAGQEMMEGDNLYPGWSHYLSILKELYQISKLYDGAEEKLWSVLRRHRNVLCLLIVRYAKRTDEHQWILEHRFVTNFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIARAEPESLHAGLFMEFKNEEATGPGVLREWFLLVCQAIFNPQNALFVACPTDRRRFFPNPASKVHPLHLEYFSFAGRVIALALMHRVQVGIVFDRVFFLQLAGSYIGLEDIRSADPCLYNGCKQILDMDADFIDSDALGLTFVREVEELGQRKVVELCSGGKNVVVNSKNRDKYVELLIQDRFVTSISEQVSHFAKGFADILSNSKLQQYFFQSLDLEDLDWMLHGSEDTISVEDWKAHTEYNGYSETDIQISWFWEIVGSMTADQRKVLLFFWTSVKYLPVEGFRGLASRLYIYRSLEPGDRLPSSHTCFFRLCFPAYLSMAVMKERLELITQEHIGCSFGTW >Vigun06g107500.2.v1.2 pep primary_assembly:ASM411807v1:6:23684275:23685598:1 gene:Vigun06g107500.v1.2 transcript:Vigun06g107500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLGSSEGVTGTVYFSQDGNGPTTVTGTLTGLKPGHHGFHVHALGDTTNGCLSTGPHFNPNNKEHGAPEDENRHAGDLGNVNVGDDGTASFSITDSQIPLTGPNSIIGRAVVVHADPDDLGKGIH >Vigun06g107500.1.v1.2 pep primary_assembly:ASM411807v1:6:23683118:23687299:1 gene:Vigun06g107500.v1.2 transcript:Vigun06g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLGSSEGVTGTVYFSQDGNGPTTVTGTLTGLKPGHHGFHVHALGDTTNGCLSTGPHFNPNNKEHGAPEDENRHAGDLGNVNVGDDGTASFSITDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Vigun02g135700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28554440:28556734:1 gene:Vigun02g135700.v1.2 transcript:Vigun02g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQSSKPSSSDVPTPIKMGTHSLYADDLSSYEAACVEDPNLQSLDATIQERTNKVISSLASGIEVRSISIESLGEVTGSLLEMNRDVAKVILECKQDIWNKKDKELFSLVEEFFENSLQTLKFCNNLDKCLNRARQRHVMVKSAITYFEEEVQNGVEGSTYLKTLQELKGFKEAGDPFTEEFYSLFQSVYEKQASMLKKLQIRKQKLDKKLKSLKTLKRVSNAIFVAAFVSVLIFSVVAAAIAAPPVVTALAGALAVPVGSVGKWCDSLFKRYETALKSQTELISSMHAGSYITLVDLNNIRVRIDQLEIKIESMLQSADFALRNEEGVRFAIDEIKKNIDAFAETIEALSKQADECSRQIRRARTVVVKKIINYSG >Vigun02g131400.1.v1.2 pep primary_assembly:ASM411807v1:2:28239673:28240801:-1 gene:Vigun02g131400.v1.2 transcript:Vigun02g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTLSSPNTSLRWTPQKNKLFENALAIYDKDTPDRWNNIAMFVGETEAEVKRQYEMLLEDIKNIESGKVPLPAYRRNAGCTKVSISNAEQRLRDLKL >Vigun04g093000.4.v1.2 pep primary_assembly:ASM411807v1:4:18214222:18214700:-1 gene:Vigun04g093000.v1.2 transcript:Vigun04g093000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCVMQVRYAPHLPIVLRGLTCTFTAGSKTGIKGRTGSGKITIVQTLFRLVEPVAGQILINSINITMIGIHDLRSKLSIIPQEPTMFEGTVRTNLDPLEEYKYEQIWQPLEMCQLGDAVRKKKGSLTP >Vigun04g093000.3.v1.2 pep primary_assembly:ASM411807v1:4:18214222:18217123:-1 gene:Vigun04g093000.v1.2 transcript:Vigun04g093000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCVMQVRYAPHLPIVLRGLTCTFTAGSKTGIKGRTGSGKITIVQTLFRLVEPVAGQILINSINITMIGIHDLRSKLSIIPQEPTMFEGTVRTNLDPLEEYKYEQIWQPLEMCQLGDAVRKKKGSLTP >Vigun04g093000.2.v1.2 pep primary_assembly:ASM411807v1:4:18214222:18217123:-1 gene:Vigun04g093000.v1.2 transcript:Vigun04g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCVMQVRYAPHLPIVLRGLTCTFTAGSKTGIKGRTGSGKITIVQTLFRLVEPVAGQILINSINITMIGIHDLRSKLSIIPQEPTMFEGTVRTNLDPLEEYKYEQIWQPLEMCQLGDAVRKKKGSLTP >Vigun08g106400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26409433:26410842:-1 gene:Vigun08g106400.v1.2 transcript:Vigun08g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLTCNAETAIAICHPHSPKKNKKPASPTQAQTVPHFAYSDIVAATNAFSAGNFLGKGSHGSVYKAILHGGALIAAVKITKPSKEIEILSNLKSRRLVNLIGFCNDRTNCNNNKLIVVEYMPNGSLHDLLHSTKTVGPPGWTARVRFAVQVAKAVRFLHSSEPPVIHRDIKSSNVLIDQKWKARLGDFGLAFRGYVADSRVPPAGTLGYLDPCYLAPGDLSPKSDVFSFGILLLEIASGRHAIDVRHSPPSVLDWAVPLVRRGHFREICDPRIGAPPDMAAFRRMMVLASRCVTSTAERRPTIAEVVECLTAVKKNFDESLMWMRMKRSVVKARGDWFPYRDRSEESVRIDKGGSRNCRRNGKVSSVLGVEYDNGAANRAVRCKSVGSVFFREMKINSVMERFPNQFGFGYRKVRLKRSRSVGLLEHYGNESNTCHERHNDTHNNDYDRVSSTEMEVSKLGIVDKNLD >Vigun03g398500.1.v1.2 pep primary_assembly:ASM411807v1:3:60521500:60523446:1 gene:Vigun03g398500.v1.2 transcript:Vigun03g398500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTNTTPIVGKLYCSSSQTVHVVRKRPHVVNGGGFVVMDSSGQRVLFRVDGCGVRGKKGDLILREGDGDALLLMLRKGGIVEALSIYKKWKGYSLDYEGTRKLVFSLREPNSCLFKNKAIRIFTRNRGCDFKISGYFPDKCCSIVDSKGNEVAQVRVMKEVEELVENKDLYHVVVKPGMDQAFVFGVIAILDHIYGESTHC >Vigun03g398500.2.v1.2 pep primary_assembly:ASM411807v1:3:60521500:60523447:1 gene:Vigun03g398500.v1.2 transcript:Vigun03g398500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTNTTPIVGKLYCSSSQTVHVVRKRPHVVNGGGFVVMDSSGQRVLFRVDGCGVRGKKGDLILREGDGDALLLMLRKGGIVEALSIYKKWKGYSLDYEGTRKLVFSLREPNSCLFKNKAIRIFTRNRGCDFKISGYFPDKCCSIVDSKGNEVAQVWVC >Vigun03g411900.2.v1.2 pep primary_assembly:ASM411807v1:3:61906185:61910661:-1 gene:Vigun03g411900.v1.2 transcript:Vigun03g411900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIDLKNHKWGHYFICGYKGFYDYAKQKGVDIGEPVGLDVLVDGIVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKEIAQVTCACERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESEKAVTAATNYNNRVVECRLASIVLAIKLGMDPKEAISKVSTLSDVEGLCVKFAGTRNSSDPVLAVKEYLKEEPYTAKEIEEVTGEKLTSFLGNNAAYLEVLKVAKHYKLHQRAAHVYSEAKRVHAFKDVVSSNLSDEEKLKKLGDLMNDSHHSCSVLYECSCPELEELVNVCRKNGALGARLTGAGWGGCAVALVKENIVPQFILNLKECFYQSRIDKGVIKKNDLGLYVFASKPSSGAAIFKF >Vigun03g411900.1.v1.2 pep primary_assembly:ASM411807v1:3:61906185:61911415:-1 gene:Vigun03g411900.v1.2 transcript:Vigun03g411900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEELPIPIYNNLELVYGGGSALEEAQLRFDTLKSKFSEVFSNQPQVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKNNAEKVLRIANVNGDKYSVCTYPADPLQEIDLKNHKWGHYFICGYKGFYDYAKQKGVDIGEPVGLDVLVDGIVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKEIAQVTCACERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESEKAVTAATNYNNRVVECRLASIVLAIKLGMDPKEAISKVSTLSDVEGLCVKFAGTRNSSDPVLAVKEYLKEEPYTAKEIEEVTGEKLTSFLGNNAAYLEVLKVAKHYKLHQRAAHVYSEAKRVHAFKDVVSSNLSDEEKLKKLGDLMNDSHHSCSVLYECSCPELEELVNVCRKNGALGARLTGAGWGGCAVALVKENIVPQFILNLKECFYQSRIDKGVIKKNDLGLYVFASKPSSGAAIFKF >Vigun09g066633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7036817:7037839:1 gene:Vigun09g066633.v1.2 transcript:Vigun09g066633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLSPTKQIYLMSKTVRPHTHPPFILPCFLLNFAHFHGSYNFLWTKLHSLLQQQHSPLQTYTHLLPHATFFSLFSFSFYFLPKHAPPPTIWSLHTSVFKHCHKVLVFLFLFLYLSHIYIIIHLMIPL >Vigun08g080800.2.v1.2 pep primary_assembly:ASM411807v1:8:16763204:16765691:-1 gene:Vigun08g080800.v1.2 transcript:Vigun08g080800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSEKKKLHQDQPQTPPKLSLLTISRASMPPDLPTPPPRTAVSVPFDWEEAPGRPRPCHSGSRPKGSDDVARTLELPPRLVLLEGKGSSPTTVLDGPYVGRAMSFTTSCRPSKDTWNANFASNRWSALKKNEAEEGSFDLSTSTVEGTSKVKITRVRRKGSFSTLSHRSSHMWCR >Vigun08g080800.1.v1.2 pep primary_assembly:ASM411807v1:8:16763204:16765691:-1 gene:Vigun08g080800.v1.2 transcript:Vigun08g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSEKKKLHQDQPQTPPKLSLLTISRASMPPDLPTPPPRTAVSVPFDWEEAPGRPRPCHSGSRPKGSDDVARTLELPPRLVLLEGKGSSPTTVLDGPYVGRAMSFTTSCRPSKDTWNANFASNRWSALKKNEAEEGSFDLSTSTVEGTSKVKITRVRRKGSFSTLSHRSSHMWVSICETFKQVVPWKRRKEKQGKWMPKFDSV >Vigun03g015500.2.v1.2 pep primary_assembly:ASM411807v1:3:1077922:1081655:-1 gene:Vigun03g015500.v1.2 transcript:Vigun03g015500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTFQESSFALFSFSIYLYKLNFPNSTLHTLSFSATKCKPPPRSSYTNLLYSLVPQDLFKQNQKTKMAAKRFLDDSDQDNNGDKRMRPTTRPSFASVIGEVVMVKNLQNLFSGLEPLLRRVVNEEMERVIGHCVPRSMTRSPSLRIQALEQPTSYELMFEKKLMPTIFTGSRIVDTDGNPLLVVLVDKNDGQTVRTSLPHPIKLEIVVLDGDFPSNSDNNESWTSEEFNNRIVKERTGKRPLLTGELNLTMRDGIAPIEDIEFTDNSSWIRSRKFRVAVRVAPGTNQTVRIREGMTEPFIVRDHRGELYKKHHPPMLNDEVWRLEKIGKDGAFHKKLSKEGINSVQDFLKLSVVDAQRLRRILGAGMSERMWDVTIKHAKTCEKGNKYYVFRGPNFTIFLNSICQLVKADINGQSFPGSELSSFTKSYMERLVREAYTRWNDLEEIDGALLTQGESMEQIPNNLQASASVAYDQNEYYASTHNAQIGGGEWGVNATFGTTSFVNNALPALPYSFSDSQSDSDGATRWN >Vigun05g241800.1.v1.2 pep primary_assembly:ASM411807v1:5:43494955:43500398:-1 gene:Vigun05g241800.v1.2 transcript:Vigun05g241800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRSEVSDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTNKSRYVALKIQKSAQHYTEAAMDEIKILKQIADGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGVSLPVVKEICFHVLVGLDYLHRELSVIHTDLKPENVLLLSPIDPSKDPRKSGVPLILPNTKDKAVTKNGITVVNKNVNGDLTKNQKKKLRKKAKKLAQGFVGREHAEEAEEDSKAPDEQDDCSTDVKPSVESGDNKPNSPVSKDESTKTSETKDVPQGSHGHRRGSRSTRKKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLTKVMMEKYDFSEEDANGMAEFLLPLLDFVPEKRPTAAQCLQHPWFSAGPRTLEPSVATAAIDGEISENMQREKAEQEAVEVGMGNMAIDGNSKKL >Vigun08g208500.3.v1.2 pep primary_assembly:ASM411807v1:8:37136113:37138850:-1 gene:Vigun08g208500.v1.2 transcript:Vigun08g208500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVKCVAELRTKISRLEEEKVGNKSALDALNMKNTELKEEVKKNLTVIERLRTENDKLTDEKLERKTLFESLEKKYGELCASVVKLEDDIKVLMSEGASDCGNTEVEPKPQVCYAVKDEEVIDDYELENDTGEHVPFRAQSAKKGNKDITSEMEVITLSDDDDDECPNQGLHREKAISQIMGENEHPQRVETLTRKRAGASDIQTSSRSTSSDDLYEMDNPPLKRVKTSPASSDTYSLPGYFLTRRI >Vigun08g208500.4.v1.2 pep primary_assembly:ASM411807v1:8:37136113:37138850:-1 gene:Vigun08g208500.v1.2 transcript:Vigun08g208500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVKCVAELRTKISRLEEEKVGNKSALDALNMKNTELKEEVKKNLTVIERLRTENDKLTDEKLERKTLFESLEKKYGELCASVVKLEDDIKVLMSEGASDCGNTEVEPKPQVCYAVKDEEVIDDYELENDTGEHVPFRAQSAKKGNKDITSEMEVITLSDDDDDECPNQGLHREKAISQIMGENEHPQRVETLTRKRAGASDIQTSSRSTSSDDLYEMDNPPLKRVKTSPASSDTYSLPGYFLTRRI >Vigun08g208500.2.v1.2 pep primary_assembly:ASM411807v1:8:37136113:37138784:-1 gene:Vigun08g208500.v1.2 transcript:Vigun08g208500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVKCVAELRTKISRLEEEKVGNKSALDALNMKNTELKEEVKKNLTVIERLRTENDKLTDEKLERKTLFESLEKKYGELCASVVKLEDDIKVLMSEGASDCGNTEVEPKPQVCYAVKDEEVIDDYELENDTGEHVPFRAQSAKKGNKDITSEMEVITLSDDDDDECPNQGLHREKAISQIMGENEHPQRVETLTRKRAGASDIQTSSRSTSSDDLYEMDNPPLKRVKTSPASSDTYSLPGRYFLTRRI >Vigun08g208500.1.v1.2 pep primary_assembly:ASM411807v1:8:37136113:37138850:-1 gene:Vigun08g208500.v1.2 transcript:Vigun08g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVKCVAELRTKISRLEEEKVGNKSALDALNMKNTELKEEVKKNLTVIERLRTENDKLTDEKLERKTLFESLEKKYGELCASVVKLEDDIKVLMSEGASDCGNTEVEPKPQVCYAVKDEEVIDDYELENDTGEHVPFRAQSAKKGNKDITSEMEVITLSDDDDDECPNQGLHREKAISQIMGENEHPQRVETLTRKRAGASDIQTSSRSTSSDDLYEMDNPPLKRVKTSPASSDTYSLPGRYFLTRRI >Vigun02g184000.2.v1.2 pep primary_assembly:ASM411807v1:2:32400153:32403086:-1 gene:Vigun02g184000.v1.2 transcript:Vigun02g184000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNVIVYFLVSTVILSSTFVESRTLFPFQDPPFRQQLSLSPSTAPSSSLVPALFVIGDSSVDCGTNNFLGTFARADHLPYGRDFDTHQPTGRFSNGRIPVDYLALRLGLPFVPSYLGQTGAVDDMIHGVNYASAGAGIILSSGSELGQHISLTQQIQQFTDTFQQFILSMGEDAATNIVSNSVFYISIGINDYIHYYLLNVSNVDNLYLPWHFNHFLASSLWQEIKNLYNLNVRKVVITGLAPIGCAPHYLWEYGNENGECVEQINDMAVEFNFLTRYMVENLAAELPEANIIFCDVFEGSMDILKNHELYGFNVTTEACCGMGKYKGWIMCLSPEMACNNSSNHIWWDQFHPTDAVNAILADNIWNGRHTKMCYPNNLEDMVIKMAK >Vigun07g100900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17845859:17849531:1 gene:Vigun07g100900.v1.2 transcript:Vigun07g100900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKCLPCSGVSGRSAAVYSYSSPGHHIIHSHVKVRGLKCGFRGASFVCEAKRNPDFSRQNKHGHSRGRSRNNDGRDSFENFDDDMFSLKNGPPMSLSTSGKFQSTSVPGPREKEIVELFRKVQARLRERAASKEEKKVEASRVQTKENSTVDSLLKLLKKHSVEQVKRSSGGGRGNDLSSDQLQDSNQYDGGRNTKFSDLDSTPKDEPQEGHVSAVARPRSSFQRRSPVPRVKYQPVSNNEDDMNVLPEGSEDGENNRDQIDLKHDDEPEPDSELDIDSKDELFFPNIGIAALSEDDDFEQTNNDESVEEQPAVQHEDLSALKLSELRVLAKSRGLKGFSKMKKSDLLELLTES >Vigun07g100900.1.v1.2 pep primary_assembly:ASM411807v1:7:17845073:17849531:1 gene:Vigun07g100900.v1.2 transcript:Vigun07g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLHPFLANNLGCGMAEGKCLPCSGVSGRSAAVYSYSSPGHHIIHSHVKVRGLKCGFRGASFVCEAKRNPDFSRQNKHGHSRGRSRNNDGRDSFENFDDDMFSLKNGPPMSLSTSGKFQSTSVPGPREKEIVELFRKVQARLRERAASKEEKKVEASRVQTKENSTVDSLLKLLKKHSVEQVKRSSGGGRGNDLSSDQLQDSNQYDGGRNTKFSDLDSTPKDEPQEGHVSAVARPRSSFQRRSPVPRVKYQPVSNNEDDMNVLPEGSEDGENNRDQIDLKHDDEPEPDSELDIDSKDELFFPNIGIAALSEDDDFEQTNNDESVEEQPAVQHEDLSALKLSELRVLAKSRGLKGFSKMKKSDLLELLTES >Vigun01g072432.1.v1.2 pep primary_assembly:ASM411807v1:1:20085025:20086216:-1 gene:Vigun01g072432.v1.2 transcript:Vigun01g072432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFTPWFRFVLSLSFLSCLFPPLSRCVVEVGFDQPGMNFESNFNCQFHHLAHYNYNPISLSMLIPLSDLIF >Vigun03g266900.2.v1.2 pep primary_assembly:ASM411807v1:3:43728946:43737026:1 gene:Vigun03g266900.v1.2 transcript:Vigun03g266900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSSSTATATLKGKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGATVTEELVPGFKFSRCSYLQSLLRPSIIKELELGKHGLKLLKRNPSSFTPCLDGRYLLLGPDKQLNHSEISKFSLADAEAYPRYESQLENFSKFMDLVLDSPPPESVQHKASINEKLKNKIQNSVFWASCLRHVSSLGQKDMVDFMDLLLSPASKVLNNWFEADVLKATLATDAVIGSTASVHDPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSKAIGNAATEAGAHIVTNAEVSQLLIENSSTVCGVTLADGTEVHSSIVLSNATPYKTFIELVPDDVLPDDFVRAIKHSDYSSLDHPHAGPQHVGTIHIGSESMEDIHSACQDAANGTPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPLDGDWQDHDYRESFAKKCFTLIDEYAPGFSTSIIGYDMLTPPDLERIIGLTGGNIFHGAMGLDSLFLMRPAKGWSNYKTPLQGLYLCGSGAHPGGGVMGAPGRNAARLALQDVREKI >Vigun03g266900.3.v1.2 pep primary_assembly:ASM411807v1:3:43728946:43737026:1 gene:Vigun03g266900.v1.2 transcript:Vigun03g266900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSSSTATATLKGKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGATVTEELVPGFKFSRCSYLQSLLRPSIIKELELGKHGLKLLKRNPSSFTPCLDGRYLLLGPDKQLNHSEISKFSLADAEAYPRYESQLENFSKFMDLVLDSPPPESVQHKASINEKLKNKIQNSVFWASCLRHVSSLGQKDMVDFMDLLLSPASKVLNNWFEADVLKATLATDAVIGSTASVHDPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSKAIGNAATEAGAHIVTNAEVSQLLIENSSTVCGVTLADGTEVHSSIVLSNATPYKTFIELVPDDVLPDDFVRAIKHSDYSSATTKINVAVDKLPEFRSCKLDHPHAGPQHVGTIHIGSESMEDIHSACQDAANGTPSRRPVIEMTIPSVLDKTISPPGIVCKKMLHVD >Vigun03g266900.1.v1.2 pep primary_assembly:ASM411807v1:3:43728946:43737026:1 gene:Vigun03g266900.v1.2 transcript:Vigun03g266900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSSSTATATLKGKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGATVTEELVPGFKFSRCSYLQSLLRPSIIKELELGKHGLKLLKRNPSSFTPCLDGRYLLLGPDKQLNHSEISKFSLADAEAYPRYESQLENFSKFMDLVLDSPPPESVQHKASINEKLKNKIQNSVFWASCLRHVSSLGQKDMVDFMDLLLSPASKVLNNWFEADVLKATLATDAVIGSTASVHDPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSKAIGNAATEAGAHIVTNAEVSQLLIENSSTVCGVTLADGTEVHSSIVLSNATPYKTFIELVPDDVLPDDFVRAIKHSDYSSATTKINVAVDKLPEFRSCKLDHPHAGPQHVGTIHIGSESMEDIHSACQDAANGTPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPLDGDWQDHDYRESFAKKCFTLIDEYAPGFSTSIIGYDMLTPPDLERIIGLTGGNIFHGAMGLDSLFLMRPAKGWSNYKTPLQGLYLCGSGAHPGGGVMGAPGRNAARLALQDVREKI >Vigun06g118700.1.v1.2 pep primary_assembly:ASM411807v1:6:24688824:24693315:1 gene:Vigun06g118700.v1.2 transcript:Vigun06g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRERVRGVSASELKERLRELVKVIVDSDDYTVAAADEAIATLSALKHLKSPDSLDDFPLPPEFRCPISTQLMTDPVILSTGQTYDRPFIQRWLDEGHRTCPQTQQVLSHSILTPNYLVRDMIVQWCRERGIELPETVKDIDEVVTNADRNHLNSLLRKLSLSVSDQKQAAKELRLLTKRMPSIRILVGESSDVIPQLLSPLSSPVTASTDPDLHEDLITTVLNLSIHDDNKKAFAEDPAVISLLIDALKSGTIQTRSNAAAAIFTLSALDSNKHIIGKSGAIKHLLELLDEGQPLAMKDAASAIFNLCLVHENKGRTVRDGAVRVILNKMMDHILVDELLAILALLSSHPRAVEEMGDLGAVPLLLGIIRESASERSKENCVAILYTICFSDRTKLKEIREEEKANGTLSKLAHCGTSRAKRKANGILERFNRSPSLTHTA >VigunL022200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:8048:8407:-1 gene:VigunL022200.v1.2 transcript:VigunL022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun08g040000.2.v1.2 pep primary_assembly:ASM411807v1:8:3926972:3932202:-1 gene:Vigun08g040000.v1.2 transcript:Vigun08g040000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLTCSSNASLCISKPHKVGIAMASGEQKSPPQTQRLQIYPNTNSGVSPFWREKYEREAKRYWDVFYKRHKDRFFKDRHYLDKEWGEYFSGGGKKVILEVGCGAGNTIFPVIASYPDAFVYACDFSPRAVELVQTHEDYKESHVSAFVSDLTADDLCKEILPSSVDIVTMIFMLSAVSPEKMPLVLQNVRKVIKPDGYVLFRDYATGDLAQERFSTKDQKISDNFYVRGDGTRAYYFSNEFLTNLFKENGFDVDKLHVYCKQVENRSRELIMNRRWVQAVFRVSDSSNLSSSQEAEANNLDSDNVDKEIKQNNLNGGLNDSAVDLSEGVAMDMFGFLPSSEYEIIEINLRGWNFKISLLSKEYQHTCKSTGLMLWESARLMASVLAENPSIVAGKRILELGCGSGGICSMIAARDADLVVATDGDGFALDLLAKNVASNIEPSLLTKLTTKRLEWGNKDHIESIKEVVSNRGFDVIIGTDVTYIPEAILPLFATAKELIATGGSHEDGNVPALILCHIFRRVDEPTLLSAAAHFGFRLVDKWPAETSSNLSHSIIDNWFIDNGLKDDLPSTALNILLFCME >Vigun08g040000.1.v1.2 pep primary_assembly:ASM411807v1:8:3926972:3932202:-1 gene:Vigun08g040000.v1.2 transcript:Vigun08g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPRSLKVYFPLPNAGRNPNSKPHKVGIAMASGEQKSPPQTQRLQIYPNTNSGVSPFWREKYEREAKRYWDVFYKRHKDRFFKDRHYLDKEWGEYFSGGGKKVILEVGCGAGNTIFPVIASYPDAFVYACDFSPRAVELVQTHEDYKESHVSAFVSDLTADDLCKEILPSSVDIVTMIFMLSAVSPEKMPLVLQNVRKVIKPDGYVLFRDYATGDLAQERFSTKDQKISDNFYVRGDGTRAYYFSNEFLTNLFKENGFDVDKLHVYCKQVENRSRELIMNRRWVQAVFRVSDSSNLSSSQEAEANNLDSDNVDKEIKQNNLNGGLNDSAVDLSEGVAMDMFGFLPSSEYEIIEINLRGWNFKISLLSKEYQHTCKSTGLMLWESARLMASVLAENPSIVAGKRILELGCGSGGICSMIAARDADLVVATDGDGFALDLLAKNVASNIEPSLLTKLTTKRLEWGNKDHIESIKEVVSNRGFDVIIGTDVTYIPEAILPLFATAKELIATGGSHEDGNVPALILCHIFRRVDEPTLLSAAAHFGFRLVDKWPAETSSNLSHSIIDNWFIDNGLKDDLPSTALNILLFCME >Vigun05g212900.1.v1.2 pep primary_assembly:ASM411807v1:5:40376356:40379836:-1 gene:Vigun05g212900.v1.2 transcript:Vigun05g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFKDPSKLSAYRDRRFPGTQEDFEHALQNSTTVYVGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDTEDACKYISGTILDDRLIRVDFDWGFQDGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQKELEVQRQLVDYGTGSLGSFPPVIPSSYGRHGGGHGHGHGHGGSHRHGRDYYRKRHRDDDRHTHESSKRNSDHESRRNTDHESRPEKNPRFRESGDSDDDEDDDRKRRA >Vigun06g126000.1.v1.2 pep primary_assembly:ASM411807v1:6:25309372:25312660:-1 gene:Vigun06g126000.v1.2 transcript:Vigun06g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEARALWQRTANRCFVQEDAKRAPKLACCQSSCATSKLVDMELDSTADESDHTTVNVTQFNRKSSVSNLSSDSRWWLHLQPNYGCQKGLTYEQLNALDEEVETLIASDVSKNSQEFQELMNVMAKHEIVDVECVGCSESSMKSNEFSLESDYSWIESDTAEPWWRTTDRDELASFVSHKSLNHIENCDLPPQQKKHLRGYPCARMNNCKTRTGNLDSALMHKNQWPSAREGLLYFASDKCSSDTPKHEDVKRSEHIFDENPSKAQLMEALCHSQTRAREAEEAAKKAYAEKEHIVTLIFKQASQLFAYKQWLQLLQLETLYSQIKNKDQPISTLFPVALPWMSYEGRISRKRKQKISNPKQERQVNAKCDITTYVVAFALGLSLVGAGLLLGWTMGWMLPRS >Vigun04g043400.7.v1.2 pep primary_assembly:ASM411807v1:4:3742165:3746745:1 gene:Vigun04g043400.v1.2 transcript:Vigun04g043400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSPVFRYEVFLSFRGEDTRHGFTGNLYKALCDRGIFTFIDDDKLERGEEITPALMKAIEESMIAITVLSHNYASSSFCLDELAATIDCKNKGLLVIPVFYKVDPSDVRHQKGSYGEALTKQQKRFKEKEKLQKWKMALRQVAELSGYHFKDGDGYEYKFIGRVVDEVCQKINPARLHADYTVGLGPQVLRLRKLLNVECGDGFHIIGIHGMGGVGKTTLALALYNLIAGCFDGSCFLGNVREKSNEDGLEHLQSILLSKILGEKDIKLASKHEGISMIQRRLQRKKVLLILDDVDKCEQLQALAGSPDWFGPGSRVIITTRDTQLLASHHVKETYEVNPLNKDDALQLLTKKAFKMEQVDASYVEVLNHAVTYASGLPLALEVIGSNLAGKSVEKWKSAVHQYKRIPNNQIIEILKVSFEALEREEKSVFLDIACCFKGYTLREVEDILGAIYDDCMKHHISVLVDKSLIKIGWGRVEIHDLIEEMGRQIDQQESPEGSGKRRRISLPKDIIQVLKGNTGTSMIEILCLDISIYKEVETLDWNAFGNMKNLKALIIRNCEISGGPNCFPESLRVLEWHGYPLNCFPSSFDPNKLLICKLPDSDFTSFKFPCSSKKKFENLTELNFDYCDLLEQIPDVSHLPNLEKLSFLGCESLIAVDVSVGFLTKLKILIAQHCVELRRFPPLNLPSLEILELSSCLSLDNFPEILGEMGNIRELHLKELPMIKELPVSFQNLTGLQSLDITGCDFLQLNSSVLTPELTDFMVDGCKERKWVNSKDGEEVGSTVASNLRSGCFFSCLNDDFFSAGFTQLTKVTCLILWEANITFLPECIKEFHHLIDIDVSYCKRLQEIRGLPPNLRIFRAIKCTSLTSSGSNMLLNKQLHEAGKTDFIFPGGSIPSWFDKQSRGPSITFWFRNKFPAKVLCLLIAPILDGSNVQLLRPVVLINGKVQRYFFYHTNVTMVESDYIQLFYLGVTPSRYDLIEMPLKEWKHVEVTYEGLFDTSLIKAMGIHAVKDESRSMEDIRYDYPYTTTQVSPCNFFITLFPFFFRFLLALILFTSLMSYPTQE >VigunL059146.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000318.1:3566:4676:1 gene:VigunL059146.v1.2 transcript:VigunL059146.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVEVVMVGLVVVAVALVEVVVVAVVGVVVVTTVVVMVVVVVVVVVVAVEVVGLVVMVGVMVVGLVVAVGVVVVAIVGVAVVVVAVVVVGVVDYSLGSRFRVYGLRFVVVVVVVMVVMVVMVVVVVAVVVVVKVVVSIAVVVVVVAIVIMVVVVMVVVADMGVVAVVVLVVVGLVAVVLVVLVLVLVDVLVMAVVVVVAVVLVVVVVVMVVVVVLVVVVVVLVMVVVALVEVVVMVIVVVVTGVVIMVVVVIVVLVVMVVVVVVVAVVVLPDEGLTPTKDEGTCLRRLSMFKKEVH >Vigun07g151000.1.v1.2 pep primary_assembly:ASM411807v1:7:26127177:26133628:-1 gene:Vigun07g151000.v1.2 transcript:Vigun07g151000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSSARFQCDAGIRNEGRRNSTLICGSTTAETVEEMVFEMVKAKELGADLVEARLDLLKDFHPTQHLPFLINNRPLPILISYRPIWEGGKYEGDETKRQEALRLAIDLECEFVEVELKVVHEFYNDIGLKKAERVKMIVSSHNLENTPSVEEIGNLAATIQSSGADIVKIATTALDITDSARLLQVLLHSQVPMIGIAVGEKGILSRVLCAKFGGFLTFGSIEDGAVSASEQPTIKELLDLYNFRHIGVDTKVHGVIGNPISHSKSPHLYNAAFESMGFDGVYLPFLVDNVSDFLSTYSSLDFVGYSYTIPHKVDGLRCCDEVDPIAKEIGAISCMIRRPSDGKLIGYNMDYLGAIAAIEERLQDSNGRSMSGSPLYGKLFVVIGAGGAGKALAFGGKQKGARIVVANRTYSKAQELANRVGGKAITLSELENFHPEQGMILANATSVGMEPKIHDSLVPKEALKHYSLVFDAIYTPKLTRLLREAREVGAAIVYGTEMFINQAFVQFERFTRLSAPKQLMRDVLARNT >Vigun07g151000.2.v1.2 pep primary_assembly:ASM411807v1:7:26127278:26133489:-1 gene:Vigun07g151000.v1.2 transcript:Vigun07g151000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEMVKAKELGADLVEARLDLLKDFHPTQHLPFLINNRPLPILISYRPIWEGGKYEGDETKRQEALRLAIDLECEFVEVELKVVHEFYNDIGLKKAERVKMIVSSHNLENTPSVEEIGNLAATIQSSGADIVKIATTALDITDSARLLQVLLHSQVPMIGIAVGEKGILSRVLCAKFGGFLTFGSIEDGAVSASEQPTIKELLDLYNFRHIGVDTKVHGVIGNPISHSKSPHLYNAAFESMGFDGVYLPFLVDNVSDFLSTYSSLDFVGYSYTIPHKVDGLRCCDEVDPIAKEIGAISCMIRRPSDGKLIGYNMDYLGAIAAIEERLQDSNGRSMSGSPLYGKLFVVIGAGGAGKALAFGGKQKGARIVVANRTYSKAQELANRVGGKAITLSELENFHPEQGMILANATSVGMEPKIHDSLVPKEALKHYSLVFDAIYTPKLTRLLREAREVGAAIVYGTEMFINQAFVQFERFTRLSAPKQLMRDVLARNT >Vigun07g151000.3.v1.2 pep primary_assembly:ASM411807v1:7:26127278:26133489:-1 gene:Vigun07g151000.v1.2 transcript:Vigun07g151000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSSARFQCDAGIRNEGRRNSTLICGSTTAETVEEMVFEMVKAKELGADLVEARLDLLKDFHPTQHLPFLINNRPLPILISYRPIWEGGKYEGDETKRQEALRLAIDLECEFVEVELKVVHEFYNDIGLKKAERVKMIVSSHNLENTPSVEEIGNLAATIQSSGADIVKIATTALDITDSARLLQVLLHSQVPMIGIAVGEKGILSRVLCAKFGGFLTFGSIEDGAVSASEQPTIKELLDLYNFRHIGVDTKVHGVIGNPISHSKSPHLYNAAFESMGFDGVYLPFLVDNVSDFLSTYSSLDFVGYSYTIPHKVDGLRCCDEVDPIAKEIGAISCMIRRPSDGKLIGYNMDYLGAIAAIEERLQGFYISFCIFFEEGLYKVLVYL >Vigun07g151000.4.v1.2 pep primary_assembly:ASM411807v1:7:26127278:26133489:-1 gene:Vigun07g151000.v1.2 transcript:Vigun07g151000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSSARFQCDAGIRNEGRRNSTLICGSTTAETVEEMVFEMVKAKELGADLVEARLDLLKDFHPTQHLPFLINNRPLPILISYRPIWEGGKYEGDETKRQEALRLAIDLECEFVEVELKVVHEFYNDIGLKKAERVKMIVSSHNLENTPSVEEIGNLAATIQSSGADIVKIATTALDITDSARLLQVLLHSQVPMIGIAVGEKGILSRVLCAKFGGFLTFGSIEDGAVSASEQPTIKELLDLYNFRHIGVDTKVHGVIGNPISHSKSPHLYNAAFESMGFDGVYLPFLVDNVSDFLSTYSSLDFVGYSYTIPHKVDGLRCCDEVDPIAKEIGAISCMIRRPSDGKLIGYNMDYLGAIAAIEERLQDSNGRSMSGSPLYGKLFVVIGAGGAGKALAFGGKQKGARIVVANRTYSKAQELANRVGGKAITLSELENFHPEQGMILANATSVGMEPKIHDSLVPKEALKHYSLVFDAIYTPKLTRLLREAREVGAAIVYGTEMFINQAFVQFERFTRLSAPKQLMRDVLARNT >Vigun10g171900.2.v1.2 pep primary_assembly:ASM411807v1:10:39057076:39060457:1 gene:Vigun10g171900.v1.2 transcript:Vigun10g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEKRKVFVSVCVLSLFIHLFLSANISNFKTLLLLFLLLLVISQLSQATAPASNSNSLQSPLGVTTLSFAKKVFFSQMGGSGRWLKSLISLRKPSATDQEKGGDKSKRKWKLWRGTSEGFGIGSSLQKGQSGGGSFVVDDGAFAAALAAVVRTPLKDFMVIKQEWAAIRIQAVFRGFLALVRVQARVKARNVGKGVGEPCNEADPVQQAEQGWCNIPGTAEEVKAKLQMRLEGAVKRDRTKAYSQSKQKSTVSASPNSKGARAVIPPLKHRSLDSKSSGWGMLDRWMDAKPWESRSMVDMYLDSSDMTPAVTSKSDHFVLPLNSDQQNGSVKARRNGVTTRISAKSLTTSQSTPSSSSISSECMYDDSPLSTSCTSESPPVTSTNNVIVDTTEEGNVCKPSYMNLTASTKAKLKPYRCFSQNSKRIFMDDCVSLSGVTRSSSGSYPSANMWKNIYATPLRTCYQKQYTMEDK >Vigun10g171900.1.v1.2 pep primary_assembly:ASM411807v1:10:39057076:39060457:1 gene:Vigun10g171900.v1.2 transcript:Vigun10g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEKRKVFVSVCVLSLFIHLFLSANISNFKTLLLLFLLLLVISQLSQATAPASNSNSLQSPLGVTTLSFAKKVFFSQMGGSGRWLKSLISLRKPSATDQEKGGDKSKRKWKLWRGTSEGFGIGSSLQKGQSGGGSFVVDDGAFAAALAAVVRTPLKDFMVIKQEWAAIRIQAVFRGFLARRALRALRAVVRLQAIFRGWQVRKQAAVTLRCMQALVRVQARVKARNVGKGVGEPCNEADPVQQAEQGWCNIPGTAEEVKAKLQMRLEGAVKRDRTKAYSQSKQKSTVSASPNSKGARAVIPPLKHRSLDSKSSGWGMLDRWMDAKPWESRSMVDMYLDSSDMTPAVTSKSDHFVLPLNSDQQNGSVKARRNGVTTRISAKSLTTSQSTPSSSSISSECMYDDSPLSTSCTSESPPVTSTNNVIVDTTEEGNVCKPSYMNLTASTKAKLKPYRCFSQNSKRIFMDDCVSLSGVTRSSSGSYPSANMWKNIYATPLRTCYQKQYTMEDK >Vigun03g227900.2.v1.2 pep primary_assembly:ASM411807v1:3:37848886:37853322:1 gene:Vigun03g227900.v1.2 transcript:Vigun03g227900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTKKTGFLLLWGIIHFCSFLLIRCASRNLSEFESSGVTFNDPEVKLHIEKKQITVQNGIIRVTFSNPEGTILGISYNGINNVLEGRNNFNNRGYFDIVWNSPGAPSKLWGIQGNRFSVIEANENEIELSFSRTWNKDDSSPPLNIDKRYILRRGSSGLYIYAIFEHPEDFPAMEIDHIRIVFKLQKDRFRYMAIADDRQRLMPTAEDRSTGQRLDYDEAALITNPSNPQLQGEVDDKYQYSCENKDNKVHGWINLDSKTNKSVGFWMITPTNEFRSGGPTRQGLTSHVGPVTLNILHTTHYSGKEVTMTLHEGDPFKKVYGPVFVYLNSVSRVHDSEKVLWSDAVQQLSEEITRWPYDFPKSEDFVPTNKRGRVEGELLVQDRHIKGGKFLYGQNAYIGLALPGDVGSWQRQSKGYQFWTRADKLGHFTIANIVPGDYNLYAWIPGIFGDYKYNTTITITPGSIIQLGSLIYNPPRNGPTVWEIGIPDRSAAEFHVPNPYPNLINRLYIGKPLHKLVQNSINNCLSFSPAYIHHFSVSLNIDFPTNSFRQYGIWKRYNELYPNEDLTYTVGVSDYSKDWFYAQVPRNIGNNTFHPTVWEIKFHLPFVMRGIYTLRLALASATRSNLMVRFNNPVARPPHFSTGVIGQDNAVARHGIHGLYWAYSIEVESNVLVEGSNIMYLRQAKCTSPFQGIMYDYIRLERPET >Vigun03g227900.3.v1.2 pep primary_assembly:ASM411807v1:3:37848886:37853322:1 gene:Vigun03g227900.v1.2 transcript:Vigun03g227900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTKKTGFLLLWGIIHFCSFLLIRCASRNLSEFESSGVTFNDPEVKLHIEKKQITVQNGIIRVTFSNPEGTILGISYNGINNVLEGRNNFNNRGYFDIVWNSPGAPSKLWGIQGNRFSVIEANENEIELSFSRTWNKDDSSPPLNIDKRYILRRGSSGLYIYAIFEHPEDFPAMEIDHIRIVFKLQKDRFRYMAIADDRQRLMPTAEDRSTGQRLDYDEAALITNPSNPQLQGEVDDKYQYSCENKDNKVHGWINLDSKTNKSVGFWMITPTNEFRSGGPTRQGLTSHVGPVTLNILHTTHYSGKEVTMTLHEGDPFKKVYGPVFVYLNSVSRVHDSEKVLWSDAVQQLSEEITRWPYDFPKSEDFVPTNKRGRVEGELLVQDRHIKGGKFLYGQNAYIGLALPGDVGSWQRQSKGYQFWTRADKLGHFTIANIVPGDYNLYAWIPGIFGDYKYNTTITITPGSIIQLGSLIYNPPRNGPTVWEIGIPDRSAAEFHVPNPYPNLINRLYIGKPLHNFRQYGIWKRYNELYPNEDLTYTVGVSDYSKDWFYAQVPRNIGNNTFHPTVWEIKFHLPFVMRGIYTLRLALASATRSNLMVRFNNPVARPPHFSTGVIGQDNAVARHGIHGLYWAYSIEVESNVLVEGSNIMYLRQAKCTSPFQGIMYDYIRLERPET >Vigun05g295100.1.v1.2 pep primary_assembly:ASM411807v1:5:48043859:48045272:-1 gene:Vigun05g295100.v1.2 transcript:Vigun05g295100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAAAENMNEVGPGYVFKEEIHLTVLKTSLFFAGDGFTVYDCKGQLVFRVDSYGPDTRDRDELVLMDPNGRCLLTVRRKRPSLHQRWEGFKGERMDGDKPIFSVKRASIIGRSRASLTVEMYDNPGEEYQIEGCFSQRCCTVFNVTKESVAEIRRKVDPTTSVVLGKEVFSLCVKAGFDAAFAMGFVLVLDQINGEDYADSGATAEPAVHPTTDD >Vigun05g046060.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3833072:3834553:-1 gene:Vigun05g046060.v1.2 transcript:Vigun05g046060.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELWTQMGSLMATIMFVYAMVERFFPAALRDTLQIHTQKVVNLLYPYVQITFPEFSGERLKRSEAYTAIQTYLSENSSQLAKRLKAEVVKDSQNPLVLSMDDDEEVTDEFRGVKLWWAASKTASNPHAYSFSYYSPMDGKRYFKLTFHKKHRDLITVAYIKHVLEEGKEIALRNRQRKLYTNNPSSGWYGYKQSKWSHIVFEHPATFETLAMEQRKKEEIMNDLVKFRNGKDYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFMNYDVYDLELTAVKDNTELRKLLIETSSKAIIVVEDIDCSLDLTGQRNVKKERSEEEEVKDPNKKEEEESNRSSKVTLSGLLNFIDGIWSACGGERIIIFTTNFVDKLDPALIRTGRMDKHIQLSYCRFEAFKVLAKNYLDVDSHHFFPKIAKLLELTNVTPADVAENLMPKCVNEDVETCLLSLIQALEKKLAEEEEEEGLNEEKDKEMKNNGHSVEDVKGNGFML >Vigun09g232200.1.v1.2 pep primary_assembly:ASM411807v1:9:40350021:40356844:-1 gene:Vigun09g232200.v1.2 transcript:Vigun09g232200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSGQGRQDNNNKGFTKTHNHNNFLPKNPNPTLSTSLRQSQPSIPATTSGAPNRGQNGNFVKYLPQDEAVAAGLGAEDGALDPLESQRVVDLLNTHLSRLLKCKPKQFWTQVAADTSLHEFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGERDLSRRVFMVLYRISSNKDPGARPADALSLRDHGVLLQEKKLIELPKLLDICAIYYHENEELTRSLVRNAFNAQPWLHNNLTAVISHFLGIVSTMHERCSSSLEVLFSSGNLDEHNAAFLQADLLEVMDFINDAIVSMDSFVCAYEPAAVFFSCPVEMSYGNEELLNLLARLHDSLIPSLQKGFRMIFSDKHDGTTSNILVSLKMLKIRLVKFGWQLLHLCYLSDEVFRDSTPLPAATKMFPANVEDPVIRADILVQTFRDINSLSSHSRESHQKETFLQDVERNFNILSGIETLKDSGWIFIDNEQFQYLSGMMSSVKQIYKDPYSATVPVPNQNQSLLTDEDAAIAESNISQIRDLFPDYGKGFLAACLEVYDQNPEEVIQRILEGTLHQDLQRLDTSLETLPPAKPTTVSGNDKGKGKLIDSTSASSNPDVVRGKQQTEGSLMSSSASLGKFVRKSRTDLPDRSILDNKDEKDTSKTAAMILQYEYEDEYDDSFDDLGLSVADSGLEENETLGDQINAKSGKSWATDSGNSVKDVHDSKWGSRRKPQYYVKDGKNYSYKVAGAVAVANSGEASLVTQAQKELIHGLGRGGNLPLGAVKKLTDSYKEDDNQPQVSEMEGNGIPAKSFGRGSRKEGGRQIASHQQPVQQSGDSEVDGNNQRGRGRGRGRGRGGGRNNHHQKDRSMKKHFSGVSGF >Vigun09g232200.3.v1.2 pep primary_assembly:ASM411807v1:9:40350021:40356874:-1 gene:Vigun09g232200.v1.2 transcript:Vigun09g232200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLIELPKLLDICAIYYHENEELTRSLVRNAFNAQPWLHNNLTAVISHFLGIVSTMHERCSSSLEVLFSSGNLDEHNAAFLQADLLEVMDFINDAIVSMDSFVCAYEPAAVFFSCPVEMSYGNEELLNLLARLHDSLIPSLQKGFRMIFSDKHDGTTSNILVSLKMLKIRLVKFGWQLLHLCYLSDEVFRDSTPLPAATKMFPANVEDPVIRADILVQTFRDINSLSSHSRESHQKETFLQDVERNFNILSGIETLKDSGWIFIDNEQFQYLSGMMSSVKQIYKDPYSATVPVPNQNQSLLTDEDAAIAESNISQIRDLFPDYGKGFLAACLEVYDQNPEEVIQRILEGTLHQDLQRLDTSLETLPPAKPTTVSGNDKGKGKLIDSTSASSNPDVVRGKQQTEGSLMSSSASLGKFVRKSRTDLPDRSILDNKDEKDTSKTAAMILQYEYEDEYDDSFDDLGLSVADSGLEENETLGDQINAKSGKSWATDSGNSVKDVHDSKWGSRRKPQYYVKDGKNYSYKVAGAVAVANSGEASLVTQAQKELIHGLGRGGNLPLGAVKKLTDSYKEDDNQPQVSEMEGNGIPAKSFGRGSRKEGGRQIASHQQPVQQSGDSEVDGNNQRGRGRGRGRGRGGGRNNHHQKDRSMKKHFSGVSGF >Vigun09g232200.2.v1.2 pep primary_assembly:ASM411807v1:9:40350021:40356874:-1 gene:Vigun09g232200.v1.2 transcript:Vigun09g232200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLIELPKLLDICAIYYHENEELTRSLVRNAFNAQPWLHNNLTAVISHFLGIVSTMHERCSSSLEVLFSSGNLDEHNAAFLQADLLEVMDFINDAIVSMDSFVCAYEPAAVFFSCPVEMSYGNEELLNLLARLHDSLIPSLQKGFRMIFSDKHDGTTSNILVSLKMLKIRLVKFGWQLLHLCYLSDEVFRDSTPLPAATKMFPANVEDPVIRADILVQTFRDINSLSSHSRESHQKETFLQDVERNFNILSGIETLKDSGWIFIDNEQFQYLSGMMSSVKQIYKDPYSATVPVPNQNQSLLTDEDAAIAESNISQIRDLFPDYGKGFLAACLEVYDQNPEEVIQRILEGTLHQDLQRLDTSLETLPPAKPTTVSGNDKGKGKLIDSTSASSNPDVVRGKQQTEGSLMSSSASLGKFVRKSRTDLPDRSILDNKDEKDTSKTAAMILQYEYEDEYDDSFDDLGLSVADSGLEENETLGDQINAKSGKSWATDSGNSVKDVHDSKWGSRRKPQYYVKDGKNYSYKVAGAVAVANSGEASLVTQAQKELIHGLGRGGNLPLGAVKKLTDSYKEDDNQPQVSEMEGNGIPAKSFGRGSRKEGGRQIASHQQPVQQSGDSEVDGNNQRGRGRGRGRGRGGGRNNHHQKDRSMKKHFSGVSGF >Vigun10g180400.1.v1.2 pep primary_assembly:ASM411807v1:10:39843543:39846652:1 gene:Vigun10g180400.v1.2 transcript:Vigun10g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNCYCYSSNFSQWRTHLASAFLHSGLMANTCCPIELEPRTLNQVQLTQAREVAAEVVQKLEPCDASALFIEGLMHPIQKVMQMEENENLAEKLADCMKKAESVTDNNKACHCQCSCATENSFKEPLSAPF >Vigun03g118500.1.v1.2 pep primary_assembly:ASM411807v1:3:11038595:11043695:-1 gene:Vigun03g118500.v1.2 transcript:Vigun03g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKVSGNTMTLKDFHGGSIPSDLPLPSAPGVTVRPSDRSGFDRPSAWGTQMGRSDHWSRPHTSPATRHYDDKSPFLSHTTPIGRNFDEDERKPLDGVSVPRRTISDESIRGGPPSRVEVRPEYGLGGSSLGRQVTPVSQTPVGMGNSYSARLTDAVHVGMNPQSLGGSKEQGTGGSGGGGYPNAWSMRKEVASAVEPEQPAWSSASAASKLAHASALEKVSSGRWQSKAVHYQADAEIVRSPEVENRPRVNGGVAYNRMDAVGEKEHYDAMLARHAERGLGIDNQMQGGRNELLNYERSGVSRYSDVRPTSAPYHSDGVQLSRNEGRIVGSDLQHPMPSEPTERPKLKLLPRAKPLENSEHAAMEYAQGNRQVNDSGHVETVYLAHGHANIAKPVSAGSDSGKDSGQRPKLNLKPRSHPVEQLDGNSERDRNALFGGARPRELVLKERGIDDVSINSYDVVEHSNRVENNILRAEKHHPEHASQSRYSEKTEDAHLDQRTGRKAERKEQKVDGERVHAQRRNWRGDTRRTVRETDRPQASERQPSPETWRKPVEQQPKGSPGAAGIRYGRAASAVELAQAFSRSVSDPKVNDRFSGQRGLNTGSSRTQVPFSRLVGPTSRPQINGY >Vigun02g046100.1.v1.2 pep primary_assembly:ASM411807v1:2:18384215:18387349:1 gene:Vigun02g046100.v1.2 transcript:Vigun02g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKAFHMTGGVGKTSYAKNSSLQKKASDKVKHIIIQTVEELYLATTPKSIGIADLGCSSGPNTLSIIKDIFHTIQAISHKIMHHSTEFRVYFNDLPTNDFNSIFKALPEFHKLLRQDRKNGLPSIFMGGYPGSFYGRLFPNSYLHFVHSSYSLHWLSRVPPALYDEHKTPLNKGCVYICEASPSVVSEAYSLQFQEDFSLFLRSRSEELVLGGRMVLIFLGRRGPEHVDRGNSFFWEILSRSFATLVSQGEIEQEVFDSYDVHFYAPSKEEIEEEVKKEGSLKLERLEMFEMEKGEDGSESYGTQVAVAVRAIQESMISHHFGERILDSLFENYARLVDQEMAKEDIRPISFVLVLRKI >Vigun03g157700.1.v1.2 pep primary_assembly:ASM411807v1:3:17037777:17041401:1 gene:Vigun03g157700.v1.2 transcript:Vigun03g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLWNAYSNVSDDEEQPKRQRLSFSSSNPPKRHLPSPSPPPLFHQTQSTIPGSYISKRQRALMGPTPAPLPDPVPVPSPFTLPGSISVTDVHHNILSLLKSKAKDHQSQNLISEKLSATLSGHTNAVNAIHWSSTHAHLLASAGMDHTICVWNVWSRNQKKACVLNFHNAAVKDVKWSQQGHFLLSCGYDCTSRLVDVEKGLETQVFREDQIVAVIKFHPDNSNIFLSGGSKGHIKLWDVRTGKVVHNYNRNLGPILDLEFIMNGKQFISSCDVSQSNISENAIIVWDVSREVPLSNQVYAEAYTCPCVRLHPFDSIFVAQSNGNYVAIFTSTPPYRLNKYKRYEGHVISGFPIKCSFSLDGKKLASGSSDGSIFLYDYQSSKVVKKIKAHDQACIDVAFHPIIPNVIASCSWDGSILVFE >Vigun06g232700.1.v1.2 pep primary_assembly:ASM411807v1:6:33872363:33877660:1 gene:Vigun06g232700.v1.2 transcript:Vigun06g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGHSAIPSSLAIPASASTAEPHTQIRTQPQPHRTTVKFRSSKSFPSARKAATLEIQRSSDLPSTLARLGEALTVKDLNAVLYHFKSSNKFNHISQLFKWMQENNKIDVSSYSHYMRFMANNLDAAEMLQLYHNIQDESARKNTLVCNSVLGCLIKKGKFDSGMKLFQQMQLDGLVPDLVTYSTLLAGCIKIENGYPKALELIQELQHNKLQMDGVIYGTILAVCASNSKWEEAEKYFNQMKDEGHSPNVYHYSSLLNAYSTCGSYKKADMLIQDMKSEGLVPNKVILTTLLKVYVKGGLFDKSRELLAELKSLGYAEDEMPYCILMDGLAKAGKIHEAKVIFDEMMKNHVRSDGYAHSIMISALCRAKLFREAKQLAKDFETTSNKYDLVILNSMLCAFCRVGEMESVMETLKKMDELAISPSYNTFHILIKYFCREKMYLLAYRTMKDMHTKGHQPGEELCSSLISHLGQVNAYSEAFSVYNMLRYGKRTMCKSLHEKILYILLAGHLLKDAYVVVKDNAKFISHPATKKFAIAFMKSGNINYINDVLKTLHDSGYKLDQDLFAKAVSRYLDEPEKKDLLLHLLQWMPGQGYVVDSSTRNLILKNSHLFGRQLIAEVLSKQRVQLKHKNLTR >Vigun01g246700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41473760:41474335:-1 gene:Vigun01g246700.v1.2 transcript:Vigun01g246700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEENEVSAQLEFPKSRVKKIITLDKDVKRVSSEALFLVSRSTELFLQFLAEKSAKVAIEKKRKTVNLEHLRMAVKRHQPTRDFLLDDLPPPSRPAKPDGPTQPVVRPKLDAPPPGTRRIDQFFRKPVPQNPAQVQSPENPAQAQSSEIPAQAQAPEIPSQAQSPEVPSQAQSPEVPSQVQSPVPVDES >Vigun04g072633.1.v1.2 pep primary_assembly:ASM411807v1:4:8495414:8495864:1 gene:Vigun04g072633.v1.2 transcript:Vigun04g072633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKTFDIQSPLPYFKLCGKHAKYFKAEETVCIRHKAPLKVKTWKEIPEDNLTIMWKQMEDALWLKEEDKEHAMKQLQKQYRNKHHHLYQTYIQNKDRPNDVHPQDWNWLINN >VigunL059637.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:9617:9880:-1 gene:VigunL059637.v1.2 transcript:VigunL059637.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun07g271300.1.v1.2 pep primary_assembly:ASM411807v1:7:38702343:38706849:-1 gene:Vigun07g271300.v1.2 transcript:Vigun07g271300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNTLSFSSSLSTTATLLPCRRFIAFPRRRFSVQAKIREIFMPALSSTMTEGKIVSWIKSEGDKLSKGDSVVVVESDKADMDVETFYDGILAAIVVPDGETAPVGAPIGLLVDSPEEVAEAKAKAAKSAPSPAAPAAAAPAPAAPESTPPPTPPAKSVSDGPRKTVATPQAKKLAKQHKVDIATVVGSGPFGRITPADVEAAAGIAPSKSNVSPPVSPAPVAPAPAPKSPAGSAAPPPIPGSSVVPFTTMQSAVAKNMVESLSVPTFRVGYPVTTDALDALYAKVKPKGVTMTAILAKAAAMALVQHPVVNATCKDGKNFVYNSNVSVAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVLADKDGFFSVKNKMLVNVTADHRIIYGADLAAFLQTFSKIIENPESLTL >Vigun07g283300.1.v1.2 pep primary_assembly:ASM411807v1:7:39718065:39721033:-1 gene:Vigun07g283300.v1.2 transcript:Vigun07g283300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGLNLRPPKAKKPAPRPSLATPFGFNDDDENDVEREIALQASKNKRLKEVEEQQKKALEEDPSVFDYDGVYDKMKAKETRPLTQDREERKPKYIENLIKKAKEREQYREIVYEKKIAKERSKDDHIYADKDKFVTEAYRKKLAERERQMELERLRELQEEREDVTKKKDFLLDFYSNLDKNVAYGAKDAQARKREKEAESRVAESHEGEGHAPHDHEQRNGSDEVQHSLDNPSSVVESPTEKIGEQGGASTPLDTKPNPEASSVEAKSSDEQPSASEPKPDHHKRSLDALAAAKERFLARKKAKDQ >Vigun07g086900.1.v1.2 pep primary_assembly:ASM411807v1:7:13328843:13331148:1 gene:Vigun07g086900.v1.2 transcript:Vigun07g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSETISWSRLMDCILGWLLLLLCDTSYLLYISTNSLAFLRKIFHLVTLVIIMEVKATQTVEDHTIATLYEASLKGCVSTLHTLIQRNPLILNRVSLSPFTETPLYIASLLGHLEFCEALVKRKPSLVSEADSEGRFPLHLASAEGHTEVVKSLLLTNPDLCYAVDKDDMLPLHFAAMRGRVGTIQELIKTRPDSIHRITHNGSVLHFCVRFNHLEALRFFVQSATMNQDFLLAKDKEGNTLLHLAVKLKQIKTIKYLLTLPEMRTAARALNEAGLTNFEVLEESDPRDFITLKIQNMLTEAGVQIINAKQQGSSSSSSASSASPSIIPTQPAQESKRMKLWETLWLKYLQYRPNWIEEKRGSLMVVATVIASMTFQSAINPPGGVWQEDTHSGGLSCSSYGTCKAGTAVLAYDLAHDGFLRFATFNSVSFFSSLYVVMILLSGLRVDNKPMMWILTIVLFLALSSIGNTYISAQRLVTPHHLAHKVDTVNASFSTVWKIIIAIVVLFHFLHLLILCVKKFRVKPLSSSNQLPLFTGSD >Vigun06g195800.1.v1.2 pep primary_assembly:ASM411807v1:6:31156054:31163239:-1 gene:Vigun06g195800.v1.2 transcript:Vigun06g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHKVILLQLLLFQVLLVASQTDSRDYSALNSLTQSWSNKPQSWVGQDPCSGWDGIRCSNTRITQLRVPGLNIGGQLSSAIESLSELDTLDLSYNTGLTGTIPAEIGNMKNLKSLSLVGCGFSGPIPDSIGSLTQLTFLALNSNKFSGNIPRSLGNLTNINWLDLAENELEGTIPVSDDQGRPGLDLLLKAQHFHMGSNKLTGTIPEKLFNSSMILEHVLFDHNQLEGSVPSSISSVGTLEVLRLDKNGLTGGVPTTLSRLGKLSEIYLSHNKLNGSLPDFTGMNSLTYVDMSDNAFNSSNIPSWVSGLPALTTVILGQNRLGGTLNLSSYSKSLQLINLETNEITEIDPQNNLPSFEIRLANNPVCRESGSSQNSYCQEAAPNPSFYSTPSNNCSPPSCSSDDQIASPNCICAFPYTGLLISRALSFSNFSDASYYRELEQSLMDTFRNQSLPVDSVALSNPMRNSTSDNFELTLQVFPSQSDRFNSTGVLSIAFLLSNQIYKPPEFFSPYIFKGANYDYTGGEPKGSKSSHTGIIVGAVVAVVAFIVLASLAGMYAIRQKRRAERSAGLNPFANWEQNKNSGTAPQLKGGRWFAFDDLRKYTNNFSETNTIGSGGYGQVYQGTLPSGELVAIKRAAKESMQGAVEFKTEIELLSRVHHKNLVSLVGFCFEKGEQMLVYEYIPNGTLMDSLSGKSGIWMDWIRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDHHLNAKVADFGLSKLLVDSERGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSYGVLMLELATARRPIEQGKYIVREVMRVMDTSKDLYNLHSILDPTIMKTRPKGLEKFVMLAMRCVKEYAAERPTMAEVVKEIESIIELVGLNPNSESATTSETYEEAGGGKAQHPYREEDFSYSGMFPSTRVEPQ >Vigun06g195800.2.v1.2 pep primary_assembly:ASM411807v1:6:31156055:31163230:-1 gene:Vigun06g195800.v1.2 transcript:Vigun06g195800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHKVILLQLLLFQVLLVASQTDSRDYSALNSLTQSWSNKPQSWVGQDPCSGWDGIRCSNTRITQLRVPGLNIGGQLSSAIESLSELDTLDLSYNTGLTGTIPAEIGNMKNLKSLSLVGCGFSGPIPDSIGSLTQLTFLALNSNKFSGNIPRSLGNLTNINWLDLAENELEGTIPVSDDQGRPGLDLLLKAQHFHMGSNKLTGTIPEKLFNSSMILEHVLFDHNQLEGSVPSSISSVGTLEVLRLDKNGLTGGVPTTLSRLGKLSEIYLSHNKLNGSLPDFTGMNSLTYVDMSDNAFNSSNIPSWVSGLPALTTVILGQNRLGGTLNLSSYSKSLQLINLETNEITEIDPQNNLPSFEIRLANNPVCRESGSSQNSYCQEAAPNPSFYSTPSNNCSPPSCSSDDQIASPNCICAFPYTGLLISRALSFSNFSDASYYRELEQSLMDTFRNQSLPVDSVALSNPMRNSTSDNFELTLQVFPSQSDRFNSTGVLSIAFLLSNQIYKPPEFFSPYIFKGANYDYTGGEPKGSKSSHTGIIVGAVVAVVAFIVLASLAGMYAIRQKRRAERSAGLNPFANWEQNKNSGTAPQLKGGRWFAFDDLRKYTNNFSETNTIGSGGYGQVYQGTLPSGELVAIKRAAKESMQGAVEFKTEIELLSRVHHKNLVSLVGFCFEKGEQMLVYEYIPNGTLMDSLSGKSGIWMDWIRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDHHLNAKVADFGLSKLLVDSERGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSYGVLMLELATARRPIEQGKYIVREVMRVMDTSKDLYNLHSILDPTIMKTRPKGLEKFVMLAMRCVKEYAAERPTMAEVVKEIESIIELVGLNPNSESATTSETYEEAGGGKAQHPYREEDFSYSGMFPSTRVEPQ >Vigun06g062200.2.v1.2 pep primary_assembly:ASM411807v1:6:19014929:19017013:1 gene:Vigun06g062200.v1.2 transcript:Vigun06g062200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLYFISTLIFMFIAHKIITKKCASTPKLPPGPWRLPIIGNIHNLAGSLPHRRLRDLSEKYGALMHLKLGEVSTIVVSSAECAKEVLKTHDLIFASRPPILASKIMSYDSMGIAFSPYGDYWRQLRKICALELLSSKRVQSFQPIREEELTKFIKSIASREGSTINLTKEILTTISTIVSRTALGSKFREHQKFISAVRKATEVAGGFDLGDLYPSAAWLQNISGLKSKIEKYHQQTDQIMQSIVDDHRKTKSSAAQGQGELVENDLVDVLMKEEFGLSDNGIKAVILDIYGGGSETSSKTITWAMAEIIKDPRVMKKVQAEVREVFGKEGDPNESEMENLKYLKCVVKETLRLHPPGPLLLPRECGEACEIKGYHIPMKSKMIINAWAIGRDPNHWSEAERFYPERFIGSDVDYKGNNFEYIPFGAGRRMCPGLTFGLTSVEFPLSLLLYHFDWKVPNGIKNEDLDMTEAFGISVGRKDDLQLIPVTFHP >Vigun06g062200.1.v1.2 pep primary_assembly:ASM411807v1:6:19014929:19022218:1 gene:Vigun06g062200.v1.2 transcript:Vigun06g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTLYFISTLIFMFIAHKIITKKCASTPKLPPGPWRLPIIGNIHNLAGSLPHRRLRDLSEKYGALMHLKLGEVSTIVVSSAECAKEVLKTHDLIFASRPPILASKIMSYDSMGIAFSPYGDYWRQLRKICALELLSSKRVQSFQPIREEELTKFIKSIASREGSTINLTKEILTTISTIVSRTALGSKFREHQKFISAVRKATEVAGGFDLGDLYPSAAWLQNISGLKSKIEKYHQQTDQIMQSIVDDHRKTKSSAAQGQGELVENDLVDVLMKEEFGLSDNGIKAVILDIYGGGSETSSKTITWAMAEIIKDPRVMKKVQAEVREVFGKEGDPNESEMENLKYLKCVVKETLRLHPPGPLLLPRECGEACEIKGYHIPMKSKMIINAWAIGRDPNHWSEAERFYPERFIGSDVDYKGNNFEYIPFGAGRRMCPGLTFGLTSVEFPLSLLLYHFDWKVPNGIKNEDLDMTEAFGISVGRKDDLQLIPVTFHP >Vigun02g015800.1.v1.2 pep primary_assembly:ASM411807v1:2:5754021:5755951:1 gene:Vigun02g015800.v1.2 transcript:Vigun02g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINTPRLLPIISTLVLILVSVSSFASASLKVGFYASTCPSAEEIVRSAVNKAISQNAGIAAGLIRMHFHDCFVRGCDASVLLASTPGNPAERDHFANNPSLRGFEVIEDAKAQLEAACPQTVSCADILALAARDSALKVGGINYDVPTGRRDGRVSIADEAAQNLPAPTLSADELVSRFSRKGLSGDEMVTLSGAHSIGVSHCSAFSKRLYSFNDTFTQDPSMDSSYAETLKANCPAPPSISDPTVSLDPSTPIRLDTKYYDGLINHRGLLTSDQTLFNSQSTREMVLTNANDAAGWSQKFGKAMVQMGSIEVLTGSNGEIRKHCTFVN >Vigun01g006100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:756768:760161:1 gene:Vigun01g006100.v1.2 transcript:Vigun01g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHGRSGWSEFHLLLQCSMLKEHWRNEPTMLFTGGNTQHMNSMRIFSSERVVAQNSGDKSKTFKATFNSLFLGGIERLIPLMNESFQELGLQGKDCTEMSWIQSVLFFGGFNKDDPLELLLNRTTSYKSSFKAKSDFVKEPIPKTGLEGAWKIVSEEDTLAMLLMDPYGGRMNETSESETPFPHRKGNLYNIQYLVKWEVNSKEASKKHEEWAKMIYKYMTPYVSKSPRASYFNYKDLDLGQNKHHNTSYSKASVWGNKYFKGNFRRLAQNYQNEI >Vigun04g142800.2.v1.2 pep primary_assembly:ASM411807v1:4:35552301:35554456:1 gene:Vigun04g142800.v1.2 transcript:Vigun04g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKHLDLSNNLFKGGDLKSFMNICTLPSLDMSRNNITEDLPSIIYNLSSGCARYSLQELHLSSNQIMGSITDLSVFPFLKSSDLSSNQLSGKIPESIILSSQLEQLSIGSNSIEGGVPKSIGSICTLKSLDLSNNKLSEDLTVIFNHLSVCSRYSLQHLDMSINQISGTLPNTLSMFSSLKGLYIYGNKLNGTISKDLQFPTKLEELYLMSNSLKGLIDDSHFHNMSMLKILALSGNSLTLEFSQNWAPTFQLENIELSSCKLSPLFPKWLQTQNPFNNLDISNSHLPSFLRDSMYLDLSNNKFTDSRWFLCSGGVAKTLYQLDLSNNKFFGKIPDCWTHFKSLAFLNMSQNKFSGKFPTSMGSLLELQVLLLRNNKLTYWIGSKWLQLQVLSMGNNYFYGCLPLQICYLKSIHILDLSLSNLSAQIPKCIKNFSSMAQKASLRDQGHWYFVNTSYSIGTNSYNLNEFLTWKGLKQMFTNDGLSILKIIDLSSNQFLGEIPKELTSLEFLDLSRNQLVDSIPSSFVKIDRLTMLDLSHNYLSGKIPTSTQLQSFDASKYEDNFDLCGPPLKKFCIDRVPRQEPLVKLHEDNNLIFNHEFYLEKLYFDY >Vigun01g209500.2.v1.2 pep primary_assembly:ASM411807v1:1:38475502:38476451:-1 gene:Vigun01g209500.v1.2 transcript:Vigun01g209500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEEELLIEKVCGLYQQISSLESLKPCKNVDMLFTQLIRSHLIKLCGEAEGHLETHYSTLLGSYDNPLQNLHIFPYYSNYLKLGLLEFTILTQHCTHVPTKIAFVGSGPLPLTSIVLASNHLPSTTFHNYDMDPLANSNAMRLVSSHPDLSNRMLFHTSDILDVSNALKEYEVVYLAALVGMDKEGKNRIIDHLAKHMNPGAFLMLRSAHGARAFLYPVVEPCDLRGFEVLTVFHPTDEVINSVVIARKYPLPMHSLDQGLASKILPNKCSEIQAFNPLNHGNIIEELAIEEQL >Vigun09g170100.1.v1.2 pep primary_assembly:ASM411807v1:9:33905267:33914004:-1 gene:Vigun09g170100.v1.2 transcript:Vigun09g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQSALKDYSLSVSTVPEKGRSLFATRDFYPGDVIIGQEPYVCVPNNSSLSTPKRCDGCFTTSNVLRRCSRCHVAYYCGTACQRSEWKLHRLECEVLSRLDKDKRESVTPSIRLMVRLYLRRKLQDDKVIQSTAMDNYNLVEALVAHMSDITEEQLVLYAQMANLVYSILQWPEINIKEIAENFSKFACNAHTICDSELRPVGTGLYPVISIINHSCLPNSVLVFEGRSALVRAVQHIPAGTEVLISYIETAGSTITRQKALKEQYLFTCTCPRCSKMGQKDDIQESAILEGYRCKYEKCGGFLLRTTDGKGFQCQGCQLVMGKEEIKEIITEIELLSEEAASKSSFSCSYQEVISIYKRIEKLQTKLYHPFSISLMQTREKILKSLMELEHWTEALAYCKLTIPFYEKVYPSVHPLLGLQYYTRGKLEWYLGETEEAVKSLTKAVDILRITHGTNTPFMKDLLMKLEEARAEASYKFSSIHY >Vigun11g067132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:18535039:18535668:1 gene:Vigun11g067132.v1.2 transcript:Vigun11g067132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITTTATITTTTPTPTTLGNPTTTIATTTTTTTTTITTTTTATTTTMTITTITITTTTTTITAIGIKTTTTTTTITTSTSTTTTTTTTATSTTTPTTTTTITTTNITVTTTTTNTGTTTTTTTATTTSSTTTATTNYTITTTTTTTITTTTTTTTTATNTTITTATTITTTTITTTTTTTTTTTSTTTTTTTTMATTTTTTITTPTTS >Vigun09g004100.1.v1.2 pep primary_assembly:ASM411807v1:9:300265:316988:-1 gene:Vigun09g004100.v1.2 transcript:Vigun09g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYSSGEEVVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKVEKEAFVKGVPIGEALDIDIPPPRPKRKPSNPYPRKTNASLSKNGKSLFSLPSSHGKQALDLEKQPLPEKHSVEDQSTSKLFTILQDPPASSISLPLTNPCPLREFIPSIKEVTTPDESFITHDLQNHELDDGEHRQNANATCKLSKSNNSGAVELVQTEKTDAPHCALTLDAMQGNQNYPRHVPVHVVDERFNGQPKILTNSAASNISESQNNTARSSVHHHQSFSPCPPFSQHNQDDYHSFLQMSSTFSSLVVSTLLQNPVAHAAASFAATFWPYANAETSAADSPVCTPPSMTAITAATVAAATAWWAAHGLLPLCTPLHTPFACPPAPPTAPPSMAPTETQHKIQHGEAKPENPPLQDQILDPEQSEVLQAQHSVSNSPGVSSSESEEKGDTNVNIASKVTNDEMNQVSENPDSNKMNARKPVDRSSCGSNTTSSGEETEILEKDEKEKEEPNKPETNLLGSEPNNRRSRSISNLTNSWKEVSEEGQLAFQALFSREVLPQSFSPPHALVNADNQIHSMNKQNTEYKDEGVQKSLSFVKDNDDEEGLLSMGLGQGKQKSCRTGFKPYKRCSVEAKETNQGEEKGPKRIRLNEEA >Vigun04g087100.1.v1.2 pep primary_assembly:ASM411807v1:4:14841945:14846797:-1 gene:Vigun04g087100.v1.2 transcript:Vigun04g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGFWASIWNFVCFLPFFIGLWLLGNIKGVILFPLICLIMTIANSAIICGLWPIHCIWTYYCVVRSEKLGPALKFVACTCLLPLVLMLWPLVGIVGSVIGGAAYGFFAPIFATFEAVEGGKEYKLFHCFIDGTWTTITKTFDIVKDVKNECYDTYLSVMDDLLQPKDPDGKYYDIRLQYLPGAIVAMVLGVIVDTPIISTIATCKAPYMLFKGWNRLLHDLIGREGPFLETICVPFAGLAIILWPLAVAGAVLASVLASFFLGAYAGLITYQESSFWFGLRYIVAAVSLYDEYSNDILDMKDGSFFPRPQYRKKAELKPSPRTLPHSNSLTKTNSLTKTLSRAISLKNIPEFKPFELLDGLFKECHQLGESLISEGLITHEDIQEAKFGKRGRVIRIGLPAYCLLQALFRSVKHNSSGILISEDTELTTSNKPKEQFFEWFLNPLLVIKEQIKAENLNVSEEDYFGKLVLFNGDPTRVEKSFIRQEGTEFAERKRAELHALARRLQGITKFITRFPTYKRRFDVILNTLSDELAEKHGASKIIRSKSAFPRILSLRSFNCQTSKSNDSGQEESEHTRDLETSL >Vigun02g118900.1.v1.2 pep primary_assembly:ASM411807v1:2:27153508:27155733:1 gene:Vigun02g118900.v1.2 transcript:Vigun02g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRHSRLQPRKSSSSSTLILTLFLVFTFLVLILLALGILSIPSSSRSDLPKPNDLTSIAHNTIQASDVDDDRGEQWVEVVSWEPRAFVYHNFLTKEECDYLIEIAKPNMHKSTVVDSETGKSKDSRVRTSSGTFLARGRDKIVRKIEKKLLTLLSFLLVKHGEGLQVLHYEVGQKYEPHYDYFLDDFNTKNGGQRIATVLMYLTDVEEGGETVFPAAKGNFSSVPWWNELSDCGKKGLSIKPKRGDALLFWSMKPDAALDPSSLHGGCPVIKGNKWSSTKWIRVNEYKA >Vigun08g168900.1.v1.2 pep primary_assembly:ASM411807v1:8:34003563:34004379:-1 gene:Vigun08g168900.v1.2 transcript:Vigun08g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMAILILAMLAMLLLASSEVQSNAKEEVTNGVGEAKYPGGGYGGGYPGNGGGYPGHGGGYPGHGGGYPGHGGGYPGRGGGHGCRYGCCGGRSYHGGCRRCCSYAGEAVAAQTQDETHN >Vigun03g417300.1.v1.2 pep primary_assembly:ASM411807v1:3:62404800:62409372:1 gene:Vigun03g417300.v1.2 transcript:Vigun03g417300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQQFPAPLQASTVDKKLESLPAMVAGVWSDENPLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALVPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLIFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVQLLLNQSPSVLIPALRTVGNIVTGDDLQTQSIINNGALPCLLSLLNHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLVAPLVNLLQNAEFDIKKEAAWAISNATSGGTHDQIKYLVGQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKSLGNTGDVNLYAQIIDDAEGLEKIENLQSHDNNEIYEKAVKMLETYWLEDEDETLPPGDGAQPGFAFGNELPVPSGGFNFS >Vigun10g141800.1.v1.2 pep primary_assembly:ASM411807v1:10:35874475:35875346:-1 gene:Vigun10g141800.v1.2 transcript:Vigun10g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRLLWFSFGFTSAYALFSLSLSKDLKVQRFVLSSCLEHVGALENRISQIESSSSKPSSTPSSPSASDEVEG >VigunL042600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:420557:422833:1 gene:VigunL042600.v1.2 transcript:VigunL042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB MALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILPHPEGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun03g443800.1.v1.2 pep primary_assembly:ASM411807v1:3:64603081:64608862:-1 gene:Vigun03g443800.v1.2 transcript:Vigun03g443800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASDFDALQHPDRCSPTSRTPRLGPSRLSSTRRSQSHSSSWFRRKRAKMLLALIALLASFFFVNWFMLFRLQYQHDAPHPIPKPTLRSSSASISLQGKVNNKTGNKKQSQKGNYVRLLALAAHALAENKREPKDLWQEPFVPASAWRPCADQRNWEPNEGKNGYILVTANGGINQQRVGVCNAVVVARLLNSTLVIPKFMYSSVWRDVSQFSDIYQEEHFINYLTPDIRIVRELPKELQSLDLGAIGSVVTDVDMEKEAKPSFYLKRILPIIRKNQVVHFVGFGNRLAFDPIPFELQRLRCRCNFHALQFVPRIQETGALLLKRLREHSGLVGPLDHHLVGPFAESIKEKGEHNAKKAAKYLALHLRFEIDMVAHSLCEFGGGEEERKELEAYREIHFPALALLKRTTRLPSPSELRSEGLCPLTPEESILMLAALGFNRKTQIFIAGSNLYGGHSRLVALTSLYPKLVTKENLLSSTELEPFANYSSQLAALDFIGCTASDAFAMTDSGSQLSSLVSGFRIYYGGGRMPTIRPNKRRLASIFMKNSTIEWRVFEQRMRKAVRQTKHVQTRPKARSVYRYPRCKDCMCRTD >Vigun02g200000.1.v1.2 pep primary_assembly:ASM411807v1:2:33494535:33495534:-1 gene:Vigun02g200000.v1.2 transcript:Vigun02g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTALNTVLCATITPVYDFVCFLPYWERRRERRRQQREATIANSTN >VigunL036500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:307852:308814:1 gene:VigunL036500.v1.2 transcript:VigunL036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petA MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQNYRPTKKNILVVGPVPGQKYNEITFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAAGIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >VigunL002900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:6257:6616:-1 gene:VigunL002900.v1.2 transcript:VigunL002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun03g091000.1.v1.2 pep primary_assembly:ASM411807v1:3:7667797:7676258:-1 gene:Vigun03g091000.v1.2 transcript:Vigun03g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGGGHKAGIPPASRKMVQSLKEIVSNIPEHEIYATLKDCNMDPNEAVSRLLSQDPFHEVKSKREKKKETKDTTDSRPRGISNTSSRGSGGGARVSADRYVGRGGATQFSSSGDSGLLQGKPVLKKENGTPGYGVSTYSAPSALDNSASRQLPSYSDSVRVCDGLSSSQHGGLQSAWAANPGQVSMADIVRMGRPQAKASIPNSSLHSGNHQHVFAPPASSQHNLHSLQGHASKVSETNNDQGFGFNSNVEQNDEWPSIEHHSAVCVSSVVDDQPTSEYHTNSSNSAEANQQLKTHVNELVAEDDPVENPDNAGSVKSTSEENPESTSAFDGSLYNDMNPYQPHLHPFENNEVEGVSSVAANLEHLNLHSNDQGTEQEEESSSVLIPNHLQLHTPECLNLSFGSFGSANDAALSGPGPYPSRPLKSNLEDTSGTTDVSTIGSSDVRNPDYYGDEHLTSTSDANLAHLSGVDAGTFEHSTISQSEALKSEPPETAQENQYSFPSSQHEFAYENAQQPDVTFPHSQTSSQIQNLSPFSSVMAYTNSLPSALLASTVQTAREDIPYSPFPATQSMPAKYSNIASSIGGPTITMSEALRANNISTPQPNPQALPGANVATGPAVPQQHLALHPYSQPTLPLGHFANMISYPFLPQSYTYMPSAFQQAFAGNNTYHQSLAAMLPQYKNSISVSSLPQSAAVASGYGFGSSTSIPGGNYPLNPPAAPTSTTIGYDDVINSQYKDTNHMISLQQNENSPMWVHGPSSRTMSAVPPSTYYSFQQGQNQQGGGFRQSQQQASQHFGSLGYPNFYHSQSGVSLEHPQQNPREASLGGSQSQPPKQTQQIWQNSY >Vigun11g166112.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37347444:37347596:-1 gene:Vigun11g166112.v1.2 transcript:Vigun11g166112.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNRENQSAIREFLMGQPKIYPFRTCTMY >Vigun10g198400.1.v1.2 pep primary_assembly:ASM411807v1:10:41108162:41114008:-1 gene:Vigun10g198400.v1.2 transcript:Vigun10g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGRDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYATVFENTLMQHHIALPPDAMGKITYIAPAGQYSITDTVLELEFQGVTKKFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGSDGQKITYSLIKHRVGDLFYRLVSQKFEDPAEGEAALVAKFQKLHEDLTTGFRNLEDETR >Vigun02g002400.1.v1.2 pep primary_assembly:ASM411807v1:2:1256381:1259724:-1 gene:Vigun02g002400.v1.2 transcript:Vigun02g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPNLPPPDPTFHPSSMAREYRKGNWTLQETLILITAKKLDDERRLKAPSSSSSPSSSNRNSGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYEATKSSSSSSHLSNNNQFQFQSYWTMEKHQRKDHKLPSNMLLQVYQAVTQVLQKKSHTLQHHQPQQHLVNVVHSPPQEHPQVMPLLTSTSHPLSQTTPATSQPLLLLPPPSLPPRPPDSTTPPVSEGSESSDTECKNDDDNSESKRRKFEQKLGSSIMRSASELAEALRSCEEKKEKRHQEMMELHQRRLHIEETRNQVNQQGITNLVSAVTNLTGVIQSFITMNKDHGGGNGDDDACSS >Vigun05g142625.1.v1.2 pep primary_assembly:ASM411807v1:5:18501971:18502513:1 gene:Vigun05g142625.v1.2 transcript:Vigun05g142625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRKKVAFIGNYSEDFGMRTDVDVVVYRMLANDLIHGLFSALHGLPKYFLTGCWLMISFMDSSQLFMAFPNTF >Vigun03g138500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13603169:13608332:1 gene:Vigun03g138500.v1.2 transcript:Vigun03g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGRHRIASRHYRVTPYPLAPCKRDICEDMCQKKCSKALEMKEWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSFRHSNCLDQYKKAYTKVISSNGQPVQGTAGVLQDSNLSLDKSEATELACPLCRGQVKGWTVVEHVRDFLNAKKRGCMQDECSYVGNYKELRKHVRAEHPSARPRMVDPADEQKWRWLEWEREREDVISTVTSAMPGAVVFGDYVIEGHHNESDTDEEEGAGNAERNGRFQMGIEAMNFFLLLHAVRQGNEINNLGRRLRPELTTNRVAAQNVTDVLDISDEDNDNDDGRYNEGDGGGVSLVSRLQRHGGGRVLLGRSGRRRRCREARARIGDS >Vigun03g138500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13603169:13608332:1 gene:Vigun03g138500.v1.2 transcript:Vigun03g138500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGRHRIASRHYRVTPYPLAPCKRDICEDMCQKKCSKALEMKEWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSFRHSNCLDQYKKAYTKVISSNGQPVQGTAGVLQDSNLSLDKSEATELACPLCRGQVKGWTVVEHVRDFLNAKKRGCMQDECSYVGNYKELRKHVRAEHPSARPRMVDPADEQKWRWLEWEREREDVISTVTSAMPGAVVFGDYVIEGHHNESDTDEEEGAGNAERNGRFQMGIEAMNFFLLLHAVRQGNEINNLGRRLRPELTTNRVAAQNVTDVLDISDEDNDNDDGRYNEGDGGGVSLVSRLQRHGGGRVLLGRSGRRRRCREARARIGDS >Vigun03g146400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14961074:14962460:-1 gene:Vigun03g146400.v1.2 transcript:Vigun03g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYERKLSRIFSYYCLLYCIYLLILTGEERKIETQIPLPLCSMAYGSNGKRGTTNSDNSTQHDNNRGNNHHIVNISTPSVTTTTISLPNNNPSPTPNHFPQFSGTAQSFNNTENGSQDFSRAKIKSPVTGNSFNNIGSGSQSFRDAEIRCSKESSKQSRSIFSIKQNRAPQGGTLHSFNNNGKGSQCFDGFKLN >Vigun08g179600.7.v1.2 pep primary_assembly:ASM411807v1:8:34941530:34944191:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQ >Vigun08g179600.2.v1.2 pep primary_assembly:ASM411807v1:8:34941519:34944270:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQ >Vigun08g179600.9.v1.2 pep primary_assembly:ASM411807v1:8:34941519:34944255:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQ >Vigun08g179600.1.v1.2 pep primary_assembly:ASM411807v1:8:34941519:34944270:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQIKAMAEDVHPLLADVRDSGLLKELENLTQNLAQASEDLRRVHSSIMTPENTALVHKSINTISFTLKNIEYISKDIVGFTGDETTKKNLKLLINNLSRLL >Vigun08g179600.8.v1.2 pep primary_assembly:ASM411807v1:8:34941519:34944255:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQIKAMAEDVHPLLADVRDSGLLKELENLTQNLAQASEDLRRVHSSIMTPENTALVHKSINTISFTLKNIEYISKDIVGFTGDETTKKNLKLLINNLSRLL >Vigun08g179600.3.v1.2 pep primary_assembly:ASM411807v1:8:34941530:34944223:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQIKAMAEDVHPLLADVRDSGLLKELENLTQNLAQASEDLRRVHSSIMTPENTALVHKSINTISFTLKNIEYISKDIVGFTGDETTKKNLKLLINNLSRLL >Vigun08g179600.6.v1.2 pep primary_assembly:ASM411807v1:8:34941530:34944191:-1 gene:Vigun08g179600.v1.2 transcript:Vigun08g179600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIAIIVSSLPTSSSSSCLITQPRDSPSFKLLPMRHQIQINRTRATTSSDAGHGQRSSSDSALLKIPHTVWRKTLKPLSDFGFSDRSIWEGGVGLFLVSGAVLFVLSLAWLRGFQIRSKFRKYTVAILFAQACGISKGTPVRIRGATVGNVIGVNPSLKCIEAVVEVGDVKTIIPRNSVIEVNQSGLLMETKIDITPRDPIPAPSVGPLDEECSKEGVIVCDREKINGQQGTSLDTLVRICTRLGRALEEIGISNSYSLVERVLSIIEEAKPLLKQIKAMAEDVHPLLADVRDSGLLKELENLTQNLAQASEDLRRVHSSIMTPENTALVHKSINTISFTLKNIEYISKDIVGFTGDETTKKNLKLLINNLSRLL >Vigun07g061100.1.v1.2 pep primary_assembly:ASM411807v1:7:6908414:6911259:-1 gene:Vigun07g061100.v1.2 transcript:Vigun07g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SGGSKASQQKIKNQIQYNPLRFPQSPFNHHYKSIERGARNEESNTNYAVKAVTVPSSESESEASNSKSIVESVKDFMVVLYQFIYPYTVYARTSAAISASLIAVEKLSDISPLFFIGLLQAVLPHLFIDLYVNGVNQLFDFEIDKINKPHLPLASGKLSFTNGAFIIASSAILGLGLNLMIGSPPLIWNCVLCFVLITCYSVNLPFLRWKQYPVVAGLFTTISWTLLFPISYFLHMQTFVLKRPLVFTRSFIVSLLFLSFYSAGIALAKDIPDVEGDTKHGIDSFAARLGQKKVFWICVFLLEMAFGIAFLAGASSSSPFWIKFVTGVGNVVLGSILWNRTKYIDVTSAASTKSFYSFIWKLMMVAHLLLPLIR >Vigun04g176800.1.v1.2 pep primary_assembly:ASM411807v1:4:40020785:40024943:1 gene:Vigun04g176800.v1.2 transcript:Vigun04g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSCSSSFIYEVFLSFRGEDTRHGFTGNLYKALDDKGIHTFIDDEELQSGEEITPALLNAIEESRIGITVLSKDYASSSFCLDELTTILECRMKGLLVIPVFYMVDPSDVRQQKSTYGEALAKHQKRFKPEKLQKWKMALRQVADLSGYHFKHGNEYEHEFIGRIVERVSREINSVHLPVDDYVVGLESKVQEVKKLLDLGSHDGVVIGIHGMGGIGKTTLALAVHNLIAENFKESYFLQDVREESDKNGLKHLQSILLSKMFREKNIILTSWQEGASMIQQRLRRKKVLLILDDVDKLEQLNAFVGRSNWFGPGSRVIITTRDQHLLTSYDVKRTYEVKKLKNYDALQLLTWKTFKTGMADPSYEEVLHRAVTYASGLPLALEVIGSNLVGKSVEEWESAIEHYKRIPSDEILKILKVSFDTLGREEKNVFLDIACCLKGCKLAEIEHMFRALYDDSMKYHIGVLVEKSLIKISQRRTVEMHDLIQDMSRRIEQQESPKEPGKCKRLWLPKDIIQVLKYNTGTWKIEIICLDISISEREETIYWNGNAFRKMKNLKILIIRNGKFSEGPNYFPESLRVLEWHGYPSNCLPSNFDTTKLVICKLPHNPFTSFRFHGSSKNFNLTVLNFDKCNYLTQIPNLSDLLNLEVLSFEKCTSLLAVHDSIGFLNKLKILKAEGCTKLSRFPPLNLTSLEELELSYCSSLENFPEILGKMGNIRELSLFELPIKELPVSFHNLIGLQELAIQCDFVQLGSIALTPELPDFRVYKGKGWQWVKSEEGEEKVGSMVSPKMHKFWVLSCNLNDDFFSACFKQLAQVSDLRLRESNVTFLPECIKEFHSLSSLDVNDCKHLQEIRGVPPNLKYFRAINCISLTSTGSSMLLNQHLHEAGGTDFIFPGGTIPEWFHKQRKGTSISFWFRKKFPAKVLCLLIAPVLGDNIIGLVRHMMLINGKVKKQYLLHYLNREVKKMELDYTHLFDLRQSHFSPDDDLMEEVSLEKEWNHVEITYEGLIQSSLIKIIGIHVVKEENSSMEDIRYDDPYAITKPFIIKYTALMLTFIFFTGSFLLFSYF >Vigun05g271100.1.v1.2 pep primary_assembly:ASM411807v1:5:46254010:46257345:1 gene:Vigun05g271100.v1.2 transcript:Vigun05g271100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPQPSANGPPPKPWEQAGSSSGPAPFKPPSAGNTSDVVEASGTAKPGEIVSASDRTAAVNRNSLGRPVPTRPWEQNYGNSTYGGYGSTMNYNSGYGTGMYGSSYGGLGGGMYGSSYGGGLYGGNSMYRGGYGGGMYGSSGMYGGGMYNSGFGGPMGGYGMGGGPYGDQDPNNPFGGPPSPPGFWISVLRVMQGVVNFFGRISILIDQNTQAFHLFMTALLQLFDRSGLLYGELARFVLRLLGIRSKSKKVHPPGPNGQRLPGPYNSSGDVNYIEAPKAAPSGGSWDNVWGNDSPQ >Vigun05g271100.2.v1.2 pep primary_assembly:ASM411807v1:5:46254010:46257345:1 gene:Vigun05g271100.v1.2 transcript:Vigun05g271100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPQPSANGPPPKPWEQAGSSSGPAPFKPPSAGNTSDVVEASGTAKPGEIVSASDRTAAVNRNSLGRPVPTRPWEQNYGNSTYGGYGSTMNYNSGYGTGMYGSSYGGLGGGMYGSSYGGGLYGGNSMYRGGYGGGMYGSSGMYGGGMYNSGFGGPMGGYGMGGGPYGDQDPNNPFGGPPSPPGFWISVLRVMQGVVNFFGRISILIDQNTQAFHLFMTALLQVFLFVIVFSFYVILSIC >Vigun02g111550.1.v1.2 pep primary_assembly:ASM411807v1:2:26566606:26568931:1 gene:Vigun02g111550.v1.2 transcript:Vigun02g111550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDEVPPPLTSSAGSSNSRIPAAMEMIDDIYRHSLWLGIKNSFWQDILEELLPYGAEGEIFILGAIGSFSFFYDVIRNFDISSIEDNIVWPRNREKNKKSELRVLVVGSDGRIRGGPIRTLIPKTTIKVMVSTRNKNEKENEVSVFQLEDQAKRMEEVIDEIYKANYNELVN >Vigun03g137600.9.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507367:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.4.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.15.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.13.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507367:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.12.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.2.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.3.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.10.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.11.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKEQLQEESVIRRFYQIILSWDYFALLKESKKQKNNEKKGIAKSTLVKVKNRYKDVDDYIETYEPLIFEEAKSQIIKQKEEEEVTDWKLGVVKSWSEADDFHFIEFPCEINEGESISQNDLLLLSKDKFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.16.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.14.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSNRTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun03g137600.5.v1.2 pep primary_assembly:ASM411807v1:3:13492031:13507366:-1 gene:Vigun03g137600.v1.2 transcript:Vigun03g137600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKLTNRSSLKKPNPRSLSRKRRKRFVDDKRLPTAYAFALVEHVRKFFDTRLVRVRLYLAGEFLKYNTDDVKSCSRLFNMRSHVCETERQLYFMKLCSLSTIAREYLAIRTLGCLPYKDLILSAAGEDIGPEVEGWKIPTPLKEYVENTFNEFQREAITAGLSSKAFVLIQGPPGTGKTQTILGILSTILHATPTRVHSKTYELRQGPQLPTEEKRRHWGLASPWLSSVNPRDSLMPKDGDDGFYPTTGNELKPEAVTSSRKYRVRVLVCAPSNSALDEIVLRVLSGGVHDENDRVYCPKIVRIGLKAHHSIKAVSLDELMKQKRSGANKSSTNKQSTAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLNRGFDVVIIDEAAQAVEPATLVPLANQCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLMEAGYPVKMLKTQYRMHPEIRSFPSREFYGDSLQDGDEVKSRTIRAWHDYRCFGPFCFFDIHEGKEARPSGSGSWINIEEVDFVLFLYQKLISLYPALKSGNQVAIISPYSQQVKLFQKRFEEIFGTSAEQVVDICTVDGCQGREKDIAIFSCVRASKDKGIGFVEDIRRMNVGITRAKSAVLVVGSASTLRRSEQWNKLVESAEKRDCLFKVSQPYSSFFSDDSLASMQTKLAEPSQVSGPTDTVDNDVQPNNAGTFDDQAQAEDNDDGDVDMNDAGFDED >Vigun10g084800.1.v1.2 pep primary_assembly:ASM411807v1:10:24144877:24152522:-1 gene:Vigun10g084800.v1.2 transcript:Vigun10g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAYEEHLPAAPRWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDKLFPFWGKGAPALGQKFLISQAKVPETTHYFNNGTVESKMSEPFFAMASLVYFQFTFAAITLILLAGSVLGRMNIRAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFPPNNVLLMLAGGGLLWMGWSGFNGGAPYAANVDASIAVVNTNICAATSLLVWTSLDVIFFSKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGILSGSIPWMTMMILHKKSSLLQKVDDALGVFHTHAVAGLLGGLLTGLLAEPELCRLILPVTNSRGAFYGGSGGVQFLKQLVAACFITAWNLVSTTLILLAIQFFIPLRMPDDQLEIGDDAVHGEEAYALWGDGEKYDPTRHDSSRVDKTSPSPYVNGARGFTIDL >Vigun01g251900.1.v1.2 pep primary_assembly:ASM411807v1:1:41820286:41822986:1 gene:Vigun01g251900.v1.2 transcript:Vigun01g251900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSGSGSAGPAPFLLKTYEMVDDSSTEEIVSWSSNNNTFIVWNPAEFSRLLLPTFFKHNNFSSFIRQLNTYGFRKVHPERWEFANEDFVKDQKHLLKNIHRRKPIHSHSLTHPVVDSERSAFEEQIEKLSHDKTTIQSNIFRFKQHHSAANTHLQDLLLRLDDMEKRQQNLLTFFQNALHNPTLVQHITRKIESMDLLAYNKKRRLPLQLEDRDQVAGNTLVECFGSEFGDVSHQDFSDKLTLELSPAVSEMNLVVSCSTQSSNEDGESPHKMMLPEIAELAQTGESLNFEMHSCLSRTATASESPRLDSKYEDGDSYISCLLNLSLASSPLQAKRNTCHDRAPSLIDCAEFGKLEGSKFCANDIKEYDAGASSSRSLNEDTNSAEATPTAPVRVNDVFWEQFLTERPGCSDTENSMSTHKVNAYVADENEGRSVHGISGNVMDRNQLTL >Vigun11g021200.1.v1.2 pep primary_assembly:ASM411807v1:11:2602590:2606599:1 gene:Vigun11g021200.v1.2 transcript:Vigun11g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCIIRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKDGFNLSATESRYAVVQCTRDLTNEGCRQCLEDILTEVPKCCEQKVTWMVWSGSCLIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIIVLCFSLYCIWYRKRVRKANYKENTRDGVREEELPLPSFHKIQSEETWNTDLPRIPFITILQSTDNFSVASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRVMGTFGYMAPEYAMDGLFSVKSDIFSFGVLVLEIICGRKNGGFYMSDGQTLLVYAWRTWSEGKCLEMMDPMLEKSFIGSEVERCIQIGLLCVQEDARDRPTMSDVLVMLASDTVVIPKPKHPAFSIGRLASEEVSTSKSSKNFSVNDITFSISLPR >Vigun11g021200.2.v1.2 pep primary_assembly:ASM411807v1:11:2602590:2606515:1 gene:Vigun11g021200.v1.2 transcript:Vigun11g021200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLWQITKLSKHFMIGTQGKVRSICFSFLLLLSFKSFSTKAKAQSLGSNCENTTQQTLTGAYQTNLDRILTWMSSDAGTSNGYNHTTIGTNSSVYGLYDCGGDAVGYFCQFCISTAAREAPQLCSNRESAVVWNDYCIIRYSNEDFFGKAMTYPIWHTLGTKNISNTTEIQIAEDFVRSLIGKATNETHQLYYKDGFNLSATESRYAVVQCTRDLTNEGCRQCLEDILTEVPKCCEQKVTWMVWSGSCLIRYDDHMFYLLANQPPSAPAPNQKTDKQGVNNRTRILIIIFSVIGAIIVLCFSLYCIWYRKRVRKANYKENTRDGVREELPLPSFHKIQSEETWNTDLPRIPFITILQSTDNFSVASKLGEGGFGPVYKGNLPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLRHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDVERRKQFDWKLRLSIIHGIARGILYLHEDSQLRVIHRDLKASNVLLDLDMNPKISDFGLARAFEVGQNQANTKRVMGTFGYMAPEYAMDGLFSVKSDIFSFGVLVLEIICGRKNGGFYMSDGQTLLVYAWRTWSEGKCLEMMDPMLEKSFIGSEVERCIQIGLLCVQEDARDRPTMSDVLVMLASDTVVIPKPKHPAFSIGRLASEEVSTSKSSKNFSVNDITFSISLPR >Vigun01g022000.2.v1.2 pep primary_assembly:ASM411807v1:1:2333807:2338636:1 gene:Vigun01g022000.v1.2 transcript:Vigun01g022000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRQMGVQYVDTGFPYTVSESFMDFFQCLTTHLPVNYAHAGSVLDQESIYWTMNMNPYKFGLSGPVTTCYYGSYEINDHFPRMEMDRGKWEYPSTIVVDEPTPIESPSGRDGVTSMQTIPEECSPNHQESSSNEVMWQDNIDPDNMTYEELLDLGEAVGTHNRGLSQELIDTLPTSKYNFGSLFRRKNSAKRCVICQMSYRRGDQQIKLPCSHVYHSECITRWLNINKKCPVCNIEVFGEDSSN >Vigun01g022000.1.v1.2 pep primary_assembly:ASM411807v1:1:2333807:2338774:1 gene:Vigun01g022000.v1.2 transcript:Vigun01g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRQMGVQYVDTGFPYTVSESFMDFFQCLTTHLPVNYAHAGSVLDQESIYWTMNMNPYKFGLSGPVTTCYYGSYEINDHFPRMEMDRGKWEYPSTIVVDEPTPIESPSGRDGVTSMQTIPEECSPNHQESSSNEVMWQDNIDPDNMTYEELLDLGEAVGTHNRGLSQELIDTLPTSKYNFGSLFRRKNSAKRCVICQMSYRRGDQQIKLPCSHVYHSECITRWLNINKKCPVCNIEVFGEDSSN >Vigun04g185900.2.v1.2 pep primary_assembly:ASM411807v1:4:41083877:41085253:-1 gene:Vigun04g185900.v1.2 transcript:Vigun04g185900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISSIPDEILLHILSSLPTKEVVATSVLSKRWNPLWRSVPSFDFSIGNENRREAYDHFHSVSSFLRSRDRDQPFLRFRLSYFFNCFDPTIRYSYRAIHDTESIIKTQIEDAVSGSARVQHLDLCLDLYIVMPSVVFTFKTLVFLKLANITVENIPFVDFPMVKILHLNRVHFSEGIDISQLLSGCPNLEDLEVKSILINAELKFSRLSTLGNMAAKFLPFEIVKNVKVLSTDVFRSHDWIYDFQNLVQLKLDFLYIQNNWVEFLETLRHCPMLQTLAIGYIGKIGFGSSAQGHEEAVLPDPQSVPACISSHLKTCSIGCYRGSMDEFLFARYIMQNAKYLRTMKIKILSYNGEKPDMIRDLSSCKKSSDTCKLSFDN >Vigun03g235900.3.v1.2 pep primary_assembly:ASM411807v1:3:39244608:39252963:1 gene:Vigun03g235900.v1.2 transcript:Vigun03g235900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDGQTQPILDPNQPTHVYHLALDIGGSLAKLVYFTKDDNHLVDGEEGISHRKTLQKSNGHKQYPVLNGRLNFKKFETSKINDCLEFIKSMKLHIGGSQPQENPGSQPIAVKATGGGAYKYADLFKERLGIILDKEDEMDCLVAGANFLLEVVHQEAFTYMGDQKQFVQIDKNDLYPYLLVNIGSGVGMIKVEGEGKFERVSGTSIGGGTFLGLGKLLTKCKSFDELLELSYRGNNREVDMLVGDIYGGMDYSKIGLSSSAIASSFGKAISDNREREDYKPEDIARSLLRMISNNIGQV >Vigun03g235900.1.v1.2 pep primary_assembly:ASM411807v1:3:39244608:39252963:1 gene:Vigun03g235900.v1.2 transcript:Vigun03g235900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDGQTQPILDPNQPTHVYHLALDIGGSLAKLVYFTKDDNHLVDGEEGISHRKTLQKSNGHKQYPVLNGRLNFKKFETSKINDCLEFIKSMKLHIGGSQPQENPGSQPIAVKATGGGAYKYADLFKERLGIILDKEDEMDCLVAGANFLLEVVHQEAFTYMGDQKQFVQIDKNDLYPYLLVNIGSGVGMIKVEGEGKFERVSGTSIGGGTFLGLGKLLTKCKSFDELLELSYRGNNREVDMLVGDIYGGMDYSKIGLSSSAIASSFGKAISDNREREDYKPEDIARSLLRMISNNIGQISHLNALRFGLKRIFFGGFFIRKHPFTMDTLSVAVNFWSKGEAKAMFLRHEGFLGAVGSFMSSDKHGLKELLANEVVQRSPSKLSFAVDKTLRSLPDGEFNGDESIECSVYAA >Vigun03g235900.2.v1.2 pep primary_assembly:ASM411807v1:3:39244608:39252963:1 gene:Vigun03g235900.v1.2 transcript:Vigun03g235900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDGQTQPILDPNQPTHVYHLALDIGGSLAKLVYFTKDDNHLVDGEEGISHRKTLQKSNGHKQYPVLNGRLNFKKFETSKINDCLEFIKSMKLHIGGSQPQENPGSQPIAVKATGGGAYKYADLFKERLGIILDKEDEMDCLVAGANFLLEVVHQEAFTYMGDQKQFVQIDKNDLYPYLLVNIGSGVGMIKVEGEGKFERVSGTSIGGGTFLGLGKLLTKCKSFDELLELSYRGNNREVDMLVGDIYGGMDYSKIGLSSSAIASSFGKAISDNREREDYKPEDIARSLLRMISNNIGQISHLNALRFGLKRIFFGGFFIRKHPFTMDTLSVAVNFWSKGEAKAMFLRHEGFLGAVGSFMSSDKHGLKELLANEVVQRSPSKLSFAVDKTLRSLPDGEFNGDESIECSVYAA >Vigun02g115800.1.v1.2 pep primary_assembly:ASM411807v1:2:26929403:26937131:-1 gene:Vigun02g115800.v1.2 transcript:Vigun02g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDLVEWSLRNTMALSPMSFLEHASTVYGDNLAIVFDHNVRFSWRQTHHRCLKLASAMVNFGLSHNDNVAALAPNIPALYELHFGVPMAGGVLSALNTQLDVTVLALILEQLEPCKIMFVDYQLIDSALKVFEILSQRKCKLPHIVLIPRYDQEPSFSANDIPPGTLNYNELLATGKNDFEPLKPSNECNPISVNYTSGTTGILPKGVVYSHKGACVNSLAAIARFEMKQMAVFLWTVDMFRCNGWCFPWVMPLIGGTNICLRNVSAKGIFDAIHRYKVTHFCGAPTLLDMIANASPGDRRPLPHRVNVTVAGVLPPSHVLNKVSQLGFDVNIGYGMTETYGPFIVRPWNPTSDGEHTKLNYGMSEVMQNVDVKDPKTNESTPPDGKTIGEIVFKGEALMMGYLKNPQATEEAIRDGWYMTGDLGVRQPNGSITVKDRAKDMMYCEGEAVSSIEVEAVLLNHPKVLKAAVVGRCDEYLVESPFAVVELREGCSATVEEIIKFCEDHLASHMVPRSVVFGDLPVNSTGKVQKFRIREKIKTESGVTQ >Vigun10g032600.1.v1.2 pep primary_assembly:ASM411807v1:10:4291978:4298152:-1 gene:Vigun10g032600.v1.2 transcript:Vigun10g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDMLINFNGEDIHRKFVSHLDSVLSAAGLTTLLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIEWHKTYYRHVLPVYYEIQPSDVRLQRGDFGKTLKATAQQSFSAQQLEHGMSGWNHALSKTADFFGWDESNYRSDAEVVDKIVKSVLNLAVLSATKFPVGLQSRMEEVIQIIKKESTKVCRIAICGKGGSGKTTLAKAIYDQIHDTFTEKFFIEDFEQKQLCSIEMGRSLIPERIYGKKVLIVLDDVNFSYYLEMIVRSRFGEGTVIIVTTTKQNPPFTRDLYSIFQINLMNPNESLELLSWHAFREAKPKDEYHFLAKRVVAYCGGLPLLLEVIGSCLYERTKEEWNNVLSRLERLPQHKVLEILKISFDGLPNQYERNLFLDICCFFVGKDRVYVTKILNGCGVNAESGIRILIERSLIIVKNNKFGLHPLLREMAREIVAKITSGKEPEKTSRLWFDKDLFSSQDKKVIQRFPPKWFLTVRDFFKHDYLEVRDAIRRMKLGGHCEYRSKELGWIRLENFSSEFLPIGFLRDAIAIDLKHSLPRLVWKEPQVLASLTVLNLSHSKYLTETPDFSRLPSLEQLILKDCPRLCEVHQSIGGLCNLTLLNLKDCTRIKNLPREIYMLKSLKTLILSGCSGIHLMEKDIRQMESLITLITESTVMKQVPFSIVSSKSIGYLSLRGFEGLSHNLFPFIMRSWMLPSMNPLSYYHSFCMDVEVNSWDDIAPLLRILVNLRSVLLQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRSLLIGDGRCKEFLDAFSDSISEVFAGSESCDVSLPGDNNPNCLADMGEGYSVSFTVPRDRDIKGMALCAVYLSTPEIVATEDLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLIFGESYDIEKESTSKKNSLIRFIKKL >Vigun06g153700.1.v1.2 pep primary_assembly:ASM411807v1:6:27766745:27769406:1 gene:Vigun06g153700.v1.2 transcript:Vigun06g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVADKTEFTECWHRTTESPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDEFEQVDKKTWLQETIVSMAVAGSIIGAAFGGWMNDKVGRKRSILVADVIFFIGALVMAAAPVPSVIILGRVLVGLGIGMASVTAPLYISEASPAKIRGALVSINGFLITSGQFLSYLINLAFTKAPGTWRWMLGVAGVPAAIQFMLVLSLPESPRWLYRQSKVEEAKHILSKIYRPSEVEEEMRAMEESVKSAREEEGLIGHSVVQKVKNALGNDVVRRALYAGITVQVAQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNAVGSVVSMLFIDRFGRRKLMLLSMIGIIVCLVILSATFNLAAHHAPAISNQDTVSFGANFTCQPYTKAPNFSSWNCMQCLHVGCAFCASTENDVLPGACLAAEKRIRGMCRAQNRVWFSEGCPSKIGIVAVVILGLYIIVYSPGMGTVPWVLNSEIYPLRFRGLGGGIAAVCNFCASLIVSQSFLSLTQALGTSATFLLFAGFSLVGLGAIYALVPETKGLQFEEVQKLLQNGFKPFPFNRKKEDNQGKGRASA >Vigun06g153700.2.v1.2 pep primary_assembly:ASM411807v1:6:27762204:27769406:1 gene:Vigun06g153700.v1.2 transcript:Vigun06g153700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVADKTEFTECWHRTTESPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDEFEQVDKKTWLQETIVSMAVAGSIIGAAFGGWMNDKVGRKRSILVADVIFFIGALVMAAAPVPSVIILGRVLVGLGIGMASVTAPLYISEASPAKIRGALVSINGFLITSGQFLSYLINLAFTKAPGTWRWMLGVAGVPAAIQFMLVLSLPESPRWLYRQSKVEEAKHILSKIYRPSEVEEEMRAMEESVKSAREEEGLIGHSVVQKVKNALGNDVVRRALYAGITVQVAQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNAVGSVVSMLFIDRFGRRKLMLLSMIGIIVCLVILSATFNLAAHHAPAISNQDTVSFGANFTCQPYTKAPNFSSWNCMQCLHVGCAFCASTENDVLPGACLAAEKRIRGMCRAQNRVWFSEGCPSKIGIVAVVILGLYIIVYSPGMGTVPWVLNSEIYPLRFRGLGGGIAAVCNFCASLIVSQSFLSLTQALGTSATFLLFAGFSLVGLGAIYALVPETKGLQFEEVQKLLQNGFKPFPFNRKKEDNQGKGRASA >Vigun06g153700.3.v1.2 pep primary_assembly:ASM411807v1:6:27762198:27769406:1 gene:Vigun06g153700.v1.2 transcript:Vigun06g153700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATICPLSLYSSKKGYNLQQPKFKLCTKESQQQRRTRKEEEELPSNPKPLRSFDAVSYCSKMEERVADKTEFTECWHRTTESPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDEFEQVDKKTWLQETIVSMAVAGSIIGAAFGGWMNDKVGRKRSILVADVIFFIGALVMAAAPVPSVIILGRVLVGLGIGMASVTAPLYISEASPAKIRGALVSINGFLITSGQFLSYLINLAFTKAPGTWRWMLGVAGVPAAIQFMLVLSLPESPRWLYRQSKVEEAKHILSKIYRPSEVEEEMRAMEESVKSAREEEGLIGHSVVQKVKNALGNDVVRRALYAGITVQVAQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNAVGSVVSMLFIDRFGRRKLMLLSMIGIIVCLVILSATFNLAAHHAPAISNQDTVSFGANFTCQPYTKAPNFSSWNCMQCLHVGCAFCASTENDVLPGACLAAEKRIRGMCRAQNRVWFSEGCPSKIGIVAVVILGLYIIVYSPGMGTVPWVLNSEIYPLRFRGLGGGIAAVCNFCASLIVSQSFLSLTQALGTSATFLLFAGFSLVGLGAIYALVPETKGLQFEEVQKLLQNGFKPFPFNRKKEDNQGKGRASA >Vigun02g008600.1.v1.2 pep primary_assembly:ASM411807v1:2:3608291:3626314:-1 gene:Vigun02g008600.v1.2 transcript:Vigun02g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTEEEVETDQLRSSTFQRLKSHSLHLLDLLQNPHIHNQKHCSVTVIPQLLRFLHISSPSTLQPFFDYALFPLLLLLDAAVQCRSTQKINSQENYNMPGVLKTPVEVSDGVAEGVVKCLEELLRKCRLNSVDQMVVLLKKLTYGAMLSPSEASEEFREGILLCVKALLLSLYSCSNMSCVCKQIPGLPALSDGDNNDRLHKTFMSDSESGECLLAFLQSQFASAAIGHWISLLLKTADTEAARGQQGSARLRIEAFKTLRVLVAKVGSADALAFFLPGFASQLAKVLLSAKIVISGPAGNVDSIDQAIRGFAEFLMIVLQDDANAYALDIESSSDFDSNECNSTLSLLEELRHLQVKNCVNTKAAEDTGVESERISYSQTQLQETGNTDPDKEKLSLHVNRTKVWMQRTSEHVNKLLGATFPHICIHPSQKVRKGLLDAIKGLLSECFYTLGESRLMLLECLCALVFDVSNDVSSTAQDFLEYLFSQNLKHVIKQNATEIFMRHLEKLPKVVLGDEESHAVLHAQKLLTIILYSGPRLLVAHLRSPVEAARFLDLFAACLSHNSVFSGSLRKLTSTERSSALGYLPSIAELKSGANFFNYSPSLVSSGLSELPKCRLIEEKSIEKPVKGAQNNYELPRMPPWFSYVGSLKLYQSLAGILRFVGLSLVADNISEGLLLHVIEGLLGYFRKLISELRLREYNEESWQSWYDRHGSGQLLRQASTAACMLNEIIFGISERASNDFASIFHNCAFHTSFWKMPKDKGVRSYLVECIGGILHEYLAAEVWNVPIDCGTADLPRNAVVEEDISLYFFQDAAMLREVIIDGVGIFSVCLGRDFVSSGFLHSSLYLLLENLSSSNYRVRNSADSVLHILSTTSGFPTVGQLVLENADYVVDSICRQLRHLDLNHHVPNVLASMLSYIGVAHKILPLLEEPMRSVSMELEILGRHQHPDLTIPFLKAVAEIVKSSKREAFLLPTRTELFARDVRSIISNSAETKQESNISRCKDESPTTKRESICALMRNQWEDILFKLNDSRRYRRTVGSIAGSCVTAAIPLLASIKQEICLAALDIIESGTLAIAKVEAAYKHEREIKEAIEEELESLSLCQLKDTLEANEEGADENRLLPAMNKIWPFLVTCIQNRSPVAVRRCLSVISSVVAVCGGDFFTRRFLSDGTHFWKLLTTSPFHKKSFSKDERIPLQLPYRSSSMSSEGSLAETSYLKVQIAVLNMIADLCRNKSSSSALELVLKKVSGLVVGIACSSVVGLRDASLNALHGLASIDPDLVWLLLADIYYTKYKENLPPPRPELPQISQILPPPMSPKEYLYVQYGGQSYGFDIDLASLDIAFTRFDSQHQIEINFLEYTRVTFAREVA >Vigun05g089900.1.v1.2 pep primary_assembly:ASM411807v1:5:8624343:8626001:-1 gene:Vigun05g089900.v1.2 transcript:Vigun05g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKTRASLEQTLQQLRDVTNSTALNKASIIVDASKYIEELKQKVEGLNSELGITESSSNSQIHELPAVTVKTLEKGFLINVLLEKNSPGMLVSVLEAFEELGLDVLDARVSCEDSFQLEAVGRESHKNDSVDAQMVKQAVLRAMKKEE >Vigun06g015400.1.v1.2 pep primary_assembly:ASM411807v1:6:7196734:7206724:1 gene:Vigun06g015400.v1.2 transcript:Vigun06g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKVTGVVASSSYSSSVVSSKRYDVFLSFRGEDTRKKFTSHLYDALKQKKVETFIDNRLEKGEEISTTLIQVIEDSHISIVIFSENYASSKWCLGELRKIMECKKEKGQIVIPVFYDIDPSHVRKQTGSYEKFFVTHKEEPMCNKWKAALTEAANLAAWDSQTYRVESELLKDIVEDVLQKLAPIYPNCHKGLVGIEENYEKIESLLKVGSNEVKLLGIWGMGGIGKTTLACALYDKLSHEFEGHCFLENVREESDKHGVKALRNKLFSELLGKKNHCFDVAFSVTKFVLSRLGRKKVFIVLDDVATSEQLENLIEDFDFLGLGSRVVVTSRNKQIFSQVDKIYEVKELSFHHSLQLFSLTVFREKQPKHGYEDLSRSATSYCKGVPLALKVLGASLRSRSKGAWECELRKLQKFPNKKIHSVLKLSYDGLDHSQKDIFLDIACFFRGNQRDHVTNMLEAFDFSAISGIEVLLDRALITISGGNQLEMHDLIQEMGWEIVHQECVEDPGRRSRLWKHEEVYEVFKYNKGTDVVEGIILDLSTLIEDLYLGSDFLAKMTNVRFLKIHSWSKFNIFNVYLPNGLSTLSHKMRYLHWDGFCLESLPSIFCAEKLVELCMRCSKLKKLWDGVQNLVNLKTIDLWGSRDLTEIPDLSMAEKLENVSLCYCESLCEVQVHSKSLRVLNLYGCSSLRKFSVTSEELTRLSLAFTAICSIPSSIWHKRKLKALYLTGCRNLHELTDEPRIHGSHKHSHTALASNAERLSMNIKSLSTLRMLWLDDCKKLVSLPKLPPSLEKLSASNCTSLDSYMTQWLVLQHMLQSRIPYLRKNYLRCYDEEYLFPGDHVIDECAFHTTETSITIPYLWKTELYGFIYCIILSKGSLLQSDVSCSVYQDGIRVGWLQKLLEYESLTSNHVLYMYHDINEFDAIAEVHGHFFSNVAFIFENSEASIEEFGIFPIYGSESGLKLVGSREIFESKFIDSQVNICQNST >Vigun09g265400.1.v1.2 pep primary_assembly:ASM411807v1:9:42957443:42959153:1 gene:Vigun09g265400.v1.2 transcript:Vigun09g265400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEVEFRCFVGGLAWATDNDALEKAFSPYGQILESKVINDRETGRSRGFGFVTFATEQAMRDAIDGMNGQNLDGRSITVNEAQSRGRGGGGGGGYGSGGGGGYGGGGGGGGYGRGGGGGGYGGGGRREGGYNRNGGGGGGYGGGGGGYGGGGGGYGGGSRDRGYGDGGSRYSRGGGASDGGSWRN >Vigun02g100600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25555265:25560603:1 gene:Vigun02g100600.v1.2 transcript:Vigun02g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLGFVIENLESFVQDQLATYWGVDQQTQKLSNNLTAIRAVLRDAERKQITSHAVKNWLQKLTDAAYVLDDILDECLIHSTKVQAHDGHISRLSRLHPKDIVFRFNIGKRMKDITQRFHDIHEEKSRFNLEHGVTQVQTVDDDWRQTSSDITEPVVYGREQDREQIVKFLVEDASNSEDLSIYSIVAMGGLGKTTLVKQVFNDDRVCKHFDLTIWVCVSDDFNTKTILQSMIECITGKNPNLNSLEAWRKRVEEVLHGKRYLLVLDDVWNEDQEKWKQLKGKLQCARAAKGATILVTTRLEEVASTMQTHPAYHLKELSGDDSWSLFKHHAFGPNREEMEELVAIGKEIVRKCIGLPLAIKALGSLLRDQSEVRQWKNVKESEIWDIREENSSMISEENSIMRALKLSYSNMELSLKRCFSFCAIFPKDFEISKEELIHLWMANGFIECEGNIEVEDVGNKVWNKLYRRSFFQEAKCDEFGMVTSFKMHDLFHDLARSIMGEECVSFWGGRLTPLSSRVHYSTLLSDGSFRGFMRAFKKVESLRTFIDLHPCVLIGFSPVPSNPSLRALCTNSCLLSPLKDLTHLRYLSLSKSWKSSLNNSICQMPKLQILKLQSFRFLRGLPKDLTQLQDLRHIVMNQCNSVEKTPPKISKLRHLRTLSIFVVGSKPGCGLAELHSLNLGGALRIRGLKNVPSEWDAKQANLIGKKELNILHLSWDGSANSKSSNVSVERVLEALEPPSTLKSFHIKGYEGRQLSSWMRSPVTLRDLVEVKLLDCDNCEELPPLGKLPYLKRLELIRMKNVKWIDGETYVGVEEKAFPSLEELSVDNLPNLERLLRDERVEMVPHLLQLRIERVSNLKCPRLPSVEKLDAGEIGEAASFMEVVGNTACLKTLSIEYIKGVVVLPEQFSGLGALQDLYIAYWYDVEYFPEHVLEGLTSLRTLSINYCEKLKSLSEGVRHLACLESLTICGCPELVALPNKMSQLTALQHVSINICSTLPDGLQRVPSLRSLYICDYKSTSLPDWLGDISTLEELIINYCRELRSLPSSIQRLTNLSHLSICKCPHLKKRCKRETGEDWQYINHIPKIELFSR >Vigun01g229200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40176676:40177200:-1 gene:Vigun01g229200.v1.2 transcript:Vigun01g229200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTRPFTWHYTELDDRDLEIRGRTLFFVIVLFSIILLVTVLFIYTRWVCRYQGRLPTTAFSAAAHAPSLPQSQGLDPAILKKLPIILHHAPSDRDENSWDETECCICLGEFGDGEKVKILPACDHYFHCECVDRWLTHHSSCPLCRASLEVELSFPKVLIQEPPLRIDFQF >VigunL015901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:861997:863553:-1 gene:VigunL015901.v1.2 transcript:VigunL015901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMILHVFRVYLTGAFKKPRELTWVTGVVLAVLTASFGVTSYSLPWDQIGYWVVKIVTGVPEAIPVIGSSLVELSHGSSSVGQSTLTRFYSLHTFVLALLTVVFMLMHFSMIRLAVLEPSMIGEPADPFATPLEILPEWYFFSRISNTSYSAQ >Vigun11g026600.1.v1.2 pep primary_assembly:ASM411807v1:11:3441689:3443178:1 gene:Vigun11g026600.v1.2 transcript:Vigun11g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCIDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHLTEDILKENPNMCAYMAPSLDARQYIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNKDARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFNPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKAEKMKATRDVLSDYGNMSSACVLFILDEMRRKSTENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun03g117800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10941023:10942471:1 gene:Vigun03g117800.v1.2 transcript:Vigun03g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLHRALCTAAEPATVSIKSISEDLYKEVKLKTLVEKFKKASDIDRFRKKTGIYEDTVRRLAGAKRFRWVRDILEHQKQYSDISNEGFSARLISLYGKSGMAKHARTVFDEMPQRKCDRTVLSLNALLAAYLRSRKYDVVEELFRTLPTQLSIEPDLVTYNTLIKAFCEKGSFDSALSVLKEMEEKGVNPDSITFNTLLDGLYSKGCFEDGEKVWGQMGAKNVAPDVRSYCSKLVGLAGEKKAGEAVELFREMEKVGLKPDLFCINAVIKSFVNEGNLDEAKKWFGEIANSDFDPHKSTYSTLVPFLCEKGDLKTAIEMCKEIFNNRCRVDASLLQLVVDKLVSEGMISEAKEIVEIGKTNRYCRYRLNLPAEE >Vigun01g101900.1.v1.2 pep primary_assembly:ASM411807v1:1:26975419:26978058:-1 gene:Vigun01g101900.v1.2 transcript:Vigun01g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAQHLEQQKILHDEPVVEDDDDEEDEDDDDEEDDDHGEGLEGDASGRSKQTRSEKKSRKAMLKLGMKPVGGVSRVTVKKSKNILFVISKPDVFKSPTSDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSNVGLKPESSAIAQDDEEVDEAGVDPKDIELVMTQAGVSRPKAVKALKAAGGDIVAAIMELTN >Vigun04g025500.2.v1.2 pep primary_assembly:ASM411807v1:4:1959602:1962966:1 gene:Vigun04g025500.v1.2 transcript:Vigun04g025500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRCDLFQCCFASTSSDTFPKRSNLKWPIFPYKEIEKATNNFDQSRYLGNGERKKGFITEYYGTLEDGREITIQRFEKDKCYILQKFINETVLLSYLPHKNIVSIYGCTSQHVEPLIVHEYLSNGNLAAHFQGVKDENITLPWLKRLDIAIDIANALAYLHYYGIIHRNVKSSNILLDLNFSAKLGNLHLSCKLPDGVPVDATHVTSDRVGSSGYIDPEYLTKGQLSVKIDVYSFGVVLCELLSSKLAIYHILNEESNLGIPFSRKIENKTLVELFDPRLGFQSNLNIKQLMTATAELAVLCMKCPQESRPNMEEVLEILNEMKQGRYGTNSHSTKALKIFHHAELEEATNNFGTCLGKGGYGSVYYGKLKDGLEVAIKCFHDENETQETIKQFMKESDILGLLHHQNLVSLYGRTSRHCKKHMLVYEYISNGTLSKHLYNPLNGKLPWETRLNIAIETATALVFLHDSSIIHRDVKGSNILLDENFRVKVADFGFSRSLPDHVTHVTTIPVGTRAYIDPDYYVSGRVSNKSDVYSFGVVLFELISSIHPSLVAGTDNETLAQFAKRKILNNELNEIVDPSFSCGSDNNILETITAVAELAFQCVQCPKEFRPSMKQVLETLEGISKGKWGFNQMT >Vigun04g025500.1.v1.2 pep primary_assembly:ASM411807v1:4:1959034:1962966:1 gene:Vigun04g025500.v1.2 transcript:Vigun04g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRCDLFQCCFASTSSDTFPKRSNLKWPIFPYKEIEKATNNFDQSRYLGNGERKKGFITEYYGTLEDGREITIQRFEKDKCYILQKFINETVLLSYLPHKNIVSIYGCTSQHVEPLIVHEYLSNGNLAAHFQGVKDENITLPWLKRLDIAIDIANALAYLHYYGIIHRNVKSSNILLDLNFSAKLGNLHLSCKLPDGVPVDATHVTSDRVGSSGYIDPEYLTKGQLSVKIDVYSFGVVLCELLSSKLAIYHILNEESNLGIPFSRKIENKTLVELFDPRLGFQSNLNIKQLMTATAELAVLCMKCPQESRPNMEEVLEILNEMKQGRYGTNSHSTKALKIFHHAELEEATNNFGTCLGKGGYGSVYYGKLKDGLEVAIKCFHDENETQETIKQFMKESDILGLLHHQNLVSLYGRTSRHCKKHMLVYEYISNGTLSKHLYNPLNGKLPWETRLNIAIETATALVFLHDSSIIHRDVKGSNILLDENFRVKVADFGFSRSLPDHVTHVTTIPVGTRAYIDPDYYVSGRVSNKSDVYSFGVVLFELISSIHPSLVAGTDNETLAQFAKRKILNNELNEIVDPSFSCGSDNNILETITAVAELAFQCVQCPKEFRPSMKQVLETLEGISKGKWGFNQMT >Vigun01g117800.1.v1.2 pep primary_assembly:ASM411807v1:1:29428766:29433883:1 gene:Vigun01g117800.v1.2 transcript:Vigun01g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPALHVDDLQDGAQNGLELKNGTCKATATIGDKTYVIGGADDGTLSIEVQIFDRNLGEWVRPTVRGTKPGSCKGLSAVPLEDKILILKKGSKPDDQIWFLEVDTQYVRQQQEYLGTEVVAWSKGVVGKAEKPVVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTEKSIMEKEIKEGKFLEFASVHGNLYGTSVEAVELVADAGKRCILDIDVQGARSVRASSLEAIFIFVCPPSMEELEKRLRDRGTETEEQILKRLRNAKAEIEQGKSSHIFDFILYNDKLVESYDRLKKLLGLDDYVTTPKSGPGEVDLPIDHSVSKIDNKIIINRISSGEKESKNLIILDVSSLKGGAPGRTRGLNFQAIGSFSNGLTEIEGLS >Vigun05g165500.3.v1.2 pep primary_assembly:ASM411807v1:5:27296396:27296843:-1 gene:Vigun05g165500.v1.2 transcript:Vigun05g165500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKKVEDLANDMETKLKDADTIARRLKEDMFKRQESQDKPKSNIYEVEVVKVLLAKACMKAYFEVFMMAMQHVLTFLVRWSCLMWLCLTSIGLCVYPVNLCCLDG >Vigun05g165500.2.v1.2 pep primary_assembly:ASM411807v1:5:27296086:27297876:-1 gene:Vigun05g165500.v1.2 transcript:Vigun05g165500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKKVEDLANDMETKLKDADTIARRLKEDMFKRQESQDKPKSNIYEVEVVKVLLAKACMKAYFEVFMMAMQHVLTFLVRWSCLMWLCLTSIGLCVYPVNLCCLDG >Vigun05g165500.1.v1.2 pep primary_assembly:ASM411807v1:5:27296086:27297876:-1 gene:Vigun05g165500.v1.2 transcript:Vigun05g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKKVEDLANDMETKLKDADTIARRLKEDMFKRQESQDKPKSNIYEVEVVKVLLAKACMKAYFEVFMMAMQHVLTFLVRWSCLMWLCLTSIGLCVYPVNLCCLDG >Vigun11g177400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38176336:38177335:1 gene:Vigun11g177400.v1.2 transcript:Vigun11g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSFNNLQAEKAKAMLKHRKLRRVASLLRIIEVCAVLVLVSRFSMQLPVAVRNSGEYFRDLSLFMNSPRFVFLVGNIIIIALFAQFSAQGHNVVVPEPNLYQEFVQNTTKNQEVVAEYGRKKQRVKTGEANISLGKSYRRCETEILRPKRRRVLRRCETEKGRKKIEGVGVDEVARISCPEDGMSNEEFRRTVEAFIAREQRIRREEDYYLM >Vigun04g074700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9060884:9063397:1 gene:Vigun04g074700.v1.2 transcript:Vigun04g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKLGRNIERKITKPFKNKPRPRPPPPPPPLPSSTPPPPPPPPPPSTPPSPPPSPPPPPKQPNVPFLFPEADSTILPDPSTFFAPNLLSSPLPTNSFFQNYVLQNGDTPEYIHPYLIKSSNSSLSLSYPSFTFNSSFIAQVFNPEITISSTDSKTTPGSHARHVISSFSDMSVTLDMPSSNLRFFLVRGSPFVTASVTCPTPLSITTMHAILSLSPNNSLTKHTLQLNNGQTWLIHTSSPISLNHTLSEITSGEFSGIIRIAVLPDSDPKYEVILNRFSSCYPVSGDAAFTNPFCVKYKWEKQGWGELLILAHPLHLPLLNDGECGVTILHDLKFRSIDGELVGVVGDSWLLKTDPVSVTWHSARGLKEEFHEEIFSVLSEDVEALNASGITTTSCYFYGKIIARAARLALIAEEVAFFDAILMIRDFLKEIIEPWLDGTFSGNGFLYDGKWGGIVTKQGSQDSGADFGFGVYNDHHYNLGYFLYGIAVLAKIDPVWGRKYKPQAYSLVADFMNLGRRSDSNYTRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTQLIATGSTLAALEIHAAQMWWHMGEGHKNLYEEDFSKENKVVSVVWANKRDSGLWFAPAEWRECRLGIHVLPLSPITEALFSDVGYVKELVEWTVPNLNRKCVGEGWKGFIYALEGTYDKESALQKVRGLKVFDDGNSMSNLLWWIHSRGEVEEEFGQGKQCWFGHYCH >Vigun03g345700.1.v1.2 pep primary_assembly:ASM411807v1:3:54497567:54499976:-1 gene:Vigun03g345700.v1.2 transcript:Vigun03g345700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEGVFDALNLNPQLFCNEVLNNVDDVLDEAFNFFYQDASTKLNIEGSQKSQDLKKGVDCIRQRVQSVLDKQLGAWENYILRHCFSLPRGFRLPNTDESTESGPDPGAPFDPDTDAQLDSLREKLTEVAKDSEMLNQEIQLLERNSTVNAGYINEAVQLYEQNSMNELFQEIVTTASELGTKLGKLNSSMIEETDQMKTKSIYSPEMDLSAVHSAKGLSNKKLDDIEEFVGIMKSM >Vigun06g022150.1.v1.2 pep primary_assembly:ASM411807v1:6:10455427:10456117:-1 gene:Vigun06g022150.v1.2 transcript:Vigun06g022150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELERYEVEMEPECRGWRRSERREESRRRDAIAECVRSGRPVGPGPFCHPYFPALMILSDDRQSFVIEFLLEFGIDAAAEAAPIQYLGMIPASDEAVQTSLQKCRVVTENECCSICLEEMNVNDECHKMPCNHAFHHTCILTGLRTSHVCPLCRFPLQTGQE >VigunL004000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:30313:30805:-1 gene:VigunL004000.v1.2 transcript:VigunL004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFRFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLLSSIFMRFINIYLFRFILFL >Vigun03g045900.1.v1.2 pep primary_assembly:ASM411807v1:3:3680895:3683884:1 gene:Vigun03g045900.v1.2 transcript:Vigun03g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEEEGKITKGKYAADISSIREAHDRIKSLVHKTPLLSSTSLNVLSGRQLYFKCECLQKGGAFKFRGACNAVFSLNDEDASKGVVTHSSGNHAAALALAAKLRGIPSYIVVPKNAPTCKIENVKRYGGQIVWSEAYVQSREEVANKVWQETGAIFIHPYNDGRILSGQGTISLEILEQAPHIDTLVVPISGGGLISGIALAAKSINPAIRILAAEPKGADDAAQSKAAGRIIRLPETDTIADGLRAFLGDFTWPVVRDLVEDIITVEDSEIIKAMKLCFEILKVVVEPSGAIGLAAVLSDTFQNNPSWKECNHIGIVLSGGNVDLAVLWDSLKKEK >Vigun03g045900.4.v1.2 pep primary_assembly:ASM411807v1:3:3680895:3683884:1 gene:Vigun03g045900.v1.2 transcript:Vigun03g045900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEEEGKITKGKYAADISSIREAHDRIKSLVHKTPLLSSTSLNVLSGRQLYFKCECLQKGGAFKFRGACNAVFSLNDEDASKGVVTHSSGNHAAALALAAKLRGIPSYIVVPKNAPTCKIENVKRYGGQIVWSEAYVQSREEVANKVWQETGAIFIHPYNDGRILSGQGTISLEILEQAPHIDTLVVVV >Vigun03g045900.3.v1.2 pep primary_assembly:ASM411807v1:3:3680895:3683884:1 gene:Vigun03g045900.v1.2 transcript:Vigun03g045900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEEEGKITKGKYAADISSIREAHDRIKSLVHKTPLLSSTSLNVLSGRQLYFKCECLQKGGAFKFRGACNAVFSLNDEDASKGVVTHSSGNHAAALALAAKLRGIPSYIVVPKNAPTCKIENVKRYGGQIVWSEAYVQSREEVANKVWQETGAIFIHPYNDGRILSGQGTISLEILEQAPHIDTLVVVV >Vigun03g045900.2.v1.2 pep primary_assembly:ASM411807v1:3:3680895:3683884:1 gene:Vigun03g045900.v1.2 transcript:Vigun03g045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEEEGKITKGKYAADISSIREAHDRIKSLVHKTPLLSSTSLNVLSGRQLYFKCECLQKGGAFKFRGACNAVFSLNDEDASKGVVTHSSGNHAAALALAAKLRGIPSYIVVPKNAPTCKIENVKRYGGQIVWSEAYVQSREEVANKVWQETGAIFIHPYNDGRILSGQGTISLEILEQAPHIDTLVVPISGGGLISGIALAAKSINPAIRILAAEPKGADDAAQSKAAGRIIRLPETDTIADGLRAFLGDFT >Vigun04g131600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32958730:32960187:-1 gene:Vigun04g131600.v1.2 transcript:Vigun04g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun07g028800.1.v1.2 pep primary_assembly:ASM411807v1:7:2620039:2625032:-1 gene:Vigun07g028800.v1.2 transcript:Vigun07g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVSTSQSSINAVVLKGRSPLLMSSNIHGLWSRGISTSFSSSSFPGESDINHVDLSVCTKLSCSTVMGQTIRGGFLRSCCSKPRGNTRFFSSVVPRKRYHEISLACQSMNMRLLLPKQKLLHKVKCNFGPVSWLRGCASVGLIFGLLVCSSSSEPAHAESHSENENRKDDCNEYELNVKFSHGKKVYTDYSVIGIPGDGRCLFRSVARGACLRSGKPPPSENIQRELADDLRARVADEFVKRREETEWFIEGDFDTYISHIRKPHVWGGEPELFIASHVLQMPITVYMYDKEAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALDIPTRKVPKPRL >Vigun07g028800.2.v1.2 pep primary_assembly:ASM411807v1:7:2620039:2625032:-1 gene:Vigun07g028800.v1.2 transcript:Vigun07g028800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVSTSQSSINAVVLKGRSPLLMSSNIHGLWSRGISTSFSSSSFPGESDINHVDLSVCTKLSCSTVMGQTIRGGFLRSCCSKPRGNTRFFSSVVPRKRYHEISLACQSMNMRLLLPKQKLLHKVKCNFGPVSWLRGCASVGLIFGLLVCSSSSEPAHAESHSENENRKDDCNEYELNVKFSHGKKVYTDYSVIGIPGDGRCLFRSVARGACLRSGKPPPSENIQRELADDLRARVADEFVKRREETEWFIEGDFDTYISHIRKPHVWGGEPELFIASHVLQMPITVYMYDKEAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALDIPTRKVPKPRL >Vigun07g028800.3.v1.2 pep primary_assembly:ASM411807v1:7:2620039:2625007:-1 gene:Vigun07g028800.v1.2 transcript:Vigun07g028800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVSTSQSSINAVVLKGRSPLLMSSNIHGLWSRGISTSFSSSSFPGESDINHVDLSVCTKLSCSTVMGQTIRGGFLRSCCSKPRGNTRFFSSVVPRKRYHEISLACQSMNMRLLLPKQKLLHKVKCNFGPVSWLRGCASVGLIFGLLVCSSSSEPAHAESHSENENRKDDCNEYELNVKFSHGKKVYTDYSVIGIPGDGRCLFRSVARGACLRSGKPPPSENIQRELADDLRARVADEFVKRREETEWFIEGDFDTYISHIRKPHVWGGEPELFIASHVLQMPITVYMYDKEAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALDIPTRKVPKPRL >Vigun07g028800.4.v1.2 pep primary_assembly:ASM411807v1:7:2620039:2625007:-1 gene:Vigun07g028800.v1.2 transcript:Vigun07g028800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVSTSQSSINAVVLKGRSPLLMSSNIHGLWSRGISTSFSSSSFPGESDINHVDLSVCTKLSCSTVMGQTIRGGFLRSCCSKPRGNTRFFSSVVPRKRYHEISLACQSMNMRLLLPKQKLLHKVKCNFGPVSWLRGCASVGLIFGLLVCSSSSEPAHAESHSENENRKDDCNEYELNVKFSHGKKVYTDYSVIGIPGDGRCLFRSVARGACLRSGKPPPSENIQRELADDLRARVADEFVKRREETEWFIEGDFDTYISHIRKPHVWGGEPELFIASHVLQMPITVYMYDKEAGGLISIAEYGQEYGKENPIRVLYHGFGHYDALDIPTRKVPKPRL >Vigun09g001000.2.v1.2 pep primary_assembly:ASM411807v1:9:122439:125392:-1 gene:Vigun09g001000.v1.2 transcript:Vigun09g001000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQSMNSNVKVRGEYRSVLLQVISIVPALSGSELWPNRGFFIKVSDSSHSTYVSLSKEDNELILNNKLQLGQFFYVDGIEAGTPVPILVGARPLPGRHPFQGNPKDLMQMLEQSEHPHSDGVNGSKSTDLTEAKENPSSRHKIVIKEEKLGVASRYMQGVLNPNSKLNGLEANVGSKGNDIEMGVEGKKVGSAKGKQLDIKGQVLPMTQSGTRLEAFSPKQDIAQSNIREAVTAPSVRTSAKQSSSTKQENLNMNLLSRAKDKSKSTETIPWSSLPAKLLRPGKVILRRKHLASQVVVQAQKEASAATTTVKCLSMFASICSFASSENPHATLNKFFALQQLMDQPNGTAQLNEKSLQLYRISTPVEKHKSGTTAGLMPAKSTAKSSKPLTELSGTEKQEWAKGGGMKEIDELRELLLNETRSWFLMYLEKTLDAGFSVGSHEKGKDSKDVAGRQIEQANNIALTLSHLKHANEWLDKLRSSCNTESEGMVETVDRLKQKVYSCLLVHIDSAALALGNRA >Vigun09g001000.1.v1.2 pep primary_assembly:ASM411807v1:9:122439:125392:-1 gene:Vigun09g001000.v1.2 transcript:Vigun09g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQSMNSNVKVRGEYRSVLLQVISIVPALSGSELWPNRGFFIKVSDSSHSTYVSLSKEDNELILNNKLQLGQFFYVDGIEAGTPVPILVGARPLPGRHPFQGNPKDLMQMLEQSEHPHSDGVNGSKSTDLTEAKENPSSRHKIVIKEEKLGVASRYMQGVLNPNSKLNGLEANVGSKGNDIEMGVEGKKVGSAKGKQLDIKGQILASQVLPMTQSGTRLEAFSPKQDIAQSNIREAVTAPSVRTSAKQSSSTKQENLNMNLLSRAKDKSKSTETIPWSSLPAKLLRPGKVILRRKHLASQVVVQAQKEASAATTTVKCLSMFASICSFASSENPHATLNKFFALQQLMDQPNGTAQLNEKSLQLYRISTPVEKHKSGTTAGLMPAKSTAKSSKPLTELSGTEKQEWAKGGGMKEIDELRELLLNETRSWFLMYLEKTLDAGFSVGSHEKGKDSKDVAGRQIEQANNIALTLSHLKHANEWLDKLRSSCNTESEGMVETVDRLKQKVYSCLLVHIDSAALALGNRA >Vigun02g181000.2.v1.2 pep primary_assembly:ASM411807v1:2:32174412:32178052:1 gene:Vigun02g181000.v1.2 transcript:Vigun02g181000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRHIGEVVGSLKALMVLRDEIQINQRQCGLILDIFSLAMDTIAEEMRQNLKLEERNSKWKALESPLTELCRVFKEGEHYIKQCLDSKDWLAKAITLSHHRDCVEFHIHNLLCYFPAVIEAIEIAGEITGLDSEEKAKKKVILGRKYDVEWKDPELFQWKFGKQYLVPRGICKKLENAWREDRWRLIEAIKDKIAKEGDSTAFSKSDHGLADMLLKKLLNGSEISPIAVLVGSQDFLVRRRLGRRRDFNEIQWLGQSFAMRNFQGERETYQAEVFSLLSLSHPNILQHLCGFYDEEKKEFSFVMELMNKDLWTHMKENCGPRRQILFSVPVVVDLMLQMARGMEYLHSQNICHGNLNPRNIHLKKRNSQEDYFQAKVAGFALSSVNNDNVDDTAIQDFNPLTWYAPEVLSRMEQTHNGPASSSSKKVDVYSFGMICFQLLTGKVPFEDNHLQGDKMKQNITVGERPLFPYHSPKYLVNLIKKCWQTDPAQRPSFSSICRILRYTKKFLAMNSESHLINPEFNVLEVPPVDCFEIETTFLKSSSTERSSCVSSVFQIPYEMFAYKVLEKGKMNPNNSTTKDECCEHHRKDWCRSESDDPSTECDEDKTFTVKDPLPQTTHPTSVCSEVPDKKAVRIKNQTHVKAKNIQEKPKLLATKSFPPSLSNRVSRSRKVNTPTLTPTRLSPVKRRPSLRSESDGNFKGNRSASPSLPNPLRKKHDGSLSDTKASVRFKKMDQSALPRYGSMTDSSRMKKSQMTLNQSLSAGKLRRANTDTNLKVLARTHLLSTSKTSTKSGKGGNVSVLENGSSMSTGRFSPLALSPPQSPHLRTNKATGHLSDSESSFVKKRGSMSLLKTSGHASD >Vigun02g181000.3.v1.2 pep primary_assembly:ASM411807v1:2:32174801:32178052:1 gene:Vigun02g181000.v1.2 transcript:Vigun02g181000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRHIGEVVGSLKALMVLRDEIQINQRQCGLILDIFSLAMDTIAEEMRQNLKLEERNSKWKALESPLTELCRVFKEGEHYIKQCLDSKDWLAKAITLSHHRDCVEFHIHNLLCYFPAVIEAIEIAGEITGLDSEEKAKKKVILGRKYDVEWKDPELFQWKFGKQYLVPRGICKKLENAWREDRWRLIEAIKDKIAKEGDSTAFSKSDHGLADMLLKKLLNGSEISPIAVLVGSQDFLVRRRLGRRRDFNEIQWLGQSFAMRNFQGERETYQAEVFSLLSLSHPNILQHLCGFYDEEKKEFSFVMELMNKDLWTHMKENCGPRRQILFSVPVVVDLMLQMARGMEYLHSQNICHGNLNPRNIHLKKRNSQEDYFQAKVAGFALSSVNNDNVDDTAIQDFNPLTWYAPEVLSRMEQTHNGPASSSSKKVDVYSFGMICFQLLTGKVPFEDNHLQGDKMKQNITVGERPLFPYHSPKYLVNLIKKCWQTDPAQRPSFSSICRILRYTKKFLAMNSESHLINPEFNVLEVPPVDCFEIETTFLKSSSTERSSCVSSVFQIPYEMFAYKVLEKGKMNPNNSTTKDECCEHHRKDWCRSESDDPSTECDEDKTFTVKDPLPQTTHPTSVCSEVPDKKAVRIKNQTHVKAKNIQEKPKLLATKSFPPSLSNRVSRSRKVNTPTLTPTRLSPVKRRPSLRSESDGNFKGNRSASPSLPNPLRKKHDGSLSDTKASVRFKKMDQSALPRYGSMTDSSRMKKSQMTLNQSLSAGKLRRANTDTNLKVLARTHLLSTSKTSTKSGKGGNVSVLENGSSMSTGRFSPLALSPPQSPHLRTNKATGHLSDSESSFVKKRGSMSLLKTSGHASD >Vigun02g181000.1.v1.2 pep primary_assembly:ASM411807v1:2:32174412:32178052:1 gene:Vigun02g181000.v1.2 transcript:Vigun02g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRHIGEVVGSLKALMVLRDEIQINQRQCGLILDIFSLAMDTIAEEMRQNLKLEERNSKWKALESPLTELCRVFKEGEHYIKQCLDSKDWLAKAITLSHHRDCVEFHIHNLLCYFPAVIEAIEIAGEITGLDSEEKAKKKVILGRKYDVEWKDPELFQWKFGKQYLVPRGICKKLENAWREDRWRLIEAIKDKIAKEGDSTAFSKSDHGLADMLLKKLLNGSEISPIAVLVGSQDFLVRRRLGRRRDFNEIQWLGQSFAMRNFQGERETYQAEVFSLLSLSHPNILQHLCGFYDEEKKEFSFVMELMNKDLWTHMKENCGPRRQILFSVPVVVDLMLQMARGMEYLHSQNICHGNLNPRNIHLKKRNSQEDYFQAKVAGFALSSVNNDNVDDTAIQDFNPLTWYAPEVLSRMEQTHNGPASSSSKKVDVYSFGMICFQLLTGKVPFEDNHLQGDKMKQNITVGERPLFPYHSPKYLVNLIKKCWQTDPAQRPSFSSICRILRYTKKFLAMNSESHLINPEFNVLEVPPVDCFEIETTFLKSSSTERSSCVSSVFQIPYEMFAYKVLEKGKMNPNNSTTKDECCEHHRKDWCRSESDDPSTECDEDKTFTVKDPLPQTTHPTSVCSEVPDKKAVRIKNQTHVKAKNIQEKPKLLATKSFPPSLSNRVSRSRKVNTPTLTPTRLSPVKRRPSLRSESDGNFKGNRSASPSLPNPLRKKHDGSLSDTKASVRFKKMDQSALPRYGSMTDSSRMKKSQMTLNQSLSAGKLRRANTDTNLKVLARTHLLSTSKTSTKSGKGGNVSVLENGSSMSTGRFSPLALSPPQSPHLRTNKATGHLSDSESSFVKKRGSMSLLKTSGHASD >VigunL015700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:835393:835776:-1 gene:VigunL015700.v1.2 transcript:VigunL015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVELYYGFMTTFFIGPSYLFLLEARLVEEGIEKKIAATIGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSKKNFLNYGYKNPNSIRNFSIQ >Vigun11g114600.1.v1.2 pep primary_assembly:ASM411807v1:11:31859726:31863875:-1 gene:Vigun11g114600.v1.2 transcript:Vigun11g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRKKDPSFSSNSSVSDIAMPPFPQVRTSPASSFKSFIFMLSILLNLYLLFLTWAPSFAPLTSSTRITTLSPTTRRHLLFSVASSSLSWPRRLPYLRLWYSPNSTRALSFLDKPPPLPLNSSSSSFFPPVVISADTSAFPYTFRGGLRSAIRVARAVKEAVDRNETDVRWFVFGDDDTVFFVDNVVRALARYDHRRWFYVGSNSESYEQNVKYSFEMAFGGGGFAISSSLARVLARVLDSCLRRYAHLYGSDSRIYSCVAELGVGLTHEPGFHQLDVRGNLLGMLAAHPLSPLLSLHHLEAMEPIFPDMDSLQALKHLVAAANVDPARILQQTVCYDQSNSLTFSVSWGFAIQVYQGNELLPDLLSVQRTFVPWRRGSKVNANFMFNTRDYHRDPCKRPSVFFFKSATSDKRGIWSSYSRHDDGNCFESDVRQLEQIIVFSRKLQLNNDQMNAPRRQCCSVLPPSSNYTTNLHIRQCEINELISMQS >Vigun02g172900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31563249:31563773:-1 gene:Vigun02g172900.v1.2 transcript:Vigun02g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSKRHPLYRGIRSRGRKWVSEIREPRKASRIWLGTFPTPEMAAAAYDVAALALKGDGAVLNLPHSVSKYQIPATNSAVDIRTAASAAAAMVKAETEASHNIASQTEATHIDASPWWFQNDFLDEEALFGMPSLLVDMAGGMLLSPPRMTSPPSDNSPEKQGAETLWSYF >Vigun07g083900.2.v1.2 pep primary_assembly:ASM411807v1:7:12411854:12412753:-1 gene:Vigun07g083900.v1.2 transcript:Vigun07g083900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPAAAYIHQVHRLIEECILFNMNLEECKEALFKHANIKPIITSTVWKELQKENKEFFDAYSRSQNEGGSKTQKRQKLLDMVSASKDFQNDKFRVQTEQR >Vigun07g083900.1.v1.2 pep primary_assembly:ASM411807v1:7:12411854:12412955:-1 gene:Vigun07g083900.v1.2 transcript:Vigun07g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPAAAYIHQVHRLIEECILFNMNLEECKEALFKHANIKPIITSTVWKELQKENKEFFDAYSRSQNEGGSKTQKRQKLLDMVSASKDFQNDKFRVQTEQR >Vigun05g040500.3.v1.2 pep primary_assembly:ASM411807v1:5:3288093:3290038:1 gene:Vigun05g040500.v1.2 transcript:Vigun05g040500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLYLFLSNFPFHFHKQLPSREMALLGFLLGLFYMVSHVKGYGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTTALSTALFNNGLSCGACFEIKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPQHHFDLSQPVFQHIAQYRAGIVPVVYRRVRCRRKGGIRFSINGHSYFNLVLVTNVGGAGDVHSVYIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTGNGHSVVSYNVAPAGWSFGQTYTGRQFNY >Vigun05g040500.1.v1.2 pep primary_assembly:ASM411807v1:5:3288093:3290038:1 gene:Vigun05g040500.v1.2 transcript:Vigun05g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLCREMALLGFLLGLFYMVSHVKGYGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTTALSTALFNNGLSCGACFEIKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPQHHFDLSQPVFQHIAQYRAGIVPVVYRRVRCRRKGGIRFSINGHSYFNLVLVTNVGGAGDVHSVYIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTGNGHSVVSYNVAPAGWSFGQTYTGRQFNY >Vigun05g040500.4.v1.2 pep primary_assembly:ASM411807v1:5:3288093:3290039:1 gene:Vigun05g040500.v1.2 transcript:Vigun05g040500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFLLGLFYMVSHVKGYGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTTALSTALFNNGLSCGACFEIKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPQHHFDLSQPVFQHIAQYRAGIVPVVYRRVRCRRKGGIRFSINGHSYFNLVLVTNVGGAGDVHSVYIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTGNGHSVVSYNVAPAGWSFGQTYTGRQFNY >Vigun05g040500.2.v1.2 pep primary_assembly:ASM411807v1:5:3287144:3290039:1 gene:Vigun05g040500.v1.2 transcript:Vigun05g040500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFLLGLFYMVSHVKGYGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTTALSTALFNNGLSCGACFEIKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPQHHFDLSQPVFQHIAQYRAGIVPVVYRRVRCRRKGGIRFSINGHSYFNLVLVTNVGGAGDVHSVYIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTGNGHSVVSYNVAPAGWSFGQTYTGRQFNY >Vigun09g012100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:898587:899874:-1 gene:Vigun09g012100.v1.2 transcript:Vigun09g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGGNEAIPKLKERSPIPQDFDDKSYSLSGKIMLSAIVLLFFIVILMLCLHIYARWYLRRARRRQLRRHRELRRTQLVFYNEAGTPTAVSRGLDAAVLASLPVFTYDPREHPENAPECAVCLSEFELGEPGRILPKCNHSFHTDCIDMWFHSHATCPLCRAPVERAAEPAAVVITVSEPESGSGENRTVSTSCPSTSVGSRKSTLVGVTIEVPPRDENLRDENTSSFRSPMSRMLSFTRILSRERRGNVSPSSSGGDCSSAAQCEAERGGREETQ >Vigun09g123800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27571742:27573574:1 gene:Vigun09g123800.v1.2 transcript:Vigun09g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLSFHPSPSEKSQDTNTLPYSIMASKIFFLLFLLFLAYPHQHACVNGDATLIKRTCKNTKFYNLCFSSLKSDPSSPNADPKGLAVIMIGIGMSNATSTSSYLSSKLLSPSNNTTLKRVIKECADKYTYAGDALQASVQDLVNEAYDYAYMHITAAKDYPNACHNAFKRYPALSYPLDLARREDGLKHICDVAMGIIDNLDW >Vigun04g001900.1.v1.2 pep primary_assembly:ASM411807v1:4:182354:187984:1 gene:Vigun04g001900.v1.2 transcript:Vigun04g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRQSKAKLQYSFVDGGKIRRSEEWKKEVMGGEKEEASRTPLLSDRNPSTWTYGSILVVASLWLLVALSLALYFSSAPVSSSQLPHPVVILVSSDGFRFGYQFKTPTPNIRRLIQNGTEAETGLIPVFPTLTFPNHYSIVTGLYPPHHGIVNNLFYDPITSEKFSMSNHDPKWWLGQPLWETVLLNGLSAATYFWPGSEVHKGPWNCPEGFCQPYNGSVPFEDRVDTVLSYFDLPPHQIPSFITLYFEDPDHQGHQVGPDAAEITDAVARIDSLVGRLIDGLEQRSIFEDVHVILVGDHGMVGTCDTKLVSLVDLAPWVEIPRDWIQYYTPLLAIRPPPSVDPAYVVAKMNEGLSSGKVENGGRLKVYLKEDLPERLHYSDSDRITPIVGLADEGFKVQLNRTGKKECGGAHGYDNAFFSMRTIFIGHGPRFPRGGKIPSFENVQIYNLVTSILDIKGAPNDGSATFPDSVLLPTTA >Vigun07g092500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14723966:14724262:1 gene:Vigun07g092500.v1.2 transcript:Vigun07g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGKRGGVSLPDRPSDSSSVLAKISRSSIVVRGKEAAGDAAFVAKKLLRSTGKAAWIAGTTFLVLVVPLIVEMDREQQLNELELQQASLLGTPAPK >Vigun09g061200.1.v1.2 pep primary_assembly:ASM411807v1:9:6336120:6342662:-1 gene:Vigun09g061200.v1.2 transcript:Vigun09g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGRKKRHFSRIHAFSCGKASFKGEHSLIGGPGFSRIVYCNEAERGEGSFVSYGDNYVSTTKYTVATFLPKSLFEQFRRVANFYFLVCAILSFFPVSPYSAVSNVVPLVVVVAATMGKEAVEDWRRNKQDIDMNNRKVKMHHGDGVFDYSKWKDLKVGDIVKVEKDEFFPADLILLSSSYDDAICYVETMNLDGETNLKVKQALEETSKLQEDSSYQNFKAIIKCEDPNANLYSFVGNLELEDQLYPLAPQQLLLRDSKLRNTEFIYGAVIFTGHDTKVMQNSTEPPSKRSTVEKRMDKIIYFLFFVLFLISFVGSIFFGIATREDFENGVMKRWYLRPDDTTIYFDPKKAPVAAMLHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEETDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGTAYGQGVTEVERALAKRKGLPIDEELVEDGCVPNTSEVKSSIKGFNFMDERITNGNWINEPHADVIHRFLQLLAICHTAIPEVDEENGRVSYEAESPDEAAFVVAARELGFEFYERTQTTISLREFNPKSGKTTERSYKLLNILEFSSTRKRMSVIVRDEEGKLLLFSKGADSVMFDLLGRNGREFEEKTKQHIGEYADAGLRTLILAYRELDEEEYNIFNKEFMEANNLVSADREQIVEEVSEMIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINVGFACSLLRQGMKQIIISSDTPEIKSLEKLEDKSAAAAAIKAIVILQLKKGKELLAEYDENSEALALIIDGKSLTYALEDDVKDLFLALAVGCASVICCRSSPKQKALVTRLVKIKTCSTTLAIGDGANDVGMLQEADIGIGLNGVEGMQAVMSSDVSIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEIFASFSGQAAYNDWYLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPLLYQEGVQNVLFSWKRILGWAFNGVLSATIIFFFCINAMENQAFRKAGEVADLEVLGATMYTCVVWVVNSQMALSISYFTYIQHLFIWGGIVFWYVFLIVYGTMDPTLSTTAYKVLIEACAPAPSYWLLTLLVLVASLLPYFAYASIQMRFFPMFHQMIQWIRNDGQTTDPEYVHVVRQRSIKHTTVGFTARFEASHSSGASKSIQV >Vigun09g061200.2.v1.2 pep primary_assembly:ASM411807v1:9:6336120:6342662:-1 gene:Vigun09g061200.v1.2 transcript:Vigun09g061200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGRKKRHFSRIHAFSCGKASFKGEHSLIGGPGFSRIVYCNEAERGEGSFVSYGDNYVSTTKYTVATFLPKSLFEQFRRVANFYFLVCAILSFFPVSPYSAVSNVVPLVVVVAATMGKEAVEDWRRNKQDIDMNNRKVKMHHGDGVFDYSKWKDLKVGDIVKVEKDEFFPADLILLSSSYDDAICYVETMNLDGETNLKVKQALEETSKLQEDSSYQNFKAIIKCEDPNANLYSFVGNLELEDQLYPLAPQQLLLRDSKLRNTEFIYGAVIFTGHDTKVMQNSTEPPSKRSTVEKRMDKIIYFLFFVLFLISFVGSIFFGIATREDFENGVMKRWYLRPDDTTIYFDPKKAPVAAMLHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEETDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGTAYGQGVTEVERALAKRKGLPIDEELVEDGCVPNTSEVKSSIKGFNFMDERITNGNWINEPHADVIHRFLQLLAICHTAIPEVDEENGRVSYEAESPDEAAFVVAARELGFEFYERTQTTISLREFNPKSGKTTERSYKLLNILEFSSTRKRMSVIVRDEEGKLLLFSKGADSVMFDLLGRNGREFEEKTKQHIGEYADAGLRTLILAYRELDEEEYNIFNKEFMEANNLVSADREQIVEEVSEMIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINVGFACSLLRQGMKQIIISSDTPEIKSLEKLEDKSAAAAAIKAIVILQLKKGKELLAEYDENSEALALIIDGKSLTYALEDDVKDLFLALAVGCASVICCRSSPKQKALVTRLVKIKTCSTTLAIGDGANDVGMLQEADIGIGLNGVEGMQAVMSSDVSIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEIFASFSGQAAYNDWYLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPLLYQEGVQNVLFSWKRILGWAFNGVLSATIIFFFCINAMENQAFRKAGEVADLEVLGATMYTCVVWVVNSQMALSISYFTYIQHLFIWGGIVFWYVFLIVYGTMDPTLSTTAYKVLIEACAPAPSYWLLTLLVLVASLLPYFAYASIQMRFFPMFHQMIQWIRNDGQTTDPEYVHVVRQRSIKHTTVGFTARFEASHSSGASKSIQV >Vigun07g200000.3.v1.2 pep primary_assembly:ASM411807v1:7:32070963:32076785:-1 gene:Vigun07g200000.v1.2 transcript:Vigun07g200000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDMDPDVVRWGLHLLDVCTLSHHGSPSILTHYDPDFSRVEYVREGYCQHEYVENDEAVARAYQEELSQLDSMGASGTPSYQNEGMQGTVYTQDWPHSSNGNYNYGNESCQNSVDESPYNMKDVENYGPSERGNDMHDIDVFGSSRSGEVPVIRDDVWDSLEISDESSLDGEVGKRLYQMVPIPHIPKTNEKIPSDDEETSDHQRLLDRLQLYDLIENKVQGDGNCQFRSLSDQLYRSPDHHQFVREQIIQQLKSSPDLYSGYVPMGYSDYLKKMSKSGEWGDHVTLQAAADWYGVKILVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNSIYPEGELPSFQTRKKKKWWNFGA >Vigun07g200000.1.v1.2 pep primary_assembly:ASM411807v1:7:32071059:32077336:-1 gene:Vigun07g200000.v1.2 transcript:Vigun07g200000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDMDPDVVRWGLHLLDVCTLSHHGSPSILTHYDPDFSRVEYVREGYCQHEYVENDEAVARAYQEELSQLDSMGASGTPSYQNEGMQGTVYTQDWPHSSNGNYNYGNESCQNSVDESPYNMKDVENYGPSERGNDMHDIDVFGSSRSGEVPVIRDDVWDSLEISDESSLDGEVGKRLYQMVPIPHIPKTNEKIPSDDEETSDHQRLLDRLQLYDLIENKVQGDGNCQFRSLSDQLYRSPDHHQFVREQIIQQLKSSPDLYSGYVPMGYSDYLKKMSKSGEWGDHVTLQAAADWYGVKILVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNSIYPEGELPSFQTRKKKKWWNFGA >Vigun07g200000.2.v1.2 pep primary_assembly:ASM411807v1:7:32070940:32077391:-1 gene:Vigun07g200000.v1.2 transcript:Vigun07g200000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDMDPDVVRWGLHLLDVCTLSHHGSPSILTHYDPDFSRVEYVREGYCQHEYVENDEAVARAYQEELSQLDSMGASGTPSYQNEGMQGTVYTQDWPHSSNGNYNYGNESCQNSVDESPYNMKDVENYGPSERGNDMHDIDVFGSSRSGEVPVIRDDVWDSLEISDESSLDGEVGKRLYQMVPIPHIPKTNEKIPSDDEETSDHQRLLDRLQLYDLIENKVQGDGNCQFRSLSDQLYRSPDHHQFVREQIIQQLKSSPDLYSGYVPMGYSDYLKKMSKSGEWGDHVTLQAAADWYGVKILVITSFKDTCYIEILPQIQKSGRVIFLSFWAEVHYNSIYPEGELPSFQTRKKKKWWNFGA >Vigun04g139200.1.v1.2 pep primary_assembly:ASM411807v1:4:34565774:34572376:1 gene:Vigun04g139200.v1.2 transcript:Vigun04g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRFPNGNNWNDISFRDCGQNQFNYYGQVPHHGWDFHYGGGTYVPHNGWDLRSAGGTYVPHHTPEPTIPALGPLHRPQPRLYCKICEVKLYSYKSMEEHNRGKKHQGMLKRREETDTRRISNGQIPNSQVDLAGQPKRILKSGENRRVEIKEISEATVAKHKNYVRKDKGVTPEVPAEGKPRDNTSTQGGVKPEVPAQGKLRDNTSAQSHNLKRKIGGAKTAKYMKTNDGERRPMESSKLDTNSLSASVESPIQIPALAPHPAVTSHAMAPSPVEGTSFKFVHQQILAFQTQVLEGKEYHEIPDPTVKTRNHPHASSYSNINTQPKVVTSDSAAKVIKPSKTGYCKICEVQLSPHFRMKELELHKKGKRHQRMLRHQRELKRQQRLGSPKSSNGKISNVHKNLVVQSKKVQITEIKQHMLKDMSSEASISEQKSYLQTVMRLTSEFAAKGPEMKPTDNSGGQNHGFIKTGKHITKNDGVRRPMESSKVNINSQLESVESPVQNSIPVLAALPEPVASHIIAPTLLLESSLEPQIQHISSSEAPVLEGNEHYEIENRNVEVTDQPLAPADNIKILTEDMGSDFSAMAIVPSEGFMTSQVFAPSLDVASNFEPQTQHVLQTSVLESKEHHDIQNLASKTNYQPTTSARSSSELQIQHVLPIETESQLSKRTTDSQSQNFVDEKNNQLVPSVLAEFSSPSCLHAIDHSADGCSNHEQKMDIITHQSGTTQRSQLAVCLKCGDAGFRETLVFCKKCQIYAIHRYCLDGPVIFTADVNWFCDCESEVVDTSAKNVSLNSADISSQNSRDKVKNKQGQQNIEAKTMALLSDNHSLSQCSNNTEKEKFGKECQPAPIDEANNTEGSMILTVPHPIADPVWRGRLYLSCPGIHTVIGLLAHMSTLACSKVMEETKLFPDVLCPDLLSRTAVWPKSFMNCGPNDDSIALYFFPDTESVERSYDKLVDHMMSGDLAIRAVVENADLLIFPSALLPIQCRRFQEKYYLWGVFRAKKKLHTIQMMQCVERLLVGTSP >Vigun05g033600.1.v1.2 pep primary_assembly:ASM411807v1:5:2714672:2716112:1 gene:Vigun05g033600.v1.2 transcript:Vigun05g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGLKASEIFEGQVHLYKHLNACPLDGMSLKWMIDLGIPDIIHNHDQPITLSELVSILQIPPTKVRGVKSLLRYLAHNGFLQIVRVHHNTQEKEAYALTAASQLLLKGNDLSLAPMVELLTNPRALFVWFQLKKWTYEDDLTLSDVSLGSNIWDFLGKNPENNKLFNESMASDSQMMNLALQGCNWVFEGVESIVDVGGGTGTTAKAICDVFPNVKCIVFDRPQVVENLSGTNNLTYVGGDMFESIPKADAILLK >Vigun05g301650.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48477651:48480643:1 gene:Vigun05g301650.v1.2 transcript:Vigun05g301650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESYEDVYYFYNWYAKEQGFGIRVTNTWYRKTKERYRAKLSCSSAGFKKRTEANRPRPETRTGCPAMIKFRLMDSTRWRIIEVELDHNHLINPTSGKFYKSHKQVALGTKRTFHLDATAAQQVHKIRMFRTLIIDAEDDADEGKSPNPKGLYSNNQLKLKKGDAEAILNFFSHQQLADPDFFYVVDVNERGCFRNLFWADAKSRVAYSYFSDVVAIDTTCLNGAYEVPLVMFLGKNHHKQSILFGCGLLACESVESYTWLFRAWLTCILGRPPQVIITNQCGILQTVVADVFPRSTHCLCLFDIMQKVPEKLGTCVHYEAINAALSRAVYSSLRAEEFEATWEDMMKSSEIRGNKWLQSLYEERKRWAPVYLKEIFLAGIFSVQQSDAVSFFFHGYLDEQTSLKEFIEKYDQTLQTKRQLEALADLDSKSCSFAPKSRSYFELQVSKLYTSEILRMFEREVEGMFSCFNSRQINVDGPVMTYIVEEQVEVDGNHRGARDYEVCYNEAEMEVFCICGLFNIRGYLCRHALFILSQNGIKEIPAQYIVSRWRKDVKRSNVYDHNCGGIDISNPVHRYDHLYRQIVKVVEEGKKSHDHYRTALQAMENILRKLHIVTVEDPDKIMYKH >Vigun05g301650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48477815:48480582:1 gene:Vigun05g301650.v1.2 transcript:Vigun05g301650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVCLNSDAVGDEEGESNVHVHVPVAPAVGMEFESYEDVYYFYNWYAKEQGFGIRVTNTWYRKTKERYRAKLSCSSAGFKKRTEANRPRPETRTGCPAMIKFRLMDSTRWRIIEVELDHNHLINPTSGKFYKSHKQVALGTKRTFHLDATAAQQVHKIRMFRTLIIDAEDDADEGKSPNPKGLYSNNQLKLKKGDAEAILNFFSHQQLADPDFFYVVDVNERGCFRNLFWADAKSRVAYSYFSDVVAIDTTCLNGAYEVPLVMFLGKNHHKQSILFGCGLLACESVESYTWLFRAWLTCILGRPPQVIITNQCGILQTVVADVFPRSTHCLCLFDIMQKVPEKLGTCVHYEAINAALSRAVYSSLRAEEFEATWEDMMKSSEIRGNKWLQSLYEERKRWAPVYLKEIFLAGIFSVQQSDAVSFFFHGYLDEQTSLKEFIEKYDQTLQTKRQLEALADLDSKSCSFAPKSRSYFELQVSKLYTSEILRMFEREVEGMFSCFNSRQINVDGPVMTYIVEEQVEVDGNHRGARDYEVCYNEAEMEVFCICGLFNIRGYLCRHALFILSQNGIKEIPAQYIVSRWRKDVKRSNVYDHNCGGIDISNPVHRYDHLYRQIVKVVEEGKKSHDHYRTALQAMENILRKLHIVTVEDPDKIMYKH >Vigun04g108350.1.v1.2 pep primary_assembly:ASM411807v1:4:26405107:26405802:1 gene:Vigun04g108350.v1.2 transcript:Vigun04g108350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNDLRYLNMVELQARDPNADLAHFFDVIGGSGTSALITALLATPSPHDPTRAAFTPAQIVDFYKQNGPHVFNSSRYAI >Vigun11g222200.1.v1.2 pep primary_assembly:ASM411807v1:11:41380501:41383333:-1 gene:Vigun11g222200.v1.2 transcript:Vigun11g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVREIRFSGEPVLDRDNGEELMRVQPGTDIVLGNLPRESPGTLYITTKQVIWVSDVDKTKGYAVDFLSISLHAVSTDPEAYPLPCLYTQIDTEEADDDLSDSESNPIEQDLSNVKEMRLIPSDPTQLDTLFAIFCQCAELNPEPNDDEEEEEHDWVFSADQMVDEEAEDEDYISHNPVNSIGQSNGNHDLARTILELQINDRRFEDAEEMDDNEDGTHH >Vigun09g037100.1.v1.2 pep primary_assembly:ASM411807v1:9:3260675:3263429:-1 gene:Vigun09g037100.v1.2 transcript:Vigun09g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MSVNLTENAIPAIISGDVNAKPLVQVLDVALVSNTNNSQQQRYRLLISDAVLSHHAMLATQLNDRVRTGRVRKGSVVQLLDYICTPFKNRKIIMVLNMETIIADFEIIGNPKPSLDSDLPSVRAIADNTVENLARSNNTSNTISNSAAQNASHDKAQNFRPTIQPPYQPPPVYKGRGAVVKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFWFDLLDSDGGEIRVTCFNAVVDRFYNVIEVGKVYMISKGSLKPAQKNFNHLKNEWEIFLESTSTVELCPDEDDSIPRQQFSFRPISDIENVDNNSILDVIGVVTSVNPSVPILRKNGMETLRRILSLKDSSGRSVEFTLWGEFCNREGQQLQEMVDSGFFPILAVKAGKVNDFSGKSIGSISTTQLFINPEFPEANSLRQWFDLVGKDSASHSISRDVIPGGSKNEVRKTVSQIKDEGLGRSDKPDWITIRATISFIKTDTFCYTACPLVIGDRQCNKKVTRSGNTRWQCDRCNQEFEECDYRYLLQAQILDGTGLTWVTAFQEAGEEIMEYSAKDLYMLKHEQQDDERFGEIIKSRLFKQFMFRLKIKEELYGDEQKVKITVVKVDKVNYSSESQYMLDSISKFCRK >Vigun09g037100.2.v1.2 pep primary_assembly:ASM411807v1:9:3260675:3263429:-1 gene:Vigun09g037100.v1.2 transcript:Vigun09g037100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MHSLQEPIIMVLNMETIIADFEIIGNPKPSLDSDLPSVRAIADNTVENLARSNNTSNTISNSAAQNASHDKAQNFRPTIQPPYQPPPVYKGRGAVVKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFWFDLLDSDGGEIRVTCFNAVVDRFYNVIEVGKVYMISKGSLKPAQKNFNHLKNEWEIFLESTSTVELCPDEDDSIPRQQFSFRPISDIENVDNNSILDVIGVVTSVNPSVPILRKNGMETLRRILSLKDSSGRSVEFTLWGEFCNREGQQLQEMVDSGFFPILAVKAGKVNDFSGKSIGSISTTQLFINPEFPEANSLRQWFDLVGKDSASHSISRDVIPGGSKNEVRKTVSQIKDEGLGRSDKPDWITIRATISFIKTDTFCYTACPLVIGDRQCNKKVTRSGNTRWQCDRCNQEFEECDYRYLLQAQILDGTGLTWVTAFQEAGEEIMEYSAKDLYMLKHEQQDDERFGEIIKSRLFKQFMFRLKIKEELYGDEQKVKITVVKVDKVNYSSESQYMLDSISKFCRK >Vigun09g195300.7.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.5.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFTRVGHGTAQRRQTKDVVKGIKKRLGSKVPRVQISALTLLETIIKNCGDIIHMHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.1.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPLVERATSSMLVGPDWALNMEICDILNRDPGQTKDVVKGIKKRLGSKVPRVQISALTLLETIIKNCGDIIHMHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.6.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.8.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36993263:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.4.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFTRVGHGTAQRRQTKDVVKGIKKRLGSKVPRVQISALTLLETIIKNCGDIIHMHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.2.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFTRVGHGTAQRRQTKDVVKGIKKRLGSKVPRVQISALTLLETIIKNCGDIIHMHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun09g195300.3.v1.2 pep primary_assembly:ASM411807v1:9:36987429:36995317:-1 gene:Vigun09g195300.v1.2 transcript:Vigun09g195300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFTRVGHGTAQRRQTKDVVKGIKKRLGSKVPRVQISALTLLETIIKNCGDIIHMHVAERDVLHEMVKIAKKKTNYHVREKILALIDSWQEAFGGPRSRYPQYYAAYQELVRAGAVFPHRSERSAPVFTPLQTQPLSSYPQNIRDTFAQQDTAESSADSEFPALSLSEIQNARGIMDVLAEMLNALDPGNKEGLQQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLSLNDDLQRVLAKHESIASGTSAAGTSTSGTSASGTPAQNHTENLKTAPTGAVADFGPLVDFGDSSKQTDGRSSANAETGSQSLNQLLLPAPPTSNESAPPAKVDPKVDLLSGDDYNSPKAETSLALVPLGEQPPASPSPSPMSQQNALVLFDMFSNGSNNLQPINVAGQTSPFSPQCQQQQTFISQGLFYPNGGGPNVGSPRFEQSPYMQSTGPSWNGQVAQQQQSPSPVHGTPNGGSFPPPPWEAQPTDNDSPVVVGSQYPQQPMQVTQVVMTHIHSAAHPQGPQAAAHDQAVGMYMQPNASHISTINNNVQSNQSGLYPQHIQGVPSPYMGMGMGMASHPMHSMYPQQMYGNQFVGYGYGQQPAVQFVEQQMYGLSMRDDGALRNSYQVSSTSYVPPGKPSKPEDNLFGDLVNMAKVKPKPTGGM >Vigun03g178800.1.v1.2 pep primary_assembly:ASM411807v1:3:22408196:22411841:1 gene:Vigun03g178800.v1.2 transcript:Vigun03g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTECCYSLLNLQFSSFPITDLGSNSFPQRFRKTLSFSHRSSKLLLSAISRTMEAVEGSEGSRGSSSPMKLLFVEMGVGYDQHGQDITVAAMRACKDAISSNSIPAFRRGSIPGVSFGQMKLQIKLGVPHSLQKSLDMEKVKSVFPYGEILNVEVVDGGLICSSGVLVEEMGDKNDDCYIVNAAVYVGY >Vigun08g023000.6.v1.2 pep primary_assembly:ASM411807v1:8:1979155:1984627:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKVRLMKLYKQRDLEMIQPASLLIYYLRKSHLFLHHIQRRRECSSPCFVESLLNHLLITTKITLSQMLLWNCMKKDLPCFLRGSILNIQSATCLSCLCVQCVK >Vigun08g023000.3.v1.2 pep primary_assembly:ASM411807v1:8:1979267:1984627:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKEAVQAKGLRDDTTCIVVDILPQEKPSVSASHTKKKGMLKSMFRRKSSESSSYNDKDYVEPDVVVELYEEGSAMLSESVSSRDKTWRGHFNT >Vigun08g023000.5.v1.2 pep primary_assembly:ASM411807v1:8:1979267:1984627:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKVRLMKLYKQRDLEMIQPASLLIYYLRKSHLFLHHIQRRRECSSPCFVESLLNHLLITTKITLSQMLLWNCMKKDLPCFLRVCQVEIKPGEGISIHEGAPNSGKFRPWDGPFLCSSCQEKKEAMEGKRSLGRVSSGSDD >Vigun08g023000.4.v1.2 pep primary_assembly:ASM411807v1:8:1979266:1984627:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKVRLMKLYKQRDLEMIQPASLLIYYLRKSHLFLHHIQRRRECSSPCFVESLLNHLLITTKITLSQMLLWNCMKKDLPCFLRGSILNIQSATCLSCLCVQCVK >Vigun08g023000.1.v1.2 pep primary_assembly:ASM411807v1:8:1979265:1985168:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKEAVQAKGLRDDTTCIVVDILPQEKPSVSASHTKKKGMLKSMFRRKSSESSSYNDKDYVEPDVVVELYEEGSAMLSERFHTKYPICNMFKLFMCAVCQVEIKPGEGISIHEGAPNSGKFRPWDGPFLCSSCQEKKEAMEGKRSLGRVSSGSDD >Vigun08g023000.2.v1.2 pep primary_assembly:ASM411807v1:8:1979155:1984627:1 gene:Vigun08g023000.v1.2 transcript:Vigun08g023000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEQQSVPLSVLLKRELAHEKIENPEIAHGQAGQSKKGEDLTLVKTECQRMVGDGVSTYSVFGVFDGHNGSAAAIYTKENLLNNVLSAIPPDLNRSEWVAALPRALVAGFIKTDKDFQEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSDGEIYYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSTAGGRLVICSDGVWDSLPAEAALDCCRGMPPDAAAPHIVKEAVQAKGLRDDTTCIVVDILPQEKPSVSASHTKKKGMLKSMFRRKSSESSSYNDKDYVEPDVVVELYEEGSAMLSERFHTKYPICNMFKLFMCAVCQVEIKPGEGISIHEGAPNSGKFRPWDGPFLCSSCQEKKEAMEGKRSLGRVSSGSDD >Vigun03g320700.1.v1.2 pep primary_assembly:ASM411807v1:3:51541065:51543431:1 gene:Vigun03g320700.v1.2 transcript:Vigun03g320700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNHTPREAKDYYSRPIWLLETAQAFGDLKRSDRNGVNGFHFTAGRDSFVYNSQEIMTPEISGAFEEIKSLFSSRNGVNDEVVDQENGDYGRYSLQTLSTEIVEIVEDGNSITKNKDRRVDDLYVAVGKDDLDAVKWALDHAVSPGSRIFLIHVSSPITSIPTPVGRFERSKLTPQQVRLYVNEVNNKRKDLLQKYIKMSNEANVIAETLLLESNDTGKAILDLISILNITNLVIGIKKLPYTRRNNKLSIGEFVKKHAPNTCEVTLVYNGEVFVSDPYMDGLVSYGQASQSKNHSKSNFLQCMCFSGCMKEDDGR >Vigun06g057000.1.v1.2 pep primary_assembly:ASM411807v1:6:18368505:18369985:1 gene:Vigun06g057000.v1.2 transcript:Vigun06g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSTDSSVLLKFKEKTKYELVYMIDEIVGDIVDSALSNIKRFAHSVVIKRGSVYLTNDFFLTASTKTVPKLKSSNLSVYVQTFHNEFISQVWDFMSDPTEQINTFVQDAGIDGVITGFLQTADRYRRNRCLNLGNSTPNYMKPVEIGGLFQLIDKSSLPPAMAPIPSLIEANVTEPPLAPFSEISPSSSIAGAPGAQPPHNAQPKIAVCFTMSSLTLLLASLLL >Vigun06g097700.1.v1.2 pep primary_assembly:ASM411807v1:6:22873039:22875901:1 gene:Vigun06g097700.v1.2 transcript:Vigun06g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGFLFIVVLLPSFKALSYEPIHSEIQTQDMQALIAQACMDIENQNSCLTNIHNELTKIGPPSPTSVMSAALKATLNEALVAIDNMTKITTFSVSYREQQAIEDCKELLDFSVSELAWSLGEMRRIRGGDTNVQHEGNLEAWLSAALSNQDTCLEGFEGTDRRLESYISGSLTQVTQLISNVLSLYTQLHTLPFKPPRNKTIAESDGTSEFPEWMSEGDQELLRAKPHGVRADAVVALDGSGHYRSIAEAVNAAPSHSARRYIIYVKKGLYKENVDMKKKMTNIMLVGDGIGQTIITSNRNFMQGWTTFRTATLAVSGKGFIARDMSFRNTAGPVNHQAVALRVDSDQSAFYRCSVEGHQDTLYAHSLRQFYRECEIYGTIDFIFGNGAAVLQNCKIYTRVPLPLQKVTITAQGRKSPHQSTGFTIQDSYILATQPTYLGRPWKQYSRTVYINTYMSGLVQPRGWLEWFGNFALNTLWYGEYRNYGPGASLSGRVRWPGYHVIKDASTASFFTVQRFINGDTWLPRTGVKFTAGLTN >Vigun06g149100.1.v1.2 pep primary_assembly:ASM411807v1:6:27395080:27398143:-1 gene:Vigun06g149100.v1.2 transcript:Vigun06g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVRDQEKESDSPREVGEIDTRAPFQSVKAAVSLFGEVAVSRDKRSFKRRSSENVLEKETQLLLAQRELTTIKKKLDSSEVTKGKALTELDKANLTLLELTKKLNSVRESKQTAMEAAEAVKNQAKVLEQALSQKAIGYEAWKQELEHARKEYTTTVKELDASKKELNKIRQDFDAALEAKLAAFQTAGEAQRSAKLNSEKLQELSNQIATMKEQIQHLKVASSQAQDGQAKALEERETQLSFYKNAKEEAQNKLMALKKECDQELTQGLEAKLHATSKEILVLQEQVKQQHSSEIDSVKVITLEIEEAKKTLQEVVEEETSLRNLVDVLRAELERVKKEQEDLTEKEQAAEALTATLTDELLIGSEEIRSAAERSEDSAEVELKIKQLSFETEVARREEEEIRRKTQELKLEAEKSKAVAQELEKKLEAYIKQAEEARDAEQKAIVAMKMMSESVNTHDSGSVLDANGKIVLTVEEFAALSGKIKESEDLIDRTETASMAQVEAINIRKNEVNKKVEANLKAIEEIKAATDMALKNAEMADSAKVVVENELKKWRIEEQNLESAENSPRSISLRI >Vigun02g088900.1.v1.2 pep primary_assembly:ASM411807v1:2:24352549:24353124:-1 gene:Vigun02g088900.v1.2 transcript:Vigun02g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGQGYYQGPPVMAPPQYYAAPPPRRQTGFLEGCLAALCCCCLIDECCCDPSIIFIS >Vigun09g080000.1.v1.2 pep primary_assembly:ASM411807v1:9:9441409:9444197:1 gene:Vigun09g080000.v1.2 transcript:Vigun09g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIREESRMVAISLYRGNLHRVPEVPRRWPMPAPKISLKDFKCLLARRSKALSRLRASDPNPNNTLPNDSHLEPPPDAAVTVAHAEGPSAVSQEDEDRKEPLLVAVSSAKKPLAESDLLVDAMIGEASEKRPFDGADVSTEKQTEPVTDNVDLPDEKAKRKKEIEEKLHGLNENKHNLVLVLKQILNAEEEFKRRNSMQMAGMRGPSGPVQGDGTNDTGSMIRHMPPRLGSEGNLAGDVDGGDGDDLANHTMHSRHILRPSSMSPSSESPLRRTPSVQQNAISNPSRANLGAAGSPSRFALSGHQGNPANLPQVSVSGTSYIASSPSPAASGGTSVFRDARQPSPWK >Vigun09g089400.1.v1.2 pep primary_assembly:ASM411807v1:9:12327720:12343305:1 gene:Vigun09g089400.v1.2 transcript:Vigun09g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSHFEDDFDFLGGFSARHSGTKRSSPDYDDEDYDNDPFATKKAKSKAEEASGVTTGMILSLRESLQNCKDTLVTCQNELEAAKSEIQSWHSTLKNEPSVPAGVTPDPKMLINHLQTLKTSEESLREQLEKAKKKEAAFIVTFAKREQEITELKSAVWDLKVQLKPPSMQARRLLLDPAVHEEFTRLKNLVEEKDKKVKELQDNIAAMNFTPQSKMGKMLMAKCRTLQEENEEIGNQASEGKIHELALKLALQKSQNSQLRSQFEGLQKHMEGLTNDVERSNETVLMLQDKLEEKDREIQRLKHEFQQKNVEDARSDATLTKNDNNETTAGEAAN >VigunL059338.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:7899:8417:1 gene:VigunL059338.v1.2 transcript:VigunL059338.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCTATLAMCKTLRTQTFVHQDFHGIHLGAFGGLSNPHISKTTNFIFSQNSPPSHLAMPWCTATLAMCKTLRTQTFVHQDFHGIHLGAFGGHSNPHISKTTNFIFSQNSPPSHLAMRGAPTNLAMCKTQPTQNFRAPRLSWYSFGAFGANPTLTTQKPRISFFHKTPHQAI >Vigun03g175133.1.v1.2 pep primary_assembly:ASM411807v1:3:21649185:21649426:1 gene:Vigun03g175133.v1.2 transcript:Vigun03g175133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRNGDAGDRTLCLSHAKRALYHLSYIPFINVNLKGIIKCRSTFFLC >Vigun07g253700.1.v1.2 pep primary_assembly:ASM411807v1:7:37227193:37230250:-1 gene:Vigun07g253700.v1.2 transcript:Vigun07g253700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSFSNHCQLGPLFSSLLSSLVLALHLFFLLLSKVYIFLSYFLSFNQKIAHKGGIMAVTPFISLSFFFLFLHYPLLSLSTNPEGNALHALRSRLSDPNNVLQSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNVSGTLGPELGQLQHLQYLELYRNDLTGKIPEELGNLKSLISMDLYDNKLEGKIPKSFGKLKSLKFLRINSNKLTGSIPRELTHLTDLKILDVSNNNLCGTIPVEGNFESFPMESFENNRFSGPELKGLVPYDFGC >Vigun07g215450.1.v1.2 pep primary_assembly:ASM411807v1:7:33696533:33699699:-1 gene:Vigun07g215450.v1.2 transcript:Vigun07g215450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPIDPNFLNYILLAFFFFFCALILIRLFTGNPRRLGLIGSFHNEDGIQQALRTNVQVPGVIEDAFNVKRGKQILEDTTIAVSNSEGRSNGLENQENMLNLFFLFIANLFEGGNYKNDHKEKEK >Vigun07g194200.2.v1.2 pep primary_assembly:ASM411807v1:7:31358972:31361225:-1 gene:Vigun07g194200.v1.2 transcript:Vigun07g194200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLQSENLYFVMSVSAKISSMVKQIPSVTTLLLLFFFILREVEGAERLGEVKLFVFGDSYVDTGNLVNSISYKPPSGITFPGTPAGRFSDGRVLTDYIASFLKIKSPTPYVFRNSSELQYGINFAHGGTGIFNTLNEGPNMTVQIDWFERVIQQKIYTKTDLESSVALVSAAGNDYATFLQRKQGSMRDIHVFTASLIQQMSINLRRIHGLGINKIAVGLLEPIGCMPLLTAASSYDKCLQPLNFISQNYSQMLLQIVQELNKELGKPVFVTLDLYNSFLSVLATMQKRRSDPTLMNPLKPCCEGLSTEYYCGSVDEKGEKKYGLCEKPEFSFFWEGVHLSHNGWYGVYTMLYSSLRNLIQTKL >Vigun07g194200.1.v1.2 pep primary_assembly:ASM411807v1:7:31358972:31361224:-1 gene:Vigun07g194200.v1.2 transcript:Vigun07g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLQSENLYFVMSVSAKISSMVKQIPSVTTLLLLFFFILREVEGAERLGEVKLFVFGDSYVDTGNLVNSISYKPPSGITFPGTPAGRFSDGRVLTDYIASFLKIKSPTPYVFRNSSELQYGINFAHGGTGIFNTLNEGPNMTVQIDWFERVIQQKIYTKTDLESSVALVSAAGNDYATFLQRKQGSMRDIHVFTASLIQQMSINLRRIHGLGINKIAVGLLEPIGCMPLLTAASSYDKCLQPLNFISQNYSQMLLQIVQELNKELGKPVFVTLDLYNSFLSVLATMQKRRSEDPTLMNPLKPCCEGLSTEYYCGSVDEKGEKKYGLCEKPEFSFFWEGVHLSHNGWYGVYTMLYSSLRNLIQTKL >Vigun09g226200.1.v1.2 pep primary_assembly:ASM411807v1:9:39855782:39861154:1 gene:Vigun09g226200.v1.2 transcript:Vigun09g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYSSGTESASLKRKYEDQSSDRPTGFSAGPATGIELAKQRAQEVAARLLSVTPPPPLDAKRPKPDNGAPSSGFDSYDAKPQYSAVPPVSYSHQGTSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSVTRTVELMGTPEAIASAEKLINEVLAEAESGGSGIVARRLTGQAGSDEFVMRIPNNKVGLIIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTLKIDGTPEQIESAKELVNQIISGENRLRNPAMSGGYPQQGYQSRPPSNWAPPAPMQQPGYGYVQPGTYSGPSPQYNMPQPPYAGYPPQQPGGYSTSWDQSSAPPHQQSTHAGGYDYYSQQPQQPQNPGGPAPPGDSSTYSYSQPPSSGYSQPGQGYSQDSYNAYNAQSQSGYGQAQTYDQQQGYGSGTTGYGSGNNQAQEGHTASYASQGDSAPAPSTQPTTMAQQGYPTSQQPSSNTANYPPQGTPQSGYGVPPTSQAAYGSQPQPGYGPGYGAPQSQKPSGNPPVYGQSQSPSAVGGYGQSAYPAQPPPSSYTQPQAETGAQRAPPSGYGAGQPGYGSQTYGAPQGGQPAYGQGPPPYSNSSYGAGYTQAPAYTGDGNGSGNTQPPQTAVAKASPQS >Vigun09g226200.2.v1.2 pep primary_assembly:ASM411807v1:9:39855782:39861154:1 gene:Vigun09g226200.v1.2 transcript:Vigun09g226200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYSSGTESASLKRKYEDQSSDRPTGFSAGPATGIELAKQRAQEVAARLLSVTPPPPLDAKRPKPDNGAPSSGFDSYDAKPQYSAVPPVSYSHQGTSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSVTRTVELMGTPEAIASAEKLINEVLAEAESGGSGIVARRLTGQAGSDEFVMRIPNNKVGLIIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTLKIDGTPEQIESAKELVNQIISGENRLRNPAMSGGYPQQGYQSRPPSNWAPPAPMQQPGYGYVQPGGYSTSWDQSSAPPHQQSTHAGGYDYYSQQPQQPQNPGGPAPPGDSSTYSYSQPPSSGYSQPGQGYSQDSYNAYNAQSQSGYGQAQTYDQQQGYGSGTTGYGSGNNQAQEGHTASYASQGDSAPAPSTQPTTMAQQGYPTSQQPSSNTANYPPQGTPQSGYGVPPTSQAAYGSQPQPGYGPGYGAPQSQKPSGNPPVYGQSQSPSAVGGYGQSAYPAQPPPSSYTQPQAETGAQRAPPSGYGAGQPGYGSQTYGAPQGGQPAYGQGPPPYSNSSYGAGYTQAPAYTGDGNGSGNTQPPQTAVAKASPQS >Vigun11g217000.1.v1.2 pep primary_assembly:ASM411807v1:11:41069363:41073413:1 gene:Vigun11g217000.v1.2 transcript:Vigun11g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDWNSQCNWTIPSSASISDCITFQSSYSLLSDDHQTDPTPLLLHSPSPDSPPCEIKITFAEKHELRQIYVRSTARVYEIYFAPNARTNNDYLCTVRCGLAVRDDHVLRSPAAQNVGGDDNVKTEDDWVEVKVPDSPNIASETKPYLNSTKTYQSQDLYEATAEIDDANPCISVTIRLLSLQNKGCVYVDEIYVFADPVDSADSESQEKPHENSSGSSLMAMFIPTLMQLSKTTGLNNLNALRKEKSLAQGDDLEATLASDSIIKAQVIGNTSITDPQEVKLKEVEGGWVGPSQPDAVSQNAKIESNPVAVPSQTAKMDSTCTVVPSKIAEMENNHSAVPFQLAKMECNHSSVPSQVGIPESKGGFSLGDNVERLLEQLVSRMDRIEEICLGFQEKMVVPMSSMEARLQRVEQQVDTLTKNLQNSALPSHCRILSPDASCIESDANSSDCPDYVVNRESEPDENHLHTEIPHVSPHRSDSGNITSLLPGLVVTAPEFPDGEDEEGNASGQETSSLKDKGKHTIDDALSSALANLLSSTSMDCPKYTKSLTVKAPEFVNEDDDDDDHGSNSEMAKNDSVGLAESGEFSHIQVLASSNTLENGEKINPDSNYKQSEETAQEAEEDEQLCIARGDQEEVHEKTNSLTELNPETSFIDNSEEDGNGKINGQKTDGLLDNQTPYCYSITKEGPTSGTEDTVVREVPRKAFHENILENVLGFSVGSSVVDFENPILDVKFISQKSPATDRFLEDLLQVDTQETNSSVDPSVKESNVDRSIEEQLKSNGDVSVEEQSNLISIDEEPANLVSDSHFAVDTGLCTSIPVNIDDDNLTLPEDHKRKRDQVIWSGSI >Vigun07g197200.1.v1.2 pep primary_assembly:ASM411807v1:7:31731471:31733062:1 gene:Vigun07g197200.v1.2 transcript:Vigun07g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKANCENQYAMNRGPWSAEEDKILMNYVQVHGEGKWRELSKRAGLKRCGKSCRLRWLNYLKPDIKRGNISSDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTYLRKKVQQKHNIQNDVVGHDTKNSLGDVLDPSKSPHPMMIKPKSMRCTKVMMPGNDSVNAMNFIRTTWDHNASASMPQDEHNHCFTGVLQDFDISDLLMSYEDDCRFNGYACVEIPQHIFEDQTWRLDDSMVDEAWYENWKHDTYFSQEQDMDMDMGFSSF >Vigun05g197900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38295502:38297635:-1 gene:Vigun05g197900.v1.2 transcript:Vigun05g197900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTNGNRDWTQMYAIYGMEQRPTLIFLLCQAMLFSVLSVLYLLYFDLVCNFFERIFSGAGGTARFAAGITGSVTALSALCLFFAAANFFYSSVPLHFDMAQRIVSAVHDWSSVKLALDLGCCGRGILLNAVATQMKKEGSSGRVVGLDRSKRTTMSTLRAAKMEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGREYGARTAEAAAERMRAVAELVRVMKPGGVGVVWDLLHVPEYVLRLQELKMEDVRVSERVTAFMVSSHIVSFRKPSQHVHGPAEVRLDWRSC >Vigun05g197900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38295262:38297635:-1 gene:Vigun05g197900.v1.2 transcript:Vigun05g197900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTNGNRDWTQMYAIYGMEQRPTLIFLLCQAMLFSVLSVLYLLYFDLVCNFFERIFSGAGGTARFAAGITGSVTALSALCLFFAAANFFYSSVPLHFDMAQRIVSAVHDWSSVKLALDLGCCGRGILLNAVATQMKKEGSSGRVVGLDRSKRTTMSTLRAAKMEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGREYGARTAEAAAERMRAVAELVRVMKPGGVGVVWDLLHVPEYVLRLQELKMEDVRVSERVTAFMVSSHIVSFRKPSQHVHGPAEVRLDWRSC >Vigun05g197900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38295262:38297635:-1 gene:Vigun05g197900.v1.2 transcript:Vigun05g197900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTNGNRDWTQMYAIYGMEQRPTLIFLLCQAMLFSVLSVLYLLYFDLVCNFFERIFSGAGGTARFAAGITGSVTALSALCLFFAAANFFYSSVPLHFDMAQRIVSAVHDWSSVKLALDLGCCGRGILLNAVATQMKKEGSSGRVVGLDRSKRTTMSTLRAAKMEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGREYGARTAEAAAERMRAVAELVRVMKPGGVGVVWDLLHVPEYVLRLQELKMEDVRVSERVTAFMVSSHIVSFRKPSQHVHGPAEVRLDWRSC >Vigun08g115400.1.v1.2 pep primary_assembly:ASM411807v1:8:28214970:28215552:1 gene:Vigun08g115400.v1.2 transcript:Vigun08g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLFTALSKILRSNKFDIEKVEHLWTNMVQWRKENGTNTIMYICVLNHC >VigunL059025.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000219.1:3778:4669:1 gene:VigunL059025.v1.2 transcript:VigunL059025.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKMSGYKECVSYVSKKWSKCAKNKGDQRYIGTLASCLLLYALCLCFTLSSTSFMLPNHHAPPSIAFLLLINLQSK >Vigun08g208600.1.v1.2 pep primary_assembly:ASM411807v1:8:37140076:37142180:-1 gene:Vigun08g208600.v1.2 transcript:Vigun08g208600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELHISLSTILTFFILVFMLINIFWRSKTKNSNSKLPPGPKKLPLIGNIHQIGTQTHMSLATLARQHGPLMHMQLGELCCIVVSSAEMAKEVMNTHDIIFANRPRVLAADVITYGSKGMTFSPYGSYWRQMRKICTMELLTPKRVESFRSIRLQELSDFVKEISLSEGSPLNLTEKMNSLSYGLISRILFGKRTEDQEAYEEHMKGVVETVGGFSASDLYPSIGILQVITGIRTRVEKIHQGMDRVLQNIVRDHRDKTLGTFGEEDRENIVDVLLRLQKNGDLEHPLSDTVVKATLMDLFSAGSDTSATIMDWVMSELVKKPEMMEKVQSEVRRIFDGKGYVDETEIHELKYLRAVMKETLRLHPPVPLLLPRECSEKCKINGYEIPAKSKVIVNAWAIGRDPNHWDEAEKFDPKRFLESSIDYKGGEFEFIPFGAGRRICPGINLGIVNVEFSLANLLFHFDWKLPQDLDMTESFGLTMKRKHDLVLIPIAHHSTKTKF >Vigun07g198750.1.v1.2 pep primary_assembly:ASM411807v1:7:31936916:31937190:1 gene:Vigun07g198750.v1.2 transcript:Vigun07g198750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSMIILFLSFSIINFVLVQSATLEISKFGGKPNTNIAKALTSA >Vigun03g321400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51664020:51666487:1 gene:Vigun03g321400.v1.2 transcript:Vigun03g321400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPQQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLLRYSYTDIPPASLSVPPGLASFQHVPQRQFTARFGNEATGFYRQSTATAYSFPYALPWTDTSSEDISEGGAAGGNL >Vigun05g087800.1.v1.2 pep primary_assembly:ASM411807v1:5:8332866:8336813:1 gene:Vigun05g087800.v1.2 transcript:Vigun05g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPDNTVNTAMYKGRFCTSGGVKSQLGYVETDPSGRYGRFRDVLGKGAMKTVYRAFDELLGIEVAWNQVKLGDVFHSPEQLQRLYSEVHLLKHLNHDSMMIFYGSWIDVNNRTFNFITELFTSGTLREYRQKYKRVDIRAVKIWARQILGGLEYLHSHNPPVIHRDLKCDNIFVNGHQGRVKIGDLGLAAILRSSQHAHSVIGTPEFMAPELYEEKYNELVDIYSFGMCMIELLTFEFPYSECANAAQIYKKVTSGKLPNAFYRIPVLEAQKFVGKCLSNVSDRPSAKELLLDPFLAVDQLEIPLPPNIPLFLNNTPQLNSAPLVPIEHHHDQKKSAEMTITGSINEEDNTVFLKVRISDITGHTRHVFFPFDTLKDTAIQVAMEMVQELEISHLEPLEIAAMIDHEVSALVPSWRDRVKCHHQRQHSFNYEEDEDVNNHHPFFLSSSPSSPRGSGHISASNSFKTHVRGNHYPFAQEGPQDDMFMNNDDASSQASMNSFKYSTFQFCDPGHEDEHDGTERNKCTTISCRGGEEGEPGLVNPVLYPPRIECSCGCRFGSGHGCPRLTRIRSCPHERRSLQQLQRSLMLEEIYKYKRRFFNTVGAVENIGFRHPLRGGVCFPRV >Vigun04g011500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:844547:846371:1 gene:Vigun04g011500.v1.2 transcript:Vigun04g011500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPSGTRLLGNGKYSYNIKMGEAATISVLKDEKRPRWKLVYDVDGMTSSVLCVFKTTDKNLGNDVIFVEVADCDADEETEGDSKVVRPEPRQNFGVKMEKGKNETGLFSVYVLNDNDPLAAGEVRKQGVSRRGEVETQFWAYGCGTRKGLFVVVKKKKKSNEKLAYMVTVAHYYVNSGSGCGVDMGFSVVVKIGVNNGVLDFSVDGPEEHPTSALLFMIEEVIQTASWNPSACPHCKNIQSQRRRWLSESEEDSDAPFPAPPRYGGSQNTANKGRFNGDGIGSMIQAKEVNFNKWWRFR >Vigun04g045000.1.v1.2 pep primary_assembly:ASM411807v1:4:3891714:3896316:-1 gene:Vigun04g045000.v1.2 transcript:Vigun04g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGSGDRVVDNGFESVEHVDEHDHGLSQKGSFKLKEEEVSVERVFQHLLVPSWRNQLTRRAFGVSFLLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSGMLRQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSEEIADQSTDTSDFKDPSLGWIIAFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKMLGKFFSMSFLWGFFQWFYTATDQCGFQAFPSLGLKAYENRFYFDFSAIYVGVGMICPYIINISVLLGGILSWGIMWPIIKTKEGHWYDKGLGEGNLHGIQGYRVFIAIALILGDGLYNFVKVITHTLWGLYHQIRERQRENVLPVADQDSPSNPELSYDDRRRTQLFLKDQIPTWFAIAGYVAIAAISTATLPHIFHQLKWYYIIVIYLVAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGATRGGVLAGLAACGVMMNIVATASDLMQDFKTGYLTLASPRSMFVSQLIGTTMGCVISPSVFWIFYKAFPDLGKPTSEYPAPYAIIYRNMAILGVQGFGSLPKNCLLLCCIFFAAAVVINLFKDFLGKKGKFVPLPMAMAIPFYIGPYFAIDMCVGSLILYVWERINKAKADAFAPAVASGLICGDGIWTLPASILALAGVKPPICMRFLSRATNSKVDTFLGS >Vigun05g271350.1.v1.2 pep primary_assembly:ASM411807v1:5:46266220:46266549:1 gene:Vigun05g271350.v1.2 transcript:Vigun05g271350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASPARFDPARFWPDLYGPGRAFFHMLHFQSKIRFQQNYCNKNNPAVYNITDYKTNNPTIYINDILLSASHQDTVATSITSLN >VigunL059557.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:14451:15095:1 gene:VigunL059557.v1.2 transcript:VigunL059557.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSDFEFLVSCFEFGVYGFGVSGFGFRVSDFGFRVWVLGLGFRVSGYKLQVTGFGSHVSGVVFQVSGFGFRISAFEFRVSDLGIRVWVFGFWGLRVTDFGFRVSTFRLSFGFGILSFGFRVSGLEFRITSFLFRVSNLGFMVLAFRDSIFGFQISGFGFGFWVWGFEFRVTSYKLRVLGFGFLVSGFGFLVSSFGFLVSSFAIGFGVSGLGF >Vigun04g131100.2.v1.2 pep primary_assembly:ASM411807v1:4:32818928:32820167:-1 gene:Vigun04g131100.v1.2 transcript:Vigun04g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGVVPDESAYGGRKKVGNRVIVVVKIEENGVVCNEGFDGERKKGRNGDEIGKGRTKEQDLAIQRAYLTAKPSPHFWKNVSKLKADMVLQVYAQNLLALFN >Vigun04g131100.1.v1.2 pep primary_assembly:ASM411807v1:4:32818946:32820167:-1 gene:Vigun04g131100.v1.2 transcript:Vigun04g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGVVPDESAYGGRKKVGNRVIVVVKIEENGVVCNEGFDGERKKGRNGDEIGKGRTKEQDLAIQRAYLTAKPSPHFWKNVSKLILAFLLISTLLKESVFRNVTAHTS >Vigun04g029366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2271950:2272315:-1 gene:Vigun04g029366.v1.2 transcript:Vigun04g029366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGSPFFCLLQKTPKDSSPLHAAWDVLPPSTTADYHRCKQQLQPPHVPCSSPTKPFVTGVPINVAGHHRRRRRCCSGIILLPSRGMRETQQPHRRKPSPAMSVAVRASIGVTRTSHSWR >Vigun02g062500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20903100:20904194:-1 gene:Vigun02g062500.v1.2 transcript:Vigun02g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLVPKRPREEEEEETQKDLNFEQDYSSKKQKPYTHILSLLDSEEEDSTQDLSPLITTLQQEISCASNNGDFQDALLGGPAQAIDLDNLTTMDGATVASEEGVVKEKEKEKEEEESEKERVMRHLLQASDDELGIPSSGDGVFGFVGEDGFNSEHGFSSLCDKLWELEDERANYYAFLQSELFLGGH >Vigun09g233400.1.v1.2 pep primary_assembly:ASM411807v1:9:40443751:40447112:-1 gene:Vigun09g233400.v1.2 transcript:Vigun09g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHGSSSSAFHNDHSVSASSQQPVGLSRFFSQSHNPSQTLEDAFSRLCVSASPFNYSHSASPFNYPHSDFVADGPYGINGSSKIPPYNSWNGGISTPQTANLWHPTFTVNNYSYHGDGCLDSKPLTRQIENPTFSGVYPVVPFSSNGMVLQKTSDNNGFLNGGVLGGNGFNILGFTFDERRLRWFNNFRGCVLTLATDQHMCRTLQETLKTLTREEFDIIFLELINHVTDLMVDPFGNYVVQRMMELCSEEQRTQLVLRLTQCNFQLVRICLSPHGTRAVEKLLEYITSQEQRNRIMSALSPGAAVLAKDVSGHRVILHCLKQFPREDNENLLNVVASKCFDIATDKTGCCVLQPCINHAQGEIKKKLIAAVMFYASHLAEDCYGNYVVQHLLSLGMPGVAESLWRQLEGRFFYLACNKYGSNVVEKFFQDSVEPHSTYISLELLHNPNVAMLLVDPYGNYVIKSALSASRGHVRNALEQLIKQNSLMMQSNLFGKKLLAWFNKGRI >Vigun04g099000.1.v1.2 pep primary_assembly:ASM411807v1:4:23033665:23038030:1 gene:Vigun04g099000.v1.2 transcript:Vigun04g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTSKYNEMGSIMEHEHVSCSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSFNSSLQLDPVNTQRNSTKISKKTAREELKEISNAKNGDSAGKRKSYKERKICQEVRNEEKGNANDDTRACSKVSEKETKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRVLTLIFNDSENECPSLTASNGSNSWLKASKDLIAESDHIIKDFPLDWLEEGASRYHNLDLSRKLRKLTLLKFSL >Vigun04g099000.2.v1.2 pep primary_assembly:ASM411807v1:4:23033665:23038030:1 gene:Vigun04g099000.v1.2 transcript:Vigun04g099000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTSKYNEMGSIMEHEHVSCSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSFNSSLQLDPVNTQRNSTKISKKTAREELKEISNAKNGDSAGKRKSYKERKICQEVRNEEKGNANDDTRACSKVSEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRVLTLIFNDSENECPSLTASNGSNSWLKASKDLIAESDHIIKDFPLDWLEEGASRYHNLDLSRKLRKLTLLKFSL >Vigun04g099000.3.v1.2 pep primary_assembly:ASM411807v1:4:23033665:23038030:1 gene:Vigun04g099000.v1.2 transcript:Vigun04g099000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTSKYNEMGSIMEHEHVSCSSFDEVLACKGASPMKPIASEKDLVVFLSGEVEKENSFNSSLQLDPVNTQRNSTKISKKTAREELKEISNAKNGDSAGKRKSYKERKICQEVRNEEKGNANDDTRACSKVSEKETKALDLKNGEAEAMLRELIRKQNLRRGQNNLVVQFQIRVLTLIFNDSENECPSLTASNGSNSWLKASKDLIAESDHIIKDFPLDWLEEGASRYHNLDLSRKLRKLTLLKFSL >Vigun04g067801.1.v1.2 pep primary_assembly:ASM411807v1:4:7722078:7724323:1 gene:Vigun04g067801.v1.2 transcript:Vigun04g067801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLKTRHIFFSQILHSILHAPMSFFDTTPSGRILSRVSMDQTNVDVAIPLFLNFVVTMYITVISIFIITCQNSWRTAFLLIPLAWLLNVWYRERKLNVLTINEFSIRLLK >Vigun05g059050.1.v1.2 pep primary_assembly:ASM411807v1:5:5060742:5063611:1 gene:Vigun05g059050.v1.2 transcript:Vigun05g059050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDLNTKFATLIRSKHINQVVLSGPNSVVVCKLLISDSPRSTKIEKGWKEFCNEHQLKEGDHVLFEVDHVDANEFITVFVNKCLCDE >Vigun01g041100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5745426:5748897:1 gene:Vigun01g041100.v1.2 transcript:Vigun01g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIKRRQRGAPATMNSVGPQVQPSRQDEDYNLRETDPQLGGERWPNARRGWMSGGERFSSTHDLVEQMFYLYVRIVKAKDLHRSTLTSRCDPYVEVKLGNYKGRTKHVENKTNPEWNQVYAFSKDRIQSSVLEVIVKDKEMLGRDDCIGRVAFDLNEVPTRVPPDSPLAPQWYRLDDRRGGGDIMVAVWMGTQADEAFSEAWHSDAATVYGEGVFNVRSKVYLSPKLWYLRVNVIEAQDVIPGDRNRLPEVFVKVHMGSQVLKTKICPTRTTTPIWNEDLIFVAAEPFEEKLTITVEDRVHPSKDEVLGKIVLPLTLFEKRLDHRPVHSRWFNLEKFGFGMMEADRRNELKFSSRIHLRICLEGGYHVLDESTLYSSDQKPTARQLWKQPIGVLEVGILGAQGLLPMKMRDGHGSTDAYCVAKYGQKWVRTRTILDTHSPKWNEQYTWEVYDPCSVITLGVFDNCHLGGGEKATAGTAARDSRIGKVRIRLSTLEAHRIYTHSYPLLVLHPHGVKKMGELQLAVRFTSLSLANMVYIYGQPLLPKMHYLHPFTVNQIESLRYQAMNIVAGRLGRAEPPLRKEVVEYMLDVDSNMWSMRRSKANFFRIMSLFSGMITMGQWFSQVCHWKNPITSVLVHILFLILICYPELILPTLFLYMFLIGLWNYRFRPRNPPHMDTKLSWAEAVHPDELDEEFDTFPTSRPHDVVRMRYDKLRSVAGRIQTVVGDIATQGERFHSLLSWRDTRATSLFVVFSLCSAVVLYATPPKVVAMVTGLYYLRHPKFRSKLPSVPSNFFKRLPARTDSML >Vigun06g024000.1.v1.2 pep primary_assembly:ASM411807v1:6:11227536:11228795:1 gene:Vigun06g024000.v1.2 transcript:Vigun06g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAPSDSQAGVIIVVSAMQASSFESCCTKKHDKIMVGLNITEEMLNSNSVTRQLNDQISLAKTFVVITKESNNLQFAWELST >Vigun11g040300.1.v1.2 pep primary_assembly:ASM411807v1:11:5723968:5737429:-1 gene:Vigun11g040300.v1.2 transcript:Vigun11g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGAAAPSTDDHKSLGQTSKNGEVFDASQYAFFGKNAVEGIELGGLEEEDEYKAMLAVEFNEEDLFLNKEEAEDIRSLSDIDDLTTTFLKLNKVVSGPRSPGIIGERGSRENSSASEWSQRDDVPYWFDQQSYDSEGQGSQDGNRWSSHPHSSLTQLHDSRPLYRTSSYPEQQRQLQHQLQHCSSEPVPNWFDQAFYDSENTEDGKRWSSQPHSSTAQLQESKTLYRTSSYPDKRPELTRFSSEPILAPKSSFTSYPPPGGRSQHSSPNHSTGHLNIPFHTGGAQVALPSQNRSHYFNSALQLSGPKHESHFSGNVRPFTTSSPLNYRMQNPWVNQSGLYSGDHPNLLSNMLQQQLQHQSGSLSPHLLTQLQQHRHLHPIHKPGRYMSGFQSHLLNPQLSSGSSMVSKYDHMLGLADTREHRPKSTHKGKQSHRFLLQGSDASSQKNESGSLQFRSKYMTSDEIESILRMQQAVTHCNDPYHDDYYHQACLAKKDGAKLKHSFSPTQLKDVPARIRANLDTPGSFQVDTLGRFSFLAIRRPHALLEVDPSNSSTSGSSERNISEKPLEQEPLFAARVAIEDGLYLLLDVEDIDRFLKCNQLQDGGTQLRRRRKILMEGLASSIQLVDPLGKNGSKVGLGAKDDVVFLRLVSIPKGRKLVSKYLQLLGSGSELMRIVSMTIFRHLRFLFGSLPSEPEAALTTSNLAKVVCKCVQGMDLGALGACLAAVVCSTEQPPLRPLGSPAGDGASLILVSVLERATELLTDPHGAGSYIANRSFWQASFDEFFGLLTMYCMNKYQSIMQSLLVQGTPNVSGIGSDAAKAISREMPVELLRVSLPHTNDNQRKLLLDFAQRSVPVVGFNNYTGSSGGHVNSETVLS >Vigun03g160700.1.v1.2 pep primary_assembly:ASM411807v1:3:17756227:17792451:-1 gene:Vigun03g160700.v1.2 transcript:Vigun03g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLHRTFFSTSTSVPSSHTLSFRHFMLQFRALQPPTYALSPHASSTITGTTFQCRRTCVLFPRSVTAKRSPVRSFAVKSTALFGDYFSFPFAANCTTPFDHGLFSKGFSTSVADNGKQGKRVKAVVVRGKEKDGSVISDGASGKDVAKTAKKKTVKKKPSPKKKVSAASNSAHEECAAEVSGKSTKLKRKKVSKKSSVDSAIEEIVDNSASVKDELNKKKGNSSLIEEAKPLWNFTHKPLFPPSGKSVVVVESVTKAKVIKGYLGDMYEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPAPAWTHLKSIKVALSGAENLILASDPDREGEAIAWHIIEMLQQQGALHDNISLARVVFHEITEKSIKGALQTPRQIDINLVQAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALALICDREMEIDEFKPKEYWTVEVQLKKKDSGSNKNFTFPARLTHFDSKKLNQFSITSDIEARHIQSNINSANFHVVCLKKSKTRRNPPTPYITSTLQQDAANKLHFTASYTMKLAQKLYEGVELSDGVAVGLITYIRTDGFHISDEAVANIRSLIIERYGQDFAAQSAPKYFKKVKNAQEAHEAIRPTDIRTLPSMLAGILDEESLKLYTLIWSRTVSCQMEPAVIEQIHLDIGNGDESIVLRTTSSMVEFPGYQAVYTDVEDKDRDESSPDQTFGILSSLKTGDPLCVVQTEPCEHHTQPPPRYSEASLVKKLEELGIGRPSTYASTIKVLRDRNYVTVKNRVLSPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFKLYCERTSNVHIHQVEKMLEKKFGDFLFASLPDQTRVCPSCMEGTLIFKVSRFGAGYFIGCDQHPTCKYIAKTLYGTEEEEDVPQPNTRVEEPKLLGVTSASNEKVLLKTGPYGFYVQLGEDRKGYTPKRASVSHVKDVGTITLEDALELLQYPLTLGNHPKDGQPVILKLARVGFSVRHRRTIASVPKNIKPTDVTLEKALEFLSGKDVRRSGRPKGKSKTQEVEVIEAF >Vigun02g200200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33510197:33516513:-1 gene:Vigun02g200200.v1.2 transcript:Vigun02g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRGVILDESVLLAESGDDQTASTLRPGTESLTRILFLSKIHCGIAYDSGLLDDKVSILKRTADLYSLDCFALNDSASEAKPGWSNTDEGSVIYLISNKEFSPKLNRYNWLIVVLNVGGESSCHDPNIHQIESLEELPLTICRINKKLIGTNAVTVGYTMKPSRVEDFAKRGAFPLCPTQQGLMFVPLTSNLSLSSQLKYVDIVLHKATDEILSIEDDKPTFTQNMRALQKYLDQHQDICVIDPLSYIYPLLDRLEIQQVLLGLVELNTEGKCLIRGAHFSKVDNFDEFDFATGLSEARLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLSVPLPAIIQEYVDHSSTLYKFYVLGEKIFYAVKKSIPNFDILMKSSNGDEHKPLLFDSLKSMPTADSITSNESIDLKLVTDAANWLRRRLHLTIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDIAIPAFWEAIRNKFDCRMSK >Vigun02g200200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33510434:33516436:-1 gene:Vigun02g200200.v1.2 transcript:Vigun02g200200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRGVILDESVLLAESGDDQTASTLRPGTESLTRILFLSKIHCGIAYDSGLLDDKVSILKRTADLYSLDCFALNDSASEAKPGWSNTDEGSVIYLISNKEFSPKLNRYNWLIVVLNVGGESSCHDPNIHQIESLEELPLTICRINKKLIGTNAVTVGYTMKPSRVEDFAKRGAFPLCPTQQGLMFVPLTSNLSLSSQLKYVDIVLHKATDEILSIEDDKPTFTQNMRALQKYLDQHQDICVIDPLSYIYPLLDRLEIQQVLLGLVELNTEGKCLIRGAHFSKVDNFDEFDFATGLSEARLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLSVPLPAIIQEYVDHSSTLYKFYVLGEKIFYAVKKSIPNFDILMKSSNGDEHKPLLFDSLKSMPTADSITSNESIDLKLVTDAANWLRRRLHLTIFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDIAIPAFWEAIRNKFDCRMSK >Vigun07g063400.1.v1.2 pep primary_assembly:ASM411807v1:7:7327366:7330229:-1 gene:Vigun07g063400.v1.2 transcript:Vigun07g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMVGTGESDEYQKGVKHLVENGLHSIPKKYILPPSDRPGTNSEDSNVAKQNLELPIIDYSELLGPKRQQVLQSLANACEGYGFFQLINHGISDDVISSMRDVSGKFFDLPFEEREKYMTTDMSAPVRCGTSFSQTKDSVLCWRDFLKLLCHPLPDFLPHWPHSPLDFRKVVATYAEKTRHLFLMLMEAIEESLGIIEGKDNIRRELEDGSQMMVFNFYPPCPQPDLTLGMPPHSDYGFLTLLLQDQVEGLQVHFQDQWLTVQPINNAFVVNVGDHLEIYSNGKYKSVLHRVMANAEKNRTSVASLHSLPFNCTVRPSPKLIDHDNPKRYADTNFHTFLAYVTTREPKRKDFLDSRKLTSPCVEGEDKEIK >Vigun06g067900.1.v1.2 pep primary_assembly:ASM411807v1:6:19713138:19718782:-1 gene:Vigun06g067900.v1.2 transcript:Vigun06g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVKKFRSKFLKVREEINRWDELQSCLISQFRNASHIVDRLQLLQNSNNHGVLNCVSGMRGALLEKQIESLSNILVSMRKTLEEFRCIALSLDKIHRDSRQLVNGGSSHLNKKQLQQQVGMKPSLIYCLDSLMFIHEIYNSEYLLKSSVISALSEMALKPSVSDLGALQQLLVDQPNIQTEEVQFVFDMIFAEELS >Vigun02g141200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28994569:28997507:-1 gene:Vigun02g141200.v1.2 transcript:Vigun02g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELSASQRDDAVKSLAYEAEARLRDPVYGCVGLISVLQHRLRQIQVELNNAKKELATYIGPQAFQGLPAPILQQHPNNPFSGSLYGNMAGVTAASHGGQLMIRDGQSPQQHQILEAQQLAAAVAAREQQEMFRSYEHQQQQEFLRFSGGFDVGSASSAGGFSQISPAAASADQLSPSLALGSFDNAYHMQQPQQGEPHPHNIPFEAQLLLPPQQKQSPQHTQQSQLPFHQPQSESEDCKSLGPSC >Vigun10g138000.1.v1.2 pep primary_assembly:ASM411807v1:10:35455628:35468600:-1 gene:Vigun10g138000.v1.2 transcript:Vigun10g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMVRDAALSIANKDRNVFTLRNVKLDYWPELKSCTSISISNCDITDGLPDDINCSQLQFFRIDTNDSSLVIPDNFFEGMKNLEVLILTGFRLSRLPSSIQFLLKLRMLCLERCTLDENLSIGKLKKLRILSFSGSQLKNLPSDLGCLDKLQLLDIDDCSLLNIHIPPNLLSCLTYLEELYIRKSLIKTLVEGERNQGQNLFLSELQNLHQLKVVDLSIPCLSVLPNHLFFDTLKDYKIVVGDLEVFSVGDFRMPDKYETFRVLALQLNDAIDIHSHEGVKMLFKTVQCLLLGKVDRVQSVVNDLNIDGFPDLKHLYIVNNNNIKYVNATKLSNCVDIFPNLESLCLHNLVNLEMICYGLLTVASFAKLKAIKVEMCNRLENLYSFYTVKFPTGSKPCEISECNSFMDKFPTNVEIIEVCECGSLKEILQIPMDYGKFEFLKLHTLSLQSLPSLTSFYTKVDRSCRPHLTEVETTNPGSREIINEEDKQNNKTLPLFDELVEIPNLEILNISSLNIYKIWSNKHSSSFWGQNLIKLVVKDCDKLTYLCSLSMARSLKKLKSLVISECLNMEKIFETEENSADKVCVFPKLEEIHLSKMNRLTDIWQTEVSVDSFSNIISVKIEECYKLNKIFPSHMKGWVECLDNLTVCSCESVEVIFEINDYEKIDEFGGINTNLQVILLENLPKLKQLWSTDPGGILNFKKLRAIDVCDCDELINLFPASVAEDVPKLERISTLYCEKMVEIVTSQDASYANNDPLVFPELTCVRLEWLPNIKRFCKGKHLIKCPKLKQFSIHKRVKLNTFLKEINETTNKEEKCVFSAEEVLPNLEYMEIDFNEVQELLPKYQMQRLKELSLMSAQSLNLLYQFPYSMPNLEKLTMTYPYFLEELERRANFAQQEKIGIPLQLKELVLVLSKIKDLNFGRVPVLQRLELLSLKHCNNLNNLGPPSVSLTYLTHLELKYCKGLRNLMASSTAQSMVQLKTMKIINCPEVEQIVSNEGNEKGKVMKIVFSKLISIELVRLNYMRSFCGDNECEFEFPSLEILIVRECPKMKKFSERRSITQKLKNVFGVEGDEKSRCQWEGDLNATLQKVFNDKVSFAYTEDLRLDNDIIEQLWRDTDWVHQNSFRSLRRLNAWGCDTGEHVIPSHLLSCFHNLEELEVVDCKAARFLFGINDENRVRKGSGIFRLKSLSLSKLSNLKDVWEKDPEGIIGLQLLKEIRVEECGRLQSLFPASVAKDLTRLQVLQVTKCEELTEIFRKDEKGGEGPTQVFPRLTTLKLEKLPGLEYSIHRSKQQVILTNLSSAQEQLCLGSWPIPSSSFGLLDSLTVDGCHFFSDVLLPFNLLPFLSNLKELTIRNCTFVKTIFGVKCTTQDTITFGLKKLSLSNLRTLQNVWNEDPRGILNMNHLQEVNVNQCKGLKNVFPASIAQDLVKLKSLVVEDCEELITIVAEDDTDPSRRNQDLPCPCVRSLKLRRLPMFKYFYYCSLQSNNFTHRKSHIEMLFKCLSLGKNGVEMILRGEFQGNFLHNLKVLTLLGCESDIFAYKVLKQVPNIEKLVVCDGSFKGIFKCQSPNNVDYSELQLQLKELHLEFLRESVCIGLENFWIQPFVRNLETFEVISCRSLENLVGCKVSFFNLTYLKVESCDSLSYLFTSSTAKSLSQLKKMEINRCKSIEEIVSKEKGEEESEGNEIIFPKLDH >Vigun10g173100.2.v1.2 pep primary_assembly:ASM411807v1:10:39167476:39174237:-1 gene:Vigun10g173100.v1.2 transcript:Vigun10g173100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLILSSRWPRLPTLLLETAILTEGCKSIAAGVNVMDLRNGINKAVDAVITDLKSRALMISTPEEITQVGTISANGERDIGELIARAMEKVGKEGVITVVDGNTLDNELEVVEGMKLTRGYISPYFITDQKTRKCELENPFILIHDKKISDINSLLKILELAVTKKRPLLVVAEDVESDALAMLILNKHHAGLKVCAIKAPGFGDSRRANLDDLATLTGGEVINEERGFSLDKVQPEMLGTARKVTVTIDDTIVLHGGGDKKAIEERCEQIRMTMERSSAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYATKALDNLQTKNEDERRGVQIIQNALKAPTSTIVSNAGFDGALVHRKLLEQDDYNLGFDAAKGVYVDMVKTGIVDPLKVVRTALVDAASVSLLLTTTEAAVVDNPYDKNKPPSRVPDMDDLDL >Vigun10g173100.1.v1.2 pep primary_assembly:ASM411807v1:10:39167476:39174237:-1 gene:Vigun10g173100.v1.2 transcript:Vigun10g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLARRVASSSIASPSAKNLVYGRVLSSRNFVSKDINFGVGARAAILQGVTQVADAVKVTMGPKGRNVIIERSRGNPRITKDGVTVAKSIKFKDKEKNVGADLVKQVAKATNTAAGDGTTCATVLTQAILTEGCKSIAAGVNVMDLRNGINKAVDAVITDLKSRALMISTPEEITQVGTISANGERDIGELIARAMEKVGKEGVITVVDGNTLDNELEVVEGMKLTRGYISPYFITDQKTRKCELENPFILIHDKKISDINSLLKILELAVTKKRPLLVVAEDVESDALAMLILNKHHAGLKVCAIKAPGFGDSRRANLDDLATLTGGEVINEERGFSLDKVQPEMLGTARKVTVTIDDTIVLHGGGDKKAIEERCEQIRMTMERSSAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYATKALDNLQTKNEDERRGVQIIQNALKAPTSTIVSNAGFDGALVHRKLLEQDDYNLGFDAAKGVYVDMVKTGIVDPLKVVRTALVDAASVSLLLTTTEAAVVDNPYDKNKPPSRVPDMDDLDL >Vigun05g164500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26822162:26822939:-1 gene:Vigun05g164500.v1.2 transcript:Vigun05g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKIGLVFGLGAMFGVAVSRNGKPFQCHKTGHHGPNSCPTQPRTKETAPQEEPAAAANN >Vigun07g170900.1.v1.2 pep primary_assembly:ASM411807v1:7:28612450:28617297:1 gene:Vigun07g170900.v1.2 transcript:Vigun07g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSVVPADPGAMTVVKKKTQSSRSWILIDATGHGSLLDVDKYAIMNRVQIHARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITAEEVLLRDPTDENVIPVVEELQRRLPRLSAGLQQQGDGKEYLGGQNDAEAAEEDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKGGSSSPVSGSGAANWFAASPTIGSKISRASRASLATVRLDENDVEELEMLLEAYFSEIDHTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYALVTAIFGMNIPYTWNQNHGYMFKWVVITAGLFSALTLVTITAYARKKGLIGS >Vigun05g228100.1.v1.2 pep primary_assembly:ASM411807v1:5:42109492:42112723:-1 gene:Vigun05g228100.v1.2 transcript:Vigun05g228100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSPFKKIQIQRDDTTFDAYVVGREDAPGIVVIQEWWGVDYEIKNHAVKISQLGTGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQAAVKDIAASVNWLKANGSKKVGVTGFCMGGALSIAGSVLVSEVDAAVAFYGVPSSQLADPAQAKAPVQAHFGELDSFVGFSDITAAKALEEKLKASGVPHEVHIYPGNAHAFMNRSSDGIQRRKRMGMPDEDEAAVQLAWSRFETWMTRYLSS >Vigun07g049500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5113365:5115517:-1 gene:Vigun07g049500.v1.2 transcript:Vigun07g049500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPPRNLDLYPTLAKDHIIVVLYVHNRPQYLKVVVESLSHVVGIGETLLIVSHDGYFEDMNKIIDGIRFCQVKQIYAPYSPHLFSDSFPGVSADDCKDKDDPTEKHCKGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLRETRDHSGHVLFIEEDHFIFPNAYRNLQVLTSLKPKKCPDCYAANLAPSDVNSRGEGWATLIAERMGNVGYSFNRTVWKKIHNKAREFCFFDDYNWDITMWATVYPSFGSPVYSLRGPRTSAVHFGRCGLHQGQGENKACMDNGMVNINVEQPDTVSNIELGWNVHTYENQPGYKAGFKGWGGWGDHRDRHLCLSFANMYQ >Vigun07g049500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5113365:5115517:-1 gene:Vigun07g049500.v1.2 transcript:Vigun07g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTIMAATKKPRLRLRDVALCRLLSVVFVTLCGVLLLIFLLSSNSTSTTIVTHDTDSVDAYHHDLKFEKWHDLPQQSDLSLRLEKMNRLPPRNLDLYPTLAKDHIIVVLYVHNRPQYLKVVVESLSHVVGIGETLLIVSHDGYFEDMNKIIDGIRFCQVKQIYAPYSPHLFSDSFPGVSADDCKDKDDPTEKHCKGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLRETRDHSGHVLFIEEDHFIFPNAYRNLQVLTSLKPKKCPDCYAANLAPSDVNSRGEGWATLIAERMGNVGYSFNRTVWKKIHNKAREFCFFDDYNWDITMWATVYPSFGSPVYSLRGPRTSAVHFGRCGLHQGQGENKACMDNGMVNINVEQPDTVSNIELGWNVHTYENQPGYKAGFKGWGGWGDHRDRHLCLSFANMYQ >Vigun11g196200.1.v1.2 pep primary_assembly:ASM411807v1:11:39572084:39574717:1 gene:Vigun11g196200.v1.2 transcript:Vigun11g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLISNVVLLLLLSFTKEALSVSKLSITNHHNYLTPEVVAEQEADRVYGLPGQPPVKFKQYAGYITVNETHGRALFYWFFEATHQPHKKPVLLWLNGGPGCSSIGYGEAEELGPFFPQDSFHPKLKLNPYSWNKAANLLFLESPVGVGFSYTNTSRDLKELGDAITAKDSHTFMVNWFRRFPQFRSHEFYIAGESYAGHYVPQLSELIFDHNRNRAKKDYINFKGFMIGNAAIDNEADQKGLVEYAWGHAVISDGLYHNITTNCNFQFENQTDECNYYSDKFFEAYDVIDLYSLYTPTCISNISSTTRKTFSKITKWHRKPEGYDPCASDYTGFYLNRPEVQRALHANVTKISYPWTHCSNAIEDWNDAPASTLPVIKKLVAGGLRVWVYSGDTDGRVPVTGTRYALKKLGLPIVEDWTPWYTSQQVGGWRTIYDGLTFVTIRGAGHEVPTFTPKPALQLLRHFLENKKLPSHPI >VigunL001601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000105.1:25027:26515:-1 gene:VigunL001601.v1.2 transcript:VigunL001601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKAGYAIRTVSNQGMQRAEIMIKGLGLGRDAALRAIRRSEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSSLMKVGIEESVHEIFMNLKKIGAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFTPVRNINYSIHSYVNGNENKEILFLEIWTNESLTPKEALHEASQNLIDLFLPFLHAEKDNFHLEKNQDKVTLPLFTFHDILIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun06g137900.1.v1.2 pep primary_assembly:ASM411807v1:6:26352237:26355005:1 gene:Vigun06g137900.v1.2 transcript:Vigun06g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEYEDEEEEEIPA >Vigun03g052200.4.v1.2 pep primary_assembly:ASM411807v1:3:4247630:4275777:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDSSVLSMLKSLGNLFANSVWEELLHSQGNLQTVDTLASSSKENEEEIFHARKPKHDDPILVKERFIHAKYSEKIFIPRITESHPIHSLAQKLQESICANDKKAVYQLIVKLNVDVNTIGCQALSGDTLDMASSNLNIASQSENQVVEDVKDGSSALHLACLNSDNGMVELLLQLGADINASDSRGRTPLHCCIIGGKTAAAKVLISRGANTYVADKEGNTPIKLATESQTLDKEIINLLTSR >Vigun03g052200.5.v1.2 pep primary_assembly:ASM411807v1:3:4249748:4275866:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDSSVLSMLKSLGNLFANSVWEELLHSQGNLQTVDTLASSSKENEEEIFHARKPKHDDPILVKERFIHAKYSEKIFIPRITESHPIHSLAQKLQESICANDKKAVYQLIVKLNVDVNTIGCQALSGDTLDMASSNLNIASQSENQVVEDVKDGSSALHLACLNSDNGMVELLLQLGADINASDSRGRTPLHCCIIGGKTAAAKVLISRYRRRRLLRGYGLR >Vigun03g052200.2.v1.2 pep primary_assembly:ASM411807v1:3:4247630:4275777:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKEARKRFDKSSLVYDQAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDSSVLSMLKSLGNLFANSVWEELLHSQGNLQTVDTLASSSKENEEEIFHARKPKHDDPILVKERFIHAKYSEKIFIPRITESHPIHSLAQKLQESICANDKKAVYQLIVKLNVDVNTIGCQALSGDTLDMASSNLNIASQSENQVVEDVKDGSSALHLACLNSDNGMVELLLQLGADINASDSRGRTPLHCCIIGGKTAAAKVLISRGANTYVADKEGNTPIKLATESQTLDKEIINLLTSR >Vigun03g052200.1.v1.2 pep primary_assembly:ASM411807v1:3:4258427:4275866:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKEARKRFDKSSLVYDQAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVSI >Vigun03g052200.6.v1.2 pep primary_assembly:ASM411807v1:3:4258427:4275866:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVSI >Vigun03g052200.3.v1.2 pep primary_assembly:ASM411807v1:3:4247683:4275866:-1 gene:Vigun03g052200.v1.2 transcript:Vigun03g052200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFANLDDTPMFRQQMQCLEESAESLRVRCCKFYKGCRKYTEGLGEAYDGDIAFASAIESFGGGQNDPHFIALGGPVLTKFTLALREISTYKELLRLQVDYIFNHRLQPIVNVDIHEVKEARKRFDKSSLVYDQAREKFMSLRKSTKIDIATVIEEELQEARASFEEARFNLVSTLNNVEVKKRFEFLEAVTGIMDAHFRYFQQGYQLLHQMEPFINEIMDYVHQSRENFNNEQIQLYQRMQDYKKQAYEESRLSLSGPYGSPLGDSAHPFSRISNEAADVVMESAANGKVQIIRQGHLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPWNGSYGSNQPSPKKNSGIENGSGILSRWLSSHYHGGVHDERSIARHTVNLLTSTIKIDADQSDLRFCFRIISPTKNYTLQAENAVDQMDWMQKINGVIATLLTVQTLGTPPSADSENDDSNSDDNIDKSESYPDDDHALKAKSALDKPTRNKHLRSSRSMHLHTHTMRTEKPIDVLRSVSGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDSSVLSMLKSLGNLFANSVWEELLHSQGNLQTVDTLASSSKENEEEIFHARKPKHDDPILVKERFIHAKYSEKIFIPRITESHPIHSLAQKLQESICANDKKAVYQLIVKLNVDVNTIGCQALSGDTLDMASSNLNIASQSENQVVEDVKDGSSALHLACLNSDNGMVELLLQLGADINASDSRGRTPLHCCIIGGKTAAAKVLISRGANTYVADKEGNTPIKLATESQTLDKEIINLLTSR >Vigun03g327700.1.v1.2 pep primary_assembly:ASM411807v1:3:52400486:52402312:-1 gene:Vigun03g327700.v1.2 transcript:Vigun03g327700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRELVLLGILLLVCVAKVSSDVNSEKEENEEIGFPDDPLIVRDGNRRLMQDIDCGGLCKTRCGAHSRPNLCHRACGTCCVRCKCVPPGTSGNRELCGTCYTDMTTHGNKTKCP >Vigun09g248100.6.v1.2 pep primary_assembly:ASM411807v1:9:41577828:41584104:-1 gene:Vigun09g248100.v1.2 transcript:Vigun09g248100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFVLSQRGDNIVYRDYRGEAQKGSAETFFRKVKFWKEDVEGDAPPVFNIDGVNYFHVKVAGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIDAARMPPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGFILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFEIDRTLSLVPPDGEFPVMNYRMTQPFKPPFRITALIEETGSLKAEVTIKVRAEFNSNINASTVLVQMPLPSLTARYIHFLHDYCAFNFSHS >Vigun09g248100.5.v1.2 pep primary_assembly:ASM411807v1:9:41577828:41584104:-1 gene:Vigun09g248100.v1.2 transcript:Vigun09g248100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFVLSQRGDNIVYRDYRGEAQKGSAETFFRKVKFWKEDVEGDAPPVFNIDGVNYFHVKVAGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIDAARMPPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGFILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFEIDRTLSLVPPDGEFPVMNYRMTQPFKPPFRITALIEETGSLKAEVTIKVRAEFNSNINASTVLVQMPLPSLTARVNFELEPGAVGHTTDFKETNKRLEWGLKKVTYTVCFPLIISISLNTYLRMFEANTRH >Vigun09g248100.3.v1.2 pep primary_assembly:ASM411807v1:9:41577828:41584105:-1 gene:Vigun09g248100.v1.2 transcript:Vigun09g248100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFVLSQRGDNIVYRDYRGEAQKGSAETFFRKVKFWKEDVEGDAPPVFNIDGVNYFHVKVAGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIDAARMPPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGFILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFEIDRTLSLVPPDGEFPVMNYRMTQPFKPPFRITALIEETGSLKAEVTIKVRAEFNSNINASTVLVQMPLPSLTARVNFELEPGAVGHTTDFKETNKRLEWGLKKVVGGSEHTLRAKLTFTQELHGNIMKEAGPVSMTFTIPMYNASRLQVNC >Vigun09g248100.4.v1.2 pep primary_assembly:ASM411807v1:9:41577828:41584104:-1 gene:Vigun09g248100.v1.2 transcript:Vigun09g248100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFVLSQRGDNIVYRDYRGEAQKGSAETFFRKVKFWKEDVEGDAPPVFNIDGVNYFHVKVAGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIDAARMPPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGFILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFEIDRTLSLVPPDGEFPVMNYRMTQPFKPPFRITALIEETGSLKAEVTIKVRAEFNSNINASTVLVQMPLPSLTARVNFELEPGAVGHTTDFKETNKRLEWGLKKVVGGSEHTLRAKLTFTQELHGALLVNNYFLAHCFKRRCSSL >Vigun09g248100.2.v1.2 pep primary_assembly:ASM411807v1:9:41577828:41584104:-1 gene:Vigun09g248100.v1.2 transcript:Vigun09g248100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFFVLSQRGDNIVYRDYRGEAQKGSAETFFRKVKFWKEDVEGDAPPVFNIDGVNYFHVKVAGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIDAARMPPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISVTFNSSGFILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFEIDRTLSLVPPDGEFPVMNYRMTQPFKPPFRITALIEETGSLKAEVTIKVRAEFNSNINASTVLVQMPLPSLTARVNFELEPGAVGHTTDFKETNKRLEWGLKKVVGGSEHTLRAKLTFTQELHGNIMKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSKANNPYRWVRYVTEANSYVARL >Vigun08g017300.1.v1.2 pep primary_assembly:ASM411807v1:8:1457616:1461106:-1 gene:Vigun08g017300.v1.2 transcript:Vigun08g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRDALLTRDKGQSSAGSRIVAAVVVGVLIGCVFAFFSPNGLFTAAPTPLIRHPKMVSSACESPEQVNALKVDILSAKEKNSELKKQVKDLMEKLRLAEQGKGHAQEQFLVLGESHKAGPFGTVKALRTNPPVIPDESVNPRLAKILGEVAIYKELIVVLANTNVKEMLELWFTNIKKAGIPNYLVVALDDNIEEFCKSNDVPVYRRDPDQGVDAVGKSGGNHAVSGLKFRVLREFLQLGYSVLLSDVDIVYLQNPFDYLYRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVANRLSNDPKSWDQAVFNEELFFPSHPGYDGLHAAKRTMDMYLFMNSKVLFKTVRKNAKLKKLKPVIIHVNYHPNKFERMKAIVEFYVNGKQDALDHFPDGSD >Vigun08g017300.2.v1.2 pep primary_assembly:ASM411807v1:8:1457078:1461027:-1 gene:Vigun08g017300.v1.2 transcript:Vigun08g017300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRDALLTRDKGQSSAGSRIVAAVVVGVLIGCVFAFFSPNGLFTAAPTPLIRHPKMVSSACESPEQVNALKVDILSAKEKNSELKKQVKDLMEKLRLAEQGKGHAQEQFLVLGESHKAGPFGTVKALRTNPPVIPDESVNPRLAKILGEVAIYKELIVVLANTNVKEMLELWFTNIKKAGIPNYLVVALDDNIEEFCKSNDVPVYRRDPDQGVDAVGKSGGNHAVSGLKFRVLREFLQLGYSVLLSDVDIVYLQNPFDYLYRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVANRLSNDPKSWDQAVFNEELFFPSHPGYDGLHAAKRTMDMYLFMNSKVLFKTVRKNAKLKKLKPVIIHVNYHPNKFERMKAIVEFYVNGKQDALDHFPDGSD >Vigun10g003700.1.v1.2 pep primary_assembly:ASM411807v1:10:326576:328511:-1 gene:Vigun10g003700.v1.2 transcript:Vigun10g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHCTRRLISHTSFKSPLRSINHSLLLNPTVLQRPSPLFIRTFVYQLGSVQSLLPLHSAVATSRLVSSLSINSRSCGALSLATLCCDFPGP >Vigun09g034700.1.v1.2 pep primary_assembly:ASM411807v1:9:3056529:3060499:-1 gene:Vigun09g034700.v1.2 transcript:Vigun09g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPEVSQFDGRHYDNKMNELLTSDGQDFFTSYDEVFESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYNVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPTKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDEKMLYDIQKFYNVVVEELPSNVAELL >Vigun06g030200.1.v1.2 pep primary_assembly:ASM411807v1:6:13353136:13357196:1 gene:Vigun06g030200.v1.2 transcript:Vigun06g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRAEVRERERERDGLVKVFKKMERSGGMVTGSHERNELVRVRHGSDSGSKPLKNLNGKICQICGDTIGLTATGDVFVACHECGFPLCHSCYEYELKNVSQSCPQCKTRFTSQQEGAGVEGDDDDEEDADDLDNEINYGQENNSKAGMQWEEDADFSSSSGHDSQIPNPHLPNGQPMSGDIPCATSEAQSMQTTSGPMATTKQPGPESDEEIRRVPEIGGESAGTAASRPDGGSNAGAERAQGTGEGQKKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLIDLETRVKDLEKKNSELKERLSTLQNENQMLRQILKNTTASRRGSNSGTNNAE >Vigun06g030200.2.v1.2 pep primary_assembly:ASM411807v1:6:13353136:13356248:1 gene:Vigun06g030200.v1.2 transcript:Vigun06g030200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRAEVRERERERDGLVKVFKKMERSGGMVTGSHERNELVRVRHGSDSGSKPLKNLNGKICQICGDTIGLTATGDVFVACHECGFPLCHSCYEYELKNVSQSCPQCKTRFTSQQEGAGVEGDDDDEEDADDLDNEINYGQENNSKAGMQWEEDADFSSSSGHDSQIPNPHLPNGQPMSGDIPCATSEAQSMQTTSGPMATTKQPGPESDEEIRRVPEIGGESAGTAASRPDGGSNAGAERAQGTGEGQKKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLIDLETRVKDLEKKNSELKERLSTLQNENQMLRQVC >Vigun07g028600.1.v1.2 pep primary_assembly:ASM411807v1:7:2590530:2594059:-1 gene:Vigun07g028600.v1.2 transcript:Vigun07g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDFSQKIDYVFKVVLIGDSAVGKTQLLARFARNQFSADSKATIGVEFQTKTLIIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDNMAKWLEELRGHADKNIVVMLIGNKCDLGTLRAVPTEDAEEFAQRENLFFMETSALESTNVETAFLTILTEIYRLISKKTLTANDDADPHGSSGLLKGTKIIVPNQDINAGEKKGGCCG >Vigun06g151200.1.v1.2 pep primary_assembly:ASM411807v1:6:27579858:27585966:1 gene:Vigun06g151200.v1.2 transcript:Vigun06g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLPKLSPLFSSARNPRYLRSAQRFISQGRRPTSRVKIGAATTLSILSKSTSSAIASETAAEDQIQQIKLLTSTDDNYGGVTVELNHPMDSSEFIQVLRASVSHWKQLGKKGVWIKLPIHLAGLVEALVKEGFWYHHAEPNYLMLVYWIPDSPSTIPVNATHRVGVGSFVMNEKQEVLVVQENSGLFQGTGIWKFPTGVVDQGEDICVAAVREVKEETGVDSEFVEVLAFRQSHNSFFEKSDLFFVCMLRPLSFNIQTQRFEILDAQWMPFEEYAAQPFVQKSELLKYINDTCLAKMGGQYSGFCPVSTSSNFSDQKNYLYLNAGTLKSSYSS >Vigun09g250000.1.v1.2 pep primary_assembly:ASM411807v1:9:41731026:41732808:1 gene:Vigun09g250000.v1.2 transcript:Vigun09g250000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLFFLFSLLLFSATLAIAERIDGEDDLLIRQVVPDAEEHLLNAEHHFSAFKAKFGRTYATQEEHDHRFRIFKNNLLRAKSHQKLDPSAVHGVTKFSDLTPAEFRRQFLGLKPLRLPSDAQKAPILPTNDLPSDFDWRDHGAVTGVKNQGSCGSCWSFSAVGALEGAHFLSTGELVSLSEQQLVDCDHECDPEERGACDSGCNGGLMTTAFEYSMKAGGLMQEKDYPYTGRDRGPCKFDKSKIAASVANFSVVSLDEEQIAANLVKNGPLAVGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGAGAYAPIRFKEKPFWIIKNSWGESWGENGYYKICRGHNVCGVDSMVSTVAAIHIQSSNN >Vigun06g157000.1.v1.2 pep primary_assembly:ASM411807v1:6:28043367:28045441:1 gene:Vigun06g157000.v1.2 transcript:Vigun06g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHLNNLLCVPPLNSSASVSRPPRSLGNWTKPVRRPRMVAESATSAWRVRAVTSEDEWGTEKEEAYGGGVAVEEKVATEPETENLKKALVGSFYGTNRGLKATSETRAEIVELITQLEAKNPTPAPTDALTLLNGKWILAYTSFAGLFPLLSRGTLPLVKVEEISQTIDSQNFTVQNSVQFASPLATTSISTNAKFDVRSPKRVQIKFEEGIIGTPQLTDSLEIPENVEFLGQKIDLTPFKGILTSVQDTASSVVKTISSQPPLKIPISNTNAQSWLLTTYLDQELRISRGDAGSVFVLIKEGSSLLTI >Vigun05g132000.1.v1.2 pep primary_assembly:ASM411807v1:5:15392321:15395060:-1 gene:Vigun05g132000.v1.2 transcript:Vigun05g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDSSRARVLTGLTLDDVLANQKRPSSTPPREPQPKNRTLLDIIKDDESNKKDRRSWKAFKDKLRLKRAGSAWISTIHIPTSDVPIPNPNSRIFTQLGRRNSVRFPTQALTETPANSESDISSPYMTHQVEDLNPATEDPGPPAAAAPAIRPSFSRRGSTRFTGEAAENNTAGTLRPQLSLRSSANMPTAEPYRRGRVVTFRDSFDEEDGEEEGEKPGERTLSAREAVAAQEASEAAAQEAEDEEQAPVMMSLMDLLEETDREMGLEGSRYILSDEEDFDEDADDEDGGGSMEHTCCICMVRHKAASSIPCGHTFCRMCSRELMVSKGNCPLCNNFILEILEIF >VigunL059001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000098.1:11434:11965:1 gene:VigunL059001.v1.2 transcript:VigunL059001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMAEEGRSRKEHLLSTYPTSRGGKGTKQMHNKYHQGSLHSADWNFFTHGGFPAFCSLKNKNNTFILTFSTPYNPTQYHRASSPPPLTIITVPTLLHYHLPSPSTCNQKNQANPLHRHSSSSSDHIHQIRNGGIGKK >Vigun10g115200.1.v1.2 pep primary_assembly:ASM411807v1:10:31932429:31934730:1 gene:Vigun10g115200.v1.2 transcript:Vigun10g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLPLVLITIVCVTIHVLISTFKPKKPSKYPPGPRSLPIIGNILDLGNLPHQTLAKLSKIYGPIMSLKLGSTTTICISSPHVAKEVLQKNDQIFANRTIPDSVRLFNHHKLSVVWLPPSALWRTLRRVCATKVFSSQQLDSTQVCRQRKVQELMDYVKERCEKGEAFDIGEASFTTVLNSISNTFFSMDFAHYASDKSQEFKDIIWGMMEEAGRPNIVDFLPIFRMLDPQGARRRMNGYFEKLFAFFDGLIEERLRLRVLENEGNACKDVLDSVLELMLQDNSQVTRTLVLHLFLDLFVAGVDTTSSSIEWAMAELLRNPEKLEKVREELQQVLVKGEKLEESHISKLPYLQAVVKETFRLHPPAPMLVPHKTEVDVELCDFMVPKSSQILVNVWAMGRDSSIWTNPDEFRPERFLESDLDFKGQDFELIPFGAGRRICPGLPLASRTVHIVLASLLCKYNWKLKDGEKPQDLDISEKYGITLHKAQPLLVIPIQA >Vigun01g219800.4.v1.2 pep primary_assembly:ASM411807v1:1:39367291:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.3.v1.2 pep primary_assembly:ASM411807v1:1:39367291:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.9.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSIAQALLPIIGGWKHKQW >Vigun01g219800.1.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.10.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSIAQALLPIIGGWKHKQW >Vigun01g219800.6.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.2.v1.2 pep primary_assembly:ASM411807v1:1:39367291:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.7.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.8.v1.2 pep primary_assembly:ASM411807v1:1:39367312:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun01g219800.5.v1.2 pep primary_assembly:ASM411807v1:1:39367291:39373125:1 gene:Vigun01g219800.v1.2 transcript:Vigun01g219800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTGLKLCYESPLKASRLSFIPERLGDTVVLFIRFGIVVCLVASISLALHSAFAKPDRWFPLPDHIHTAKNTSVIDSGPTNISHILFGIGGSSNTWHDRSNYSKLWWNPNTTRGFVWLDKKPKILRDDMLVPYQISKGWRRFKYVHSASAVRIARIVYESFKLGLPNVRWFVMGDDDTVFFTENLVTVLGKYDHNQMYYIGGSSESVEQDLMHSYDMAFGGGGFAISYALAAQLAKIMDGCLSRYYYFYGSDQRVWACVNEIGVPLTREGGFHQLDIRGDPYGLLAAHPLVPLVSLHHLDQVNSLFPNQTQIHSMEKLISAYDVDPARIVQQSFCYDHRHRWSISISWGYTIQIYPLLLIASDLQMPLQTFKTWRSWKDGPFTFNTRPMSSDPCQKPSVFFMDKVTEVGKSGSVTIYKRHEGTEGKCTREGINNVEVESITVSALKLDPEYWKSVLRRHCCQSLAGGSINNGSMHIRIRKCRPQETITI >Vigun02g201800.1.v1.2 pep primary_assembly:ASM411807v1:2:33638971:33641382:-1 gene:Vigun02g201800.v1.2 transcript:Vigun02g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSVFDGGELRREFEKNGIDGKFVGTIWKHVIGNNGKGESGDWDWEKQVPSLPSSAYSVLRSNFGGTKPLSSSLHSVFHSSDNLTSKLLIKLQNGAFVEAVIMRYDTRLGKYAGKPRPGGLRATLCISSQVGCKMGCKFCATGSMGFKNNLSSGEIVEQLVHASAFSQIRNVVFMGMGEPLNNYSAVVEAVRIMTGLPFQLSLKRITVSTVGIIHAINKLHNDLPGLNLAVSLHAPAQDIRCQIMPAARAFPLEKLMNSLQEYQRKSLQKILIEYIMLDGVNDEEQHAHQLGKLLETFQVVVNLIPFNPIGTLSQFKPTSEQKVSNFQKILRGTYNIRTTVRKQMGQDISGACGQLVVNIPDKSLARAEPLTDIEDLVI >Vigun01g082501.1.v1.2 pep primary_assembly:ASM411807v1:1:23334692:23337181:-1 gene:Vigun01g082501.v1.2 transcript:Vigun01g082501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLDLIKHIDDKKETLKLAVRVKDLWFVQNRDNSRHMELILLDQKGDMIPAMVKKEDLCLWEEKLVEGQTYIMHNFKILKNQAQFRVCEHPYKLLFIRATTIKQQPISSIPLNVYNFKSIEDIVDGNYSVDLVYDIIGVVDNVRCNPQSKNIVFHIRDMSSAVIGCTLWDSYYFKFMSNWRGEPDSYLLVVMLTQAKIKLCSECAELVRFREQWIEYEQYCVTLANIVKFNLGNDGWCYPVCNSCRKKTDEVGHFKCVTDGDSYTNFVMWDQDCTNLIGVSALELMNKMIEDGEDDPKCFPEDLDALLGCTLAFKVRVQPSNRSSSVMKASTNPETIASIRSKLDPKMVG >Vigun07g218100.1.v1.2 pep primary_assembly:ASM411807v1:7:34017835:34021347:-1 gene:Vigun07g218100.v1.2 transcript:Vigun07g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPALHATELLPRVVSTGKSSIKKQKFQTDIQEKLQTDIEGKLAITEYSAESQPNLASIISHYLQTLKHYNLRNLGYPTNQDFNYDPLHPLLDFHLNNAGDPFLGSSFSLNSTSFEVWVLNWFASIWEIEKSEYWGYVTSGGTEGNLHGILVGREQLPDGILYTSQDSHYSIFKIAIMYRMKCVKVRTLISGEIDCADLKAQVLAHKEKPAIINLNIGTTMKGGIDDLDLVIQTLEDCGFTPDRYYIHCDGALSGIMLPFLKQAPRITFKKPIGSVTISGHKFLGCPFPCGILLTRLEYMNAVSKDVEIIASRDATITGSRSGHAPIFLWYALKRRGLVGLQNEVQKCIMNAQYLQNRLLHAGIGAMLNKFSNVVVFERPLDYNFSRRWNLACNGNIAHVVVMQHITVQMLDSFVHEFLQERSIWSEEGRFQPICVANDIGAANCACILHHSIS >Vigun08g114200.1.v1.2 pep primary_assembly:ASM411807v1:8:28093759:28098694:-1 gene:Vigun08g114200.v1.2 transcript:Vigun08g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNNMQPELGKLEQIVYQFLLKCLHVILDSRVPLLRPHDRSGDLSIGSRVRRSDKWFNLALGDRPSALDNLNFWHRNLMDPMIIDIILVHDVAGSSVETVIERWVVQYDCPRVVAPQTGDITSSYKKTYQKSIVLFRALYSQMRLLPAYKIFRQLSTYSHSCNFDIVYKVSSFSDPFSRAEGGMMEEYNFTPIEALPGRLCISVTFRTVLSEFNLECSASLPTKIITDYVGSPSTDPLRSFSVSDKGVGATSFPLRGIAPPSSSPLDRPHSWTSGFHRAAHFAQNHPYAGSPPVYRGSFKQYDYPSPPIDNYGVRLPNHRLLNQQRSTGYDEYQLSPPFSPSPSPSPPTYFYASNPIQTHIRSETAPVTIPHPVIGRSTRNLSPNFSDPSRNSLPPLSPKKNDGSSQESPSGIRSLRKLDASRTGHKFVRDSKDDSGRFSALLSSSGSPRIGFSRTSSKLSFQDELDDGDFSCPFDVDDVDAPDVQSSHNVDGKKSPAEMTSTSLPIGKKSQDAAVGVLVHMLRTAPPLRQDPSCYSSHSPKAELDGGVATASGFFMPRKTADALEELRGYREMRDLLLSKSGTRILNKHKA >Vigun07g233500.3.v1.2 pep primary_assembly:ASM411807v1:7:35537561:35543812:1 gene:Vigun07g233500.v1.2 transcript:Vigun07g233500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKATFSNMADVLLDWDDVHNDDFCSWRGVFCENGSLTVISLNLSSLNLGGEISPAIGDLKNLQSIDLQGNKLTGQIPDEIGNCAALFLLDLSDNQLYGDIPFTLSKLKQLEFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNMLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLLYFDVRGNNLTGTIPDSIGNCSSFEIFDISYNQITGEIPFSIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELVGSIPPILGNLTFAGKLYLNGNMLTGPIPPELGNMSKLSYLILNDNHLTGEIPNELGKLEHLFELNLANNHFDGTIPRNISSCTALNQFNVQGNKLSGSIPLSFRNLGSLTYLNLSANNFKGTIPVELGHIINLDTLDLSCNKFSGNVPASVGYLEHLLTLNLSHNHLGGSLPAEFGNLRSIQILDMSFNNLSGSIPPEIGQLQNLMSLIMNNNDLRGKIPDQMTNCFSLTVLNLSYNNLSGLIPSMKNFSRFSADSFFGNSLLCGDWLGSICRPYIPKSRVIFSRVAVLCLTLGIMILLAMVIVAFYRSSQSKQLMKGSSRTGEGPPRLVILHMDMAIHTLDDIMRSTENLNEKYIIGYGASSTVYKCVLKNSRPIAIKRLYNQQPHNLKEFETELETVGSIRHRNLVTLHGYALTPDGNLLFYDYMANGSLWDLLHGPSKVKLDWDTRLRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFQAHLSDFGTAKCISTTRTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNECNLHQLILAKTDNNTVMEAVDAEVSITCIDLAHVKKTFQLALLCTKKNPSERPTMHEVARVLVSLLPSPPSKILAPPAKKFDYANFVIEKGQPRKVEGQPHQEDNNSTNAQWFVRFGDVISKST >Vigun07g233500.2.v1.2 pep primary_assembly:ASM411807v1:7:35537561:35543812:1 gene:Vigun07g233500.v1.2 transcript:Vigun07g233500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYFLNNVFPHCRQALMAMKATFSNMADVLLDWDDVHNDDFCSWRGVFCENGSLTVISLNLSSLNLGGEISPAIGDLKNLQSIDLQGNKLTGQIPDEIGNCAALFLLDLSDNQLYGDIPFTLSKLKQLEFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNMLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLLYFDVRGNNLTGTIPDSIGNCSSFEIFDISYNQITGEIPFSIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELVGSIPPILGNLTFAGKLYLNGNMLTGPIPPELGNMSKLSYLILNDNHLTGEIPNELGKLEHLFELNLANNHFDGTIPRNISSCTALNQFNVQGNKLSGSIPLSFRNLGSLTYLNLSANNFKGTIPVELGHIINLDTLDLSCNKFSGNVPASVGYLEHLLTLNLSHNHLGGSLPAEFGNLRSIQILDMSFNNLSGSIPPEIGQLQNLMSLIMNNNDLRGKIPDQMTNCFSLTVLNLSYNNLSGLIPSMKNFSRFSADSFFGNSLLCGDWLGSICRPYIPKSRVIFSRVAVLCLTLGIMILLAMVIVAFYRSSQSKQLMKGSSRTGEGPPRLVILHMDMAIHTLDDIMRSTENLNEKYIIGYGASSTVYKCVLKNSRPIAIKRLYNQQPHNLKEFETELETVGSIRHRNLVTLHGYALTPDGNLLFYDYMANGSLWDLLHGPSKVKLDWDTRLRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFQAHLSDFGTAKCISTTRTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNECNLHQLILAKTDNNTVMEAVDAEVSITCIDLAHVKKTFQLALLCTKKNPSERPTMHEVARVLVSLLPSPPSKILAPPAKKFDYANFVIEKGQPRKVEGQPHQEDNNSTNAQWFVRFGDVISKST >Vigun07g233500.1.v1.2 pep primary_assembly:ASM411807v1:7:35536087:35543812:1 gene:Vigun07g233500.v1.2 transcript:Vigun07g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGLSPLFYVGHHKLKLLMSPLLLMLFLLFPFALPISEEGQALMAMKATFSNMADVLLDWDDVHNDDFCSWRGVFCENGSLTVISLNLSSLNLGGEISPAIGDLKNLQSIDLQGNKLTGQIPDEIGNCAALFLLDLSDNQLYGDIPFTLSKLKQLEFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNMLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLLYFDVRGNNLTGTIPDSIGNCSSFEIFDISYNQITGEIPFSIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELVGSIPPILGNLTFAGKLYLNGNMLTGPIPPELGNMSKLSYLILNDNHLTGEIPNELGKLEHLFELNLANNHFDGTIPRNISSCTALNQFNVQGNKLSGSIPLSFRNLGSLTYLNLSANNFKGTIPVELGHIINLDTLDLSCNKFSGNVPASVGYLEHLLTLNLSHNHLGGSLPAEFGNLRSIQILDMSFNNLSGSIPPEIGQLQNLMSLIMNNNDLRGKIPDQMTNCFSLTVLNLSYNNLSGLIPSMKNFSRFSADSFFGNSLLCGDWLGSICRPYIPKSRVIFSRVAVLCLTLGIMILLAMVIVAFYRSSQSKQLMKGSSRTGEGPPRLVILHMDMAIHTLDDIMRSTENLNEKYIIGYGASSTVYKCVLKNSRPIAIKRLYNQQPHNLKEFETELETVGSIRHRNLVTLHGYALTPDGNLLFYDYMANGSLWDLLHGPSKVKLDWDTRLRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFQAHLSDFGTAKCISTTRTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNECNLHQLILAKTDNNTVMEAVDAEVSITCIDLAHVKKTFQLALLCTKKNPSERPTMHEVARVLVSLLPSPPSKILAPPAKKFDYANFVIEKGQPRKVEGQPHQEDNNSTNAQWFVRFGDVISKST >Vigun07g233500.4.v1.2 pep primary_assembly:ASM411807v1:7:35536087:35543812:1 gene:Vigun07g233500.v1.2 transcript:Vigun07g233500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGLSPLFYVGHHKLKLLMSPLLLMLFLLFPFALPISEEGQALMAMKATFSNMADVLLDWDDVHNDDFCSWRGVFCENGSLTVISLNLSSLNLGGEISPAIGDLKNLQSIDLQGNKLTGQIPDEIGNCAALFLLDLSDNQLYGDIPFTLSKLKQLEFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNMLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLLYFDVRGNNLTGTIPDSIGNCSSFEIFDISYNQITGEIPFSIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELVGSIPPILGNLTFAGKLYLNGNMLTGPIPPELGNMSKLSYLILNDNHLTGEIPNELGKLEHLFELNLANNHFDGTIPRNISSCTALNQLDLSCNKFSGNVPASVGYLEHLLTLNLSHNHLGGSLPAEFGNLRSIQILDMSFNNLSGSIPPEIGQLQNLMSLIMNNNDLRGKIPDQMTNCFSLTVLNLSYNNLSGLIPSMKNFSRFSADSFFGNSLLCGDWLGSICRPYIPKSRVIFSRVAVLCLTLGIMILLAMVIVAFYRSSQSKQLMKGSSRTGEGPPRLVILHMDMAIHTLDDIMRSTENLNEKYIIGYGASSTVYKCVLKNSRPIAIKRLYNQQPHNLKEFETELETVGSIRHRNLVTLHGYALTPDGNLLFYDYMANGSLWDLLHGPSKVKLDWDTRLRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFQAHLSDFGTAKCISTTRTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNECNLHQLILAKTDNNTVMEAVDAEVSITCIDLAHVKKTFQLALLCTKKNPSERPTMHEVARVLVSLLPSPPSKILAPPAKKFDYANFVIEKGQPRKVEGQPHQEDNNSTNAQWFVRFGDVISKST >Vigun03g218200.2.v1.2 pep primary_assembly:ASM411807v1:3:36346818:36357804:1 gene:Vigun03g218200.v1.2 transcript:Vigun03g218200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVSGSKIWKAHTSLVMVQVLSGGYHVISKLVLDVGINQIVYCVFRDLIAFLILAPIAYIHERHTRPPITKRLLMSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPATPVFTFLLAVMMGTERVNLLRYEGLAKVGGTFSCVLGAVLMVLYRGPALIGYSETDFVTHSEISAKGQPEPSGWLIGGLLDLGVDQFHLGVLCFIGNCMCMAAFLTIQAPLLKKYPANLSVTAYSYFFGAVLMVTTSFFATNESTDWRLTQSETIAVIYAGFIASALNYGLITWCNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGSIVGGSLIIIGLYAVTWASYRERHAAAALISPDKNSFRVNIFSGPSTISPKSSD >Vigun03g218200.3.v1.2 pep primary_assembly:ASM411807v1:3:36352661:36357804:1 gene:Vigun03g218200.v1.2 transcript:Vigun03g218200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGGEIWKAHTAMAMVQLFNGGYHVITKVALNVGINQIVFCVFRDIIALAILAPLAFIREKHTRPPITKRLLMSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPATPVFTFLLAVMMGTERVNLLRYEGLAKVGGTFSCVLGAVLMVLYRGPALIGYSETDFVTHSEISAKGQPEPSGWLIGGLLDLGVDQFHLGVLCFIGNCMCMAAFLTIQAPLLKKYPANLSVTAYSYFFGAVLMVTTSFFATNESTDWRLTQSETIAVIYAGFIASALNYGLITWCNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGRYTMLV >Vigun03g218200.1.v1.2 pep primary_assembly:ASM411807v1:3:36352394:36357804:1 gene:Vigun03g218200.v1.2 transcript:Vigun03g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGGEIWKAHTAMAMVQLFNGGYHVITKVALNVGINQIVFCVFRDIIALAILAPLAFIREKHTRPPITKRLLMSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPATPVFTFLLAVMMGTERVNLLRYEGLAKVGGTFSCVLGAVLMVLYRGPALIGYSETDFVTHSEISAKGQPEPSGWLIGGLLDLGVDQFHLGVLCFIGNCMCMAAFLTIQAPLLKKYPANLSVTAYSYFFGAVLMVTTSFFATNESTDWRLTQSETIAVIYAGFIASALNYGLITWCNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGSIVGGSLIIIGLYAVTWASYRERHAAAALISPDKNSFRVNIFSGPSTISPKSSD >Vigun03g218200.5.v1.2 pep primary_assembly:ASM411807v1:3:36353425:36357804:1 gene:Vigun03g218200.v1.2 transcript:Vigun03g218200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPATPVFTFLLAVMMGTERVNLLRYEGLAKVGGTFSCVLGAVLMVLYRGPALIGYSETDFVTHSEISAKGQPEPSGWLIGGLLDLGVDQFHLGVLCFIGNCMCMAAFLTIQAPLLKKYPANLSVTAYSYFFGAVLMVTTSFFATNESTDWRLTQSETIAVIYAGFIASALNYGLITWCNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGSIVGGSLIIIGLYAVTWASYRERHAAAALISPDKNSFRVNIFSGPSTISPKSSD >Vigun03g218200.4.v1.2 pep primary_assembly:ASM411807v1:3:36346818:36357804:1 gene:Vigun03g218200.v1.2 transcript:Vigun03g218200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQLFNGGYHVITKVALNVGINQIVFCVFRDIIALAILAPLAFIREKHTRPPITKRLLMSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPATPVFTFLLAVMMGTERVNLLRYEGLAKVGGTFSCVLGAVLMVLYRGPALIGYSETDFVTHSEISAKGQPEPSGWLIGGLLDLGVDQFHLGVLCFIGNCMCMAAFLTIQAPLLKKYPANLSVTAYSYFFGAVLMVTTSFFATNESTDWRLTQSETIAVIYAGFIASALNYGLITWCNKILGPAMVALYNPLQPGASALLSRIFLGSPIYMGSIVGGSLIIIGLYAVTWASYRERHAAAALISPDKNSFRVNIFSGPSTISPKSSD >Vigun02g127950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27997596:27998159:-1 gene:Vigun02g127950.v1.2 transcript:Vigun02g127950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALELSNMKVGKRSIVNSITKTLRIIELCVALFFLTWFLTRLPLALRLSADCLRSPLFVFAVFNAIIAALLAQSRRFTQSHSDETVVAEAKHRQIGDTCTDSDSGAATDHLDRRKVYFRSLSEGEGEDGNKTGGRELRRSETEKAREKSYPQDKLSNEEFRRTIEAFIAKQMRLLREESLAISLYN >Vigun11g213600.3.v1.2 pep primary_assembly:ASM411807v1:11:40828507:40830279:-1 gene:Vigun11g213600.v1.2 transcript:Vigun11g213600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFFIAWLGNFKLLLLAFDKGPLSSDTFISLPRFVAVACLPIKIQQNQTPSTTIQCSSSKNENKNGNTPHSDPSKSSGFDTNPSSIKPNSVTQTKQKNLSKTGGATTGTPLLGYALKGVAVGVLVKIYDYSESINPKVIMCMYCFHIYFMLEIILAAVAAAAKSMLGMELEPQFNNPLLSTSLQDFWGRRWNLMVTSILRPTVYDPTVKAASKVVGRRWAPLPAVMGTFVVSGLMHELILFYLGRLEPTFRMTCFFLLHGMCLMVEIALKRTLTGRYRLPRFLSGPLTVVFVMATCFSLFLPEFIRCRIEVRAFEEYAALGHLLTPLSSSFSAIFLNKS >Vigun11g213600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40828507:40830278:-1 gene:Vigun11g213600.v1.2 transcript:Vigun11g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIMNLMKVWFSVVVSLCYCYWIRKLVPAGKIRLFLFLPIIFLYIVLPLSLSSVHLCGTTGFFIAWLGNFKLLLLAFDKGPLSSDTFISLPRFVAVACLPIKIQQNQTPSTTIQCSSSKNENKNGNTPHSDPSKSSGFDTNPSSIKPNSVTQTKQKNLSKTGGATTGTPLLGYALKGVAVGVLVKIYDYSESINPKVIMCMYCFHIYFMLEIILAAVAAAAKSMLGMELEPQFNNPLLSTSLQDFWGRRWNLMVTSILRPTVYDPTVKAASKVVGRRWAPLPAVMGTFVVSGLMHELILFYLGRLEPTFRMTCFFLLHGMCLMVEIALKRTLTGRYRLPRFLSGPLTVVFVMATCFSLFLPEFIRCRIEVRAFEEYAALGHLLTPLSSSFSAIFLNKS >Vigun11g213600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40828506:40830278:-1 gene:Vigun11g213600.v1.2 transcript:Vigun11g213600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIMNLMKVWFSVVVSLCYCYWIRKLVPAGKIRLFLFLPIIFLYIVLPLSLSSVHLCGTTGFFIAWLGNFKLLLLAFDKGPLSSDTFISLPRFVAVACLPIKIQQNQTPSTTIQCSSSKNENKNGNTPHSDPSKSSGFDTNPSSIKPNSVTQTKQKNLSKTGGATTGTPLLGYALKGVAVGVLVKIYDYSESINPKVIMCMYCFHIYFMLEIILAAVAAAAKSMLGMELEPQFNNPLLSTSLQDFWGRRWNLMVTSILRPTVYDPTVKAASKVVGRRWAPLPAVMGTFVVSGLMHELILFYLGRLEPTFRMTCFFLLHGMCLMVEIALKRTLTGRYRLPRFLSGPLTVVFVMATCFSLFLPEFIRCRIEVRAFEEYAALGHLLTPLSSSFSAIFLNKS >Vigun05g142700.1.v1.2 pep primary_assembly:ASM411807v1:5:18593419:18594145:-1 gene:Vigun05g142700.v1.2 transcript:Vigun05g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFALFLFSALTFYPPSTTAQPVTDGDGNIVKNGGIFYILPSGLGAPGGGIRALQTDNESIPLSVVQSPFKGDNGLPIIISSPIRTEFLPEGTLVKVQGYPHTVSGSFFIKKAKAETNTYKLLFCEEGVFCGNVRVVRNGNWVLAVTQDEPYEFYLKRVPPTSADA >Vigun05g142700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18593407:18594145:-1 gene:Vigun05g142700.v1.2 transcript:Vigun05g142700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFALFLFSALTFYPPSTTAQPVTDGDGNIVKNGGIFYILPSGLGAPGGGIRALQTDNESIPLSVVQSPFKGDNGLPIIISSPIRTEFLPEGQVTLSFEHTNREWSVVEGLPEGTLVKVQGYPHTVSGSFFIKKAKAETNTYKLLFCEEGVFCGNVRVVRNGNWVLAVTQDEPYEFYLKRVPPTSADA >Vigun03g275801.1.v1.2 pep primary_assembly:ASM411807v1:3:45201544:45201792:-1 gene:Vigun03g275801.v1.2 transcript:Vigun03g275801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFIVLFVSKIQFFNMLFLTFWALLFLLPQGRLPLLFLKLDKKN >Vigun01g194000.1.v1.2 pep primary_assembly:ASM411807v1:1:37158864:37166491:1 gene:Vigun01g194000.v1.2 transcript:Vigun01g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSMSVSLECVNACKLWRGDGSGKFDCSLLSCAWKAPRVLSGFLASTAHPPHQCSDLSNGRNGRRNRYNFGCEAFSVGGSCSDEPLGIVLFEGLSRSNMSQIAPRRGQLCCSSAFSSDTATEFSPKSLWEDLKPAISYLSSKELELVHNAFMLAFKAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGATVRHIVEGETKVSKLGKLKYKNENDSVQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQASIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNAEDYAKVKRRVAELYKEHEKELLEANKILMKKIQDDQFLDLLTVKTEVRAVCKEPYSIYKAVLKSKSSISEINQIAQLRIVIKPKPCIGVGPLCNPQQICYHVLGLIHGIWTPIPRSVKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGREFVTGLVGSATPSGKSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPQGASVIDYAYMIHTEIGNKMVAAKVNGNLVSPTHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAARSASDITTEAVNDFVTDSEGIVNQKNDQKVPVVPNIHGVKRL >Vigun02g068000.1.v1.2 pep primary_assembly:ASM411807v1:2:21791988:21793644:-1 gene:Vigun02g068000.v1.2 transcript:Vigun02g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNELKLLGGWFSPFALRVQIALNLKGVDYEFVEETLNPKSELLLKSNPVHKKIPVLLHEDKAICESAIIVEYIDEVWSNVPSILPQNAYDRANARFWVAYIDDKWFSALKSILMAEENDEAKEAPFEEAAEVFERMEEVMNKGSEGKVFFGGDTIGFIDIGFGSFLSWIRVVEKMNARKLLHETKHPRLIQWTENFAAHPAVNGLIPDTDKLIELAKAFRQTLRASAAAN >Vigun08g160000.4.v1.2 pep primary_assembly:ASM411807v1:8:33254428:33257204:-1 gene:Vigun08g160000.v1.2 transcript:Vigun08g160000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVHLEGKVYSCKHCRTHLALYEDIVSKSFHSRHGKAYLFSKVVNVSAGENEDRQMLTGMHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERYKVSGPDSCNYWVGHEAHGGSDADDA >Vigun08g160000.3.v1.2 pep primary_assembly:ASM411807v1:8:33254428:33257206:-1 gene:Vigun08g160000.v1.2 transcript:Vigun08g160000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVHLEGKVYSCKHCRTHLALYEDIVSKSFHSRHGKAYLFSKVVNVSAGENEDRQMLTGMHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERYKVSGPDSCNYWVGHEAHGGSDADDA >Vigun08g160000.1.v1.2 pep primary_assembly:ASM411807v1:8:33254428:33257204:-1 gene:Vigun08g160000.v1.2 transcript:Vigun08g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVHLEGKVYSCKHCRTHLALYEDIVSKSFHSRHGKAYLFSKVVNVSAGENEDRQMLTGMHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERYKVSGPDSCNYWVGHEAHGGSDADDA >Vigun08g160000.2.v1.2 pep primary_assembly:ASM411807v1:8:33254299:33257296:-1 gene:Vigun08g160000.v1.2 transcript:Vigun08g160000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVHLEGKVYSCKHCRTHLALYEDIVSKSFHSRHGKAYLFSKVVNVSAGENEDRQMLTGMHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERYKVSGPDSCNYWVGHEAHGGSDADDA >Vigun03g122400.1.v1.2 pep primary_assembly:ASM411807v1:3:11467656:11470211:1 gene:Vigun03g122400.v1.2 transcript:Vigun03g122400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGSSSAATATTSSTRFRRFIRPPPSQLSFSRARFSVKAACPFFSDNNNSLPQNVVASKPSALELLKASAADRYTKEKSCIVCIGLNIHTAPVEMREKLAIPEDRWPQVIKDLCAFNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTDWMSKVSGVSIPDLREHQVLLYNADATHHLFEVAAGLDSLVLGEGQILAQVKQVVKTGQGVPGFDRKISGLFKHAISVGKRVRTETNISSGSVSVSSAAVELALMKLPDSSFADAGMLVVGSGKMGKLVIKHLAAKGCKRMVVVNRSEEKVKAIQRELKDVEIVFRPISEMLTCAAEADVIFTSTASESPLFTVENVQKLPLATHGRRRLFVDISIPRNVEAGVSDLETAHVYNVDDLKEVVAANKEDRLQKAAEAREIIMEELNKFEAWKDSLETAPTIKKFRAYVERIRASEMEKCLSKMGNDVSKEQKDAIYALSMGIVNKVLQGPMQHLRCDNKNHNSQSEVLENMRAINRMYDLETEVSLLEEKIRDKMERAKK >Vigun06g187000.1.v1.2 pep primary_assembly:ASM411807v1:6:30601557:30606399:-1 gene:Vigun06g187000.v1.2 transcript:Vigun06g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNGIMADSDASILPAADDITKAENFTQVGIIVSQERGRLQVQEESDRRQIHIAAASGNWNEASSYSRIHPQWWRIPLNDRGMTALHVAVSMRKTSFAEKMVNCMNMHDLEIPMVDGNTAFSLAAMTGNVKIAGILLRKNRRLAWIRGQNNMLPIQLASSAGHISMAEFLFQALPPDLHNSIPFQDIANLFFFTIDNNIHTIASKLLDRYPKLATMENEGGFTALQMLAQISWSQEAIGDEDIVRSLFKGMEKEKESLSYAKLSAAMFDAAKYGNIMILKFIFKYYPDLLFEVDSTKQRNLLHIAILHRQEAVYKLILKQGDFKNLMVQLVDFKGNNVLHLAAKLDEPEHKFGLSTNYVQMRTEETWFQEVQKIAPPSLKTKRNKDGWTPIELFHESHKELHRESSSELKALANTLIVVATLIITLGISVAITIPLNDIDSTLTPIFRKKTWYTIFFISVGLETSFCAASMLFYASAILPSNVEPQDESIRLQENKVRFGSVTLFISAGFMLFASIASATLIFEFLSSWGPYFTFGVGVLVFILHFTLDHALWTKYISYLVLTLSKVAPVKFERLFGPIIKIYKSHHPLGNKRGLN >Vigun03g439600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64283782:64284527:-1 gene:Vigun03g439600.v1.2 transcript:Vigun03g439600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVKLRPELHVPISSSNPPLCVKPIKFLVLSSHAKTLKHPPSKFALSLRENRGSEEHSRRVVVLKDEKGISNSSVVIMGAVCVGILAVILTEEKALALGPEGPLVEEFWDNVRRYGLYALTVSTGALYTIFRPILDLLRNPVSAIFILALFGGSLYLMSQVLSAMVGVSEFSYDYGY >Vigun09g153200.1.v1.2 pep primary_assembly:ASM411807v1:9:31838346:31840585:1 gene:Vigun09g153200.v1.2 transcript:Vigun09g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMGDPKSIVQELLQGLELARQLQVCLHTPSSSQETRDLLIHNIISTFEKALEMVNWKGPLPAAESSQLPLAAAIRMSDSPISSSPRSEDSERDLKDQDHNAFKKRNTLPRWTKRIRVTPGMAVEGPLDDGYSWRKYGQKDILGAMYPRGYYRCTHRTVQGCMATKQVQRSDEDPTIFEINYRGKHTCTVAHTAATSSVIPLENQEPTLNNTNQQQNILQSLEQQPNDLLLSLREGLRVQTQNLDSTDQSFSPFRFPLSTNIKNEGQVFPPHVLENFGSPYMSPATSGISHFSVSPSGVNSFGGNPNLGTFESHINDMIPPATSAPNSAAVALEFPFNQFNFDGQNFRFDNP >Vigun08g204100.4.v1.2 pep primary_assembly:ASM411807v1:8:36787074:36792478:-1 gene:Vigun08g204100.v1.2 transcript:Vigun08g204100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNSPRTPSFRQRRRSNEIPAEINKSNGSILLVDDKYKYRSMWIRAYSSLWMLASVSLIIYLGHLYIWAMVVVIQIFMASELFNLLTRATQDRRLPKFKFLNWHFFFTAMLFVYGRILSQQLVNTVTSDKFLYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFEGIFWFIFPASLIAMNDVGAYFFGFYFGRTPLIKLSPKKTWEGFIGASVATMFAAFTFAYFLGRFQWLTCPRKDLSTSWLQCDPDPIFKPEYIPLPGLISHSLPWKEIPVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVAEDYSVEMLLDQVRL >Vigun08g204100.3.v1.2 pep primary_assembly:ASM411807v1:8:36787042:36792460:-1 gene:Vigun08g204100.v1.2 transcript:Vigun08g204100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNSPRTPSFRQRRRSNEIPAEINKSNGSILLVDDKYKYRSMWIRAYSSLWMLASVSLIIYLGHLYIWAMVVVIQIFMASELFNLLTRATQDRRLPKFKFLNWHFFFTAMLFVYGRILSQQLVNTVTSDKFLYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFEGIFWFIFPASLIAMNDVGAYFFGFYFGRTPLIKLSPKKTWEGFIGASVATMFAAFTFAYFLGRFQWLTCPRKDLSTSWLQCDPDPIFKPEYIPLPGLISHSLPWKEIPVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVAEDYSVEMLLDQILRNLGLEEQLALYAKLGQILQERRL >Vigun08g204100.2.v1.2 pep primary_assembly:ASM411807v1:8:36787074:36792460:-1 gene:Vigun08g204100.v1.2 transcript:Vigun08g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNSPRTPSFRQRRRSNEIPAEINKSNGSILLVDDKYKYRSMWIRAYSSLWMLASVSLIIYLGHLYIWAMVVVIQIFMASELFNLLTRATQDRRLPKFKFLNWHFFFTAMLFVYGRILSQQLVNTVTSDKFLYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFEGIFWFIFPASLIAMNDVGAYFFGFYFGRTPLIKLSPKKTWEGFIGASVATMFAAFTFAYFLGRFQWLTCPRKDLSTSWLQCDPDPIFKPEYIPLPGLISHSLPWKEIPVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVAEDYSVEMLLDQILRNLGLEEQLALYAKLGQILQERRL >Vigun08g204100.5.v1.2 pep primary_assembly:ASM411807v1:8:36787723:36792460:-1 gene:Vigun08g204100.v1.2 transcript:Vigun08g204100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNSPRTPSFRQRRRSNEIPAEINKSNGSILLVDDKYKYRSMWIRAYSSLWMLASVSLIIYLGHLYIWAMVVVIQIFMASELFNLLTRATQDRRLPKFKFLNWHFFFTAMLFVYGRILSQQLVNTVTSDKFLYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFEGIFWFIFPASLIAMNDVGAYFFGFYFGRTPLIKLSPKKTWEGFIGASVATMFAAFTFAYFLGRFQWLTCPRKDLSTSWLQCDPDPIFKPEYIPLPGLISHSLPWKEIPVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFVVAEDYSVEMLLDQVRL >Vigun04g164900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38952866:38955505:-1 gene:Vigun04g164900.v1.2 transcript:Vigun04g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIMGNMGCFLTSCLLVLTMLFSANAQFAKKTYLVQMDKSVMPKALSNHLEWYSSKLKSALSTSPEETDAESEKRIIYTYQNAFHGVAAKLTEGEAKKLEAEEGVVAIFPDTKYELHTTRSPAFLRLEPAKAPNMWSEKFSGHDVIVGVLDTGIWPESDSFKDVGMGPVPARWKGACEIGTGFTKSHCNRKVVGARVFYHGYEAAIGRINEQKEYKSPRDQDGHGTHTAATVGGSPVLGANLLGYANGTARGMAPGSRIAAYKVCWIGGCFSSDIVSAIDAAVADGVDILSISLGGGVSSYYRDSLSVAAFGAMEKGVFVSCSAGNAGPDPASLTNVSPWITTVGASTMDRDFPAEVKLGNGKVVTGVSLYKGQSVLSIQKQYPLVYLGSNSSRVDPRSMCLEGTLDPKVVSGKIVVCDRGLSPRVQKGYVVRSAGGVGMILTNTEANGEELVADCHLLPAVAIGEKEGKDLKSYVLSSKSATATLAFKGTRLGIRPSPVVAAFSSRGPNFLSLEILKPDLVAPGVNILAAWSEAIGPSGLKVDNRKEKFNILSGTSMSCPHVSGVAAFLKANHPEWSPAAIKSALMTTAYVLDNTRKTLTDASTAKPSSPYDHGSGHIDPIRALDPGLVYDIEPQDYFEFLCTQNLTPTQLQVFAKYSNRSCKHSLPSPGDLNYPAISSIFTQKTPTSFSSPVIVHRTVTNVGPPDSKYQVVVSPFEGASVKVEPETLNFTKQHQKLSYKITFTPRVRQTSPEFGSLIWKDGLHTVRSPIVITWLAPPM >Vigun02g003050.1.v1.2 pep primary_assembly:ASM411807v1:2:1556341:1557218:1 gene:Vigun02g003050.v1.2 transcript:Vigun02g003050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWQLLNCGVQRRHPIMAISSFLWVWDLLWWLHHVFQRFLIIILIWISKQLEHSETDETKVAIEDVSIS >Vigun09g193900.1.v1.2 pep primary_assembly:ASM411807v1:9:36866239:36868162:-1 gene:Vigun09g193900.v1.2 transcript:Vigun09g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVNRVVYVFLAALLFFNIAEHASVTCALTHELQRKIAEANEKGPYLGLIIPNSFEFDPLLQNPGYTPNDTIIDFAGKRFRFGVIGDKPVILVMTGLSLINAAITTQLLLSFFAVEGVVHYGIAGNANPSLHIGDVTIPKEWAHLTLWSWQRHGLGTDDKLPLEDNGDYTRNLGFLRFADFTTNVSAESSADNQLNRIWYQPEEVFPVDGVPEERQHAFWVRVDSDYYRIAEKLQGLKLESCVNSTTCLSSTPKVQFVERGISQSIYVDNLAYRTFIYNKFEVSPVDMETASVALICLQQRKPFIAIRALSDLAGGGSADSNEADTFLSMAAANSVTVVIEFIKLLSSHS >Vigun09g193900.2.v1.2 pep primary_assembly:ASM411807v1:9:36866239:36868162:-1 gene:Vigun09g193900.v1.2 transcript:Vigun09g193900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVNRVVYVFLAALLFFNIAEHASVTCALTHELQRKIAEANEKGPYLGLIIPNSFEFDPLLQNPGYTPNDTIIDFAGKRFRFGVIGDKPVILVMTGLSLINAAITTQLLLSFFAVEGVVHYGIAGNANPSLHIGDVTIPKEWAHLTLWSWQRHGLGTDDKLPLEDNGDYTRNLGFLRFADFTTNVSAESSADNQLNRIWYQPEEVFPVDGVPEERQHAFWVRVDSDYYRIAEKLQLESCVNSTTCLSSTPKVQFVERGISQSIYVDNLAYRTFIYNKFEVSPVDMETASVALICLQQRKPFIAIRALSDLAGGGSADSNEADTFLSMAAANSVTVVIEFIKLLSSHS >Vigun08g008000.2.v1.2 pep primary_assembly:ASM411807v1:8:683694:686410:-1 gene:Vigun08g008000.v1.2 transcript:Vigun08g008000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASNQPKQERSRTRWTTSLDKIFADLVVKQIQLGNRPNNVFDKKTWNHIRDEFNRQTDLSFNNNQLRKHLDVLRTRFYNLKSAYDQNNDFVMDDSCCIGFEQWDDIGAQPRHETVKGKDCPIYEQLCTIFIDSAADGKYAQSSHYEELDKSFGTEASGFTPCPEAKVSHYENPSTSKSIPGNISTSEKMTKNSLDRKRKRSYETQTTSLDQDTCNAMAEALLDMVAVSRLRAVVSSVSDDKFSITNCIKALDEIEGIDQQLYFSALDLFENPSLRETFISLKSVKIRLTWLQGKCSRSPFH >Vigun08g008000.1.v1.2 pep primary_assembly:ASM411807v1:8:683694:686410:-1 gene:Vigun08g008000.v1.2 transcript:Vigun08g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASNQPKQERSRTRWTTSLDKIFADLVVKQIQLGNRPNNVFDKKTWNHIRDEFNRQTDLSFNNNQLRKHLDVLRTRFYNLKSAYDQNNDFVMDDSCCIGFEQWDDIGAQPRHETVKGKDCPIYEQLCTIFIDSAADGKYAQSSHYEELDKSFGTEASGFTPCPEAKVSHYENPSTSKSIPGNISTSEKMTKNSLDRKRKRSYETQTTSLDQDTCNAMAEALLDMVAVSRLRAVVSSVSDDKFSITNCIKALDEIEGIDQQLYFSALDLFENPSLRETFISLKSVKIRLTWLQGKCSRSPFH >Vigun04g104200.1.v1.2 pep primary_assembly:ASM411807v1:4:24659493:24661716:1 gene:Vigun04g104200.v1.2 transcript:Vigun04g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFQRISHESYPPPGYGSPYPPPQPGYPSAPPQEGYPPPPPPGYGGYPPPPHPPYDSYQGYFDNGRPPPPPPPHYHYQHQHVAHHHHHEEPECFSFLRGCLAALCCCCVLEECCF >Vigun10g058514.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11106032:11106355:-1 gene:Vigun10g058514.v1.2 transcript:Vigun10g058514.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLLRVYESTREFDNLVGDEEVENVSSLVSIVFPSLSTEEPRSVKDNRNGVKINNLFCDRDGVSNVERKYASSCIEEIASDLESRIGRLERVVAKLKAETLGHKV >Vigun03g420100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62595596:62596174:-1 gene:Vigun03g420100.v1.2 transcript:Vigun03g420100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSFPLGMNNHGFSSDIEAFKQQKKKAGRKKIEIKKIEKSSNKQVTFSKRRTGLFKKASELCILCNVNIAIIVFSPAEKLFCFGHPDFEGIVESYLKGSKVFDPRESRERSVSYEECNKQYEEALKNLELEKKNLRETETLVKKGCNRRWWEDPIDQMSERELEQFMLSVYELRRKLAERGGELLMQSML >Vigun08g030800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2824552:2825436:-1 gene:Vigun08g030800.v1.2 transcript:Vigun08g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSPFVCGTFHNEYDDDDSCLASPLSSPRKYKRKDSKNNPYSSRGLDKFSELLADLDEKRQKIYSQTNPHDISFVRFVYSNTDDIVPVVVKVKKNNKDHKHQSQELKGVRSRTTTLTQTSESMVTDTEERNNQPKIEKNQGKNFSWDMGKPSFYLPVVMVLILLLLVVFGRSATTVYTCVLWYVISTVKSGSPSSNTRSSTKKKKDGVGELSEKKNNVMNNERVKKKEYVRGWSEKKMVVNEGIKKKDYVRGWSEKKMVTGCLLSPKSGADSEALKNKVQQAHIHISKAGEE >Vigun11g018900.1.v1.2 pep primary_assembly:ASM411807v1:11:2346541:2351016:1 gene:Vigun11g018900.v1.2 transcript:Vigun11g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTSSIPIPSSSSSSRFSVFFFFLLFALFALRSSSGDCSHFHRQAPMATVGGVRDSQGSQNSLETESLARFAVDEHNKKQNSLLEFARVVKAQEQVVAGTLHHLTLEAIEGGEKKLYEAKVWVKPWMNFKELQEFKPAGVASPFTSADLGVKREGHKSGWQSVPTHDPQVQDAANHAIKTIQQRSNSLVPYVLHEVADAKAEVIDDFAKFNLLLKVKRGDKEEKFKVEVHQNNEGALHLNQMEQDHS >Vigun11g021800.1.v1.2 pep primary_assembly:ASM411807v1:11:2646919:2650771:1 gene:Vigun11g021800.v1.2 transcript:Vigun11g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKLHLRSICYGFHLLLLLSFRPHVTKAQTPIFVGSNCQNTTQQPLNSVYQTNLEEMLRWASSDAATSKGYNYKSIGNNSPVYGLYDCRGDVVGYFCQFCVSTAAKEAPQRCPNRVSAMVWYDFCVLRYSNESFYGTVLTNPSWHALGEKNISNMEDIQKGNDFMRSLIRKATKETNQLFYMDVFNLSSTERRYGLVQCSRDLTNEGCTQCLETILAQVAKCCEHKLGWSIWTGSCVIKYDDYMFYQTSLVAEPNLHIAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLISRVVRLSSYHNVQTEETLNSDLPTIPLITILQSTDNFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLQHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDNERKKHFDWKLRLSIINGIARGILYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARTFEKGQNQANTKRVMGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCERKCLQMMDPTLEKSFVGSEVERCIQIGLLCVQEDAKDRPTMSDVVVMLASDAMTLLEPKHPPFSVGRTTLEEFSTSKSSKNLSINDATTSITIPR >Vigun07g113100.1.v1.2 pep primary_assembly:ASM411807v1:7:20925589:20931805:-1 gene:Vigun07g113100.v1.2 transcript:Vigun07g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILRETARPSFNCSSASPSSAPPATTSSTSVTDTVRGSHRFKITGYALSKGIGIGKYIASDIFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASEGADVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVKSHTEGPKIYKIPIMPSNIGQQLGKLLESGKGSDVSFEVNGEIFAAHKLVLAARSPVFRAQLFGPMKDQNTRCIKIEDMEAPVFKALLHVIYYDSLPDMQELTGLNSKGATTLMAQHLLAAADRYGLERVRLMCETSLCEDVAINTVATTLALAEQHHCFQLKAICLKFVAQPENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDYLCKHRNEAILDGSDINGRRVKQRL >Vigun04g002200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:196822:198825:-1 gene:Vigun04g002200.v1.2 transcript:Vigun04g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKPKPRFVISASEAQTHSSPIAKLLPSLVAPAQSLARPPISNFPVAAVGLGASGRIFVGVNVEFPGLPFHHTIHAEQFLLTNLALHGETRLDSFAVSAAPCGHCRQFLQELRDAPDIQILITSHANPHFTPLSHFLSHRFGPHDLLPKTAPLLLEPRHNALSLPTPIPQNTNPNNLTLPALEAANNSHAPYSASPSGVALLDSKGTVHKGSYIESAAYNPSLGPLQAALVAFIVGGGGAYDEIVAAVLVEKEGAAIKQEPTARLVLHSISPHCHFRTFLATASSHSPISS >Vigun03g355700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55709501:55712014:1 gene:Vigun03g355700.v1.2 transcript:Vigun03g355700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAVVLWVLCMLFAKQGESIIKPGSRLYATEIGNNSSWVSPSGHFAFGFYPQGNGFAVGIWLVAAPQKTVVWTANRDIHPVPLNSTLNITTTGMRLFPSGRESLALISTINTTSASMLDSGNFVLYSNGSTVVWQSFDNPTHTLLGGQNLTSDAKLVSSGAFFIIMQEDGNLVAYPKDSAASASDAYWASNTAKMFAELWLSAAGSLCIGEGITCLHKNISPPNKSHNTSSIYRATLAADGNLILYEHQFENSSSESTHVRVLWSTSLEKCQIKGFCGFNTYCSNVKGDAVCECFPGFVPSNTSGNVSRDCVLAYAQDGCRSSEAPNQMILYNIAELEDVYWIATPYSVVPMKKKECENSLRDDCDCVAVLYWKGNCEKYGLPLTYGRRLQNSSVVALFKNASGTVQNTIPGTFFQKPKSKVVTDNKKSVIMILAFTLGSISLLSLIFAVSIFFTYKRKVHKYTTLSESDQNLGLTGECSLRSFSFDELVKSTGSFTEEIGRGSFGAVYRGETGDDNQNIAVKRLERVAVGDWQREFRNEITSIARTHHRNLVKLIGFCIDGAGSLLVYEYVRNGSLGSLLFNDEKQISWRDRLKIALDVARGILYLHDECEVRIVHCNINPRNILMDETWTAKISDFGFARLLKCEHSRMRKEDDGTSKYLAPEWQKEAPVSVKFDIYSFGMVLLEIVCRRRSIEMKVSSAEEILLSSWVYKCFAEGQLNRVVKKDESVEWKIMERMVKVGLWCVQDNPSLRPLIKNVILMLEGLKDIPIPPSPAHPHR >Vigun03g166900.2.v1.2 pep primary_assembly:ASM411807v1:3:19321071:19331349:-1 gene:Vigun03g166900.v1.2 transcript:Vigun03g166900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSLKCGDCGALLRTVEEAQEHAELTSHSNFSESTEPVLNLVCTACSKPCRSKTESDLHTKRTGHAEFVDKTSETVKPISLEAPKVDAIASLENPSSTASTDQNEEMVVPEVDKNLLEELESMGFPTARATRALHYSGNAGLEAAVNWIVEHENDHDIDQMPLVPANTKIEAPKPSLTPEELKAKQQELRERARKKKEEEEKRTEREKEKERIRIGKELLEAKRIEEENERKRVLALRKAEKEEEKRAREKIKQKLEEDKAERRRRLGLPPDEPSAAKSSAAPVVEEKKVRAWPKSIMGKLFVSLFEICACRVLCLLGLPQKLSK >Vigun03g166900.1.v1.2 pep primary_assembly:ASM411807v1:3:19321071:19331349:-1 gene:Vigun03g166900.v1.2 transcript:Vigun03g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSLKCGDCGALLRTVEEAQEHAELTSHSNFSESTEPVLNLVCTACSKPCRSKTESDLHTKRTGHAEFVDKTSETVKPISLEAPKVDAIASLENPSSTASTDQNEEMVVPEVDKNLLEELESMGFPTARATRALHYSGNAGLEAAVNWIVEHENDHDIDQMPLVPANTKIEAPKPSLTPEELKAKQQELRERARKKKEEEEKRTEREKEKERIRIGKELLEAKRIEEENERKRVLALRKAEKEEEKRAREKIKQKLEEDKAERRRRLGLPPDEPSAAKSSAAPVVEEKKSFVPVRPATKAEQMRECLRSLKQNHKENDARVKKAFQTLLTYVGNVARNPDEEKFRKIRLSNQSFQDRVGSLKGGIEFLEICGFEKIDGGEFLFLPRDKVEMAILNTAGSELDSAIKNPFFGVL >Vigun10g074600.4.v1.2 pep primary_assembly:ASM411807v1:10:20070378:20071561:-1 gene:Vigun10g074600.v1.2 transcript:Vigun10g074600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAINTTKGVYVFVQARRLWYGNCFKTKLFQKMHAFISGTESTKVILNNKEKFSKKYMKSIVELVGRDNLLCAGHQHHKLICSSLCFLCSRPSFVELFDSLLLEATSSWKCGLVLVIQDETLKLRGFCLPRD >Vigun10g074600.3.v1.2 pep primary_assembly:ASM411807v1:10:20070378:20071316:-1 gene:Vigun10g074600.v1.2 transcript:Vigun10g074600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFISGTESTKVILNNKEKFSKKYMKSIVELVGRDNLLCAGHQHHKLICSSLCFLCSRPSFVELFDSLLLEATSSWKCGLVLVIQDETLKLRGFCLPRD >Vigun09g189400.1.v1.2 pep primary_assembly:ASM411807v1:9:36419295:36431815:-1 gene:Vigun09g189400.v1.2 transcript:Vigun09g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSKSKWEPLAPTKEAQEFHLSQTYHDGLLKLQAKEYEKSRELLESVLKDPLIANAQVNSSASDGHLLQLRFLALKNLAVVFLKQGSTYYENALRCYLQAVEIDSKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHARALHVKSTIEESEILPFAPRGIDKLEPKHVRLKFPDKRKTSNDNADEDVAFKKLKQNKELHLTEVSWVALADALLEILSPQSEMDPEKVLTSPDIKLSIILPHSSEAVLNTVEIKGSNGDNSVFGDGSIEQSSAFKEKEANTQEEQPHERRSSRLERLRSRKPGKEESDSSYGKDPSKVVIQYLEPFIAGGLEGQYTINRETTTLPCLGNSEYYNVSAFVRETSNNYGAYHMGHLLLEEVARQGLTYQDAFVKFLELEKLTRHWGKERTAECNIFLAELYYDFGSCSPIGSNQSEFISETSYHLCKIIESVALDYPFHLTNTLNGGCYLIDSIQETSVKTIDTSTTSNLNLDSSFLMKKSSLWARFFWLSGRLSIVDGNMAKACEEFCIALSLLGKRENVEDSFCSVSRPHCKAVKELNFDRVLDEINILKVNFLMENSVIKMMEHEKYLECVSLLSPLLFSMRDVYPDSFPLSMADKKDEKITSTELMAVDVLMEACQKTKPMDVEMYFNCHYRKLKILMTKMGLSTCIKSFKSSDQAPHLNASPNFDIDSKESPSKHCSQWVVDEVKALSDCISQVKKIIDQRGDSDGLSVPKSSICKMQSLLLLIMSYVASILVFNKASAEDLSDQAESRCFVDAVVVFCKLQHLSPTTPIKTQVDLIVATHDLLAEYGLCCLGEGGKGEEGTFLRFAIKHLLALDMKLKSSFSHKESLQCEEVSKNSLVNVSLEESKSDTLGVQMDWTKIDEINSVKKDVSGGVISKDIFSCRIHDKDSKEVECENRGGAGTDSKLIMVENSSNQLIECGNELSEDEREELESKIDCALDQCFFCLYGLHLRSDSSYEDDLVMHKNTSRGDYQTKEQCADVFKYVLPYAKSSSRTGLVKLRRVLRAIRKHFLQPPEDLLEGNPIDRFLDDPNLCEEKLSEEAGSDGFLDSITERMFPDVGGIVQYNATLLRRSEPYLEVYCNLYYFLALSEEMSATDKWPGFVLTKEGEEFVQQNAKLFKYDLIYNPLRFESWQRLGNIYDEEVDLLLNDGSKHVNVVGWRKNATLSERVETSRRRSRRCLLMSLALAKTSAQQCEIHELLALVYYDSLQNVVPFYDQRSVLPLKDAAWMTFCENSMKHFKKAFTLKQDWLHAFYLGKLSEKLGYSNEIAISYYNKAIALNTSAVDPVYRMHASRLKLLFKHGKQNFEILKVLSANSFNQSVKESVTSILSGMETNHEELLQLDTVWSMLYNDCLSALEMCVEGDLKHFHKARYMLAQGLYKRGESGDIERAKDHLSFCFRSSRSSFTINMWEIDSMVKKGRRKTPGSAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDRCILERSYAALRADKRFSLCIEDLIPVAIGRYLKALISTICHSQTAASGSVTSYDNVLERMFALFMEQGSLWPEICSLTEIEGSDMSESIIYGYLHDYIVLLEKNGKLETLEAINEKIRKRSKNPKFSDSNSAEIGRHASVAWCRSLIYNLSQITPLSCGFSNGIQAHSLTDSGMDNSQLLCIDLQPNELWSTAFQDPAHLEKIETRWSSILGKIKNIIINKASDENLETANTLLRACYNFYRESSSVVLTSGLNFYLIPSQLITHISFNPSTAGIDALDLSIPRKLLLWAYVLSHGRCASISIVVKHCEEISKSRMKRGSGTSPALSNTSPAPNLSGSGKLGPNSGGGIDFDSPHAATVASGNTTNFVNSHPSDDIQRNLFASPQLHQGTTNDAERSNLIAHEGDAEGD >Vigun09g189400.2.v1.2 pep primary_assembly:ASM411807v1:9:36419295:36431815:-1 gene:Vigun09g189400.v1.2 transcript:Vigun09g189400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTDSKSKWEPLAPTKEAQEFHLSQTYHDGLLKLQAKEYEKSRELLESVLKDPLIANAQVNSSASDGHLLQLRFLALKNLAVVFLKQGSTYYENALRCYLQAVEIDSKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHARALHVKSTIEESEILPFAPRGIDKLEPKHVRLKFPDKRKTSNDNADEDVAFKKLKQNKELHLTEVSWVALADALLEILSPQSEMDPEKVLTSPDIKLSIILPHSSEAVLNTVEIKGSNGDNSVFGDGSIEQSSAFKEKEANTQEEQPHERRSSRLERLRSRKPGKEESDSSYGKDPSKVVIQYLEPFIAGGLEGQYTINRETTTLPCLGNSEYYNVSAFVRETSNNYGAYHMGHLLLEEVARQGLTYQDAFVKFLELEKLTRHWGKERTAECNIFLAELYYDFGSCSPIGSNQSEFISETSYHLCKIIESVALDYPFHLTNTLNGGCYLIDSIQETSVKTIDTSTTSNLNLDSSFLMKKSSLWARFFWLSGRLSIVDGNMAKACEEFCIALSLLGKRENVEDSFCSVSRPHCKAVKELNFDRVLDEINILKVNFLMENSVIKMMEHEKYLECVSLLSPLLFSMRDVYPDSFPLSMADKKDEKITSTELMAVDVLMEACQKTKPMDVEMYFNCHYRKLKILMTKMGLSTCIKSFKSSDQAPHLNASPNFDIDSKESPSKHCSQWVVDEVKALSDCISQVKKIIDQRGDSDGLSVPKSSICKMQSLLLLIMSYVASILVFNKASAEDLSDQAESRCFVDAVVVFCKLQHLSPTTPIKTQVDLIVATHDLLAEYGLCCLGEGGKGEEGTFLRFAIKHLLALDMKLKSSFSHKESLQCEEVSKNSLVNVSLEESKSDTLGVQMDWTKIDEINSVKKDVSGGVISKDIFSCRIHDKDSKEVECENRGGAGTDSKLIMVENSSNQLIECGNELSEDEREELESKIDCALDQCFFCLYGLHLRSDSSYEDDLVMHKNTSRGDYQTKEQCADVFKYVLPYAKSSSRTGLVKLRRVLRAIRKHFLQPPEDLLEGNPIDRFLDDPNLCEEKLSEEAGSDGFLDSITERMFPDVGGIVQYNATLLRRSEPYLEVYCNLYYFLALSEEMSATDKWPGFVLTKEGEEFVQQNAKLFKYDLIYNPLRFESWQRLGNIYDEEVDLLLNDGSKHVNVVGWRKNATLSERVETSRRRSRRCLLMSLALAKTSAQQCEIHELLALVYYDSLQNVVPFYDQRSVLPLKDAAWMTFCENSMKHFKKAFTLKQDWLHAFYLGKLSEKLGYSNEIAISYYNKAIALNTSAVDPVYRMHASRLKLLFKHGKQNFEILKQVLSANSFNQSVKESVTSILSGMETNHEELLQLDTVWSMLYNDCLSALEMCVEGDLKHFHKARYMLAQGLYKRGESGDIERAKDHLSFCFRSSRSSFTINMWEIDSMVKKGRRKTPGSAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDRCILERSYAALRADKRFSLCIEDLIPVAIGRYLKALISTICHSQTAASGSVTSYDNVLERMFALFMEQGSLWPEICSLTEIEGSDMSESIIYGYLHDYIVLLEKNGKLETLEAINEKIRKRSKNPKFSDSNSAEIGRHASVAWCRSLIYNLSQITPLSCGFSNGIQAHSLTDSGMDNSQLLCIDLQPNELWSTAFQDPAHLEKIETRWSSILGKIKNIIINKASDENLETANTLLRACYNFYRESSSVVLTSGLNFYLIPSQLITHISFNPSTAGIDALDLSIPRKLLLWAYVLSHGRCASISIVVKHCEEISKSRMKRGSGTSPALSNTSPAPNLSGSGKLGPNSGGGIDFDSPHAATVASGNTTNFVNSHPSDDIQRNLFASPQLHQGTTNDAERSNLIAHEGDAEGD >Vigun11g170100.1.v1.2 pep primary_assembly:ASM411807v1:11:37615574:37619966:1 gene:Vigun11g170100.v1.2 transcript:Vigun11g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKNLRPGVPSVHDDGGDFSYEYSFAEEYKGPPLGYSVPEVLPFNLDQVPFAPVARSPPHNLSLPVIQPFRKTNAELASTTASVSSDPLSIKQEDHDDDDDDDDDDPFNPKHVKRPSVVTFRDPETNEIEEDEEIVEAASGESSGNNGKRVRPHAERVGKKGSCYRCLKGSRLTEREVCIVCGAKFCRKCVVRVMGSMPEGRKCVTCIGHRIDETMRGKLGKPSRMLKCLLSKAEVKQIMKDEMFCETNQIPAEDVIVNGEPLDWDQLTLLLTCSNPPKGLKPGFYWYDKASGFWGKEGQRPCQIISPQLEVGGHLQRNASNGKTEVTINGREITKEELWILKWARVPCDGTTDFWVSHDGSYMEVGQKNVKGHIWEKSTVKLASLILSLPVPSSSLTPAGEGESGISEHNIEQKMLHKYLLVGSVNSGTCTIYKQARLLYNVPFSGTELHNMKLVIQRNLYRYLGIILEAREIFEESLYIKSNEQHVGESTSSGIAGEIIDTTTYSISPRLRTFSDWLLKYMMSGNLDEIFPAAAREYAPVVEELWRDSAIQATYNRRNEIKNLPKTASYFLERAVEISRIDYEPLEMDILYAEGITLSNGLSSMEFSFPVSGHEDSLDPVYRHDPLLRYQLIRVNSKSLGEKCKWLDMFEDTDVVLFSVALTDYDEYTVDSEGAVTNKILAAKHLFQNIITHRVFSNTKFLLILTKFDLFEEKIEQVPLTQCEWFFDFDPVISHNHKTAAISKRSNHPPLAQRAFQYIGMKFKSWFNSLTGQKLFVSRVTGLEPSTVDEALRYAREVMVWQKWDPSLRNEKSEITSTTFEASSEEKFNNYNHH >Vigun09g165550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33340080:33340535:1 gene:Vigun09g165550.v1.2 transcript:Vigun09g165550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGMGMGMGMGMGYGYGYGYGYGGYGYGGYGYGYGYGYGYGYGYGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMGMVWVWVWVWVWVWVWVWVWGYGYGYGYGYGYGGMGMGMGMGMGMGMGMGMGMGMGNSRLFQLGMCMGMYIYPP >Vigun09g016200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1171002:1173607:1 gene:Vigun09g016200.v1.2 transcript:Vigun09g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSQSLKPLFLYSKGLTTPFPSTLSLKLFSLTSQQHSFTVSYLIDTLGFSPQTALKASQRVSFDTPQKPDSVIAFFNKNGFTQAHINNIVKRLPNILACNADKRLSPKFQFLLSKGASASDIVRLVNRCPRILGSSLKNNVIPSFELVKRFLQSDQKTIDCVFGNRPFLQYSVGAQNVDMLLDVGVKDSGIGYLFGRRPSILLSTNLSEAIDEVMEMGFDTSKITFAIALHAKRVVSKSRWDAKVDAFKMWGWSEEMVIDSFRKHPLLMLTSKDKINEIMRFWVVELGWDPLALAKMPKIFGFSLERRIVPRCLVVRYLLVKGLREKSANLSTPFDVSEELFLKNYVMRFKEEASQLLKLYQEKKVSKKTGRTV >Vigun03g112400.1.v1.2 pep primary_assembly:ASM411807v1:3:10251340:10254683:-1 gene:Vigun03g112400.v1.2 transcript:Vigun03g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLHTFLLLLFLSYKPALSFSSRHSQYWGGGRILSSAYNADLVTNLPGQPKVNFQHYAGYVTVNETNGRALFYWFYEAITNPEEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTDGHGLKFNNFSWNREANMLFLESPVGVGFSYSNTSRDYDQLGDDLTANDAYSFLHNWFQKFPSYRTRAFYIAGESYAGKYVPELAEHIHDRNKDPSLYIDLKGILLGNPETSDAEDWMGLVDYAWSHAVISDETHKIIKTSCDFNSTDPWRNEDCSEAVDEVLRQYNEIDIYSLYTSVCFASTARSDDQSMQTSMKLTSKMMPRMLGGYDPCLDDYAKAFYNKPDVQKALHASDGQNLKNWTICNNKIFNDWADSKPSVIPIYKKLISAGLRIWVYSGDTDGRVPVLSTRYSLSSLSLSVTKSWRPWYHENEVSGWFEEYEGLTFATFRGAGHAVPCFKPSNSLAFFTSFLNGESPPSTK >Vigun04g084650.1.v1.2 pep primary_assembly:ASM411807v1:4:13588895:13589520:-1 gene:Vigun04g084650.v1.2 transcript:Vigun04g084650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAPYINAAFRSSREYEVYFFMKNKYVRLHYTPGRTDDEILTNLRLIRSGFPSLAGTPFAEPGIDCSFDTEASEAYVFPVTKTLYSAFRSTRGKEVYLFKGNEYCRIAYDSKKLVGTIRNIGDGFPVLKGTIFESGIDACFASHEESEAYLFKGDKYVRIKFTPGATHDTLVGDVRLILDGWPCLRGILPVN >Vigun08g018000.1.v1.2 pep primary_assembly:ASM411807v1:8:1524047:1527023:1 gene:Vigun08g018000.v1.2 transcript:Vigun08g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKLFAKRCFDSFRTTTSFQRAIVSKAASPPMVPPIMPHESSDDKGFLRRVSFLRRAVYHSSPVRLPEFFSFPMGEKLREALKGINSGVAAPIAGHAESGMSVENARKILRAAQMEKVKAKLRNVAESSVQYSEFLRICVEACENHDQGVEFAKILDESGNVIVLGNAVFLRPEQVAKSIESLIKQSIAIPTDPRRKELEEMEKQKAVIDAKAKVQVRTELYCGLGFLTVQTLGFMRLTFWELDWDVMEPICFFTTSFGFGLAYLFFMKTSTEPTFQGFFLHRFRVKQERLMKKQNFDMNRYKELCKVCYPSFEDGAKSETSSVFHYSYK >Vigun02g157800.5.v1.2 pep primary_assembly:ASM411807v1:2:30383843:30386016:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPGYLRTCLNTGKLLSLAILVSGGIVLQILACVLYNNWWPMLSVLTYVLLPMPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun02g157800.6.v1.2 pep primary_assembly:ASM411807v1:2:30383844:30386015:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPGYLRTCLNTGKLLSLAILVSGGIVLQILACVLYNNWWPMLSVLTYVLLPMPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun02g157800.8.v1.2 pep primary_assembly:ASM411807v1:2:30385348:30386016:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun02g157800.4.v1.2 pep primary_assembly:ASM411807v1:2:30383949:30385996:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPGYLRTCLNTGKLLSLAILVSGGIVLQILACVLYNNWWPMLSVLTYVLLPMPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun02g157800.7.v1.2 pep primary_assembly:ASM411807v1:2:30385185:30385794:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLMFVICVLCQACVLYNNWWPMLSVLTYVLLPMPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun02g157800.1.v1.2 pep primary_assembly:ASM411807v1:2:30383940:30386009:1 gene:Vigun02g157800.v1.2 transcript:Vigun02g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPGYLRTCLNTGKLLSLAILVSGGIVLQILACVLYNNWWPMLSVLTYVLLPMPLLFFAGSNDSIFSESDNSWVNFTKFLTGASTVGGIAIPSILKHAGVIGWGAFAMELSSYFVFGMTVICYLWMSDEDEYSIL >Vigun05g135900.5.v1.2 pep primary_assembly:ASM411807v1:5:16243293:16254051:1 gene:Vigun05g135900.v1.2 transcript:Vigun05g135900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASYILKLAQQVKVRQRVVATAVTYMRRVYTKKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADEKYRYEIKDILEMEMKVLEALNYYLVVYHPYRSLSPLLQDAGLNDLNMTQLTWGLVNDTYKMDLILVHPPHLIALACIYIASVLRDKDTTAWFEELRVDMNVVKNISMEILDFYESHRMFTEERINAALQKLTLRP >Vigun05g135900.2.v1.2 pep primary_assembly:ASM411807v1:5:16243259:16254056:1 gene:Vigun05g135900.v1.2 transcript:Vigun05g135900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASYILKLAQQVKVRQRVVATAVTYMRRVYTKKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADEKYRYEIKDILEMEMKVLEALNYYLVVYHPYRSLSPLLQDAGLNDLNMTQLTWGLVNDTYKMDLILVHPPHLIALACIYIASVLRDKDTTAWFEELRVDMNVVKNISMEILDFYESHRMFTEERINAALQKLTLRP >Vigun05g135900.3.v1.2 pep primary_assembly:ASM411807v1:5:16243277:16254051:1 gene:Vigun05g135900.v1.2 transcript:Vigun05g135900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASYILKLAQQVKVRQRVVATAVTYMRRVYTKKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADEKYRYEIKDILEMEMKVLEALNYYLVVYHPYRSLSPLLQDAGLNDLNMTQLTWGLVNDTYKMDLILVHPPHLIALACIYIASVLRDKDTTAWFEELRVDMNVVKNISMEILDFYESHRMFTEERINAALQKLTLRP >Vigun05g135900.4.v1.2 pep primary_assembly:ASM411807v1:5:16243293:16254051:1 gene:Vigun05g135900.v1.2 transcript:Vigun05g135900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASYILKLAQQVKVRQRVVATAVTYMRRVYTKKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADEKYRYEIKDILEMEMKVLEALNYYLVVYHPYRSLSPLLQDAGLNDLNMTQLTWGLVNDTYKMDLILVHPPHLIALACIYIASVLRDKDTTAWFEELRVDMNVVKNISMEILDFYESHRMFTEERINAALQKLTLRP >Vigun05g135900.1.v1.2 pep primary_assembly:ASM411807v1:5:16243261:16254053:1 gene:Vigun05g135900.v1.2 transcript:Vigun05g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASYILKLAQQVKVRQRVVATAVTYMRRVYTKKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYADEKYRYEIKDILEMEMKVLEALNYYLVVYHPYRSLSPLLQDAGLNDLNMTQLTWGLVNDTYKMDLILVHPPHLIALACIYIASVLRDKDTTAWFEELRVDMNVVKNISMEILDFYESHRMFTEERINAALQKLTLRP >Vigun05g017100.1.v1.2 pep primary_assembly:ASM411807v1:5:1412905:1414783:1 gene:Vigun05g017100.v1.2 transcript:Vigun05g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTIPQVTLHSSSGHRKMPVIGLGTAPEATSTVTTKDAVLEAIKQGYRHFDAASAYGVEQSVGEAIAEALKLGLIESRDQLFITSKLWVTDNHAQTIVPALQKSLRTLQLEYLDLYLIHWPIATKPGKVVYPIEVSEIVEFDMKGVWESMEECQRLGLTKAIGVSNFSISKLEKLLSFATIPPAVNQVEVNLGWQQQQLREFCKEKGITVTAFSPLRKGASRGANLVMDNDVLKELSDAHGKTVAQICLRWLYEEGLTFVVKSYDKDRMKQNLGIFDWSLTEDDYKKIAEIHQDRLIKGPTKPLLDDLWE >Vigun01g107000.2.v1.2 pep primary_assembly:ASM411807v1:1:27885953:27894525:-1 gene:Vigun01g107000.v1.2 transcript:Vigun01g107000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGKESQFLTSDFDGDNEEHNRQSGDYSRSEHSNQYDSDEDGNRKTHPESSFISQQWPQTYRDAIDSYTISVAPNLESIIRAPSVIYSSFIGGGSKSMLLEHDEKISFLSGEEVQPGISRRQSTWWEKASVQMQIPEELPIGYGCSLSQTIFNGINVMAGVGLLSTPYTVKQGGWASMLVMFFFAIVCCYTADLMKHCFESEEGIVSYPDIGEAAFGRYGRLIVSIILYVELYSYCVEFIILESDNLTGLFPGTSLDWGGFHLGSKHLFGILTALIILPTVWLKDLRVLSYLSAGGVVSTSLVILCIFLVGKRDDVGFHHHSGSLVNWSGIPFAVGIYGFCFAGHSVFPNIYQSMADKREFTKAVVVSFLICTFVYGSSAVMGFLMFGEGTLSQITLNLPRHALASKVALWTIVISPLTKYALMMNPLARSFDELLPITVSSSYWCFITLRTVLVISTVCAAFLIPFFGLVMALIGSLLSLLVAVVLPSLCFLKIVGKNATCTQVVLSVIIAVLGIAAALIGTYSSVSKILQK >Vigun06g191100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30859067:30865337:-1 gene:Vigun06g191100.v1.2 transcript:Vigun06g191100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQMHNEAPSSSRAAAAVTAAASDQRHQQPVPVPLSRYESQKRRDWNTFGQYLKNQTPPVSLSQCNFNHVLEFLRYLDQFGKTKVHLHGCIFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGSGAIRVYLREVKECQAKARGIPYTKKKKKKNQLKGPHHPKSLKQLAT >Vigun05g055500.1.v1.2 pep primary_assembly:ASM411807v1:5:4758618:4763005:1 gene:Vigun05g055500.v1.2 transcript:Vigun05g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSIGFTLSQNLFKRNVAASVITHTPSIFRGYRSLSSLSFSQRRRRHHTPLLLSASFSSTTAMGETPATTADAGMDAVQRRLMFEDECILVDENDRVVGHDSKYNCHLMEKIEAENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGIPAEDVPVDQFTSLGRILYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADIKYVNRDQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHLEQGTLGEIIDMKTIHKLT >Vigun05g119450.1.v1.2 pep primary_assembly:ASM411807v1:5:13009354:13009890:-1 gene:Vigun05g119450.v1.2 transcript:Vigun05g119450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNAAEFWYYIVFNFKGSRGGLRLHGYGVMMTYITFLVTSSL >Vigun03g034600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2653620:2654720:1 gene:Vigun03g034600.v1.2 transcript:Vigun03g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSMKGTTGECHHTIRVMCDSGAILQFRAPKTVAQVLRHYPGYGIFRQGHASEPLPEQERLSYGLFYYLLPLKEGQKSCSEKVGGVRRSEEVFKSAACDYVENLSNGSALEVLPAAKNGVWRVKLVIEQRQLEEILSEQVNTEALIEKMRMAANGCSTTSPSRTPSMNTWKVGWKTTLFSGKIAKDTATATTAGSNLYLGSC >Vigun01g235300.1.v1.2 pep primary_assembly:ASM411807v1:1:40684602:40685902:1 gene:Vigun01g235300.v1.2 transcript:Vigun01g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPIMKRTTSMSGGMAVESPTTDEELVSDNPHHHHEPNIKVDPLLVAMERHHELVPQNLSEAKGSSYELYGQRSMGMVIPLSPTTSNNPRHSHNHTTNTVINTTSHFLRTCGLCKCRLAPDRRDIYMYRGDTAFCSLECREKQMKQDQRKEKWKAGSNKEHHRASPPPAKPSTKGETAACN >VigunL039801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000592.1:32943:33254:1 gene:VigunL039801.v1.2 transcript:VigunL039801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKIVHVQSKILYEVEPYFNVCKDHVLMCIKSSIYRQISRFTTGIHFLQGTSIFVNKYLKLCFSIMSWQIVSLFPIIEYVPYQEFRTDSRHHSFKVLIQK >VigunL059133.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000259.1:10237:10596:1 gene:VigunL059133.v1.2 transcript:VigunL059133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun11g100900.3.v1.2 pep primary_assembly:ASM411807v1:11:29566396:29573159:-1 gene:Vigun11g100900.v1.2 transcript:Vigun11g100900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSFPDSPYDHHHHTKHHHQEKTEEEEDAQQQEDNYESNGSDSHRAPSSPSEDNSEFVFVELLEIRKEVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEDKNRNKLIQASIAKVVQRQSEALVKRRRDTPGSFVTRSQRNQRNVLSRRQNQVIDNQGSEDNEDENDNNEKDSSSTDERCTEFRQRRRKRRTRVRPSQPSSSTASPEGGCIESDVDVRENRGISSRPLSKPQKLTWGRGGFRSHTRHGSGSGNGINSKSARSSRLAKLVDYLRNLNENTDELDVHLILLSLDKQSTPSLQQPHLCCRPTLSVKHLCEYVAGQTSLPIEDVEILAVKGCCNTVCDKSFDETSSFDELTALIIDPSKDELETLQGHESLTELKSKCISQRAHMILAYRRKE >Vigun11g100900.1.v1.2 pep primary_assembly:ASM411807v1:11:29566980:29573283:-1 gene:Vigun11g100900.v1.2 transcript:Vigun11g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSFPDSPYDHHHHTKHHHQEKTEEEEDAQQQEDNYESNGSDSHRAPSSPSEDNSEFVFVELLEIRKEVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEDKNRNKLIQASIAKVVQRQSEALVKRRRDTPGSFVTRSQRNQRNVLSRRQNQVIDNQGSEDNEDENDNNEKDSSSTDERCTEFRQRRRKRRTRVRPSQPSSSTASPEGGCIESDVDVRENRGISSRPLSKPQKLTWGRGGFRSHTRHGSGSGNGINSKSARSSRLAKLVDYLRNLNENTDELDVHLILLSLDKQSTPSLQQPHLCCRPTLSVKHLCEYVAGQTSLPIEDVEILAVKGCCNTVCDKSFDETSSFDELTALIIDPSKDELETLQGHESLTELKSKCISQRAHMILAYRRKE >Vigun11g100900.2.v1.2 pep primary_assembly:ASM411807v1:11:29566980:29573283:-1 gene:Vigun11g100900.v1.2 transcript:Vigun11g100900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSFPDSPYDHHHHTKHHHQEKTEEEEDAQQQEDNYESNGSDSHRAPSSPSEDNSEFVFVELLEIRKEVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEIQASIAKVVQRQSEALVKRRRDTPGSFVTRSQRNQRNVLSRRQNQVIDNQGSEDNEDENDNNEKDSSSTDERCTEFRQRRRKRRTRVRPSQPSSSTASPEGGCIESDVDVRENRGISSRPLSKPQKLTWGRGGFRSHTRHGSGSGNGINSKSARSSRLAKLVDYLRNLNENTDELDVHLILLSLDKQSTPSLQQPHLCCRPTLSVKHLCEYVAGQTSLPIEDVEILAVKGCCNTVCDKSFDETSSFDELTALIIDPSKDELETLQGHESLTELKSKCISQRAHMILAYRRKE >Vigun11g100900.4.v1.2 pep primary_assembly:ASM411807v1:11:29566980:29573177:-1 gene:Vigun11g100900.v1.2 transcript:Vigun11g100900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSFPDSPYDHHHHTKHHHQEKTEEEEDAQQQEDNYESNDSHRAPSSPSEDNSEFVFVELLEIRKEVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELEFREEDKNRNKLIQASIAKVVQRQSEALVKRRRDTPGSFVTRSQRNQRNVLSRRQNQVIDNQGSEDNEDENDNNEKDSSSTDERCTEFRQRRRKRRTRVRPSQPSSSTASPEGGCIESDVDVRENRGISSRPLSKPQKLTWGRGGFRSHTRHGSGSGNGINSKSARSSRLAKLVDYLRNLNENTDELDVHLILLSLDKQSTPSLQQPHLCCRPTLSVKHLCEYVAGQTSLPIEDVEILAVKGCCNTVCDKSFDETSSFDELTALIIDPSKDELETLQGHESLTELKSKCISQRAHMILAYRRKE >Vigun03g079300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6613102:6613830:1 gene:Vigun03g079300.v1.2 transcript:Vigun03g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVTTVDCEKQVRSWRLLRSLMQLLVPTCNCATILEDRDVTNECLQNPTKYYSPSLVMNSTTITGTIFGYRKGKVSFCIQANANSNPILLLELAVPTTILAKEMREGTLRIAFESGGDGEDNNSKNKNTNSLLSTPLWTMYCNGRKVGYAVKRRASNTDFEALRLMRSVVVGTGVVKCKKEDGEVMYLRGSFERVRGSENCESFHLIDPEGDMDQELSVFFLRSR >Vigun08g034700.2.v1.2 pep primary_assembly:ASM411807v1:8:3332180:3337347:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSACCFTSRISKFHSHRKHNQSQSQIMAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDVKNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun08g034700.5.v1.2 pep primary_assembly:ASM411807v1:8:3332184:3338020:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDVKNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun08g034700.6.v1.2 pep primary_assembly:ASM411807v1:8:3332208:3338020:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun08g034700.4.v1.2 pep primary_assembly:ASM411807v1:8:3332208:3338020:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSACCFTSRISKFHSHRKHNQSQSQIMAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun08g034700.3.v1.2 pep primary_assembly:ASM411807v1:8:3332208:3338020:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSACCFTSRISKFHSHRKHNQSQSQIMAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDVKNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun08g034700.1.v1.2 pep primary_assembly:ASM411807v1:8:3332144:3338020:-1 gene:Vigun08g034700.v1.2 transcript:Vigun08g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSACCFTSRISKFHSHRKHNQSQSQIMAGNMSDPSGSKGANILLNHDFSRGLNSWHLNSCTGYVISAESGAQGGIPRELGANYAVITDRKECWQGLEQDITERISTGYTYTVLACVGVSNLSQGPSDVLATLKLEYHDSATSYLFIGRTSVNKDSWEKLEGKFSLSTMPDRVVFYLEGPAPGVDLLIRSVEINCSTPNNNITTTACVSAGDDNIIINPQFDDGLNNWSGRGCKIVLHDSMNDGKIVPKSGKFFASATERTQNWNGIQQDITGRVQRKLAYEVTALVRIFGNNVSTADVRATLWVQAPDLKEQYIGIANLQATDKDWVTLQGKFLLNGSPSKVVLYLEGPPPGTDILLNNLVLKHAAKTPPSTPPDVKNVTFGVNIIQNSNLADGTNGWFPLGNCTLSVKSGSPHIIPPMARDSLGPHELLSGRYILVTNRTQTWMGPAQIITDKVKLFSTYQVSAWVRIGSGSSGPQNVNVALGVDNQWVNGGQTEVSDDTWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMVAGLQIFPVDRHARFRYLKIQTDKIRKRDVVLKFSGLDSGSYANTSVQVRQTQNDFPIGTCISRSNIDNEDFVDFMVKHFNWAVFGNELKWYWTEPQQGNFNYKDADDLLSLCQKHNIQTRGHCIFWEVDGVVQQWIKSLNQNDLMTAIQNRLNGLLTRYKGKFSHYDVNNEMLHGSFFQDRLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSCPDKYIHHILDLQEQGAPVGGIGIQGHIDSPIGPIVSSSLDKLGILGLPIWFTELDVSSINEYVRADDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSRGHVDEQGQYNFRGFHGTYNVQVVTPSKKISKTFVLDKGDTPLVVSVDL >Vigun07g289700.1.v1.2 pep primary_assembly:ASM411807v1:7:40182761:40188788:-1 gene:Vigun07g289700.v1.2 transcript:Vigun07g289700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSATSLWRLARRARLPFQPPAHFSNNNTALSIARRLCATAPFRRNDFSSSRHPPPFRFSTGQRRTMFIQTQATPNPSSLMFYPDKPVMQVGSADFPNPRSAMNSPLAKSLFAIDGVTRVFFGSDFVTVTKSEDAAWEFLKPEVFAAIMDFYSSGQPLFLDSQAAAAMDTAIHQDDSETVAMIKELLETRIRPAVQDDGGDIVYRGFDPDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEMDAQDDEATLSGQMSS >Vigun02g133100.1.v1.2 pep primary_assembly:ASM411807v1:2:28380986:28382745:-1 gene:Vigun02g133100.v1.2 transcript:Vigun02g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAAKSSLRRLCPNIDREDGLETVLEIPIPEEMFTPMGSNVTLRWQNMLTWMKAQTEDKLSTPTVSARLNELRFLLYLVGSPLIPLQVQLGHSVRRPVRDSSIEASTAKYIVQQYIAATGGQPALNAVDSMCVLGQIKIAASDFHQTCETIEVKKTSEEMGGFVLLQKDPDLWCLEILVSGCKVCCGSNGKVSWRHSSNQQTPVSRGAPRPLRRFLQGLDPRATANLFLDAACIGEKIINDEECFILKLETSPAIRDAQSGPNFEIIHHTIWGYFSQRSGLLVQFEDSRLLTMRTKDDNDIFWETSLESVIEDYRYVDGINVSHSGKTRVTVSRYGEQSSNHKRELEERWKIEEVDFNVWGLNAESFLPPSNLGKT >Vigun08g050100.5.v1.2 pep primary_assembly:ASM411807v1:8:5704142:5707421:-1 gene:Vigun08g050100.v1.2 transcript:Vigun08g050100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRTLQRVCTLKRNPRPLTALAATARFEARTYGAEPEPLTKSPFDSNIIRILRNEIEYQQEYAPPHQPDTKFNSFTVDERRGEQVVTIKGKFGECEDIRIEATMFDGCEHVPAYGDDSSGVNVRLHLSLIVDISKGEGENELEFVCSAWPDSLNVEKVFLLRRGRMAARPYIGPDFRDLSAKVQEKFYAYLDERGVNDELAVFLHEYMMNKDRIELLRWMDSLKSFVDR >Vigun08g050100.3.v1.2 pep primary_assembly:ASM411807v1:8:5705567:5707421:-1 gene:Vigun08g050100.v1.2 transcript:Vigun08g050100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRTLQRVCTLKRNPRPLTALAATARFEARTYGAEPEPLTKSPFDSNIIRILRNEIEYQQEYAPPHQPDTKFNSFTVDERRGEQVVTIKGKFGECEDIRIEATMFDGCEHVPAYGDDSSGVNVRLHLSLIVDISKGEGENELEFVCSAWPDSLNVEKVFLLRRGRMAARPYIGPDFRDLSAKVQEKFYAYLDERGVNDELAVFLHEYMMNKDRIELLRWMDSLKSFVDR >Vigun08g050100.6.v1.2 pep primary_assembly:ASM411807v1:8:5704142:5707421:-1 gene:Vigun08g050100.v1.2 transcript:Vigun08g050100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRTLQRVCTLKRNPRPLTALAATARFEARTYGAEPEPLTKSPFDSNIIRILRNEIEYQQEYAPPHQPDTKFNSFTVDERRGEQVVTIKGKFGECEDIRIEATMFDGCEHVPAYGDDSSGVNVRLHLSLIVDISKGEGENELEFVCSAWPDSLNVEKVFLLRRGRMAARPYIGPDFRDLSAKVQEKFYAYLDERGVNDELAVFLHEYMMNKDRIELLRWMDSLKSFVDR >Vigun08g050100.1.v1.2 pep primary_assembly:ASM411807v1:8:5704142:5707421:-1 gene:Vigun08g050100.v1.2 transcript:Vigun08g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRTLQRVCTLKRNPRPLTALAATARFEARTYGAEPEPLTKSPFDSNIIRILRNEIEYQQEYAPPHQPDTKFNSFTVDERRGEQVVTIKGKFGECEDIRIEATMFDGCEHVPAYGDDSSGVNVRLHLSLIVDISKGEGENELEFVCSAWPDSLNVEKVFLLRRGRMAARPYIGPDFRDLSAKVQEKFYAYLDERGVNDELAVFLHEYMMNKDRIELLRWMDSLKSFVDR >Vigun06g031100.5.v1.2 pep primary_assembly:ASM411807v1:6:13603065:13608009:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMGSSTFN >Vigun06g031100.1.v1.2 pep primary_assembly:ASM411807v1:6:13602980:13608265:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMGSSTFN >Vigun06g031100.2.v1.2 pep primary_assembly:ASM411807v1:6:13602834:13608802:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMGSSTFN >Vigun06g031100.6.v1.2 pep primary_assembly:ASM411807v1:6:13603065:13608009:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMG >Vigun06g031100.3.v1.2 pep primary_assembly:ASM411807v1:6:13602980:13608265:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMG >Vigun06g031100.4.v1.2 pep primary_assembly:ASM411807v1:6:13602834:13608802:-1 gene:Vigun06g031100.v1.2 transcript:Vigun06g031100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVPDWNFGSDSCITTNQKKPMGVDQELVELLWQNGQVVLHSQTHRKPVVNSITQRPLQRAFQSTLRASEPFGNSSNLIQDDETVSWIQYPLEDPLEQEFCSNLLSELPQCDVESYKQIKPFEEAKFTKLDASGAPHVLVSSQSPTVKSSSFQEFSGIPIPAPRFHVSDSPQKNNNDLGGGPCKVQNFTHFSPTLNVSSALPNAHFRDKITGNTSKNEVRECSLMTVGSSYCGSNHMTQDPDASRASSNGVWTTTLSVDPEAVRDHVPRTIPLSEKGKSEMLEPTATSSSGGSGSSLGKTCSLSTRNQSQKRKTIDVEESEDHSEDTELKSPVGNKTSQRTGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQLMWMGSGMAPMMFPGIQHYMSQMGMGMATSPFPPIQNPMQLPRVPLDHPVSASQTPNQTLMCQNPILGAFNYQNQMQNPALSEQYARYMGYHLMQNASQPMNVFRYGPQGVQHSQTMITPSNSSGNMSGAANIDEAVSGKMG >Vigun07g188400.2.v1.2 pep primary_assembly:ASM411807v1:7:30507792:30511520:1 gene:Vigun07g188400.v1.2 transcript:Vigun07g188400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLIHPHEGGVGQEDMQGASNHAHLGDPCLVLTSDPKPRLRWTSDLHERFVDAVTQLGGASKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQSGKDSDEGCKDGSYLQESPGTDNSSPKLPTSDANEGHEVKEALRAQMEVQSKLHLLVEAEKHLQIRQDAERRYMGMLERACKMLADQFIGDIIMDTDGQKFQGLESKTPRNSLVDHVGFFPQVCSEVGGMHVSEVPPILHPQGADCSTESCLTSLESLGGLTLEGSPGGSKKRMLSLDSMVAPLIWSEANTRTQGIHLAQVNPPGITRYGM >Vigun07g188400.1.v1.2 pep primary_assembly:ASM411807v1:7:30507792:30511520:1 gene:Vigun07g188400.v1.2 transcript:Vigun07g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLIHPHEGGVGQEDMQGASNHAHLGDPCLVLTSDPKPRLRWTSDLHERFVDAVTQLGGASKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQSGKDSDEGCKDGMSGSYLQESPGTDNSSPKLPTSDANEGHEVKEALRAQMEVQSKLHLLVEAEKHLQIRQDAERRYMGMLERACKMLADQFIGDIIMDTDGQKFQGLESKTPRNSLVDHVGFFPQVCSEVGGMHVSEVPPILHPQGADCSTESCLTSLESLGGLTLEGSPGGSKKRMLSLDSMVAPLIWSEANTRTQGIHLAQVNPPGITRYGM >Vigun10g067400.1.v1.2 pep primary_assembly:ASM411807v1:10:15724429:15726850:1 gene:Vigun10g067400.v1.2 transcript:Vigun10g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSSSSSSSSFLKSEPQFIYDVFINFWGENMSRKFVSHLHSVLLQAQVKTLINEENLQQGKKLEEHMRAIAASKIAIIVFSQTYTESTCCLRELEKIVECRETFGQIVLPIFYEIYPFDVRHQKGDFRKALEEAAHKSYSGEQVEHAFSRWSNALTTVAGITGWNVRDFRHDAELVEVTVSRVQTILDYADLSLTRFPVGLDPHVRKVIECIENHSSKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIGNIREDWNNNPVHLQENLLYDVLKSKFEVESVGLGRTMIENEFSRKKLLIVLDDVNEFSQLENLCGNRDWFGQGTVIIITSRDVHVLNRLKVNYIYKMNGMNENDSLELFSCHAFRDSKPRKGFDEFARNIVAYCGGLPLALEVLGSYLCGRTKEH >VigunL026900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:104467:104595:-1 gene:VigunL026900.v1.2 transcript:VigunL026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVVSTLWFGALAGLLIEINRFFPDALIFPFF >Vigun01g066900.1.v1.2 pep primary_assembly:ASM411807v1:1:17927184:17929670:-1 gene:Vigun01g066900.v1.2 transcript:Vigun01g066900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARLRVAAGPLRALVLRPNRGFGSAAAAQCYYDDDEEEECAPRGMLDAQGCTPERGVQWVMIGEPGARRNLFAQKLSKLLEVPHISMATLLSQDLNPRSNLYHQIANALDHGKLVPEEIMFGLLKKRLDDGYSRGETGFILDGFPRTRIQAEILDHIAHVDLVVNFKSSEEELVKKNLGNRKFNSRQEYILMTSSQNPTKQLPGDNVQSHEDECKLLEDYYRKQKKLLNFELAGGHGETWQGLLAALHLQHINALSSSQKLTA >Vigun10g042500.4.v1.2 pep primary_assembly:ASM411807v1:10:5952930:5957055:-1 gene:Vigun10g042500.v1.2 transcript:Vigun10g042500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPYITKFSHLMYVFKRVILEKPSKQLHTKHFQHNNWIMACPAGATHSPKLQIFLDMMRAITDRSSATKILNGSGVDADSGIRVLIERNLIKVKKNNKIEMHPLLQEMGIRIIREISIMEPWKTNQLWFDKDAEYALIENKGKNAMKWLPLKLDQVKIAVNSEYLLQKLRWISLHGFPSEYVHNKYCVHDAITIDLKHSLVRFVWKAPQVLRSLKVLNLSHSKYLTITPDFTGLPNLEQLILKYCPRLYIVHRSIRCLCNLILLNLKNCKSLTNFPTEIYELKSLRTLILTGCSEIDLMGNDIAQMESLITLIAENTAVKEVPFSMVSSKSIGYISLRGMERLSRNLFPSIICSWMLPTVNRTSYIHSFFMDTKDNSWDDIAPLLSTLRNLRSVLVQCDSEFQLSKQVKNILSEDFANITESEISKFHTVSSLIGVGRYNAFFNSVNYSISEVMTSSDSCEVFYCLAHIGEGHSVSFSVPQDRDMKGMAFRVVYLSTHEIIEPEFTTPLIVNYTKCTFHIHNHGTVISFNDEDWHGIVSNLRCGDKVEIFVSFGNGLVVNNTVFYLICGESHNFRKRL >Vigun10g042500.1.v1.2 pep primary_assembly:ASM411807v1:10:5952930:5957055:-1 gene:Vigun10g042500.v1.2 transcript:Vigun10g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQFLSMDNASSSSSKLPQKYDVLINCTGEDIRRKFVSHLDSALSTAGLTTFLHHQNATKPTHIQQPILNLCRVVIVVFTKTYSESAWCLLQLQQIIKWHETYSRYVLPVYYEIQPSDVRLQEGDFGKAFKATAHQTFSAQQLDHGMSSWSHALTKAANFFGYDESNYRSDAEVVDKIVKNILNLSVLSATKFPIGLPSHVEDLIQTIKCKSAEVCTIGICGEVGYGKTTLAKAIYNQIHGIFAEKSFIENISQVIQTRGYAKLQEKLLSDVLNTKVEIQDVDMGRRMIQDKFYGKRLLIVLDDMNESGPFLELCTYRARFSGGTVIIITTTDERILRTYAVDSVFQIKLMNEKESLELLSWHAFSEAKPKEEYNDLAERVVSYCGGLPLALELIGSTLFERTKEEWNSVLFKFEKIPQHHVVHKLKISFDGLKNQIEKDLFLDVCCFFVGKDRSSATKILNGSGVDADSGIRVLIERNLIKVKKNNKIEMHPLLQEMGIRIIREISIMEPWKTNQLWFDKDAEYALIENKGKNAMKWLPLKLDQVKIAVNSEYLLQKLRWISLHGFPSEYVHNKYCVHDAITIDLKHSLVRFVWKAPQVLRSLKVLNLSHSKYLTITPDFTGLPNLEQLILKYCPRLYIVHRSIRCLCNLILLNLKNCKSLTNFPTEIYELKSLRTLILTGCSEIDLMGNDIAQMESLITLIAENTAVKEVPFSMVSSKSIGYISLRGMERLSRNLFPSIICSWMLPTVNRTSYIHSFFMDTKDNSWDDIAPLLSTLRNLRSVLVQCDSEFQLSKQVKNILSEDFANITESEISKFHTVSSLIGVGRYNAFFNSVNYSISEVMTSSDSCEVFYCLAHIGEGHSVSFSVPQDRDMKGMAFRVVYLSTHEIIEPEFTTPLIVNYTKCTFHIHNHGTVISFNDEDWHGIVSNLRCGDKVEIFVSFGNGLVVNNTVFYLICGESHNFRKRL >Vigun10g042500.2.v1.2 pep primary_assembly:ASM411807v1:10:5952930:5957055:-1 gene:Vigun10g042500.v1.2 transcript:Vigun10g042500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMIQDKFYGKRLLIVLDDMNESGPFLELCTYRARFSGGTVIIITTTDERILRTYAVDSVFQIKLMNEKESLELLSWHAFSEAKPKEEYNDLAERVVSYCGGLPLALELIGSTLFERTKEEWNSVLFKFEKIPQHHVVHKLKISFDGLKNQIEKDLFLDVCCFFVGKDRSSATKILNGSGVDADSGIRVLIERNLIKVKKNNKIEMHPLLQEMGIRIIREISIMEPWKTNQLWFDKDAEYALIENKGKNAMKWLPLKLDQVKIAVNSEYLLQKLRWISLHGFPSEYVHNKYCVHDAITIDLKHSLVRFVWKAPQVLRSLKVLNLSHSKYLTITPDFTGLPNLEQLILKYCPRLYIVHRSIRCLCNLILLNLKNCKSLTNFPTEIYELKSLRTLILTGCSEIDLMGNDIAQMESLITLIAENTAVKEVPFSMVSSKSIGYISLRGMERLSRNLFPSIICSWMLPTVNRTSYIHSFFMDTKDNSWDDIAPLLSTLRNLRSVLVQCDSEFQLSKQVKNILSEDFANITESEISKFHTVSSLIGVGRYNAFFNSVNYSISEVMTSSDSCEVFYCLAHIGEGHSVSFSVPQDRDMKGMAFRVVYLSTHEIIEPEFTTPLIVNYTKCTFHIHNHGTVISFNDEDWHGIVSNLRCGDKVEIFVSFGNGLVVNNTVFYLICGESHNFRKRL >Vigun10g042500.3.v1.2 pep primary_assembly:ASM411807v1:10:5952930:5957055:-1 gene:Vigun10g042500.v1.2 transcript:Vigun10g042500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQFLSMDNASSSSSKLPQKYDVLINCTGEDIRRKFVSHLDSALSTAGLTTFLHHQNATKPTHIQQPILNLCRVVIVVFTKTYSESAWCLLQLQQIIKWHETYSRYVLPVYYEIQPSDVRLQEGDFGKAFKATAHQTFSAQQLDHGMSSWSHALTKAANFFGYDESNYRSSATKILNGSGVDADSGIRVLIERNLIKVKKNNKIEMHPLLQEMGIRIIREISIMEPWKTNQLWFDKDAEYALIENKGKNAMKWLPLKLDQVKIAVNSEYLLQKLRWISLHGFPSEYVHNKYCVHDAITIDLKHSLVRFVWKAPQVLRSLKVLNLSHSKYLTITPDFTGLPNLEQLILKYCPRLYIVHRSIRCLCNLILLNLKNCKSLTNFPTEIYELKSLRTLILTGCSEIDLMGNDIAQMESLITLIAENTAVKEVPFSMVSSKSIGYISLRGMERLSRNLFPSIICSWMLPTVNRTSYIHSFFMDTKDNSWDDIAPLLSTLRNLRSVLVQCDSEFQLSKQVKNILSEDFANITESEISKFHTVSSLIGVGRYNAFFNSVNYSISEVMTSSDSCEVFYCLAHIGEGHSVSFSVPQDRDMKGMAFRVVYLSTHEIIEPEFTTPLIVNYTKCTFHIHNHGTVISFNDEDWHGIVSNLRCGDKVEIFVSFGNGLVVNNTVFYLICGESHNFRKRL >Vigun07g133100.1.v1.2 pep primary_assembly:ASM411807v1:7:24297562:24301849:1 gene:Vigun07g133100.v1.2 transcript:Vigun07g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPTSEEDAALTVVRFASELAWADAGPEVAEPQVSRLCMEAEEFIAMGKWLELASLMITSAELIFSKVSEKDIESIFTIICNLVTKTANPDEAMEIVKVVTAKILQQPNEKPVVRLKILINLYNLLETPYCQFYVYMKTLNLAVDGKVTEFIIPSFKKIDNFLKDWKIGIPEQRELFLAISNILKENKSVSKDALKFLTSYLATFVGEDAQVLSEAKEEAAHAIVEFVRAPDIFQCDLLDLPAVAQLEKDAKYGLLYELLKIFVTQRLDAYLDYHAANSTLLKTYGLVHEECIAKMRLMSLVDLSSDASGTIPYELIRDTLRINDDEVELWVVRAITAKLIDCKLDQMNQVVVVSHPTDRVFGQHQWQALRTKLATWRGNVANVISTIQANKITEDGPQAAQGLVAR >Vigun07g133100.2.v1.2 pep primary_assembly:ASM411807v1:7:24297562:24301052:1 gene:Vigun07g133100.v1.2 transcript:Vigun07g133100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPTSEEDAALTVVRFASELAWADAGPEVAEPQVSRLCMEAEEFIAMGKWLELASLMITSAELIFSKVSEKDIESIFTIICNLVTKTANPDEAMEIVKVVTAKILQQPNEKPVVRLKILINLYNLLETPYCQFYVYMKTLNLAVDGKVTEFIIPSFKKIDNFLKDWKIGIPEQRELFLAISNILKENKSVSKDALKFLTSYLATFVGEDAQVLSEAKEEAAHAIVEFVRAPDIFQCDLLDLPAVAQLEKDAKYGLLYELLKIFVTQRLDAYLDYHAANSTLLKTYGLVHEECIAKMRLMSLVDLSSDASGTIPYELIRDTLRINDDEVELWVVRAITAKLIDCKLDQMNQVVVVSHPTDRVFGQHQWQALRTKLATWRVNTFSSVIFRIIISYTIIHTNIDTTTILIQPST >Vigun01g114400.1.v1.2 pep primary_assembly:ASM411807v1:1:28967327:28969492:1 gene:Vigun01g114400.v1.2 transcript:Vigun01g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDNKGLLLGGGRKCTPPPFLLKTYMLVEDPATDNVVSWNADGTAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFLNEKFKKGEREELCEIRRRKAWSNNKQQGNVGNQVTGQDSDEDQRSSSTSSYCGYSNLVDENKRLKKENGVLNCELRSMKRKCKELLDLVAKYSSDDADEKEEDERPMLFGVRLEVEGGMEMKRNRTEITESASIMLSQSCK >Vigun05g216300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40819183:40826034:1 gene:Vigun05g216300.v1.2 transcript:Vigun05g216300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIASPNHKTLAIDVNPNTTTLHHLKLAIQQTLTLPISQQRLFLSQSHRLAVANDDGDDSVLISDLGVGPYSTVTLHIPLLGGTNPPAVPKPRFDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGTAGAGRGRGKPGEEEDDDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTLSSDDWQSLEKFESGGYSSRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAANGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGMTNVDPKGYLTVLNSMKITSDAEISDFKKARLLLKSVTQTNPKHPPGWIAAARLEELAGKLQAARQLIQKGCEECPKNEDVWLEACRLANPDEAKAVIARGVKSIPNSVKLWMQAAKLEHDDANRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLVIDREAWMKEAEAAERAGSVATCQAIVHNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERRLLDEGLKQFPSFFKLWLMLGQLEEQLAENAKRLDQTGKRLDHMREAKKVYESGLKNCPNSVPLWLSLANLEEEMNGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGYKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSVDAIKKCDHDPHVIAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCIAAEPKHGEKWQAISKAVENSHQPTESILKKVVVALGKEESAAENNKH >Vigun05g216300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40819200:40825986:1 gene:Vigun05g216300.v1.2 transcript:Vigun05g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIASPNHKTLAIDVNPNTTTLHHLKLAIQQTLTLPISQQRLFLSQSHRLAVANDDGDDSVLISDLGVGPYSTVTLHIPLLGGTNPPAVPKPRFDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGTAGAGRGRGKPGEEEDDDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTLSSDDWQSLEKFESGGYSSRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAANGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGMTNVDPKGYLTVLNSMKITSDAEISDFKKARLLLKSVTQTNPKHPPGWIAAARLEELAGKLQAARQLIQKGCEECPKNEDVWLEACRLANPDEAKAVIARGVKSIPNSVKLWMQAAKLEHDDANRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLVIDREAWMKEAEAAERAGSVATCQAIVHNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERRLLDEGLKQFPSFFKLWLMLGQLEEQLAENAKRLDQTGKRLDHMREAKKVYESGLKNCPNSVPLWLSLANLEEEMNGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGYKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSVDAIKKCDHDPHVIAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCIAAEPKHGEKWQAISKAVENSHQPTESILKKVVVALGKEESAAENNKH >Vigun05g216300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40819190:40826035:1 gene:Vigun05g216300.v1.2 transcript:Vigun05g216300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIASPNHKTLAIDVNPNTTTLHHLKLAIQQTLTLPISQQRLFLSQSHRLAVANDDGDDSVLISDLGVGPYSTVTLHIPLLGGTNPPAVPKPRFDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGTAGAGRGRGKPGEEEDDDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTLSSDDWQSLEKFESGGYSSRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAANGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGMTNVDPKGYLTVLNSMKITSDAEISDFKKARLLLKSVTQTNPKHPPGWIAAARLEELAGKLQAARQLIQKGCEECPKNEDVWLEACRLANPDEAKAVIARGVKSIPNSVKLWMQAAKLEHDDANRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDNAKKVLNRARERLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLVIDREAWMKEAEAAERAGSVATCQAIVHNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERRLLDEGLKQFPSFFKLWLMLGQLEEQLAENAKRLDQTGKRLDHMREAKKVYESGLKNCPNSVPLWLSLANLEEEMNGLSKARAVLTMARKKNPQNPELWLAAVRAELKHGYKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSVDAIKKCDHDPHVIAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALCYKFELQHGTEENQKDVLKRCIAAEPKHGEKWQAISKAVENSHQPTESILKKVVVALGKEESAAENNKH >Vigun04g108150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26330982:26331569:1 gene:Vigun04g108150.v1.2 transcript:Vigun04g108150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFTLKHILTHISFLVVSILISIHLITLFLGNEIIGLYNLFKKGMIITFFCITGLLVTHWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISMAILVITFQELIQILGKSKTLFFLMNPFLLLKSNI >Vigun09g077800.4.v1.2 pep primary_assembly:ASM411807v1:9:8992421:8998253:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGMSANRQNNGFIQGYHSPRDLVFSAEGSTWTSSNYTGEREDDCYGLGSSPLSSTCHLLGYNKELLKQTILKHEAIFRDQIHELHRIYQKQRELMDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun09g077800.6.v1.2 pep primary_assembly:ASM411807v1:9:8992701:8998250:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun09g077800.5.v1.2 pep primary_assembly:ASM411807v1:9:8992701:8998250:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGMSANRQNNGFIQGYHSPRDLVFSAEGSTWTSSNYTGEREDDCYGLGSSPLSSTCHLLGYNKELLKQTILKHEAIFRDQIHELHRIYQKQRELMDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun09g077800.1.v1.2 pep primary_assembly:ASM411807v1:9:8992379:8998253:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGMSANRQNNGFIQGYHSPRDLVFSAEGSTWTSSNYTGEREDDCYGLGSSPLSSTCHLLGYNKELLKQTILKHEAIFRDQIHELHRIYQKQRELMDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun09g077800.2.v1.2 pep primary_assembly:ASM411807v1:9:8992387:8998253:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHELHRIYQKQRELMDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun09g077800.3.v1.2 pep primary_assembly:ASM411807v1:9:8992339:8998256:1 gene:Vigun09g077800.v1.2 transcript:Vigun09g077800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGMSANRQNNGFIQGYHSPRDLVFSAEGSTWTSSNYTGEREDDCYGLGSSPLSSTCHLLGYNKELLKQTILKHEAIFRDQIHELHRIYQKQRELMDEIKRIELHKHTIRLETSSSSSSLYYSQNLHGFTSQSSILNAEGIQLPLASMQEKSRQLRPTPLSAPAPAAIIESPKDPTLSVSAYRKVGKKILDLQLPADVYIDSESCENERVIKELALSTYTLNGISKAVYNTVEKPFNFNGFSDLNVPFKLEEETGVKSDDFGASIHHKNYTFHDMTRRIRPGSHSFPNDVIQNLERKQDLQACSDPPLLNQGTKHGRLTLGTGSGKNCSDLGSLAIFNDTESQFVSIESISKKLKQVNNSSCFHSTHQIVPGLRTDRDSFTGTHNPTSGVWLGPSYSSCPCSSHQLVSESDLKSSRISPSVLWKSIASGSNSDSQNCLHSKFCNRSNLLGPPSINADDPNYCDNRGPSSAGQELWKYVKDSEYAETVGINLNVMPVGSSETKTAEFQSIRITGEYDKFQDSRLSWLKEKPVPKVNPTDECQASTLIDSSFLNPLELNKVQKSDLFRDRTLAFDLNGKPQTPKVVQSLSTDHRIEEINKISNVNFPSDGYPDMGEQASVSEYMKNEKKHKHSSDILDLNSCTNEDENMPIDIDLQAPSSPENKECSPPRGESDENQFEMLPLAGQEQEVPEAREEQTRIAAKALISISEALTYDGIQITNCPSSEPSITGSLHWFAGIVSTVVNHPEYEVKEDLNCAIKDLEDFLPADFDYFEFMSLNLSDTKDLDYCHYKSSGQNEQEGVSTSPSQPRKCRTNRRRRGNDFQSDILPSLASLSRYEVTEDLQTIGGLVEAARRTPSASGCLRSAGRNVVARGKRRSCGSSSNITDLLLNLKELDIDTEIAIEKMGYISWGKICRKPRGKRFPSSKSHLIFSQVHN >Vigun06g231000.4.v1.2 pep primary_assembly:ASM411807v1:6:33755123:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQIARKLESVNLVKEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQVLQFKVLRTILSICLCGLKHRQC >Vigun06g231000.5.v1.2 pep primary_assembly:ASM411807v1:6:33755123:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQIARKLESVNLVKEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQFH >Vigun06g231000.1.v1.2 pep primary_assembly:ASM411807v1:6:33755124:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQIARKLESVNLVKEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQATANLVPLNSRRVAVKFDFFKIANLIPIKSPGSGRGQLEITYLDEDLRISRGNRGNLFILRMVDPSYRVPI >Vigun06g231000.3.v1.2 pep primary_assembly:ASM411807v1:6:33755124:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQIARKLESVNLVKEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQATANLVPLNSRRVAVKFDFFKIANLIPIKSPGSGRGQLEITYLDEDLR >Vigun06g231000.2.v1.2 pep primary_assembly:ASM411807v1:6:33755124:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQATANLVPLNSRRVAVKFDFFKIANLIPIKSPGSGRGQLEITYLDEDLRISRGNRGNLFILRMVDPSYRVPI >Vigun06g231000.6.v1.2 pep primary_assembly:ASM411807v1:6:33755124:33756919:-1 gene:Vigun06g231000.v1.2 transcript:Vigun06g231000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVNFNVHYHNTFSMALSNPTVTLSFKCIIDSYPSKPNLLFSSSSRQKLKTSHLVLQETDYGKWRNMISFFPGFRTKDRDVGSLKQELYETIAPLDRGAETTLEDQQRVDQIARKLESVNLVKEPLKSDLLNGKWELLYTTSQSILQTQRPKYLRPNGKIYQAINVDTLRAQNIETWPFYNQFH >Vigun03g031000.3.v1.2 pep primary_assembly:ASM411807v1:3:2349799:2354029:-1 gene:Vigun03g031000.v1.2 transcript:Vigun03g031000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEHNSWIWEGVNYYPRVFGGLMVTAALLGLSTSYFGGIGVPYLSLPCSWSNLGIFHKKKSGKRRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIVANKGPPVLSMSERLALVSGLKWVDEVITDAPYAITEQFLDRLFHEYKIDYVIHGDDPCLLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRILSSLRDEKSGEDHNGSEVKPQEENPSQASHIAQFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVEVQINIEIKALAILFICCLIYFSEFFQILKKARELGDFLLVGIHSDETVRYIYY >Vigun03g031000.2.v1.2 pep primary_assembly:ASM411807v1:3:2349799:2354029:-1 gene:Vigun03g031000.v1.2 transcript:Vigun03g031000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEHNSWIWEGVNYYPRVFGGLMVTAALLGLSTSYFGGIGVPYLSLPCSWSNLGIFHKKKSGKRRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIVANKGPPVLSMSERLALVSGLKWVDEVITDAPYAITEQFLDRLFHEYKIDYVIHGDDPCLLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRILSSLRDEKSGEDHNGSEVKPQEENPSQASHIAQFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVEILKKARELGDFLLVGIHSDETVSEHRGNHYPIMHLHERSLSVLASRYVDEVIIGSPWEITKDMITTFNISLVVHGTVAEKSLNCELDPYEVPKSMGIFRLLESPKDITTATVAQRIMANHEAYVKRNAKKSRSEQRYYEEKQHVSGD >Vigun03g364000.1.v1.2 pep primary_assembly:ASM411807v1:3:56755831:56759534:-1 gene:Vigun03g364000.v1.2 transcript:Vigun03g364000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRCNGLSLSPLPPQPTRRPKSLLPQTLNIKPPKPSRHVTVRAEVKETAAATATSSDEKIREILRNRDYDKKFGFSMDIDSFSIPKGLSKETIRLISSLKEEPQWMLEFRLKAFDKFLSMKLPTWSDNRYPPIDFQDICYYSAPKKKPSLQSLQDADPELLRYFDKLGVPLNEQKRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIKEYPELVRKYLGRVVPSDDNYYAALNSAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVADDRSFVEYLEGCTAPSYDRNQLHAAVVELYCGEGAEIKYSTVQNWYAGDENGNGGVYNFVTKRGVCDGARSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISVGHSRNCYRGLVQVLSKADNARNSSQCDSMLIGDKAAANTYPYIQVKNPTARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >Vigun10g145300.2.v1.2 pep primary_assembly:ASM411807v1:10:36326640:36331073:1 gene:Vigun10g145300.v1.2 transcript:Vigun10g145300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGQRFVRFKDWKSFSSSSIEHNDSTSEGFHEKKVQSSESSVSDESIRNLSCKRHVLHPQGPTLQKWNKIFVITSVMAISVDPLFFYIPVIDDSKKCLDLDGTLKITASVLRTFFDLLYILHIIFQFRTGFIAPSSRVFGRGELVDDPSAIVMKYLSSYFTIDILSIIPLPQMVILAMIISPTCSAPYVGKDLLKYTVIAQYVPRLLRIYPLFKEVTSTSGILTETAWAGAVYNLFLYMLASHVVGAFWYLFSVESRVRCWRRRLKNTTISYHESYLSCRSKNSNIQSFPNIQSLLVQSCPITDLDKIVDLDAFNFGIFIEALKYRVVESTTDFHHKFFYCFWWGLRSVSSVGQGLETSTYVGEIIFAISIAVFGLVLFASLIGNMQKYLQSTTVRVEEMRIKRRDAELWMSHRMLPDFLKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDIKRHLCLDLLKKVPMFESMDNQLLDALCDKLKPVLYTERSYIVREGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPNYSSNLPISTRTVETISEVEAFALMPEDLKGVASQFRRLINNKQLQHTFRFYSLQWKTWGACFIQAAWRRYRKKKAERLLREAEERIQNLENEEGSSPSFAATVYASKFASNALRHLRSGKRTRVPQPQKLLPLMPQKPSEPDFTALNN >Vigun10g145300.4.v1.2 pep primary_assembly:ASM411807v1:10:36326651:36331070:1 gene:Vigun10g145300.v1.2 transcript:Vigun10g145300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLLSLVWSYSTSCFVPVVSKGNREETLHYQATFTWLTRFKDWKSFSSSSIEHNDSTSEGFHEKKVQSSESSVSDESIRNLSCKRHVLHPQGPTLQKWNKIFVITSVMAISVDPLFFYIPVIDDSKKCLDLDGTLKITASVLRTFFDLLYILHIIFQFRTGFIAPSSRVFGRGELVDDPSAIVMKYLSSYFTIDILSIIPLPQMVILAMIISPTCSAPYVGKDLLKYTVIAQYVPRLLRIYPLFKEVTSTSGILTETAWAGAVYNLFLYMLASHVVGAFWYLFSVESRVRCWRRRLKNTTISYHESYLSCRSKNSNIQSFPNIQSLLVQSCPITDLDKIVDLDAFNFGIFIEALKYRVVESTTDFHHKFFYCFWWGLRSVSSVGQGLETSTYVGEIIFAISIAVFGLVLFASLIGNMQKYLQSTTVRVEEMRIKRRDAELWMSHRMLPDFLKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDIKRHLCLDLLKKVPMFESMDNQLLDALCDKLKPVLYTERSYIVREGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPNYSSNLPISTRTVETISEVEAFALMPEDLKGVASQFRRLINNKQLQHTFRFYSLQWKTWGACFIQAAWRRYRKKKAERLLREAEERIQNLENEEGSSPSFAATVYASKFASNALRHLRSGKRTRVPQPQKLLPLMPQKPSEPDFTALNN >Vigun10g145300.1.v1.2 pep primary_assembly:ASM411807v1:10:36326640:36331073:1 gene:Vigun10g145300.v1.2 transcript:Vigun10g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGQRFVRFKDWKSFSSSSIEHNDSTSEGFHEKKVQSSESSVSDESIRNLSCKRHVLHPQGPTLQKWNKIFVITSVMAISVDPLFFYIPVIDDSKKCLDLDGTLKITASVLRTFFDLLYILHIIFQFRTGFIAPSSRVFGRGELVDDPSAIVMKYLSSYFTIDILSIIPLPQMVILAMIISPTCSAPYVGKDLLKYTVIAQYVPRLLRIYPLFKEVTSTSGILTETAWAGAVYNLFLYMLASHVVGAFWYLFSVESRVRCWRRRLKNTTISYHESYLSCRSKNSNIQSFPNIQSLLVQSCPITDLDKIVDLDAFNFGIFIEALKYRVVESTTDFHHKFFYCFWWGLRSVSSVGQGLETSTYVGEIIFAISIAVFGLVLFASLIGNMQKYLQSTTVRVEEMRIKRRDAELWMSHRMLPDFLKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDIKRHLCLDLLKKVPMFESMDNQLLDALCDKLKPVLYTERSYIVREGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPNYSSNLPISTRTVETISEVEAFALMPEDLKGVASQFRRLINNKQLQHTFRFYSLQWKTWGACFIQAAWRRYRKKKAERLLREAEERIQNLENEEGSSPSFAATVYASKFASNALRHLRSGKRTRVPQPQKLLPLMPQKPSEPDFTALNN >Vigun10g145300.3.v1.2 pep primary_assembly:ASM411807v1:10:36326651:36331073:1 gene:Vigun10g145300.v1.2 transcript:Vigun10g145300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGQRFVRFKDWKSFSSSSIEHNDSTSEGFHEKKVQSSESSVSDESIRNLSCKRHVLHPQGPTLQKWNKIFVITSVMAISVDPLFFYIPVIDDSKKCLDLDGTLKITASVLRTFFDLLYILHIIFQFRTGFIAPSSRVFGRGELVDDPSAIVMKYLSSYFTIDILSIIPLPQMVILAMIISPTCSAPYVGKDLLKYTVIAQYVPRLLRIYPLFKEVTSTSGILTETAWAGAVYNLFLYMLASHVVGAFWYLFSVESRVRCWRRRLKNTTISYHESYLSCRSKNSNIQSFPNIQSLLVQSCPITDLDKIVDLDAFNFGIFIEALKYRVVESTTDFHHKFFYCFWWGLRSVSSVGQGLETSTYVGEIIFAISIAVFGLVLFASLIGNMQKYLQSTTVRVEEMRIKRRDAELWMSHRMLPDFLKQRIRRYEQYKWQENRGVEEETLIRNLPKDLRRDIKRHLCLDLLKKVPMFESMDNQLLDALCDKLKPVLYTERSYIVREGDPVDEMLFIMRGKLATATTNGGRTGFFNSSELKAGDFCGEELLTWALDPNYSSNLPISTRTVETISEVEAFALMPEDLKGVASQFRRLINNKQLQHTFRFYSLQWKTWGACFIQAAWRRYRKKKAERLLREAEERIQNLENEEGSSPSFAATVYASKFASNALRHLRSGKRTRVPQPQKLLPLMPQKPSEPDFTALNN >Vigun05g196700.1.v1.2 pep primary_assembly:ASM411807v1:5:38024195:38030150:-1 gene:Vigun05g196700.v1.2 transcript:Vigun05g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTRLDHALFQLTPTRTRCDLVVAGGGVTERLASGLLEPFLSHLKSAKDQISKGGYSITLRPPGGYAPWFTKATLQRFVRFISTPEVLERFVTIEKEIVQIEEGSIQSSERSNLVAEAEDGRVRRSTTSSKLKEEQAGTNEDGYEENSKIRLRRVLDNRKAMLCKEQAMAYARALVAGFYPESVDDLICFADAFGASRLREACINFLELCKQKNEDKLWIDEIAAMQASAQRELPYLRTSGIILAGEDDTSSKLNGINDAPISESTPSHASFEGQDYSLPSQNPSGQIPMSWPNHVPQYMHNFQGHAFQQMPPYQGFLYPGMQVPPSYYPGNMHWPPSVEDPHIVHDRDKDYHKSSYKKKKKKKNSQVLEQSEEESSTASSDSSYESDSSQGKKQSSKEHQHKKKHGKKSSRKVVIRNINYITSNGDGEKGGVTEGSLSNEEEFINGDSLKQQVEEAVKSSSRHHKKHHSSKHPGMLNGSTDTDSIAIKGNNNNWDAFQNLLLRDDDSEEQQPMKFQEEYTVNQKFENGRSNEFNHEPNITRVVSNDAFVVTEREFNSYSQNRVDNFKEGNDALSLMKKNNSTDAEMLFSRRNDESGSYSMSTLSGNGPESSLTKCQKEEDWFIINQSDKPRNEYQNRDFSIFNGVATSPTTASLLLEKNKKDIMTDDSFMIQARSSEDKFNSQSAADLSLVSDIVGATEFMNITQEGSKNKTETLNSHEPEDLFMVLDRDSAAERSVAPWSMEMDYENNFALNEANRKLSEIETDQNHPSNKEGTDTKTPGVKNGKVSSKEAKSKAINGKSKSDITSRSKSSPGTRTRAVKTKSEKEEENRKRKEDLMIQRQKRITERSASKKTGTETKTSLTSAKKGNPKIHPSNEETKKLNKPVLRNSTIERLAAARVTQPKVSPSQAKPGPTKKPPSKANGVPLQKTTSTEKKKQGSKEVKSSSQKQDTKKTNEKVLASTNGKAKNETEVSVELRVNSGAAQSVEPNNSNLDLKDNGEPIKTSSEKQTTDVISEKDHVPGNVGQIKVNSGLPNHDQALQGSEEISNKLSQLRGDNKPQDITDAITNPAAALPSKPVTVSAVNSEINQEIDESNPTLPNVTEKQISTPPPPSSQLMPEPVHSRKKWNSDEDSSKPAKGFRKLLFFGRKS >Vigun02g110200.3.v1.2 pep primary_assembly:ASM411807v1:2:26403511:26412315:1 gene:Vigun02g110200.v1.2 transcript:Vigun02g110200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDVPPSSAHSVRTNRSSFGSSNGSEATPLHNYASVSNGDGYDSDGSNFAPSTPTTLSSAIPAELAGAVPLIDKFQVEGFLKLMHKQIQSAGKRGFFSKRSVGPQVREKFTFEDMLCFQKDPIPTSLLKLNSDLASRATKLFLIILKYIGADSSDRVTPLNFDERVELVGKLYKQCLKRSELRDELFLQISKQTRNNPERECLIKAWELMYLCALSMPPSKDIGAYLSEYVHNVAHGVLADPQIRALALNTLNALKHSVKAGPRHIIPGPIEIEAMLTGKKLTTIVFFLDETFEEITYDLSTTVADAVEELAGIIKLSTYSSFSLFECRKVVTGSKSPDSGNEEYIGLDDNKYIGDLLAEFKAVKERSKGEILHCKLIFKKKLFRDSDEAVTDPMFLQLSYVQLQHDYILGNYPIGKDDASQLSALQILAEIGFVRRPESCTDWNSFLERFLPRQIAMTRAKREWELDILSCYHSLAHVTKDDARQQFLHILRTIPYGFSVFFNVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYMHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSTVGGSLNEDTSTNFKPSNLELYEKRVQDLSKLVEESQTNADQLLEKLRQKHKQEEEMLQELDGLKKSLKADKQSLAEVTDDRDKLRSLCLEKDKELQAKILEKRNMEAQMAKLSNQVTENATKNDLLQADNQVSQKLEDDLKLCKGELLEAEETIKSLRSEKLILERKLFEFEKKSEEEISSLQCKLEQERKNLNSHAHDLERKLDMLRQELTVAKSTLSVKDSELAALKNNLDELEELREMKEDIDRKNEQTAAILKMQAAQLGEMELLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLSEKEIANKERDSLTTVDEFTVEHPWKDDKAKQHIYDRVFDGYSTQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFRILRRDSNKYSFSLKAYMLELYQDTLVDLLLPKNSKRLKLDIKKDSKGMVAVENVTIVSISNVEELNSIIQRGSEQRHTSGTRMNDESSRSHLILSIVIESTNLQSQSTARGKLSFVDLAGSERIKKSGSEGNQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPTESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKEQAGRRGEDEELEEIQEERPTKEKSDGRHSM >Vigun02g110200.2.v1.2 pep primary_assembly:ASM411807v1:2:26403403:26412315:1 gene:Vigun02g110200.v1.2 transcript:Vigun02g110200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDVPPSSAHSVRTNRSSFGSSNGSEATPLHNYASVSNGDGYDSDGSNFAPSTPTTLSSAIPAELAGAVPLIDKFQVEGFLKLMHKQIQSAGKRGFFSKRSVGPQVREKFTFEDMLCFQKDPIPTSLLKLNSDLASRATKLFLIILKYIGADSSDRVTPLNFDERVELVGKLYKQCLKRSELRDELFLQISKQTRNNPERECLIKAWELMYLCALSMPPSKDIGAYLSEYVHNVAHGVLADPQIRALALNTLNALKHSVKAGPRHIIPGPIEIEAMLTGKKLTTIVFFLDETFEEITYDLSTTVADAVEELAGIIKLSTYSSFSLFECRKVVTGSKSPDSGNEEYIGLDDNKYIGDLLAEFKAVKERSKGEILHCKLIFKKKLFRDSDEAVTDPMFLQLSYVQLQHDYILGNYPIGKDDASQLSALQILAEIGFVRRPESCTDWNSFLERFLPRQIAMTRAKREWELDILSCYHSLAHVTKDDARQQFLHILRTIPYGFSVFFNVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYMHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSTVGGSLNEDTSTNFKPSNLELYEKRVQDLSKLVEESQTNADQLLEKLRQKHKQEEEMLQELDGLKKSLKADKQSLAEVTDDRDKLRSLCLEKDKELQAKILEKRNMEAQMAKLSNQVTENATKNDLLQADNQVSQKLEDDLKLCKGELLEAEETIKSLRSEKLILERKLFEFEKKSEEEISSLQCKLEQERKNLNSHAHDLERKLDMLRQELTVAKSTLSVKDSELAALKNNLDELEELREMKEDIDRKNEQTAAILKMQAAQLGEMELLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLSEKEIANKERDSLTTVDEFTVEHPWKDDKAKQHIYDRVFDGYSTQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFRILRRDSNKYSFSLKAYMLELYQDTLVDLLLPKNSKRLKLDIKKDSKGMVAVENVTIVSISNVEELNSIIQRGSEQRHTSGTRMNDESSRSHLILSIVIESTNLQSQSTARGKLSFVDLAGSERIKKSGSEGNQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPTESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKEQAGRRGEDEELEEIQEERPTKEKSDGRHSM >Vigun02g110200.1.v1.2 pep primary_assembly:ASM411807v1:2:26403445:26412315:1 gene:Vigun02g110200.v1.2 transcript:Vigun02g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDVPPSSAHSVRTNRSSFGSSNGSEATPLHNYASVSNGDGYDSDGSNFAPSTPTTLSSAIPAELAGAVPLIDKFQVEGFLKLMHKQIQSAGKRGFFSKRSVGPQVREKFTFEDMLCFQKDPIPTSLLKLNSDLASRATKLFLIILKYIGADSSDRVTPLNFDERVELVGKLYKQCLKRSELRDELFLQISKQTRNNPERECLIKAWELMYLCALSMPPSKDIGAYLSEYVHNVAHGVLADPQIRALALNTLNALKHSVKAGPRHIIPGPIEIEAMLTGKKLTTIVFFLDETFEEITYDLSTTVADAVEELAGIIKLSTYSSFSLFECRKVVTGSKSPDSGNEEYIGLDDNKYIGDLLAEFKAVKERSKGEILHCKLIFKKKLFRDSDEAVTDPMFLQLSYVQLQHDYILGNYPIGKDDASQLSALQILAEIGFVRRPESCTDWNSFLERFLPRQIAMTRAKREWELDILSCYHSLAHVTKDDARQQFLHILRTIPYGFSVFFNVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYMHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSTVGGSLNEDTSTNFKPSNLELYEKRVQDLSKLVEESQTNADQLLEKLRQKHKQEEEMLQELDGLKKSLKADKQSLAEVTDDRDKLRSLCLEKDKELQAKILEKRNMEAQMAKLSNQVTENATKNDLLQADNQVSQKLEDDLKLCKGELLEAEETIKSLRSEKLILERKLFEFEKKSEEEISSLQCKLEQERKNLNSHAHDLERKLDMLRQELTVAKSTLSVKDSELAALKNNLDELEELREMKEDIDRKNEQTAAILKMQAAQLGEMELLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLSEKEIANKERDSLTTVDEFTVEHPWKDDKAKQHIYDRVFDGYSTQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFRILRRDSNKYSFSLKAYMLELYQDTLVDLLLPKNSKRLKLDIKKDSKGMVAVENVTIVSISNVEELNSIIQRGSEQRHTSGTRMNDESSRSHLILSIVIESTNLQSQSTARGKLSFVDLAGSERIKKSGSEGNQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPTESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVAYWKEQAGRRGEDEELEEIQEERPTKEKSDGRHSM >Vigun09g102300.1.v1.2 pep primary_assembly:ASM411807v1:9:17520453:17522568:1 gene:Vigun09g102300.v1.2 transcript:Vigun09g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuEF1b MAVTFYDLSSASGLKKLDEYLLSRSYITGYQASKDDLTVYAALPTAPSAEYVNVSRWFKHIDALLRISGVSGEGSGVTVKGSFVAEPVATPPAADTKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVSMEGLLWGASKLVPVGYGIKKLQVMLTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >Vigun09g102300.3.v1.2 pep primary_assembly:ASM411807v1:9:17519942:17522568:1 gene:Vigun09g102300.v1.2 transcript:Vigun09g102300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuEF1b MEASYVCSPFLLEEKKKINIEGEVKKKQGHGFSLKQLKRDYLSLSHSLCLSRVLPFVCLSRTEELTHITSMAVTFYDLSSASGLKKLDEYLLSRSYITGYQASKDDLTVYAALPTAPSAEYVNVSRWFKHIDALLRISGVSGEGSGVTVKGSFVAEPVATPPAADTKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVSMEGLLWGASKLVPVGYGIKKLQVMLTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >Vigun02g116200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26964546:26965803:1 gene:Vigun02g116200.v1.2 transcript:Vigun02g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSKYPHAHQPVRSISFPTRVHPVSQRVEALLNHLKHHHSQPVSSTENLEAETIQSDLVVLAELYNSMEELFHSPHSQQALLRYQDGKLVEEALCGSVTLLDTCESARDLLLVLKEHMQTLHSALRRRKGDSNIESSVSSFDIFKKMAKKTISKQLGQLKRMQNKVNSFCLLDQDQQLAFLARVLRESNTFTISILHSLLVFLSMPTLVAKGSSSLISKLKITVLFSSQKEQKNTNGVADLNSVLCSLFGREKNGDSCGEFQRALGVLETLNVNIEALESGLDCIFRCLVKNRVSFLNMLAH >Vigun07g174100.1.v1.2 pep primary_assembly:ASM411807v1:7:28929137:28930752:1 gene:Vigun07g174100.v1.2 transcript:Vigun07g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRHSTPPKWSAEFCACGEQPVTCFVTCCLPCITFGQIAEIVDEGRSSCVGQGCVYGLLMVVACNWVYSCLYREKLRAKFGLPAEPCCDCCVTCCCDPCALCQEHAELKARGFDPSKGWIGPPNAPPQMPPSMSR >Vigun10g139500.2.v1.2 pep primary_assembly:ASM411807v1:10:35573749:35578815:1 gene:Vigun10g139500.v1.2 transcript:Vigun10g139500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYRKNVFSLEDGPGHSVWRKLGVPITIVRTCDKRNNTVDWLNYDGIIVSSLEAKGAFSCFLLEPFKSIPLIWIVHENTLAYRSRQYTTNGQIEFLNDWGRLFNRSTVVVFPNYALPMIYSTFDAGNFFVIPGSPAEALEAEAFMTLHKDNLRVNMGYGSDDVIIAIVGSQFLYKGMWLGHAIVLRALEPLVADFPVNKDNAGARLRIIVHSGELTNNYSVALETMAHSLKYPRGIIEHIAGDLNADSVLGTADVVVYGSFLEEHSFPEILIKAMSFEKPIIAPDVPMIRKYVDDRVNGYLFPRDNIRALRQILLEVISNGKISPLARNIASIGRNTAKNLMVSEAIDGYASLLQNILRLPSEVTPPKAVSDIPPKIKEQWQWHLFEAVPNMTYSNRTLRSSMFLDKYEGQWNHSHKNRSTTTVAANDIFVYSIWEDERYTHLALTKKRREDEELKDRTEQFHGTWEDVYKNAKRADRAKNDLHERDDGELERTGQPLCIYEPYFGEGSWPFLHKKTLYRGVGLSGKGRRPGKDDVDAPSRLPLLNNGYYRDLLGEHGAFFAIANRIDRLHRNAWIGFQSWRATAKKASLSETAENSLLNAIQSKRYGDALYFWVRMDMDSRNPLQKDFWSFCDAINAGNCKFAFSKAMRRMYGLKDDVHSLPPMPLDGDTWSVMQSWALPTRSFLEFVMFSRMFVDAMDAQMYDEHHSTGHCPLSLSKDKHCYSRVLELLVNVWTYHSARRMVYVDPESGVMQEQHKFKNRRGKMWIKWFSYNTLKSMDEDLAELSDSEDPKRHWLWPSTGEVFWQGVYERERSLRHREKEKKKQKSIEKQIRMRKRHRQQVIGKYIKPPPDEESSNSSMLAATA >Vigun10g139500.1.v1.2 pep primary_assembly:ASM411807v1:10:35572354:35578815:1 gene:Vigun10g139500.v1.2 transcript:Vigun10g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESGIPLKKGSLFGTQFTRKEKNPFSHRFRSSFSRLLFKKLDYVQWICTVVVFLCLVVVFQMFLPGSVVENSDDESLTAVRMRSDKLFHYGEIHKAVLDIGEDALFLPMILEKFRRGGGEGMDAGLLNHTAQHFGYRKPQLALVFGELLVDSHQLLMVTVATALQEIGYEIQVFSLEDGPGHSVWRKLGVPITIVRTCDKRNNTVDWLNYDGIIVSSLEAKGAFSCFLLEPFKSIPLIWIVHENTLAYRSRQYTTNGQIEFLNDWGRLFNRSTVVVFPNYALPMIYSTFDAGNFFVIPGSPAEALEAEAFMTLHKDNLRVNMGYGSDDVIIAIVGSQFLYKGMWLGHAIVLRALEPLVADFPVNKDNAGARLRIIVHSGELTNNYSVALETMAHSLKYPRGIIEHIAGDLNADSVLGTADVVVYGSFLEEHSFPEILIKAMSFEKPIIAPDVPMIRKYVDDRVNGYLFPRDNIRALRQILLEVISNGKISPLARNIASIGRNTAKNLMVSEAIDGYASLLQNILRLPSEVTPPKAVSDIPPKIKEQWQWHLFEAVPNMTYSNRTLRSSMFLDKYEGQWNHSHKNRSTTTVAANDIFVYSIWEDERYTHLALTKKRREDEELKDRTEQFHGTWEDVYKNAKRADRAKNDLHERDDGELERTGQPLCIYEPYFGEGSWPFLHKKTLYRGVGLSGKGRRPGKDDVDAPSRLPLLNNGYYRDLLGEHGAFFAIANRIDRLHRNAWIGFQSWRATAKKASLSETAENSLLNAIQSKRYGDALYFWVRMDMDSRNPLQKDFWSFCDAINAGNCKFAFSKAMRRMYGLKDDVHSLPPMPLDGDTWSVMQSWALPTRSFLEFVMFSRMFVDAMDAQMYDEHHSTGHCPLSLSKDKHCYSRVLELLVNVWTYHSARRMVYVDPESGVMQEQHKFKNRRGKMWIKWFSYNTLKSMDEDLAELSDSEDPKRHWLWPSTGEVFWQGVYERERSLRHREKEKKKQKSIEKQIRMRKRHRQQVIGKYIKPPPDEESSNSSMLAATA >Vigun04g170200.1.v1.2 pep primary_assembly:ASM411807v1:4:39446490:39448491:1 gene:Vigun04g170200.v1.2 transcript:Vigun04g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHITNKVQSSNQVLCVSLSLYIYLVVSSRCCTKPLLKSLKTVIMANLLFFAVEMIVVSGFSLGASGLNMNYYFLSCPLAELIVKNTVNRALQNDPTLAAGLLRMHFHDCFIEGCDGSVLIDSNDNTAEKDSPANLSLRGYEVIDDIKEALEKQCPGVVSCADILAMAARDAVFFAGGPVYDIPKGRKDGRRSKIEDTINLPAPIFNASQLIKVFGQHGFSAKDMVALSGAHTLGVARCSSFKQRLTPVDPSLDSQFAKTLSKTCSGGDNAEQPFDSTRNDFDNLYFNALVSNNGVLASDQTLYTTPQTRNIVNSYAMNQALFFLDFQQAMVKMSTLDVKEGSKGEVRKNCRKIN >Vigun02g185400.1.v1.2 pep primary_assembly:ASM411807v1:2:32479923:32481379:1 gene:Vigun02g185400.v1.2 transcript:Vigun02g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTNNKIERKGEVVLWLKSERIKMDSLEESVFMFNDEEYYSNESELHEDLWCQDNTHSDGSPDNTLYWESQVSLLQETLERYHVSGSKLRREVGRIIEEVKGSDFCGCLKANPMDCTSCLRRQVVTELRDRGFSTKLCISKWGTTKKYPGGCHEYIEVIAKTSTRKKQIHILIELELREQFQIAKAGENYQHLVSCLPEFYIGKAENLCAIVRVMCNAAKKSMKEKKMHVGPWRKSSFMQMKWSGFNQTTSFETHTTYTPQHSTRSYLTIAAAPTPVVVT >Vigun10g185500.1.v1.2 pep primary_assembly:ASM411807v1:10:40168855:40179180:1 gene:Vigun10g185500.v1.2 transcript:Vigun10g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARRQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYLKGSRRVVIGYDEGTIMVKLGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADVEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKVKIFSKNFQEKKSIRPTFSAERIFGGTVLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASYLDSGSPADEQGVEDAFELLHEMSERIRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFDLAIQLGRLEVAKGIATEVQSESKWKQLGELAMSTGKLEMAEECLKYAMDLSGLLLLYSSLGDAEGISKLATLAKEQGKNNVAFLCLFTLGKLEDCLQLLVESNRIPEAALMARSYLPSKVPEIVAIWRKDLSKVNSKAAESLADPEEYPNLFDDWQVALAVESKAAETRGVYPPASEYVNQADKSHITLVEAFRNMQVEEEDQPLENGDSIHELTEHNGEEHYPDEREEQNGEEGSQEEAVVVDADSTDGAILINGNEADEE >Vigun07g239200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36059129:36061344:1 gene:Vigun07g239200.v1.2 transcript:Vigun07g239200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFSKRLHLCFFKLKYPTILHHPHPPPSTDNSTTFTHPSSSSDDDDDRSSSTEPDFASVFASQRFFFSSPGTSNSIVESPDTRTFVPTGGGVTVPKYSLNPYVDFLRSMHEMIRSRQVLDITQDWDYLHELLLCYLALNPSHTHKYIIRAFTDLVLQLLSSTPCRTHNQHHHRRHRSLSGNFL >Vigun07g239200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36058998:36061344:1 gene:Vigun07g239200.v1.2 transcript:Vigun07g239200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFSKRLHLCFFKLKYPTILHHPHPPPSTDNSTTFTHPSSSSDDDDDRSSSTEPDFASVFASQRFFFSSPGTSNSIVESPDTRTFVPTGGGVTVPKYSLNPYVDFLRSMHEMIRSRQVLDITQDWDYLHELLLCYLALNPSHTHKYIIRAFTDLVLQLLSSTPCRTHNQHHHRRHRSLSGNFL >Vigun07g239200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36058998:36061344:1 gene:Vigun07g239200.v1.2 transcript:Vigun07g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLFSKRLHLCFFKLKYPTILHHPHPPPSTDNSTTFTHPSSSSDDDDDRSSSTEPDFASVFASQRFFFSSPGTSNSIVESPDTRTFVPTGGGVTVPKYSLNPYVDFLRSMHEMIRSRQVLDITQDWDYLHELLLCYLALNPSHTHKYIIRAFTDLVLQLLSSTPCRTHNQHHHRRHRSLSGNFL >Vigun03g061200.1.v1.2 pep primary_assembly:ASM411807v1:3:5009734:5018894:-1 gene:Vigun03g061200.v1.2 transcript:Vigun03g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKSSKKPMSLNDSHYRFLQEHSAPPKPSSKRFDEESIESSTRKQQHQNIPQFSAITDFDSPIGLASDEKHEPIKVHEAEEVVAPFNDISTTRQDLDKKHKPIIFQEEEQEEEEEGSSNVVSSSSGQNSDEKPGPMFFEEEAPPDVVDFSPKEERTTKVKVQGRRRLCKIVHEDAGKSVAVDESKFDELIDLDSPIPVPRSVVQIEESEGKNEIRDILSELNAKFELLSVERKPRPNRVEGLVAGKESHDDEGLEYGSAGSSFSPQQESLTEGNKNEDGDCTEYDSEDSVQVMDNFVPENDGSITLSGPRSTYKLPAKIGKMLYPHQREGLTWLWSLYCLGKGGILGDDMGLGKTMQICGFLAGLFHSRLIRRALVVAPKTLLPHWIKELSAVGLSEKTREYCGTSAKLREYELQYILQDKGVLLTTYDIVRNNSKSLRGSNYLNDEESEDDATWDYMILDEGHLIKNPSTQRAKSLLEIPSAHRIIISGTPLQNNLKELWALFNFCCPDLLGDNKWFREKFEKPILRGNDKHASDRDKRVGSSIAQELRDRIQPYFLRRMKSEVFSQDVEKTTAKLSQKQEIIVWLRLTSVQRYLYEAFLKSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLKPDEANVATKLAKHIADVGDTERFKDEQGVSCKISFIMSLLDNLIPEGHCVLIFSQTRMMLNLIQECLLSQGYDFLRIDGTTKANDRLKIVNDFQEGVGAPIFLLTSQVGGLGLTLTRADRVIVVDPAWNPSMDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQVYKGGLFKTATEKKEQIRYFSQQDLRELFSLPKEGFDVSVTQRQLNEEHDRQYTVDDFFKAHIEFLKSQGIAGVSHHSLLFSKTGPQVRDELEDDEVTRNHATRYIGTSRSSSNDHITYGSEFAFNPKNVTTSKKSSSPSSAGKLTESEIKDKINRLSQTLSNAAMISKLPDKGEKLQKRLAELSSELAELKSERNVIDLDDFTTEFQQGLNL >Vigun06g037925.1.v1.2 pep primary_assembly:ASM411807v1:6:15482226:15484752:1 gene:Vigun06g037925.v1.2 transcript:Vigun06g037925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICHINKNILDDGLIRLILHKANKTLSIIGTGIGMTRADLAYNLGVGFYFTYLIANKVIVTFKHNDHDQYIWESQPGASFILEYLEETTIKNLVIKYCQHISHHIYLWNENTKDDWQLINIWLHNPERDNKLVIQKLMNHILDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNYQSYYDDTCLLLNLSPLYQNHYNSSLFSISGERFQNMKKLYWPSQIQEEGIGILGSSSINGILCLYVKDRRQLMCLWNPTINEFKVIPPSPFENAPYYIYIGINYHRFGYDCVRDDYKVTIRYVIFQAISNDDVFMYSLRSNSWTNLELDNFIPTNRDDNNKFYLEGMCQWLSYGDSYIQDLVSFNFINKVWIITPPPLNVPMEIYDNFDMLLLRNIGNVLFQTHNGDLAWFDLSTHTVQKLGVNIDGGYSQLVGRKESLLLVERTNS >Vigun03g269400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44139093:44140533:1 gene:Vigun03g269400.v1.2 transcript:Vigun03g269400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKTNPTLLTHAIMERSSTFSFFFLFFIFTFQYTTVVFSMTTTQQRFKEAPKFYNSPTCHTLQHHPNDTCPGNAVHVAMTLDVTYLRGSMAAILSVLQHSSCPENVIFHFLSAASQPSSFATLNQTLTNSFPYLNFQIYPFDDHAVSRLISTSIRSALDTPLNYARSYLADLLPRCVVRVVYLDSDLILVDDIAKLAATPLGDTEVLAAPEYCSANFSAYFTPSFWANPSLSLSFSNRKRPCYFNTGVMVIDLHQWRAGDYTTVIEEWMELQKRMRIYELGSLPPFLLVFAGRIAAVDRRWNQHGLGGDNFRGLCRKLHPGPVSLLHWSGKGKPWVRLDANMPCPLDTMWAPYDLLQSPFALQA >Vigun03g435700.1.v1.2 pep primary_assembly:ASM411807v1:3:63963958:63969831:-1 gene:Vigun03g435700.v1.2 transcript:Vigun03g435700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEWAKHYSGRCLKLEASAMASASVAKEEDNKLTLYSYWRSSCSFRVRIALNLKGLKYDYKPVNLLKGEQFSPEFLQLNPVGWVPVLVDGHAVLYDSLAIILYLEDKYPHNPLLPRDIPKRTINFQVTSVVSSTIQPLQNLSLLNYIGEKVGPDEKLRWAQSVIRRGFTALEKLLKDHAGRYATGDEVFLADVFLAPQLHTAFKRFDIPMNEFPVLSRLHETYSEIPAFQEALPENQPDAVH >Vigun04g170580.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39479488:39480963:1 gene:Vigun04g170580.v1.2 transcript:Vigun04g170580.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLKFHFSLQSQTQQTMLFFRKTPNITLKSLSYLRRRFATTLITKPFPDNPTVAYYDDLAADAFSSGDYSALRDVLNKRVQDGFKNSKHTFSFITHSNCSPSIIDKLVETVSHLNGGITRRNALDLLVTRLCKIRRTEEALRVIETLARGGVCVPAACTFYPILSFLTREKSLDHAWRVVDVMAEFGIRLDLIGYNLFLKAYCYGGEFDEAAGVLRKMEEEGIAADSRTFDALVLGACRAGKVDGAMVILRRMVDDGVYMMYSTHMYVIGALLRMKCYEDVMRYVRSFVGRDKLLDAQLLGVLASKLMNLKKVEEAMSILEEMKQRGVPMGYKLRDFYKTNAGKENGARVDAVIAEGGNGV >Vigun04g078100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747340:10750283:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun04g078100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747326:10750284:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun04g078100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747321:10750291:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun04g078100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747326:10750284:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun04g078100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747318:10750284:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun04g078100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10747321:10750291:-1 gene:Vigun04g078100.v1.2 transcript:Vigun04g078100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPKQRPPPVENPPTASSSESEEDDDRRHSSQPHAAPPPTPAPAAQVSSSEEEDDSSEEEDQDEKQRSTLPASANAPSIPHPKSSSSESESESDTDSQPAQVKPKSKPKPADQPQPQPKVQAQRSSTPVKPGSKRPADVTEPKRAKKKATEAPSPSFANEGTEEDGKKAGGQAKVLFQRIWSEEDELGILKGILEFISKTGQEPYRYADAFHNFIKKSLHVEVSSHQLKEKIRRMKKRFLTHAAREKNGKEPRLFKPHHKTLFEFSKKIWGEWPNGLVEKSKPLAKYVTKTPKKESATTGVAKPNAKAPSQPSPSLLALPAPENSDSGDVSLLYSNISCFKELDEDEMKRGLTLIGESKRKDLERRWKVLQYSEMELLANRSLLIGEQIKLVSEALQSSNN >Vigun11g226300.1.v1.2 pep primary_assembly:ASM411807v1:11:41660141:41668078:1 gene:Vigun11g226300.v1.2 transcript:Vigun11g226300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIALVNKPLEVLIRRTIGTILSKWLPPTKEGGRRVRKALPTPKASFRVSGLGFRFWGFGCRVSGFGIWCLGFGFKGFGFRVSCIEFRVLCLGFRVWGFRVSCLGFRVSGVGFVVLGFGVWGLGFGFRFSGLGCRVSGFRVSGLGFLVSSVGFWVRVFRVSGVGFSVSCVGFRVSGFGIWGLGFGFNVLGFGVWGFGFRVSGVGCRVSGFRFRVSCIRFRVSGVGFRVWGFGCRDSGVGFRFWDLVFGVWVDGFGLWVLSVEFRVLCVGFVVSGFGILGFGFGFRVSGLGFHVSGLGFHFWGFGCRVSGVRFQVSGLGFRVSSVGFWVSGVGFWVSCVWFGVSGFGVWGLGFGFRVSGVGFRGSGLGFHVSGFGILGFGFWVRVSGLGFQVSSLGFLVLCLGFRVWGFRFRVSGGGFVVSGFEIWGLGFGFRVLGFGVWGLGLRVSGVGHMVSGVGFQFSGVGFWVSSFGIWCLGYGFKVRGSGFGVRGSGFGVRGSGFGVRGSGFGVRGSGFGVRGSGFGVRGSGFRVSGFGFRVSGFGFRVSGFGFRVSGFGFRVSGFGFRVSGFRVSGSGFRVRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRGFRVQGSGFRVQGSGFRVQGSGFRGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGGFRVQGSGFRVQGSGFRVQGSGFRVQGGSGFRVQGVQGSGFRVQGSGFQGSGFRVQGSGFRVQGSGFRVQGSGFRVSGFRVLGFGVWGLGLRVSGVGHMVSGVGFQFSGVGFWVSSFGIWCLGYGFKVRGSGFGVRGSGFGGVRGSGFGVRGSGFGVRGSGFGVRGSGFRVSGFGFRVSGFGFRVSGFGFRVSGFGVQGSGFRVQGSGFRVQGLGFRVQGLGFRVQGSGFRV >VigunL004866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:59437:60954:-1 gene:VigunL004866.v1.2 transcript:VigunL004866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun09g229800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40152881:40154686:-1 gene:Vigun09g229800.v1.2 transcript:Vigun09g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYQEHHLVPTQTTTMKDANATANVSDLKTLIEELESSIEVPSVFICPISLEPMQDPVTLCTGQTYDRSNILKWFSLGHNTCPTTMQDLWDDSVTPNTTLHHFILSWFSQKYLAMKKKLEDVQGTALELLDTLKRVKGQNRVRALKQLRKLVDSHLSTRKTVEENNGLALISSLLGPFTSHAVGSEAIGILVNLDLGSELKRNLMQPAKVSLLVDIMNEGTIQTKMNCAKLIQMLLVEGNPSETVVLSSLSLLVGVLRLVRDKKHPTSVLTGLILLKIVCSRESVRSTIVSIGAVPQLIQLLPTLNHECLEIALNILEVLSTLPEGRLALKECPNIIPSVVKLLMRVSESCTQFALSILWAIYKLAPEECASKAVEAGLAAKLLLVIQSGCNPVLKQKSAEFLKMCSLDYSSSILISKCMLTATIQ >Vigun03g069000.3.v1.2 pep primary_assembly:ASM411807v1:3:5698828:5728209:1 gene:Vigun03g069000.v1.2 transcript:Vigun03g069000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLEMLVDVDLAEEGILRLLFAAVCLMLNKSGNDSETSAASRLLALATCFATKMLHKYGMLQHKKDTCIADSCNNTKLLPLPPIEPVKLQTEVDFTRKLCEISHFLEIIRNLQCRHRSIFQRASQGLADSGKESSLTNTHMLQEDPELPILPSDLESLDVLNQHELSVPGSDKNENLALVPVDSDSRLVSDEFGNISHLTSSGGILHRKVLPVENPREMMARWKVDNLDLKTVVKDALLSGRLPLAVLQLHLFHQLNDFVADEEPHDTFTEIRDIGRAVAYELFLKGETELAVATLQRLGENIESCLKQLLFGTVRRSLRTQIAEEMKRYGYLGPYEWKILDDMSLIETLYPSSSFWKTYNHRLKDISNSLGSVLPVENQLRLLHNHSFDSHVIECGEIDGIVFDTWINISESSSAMEVDEDDAPAGYWASAAVWFDAWDQRTIDRMILDQPYHSSNSILWDSQLEYHVCRNNWKEVFRLLNLIPPYVLSVGSLQLNLDVLQPASSYGGNINMKSSNYGSFLCSFEELDSVCLEVPDVQIFRFSPDICSEWMRMLMEENLAKKFIFVKEYWEGTSEIIALLARSGFISGIDKLCVEDDPTETSSVRNGSIQALHKIFVHHCSQYNLPNLLDLYLDQHNLVFDNDSLYALQESTVDCEWARWLLLSRVRGCEYEASLANSRSIMSRNLVPRSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHAAVPIQNCLNSGGVNRHSNSSAQCTLENLRPTLQKFPTLWRTLVGACLGQDTMALLVPKARSALSDYLNWRDEIFFSTGRDTSLLQMLPCWFPKPIRRLIQLYVQGPLGCQSFSEFPTGETLLHRDIDLFINADVHAEISAMSWEATIQRHIEELYGPLLEENGFGLEHLLHRGRALAAFNQILGHRVQNLKSEGESSTSAHGQTNIQSDVQTLLSPLEQSEETLLSCVLPTAIMHFDDSMLVASCAFLLELCGLSANKLHVDIAVLKRISSFYKSIENNENLRQLSPKGSVFHAMSHEGDVTESLARALAEEYLHKDSPVIATETGAVGKQPSRALILVLHHLEKASLPRLVDGKSYGSWLLSGNGDGNELRSQQKTASQHWTLVTNFCKLHQLPLSTKYLTVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKASSALFLDTLGKDGETTFPYENMCVPVELFQILAECEKHKCPGEALLRKAKELSWSILAMVASCFLDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNAVPVGNRVLTFHYNRQSPKRRRLITPVSLDSSASAISDISRTSRSEKIFDSQGKAVENDRKVEHFGCVNVPSDSVEGPASLSKMVSVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMYLQPNVGREAQIGASWISSTASTAADAVLSTCPSPYEKRCLLQLLAATDFGDGGHTAAYYRRVYWKINLAEPLLRKDNELHLGDEEILDDASLLSALENNSHWEQARNWAKQLEANGAPWKSATHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSRQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESEAQVKSEGDFNFTFSTRESGIKNDSSIIDRTASIIAKMDNHINTMKSRTVEKYESRENQIPHKNFVIDAGLSTTVGGNTKTKRRAKGYMPPRRPPLESADKSADTDDVSSTIHLKNELQLQDDNIKVEMSFSRWEERVGTAELERAVLSLLEFGQIAAAKQLQYKFSPGQIPSEFRLVDAALKLAANSTPPSNVSVSMLDEEVRSVMQSYGILNKQHYIDPLQVLESLVTIFTEGSGRGLCKRIIAVIKAANTLGLSFSEAFNKQPIELLHLLSLKAQDSFEEANFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSSCLDGVDVLVALAATRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDFDAFAMVYNHFDMKHETAALLESRAEQSCEQWFHRYHKDHNEDLLDSMRYYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRMQLATVATGFGDINDACAEEMDKVPDNAAPLVLRKGHGGAYLPLM >Vigun03g069000.4.v1.2 pep primary_assembly:ASM411807v1:3:5695703:5728209:1 gene:Vigun03g069000.v1.2 transcript:Vigun03g069000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVDLAEEGILRLLFAAVCLMLNKSGNDSETSAASRLLALATCFATKMLHKYGMLQHKKDTCIADSCNNTKLLPLPPIEPVKLQTEVDFTRKLCEISHFLEIIRNLQCRHRSIFQRASQGLADSGKESSLTNTHMLQEDPELPILPSDLESLDVLNQHELSVPGSDKNENLALVPVDSDSRLVSDEFGNISHLTSSGGILHRKVLPVENPREMMARWKVDNLDLKTVVKDALLSGRLPLAVLQLHLFHQLNDFVADEEPHDTFTEIRDIGRAVAYELFLKGETELAVATLQRLGENIESCLKQLLFGTVRRSLRTQIAEEMKRYGYLGPYEWKILDDMSLIETLYPSSSFWKTYNHRLKDISNSLGSVLPVENQLRLLHNHSFDSHVIECGEIDGIVFDTWINISESSSAMEVDEDDAPAGYWASAAVWFDAWDQRTIDRMILDQPYHSSNSILWDSQLEYHVCRNNWKEVFRLLNLIPPYVLSVGSLQLNLDVLQPASSYGGNINMKSSNYGSFLCSFEELDSVCLEVPDVQIFRFSPDICSEWMRMLMEENLAKKFIFVKEYWEGTSEIIALLARSGFISGIDKLCVEDDPTETSSVRNGSIQALHKIFVHHCSQYNLPNLLDLYLDQHNLVFDNDSLYALQESTVDCEWARWLLLSRVRGCEYEASLANSRSIMSRNLVPRSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHAAVPIQNCLNSGGVNRHSNSSAQCTLENLRPTLQKFPTLWRTLVGACLGQDTMALLVPKARSALSDYLNWRDEIFFSTGRDTSLLQMLPCWFPKPIRRLIQLYVQGPLGCQSFSEFPTGETLLHRDIDLFINADVHAEISAMSWEATIQRHIEELYGPLLEENGFGLEHLLHRGRALAAFNQILGHRVQNLKSEGESSTSAHGQTNIQSDVQTLLSPLEQSEETLLSCVLPTAIMHFDDSMLVASCAFLLELCGLSANKLHVDIAVLKRISSFYKSIENNENLRQLSPKGSVFHAMSHEGDVTESLARALAEEYLHKDSPVIATETGAVGKQPSRALILVLHHLEKASLPRLVDGKSYGSWLLSGNGDGNELRSQQKTASQHWTLVTNFCKLHQLPLSTKYLTVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKASSALFLDTLGKDGETTFPYENMCVPVELFQILAECEKHKCPGEALLRKAKELSWSILAMVASCFLDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNAVPVGNRVLTFHYNRQSPKRRRLITPVSLDSSASAISDISRTSRSEKIFDSQGKAVENDRKVEHFGCVNVPSDSVEGPASLSKMVSVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMYLQPNVGREAQIGASWISSTASTAADAVLSTCPSPYEKRCLLQLLAATDFGDGGHTAAYYRRVYWKINLAEPLLRKDNELHLGDEEILDDASLLSALENNSHWEQARNWAKQLEANGAPWKSATHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSRQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESEAQVKSEGDFNFTFSTRESGIKNDSSIIDRTASIIAKMDNHINTMKSRTVEKYESRENQIPHKNFVIDAGLSTTVGGNTKTKRRAKGYMPPRRPPLESADKSADTDDVSSTIHLKNELQLQDDNIKVEMSFSRWEERVGTAELERAVLSLLEFGQIAAAKQLQYKFSPGQIPSEFRLVDAALKLAANSTPPSNVSVSMLDEEVRSVMQSYGILNKQHYIDPLQVLESLVTIFTEGSGRGLCKRIIAVIKAANTLGLSFSEAFNKQPIELLHLLSLKAQDSFEEANFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSSCLDGVDVLVALAATRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDFDAFAMVYNHFDMKHETAALLESRAEQSCEQWFHRYHKDHNEDLLDSMRYYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRMQLATVATGFGDINDACAEEMDKVPDNAAPLVLRKGHGGAYLPLM >Vigun03g069000.2.v1.2 pep primary_assembly:ASM411807v1:3:5698828:5728209:1 gene:Vigun03g069000.v1.2 transcript:Vigun03g069000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATYTLSLEMLVDVDLAEEGILRLLFAAVCLMLNKSGNDSETSAASRLLALATCFATKMLHKYGMLQHKKDTCIADSCNNTKLLPLPPIEPVKLQTEVDFTRKLCEISHFLEIIRNLQCRHRSIFQRASQGLADSGKESSLTNTHMLQEDPELPILPSDLESLDVLNQHELSVPGSDKNENLALVPVDSDSRLVSDEFGNISHLTSSGGILHRKVLPVENPREMMARWKVDNLDLKTVVKDALLSGRLPLAVLQLHLFHQLNDFVADEEPHDTFTEIRDIGRAVAYELFLKGETELAVATLQRLGENIESCLKQLLFGTVRRSLRTQIAEEMKRYGYLGPYEWKILDDMSLIETLYPSSSFWKTYNHRLKDISNSLGSVLPVENQLRLLHNHSFDSHVIECGEIDGIVFDTWINISESSSAMEVDEDDAPAGYWASAAVWFDAWDQRTIDRMILDQPYHSSNSILWDSQLEYHVCRNNWKEVFRLLNLIPPYVLSVGSLQLNLDVLQPASSYGGNINMKSSNYGSFLCSFEELDSVCLEVPDVQIFRFSPDICSEWMRMLMEENLAKKFIFVKEYWEGTSEIIALLARSGFISGIDKLCVEDDPTETSSVRNGSIQALHKIFVHHCSQYNLPNLLDLYLDQHNLVFDNDSLYALQESTVDCEWARWLLLSRVRGCEYEASLANSRSIMSRNLVPRSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHAAVPIQNCLNSGGVNRHSNSSAQCTLENLRPTLQKFPTLWRTLVGACLGQDTMALLVPKARSALSDYLNWRDEIFFSTGRDTSLLQMLPCWFPKPIRRLIQLYVQGPLGCQSFSEFPTGETLLHRDIDLFINADVHAEISAMSWEATIQRHIEELYGPLLEENGFGLEHLLHRGRALAAFNQILGHRVQNLKSEGESSTSAHGQTNIQSDVQTLLSPLEQSEETLLSCVLPTAIMHFDDSMLVASCAFLLELCGLSANKLHVDIAVLKRISSFYKSIENNENLRQLSPKGSVFHAMSHEGDVTESLARALAEEYLHKDSPVIATETGAVGKQPSRALILVLHHLEKASLPRLVDGKSYGSWLLSGNGDGNELRSQQKTASQHWTLVTNFCKLHQLPLSTKYLTVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKASSALFLDTLGKDGETTFPYENMCVPVELFQILAECEKHKCPGEALLRKAKELSWSILAMVASCFLDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNAVPVGNRVLTFHYNRQSPKRRRLITPVSLDSSASAISDISRTSRSEKIFDSQGKAVENDRKVEHFGCVNVPSDSVEGPASLSKMVSVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMYLQPNVGREAQIGASWISSTASTAADAVLSTCPSPYEKRCLLQLLAATDFGDGGHTAAYYRRVYWKINLAEPLLRKDNELHLGDEEILDDASLLSALENNSHWEQARNWAKQLEANGAPWKSATHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSRQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESEAQVKSEGDFNFTFSTRESGIKNDSSIIDRTASIIAKMDNHINTMKSRTVEKYESRENQIPHKNFVIDAGLSTTVGGNTKTKRRAKGYMPPRRPPLESADKSADTDDVSSTIHLKNELQLQDDNIKVEMSFSRWEERVGTAELERAVLSLLEFGQIAAAKQLQYKFSPGQIPSEFRLVDAALKLAANSTPPSNVSVSMLDEEVRSVMQSYGILNKQHYIDPLQVLESLVTIFTEGSGRGLCKRIIAVIKAANTLGLSFSEAFNKQPIELLHLLSLKAQDSFEEANFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSSCLDGVDVLVALAATRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDFDAFAMVYNHFDMKHETAALLESRAEQSCEQWFHRYHKDHNEDLLDSMRYYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRMQLATVATGFGDINDACAEEMDKVPDNAAPLVLRKGHGGAYLPLM >Vigun03g069000.1.v1.2 pep primary_assembly:ASM411807v1:3:5691883:5728209:1 gene:Vigun03g069000.v1.2 transcript:Vigun03g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLGSEDPAILQLHNWDPSETRIGLSDFREAFISPTREILLLHSHGREALLLPLGKGEFHSGGAEGNDDGYDKHNLESSNVSLEASSRPSCSILVNESPCTSGSDVDTDVTGTKCSRFNSHPYISDVNSLTWARCEDGYDQHNDASFREVLFVSGRCGVTVHAFPKLTKTNGIDQAMLEGNFRQGRWVEWGPVATLSSNISHGVSVGENVNFTGGDGGVEPLSGSATKRYLESFYTKVETVVSDGILRTKFPENNGFPCSTKVVSFSVFDGSLSLDHLVGEKSVQSKENGQEPVDSDIKFDIFSTVFGIVVNGFYECPKVFSSASYCLVGFYFTLIPHVSVNSSDANQMCRSRNLLLVAKLDSWGIQWVSMVKLDERTNIAQAVEWMDFQFSDNLLVCLDSSGLIVLYSAMSGEYVTNLNVSQASGLNPHFDLQGLENLYSNSDTYAKQECGIGDNMSDQKSDSFRRSFKRLVVASHTSLLALVDEYGVIYVISLGEYIFDKKYSSEKLLPHCQQFGLGMLVGWGVGGSDLDRQAVHSSDLNIKYGSAASSDKAVAGNALQNINGCALKEKWDLHSFHSGVFSATSKVNNGHKFRGSDVKSSVMRKILIPNFRVCEDDSICFSPLGITIFSKKKCVKDQNGSQLSHFNLQVNLEVHDDNFLDSVYDDVYHFDGKDVTGEAIGCAFQGCFYIVREGGLSVYIPSISISPNFFPVEYIGYRQSSKDIGISVLLNDNLEIKEPTKRFSPWKVEVLDRVLLYEGTEVAEQLCLKNGWDIKVSRIRQLQIALDHLKFYEIERSLEMLVDVDLAEEGILRLLFAAVCLMLNKSGNDSETSAASRLLALATCFATKMLHKYGMLQHKKDTCIADSCNNTKLLPLPPIEPVKLQTEVDFTRKLCEISHFLEIIRNLQCRHRSIFQRASQGLADSGKESSLTNTHMLQEDPELPILPSDLESLDVLNQHELSVPGSDKNENLALVPVDSDSRLVSDEFGNISHLTSSGGILHRKVLPVENPREMMARWKVDNLDLKTVVKDALLSGRLPLAVLQLHLFHQLNDFVADEEPHDTFTEIRDIGRAVAYELFLKGETELAVATLQRLGENIESCLKQLLFGTVRRSLRTQIAEEMKRYGYLGPYEWKILDDMSLIETLYPSSSFWKTYNHRLKDISNSLGSVLPVENQLRLLHNHSFDSHVIECGEIDGIVFDTWINISESSSAMEVDEDDAPAGYWASAAVWFDAWDQRTIDRMILDQPYHSSNSILWDSQLEYHVCRNNWKEVFRLLNLIPPYVLSVGSLQLNLDVLQPASSYGGNINMKSSNYGSFLCSFEELDSVCLEVPDVQIFRFSPDICSEWMRMLMEENLAKKFIFVKEYWEGTSEIIALLARSGFISGIDKLCVEDDPTETSSVRNGSIQALHKIFVHHCSQYNLPNLLDLYLDQHNLVFDNDSLYALQESTVDCEWARWLLLSRVRGCEYEASLANSRSIMSRNLVPRSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHAAVPIQNCLNSGGVNRHSNSSAQCTLENLRPTLQKFPTLWRTLVGACLGQDTMALLVPKARSALSDYLNWRDEIFFSTGRDTSLLQMLPCWFPKPIRRLIQLYVQGPLGCQSFSEFPTGETLLHRDIDLFINADVHAEISAMSWEATIQRHIEELYGPLLEENGFGLEHLLHRGRALAAFNQILGHRVQNLKSEGESSTSAHGQTNIQSDVQTLLSPLEQSEETLLSCVLPTAIMHFDDSMLVASCAFLLELCGLSANKLHVDIAVLKRISSFYKSIENNENLRQLSPKGSVFHAMSHEGDVTESLARALAEEYLHKDSPVIATETGAVGKQPSRALILVLHHLEKASLPRLVDGKSYGSWLLSGNGDGNELRSQQKTASQHWTLVTNFCKLHQLPLSTKYLTVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKASSALFLDTLGKDGETTFPYENMCVPVELFQILAECEKHKCPGEALLRKAKELSWSILAMVASCFLDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNAVPVGNRVLTFHYNRQSPKRRRLITPVSLDSSASAISDISRTSRSEKIFDSQGKAVENDRKVEHFGCVNVPSDSVEGPASLSKMVSVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMYLQPNVGREAQIGASWISSTASTAADAVLSTCPSPYEKRCLLQLLAATDFGDGGHTAAYYRRVYWKINLAEPLLRKDNELHLGDEEILDDASLLSALENNSHWEQARNWAKQLEANGAPWKSATHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSRQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESEAQVKSEGDFNFTFSTRESGIKNDSSIIDRTASIIAKMDNHINTMKSRTVEKYESRENQIPHKNFVIDAGLSTTVGGNTKTKRRAKGYMPPRRPPLESADKSADTDDVSSTIHLKNELQLQDDNIKVEMSFSRWEERVGTAELERAVLSLLEFGQIAAAKQLQYKFSPGQIPSEFRLVDAALKLAANSTPPSNVSVSMLDEEVRSVMQSYGILNKQHYIDPLQVLESLVTIFTEGSGRGLCKRIIAVIKAANTLGLSFSEAFNKQPIELLHLLSLKAQDSFEEANFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSSCLDGVDVLVALAATRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDFDAFAMVYNHFDMKHETAALLESRAEQSCEQWFHRYHKDHNEDLLDSMRYYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRMQLATVATGFGDINDACAEEMDKVPDNAAPLVLRKGHGGAYLPLM >Vigun03g069000.5.v1.2 pep primary_assembly:ASM411807v1:3:5695703:5728209:1 gene:Vigun03g069000.v1.2 transcript:Vigun03g069000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEDPELPILPSDLESLDVLNQHELSVPGSDKNENLALVPVDSDSRLVSDEFGNISHLTSSGGILHRKVLPVENPREMMARWKVDNLDLKTVVKDALLSGRLPLAVLQLHLFHQLNDFVADEEPHDTFTEIRDIGRAVAYELFLKGETELAVATLQRLGENIESCLKQLLFGTVRRSLRTQIAEEMKRYGYLGPYEWKILDDMSLIETLYPSSSFWKTYNHRLKDISNSLGSVLPVENQLRLLHNHSFDSHVIECGEIDGIVFDTWINISESSSAMEVDEDDAPAGYWASAAVWFDAWDQRTIDRMILDQPYHSSNSILWDSQLEYHVCRNNWKEVFRLLNLIPPYVLSVGSLQLNLDVLQPASSYGGNINMKSSNYGSFLCSFEELDSVCLEVPDVQIFRFSPDICSEWMRMLMEENLAKKFIFVKEYWEGTSEIIALLARSGFISGIDKLCVEDDPTETSSVRNGSIQALHKIFVHHCSQYNLPNLLDLYLDQHNLVFDNDSLYALQESTVDCEWARWLLLSRVRGCEYEASLANSRSIMSRNLVPRSDLSVLELDEIIRTVDDIAEGGGEMAALATLMHAAVPIQNCLNSGGVNRHSNSSAQCTLENLRPTLQKFPTLWRTLVGACLGQDTMALLVPKARSALSDYLNWRDEIFFSTGRDTSLLQMLPCWFPKPIRRLIQLYVQGPLGCQSFSEFPTGETLLHRDIDLFINADVHAEISAMSWEATIQRHIEELYGPLLEENGFGLEHLLHRGRALAAFNQILGHRVQNLKSEGESSTSAHGQTNIQSDVQTLLSPLEQSEETLLSCVLPTAIMHFDDSMLVASCAFLLELCGLSANKLHVDIAVLKRISSFYKSIENNENLRQLSPKGSVFHAMSHEGDVTESLARALAEEYLHKDSPVIATETGAVGKQPSRALILVLHHLEKASLPRLVDGKSYGSWLLSGNGDGNELRSQQKTASQHWTLVTNFCKLHQLPLSTKYLTVLARDNDWIEFLSEAQIGGYSFDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKASSALFLDTLGKDGETTFPYENMCVPVELFQILAECEKHKCPGEALLRKAKELSWSILAMVASCFLDVSSLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNAVPVGNRVLTFHYNRQSPKRRRLITPVSLDSSASAISDISRTSRSEKIFDSQGKAVENDRKVEHFGCVNVPSDSVEGPASLSKMVSVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPMYLQPNVGREAQIGASWISSTASTAADAVLSTCPSPYEKRCLLQLLAATDFGDGGHTAAYYRRVYWKINLAEPLLRKDNELHLGDEEILDDASLLSALENNSHWEQARNWAKQLEANGAPWKSATHHVTESQAESMVAEWKEFLWDVPEERVALWNHCHTLFIRYSFPSRQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESEAQVKSEGDFNFTFSTRESGIKNDSSIIDRTASIIAKMDNHINTMKSRTVEKYESRENQIPHKNFVIDAGLSTTVGGNTKTKRRAKGYMPPRRPPLESADKSADTDDVSSTIHLKNELQLQDDNIKVEMSFSRWEERVGTAELERAVLSLLEFGQIAAAKQLQYKFSPGQIPSEFRLVDAALKLAANSTPPSNVSVSMLDEEVRSVMQSYGILNKQHYIDPLQVLESLVTIFTEGSGRGLCKRIIAVIKAANTLGLSFSEAFNKQPIELLHLLSLKAQDSFEEANFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSSCLDGVDVLVALAATRVDAYVLEGDFPCLARLITGVGNFYALNFILGILIENGQLDLLLQKYSAAADTNTGTAEAVRGFRMAVLTSLKHFNPNDFDAFAMVYNHFDMKHETAALLESRAEQSCEQWFHRYHKDHNEDLLDSMRYYIEAAEVHSSIDAGNKTRRDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVMEEFVAEFVAVLPLQPSMLIDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRMQLATVATGFGDINDACAEEMDKVPDNAAPLVLRKGHGGAYLPLM >Vigun02g029900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:12432989:12433865:-1 gene:Vigun02g029900.v1.2 transcript:Vigun02g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKYLAQFYSHIKWVFDFLLFYPFYKLHDSQMPIIGEDNSICHYSHTPDTEEDADCAVCLCKFGDGEEIRVLRCEHFFHRNCLDVWVALKNASCPLCREPVRPRRTLNEVGAEVLWFQFCSVNTNDGDRWWLR >Vigun03g339800.1.v1.2 pep primary_assembly:ASM411807v1:3:53814180:53818429:1 gene:Vigun03g339800.v1.2 transcript:Vigun03g339800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKKFIDKKNSATFQLIARDSSDPSFSQSDRVFVRVDNNPVSFPDAEDAGFDDATDDYDDYDGVNGGPLPEDVRKEILELGFPDDGYNYLSHLREIKNTGAGAAFFHNPKFKLQHLPRDIKAYDASKLQISESHGEPEENSLYSVASKTTSVRVQKAVDPEVAALLDDSDVSRLGSDIEDLEEDFVVQANLLEDEDEEKNHICNKTSFSEESLVNRNPNSAHVLQVSTHSRDTDDSGPFHGVTDGVPGVDCVGEKPRARRLLDEQFDLLERQEYGTDNDEDDGDYYENYHADEDESLALKLKHSLKNHGMDDLELDDDKYKVPADLLKNKEAPHEEQDDSAADVIRRCKEYAEGYEVEDENKDVVLVQESSDESEVWDCETIVSTYSNLDNHPAKIEAPGVSRKKKLAQTVSAVLGSSNQIISLRGKEKLPVDFLPGGRKDSTEKSKGQSIPKTEQNKRKQHGLETKEEKKERKAAVKEERREARRTKKETKELYRFEAHRAQRAAAVSGPSSIHLL >Vigun05g096500.1.v1.2 pep primary_assembly:ASM411807v1:5:9376467:9377474:-1 gene:Vigun05g096500.v1.2 transcript:Vigun05g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGTNVESLIPPSNLNSEDASEREEEEVEKVKEDDVGKEEKDGGGLINNFISFISHHESASAAAADDDDGEKGGVEDCEEGRGGVIRKMVSSFFHQSEGEGVVGVREEEKEEEEIMADEKVKRFKTENGGIIHNISSHLPPSVPDSAVPTADEATFLINSLVRD >Vigun09g053000.2.v1.2 pep primary_assembly:ASM411807v1:9:5284461:5289015:-1 gene:Vigun09g053000.v1.2 transcript:Vigun09g053000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATSPLLRPLHQLQFPKCPRLSSKSHFRPRRFHFLTKRTISAISAVAAEPELRTQQDQSVETDVLACPICYEPLIRKGSPGLNLSAIYRSGFMCKRCKKSYSSKDRYLDLTVTAGLKDYTEIQPARTELFRSPLVSFLYERGWRQNFRQSGFPGPDEEFKMAQEYFESAKGGLLVDVSCGSGLFSRKFAKSGTYSGVVALDFSENMLRQCYDFIKKDDTLSTTNIALVRADVSRLPFASGSVDAVHAGAALHCWPSPSNAVAEITRILRSGGVFVGSTFLRYSSSTPWFIRPFRERTPQGYGYLTEEEIRDLCTSCGLTNYSRKIQQAFIMFTAQKP >Vigun09g053000.1.v1.2 pep primary_assembly:ASM411807v1:9:5284446:5289015:-1 gene:Vigun09g053000.v1.2 transcript:Vigun09g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATSPLLRPLHQLQFPKCPRLSSKSHFRPRRFHFLTKRTISAISAVAAEPELRTQQDQSVETDVLACPICYEPLIRKGSPGLNLSAIYRSGFMCKRCKKSYSSKDRYLDLTVTAGLKDYTEIQPARTELFRSPLVSFLYERGWRQNFRQSGFPGPDEEFKMAQEYFESAKGGLLVDVSCGSGLFSRKFAKSGTYSGVVALDFSENMLRQCYDFIKKDDTLSTTNIALVRADVSRLPFASGSVDAVHAGAALHCWPSPSNAVAEITRILRSGGVFVGSTFLRYSSSTPWFIRPFRERTPQGYGYLTEEEIRDLCTSCGLTNYSRKIQQAFIMFTAQKP >Vigun10g171300.1.v1.2 pep primary_assembly:ASM411807v1:10:39007087:39014875:-1 gene:Vigun10g171300.v1.2 transcript:Vigun10g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFGINIADFSWTCLRDFDFTSLCSQTSIIDILKVLFLGVFYTSLVISLIRGSSACGSNRKNWVFLVVSICCALISIAHFSNGLRLWNRKSLLACIFRAFMWLSFTVSLHAQRNKWIKILNSIWWTSSCVLASTLNIEIMFKGYTIQLFEMIQWLVHFLLLFCSFQNLGCFVASQSKQEDTASLSQPLIAQKVETTQTQLGRATLLSKLTFSWVNSLLRLGYSKPLALEDIPFLLPEDEANLAYQAFMHAWESLLRETSNNNNNKNLVLWSVARTFSKENILIAFYALLRSICMIISPLILYAFVNYTNSTEADLKQGFSILGFMVLSKVVESLCQRHYAFGSRRSGMKIRSALMVAVYQKLLKLSSSARTRHSTGEVVNYIAVDAYRLGEFPWWFHIAWTSAVQLVLSIIILFSVVGVGALPGLVPLLICGLLNVPFAKIIHSCQSQFMMAQDERLRSTSEILSSMKIIKLQSWEDKFKNLVLSLRAKEFKWLTKIQIIKANGSFLYWMNPTIVPTVVFLGCALFKSAPLNAGTIFTVITSLRVMGEPVRMIPEALSIMIQVKVSFDRLNTFLLDEELTSTNGYGRNIKQSSVNAVEIQEGNFIWDHESVSPTLREVKLEIKWGQKIAVCGPVGAGKSSLLYAVLGEIPKISGTVNVGGTIAYVSQTSWIQSGTVRDNILFGKPMDKTRYENVTKVCALDMDINDFSHGDLTEIGQRGINMSGGQRQRIQLARAVYNDADIYLLDDPFSAVDAQTAAILFHDCVVTALREKTVILVTHQVEFLTEVDTILVMEDGKVTQSGSYEDLQSAGTAFEQLVSAHKAALTGLDKKYENSSDSDIEFMVHPEESQSFNLTKNQSEQVISTKGQLGAQLTQEEEKLTGDVGWKQFWDYISFSRSSFVFFLTMLAEFAFIALQTASSYWLALAFEIPKVTSGLLIGVYASFSFLSAIFIYIRSFLAAYLGLKASEAFFSSFTSAIFNAPMLFFDSTPVGRILTRASSDLSILDIDIPYTLTLVAFVAAEILVTICVMVSITWQVLIVAVPATVASIYIQGYHQASSRELMRINGTTKAPVMNFAAETSLGVVTIRAMNMVNRFFSNYLKLVDMDAAVFFHSNVATEWSILRIELLQNLTVFTAAALLILLPRESVSPGLVGLSLSYALTLKESQVFCSRMYSMLSDHIISVERIKQFIHIPSEPPAFVEDNRPPSSWPSKGRIDLKALEIRYRPNAQLVLKGINCTFVEGSRVGVVGRTGSGKTTLISALFRLVEPSRGDILIDGINICLMGLKDLRTKLSIIPQEPTLFKGSIRTNLDPLGFYEDDEIWKALEKCQLKETISKLPGLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDTILQQVIRQEFAECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMATNSWFSNLVAEYWSSCRKNSSPNISRKQH >Vigun07g172300.1.v1.2 pep primary_assembly:ASM411807v1:7:28756629:28763196:1 gene:Vigun07g172300.v1.2 transcript:Vigun07g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEGDYNVMAIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTMLKYPQIGSSSRARPSGKPVINPGQSGERPSGVSEIRDRFSGAVEAFARRNGSGLGLHGDHSRHKSSDDAPSSSKDVQADSERPRSSSRNGSSSKKLVLSSSRPSSSGEPSESRVSRLVSSSGRLSTTQRLQPASESKTSLTRTSGTRLGRDDALRSFELLSLSTGKRK >Vigun09g248800.2.v1.2 pep primary_assembly:ASM411807v1:9:41616178:41620616:1 gene:Vigun09g248800.v1.2 transcript:Vigun09g248800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRLPWSAISIAAPFPCRSGGSNGLRIPVRKHLNSSPYSFCYSSGINLPPQPGQETDTRHSQSLKPGLYLVGTPIGNLEDITLRALRVLNSADVILSEDTRHSGKLLHHYNIKTPLMSYHKYNELQREQVVLRRLKQGDVVALISDAGMPGISDPGMELAKLCVSENILVVPIPGPCALVSALSASGLPTDEFTFVGFLPKHSGSRKKRLMVSADQTTTQIYYVPPHKLSQFLDESSSVFGDARKCVIAREMTKLHEE >Vigun09g248800.1.v1.2 pep primary_assembly:ASM411807v1:9:41616178:41620616:1 gene:Vigun09g248800.v1.2 transcript:Vigun09g248800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRLPWSAISIAAPFPCRSGGSNGLRIPVRKHLNSSPYSFCYSSGINLPPQPGQETDTRHSQSLKPGLYLVGTPIGNLEDITLRALRVLNSADVILSEDTRHSGKLLHHYNIKTPLMSYHKYNELQREQVVLRRLKQGDVVALISDAGMPGISDPGMELAKLCVSENILVVPIPGPCALVSALSASGLPTDEFTFVGFLPKHSGSRKKRLMVSADQTTTQIYYVPPHKLSQFLDESSSVFGDARKCVIAREMTKLHEEFWRGTLGEAKEVFSIRQVKGELTILIQGQANSKVEPPSDIELESELRELISSGESLSTAVKLVTQKTSVSRKTIYSLALRKFGKQLEVEDDSN >Vigun09g248800.3.v1.2 pep primary_assembly:ASM411807v1:9:41616178:41622721:1 gene:Vigun09g248800.v1.2 transcript:Vigun09g248800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRLPWSAISIAAPFPCRSGGSNGLRIPVRKHLNSSPYSFCYSSGINLPPQPGQETDTRHSQSLKPGLYLVGTPIGNLEDITLRALRVLNSADVILSEDTRHSGKLLHHYNIKTPLMSYHKYNELQREQVVLRRLKQGDVVALISDAGMPGISDPGMELAKLCVSENILVVPIPGPCALVSALSASGLPTDEFTFVGFLPKHSGSRKKRLMVSADQTTTQIYYVPPHKLSQFLDESSSVFGDARKCVIAREMTKLHEEFWRGTLGEAKEVFSIRQVKGELTILIQGQANSKVEPPSDIELESELRELISSGESLSTAVKLVTQKTSVSRKTIYSLALRKFGKQLEVEDDSN >Vigun11g126800.1.v1.2 pep primary_assembly:ASM411807v1:11:33386967:33389552:-1 gene:Vigun11g126800.v1.2 transcript:Vigun11g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPHLQHQGKNIHSSSRMPIPSERHMFLHTGNGSGDSGLVLSTDAKPRLKWTPDLHARFIEAVQQLGGADKATPKTVMKLMGISGLTLYHLKSHLQKYRLSKSLHGQSNNVTHKISINPGAATDERLRENNGTHVNNLNLAPQSNNKDLHISEALQMQIEVQRRLNEQLEVQRLLQLRIEAQGKYLQAVLEKAQETLGRQNLGVVGLEAAKLQLSDLVSKVSSQCLNSAFLELKELQGFSPHQTQTNQPNDCSMDSCLTSCEVSQKEQEIQNGGMSLRPFNVHTFMERKEVIEGPNLNNLPSTDLKWCDPVKNTFLTPLSRRSPSNLSMSIGLEGETENGSTIRKESVKPVAEKVSQDYGLPSFFAAPKLDLATEDKNTRTSCKELDLNGFSWN >Vigun07g175500.1.v1.2 pep primary_assembly:ASM411807v1:7:29065420:29066333:-1 gene:Vigun07g175500.v1.2 transcript:Vigun07g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDCKGKSSWPELVGVEGKVAEATIERENPLVNAEIVAEGSSVTFDFRCDRVWVWINKDGIVKQVPHIG >Vigun07g064500.1.v1.2 pep primary_assembly:ASM411807v1:7:7492697:7496835:1 gene:Vigun07g064500.v1.2 transcript:Vigun07g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVATTPPPLLRRQALYQMARQPLAARPSPRPLIAATLSKPPEAAHSSSPAAAVVEGPPLVAPPRPRVSPDTLQYPPGYVGAVPHRSRSDSGGDGVMNAMNYLTNILTSKVYDVAIESPLQLAPKLSGKLGVKVWLKREDLQPVFSFKIRGAYNMMAKLPRELLEKGVICSSAGNHAQGVAFSAKRLNCSAVIVMPVTTPEIKWKSVEALGAKVVLVGDSYDEAQAYAKKQAIEEGRTFIPPFDHPDVIMGQGTVGMEIVRQIQGPVHAIFVPVGGGGLIAGIAAYVKRVNPEVRIIGVEPSDANAMALSFHHDQRVILDQVGGFADGVAVKEVGEETFRICKELVDGVVLVSRDSICASIKDMFEEKRNILEPAGALALAGAEAYCRYNGIRGENIVVITSGANMNFDKLRVVTELANVGRKQEAVLATVMPEEPGSFKQFCQLVGQVNITEFKYRYNSDKKAVVLYSVGVHTVSELRAMQERMESSQLKTFNLTESDLVKDHLRYLMGGRSDVQNEVLCRFTFPERPGALMKFLDSFSPRWNISLFHYRGEGETGANVLVGIQVPKSEMDEFHDRANGLGYDYQVVNNDGDFQLLMH >Vigun01g173800.1.v1.2 pep primary_assembly:ASM411807v1:1:35564292:35570677:-1 gene:Vigun01g173800.v1.2 transcript:Vigun01g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEIQRLEGHTDKVWSLDWNPATGHDGIPLVFASCSGDKTVRIWEQNLSSGLWACKAVLEETHTRTVRSCAWSPSGKILATGSFDATTAIWENVGGDFECVSTLEGHENEVKSVCWNASGTLLATCSRDKSVWIWEVLPGNEFECVSVLQGHAQDVKMVKWHPTEDILFSCSYDNNIKVWADEGDSDDWQCVQTLGEPNNGHTSTVWALSFNASGDKMVSCSDDLTVKVWGTENTEIQSGGGFAPWRHLCTLTGYHDRTIFSVHWSREGIFASGAADDAIRLFVDDNESQVDGPLYKLLLKKEKAHDMDVNFVQWSPGEKPLLASASDDGTIKVWELIS >Vigun01g173800.2.v1.2 pep primary_assembly:ASM411807v1:1:35564292:35570686:-1 gene:Vigun01g173800.v1.2 transcript:Vigun01g173800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEIQRLEGHTDKVWSLDWNPATGHDGIPLVFASCSGDKTVRIWEQNLSSGLWACKAVLEETHTRTVRSCAWSPSGKILATGSFDATTAIWENVGGDFECVSTLEGHENEVKSVCWNASGTLLATCSRDKSVWIWEVLPGNEFECVSVLQGHAQDVKMVKWHPTEDILFSCSYDNNIKVWADEGDSDDWQCVQTLGEPNNGHTSTVWALSFNASGDKMVSCSDDLTVKVWGTENTEIQSGGGFAPWRHLCTLTGYHDRTIFSVHWSREGIFASGAADDAIRLFVDDNESQ >Vigun11g207300.2.v1.2 pep primary_assembly:ASM411807v1:11:40348576:40351126:1 gene:Vigun11g207300.v1.2 transcript:Vigun11g207300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPQVVTCKAAICWGLGQPVTVEEIQVDPPKATEVRVKMLCSSLCHTDITSLQGFPHMKFPRALGHEGVGVVESVGDQVTTLKEGDVVIPTYIGECETCENCVSGKTNLCLTNPVRLTGLLPDETSRMSIRGQRLYHVLSCATWSEYMVSDVNYVLKVDPTIDPTHASFISCGFSTGYGAAWKEAKVESGSSVAVLGLGAVGLGAISGAKAMGAAKIIGIDKNEKKREKGEAFGMNHFINPSDSAKSVSELVKEATGGMGVDYSFECTGIPPMLTESLEATKMGTGKTVVVGIPAEPTVPLGLIAILLGRTLKGSVFGGLKVRSDLSIVVDKSQKKEFPLEELWSHEVPLEDIKNAFVILKEPSCLKIVIKMSHL >Vigun11g207300.1.v1.2 pep primary_assembly:ASM411807v1:11:40348622:40351149:1 gene:Vigun11g207300.v1.2 transcript:Vigun11g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPQVVTCKAAICWGLGQPVTVEEIQVDPPKATEVRVKMLCSSLCHTDITSLQGFPHMKFPRALGHEGVGVVESVGDQVTTLKEGDVVIPTYIGECETCENCVSGKTNLCLTNPVRLTGLLPDETSRMSIRGQRLYHVLSCATWSEYMVSDVNYVLKVDPTIDPTHASFISCGFSTGYGAAWKEAKVESGSSVAVLGLGAVGLGAISGAKAMGAAKIIGIDKNEKKREKGEAFGMNHFINPSDSAKSVSELVKEATGGMGVDYSFECTGIPPMLTESLEATKMGTGKTVVVGIPAEPTVPLGLIAILLGRTLKGSVFGGLKVRSDLSIVVDKSQKKEFPLEELWSHEVPLEDIKNAFVILKEPSCLKIVIKMSHL >Vigun08g017800.1.v1.2 pep primary_assembly:ASM411807v1:8:1494968:1499134:-1 gene:Vigun08g017800.v1.2 transcript:Vigun08g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSAAAAAAAAASRRKPSWRERENNRRRERRRRAIAAKIYSGLRAQGNYNLPKHCDNNEVLKALCAEAGWCVEEDGTTYRKGSKPPLANGAGSSMRNILFSSSQNPSPFSSSHPSPIPSYQVSPSSSSFPSPFRLDVDKDNVSNLIPYIRNASLSLPPLRISNSAPVTPPLSSPTSRNPKPIPTWESIAKESMTSFNYPLFAASAPASPTHRHLYTPATIPECDESDTSTCESSQWVKFQAFAPSASGLPTSPTFNLVKPVVPHGVPDNSIQEMRTSSEEIGVQVMPWVGERIHEVALDDLELTLGSGKVRS >Vigun06g149000.1.v1.2 pep primary_assembly:ASM411807v1:6:27389755:27393794:1 gene:Vigun06g149000.v1.2 transcript:Vigun06g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSEDALKKLQALMDQVEEEPLLRTFQNVHQGCVTETLIRFLKAREWNVTKAHKMIIDCLNWRVQNEIDNILSKPIIPTDLYRGIRDSQLVGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGKPITTCIKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRRKVQVLPGCGRDELLKIMDCASLPHFCKREGSGSSRHSDNGSGNENCYSFDHPFHQKLYNHIKEQSRIHEVVEPIKQGSFHVDFPEPPAEKAEIVKTLESELHKFKISNGDCD >Vigun07g236600.2.v1.2 pep primary_assembly:ASM411807v1:7:35809690:35815571:-1 gene:Vigun07g236600.v1.2 transcript:Vigun07g236600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRSKINTPLSQFEDSPVFNYISNLSPIEPVKSVHITQTFNSLSFSSPPSVFTSPHVNCHRESRFLRRHNLLDASKAKGSSEDINNVYSREKTLADSTQLCHESSEPQENTNPNISVRDASNEPRDENPNISIEVPQGLKYNLASPGYEPVICADEADSLLELPGKPGSDVAYVPDDSEKDSIEGEMHIPGLCQNEEKVEGPDCGVDDLIAEASDLLMFSPPNMTEALKDINKQLSPSTKLTNFRTLLGQSATNEGQQMHIVDTVASGSEHEIENHPCESGAATDTVQRQDNHTNVALVANNPMEKVDDKLVYVTHRGIRRRCLDFEMASVQRKNLDGKSNTNSSTEKSDEMDVSKGKQLVPIKHSGDSRKCVLPGIGLHLNALASLNDCKNIKIETLISGRQTNLPSSSSSLQLSASQDHQLSLVPISVEKDLEPSENEVQPGEDCTQPLVHMAGEDLQQNSPKKKRLEPDGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCRDCFNKPIHVDTVLQTRQQIESRNPLAFAPKVIRSSDSVPEIGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNTYGRKDGSVLSGIEAKPEEETEAGEKAVMENASHKTETQNTEEHPDHALPTTPLRLSRSLIPLPFSSKGKPPRSFVTTISSSGLFVSQKLGKSSAPRSQPKFEMPVQTIPDDDSPVTCIKTSSPNGKRISSPNCDVGSSPTRRGGRKLILQSIPSFPSLTPHNLRSD >Vigun07g236600.1.v1.2 pep primary_assembly:ASM411807v1:7:35809690:35815571:-1 gene:Vigun07g236600.v1.2 transcript:Vigun07g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRSKINTPLSQFEDSPVFNYISNLSPIEPVKSVHITQTFNSLSFSSPPSVFTSPHVNCHRESRFLRRHNLLDASKAKGSSEDINNVYSREKTLADSTQLCHESSEPQENTNPNISVRDASNEPRDENPNISIEVPQGLKYNLASPGYEPVICADEADSLLELPGKPGSDVAYVPDDSEKDSIEGEMHIPGLCQNEEKVEGPDCGVDDLIAEASDLLMFSPPNMTEALKDINKQLSPSTKLTNFRTLLGQSATNEGQQMHIVDTVASGSEHEIENHPCESGAATDTVQRQDNHTNVALVANNPMEKVDDKLVYVTHRGIRRRCLDFEMASVQRKNLDGKSNTNSSTEKSDEMDVSKGKQLVPIKHSGDSRKCVLPGIGLHLNALASLNDCKNIKIETLISGRQTNLPSSSSSLQLSASQDHQLSLVPISVEKDLEPSENEVQPGEDCTQPLVHMAGEDLQQNSPKKKRRRLEPDGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCRDCFNKPIHVDTVLQTRQQIESRNPLAFAPKVIRSSDSVPEIGDDPNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNTYGRKDGSVLSGIEAKPEEETEAGEKAVMENASHKTETQNTEEHPDHALPTTPLRLSRSLIPLPFSSKGKPPRSFVTTISSSGLFVSQKLGKSSAPRSQPKFEMPVQTIPDDDSPVTCIKTSSPNGKRISSPNCDVGSSPTRRGGRKLILQSIPSFPSLTPHNLRSD >Vigun05g188000.1.v1.2 pep primary_assembly:ASM411807v1:5:36433457:36436847:-1 gene:Vigun05g188000.v1.2 transcript:Vigun05g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSSKKALKSYTIKGTNKIVRVGDHVTMRPPDTNKPPYVARIEKIEPAGRKNDVKVYVRWYYRPEDSFGGRRRFHGVKELFLSDHYDVQSAHAIEEKCVVHSFKNYTKLQDVAAEDYYYRFEYKAASGTFTPDRVVVYCKCEMPYNPDVLMMQCEECKNWYHPACVDMTTEDAQKLEQYVCSECS >Vigun08g196800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36264841:36266819:1 gene:Vigun08g196800.v1.2 transcript:Vigun08g196800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLCSFPTRTNTQTTLLKSKHHAFSPTQTKQSMHKATTFDSKNMAGFPPVEIGTRGTVASLIMQEIEYFSRIDSNSQRNKSQITEVGSSISSTSSRTTIVSTVEESIKKKRVSSSRLLPSMCSMVDVSDNGRPNGTSAFGYRNLKSDTKKFQV >Vigun06g143300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26893080:26896304:1 gene:Vigun06g143300.v1.2 transcript:Vigun06g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSKFSIGIMNSVTSKCMILFVTVLILRALLFPSFPGFEGIEWSNLVHIRTPLLNFDFGIRQDKFLVAPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTMEKDLSQLKKHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVEGKITGNTEAVEMQNNITNDGPYFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDDWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26893080:26896304:1 gene:Vigun06g143300.v1.2 transcript:Vigun06g143300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTMEKDLSQLKKHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVEGKITGNTEAVEMQNNITNDGPYFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDDWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun06g143300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26893080:26896304:1 gene:Vigun06g143300.v1.2 transcript:Vigun06g143300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTLLMPSLSASLFYKEVDLLQPISFDKIFQFEKFNTLCHGFVRLGRYSDVLNRTQVLEMEKGSGRRWTMEKDLSQLKKHGKGSFDDHEVIRIVGKNPFLWHDHWPVKDYARIFECLYLTEEIAKEVDRVVSRIRAVEGKITGNTEAVEMQNNITNDGPYFEPLPYVAVHMRIEIDWMIHCKNLERRLNTNQICSGKKEIVERVRNIAGLKTPVVVYLAVADKLLNNSSILDDWEEGFVPFEKKKLGVDGIYKKYPYLIQSAIDYEVCLRADTFVGNSFSTFSSLIVLERTQKMIRMNGTNLCGENVRWPSYAYNIPGTSNGPKRWVTNMSESNLQSISYGTNHISC >Vigun07g228500.4.v1.2 pep primary_assembly:ASM411807v1:7:35015643:35017177:1 gene:Vigun07g228500.v1.2 transcript:Vigun07g228500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPGTILDHPSSFGTPPFLPGICFLNISSTTTSPASFASSTPIKVVPDRWEFSNECFRRGQKQLLCEIQRRKILSSSPPAGATASVAFPSPLPLSAIPISKPIVSPSNSTEEQVISSNSSPSRVPAELLDENERLRKENILLMKELEEMRSLCNNILNLMSNYATSRADGGAEAVKTLGLMPLKRCSAEDAAEEMNPKLFGVAIGTKRAREEGRGAEYDTVLSLHPFHADVKSEPLDFERHRENRSTSWLNHCRTANERMCN >Vigun07g228500.1.v1.2 pep primary_assembly:ASM411807v1:7:35015469:35017259:1 gene:Vigun07g228500.v1.2 transcript:Vigun07g228500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEHNSDSTSAESQRSIPTPFLTKTYQLVDDHTIDDVISWNDSGSSFIVWNTTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNECFRRGQKQLLCEIQRRKILSSSPPAGATASVAFPSPLPLSAIPISKPIVSPSNSTEEQVISSNSSPSRVPAELLDENERLRKENILLMKELEEMRSLCNNILNLMSNYATSRADGGAEAVKTLGLMPLKRCSAEDAAEEMNPKLFGVAIGTKRAREEGRGAEYDTVLSLHPFHADVKSEPLDFERHRENRSTSWLNHCRTANERMCN >Vigun07g228500.2.v1.2 pep primary_assembly:ASM411807v1:7:35015536:35017259:1 gene:Vigun07g228500.v1.2 transcript:Vigun07g228500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPGTILDHPSSFGTPPFLPGICFLNISSTTTSPASFASSTPIKVVPDRWEFSNECFRRGQKQLLCEIQRRKILSSSPPAGATASVAFPSPLPLSAIPISKPIVSPSNSTEEQVISSNSSPSRVPAELLDENERLRKENILLMKELEEMRSLCNNILNLMSNYATSRADGGAEAVKTLGLMPLKRCSAEDAAEEMNPKLFGVAIGTKRAREEGRGAEYDTVLSLHPFHADVKSEPLDFERHRENRSTSWLNHCRTANERMCN >Vigun07g228500.3.v1.2 pep primary_assembly:ASM411807v1:7:35015643:35017177:1 gene:Vigun07g228500.v1.2 transcript:Vigun07g228500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFREKKREPSPQKPVLRHFHSMAPPLEHNSDSTSAESQRSIPTPFLTKTYQLVDDHTIDDVISWNDSGSSFIVWNTTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNECFRRGQKQLLCEIQRRKILSSSPPAGATASVAFPSPLPLSAIPISKPIVSPSNSTEEQVISSNSSPSRVPAELLDENERLRKENILLMKELEEMRSLCNNILNLMSNYATSRADGGAEAVKTLGLMPLKRCSAEDAAEEMNPKLFGVAIGTKRAREEGRGAEYDTVLSLHPFHADVKSEPLDFERHRENRSTSWLNHCRTANERMCN >Vigun03g218700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36403142:36404240:-1 gene:Vigun03g218700.v1.2 transcript:Vigun03g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTIDFRCLDEGFGGKTYKRKREQQSQTHTDDVITGKDGVSLMDTDETVPPPAKRSALTSSENPDKPVYGQPSYDGVIAGKVSGRKWKQVRQRRASATQVSRKGTTFEQREREKMIKKAYKERMMELKEEIRLNKVEKRKKREEREKKKKENILKSGTKFQKITNPNTLKKIAKSKNRKQLRVVPDELLKK >Vigun04g107766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26139600:26143902:-1 gene:Vigun04g107766.v1.2 transcript:Vigun04g107766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQKNAFKKRHGNLLRLLNAEFQASAVTTLAQYYDPPLRCFTFQDFQLVPTIEEFEQILGLSMEDKVPYRHSEQHASVSTLAGILKVHPAKLEGKMASKGNSKGIPQGYLEGHLRLLAEKEMGETFMNVLALTLYGVILFPNMENFVDQTALDVFVAYKIHSESPVTAVLADVHGSLNLCHTLKRKKMLCCVPMLYV >Vigun05g055600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4764118:4767829:1 gene:Vigun05g055600.v1.2 transcript:Vigun05g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTAEVCDANPQLVLSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALASHPMKANKKGMGEKHVPINIAGTRICDGEWLYADTDGILISRTELSV >Vigun09g087600.1.v1.2 pep primary_assembly:ASM411807v1:9:11895745:11904741:1 gene:Vigun09g087600.v1.2 transcript:Vigun09g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRGELSSPDPLDSFPPLRVRESDGASDDSEFERYCSANSVMGTPSTSMSMCSAVTLFQDFSDCDFASSAEGFESFSLGKGTSEANFSGDRRRSLRYGSSGLELYGDCSEELALTALDSSELIGFNRIGELNGNGEVSAGEGGVNGFEFQIDKRDEEEEEEEELEELSEGDDSMYNYDSDGNGDSGKEIYLTENLGYCEEREVRNENPLFMNTSVAYGSRDLDDFLLQNGPVSVMSDLFHSQRKKNDTLNPGSGQKEQGKNVKDMVIVNEVEDTKYIGYSDAVEKVREREVVMPAYLEESSAAIDPPSSVKMPIRGSGDLVSCPEISSVTEVDEVHLDLPEKEAPRSMGLDVNGCGSMEKGNVNSQEAIAASDAPGVKSELNDSKFRLDHISDDSQFHKSSSNSSSHLENVIAKSFECVEPTAQQSDSGRRKTLESSPTSTNLLEKSPVVSKTEDFELNEFYDEVVQEMEEILLESVDSPRARLSMGNRLVEPQFSMPSRDGGLTASTSSTDDAYLLVQRPRRIDRIEVVGARQKKGDVSFSERLVGVKEYTVYIIKVWSGKDQWEVERRYRDFFTLYRCMKALFNEQGWKLPLPWSSVEKETQIFRSASPDIIVKRSVLIQECLQSIIHSRSSPPRALIWFLSHQDSYPISPVSNVPVSQSSFTGWQNFRNISNLGKTISLIVEIPPNKSIKQLLEAQHHTCAGCHKHFDDGRTLIWDFVQTFGWRKPRLCEYTGQLFCSSCHTNETAVLPARILHHWDFTHYHVSQLAKSYLDSIYEQPMLCVTAVNPFLLSKVPALLHIMSVRKKIGVMLPYVRCPFRRAINRGLGNRRYLLESNDFFALRDLIDLSRGVFAALPVMVETVSRTILEHITDQCLVCCDVGDPCNARQDCSDPSSLIFPFQEEEIERCKACKLVFHKHCFRKLANCPCGAQLRLNETRSFTNRASQRGETRGALDLLGRGLTSGFSQRFLSGLFTSEKPEKTRDHKDENIILMGSLPTTSL >VigunL023600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:23740:30615:1 gene:VigunL023600.v1.2 transcript:VigunL023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun07g159200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27109006:27109557:-1 gene:Vigun07g159200.v1.2 transcript:Vigun07g159200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFFTPFPNLEYPLDQCSIFPSHHYQSLLPSYENGSLEMLHETPSQHKVSYRGVRKRGPGNYAAEIRDSTRNGARVWLGIFDTAEDAALAYDQAAITTRGDKAVLNFPPHVVLQSLHNMEFRFPRGLSPVLELKRRNLMKRRVGRRKMRRQKHIGMENIVVLEDLGADYLEELLALSEHKF >VigunL082800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:51650:51778:1 gene:VigunL082800.v1.2 transcript:VigunL082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARAVRCWVKSRNERNPRV >Vigun02g126400.4.v1.2 pep primary_assembly:ASM411807v1:2:27862219:27864230:-1 gene:Vigun02g126400.v1.2 transcript:Vigun02g126400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELDTEQQEEMMERSYSQTMQIDPKKARFPCSIVWSPLPVISWFIPCIGHIGICREDGVILDFAGPNFVCVDHFTFGAATRYFQIPKEKCCIPLDQSAYNGEEHYTEGENRGDLRTWDGALRKSIEEFQHRSYNLFTCNCHSFVVNNLNRLDFLSGGWNVVNLAIFILFNGRWVSRASMLRSILPSVVVFFLGVAFGGFTFLKFWFFFTSLLIGWFLLGTYCFKNLIQL >Vigun02g126400.3.v1.2 pep primary_assembly:ASM411807v1:2:27862219:27864230:-1 gene:Vigun02g126400.v1.2 transcript:Vigun02g126400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELDTEQQEEMMERSYSQTMQIDPKKARFPCSIVWSPLPVISWFIPCIGHIGICREDGVILDFAGPNFVCVDHFTFGAATRYFQIPKEKCCIPLDQSAYNGEEHYTEGENRGDLRTWDGALRKSIEEFQHRSYNLFTCNCHSFVVNNLNRLDFLSGGWNVVNLAIFILFNGRWVSRASMLRSILPSVVVFFLGVAFGGFTFLKFWFFFTSLLIGWFLLGTYCFKNLIQL >Vigun02g126400.5.v1.2 pep primary_assembly:ASM411807v1:2:27862219:27864061:-1 gene:Vigun02g126400.v1.2 transcript:Vigun02g126400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELDTEQQEEMMERSYSQTMQIDPKKARFPCSIVWSPLPVISWFIPCIGHIGICREDGVILDFAGPNFVCVDHFTFGAATRYFQIPKEKCCIPLDQSAYNGEEHYTEGENRGDLRTWDGALRKSIEEFQHRSYNLFTCNCHSFVVNNLNRLDFLSGGWNVVNLAIFILFNGRWVSRASMLRSILPSVVVFFLGVAFGGFTFLKFWFFFTSLLIGWFLLGTYCFKNLIQL >Vigun10g109500.2.v1.2 pep primary_assembly:ASM411807v1:10:30888189:30899239:1 gene:Vigun10g109500.v1.2 transcript:Vigun10g109500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLLSLVTMASPSTLLRIKLLPKPPSLFCALTNLRRNQLPLKSPFTTSSSTTSSSSKRHDDVVVLGIETSCDDTAAAVVRSDGEILSQVVSSQADLLAKYGGVAPKMAEEAHSQVIDKVVQEALDKAYMTEKDLTAVAVTIGPGLSLCLRGMIYECLFSTSVGVQKARRIAGGFNLPIIGVHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGPAIEKLAMEGNAESVKFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDAKIPITSASKEDRLSRADIAASFQRTAVLHLEERCDRAIQWALKMEPSIRHLVVSGGVASNQYVRARLDMVAKKNGLQLLCPPPQLCTDNGVMIAWTGIEHFRMGRYDPPPPAEEPEDFVYDIRPRWPLGEEYAEGKSEARSLRTARIHPSLTSIIQASLQQ >Vigun10g109500.1.v1.2 pep primary_assembly:ASM411807v1:10:30888189:30899239:1 gene:Vigun10g109500.v1.2 transcript:Vigun10g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLLSLVTMASPSTLLRIKLLPKPPSLFCALTNLRRNQLPLKSPFTTSSSTTSSSSKRHDDVVVLGIETSCDDTAAAVVRSDGEILSQVVSSQADLLAKYGGVAPKMAEEAHSQVIDKVVQEALDKAYMTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGGFNLPIIGVHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGPAIEKLAMEGNAESVKFSIPMKQHKDCNFSYAGLKTQVRLAIESKKIDAKIPITSASKEDRLSRADIAASFQRTAVLHLEERCDRAIQWALKMEPSIRHLVVSGGVASNQYVRARLDMVAKKNGLQLLCPPPQLCTDNGVMIAWTGIEHFRMGRYDPPPPAEEPEDFVYDIRPRWPLGEEYAEGKSEARSLRTARIHPSLTSIIQASLQQ >Vigun02g149600.2.v1.2 pep primary_assembly:ASM411807v1:2:29683486:29685326:-1 gene:Vigun02g149600.v1.2 transcript:Vigun02g149600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLWDIAFVIIVLSLLLPSVFLKLLSVTPNFEAREKVGVIGCDHDHEHGVKSDSKSGETDEVVQIVGKIDEFGDKSILGKIGVPEIVDVDRGSPNIRNSKTIDEESSVFNETELLNLAEDHVVDESNEGVMKINEVEVELVEYDSCRVLKTEEFEILPFEKNYNEIDESGRNEDIGDWEKIERTDLEKSFGAAVVFVGSRINDNSLSNEVKIKLHGYYRIATQSSCHEPQPLTLKFSSIRAKWIMSSEQAMEQYISLLSESIPCWIAEKPYDIAEPASQEIHASAKNYPYEL >Vigun02g149600.1.v1.2 pep primary_assembly:ASM411807v1:2:29683486:29685326:-1 gene:Vigun02g149600.v1.2 transcript:Vigun02g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLWDIAFVIIVLSLLLPSVFLKLLSVTPNFEAREKVGVIGCDHDHEHGVKSDSKSGETDEVVQIVGKIDEFGDKSILGKIGVPEIVDVDRGSPNIRNSKTIDEESSVFNETELLNLAEDHVVDESNEGVMKINEVEVELVEYDSCRVLKTEEFEILPFEKNYNEIDESGRNEDIGDWEKIERTDLEKSFGAAVVFVGSRINDNSLSNEVKIKLHGYYRIATQSSCHEPQPLTLKFSSIRAKWTACQKIRIMSSEQAMEQYISLLSESIPCWIAEKPYDIAEPASQEIHASAKNYPYEL >Vigun04g196000.3.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKVKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun04g196000.4.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun04g196000.2.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun04g196000.1.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKVKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun04g196000.5.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun04g196000.6.v1.2 pep primary_assembly:ASM411807v1:4:42072402:42077213:1 gene:Vigun04g196000.v1.2 transcript:Vigun04g196000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDARSSNSLFTHVASRELFRVRKRPRMDGFVSAFNETGSIDVQHNAEDTIPLSLSFGKTAKFAHILAVSDEDGYVSLFDTRRKGPVNSNFEENTEEKICDWVSHQNAVFDTCWIKEDMQILTASGDQTIKLWDVQEQKCLGVLIGHTGSVKSMCPHPTNADIIVSGSRDGSFRIWDLRCKSTAKSRRGEVSICSMGGVNGAHVSSQARRTRKVKAASMSITSVLCLKDQVSVATAGAVDSVLKFWDTRNLKNSVTQTCPYPQSTEKQSLHGISSLSQDETGLFLSASCMDNRIYLYNTLQLEKGPLKSFSGCRIESFFVKSAISPDASNIVSGSSDGNAYVWKVDKPLEDPTILKTHDGEVTAVNWCSSENGKFATCSDDFTVRIWNKNSYVSRTQCASSVRRRVMAIPSTECKILLNNGKTYSKTDEDALLPDHKLHPIFSPTPITPPKMNISESHTNQLSSSGFDPNAASQKTPESALKSPSSVLNPPSSLKRTIRDYFSASSRTL >Vigun03g192600.12.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808860:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAEASAIVGG >Vigun03g192600.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26801642:26808797:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAGNVEEAYEVFLEMSAMGFVPNNFAYNSLIRGLCDCGRMTEALKLEKEMRQKGILSDTFTFNIIIDGYCRRGQMQFATGTFLDMQRVGLPPDIFTFNILIGGYCKAFDMVGAGQMVNKMYSCGLDPDITTYNTRMHGYCRMRKMNEAVIILDELISAGIVPDTVTYNTMMNGICSDILDRAMILTAKLLKIGFVPNVITTNMLLSQFCKQGMPEKALLWGQKLSEFSFGFDEISYRILDQAYRLKRDNVELVRETYEKGLFMDFLMYITFDYFSRNKPQKIESRLELIENQFIAL >Vigun03g192600.6.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808860:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAEASAIVGG >Vigun03g192600.7.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808860:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAEASAIVGG >Vigun03g192600.5.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808891:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAEASAIVGG >Vigun03g192600.10.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808797:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAGLCDCGRMTEALKLEKEMRQKGILSDTFTFNIIIDGYCRRGQMQFATGQMVNKMYSCGLDPDITTYNTRMHGYCRMRKMNEAVIILDELISAGIVPDTVTYNTMMNGICSDILDRAMILTAKLLKIGFVPNVITTNMLLSQFCKQGMPEKALLWGQKLSEFSFGFDEISYRILDQAYRLKRDNVELVRETYEKGLFMDFLMYITFDYFSRNKPQKIESRLELIENQFIAL >Vigun03g192600.2.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808860:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAGLCDCGRMTEALKLEKEMRQKGILSDTFTFNIIIDGYCRRGQMQFATGQMVNKMYSCGLDPDITTYNTRMHGYCRMRKMNEAVIILDELISAGIVPDTVTYNTMMNGICSDILDRAMILTAKLLKIGFVPNVITTNMLLSQFCKQGMPEKALLWGQKLSEFSFGFDEISYRILDQAYRLKRDNVELVRETYEKGLFMDFLMYITFDYFSRNKPQKIESRLELIENQFIAL >Vigun03g192600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26801642:26808797:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAGNVEEAYEVFLEMSAMGFVPNNFAYNSLIRGLCDCGRMTEALKLEKEMRQKGILSDTFTFNIIIDGYCRRGQMQFATGTFLDMQRVGLPPDIFTFNILIGGYCKAFDMVGAGQMVNKMYSCGLDPDITTYNTRMHGYCRMRKMNEAVIILDELISAGIVPDTVTYNTMMNGICSDILDRAMILTAKLLKIGFVPNVITTNMLLSQFCKQGMPEKALLWGQKLSEFSFGFDEISYRILDQAYRLKRDNVELVRETYEKGLFMDFLMYITFDYFSRNKPQKIESRLELIENQFIAL >Vigun03g192600.11.v1.2 pep primary_assembly:ASM411807v1:3:26801642:26808860:1 gene:Vigun03g192600.v1.2 transcript:Vigun03g192600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFHISRPLFSLSSETSLNPLSLIILSFSHSHYSTTNSPSHTSHYLSQFLPLADSTFKPLNTITARERRLLVLGLSTAIKTDQGFALKAFSLRFCPFLLVKIMNFLDTRHAAFAFFKLAFGNNSQETVRLSCVAAHVLAAKGLPLLAQDVVSWLIARVGAGRANEIFEFMWNNHAMYESDFSVLNTLLRGFLNLGMSFEALEVLRKMRDVGVRPGLSSLTILMRLLLRLGDYGSVWKVFKDMIHRGPRPSNITFSVMLYGFCRQQKIATAESLLHLMPKFMCSPDVFAFNILINACCVRGRTSVAFDWLNLMVRSGCEPSLSTFNTIMHALCREGNVGRAQKLFDEIQDMGIAPNAAMYNTLMDGYFKAREVSQANLLYEKMRTKGVSPDSVTFNILVGGYYKYGRKDDWNMLLKDLIVSGLFPDCSLCDVAVSVLCWTGRLDEAMALLQELLEKGLTLSVVAFNSVIGAYSRAGLEDKAFEAYRIMVLGGFTPSSSTCNSLLMSLCRKGCLQEARILLYGMLEKGFPINKVAYTVLLDGYFKMNDLDGAQFLWKEMKERGIYPDAVAFTALIDGLSKAEASAIVGG >VigunL052800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000028.1:5887:6579:-1 gene:VigunL052800.v1.2 transcript:VigunL052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun07g265400.1.v1.2 pep primary_assembly:ASM411807v1:7:38103660:38109801:-1 gene:Vigun07g265400.v1.2 transcript:Vigun07g265400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGEVEVKRVFIGAGCNRIVNNVSWGASGFVSFGAHNAVAIFCPKSAQIVTTLPGHKAVVNCTHWLPTSKFLFKAKQLAQHYLLSGDADGAIILWELSLADGKWRQVLQLPQLHKKGVTCISGIMVSQTEAMFASTSSDCTVCVWELVFPMTGSGDCKLSCLDSFSIGSKSMVALSLAELPGDCGQIVLAMGGLDNKVHLYCGGRTGKLVHACELKGHTDWIRSLDFSLPINVNGEANNIFLVSSSQDKGIRIWKMALRSSMLNGNGIYKKGEISLSSYIEGPVLLAGSSSFQISLESLLIGHEDWVYSVTWQPPLVSSLEGDTYYQPQSILSASMDKTMMIWQPEKTSGVWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWRNVGNDNWLPQKVPSGHFAPVTDISWARSGDYIISASHDQTTRIYAPWKVEASLQDGGFWHEISRPQVHGHDINCLAVIHGKGNHRFVSGADEKVARVFEAPLSFLKTLNNATLQKSCSSDDILGNVQILGANMSALGLSQKPIYVQAVHEIPERSGIDGIDTLETIPDAVPTVFTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHRGELVASSCKAQSAAVAEVWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDNFLLTVSRDRQFSVFSITRTGSGEINYSLLARQEGHKRIIWSCSWNPHGHEFATGSRDKTVKIWAVEKDSSIRQLMTLPPFMSSVTALSWVGLHNQRDHGLLAVGMENGQIELWNLSYNRADDGCIAAPGLVAALVVRIDPFICHASAVNRLAWKKNQEDHTSLQLASCGADNCVRVFDVTV >Vigun10g046400.1.v1.2 pep primary_assembly:ASM411807v1:10:6859041:6860982:1 gene:Vigun10g046400.v1.2 transcript:Vigun10g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHCLLVFSLGIFIQVSYCHSSITTCLPQNHTALFILGDSLFDNGNNNYINTTTSYQANYYPYGQTFFKYPSGRFSDGRMIPDVVAELAKLPILPPYLHPGRVEHVYGVNFASGGAGALRETAQGFVIDLKTQVSYLKNLKNVFSKRLGKAIAEEIVSKSVYLISIGSNDYGSLLNPDSNPVFPPGNHQGFVDSVIGNLTDAITEIYSLGGRKFGFVNVGAIGCSPGIRVLVNNGSTCFEEVLAIARLHNTALLKRIPELEKQLKGFKYSITDFYSASLEVLNNPTKYGFKETIVACCGGGPYRGDGSCGGRKGIKEYELCNNVDEHVYFDSIHLTDRASQHFGELIWNGNHTVTSPYNLKQLFEF >Vigun04g045900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3980620:3981261:-1 gene:Vigun04g045900.v1.2 transcript:Vigun04g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLLAFVLLFALTTQALLGAADASPEQVVDTSGKKLRVGLSYFIVPASPLIGCTRYGRCVKSGGLSLASIGESCPLDVVFVAGSSGLPLSFSPVDPKKGVVRVSTDLNIMFSTDHTSCAEYSPVWKLDHFDVAKGQWFVTTGGSVGNPSWGTIRNWFKIEKVDAAYKIVYCPTVLPSSKHLCKDVGVFVDENGHRRLALSDVPFKVKFQLA >Vigun01g145700.1.v1.2 pep primary_assembly:ASM411807v1:1:32752439:32757057:-1 gene:Vigun01g145700.v1.2 transcript:Vigun01g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMTNFQKVHTLLLPILFLCLLPLKIASSATAEAEALVKWKNTLSPPLPPSLNSWSLTNLSNLCIWDAIVCDNTNTTVSQINLSAANITGTLSALDFASLPNLTQLNLNTNKFEGSIPSAIGNLSKLTLLDLGNNLFEDTLPNELGQLRELQYLSLYNNNLNGTIPYQLMNLPKVWYMDLGSNYFITPPHWSQYSCMPSLTRLALHLNPSLTGQFPSFILDCHNLTYLDISQNGWHGSIPESLYSNLGKLEYLNLTNSGFEGKLSPNLSMLSNLKELRIGNNMFNGPVPTEIGLLSGLQFLELNNISAYGKIPSSLGQLRELWHLDLSLNSFNSTITSELGQCTNLSFMSLAENDLTGPLPTSLANLTKISELGLSDNSFSGELSATLLSNWTQLTSLQVQNNHFTGNIPSEIGLLKRIKYLYLYNNHFSGLIPVEIGNLMEMTELDLSQNQLSGPIPSTLWNMTSIRVMNLFFNELSGIIPPDIGNLTSLEIFDVNSNNLYGELPETIVQLTPLRKFSVFSNNFTGIIPREFGKGNPSLTNVYLSNNSFSGELPLDLCSGGQLTILAVNNNSFSGPLPKSLRNCSSLVRLRLDNNQLTGNITDAFGVLPNLDLISLSTNQLVGELSPEWGECASLTSMDMGNNKLSGKIPSALSKLSQLGYLNLHSNEFTGSIPPEIGNLSRLFRFNLSSNHLSGEIPKSYGRLAKLDFLDLSNNNFSGSIPKELGDCDGLLSLNLSHNSLSGEIPNELGNLFSLQIMLDISSNSLSGALPQNLEKLTALEILNVSRNHLSGTIPRSYSSMISLQSIDFSYNKLSGSIPTGRVFQTATAEAYAGNSGLCGEINGLTCTKSLSPDKSGGVNKKVLLGVIIPVCVLIGIIIVGVILRRRHSIKHLDEESKSNEKSDQPISVVWGRDGKFTFSDLVKATNDFNDKYCIGKGGFGSVYRAQLLTGQVVAVKRLNISDSDDIPPMNRQSFLNEIEALTGVRHRNIIKLYGFCSCREQMFLVYEYIDRGSLAKVLYGEEGKLELRWAKRLKIVQGLAHAISYLHTDCSPPIVHRDVTLNNILLDSDLEPHLADFGTAKLLSSDTSTWTSVAGSYGYMAPELAQTMKVTEKCDVYSFGVVVMEIMMGKHPGELLGTLSSNKYLSSTEEPQVLLKDVLDQRLAPPTGQLAEAVVFTMTIALACTREAPESRPIMRAVAQELSATTQAYISQPFGMITINKLSGFQK >Vigun01g145700.2.v1.2 pep primary_assembly:ASM411807v1:1:32752439:32757057:-1 gene:Vigun01g145700.v1.2 transcript:Vigun01g145700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMTNFQKVHTLLLPILFLCLLPLKIASSATAEAEALVKWKNTLSPPLPPSLNSWSLTNLSNLCIWDAIVCDNTNTTVSQINLSAANITGTLSALDFASLPNLTQLNLNTNKFEGSIPSAIGNLSKLTLLDLGNNLFEDTLPNELGQLRELQYLSLYNNNLNGTIPYQLMNLPKVWYMDLGSNYFITPPHWSQYSCMPSLTRLALHLNPSLTGQFPSFILDCHNLTYLDISQNGWHGSIPESLYSNLGKLEYLNLTNSGFEGKLSPNLSMLSNLKELRIGNNMFNGPVPTEIGLLSGLQFLELNNISAYGKIPSSLGQLRELWHLDLSLNSFNSTITSELGQCTNLSFMSLAENDLTGPLPTSLANLTKISELGLSDNSFSGELSATLLSNWTQLTSLQVQNNHFTGNIPSEIGLLKRIKYLYLYNNHFSGLIPVEIGNLMEMTELDLSQNQLSGPIPSTLWNMTSIRVMNLFFNELSGIIPPDIGNLTSLEIFDVNSNNLYGELPETIVQLTPLRKFSVFSNNFTGIIPREFGKGNPSLTNVYLSNNSFSGELPLDLCSGGQLTILAVNNNSFSGPLPKSLRNCSSLVRLRLDNNQLTGNITDAFGVLPNLDLISLSTNQLVGELSPEWGECASLTSMDMGNNKLSGKIPSALSKLSQLGYLNLHSNEFTGSIPPEIGNLSRLFRFNLSSNHLSGEIPKSYGRLAKLDFLDLSNNNFSGSIPKELGDCDGLLSLNLSHNSLSGEIPNELGNLFSLQIMLDISSNSLSGALPQNLEKLTALEILNVSRNHLSGTIPRSYSSMISLQSIDFSYNKLSGSIPTGRVFQTATAEAYAGNSGLCGEINGLTCTKSLSPDKSGGVNKKVLLGVIIPVCVLIGIIIVGVILRRRHSIKHLDEESKSNEKSDQPISVVWGRDGKFTFSDLVKATNDFNDKYCIGKGGFGSVYRAQLLTGQVVAVKRLNISDSDDIPPMNRQSFLNEIEALTGVRHRNIIKLYGFCSCREQMFLVYEYIDRGSLAKVLYGEEGKLELRWAKRLKIVQGLAHAISYLHTDCSPPIVHRDVTLNNILLDSDLEPHLADFGTAKLLSSDTSTWTSVAGSYGYMAPEFYSVHVYIV >Vigun05g063100.4.v1.2 pep primary_assembly:ASM411807v1:5:5435565:5439493:1 gene:Vigun05g063100.v1.2 transcript:Vigun05g063100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTELHHAPDSLVDTNADYTKMSSFADIRTVFCVESIKLWAIAGPIAFSILCNYGVNSFTSIFVGHLGDLELSAVSISLSVISNFSFGFLLGMASALETLCGQAFGAGQVEMLGVYMQRSWIILLGGCICLLPIYIYAEPILILLGQERDIAELAGVFTIQSIPQMFSLAINFPTQKFLQAQTKVLAWGTGGAAAAYCTTAWIIALAQAAYVIGWCKDGWRGFSWLAFKDLWAFIKLSVASAIMLCLEVWYFMILIVLTGHLDNPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGLGRPVAAKYSVIVTIVESLIIGLLFAAIILITKDHFAVIFTASKEMIKAVSKLANLLGITMILNSVQPVISGVAVGGGWQYLVAYINLFCYYILGLPLGFFFGYKLGYRVEGIWIGMICGTALQTFILLVIVYRTNWNKEVEQASERMRQWTGQEMDNTTASPGAAKS >Vigun05g063100.2.v1.2 pep primary_assembly:ASM411807v1:5:5435565:5439493:1 gene:Vigun05g063100.v1.2 transcript:Vigun05g063100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTELHHAPDSLVDTNADYTKMSSFADIRTVFCVESIKLWAIAGPIAFSILCNYGVNSFTSIFVGHLGDLELSAVSISLSVISNFSFGFLLGMASALETLCGQAFGAGQVEMLGVYMQRSWIILLGGCICLLPIYIYAEPILILLGQERDIAELAGVFTIQSIPQMFSLAINFPTQKFLQAQTKVGFLAWLGFVALILHVFILILFMKVLAWGTGGAAAAYCTTAWIIALAQAAYVIGWCKDGWRGFSWLAFKDLWAFIKLSVASAIMLCLEVWYFMILIVLTGHLDNPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGLGRPVAAKYSVIVTIVESLIIGLLFAAIILITKDHFAVIFTASKEMIKAVSKLANLLGITMILNSVQPVISGVAVGGGWQYLVAYINLFCYYILGLPLGFFFGYKLGYRVEGIWIGMICGTALQTFILLVIVYRTNWNKEVEQASERMRQWTGQEMDNTTASPGAAKS >Vigun05g063100.1.v1.2 pep primary_assembly:ASM411807v1:5:5435565:5439493:1 gene:Vigun05g063100.v1.2 transcript:Vigun05g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTELHHAPDSLVDTNADYTKMSSFADIRTVFCVESIKLWAIAGPIAFSILCNYGVNSFTSIFVGHLGDLELSAVSISLSVISNFSFGFLLGMASALETLCGQAFGAGQVEMLGVYMQRSWIILLGGCICLLPIYIYAEPILILLGQERDIAELAGVFTIQSIPQMFSLAINFPTQKFLQAQTKVGFLAWLGFVALILHVFILILFMKVLAWGTGGAAAAYCTTAWIIALAQAAYVIGWCKDGWRGFSWLAFKDLWAFIKLSVASAIMLCLEVWYFMILIVLTGHLDNPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGLGRPVAAKYSVIVTIVESLIIGLLFAAIILITKDHFAVIFTASKEMIKAVSKLANLLGITMILNSVQPVISGVAVGGGWQYLVAYINLFCYYILGLPLGFFFGYKLGYRVEGIWIGMICGTALQTFILLVIVYRTNWNKEVEQASERMRQWTGQEMDNTTRGEELVSLKSSTGYIEMNE >Vigun05g063100.3.v1.2 pep primary_assembly:ASM411807v1:5:5435565:5439493:1 gene:Vigun05g063100.v1.2 transcript:Vigun05g063100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTELHHAPDSLVDTNADYTKMSSFADIRTVFCVESIKLWAIAGPIAFSILCNYGVNSFTSIFVGHLGDLELSAVSISLSVISNFSFGFLLGMASALETLCGQAFGAGQVEMLGVYMQRSWIILLGGCICLLPIYIYAEPILILLGQERDIAELAGVFTIQSIPQMFSLAINFPTQKFLQAQTKVLAWGTGGAAAAYCTTAWIIALAQAAYVIGWCKDGWRGFSWLAFKDLWAFIKLSVASAIMLCLEVWYFMILIVLTGHLDNPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGLGRPVAAKYSVIVTIVESLIIGLLFAAIILITKDHFAVIFTASKEMIKAVSKLANLLGITMILNSVQPVISGVAVGGGWQYLVAYINLFCYYILGLPLGFFFGYKLGYRVEGIWIGMICGTALQTFILLVIVYRTNWNKEVEQASERMRQWTGQEMDNTTRGEELVSLKSSTGYIEMNE >Vigun04g125350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31732575:31735525:1 gene:Vigun04g125350.v1.2 transcript:Vigun04g125350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDIDHDLLLLEDQLPFFIHEELYNLAGLRGKLASFLHITSIFFFDDVGFGTVCPRDSLNTSLIF >VigunL014557.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:259126:259362:-1 gene:VigunL014557.v1.2 transcript:VigunL014557.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYANKKDSYIYRYRSLFQSNRNTMISSNYNTYKKDLFNRISNIFIKNYIAEDTIIINPDRKYLDWTGISRKILNCSI >VigunL009100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000164.1:14299:15963:1 gene:VigunL009100.v1.2 transcript:VigunL009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYYPLRVLPSNTAIAPVNPSFLLRSIDEREMCLRSSGHKAPLLIGCDVRNLTAETLEIISNEEVIAINQDSLGIQGRKVQASGVDGCRQVWAGPLSGNRLVVALLESVIKSCHDNSFMGSTWA >Vigun02g019850.1.v1.2 pep primary_assembly:ASM411807v1:2:7020304:7021635:-1 gene:Vigun02g019850.v1.2 transcript:Vigun02g019850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSSICSLRVLPPFSVFPSSFLLHYSRALRLSSLFHERVHIHKTLIFFSSQEHKRICEGEGVKGAGQPANR >Vigun09g096900.1.v1.2 pep primary_assembly:ASM411807v1:9:14734422:14736981:1 gene:Vigun09g096900.v1.2 transcript:Vigun09g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLLWVVLSLSLVLGVANSFDFHEKDLASEESLWDLYERWRSHHTVSRSLGEKHKRFNVFKANVMHVHNTNKMDKPYKLKLNKFADMTNHEFRSTYAGSKVNHHRMFRGTPHGNGTFMYENVGSVPASVDWRKKGAVTDVKDQGKCGSCWAFSTVVAVEGINQIKTNKLVTLSEQELVDCDTEENQGCNGGLMESAFEFIKQKGGITTESNYPYTAQEGTCDKSKVNDLAVSIDGHENVPANDEDALLKAVANQPVSVAIDAGGSDFQFYSEGVFTGDCSTDLNHGVAIVGYGTTVDGTNYWIVRNSWGAEWGEHGYIRMQRNISKKEGLCGIAMMPSYPIKNSSDNPTVSFSSSKDEL >Vigun09g012500.1.v1.2 pep primary_assembly:ASM411807v1:9:936649:937955:1 gene:Vigun09g012500.v1.2 transcript:Vigun09g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLSVVLEAQKGSASKKTPQVISKTTVFSSMNKHSPSSAVNASQHSHFQEPTFLHQCCLCRKRLLPGKDIYMYKGDRAFCSVECRCKQIFMDEEESFEKEKFFFTAMSPTSSGSSSEARRHGKGGRI >Vigun03g383700.3.v1.2 pep primary_assembly:ASM411807v1:3:58830991:58837048:1 gene:Vigun03g383700.v1.2 transcript:Vigun03g383700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPSQFDGNAAFSGGGFMPSQATQGTDPSLVTSKNRDAQSLLPLTVKQIHDALQSSDDKANLIIDGVDVNNVTLIGRVCNKAGRVTDVTFVLDDGTGKIECNKWFHEAADTNEAEAILDGMYARLHGHLKNFQGKRTLNIFHFRPVTDFNEVASHFIDCIHVHLHNSRIRSSVLNQQHVMNSTPITPAMGYQAQVVPPANDFSDQHVNGQKSAENMILDFLHLPANRSRNEGVHRDLIAQHLQISLEKLMLAIKSLIDEGAVYETIADHYKSIING >Vigun03g383700.1.v1.2 pep primary_assembly:ASM411807v1:3:58830356:58837048:1 gene:Vigun03g383700.v1.2 transcript:Vigun03g383700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPSQFDGNAAFSGGGFMPSQATQGTDPSLVTSKNRDAQSLLPLTVKQIHDALQSSDDKANLIIDGVDVNNVTLIGRVCNKAGRVTDVTFVLDDGTGKIECNKWFHEAADTNEAEAILDGMYARLHGHLKNFQGKRTLNIFHFRPVTDFNEVASHFIDCIHVHLHNSRIRSSVLNQQHVMNSTPITPAMGYQAQVVPPANDFSDQHVNGQKSAENMILDFLHLPANRSRNEGVHRDLIAQHLQISLEKLMLAIKSLIDEGAVYETIADHYKSIING >Vigun03g383700.5.v1.2 pep primary_assembly:ASM411807v1:3:58831993:58837025:1 gene:Vigun03g383700.v1.2 transcript:Vigun03g383700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPSQFDGNAAFSGGGFMPSQATQGTDPSLVTSKNRDAQSLLPLTVKQIHDALQSSDDKANLIIDGVDVNNVTLIGRVCNKAGRVTDVTFVLDDGTGKIECNKWFHEAADTNEAEAILDGMYARLHGHLKNFQGKRTLNIFHFRPVTDFNEVASHFIDCIHVHLHNSRIRSSVLNQQHVMNSTPITPAMGYQAQVVPPANDFSDQHVNGQKSAENMILDFLHLPANRSRNEGVHRDLIAQHLQISLEKLMLAIKSLIDEGAVYETIADHYKSIING >Vigun03g383700.2.v1.2 pep primary_assembly:ASM411807v1:3:58830369:58837038:1 gene:Vigun03g383700.v1.2 transcript:Vigun03g383700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPSQFDGNAAFSGGGFMPSQATQGTDPSLVTSKNRDAQSLLPLTVKQIHDALQSSDDKANLIIDGVDVNNVTLIGRVCNKAGRVTDVTFVLDDGTGKIECNKWFHEAADTNEAEAILDGMYARLHGHLKNFQGKRTLNIFHFRPVTDFNEVASHFIDCIHVHLHNSRIRSSVLNQQHVMNSTPITPAMGYQAQVVPPANDKSAENMILDFLHLPANRSRNEGVHRDLIAQHLQISLEKLMLAIKSLIDEGAVYETIADHYKSIING >Vigun03g383700.4.v1.2 pep primary_assembly:ASM411807v1:3:58830365:58837031:1 gene:Vigun03g383700.v1.2 transcript:Vigun03g383700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPSQFDGNAAFSGGGFMPSQATQGTDPSLVTSKNRDAQSLLPLTVKQIHDALQSSDDKANLIIDGVDVNNVTLIGRVCNKAGRVTDVTFVLDDGTGKIECNKWFHEAADTNEAEAILDGMYARLHGHLKNFQGKRTLNIFHFRPVTDFNEVASHFIDCIHVHLHNSRIRSSVLNQQHVMNSTPITPAMGYQAQVVPPANDFSDQHVNGQKSAENMILDFLHLPANRSRNEGVHRDLIAQHLQISLEKLMLAIKSLIDEGAVYETIADHYKSIING >Vigun09g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12504513:12505100:1 gene:Vigun09g090200.v1.2 transcript:Vigun09g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLFDGSFIFPECILIFGLILLLVIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSRNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGERSSFKK >Vigun11g078000.1.v1.2 pep primary_assembly:ASM411807v1:11:23027586:23033274:1 gene:Vigun11g078000.v1.2 transcript:Vigun11g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAPRTGDAIFANIERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCNDFRETTDVIAKVGFKMFLGVTASVTNWDADGTCCSIVLEDNPLVDFVELPDNCQGLYYCNILSGVIRGALDMVSMKTEVTWLRDVLRGDDVFELQVKLVKHVPEEYPYKDDE >Vigun04g034200.1.v1.2 pep primary_assembly:ASM411807v1:4:2828906:2832283:-1 gene:Vigun04g034200.v1.2 transcript:Vigun04g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSESSENIIAEYIWVGGSGMDLRSKARTLPGAVDEPAKLPKWNYDGSSTDQAPGDDSEVILYPQAIFKDPFRRGNNILVICDVYTPAGEPLPTNKRYDAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPLGGFPGPQGPYYCGVGADKAYGRDIVDAHYKACVYAGINISGINGEVMPGQWEFQVGPSVGISAGDEVWAARYILERITELAGAIVSFDPKPIPGDWNGAGAHTNYSTKSMREEGGYEVIKKAIEKLGLRHREHIAAYGKGNERRLTGRHETADINTFSWGVANRGSSVRVGRDTEKQGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Vigun09g185200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35893206:35894576:1 gene:Vigun09g185200.v1.2 transcript:Vigun09g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSIFLLLLTLLLLLTNAAHTRETPPPTPQRIIAPPPPDNENDTSPPFRPGIAVVVCVLTTIISLTSLLLLYIKHCNGGNIHSGGSSIPWTVAPFSGRKNSGIDRSVVESLPVFRFGALRGQKEGLDCAVCLTKFEAAEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDILLVEDAKPFRCQTQPQRNSHNNNSSNQERARLNMDVEKQEIGRRHSSVGESGTEEQSRRWTTSFRRSLDSATSRKKNESVGVGVGVVGFGWFVRGRKDGMLLTQETEREGDRTSAERRLEHRIIVSPGPGPGPGPGKMKMNGVHQRWSDVQASDLLYLTSEMIMSEAQWNTRGGGRGRGTRRSNDDGVEDSWSGRGIINSRSVSEITGFSRFSSNSNNNNEEDTDRHRDREWEQQRQGHGEREEGLVRRWLGWISKSHTQQQHHQRQQTHSQTQP >Vigun02g205100.1.v1.2 pep primary_assembly:ASM411807v1:2:33866094:33876612:-1 gene:Vigun02g205100.v1.2 transcript:Vigun02g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVIPTASEAEIKKAYYMKARQVHPDKNPNNPLAAQNFQVLGEAYQVLSDPAQRQAYDAHGKSGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASMDFFTEGEQFDTKKLQEKMRVVQKEREEKLAEILKNRLNQYLQGNKEEFLNHAEAEVARLSNAAYGIDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHSIKSQVTAATGAIALIQLQEDMKKQLSSEGDYTEEELEEYMQSHKKVMIDSLWKLNVADIEATLSRVCQMVLQDNSVKKEELRARAKGLKTLGKIFQRVKSANGNENECVPSKAVHKLNGSETSNDACSPCTSPKSSNPDFSSDTSFASQSPYVEAPHFAGMQFDYNFPRPTAPPGAQRPTPTSKD >Vigun03g388200.4.v1.2 pep primary_assembly:ASM411807v1:3:59430707:59435930:1 gene:Vigun03g388200.v1.2 transcript:Vigun03g388200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSYSSSSMSAWRYLQPRYYIKRPKRLAMVLIVCVSLTWLLYDRKSLSTGQQIEDIKGHMKDTTESIQNEDEVNKFAKDIYVEDDPISIQRRDKIKDAMLHAWTSYETYAWGNDELKPQSMNGVDNFGGLGATIVDSLDTLFIMGLDDQFNRAREWVAESLSFDKSIEVSVFETTIRVLGGLLSAYDLSGDKVFLEKAREIADRLLPAWNTPSGIPYNRINLAFGNTNNPSWSRGNSILADSGSEQLEFIALSERTKDPKYQEKVEKVIKGLHATFPDDGLLAIYLNPLTGTQTGGSVTFGAMGDSFYEYLLKAWIQGNKTEAVAFYREMWEKSMIGLQSMIKKSTPSSFAYISERLGNAVFDKMDELACFVPGMLALGSSSSGPDEAAKYLGLAEELVWTCYNFYQSTPTKLAGENYYFRDGEDMSVGTSWNIQRPETIESLFYLWRFTGNKTYQEWGWNIFQAFENNTRLETGYVGLKDVTTGKKDNMMQSYFLSETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRVSNEESGDPEEVFPRHLHGRKEGRIEYK >Vigun03g388200.1.v1.2 pep primary_assembly:ASM411807v1:3:59430707:59435930:1 gene:Vigun03g388200.v1.2 transcript:Vigun03g388200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSYSSSSMSAWRYLQPRYYIKRPKRLAMVLIVCVSLTWLLYDRKSLSTGQQDDILKLKEEVTRLQNSIEDIKGHMKDTTESIQNEDEVNKFAKDIYVEDDPISIQRRDKIKDAMLHAWTSYETYAWGNDELKPQSMNGVDNFGGLGATIVDSLDTLFIMGLDDQFNRAREWVAESLSFDKSIEVSVFETTIRVLGGLLSAYDLSGDKVFLEKAREIADRLLPAWNTPSGIPYNRINLAFGNTNNPSWSRGNSILADSGSEQLEFIALSERTKDPKYQEKVEKVIKGLHATFPDDGLLAIYLNPLTGTQTGGSVTFGAMGDSFYEYLLKAWIQGNKTEAVAFYREMWEKSMIGLQSMIKKSTPSSFAYISERLGNAVFDKMDELACFVPGMLALGSSSSGPDEAAKYLGLAEELVWTCYNFYQSTPTKLAGENYYFRDGEDMSVGTSWNIQRPETIESLFYLWRFTGNKTYQEWGWNIFQAFENNTRLETGYVGLKDVTTGKKDNMMQSYFLSETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRVSNEESGDPEEVFPRHLHGRKEGRIEYK >Vigun03g388200.3.v1.2 pep primary_assembly:ASM411807v1:3:59430707:59435930:1 gene:Vigun03g388200.v1.2 transcript:Vigun03g388200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFMLGHLMKRMHGEMMSLRWVAESLSFDKSIEVSVFETTIRVLGGLLSAYDLSGDKVFLEKAREIADRLLPAWNTPSGIPYNRINLAFGNTNNPSWSRGNSILADSGSEQLEFIALSERTKDPKYQEKVEKVIKGLHATFPDDGLLAIYLNPLTGTQTGGSVTFGAMGDSFYEYLLKAWIQGNKTEAVAFYREMWEKSMIGLQSMIKKSTPSSFAYISERLGNAVFDKMDELACFVPGMLALGSSSSGPDEAAKYLGLAEELVWTCYNFYQSTPTKLAGENYYFRDGEDMSVGTSWNIQRPETIESLFYLWRFTGNKTYQEWGWNIFQAFENNTRLETGYVGLKDVTTGKKDNMMQSYFLSETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRVSNEESGDPEEVFPRHLHGRKEGRIEYK >Vigun03g388200.2.v1.2 pep primary_assembly:ASM411807v1:3:59430707:59435930:1 gene:Vigun03g388200.v1.2 transcript:Vigun03g388200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSYSSSSMSAWRYLQPRYYIKRPKRLAMVLIVCVSLTWLLYDRKSLSTGQQDDILKLKEEVTRLQNSIEDIKGHMKDTTESIQNEDEVNKFAKDIYVEDDPISIQRRDKIKDAMLHAWTSYETWVAESLSFDKSIEVSVFETTIRVLGGLLSAYDLSGDKVFLEKAREIADRLLPAWNTPSGIPYNRINLAFGNTNNPSWSRGNSILADSGSEQLEFIALSERTKDPKYQEKVEKVIKGLHATFPDDGLLAIYLNPLTGTQTGGSVTFGAMGDSFYEYLLKAWIQGNKTEAVAFYREMWEKSMIGLQSMIKKSTPSSFAYISERLGNAVFDKMDELACFVPGMLALGSSSSGPDEAAKYLGLAEELVWTCYNFYQSTPTKLAGENYYFRDGEDMSVGTSWNIQRPETIESLFYLWRFTGNKTYQEWGWNIFQAFENNTRLETGYVGLKDVTTGKKDNMMQSYFLSETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRVSNEESGDPEEVFPRHLHGRKEGRIEYK >Vigun09g210300.1.v1.2 pep primary_assembly:ASM411807v1:9:38449961:38451383:-1 gene:Vigun09g210300.v1.2 transcript:Vigun09g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLGLALSTAEEFKSKQIVSSELWRPSCGSESGKHVKHKRNFEESFQRFLKPFPLLVWSGQPNEEDDRSQKLRRNIHTPNKNGNEENHLVGWPPVKSWRRKELHQHHPGRGEIRNVGIQNQSRGPNSLYVKVNMEGVTIGRKINLRLFNSYKTLTNALINMFAKYQKLEEAGESYTLTFRNEQGDWLQVGHVPWQSFVDTVRRLVLLKNGSETI >Vigun09g227500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39948481:39950075:-1 gene:Vigun09g227500.v1.2 transcript:Vigun09g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNVQIPLWDSAAADGGPLSPFSPIAPDTATFSGDHFRMFHFKVLTCPRGRAHDWTECPYAHPAEKARRRDPRKFLYSATACPDYRKGNCKRGDTCQFAHGVFECWLHPSRYRTHLCKDGTNCRRRVCFFAHTNDQLRLLPESSSLSSPTSVMGSSFFDSPPSSPYVHNIQDIVSSMRNVQLEDGLFSGLTRCVFGSPSGGILSGNGCDEEEPVMERVESGRDIRARIYAKLSRENSIAGSQPVNGFRGL >Vigun10g022000.1.v1.2 pep primary_assembly:ASM411807v1:10:2622626:2626043:-1 gene:Vigun10g022000.v1.2 transcript:Vigun10g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNGSKSQDPITNTLTELLRISMAEVRNKKVVLRDYVVGFPKDSDMKTVEGSIRLKVPEGSNDVLLKNLYLSCDPYMRILMNKVESIDMHHHYTPSSPLIGYGVSKVMESGHSDYKKGDLVWGITKWEEYSFISPSQISFKILHTHVPLSYYTGILGMPGMTAYVGFFKLCSPRKGENVYVSAASGAVGQLVGQFAKLSGCYVVGSAGSRDKVDLLKNKLGFDEAFNYKEESDLNATLKRYFPEGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMVSQYNLSQPEGVTNLAHLIFKRIKMEGFLVTDFYHLYPKFLEFVLPLIKEGKVVYVEDIVEGLENAPAALVGLYTGRNVGKQVVVVADE >Vigun10g182200.3.v1.2 pep primary_assembly:ASM411807v1:10:39965945:39968597:1 gene:Vigun10g182200.v1.2 transcript:Vigun10g182200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMEKQVELCSREVEMMASQHIQRPHKEKGGFVTMPFIMANEALARVATFGLLPNMILYLMGSYHFHLAKATQLLLLSSATSNITPLVGAFIADSYLGRFLSVGLGSTITFLGMTLLWLTAMIPQAKPLPCNQEPERCNSATTGQMTMLISSFALMSLGNGGLSCSMPFGADQVNKKDNPKNQRVLETFFSWYYAFTAFAVIIALTVIVYIQDHFGWKLGFGVPTALMFMSTLLFFIASPLYVKNKVQGSLITGLVQVIVVAYKNRKLPLPPRNNNSAEKYHRRKDSDLLVPDEKLRFLNKACIIQDPEKDIACDGSATDPWSLCTIEQVEELKAIMKVIPLWSTGIMVSVNIGGSFGILQAKSLNRHISSNFEVPAGSFPVVLVITIFLWVALYDRAIIPLASKIVGKPVRISAKRRMGIGLCFSLLHYSTAAIVETIRRRRAIREGHVNDMHAVLNMSGMWLVPQLFLAGMAESFNAIGQNEFYYTEFPRTMSSIASCLFGLGMAAGNFLSSLIFSTVEHVTSRGGKEGWVLDNINKGRYDKYYWLLALLNAVNILYYLVCSWAYGPTVDQLSMFSEENSSNEKPLTQNGTVSKASHSHDSEDIGLNVKS >Vigun10g182200.2.v1.2 pep primary_assembly:ASM411807v1:10:39965945:39968597:1 gene:Vigun10g182200.v1.2 transcript:Vigun10g182200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVELCSREVEMMASQHIQRPHKEKGGFVTMPFIMGKQKHFLVWFGLVWLLQIDGFSWFLVLVVCVVAANEALARVATFGLLPNMILYLMGSYHFHLAKATQLLLLSSATSNITPLVGAFIADSYLGRFLSVGLGSTITFLGMTLLWLTAMIPQAKPLPCNQEPERCNSATTGQMTMLISSFALMSLGNGGLSCSMPFGADQVNKKDNPKNQRVLETFFSWYYAFTAFAVIIALTVIVYIQDHFGWKLGFGVPTALMFMSTLLFFIASPLYVKNKVQGSLITGLVQVIVVAYKNRKLPLPPRNNNSAEKYHRRKDSDLLVPDEKLRFLNKACIIQDPEKDIACDGSATDPWSLCTIEQVEELKAIMKVIPLWSTGIMVSVNIGGSFGILQAKSLNRHISSNFEVPAGSFPVVLVITIFLWVALYDRAIIPLASKIVGKPVRISAKRRMGIGLCFSLLHYSTAAIVETIRRRRAIREGHVNDMHAVLNMSGMWLVPQLFLAGMAESFNAIGQNEFYYTEFPRTMSSIASCLFGLGMAAGNFLSSLIFSTVEHVTSRGGKEGWVLDNINKGRYDKYYWLLALLNAVNILYYLVCSWAYGPTVDQLSMFSEENSSNEKPLTQNGTVSKASHSHDSEDIGLNVKS >Vigun10g182200.1.v1.2 pep primary_assembly:ASM411807v1:10:39965852:39968597:1 gene:Vigun10g182200.v1.2 transcript:Vigun10g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVELCSREVEMMASQHIQRPHKEKGGFVTMPFIMANEALARVATFGLLPNMILYLMGSYHFHLAKATQLLLLSSATSNITPLVGAFIADSYLGRFLSVGLGSTITFLGMTLLWLTAMIPQAKPLPCNQEPERCNSATTGQMTMLISSFALMSLGNGGLSCSMPFGADQVNKKDNPKNQRVLETFFSWYYAFTAFAVIIALTVIVYIQDHFGWKLGFGVPTALMFMSTLLFFIASPLYVKNKVQGSLITGLVQVIVVAYKNRKLPLPPRNNNSAEKYHRRKDSDLLVPDEKLRFLNKACIIQDPEKDIACDGSATDPWSLCTIEQVEELKAIMKVIPLWSTGIMVSVNIGGSFGILQAKSLNRHISSNFEVPAGSFPVVLVITIFLWVALYDRAIIPLASKIVGKPVRISAKRRMGIGLCFSLLHYSTAAIVETIRRRRAIREGHVNDMHAVLNMSGMWLVPQLFLAGMAESFNAIGQNEFYYTEFPRTMSSIASCLFGLGMAAGNFLSSLIFSTVEHVTSRGGKEGWVLDNINKGRYDKYYWLLALLNAVNILYYLVCSWAYGPTVDQLSMFSEENSSNEKPLTQNGTVSKASHSHDSEDIGLNVKS >Vigun09g028500.1.v1.2 pep primary_assembly:ASM411807v1:9:2359474:2363764:-1 gene:Vigun09g028500.v1.2 transcript:Vigun09g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTNAPKSKTPKKEKNKKNKKQKDVPPEPDRIEVAVEVVHEHPNKTAPVVGYFPSGFDPVKNYASGSGPSEFQLYRHRNMSKRMQLVVRPPGSSVEFVGSSFSGEAAAGHRAMFALGLLDKEAGTLKIVPIAGNKIFRLEPKIKGMEAADNEPANSTLEEMTPQQKIAETTSIWGTKRDIEKAKKKLALKQDEDPNSQRNLDVKLKNVSVNKSALESTESHVSRNIPPYDTSATTPQEAYVLDKIILTGEWDYLQDIYKNLHQEEKTDFSSYPTFVRNRVERLRKIKDESEQRKLSCILSYINHLIKFKDQHSFDVFSAKSHKIPNILRHKFTNMFAVSELRRLPPEKISLLVSYVLVLTLFADDFLTDCTDIAKDLSMNVMAVRQVYEQLGCKFTRQKSAFNGLRATLPVPLKFPELTQRKRKR >Vigun05g129300.1.v1.2 pep primary_assembly:ASM411807v1:5:14945061:14949258:1 gene:Vigun05g129300.v1.2 transcript:Vigun05g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFAFSLIVAWILREVAAPLMESLPWINHFSHTPSREWFETDAVLRVSLGNFLFFTILAVLMIGVKTQRDPRDSMHHGGWMMKIICWFILVIFMFFVPNEIISFYETISKFGSGMFLLVQVMLLLDFVHGWNDKWVGFDEQFWYVALFVVSLVCYVATFAFSGVLYHFFTPSGQDCGLNVFFITMTLILAFVFAIVALHPAVNGSVLPASVISLYCTYLCYSALASEPRDYECNGLHKHSKAVSTGTLTLGLLTTVLSVVYSAVRAGSSAAVLSPPNSPRAGKPLLPLDAREEEEEKAKPVSYSYAFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITSWATALLYLWSLVAPIMFPEREF >Vigun06g090300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22283626:22283958:1 gene:Vigun06g090300.v1.2 transcript:Vigun06g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENLPSSWFWFFCLWLVLCVEKNPLGKRIFPFPGKGKDDICLPFVSFFVFGNSAFTVLPDLCGCEIFNRFPFSDSHEDIDYSSYSLSLSLSHSATSLTQFLFRDFCFF >Vigun01g034950.1.v1.2 pep primary_assembly:ASM411807v1:1:4536464:4546301:1 gene:Vigun01g034950.v1.2 transcript:Vigun01g034950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGIGDLFISFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNEIKSIRIITRVQERSILTRTLTYESIVYMETIEQGFIPLTRIEDNLRFHWSWPPNILSISKGGVMPLIIQLPYRY >Vigun01g034950.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4536464:4536784:1 gene:Vigun01g034950.v1.2 transcript:Vigun01g034950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGIGDLFISFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNEIKSIRIITRVQERSILTRTLTYESIVYMETIEQGFIPLTRIEDNLVK >Vigun05g209500.1.v1.2 pep primary_assembly:ASM411807v1:5:40024703:40028582:1 gene:Vigun05g209500.v1.2 transcript:Vigun05g209500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFPTLLLCLFVVLSFFQTKAQEFDPYLNANVSSLSSGRKLAGRCNLFRGKWVYDSSYPLYDPSTCPFIDPQFNCQKYGRPDTQYQKYRWQPFSCPLPRFNAFDFLAKYRGKKVMFVGDSLSLNQFNSLACMIHSWVPHSKTTFTKQEALSKITFEDYGLELFLYRTPYLVDLDRESVGRVLKIDSIKSGDAWRGMDVLVFNTWHWWTHTGSSQPWDYVQEGNKLYKDMNRFILFYKGLTTWARWVNINVNPAQTKVFFLGISPVHYEGKDWNQPARSCMSEKEPFFGLKYPAGTPMAWVIVNKVLSRIKKPVYFLDVTTLSQYRKDAHPEGYSGIMASDCSHWCLPGLPDTWNVLLHAALFG >Vigun06g206100.2.v1.2 pep primary_assembly:ASM411807v1:6:32013876:32018985:1 gene:Vigun06g206100.v1.2 transcript:Vigun06g206100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKIGTLLPPSSEPEQFFLTPLLLRYAKTNMKICYNDILETIQRNDILRAWYEELRKTRVAELKRSLEISEDSIGSLESKLESLKGGENDKRDGCDVDNVDNGSVGPKLHVASHKLERVESSTKDNTSKDGLSAGSFTHQTLPSVPVQHFETKPHTLLNVDKLAYAVYEGEGGSFKKRRGKRKRKDCGKNTKEPSMAESVLLDSVDVMSWCKESSTSNYSEVAKSSGVNYQNRNLKKSSRVEDMMKILDSIFETKCASAFRRRLDSQKRGRYKKMIRQHMDFDTIRSRISDQTIRSSVELFRDMLLLTNNALVFYSKSTREYKSALLLRDIVTKKIKESCWKDTNNKVTTTSEVTNAAIKLPIHNLHVKPRSIRSGNRKIIAKACGGNGNNSISGVSHATKKPTKVDSPSSVESLSVKKKGFGRPKKVGRGSASQKPAVPMKGKKKVRTTK >Vigun06g206100.1.v1.2 pep primary_assembly:ASM411807v1:6:32013876:32018985:1 gene:Vigun06g206100.v1.2 transcript:Vigun06g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETMKGWGTWEELLLGGAILRHGTKDWNIVATELRARTVFPYTITPEVCKDKYEDLLQRYSGNKAWYEELRKTRVAELKRSLEISEDSIGSLESKLESLKGGENDKRDGCDVDNVDNGSVGPKLHVASHKLERVESSTKDNTSKDGLSAGSFTHQTLPSVPVQHFETKPHTLLNVDKLAYAVYEGEGGSFKKRRGKRKRKDCGKNTKEPSMAESVLLDSVDVMSWCKESSTSNYSEVAKSSGVNYQNRNLKKSSRVEDMMKILDSIFETKCASAFRRRLDSQKRGRYKKMIRQHMDFDTIRSRISDQTIRSSVELFRDMLLLTNNALVFYSKSTREYKSALLLRDIVTKKIKESCWKDTNNKVTTTSEVTNAAIKLPIHNLHVKPRSIRSGNRKIIAKACGGNGNNSISGVSHATKKPTKVDSPSSVESLSVKKKGFGRPKKVGRGSASQKPAVPMKGKKKVRTTK >Vigun01g048700.1.v1.2 pep primary_assembly:ASM411807v1:1:7272016:7276135:1 gene:Vigun01g048700.v1.2 transcript:Vigun01g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQHSMFCAIPPSHTLSLFTPSLKQRNPFLHHLSFPRKPKASTFRVSSLGPGFFDDIVQIAHNKVLIAAGVSLAIGQLSKPFTSVFLYGKEFDIRVIVQAGGFPSSHSSATVACATLFGLERGFSDPIFGLAVVYAGLIMYDAQGVRREVGIHARVLNKLLLQMQANSLHSKDGDNLMNSQPGLSKQLKVEDFEKSLLSQEATLEPQQANGGLLVKSGSKIRQTEEEISKLGVDGIPPLKESIGHTEIEVIAGALLGFLVALAVYDIM >Vigun03g225700.1.v1.2 pep primary_assembly:ASM411807v1:3:37534437:37541653:-1 gene:Vigun03g225700.v1.2 transcript:Vigun03g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYDNERDDYDDYYEDEEMEEYEEEGEEEYEEQEEEPRKPSKEEIEYLELRKKLKESIRKQMKKEGSGSSTSRLDATDRRKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLASKVPNPHHAKKHQNKAPSGVSKSSSHNLPPKVSEVQVKAQKLKNTRDYSFLLSDDTEPPAASKAPPPQNMHMRNSEGRPAQVPARSKLPLINNGSKHARASHEERNLSSVAGRLPPKAGSGYKTSSASKPSMASADSRKQLGNNSGNGPGRPVGSNGTSSKMSVGSTGNKSSTPGVKNHVNGMPKSLPLKTHPPVSRQSMEQKIPRQNVEHRNPRQGMEQRNPRHMEQRIPRQSMEQRIPRPSNEQRIPRQSMDQRNPRQSMDQRNPRPSLDQRNPRPSLDQRNSRQSMEQRKDIRELNRPKMIPKQPVTSSKPQINRPLKHNSTHTASQDHRPKPKVGRRPFEEEEDEMDISNMIRSMFNYNPKKFVDDDDDDDMEAGFDEIMREERRSAKIARKEDEEQLRLIEEEEERERRRRMSKLKKRKLGE >Vigun10g181900.2.v1.2 pep primary_assembly:ASM411807v1:10:39943339:39946618:1 gene:Vigun10g181900.v1.2 transcript:Vigun10g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPNWWTTHPPSSFIPNQYLLGSSSIPFNSSTQNPDQPPHSLSQLLFTGLPGEEERVAFSHFQSKKLDEWNEHMLNPSPINPMVDVIKQEVSQSGSFFHQGHDQEFQVSGAPWSHMVPVSSSPRSSVVASFSSNNLLDFTYNKEDHRKNQLPPDHTSECNNNTTATAGVYKKTKSQPSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNGSKNMRNPQSVHGERNSVFPEDPGQLLNDNGLKRKGAPNQDGKDKAKDLRSRGLCLVPVSCTQHVGNENGADYWAPAYGSGF >Vigun10g181900.1.v1.2 pep primary_assembly:ASM411807v1:10:39943339:39946613:1 gene:Vigun10g181900.v1.2 transcript:Vigun10g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPNWWTTHPPSSFIPNQYLLGSSSIPFNSSTQNPDQPPHSLSQLLFTGLPGEEERVAFSHFQSKKLDEWNEHMLNPSPINPMVDVIKQEVSQSGSFFHQGHDQEFQVSGAPWSHMVPVSSSPRSSVVASFSSNNLLDFTYNKEDHRKNQLPPDHTSECNNNTTATAGVYKKTKSQPSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNGSKNMRNPQSQQVHGERNSVFPEDPGQLLNDNGLKRKGAPNQDGKDKAKDLRSRGLCLVPVSCTQHVGNENGADYWAPAYGSGF >Vigun02g011700.18.v1.2 pep primary_assembly:ASM411807v1:2:4475206:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.8.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.20.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.21.v1.2 pep primary_assembly:ASM411807v1:2:4472285:4508508:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAGNIAFW >Vigun02g011700.15.v1.2 pep primary_assembly:ASM411807v1:2:4474372:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEKTGLLDFKTDITGGDGRCY >Vigun02g011700.13.v1.2 pep primary_assembly:ASM411807v1:2:4474372:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKREDRSFGFQNRYYRW >Vigun02g011700.1.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.9.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.16.v1.2 pep primary_assembly:ASM411807v1:2:4476863:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEKTGLLDFKTDITGGDGRCY >Vigun02g011700.17.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.3.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKRPSSVFSGGLFVYSPFPISSLEQNLDACIPLRAFGVIVQSEFEFELDTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.12.v1.2 pep primary_assembly:ASM411807v1:2:4474372:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.6.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.10.v1.2 pep primary_assembly:ASM411807v1:2:4475206:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.11.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.5.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun02g011700.19.v1.2 pep primary_assembly:ASM411807v1:2:4472291:4508508:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEKTGLLDFKTDITGGDGRCY >Vigun02g011700.2.v1.2 pep primary_assembly:ASM411807v1:2:4475206:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFESKLE >Vigun02g011700.14.v1.2 pep primary_assembly:ASM411807v1:2:4476863:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKREDRSFGFQNRYYRW >Vigun02g011700.7.v1.2 pep primary_assembly:ASM411807v1:2:4476863:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFGI >Vigun02g011700.4.v1.2 pep primary_assembly:ASM411807v1:2:4472254:4508611:-1 gene:Vigun02g011700.v1.2 transcript:Vigun02g011700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITPTPTMDIINDLIEEAKLRLLWWALCIFAISYFFTHTSKSMWMNLPMSILFVAALRILLNKVEFRWKVQPPRLQTYLSHLEKNQLPLNDERLSSSPPPPPPKWKKIDSPVVEAALNDFIDQILKDFVINMWYSDLTPDMEFPELIRDLIMDAISEVSVRVKEINLVDLLTRDIVDLIGDHIDLFRRNQDAIGVDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGILATVLRKREAQCPVIRCIAREILTCLILQPIMNLASPAYINELIESLLLVLNDDGINWMAALEHSTNTTHNHGHSDAGGGHDNHTASADWAQMLEAASQRRTEVLMPENLENMWARGRNYRRKQHKNTKTGYQDPSLKCPAIDAIQEGMGAMHYVGSDPHLNVVGTNRSESSPDPDKELCSEVDHHVDEVKDIRDIPSKKFKDLKRSNSACLLGNQPLLKVCSPRSEVHNPESEKHGEGYRGKSGSEMVVRRDGHSVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGLEKTWFVRRRYRNFERLHRHLKDIPNYLLHLPPKRIFSSSTEDAFVYQRCIQFDKYLQDLLSIANIAEQHEVWDFLSVSSKNYSFGKSSSMMRTLAVNVDDVVDDIVRQFKGVSDGLIRKVVGSSSPSSRSTNQNMYWSMDEMDKSVPRQTNTESALSSDNEEGEKEANFGHENLDKEAEDNECNSENEFSLKEDSQLLTNHGNESTNLDMDRKHDVAMEAKVGKDVPTTTFNPTSDNMDDPVGVPPEWTPPNVTVPILNLVDNVFQLKKRGWLRQVFWISKQILQVVMEDAIDDWILSEIHWLRREDTIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGSAYDQKPLPSISESGGSKMTKSQSGSFELQLEAIRRASDLKKFLFDGAPAALVGLIGQKQYKRCASDIYYFTQSSICVKQLAYAILELLLISIFPELRNVVISIHENNLYRVP >Vigun11g076000.1.v1.2 pep primary_assembly:ASM411807v1:11:22290569:22291277:1 gene:Vigun11g076000.v1.2 transcript:Vigun11g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKVTLVAIVFALIACNGFVLAKEECNESKDCKGKIDLCKDSAICDNKVCRCPVSIVGKQDQKCKTAADCPYCPPGLCDKQHCDVATGKCSCLC >Vigun04g076900.2.v1.2 pep primary_assembly:ASM411807v1:4:10189793:10192042:1 gene:Vigun04g076900.v1.2 transcript:Vigun04g076900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKILVLEGEKSEMLSLVKNTFYSNKGIFLRELIINASNALDEIQFERLTKKNCLNNELIVRLIIHKVNKTLSIIDNGIGMTKADFVDNLGVGFYSSYLVAHKVILTSKHNDHDQYIWDSQPNHLAFNILFKLSLKSLKRCGCICKSWALLLENPNFMNLFYNNFISNQNSYFDGSSLLLCLSLVYDQNNDKSSLYSLFGKKFQNLEILNWPNPRIEGHGPGCYILGSSSINGIICLYLERTAIVYLWNPSTNECKVTPPSPVEDIPYYFDIVIEYEGFGYDIARDDYKVIREVCYYEEGNLVEINHNEWQYDRFWEIYSLRSNSWRKLNIKFLNCQGINNRFYLDGMCHWLCNNGDEGYLVSFDISNELARRKHGLNSLLLDLYLHFRFLLEQVIWAIYSSKQMMVN >Vigun01g153700.1.v1.2 pep primary_assembly:ASM411807v1:1:33635820:33642045:1 gene:Vigun01g153700.v1.2 transcript:Vigun01g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKGSKQVHRRRKLQRFSSDSGSCSDGVADGDSGSFQLGFGSSKQPFGTPMKKLLAEELSRETEPKRRAPGVIGRLMGLDGLPLQLPANKHHKHLSENHVKGTTPAAKTRRSGKLYGGRTSGRSSKNQQEFKDVFEVSEISNIENCRYSSQGSVKLKITDDEMSFVEQKFMDAKLRATYQDLPSSQDSHDTLEISNNDLLQKYFKRPDYLFKSHLDDLQGSPSESHFDHIRVTKSSDIENYEHGDLSPPGREIKGLNYSRSHQKHRDGYSSHVIRRQDIHSSPKSSELQFKGRNEPDAVPTRIVILKPNLGKVQKATKIGSSPCSSHTSLLDYGKYPKFSDSRFRDSELNQRKNLPDNAWHSRQNSLESREIAKEITSQMKNNLGNGSMLLSTSRFRGNTWDNSSCSFSGNESLEESEVTPATLGKPFYVSNTISPASCFSESFVTKEAKKRLSERWKMSLKSQQGHSVSRSGTLAEMLAKPDKEMKTANFDSSPSGEGLRDKLSNNGKPAGWVEPLGISSRDGWKDGCIGSLPRSKSLPASSTTSFGSPRTILRHEALHDDRFMIPKVAYKRERKKVVKGLDRRQCMNTRNLKSKKSRCSDPSNLEGNESSQDLNTIQNKVRCNLEEDLPKQEMLAAEIDGETTAVTEAVANVADENAVVSSESYIKEVSVGSSAENFVPLQTPVSGLESSCCKDADQPSPVSVLEPSFTDDLSSCSDCFESLSVDIQGLRMQLQLLKLESEEFVEGSALIQSDEDGGEAYSGISEDNGLLNGDSWESSYMIDVLSESGIDRAVPDAFLEVWHSLECPVSLSVFDELEKKYSDWSTCPRSERRLLFDRINWGIIDIYEQFVSAQSWVIPSRATNLCSSSKLIKSGLQDCLYRMLWSQGKVKDTTLGKVLVSELQWLNLRDDIDGIVSEVESLLLDDLVAEIAVT >Vigun01g153700.2.v1.2 pep primary_assembly:ASM411807v1:1:33635712:33641602:1 gene:Vigun01g153700.v1.2 transcript:Vigun01g153700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCRVAWTEDHTPILLLLVPRAEGSKQVHRRRKLQRFSSDSGSCSDGVADGDSGSFQLGFGSSKQPFGTPMKKLLAEELSRETEPKRRAPGVIGRLMGLDGLPLQLPANKHHKHLSENHVKGTTPAAKTRRSGKLYGGRTSGRSSKNQQEFKDVFEVSEISNIENCRYSSQGSVKLKITDDEMSFVEQKFMDAKLRATYQDLPSSQDSHDTLEISNNDLLQKYFKRPDYLFKSHLDDLQGSPSESHFDHIRVTKSSDIENYEHGDLSPPGREIKGLNYSRSHQKHRDGYSSHVIRRQDIHSSPKSSELQFKGRNEPDAVPTRIVILKPNLGKVQKATKIGSSPCSSHTSLLDYGKYPKFSDSRFRDSELNQRKNLPDNAWHSRQNSLESREIAKEITSQMKNNLGNGSMLLSTSRFRGNTWDNSSCSFSGNESLEESEVTPATLGKPFYVSNTISPASCFSESFVTKEAKKRLSERWKMSLKSQQGHSVSRSGTLAEMLAKPDKEMKTANFDSSPSGEGLRDKLSNNGKPAGWVEPLGISSRDGWKDGCIGSLPRSKSLPASSTTSFGSPRTILRHEALHDDRFMIPKVAYKRERKKVVKGLDRRQCMNTRNLKSKKSRCSDPSNLEGNESSQDLNTIQNKVRCNLEEDLPKQEMLAAEIDGETTAVTEAVANVADENAVVSSESYIKEVSVGSSAENFVPLQTPVSGLESSCCKDADQPSPVSVLEPSFTDDLSSCSDCFESLSVDIQGLRMQLQLLKLESEEFVEGSALIQSDEDGGEAYSGISEDNGLLNGDSWESSYMIDVLSESGIDRAVPDAFLEVWHSLECPVSLSVFDELEKKYSDWSTCPRSERRLLFDRINWGIIDIYEQFVSAQSWVIPSRATNLCSSSKLIKSGLQDCLYRMLWSQGKVKDTTLGKVLVSELQWLNLRDDIDGIVSEVESLLLDDLVAEIAVT >Vigun01g153700.3.v1.2 pep primary_assembly:ASM411807v1:1:33636411:33641602:1 gene:Vigun01g153700.v1.2 transcript:Vigun01g153700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLAEELSRETEPKRRAPGVIGRLMGLDGLPLQLPANKHHKHLSENHVKGTTPAAKTRRSGKLYGGRTSGRSSKNQQEFKDVFEVSEISNIENCRYSSQGSVKLKITDDEMSFVEQKFMDAKLRATYQDLPSSQDSHDTLEISNNDLLQKYFKRPDYLFKSHLDDLQGSPSESHFDHIRVTKSSDIENYEHGDLSPPGREIKGLNYSRSHQKHRDGYSSHVIRRQDIHSSPKSSELQFKGRNEPDAVPTRIVILKPNLGKVQKATKIGSSPCSSHTSLLDYGKYPKFSDSRFRDSELNQRKNLPDNAWHSRQNSLESREIAKEITSQMKNNLGNGSMLLSTSRFRGNTWDNSSCSFSGNESLEESEVTPATLGKPFYVSNTISPASCFSESFVTKEAKKRLSERWKMSLKSQQGHSVSRSGTLAEMLAKPDKEMKTANFDSSPSGEGLRDKLSNNGKPAGWVEPLGISSRDGWKDGCIGSLPRSKSLPASSTTSFGSPRTILRHEALHDDRFMIPKVAYKRERKKVVKGLDRRQCMNTRNLKSKKSRCSDPSNLEGNESSQDLNTIQNKVRCNLEEDLPKQEMLAAEIDGETTAVTEAVANVADENAVVSSESYIKEVSVGSSAENFVPLQTPVSGLESSCCKDADQPSPVSVLEPSFTDDLSSCSDCFESLSVDIQGLRMQLQLLKLESEEFVEGSALIQSDEDGGEAYSGISEDNGLLNGDSWESSYMIDVLSESGIDRAVPDAFLEVWHSLECPVSLSVFDELEKKYSDWSTCPRSERRLLFDRINWGIIDIYEQFVSAQSWVIPSRATNLCSSSKLIKSGLQDCLYRMLWSQGKVKDTTLGKVLVSELQWLNLRDDIDGIVSEVESLLLDDLVAEIAVT >Vigun10g138500.1.v1.2 pep primary_assembly:ASM411807v1:10:35487901:35490218:-1 gene:Vigun10g138500.v1.2 transcript:Vigun10g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVLTSINTTHHSTFMFLPKQQNQNLRIHRLRCSGTNPNEESQQQQQPQNNALLKLAWYSSELLGIAASVFRSPTTEEPPPQRLLQTIHRAALVDTIKEDFQRSYFVTGDLTLNAYEEDCEFADPAGSFKGLQRFKRNCTNFGSLLEMSNMKLMKWEDFEDKGIGHWRFSCILSFPWRPILSATGYTEYYFDAQSGKVCRHVEHWNVPKKALFKQILKPSRGFGLKDYVNRWLKMVQMKL >Vigun07g248900.1.v1.2 pep primary_assembly:ASM411807v1:7:36837060:36838421:-1 gene:Vigun07g248900.v1.2 transcript:Vigun07g248900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFHTALIFFIGFVSFSLFQDSAASVVSTGDFNKDFFVIWSPTHVNTSADGHTRSLKLDQESGAGFASNQMFLFGQIDMQIKLVPGDSAGTVLAYYLTSDQPNRDEIDFEFLGNVSGQPYILQTNIFADGTDNREERIYLWFDPTKDFHTYSVLWNMHQIVLMVDMIPVRVYRNHAEKGVPFPRWQPMSLKATLWNGDNWATRGGEDKIDWRKGPFIASFRNYKIDGCVWKGNPRFCRAASPGNWWNQNSSSTLTSAQRRWFKWVRKYHMIYDYCQDTQRFQNNLPRECSLPKY >Vigun10g091700.1.v1.2 pep primary_assembly:ASM411807v1:10:26359614:26360644:-1 gene:Vigun10g091700.v1.2 transcript:Vigun10g091700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFILPPTLSSNGNDDVDCSSAAFTSFIDNEISLGNAWSKFYSTTSIPNPTTVLLPSASILMCVPAQYGLALGLLGLAKRLHQSRSI >Vigun01g041000.1.v1.2 pep primary_assembly:ASM411807v1:1:5725027:5735488:-1 gene:Vigun01g041000.v1.2 transcript:Vigun01g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGVRLLRSLPAKFIRHADEPVYEGVCQRGFRTLNSGRCNPPRVIGNLFPKVGNGITLKNWLLYGAANTYLGTSRSIHGSASLARDYYEVLGVSKNASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSMAYEVLKDEEKRQQYDQIGHNAYVNQQSSGFGGDSGFNPFEQIFRDHDFVKSFFHQNIGGEDVKTFIELSFMEAVRGCTKNVTFQTEVLCNTCGGSGVPPGTRPETCKRCKGSGVTFVQTGIFRMESTCGTCKGTGKIVSNFCKSCNGAKVTKGTKSVKLDIMAGIDNNETIKVYRSGGADPDGDNPGDLYVTIKVREDPVFRREGSDIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKAKNSYTLGDQYVHFNVSIPNNLTERQRELIEEFAKEEQGDFDKQRAASASG >Vigun01g041000.3.v1.2 pep primary_assembly:ASM411807v1:1:5729606:5735405:-1 gene:Vigun01g041000.v1.2 transcript:Vigun01g041000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGVRLLRSLPAKFIRHADEPVYEGVCQRGFRTLNSGRCNPPRVIGNLFPKVGNGITLKNWLLYGAANTYLGTSRSIHGSASLARDYYEVLGVSKNASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSMAYEVLKDEEKRQQYDQIGHNAYVNQQSSGFGGDSGFNPFEQIFRDHDFVKSFFHQNIGGEDVKTFIELSFMEAVRGCTKNVTFQTEVLCNTCGGSGVPPGTRPETCKRCKGSGVTFVQTGIFRMESTCGTCKGTGKIVSNFCKSCNGAKVTKGTKSVKLDIMAGIDNNETIKVYRSGGADPDGDNPGDLYVTIKVREDPVFRREGSDIHVDAVLSITQYQFIILGKQIGVMA >Vigun01g041000.2.v1.2 pep primary_assembly:ASM411807v1:1:5725065:5735405:-1 gene:Vigun01g041000.v1.2 transcript:Vigun01g041000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGVRLLRSLPAKFIRHADEPVYEGVCQRGFRTLNSGRCNPPRVIGNLFPKVGNGITLKNWLLYGAANTYLGTSRSIHGSASLARDYYEVLGVSKNASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSMAYEVLKDEEKRQQYDQIGHNAYVNQQSSGFGGDSGFNPFEQIFRDHDFVKSFFHQNIGGEDVKTFIELSFMEAVRGCTKNVTFQTEVLCNTCGGSGVPPGTRPETCKRCKGSGVTFVQTGIFRMESTCGTCKGTGKIVSNFCKSCNGAKVTKGTKSVKLDIMAGIDNNETIKVYRSGGADPDGDNPGDLYVTIKVREDPVFRREGSDIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKAKNSYTLGDQYVHFNVSIPNNLTERQRELIEEFAKEEQGDFDKQRAASASG >Vigun01g160900.3.v1.2 pep primary_assembly:ASM411807v1:1:34277543:34280423:-1 gene:Vigun01g160900.v1.2 transcript:Vigun01g160900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMKPAFRNVAPHCHVFSRSLNPNPLLLVSPFRRPETFHLSAPVSCFSSSMAEPQSSLEKQFDSFRVQLEQSGTLRDRIRSVVSEIESSTRLIYATLLLVHQSRPTPGLLEKAKSQVSVLKEQYKELAEVLGGSSGQYYRYHGDWKSETQTVVSMLTFMHWLETGSLLEHKEAEEKLGYFGLDVEDYLIGVCFMSNELPRYVVNQVTAGNYDCPKKVVKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGETIGDLEIKEPKDNL >Vigun01g160900.2.v1.2 pep primary_assembly:ASM411807v1:1:34277543:34280423:-1 gene:Vigun01g160900.v1.2 transcript:Vigun01g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMKPAFRNVAPHCHVFSRSLNPNPLLLVSPFRRPETFHLSAPVSCFSSSMAEPQSSLEKQFDSFRVQLEQSGTLRDRIRSVVSEIESSTRLIYATLLLVHQSRPTPGLLEKAKSQVSVLKEQYKELAEVLGGSSGQYYRYHGDWKSETQTVVSMLTFMHWLETGSLLEHKEAEEKLGLGSDFGLDVEDYLIGVCFMSNELPRYVVNQVTAGNYDCPKKVVKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGETIGDLEIKEPKDNL >Vigun01g160900.1.v1.2 pep primary_assembly:ASM411807v1:1:34277476:34280501:-1 gene:Vigun01g160900.v1.2 transcript:Vigun01g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMKPAFRNVAPHCHVFSRSLNPNPLLLVSPFRRPETFHLSAPVSCFSSSMAEPQSSLEKQFDSFRVQLEQSGTLRDRIRSVVSEIESSTRLIYATLLLVHQSRPTPGLLEKAKSQVSVLKEQYKELAEVLGGSSGQYYRYHGDWKSETQTVVSMLTFMHWLETGSLLEHKEAEEKLGLGSDFGLDVEDYLIGVCFMSNELPRYVVNQVTAGNYDCPKKVVKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLTPNGETIGDLEIKEPKDNL >Vigun05g195600.1.v1.2 pep primary_assembly:ASM411807v1:5:37840946:37849574:1 gene:Vigun05g195600.v1.2 transcript:Vigun05g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLKAARASGSLNLSNRSLTEIPDEVYRNLEGLGGGGDDDKWWEAVELQKLILAHNSIGSLKEDLKNLPFLVVLNLSHNCLTQLPSAIGELPQLKMLDVSFNSIIEIPEEIGSAASLVKLDCSNNRLTELPSSLGRCLELSDLKGSNNLFTSLPEDLANCSKLSKLDMEGNKLTVISENLISSWTMLTEFNASKNLLTVLPVSIGSLSRLIRLDLLQNRVSAIPSSINGCHSLTELYLGNNNISTVPVEIGALSRLGTLDLHSNQLKDYPVEACKLSLLVLDLSNNSLSGLPPEMGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLKFLRSRLSVDEDSEAVTPSKEEVIAMATRLSITSKELSMQGLGLTAVPSEVWESGEVIKLDLSRNSIQELPVQLSSCVSLQTLILSKNQIIEWPSSVLKSLSSLSCLKMDNNSLRQIPSDGFEVVPKLQILDLSGNAASLLDGPAFSNLPYLQELYLRRMKLSEVPSDIVGLHQLRILDLSQNSLQSIPVGLKNLTSLKELDLSDNDISVLPPELGLLEPSLQALRLDGNPLRSIRRTVLSKGTKGVLNYLKDKLPE >Vigun05g195600.2.v1.2 pep primary_assembly:ASM411807v1:5:37840946:37849574:1 gene:Vigun05g195600.v1.2 transcript:Vigun05g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLKAARASGSLNLSNRSLTEIPDEVYRNLEGLGGGGDDDKWWEAVELQKLILAHNSIGSLKEDLKNLPFLVVLNLSHNCLTQLPSAIGELPQLKMLDVSFNSIIEIPEEIGSAASLVKLDCSNNRLTELPSSLGRCLELSDLKGSNNLFTSLPEDLANCSKLSKLDMEGNKLTVISENLISSWTMLTEFNASKNLLTVLPVSIGSLSRLIRLDLLQNRVSAIPSSINGCHSLTELYLGNNNISTVPVEIGALSRLGTLDLHSNQLKDYPVEACKLSLLVLDLSNNSLSGLPPEMGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLKFLRSRLSVDEDSEAVTPSKEEVIAMATRLSITSKELSMQGLGLTAVPSEVWESGEVIKLDLSRNSIQELPVQLSSCVSLQTLILSKNQIIEWPSSVLKSLSSLSCLKMDNNSLRQIPSDGFEVVPKLQILDLSGNAASLLDGPAFSNLPYLQELYLRRMKLSEVPSDIVGLHQLRILDLSQNSLQSIPVGLKNLTSLKELDLSDNDISVLPPELGLLEPSLQALRLDGNPLRRCSELFEGQIARVVFFFFLL >Vigun11g109300.1.v1.2 pep primary_assembly:ASM411807v1:11:31056610:31059539:1 gene:Vigun11g109300.v1.2 transcript:Vigun11g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPKSELFRKREKREREKDPTSFFIVPFQGQKNLVDGVAEYTFFIFVFIIITVREMAYNKGVHSSSGGSHRGRPYALILLITFGAALLGVMVLHKLRERRIYTLLVKEKDHQILALQLLLQKERDRSKELRGKNEEIKGKIYTLRSQKMELSRTVVEMQSTLDSLKDEQKLMETAFEEQQNELRLMQEKVGDVGQGGSEIVALRENLKHKEAEIEDLKRRLESPVNDQPTTIPEIVTANGTKQAQDESEKEEDSGESVKHESELTKSKDGDVATEIKDEILTDGEIGKANRNSQSDGDGVVKYIDDAEVVDGGEKKTMSEEHVGEVENITDSGGQVKQLAGMKRKHGHARRTKGKRWRTNVNSSLMEHNVVSDNRIGNRKVYKDEAKGRRVGKVYDEESFLRNKNSPRKDKSQANFLKPDRGEPNVTSSGTNIYPEKNQNLDEMRGSEVHEQSLAQQNWSKRHINKADKNAGQTKTKVLIERPEELEDILHVQKQHKDGIDTSHDDDEDGDEDNFQKSHSEFQDENNDYKEELNESEYQSGL >Vigun07g018000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1493756:1494955:1 gene:Vigun07g018000.v1.2 transcript:Vigun07g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTSDSSGYLGSSNISGFGMGIGISIGILLLITTITLTSYFCTRSQVPAAPRRRTSSSTGPQFLEPHHTIVDVGLDEATIMNYPKMLFSEAKLRKSDSTPTSCSICLGDYKSSDVLRVLPDCEHVFHLNCIDPWLRLHPTCPLCRTSPIPTPLSTPLAEVVPLATRRD >Vigun06g112200.1.v1.2 pep primary_assembly:ASM411807v1:6:24081051:24083464:-1 gene:Vigun06g112200.v1.2 transcript:Vigun06g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVKIPRVKLGTQGLEVSKLGYGCMGLTGAYNDPLREDEGISVIKHAFDQGITFFDTADIYGVDHANEILVGKALKQLPREKIQIATKFGITKIDSSGMSVKGTPEYVRSCCEGSLERLGVEYIDLYYQHRVDLSVPIEDTVGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEEEIVPLCRELGIGIVPYSPLGRGFFGGKGVLETVSTVSSLMRHPRFQTENLDKNKRLYEKIEELAAKHHCTPSQLALAWMLHQGNDVAPIPGTTKIKNLDQNIGGVSLKLAESELREISEAVPIEGVAGNRHYYGLASFAWTIANTPPKDPRV >Vigun11g220500.3.v1.2 pep primary_assembly:ASM411807v1:11:41283190:41287291:1 gene:Vigun11g220500.v1.2 transcript:Vigun11g220500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWQRTAFHFQPQRNWMNDPNGPLFYKGWYHLFYQYNPDSAVFANITWGHAVSRDLIHWLYLPIAMIRDSWYDINGVWSGSATLLPDGKIVMLYTGSTHQNVQVQNLAYPANLSDPLLIHWVKYADNPLLEPPPGIGPKDFRDPTTAWIGPDGKWRIAIGSKINQTGLSLVYKTQDFIHYELNDQYMHEVPGTGMWECVDFYPVSVNGSDGLDTSANGPDVKHVLKSSLDDTRGDHYAIGTYFIENDTWVPDNPDEDVGIGLKLDYGRFYASKTFYDQYKKRRVLWGWINESDSETADLKKGWASLQSVPRTVVFDTKTRAHLLQWPVEEIESLRLSSYEFEEVVVKPGSVVPLDIGPATQLDIFAEFEIEYLVSKEAEKKENVGCGNGAFDRSSLGPFGILAIADDQLSELTPIYFHLSSTTTSLTSSFCVDETRSSMAPDVSKLIFGSPVPVVSDERLSMRVLVDHSVIESFAQGGRTVISSRVYPTKAIYEAARLFLFNNATDINIKASLKIWQLNSAFIRPFPFDNKL >Vigun11g220500.2.v1.2 pep primary_assembly:ASM411807v1:11:41282687:41287291:1 gene:Vigun11g220500.v1.2 transcript:Vigun11g220500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTMNTDPKTPLLSPPSSLTRESGGRTQKGVLIFILSIVFLMSFSALVISLHSHQPFLSNIEKPLTTRVNSKSKQVFQTFESSLLKTALPWSLPLHPRGVAEGVSAKSNPYLLQKGSYNWTNAMLSWQRTAFHFQPQRNWMNDPNGPLFYKGWYHLFYQYNPDSAVFANITWGHAVSRDLIHWLYLPIAMIRDSWYDINGVWSGSATLLPDGKIVMLYTGSTHQNVQVQNLAYPANLSDPLLIHWVKYADNPLLEPPPGIGPKDFRDPTTAWIGPDGKWRIAIGSKINQTGLSLVYKTQDFIHYELNDQYMHEVPGTGMWECVDFYPVSVNGSDGLDTSANGPDVKHVLKSSLDDTRGDHYAIGTYFIENDTWVPDNPDEDVGIGLKLDYGRFYASKTFYDQYKKRRVLWGWINESDSETADLKKGWASLQSVPRTVVFDTKTRAHLLQWPVEEIESLRLSSYEFEEVVVKPGSVVPLDIGPATQLDIFAEFEIEYLVSKEAEKKENVGCGNGAFDRSSLGPFGILAIADDQLSELTPIYFHLSSTTTSLTSSFCVDETRSSMAPDVSKLIFGSPVPVVSDERLSMRVLVDHSVIESFAQGGRTVISSRVYPTKAIYEAARLFLFNNATDINIKASLKIWQLNSAFIRPFPFDNKL >Vigun02g000070.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16601:16804:1 gene:Vigun02g000070.v1.2 transcript:Vigun02g000070.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun09g137200.1.v1.2 pep primary_assembly:ASM411807v1:9:29844507:29849092:-1 gene:Vigun09g137200.v1.2 transcript:Vigun09g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGKQGIMVASQSQNPLEQIQARFKELENGFKLWLSKQSLPVEAAVVTTTSAAQGAAIGAFMGTLTADAPSAFPTPPPNAALNPQAMASLKQAQALAGGPFIQARNFAVMTGVNAGISCVLKRLRGKEDVQSSMAAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALVQGGLFQIGQKFSQPPVEDIQYAKTRHMLNNLGLLSYEKNFKKGLLTDNTLPLLTDSALRDVRIPPGPRLLILDHIQRDLDPKEKRGSRN >Vigun10g013100.1.v1.2 pep primary_assembly:ASM411807v1:10:1412241:1416525:-1 gene:Vigun10g013100.v1.2 transcript:Vigun10g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLLLLLVFSSQFMGGMNAKLPSPSYGNLITILSIDGGGIRGLIPAVVVNHLEKSLQKWDKSALLADYFDVIAGTSTGGLMTALLAAPNPQDPTRPLLSTSQVIEFYQKYGPSIFKENRIWNNSFPGPKYDGKFLHNIAGELLQNTRLSETLTNVVIPTFDLKKLHPVVFSNFQLKTVPSFDAKLSDICIGTSAAPTYLPPYYFKNGDTEFNLIDGGVAATNPAMAALTEVIKQQKEKNPDMTPKNSKEKNKILLLSIGCGTAKAVGVDAQVAEHFSANQWAATGLAVGAYDYGNKDMTEFYISTVYPGLQSSDYYLRIQEYNLDPSMDALDNATAVNIKNLEKAGYKLLNEPVFRKNVNTFVPEEEPEWGTNAQALERLAEVLYTEKRLRTMRKKSMEKKGRPFIENVVGQTSY >Vigun10g013100.2.v1.2 pep primary_assembly:ASM411807v1:10:1412241:1416525:-1 gene:Vigun10g013100.v1.2 transcript:Vigun10g013100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIWNNSFPGPKYDGKFLHNIAGELLQNTRLSETLTNVVIPTFDLKKLHPVVFSNFQLKTVPSFDAKLSDICIGTSAAPTYLPPYYFKNGDTEFNLIDGGVAATNPAMAALTEVIKQQKEKNPDMTPKNSKEKNKILLLSIGCGTAKAVGVDAQVAEHFSANQWAATGLAVGAYDYGNKDMTEFYISTVYPGLQSSDYYLRIQEYNLDPSMDALDNATAVNIKNLEKAGYKLLNEPVFRKNVNTFVPEEEPEWGTNAQALERLAEVLYTEKRLRTMRKKSMEKKGRPFIENVVGQTSY >Vigun04g149101.1.v1.2 pep primary_assembly:ASM411807v1:4:36475175:36478003:1 gene:Vigun04g149101.v1.2 transcript:Vigun04g149101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLSTPFSSIPLWALLVVVSVSAFIVVTCIILCFCFMYYPRKKPYKPFSLPKSIACKHHSGDFSSSSLDKRLLSASGNVSEHSMNFEKLSSGYYNHDLLIRGQNSNDWYLEGLGSKGKLCSTFNDKSKGWNFSLKEIEDATNGFAKDNEVGSGDNGIVYLGLLPSNRQVAVKRLVCNSHQSDELFASQMEAIGLAKHTNLVKLFGYCAEGAYRLAYLHDEVKPKILHGSIKASNILLDHKWNPKISDFGLVEVLSSKRSHIILEVEALGSSCEDSDCHSSSNLTEGNDIYDFGLLIMEIVSGKIPSYHSQTQTHIVDWFKSMISNGKIENATDPKLLKMLSSKALKQVALVALRCVDPEMNPRLKMRDVVCMLETNILLFEFPLPHLLKERQISMKTLERIHSPENQKK >Vigun04g175600.1.v1.2 pep primary_assembly:ASM411807v1:4:39973342:39979728:1 gene:Vigun04g175600.v1.2 transcript:Vigun04g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSYDVFLSFRGSDTRYGFTGNLYKALCDKGIHAFIDDEELQRGDEIGEALIEAIKQSRMAIVVFSKNYASSSFCLDELVKIIDCVKEKSRLLLPIFYDVHPSHVRGQSGSYAEALAMHQERFKSSNQSLNDNMGRLQKWKMALNQAACLSGKHYKLGNEYEHEFIGKIVKEVSNKINRRPLHVADYPVGMECRVQKVKSLLQFGSDSGVHIVGIYGIGGMGKTTLARAVYNSIADQFEGLCFLDGVRENAVKHGLVHLQEMLLSEIVGEKDIKIGSVSKGISIIKHRLHRKKVLLILDDVDKLEQVRATVGVPNWFGSGSRVIITTRDKHLLQGVDGKYEIEDLNEEEALELLSWNAFKDDKVDPSYKDISNQAVAYASGLPLALEVIGSLLFGKGIREWESALDQFKKIPNKRIQEILKVSYNALEENQQRIFLDIACCLKGYEFEEVEDILGAHYGVCMKYDIGVLVDKSLIKIKNGCVTLHELIEVMGKEIDRQESPKELGKRRRLWFHKDIIQVLAENTGTSEIEIICFDFPLFEEDEEVFVEWDGGAFKKMKNLKTLIIRNSHFSKGPAYLPNSLRVLEWWTYPLQDLPTDFHPNKLAICKLPRSCFTSLELATISKKFMNLTVLKFDGTECLTKIPDISSLQNLEKLTFECCENLVAIHDSVGFLDKLKILSAFGCSKLTSFPPIKLISLEQLDLSSCSSLESFPEILGKMENITQLELKYTPLKEFPFSFRNLARLQDLVLVDCGNVQLPSSIVMLSELAEIFALGCKGWLLPKQDENDEQKVSLVSSNVKCLCLSGCNLSDEYFPMVLAWFGNVKELELSSNSFTFLPECIKQCRSLKLLNLDNCEHLREIRGTPPNLEYFSAGNCKSLSFCCSAMLLDQELHEAGNTMFCLPGSWIPEWLEQQSIGPSLSFWFREKFPVMDLCFVIGPMGTDSILFRPIMTINGNTMEINSLTDKRFCFDFPASDYHILIIGTKYMKFGDNLDKPLSKNEWNHVVVSIALDFEPTPKEIIVKQTALHVIKPESSMDDIQFTDPCNQPSFKEKQRLVDTVDCHRQFMQQQTTLVSLEPLSMNPPQACKNNLNWDSFSTGTSSIASVQEYEIASQKLRLDMGILQFVQQRKRLAILGLLQQRRTASLDLLQRRGRELLSLLSSPSLELMVSWERRCITSVQGLQEQHLPSTIKQNFEGCNGINDAKVNEVIRCNNNSGNDNERTPLMEELLMTKENDVHSKRYQHVGKMASDPMELEYLLHIQKINFSQR >Vigun04g175600.2.v1.2 pep primary_assembly:ASM411807v1:4:39973342:39979728:1 gene:Vigun04g175600.v1.2 transcript:Vigun04g175600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVQKVKSLLQFGSDSGVHIVGIYGIGGMGKTTLARAVYNSIADQFEGLCFLDGVRENAVKHGLVHLQEMLLSEIVGEKDIKIGSVSKGISIIKHRLHRKKVLLILDDVDKLEQVRATVGVPNWFGSGSRVIITTRDKHLLQGVDGKYEIEDLNEEEALELLSWNAFKDDKVDPSYKDISNQAVAYASGLPLALEVIGSLLFGKGIREWESALDQFKKIPNKRIQEILKVSYNALEENQQRIFLDIACCLKGYEFEEVEDILGAHYGVCMKYDIGVLVDKSLIKIKNGCVTLHELIEVMGKEIDRQESPKELGKRRRLWFHKDIIQVLAENTGTSEIEIICFDFPLFEEDEEVFVEWDGGAFKKMKNLKTLIIRNSHFSKGPAYLPNSLRVLEWWTYPLQDLPTDFHPNKLAICKLPRSCFTSLELATISKKFMNLTVLKFDGTECLTKIPDISSLQNLEKLTFECCENLVAIHDSVGFLDKLKILSAFGCSKLTSFPPIKLISLEQLDLSSCSSLESFPEILGKMENITQLELKYTPLKEFPFSFRNLARLQDLVLVDCGNVQLPSSIVMLSELAEIFALGCKGWLLPKQDENDEQKVSLVSSNVKCLCLSGCNLSDEYFPMVLAWFGNVKELELSSNSFTFLPECIKQCRSLKLLNLDNCEHLREIRGTPPNLEYFSAGNCKSLSFCCSAMLLDQELHEAGNTMFCLPGSWIPEWLEQQSIGPSLSFWFREKFPVMDLCFVIGPMGTDSILFRPIMTINGNTMEINSLTDKRFCFDFPASDYHILIIGTKYMKFGDNLDKPLSKNEWNHVVVSIALDFEPTPKEIIVKQTALHVIKPESSMDDIQFTDPCNQPSFKEKQRLVDTVDCHRQFMQQQTTLVSLEPLSMNPPQACKNNLNWDSFSTGTSSIASVQEYEIASQKLRLDMGILQFVQQRKRLAILGLLQQRRTASLDLLQRRGRELLSLLSSPSLELMVSWERRCITSVQGLQEQHLPSTIKQNFEGCNGINDAKVNEVIRCNNNSGNDNERTPLMEELLMTKENDVHSKRYQHVGKMASDPMELEYLLHIQKINFSQR >Vigun04g175600.3.v1.2 pep primary_assembly:ASM411807v1:4:39973342:39979728:1 gene:Vigun04g175600.v1.2 transcript:Vigun04g175600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKSLTVLRKRVVYFCPFSTTSTLRMFEASLGVMQKLWPCTRKGSKAATKASMITWAGCKNGRWLLTKQLVCPASITNLDYPVGMECRVQKVKSLLQFGSDSGVHIVGIYGIGGMGKTTLARAVYNSIADQFEGLCFLDGVRENAVKHGLVHLQEMLLSEIVGEKDIKIGSVSKGISIIKHRLHRKKVLLILDDVDKLEQVRATVGVPNWFGSGSRVIITTRDKHLLQGVDGKYEIEDLNEEEALELLSWNAFKDDKVDPSYKDISNQAVAYASGLPLALEVIGSLLFGKGIREWESALDQFKKIPNKRIQEILKVSYNALEENQQRIFLDIACCLKGYEFEEVEDILGAHYGVCMKYDIGVLVDKSLIKIKNGCVTLHELIEVMGKEIDRQESPKELGKRRRLWFHKDIIQVLAENTGTSEIEIICFDFPLFEEDEEVFVEWDGGAFKKMKNLKTLIIRNSHFSKGPAYLPNSLRVLEWWTYPLQDLPTDFHPNKLAICKLPRSCFTSLELATISKKFMNLTVLKFDGTECLTKIPDISSLQNLEKLTFECCENLVAIHDSVGFLDKLKILSAFGCSKLTSFPPIKLISLEQLDLSSCSSLESFPEILGKMENITQLELKYTPLKEFPFSFRNLARLQDLVLVDCGNVQLPSSIVMLSELAEIFALGCKGWLLPKQDENDEQKVSLVSSNVKCLCLSGCNLSDEYFPMVLAWFGNVKELELSSNSFTFLPECIKQCRSLKLLNLDNCEHLREIRGTPPNLEYFSAGNCKSLSFCCSAMLLDQELHEAGNTMFCLPGSWIPEWLEQQSIGPSLSFWFREKFPVMDLCFVIGPMGTDSILFRPIMTINGNTMEINSLTDKRFCFDFPASDYHILIIGTKYMKFGDNLDKPLSKNEWNHVVVSIALDFEPTPKEIIVKQTALHVIKPESSMDDIQFTDPCNQPSFKEKQRLVDTVDCHRQFMQQQTTLVSLEPLSMNPPQACKNNLNWDSFSTGTSSIASVQEYEIASQKLRLDMGILQFVQQRKRLAILGLLQQRRTASLDLLQRRGRELLSLLSSPSLELMVSWERRCITSVQGLQEQHLPSTIKQNFEGCNGINDAKVNEVIRCNNNSGNDNERTPLMEELLMTKENDVHSKRYQHVGKMASDPMELEYLLHIQKINFSQR >Vigun04g175600.4.v1.2 pep primary_assembly:ASM411807v1:4:39973342:39979728:1 gene:Vigun04g175600.v1.2 transcript:Vigun04g175600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVQKVKSLLQFGSDSGVHIVGIYGIGGMGKTTLARAVYNSIADQFEGLCFLDGVRENAVKHGLVHLQEMLLSEIVGEKDIKIGSVSKGISIIKHRLHRKKVLLILDDVDKLEQVRATVGVPNWFGSGSRVIITTRDKHLLQGVDGKYEIEDLNEEEALELLSWNAFKDDKVDPSYKDISNQAVAYASGLPLALEVIGSLLFGKGIREWESALDQFKKIPNKRIQEILKVSYNALEENQQRIFLDIACCLKGYEFEEVEDILGAHYGVCMKYDIGVLVDKSLIKIKNGCVTLHELIEVMGKEIDRQESPKELGKRRRLWFHKDIIQVLAENTGTSEIEIICFDFPLFEEDEEVFVEWDGGAFKKMKNLKTLIIRNSHFSKGPAYLPNSLRVLEWWTYPLQDLPTDFHPNKLAICKLPRSCFTSLELATISKKFMNLTVLKFDGTECLTKIPDISSLQNLEKLTFECCENLVAIHDSVGFLDKLKILSAFGCSKLTSFPPIKLISLEQLDLSSCSSLESFPEILGKMENITQLELKYTPLKEFPFSFRNLARLQDLVLVDCGNVQLPSSIVMLSELAEIFALGCKGWLLPKQDENDEQKVSLVSSNVKCLCLSGCNLSDEYFPMVLAWFGNVKELELSSNSFTFLPECIKQCRSLKLLNLDNCEHLREIRGTPPNLEYFSAGNCKSLSFCCSAMLLDQELHEAGNTMFCLPGSWIPEWLEQQSIGPSLSFWFREKFPVMDLCFVIGPMGTDSILFRPIMTINGNTMEINSLTDKRFCFDFPASDYHILIIGTKYMKFGDNLDKPLSKNEWNHVVVSIALDFEPTPKEIIVKQTALHVIKPESSMDDIQFTDPCNQPSFKEKQRLVDTVDCHRQFMQQQTTLVSLEPLSMNPPQACKNNLNWDSFSTGTSSIASVQEYEIASQKLRLDMGILQFVQQRKRLAILGLLQQRRTASLDLLQRRGRELLSLLSSPSLELMVSWERRCITSVQGLQEQHLPSTIKQNFEGCNGINDAKVNEVIRCNNNSGNDNERTPLMEELLMTKENDVHSKRYQHVGKMASDPMELEYLLHIQKINFSQR >Vigun01g201000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37740465:37743079:1 gene:Vigun01g201000.v1.2 transcript:Vigun01g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKAEVDYEKIVRDTCRSIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCGWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHNETVSNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGHRFLKTAAYGHFGRDDPDFTWEVVKPLKSEKPQA >Vigun01g142600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32389606:32392723:1 gene:Vigun01g142600.v1.2 transcript:Vigun01g142600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQIQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGAAKPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMMMVICSVASGLSFGHSAKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGIFAIIISAAFNSWYPAPAYEIDPVGSTVVQADYIWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNMKQAAADMSKVLQVEIQAEPQREDPAKPKPYGLFSKEFLSRHGLHLLGTSSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGSFGFLYLAQNKDKSKADAGYPAGIGVKNALIVLGVVNVLGFFFTFLVPEAKGRSLEEMSGENEEDVGSGEESEQSHSHNNNNKTVPYV >Vigun01g142600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32390324:32392723:1 gene:Vigun01g142600.v1.2 transcript:Vigun01g142600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQIQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGAAKPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMMMVICSVASGLSFGHSAKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGIFAIIISAAFNSWYPAPAYEIDPVGSTVVQADYIWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNMKQAAADMSKVLQVEIQAEPQREDPAKPKPYGLFSKEFLSRHGLHLLGTSSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGSFGFLYLAQNKDKSKADAGYPAGIGVKNALIVLGVVNVLGFFFTFLVPEAKGRSLEEMSGENEEDVGSGEESEQSHSHNNNNKTVPYV >Vigun01g142600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32389377:32393254:1 gene:Vigun01g142600.v1.2 transcript:Vigun01g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQIQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGAAKPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMMMVICSVASGLSFGHSAKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGIFAIIISAAFNSWYPAPAYEIDPVGSTVVQADYIWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNMKQAAADMSKVLQVEIQAEPQREDPAKPKPYGLFSKEFLSRHGLHLLGTSSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGSFGFLYLAQNKDKSKADAGYPAGIGVKNALIVLGVVNVLGFFFTFLVPEAKGRSLEEMSGENEEDVGSGEESEQSHSHNNNNKTVPYV >Vigun01g142600.4.v1.2 pep primary_assembly:ASM411807v1:1:32389464:32393256:1 gene:Vigun01g142600.v1.2 transcript:Vigun01g142600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQIQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGAAKPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMMMVICSVASGLSFGHSAKTGFGILAGGIFAIIISAAFNSWYPAPAYEIDPVGSTVVQADYIWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNMKQAAADMSKVLQVEIQAEPQREDPAKPKPYGLFSKEFLSRHGLHLLGTSSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGSFGFLYLAQNKDKSKADAGYPAGIGVKNALIVLGVVNVLGFFFTFLVPEAKGRSLEEMSGENEEDVGSGEESEQSHSHNNNNKTVPYV >Vigun06g026400.1.v1.2 pep primary_assembly:ASM411807v1:6:12001958:12008737:1 gene:Vigun06g026400.v1.2 transcript:Vigun06g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFAVEAPLHASNVQVVDPVTGKPCKVGVKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVLGPKDTPMDLVLEKTYDAKTGRGMPEL >Vigun07g107500.1.v1.2 pep primary_assembly:ASM411807v1:7:19808741:19814910:1 gene:Vigun07g107500.v1.2 transcript:Vigun07g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDHESVQQQQVEVPPHQPFFKSLKMTLKETFFPDDPLRQFKNKPPSKKLMLGIQYFFPIFQWAPKYTFNFFKADLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMGSMLSDVVNPNEDPILYLHLAFTATLFAGIFQAALGLFRLGLIVDFLSHATIVGFMGGAATVVCLQQLKSILGLVHFTHGADIISVMRSVFTQTHEWRWESSVLGFVFIFFLLITRYFSKKQPRFFWVSAMAPLTSVILGSVLVYFTHAEKHGVEVIGELKKGLNPASVTKLVFVSPYMTTAVKTGIVVGIISLAEGIAVGRSFAMYKNYNIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIIMSIAVMLTLLFLTPLFHYTPLVVLSAIIVSAMLGLIDYEAAIHLWKIDKFDFVVCMSAYIGVVFGSVEIGLVIAVAISVLRVLLFIARPRTFVLGNIPNSGIYRNVEQYPNAKHVPGILILEIDAPIYFANAGYLRERITRWIDEEENRIKATAETSLQYVIMDMSGVGNIDTSGISMLEEVKKITERRELQLVLVNPGSEVMKKLNKSKFQNHLGQRWIYLTVEEAVGACNFNLRARTNLKIDESENWDNV >Vigun04g074501.1.v1.2 pep primary_assembly:ASM411807v1:4:9031934:9037703:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRIKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRTLLIMPLASSPLMFLLPEDSCTCSKLI >Vigun04g074501.3.v1.2 pep primary_assembly:ASM411807v1:4:9033991:9037703:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRIKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLAACVTLELAELGKPFITSIVNGSDIVPTLSASSSHNFIAEVTTYNIITKYSYSQVYLYSKLVEEWFIQPAQ >Vigun04g074501.4.v1.2 pep primary_assembly:ASM411807v1:4:9031934:9037748:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFACQFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRTLLIMPLASSPLMFLLPEDSCTCSKLI >Vigun04g074501.8.v1.2 pep primary_assembly:ASM411807v1:4:9035515:9036121:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRIKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGIQTL >Vigun04g074501.9.v1.2 pep primary_assembly:ASM411807v1:4:9034945:9035830:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRCQNNICDLVLVLVI >Vigun04g074501.2.v1.2 pep primary_assembly:ASM411807v1:4:9033991:9037703:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRIKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRTLLIMPLASSPLMFLLPEDSCTCSKLI >Vigun04g074501.5.v1.2 pep primary_assembly:ASM411807v1:4:9034688:9035830:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRTLLIMPLASSPLMFLLPEDSCTCSKLI >Vigun04g074501.7.v1.2 pep primary_assembly:ASM411807v1:4:9031934:9037816:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRIKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQESKCLLVFIRGTRSIKDTLIDTLCAYVSFDHNMVSGHAHRGMVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGIQTL >Vigun04g074501.6.v1.2 pep primary_assembly:ASM411807v1:4:9034688:9035803:-1 gene:Vigun04g074501.v1.2 transcript:Vigun04g074501.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTHKLREMEELSSTRPTCVTFGLGISLLKIGGRMVYSTCSMNPIENEAVVAEKNDLNIDLQNLPKQGNMAQAITFENECLFGLSIRTLLIMPLASSPLMFLLPEDSCTCSKLI >VigunL071300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000499.1:46146:46421:-1 gene:VigunL071300.v1.2 transcript:VigunL071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun05g078800.4.v1.2 pep primary_assembly:ASM411807v1:5:7353542:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIILSADEHCFGRLVEDVRFQIDSNSVSANHCRIYRMKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.6.v1.2 pep primary_assembly:ASM411807v1:5:7353660:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.10.v1.2 pep primary_assembly:ASM411807v1:5:7353661:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.9.v1.2 pep primary_assembly:ASM411807v1:5:7353133:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.7.v1.2 pep primary_assembly:ASM411807v1:5:7353133:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKQAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.5.v1.2 pep primary_assembly:ASM411807v1:5:7353660:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKQAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.1.v1.2 pep primary_assembly:ASM411807v1:5:7352486:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKVETPSPAPRGNNGSDQCSASVSPRARIVSVASNIASQPLHNSDPRVWGVLTAISNYARKRHQGINIILSADEHCFGRLVEDVRFQIDSNSVSANHCRIYRMKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKQAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.2.v1.2 pep primary_assembly:ASM411807v1:5:7352486:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKVETPSPAPRGNNGSDQCSASVSPRARIVSVASNIASQPLHNSDPRVWGVLTAISNYARKRHQGINIILSADEHCFGRLVEDVRFQIDSNSVSANHCRIYRMKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.8.v1.2 pep primary_assembly:ASM411807v1:5:7353661:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKQAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g078800.3.v1.2 pep primary_assembly:ASM411807v1:5:7353542:7361706:1 gene:Vigun05g078800.v1.2 transcript:Vigun05g078800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIILSADEHCFGRLVEDVRFQIDSNSVSANHCRIYRMKVTNENMENATSVLLKDTSTNGTYLNWEKLKKNGAAVKVCHGDVISFAAPPQHDLAFAFVYRDVLVSSPMPDNAVVKRKAEDFISENKRLKGLGIGAPEGPISLDDFRSLQRSNTELRKQLENQVVTIDTLRSDNRAAVERHESELKSVKESVAKCYLDQLKELQQTVDLKQKELGDLSKASAEQRHALEDLNERLSASTQSCAEANSIICSQKVNIAELKEQLDDERTQRKEEREKAAADLKAAVHKAQSEAEDELKRLSDAALRRERELQEAINKLQESEREMSSLAETLRSKLEDTRQKLVVSDNKVRQLETQVHEEKLATENEVKKVELEQQETRRLRKELESEKQAAREEAWAKVSVLELEINAAMRDLDFERRRLKGARERLMLRETQLRAFYSTTEEIQILFAKQQEQLKSMQRTLEDEENYDNTSVEMDGVIGGILGREKEDDGYHSHNDAKAGSSTPAQKVNIDQVETSSNEASVTEKHDCDIRSEECQNTQEAEFTSADYGYKIDGIGTGNVLEKDAAVGTEKVLETESPINQGEQNIDLNKCLGGDTMQIDDDDNNVQETEELAPIPSREGLHHHQSNNPLDTQKTIEDTEVEGTITTADLLTSEVAGSWACSTVPSMHEENESLRSRDTNEGSGALHDSNVVVAESQNTLSDAAVARQNARRELSEMIGIVAPDLREQFGGSAYDCDQERKDGGCSSDSDTESCSNTSMDNVADAKGGSISDDETQVSYHDEEDQKQGDTMDEDDEATQED >Vigun05g244900.1.v1.2 pep primary_assembly:ASM411807v1:5:43792301:43795376:-1 gene:Vigun05g244900.v1.2 transcript:Vigun05g244900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKSWRSWCKPHNLFIKISTCRLPNFPIQILLLGLCHFLVPASCSRKPPPLPILPLPTAFQLQWQLSDMALFFHFGTNTFTDSEWGSGHAHPSVFNPTKLNASQWIRVAKDSGFSTVILTAKHHDGFCLWPSDYTDYSVRSSNWKNGNGDIVAELSAAAKDAGIGLGIYLSPWDRHETCYGDTLRYNEFYLAQMTELLTRYGEITDVFLDGAKGEEEKDMEYLFASWNSLIHQLQPGASIFSDVGPDTRWVGDEYGVAGFTCWSLYNKTGFEIGDVDLQYNSEGDPFGPDWIPVTCDVSIRPGWFWHASEVPMSARSLLEIYYKSVGRNCKLLLNVPPNSSGLISDEDIQVLQEFTELRRSIFSHNLAKNAVINASSIRGGIQDTHFSPFNVLKEGIYTYWAPEENQSKWILYVNLQELVSFNVLQLQEPIHMGQRVIEFHLEALTQDGVWTRVINGTTIGYKRLLLFPKLKSQYLKLVVDKSRADPLISYLGIYMDSVTVLRDTPDKKSRACFNGTQALQTSTHNSSLRAAV >Vigun11g221900.1.v1.2 pep primary_assembly:ASM411807v1:11:41361567:41364464:-1 gene:Vigun11g221900.v1.2 transcript:Vigun11g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPLDPFLNELTSMFERSTEKGSVWVTLKRSCLKSKLQKDKLETAGETIEYRCLIRATDGKKTISTSVGQKDHQRFQASYATILKAHMTALKKRERKDKKKPAEANKREGSSKRSKKS >Vigun11g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37687895:37689352:-1 gene:Vigun11g171100.v1.2 transcript:Vigun11g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQVLEQFSQTLRPKAWALLFSSSCSNHDSPSLVTDVVTILINHRSKSRWSNLRSACPNGIEPREFSQITLHLKNKPQLALRFFLWTKSKSLCHHNLASYASIIHLLARARLSSHAYNLIRTTIRDSDQTDDLNCRFASPPLNLFETLVKTYRDSGSAPFVFDLLIKACLDSRKVDPSVEIVRMLLSRGISPKVSTLNSLITGVCRSRGVDAGYAIYREFFRLDEEKNEISRRGLRVTPNVHTYNELMLCCYQDGLVERMEELWHEMRSNCKPNAYSYSVLMAAFCEEGRMGHAEKLWEEMRKEKMEPDVVSYNTIIGGFCKIGDVVRAEEFFREMELASVEATASTYEHLVKGYFSAGDVDSAVLVYEDMSRRDLRPDASTLDMVVRLLCDKGRVEEAVEFVKRAVSKFDLIPSEKSYEVLIKGLCFEGRMEEALKLQAEMVGKGFQPKSEVYDAFVDGYIRQGNEEMARALRKEMLQNEIQS >Vigun03g336200.1.v1.2 pep primary_assembly:ASM411807v1:3:53438772:53439961:1 gene:Vigun03g336200.v1.2 transcript:Vigun03g336200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPLMSWSSYYQIEENPLPFTCQFSYSENSEVYPPPPLHDLPIQDYPVDAVPLMEFYPSDPLYETLAIEPTPTLRDCDFYDIDKGLSVWKEVNAVFDSEVDILFRKKDESGGSLKEMMVDGGNVNEEREERRNPSNGRKLSRKTISEYFYMPITQAARELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLINNVQELLKEEGPESEEKLRFAIETLENEKKLLEEMPDIELEDNTKRLRQACFKANYKKRKLGQREPQCSSWSGAMSEYNNESEEELDIKYLISQLA >Vigun04g126400.1.v1.2 pep primary_assembly:ASM411807v1:4:31908629:31913336:-1 gene:Vigun04g126400.v1.2 transcript:Vigun04g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSSFHCERMARKRSPMNLSFIVTLMFVLCFSNMIVCRGEISWSREAAEEAEAVAAIECSGHGNAYLDGIVLKGHQQVCECNQCYTGSDCSKLLSNCSANAGSGDPYFLEPFWKKHARSSAILVSGWHRMGYSYSDGSYISELLVEHIKKVHAIVGNAITEGKYIIFGSGSTQLLNAAVYAFSPDASSHSPAKVVATPPYYPVYRTQTEYFNARNFSYEGSTSSWINKTDSSSTFIEFVTSPNNPDGKLTKGVLKGDNVKQINDRAYYWPHYTAIPSPVDDDLMIFTISKLTGHAGSRFGWAIIKDKAVYEKMLTYLDMNTMGVSREAQLRALKLFDVVLEGDDGKEIFKFAYSTMRHRWTKLKETISKSKRFSLQKLSSQYCTFFQRERELSPAYAWLKCEREEDKNCYEILEAAGINGRAGSVYSADNHYVRLSLIRSKDDFDILINKLKTLVAKQ >Vigun03g417600.1.v1.2 pep primary_assembly:ASM411807v1:3:62428679:62431293:1 gene:Vigun03g417600.v1.2 transcript:Vigun03g417600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIQCCYLSTIAALTIFLSTTSFSDAQSCNGILVSYAYTGGSRLPPNVSNAAEQPYRFESTVTVLNNGLDELKSWKVFVGFDHDELLVSASNAVLADGTTLPAAVGNGTVFAGYPMTDLKTAVATAGDLTQMQVQINLVGTVFGVAPPSVPMPKSINLANDGFLCRASTTQGKNASSVCCTRDPKFKTNITTDEEFLPRQNGDLSIMYDVIRTYDSNYWAEVTIANHNPLGRLDNWRLSWDWMNDEFIYSMKGAYPSVVDASECLFGKQGTFYRDLDFATVLNCERRPTIIDLPPTKFNDSDLGKIPFCCRNGTILPPSMDPSMSASRFQMQVFKMPPALNRSQLSPPQNWKISGTLNPDYKCGPPVRVSPTENPDPSGLPSNKTVMASWQVVCNITTAKGTSRKCCVSFSAYYNDSVVPCKTCACGCPQNTERTCSATAPAMLLPPEALLVPFENRSAKAIAWASLKHFPVPKPMPCSDNCGVSINWHLVSDYTKGWSARVTLFNWGETNFADWFAAVEMDKAAAGFEKMYSFNATLLDGVNNTIIMQGLPGLNYLVAEADGADPLRDPRVPGKQQSVISFTKKLTPGINTIRGDGFPTKVFFNGEECSLPSVLPTSGGSWNGFSLGTSVLLSLLSFLLMR >Vigun03g272500.1.v1.2 pep primary_assembly:ASM411807v1:3:44766247:44767811:-1 gene:Vigun03g272500.v1.2 transcript:Vigun03g272500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENKHFVLVHGACHGAWCWYKLKPRLESAGHKVTVLDLAASGINPRKIEDVHTFSQYSEPLLQLLATIPPNEKVILVGHSLGGLNIALATEKFPEKVAVAVFLTAFTPDIEHQPSYVLEKYNERTEPAAWLDTEFSPCGSKTSMFFGPKFISDKLYQLTSIEDIELAKSLARPSSLFMEDLSKQKKFSKEGYGSVPRAFVVCTEDLAIPLEYQHWMIQNAVFNDVLEIKGADHMPMLCVPQQLFDSLQQIATKY >Vigun09g157400.1.v1.2 pep primary_assembly:ASM411807v1:9:32336706:32340675:-1 gene:Vigun09g157400.v1.2 transcript:Vigun09g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPLSLVFSFLLFIELLLPFSIQRSTPKLLCSYRNKKKKKGDKRGKAVKVEAFCCAATEMVGKDNLRAEDLNLCFERLMMVAGSGNSEKGVNLKVGVITEWKDIPVELLMQILSLVDDQTVITASGVCRGWRDAIYFGLARLSLSWCSKNMNSLVLSLVPKFTKLQTLILRQDKPQLEDKAVETIAECCHELQILDLSKSFKLTDRSLYAIALGCRELTKLNISGCSAFSDSALAYLASFCRKLKVLNLCGCVRAASDTALQAIGQYCNQLQSLNLGWCDNVGDVGVTTLAYGCPDLRIVDLCGCVRITDDSVIALANRCPHLRSLGLYYCKNITDRAMYSLAHSKVNNRISVKGGNDEDGLRTLNISQCTALTPSAVQAVCDSFPSLHTCSGRHSLIMSGCLNLTSVHCACAVHAHRAFTHFPHPAH >Vigun09g157400.2.v1.2 pep primary_assembly:ASM411807v1:9:32336706:32340826:-1 gene:Vigun09g157400.v1.2 transcript:Vigun09g157400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPLSLVFSFLLFIELLLPFSIQRSTPKLLCSYRNKKKKKGDKRGKAVKVEAFCCAATEMVGKDNLRAEDLNLCFERLMMVAGSGNSEKGVNLKVGVITEWKDIPVELLMQILSLVDDQTVITASGVCRGWRDAIYFGLARLSLSWCSKNMNSLVLSLVPKFTKLQTLILRQDKPQLEDKAVETIAECCHELQILDLSKSFKLTDRSLYAIALGCRELTKLNISGCSAFSDSALAYLASFCRKLKVLNLCGCVRAASDTALQAIGQYCNQLQSLNLGWCDNVGDVGVTTLAYGCPDLRIVDLCGCVRITDDSVIALANRCPHLRSLGLYYCKNITDRAMYSLAHSKVNNRISVKGGNDEDGLRTLNISQCTALTPSAVQAVMEIAYKINKHVLVLEGRIRQGF >Vigun09g038700.1.v1.2 pep primary_assembly:ASM411807v1:9:3472272:3475144:1 gene:Vigun09g038700.v1.2 transcript:Vigun09g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTFVFSLLLVAQCCWCLTLPKKTYIVHMKHHNKPSIYPTHTDWYTANLQSLTTDSDPLLYSYTDAYNGFAASLAEDQAQQLLRSEDVLGVYEETVYQLHTTRTPQFLGLERETGLWEGHTAQDLNQASHDVIVGVLDTGVWPESPSFTDAGMPAIPARWRGECETGPDFSPSVCNRKLIGARSFSRGFHMASGVGAREKEPASARDRDGHGTHTASTAAGSHVANASLLGYASGTARGMAPTARVAVYKVCWTDGCFASDILAGMDRAIQDGVDVLSLSLGGGSAPYFRDTIAVGAFAAVARGIFVSCSAGNSGPEKASLANVAPWIMTVGAGTLDRDFPAFAVLGDKKRYSGVSLYSGTGMGTEPVGLVYNKGLNQSGSVCMPGSLDPGLVRGKVVVCDRGINARVEKGKVVRDAGGVGMILANTEASGEELVADSHLLPAVAVGRIVGDQIRKYASSDPNPTAVLGFRGTVLNVRPSPVVAAFSSRGPNMVTRQILKPDVIGPGVNILAGWSDAIGPSGLTDDTRKTQFNIMSGTSMSCPHISGLAALLKAAHPEWSPSAIKSALMTTAYVHDNTKSPIRDAAGGAFSTPWAHGAGHVNPHRALSPGLVYDASTSDYIKFLCSLDYTPDHIQLIVKRHAVNCTRKFSDPGQLNYPSFSILFGGKRVVRYTRTLTNVGEAGSIYDVTVDAPSTVEVRVKPARLVFGKVGERRRYTVTFVSKKSGGDSGKYGFGSIMWSNAQHQVRSPVAFSWTLL >Vigun08g124200.1.v1.2 pep primary_assembly:ASM411807v1:8:29449182:29450487:-1 gene:Vigun08g124200.v1.2 transcript:Vigun08g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRSRVPNPRVDLLMHALPSEIMRRLKETAKLMVNGGFMEECSDIYSKWRREFLKQCLRELRLQLYMYNNEDVEKWLKTCKAAGKILFPNERSLCDYLFSGSHVAANVYFEKICKELTISLVSFANTTITTRSYLPNLLCNIVPKMSQSLGELLPEFTSPILFIKSSFLRDLQDIQEILATLNNFGDIIYPDNVSAPFTNGGLHLITKEATDYIIRSCVAWIHRRSGQSNRVENSSFWMVIGRMMELLESELEAKSKDYYTDPALGYIFMINNLSYIEQKRSHLKFDNDWFPRNTTKVQQKCNLYLRRSWNKIVEFLKIETNESVEAVVVAELMKDKLHLFNLHFEETCTIQSTWTVSNKGLKERIIKSIEEILLPRYGKFCDRFQVVSGNQAYEYIKFGIVDIQNCLSNLFQGNMISLC >Vigun06g138600.1.v1.2 pep primary_assembly:ASM411807v1:6:26422884:26426259:1 gene:Vigun06g138600.v1.2 transcript:Vigun06g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHIFHLRRCFATKYTARITSTAPTGRSVAAEVTPPPPLPSDPRGYLLPRRDLICKATQILLSPPPSSFSDPFSDLSDYLQSLSLSLSPLEASEILKNLKNPSLALKFFHFCPSLSPNFRHESFTYNRLFLILSKSTNPARFDQARDLLCHMHRHAVRGCISTVNILVGFFGSGEDLERCVALVKKWDLRLNAYTYKCLLQAYLRSRDSSTAFQVYRDMVRRGYKLDIFGYNMLLDALAKDEKVDKAYKVFEDMKRRYCEPDVFTYTIMIRMTGKAGKTEESLAFFQAMLAKGCIPNLIGYNTMIEALAKGRMVDKAILLFSKMVENDCQPNEFTYSVILNLLVAEGKLNELDNIVDISKKYINKQIYSYFVRTLSKLGHASEAHRLFCNMWNFHDKGDKDACMSMLESLCSAGKMTEAIDLLNKIHEKGITTDTIMYNTAFTALGRLKQISHIHDLYEKMKLDGPPPDIFTYNILISSFGRAGRVDLAVKIFEELENSNCRPDVISYNSLINCLGKNGDIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACRLFDEMLAEECTPNLITYNILLDCLERCGRTAEAVDLYSKLKQQGLTPDSITYTVLERLQSGGHGKLRFRRQNPITGWVVSPLR >Vigun09g250900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41807434:41810350:1 gene:Vigun09g250900.v1.2 transcript:Vigun09g250900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAEAAGRLLYLKLGYSHEVELAVPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRTCKPPEVYKGKGIMYVDEVIKKKQGKKSK >Vigun05g090000.1.v1.2 pep primary_assembly:ASM411807v1:5:8640160:8643271:-1 gene:Vigun05g090000.v1.2 transcript:Vigun05g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSLMKLFPFLLLLLPVFFFNYAFSESGVGVGYQLMVAVPAEYEMDFKGRAFLVETNQTAPNFRVALSIEAINGKYSCSLEVFLGNVKVWDSGHYSRFYITEKCLLELTMDGDLRLKGPKDRVGWKTGTSGQGVKRLQILRSGNLVLVDAVNNIKWQSFNFPTDVMLWGQQLDIATRLTSPQSNSSLFYYSFEIEEKKVAMYLNYGKLRYSYWGFQPSMNRSITYVKLSSKGLVLFDVKYKKIAQIQSKGVQPLRFLALNNDTGNFGLYYYSPEKGKFEASFQALNSTCDLPISCRPYGVCTFSNSCYCIQLLTNENKGGADCSGQISGGFCNDKEAEMLELDNISSVLKNVTKMVNVSKKECADLCLQDCKCAAALYFGKASTSTDTEECYLYKLVLGLKQVDKGTGFSYMVKVPKGTGRNHERHNVKRWVLVVAGGVDVFIILLLVGGFGYWLVRRRSHSLHSQTSTR >Vigun10g098300.1.v1.2 pep primary_assembly:ASM411807v1:10:28797052:28798045:-1 gene:Vigun10g098300.v1.2 transcript:Vigun10g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGTRVSLLCIVWVVLGATSTPRAEAVVTCGQVVSNLTPCISYVMYGGTNVPDQCCNGIKNLYGLAQTTPDRQAVCNCIKNAVSGSGINYNPRNLNLAASLPKQCHVNIPYQISPSTDCNSVQ >Vigun08g221200.2.v1.2 pep primary_assembly:ASM411807v1:8:37978588:37981446:1 gene:Vigun08g221200.v1.2 transcript:Vigun08g221200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPQKGSISSSESDADPLNWGEAAESLKGSHLEEVKRMAAEYRRAVIHVGGKEKLTVSMVAAVANHYLQAKVDLSESAREGVEASCKWIMDNIDKGTPIYGVTTGFGASSNRKTQDGLALQKEMVRFLNCAIFGYETELSHTLPKSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNKNVTPILPLRGTLTASGDLIPLSYIVALLTGRRNSKAVGPSGESLSAKEAFHLAGLESGFLELKPKEGLALVNGTGVGSGVASVVLFEANILALLAEVLSAVFAEVMQGKPEFTHHLIHKLKYHPGQIEAAAIMEHILDGSSYVKNAKLQQPDPLQKPKKERYALVTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVTSDKALNGGNFQGTPIGVSMDNARLAVASIGKLIFAQFTELDNDLYSNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSTEQHNQDVNSLGLISALKTVDAIEILKLMSSTYLVALCQAIDLRHLEEIFKNTVKSTVSRVALKTLSTEDKEEINPFRLTEEELVKVVDREYVFSYIDDPLNVRYPLMPKLKQVLYEQAHSSVINDKNVSLLTFEKIGAFEEELKSLLPKEIESARVAYENGNPTIPNRIKECRSYPLYKFVREELGIRLLTGEKALSPDEEFEKVYTAMCQAKIIDPILECLRDWNGVPIPI >Vigun08g221200.1.v1.2 pep primary_assembly:ASM411807v1:8:37978588:37981446:1 gene:Vigun08g221200.v1.2 transcript:Vigun08g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPQKGSISSSESDADPLNWGEAAESLKGSHLEEVKRMAAEYRRAVIHVGGKEKLTVSMVAAVANHYLQAKVDLSESAREGVEASCKWIMDNIDKGTPIYGVTTGFGASSNRKTQDGLALQKEMVRFLNCAIFGYETELSHTLPKSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNKNVTPILPLRGTLTASGDLIPLSYIVALLTGRRNSKAVGPSGESLSAKEAFHLAGLESGFLELKPKEGLALVNGTGVGSGVASVVLFEANILALLAEVLSAVFAEVMQGKPEFTHHLIHKLKYHPGQIEAAAIMEHILDGSSYVKNAKLQQPDPLQKPKKERYALVTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVTSDKALNGGNFQGTPIGVSMDNARLAVASIGKLIFAQFTELDNDLYSNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSTEQHNQDVNSLGLISALKTVDAIEILKLMSSTYLVALCQAIDLRHLEEIFKNTVKSTVSRVALKTLSTEDKEEINPFRLTEEELVKVVDREYVFSYIDDPLNVRYPLMPKLKQVLYEQAHSSVINDKNVSLLTFEKIGAFEEELKSLLPKEIESARVAYENGNPTIPNRIKECRSYPLYKFVREELGIRLLTGEKALSPDEEFEKVYTAMCQAKIIDPILECLRDWNGVPIPI >Vigun08g221200.3.v1.2 pep primary_assembly:ASM411807v1:8:37978587:37981446:1 gene:Vigun08g221200.v1.2 transcript:Vigun08g221200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPQKGSISSSESDADPLNWGEAAESLKGSHLEEVKRMAAEYRRAVIHVGGKEKLTVSMVAAVANHYLQAKVDLSESAREGVEASCKWIMDNIDKGTPIYGVTTGFGASSNRKTQDGLALQKEMVRFLNCAIFGYETELSHTLPKSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNKNVTPILPLRGTLTASGDLIPLSYIVALLTGRRNSKAVGPSGESLSAKEAFHLAGLESGFLELKPKEGLALVNGTGVGSGVASVVLFEANILALLAEVLSAVFAEVMQGKPEFTHHLIHKLKYHPGQIEAAAIMEHILDGSSYVKNAKLQQPDPLQKPKKERYALVTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVTSDKALNGGNFQGTPIGVSMDNARLAVASIGKLIFAQFTELDNDLYSNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSTEQHNQDVNSLGLISALKTVDAIEILKLMSSTYLVALCQAIDLRHLEEIFKNTVKSTVSRVALKTLSTEDKEEINPFRLTEEELVKVVDREYVFSYIDDPLNVRYPLMPKLKQVLYEQAHSSVINDKNVSLLTFEKIGAFEEELKSLLPKEIESARVAYENGNPTIPNRIKECRSYPLYKFVREELGIRLLTGEKALSPDEEFEKVYTAMCQAKIIDPILECLRDWNGVPIPI >Vigun10g057600.2.v1.2 pep primary_assembly:ASM411807v1:10:10737775:10742847:-1 gene:Vigun10g057600.v1.2 transcript:Vigun10g057600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKPEQLCLKNKQTDAASSSSVSDGNGSAIPTSSRKTGSALPCNRRTTGPVRRVKDGWTEKEDETLKNAVEVFNGKNWKKIAEFFPDKSEVQCLHRWKKVLNPELVKGHWTKEEDDKIIELVTIHGPTKWSLISQSLPGRIGKQCRERWCNHLSPDIKKDPWTLEEELALMKAHCIHGNKWAEIAKVLCGRTDNAIKNHWNGSLKKKKDFYLANGRLPPIPKSSTEAAVKDTVKHSTTNTIHVCSNKGLDATVASSSKATDITNLDNSDKNQLESSGIVREIGDSSSVPPKKCADSDCVDCNRLFHRGLRCSNLESGSGDNFKINRGPKFVNPGPNANPRIDHCLNYSDMNSDKSTRIFYSKEGLTFGSFCHEPSRLDNPHPSESLDFNISSGLQNEFISPPLKSCVGLVTPPHVKGIESCSESIESILRKAAKTFPTPSIIRKRRNGGELPATPRKLANAVHSHVCNEEVSSNDVSCSGVHVHGHGSNSLLNNALNIIPLYRLGSKQTAIKSLTKQLDSAFYMEKSASEMEKSTERVL >Vigun10g057600.1.v1.2 pep primary_assembly:ASM411807v1:10:10737775:10742868:-1 gene:Vigun10g057600.v1.2 transcript:Vigun10g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKPEQLCLKNKQTDAASSSSVSDGNGSAIPTSSRKTGSALPCNRRTTGPVRRVKDGWTEKEDETLKNAVEVFNGKNWKKIAEFFPDKSEVQCLHRWKKVLNPELVKGHWTKEEDDKIIELVTIHGPTKWSLISQSLPGRIGKQCRERWCNHLSPDIKKDPWTLEEELALMKAHCIHGNKWAEIAKVLCGRTDNAIKNHWNGSLKKKKDFYLANGRLPPIPKSSTEAAVKDTVKHSTTNTIHVCSNKGLDATVASSSKATDITNLDNSDKNQLESSGIVREIGDSSSVPPKKCADSDCVDCNRLFHRGLRCSNLESGSGDNFKINRGPKFVNPGPNANPRIDHCLNYSDMNSDKSTRIFYSKEGLTFGSFCHEPSRLDNPHPSESLDFNISSGLQNEFISPPLKSCVGLVTPPHVKGIESCSESIESILRKAAKTFPTPSIIRKRRNGGELPATPRKLANAVHSHVCNEEVSSNDVSCSGVHVHGHGSNSLLNNALNIIPLYRLGSKQTAIKSLTKQLDSAFYMEKSASEMEKSTERVL >Vigun05g087900.1.v1.2 pep primary_assembly:ASM411807v1:5:8337034:8341432:1 gene:Vigun05g087900.v1.2 transcript:Vigun05g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCNSQIPAPLPSPIPTGRGTRSAASETFSQFLEKSLHLPELTLPVTHLPPAPAEIDFRSLPLASADLMLRSVREFGAFRIRCHGISGVELQVMTDEAENVFQKLRNVVVELNGHDGEIITCVRSSKGSMEFTANDIIRDQVDRNFWVHMGNVASRLDSIVEQVSLILQDNTSVEFKERIQDTESVICLCRYPHDNVTKQNEDTSVKRKDRYCDHALRFYLPMEPCIFYVQTERGPLSFDTGPHNIVVTVGKQLQEWSDGEFKSVPGEMLFMPSLQSSSASFSIELICSAPLKVPTQGLKNSVSEDCDKIISLTDQVLFVFCLIFLYKFLYFVFS >Vigun08g083800.1.v1.2 pep primary_assembly:ASM411807v1:8:18128144:18133846:-1 gene:Vigun08g083800.v1.2 transcript:Vigun08g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSAIFEITCATKLQNPSLLSYSSLLFNPLSSFISHSALSPIQLSLLLSASHHKLLYSTQKQTPTTGQSTIKTRSFLAFSFPFFWGVGHPPTQHKFALTTRNAGHWSVSEMSSMHIENPETLADGSKNFDEDGRAKRTGTWVTASAHIITAVIGSGVLSLAWAIAQMGWVAGPAVLFAFSFITYFTSTLLADCYRSPDPVHGKRNYTYSEVVKANLGGRKFQLCGLAQYINLVGVTIGYTITASISMVAVKRSNCFHKHGHGDKCYINNYPFMIVFACIQIVLSQIPNFHNLSWLSIVAAVMSFAYSSIGLGLSLAKVAGGGHVRTSLTGVQVGVDVSGIEKVWRMFQAIGDIAFAYAFSNVLIEIQDTLKSSPPENRVMKRASLIGIMTTTLFYVLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFVQPIFGFVEKWGKEKWPESQFINGEHAVKVPLCGSLNLNFFRMLWRTTYVVITAVIAMLFPFFNDFLGLIGSLSFWPLTVYFPIEMYIKKSKMQRFSFTWTWLKILSWVCFIISIISAVGSIQGLALDLKKYKPFQAQQ >Vigun07g069000.1.v1.2 pep primary_assembly:ASM411807v1:7:8355867:8358242:-1 gene:Vigun07g069000.v1.2 transcript:Vigun07g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLYSSSPMFDGEPDQNWNHMHTDQQYVNLGRNTTSDNGSFIYPMENMSIDGISFPSHWNTAPRSNGYTSTSLNIEAPSHQTDISGTSHDHFLHPSSGGMFYAVSENYVHQPSSSNYDRQSFPVADGGFIDLTMGNGRGPGPHKRKSPGVPSVCERGSTSRCFNAASANAADRPTSSELRPEKPTMDSLYMPWDHVNMTPDHVNMTPSFRGRGLSIRGESSLRNVRSRSTLDLESNLARTHLSNTHSHNSFSTGPQMDHSSMVDLSTQTSGSLTRDWSQMSVCPAHGRVPSSDANAFNHEASHFLVGSGSSNAPVDVGGFHNEFGTSRNPTAPQSFHNNCPAQPARGVRSNYSQRSTPTFRASSSLRLGRMAPSDDGLHMVAESYSSRHPRPLTTIGWHSNDRNGRSRIHSDRYRALADEGFMIVDRTSLYGRNMIDQHRDMRMDIDNMSYEELLALGERIGHVSTGLSEDLISKYLTETIYCSSEPSQEEEACAICLEEYKNVDDVGTLKACGHDYHVSCIRKWLSMKKVCPICKASALPEETKDK >Vigun09g148100.3.v1.2 pep primary_assembly:ASM411807v1:9:31146894:31151106:1 gene:Vigun09g148100.v1.2 transcript:Vigun09g148100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGKAPYAAKCFTQDFRIPLNTSDHPSPVSKKLVSDWVPCDSTNEHIHAHSVCMPHDLNSDKLPEMKWWLHVKSDLGDETNYTCQHLNSYESEFGAFYAELLNRNVKSGTDQLIKDLDTLSDLEIDNLSVEQPRHVSPTCMKNSNTRMPKFEASLNTDLNLTPKKKDQGELCFSDSRFMDCDISNFLVSEQVKMTSCDLESHLMGAEETSPWWRTAGKDELASLVARKSLEHIENCDLPHPHTKHVSLRPPYPKGVDHDNILPSSLNRKTESGSSVADDYTTGISTSDCSFQDSSKLSSFSQSTDSSSSNKECHTNSENSSMSELLKALCYSQTRAREAEKAAQRAYSEKEHILSLFFRQASQLFAYKQWLNMLQLENLCLQLRNKNQSLLNLFPASLPWSPCRGMLLKKNHDKAGKRKNSNRRCGIAKCVVAFAVGVGLAGAGLLLGWTMGWMFPTL >Vigun09g148100.2.v1.2 pep primary_assembly:ASM411807v1:9:31146739:31151072:1 gene:Vigun09g148100.v1.2 transcript:Vigun09g148100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGKAPYAAKCFTQDFRIPLNTSDHPSPVSKKLVSDWVPCDSTNEHIHAHSVCMPHDLNSDKLPEMKWWLHVKSDLGDETNYTCQHLNSYESEFGAFYAELLNRNVKSGTDQLIKDLDTLSDLEIDNLSVEQPRHVSPTCMKNSNTRMPKFEASLNTDLNLTPKKKDQGELCFSDSRFMDCDISNFLVSEQVKMTSCDLESHLMGAEETSPWWRTAGKDELASLVARKSLEHIENCDLPHPHTKHVSLRPPYPKGVDHDNILPSSLNRKTESGSSVADDYTTGISTSDCSFQDSSKLSSFSQSTDSSSSNKECHTNSENSSMSELLKALCYSQTRAREAEKAAQRAYSEKEHILSLFFRQASQLFAYKQWLNMLQLENLCLQLRNKNQSLLNLFPASLPWSPCRGMLLKKNHDKAGKRKNSNRRCGIAKCVVAFAVGVGLAGAGLLLGWTMGWMFPTL >Vigun09g148100.1.v1.2 pep primary_assembly:ASM411807v1:9:31146739:31151072:1 gene:Vigun09g148100.v1.2 transcript:Vigun09g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGKAPYAAKCFTQDFRIPLNTSDHPSPVSKKLVSDWVPCDSTNEHIHAHSVCMPHDLNSDKLPEMKWWLHVKSDLGDETNYTCQHLNSYESEFGAFYAELLNRNVKSGTDQLIKDLDTLSDLEIDNLSVEQPRHVSPTCMKNSNTRMPKFEASLNTDLNLTPKKKDQGELCFSDSRFMDCDISNFLVSEQVKMTSCDLESHLMGAEETSPWWRTAGKDELASLVARKSLEHIENCDLPHPHTKHVSLRPPYPKGVDHDNILPSSLNRKTESGSSVADDYTTGISTSDCSFQDSSKLSSFSQSTDSSSSNKECHTNSENSSMSELLKALCYSQTRAREAEKAAQRAYSEKEHILSLFFRQASQLFAYKQWLNMLQLENLCLQLRNKNQSLLNLFPASLPWSPCRGMLLKKNHDKAGKRKNSNRRCGIAKCVVAFAVGVGLAGAGLLLGWTMGWMFPTL >Vigun09g148100.4.v1.2 pep primary_assembly:ASM411807v1:9:31146885:31151107:1 gene:Vigun09g148100.v1.2 transcript:Vigun09g148100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGKAPYAAKCFTQDFRIPLNTSDHPSPVSKKLVSDWVPCDSTNEHIHAHSVCMPHDLNSDKLPEMKWWLHVKSDLGDETNYTCQHLNSYESEFGAFYAELLNRNVKSGTDQLIKDLDTLSDLEIDNLSVEQPRHVSPTCMKNSNTRMPKFEASLNTDLNLTPKKKDQGELCFSDSRFMDCDISNFLVSEQVKMTSCDLESHLMGAEETSPWWRTAGKDELASLVARKSLEHIENCDLPHPHTKHVSLRPPYPKGVDHDNILPSSLNRKTESGSSVADDYTTGISTSDCSFQDSSKLSSFSQSTDSSSSNKECHTNSENSSMSELLKALCYSQTRAREAEKAAQRAYSEKEHILSLFFRQASQLFAYKQWLNMLQLENLCLQLRNKNQSLLNLFPASLPWSPCRGMLLKKNHDKAGKRKNSNRRCGIAKCVVAFAVGVGLAGAGLLLGWTMGWMFPTL >Vigun01g190700.1.v1.2 pep primary_assembly:ASM411807v1:1:36923038:36927351:1 gene:Vigun01g190700.v1.2 transcript:Vigun01g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMCHCSSFLLSPENPNAACSLSLNYALVILNQSLPKFAPLLWDHAHVRVCADGGANRVYDEMPLFFPHQQPSHVRSRYKPDAIKGDMDSIRKEVLDFYAKLGTKIIDESHDQDTTDLHKCVTYIRDLAPKIDGSEQCILVAGALGGRFDHEIGNINVLCRFPNTRIILLSDDCLINLLPKNRYHKIFIQSSVEGPHCGLIPIGTPSGSSTTTGLKWDLEETAMRFGGLISTSNIVKGEIVTVQSDSDLLWTISIKKKL >Vigun01g190700.2.v1.2 pep primary_assembly:ASM411807v1:1:36924076:36927351:1 gene:Vigun01g190700.v1.2 transcript:Vigun01g190700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKPDAIKGDMDSIRKEVLDFYAKLGTKIIDESHDQDTTDLHKCVTYIRDLAPKIDGSEQCILVAGALGGRFDHEIGNINVLCRFPNTRIILLSDDCLINLLPKNRYHKIFIQSSVEGPHCGLIPIGTPSGSSTTTGLKWDLEETAMRFGGLISTSNIVKGEIVTVQSDSDLLWTISIKKKL >Vigun07g018700.2.v1.2 pep primary_assembly:ASM411807v1:7:1550996:1560224:1 gene:Vigun07g018700.v1.2 transcript:Vigun07g018700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKIVQYRERLDRTLASPDLTNDDLLKKLVRNQLLLSSEPEVEGYIDQLAEVKTADVSHFLDMLRSVSSDDSGRSNTSHTDWKLKQDGEEFRVMYREGPEGTPFHTMLVEGFVDGPVDACLCISWETYLYKKWWPQSTVPTFKILSTECLQKVGIGEQLSLVRMKVSWPLSTREAIVHYYLFEYFQDDLIVVLTNSVSDSKSATETLYGFNNEVIPEAKDVVRIDLVGGFALQKVTSERSYFRTIANMDVKLDFVPPSLINFISRQLIGNGFRLFQKAVSSMTNHGKGEFSKALGDPLYVRIREALYNNTSVSKARNGGELQQVATAEDLAESKHEKEKDTSKEDISNQYANNVMPMTTNTEELGSSKTFGEIVEVDTEEIVQIEEGNKKVEDIPIKEVHSSVIRGKRSVYIRSEVQHALQTLDKAISMVREQRLTPFIKDDDREHSYSLKLTQISSKTDVRDEVPNGDIPEGSLQQGYDTNSGIQNSRYTGTNANLKEVNSNKVVPTSLEQNLPTAILSSQAVSYPLENGAIQHQTTISNKLLNTDSVENVPSDHAEKQSKQKKLNTIATQDMNSELHRPRSPLSQKPGKSASPLCAHTMSKKNLCTPVSFLLRRSLTSFPQHFTSNFSPHIKLSLLHHASPHHPPPRALTFDFNCSPGISWKSLCSKSAVEIQSGCWNCHAPPHSAPFLVCDSCRCIQPVDGSIDYFEIFGVERKYDQEGENFEVKYKEWQKKLHPDLVHSKSQKERDFAAEQSARVIDAYRTLSKPLSRGIYLLKLNGVEIDEEQTISDPELLAEILEIREAVEEATNAEALNCILSQMQEKLQICSNTLGHAFQNQNFEEAKLAIRRMTYYSRVIDEVIKKL >Vigun03g071100.1.v1.2 pep primary_assembly:ASM411807v1:3:5868790:5878786:1 gene:Vigun03g071100.v1.2 transcript:Vigun03g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLLLQLKCLAPQITTKRRRSLSRFHSRTSVRSSRRNAAIRAVKEEGAVIDRVNDVEWSGNGVATSGRGGNGAVNGYVNGVSGVRESESANGSLVKYVNGNGNGNGNGVAAEVLVEDFMGASKRREDGRKRRLEEIGKEDAWFKKQSAEAPIEVAVAPGGRWSRFKTYSMIQRTLEIWGFVITFIFKSWLNNRKFSYKGGMTEEKKILRRKTLAKWLKESILRLGPTFIKVGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAIAIVEEELGSPLASIFDHFDYEPIAAASLGQVHRARLSGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKQLDYVKVPTIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEAFYGIYEKNPEKVLQSMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQKREREMATAELGFKKPLSKEEKVMKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYALELLKFREAGVEVILKDLRKKWDRQSQAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRIATVQKTIGNAIAAGSLINLATILYLNSIRVPATIAYVFCAIFGFQVLFGIVKIKKLDERERLVTGTA >Vigun03g035800.1.v1.2 pep primary_assembly:ASM411807v1:3:2746895:2752062:-1 gene:Vigun03g035800.v1.2 transcript:Vigun03g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMVSGGAGYIGSHTVLQLLHAGYKVLAVDNFDNSSPVAVSRVKELAGNLAKNFSFVELDLRNKDALDKVFAKQKFDAVIHFAGLKAVGESVHKPLIYFDNNLIGTIILFQVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLKTTNPYGRTKLIIEEMCRDLHGSDSDWKIILLRYFNPVGAHPSGFIGEDPRGIPNNLMPFVQQVAVGRLPALTVFGNDYKTCDGTGVRDFIHVVDLADGHIAALRKLDDPKIGCEVYNLGTGKGTSVLEMVKAFEKASGKKIPLSMGDRRPGDAEIVYASTNKAEKELNWKAKHDIHDMCRDQWNWASKNPYGYGKSGDSSK >Vigun03g346400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54568972:54570305:1 gene:Vigun03g346400.v1.2 transcript:Vigun03g346400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALASIVQQEQQQGEVEAVPSTTTGSSAWKSSGSVAPFFAVMSVLVILAVLSCYLGRKWNRRPKTPLESIRGRGFSGWLKRVCRERIGNDIEVGGVGAKVMVCDDLEELIIVQMVNLFTQTQPKFDAKLKFVRFQHC >Vigun04g010800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:810839:811888:1 gene:Vigun04g010800.v1.2 transcript:Vigun04g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGVVLDNYTGSAPIPCHLPLGASTSIDLFRNLREKDDAGVVSETWCVSYTVDKETCDVSLIRHGVDQHGNVEKVEFVVNDWLCGIIHGKFCMVITETNYIRIDPCEWVSLDEFLWTKAKRSYDARGGVMDTTVHLYGSESKRGLLAVESKKNTVVTVAHYFVKTSGTSFCRSTGTNIALSVVAKFGVSNGKFEIRVEGPEQHPVSALLFLFDEVRRTGIWKPTMCPHCDHKRRGKLFWQSESEDSDSVSIPVPTRATPRNARGVSNGGRFRGNGNGNIYENNIMVFKRR >Vigun04g130300.1.v1.2 pep primary_assembly:ASM411807v1:4:32627761:32630078:-1 gene:Vigun04g130300.v1.2 transcript:Vigun04g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSTFLSFIFAPPPSLVVSTMSVVSLVSLANAGLSEIRGKHLNYSKFWNANPSSEKQIKLSSKTGMLLLYTPAFLAALASFWIFPHEGLRSTLLQSAVTLHFFKRDFEVLFIHKYSGGMLLDSAIPITLSYFLSAATMVYAQHLTQGLPEPPINLLYPGIVMFVVGIIGNFYHHYLLSNLRAKGEKEYKIPKGGLFGIVICPHYLFEIIQFYGISFISQTLYAFCFTLGTTFYLLGRSYSTRKWYLSKFEDFPKNVKAIIPFVF >Vigun11g202500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40041846:40043402:-1 gene:Vigun11g202500.v1.2 transcript:Vigun11g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLNHLPSSPPLSTPHTTTFNNTSTTTLAFSSKTKPSLILKCSSTSNLTPKLHQEELDQEQEQEQQTFEFDSDTPLSEVWREIQGMKDWKGLTEPSMNPLLRREIIRYGELAQACYDSFDFDPHSKYCGTCKYHPSHFFHQLNIPHSGYTITRYLYATSNINLPNFFQHSNLAAVWSPHANWMGYVAVSTDKEHIKHLGRRDILIAWRGTVTYVEWIHDLKDILRPAFFSRDPTIKVESGFHDLYTKKEHSCTYCSFSAREQVLSEVKRLLNYYKDEEISITVTGHSLGAALAVLSAYDIAEVRLNVVGGVGGGDGGEGVEVPVTVFSFAGPRVGNLKFKERCEELGVKVLRVVNVHDVVPTVPGIITNEKFRFQKYIEDTLSFPWSYAHVGTEIALDHRESPFAKGKVDLVSAHNLEVHLHLLDGFHGKGKRFCLASKRDIALVNKSCDFLRSEYGVPPHWREDENKGMVRGGDGRWVLPERPRLEAHPPDMAHHLQQVLDNHLAAGQQLETI >Vigun03g361000.1.v1.2 pep primary_assembly:ASM411807v1:3:56416038:56425042:-1 gene:Vigun03g361000.v1.2 transcript:Vigun03g361000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWLNSYLEAILDVGPGLEDSKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVRASSTRSPQERNTRLENMCWRIWNLARQKKQLESEAAQRVNKRRLERERGRREATADMSEDLSEGEKGDPVSEASAHGDASAHGDASRARLPRISSADAMETWANSQKGKKLYIVLVSIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVAAKDVDWSYAEPTEMLTPRDADNYGDDMGESSGSYIVRIPFGPRDKYIPKELLWPYIPEFVDGALNHIIQMSKSLGEQIGSGHAIWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINATYKIMRRIEAEELALDGSEIVITSTRQEIEEQWRLYDGFDPVLERKIRARIRRNVSCYGRFMPRMATIPPGMEFHHIVPQDGDIEGEPEGNLDHPAPQDPPIWSEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTNASVLLSVLKLIDKYDLYGQVAYPKHHRQSEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPTVATKNGGPVDIHRVLDNGLLIDPHDQQSIADALLKLVSNKQLWAKCRQNGLKNIHLFSWPEHCKTYLSKIATCKPRHPQWQRSEDGGESSESESPGDSLRDIQDLSLNMKFSLDGEKSGGSGNDNSLDSDGNAVDRKTKLENALLSWSKGISKDVRKGGEKPDQNPNAGKFPPLRRRKHLFVIAVDCDTTSGLLETIKVIFESAGKDRAEGSTGFILSTSLTLSEIQSFLISGGLSPSDFDAYICNSGSDLYYPSLNPEDRPFVVDLYYHSHIEYRWGGEGLRKTLLRWADSITDKKGGNNEQIVSPAEQLSTDYCYAFKVRKPGMAPPVKELRKLLRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFAGECGDTDYEGLLGGLHKSVILKGVGSSAINQLHNNRNYPLSDVMPLDSPNIVEATEGSSGADIQALIEKVGYLSG >Vigun03g361000.2.v1.2 pep primary_assembly:ASM411807v1:3:56417855:56425042:-1 gene:Vigun03g361000.v1.2 transcript:Vigun03g361000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWLNSYLEAILDVGPGLEDSKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVRASSTRSPQERNTRLENMCWRIWNLARQKKQLESEAAQRVNKRRLERERGRREATADMSEDLSEGEKGDPVSEASAHGDASAHGDASRARLPRISSADAMETWANSQKGKKLYIVLVSIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVAAKDVDWSYAEPTEMLTPRDADNYGDDMGESSGSYIVRIPFGPRDKYIPKELLWPYIPEFVDGALNHIIQMSKSLGEQIGSGHAIWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINATYKIMRRIEAEELALDGSEIVITSTRQEIEEQWRLYDGFDPVLERKIRARIRRNVSCYGRFMPRMATIPPGMEFHHIVPQDGDIEGEPEGNLDHPAPQDPPIWSEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTNASVLLSVLKLIDKYDLYGQVAYPKHHRQSEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPTVATKNGGPVDIHRVLDNGLLIDPHDQQSIADALLKLVSNKQLWAKCRQNGLKNIHLFSWPEHCKTYLSKIATCKPRHPQWQRSEDGGESSESESPGDSLRDIQDLSLNMKFSLDGEKSGGSGNDNSLDSDGNAVDRKTKLENALLSWSKGISKDVRKGGEKPDQNPNAGKFPPLRRRKHLFVIAVDCDTTSGLLETIKVIFESAGKDRAEGSTGFILSTSLTLSEIQSFLISGGLSPSDFDAYICNSGSDLYYPSLNPEDRPFVVDLYYHSHIEYRWGGEGLRKTLLRWADSITDKKGGNNEQIVSPAEQLSTDYCYAFKVRKPGMAPPVKELRKLLRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFAGECGDTDYEGLLGGLHKSVILKGVGSSAINQLHNNRNYPLSDVMPLDSPNIVEATEGSSGADIQALIEKVGYLSG >Vigun09g096300.2.v1.2 pep primary_assembly:ASM411807v1:9:14611014:14613895:1 gene:Vigun09g096300.v1.2 transcript:Vigun09g096300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGYMSSYAWHAKVVLTLAAFAVIFGEFWLVAQLSAENTLAKSVALLKQLPDIAENFMSLKPHFEALIRLVKAAMDVTTCIVEFKELPSEYISEDTPPMSVASTHIPIASYWVIRSIVACASQIASLIGTRNESISSTTEAWELSSLAHKVTSIHEHLKNQLVLCYQYIDDKRHIEAFHNLIRLFETVHIDNMKILRALIYAKDDILPLVDGTTKSRVSLEVLRRKHVLLLISDLDLSQEEILVLDNLYKDARARGDTHYEMVWIPVVDKGIWNEVSKQKFEYLQSLMPWYSVCDPFIIEPSAVKYIKEVWNFSKTAILVALDPQGRLSSPNAVHMIWIWGNLAFPFTSEKEESLWKQEIWSLELLVDGIDPTVLEWMAEGKLVCLYGGEDLEWIEKFTATALSVAKAGKFQLEMAYVGKSNAKERMQKMIKTFATRKFSYFWPNVTSIWFFWTRLESMLYSKLQHGRTVENDEIMSEVMTVLSFDGSDRGWAIFCRGNTEMARAKGDNALICLQDFEKWSGRIEEDGVVQAMNDYLNKNKPPHHCNRLILPGSTGGIPQKVVCAECGRQMEKYFMYRCCVE >Vigun09g096300.1.v1.2 pep primary_assembly:ASM411807v1:9:14610253:14613895:1 gene:Vigun09g096300.v1.2 transcript:Vigun09g096300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQLTATPKMHQRKERRMFSTSDDSAMTKQVQATHAPDGREIDVKPILQIVDEILVRFIARTVEGYEVKRDQDALEMTAALAEFDMLDSLAYIINKISCELSCKCSGGGDAHSSTMVLLGYMSSYAWHAKVVLTLAAFAVIFGEFWLVAQLSAENTLAKSVALLKQLPDIAENFMSLKPHFEALIRLVKAAMDVTTCIVEFKELPSEYISEDTPPMSVASTHIPIASYWVIRSIVACASQIASLIGTRNESISSTTEAWELSSLAHKVTSIHEHLKNQLVLCYQYIDDKRHIEAFHNLIRLFETVHIDNMKILRALIYAKDDILPLVDGTTKSRVSLEVLRRKHVLLLISDLDLSQEEILVLDNLYKDARARGDTHYEMVWIPVVDKGIWNEVSKQKFEYLQSLMPWYSVCDPFIIEPSAVKYIKEVWNFSKTAILVALDPQGRLSSPNAVHMIWIWGNLAFPFTSEKEESLWKQEIWSLELLVDGIDPTVLEWMAEGKLVCLYGGEDLEWIEKFTATALSVAKAGKFQLEMAYVGKSNAKERMQKMIKTFATRKFSYFWPNVTSIWFFWTRLESMLYSKLQHGRTVENDEIMSEVMTVLSFDGSDRGWAIFCRGNTEMARAKGDNALICLQDFEKWSGRIEEDGVVQAMNDYLNKNKPPHHCNRLILPGSTGGIPQKVVCAECGRQMEKYFMYRCCVE >Vigun07g120100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22241833:22243632:1 gene:Vigun07g120100.v1.2 transcript:Vigun07g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWRKWISYTHPSLHLSHPNIQSFVWNNLIRASSESRVENSAFPSPLSLYIRMRLHAVLPDLHTFPFLLQSINNPIPARQLHAQIFLLGLAHNPFVQTSLINVYSTCATLTFARQLFDEITQPDLPSWNAIINASAKAGMIHIARKMFDQMPERNVISWSCMIHGYVTCGEYKAALSLFRRLHTLEGSKLRPNEFTLSSVLSACARLGAFQHGKWLHAYVYRSGMKIGGVIGTSLIDMYAKCGSIGRAKCIFDKMGREKDVMAWSAMVAAFAMNGLSEECLDLFARMVNDGVRPNAVTFVGVLCACVHGGLVNEGEEYFERMLNQYCISPTIQHYGCMVDLYSRADRFEDAWNVVKSMPMKPDEMIWGAMLSGASMHGDIKTCEVSVTKVLELDPTNSSAYVLLSNVYAKLERRKEARHLRELMEVRGIKKVPGCSLVEIDGVIREFFAGDNSHPETQEMNRMLDEIMKRVEKYGHAHTTGEVLLDLDEEG >VigunL016600.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:891993:892852:1 gene:VigunL016600.v1.2 transcript:VigunL016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVVASQLLIYVGAINVLTIFVVMFMNGSDYYQNFRVWTVGDGITLMVCTGIFLSQITTILETSWHKIIWTTRPNQILEQDLISTSQQIGIHLSRNFFLPFELISIILLVALIGAIFFGSRSWSMIFEHTLVLSAYLFSIGIYGLITSQNMVRALMYL >Vigun03g272100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44700283:44701874:1 gene:Vigun03g272100.v1.2 transcript:Vigun03g272100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNSGESRRSTKPQSSAGAPPPPEQENLPCPRCESTNTKFCYYNNYNYSQPRHFCKSCRRYWTHGGTLRDIPVGGGSRKNAKRSRTHHTATSSSSSTMTSPQEHALPALAPVPTTQGGSMHFVDGDVNKQNNVNVCGSFTSLLNNTQGNGFLALGGFGLGLGHGFDDMGFGIGRSGWGFPGMVDGANMGGGVPSSGLGPWQLESGEGGFVSGDCFSWPGLAISTPGNGLK >Vigun04g058700.1.v1.2 pep primary_assembly:ASM411807v1:4:5851322:5854183:-1 gene:Vigun04g058700.v1.2 transcript:Vigun04g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARPHVMVVPYPAQGHVIPLMELSLILVKEGIKITFVNTKENHDRMKSAVPCGDDSLLSQICPVGISDGMESSEERKRPGKSSGAVLKVMPEKVEELIECINGSESEKITCVLADQSIGWALDVAEKKGIRRAAFCPASAAQLVLGFSISKFIDTGIIDNDGTPLEKQVIQLSPTMPRVSTEKLVWVCVGNKTTQSHIFQLMVKNIESMKKTEWLLCNSSHELESAAFSMAPEIIPIGPLLSCNQLGHSAGNFWPQDLTCLKWLDQQPPNSVIYVAFGSFTKFSPSQFQELRLALELSNRPFLWVVQPEESKIAYPEGFVERVVERGRVVGWSPQKKILSHSSVACFISHCGWNSTLESVSNGIPVLCWPYFADQFLNKSYVCDVWKVGLGLEPNESGMMTEGEIRSKIQQILNDEELKSRARDLKKKIQIGTAQGGLSNKNLDSFINWIKS >Vigun08g077000.4.v1.2 pep primary_assembly:ASM411807v1:8:14037574:14042765:-1 gene:Vigun08g077000.v1.2 transcript:Vigun08g077000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLNTEISGGFNSFSKRFVTSINYFTLLIPLHFFGLFLQCFTLTLLTERFPQISKPEILIFTFVLKTLSSNWIHRTDVHLCHLRACSSAGAHRSMLLGRCSISLAFHLHIVGQLREVSNKVHNAELKLFLEVELGLEKEAYKNGETLPSEDSSVQSTYAPVDGEQLNEFSDANKDQNDVQGTHSHGQGVPSPSTAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENSLSQSVDTERSSEGSDGNTAGANQTKRKRSREQTSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSANW >Vigun08g077000.3.v1.2 pep primary_assembly:ASM411807v1:8:14037574:14042765:-1 gene:Vigun08g077000.v1.2 transcript:Vigun08g077000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLNTEISGGFNSFSKRFVTSINYFTLLIPLHFFGLFLQCFTLTLLTERFPQISKPEILIFTFVLKTLSSNWIHRTDVHLCHLRACSSAGAHRSMLLGRCSISLAFHLHIVGQLREVSNKVHNAELKLFLEVELGLEKEAYKNGETLPSEDSSVQSTYAPVDGEQLNEFSDANKDQNDVQGTHSHGQGVPSPSTAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENSLSQSVDTERSSEGSDGNTAGANQTKRKRSREQTSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSGFF >Vigun08g069700.2.v1.2 pep primary_assembly:ASM411807v1:8:11005583:11008322:1 gene:Vigun08g069700.v1.2 transcript:Vigun08g069700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPQRDQQPTISFPLSNEKGHTTTHSYDAWGATNSGSVASKKAPKSYKNCHSCNDMEVQFGTGSKVDDESGMCSPPLWSTSPSKSPHHRKNHYRCLSPASKTQAIARGQRELMEMVKNMPESSYELSLKDLVEHPRVEVGQEKGVKGVKGVKGVKEVEGVKLNNKNVHARMVDKKGQVKVKRSGKIDSGGFYLKMVFPISLGSKKKTKNEALVNNSPNKVSPRTSVSDGSAKGVDKDWWKKSLSASRGDSDSGVSSINSGSMKSSASSNSSSSSRSNSRYNK >Vigun08g069700.1.v1.2 pep primary_assembly:ASM411807v1:8:11005583:11008322:1 gene:Vigun08g069700.v1.2 transcript:Vigun08g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPQRDQQPTISFPLSNEKGHTTTHSYDAWGATNSGSVASKKAPKSYKNCHSCNDMEVQFGTGSKVDDESGMCSPPLWSTSPSKSPHHRKNHYRCLSPASKTQAIARGQRELMEMVKNMPESSYELSLKDLVEHPRVEVGQEKGVKGVKGVKGVKEVEGVKLNNKNVHARMVDKKGQVKVKRSGKIDSGGFYLKMVFPISLGSKKKTKNEALVNNSPNKVSPRTSVSDGSAKGVDKDWWKKSLSASRGDSDSGVSSINSGSMKSSASSNSSSSSRSNSRHEVSGSSCWPFMRRLEIQSQK >Vigun11g119800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32597823:32599025:1 gene:Vigun11g119800.v1.2 transcript:Vigun11g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQVVVPPKPVQNRRRRGVGEVAGGGAAECAAVCCCFPCVVVHIALLAVYKVPKRLVLKAARKRRHRLLRNNKNHDDNTNDISSNALVVNKGNDIMVLHPHRASSVDLWSYGVDGTRLEEFFRQFPENEMDEDEEGFEKEMWARFAGTGFWRSESQRQP >Vigun07g106500.2.v1.2 pep primary_assembly:ASM411807v1:7:19563697:19571525:1 gene:Vigun07g106500.v1.2 transcript:Vigun07g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQSAPVFYGDLGSHQRVPLPFSNFLDFCKKRMQMQSKRHHQGLDHCPASQTDDDTKHACLSLEDAPEQIYLAQVPIMNSDSQETVQLETLKEDIQTPSILASKELSSINLWMNNAEARSSTHYDPHHNLLCIVSGCKRVVLWPPSASPSLYPMPIYGEASNHSSVSLENPDYSIYPRAECSMEFAQKVVLQAGDGLFIPEGWFHQVDSDDLTIAINFWWRSNMMSCMLEHMDAYYLRRILRRLIDKEMDQLLRKLGLETRMRVCELPNNEQADHADHADVNDGKLLKGMDLKEKRLKERKTLQELEPAAVQVLHELVSLVHNSVNANQDRQSLSTSTNGYELVLNDKFEKIVNADYKDDPVAKFLWDINPQTLQEVFLAMAHNFPRTLEALVLHVLSPVGAEVLTRKFDEMDQHTLEEDRNGFYEAFYSVFEDQSAAMNSILKGKELFTQQAFKNVLDKFVGVNLES >Vigun07g106500.1.v1.2 pep primary_assembly:ASM411807v1:7:19562940:19571525:1 gene:Vigun07g106500.v1.2 transcript:Vigun07g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSIRIRRFDELPTAKDFDSLIELSNVPAVFRGCTKSWKAFSHWNPSNGGLDYLQARVGSCTVEAMISQSAPVFYGDLGSHQRVPLPFSNFLDFCKKRMQMQSKRHHQGLDHCPASQTDDDTKHACLSLEDAPEQIYLAQVPIMNSDSQETVQLETLKEDIQTPSILASKELSSINLWMNNAEARSSTHYDPHHNLLCIVSGCKRVVLWPPSASPSLYPMPIYGEASNHSSVSLENPDYSIYPRAECSMEFAQKVVLQAGDGLFIPEGWFHQVDSDDLTIAINFWWRSNMMSCMLEHMDAYYLRRILRRLIDKEMDQLLRKLGLETRMRVCELPNNEQADHADHADVNDGKLLKGMDLKEKRLKERKTLQELEPAAVQVLHELVSLVHNSVNANQDRQSLSTSTNGYELVLNDKFEKIVNADYKDDPVAKFLWDINPQTLQEVFLAMAHNFPRTLEALVLHVLSPVGAEVLTRKFDEMDQHTLEEDRNGFYEAFYSVFEDQSAAMNSILKGKELFTQQAFKNVLDKFVGVNLES >Vigun11g184200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38626990:38627247:-1 gene:Vigun11g184200.v1.2 transcript:Vigun11g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLGIRKASSKAVDVPKGYIAVYVGDQRKRFMIPVSYLNQPSFQDLLSQAEEEFGYDHPTGGLTIPCGEDVFSDITSRFNSC >Vigun06g007855.6.v1.2 pep primary_assembly:ASM411807v1:6:3870187:3872599:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun06g007855.3.v1.2 pep primary_assembly:ASM411807v1:6:3870188:3873625:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVSFSCYFSFLFSILTTYMEQIIVSVNGLFREGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun06g007855.1.v1.2 pep primary_assembly:ASM411807v1:6:3870188:3873625:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVSFSCYFSFLFSILTTYMEQIIVSVNGLFREGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun06g007855.2.v1.2 pep primary_assembly:ASM411807v1:6:3870188:3873625:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVSFSCYFSFLFSILTTYMEQIIVSVNGLFREGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun06g007855.4.v1.2 pep primary_assembly:ASM411807v1:6:3870188:3873625:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVSFSCYFSFLFSILTTYMEQIIVSVNGLFREGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun06g007855.5.v1.2 pep primary_assembly:ASM411807v1:6:3870187:3872599:1 gene:Vigun06g007855.v1.2 transcript:Vigun06g007855.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVSFSCYFSFLFSILTTYMEQIIVSVNGLFREGKMVKKLDMISDIDDKRETLKVAIRIKDLCLTLGSYGTTWFQLCCFYWMLATVLKKQFSWSMVLR >Vigun05g205200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39431589:39434673:1 gene:Vigun05g205200.v1.2 transcript:Vigun05g205200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGKRGGVWLPDRPSDSSSVLNKISRSSIVVRGKEAAGDAAFVAKKLLRSTGKAAWIAGTTFLVLVVPLIVEMDREQQFNDIELQQASLLGTPALK >Vigun05g205200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:39431589:39434673:1 gene:Vigun05g205200.v1.2 transcript:Vigun05g205200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGKRGGVWLPDRPSDSSSVLNKISRSSIVVRGKEAAGDAAFVAKKLLRSTGKAAWIAGTTFLVLVVPLIVEMDREQQFNDIELQQASLLGTPALK >Vigun03g021900.1.v1.2 pep primary_assembly:ASM411807v1:3:1606322:1608200:-1 gene:Vigun03g021900.v1.2 transcript:Vigun03g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLCRLSSRLQTLAHSNFTKPSLSLHSNPLSLSARRLSRTFRLPVELGSLESIMPLHSAVASARLVSSLSVESMGWGLVPQGISMPL >Vigun04g176000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39993736:39995651:1 gene:Vigun04g176000.v1.2 transcript:Vigun04g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSLKRALNNCVSLDQLKRIHALCVTLGFLHSQNLRQPLSCKLLQSYKNVGKTEQAQRVFDQINDPDIVSWTCLLNLYLHSGLPSKSLSAFTHCLHVGLRPDSFLIVAALSSCGQCKDLIRGRVVHGMLLRNGFDENPVVGNALIDMYCRNGVMGMAALVFEKMGSKDVFSWTSLLNGYMLCNNVSCAREVFDAMPERNLVSWTAMITGCVRAGAPVQALEMFKQMEADDGGTLHCADLMVAVLSACADVGALDFGRCIHCCVKKRRLELDVAVSNAMMDMYSKSGRLDLGVRIFDEILKKDVFSWTTVISGYAYHGEGHLALEAFSRMLESGVNPNEVTLLSVLTACSHAGLVVEGKVLFNRMIQWRYMKPKIEHYGCLVDLLGRAGLLEDAKEVIGMMPISPDAAMWRSLLSACLVHGNLSMAQIAGKKVIELEPNDDGVYMLLWNMYCVADMWKEASEIRKLMRERRVRKNPGRSMVDVNGVVQEFFAEDTSLHVSAELCHLLKGMKEHSETYNF >Vigun05g297200.1.v1.2 pep primary_assembly:ASM411807v1:5:48202906:48217933:1 gene:Vigun05g297200.v1.2 transcript:Vigun05g297200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPVNLTSIREQSQKELLNILKNVRGKKCLVIDPKLGDSLSLIIQTSILKEHGVELRHLSSDPIQTDCSKVVYLVHAQPKLMKFICSNIHNDLSKGLQREYHVYFVPRRTVVCEKVLEEEKLHHMVSIGEYPLYIVPMDEDVLSFELDLSYKECQVDGDTGSLWHIAKAIHKLEFSFGVIPNVRAKGKASVCVADILNRMQAEEPVNSSDIVVPEINTLILLDREVDMVTPLCSQLTYEGLLDEFLHINNGSVELDASIMGLQQEGKKTKVPLNSSDKLFKEIRDLNFEVVVQILRQKATSMKQDYTEMTTTTQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPSFLGQLDMEHTIVESQSYDICFDYIEELIHKQEPLTTVLRLLILFSITNSGLPKKHFDYFRRELLHSYGFEHVAMLNNLEKAGLFKKQESKSNWVTIKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAIRSGWRPVEEILKLLPGPHLETKRGGFSNSPSFDTLSGVSTTSLAKVPDGRRALVLVVFVGGVTFAEISALRFFSTQEGMAYDLIIATTKIVNGQSLIEPFMEKLG >Vigun06g090700.1.v1.2 pep primary_assembly:ASM411807v1:6:22319764:22322055:1 gene:Vigun06g090700.v1.2 transcript:Vigun06g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFDSQFFMTIVFLSFIGFLCYLYTSFVEKPNKLLSKLMKQGINGPPPTILLGNILELKKAHSAASKPSSSSKIPSSHNCAALLLPLFDKWRNEYGQVFTFSLGTIQILCVNQPDIVRDFTVCTSLDLGKSAYQLKNLEPLLGQGILSSNGTKWVHQRKILAPELYMEKVKGMMNIVRESAVSVVNSWSNRIEAEGGVADIEIDECMRNFSGNVISKACFGSNYDKSEEIFLKFVALQELLSWKNIFRLIPGMSYLPLKTNREIWRLEKEVKEIILQVVKERKETSLEKDLLQVVLENVKDSKLSKEAIDNFIVDNCKNIYLAGYETTAVSATWCMMLLASNPDWQDRVRAEVTEICRGEIPDFSMLSKMKQLGMVINEALRLYPPVTVMSREALEDMKFGELDAPKGLNIWVMVVSLHTNPDIWGDDAYKFKPERFANGTTGCCKLPHVYMPFGVGPRVCLGQNLAIVELKMIIALILSKFTFSLSPSYVHSPTLRLLIEPEHGVNILVKKL >Vigun06g090700.2.v1.2 pep primary_assembly:ASM411807v1:6:22319764:22322055:1 gene:Vigun06g090700.v1.2 transcript:Vigun06g090700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFDSQFFMTIVFLSFIGFLCYLYTSFVEKPNKLLSKLMKQGINGPPPTILLGNILELKKAHSAASKPSSSSKIPSSHNCAALLLPLFDKWRNEYGQVFTFSLGTIQILCVNQPDIVRDFTVCTSLDLGKSAYQLKNLEPLLGQGILSSNGTKWVHQRKILAPELYMEKGMMNIVRESAVSVVNSWSNRIEAEGGVADIEIDECMRNFSGNVISKACFGSNYDKSEEIFLKFVALQELLSWKNIFRLIPGMSYLPLKTNREIWRLEKEVKEIILQVVKERKETSLEKDLLQVVLENVKDSKLSKEAIDNFIVDNCKNIYLAGYETTAVSATWCMMLLASNPDWQDRVRAEVTEICRGEIPDFSMLSKMKQLGMVINEALRLYPPVTVMSREALEDMKFGELDAPKGLNIWVMVVSLHTNPDIWGDDAYKFKPERFANGTTGCCKLPHVYMPFGVGPRVCLGQNLAIVELKMIIALILSKFTFSLSPSYVHSPTLRLLIEPEHGVNILVKKL >Vigun11g003300.1.v1.2 pep primary_assembly:ASM411807v1:11:323021:326194:1 gene:Vigun11g003300.v1.2 transcript:Vigun11g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETVLFLFLLLFLFLVLRYTGKTTSDKLHLPPSPPSLPLLGHLHLLSSSLHTSLHTLSAAHGPLLLLRLGPSRRLLVVSSAEVAGHIFKSHDLAFSSRPVFAFAEKLPFGLAGFVTAPYGPYWRFVKKVCVTELLSPRQLEGSKKVRKEEMEGLVKGVLEHAREKGGAIDLGSQLMKLTNNITCRMAMTTCCSEKYEDAEKIRELVKESFELAAKLCFGDVLGPLKQLSFWVYGKKALDVSTRYDELLEKVLKEHEHRRSSRQSGGDEERERDLMDILLDLHHDAHAEFKITRTHIKAFFLDLFIAGTNTSAEATQWTMAELLNHPEAFEKVRKEIELVVSGNGRLVDESDVAKMPYLQAVVKETLRLHPPAPVTTRECRQQCKINGFDVAAKTAVAINLYAIMRDPDSWDNPNEFVPERFLSEEEDEDCSDGKRMKFNFVPFGGGRRGCPGTELAFIFINTAVAAMVQCFDWKIGEDGNGKKVNMQSGSSGLSLSMAHPLMCVPVVHFTPYDA >Vigun07g004800.1.v1.2 pep primary_assembly:ASM411807v1:7:393434:396678:-1 gene:Vigun07g004800.v1.2 transcript:Vigun07g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDFHIKLKAFRLKRFFFGRRRNKWRGNKPSWMIPISHGHHVVEHHVIKGGSDDSEFDSVVIQREQMDHTELWYFGIFDAVVGDGVTKYVQSHFFGKKLKECHMRRKGKETLKRAYLGVRTKIREALKPEEEICRIGSTSVMVINGEKLVIANMGDYRTVLCRNGVAYQTTGRYSPSAKRNWYSRLFSVRMIACESGNTTDTKHSKGSELVVGGDRIDSDTEFLILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLAKEALIRMSRSKISCLVIRFD >Vigun01g253400.2.v1.2 pep primary_assembly:ASM411807v1:1:41902183:41908928:1 gene:Vigun01g253400.v1.2 transcript:Vigun01g253400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLPVCSATPSYSTSSQIPLFGGLLPNRKDPESRLVVEEGVHLASQNGAYSLRNSFAVRAASKTVLDGLSSTSEPGRYLTSTRGYSPLITDGHHSLRHMESYHLSTVPEGLVAIAEEQSTEGSTTLVTPPQPETLSITDIIPENFAPRPSSINVDNESLASTKASVGDFFAGISDSFKASVNQGENALRSSLDTVTSFADSVGKTATKSVDNAFGKAFSAVDQTGDIANNKLTSFSSGLSGITNKAPAVVIDVLRRAIVVVESSLTSGASYVVYLYGSAKDLLPAEIRDTVNVYEVKATEILRPVGSATQRIYTGVYSLEKTFGLDPNDPIIPFFVLVGSSATLWAFYWLWKYGGYSGDLSPKSALELLSEDAKAALIDVRSEELREKDGIPDLRRAARFRYASVTPLEVDGSIRKLLKSGRDLEDSLIAVIIRNLKTVKDSSKVIVLDADGTRSKGIARSLKKIGVKNTFLVQGGFQSWMKEGLRIKELKPETALSILNEEAEAILEEVSPSPWQLLGYGTALVAGPYALLEWEKTLQLIGAFGLGLTIYLRVSSYENAEDLNQDVRLLLSPVRLGAKAFSWAAGKLESNGLGLPTSPSSLDVQNRVLQAAAKHESQPSESEGNQDPVSEPTVPLNQNV >Vigun01g253400.1.v1.2 pep primary_assembly:ASM411807v1:1:41901731:41908928:1 gene:Vigun01g253400.v1.2 transcript:Vigun01g253400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLPVCSATPSYSTSSQIPLFGGLLPNRKDPESRLVVEEGVHLASQNGAYSLRNSFAVRAASKTVLDGLSSTSEPGRYLTSTRGYSPLITDGHHSLRHMESYHLSTVPEGLVAIAEEQSTEGSTTLVTPPQPETLSITDIIPENFAPRPSSINVDNESLASTKASVGDFFAGISDSFKASVNQGENALRSSLDTVTSFADSVGKTATKSVDNAFGKAFSAVDQTGDIANNKLTSFSSGLSGITNKAPAVVIDVLRRAIVVVESSLTSGASYVVYLYGSAKDLLPAEIRDTVNVYEVKATEILRPVGSATQRIYTGVYSLEKTFGLDPNDPIIPFFVLVGSSATLWAFYWLWKYGGYSGDLSPKSALELLSEDAKAALIDVRSEELREKDGIPDLRRAARFRYASVTPLEVDGSIRKLLKSGRDLEDSLIAVIIRNLKTVKDSSKVIVLDADGTRSKGIARSLKKIGVKNTFLVQGGFQSWMKEGLRIKELKPETALSILNEEAEAILEEVSPSPWQLLGYGTALVAGPYALLEWEKTLQLIGAFGLGLTIYLRVSSYENAEDLNQDVRLLLSPVRLGAKAFSWAAGKLESNGLGLPTSPSSLDVQNRVLQAAAKHESQPSESEGNQDPVSEPTVPLNQNV >Vigun01g207400.1.v1.2 pep primary_assembly:ASM411807v1:1:38310163:38312291:1 gene:Vigun01g207400.v1.2 transcript:Vigun01g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLSLMTFMMILCITLYVNCTDIVELENHSDKRVLAERPNSFIQRNGTHFFLNGKPQYFNGFNAYWLMTFAADPSTSSKVTTVFQEASQHGLNLARTWAFNDAGYKALQTSPGIYDESVFRALDVVISEAGKYGIRLILSLVNNWKDDGGKKQYVEWAKQRGQSVSTEDDFFSNPVTKQFYKDHVKRVLTRKNTVTGLLYKDDPTIFSWELMNEPRSLDLSGKQVQDWVKEMAAYVKSIDKNHLLQVGLEGFYGESMAQRKQFNPGYEGGSDFISNNLVPEIDYATIHLYPQWMSRFNQSLEDVFIERWVKMHIEDAENVVRKPILLKEFGLNSRIQGYSVAQRDRLYAKLYNWIYLSASDRGACAGAAFWQLLVGGMENMADGYEIIFQHNPSTANIISQQSLRMSHIR >Vigun09g124800.3.v1.2 pep primary_assembly:ASM411807v1:9:27769697:27774861:-1 gene:Vigun09g124800.v1.2 transcript:Vigun09g124800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERFAVRKPKSVRSSEGFHTECHRKNDIEKRQPILEDNGIYYEEPESPKGPINMLTDEQALEHGKYVYSEALTTQDHSYPEFDKPSRAHPLSDPVWTGQFILNNATNFGLVAYASGGACSKVHLAVTELPKLLDVEMLSRRGIWPERFDMSPPNGDSIGLYFFPHYERICSQGGH >Vigun09g124800.1.v1.2 pep primary_assembly:ASM411807v1:9:27769697:27774861:-1 gene:Vigun09g124800.v1.2 transcript:Vigun09g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQREKTDICLICGDKGDPKCHVYCVECKACVQHRYCLDEFYTEDDGTIIWKCEDCAPRNPKRWGSEELRRSKRVTHVAEAKYRRIEMKKERFAVRKPKSVRSSEGFHTECHRKNDIEKRQPILEDNGIYYEEPESPKGPINMLTDEQALEHGKYVYSEALTTQDHSYPEFDKPSRAHPLSDPVWTGQFILNNATNFGLVAYASGGACSKVHLAVTELPKLLDVEMLSRRGIWPERFDMSPPNGDSIGLYFFPHYERDELIFDGVMNDVIEQEFALKAVINNIELLIFSSHLLPPNDRRICDKYYLWGVFKPKSVVGDIRPN >Vigun09g124800.2.v1.2 pep primary_assembly:ASM411807v1:9:27769697:27774861:-1 gene:Vigun09g124800.v1.2 transcript:Vigun09g124800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERFAVRKPKSVRSSEGFHTECHRKNDIEKRQPILEDNGIYYEEPESPKGPINMLTDEQALEHGKYVYSEALTTQDHSYPEFDKPSRAHPLSDPVWTGQFILNNATNFGLVAYASGGACSKVHLAVTELPKLLDVEMLSRRGIWPERFDMSPPNGDSIGLYFFPHYERDELIFDGVMNDVIEQEFALKAVINNIELLIFSSHLLPPNDRRICDKYYLWGVFKPKSVVGDIRPN >Vigun09g124800.4.v1.2 pep primary_assembly:ASM411807v1:9:27769697:27774861:-1 gene:Vigun09g124800.v1.2 transcript:Vigun09g124800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQREKTDICLICGDKGDPKCHVYCVECKACVQHRYCLDEFYTEDDGTIIWKCEDCAPRNPKRWGSEELRRSKRVTHVAEAKYRRIEMKKERFAVRKPKSVRSSEGFHTECHRKNDIEKRQPILEDNGIYYEEPESPKGPINMLTDEQALEHGKYVYSEALTTQDHSYPEFDKPSRAHPLSDPVWTGQFILNNATNFGLVAYASGGACSKVHLAVTELPKLLDVEMLSRRGIWPERFDMSPPNGDSIGLYFFPHYERICSQGGH >Vigun05g004600.6.v1.2 pep primary_assembly:ASM411807v1:5:385091:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNILKLIGVGT >Vigun05g004600.2.v1.2 pep primary_assembly:ASM411807v1:5:384168:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEAIKNFEDDEDDVEMALPHSQISESRSQTLSSTIAETTPLNIHNVQEQLSTSSRNQPQTVAKPPRLVSLDVFRGLTVALMILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNILKLIGVGT >Vigun05g004600.1.v1.2 pep primary_assembly:ASM411807v1:5:384168:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEAIKNFEDDEDDVEMALPHSQISESRSQTLSSTIAETTPLNIHNVQEQLSTSSRNQPQTVAKPPRLVSLDVFRGLTVALMILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNIIILFTFSITVEANWSWYMKRDRHVHMV >Vigun05g004600.5.v1.2 pep primary_assembly:ASM411807v1:5:386522:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNILKLIGVGT >Vigun05g004600.4.v1.2 pep primary_assembly:ASM411807v1:5:386149:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNILKLIGVGT >Vigun05g004600.3.v1.2 pep primary_assembly:ASM411807v1:5:384966:390445:1 gene:Vigun05g004600.v1.2 transcript:Vigun05g004600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGGLIPALNHSPWNGLTLADYVMPFFLFIVGVSLALTYKKLSCRVDASRKAGLRALKLLVLGLFLQGGYFHRVNDLTYGVDIKQIRWMGILQRIALAYLVAALCEIWLKSDDTVNSGPSFLRKYRYQWYVALVISFVYLCLLYGLYVPDWEYQIQTEPSSEPKTFSVKCGVRGDTGPACNVVGMIDRTVLGIQHLYRRPIYARMPECSINSPDYGPLPPGAPAWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRVRTIYWMIPTSCLVVFGLTLDLFGMHINKVLYSLSYMCVTAGAAGILFVGIYLMVDVCGYRRMTIFLEWMGMHALMIYVLAACNVFPIFLQGFYWGKPGNNILKLIGVGT >Vigun07g038700.1.v1.2 pep primary_assembly:ASM411807v1:7:3738031:3754887:-1 gene:Vigun07g038700.v1.2 transcript:Vigun07g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASASSQLLLRVQSLHSTEPMAHSLTLSRFPATTSSSFHRHHHAVKPLTLRSVARRLKPALIRACDRSQQIGGNGSKAAGGLSWLEPILKFARSNVLPLALISAVTLGLTYPSLGCAADKFNVSKIGPFGIFVISGLMLRSEEIGAAVEAWPAGLFGLVSILFLTPYFSRIILQIQLQPQEFITGLAIFSCMPTTLSSGVALTQLAGGNSALALAMTVISNLLGIMIIPLSITKFVAAGVGVALPIKQLFKSLVLTILIPLILGKVLRESFKGVADFVDKNRKLFSLISALFLSIVPWIQVSRSRPLLLMVKPNVFLMAIGLGTLLHLSLLVFNIIAVSSLSVISGGRNSIFSREENASAVVLVASQKTLPVMVAVIEPLQGAFGESGLLVLPCVAAHLNQIIVDSFIVNFLRSRDNSNNVKVA >Vigun01g112800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28731253:28734202:1 gene:Vigun01g112800.v1.2 transcript:Vigun01g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLLAKLGSRAFEEASRLVGLYDDLQDLTNTLSLVKAVLLDAQQKQEHNHELRQWLTQLKTVFSDAEDLLDEFECQTLRSKVVKAHGSTKDKVSHFFSSSNALVFRYKMAQQIKDISIRLDKVANNRNKFTLQRIDVDTRVVHRRDMTHSHVSDSDVIGRKNDKEKVIELLMQQNPNDDDTSLSVIPIVGIGGLGKTTLAKFVFNDSRIQECFPLKMWVCVSDDFDIKQLIFKIINSANDSISDDGPSHQPNWNMLEMEQLQNQLKNKLSGRKFLLVLDDVWNEDRVKWVELRNLIQVRAAGSKILVTTRSHSIASMMGTVPSHILEGLSEGDSLSLLVKWAFKEGEEEQHPHLVNIGREIVKKCGGVPLAVRTLGSLLFSKFEVSEWEYVRDNEIWNLPQKKDDILPALKLSYDLMPSYLRQRFALFSLYPKDYNFNSPQIICLWEALGLIAILETNRTREDVANQYLHELLSRSFLQDFENYGPMKHLYHFKIHDLVHDLALFVAMDEYRLVNSNIQNIPNNVRHLSFAESSLFNNLVTTKSATVRTILFPNGAATANGKTLLNTCVSKFKCLRVLNLRGATFETLPRNIAKLKHLRYLDVSENPNMKRLPDSICKLQSLQVLLVNDCNELKALPKGLRKLISLRHFSFCTKQIVFPVNEIAKLRSLEFLNVDSCHIVESIFGGVKFPALKTLSVSYCQNLKSLRLDGKNFPQLESLFVYNCNNLDLELWNGHNEEESSKLKLKLVGFYGLSQLVALPRWLQEVANSLQSLLVLDCPNIETLSDWLPTLTNLKSLAIRNCPELVSLPDNIHLIYITSPHLKI >VigunL017866.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:21979:22239:1 gene:VigunL017866.v1.2 transcript:VigunL017866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVVPSRFWFPLGLPCLQVDPMAARKKKGDLVLYSGDLFPTEARKPPSCPRSHSVNRKGKPTHPSAPLVDRCGFTKRLSTVHSR >Vigun09g237266.1.v1.2 pep primary_assembly:ASM411807v1:9:40782350:40784553:-1 gene:Vigun09g237266.v1.2 transcript:Vigun09g237266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTKIIFNCDGEDATKLKKMMWDNTESPSQALTQLSASSKVSLEDDFIKLHPRSSIEGLKDFKQESTFVVKATIKHVLDHDDWWYTACICNKAVYPDSKMFFCEKCNKHVIKVTPMFKLKLRVIDATDSTTFVVFHRDASAMLKKSCSDILELQDKDLYSANEEETKLNEPTNQLSPDSIAEDLLIKFTEESNDLETLSDHLNNIVSSHVPPEESLKNKNVIDVQIHELTRKESSHLDNLDLGTQPPVPVLKRQSRSMVQENKKIPVKMLKKNIKIEK >Vigun03g271300.2.v1.2 pep primary_assembly:ASM411807v1:3:44526982:44595191:-1 gene:Vigun03g271300.v1.2 transcript:Vigun03g271300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSFIRRRLLSLLQPWLREEPHLDLQLGFLHSLAVLTNLSFDVSSLNRLFDAPAFVFFKDLTVDRITFRFSTWFPPAFTIELHGVRIVQSFEKPEAEDCVARLRNSKYDHSDYLRKKLSALDPEGCSLHHILERILFAVSEKKNLTISFWNIILKNCHLVAHRIHVEVQLPILNDEFMCFGEIREFSARPKCVDKKCLLRGFLRTIFIPVKENTVILKGDGFRVRLIGKNSTDHALVSSDVQIYITFRDLKLVNCTLCIPELAFSLSPDGISACILFHKLLSNKYNQSRSAGELWRIAASRIGHVIVTPRLSLYRLVGVICQWIHYVNAYENILLLFGYSSSCTWKNFISKMSRNKPILSSARCHWELISDIEKKLPVEGISLARRIARHRAALKFSISCHEEFATTNKFFRPFLFILGFMWKVISVTVHCLANIFSGKRIVQDSDIDRCCLDSLESLIENPCQISCLTLNFGKIIMTVSTINSIHPSVYEKLQSSAGIVCSNVLSIWFRFDALLFVSIKDLFEQKVFVSCGQMKVESTPLTMAGDACAVNQLSSAKGNEMEGVNRMESIMWVAPAEVFLSEIDAGQAEDACDAYIESFMEKLGMSWKRICRKLNDNEIEYSENPCLLSKVEISSTCPNHKNPNFGFCECGLVLGKLNLVLSHSSVSLLSLVLGKIQHAIYWEDSREVSIASDFRDKAEIAWVEKYDYSKELIMILLQKLPEKLIHFGVFFEGLSVKFSHRRDANQEIDDIISQDNFDLTFDFREIKVVVSSSSSFDMTPMTGQLGHGNAKAECVKLEPRVIEIPKPSNDKYSSSGKVSIHSFLHLNGTNICLEKQEENHPIQLCLLKPITIHILSFRDCIYSLSTTMSALSTASDITAEGFTLLTFLDEVYMIYKAVSGLSAVVCYLFTSSSGDMGFVHPEIVKQESLFAARDRSETTTTRALLTNNVCPFFIDVTCRFKSIEIVLHNSRTSDGLESFATIFHSLTGNKMAVHKLPDRGIWMTVQNTTVVISCQEGKMDLLTDLSGVLSYVFEYQSSIGTNIDHIVPESLLLQSINCLHEVSLSGFSFTLSLDLVQNALSSGNAGKTFGSSNGNSSYFVRETNLTAFERSSNLSPQSILKIRSPSNASVPASTNHWLLIDVAVTSIFIGKCSLKSELIQAHKLNKLLSLLSIGGEFHMISWEIQGGFFFLETTSLPMAIDSYSSYICCIGNLTSDAKLPKKGTKWEQNVRENYTSDDVIDRGANSTSQQAASRLPEACDFSLSQFAFVLAHENESGCIQEIVVEVDIHMNFELEISEMKLTIDLSRLSILSQTIQRRVEDEAAIPHFSSVTSKDLSPQHASGNPLSGFQNFAQLNSISDASSSKNTLPIQVISHQNQILKNLRAFLTLEKPDNGVMLLSRCWFGTGSLFGFDITLSISEIQTIMSISSSLSEVASQNTIKKLEKNDWSSSHEVDNCLEAMIPDGAIVAIQDVNQHMYFTVEGEEKTFRVGGVIHYSLVGERALFRVKHCRQRGWNSTVLWFSFISLFAKNDMGVPLRLSFRPGSCFVDICCTNDGGCALWRANPAQGENDVGFIDSEVNNQSFKRNFYLVNKKNDSAIAFVDDALEFVRKPGNPIKFKIFNDITAARSASEMASYPRMATETTLYTDEESTSWQGGKLPCIDIKVEKVSLNIVHELSDTEYLFPLICLLLNSTQLNIQTLAKKSRVISTSSAEAHYFDVERNLWGELLHPCEICLFYRSNMEAQLSENRSLAVPVNYFCRMKELDVFLNENSLDVLLFLIGKLNLSGPYSLRNSIIQTNCCKVENQTGLNLHVHFDQQSIIIPRKQSASILLRGIFNLKNQDSEAASISIQLTDLGPFATSSNNVLLSRTQTLAWRTRIMSTEGSTTFPGPIFVVNITRNSEVGLLVVVSPLIRIHNGTGFSMELQFQRLEPKEDEFASLLLRPGDSIDDSMAMFDAIKFSGGVKRALISLSVGNFLFSFRPKIAEELINSESSLSLEWSDYIKGGKAVRLSGIFNKLNYRIRKALFEKSVKCSFSTSCCTLKSGGKSIANMHFLIQTIATEIPIVPEKSAVVLKNDNPTVSLLEKKEICLLPTVRMTNLLHSEIDVILSETDQSNLVGYDKIGKQAVISCGSTVDFYANPEVIYFTVSLPSSNSSSKPVNSGDCMKKFLKQNNDVHHLDINLDFDGGKLFATLRLYRGNRGILEVVIFTSYSIKNDTDFQIFVLETKRSSLSRIELENLNMSVPSELGLCLPPKSTSSWFLKSERVLLKLLEDHTSEALLDFGSLSGLAEISFEKEEGSGIKSVTKLGISIGPSLGEIVVPSQMVTLVPRYVICNESEECFTVRQCYLQDEVAGAISISSKQRMPLQLKEGFKKMREFSVFEHFIRKHRSSSDNTLFYVQIQLNEAGLGWSGPVCIASLGHFFLKFRKQTNEVTISDNRMTQFAAVHVVEEGSTLVSRFYKPPNMSLPYRIENCLQSLSITYYQKGLLEPEVLGPACSADYVWDDLTLPRRLVICINDSLQLREIKLDKVRAWKPFFKLGQQRVLAPRLLLDRRSRDQMMSFSHHNGLEMEKVGYEIYAEGPTRVLRICEIHNSFKMDTVLDWCAKVQLRVSQFAIHLLEHVKQEEDNNERKDFTPIVIAKLGNLHVITVSNNNQTYNQFSLQYMNLELKWNGSPFASMLRRHQLDYSDSNDSVLKIVFVVLTSCSNVKQFRYSSIFLQPIDLNLDEETLMKVASFWRTSLSDSESRRFYFDHFEIHPIKIIASFIPGESRSSYNSTQEALRSLIHSVIKVPPIKNMVVELNGVLITHALITIRELFIKCAQHYSWYAMRAIYIAKGSTLLPPDFVSIFDDLASSSLDVFFDPSRGLANLPGLTLGTFKIISKCIKGKGFSGTKRYFGDLGKTLRSAGSNIAFAAVAEISDSVLKGAEANGFNGLMSGFHQGILKLAMEPSVLGTALMEGGPDRKILLDRSPGVDELYIEGYIQAMLDAVYRQEYLRVRVIDNQVILKNLPPNHSLINEITNRVEEFLVSKALLKGDPSTVSRPLSRLRGESEWRIGPTVLTLCEHLFVSFAIRILRKRTNKFIFSINWRKKSEVSSHADVPANSSQKGQKMSFIRKWGIGKFVLSGLLAYIDGRLCRGIPNPVARRVVSGFLLSFIDQNDDE >Vigun10g181700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39927168:39928175:1 gene:Vigun10g181700.v1.2 transcript:Vigun10g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLFQSPTFLKSQLQSQPQIATATATTTARCGYVRVRCGGPRSQRGPLVKGRILSIEAIQAIQTLKRLHRTNPPNLSSQLSNTLTRLLKSDLLATLRELLRQRHCALALHVFSTLRSEYGAELSLYAEMVQALAACDMLEDVDRLILDIEDENEIKCDDHKGLASLIKAVVTARRKESTLRIYDLMKKSGYGTVDELDEYVVKVLVHGFKSFGEDALAEELQQHYKVSLAKSRFNTLPI >Vigun04g024200.1.v1.2 pep primary_assembly:ASM411807v1:4:1861952:1869191:1 gene:Vigun04g024200.v1.2 transcript:Vigun04g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTMDHHVLSLLTISIFFFLAISPQSHCQEEGTDCSRPYTCGNVSNIYYPFWGQNRPSYCASSKQLKLNCEEDNQTKFQFESQNFTVLRIDTLHDTMSNTSLNSTIFEYPETMHNITVFYGCPSGFSLGGKNFTCKNDRTEFGFYAEMTEELSAKFPELERCERRIHVLIFREFWVESDGGIDSLQEAASHGFDVYYSGGGGLCRSMDTPENALHCVLPIPSATGAAIVGLLICLAILCLIYKLSLWQGHFGLTTKSNQNIEAFLKNHAALTIQRYRLSEVKKMTTNFKVKLGQGGFGTVYQGKLSNGILVAIKMLNETKKNDEEFINEVASISRTSHVNIVTLLGFCLEGRKRVLIYEFMSNGSLEKFIFRKGPESIAPLSWDIVFQISMGVAQGLEYLHKGCKTRILHFDIKPHNILLDEKFCPKISDFGLAKLCPRNESIISMSEARGTMGYAAPEVLNTHFAGVSHKSDVYSFGMMLLEMVGGRKNINGEASHTSEIYFPNWIHKRLQMCTDLRQYEGIVPEENEIAKRLTIVGLWCIQTFPYDRPTMRRVIDMLESSINSLEMPPKPLFSSPTRSVSGSSCS >Vigun10g140600.2.v1.2 pep primary_assembly:ASM411807v1:10:35752417:35754944:1 gene:Vigun10g140600.v1.2 transcript:Vigun10g140600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVTVLLPPRIPTTTNVTRCSALPYLPPRVTSTSTTLFSPSLNHFSVSRKSFLLQTRASSEESSSVDANELFTDLKEKWDAVENKSTVLIYGGGALVAVWLSSILVSAINSVPLLPKIMELVGLGYTGWFVYRYLLFKVSNTS >Vigun10g140600.1.v1.2 pep primary_assembly:ASM411807v1:10:35752417:35754944:1 gene:Vigun10g140600.v1.2 transcript:Vigun10g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVTVLLPPRIPTTTNVTRCSALPYLPPRVTSTSTTLFSPSLNHFSVSRKSFLLQTRASSEESSSVDANELFTDLKEKWDAVENKSTVLIYGGGALVAVWLSSILVSAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEGLKKKITGTE >VigunL059013.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000162.1:92883:94276:1 gene:VigunL059013.v1.2 transcript:VigunL059013.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCGRDSMAGGCCDGVTDLVRILLAFAKRCCCVWTEMALRTGAGVRSCAAGNGGAVAAVWTFPLGLLNSWWSWLNARGKKEMASRCCCGGTVRICSSLLVVACEARWRWWWRGGCSRSLLPWRRWCVKAAVGREKKMVAPPLRQIGGSRRGWRLPWRVEGEEKIRVRVLGDEDDDVAESDWLIW >Vigun03g232500.1.v1.2 pep primary_assembly:ASM411807v1:3:38739277:38746236:1 gene:Vigun03g232500.v1.2 transcript:Vigun03g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEKTTPCCATTGANKPSSSASPQSQQQPSQQEQQQRSSVATFIPAIDSPPKPASAKGITVMVRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVDLVEPEKQVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRKARLVVYNKKSNETSIWIVELREVHAATRGGHHRGKVVSSTVVPDVQPPMDAVEYAECEAIVKEFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDIQNMVILEFEDRKLVPLPPADPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIEWQKWNFRIGFTPREGLVIHSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFYGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEMNVKVEEPGDNNIHNNAFYAEEKLLKSELEAMRDCDPLTARHWIVRNTRTVNRTGHLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAIDVPPNPGDLDDKENNGLPAKPIQNGLIAKL >Vigun03g232500.2.v1.2 pep primary_assembly:ASM411807v1:3:38740054:38746236:1 gene:Vigun03g232500.v1.2 transcript:Vigun03g232500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVDLVEPEKQVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRKARLVVYNKKSNETSIWIVELREVHAATRGGHHRGKVVSSTVVPDVQPPMDAVEYAECEAIVKEFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDIQNMVILEFEDRKLVPLPPADPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIEWQKWNFRIGFTPREGLVIHSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFYGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEMNVKVEEPGDNNIHNNAFYAEEKLLKSELEAMRDCDPLTARHWIVRNTRTVNRTGHLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAIDVPPNPGDLDDKENNGLPAKPIQNGLIAKL >Vigun06g220000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33010465:33010578:-1 gene:Vigun06g220000.v1.2 transcript:Vigun06g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEALGVIANFLGILIFALVIAYHFVTADPKYEAS >Vigun04g051800.1.v1.2 pep primary_assembly:ASM411807v1:4:4709722:4713639:-1 gene:Vigun04g051800.v1.2 transcript:Vigun04g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFHLCTRKRTLSKLQALLFNHSQFHTFQNPVSPISTRFSNPLLRPRFSQSSRLPGERFLPARPFSAAGDEGGGGGGETPIAEFDADCGKNIDFELENEDSSSINGFCEHGVVANDGSEECNLEIVDSLQECSSISSGSDNGDELGKKSEEFDHVASRDPVELYGEMCSGKRGASLDRSEVEVLREVCVWFAKSGWASNQALAIYIGLSFFPTAAHKFQSFLMKKCPVDVAKYLEYLGPSQEAVSFLFPIFVEFCLEKFPDEIKRFRGMVESADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAKGIYCSLLTGQENKRVPFSNHVACTVEMASTQELYDVAVIDEIQMMADPNRGYAWTRALLGLKADEIHLCGDPSVMDIVKKICQDTGDELYEQNYERFKPLVVEAKTLLGNLENIRSGDCVVAFSRREIFEAKLAIEKQTKHRCCVIYGALPPETRRQQASLFNDQSNEYDVLVASDAVGMGLNLNIRRVIFNSLTKYNGDKMVPVPASQVKQIAGRAGRRGCLYPDGLATTLHLDDLDYLVECLKQPFDNVKKVGLFPYYEQIELFAGQLPDLTFSQILEKFGESCRLDGSYFLCQHGHVKKIANMLERVQGLSLEDRFHFCFAPVNVREPKAMHHLLRYATSLGQKLPVNVAMGMPRSSARNDAELLDLETRHQVLSMYLWLSNQFDEETFPYVKKVEAMASEVAHLLGESLVKANWKPESRNKEKQKTGKNEKQLETGSAVQLQTVKRGMDYSRQQSLIKLYKKNRHENSLQLDKSKKVAS >Vigun03g226400.2.v1.2 pep primary_assembly:ASM411807v1:3:37672937:37674510:1 gene:Vigun03g226400.v1.2 transcript:Vigun03g226400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDISPPHVDASRPSLGFPLGTALLLIIIFTLSGVLSCCYHWDRIRSLRQSFSHSDPQMHSDSTKSKPSTELRQNRGASLPVLMPGDEVPKFIAMPCPCQPSRPDNVVVTVELEEKQKRLPKPPQLPIPLYL >Vigun03g226400.1.v1.2 pep primary_assembly:ASM411807v1:3:37672872:37674510:1 gene:Vigun03g226400.v1.2 transcript:Vigun03g226400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDISPPHVDASRPSLGFPLGTALLLIIIFTLSGVLSCCYHWDRIRSLRQSFSHSDPQMHSDSTKSKPSTELRQNRGASLPVLMPGDEVPKFIAMPCPCQPSRPDNVVVTVELEEKQKRLPKPPQLPIPLYL >Vigun04g181800.1.v1.2 pep primary_assembly:ASM411807v1:4:40578901:40581345:-1 gene:Vigun04g181800.v1.2 transcript:Vigun04g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFSSFSLHSIRPSSSTLPSSSSSSPSFVFCSFPLRTRSSSLKARTIKKRVVKAVEEETQQEELNADESEEPSSSEQQPVVVPVSPSDTLTMFFQAEGTLSESAIPALTKALEDTEGVTDLKVQLSEGLAILELKKQTTVQATGVASGLVETIQGSGFKLQTLNLSFEDEEVAVA >Vigun04g181800.2.v1.2 pep primary_assembly:ASM411807v1:4:40578901:40581345:-1 gene:Vigun04g181800.v1.2 transcript:Vigun04g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFSSFSLHSIRPSSSTLPSSSSSSPSFVFCSFPLRTRSSSLKARTIKKRVVKAVEEETQQEELNADESEEPSSSEQQPVVVPVSPSDTLTMFFQDTEGVTDLKVQLSEGLAILELKKQTTVQATGVASGLVETIQGSGFKLQTLNLSFEDEEVAVA >Vigun10g068400.1.v1.2 pep primary_assembly:ASM411807v1:10:16116863:16117799:1 gene:Vigun10g068400.v1.2 transcript:Vigun10g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFILPPTLSSNGNDGVDCSFAAFTSFIDNEISLGNAWSKFYSTTNIPNPTTVLLPSASILMVVEQF >Vigun03g169900.4.v1.2 pep primary_assembly:ASM411807v1:3:20217732:20224718:-1 gene:Vigun03g169900.v1.2 transcript:Vigun03g169900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRENDFRERESKLEGSRRGFANSKEDYDRVRNGGGDVVRGGSRDRARVRQRDIKERDGVNGGYRSSSSRSDSGSSGLGPRRCGFSVKAMDREPGELSSESGSDDAIESESGAKDSEVSMLEETRTRSPPVPERKRKFSPIVWDQDDKDVSESSRVRVSASTVVTAALPPPPPLPKVFHQSQSPNAPNGVVEIHPVKNKETKDLQSNAASKVTLPSPSGLHSSPPKGRWGNDQEADHLEGDVYVPARNISSSRWAAGDNSPGDEGEILNDEEMPKRRRKVSPEFLDGRLRNKLLSPVESKIEGIEGARARSSESEERGVRGRTSSSDDHPGMESEKDDFMEVDPQGGKSETSVSHSETEYEDEDDGRETPEPPSPPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGSKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKIFRILGTPNEAIWPGFSKLPGVKVNFVKHQ >Vigun03g169900.2.v1.2 pep primary_assembly:ASM411807v1:3:20217732:20224718:-1 gene:Vigun03g169900.v1.2 transcript:Vigun03g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRENDFRERESKLEGSRRGFANSKEDYDRVRNGGGDVVRGGSRDRARVRQRDIKERDGVNGGYRSSSSRSDSGSSGLGPRRCGFSVKAMDREPGELSSESGSDDAIESESGAKDSEVSMLEETRTRSPPVPERKRKFSPIVWDQDDKDVSESSRVRVSASTVVTAALPPPPPLPKVFHQSQSPNAPNGVVEIHPVKNKETKDLQSNAASKVTLPSPSGLHSSPPKGRWGNDQEADHLEGDVYVPARNISSSRWAAGDNSPGDEGEILNDEEMPKRRRKVSPEFLDGRLRNKLLSPVESKIEGIEGARARSSESEERGVRGRTSSSDDHPGMESEKDDFMEVDPQGGKSETSVSHSETEYEDEDDGRETPEPPSPPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGSKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKIFRILGTPNEAIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRYFNRKFWSSSFIPKLLRNCFIFLTEEESYVK >Vigun03g169900.1.v1.2 pep primary_assembly:ASM411807v1:3:20217701:20224718:-1 gene:Vigun03g169900.v1.2 transcript:Vigun03g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRENDFRERESKLEGSRRGFANSKEDYDRVRNGGGDVVRGGSRDRARVRQRDIKERDGVNGGYRSSSSRSDSGSSGLGPRRCGFSVKAMDREPGELSSESGSDDAIESESGAKDSEVSMLEETRTRSPPVPERKRKFSPIVWDQDDKDVSESSRVRVSASTVVTAALPPPPPLPKVFHQSQSPNAPNGVVEIHPVKNKETKDLQSNAASKVTLPSPSGLHSSPPKGRWGNDQEADHLEGDVYVPARNISSSRWAAGDNSPGDEGEILNDEEMPKRRRKVSPEFLDGRLRNKLLSPVESKIEGIEGARARSSESEERGVRGRTSSSDDHPGMESEKDDFMEVDPQGGKSETSVSHSETEYEDEDDGRETPEPPSPPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGSKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKIFRILGTPNEAIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRVRRIYKSPDPLEEQRRKELQQGESGTGGIFG >Vigun03g169900.5.v1.2 pep primary_assembly:ASM411807v1:3:20217732:20224718:-1 gene:Vigun03g169900.v1.2 transcript:Vigun03g169900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRENDFRERESKLEGSRRGFANSKEDYDRVRNGGGDVVRGGSRDRARVRQRDIKERDGVNGGYRSSSSRSDSGSSGLGPRRCGFSVKAMDREPGELSSESGSDDAIESESGAKDSEVSMLEETRTRSPPVPERKRKFSPIVWDQDDKDVSESSRVRVSASTVVTAALPPPPPLPKVFHQSQSPNAPNGVVEIHPVKNKETKDLQSNAASKVTLPSPSGLHSSPPKGRWGNDQEADHLEGDVYVPARNISSSRWAAGDNSPGDEGEILNDEEMPKRRRKVSPEFLDGRLRNKLLSPVESKIEGIEGARARSSESEERGVRGRTSSSDDHPGMESEKDDFMEVDPQGGKSETSVSHSETEYEDEDDGRETPEPPSPPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGSKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKV >Vigun03g169900.3.v1.2 pep primary_assembly:ASM411807v1:3:20217732:20224718:-1 gene:Vigun03g169900.v1.2 transcript:Vigun03g169900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRENDFRERESKLEGSRRGFANSKEDYDRVRNGGGDVVRGGSRDRARVRQRDIKERDGVNGGYRSSSSRSDSGSSGLGPRRCGFSVKAMDREPGELSSESGSDDAIESESGAKDSEVSMLEETRTRSPPVPERKRKFSPIVWDQDDKDVSESSRVRVSASTVVTAALPPPPPLPKVFHQSQSPNAPNGVVEIHPVKNKETKDLQSNAASKVTLPSPSGLHSSPPKGRWGNDQEADHLEGDVYVPARNISSSRWAAGDNSPGDEGEILNDEEMPKRRRKVSPEFLDGRLRNKLLSPVESKIEGIEGARARSSESEERGVRGRTSSSDDHPGMESEKDDFMEVDPQGGKSETSVSHSETEYEDEDDGRETPEPPSPPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGSKQYSTAIDMWSLGCIMAELLSKEPLFNGRTEFDQLDKIFRILGTPNEAIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRVRRIYKSPDPLEEQRRKELQQGESGTGGIFG >Vigun03g002200.15.v1.2 pep primary_assembly:ASM411807v1:3:163714:170737:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYG >Vigun03g002200.6.v1.2 pep primary_assembly:ASM411807v1:3:163714:170628:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLVIANFRPFEI >Vigun03g002200.18.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDALMKTFLYLEEHEIKGECVDSYS >Vigun03g002200.14.v1.2 pep primary_assembly:ASM411807v1:3:163714:170737:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYG >Vigun03g002200.3.v1.2 pep primary_assembly:ASM411807v1:3:163714:170737:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.12.v1.2 pep primary_assembly:ASM411807v1:3:163714:170738:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARPLIQLSFVKLIITIQFTG >Vigun03g002200.2.v1.2 pep primary_assembly:ASM411807v1:3:163714:170628:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKVTSPPFRIRFACDFLGSAFKILPFHFWQEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.19.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDALMKTFLYLEEHEIKGECVDSYS >Vigun03g002200.11.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.4.v1.2 pep primary_assembly:ASM411807v1:3:163714:170737:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.16.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYG >Vigun03g002200.8.v1.2 pep primary_assembly:ASM411807v1:3:163714:170628:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKVK >Vigun03g002200.17.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYG >Vigun03g002200.9.v1.2 pep primary_assembly:ASM411807v1:3:163714:170642:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARPLIQLSFVKLIITIQFTG >Vigun03g002200.5.v1.2 pep primary_assembly:ASM411807v1:3:163714:170628:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARPLIQLSFVKLIITIQFTG >Vigun03g002200.10.v1.2 pep primary_assembly:ASM411807v1:3:163714:170740:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKQLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.13.v1.2 pep primary_assembly:ASM411807v1:3:163714:170747:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARLADKGPVKV >Vigun03g002200.1.v1.2 pep primary_assembly:ASM411807v1:3:163714:170628:-1 gene:Vigun03g002200.v1.2 transcript:Vigun03g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDNAGSHSLGFTIGFCSTFIPHLLCFTLVKTWYPLVPMDSSIADRKTEEVKDRYLIKYRRRRRKQKIEAEDTKVHNEGVRIVDNDEGDPNDNYCSRRPKIKKIDIEMMSPNLHTNNSKLIEEQLIRERFLFCHQCQIKGRGKTVRCQNCTMRRYCAPCIRNWYPHLKEDDIFESCPVCRGNCNCVACLRSDILIKVTSPPFRIRFACDFLGSAFKILPFHFWQEMKQKAKTKEDEHVEFSVYLLQTLLPHLRLLNEEQMIENETEAKIQGISISELRIKEAGYYKDERVYCDNCKTSIFDYHRSCTLCSFDLCLICCRELRNGQLLGGAEPIMFDFSHKGRGYLHGEEVVNRRVNQTQSNDVAKPVVREWSRFGWHAESNGSIPCPKVSDESNHGFLELRSVLGQNFIYDLLCKANELALRHKLGTLGNFCTCSRNTNVRYHGMRKAASRANSSDNYLYCPRAVQPQDEDLEHFRWHWKNGEPVIVSHVIDSTSGLSWEPSVMCRALCHIAKSSHAQHLDVKAIDCLDFCEVKIKIHEFFTGYKEGRVDWLDWPRILKLKDWPSSNLLEERLPRHCAEFISSLPFKEYTDPFGGCLNLAVKLPEECLKPDLGPKTYIAYGFPQELGRGDSVTKLHCDLSDAVNVLTHIAEVKLEAKHLTAIKNLKEKHLQQDKRELVSDDQDVDKVNMKQESDLLFAGDGSEGALWDIFRRQDVPKLQEYLRKHFKEFRHIHCRPLKQVIHPIHDQTFYLTVEHKRKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNLKSCNKVAMDFVSPENVGECFRLSEEFRTLPINHGCAEDKLEVKKMTVYAMQDVITKLKRARPLIQLSFVKLIITIQFTG >Vigun01g113900.1.v1.2 pep primary_assembly:ASM411807v1:1:28884084:28891823:-1 gene:Vigun01g113900.v1.2 transcript:Vigun01g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTIDKTRDFNMASRKAEEAACRRYKATQWLESLVGPLGISSQPTERELISCLKNGLILCNAINKIHPGAVPKVVVVDNQMTSQPLTWDSQPLPAYQYFVNLRNFLAAMEELNLPAFEASDLERDTLEMGSAAKVVDCILALKSFQELKQMNNQNGYNKYIKSPLPMRMHSRPAAAPPSDACRHLDLSTTMEKIPPSESNFSNREAEIVELLAKQLVDRMLDAKENIDGNIIASLRKEHLVEDPIKVFNQIMACCNGEQPPTRLREDFDKEQGLSLHSTSTQSDVLSAPDNSKRCQTCPGKCKCSQEHLLNMQEKELVDLKALKLKIKKEFEEMQSQFQGFFYDIGSQIQEMSTKAIGYHRVVEENRKLYNMVQDLKGNIRVYCRIRPSFRAESRNIVDFIGEDGSLFILDPSKTLRDGRKLFQFNRVFGPTAGQDEVYKDTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSGGTFKDMGINYLALNDLFQMSNERNDIITYDIYVQMVEIYNEQVRDLLAEDKTDNKLEIRSCNDDGMSLPDAKLRPVKSTNDVMTLMKLGEVNRAVSSTAMNNRSSRSHSVLTVHVHGKDTSGSSIRSCLHLVDLAGSERVDKSEVTGERLKEAQFINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEADSFGETVSTLKFAQRVSTVELGAARLNKESSEVMQLKEQVENLKIALATKDAQRMFQRNREPHTPSEKTPLPSRRLSIENCSAIQTDKSVSREDRSGAKSPLLLPRSRRLSLEGPKTIKKDGLQYEPVSMQKYRPMQDVEAVSKLNGQFSSGNAKTPRSPTSISYQTRLIKLNDGMQVHPIKLPQTPEPSVRDGNDAHASKVMGSTNGKGSQIRRSLRTIGKLINGPDKRSQHVEVKSPMKGTSHRNHVKSPIRGTGYTNNVKSPISAVDKTNRRKSLTGIQAPLPSNSRRSSLGGKPVAAYDDKETNARTPPNPHSDTKTSKRWL >Vigun11g078400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:23096394:23096504:1 gene:Vigun11g078400.v1.2 transcript:Vigun11g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Vigun11g068051.1.v1.2 pep primary_assembly:ASM411807v1:11:19861450:19868014:-1 gene:Vigun11g068051.v1.2 transcript:Vigun11g068051.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGCGILEEESSLKLQTFTQQMVFVLQTFCLALPPQTRSISLPSLLHSTFLPCQTISAIVFTETLSTFVPHETLSIGIALSPFLPHQTLSTSLTPPERLFLCFCAPISLTPPQTLSIALGFFLVFCTF >Vigun10g125700.1.v1.2 pep primary_assembly:ASM411807v1:10:33390397:33395309:1 gene:Vigun10g125700.v1.2 transcript:Vigun10g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAQRSWIYRYAVVTGANKGIGYGICKKLALNGIVVVLTARNEKRGLEAVERLKEFGLSEVLVFHQLDVTDPPSVAALAQFIKTRFGRLDILVNNAGVPGGLVNGENVLRKKRGEISDWNIIVPQNYELAEECVEINFFGAERVTEALLPLLQRSTSPRIVNVSSRRGVLKNIPNEWARGVLSDINNLTNKKLHAVLREFLKDYKEGSLESKNWPPVLSGYTMSKTALNSYTRMLAKKFPRFRINCLCPDFVKTDLNQNVGFLTIDEGAECPVRLALLPDNGPSGLFFLKNEVLSFF >Vigun10g125700.2.v1.2 pep primary_assembly:ASM411807v1:10:33390397:33395309:1 gene:Vigun10g125700.v1.2 transcript:Vigun10g125700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAQRYAVVTGANKGIGYGICKKLALNGIVVVLTARNEKRGLEAVERLKEFGLSEVLVFHQLDVTDPPSVAALAQFIKTRFGRLDILVNNAGVPGGLVNGENVLRKKRGEISDWNIIVPQNYELAEECVEINFFGAERVTEALLPLLQRSTSPRIVNVSSRRGVLKNIPNEWARGVLSDINNLTNKKLHAVLREFLKDYKEGSLESKNWPPVLSGYTMSKTALNSYTRMLAKKFPRFRINCLCPDFVKTDLNQNVGFLTIDEGAECPVRLALLPDNGPSGLFFLKNEVLSFF >Vigun08g053200.1.v1.2 pep primary_assembly:ASM411807v1:8:6340683:6342378:-1 gene:Vigun08g053200.v1.2 transcript:Vigun08g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLHSAKYHVQAINYNNYTVRVVDPALQLHNCSSLPLRSLSRSNFSDTYTYYTDTYQAGLSSYENWESLSFEHIVFVNCKHTVRENVKYVKTEECVKWDSKGYAYAFVGDLKAEDFEVGCDIKLVAPTSFRSLNNHSYTSMHWGLAYGFEISWINLACQNNHYCPLRFCYFDSASQNLDCQLLKFTGIGVLLNMLAGKILSS >Vigun05g285600.1.v1.2 pep primary_assembly:ASM411807v1:5:47319128:47322264:-1 gene:Vigun05g285600.v1.2 transcript:Vigun05g285600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSIDSRKINQMGTTCIRNIGRTLLFLILAFALNFTRFQCLRPGFVTDTLMQGDYLTEGNLTSRSKVFHLSFFTFNGPTSERIYLGINYDRGCLWFADPNILLLKRQAKLTIDDYGTFKILSNNDTQLLVLYASIAAQKINASATLLDTGNLVLREMDTDGNAKQILWQSYDYPTFLLLPGMKLGFNKRTGQNWSLTSWTSKTIPSKGSFTLRADQNNMQLVILWHDNNLYWSSGPLINGSFPNLKTYSDNNVYFSFVSNENETYFSYNSSPPSTWNDFDFVGLDPRGQLYGRVVVSCSKDNPALNKGCVSQSFPTCRHRRGYLSLLNFKQGNMSQEGYKNADLDNISLFDCGVTCMNSCSCFAYSFTSDDITGCEMWNQDTEFVMSASGRQVYFIDEFITRNGSSIPRKSEANKRKSWTGSVIGGALLILVSSFVFYLLWRKRRIAEERLKQKKILFEIEGITNPSSLLDNRRSKFSKIETTNHGMRVFSFESIELATDNFSSANKLGEGGFGPVYKGKLIDGLEIAIKRLSRSSTQGLAEFKNEVKLIAKFNHTNLVKLYGFCIEKEERMLIYEYMPNKSLDSYLFDPTNTLLDWKRRFSIVQGIAQGLVYLHTYSRLTVIHRDLKPSNILLDYELNPKISDFGLARISELNEPKKKTNKVVGTYGYMSPEYALNGIVSTRMDVFSFGVLMLEIISGKRNSSNFDSDHPINLIGHAWQTWNKGEALELIDPVLKGSCVDNEVLRCINVSLSCVQDQADDRPTMSNVVSFLSSESILLAEPKQPTFFTNTAEKNPRSPIVGGRNDSLNTVTISTMCGR >Vigun03g418800.5.v1.2 pep primary_assembly:ASM411807v1:3:62518741:62521808:-1 gene:Vigun03g418800.v1.2 transcript:Vigun03g418800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDVVITGNSGIIDGMGLAWWELFSSHSLNYSRPHIIELVASDHVVVSNLTFLNAPAYSIHPVYCSNVHIYNVSISAPPESPYTIGIVPDSSDHVCIEDCVIETGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASSGSTIAFGSDMSGGISNILVENVHLYNSRSGIEFRTMRGRGGYIKEIVISDVEMENIYTAMAATGYCGSHPDDKFDPNALPHLDHIILQDMIGTNITVAGSFAGLQESPFTNICLSNINLSINSVASIPWECSNISGFSDSVLPKPCPDLEILSDCLSLPSVKGKTADM >Vigun03g418800.1.v1.2 pep primary_assembly:ASM411807v1:3:62518741:62521808:-1 gene:Vigun03g418800.v1.2 transcript:Vigun03g418800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLLLLSLSNEVRVNGDGGNRQCEHNPAPKPRPHTVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGTWLTKSFNLTSHLTLFLEKGAVILGSQDPFHWDVIDPLPSYGRGVEVPGGRYQSLINGYMLDDVVITGNSGIIDGMGLAWWELFSSHSLNYSRPHIIELVASDHVVVSNLTFLNAPAYSIHPVYCSNVHIYNVSISAPPESPYTIGIVPDSSDHVCIEDCVIETGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASSGSTIAFGSDMSGGISNILVENVHLYNSRSGIEFRTMRGRGGYIKEIVISDVEMENIYTAMAATGYCGSHPDDKFDPNALPHLDHIILQDMIGTNITVAGSFAGLQESPFTNICLSNINLSINSVASIPWECSNISGFSDSVLPKPCPDLEILSDCLSLPSVKGKTADM >Vigun03g418800.2.v1.2 pep primary_assembly:ASM411807v1:3:62518741:62521808:-1 gene:Vigun03g418800.v1.2 transcript:Vigun03g418800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESMVMVVTGSVSITQHQSQDRTLCPSWSLERLGMLYVPPGTWLTKSFNLTSHLTLFLEKGAVILGSQDPFHWDVIDPLPSYGRGVEVPGGRYQSLINGYMLDDVVITGNSGIIDGMGLAWWELFSSHSLNYSRPHIIELVASDHVVVSNLTFLNAPAYSIHPVYCSNVHIYNVSISAPPESPYTIGIVPDSSDHVCIEDCVIETGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASSGSTIAFGSDMSGGISNILVENVHLYNSRSGIEFRTMRGRGGYIKEIVISDVEMENIYTAMAATGYCGSHPDDKFDPNALPHLDHIILQDMIGTNITVAGSFAGLQESPFTNICLSNINLSINSVASIPWECSNISGFSDSVLPKPCPDLEILSDCLSLPSVKGKTADM >Vigun03g418800.3.v1.2 pep primary_assembly:ASM411807v1:3:62518741:62521808:-1 gene:Vigun03g418800.v1.2 transcript:Vigun03g418800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESMVMVVTGSVSITQHQSQDRTLCPSWSLERLGMLYVPPGTWLTKSFNLTSHLTLFLEKGAVILGSQDPFHWDVIDPLPSYGRGVEVPGGRYQSLINGYMLDDVVITGNSGIIDGMGLAWWELFSSHSLNYSRPHIIELVASDHVVVSNLTFLNAPAYSIHPVYCSNVHIYNVSISAPPESPYTIGIVPDSSDHVCIEDCVIETGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASSGSTIAFGSDMSGGISNILVENVHLYNSRSGIEFRTMRGRGGYIKEIVISDVEMENIYTAMAATGYCGSHPDDKFDPNALPHLDHIILQDMIGTNITVAGSFAGLQESPFTNICLSNINLSINSVASIPWECSNISGFSDSVLPKPCPDLEILSDCLSLPSVKGKTADM >Vigun03g418800.4.v1.2 pep primary_assembly:ASM411807v1:3:62518741:62521809:-1 gene:Vigun03g418800.v1.2 transcript:Vigun03g418800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDVVITGNSGIIDGMGLAWWELFSSHSLNYSRPHIIELVASDHVVVSNLTFLNAPAYSIHPVYCSNVHIYNVSISAPPESPYTIGIVPDSSDHVCIEDCVIETGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASSGSTIAFGSDMSGGISNILVENVHLYNSRSGIEFRTMRGRGGYIKEIVISDVEMENIYTAMAATGYCGSHPDDKFDPNALPHLDHIILQDMIGTNITVAGSFAGLQESPFTNICLSNINLSINSVASIPWECSNISGFSDSVLPKPCPDLEILSDCLSLPSVKGKTADM >Vigun05g056300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4809794:4814230:-1 gene:Vigun05g056300.v1.2 transcript:Vigun05g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSCYRIYGNHVYLVLVTNYRITTTIPFHPRYRQSCSFFRSTISNGPPPPGILHALSVKNGSFQTLNWVNHLLTLYAKSNNMGHAQKLFDEIPLKNTHTWTILISSFARAGSSEMVFNLFREMQAKGACPNQYTLSSVYKCCSFDSNLQFGKGVHAWMLRHGVDVDVVLGNSALDVYLKCNAFQYAERLFELMDERDVVSWNIMIGAYLRFGDVEKSLDMFRSLPCKDVVSWNTIVDGLMQCGYERRALEQLHCMVEYGTEFSDVTFSIALILASSLSVLELGRQLHGMVLKRGFGSDGFTRSSLVEMYCKCGRIDKASIILRDVPLDFRRKGNVGVTSKETKAGIVSWGSMVSGYVWNGKYEDGLKAFRLMVRELVVVDIRTVTTVISACANVGILDFGRHIHAYFQKIGHRVDAYVGSSLIDMYSKCGSLDGAWMIFRQINEPNVILWTSMISGCSLQGQGRQAISLFEEMLNQGIRPNEVTFLGVLNACSHAGLLDEGCKYFRMMKDAYCINPGVEHCTSMVDLYGRAGCLTETKNFIFENGLSHLTSVWKSFLSSCRLHKNVEMGKWVSDMLLQVAPSDPGSYVLLSNMCASNHSWDEAARVRSLMHQRGVKKQPGQSWIQLKDQIHTFVMGDRSHHQDKEIYSYLDTLIGRLKEIGYSPDAKLVMQDVEEEQGEVLISHHSEKLAVVFGIINTAHRLPIRIIKNLRICTDCHNFIKHVSQLLDREIIVRDIHRYHHFNHGSCSCEDYW >Vigun09g059200.2.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6042473:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun09g059200.6.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6042473:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun09g059200.1.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6042473:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun09g059200.7.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6042473:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun09g059200.5.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6042474:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun09g059200.4.v1.2 pep primary_assembly:ASM411807v1:9:6039269:6041594:-1 gene:Vigun09g059200.v1.2 transcript:Vigun09g059200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKGRSMKHAHLFHREDQRSQEEKNIVWERLEGDGDNSFRTREIPVKRLLNVGHFTNASGELSKFSKGKNFSAGMNVMDYDVPELVVFIQEDNEKFVKDSCSGRGVSPEGKCLSEGHDIKSCHFESDMMNRRRDSNLRTMEAFSINSNRLEYASKPLSLKEAMEFYDSRALVIDVEGDSGYKISTDHPKKKTTPETLREALATEAEFSRSLRNWQINSFLGTVGRRVEFPCCADCVQVADTIMCRSEMCNSRSPTGSGKRQDNDPQDTCLCGEGPLSGGPTSYAVPATTSSNASHHSNDSISSTHSFAFPILPEEWNGSPVRMLEADKSQLRKDLWQKIMVLFFCCKS >Vigun05g287800.5.v1.2 pep primary_assembly:ASM411807v1:5:47478744:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRSANNSGSKRSLPSWTCSKENEGENSEKKQTFDGKGEKFNEGEAPEMGKVQNENGGKSSASSLEFRSFNKLLEGVVFVLSGFVNPERGMLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKPDPSEVTKIAAEGILTCLQDAIRSLEEKQDIRKGTEDWMFLPRVVEELAKLDVVGNKKTLLSKEDIHRQALDCKRIYEEELSSLDHQRKKNSKVNKEQTSKPGRTNAMSSGAVEYDSDDTIEMTEQEIDLAYKTLSSNIGHL >Vigun05g287800.3.v1.2 pep primary_assembly:ASM411807v1:5:47478897:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKPDPSEVTKIAAEGILTCLQDAIRSLEEKQDIRKGTEDWMFLPRVVEELAKLDVVGNKKTLLSKEDIHRQALDCKRIYEEELSSLDHQRKKNSKVNKEQTSKPGRTNAMSSGAVEYDSDDTIEMTEQEIDLAYKTLSSNIGHL >Vigun05g287800.4.v1.2 pep primary_assembly:ASM411807v1:5:47478897:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFTDEVFDQFTNFILTPNADFFTKTSVFVEFGAFGTLHVSSFSTMSGAKRSANNSGSKRSLPSWTCSKENEGENSEKKQTFDGKGEKFNEGEAPEMGKVQNENGGKSSASSLEFRSFNKLLEGVVFVLSGFVNPERGMLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKIQVR >Vigun05g287800.1.v1.2 pep primary_assembly:ASM411807v1:5:47478978:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFTDEVFDQFTNFILTPNADFFTKTSVFVEFGAFGTLHVSSFSTMSGAKRSANNSGSKRSLPSWTCSKENEGENSEKKQTFDGKGEKFNEGEAPEMGKVQNENGGKSSASSLEFRSFNKLLEGVVFVLSGFVNPERGMLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKPDPSEVTKIAAEGILTCLQDAIRSLEEKQDIRKGTEDWMFLPRVVEELAKLDVVGNKKTLLSKEDIHRQALDCKRIYEEELSSLDHQRKKNSKVNKEQTSKPGRTNAMSSGAVEYDSDDTIEMTEQEIDLAYKTLSSNIGHL >Vigun05g287800.2.v1.2 pep primary_assembly:ASM411807v1:5:47478871:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRSANNSGSKRSLPSWTCSKENEGENSEKKQTFDGKGEKFNEGEAPEMGKVQNENGGKSSASSLEFRSFNKLLEGVVFVLSGFVNPERGMLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKPDPSEVTKIAAEGILTCLQDAIRSLEEKQDIRKGTEDWMFLPRVVEELAKLDVVGNKKTLLSKEDIHRQALDCKRIYEEELSSLDHQRKKNSKVNKEQTSKPGRTNAMSSGAVEYDSDDTIEMTEQEIDLAYKTLSSNIGHL >Vigun05g287800.6.v1.2 pep primary_assembly:ASM411807v1:5:47478897:47483031:1 gene:Vigun05g287800.v1.2 transcript:Vigun05g287800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRSANNSGSKRSLPSWTCSKENEGENSEKKQTFDGKGEKFNEGEAPEMGKVQNENGGKSSASSLEFRSFNKLLEGVVFVLSGFVNPERGMLRSRAMEMGAQYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWIIDCYTQRKLIEIDNYLLHAGKPWRNGNVSGEVNEDKKPSVPKKSPKRVDREQPSKSTASIKSKGKGIDISRKCFEPSEVKEWAIDDLNKTIQWLESQEEKPDPSEVTKIAAEGILTCLQDAIRSLEEKQDIRKGTEDWMFLPRVVEELAKLDVVGNKKTLLSKEDIHRQALDCKRIYEEELSSLDHQRKKNSKVNKEQTSKPGRTNAMSSGAVEYDSDDTIEMTEQEIDLAYKTLSSNIGHL >VigunL020700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000277.1:433:3200:1 gene:VigunL020700.v1.2 transcript:VigunL020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMILLLFLLSESVCGSHAIVRFREAREISLTQVPHFNKNVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVALSGSQLARSGIFPELRPCGEDFQKKWIEGLSQQHKRLRSLADHVPHGYKRASLLDVLIRNNVPLLRATWFIKVTYLNQVQPGSVGISSGTADKIQLSRSDVWTKDVINYLQALLDEFLSKNVSHSASHGRERSPQIPGPLQNKSDPLLSVSDGEGPSLHFRWWYIVRLLQWHHAEGLLHPSLVIDWVFTQLQEKDLLEVWQLLLPIIYGFLETIVLSQTYVRTLAGLALRVIRDPAPGGSDLVDNSRRAYTTCAVIEMLRYLILVVPDTFVALDCFPLPSSVISHTMNDGNFVLKSTEAAGKVKNSSDDFGRIISRIQKHTEDLVKASIPGSPGHCLAKVAKALDKALVLGDLHVAYKFLFDDLCGGTVSEGWVAKVSPCLRLSLKWFGTVNTPLIYCVFPL >Vigun05g281800.1.v1.2 pep primary_assembly:ASM411807v1:5:47090129:47096334:1 gene:Vigun05g281800.v1.2 transcript:Vigun05g281800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHCFLRYSSSSSFPLNPKFRSPPKPAYCPSIFSRIPTPKSDNDDNNADDKDDNHKTPNHNRFDFLKLSVTLTVISASLPQPAAAAAVVTKGKKRSSKKQSAKKPEALSPEELKTWSGGLPVVADRLPYSEIIELKKDGKLKHIIKPSSAKLRQRSEAVLVVLDDSRVLRTVLPSVESHSEFWDSWDALKIDSVCVNAYTPPLKRPEWPSPLLSNIYLPPFLVKFLVGSPEDIETKPKKESKKAAEYRKMRMELKREKEEDLRRLREEREMTERNIKAQKKEEERRRKKEMRKRRYRESMRQASERNERMAYFWSDLANNSNVANALGVLFFYIFYRTVVLSYRKHKKDYEDRLKIEQAEAEERKKMRELEREMEGIEADDEEIEQGKGEENDYLKVAKQFMRSGARVRRAQNRRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARDNAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRIEILKVHARKKPMAEDVDYMAVAGMTDGMVGAELANIIEVAAINMMRDSRTEITTDDLLQAAQMEERGMLDRKERSTETWKQVAINEAAMAVMAVNFPDLKNIEFVTIAPRAGRELGYVRVKMDSVKFNNGMLTRQSLLDHITVQLAPRAADELWFGSGQLSTIWAETADNARSAARTFVLGGLSEKYHGMSNFWVSDRINDIDSEAMRILDSCYERAKEILEKNRTLMDAVVNALMEKKSLTKQEFFRLVDLHGSLKPMPPSILDIRIAKCREFQKLIDSGKEASLSSHA >Vigun06g067250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19584163:19584438:-1 gene:Vigun06g067250.v1.2 transcript:Vigun06g067250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFHRWILASLIDRSWLAAVLTSVGRQFYRWTPEATPLHAPPLVRLLSSMPTSMRGKEERKNDVKGKERKYTKNISLRFFFLSKTYLLH >Vigun07g034600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3322147:3323961:1 gene:Vigun07g034600.v1.2 transcript:Vigun07g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGENLAQKNQVVVVLIPFPAQGHLNQLMHLSNLISSHNIPVHYVSTVTHIRQATLRHHQSFSNIHFHAFDVPPFDSPSPDPESGFPSHLIPSLEASMHLRDPVRNLLQSLSAEAKRIIVIRDSAMASVAQDATNMPNVENYSFQCTCAFSVYVYHWDRMGRPPVEAMHALKIPSLEGCFPTQFLDFLIAQRDLLKFSDGTIYNTSREIDGDYIELMEGITGKKIWALGPFNPLAVEKKDSKGRHLCMEWLDKQEPNSVIYVSFGTTTTFKVEQIQEVATGLEQSKQKFIWVLRDADKGDIFDEKEAKRHDLPNGFEERVKGMGVVVRDWAPQLEILSHPSTGGFMSHCGWNSCLESITMGVPIATWPMHSDQPRNAALITEVLKVGLVVKDWSQRNSVVSASDVENGVRRLMQTKEGDEMRERAARLKNGIRNSTEEGGVSRMEMESFIAHITK >Vigun05g263400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45582674:45585785:1 gene:Vigun05g263400.v1.2 transcript:Vigun05g263400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDLDNLKALKVLGKGAMGTVFLVQHNSGHVALKVVDKSSSLHDGARRAHWEISVLSRLSHPFLPTLLGSFESNNLMGWAVPYCPGGDLNLLRYRQTDHVFSPAVVRFYLAEILCALHHLHSMHIVYRDLKPENVLIQQSGHVTLTDFDLSRTLSPTKTNTSPSNSHIPDTAPRKPRRNFRWVPLTKAPKSARVTPAARRAAPSFERSNSFVGTEEYIAPEVLRGEGHGFAVDWWALGVLAHEMVYGTTPFKGRNRKETFRNVLLKTPEFVGKKTALTDLISRLLEKDPSKRLGYVRGASEIKEHEFFRGVKWDLLTEVMRPPFIPSRDDVTKPFADGLDVRGYFQSLKSPPSLPPSPLPSPSCEFQNVSLPEF >Vigun05g263400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45582663:45585785:1 gene:Vigun05g263400.v1.2 transcript:Vigun05g263400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDLDNLKALKVLGKGAMGTVFLVQHNSGHVALKVVDKSSSLHDGARRAHWEISVLSRLSHPFLPTLLGSFESNNLMGWAVPYCPGGDLNLLRYRQTDHVFSPAVVRFYLAEILCALHHLHSMHIVYRDLKPENVLIQQSGHVTLTDFDLSRTLSPTKTNTSPSNSHIPDTAPRKPRRNFRWVPLTKAPKSARVTPAARRAAPSFERSNSFVGTEEYIAPEVLRGEGHGFAVDWWALGVLAHEMVYGTTPFKGRNRKETFRNVLLKTPEFVGKKTALTDLISRLLEKDPSKRLGYVRGASEIKEHEFFRGVKWDLLTEVMRPPFIPSRDDVTKPFADGLDVRGYFQSLKSPPSLPPSPLPSPSCEFQNVSLPEF >Vigun04g165300.1.v1.2 pep primary_assembly:ASM411807v1:4:38997936:39000007:-1 gene:Vigun04g165300.v1.2 transcript:Vigun04g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFVLFLLCFASAVSLSFSESEAVSGKLHAAESFSVGYIQMKTASNCSYLVVISTSCSSPKFTTDKISIAFGDGKGNQVYVPRLDDPLSKTFEQCSSDTFQIDGACASPICFVYLYRSGAEQGWKPESVKIFGYDSEPVTFPFSTSIPNDTWYGYNVCQTPPSPSSSAFQLSSQKWLMSLVPGFFVSLLL >Vigun03g189400.3.v1.2 pep primary_assembly:ASM411807v1:3:25785491:25790841:-1 gene:Vigun03g189400.v1.2 transcript:Vigun03g189400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKPWLWRKKSMEKTIFTGDKDVSPSRPIEEEVHKLPITKESGSERSSISLNEKLATVLLDSHSGDDPLAKHDQKSQQEIRGKDKTKQKVKSAEHLDEKASSVTVSPADATFKEPLQPPSCVEEEEEKKLSGAIPKIAIEDEKLQKELEEKLRKTSKMIDDLTSENTHLANALLTKEKSIGDLVKCKQEADAEFSTLMTRLDTTEKENSFLRYEFHVLEKELEIRKEETDYSRQYADASHKQYLECSQKVSKLEAECQKLRLMLQKRPPGSAGMVNMKNEVGMVRRKKSNSNRELIYKNNDVGNSTDVSEKSLALMIRRLQDLDEENKALKRILTKKNSELESSRLMYAETASRLSQAEILLRKVSENQKCMELARCYPTSNELPLMSNCDIYSDDEATSSGSWANALISELEHLRTSEAKVRKSTKATEVSDMSFMDDFVEMEKRAIVSIDTPKGGCFSDTSGRELVPVEQDLLGFSERKKEIQFKHTTEKSFDWLQIVLNAILEEKNLSKRGLHELFDDIKIALDCMNHPTTCKPDTEAESKQHLSSNLRKSIHRIINLIEGIAPKPFMCNNCLDCLGENKHSDISQSPTPKDYFVHVFQWKVTDLNPLLHQLVHTCKDLLSGRGDFESFIEEVAFALNWSVNNCATSTNAAIARDRIKKHFSNHLSQNENKVDDEDKQSSQSASYAYQEDQCELFNTKNSQCDLLEDIRKLKDDLRNAKSAKKDLEEKLMSATDESQNLTKQYQGAQNNIKGLESEIETLKDQIEKQKIINEDLDTQLTMAQAKLNDIFQKFSSLEVELEDKNNSCEELEATCLELQLQLESIAKKESPTYGRYDVEKIYQTGWEITTASSKLAECQETILNLGKQLKALASSSEVALFDKVVSTTSTVANPTQKKNLIKRSSLRNQMQAEDEAKGIIHKSFQTEETSREKDVHMPPLLQSETGKSLQSPNDLVNSPETSSTSEKNDRNKGTGSMAIVAGKKQVGFGFLRKLLSRRKKGRTKGTKLLAKA >Vigun03g189400.1.v1.2 pep primary_assembly:ASM411807v1:3:25785460:25791138:-1 gene:Vigun03g189400.v1.2 transcript:Vigun03g189400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKPWLWRKKSMEKTIFTGDKDVSPSRPIEEEVHKLPITKESGSERSSISLNEKLATVLLDSHSGDDPLAKHDQKSQQEIRGKDKTKQKVKSAEHLDEKASSVTVSPADATFKEPLQPPSCVEEEEEKKLSGAIPKIAIEDEKLQKELEEKLRKTSKMIDDLTSENTHLANALLTKEKSIGDLVKCKQEADAEFSTLMTRLDTTEKENSFLRYEFHVLEKELEIRKEETDYSRQYADASHKQYLECSQKVSKLEAECQKLRLMLQKRPPGSAGMVNMKNEVGMVRRKKSNSNRELIYKNNDVGNSTDVSEKSLALMIRRLQDLDEENKALKRILTKKNSELESSRLMYAETASRLSQAEILLRKVSENQKCMELARCYPTSNELPLMSNCDIYSDDEATSSGSWANALISELEHLRTSEAKVRKSTKATEVSDMSFMDDFVEMEKRAIVSIDTPKGGCFSDTSGRELVPVEQDLLGFSERKKEIQFKHTTEKSFDWLQIVLNAILEEKNLSKRGLHELFDDIKIALDCMNHPTTCKPDTEAESKQHLSSNLRKSIHRIINLIEGIAPKPFMCNNCLDCLGENKHSDISQSPTPKDYFVHVFQWKVTDLNPLLHQLVHTCKDLLSGRGDFESFIEEVAFALNWSVNNCATSTNAAIARDRIKKHFSNHLSQNENKVDDEDKQSSQSASYAYQEDQCELFNTKNSQCDLLEDIRKLKDDLRNAKSAKKDLEEKLMSATDESQNLTKQYQGAQNNIKGLESEIETLKDQIEKQKIINEDLDTQLTMAQAKLNDIFQKFSSLEVELEDKNNSCEELEATCLELQLQLESIAKKESPTYGRYDVEKIYQTGWEITTASSKLAECQETILNLGKQLKALASSSEVALFDKVVSTTSTVANPTQKKNLIKRSSLRNQMQAEDEAKGIIHKSFQTEETSREKDVHMPPLLQSETGKSLQSPNDLVNSPETSSTSEKNDRNKGTGSMAIVAGKKQVGFGFLRKLLSRRKKGRTKGTKLLAKA >Vigun03g189400.2.v1.2 pep primary_assembly:ASM411807v1:3:25785455:25791138:-1 gene:Vigun03g189400.v1.2 transcript:Vigun03g189400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKPWLWRKKSMEKTIFTGDKDVSPSRPIEEEVHKLPITKESGSERSSISLNEKLATVLLDSHSGDDPLAKHDQKSQQEIRGKDKTKQKVKSAEHLDEKASSVTVSPADATFKEPLQPPSCVEEEEEKKLSGAIPKIAIEDEKLQKELEEKLRKTSKMIDDLTSENTHLANALLTKEKSIGDLVKCKQEADAEFSTLMTRLDTTEKENSFLRYEFHVLEKELEIRKEETDYSRQYADASHKQYLECSQKVSKLEAECQKLRLMLQKRPPGSAGMVNMKNEVGMVRRKKSNSNRELIYKNNDVGNSTDVSEKSLALMIRRLQDLDEENKALKRILTKKNSELESSRLMYAETASRLSQAEILLRKVSENQKCMELARCYPTSNELPLMSNCDIYSDDEATSSGSWANALISELEHLRTSEAKVRKSTKATEVSDMSFMDDFVEMEKRAIVSIDTPKGGCFSDTSGRELVPVEQDLLGFSERKKEIQFKHTTEKSFDWLQIVLNAILEEKNLSKRGLHELFDDIKIALDCMNHPTTCKPDTEAESKQHLSSNLRKSIHRIINLIEGIAPKPFMCNNCLDCLGENKHSDISQSPTPKDYFVHVFQWKVTDLNPLLHQLVHTCKDLLSGRGDFESFIEEVAFALNWSVNNCATSTNAAIARDRIKKHFSNHLSQNENKVDDEDKQSSQSASYAYQEDQCELFNTKNSQCDLLEDIRKLKDDLRNAKSAKKDLEEKLMSATDESQNLTKQYQGAQNNIKGLESEIETLKDQIEKQKIINEDLDTQLTMAQAKLNDIFQKFSSLEVELEDKNNSCEELEATCLELQLQLESIAKKESPTYGRYDVEKIYQTGWEITTASSKLAECQETILNLGKQLKALASSSEVALFDKVVSTTSTVANPTQKKNLIKRSSLRNQMQAEDEAKGIIHKSFQTEETSREKDVHMPPLLQSETGKSLQSPNDLVNSPETSSTSEKNDRNKGTGSMAIVAGKKQVGFGFLRKLLSRRKKGRTKGTKLLAKA >Vigun07g287200.1.v1.2 pep primary_assembly:ASM411807v1:7:40010761:40013952:-1 gene:Vigun07g287200.v1.2 transcript:Vigun07g287200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAVKAATGAPDSLGDCPFCQRVLLTLEEKKIPYKLHLIDLSNKPEWFLGVNPEGKVPVALFDGKWVPDSDVIVGLLEEKYPEIPLATPPEFATVGSKIFGSFVSFLKSKDPNDGTEQALLAELSALNEHLKAHGPYVAGEKVTAVDLSLAPKLYHLVAVLDHFKNWGVPESLSHVHIYTKLLFSRESFEKTKPAKDEFVFAGWAPKVNA >Vigun06g081400.1.v1.2 pep primary_assembly:ASM411807v1:6:21322128:21327061:1 gene:Vigun06g081400.v1.2 transcript:Vigun06g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPLQPHVVVLPYPSQGHINPMINLSNILVSNNPDIFVTFLVTHHCFSRTTSSNAKLRNITFAALPDLRLSADTFDATVHAVMTQMEAPLQSLLDRLHPPPTLLICDAFLSWAVALANRRNIPVAAFWTTSTAELWVQYFHLFQGNQLCLQKKLDYIPSTYWIDGSDVPLLNGKNRKILQLALKSCEWLPKAQYLLLPSIYELESKVVDALKANLKIPIYTIGPSIPYSSVAENSRNPNPNINGGYMEWLDKQPPNSVLYISHGSYLSISSAQMEEIANALHDGNVRFMWVTREESPKLREICGEKKKMGLVVAWCDQLRVLLHRAVGGYWSHCGWNSVMEGIFCGVPFLTFPIAMDQPLISRLIVEYWKVGWRVKKDEKMEGLVRRDVIVELLRKFMDLQSDDGREMRRRAKELQLMVQLAIAENGSSHTNIRAFLNNIVQSEEERVQVQKDG >Vigun06g205800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31984930:31986456:-1 gene:Vigun06g205800.v1.2 transcript:Vigun06g205800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTNRSYSSPSSNPREPPLRSTAKGSENRAPPPEEETVKEVLSETPKWKPKFDAEKPTEAQVTDEKEKLFIKPEEISEVSEVCSVSESVSTLADEESRQRVNGSPTKVRKARSFSGELGTRRERTAGKSPARRAEQSPGRRNAGSVRVVQMGNGVSGNQPRRRDAGENSGRRSRSPATRTDSGAARSIVGRSPSARRTNQSPARVRAAAAESGGRKLENSNMEGKWPSSANESLENPLVSLECFIFL >Vigun03g163600.1.v1.2 pep primary_assembly:ASM411807v1:3:18474404:18476422:-1 gene:Vigun03g163600.v1.2 transcript:Vigun03g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMENSCVELSNVAVSETHGEDSPYFAGWKAYDENPYDESTNPSGVIQMGLAENQVSFDLIEKYLEAHSEASTWGKGASGFRENALFQDYHGLKTFRTAMASFMEQVRGGRAKFDPQRVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCDNSNNFQITPEALEAAFKDAESMNYKVRGVLITNPSNPLGVTIPRSVLEEILDFVIRKNIHLVSDEIYSGSVFSSSEFTSVAEILEGRQYKNAERVHIVYSLSKDLGLPGFRVGTIYSYNDRVVTTARRMSSFTLISSQTQHLLASMLSDKNFTQNYIKTNRERLRKRYEMIIEGLRSAGIECLKGNAGLFCWMNMSPLLEKPTREGELELWNAIMHEVKLNISPGSSCHCSEPGWFRVCFANMTEKTLEIALQRIRHFVERITTQKHV >Vigun04g168666.1.v1.2 pep primary_assembly:ASM411807v1:4:39311525:39312105:-1 gene:Vigun04g168666.v1.2 transcript:Vigun04g168666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLVLALSFFAFFTNLPLGFSDDAEQVVDKDGDPLEPSRQYYIFPSFFGPAGGGVKYARTANSECPLTVFQEYSQFYRGNPVKFTVAGDTDTSDIFTGTEVEIEFVDKPRCAESSKWLVFLDVTINKACVGIGGAEDHPGQEIFDGKFHDGENNNK >Vigun02g110100.1.v1.2 pep primary_assembly:ASM411807v1:2:26389325:26394122:-1 gene:Vigun02g110100.v1.2 transcript:Vigun02g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCACTPLSASLFTSLSLRHRLPPPPPHFASAAPFRPKQQSLSHTSRLSALPLLFQGSGNNNNNNNNGNGGSWGNPFDSNDSDSNSNSHRTLFLSLLCSSAACFFCHLLQVKLANAKTWSSSTDNELLSEPVWEVKGGKWTRLVPDPTNDVFVSANPGLLAELQSLKPSQFATFVWLKCSDIFTRLMLPEGFPESVTSDYLEYSLWRAVQGVACQVSGVLATQSLLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSNFGRHFDVNPKGWRLFADLLENAAFGLEMCTPAFPQFFVLIGAVAGASRSAASLIQASTRSCFFAGFAAQRNFAEVIAKGEVQGMASRFIGIGLGIGLGNCIGSSTPLVLASFCVLTWIHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPVKDVNDEEPLFPAVPILNATFANKAQSITLSSEAKDAAAEIERRLQLGSKLSEIVNGKEDVLALFGLYKNEGYILSEHMGKFCVVLKENCSQQDMLKALFQVNYLYWLEKNAGIGGRGTLNDSKPGGRLHISLDYVEREFNHVKNDGESVGWVTDGLIARPLPNRIRIGDTTSSNSVSS >Vigun10g104450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29975007:29976306:-1 gene:Vigun10g104450.v1.2 transcript:Vigun10g104450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLHLSLFKITFNVEIIAPCNQSCPHQGRRRSLLSAMLLFKYLLTSIAAIVLERNYDPSINYNLPSVLTLSIHYPPLQRSWLKARGGCDEVEPFAVRREKEGVEWED >Vigun03g413900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62086720:62087803:-1 gene:Vigun03g413900.v1.2 transcript:Vigun03g413900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLNLTSNLFFFILTLTILYAAYTFSTFQPKQTNLIFYVHDHFTGDHSTAITVAGKTGPVSNILNFGTVAIVDDPVTEGPNIDSTLIGRAQGMYINSQLDGKGLYMVFSVIFTGGEFKGSSLEIQGSDIFTMKEREFGVVSGTGYFRFVKGYGIMETEFMDIATLRATLKLTVTLKHY >Vigun01g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35009670:35010745:1 gene:Vigun01g168600.v1.2 transcript:Vigun01g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIDDKQEKVTIRAVSRDEEGRKRVEKREVNTHNVDTLKYVEKKLINKGVQRQDRHPADGIGIGRPPPKSGHGGKFTWEGPSDMAENELMAAPAAIDEGDPNYEDGEEKGEEVAELVVGEVEVAKVGQEHDGVARVDIDPRLKVN >Vigun09g179700.3.v1.2 pep primary_assembly:ASM411807v1:9:35214585:35219383:-1 gene:Vigun09g179700.v1.2 transcript:Vigun09g179700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSRFNILAIYFGYLDFATESNIRKCSTKIQVSKIKHITVKLRMRVNISLTKQKCMENIRNEEHIPLINSTSQNESNTHTRSLFHRSCSESGNLWKIAAPSIFTRLAMFSITVVTQSFAGHLADLDLAAISLACTVLISITFGFMLGMASALETLCGQAYGAGQHRMLGVYLQRSWVVLSLSSILMLPVFVFATPLLKLVGQPVAVAERAGLVAVWLIPFHLSFPFQFTLQRFLQCQLKTGIAAWVSGAALAIHVVVSWVFVYRMRIGIVGTALSIGFSWWLSVLGMLGYTLFGGCPCSWTGFSAEAFVGLWEFLKLSLASGVMLALENFYYRLLLIVSGYMHNTEIAIDALSVCVTIYGWESMIPLAFLGATG >Vigun09g179700.1.v1.2 pep primary_assembly:ASM411807v1:9:35214585:35219383:-1 gene:Vigun09g179700.v1.2 transcript:Vigun09g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSRFNILAIYFGYLDFATESNIRKCSTKIQVSKIKHITVKLRMRVNISLTKQKCMENIRNEEHIPLINSTSQNESNTHTRSLFHRSCSESGNLWKIAAPSIFTRLAMFSITVVTQSFAGHLADLDLAAISLACTVLISITFGFMLGMASALETLCGQAYGAGQHRMLGVYLQRSWVVLSLSSILMLPVFVFATPLLKLVGQPVAVAERAGLVAVWLIPFHLSFPFQFTLQRFLQCQLKTGIAAWVSGAALAIHVVVSWVFVYRMRIGIVGTALSIGFSWWLSVLGMLGYTLFGGCPCSWTGFSAEAFVGLWEFLKLSLASGVMLALENFYYRLLLIVSGYMHNTEIAIDALSVCVTIYGWESMIPLAFLGATGVRVANELGAGNAEGAKFATVVSVVNTVLVGFIFWLVIVFFNKNLALIFTSSSLVIQMVNDLATLLAFTVLLNCIQPVLSGVAVGSGRQAAVAYINIGGYYLVGIPLGVLLGWLRPSSIVGMWSGMMSGTVVQTLILATITMRYDWEKEVRKAQIHIKEEAHSN >Vigun09g179700.2.v1.2 pep primary_assembly:ASM411807v1:9:35214585:35219383:-1 gene:Vigun09g179700.v1.2 transcript:Vigun09g179700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSRFNILAIYFGYLDFATESNIRKCSTKIQVSKIKHITVKLRMRVNISLTKQKCMENIRNEEHIPLINSTSQNESNTHTRSLFHRSCSESGNLWKIAAPSIFTRLAMFSITVVTQSFAGHLADLDLAAISLACTVLISITFGFMLGMASALETLCGQAYGAGQHRMLGVYLQRSWVVLSLSSILMLPVFVFATPLLKLVGQPVAVAERAGLVAVWLIPFHLSFPFQFTLQRFLQCQLKTGIAAWVSGAALAIHVVVSWVFVYRMRIGIVGTALSIGFSWWLSVLGMLGYTLFGGCPCSWTGFSAEAFVGLWEFLKLSLASGVMLALENFYYRLLLIVSGYMHNTEIAIDALSVCVTIYGWESMIPLAFLGATGVRVANELGAGNAEGAKFATVVSVGWQ >Vigun06g121100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24863216:24864043:1 gene:Vigun06g121100.v1.2 transcript:Vigun06g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPDATDDEDEDEGFVNLLKTKKIVNEIRIVEKKPSTSVTVKQTKEPGRRKFRGVRQRPWGRWAAEIRDPVKRSRIWLGTYDTAEEAAMVYDKAAISFRGCHALTNFIKPPTSEDMDMSPAMEDMHHNTPTSEDMHMDAVSFEYGCYDESQGSWSGLVENVSGGTGSTRESHLSSPTSVLGLQHEKHEKLVSEEVFETDGLFIEDPSFEDTFSFLDLYSPSIDFDSGNNFMNVMVDTNVKHFDENLCDISPLGNENFQSFNWDIDSYFNDLSPP >Vigun04g133700.1.v1.2 pep primary_assembly:ASM411807v1:4:33592291:33596530:1 gene:Vigun04g133700.v1.2 transcript:Vigun04g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVIYPTELPIIEKKPFALRFTTPIKPSPDAKCREKEREALLNFKQSLVDDHGILSTWTHHQNNTDCCTWEGIQCNHQTGHVHLLDLHGYNSHYLKGAINLSSLIHLQYIQHLDLSYNDFLWNRIPEAIGSFTNLKYLNLSFCAFGGRIPFQLGNLSLLRYLDLGGNLLLGPVPFQIGNLRHLKYLDLGGNYLSGEIPFQLTNLKQLQYLNLGDNSLSGAIPFQLGNLTRLHYLNLAGNALSGAIPFRNENLPNLQTLRLGGDFNIEAEDSEWLSNLHSLTTLELSSLHNLSTSRHWLQSINALISNLVELRLVDCSLSDTNIKTLFPSHSNFSTSLTVLDLSSNVLTSSTFQLLFNFSLHLQELYLSGNDIAFSSFLYPDFPSLIILDLSWNNLTSLIFEANFNFGSKLRVLYLYNCSLTDRSFPISSASTINSSSSLVILDLSSNLLKSSTLFYWLMNYTTNLQTLKLHGNMLEGPIPDGFGKVMNSLEHFFVSSNRLQGKIPSFFGNMCRLQTLDLSDNKLNGEISHFFQNSSWCNRHVFKSLDLSYNQINGKIPESIRLLSQLECLYLDGNSLEGDVTESHLSNFSKLDHLDLSHNTLSLRFVSSWVPPFQVTTLSLASCKLGPSFPRWLQTQNSLRELDISDNELNDSVPAWFWNKLQNLEWLNMSHNNLNGEIPDIPLKLHYRPSIILNANQFEGALPSFLLQASGLFISKNKFSDLYSFLCYKIMAPYLVTLDLSNNKIKGQIPDCWQSLNQLLFLDLSKNELSGNIPISMGNLFKLKALVLRKNNLTGDLPFNLKNCTNLIMLDVGENKLSGPIPSWIGESMQQLKILNMQGNHFSEHFPIQLCYLRHIQLLNLSRNKLSKAIPRCLNNFTVMSEKSINITETQRLIYRYDISDLEIYGFSPYIFGYRLDITWVWKEVVYLVGLVSLNLSRNNLNGEIPSEIGNLSSLESLDLSRNHLHGRIPSSLSQLDFLGKLNLSYNSLSGRIPLGRHLQTFEGSSFEGNIDLCGEQLNKSCPGDHPTAKLKEAVEGDRDDFVFYEALYMSMGLGFFVGFWGLLGPILIWKSWRIAYLRFLNKFTN >Vigun03g089200.1.v1.2 pep primary_assembly:ASM411807v1:3:7481704:7485869:-1 gene:Vigun03g089200.v1.2 transcript:Vigun03g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRKPLKPRLERRNAMKNIDYRLKEDEDTDTDTDIDIGSLDERSFRVEGIDGEFDRIFRSLGLSGPEDFAIPAAAWKEAQAQKARSTVNLQHEPPPQNKKQREGGINGVRPPILEPTQVTSSWTTQQPTDSDSVSRDEDSDVGVEAEIEKVSALVGNETFDVQNLSLNATGYFGSWQKGEILGKGSFGTVYEGFTDDGNFFAVKEVSLLDDGSQGKQSIFQLQQEISLLSQFRHDNIVRYLGTDKDNDKLYIFLELVTKGSLASLYQKYRLGDSQVSSYTRQILSGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLRNRGYGLAADIWSLGCTVLEMLTRQPPYSHLEGMQAIFRIGSGQPPPVPESLSTDARDFILKCLQVNPNKRPTAARLLDHPFVKRPLLSPISPVSPSINLLLS >Vigun03g089200.3.v1.2 pep primary_assembly:ASM411807v1:3:7482131:7485722:-1 gene:Vigun03g089200.v1.2 transcript:Vigun03g089200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRKPLKPRLERRNAMKNIDYRLKEDEDTDTDTDIDIGSLDERSFRVEGIDGEFDRIFRSLGLSGPEDFAIPAAAWKEAQAQKARSTVNLQHEPPPQNKKQREGGINGVRPPILEPTQVTSSWTTQQPTDSDSVSRDEDSDVGVEAEIEKVSALVGNETFDVQNLSLNATGYFGSWQKGEILGKGSFGTVYEGFTDDGNFFAVKEVSLLDDGSQGKQSIFQLQQEISLLSQFRHDNIVRYLGTDKDNDKLYIFLELVTKGSLASLYQKYRLGDSQVSSYTRQILSGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLRNRGYGLAADIWSLGCTVLEMLTRQPPYSHLEGVCLNISQFLLYFSTFIYY >Vigun03g089200.4.v1.2 pep primary_assembly:ASM411807v1:3:7482108:7485722:-1 gene:Vigun03g089200.v1.2 transcript:Vigun03g089200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRKPLKPRLERRNAMKNIDYRLKEDEDTDTDTDIDIGSLDERSFRVEGIDGEFDRIFRSLGLSGPEDFAIPAAAWKEAQAQKARSTVNLQHEPPPQNKKQREGGINGVRPPILEPTQVTSSWTTQQPTDSDSVSRDEDSDVGVEAEIEKVSALVGNETFDVQNLSLNATGYFGSWQKGEILGKGSFGTVYEGFTDDGNFFAVKEVSLLDDGSQGKQSIFQLQQEISLLSQFRHDNIVRYLGTDKDNDKLYIFLELVTKGSLASLYQKYRLGDSQVSSYTRQILSGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLRNRGYGLAADIWSLGCTVLEMLTRQPPYSHLEGINDAK >Vigun03g089200.2.v1.2 pep primary_assembly:ASM411807v1:3:7482131:7485722:-1 gene:Vigun03g089200.v1.2 transcript:Vigun03g089200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRKPLKPRLERRNAMKNIDYRLKEDEDTDTDTDIDIGSLDERSFRVEGIDGEFDRIFRSLGLSGPEDFAIPAAAWKEAQAQKARSTVNLQHEPPPQNKKQREGGINGVRPPILEPTQVTSSWTTQQPTDSDSVSRDEDSDVGVEAEIEKVSALVGNETFDVQNLSLNATGYFGSWQKGEILGKGSFGTVYEGFTDDGNFFAVKEVSLLDDGSQGKQSIFQLQQEISLLSQFRHDNIVRYLGTDKDNDKLYIFLELVTKGSLASLYQKYRLGDSQVSSYTRQILSGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSSKGSPYWMAPEVVNLRNRGYGLAADIWSLGCTVLEMLTRQPPYSHLEGMQAIFRIGSGQPPPVPESLSTDARDFILKCLQVNPNKRPTAARLLDHPFVKRPLLSPIIHLRGSPLGNFGVVN >Vigun11g008300.1.v1.2 pep primary_assembly:ASM411807v1:11:904861:906477:1 gene:Vigun11g008300.v1.2 transcript:Vigun11g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQTIIAMVHYNGRIINDELLSSRFVSQVSRYLEINNFMTVTALKQTILNLFIAANGKSYTVDLCYRCPVKTNELKICYRTVMIEDDEDVKFVIGYAKKYEPHVHFEVMAFIREYIEISSDVIWEHLDKQLNDSLNIEKTQSERGSGI >Vigun03g064400.1.v1.2 pep primary_assembly:ASM411807v1:3:5279661:5286523:-1 gene:Vigun03g064400.v1.2 transcript:Vigun03g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNRTISFNKTYSFNRRSLAIGSPRVSLNNTNNNRFGCVSLRFQVLIIVASVLSFFVAVGGYMYVLPSLSQAFSNVQVLSSERNNGSVRDCDVFDGSWVRVQDHPLYNATECPFVEGGFDCVGNGRSDRDYLGWRWKPRSCEIPRFDVRGVLEMLRSKRIVFVGDSMSRTQWESLVCMLMAGVEDKRGVYEVNRNQITKKIRFLGVRFSAFNFTVEFFRSVFLVQQGRMPRHAPKRVKSTLLLDKLDDISDQWVNSDILIFNTGHWWVPSKLFDMGCYFQVGSTLKLGMSIPAAFRIALETWASWVEREINKNRTRIFFRTFEPSHWSDLTRRICNVTQYPTLETDGKDQSLFSDTILDVVKNVTFPINALHVTSMSAFRSDAHVGNWSDNSSIQDCSHWCLPGVPDMWNEIILAQLFSESEIPFQQIESLD >Vigun03g064400.2.v1.2 pep primary_assembly:ASM411807v1:3:5279762:5286479:-1 gene:Vigun03g064400.v1.2 transcript:Vigun03g064400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNRTISFNKTYSFNRRSLAIGSPRVSLNNTNNNRFGCVSLRFQVLIIVASVLSFFVAVGGYMYVLPSLSQAFSNVQVLSSERNNGSVRDCDVFDGSWVRVQDHPLYNATECPFVEGGFDCVGNGRSDRDYLGWRWKPRSCEIPRFDVRGVLEMLRSKRIVFVGDSMSRTQWESLVCMLMAGVEDKRGVYEVNRNQITKKIRFLGVRFSAFNFTVEFFRSVFLVQQGRMPRHAPKRVKSTLLLDKLDDISDQWVNSDILIFNTGHWWVPSKLFDMGCYFQVGSTLKLGMSIPAAFRIALETWASWVEREINKNRTRIFFRTFEPSHWSDLTRRICNVTQYPTLETDGKDQSLFSDTILDVVKNVTFPINALHVTSMSAFRSDAHVGNWSDNSSIQDCSHWCLPGVPDMWNEIILAQLFSESEIPFQQIESLGWMSQRENTFLIPSRASSFLLMVQIRETNTLSSRKPTSNSDASQEVWIGF >Vigun04g057300.8.v1.2 pep primary_assembly:ASM411807v1:4:5645191:5648168:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLEFNLNMKFG >Vigun04g057300.2.v1.2 pep primary_assembly:ASM411807v1:4:5645054:5648168:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTRVTVSFALDRVKEMILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLGSHKDYIEYYVCLNGHLLGVCTLLPLSDSEDVSENE >Vigun04g057300.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5645191:5648168:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLGSHKDYIEYYVCLNGHLLGVCTLLPLSDSEDVSENE >Vigun04g057300.4.v1.2 pep primary_assembly:ASM411807v1:4:5645178:5648168:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLGSHKDYIEYYVCLNGHLLGVCTLLPLSDSEDVSENELEFNLNMKFG >Vigun04g057300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5645152:5648168:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLGSHKDYIEYYVCLNGHLLGVCTLLPLSDSEDVSENE >Vigun04g057300.1.v1.2 pep primary_assembly:ASM411807v1:4:5645150:5648644:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLVLFLALFFHLLWFSSSYLLFISLHFWCFELSVLPCAFCGPNCPFSEVKEMILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLGSHKDYIEYYVCLNGHLLGVCTLLPLSDSEDVSENE >Vigun04g057300.7.v1.2 pep primary_assembly:ASM411807v1:4:5645179:5648202:1 gene:Vigun04g057300.v1.2 transcript:Vigun04g057300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSASCQCTKGHLSEDVIFLVFQHLNWNPNLIATLSCACKWFDDIAKRVLWKEFCRTRAPKMMLDLQSSGSHSADGNWRALGKLLIFCSGCTQGGLFDNVEVPGHFVNKTRFSKTLGKSFLMPQCLNDVLYVSEPCEHIDQGEAGDLGFFRGIFKSFASSNVKKMIVNRGAQLHPNEICPYCKAKLWSMLQANMIPQSAKCRLEFNLNMKFG >Vigun02g104600.1.v1.2 pep primary_assembly:ASM411807v1:2:25941145:25944308:1 gene:Vigun02g104600.v1.2 transcript:Vigun02g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFWSKYVHLLILFTLNSPWFIPKPVASASENETDHMALLEFRKSIFSDPHGIFLSWNTSTHFCNWHGITCNPMQQRVSELNLQGYKLKGFISPYIGNLSYMRNINLGDNNFYGKIPQEFGRLSQLHNLSVANNSLGGEIPTNLTGCTNLKLLHLGGNNFSDKIPIEIGSLRKLEQLFLLNNQFTGEIPSFMGNLSSLTLLSVGKNNLEGSIPQEICHLKNLTGIAFHVNKLTGTFPTCLYNMSSLTIVSATNNQLEGSLPTNMFHTLPNLHKLLISGNQITGSIPPSITNASFLSYLDADHNYLTGLVPSLSRLHGLQFLSLSENNLGDNSTDDLVFLTSLTNCSQMQVINIGFNNFGGHLPNSLGNLSMQVNRLYVGGNHISGEIPSSIGNLIGLVLLSMTDNLIDGIIPATFGKLQNMQKLRLGRNKLSGGIGAFIGNLSQLFHLDVGENMLEGKVPPSIGNCQRLQHLVLSRNNFTGTIPFELFTISSLTTSLDLSQNLLNGSIPVQVGNLKNLDSLDLSENNLSDHIPLSIGECITLEYLNLKGNSLQGMIPPSLASLKGLQSLDLSQNSLGGTIPNDLQNISVLQYFNVSLNKLVGEVPKGGVFQNASALVVTGNSNLCGGISELHLPPCPIHGKILAKLHPFMLVATTVSTITFLLIMSIILTIYRMRSKKPSLDSPAVYQMPKASYQSLHNATNGFSITNLIGCGSFSFVYKGILRSEEKVVAIKVLNLQKRGSQKSFIAECNALKHIKHRNVVPILTCCSGIDYKGEEFKALIFEYMKNGSLEQWLHPSELNAENSRTYTLNLDQRLNIMIDVASALYYLHYECEQLIIHCDLKPNNVLLDDDMIAHVSDFGIARVFSTINGTSWQQTSTIGIKGTIGYTPPEYGMGSAVSTAGDMYSFGILILEMLTGRRPTDEIFEDGQNLHTFVESSFPDNLLQVLDPSIVVNQEQEHKSQNLTPTVEKCVVSLFEIGLVCSMESPKERMNMVDVTRELSEIRKTFSCNVK >Vigun01g133600.1.v1.2 pep primary_assembly:ASM411807v1:1:31173257:31175620:-1 gene:Vigun01g133600.v1.2 transcript:Vigun01g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLISPSSDKRFWSTLRSRVDTLLDAREPRTSSHSPKNVERNNRLKEDSMLLMRGFDSVANTLSMLSNNLDNALQGARELANPPTLTDIFHSKNDKVENKEDSGEKQKEESKQGMKRKLDHVDYSEESAVESQKENGKKVVDRNIKKAKNLAVSMATKAASLARELKSIKSDLCFMQERCGLLEEENRRLRDGFAKGDRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLHQLVEYHQLASQDLSESDEDAAIQGTYLDFSSPPPTIPEEAGDEDEDGDDDDDDDDENGEPHTPRNDVHKFSASLDYGEE >Vigun01g133600.2.v1.2 pep primary_assembly:ASM411807v1:1:31173303:31175533:-1 gene:Vigun01g133600.v1.2 transcript:Vigun01g133600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLISPSSDKRFWSTLRSRVDTLLDAREPRTSSHSPKNVERNNRLKEDSMLLMRGFDSVANTLSMLSNNLDNALQGARELANPPTLTDIFHSKNDKVENKEDSGEKQKEESKQGMKRKLDHVDYSEESAVESQKENGKKVVDRNIKKAKNLAVSMATKAASLARELKSIKSDLCFMQERCGLLEEENRRLRDGFAKGDRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLHQLVEYHQLASQDLSESDEDAAIQGTYLDFSSPPPTIPEEAGDEDEDGDDDDDDDDENGEPHTPRNDVHKFSASLDYGEE >Vigun07g066700.1.v1.2 pep primary_assembly:ASM411807v1:7:7902289:7911140:1 gene:Vigun07g066700.v1.2 transcript:Vigun07g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPARRSNYSLLSQIPDDQFSGASAPSSSGDGKTGRAGKSDRVFDWDLVADHRAGQQGANRIGNLYSSIGLQRQSSGSSYGESSLSGGGDFYAPTLSTAAASDADAFGYLHDERNKFSEAPARIAGSFGKSWAQQTEESYQLQLALALRLSSDATCADDPNFLDPMPDDGALRLSSSADAVSHRFWVNGCLSYSDKIPDGFYLIHGMDSFVWTVCTDLHENGRIPSVDMLKSVNPCIVSSLEVVLVDQRSDPSLRDLQNRAHNISCSSITTSDVVDQLSKLVCNRMGGSASVGEDNLVSIWRDCSNDLKDCLGSVVIPIGSLSVGLCRHRAILFKVLADAIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGKPGHLSEPDSLLNGPSSISFSSPLRFPRLKPAEPTIDFRSLAKQYFSDCLSLELVFDNSSAEQFDGKYKDRNNPRPISTDSNRNSHLPLHPQDSHPSTREQFSETYVAGNAPQNIVDSTVGKYPPPVKHKRPVGIPTPNDDMIEGKRFVEGSQLIPSKPTRELTLDMEDLDIPWSDLVLREKIGSGSFGTVHRAEWNGSDVAVKILMEQDFHAERFQEFLREVTIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHRSGAKEVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWVNLNPAQVVAAVGFKGKRLEIPRDVNPQVAALIEACWANEPWKRPSFASIMDSLRPLLKPPTPQPGRPNMSLLS >Vigun03g144600.2.v1.2 pep primary_assembly:ASM411807v1:3:14668854:14671782:1 gene:Vigun03g144600.v1.2 transcript:Vigun03g144600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMGRRGVGNQQNPEQEKAQDDAKREAEERRQMMLSQILSAEARERLARIALVKPEKARGVEDVILRAAQMGQITEKVSEERLISLLEQINTQTARQTKVTIQRRRSVLEDDD >Vigun03g144600.1.v1.2 pep primary_assembly:ASM411807v1:3:14668661:14671782:1 gene:Vigun03g144600.v1.2 transcript:Vigun03g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMGRRGVGNQQNPEQEKAQDDAKREAEERRQMMLSQILSAEARERLARIALVKPEKARGVEDVILRAAQMGQITEKVSEERLISLLEQINTQTARQTKVTIQRRRSVLEDDD >Vigun03g335000.1.v1.2 pep primary_assembly:ASM411807v1:3:53319222:53323328:-1 gene:Vigun03g335000.v1.2 transcript:Vigun03g335000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLICKLWLGTCTHTHTLHLEIRKGSTLGDPHNGTNNDADIRTPPTCTSRGTCKRHLNTQIC >Vigun04g193900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41816681:41823797:1 gene:Vigun04g193900.v1.2 transcript:Vigun04g193900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNNHGEEKKKKKKNDGVEKKPRGRFVEEEEEGGGEMIRCSGKHCGSSCGGYVADCVAVCCCPCAVLHCCVLALVKGPFLVGRKCLRLGKKKKKKKNKKKGYEYDDDHEDDDDDDEEEKVKKKNVSGSDMDSVTVNAGFDAEKVWRELYQIGHLDFGRVSSSHDD >Vigun04g193900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41816681:41823803:1 gene:Vigun04g193900.v1.2 transcript:Vigun04g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNNHGEEKKKKKKNDGVEKKPRGRFVEEEEEGGGEMIRCSGKHCGSSCGGYVADCVAVCCCPCAVLHCCVLALVKGPFLVGRKCLRLGKKKKKKKNKKKGYEYDDDHEDDDDDDEEEKVKKKNVSGSDMDSVTVNAGFDAEKVWRELYQIGHLDFGRVSSSHDD >Vigun03g315900.2.v1.2 pep primary_assembly:ASM411807v1:3:51003739:51007876:1 gene:Vigun03g315900.v1.2 transcript:Vigun03g315900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVCGRGVCVSSLPRSSTLTKSSVPQSRVSYQHLTPFPSQSHLFSYHPPPYAKTLRARTTTKPAIFLPHLVASLQQVDQTYIMVKPDGVQRGLEHYKDLKQKSFFPKLIDYITSGPVVSMAWEGVGVVASARKLIGATDPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGELCDWIPVQAPWLRE >Vigun03g315900.1.v1.2 pep primary_assembly:ASM411807v1:3:51003739:51007876:1 gene:Vigun03g315900.v1.2 transcript:Vigun03g315900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVCGRGVCVSSLPRSSTLTKSSVPQSRVSYQHLTPFPSQSHLFSYHPPPYAKTLRARTTTKPAIFLPHLVASLQQVDQTYIMVKPDGVQRGLVGEIISRFEKKGFKLIGLKLFQCSKELAEEHYKDLKQKSFFPKLIDYITSGPVVSMAWEGVGVVASARKLIGATDPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGELCDWIPVQAPWLRE >Vigun08g031800.2.v1.2 pep primary_assembly:ASM411807v1:8:2891180:2897352:-1 gene:Vigun08g031800.v1.2 transcript:Vigun08g031800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSSEEDEFDEEPGEVIESAPPQKVGEERQLRGFKKKLLRHGHGWETPNFNDVVTVHRVGGTLVDGTALGSSTRDTDQPLTFTLGNGDVPGLDRGITSMKKGEVALFTLPADGEGDVWRDSDSVVQFEVELLSWMRVVYVCKDGGVIKKIVEKGSGNDRPSDLDEVLVKYQVALVDGTVVEETPEGGVEFYVKDGHLFPILPKVIMTMTRGEKAELIVQPQYAFGDTGRDSGSGLCPIPPNSVLHANIELVSFKPVINVTGDSKVLKKILKEGEGAFTADECAHVTVSFTAMLEDGTVFEKRRTGEEQPLEFITDEEQVIAGLDRAVATMKKGERAIISIHPEYAFGNVEVRRDLAIVPPGSNVVYDVEMMDFIKEKAPWELNSKEKIEVAGRKKEEGNVLFKGGNYLRAEKKYEQAVDFLSDDGSFGFGEQKQADALRVSCWLNGAACSLKLNDFSGAIKLCSQVLDVEFCNVKAFYRRAQAYIETGDFLLADLDIKKALVADPQNREVKIIQKKLKQLQADKDKKDAKLYGNMFAGKTKDSSMAIKLKVPIPAVWI >Vigun08g031800.1.v1.2 pep primary_assembly:ASM411807v1:8:2890775:2897352:-1 gene:Vigun08g031800.v1.2 transcript:Vigun08g031800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSSEEDEFDEEPGEVIESAPPQKVGEERQLRGFKKKLLRHGHGWETPNFNDVVTVHRVGGTLVDGTALGSSTRDTDQPLTFTLGNGDVPGLDRGITSMKKGEVALFTLPADGEGDVWRDSDSVVQFEVELLSWMRVVYVCKDGGVIKKIVEKGSGNDRPSDLDEVLVKYQVALVDGTVVEETPEGGVEFYVKDGHLFPILPKVIMTMTRGEKAELIVQPQYAFGDTGRDSGSGLCPIPPNSVLHANIELVSFKPVINVTGDSKVLKKILKEGEGAFTADECAHVTVSFTAMLEDGTVFEKRRTGEEQPLEFITDEEQVIAGLDRAVATMKKGERAIISIHPEYAFGNVEVRRDLAIVPPGSNVVYDVEMMDFIKEKAPWELNSKEKIEVAGRKKEEGNVLFKGGNYLRAEKKYEQAVDFLSDDGSFGFGEQKQADALRVSCWLNGAACSLKLNDFSGAIKLCSQVLDVEFCNVKAFYRRAQAYIETGDFLLADLDIKKALVADPQNREVKIIQKKLKQLQADKDKKDAKLYGNMFAGKTKDSSMAIKRPKVEKDDRENEDGARMEEDTVADSAVI >Vigun10g160800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37955185:37957522:1 gene:Vigun10g160800.v1.2 transcript:Vigun10g160800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEIQSHPSPASGSPVSSPRANGRITVTVAAPAPANSLALALPIQQPVKGNGGGGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSGREDYTKAPKTDIQCKNRIDTVKKKYKSEKAKIAAGATSKWPFFDRLDKLIGPSAKIPGAGGSSGAVGTSAAGNSILQSQKVPLGIPVGVRDVVNQYHRPQRPAQQQQPQPVPLKNQKIQFRRRGPPLESDSDERGASSPASSDSFPPESFERKRPRVMSSNAGKGSEGRRRAKGWGSAVRELTQAILKFGEAYEHAESSKLQQVVEMEKQRMKFAKDLELQRMQFFMKTQLEISQLKLGRKGANPSNNHHSTTTINNNNNNHNNNSDSE >Vigun10g160800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37955185:37957582:1 gene:Vigun10g160800.v1.2 transcript:Vigun10g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEIQSHPSPASGSPVSSPRANGRITVTVAAPAPANSLALALPIQQPVKGNGGGGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSGREDYTKAPKTDIQCKNRIDTVKKKYKSEKAKIAAGATSKWPFFDRLDKLIGPSAKIPGAGGSSGAVGTSAAGNSILQSQKVPLGIPVGVRDVVNQYHRPQRPAQQQQPQPVPLKNQKIQFRRRGPPLESDSDERGASSPASSDSFPPESFERKRPRVMSSNAGKGSEGRRRAKGWGSAVRELTQAILKFGEAYEHAESSKLQQVVEMEKQRMKFAKDLELQRMQFFMKTQLEISQLKLGRKGANPSNNHHSTTTINNNNNNHNNNSDSE >Vigun11g118900.2.v1.2 pep primary_assembly:ASM411807v1:11:32491682:32494055:1 gene:Vigun11g118900.v1.2 transcript:Vigun11g118900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEKQHPQPHVELPIDVSVTETESNCTKIQSLCSILTQFHAGYFRISLSLTSQAFLLKILIEPIQDAHALRRLFSSIPSSVHTLLWFLAFFVLGTLSFLYILKCVLHFDRVKDEFLNHVGVNYLFVPWICWLILLESSPFLSPRTLFFKILWWVFVVPMVMLDVKIYGQWFTKGKRFLSVGANPTSQLSVIGNLVGAQAAAQMGWKESALCMFSLGIVHYLVLFVTLYQRLAGNNSIPSMLRPVFFLFFAAPSMASLAWNSICGQFDTTCKMLFFLSFFLFLSLVGEYPSLSINKKVVQTLY >Vigun11g118900.1.v1.2 pep primary_assembly:ASM411807v1:11:32491682:32494055:1 gene:Vigun11g118900.v1.2 transcript:Vigun11g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEKQHPQPHVELPIDVSVTETESNCTKIQSLCSILTQFHAGYFRISLSLTSQAFLLKILIEPIQDAHALRRLFSSIPSSVHTLLWFLAFFVLGTLSFLYILKCVLHFDRVKDEFLNHVGVNYLFVPWICWLILLESSPFLSPRTLFFKILWWVFVVPMVMLDVKIYGQWFTKGKRFLSVGANPTSQLSVIGNLVGAQAAAQMGWKESALCMFSLGIVHYLVLFVTLYQRLAGNNSIPSMLRPVFFLFFAAPSMASLAWNSICGQFDTTCKMLFFLSFFLFLSLVSRPMLFKKSMKKFNVAWWAYSFPLTALALASVEYAHEVKGIMAHTIMFLLSAVSVFVSLLLLLITAIKISRHAFTSLQP >Vigun03g028200.1.v1.2 pep primary_assembly:ASM411807v1:3:2132236:2135197:1 gene:Vigun03g028200.v1.2 transcript:Vigun03g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSPPTDQLAVTTLDDEVPKAQFSDRVPIRSIISRPDGGSGLAGRKARVGGWVKTGRKADKDAFAFLEINDGSCAGNLQVIVEASLGELGQLVPTGTCVVVDGHLKLPPAGTKQKIELRADKVLHVGPVDPAKYPLPKMRLTLEFLRDFVHLRSRTNTISAVARIRNALAYATHTFFNKEGFLYVHTPIVTTSDCEGAGEMFQVTTLLSEAERLEKELLQNPPPTEADVEAARLVVKEKGDVVSQLKAAKATKPEIGAAVDQLKKAKESLAKVEERSKLKPGIPKKDDGKVDYGKDFFARQAFLTVSGQLQVESYVCALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFAELKDDMNCAEAYVKFLCQWLLDNCLEDMEFMADKFDKGCIDRLKLVASTPFIRVSYTEAVEILEDAVKNGKKFENEVKWGIDLASEHERFLTEVKFQKPVIVYNYPKEIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYDVIQSRIKEMGLPLEPYEWYLDLRRYGTVKHAGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >Vigun02g014166.1.v1.2 pep primary_assembly:ASM411807v1:2:5271540:5272925:-1 gene:Vigun02g014166.v1.2 transcript:Vigun02g014166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETHSNTHSVPSTDLAIMTEEYFENLCLRFMELLQNILIDLRDIQEFIIRKEQEKGEEDSVSVEQQPYLMENEYEAEEFATMEQQKEVEEPWKIKKQLQEHDLNFGLLIPLKMAKPHLLSVINANDDEIKEGIEVRIWDVNTRTGHTLLLTKPEKSYILTRNWIGDFVFRRNLGLGDLVGLCWDPFIKRFRFSVLSRC >Vigun07g010000.2.v1.2 pep primary_assembly:ASM411807v1:7:841689:846738:1 gene:Vigun07g010000.v1.2 transcript:Vigun07g010000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRDNRPSRSSLVDGFDSLEEGGLRASSSYSREINEQDNDKAMETLHDKVSFLKRLTGDIHEEVESHNQLLDRVGNKMDASRGMMMGTMDRFKKVFEKKSARKTCSYDPRIFYTWVSTCC >Vigun07g010000.1.v1.2 pep primary_assembly:ASM411807v1:7:841689:846738:1 gene:Vigun07g010000.v1.2 transcript:Vigun07g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRDNRPSRSSLVDGFDSLEEGGLRASSSYSREINEQDNDKAMETLHDKVSFLKRLTGDIHEEVESHNQLLDRVGNKMDASRGMMMGTMDRFKKVFEKKSARKTCSYVGYFTLAFIFIYYLIRILGYFTLG >Vigun04g141400.1.v1.2 pep primary_assembly:ASM411807v1:4:35034583:35036120:1 gene:Vigun04g141400.v1.2 transcript:Vigun04g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKPQFLILALLIFFFLSKLSFAKQSRINGLKMNVIDRCWRKNPEWRRHQYQLATCCVGYAGKMINNIGNDLIHYKVTDSSDDPINPKPNTLRYGTSILQGKVWITFQKDMQIELKKPLLISSFTTIDGRGVNVHIANNACLMIFKATNIIIHGIRVHHCKPQAPGSVMGPEGKVIPLGHVDGDAIRLVTASKIWIDHNTLYDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNFYQGWLQYAIGGSMEPSLKSEANLFVAPTIGNKEVTWRKSSDKSGGTWNFHSVRDIFENGASFNITKGGGVKKPNYTKEQNFKVLDAKFVRLLTRSSGVLQYNKNSIY >Vigun03g334200.1.v1.2 pep primary_assembly:ASM411807v1:3:53217067:53225731:1 gene:Vigun03g334200.v1.2 transcript:Vigun03g334200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSNISKSREKLVNKKGVIVTSKVPETGLSLEPTSDTIPNHDEVQEMENKEADNILPDNQEIDLVGSTNEEDALDPEKTRLEEAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVVTLCSMYGIVKFQALARGGRVRQSNVGSEIHEKCNPLDGKFVKPIAISTKIAKLSANAFILKLFTSSTTIMALRLQYVPGDPNSVLSWLERWSASQFWKPVPQPKKIQDSKAQRKHGSISVGDTQTSKSKRTNRKLPTSNFDSVPVLANPEFEKPKRNTRKIPSQTSDPVQENPQNELEKVKRNLRKVHSPVVENAVPSEVETEMPKEHLEKATVTSSLSVSEQEVVSFNEKVKKEAALTVSSVLDIETTPRLSASNEMLDTPTSNQVTVESKPLTEITTKDKNISDELKNEPIDLPELIYKDENSHLTNGDLSHKEDPIGSENQKPNRKGSNVAKQERADNGIQNSPTLPSYMAATESAKAKLRAQGSPRFGQDGSERNNQTRRHSLPSSTNSKISSHSPRTHRPVHSGGKGGNRSDRTVSSSSRDGNGKVIQAEWRR >Vigun05g056200.1.v1.2 pep primary_assembly:ASM411807v1:5:4806867:4808600:-1 gene:Vigun05g056200.v1.2 transcript:Vigun05g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRMERLVILPFSAGCISEASVAVGLPQPRRSKPDTNSSPPTIKGAKGVEDSETLSGENMKNSLRLLDVVPKPNLSNGFNRLFKGFKNFSQLFVEKDEYEEMEIDMEIGCPTDVQHVTHIGWDGIATSNNDPLIPPQLLSLSSQSLQHQKFQTSSSSPN >Vigun08g096850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23311476:23312836:-1 gene:Vigun08g096850.v1.2 transcript:Vigun08g096850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRESCVFSARPAATTTLLRACNSREQPTHHTQRHHLCTSTRRNIHHCSTTHGLQQPPRLTVVHLFPSRHHRRDVVINNSPVVARTSNHHCHTKTTRALQHHCDVAATRRSSRASSSPHIPLPEPPFSNQPRRHSICRPRSLPRRRRHTSQQE >Vigun11g012100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1459528:1461808:1 gene:Vigun11g012100.v1.2 transcript:Vigun11g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISEVVKPLIAPVCMAIFTFFTRNDVDAAILEELKTQVLCLNSFLGDAEEKQVSNPGVKIWVDELREAVYDADDVMDQLAAKHLIGSTIVLSKVTDYLNCIIEPFDEGMKSKLNRINYRLKSLAHNRSLLGLKEGGGTCLINEAEVYGRDGDRDHVVDTLLSEPTCLPNVIAVVGMAGMGKTTLSQMVYHHSAVKSNFNVRSWVYISDGSNVFEVTKKIYESLTYCNCEIRDLNTLQMKLQLVLHNKKFLLVLDDYWTGGFLDWDLLKRPLESGKHGSCIIVTTRNRSVALTIHAAETYMLPRLEDEACRKLFTHHAFRSKDPEKTPALKDIGEEIVKKCKGHPLSVKALASLLTFNAEVKDWNGVLQSKVLNIPANQSNILHSLMLSYRYLPGHMKQCFAYCSLFPKGHKESKRNCIHLWMAEGLLPESKNEETTEEVGEKYFNEFSSRSILQVENSLFYMYDLMNDLAQYVGGQFFHKMEPNDHQSQNKVRHLSYLLEEFEGVEKFVSIFQLPHLRTFLPFAN >Vigun03g233400.1.v1.2 pep primary_assembly:ASM411807v1:3:38853466:38856509:1 gene:Vigun03g233400.v1.2 transcript:Vigun03g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMIKDIDGRRETLKLVVRIVDLWYVESWDSKRSMELVLMDQKGDLIGAMIKKEDMGVWEDKLKEGETYIMHKFKLLKNRAQYRVCEHPFKLLFIGATSIRPQPIASIPRKLWKFKSIKDIIDGKYCADLLM >Vigun11g059000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12737328:12739146:-1 gene:Vigun11g059000.v1.2 transcript:Vigun11g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLDTTNTTPGHQQPLPEASPATPSPFTPLSREIVLWPTKNQLPHDLRQLKSSSATSFTTGAVTVDAESQPFSPSLSRDRRSRLESLGCVCSSLSNQELPYPWPLHRVTAVEDITSDILFLGASSSRTLECSAFAFSSHIVSNDLCATPKVATGSASSV >Vigun01g230400.1.v1.2 pep primary_assembly:ASM411807v1:1:40261335:40264182:-1 gene:Vigun01g230400.v1.2 transcript:Vigun01g230400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTASSFSLLNPNANANANTNADHILPLINPSSAIRCNSHAKSFVPCATKGSNNRPLTGVVFEPFEEVKKELDLVPTLPEASLARQKYTNECEAVVNEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSEEEREHAEKLMEYQNKRGGKVKLQSIVMPLSEFDHEEKGDALYAMELALSLEKLTNEKLLNLHSVASKNNDVQLTDFIESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLHEEGVTA >Vigun01g223600.3.v1.2 pep primary_assembly:ASM411807v1:1:39704005:39706963:1 gene:Vigun01g223600.v1.2 transcript:Vigun01g223600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNRFFTVALVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKLVPLQTIRSRVQFFKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIICVAATGARALKSVLQGILLSSEGEKLNSMNLLLYMAPTAVVFLLPATLIMEENVVGITLALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >Vigun01g223600.4.v1.2 pep primary_assembly:ASM411807v1:1:39699450:39706963:1 gene:Vigun01g223600.v1.2 transcript:Vigun01g223600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASLFVSSNSGGERSRSVAVKVSEGGAISLPQPWPPQKGSEDQIEGINRMKGSNRFFTVALVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKLVPLQTIRSRVQFFKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIICVAATGARALKSVLQGILLSSEGEKLNSMNLLLYMAPTAVVFLLPATLIMEENVVGITLALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >Vigun01g223600.2.v1.2 pep primary_assembly:ASM411807v1:1:39699450:39706963:1 gene:Vigun01g223600.v1.2 transcript:Vigun01g223600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASLFVSSNSGGERSRSVAVKVSEGGAISLPQPWPPQKGSEDQIEGINRMKGSNRFFTVALVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKLVPLQTIRSRVQFFKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIICVAATGARALKSVLQGILLSSEGEKLNSMNLLLYMAPTAVVFLLPATLIMEENVVGITLALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >Vigun01g223600.1.v1.2 pep primary_assembly:ASM411807v1:1:39699450:39706963:1 gene:Vigun01g223600.v1.2 transcript:Vigun01g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIALIAPPTFIAITTGRGKHELSYARKKVNLASVVPSRKMEKASLFVSSNSGGERSRSVAVKVSEGGAISLPQPWPPQKGSEDQIEGINRMKGSNRFFTVALVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKLVPLQTIRSRVQFFKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIICVAATGARALKSVLQGILLSSEGEKLNSMNLLLYMAPTAVVFLLPATLIMEENVVGITLALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >Vigun05g143400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19116947:19117915:1 gene:Vigun05g143400.v1.2 transcript:Vigun05g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTLFSLFLLCVFTSYLPSANADLVDTDGNPLRNGGKYFIRPVIITGNGGGVQFAATGNETCPLSVIQLPSPFSNGLPVQISSPIKFLFIKENFNLDIGFTFVPPCAPTPSKWTVVRGEGDRHPVKLNGYDNTVAGWFKIKSVPVEIGGYNLLFCPENGYCGYVGVDIDSSRNRVLVVAQDENAAMWIQFQRVYGSSETAITQATA >Vigun09g272400.1.v1.2 pep primary_assembly:ASM411807v1:9:43394374:43398898:1 gene:Vigun09g272400.v1.2 transcript:Vigun09g272400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGDQSDAPPPPDQQTMAAQNKPISNIVIIVAMQTEALPIVNRFQLTEEPNSPFPQGVPWVRYRGTYKDLNISLIWPGKDPVLGVDSVGTISSALVTYAAVQALQPDLIINAGTAGGFKAKGASIGDVFIVSDCAFHDRRIPIPVFDLYGVGLRKAFEAANLVKELNLKVAKLSTGDSLDMSQQDESSIIANDATVKDMEGAAIAYVADLLKVPAIFVKAVTDIIDGDKPTAEEFLQNLAAVTAKLDLAVEQVINFINGKCISEL >Vigun09g171100.1.v1.2 pep primary_assembly:ASM411807v1:9:34076343:34083865:-1 gene:Vigun09g171100.v1.2 transcript:Vigun09g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFLGLQDFLERMRQPSAAEFVKAIKSFIVSFSNNAPDPERDSALVQAFLAKMEADFRAHPLWAGCTEEELESAGEGLEKYVMTKLFARVFASVPDDVKLDDQLSEKMALIQQFVRPENLDIEPPFQNESSWLLAQKELQKINMYKAPRDKLVCILNCCRVISNLLLNASLASKENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRFRHQSRLVGEAAYYFTNMLSAESFISNIDAKAISMDEAEFESNMEFARAMLSGISVDTQDPGSPYPNNGQHPVAEPTRHKNKALNDNKDPAVRTPSSVTKSEGKKVAFADELLITKVPSLSDLENKGANMILKEDKLNEVFGEFPYLFASVGDLTVSDVEDLLNNYKQLVFKYVSLSKGLGVSPTPQPPAAQNNSEDRAETTIDSSDGGPLDENNKSEESIDKTEETLDKVSPIEEQKVESDLPQDEPAAPDGDAPLSNQK >Vigun06g077333.1.v1.2 pep primary_assembly:ASM411807v1:6:20821859:20823395:1 gene:Vigun06g077333.v1.2 transcript:Vigun06g077333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSASLSNFHPCWLSVLNPIKDYVAFEKPFFVYWEKEIGLQCCTFIDPEGNAFEIQLNEPLLAERKFPADFPLEQGSIIFDDAFSHFWGPIFDSSNPDMYQKVEMVDPVGKNYEVFIEGSYTGRIFARHGLEKMVTNYDLREDHVMRLNFIGDRKFLFRIFSLTGNEVIYSLDLIKDEPTINEEDGLERDFYYKTTKNLTDYDVNSSSLYLDSEFASKALVKNRKNHELRNRNGGSWSCTVRWAKKRTHECFLSCGWKKFCAANGFQAGDVITFGVDINRSNIINVRKD >VigunL007400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:28278:31392:1 gene:VigunL007400.v1.2 transcript:VigunL007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLQIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGRGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun10g077800.1.v1.2 pep primary_assembly:ASM411807v1:10:21182680:21183946:1 gene:Vigun10g077800.v1.2 transcript:Vigun10g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYGEVELFGLRGSPYAVRVQIALELKGVKYTYVEEDLRNKSDLLLKYNPIHKKVPVLVHNGRPLVESLVILEYIDEIWRNHPILPQHPYDRALARFWSRFIDDKCLPAISKAAFTAKEEEREKGTEESLHALQFLEDELKHKFFGGESIGLVDIAAGFIAFWLPAIEEAVGLKLLSSNKFPKLSKWSEEYTNHPVVKENLPQRERVVGFFKARYASIIASS >Vigun06g048100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17244886:17245995:-1 gene:Vigun06g048100.v1.2 transcript:Vigun06g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTAEILKQNFLEFFKDIELFNLSPPITSLLTEINKEFVENTGFWSPPPVESLCHFYQLDHAIGECRINKKTFSIFSRFDPVERTNDVLKDIKKNLQVVRESKNNINEYSTSPLFSSCRYPTRNDAPDLSVFDGEIEKIVKRLESNDGFKAIGVHGMCGTGKTTLAKMVLSDPRVREKYKKPIWVCLYDMQSEEEMDIRIVKEMLALLDDDPDLLAEEPEDKWLLKNLHDKLLHQEKYLIVLDSVWHCNDWFNDLFCVDQNDGDASKELFSQALPKDTGGAVIVTSRQKAVTTTLVHEENLIHVKPWDDEKLKKLVKQCLKRQEKSYVEITEENINCVAYHCHGIPFVAATLSGWIAEQIIKKSDSN >Vigun03g059500.1.v1.2 pep primary_assembly:ASM411807v1:3:4860857:4870957:-1 gene:Vigun03g059500.v1.2 transcript:Vigun03g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHITRRNGGPHKRAPSLSKKIENGNLSEPPSKPSSPPPQEFDGGERTVRKLRLSKALTISEGTTVFEACRRMAARRVDAVLLTDSNALLSGIMTDKDIATRVIAEGLRPEQTMVSKVMTRSPIFVTSDSLALEALQKMVQGKFRHLPVVENGEVIAILDITRCLYDAIVRMEKAAEQSSVIAAAVEGVERHRGSIAYASALVETLRERMFKPSLSTLMNENTKVAIVSPADPVYVAAKKMQELRVNSAVIVSLSGTKIQGILTSKDILMRVVAQNISPQLTLVEKVMTPNPDCASVDTTILDALHMMHDGKFLHLPVIDKDGHVVACMDVLQITHAAISMVESSSGAVNDMANTIMQKFWDSALNLEPPEDSDTHSDISGVDTAKSGTQSVGYVNSFTFKLEDLRGRVHRFNCGTERLDELVSTVMQRVDVNDGERPTILYEDDEGDKIVLATDTDLGTAVSCARSAGAKALKLHLDFGSLTKARSPNPCTATIQKNSVISVSSVTFASALAIASVGMLVYLKHSKH >Vigun04g050300.2.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424079:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKVVTAAIHLPKLCSFDAVPSKYLICTGGDGRAMLWDIRRNQQSLKPEPIAELDGHCGPVTSLYMDSYKIVTGGPKNADVNGLQQCYQSCCKTRKRTDIKILGLYI >Vigun04g050300.5.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424079:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKVVTAAIHLPKLCSFDAVPSKYLICTGGDGRYDQLLFREKKLCCCCQSSKLVNHSCLFSCFYSLKIMVKHQVMF >Vigun04g050300.6.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424015:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKVVTAAIHLPKLCSFDAVPSKYLICTGGDGRYDQLLFREKKLCCCCQSSKLVNHSCLFSCFYSLKIMVKHQVMF >Vigun04g050300.4.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424099:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKGNALGYQEKSTIIETRAYS >Vigun04g050300.8.v1.2 pep primary_assembly:ASM411807v1:4:4416371:4424099:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKFFFLS >Vigun04g050300.7.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424079:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKGNALGYQEKSTIIETRAYS >Vigun04g050300.1.v1.2 pep primary_assembly:ASM411807v1:4:4414912:4424079:-1 gene:Vigun04g050300.v1.2 transcript:Vigun04g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRSTVTPPETTISDLDEDSMVHCATYLSLRDVCNLAMASSALKRLAYSDSIWQRFFSEQWHQQFPSHSSGNGAREVYLARYAALRQFKFLDPFLLEFREQAKPCNHLLLRKNSLFFSQGSLVKMMDLDGYLKGTTDFFHTLSDHKARITCMRLFPLNEVTSLFRGKTLEEQSVLVTSSCDHSIRLWWKGSSLRCFRGHNGPVLSLSNELLGEDSSKVLASGGEDGTVRLWSLSSSGGRGQRALKATLYGHEKPVNILSIAGHKTSLLVTISRDSKVRVWDTSTATSSAVRASCCVGMTSVPGTPVNMKCHESLLYVAAGSSVTAVDLRTMQKVVTAAIHLPKLCSFDAVPSKYLICTGGDGRAMLWDIRRNQQSLKPEPIAELDGHCGPVTSLYMDSYKIVTGGPKNADVNVWDVDTGVQTNSLLFSSSDGAVSGCDAMAVDGCRITTASSSEDCAVVCFRDFNNATNPVAKQENELTSKFWDSISDDD >Vigun11g209200.1.v1.2 pep primary_assembly:ASM411807v1:11:40502096:40503298:-1 gene:Vigun11g209200.v1.2 transcript:Vigun11g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIAKRFFSSSLLSKSLLRPAASASRSFNTNAMRNYDNQNDDVERHSERYYPRTARRDDIFSGNVLDPFFPTRSLSQVLNMMDQFIDNPFLSSPRGIGAGAGARRGWDARETEDALLLRVDMPGLGKEDVKISVEQNTLTIKGEGAKEGDEEESARRYTSRIDLPDKIYKVDQIKAEMKNGVLKVVLPKMKEEEKRDVINVNVE >Vigun11g209200.2.v1.2 pep primary_assembly:ASM411807v1:11:40502025:40503298:-1 gene:Vigun11g209200.v1.2 transcript:Vigun11g209200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIAKRFFSSSLLSKSLLRPAASASRSFNTNAMRNYDNQNDDVERHSERYYPRTARRDDIFSDVLDPFFPTRSLSQVLNMMDQFIDNPFLSSPRGIGAGAGARRGWDARETEDALLLRVDMPGLGKEDVKISVEQNTLTIKGEGAKEGDEEESARRYTSRIDLPDKIYKVDQIKAEMKNGVLKVVLPKMKEEEKRDVINVNVE >Vigun08g097100.1.v1.2 pep primary_assembly:ASM411807v1:8:23367519:23375345:1 gene:Vigun08g097100.v1.2 transcript:Vigun08g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNQFKPTGEPLAPQLTQTEVSSMLAAPTSAHNQVALVPRGATSQIHGQSFSVGYDLFSQVLQKENSLMNPFGLSNEYTASSGQTMRTTERAALPPPLQNDATLNSQRAWTPTWIYQNQFSAADANNPQYYSNYVQYAPVYNPNNQSQVAVHNAYGDQIIHSGQFSRLDQSIALQMRQFANGSTIKPTIPEFPPRRSLFPNPYAKNEKGQGQSADHHKAFYWKNEALMVGRGRVERPWDASKLESGEGSSKRHKQQTNSAAPKQGPNAGPALQGPNSAFENPRVVDLRINSGNNREFVSNSLYDPAYETLGLPIDPHLRLFQALAARGEKGYRMYD >Vigun08g097100.3.v1.2 pep primary_assembly:ASM411807v1:8:23367519:23375349:1 gene:Vigun08g097100.v1.2 transcript:Vigun08g097100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNQFKPTGEPLAPQLTQTEVALVPRGATSQIHGQSFSVGYDLFSQVLQKENSLMNPFGLSNEYTASSGQTMRTTERAALPPPLQNDATLNSQRAWTPTWIYQNQFSAADANNPQYYSNYVQYAPVYNPNNQSQVAVHNAYGDQIIHSGQFSRLDQSIALQMRQFANGSTIKPTIPEFPPRRSLFPNPYAKNEKGQGQSADHHKAFYWKNEALMVGRGRVERPWDASKLESGEGSSKRHKQQTNSAAPKQGPNAGPALQGPNSAFENPRVVDLRINSGNNREFVSNSLYDPAYETLGLPIDPHLRLFQALAARGEKGYRMYD >Vigun08g097100.2.v1.2 pep primary_assembly:ASM411807v1:8:23367519:23375345:1 gene:Vigun08g097100.v1.2 transcript:Vigun08g097100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNQFKPTGEPLAPQLTQTEVSSMLAAPTSAHNQVALVPRGATSQIHGQSFSVGYDLFSQVLQKENSLMNPFGLSNEYTASSGQTMRTTERAALPPPLQNDATLNSQRAWTPTWIYQNQFSAADANNPQYYSNYVQYAPVYNPNNQSQVAVHNAYGDQIIHSGQFSRLDQSIALQMRQFANGSTIKPTIPEFPPRRSLFPNPYAKNEKGQGQSADHHKAFYWKNEALMVGRGRVERPWDASKLESGEGSSKRHKQTNSAAPKQGPNAGPALQGPNSAFENPRVVDLRINSGNNREFVSNSLYDPAYETLGLPIDPHLRLFQALAARGEKGYRMYD >Vigun08g097100.4.v1.2 pep primary_assembly:ASM411807v1:8:23367519:23375345:1 gene:Vigun08g097100.v1.2 transcript:Vigun08g097100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNQFKPTGEPLAPQLTQTEVALVPRGATSQIHGQSFSVGYDLFSQVLQKENSLMNPFGLSNEYTASSGQTMRTTERAALPPPLQNDATLNSQRAWTPTWIYQNQFSAADANNPQYYSNYVQYAPVYNPNNQSQVAVHNAYGDQIIHSGQFSRLDQSIALQMRQFANGSTIKPTIPEFPPRRSLFPNPYAKNEKGQGQSADHHKAFYWKNEALMVGRGRVERPWDASKLESGEGSSKRHKQTNSAAPKQGPNAGPALQGPNSAFENPRVVDLRINSGNNREFVSNSLYDPAYETLGLPIDPHLRLFQALAARGEKGYRMYD >Vigun06g152300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27654337:27654871:1 gene:Vigun06g152300.v1.2 transcript:Vigun06g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEKLKPYRYQITITIVVLLSFSLLFYAAPHFLTILAYFWPLFASTTFFLVAIIAFGGISKLSTEDHGEKVGEGILDYVAGRTEHIHEAQTLLSE >Vigun06g214900.1.v1.2 pep primary_assembly:ASM411807v1:6:32609560:32612349:-1 gene:Vigun06g214900.v1.2 transcript:Vigun06g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTILRTSSTPICSKPIFSNFLLSSPPTTSFSYPNPIPSPTLSIFASPSLQPKRRFRGGIVAMAAPGSLQKSEEEWQAILSPDQFRILRQKGTEFPGTGEYDKFYGEGVYNCAGCGTPLYKSITKFNSGCGWPAFYEGLPGAINRNADPDGMRTEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFVPASSR >Vigun05g125400.1.v1.2 pep primary_assembly:ASM411807v1:5:14043722:14046859:1 gene:Vigun05g125400.v1.2 transcript:Vigun05g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKSFSLYISMSLVFFLFFCFCKASTTATKSLSPSPSTSSDVELLLGKIKASLQGNSDNLVLSSWNSSIPLCQWRGLKWVFSSGTPLSCTDLSSPQSTNLTLHKDPSLHLLSLQLPSANLSGSLPRELGGFPMLQSLYLNINSLQGTIPLELGYSSSLSDIDLSDNMLSGVLPPSIWNLCDRLVSLRLHGNSLSGSVSEPALPNSSCKNLQLLDLGGNNFSGSFPEFMTKFGGLKQLDLGNNLFMGTIPQSLTGLRLEKLNLSHNNFSGVLPFFGESKFGVDAFEGNSPGLCGPPLASCARTSTLSSGAVAGIVISLMTGAVVLASLLIGYMQNKKRKGSGESEDELNEEEEDEENGGNAICGAGEGKLMLFPGGENLTLDDVLNATGQVLEKTCYGTAYKAKLADGGTIALRLLREGSCKDRASCLSVIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLPLRTLHDLLHEAKAGKPVLNWARRHKIALGIARGLAYLHTGLEVPITHANVKSKNVLVDDFFAARLTDFGLDKLMIPSIADEMVAQAKTDGYKAPELQRMKKCSSRTDVYAFGILLLEILIGKKPGKNGRSGEYVDLPSMVKVAVLEETTMEVFDVELLKGIRSPMEDGLVQALKLAMGCCAPVASVRPSMEEVVRQLEENRPRNRSALYSPTETRSGSVTPF >Vigun03g280500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45880436:45882223:1 gene:Vigun03g280500.v1.2 transcript:Vigun03g280500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNTNTKFILLHPYIQKQGSSNRLWLLAFISILTLAFLVTLIYTRESTFITTATSSVIASSNAPVSALGTAPLPATVINTLLHYASKSNDTNRMPHSDLKPISDVLRKCSSPCNLLIFGLTSETLLWKALNHYGRTVFIDENRYYAAYYEELHPEIDAYDVQYTTKRSEMKELIASAKERVANECKPVQNLLFSDCKLGLNDLPNHVYEVDWDIILVDGPRGDWPDAPGRMSAIFTAGVLARSKKGGNPKTHVFVHDFSGEVEKVCGSEFLCSENLLEASENLGHYVVERMDESSVQYCKSHSSSGSGNASST >Vigun06g022200.1.v1.2 pep primary_assembly:ASM411807v1:6:10472463:10473117:1 gene:Vigun06g022200.v1.2 transcript:Vigun06g022200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQHYYFNVVRLNNQHTTVAPDDTFNFDIKVLYHTVHRIPHGLRNQFSPLSISCLEFFEEGQNFLWIVSTVQELFEDRSIASSIPSESQHRIIPLCVKIIIVDPAGIEEVLEESRPFLRTNQSDETILKTFLKKRTVTDGTEECCICLEELNMNSESCTMPCQHAFHEQCILKWLKTNRVCPLCRIPLKITTTVTII >Vigun04g054100.1.v1.2 pep primary_assembly:ASM411807v1:4:5106231:5114704:1 gene:Vigun04g054100.v1.2 transcript:Vigun04g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSEEECQIMIQKSFRTPMVRFLRERLEKAGCIVEDNFFKAITCNQEVAGSYVRGEGIKVCSNYVRIQDDVNQVVIRELIHAFDDCRGANLDWSDCAHHACTEIRTNHLSGDCHYKRELLRGFLKLRGHEQECVRRKVLKSLSANPNCSGFAAEDSLEAVWDVCYNDTQPFDRAP >Vigun05g121100.1.v1.2 pep primary_assembly:ASM411807v1:5:13307821:13310632:1 gene:Vigun05g121100.v1.2 transcript:Vigun05g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLVQIPVFLLWFVIFCMFEVSQGGSTRHYHFEIRHQNVTRLCHTKSMVTVNGQFPGPRIVAREGDRLLIKVTNHVPDNITIHWHGIRQLRSGWADGPAYVTQCPIQSGQSYVYNYTISGQRGTLFWHAHISWLRASLYGPLIILPKLNSQYPFPKPHKEVPILFGEWWNSDPEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSHKDTFKLKVKPGKTYLLRFINAALNDELFFSIANHTVTVVEADAVYVKPFTTNTLLIAPGQTTNVLLKTKSHYPNATFLMTARPYATGLGTFDNSTVAAILEYKTPPNTHHSSASLKNLPLLKPLLPALNDTSFATKFSNKLRSLASPQFPANVPQKVDKQFFFTVGLGTTPCQKNQTCQGPTNATKFSASVNNVSYIQPTTALLQAHFFGQSNGVYTPDFPTKPLLPFNYTGTPPNNTMVSNGTKVLVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPNKDPKSFNLVDPVERNTVGVPSGGWVAIRFLADNPGAWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >Vigun03g372800.1.v1.2 pep primary_assembly:ASM411807v1:3:57532096:57534073:1 gene:Vigun03g372800.v1.2 transcript:Vigun03g372800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAETEPKESESDWGELTRELLINILSRLSVEDRWRGTMMVCKSWFNAFKEPSLHSVFDLDPQFDSPLESTRWWTPQFEAKIDSMLQSVVKWAQSSLTQIRIRHCSDRSLALVAQRCPNIEVLSIRSCPRVTDDSMSKIALSCKKLRELDISYCYEISHESLVLIGRNCPNLKVLKRNFMNWLDPSQHQGIVPNEYLNACPQDGDDEAVAIANSMPDLKHLEIRFSKLSAKGLNSISQGCPNLEFLDLSGCANLTSRDIANSSSSLVQLKEIKKPNFYIPRSVFHTERYGHWSLYDERFQTDIFRI >Vigun01g170300.1.v1.2 pep primary_assembly:ASM411807v1:1:35223511:35226588:1 gene:Vigun01g170300.v1.2 transcript:Vigun01g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKTKYQDELIANAAYIGTPGKGILAADESTGTIGKRLASISVENVETNRRALRELLFTTPGAFDCLSGVILFEETLYQKTASGKPFVELLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGQRCQKYYEAGARFAKWRAVLKIGPNEPSELAIHENAYGLARYALICQENGLVPIVEPEILVDGPHDINRCAAVTERVLAACYKALNDHHVVLEGTLLKPNMVTPGSESPKVAPEVIAEYTVAALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKFQGKKPWSLSFSFGRALQQSTLKAWGGKDENIKKAQDAFIARCKANSEATLGTYKGDATLSQAASESLHVKDYKY >Vigun04g040500.1.v1.2 pep primary_assembly:ASM411807v1:4:3474592:3479426:1 gene:Vigun04g040500.v1.2 transcript:Vigun04g040500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSQAKLHNISNAIKMGLKKNDNHHHCSAAVLYHYPCPDGAFAALAAHLYFKATSSFSPLFFPNTVYNPIRAEDLPLDEIDDLYLLDFVGPDGFIEEISTKVPRVIVLDHHKTALERLGKEDSLGENVVKVLDMERSGATIAFDYFKDKLLSPDIAAKHSPVLDEFERARQLFLYVEDVDLWRWRLQNSKAFSSGLKDLNIEFDARKNPSLFDQLLSLDLNAIISRGMQSLSLKQKLIDDCLSKSYEIALGNGAFGHCLAVNADSTLSLLRSELGHQLATKSKEMKLRGIGAVVYKVPELENDQLLKISLRSEEKEDTTPITQEFGGGGHRNAGSFMLTADKFEQWKLCIKNHNLKISIRGVENEVTTPISQEFGGGRH >Vigun04g040500.2.v1.2 pep primary_assembly:ASM411807v1:4:3474552:3479426:1 gene:Vigun04g040500.v1.2 transcript:Vigun04g040500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSQAKLHNISNAIKMGLKKNDNHHHCSAAVLYHYPCPDGAFAALAAHLYFKATSSFSPLFFPNTVYNPIRAEDLPLDEIDDLYLLDFVGPDGFIEEISTKVPRVIVLDHHKTALERLGKEDSLGENVVKVLDMERSGATIAFDYFKDKLLSPDIAAKHSPVLDEFERARQLFLYVEDVDLWRWRLQNSKAFSSGLKDLNIEFDARKNPSLFDQLLSLDLNAIISRGMQSLSLKQKLIDDCLSKSYEIALGNGAFGHCLAVNADSTLSLLRSELGHQLATKSKEMKLRGIGAVVYKVPELENDQLLKISLRSEEKEDTTPITQEFGGGGHRNAGSFMLTADKFEQWKV >Vigun04g040500.3.v1.2 pep primary_assembly:ASM411807v1:4:3474592:3479426:1 gene:Vigun04g040500.v1.2 transcript:Vigun04g040500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSQAKLHNISNAIKMGLKKNDNHHHCSAAVLYHYPCPDGAFAALAAHLYFKATSSFSPLFFPNTVYNPIRAEDLPLDEIDDLYLLDFVGPDGFIEEISTKVPRVIVLDHHKTALERLGKEDSLGENVVKVLDMERSGATIAFDYFKDKLLSPDIAAKHSPVLDEFERARQLFLYVEDVDLWRWRLQNSKAFSSGLKDLNIEFDARKNPSLFDQLLSLDLNAIISRGMQSLSLKQKLIDDCLSKSYEIALGNGAFGHCLAVNADSTLSLLRSELGHQLATKSKEMKLRGIGAVVYKVPELENDQLLKISLRSEEKEDTTPITQEFGGGRH >Vigun10g093500.1.v1.2 pep primary_assembly:ASM411807v1:10:27034673:27036279:1 gene:Vigun10g093500.v1.2 transcript:Vigun10g093500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKVIPENPLQAVPILSRESSFSSSPRRLEGKVAIVTGGARGIGEATVRVFVKNGARVVIADVEDALGTMLAETLAPSATYVHCDVSVEEEVENLVRSTVCRYGQVDIMFNNAGVLGNQSKNKSILNFDPKEFDSVMQVNVKGMALGIKHAAKAMIPKGVGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATNMLVNAWRSGGCDEEEGDDDEGMNFGLPFQEEVEKMEGFVRGLANLKGPTLRPKDIAEAALYLASDESKYVSGHNLVVDGGVTSSRNCIGL >Vigun03g221800.1.v1.2 pep primary_assembly:ASM411807v1:3:36838193:36847332:1 gene:Vigun03g221800.v1.2 transcript:Vigun03g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIFPSPNRSLDSAVSTSSSAFIHPKEDQNDPSVSLLDHSAAPSYRDMSLKPPLPRASSFSSSRTKPSRPRHSFNQKRRRRAASQDSFPNITDQTNTSTRSSFGRDVAAETFLLTRLGFKMLGYLGVGYKWITRFLALSCYALLLFPGFIQVGYYYFFSSQIRRSIVYGEKPRNRLDLYLPKNSNGPKPVVAFITGGAWIIGYKAWGTLLGQQLSERDIIVACIDYRNFPQGTISDMIADSSQGIAFVCNNIAEYGGDPNRIYLMGQSAGAHIAACVLVEQAIKEAGEGDSTTWSLSQIKTYFGLSGGYNLFNLVDHFHSRGLYRSIFLSIMEGEESLKRFSPEVMVQDPNIGNANSLLPSVVLFHGTGDYSIPSDASTSFAETLKKVGVTAEAIMYEGKTHTDVFLQDPMRGGKDDMFEDLVGYIHAGDAEARAKDAEAPPRRRLVPECMLKLAHTVSPF >Vigun03g361500.1.v1.2 pep primary_assembly:ASM411807v1:3:56490124:56496144:-1 gene:Vigun03g361500.v1.2 transcript:Vigun03g361500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMLLKFVLASFVLLSCIRDGSAGITSSFIRKKWPSEDMPVDHEVFAIPKGYNAPQQVHLTQGDYDGKAVIISWITPDEPGPSHVRYGTSKSRLRTSKEGTVANYTFYNYKSGYIHHCLVEGLKYNTKYYYRIGTGDSARDFSFETPPAVGPDTPYKFGILGDLGQTFNSLSTLEHYLESGGQAVLYVGDLSYSDEHDFEDVGLRWDTWGRFAERSAAYQPWFWNVGNHEVEFMPEVGEVVPFRNYLNRYTTPYLASKSTSPLWYSARRASAHIIVLSSYSPFVKYTPQYIWLQEELARVDRKKTPWLFVLVHKPVYSSNVAHYMEGEAMRAVFESWFVQYKVDAVFSGHVHAYERSHRYSNIDYNITGGRRYPVPDPSAPFYVTIGDGGNLEGLASSYLDPQPEYSAFREASYGHATLEIKNRTHAIYHWYRNDDGNRVAADSFVLHNQYWGNNNGKQRASY >Vigun03g361500.5.v1.2 pep primary_assembly:ASM411807v1:3:56490124:56495854:-1 gene:Vigun03g361500.v1.2 transcript:Vigun03g361500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMLLKFVLASFVLLSCIRDGSAGITSSFIRKKWPSEDMPVDHEVFAIPKGYNAPQQVHLTQGDYDGKAVIISWITPDEPGPSHVRYGTSKSRLRTSKEGTVANYTFYNYKSGYIHHCLVEGLKYNTKYYYRIGTGDSARDFSFETPPAVGPDTPYKFGILGDLGQTFNSLSTLEHYLESGGQAVLYVGDLSYSDEHDFEDVGLRWDTWGRFAERSAAYQPWFWNVGNHEVEFMPEVGEVVPFRNYLNRYTTPYLASKSTSPLWYSARRASAHIIVLSSYSPFVKYTPQYIWLQEELARVDRKKTPWLFVLVHKPVYSSNVAHYMEGEAMRAVFESWFVQYKVDAVFSGHVHAYERSHRYSNIDYNITGGRRYPVPDPSAPFYVTIGDGGNLEGLASSYLDPQPEYSAFREASYGHATLEIKNRTHAIYHWYRNDDGNRVAADSFVLHNQYW >Vigun03g361500.2.v1.2 pep primary_assembly:ASM411807v1:3:56490124:56496144:-1 gene:Vigun03g361500.v1.2 transcript:Vigun03g361500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMLLKFVLASFVLLSCIRDGSAGITSSFIRKKWPSEDMPVDHEVFAIPKGYNAPQQVHLTQGDYDGKAVIISWITPDEPGPSHVRYGTSKSRLRTSKEGTVANYTFYNYKSGYIHHCLVEGLKYNTKYYYRIGTGDSARDFSFETPPAVGPDTPYKFGILGDLGQTFNSLSTLEHYLESGGQAVLYVGDLSYSDEHDFEDVGLRWDTWGRFAERSAAYQPWFWNVGNHEVEFMPEVGEVVPFRNYLNRYTTPYLASKSTSPLWYSARRASAHIIVLSSYSPFVKYTPQYIWLQEELARVDRKKTPWLFVLVHKPVYSSNVAHYMEGEAMRAVFESWFVQYKVDAVFSGHVHAYERSHRYSNIDYNITGGRRYPVPDPSAPFYVTIGDGGNLEGLASSYLDPQPEYSAFREASYGHATLEIKNRTHAIYHWYRNDDGNRVAADSFVLHNQYW >Vigun03g361500.3.v1.2 pep primary_assembly:ASM411807v1:3:56490124:56493118:-1 gene:Vigun03g361500.v1.2 transcript:Vigun03g361500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMLLKFVLASFVLLSCIRDGSAGITSSFIRKKWPSEDMPVDHEVFAIPKGYNAPQQVHLTQGDYDGKAVIISWITPDEPGPSHVRYGTSKSRLRTSKEGTVANYTFYNYKSGYIHHCLVEGLKYNTKYYYRIGTGDSARDFSFETPPAVGPDTPYKFGILGDLGQTFNSLSTLEHYLESGGQAVLYVGDLSYSDEHDFEDVGLRWDTWGRFAERSAAYQPWFWNVGNHEVEFMPEVGEVVPFRNYLNRYTTPYLASKSTSPLWYSARRASAHIIVLSSYSPFVKYTPQYIWLQEELARVDRKKTPWLFVLVHKPVYSSNVAHYMEGEAMRAVFESWFVQYKVDAVFSGHVHAYERSHRYSNIDYNITGGRRYPVPDPSAPFYVTIGDGGNLEGLASSYLDPQPEYSAFREASYGHATLEIKNRTHAIYHWYRNDDGNRVAADSFVLHNQYWGNNNGKQRASY >Vigun03g361500.4.v1.2 pep primary_assembly:ASM411807v1:3:56490124:56496144:-1 gene:Vigun03g361500.v1.2 transcript:Vigun03g361500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMLLKFVLASFVLLSCIRDGSAGITSSFIRKKWPSEDMPVDHEVFAIPKGYNAPQQVHLTQGDYDGKAVIISWITPDEPGPSHVRYGTSKSRLRTSKEGTVANYTFYNYKSGYIHHCLVEGLKYNTKYYYRIGTGDSARDFSFETPPAVGPDTPYKFGILGDLGQTFNSLSTLEHYLESGGQAVLYVGDLSYSDEHDFEDVGLRWDTWGRFAERSAAYQPWFWNVGNHEVEFMPEVGEVVPFRNYLNRYTTPYLASKSTSPLWYSARRASAHIIVLSSYSPFVKYTPQYIWLQEELARVDRKKTPWLFVLVHKPVYSSNVAHYMEGEAMRAVFESWFVQYKVDAVFSGHVHAYERSHRYSNIDYNITGGRRYPVPDPSAPFYVTIGDGGNLEGLASSYLDPQPEYSAFREASYGHATLEIKNRTHAIYHWYRNDDGNRVAADSFVLHNQYW >Vigun03g430200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63450953:63453364:1 gene:Vigun03g430200.v1.2 transcript:Vigun03g430200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDHPLKLYFIPYLAAGHMIPLCDIAQFFASRGHHVTVITTPSNAQHLKPSHNFRLHTLQFPSQEVGLPDGVENLTGVTDIYNSYKIYTATMLLRQSIESFLEQDPPDCVVADFLYPWVHDLANKLRIPRLVFNGFSLFSICAMESVKTLSIHATGPFAIPDFPHHITLRSSPPKDSREFIEPLLAMALKSDGFIINNFVELDGEEYLSHYERTTGHKAWHLGPACLARRTALEKAERGAKSVLSMQECVSWLDSKQVNSVVYISFGSLCYFPDKQLYEIACAIEASGYGFIWVVPEKKEKEEEKEEEKEKWLPKGFEERNGEKGIIIRGWAPQVLILNHPAVGAFLTHCGWNSTVESVAAGVPMVTWPVHSDQFYNEKLITQVRGIGVEVGADEWNLSAFFESEKLVDRACIEKAMRRIMDGADESLLIRRRAKEFGKTAIQAVQEGGSSFNNLTALIDYLKQLRNSKPE >Vigun08g182300.2.v1.2 pep primary_assembly:ASM411807v1:8:35195447:35198527:1 gene:Vigun08g182300.v1.2 transcript:Vigun08g182300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNESLVSISNTIFSGVVFDQQNSHPEGRFIIYNVQIDMPPKIVSDGIWGNTDIGALPDKATLPLLECQILTIFLVTQCFHLVLKRLGFPYFVSQIMTGFVLGPSLQIEYFKDQKSKLFPYGSEDLLNLVSTLGYTFFMFQNSVQMDFSMITRTGKKAWAIALCSLMIPTVVGLSLCYCFMEHVQKTLGEFDGDNLPVIVIGHSGCSFPVIASLLSDLRILNAELGRLALSAALVMDVINSVVTGLGTAIIHTLKTNPQDSSKGLELAMCAATKYVVFVTIVIMVGRPAMKWIVRNTPEGRPVKKACTFVVVIMTLTAGLFGMWAHQTVLGGVVLCGLLVPEGPPLGSELIKQFELFTSWFLLPIFVSCCAMKIDISAHMNPQLVVAVVTVIIVVHLIKMFFTVGICSYCNMPITDGLCLALMLSCKGVVDFVTSVFLFDSMFLSNQTVSMMAISILVLGSIARIGVKSLYDPTRKYACYQKRNILNLKPNAEFRVVACIHKTSHIMSVKNVLDICCPTITSPLVAHVLHLKELVGRSSPIFISHRLQERVGSNHNYSEDVIVAFDLFEHDKAGTAVVSTYTAISPLRFMHDDICYLALDKVASIIILPFHVRWDEDGSVSSADQNIRTLNARVLRRAPCSVGILVNRGSSSSSSTHNNCLISNSVKRIAMIFLGGSDDRESLSLAKRSIRDCSCNLVVYHLVWAHCEANWEMMLDDEVLKSVRGYYGMHENVSYQVVTIHEASETSAFVSRIANQHDFFIVGRRHGLKSPVTAALESWTEFSELGVIGDLLASPDSRTDASILVVQQQQTSKVVTGEIDI >Vigun06g134900.1.v1.2 pep primary_assembly:ASM411807v1:6:26122943:26126300:1 gene:Vigun06g134900.v1.2 transcript:Vigun06g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLPPTQYFFMASHSPRLLSVIRRAFTISSSSLGGVSMVQGASRGIGLEFVKQLLENDDKERVIATCRNPSASTGLIHLKDKFEDRLRILPLDLTVESSIEASALSIKETYGRLNLLINASGILSIPEIIHPETTLNKVEKSSLMLAYEVNAVGPILVIKHMWPLLKEGGGNGTGRSTAVVASLSARVASIGDNRLGGWHSYRSSKTALNQLSKTVSVEFARKKDPITCILLHPGTVDTDLSKPFQRNIPPDKIFSKEFSVQKLLSIINNVKSHDNGKFFAWDGQEIPW >Vigun03g109500.4.v1.2 pep primary_assembly:ASM411807v1:3:9809888:9814509:1 gene:Vigun03g109500.v1.2 transcript:Vigun03g109500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLKRYLPLLEILQNCNFQVGLESKIEFCIDCKLSIILDRASEDLEIIRSERKRNIEILDSMLKEVSSQIFQAGGIDRPLITKRRSRMCVGIRASHRYLLPGGVVLNVSSSGATYFMEPKDAIDLNNLEVRLSSSEKAEESAILSMLSSDIANSESDINNLLDKILEIDLAFARAAYAQWMNGVCPIFKLDSFEGCDSNDEDNGILDQQEDDSLNVNIVGIQHPLLLESSLEIISDNLALRSGNAAKFGDGNGAMTTKYTSHSISDFPVPVDFKIGHGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKNNPKLPWFDLILADIGDHQSLEQNLSTFSGHISRICKILEVATTQSLVLIDEIGGGTDPSEGVALSASILQYLKDRVNLAVVTTHYADLSSLKEKDTCFDNAAMEFSLETLQPTYRILWGCTGDSNALSIAQSIGFDRNIIDRAQNWVEKFKPEQQQERRGLLYQSLQEERNRLKAQAGKAASIHAEVMSVYNEIQGEAENLDRREMELMAKETQQVQQELVDAKSQMETLIQKFEKQLKSSGRDKLNSLIKETESAIASIVKAHTPADHFNEADQTSYTPQIGEQVHVKGLGGKLATVVESLGDDETILVQYGKVKARVKKSNIVALPSNAKNAVTSSSVHQGRQSRRNAEYRVNVDVKSDDDVSYGPVVRTSKNTVDLRGMRVEEASIHLEMAINASRPYSVLFVIHGTGTGAVKERALEILQNHPRITNHEPESPMNYGCTIAYVK >Vigun03g109500.3.v1.2 pep primary_assembly:ASM411807v1:3:9808873:9814509:1 gene:Vigun03g109500.v1.2 transcript:Vigun03g109500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPIRYLPLLEILQNCNFQVGLESKIEFCIDCKLSIILDRASEDLEIIRSERKRNIEILDSMLKEVSSQIFQAGGIDRPLITKRRSRMCVGIRASHRYLLPGGVVLNVSSSGATYFMEPKDAIDLNNLEVRLSSSEKAEESAILSMLSSDIANSESDINNLLDKILEIDLAFARAAYAQWMNGVCPIFKLDSFEGCDSNDEDNGILDQQEDDSLNVNIVGIQHPLLLESSLEIISDNLALRSGNAAKFGDGNGAMTTKYTSHSISDFPVPVDFKIGHGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKNNPKLPWFDLILADIGDHQSLEQNLSTFSGHISRICKILEVATTQSLVLIDEIGGGTDPSEGVALSASILQYLKDRVNLAVVTTHYADLSSLKEKDTCFDNAAMEFSLETLQPTYRILWGCTGDSNALSIAQSIGFDRNIIDRAQNWVEKFKPEQQQERRGLLYQSLQEERNRLKAQAGKAASIHAEVMSVYNEIQGEAENLDRREMELMAKETQQVQQELVDAKSQMETLIQKFEKQLKSSGRDKLNSLIKETESAIASIVKAHTPADHFNEADQTSYTPQIGEQVHVKGLGGKLATVVESLGDDETILVQYGKVKARVKKSNIVALPSNAKNAVTSSSVHQGRQSRRNAEYRVNVDVKSDDDVSYGPVVRTSKNTVDLRGMRVEEASIHLEMAINASRPYSVLFVIHGTGTGAVKERALEILQNHPRITNHEPESPMNYGCTIAYVK >Vigun03g109500.2.v1.2 pep primary_assembly:ASM411807v1:3:9808873:9814509:1 gene:Vigun03g109500.v1.2 transcript:Vigun03g109500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLKRYLPLLEILQNCNFQVGLESKIEFCIDCKLSIILDRASEDLEIIRSERKRNIEILDSMLKEVSSQIFQAGGIDRPLITKRRSRMCVGIRASHRYLLPGGVVLNVSSSGATYFMEPKDAIDLNNLEVRLSSSEKAEESAILSMLSSDIANSESDINNLLDKILEIDLAFARAAYAQWMNGVCPIFKLDSFEGCDSNDEDNGILDQQEDDSLNVNIVGIQHPLLLESSLEIISDNLALRSGNAAKFGDGNGAMTTKYTSHSISDFPVPVDFKIGHGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKNNPKLPWFDLILADIGDHQSLEQNLSTFSGHISRICKILEVATTQSLVLIDEIGGGTDPSEGVALSASILQYLKDRVNLAVVTTHYADLSSLKEKDTCFDNAAMEFSLETLQPTYRILWGCTGDSNALSIAQSIGFDRNIIDRAQNWVEKFKPEQQQERRGLLYQSLQEERNRLKAQAGKAASIHAEVMSVYNEIQGEAENLDRREMELMAKETQQVQQELVDAKSQMETLIQKFEKQLKSSGRDKLNSLIKETESAIASIVKAHTPADHFNEADQTSYTPQIGEQVHVKGLGGKLATVVESLGDDETILVQYGKVKARVKKSNIVALPSNAKNAVTSSSVHQGRQSRRNAEYRVNVDVKSDDDVSYGPVVRTSKNTVDLRGMRVEEASIHLEMAINASRPYSVLFVIHGTGTGAVKERALEILQNHPRITNHEPESPMNYGCTIAYVK >Vigun03g109500.1.v1.2 pep primary_assembly:ASM411807v1:3:9808873:9814509:1 gene:Vigun03g109500.v1.2 transcript:Vigun03g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGSNVLVPLIKPPKSYFNFKLRSCSNPNSNSPESNSLQADTLKTLEWSSVCKQLSPFTSTSMGSAAALNARLPVGRTPRQSQKLLDQTSAARLLAQPLDFSGIHDLTEILRVATSGHLLTIRELCTVRRTLVAARDLFDSLKRFASASNHPQRYLPLLEILQNCNFQVGLESKIEFCIDCKLSIILDRASEDLEIIRSERKRNIEILDSMLKEVSSQIFQAGGIDRPLITKRRSRMCVGIRASHRYLLPGGVVLNVSSSGATYFMEPKDAIDLNNLEVRLSSSEKAEESAILSMLSSDIANSESDINNLLDKILEIDLAFARAAYAQWMNGVCPIFKLDSFEGCDSNDEDNGILDQQEDDSLNVNIVGIQHPLLLESSLEIISDNLALRSGNAAKFGDGNGAMTTKYTSHSISDFPVPVDFKIGHGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKNNPKLPWFDLILADIGDHQSLEQNLSTFSGHISRICKILEVATTQSLVLIDEIGGGTDPSEGVALSASILQYLKDRVNLAVVTTHYADLSSLKEKDTCFDNAAMEFSLETLQPTYRILWGCTGDSNALSIAQSIGFDRNIIDRAQNWVEKFKPEQQQERRGLLYQSLQEERNRLKAQAGKAASIHAEVMSVYNEIQGEAENLDRREMELMAKETQQVQQELVDAKSQMETLIQKFEKQLKSSGRDKLNSLIKETESAIASIVKAHTPADHFNEADQTSYTPQIGEQVHVKGLGGKLATVVESLGDDETILVQYGKVKARVKKSNIVALPSNAKNAVTSSSVHQGRQSRRNAEYRVNVDVKSDDDVSYGPVVRTSKNTVDLRGMRVEEASIHLEMAINASRPYSVLFVIHGTGTGAVKERALEILQNHPRITNHEPESPMNYGCTIAYVK >Vigun11g189600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38923153:38928046:1 gene:Vigun11g189600.v1.2 transcript:Vigun11g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEADGFGANGEGESKRVGEGVSEEIVVGSDSLKSLDVEEDFQEAMEPREQVHDQGSELHPEEAVVDKQDDANVGSSLASALVDEKSPDVVQEPDSSKEAVGDDSESGKLGGTDVIANQDLERDGPGTDSVHPDVVDSGVSRDEDFFYGSNGVGDDNLERNDGIGGKEESGLNSDVEVSDKENGVVVDENSGLTSDKAEFDDSDFMTPRENGGITLEDISIDKEDGLDTEVITKSESDAVIPAEGTDDGDLKDADPELGDGNTEVKLNGSADSSGEIQDDTCEEVHATSAHTTSAQQDEVTRDVKDATLGTDMSHEDRNGEETSAPSIQNAELTGYGNGDAEDESSSSLENPSTKETLPIQEGSAADPNEGSNKHDPSTKETLPIQGGSAADPNEGSNKHDPSTKETLPIQGGSATDPKEGTYKDDQAQISDENHRDDENSYVVEEPERIQEKIIKETGTTEETGEQPDQPSADISSADPGPPPLRPSPENSAGAGPTPVRPAGLGRAAPLLEPASRVVQQPRANGTVSNAQAQQMEDSSSGEAEEYDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFNTSAFSMGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRSNEKILLSVKNFIKKTPPDIVLYLDRLDMQSRDFSDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPEGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSPPGKPYIARSRAPPLPFLLSTLLQSRPQLKLPEEQFGDEDSLDDDLDEASESDDENEHDDLPPFKPLTKAQVEKLSKAHRNAYFDELEYREKLLMKKQLKEEKKRRKLMKKMAEAAKDLPSDYSENAEEEGGGAASVPVPMPDLALPASFDSDNPTHRYRYLDSSNQWLVRPVLETHGWDHDVGYEGLNVERLFVVKDRIPLSFTGQVTKDKKDANVQMEVAGSVKHGEGKATSLGFDMQTVGKDLAYTLRSETRFTNFRRNKATAGLSFTLLGDALSGGVKIEDKLVASKRFKVVISGGAMAGRNDIAYGGSLEAQLRDKDYPLGRFLSTLGLSVMDWHGDLAVGCNVQSQIPVGRHTNLVARANLNNRGAGQISIRLNSSEQLQIALVALIPLVKKLVGYPQQLQYGQY >Vigun04g156600.1.v1.2 pep primary_assembly:ASM411807v1:4:37843569:37846265:-1 gene:Vigun04g156600.v1.2 transcript:Vigun04g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQPKTVESETAPPAAEPVPVPVPEAPKDVAEEKSVIPVPSSDDKPDESKALVLVEKTQEVAEEKPSEGSINRDAVLARVATEKRLSLIKAWEESEKSKAENKAHKKLSAISAWENSKKASVEAELKKIEEQLEKKKAEYAEKIKNKIATIHKEAEEKRAIIEAKKGEDVLKAEETAAKYRATGTAPKKLLGCF >Vigun08g064800.1.v1.2 pep primary_assembly:ASM411807v1:8:9071431:9077155:-1 gene:Vigun08g064800.v1.2 transcript:Vigun08g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLVTMRRERALFLLLLLLLLLHHICASKAHKKHRCFPSSCGKITNISYPFRLNGDPEKCGEERYELGCENNVTLLYMNSEEFHVEAINYKNYTVRVVDPALQLHNCSSHPLRSLSRSNFSDSYTYTYSLDPYQAGLSSYENRESLIFEHIVFLSCNHSVRENGKYLKTEECVKWDSKGYAYAVVGDLKAEDLEVGCDIKLVVPTSLRTFNNHSYTSMHNSLAYGFEISWIKLACQNCPLRFCYFDSASQKLGCRPWLLWIILAEVVGISFYTSLACSLAWAYKILFGLPFLIVIFICKWRKRHASTYANIENYLEQNHLAPIRYSYKEIKKMTGGFKEKLGEGGFGFVFKAKLRSGPFVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCASGSKRALVYEFMSNGSLDKLIFSRAGSIDLSYKKIHNISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDSSIVTMTTTRGTIGYMAPELFYNNVGGISHKADVYSFGMLLMEMASKRNNLNPHVEYSSQLYFPLWIYNHIREEEDIDIKDLTKEEKIIAKKLIIVALWCIQLKPNDRPSMNKVVEMLEGGVEDLEIPPKPTLFPDETSLEDQTTSSI >Vigun11g005900.3.v1.2 pep primary_assembly:ASM411807v1:11:627260:633534:1 gene:Vigun11g005900.v1.2 transcript:Vigun11g005900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDVLSAKRCRSTGSPCGPDTGKRQKLSDVADHTNPSAISDVVVRIEHGKFGSVTKEIEDLVAIKMQILGPYFAKYPVLVNEFRNVVTDHDEDTTKFEDQQDTGLTYHDVIDLEVENFEKDVRAAPVPVIHIDSDGEGDEDKNAIVPFHEASEVEDDRHNKSIVPFHEASKEKDDRQNKSIVQFHEIVLPTLVAPSPVVMKTVRTPIPNHRKSKDPKKETSLAGTGVYIGLKEEEDHQVNPADNEGLEDIWKEMSMAMECSKVVSADPLPDEEVERDYEEAERDEDCDHSFILKDDIGYVCRVCGIIDRRIETIFEFQYKVKRSTRTYASDSRNTKKTDVFGVNVIKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRTQQLEVLNQWVEKKSILFLGYKQFSSIVCDNGTNDTSLSCQKILLKVPTILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKTIERRIRSRVHVSGIKDFSDLVENTLQDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEIAKIKKQSKRKFKISSVGSAVYLHPKLKPLAENCGENSTSDRVIDDLVDKLDIRDGVKSKFYHNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSYEHREWSMEKFNSSPEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPCQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEEVLLKECDDLFLESPLLGEDVKALYKR >Vigun11g005900.5.v1.2 pep primary_assembly:ASM411807v1:11:629571:633484:1 gene:Vigun11g005900.v1.2 transcript:Vigun11g005900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMECSKVVSADPLPDEEVERDYEEAERDEDCDHSFILKDDIGYVCRVCGIIDRRIETIFEFQYKVKRSTRTYASDSRNTKKTDVFGVNVIKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRTQQLEVLNQWVEKKSILFLGYKQFSSIVCDNGTNDTSLSCQKILLKVPTILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKTIERRIRSRVHVSGIKDFSDLVENTLQDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEIAKIKKQSKRKFKISSVGSAVYLHPKLKPLAENCGENSTSDRVIDDLVDKLDIRDGVKSKFYHNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSYEHREWSMEKFNSSPEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPCQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEEVLLKECDDLFLESPLLGEDVKALYKR >Vigun11g005900.2.v1.2 pep primary_assembly:ASM411807v1:11:627260:633484:1 gene:Vigun11g005900.v1.2 transcript:Vigun11g005900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDVLSAKRCRSTGSPCGPDTGKRQKLSDVADHTNPSAISDVVVRIEHGKFGSVTKEIEDLVAIKMQILGPYFAKYPVLVNEFRNVVTDHDEDTTKFEDQQDTGLTYHDVIDLEVENFEKDVRAAPVPVIHIDSDGEGDEDKNAIVPFHEASEVEDDRHNKSIVPFHEASKEKDDRQNKSIVQFHEIVLPTLVAPSPVVMKTVRQRTPIPNHRKSKDPKKETSLAGTGVYIGLKEEEDHQVNPADNEGLEDIWKEMSMAMECSKVVSADPLPDEEVERDYEEAERDEDCDHSFILKDDIGYVCRVCGIIDRRIETIFEFQYKVKRSTRTYASDSRNTKKTDVFGVNVIKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRTQQLEVLNQWVEKKSILFLGYKQFSSIVCDNGTNDTSLSCQKILLKVPTILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKTIERRIRSRVHVSGIKDFSDLVENTLQDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEIAKIKKQSKRKFKISSVGSAVYLHPKLKPLAENCGENSTSDRVIDDLVDKLDIRDGVKSKFYHNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSYEHREWSMEKFNSSPEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPCQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEEVLLKECDDLFLESPLLGEDVKALYKR >Vigun11g005900.1.v1.2 pep primary_assembly:ASM411807v1:11:627260:633584:1 gene:Vigun11g005900.v1.2 transcript:Vigun11g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDVLSAKRCRSTGSPCGPDTGKRQKLSDVADHTNPSAISDVVVRIEHGKFGSVTKEIEDLVAIKMQILGPYFAKYPVLVNEFRNVVTDHDEDTTKFEDQQDTGLTYHDVIDLEVENFEKDVRAAPVPVIHIDSDGEGDEDKNAIVPFHEASEVEDDRHNKSIVPFHEASKEKDDRQNKSIVQFHEIVLPTLVAPSPVVMKTVRQRTPIPNHRKSKDPKKETSLAGTGVYIGLKEEEDHQVNPADNEGLEDIWKEMSMAMECSKVVSADPLPDEEVERDYEEAERDEDCDHSFILKDDIGYVCRVCGIIDRRIETIFEFQYKVKRSTRTYASDSRNTKKTDVFGVNVIKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRTQQLEVLNQWVEKKSILFLGYKQFSSIVCDNGTNDTSLSCQKILLKVPTILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKTIERRIRSRVHVSGIKDFSDLVENTLQDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEIAKIKKQSKRKFKISSVGSAVYLHPKLKPLAENCGENSTSDRVIDDLVDKLDIRDGVKSKFYHNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSYEHREWSMEKFNSSPEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPCQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEEVLLKECDDLFLESPLLGEDVKALYKR >Vigun11g005900.4.v1.2 pep primary_assembly:ASM411807v1:11:627260:633507:1 gene:Vigun11g005900.v1.2 transcript:Vigun11g005900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDVLSAKRCRSTGSPCGPDTGKRQKLSDVADHTNPSAISDVVVRIEHGKFGSVTKEIEDLVAIKMQILGPYFAKYPVLVNEFRNVVTDHDEDTTKFEDQQDTGLTYHDVIDLEVENFEKDVRAAPVPVIHIDSDGEGDEDKNAIVPFHEASEVEDDRHNKSIVPFHEASKEKDDRQNKSIVQFHEIVLPTLVAPSPVVMKTVVVSADPLPDEEVERDYEEAERDEDCDHSFILKDDIGYVCRVCGIIDRRIETIFEFQYKVKRSTRTYASDSRNTKKTDVFGVNVIKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRTQQLEVLNQWVEKKSILFLGYKQFSSIVCDNGTNDTSLSCQKILLKVPTILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKTIERRIRSRVHVSGIKDFSDLVENTLQDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEIAKIKKQSKRKFKISSVGSAVYLHPKLKPLAENCGENSTSDRVIDDLVDKLDIRDGVKSKFYHNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSYEHREWSMEKFNSSPEAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPCQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEEVLLKECDDLFLESPLLGEDVKALYKR >Vigun01g151500.1.v1.2 pep primary_assembly:ASM411807v1:1:33417155:33418578:1 gene:Vigun01g151500.v1.2 transcript:Vigun01g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSKNHPQPDSAAAAAAATSSAAASDNAFLSPKALSELLSTKLRKYCKDPAPSLTCLRLDADNSHIGVWQKGAGPHSGSSWVMRVELGKKQTQGESAWSPNSGANHTAANSEVVVEEEEEEEEEDTLALQMIEELLNWN >Vigun04g040100.1.v1.2 pep primary_assembly:ASM411807v1:4:3400266:3404962:1 gene:Vigun04g040100.v1.2 transcript:Vigun04g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMTNQMGSLASAAAAAMDSSLNTGDSPAAVVLKTPAVGMKCKAVRTEGVEGLSPPSSPCRSDLSAACQAFATEVAEEKEYGVGAKKGEGSGVPVFVMMPLDSVSAGNTVNRKKAMNAAMAALKSAGVEGVMMDVWWGLVEREKPGEYNWGGYVELMEMAKKHGLKVQAVMSFHQCGGNVGDSCNIPLPKWVVEEINNDSDLAYTDQWGRRNYEYVSLGCDTLPVLKGRTPVQCYADFMRAFRDTFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCFDKYMLKSLKAAAEAQGKPEWGSTGPTDAGNYNNWPEDTQFFRKEGGGWDGPYGEFFLTWYSQMLLEHGDRILTSAKSILDNTGVKISVKIAGIHWHYGSRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVKQVALATQKAQVPLAGENALPRYDDYAHEQIIRASQSGDREMCAFTYLRMNPHLFEADNWRKFVAFVKKMKEGKGANKCWEEMEREAEHFVHVTQPLVQEAAVLMH >Vigun05g067500.1.v1.2 pep primary_assembly:ASM411807v1:5:5837151:5839330:-1 gene:Vigun05g067500.v1.2 transcript:Vigun05g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVNSTAAPPLSPATEIRPLHPAARKAFLGLCTAVRDLRPHLLQVMQEEDFRNCEASRKMQKQAELVSTGYKQLAKDLTCRVGGSPSGLNFITYLSKKPVYYGISKQEVLSRKVPKKRVPKNIF >Vigun06g011000.1.v1.2 pep primary_assembly:ASM411807v1:6:4958571:4961268:-1 gene:Vigun06g011000.v1.2 transcript:Vigun06g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMRQKLFSILMFYLLGTLNILPTETASTFRHYNCTQKPKFSPKSSYQFNLNKLLSKLESKVNSTNYYYTTVSGRNKQDTVYGLFMCNGYMEKCGECVNTSIQTLKSKCIFNKEAIIWTHECLVRYTNMFFFNTTKKSPSWCVKNSEDYEGELDIFNRMLSSLMKDLLTEAIEAPMGSVKFAVKKKSISQEKHFFGFAQCVPYLSKENCRKCLRDAMDFLQTCARGKIGGRVIYPSCIVRYDHYLFFPLPRGKRKNLGVIASIIFFHGLVPVIVLFFVCYLLHRELRKDLKHRKGNCGEEISTELNSLQFELSTIQEATNKFSDDNKIGEGGFGAVYKGVFSNGLEIAVKRLKKNSSQGATEFKKEVLLISKLQHRNLVRLLGFCVQRNEKILIYEFVHNESLDYYLFSPQNHRKLSWSERYEIIRGIARGILYLHEDSHLNIIHCDLKPSNILLDNKMNAKISDFGLARIISIDQMQGNTSIISGTYGYMSPEYAMLGQFSMKSDVFSFGVIILEIISGKRNVDGNGKDLDDLLSHAWKKWKKNRVLELLDPSLQHSFSETEINKCVQIGLLCVQGNPDQRPIMAKIAFYFSCDQLGKLPLPQEPAFFMRGKTETKDF >Vigun08g157200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32987543:32992029:1 gene:Vigun08g157200.v1.2 transcript:Vigun08g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQVLKHSIALVSLLVCVCCFFIVTMTFLKLPDAPTKESAMGFYPITRSRKVSLQDGNLGKFGEMMIDMLPQDLAFTMFVPSEEAFKRDLRLSVNDALKSDRFNDTFAILTRILGFSTVPRALSSSNVKWGELVNYDSLSGFPLYISKDIDGMLVVNRIRSEIVDVRKKEIVVHLMDGIIMDAEFEQSVLSDNDSDED >Vigun09g144800.1.v1.2 pep primary_assembly:ASM411807v1:9:30763394:30767527:-1 gene:Vigun09g144800.v1.2 transcript:Vigun09g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGTNATSNEELKEIENLGREDIEEAPVVPDDVSGIAPWPKQITIRGLVASFLIGTIYSVIVMKLNLTTGLVPNLNVSAALLGFVFIRAWTKILAKAKIVSTPFTRQENTVIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGFDTEGNNPASTKEPGIGWMTAFLFVTSFVGLLALVPIRKIMIIDYKLTYPSGTATAVLINGFHTPKGDAMAKKQVHGFLKFFSASFFWAFFQWFYTGGDSCGFVQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHLVNLSLLLGAVISWGIMWPLIRGLKGEWFPSSIPESSMKSLNGYKVFISIALILGDGLYNFVKVLYFTATNIHASVKRKNLNTFSDNQKPVPMDDLRRNEVFARESIPIWLACAGYILFSIVSIIVIPLMFPQLKWYYVVFAYLFAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAALAGKSDGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTFTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDVGNPNGDYKAPYAIIYRNMAILGVEGFSALPLHCLQLCYGFFAFAIAANLVRDLGPKRVRNWIPLPMAMAVPFLVGGYFAIDMCMGSLVVFLWHKLHRSEASLMVPAVASGLICGDGLWILPSSILALLKVRPPICMSFLASSAS >Vigun09g160300.2.v1.2 pep primary_assembly:ASM411807v1:9:32772908:32781903:-1 gene:Vigun09g160300.v1.2 transcript:Vigun09g160300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVTIKDYNCVAEEGQHLNSEAMNDEVAIAHANGVVGEVSVLKSEPFSNGVAVGDKGGSGGVECLRTYKRRKKSSSRGKIQEQWVAGMATASGIADQNVKKPCDLALGNTSDDCSQGQWGNIVLKHLYQSLGDGNGGVEGCIREALNPKQNHATTVMETFIIEKDGKRCSSQSELLSHRTGKEANRHADVMCNGCSSELPDHGVTEMCQRVLSNILTTEKFRSLCKALLENFQGMKPESVFDFTIMNSRMKEQAYEQSPALFLSDIQQVWGKLQDTGNEIVALAKSLSSISTTSYSELVGVSAPSTFREKQPSCNGELGFHVKPEQTQDCAMHRIGSCSRCGETADDKDCLVCDSCEEIYHVSCIEPSVKEIIPHKSWYCAKCTAKGIGSPHEHCVLCERLNDEQTPNGIIGDESFPTIKETKNEFEENSNCTSDGIEGSIGEKTTPICKICRNEVDGNKRKKVKVCGHRFCPNKYYHVRCLTKKQLKSYGHCWYCPSCICCVCLIDRDDDQIVICDGCDRGYHLYCLTPPQSSIPSGKWFCRLCDAGIQAIHKAKKAYESNEPSRKGRDAAKPKAKLDKKHRNKRARELERGGAMDMLLTAANTLNFEEKEAAIQIESQTT >Vigun09g160300.1.v1.2 pep primary_assembly:ASM411807v1:9:32772908:32781903:-1 gene:Vigun09g160300.v1.2 transcript:Vigun09g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVTIKDYNCVAEEGQHLNSEAMNDEVAIAHANGVVGEVSVLKSEPFSNGVAVGDKGGSGGVECLRTYKRRKKSSSRGKIQEQWVAGMATASGIADQNVKKPCDLALGNTSDDCSQGQWGNIVLKHLYQSLGDGNGGVEGCIREALNPKQNHATTVMETFIIEKDGKRCSSQSELLSHRTGKEANRHADVMCNGCSSELPDHGVTEMCQRVLSNILTTEKFRSLCKALLENFQGMKPESVFDFTIMNSRMKEQAYEQSPALFLSDIQQVWGKLQDTGNEIVALAKSLSSISTTSYSELVGVSAPSTFREKQPSCNGELGFHVKPEQTQDCAMHRIGSCSRCGETADDKDCLVCDSCEEIYHVSCIEPSVKEIIPHKSWYCAKCTAKGIGSPHEHCVLCERLNDEQTPNGIIGDESFPTIKETKNEFEENSNCTSDGIEGSIGEKTTPICKICRNEVDGNKRKKVKVCGHRFCPNKYYHVRCLTKKQLKSYGHCWYCPSCICCVCLIDRDDDQIVICDGCDRGYHLYCLTPPQSSIPSGKWFCRLCDAGIQAIHKAKKAYESNEPSRKGRDAAKPKAKLDKKHRNKRARELERGGAMDMLLTAANTLNFEEKEAAIQIESQTT >Vigun09g160300.3.v1.2 pep primary_assembly:ASM411807v1:9:32772908:32781903:-1 gene:Vigun09g160300.v1.2 transcript:Vigun09g160300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVTIKDYNCVAEEGQHLNSEAMNDEVAIAHANGVVGEVSVLKSEPFSNGVAVGDKGGSGGVECLRTYKRRKKSSSRGKIQEQWVAGMATASGIADQNVKKPCDLALGNTSDDCSQGQWGNIVLKHLYQSLGDGNGGVEGCIREALNPKQNHATTVMETFIIEKDGKRCSSQSELLSHRTGKEANRHADVMCNGCSSELPDHGVTEMCQRVLSNILTTEKFRSLCKALLENFQGMKPESVFDFTIMNSRMKEQAYEQSPALFLSDIQQVWGKLQDTGNEIVALAKSLSSISTTSYSELVGVSAPSTFREKQPSCNGELGFHVKPEQTQDCAMHRIGSCSRCGETADDKDCLVCDSCEEIYHVSCIEPSVKEIIPHKSWYCAKCTAKGIGSPHEHCVLCERLNDEQTPNGIIGDESFPTIKETKNEFEENSNCTSDGIEGSIGEKTTPICKICRNEVDGNKRKKVKVCGHRFCPNKYYHVRCLTKKQLKSYGHCWYCPSCICCVCLIDRDDDQIVICDGCDRGYHLYCLTPPQSSIPSGKWFCRLCDAGIQAIHKAKKAYESNEPSRKGRDAAKPKAKLDKKHRNKRARELERGGAMDMLLTAANTLNFEEKEAAIQIESQTT >Vigun10g166600.1.v1.2 pep primary_assembly:ASM411807v1:10:38546654:38548863:-1 gene:Vigun10g166600.v1.2 transcript:Vigun10g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGNRKTPAELLRENKRMLDKSIREIERERQGLQNQEKKLIVEIKKNAKQGQMGAVRVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELVSEVMGDAIDDALEGDEEEEETEDLVNQVLDEIGIDINSELLNAPASTSVTAPAANNRVAQAESTGHEDSGIDDDLQARLNNLRKM >Vigun04g165900.3.v1.2 pep primary_assembly:ASM411807v1:4:39042019:39048674:1 gene:Vigun04g165900.v1.2 transcript:Vigun04g165900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKASFSNVADVLHDWDDLHSDDFCSWSGVLCDNVSLTVISLNLSSLNLGGEISPTIGDLGNLQSIDLQGNKLTGQIPDEIGNCAALIHLDLSDNLLYGDIPFSISKLKQLVFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNRLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLWYFDVRGNNLTGTIPDSIGNCTNFEILDLSYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELIGPIPPILGNLSFTGKLYLHGNMITGPIPPELGNMSRLSYLQLNDNQLVGQIPDELGKLEHLFELNLANNHLEGSIPLNISSCTALNKFNVHGNHLSGSIPLSFSSLQSLTYLNLSANNFKGSIPVELGHIINLDTLDLSSNNFSGHVPGSVGYLEHLLTLNLSHNSLQGPLPAEFGNLRSIQIIDISFNLLLGSIPPEIGQLQNLVSLILNNNDLRGKIPDQLTNCLSLNSLNVSYNNLSGVIPLMKNFSRFSADSFIGNPLLCGNWLGSICDPYVPKSRVVFSRAAVVCLIVGTIILLAMVTVALYRSSQSIELIKGSSGTEQGPPKLVILHMGLAIHTFDDIMRVTENLSEKYIVGYGASGTVYKCVLKNSRPIAIKRLYNQHQHNSREFETELETIGSIRHRNLVTLHGYALTPNGNLLFYDYMENGSLWDLLHGPLKKVKLDWEARLRIAVGASEGLAYLHHDCNPRIIHRDIKSSNILIDENFEARLSDFGIAKCLSTTRTHASTSVVGTIGYIDPEYARSSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHHLILSKADNNTIMETVDPEVSITCMDLTHVKKTFQLALLCTKGNPSERPTMHEVARVLASLLPAPPSSIFAPPSKTIDYAQFLIQKGNNLHPPQMERLQSQKCSNDQWFVRFENVVSNNSL >Vigun04g165900.2.v1.2 pep primary_assembly:ASM411807v1:4:39040553:39048674:1 gene:Vigun04g165900.v1.2 transcript:Vigun04g165900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLAFLAELRNHFLLLLLAMEFLLLLLSPFASPLSDEGQALMKIKASFSNVADVLHDWDDLHSDDFCSWSGVLCDNVSLTVISLNLSSLNLGGEISPTIGDLGNLQSIDLQGNKLTGQIPDEIGNCAALIHLDLSDNLLYGDIPFSISKLKQLVFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNRLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLWYFDVRGNNLTGTIPDSIGNCTNFEILDLSYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELIGPIPPILGNLSFTGKLYLHGNMITGPIPPELGNMSRLSYLQLNDNQLVGQIPDELGKLEHLFELNLANNHLEGSIPLNISSCTALNKFNVHGNHLSGSIPLSFSSLQSLTYLNLSANNFKGSIPVELGHIINLDTLDLSSNNFSGHVPGSVGYLEHLLTLNLSHNSLQGPLPAEFGNLRSIQIIDISFNLLLGSIPPEIGQLQNLVSLILNNNDLRGKIPDQLTNCLSLNSLNVSYNNLSGVIPLMKNFSRFSADSFIGNPLLCGNWLGSICDPYVPKSRVGTIILLAMVTVALYRSSQSIELIKGSSGTEQGPPKLVILHMGLAIHTFDDIMRVTENLSEKYIVGYGASGTVYKCVLKNSRPIAIKRLYNQHQHNSREFETELETIGSIRHRNLVTLHGYALTPNGNLLFYDYMENGSLWDLLHGPLKKVKLDWEARLRIAVGASEGLAYLHHDCNPRIIHRDIKSSNILIDENFEARLSDFGIAKCLSTTRTHASTSVVGTIGYIDPEYARSSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHHLILSKADNNTIMETVDPEVSITCMDLTHVKKTFQLALLCTKGNPSERPTMHEVARVLASLLPAPPSSIFAPPSKTIDYAQFLIQKGNNLHPPQMERLQSQKCSNDQWFVRFENVVSNNSL >Vigun04g165900.1.v1.2 pep primary_assembly:ASM411807v1:4:39040553:39048674:1 gene:Vigun04g165900.v1.2 transcript:Vigun04g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLAFLAELRNHFLLLLLAMEFLLLLLSPFASPLSDEGQALMKIKASFSNVADVLHDWDDLHSDDFCSWSGVLCDNVSLTVISLNLSSLNLGGEISPTIGDLGNLQSIDLQGNKLTGQIPDEIGNCAALIHLDLSDNLLYGDIPFSISKLKQLVFLNLKSNQLTGPIPSTLTQIPNLKTLDLARNRLTGEIPRLLYWNEVLQYLGLRGNMLSGTLSPDICQLTGLWYFDVRGNNLTGTIPDSIGNCTNFEILDLSYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENELIGPIPPILGNLSFTGKLYLHGNMITGPIPPELGNMSRLSYLQLNDNQLVGQIPDELGKLEHLFELNLANNHLEGSIPLNISSCTALNKFNVHGNHLSGSIPLSFSSLQSLTYLNLSANNFKGSIPVELGHIINLDTLDLSSNNFSGHVPGSVGYLEHLLTLNLSHNSLQGPLPAEFGNLRSIQIIDISFNLLLGSIPPEIGQLQNLVSLILNNNDLRGKIPDQLTNCLSLNSLNVSYNNLSGVIPLMKNFSRFSADSFIGNPLLCGNWLGSICDPYVPKSRVVFSRAAVVCLIVGTIILLAMVTVALYRSSQSIELIKGSSGTEQGPPKLVILHMGLAIHTFDDIMRVTENLSEKYIVGYGASGTVYKCVLKNSRPIAIKRLYNQHQHNSREFETELETIGSIRHRNLVTLHGYALTPNGNLLFYDYMENGSLWDLLHGPLKKVKLDWEARLRIAVGASEGLAYLHHDCNPRIIHRDIKSSNILIDENFEARLSDFGIAKCLSTTRTHASTSVVGTIGYIDPEYARSSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHHLILSKADNNTIMETVDPEVSITCMDLTHVKKTFQLALLCTKGNPSERPTMHEVARVLASLLPAPPSSIFAPPSKTIDYAQFLIQKGNNLHPPQMERLQSQKCSNDQWFVRFENVVSNNSL >Vigun03g199450.1.v1.2 pep primary_assembly:ASM411807v1:3:28774509:28775901:-1 gene:Vigun03g199450.v1.2 transcript:Vigun03g199450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQANLKRKENKGYDLLNILISTKIDSSIKLVCTVTPSSSSTEETHNTLKFAHRTKYIEIRASQNKQVFGCASTLLIVFQISCSMFICLVSRFTAGLKAKIGVFFPMKLLHFHFLRMHILFEVSVLFNSFGTSLTSIVPVAEYFPGQD >Vigun03g252900.1.v1.2 pep primary_assembly:ASM411807v1:3:41916707:41918866:1 gene:Vigun03g252900.v1.2 transcript:Vigun03g252900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVSNNYALVFLSVLFSLAMHVAHGNNDAPPLFIFGDSTFDVGTNNFLRSKARANFPYNGIDFYNSLPTGRFSNGFNTADQIARLFGYQQSPPPFLTSQKNKNSSKNNILQGVNFASGGSGILRETGSLEWGEVVFFERQVAQFASVRARINGILGGEKADTFVSKSLFLISVGSNDLFDFARNDSGSIHLGAEEYLSVTQHTYYSHLKKLYELGARKFGIISVAPIGCCPAITSGNGGQCVKALNDFAVAFHSTTKLLLLKLSSELEGFRYSLADSFLMTNTLLNNASAFGLNETKSACCGSGYLNGKDGCIKAHNATLCANREEFLFWDWFHPTEKVSKLAAETVFGGGINFVSPINFSQLASSY >Vigun10g113900.4.v1.2 pep primary_assembly:ASM411807v1:10:31631047:31635124:1 gene:Vigun10g113900.v1.2 transcript:Vigun10g113900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEALPCPAYPFSNPLLTFSHSHRKRGGPRKKIDIGHAMRNGGAAGGVDVERRRVFMVKEEKEKEKEYSSNSVELHLGVDASHSAPPEINHVITEAQRRELHHQVFIFNHLAYKLPPPHHRVQFPSNMSEYSFLGFDHGNVVDPEPHRCRRTDGKKWRCSKSVVPGQKYCERHMHRGRNRSRKPVETSQVNSPLASKPCGKSPTKSASKTRFEISNPNLTMAMQPSETPSSTPSRSLSIDNCSSMNRSLMVFPSASAAAFPGSGLATAGAPKAADNKSCLKMCQKDNQSKSRVSNSTGNRSDGKGSIVVGDSNSISTGISFSPTSVLQVFGNNPSYVNDKTNNTESAPDRCRRTDGKKWQCKSVVLPGQKYCATHMHRGAKKRFASHEIAAAAATTTTTTCSAVTIARLPNSPATTNMQKEDSAIPSTNLSMSVPASAQCNGKGSSNNDTDTTISDTLQECSYTSF >Vigun10g113900.2.v1.2 pep primary_assembly:ASM411807v1:10:31631027:31635158:1 gene:Vigun10g113900.v1.2 transcript:Vigun10g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPLRIVPSSHNTSGGGPRKKIDIGHAMRNGGAAGGVDVERRRVFMVKEEKEKEKEYSSNSVELHLGVDASHSAPPEINHVITEAQRRELHHQVFIFNHLAYKLPPPHHRVQFPSNMSEYSFLGFDHGNVVDPEPHRCRRTDGKKWRCSKSVVPGQKYCERHMHRGRNRSRKPVETSQVNSPLASKPCGKSPTKSASKTRFEISNPNLTMAMQPSETPSSTPSRSLSIDNCSSMNRSLMVFPSASAAAFPGSGLATAGAPKAADNKSCLKMCQKDNQSKSRVSNSTGNRSDGKGSIVVGDSNSISTGISFSPTSVLQVFGNNPSYVNDKTNNTESAPDRCRRTDGKKWQCKSVVLPGQKYCATHMHRGAKKRFASHEIAAAAATTTTTTCSAVTIARLPNSPATTNMQKEDSAIPSTNLSMSVPASAQCNGKGSSNNDTDTTISDTLQECSYTSF >Vigun10g113900.1.v1.2 pep primary_assembly:ASM411807v1:10:31631047:31635124:1 gene:Vigun10g113900.v1.2 transcript:Vigun10g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPLRIVPSSHNTSGVGGGPRKKIDIGHAMRNGGAAGGVDVERRRVFMVKEEKEKEKEYSSNSVELHLGVDASHSAPPEINHVITEAQRRELHHQVFIFNHLAYKLPPPHHRVQFPSNMSEYSFLGFDHGNVVDPEPHRCRRTDGKKWRCSKSVVPGQKYCERHMHRGRNRSRKPVETSQVNSPLASKPCGKSPTKSASKTRFEISNPNLTMAMQPSETPSSTPSRSLSIDNCSSMNRSLMVFPSASAAAFPGSGLATAGAPKAADNKSCLKMCQKDNQSKSRVSNSTGNRSDGKGSIVVGDSNSISTGISFSPTSVLQVFGNNPSYVNDKTNNTESAPDRCRRTDGKKWQCKSVVLPGQKYCATHMHRGAKKRFASHEIAAAAATTTTTTCSAVTIARLPNSPATTNMQKEDSAIPSTNLSMSVPASAQCNGKGSSNNDTDTTISDTLQECSYTSF >Vigun10g113900.3.v1.2 pep primary_assembly:ASM411807v1:10:31631047:31635124:1 gene:Vigun10g113900.v1.2 transcript:Vigun10g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGGAAGGVDVERRRVFMVKEEKEKEKEYSSNSVELHLGVDASHSAPPEINHVITEAQRRELHHQVFIFNHLAYKLPPPHHRVQFPSNMSEYSFLGFDHGNVVDPEPHRCRRTDGKKWRCSKSVVPGQKYCERHMHRGRNRSRKPVETSQVNSPLASKPCGKSPTKSASKTRFEISNPNLTMAMQPSETPSSTPSRSLSIDNCSSMNRSLMVFPSASAAAFPGSGLATAGAPKAADNKSCLKMCQKDNQSKSRVSNSTGNRSDGKGSIVVGDSNSISTGISFSPTSVLQVFGNNPSYVNDKTNNTESAPDRCRRTDGKKWQCKSVVLPGQKYCATHMHRGAKKRFASHEIAAAAATTTTTTCSAVTIARLPNSPATTNMQKEDSAIPSTNLSMSVPASAQCNGKGSSNNDTDTTISDTLQECSYTSF >Vigun11g106600.2.v1.2 pep primary_assembly:ASM411807v1:11:30512090:30521799:1 gene:Vigun11g106600.v1.2 transcript:Vigun11g106600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMQVPVGGRVPTWFSRRRLLEEKLCYLHKCTNLNHVNQILAQILKANLHRDLFVAPKLIAAFSVCRDLTAAVNVFNQLPHPNVHLYNCIIRANTNNHSHPSLPFNAFLQMQKNGLFPDNFTYPSLLKACIDSSSLPLVRMIHAHVEKFGLYEDIFVPNSLIDSYSRCGSSGLDAAMSLFLAMEERDVVTWNSMIGGLVRCGELECACKLFDEMPERDMVSWNTMLDGYTKAGEMEKAFELFERMPERNVVSWSTMVCRYSKVGDMDMARVLFDRCPGKNVVLWTTIIAGYAEKGNAREARELYGKMEEAGLRPDHGFLFSILAACAESGMLELGRRIHKSVKKWRFRCSGKVLNAFIDMYAKCGCLNAASEVFIGMMAKRDVVSWNSMIQGFAMHGHGKKALELFSRMVDEGFEPDRCTFIGLLCAYTHAGLVNEGRKCFYSMEKVYEILPEIEHYGCMVDLLERGGHLEEAFTLVRSMPMKPNAIILGTLLNACRMHNDVDLARAVCEQLFKLEPSDAGNYSLLSNIYAQAGYWMNMANVRLQMKNTGRQKPSGASFIEIYNLCIEESYDPAHFYGRVEAYPFDDNHVPSLQMIRDFCESVDSWLSSDPKNIVVIHCMYYAAGKGRTGLMVCAYLTYCGMSADEALQLYADRRTTNNEGVSIPSRGILG >Vigun07g058700.2.v1.2 pep primary_assembly:ASM411807v1:7:6497000:6519989:-1 gene:Vigun07g058700.v1.2 transcript:Vigun07g058700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTVLSEQLRNRLHKFSQAAVYSLLHAASEISSQSDRDRNVHTFVQRSLLRLSSPLESLIREKLSAKHPEAYEWFWSEQVPAVVASFVDKLQGDGRFTAAIALSGKNVGVSSASDISLLLLALTCISAIAKLGPSKVSCSQFFSMITEITGSLMDMLVGLIPVSQAYNSIKNIGLHREFLVHFGPRASSCRAKEKWGSEEVVFWVNLAQRQLQQAIDKEKIWSRLTTSESIEVLEKDLAVFGFFIALGRSTRSFLLTNGFETLDDPMEDFIRYLIGGSILYYPQLSSISSYQLYVEVVCEELDWLPFYPGITSVSKQSHMHRNKQEGPPNPDALPQAFDACSHWIQSFIKYSTWPESPSNVKAAEFLSTGHKKLMECMEELGMIRENALEPEATKVVHAHRSTVQSTIKESGSFDEALKSVEEAVIRLEKLLEELHVSSSSSGKEHLKAACSDLEKIRKLWKEAEFLVASFRAKADSLHEGVNSGRNYTPVEEDEYVKGKSRKNGNVRVDRNKRNVGKSREFWSIFGGPVTKKPGLESDADPYENNIEQPAPNVGVVDQESNEIRRFELLRNELIELEKRVQRSAYQSENNEDLLASDDGARYTDEAGVVKMARVEKKENILEKSIGRLKETGTDVWQGTQLLAIDVAAATGLLRRALVGDELTEKEKKTLKRTLTDMASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYAPERLDLLRQLEKVKQMTAGDISSDEEEDEDS >Vigun07g058700.1.v1.2 pep primary_assembly:ASM411807v1:7:6497000:6519989:-1 gene:Vigun07g058700.v1.2 transcript:Vigun07g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLRGSNSFLSLSSSNCWLSNGSPYAGKKVSNLHCLLLNKWGSSRKGCLIRYDFLLSGNPGLGCRKCYLVFSKPRRRVHLLPFASSDDGVTVNGSLQASTGTGLEKTRVKLNRSLEDEEFCEGLVQALYDAARVYELAIKEHKSFSRMSWFSTAWLGVDQNAWVKALSCQAAVYSLLHAASEISSQSDRDRNVHTFVQRSLLRLSSPLESLIREKLSAKHPEAYEWFWSEQVPAVVASFVDKLQGDGRFTAAIALSGKNVGVSSASDISLLLLALTCISAIAKLGPSKVSCSQFFSMITEITGSLMDMLVGLIPVSQAYNSIKNIGLHREFLVHFGPRASSCRAKEKWGSEEVVFWVNLAQRQLQQAIDKEKIWSRLTTSESIEVLEKDLAVFGFFIALGRSTRSFLLTNGFETLDDPMEDFIRYLIGGSILYYPQLSSISSYQLYVEVVCEELDWLPFYPGITSVSKQSHMHRNKQEGPPNPDALPQAFDACSHWIQSFIKYSTWPESPSNVKAAEFLSTGHKKLMECMEELGMIRENALEPEATKVVHAHRSTVQSTIKESGSFDEALKSVEEAVIRLEKLLEELHVSSSSSGKEHLKAACSDLEKIRKLWKEAEFLVASFRAKADSLHEGVNSGRNYTPVEEDEYVKGKSRKNGNVRVDRNKRNVGKSREFWSIFGGPVTKKPGLESDADPYENNIEQPAPNVGVVDQESNEIRRFELLRNELIELEKRVQRSAYQSENNEDLLASDDGARYTDEAGVVKMARVEKKENILEKSIGRLKETGTDVWQGTQLLAIDVAAATGLLRRALVGDELTEKEKKTLKRTLTDMASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYAPERLDLLRQLEKVKQMTAGDISSDEEEDEDS >Vigun04g032200.1.v1.2 pep primary_assembly:ASM411807v1:4:2606975:2639370:-1 gene:Vigun04g032200.v1.2 transcript:Vigun04g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKAIGFVGVDEFSLEMAHSAIRHGYGVRAFEINNPVIEELVKLGGVRCSSPSEAGRDVIALVILISDIDQTNDLIFGDDGALKGLKPDTVLILRSTSLPSFLHKLERDLAEIHEIAYVVDAYVSYGRSDALNGKVIIASSGRLDAIARAQHILTAMCEKLFTFEGEIGGGSKVKMVNVMLEGIHFINAVEALSLGAKIGIHPWIIYDIISNAAGNSWAFKNYLPLLLKGEVNHQILNTFVKELETILNMSKSLTFPLPILAATHLQLIHGASLVDSGEDITILTKVWEKVYGVKILDAANADAYNPEQLASKFTTDSKSARRIGFIGLGAMGFGMATHLVNSKFCVVGYDVYKPTLTRFTNAGGLIGNSPAEVSKDVDVLIIMVTNESQAENVLYGENGAVSALPPGASIILSSTVSPAYVSQLEHRLQNEGKNLKLVDAPVSGGVMRASLGTLTIMASGADDALKSAGQVLAALSEKLYIIKGGCGSGSGIKMINQLLAGVHIAAAAEAIAFAARLGLNTRLLFDFITISGGTSWMFENRGQHMIDNDYTPCSALDIFVKDMGIVTRESSTWKVPLQLSTIAHQLYLAGSAAGWGRIDDAGVVKVYEMLTGVKVEGKLQAQRKDTMLRSLPPEWPQDHVLDIQTLKESNSKILVVLDDDPTGTQTVHDIEVLTEWTIESLIEQFRKSPKCFFILTNSRSLSSDKASALIKEICRNLDIAAKSFDSIDYSVILRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIADTHYVDDSDMLVPAGDTEFAKDASFGYKSSNLRDWVEEKTNGRVLASSVASVSIQLLRKGGPNAVCHHLCSLQKGTICIVNAASERDMTVFALGMIKAELTGKRFLCRTAASFVSALMGIISKPPILPSDLGIASEKNGGLIVVGSYVPKTTKQVEELKLQCGQFLKSIEVSVEKLAMSPIEVREEEISRTAELSDAYLKAHKDTLIMTSRNLITGRTAAESLDINYKVSSALVEIVKRVTTKPRYIIAKGGITSSDLATKALGARCAKIVGQALAGIPLWQLGPESRHPGIPYIVFPGNVGNSTALAEVVKSWTYPIRFTSTKEILNNAEKGGYAVGAFNVYNLEGVEAVVSAAEEEQSPAILQIHPGALKQGGIPLVACCISAAKQASVPITVHFDHGTSKQDLVEALDLGFSSIMVDGSHLSFNENAAYTKFIALLAHSKNMLVEAELGRLSGTEDDLTVEEFEARLTDVNMASKFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKELHALSLKKGVYLVLHGASGLSKELVKECINLGVRKFNVNTEVRKAYMESLITPKNDLVHVMASAKEAMKAVVAEKMHLFGSAGKA >Vigun04g032200.2.v1.2 pep primary_assembly:ASM411807v1:4:2606975:2639090:-1 gene:Vigun04g032200.v1.2 transcript:Vigun04g032200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKAIGFVGVDEFSLEMAHSAIRHGYGVRAFEINNPVIEELVKLGGVRCSSPSEAGRDVIALVILISDIDQTNDLIFGDDGALKGLKPDTVLILRSTSLPSFLHKLERDLAEIHEIAYVVDAYVSYGRSDALNGKVIIASSGRLDAIARAQHILTAMCEKLFTFEGEIGGGSKVKMVNVMLEGIHFINAVEALSLGAKIGIHPWIIYDIISNAAGNSWAFKNYLPLLLKGEVNHQILNTFVKELETILNMSKSLTFPLPILAATHLQLIHGASLVDSGEDITILTKVWEKVYGVKILDAANADAYNPEQLASKFTTDSKSARRIGFIGLGAMGFGMATHLVNSKFCVVGYDVYKPTLTRFTNAGGLIGNSPAEVSKDVDVLIIMVTNESQAENVLYGENGAVSALPPGASIILSSTVSPAYVSQLEHRLQNEGKNLKLVDAPVSGGVMRASLGTLTIMASGADDALKSAGQVLAALSEKLYIIKGGCGSGSGIKMINQLLAGVHIAAAAEAIAFAARLGLNTRLLFDFITISGGTSWMFENRGQHMIDNDYTPCSALDIFVKDMGIVTRESSTWKVPLQLSTIAHQLYLAGSAAGWGRIDDAGVVKVYEMLTGVKVEGKLQAQRKDTMLRSLPPEWPQDHVLDIQTLKESNSKILVVLDDDPTGTQTVHDIEVLTEWTIESLIEQFRKSPKCFFILTNSRSLSSDKASALIKEICRNLDIAAKSFDSIDYSVILRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIADTHYVDDSDMLVPAGDTEFAKDASFGYKSSNLRDWVEEKTNGRVLASSVASVSIQLLRKGGPNAVCHHLCSLQKGTICIVNAASERDMTVFALGMIKAELTGKRFLCRTAASFVSALMGIISKPPILPSDLGIASEKNGGLIVVGSYVPKTTKQVEELKLQCGQFLKSIEVSVEKLAMSPIEVREEEISRTAELSDAYLKAHKDTLIMTSRNLITGRTAAESLDINYKVSSALVEIVKRVTTKPRYIIAKGGITSSDLATKALGARCAKIVGQALAGIPLWQLGPESRHPGIPYIVFPGNVGNSTALAEVVKSWTYPIRFTSTKEILNNAEKGGYAVGAFNVYNLEGVEAVVSAAEEEQSPAILQIHPGALKQGGIPLVACCISAAKQASVPITVHFDHGTSKQDLVEALDLGFSSIMVDGSHLSFNENAAYTKFIALLAHSKNMLVEAELGRLSGTEDDLTVEEFEARLTDVNMASKFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKELHALSLKKGVYLVLHGASGLSKELVKECINLGVRKFNVNTEVRKAYMESLITPKNDLVHVMASAKEAMKAVVAEKMHLFGSAGKA >Vigun03g414100.2.v1.2 pep primary_assembly:ASM411807v1:3:62105222:62106573:1 gene:Vigun03g414100.v1.2 transcript:Vigun03g414100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILYHIMQQMGVLMVYVFPKVAELLESKELVGVVRGCIKSMQTPSESLLKIGCILGLRVSPSHRRKGIGLKLVTSVEEWMLRNGVEYAFLATEKNNDASRNLFTNKCKFVSLSSLFIFVHPISFPAKHLSKDIEIEKVNIDQAISLYRRTLMAKELYPLDMDSILKENLSLGTWVSYYKEGGLLNLQRKEDMITNEITSSWIIFSIWNTCEAYKLQLKKSQPLRFLYTTLNHARDKIFPCLRMSVSDSLCRPFGFLFLYGLHGEGENLGELMESIWRFTSRMGESLKDCRVVITELGFGDPLVNHVPQTASMSCIDDIWYTKRISSHNDEKDDELLIKRQIGNVFVDPRDF >Vigun10g160400.2.v1.2 pep primary_assembly:ASM411807v1:10:37933806:37935441:1 gene:Vigun10g160400.v1.2 transcript:Vigun10g160400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRLIQASVDATKRALSGNLDDLMPPPERPIFSFNSKKELSKWHLYSDSEFGGLSSASLQITESENGVTSGIFSGNLSLDVTEGSKWNITRGGFCGMRSKKFDGFIDLDSYDTIAMKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVYVPEGNWYITKDVYSNKYR >Vigun10g160400.1.v1.2 pep primary_assembly:ASM411807v1:10:37933806:37937000:1 gene:Vigun10g160400.v1.2 transcript:Vigun10g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRLIQASVDATKRALSGNLDDLMPPPERPIFSFNSKKELSKWHLYSDSEFGGLSSASLQITESENGVTSGIFSGNLSLDVTEGSKWNITRGGFCGMRSKKFDGFIDLDSYDTIAMKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVYVPEGNWYITKIPLARYLPTWRGNVIDAELEMNPSRILGMSLSVNAEGGVPGARSGPGDFRVELDWIKALRTE >Vigun07g016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1440947:1441991:1 gene:Vigun07g016400.v1.2 transcript:Vigun07g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEVSYPFIYIYIHGCKHIGQHKPFRITQLIFKSSLNLFSSQVSNRVNTTMGFRLPGSKKTIFAVSEASSKAVNAPKGYLAVYVGEKMKRLMIPVSYLNQPSFQDLLSQAEEEFGYEHSMGGLTIPCREDLFNHIISCLNG >Vigun09g089800.1.v1.2 pep primary_assembly:ASM411807v1:9:12397635:12401697:-1 gene:Vigun09g089800.v1.2 transcript:Vigun09g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLRYLAGMAGPSGFGSNSTAEQVTEDSSCFLPSSLTALITGASSGIGAETARVLAKRGVRVVIGARDLKKAMEVKKNIQKESPKAEVVLLEIDLSSFGSVQRFCSEFLALELPLNILINNAGIFSQNLEFSEDKIETTFATNYLGHFLLTEILLEKMIETAEKTGIEGRIINVSSVIHSWVKKDGFGFNDILSGKKYNGTRAYAESKLANILHAKEIAKQLKARNARVTINAVHPGIVKTGIIRAHKGLLTDSLFFIASKLLKTTSQGAATTCYVALSSKTEGISGKYFADCNESKCSSLANDEMEAQKLWNNTHALLHKRLRQATI >Vigun08g085600.1.v1.2 pep primary_assembly:ASM411807v1:8:18857581:18861072:-1 gene:Vigun08g085600.v1.2 transcript:Vigun08g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKSPLIDDNLFDIFQDTVFGDTQFGGGDLISIFQSLDSKDFAPPTSKQNEHNSTMESQKLSISSSAETEAEISPESKTKKLIPTLPEEPQKVSHITVERNRRKQMNLNLSVLRSLMPSFYAKRGDQASIIGGVVDYINELQQVLQCLEAKKQRKVYSDVLSPRVVSSTRASPLSPRKPALSPRINLPISPRTPQPDSPYKPSYLSPTIANSPTSSASSSINDNIKELVASSKSLMADVEVKFSGPHLLLKTVSPPIPGQPLRIISALEDLALEILHVNINIADEIMLSSFTIKIGIECQLSAEELAQQIQQTFY >Vigun11g151800.1.v1.2 pep primary_assembly:ASM411807v1:11:36193653:36196371:-1 gene:Vigun11g151800.v1.2 transcript:Vigun11g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLSPQLAKKVYESNGGSYYAWSPSELPMLREGNIAAAKLALLKNGFALPQYSDSSKVAYVLQGSGVAGIVLPESQEKVVAIKKGDALALPFGVVTWWYNKEDTELVILFLGETSKAHKTGEFTDFFLTGANGIFTGFSTEFVGRAWDLEEKVVKALVGNQSGKGIVKLEGNIKLPEPKEEHRKGMALNCEEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGKAMCSPGFSCDSAYQVTYIVRGSGRAQVVGVDGRRVLETTVKAGNLFIVPRFFVVSKIADNDGLEWFSIITTPNPVFTHLAGSIGAWKALSPTVLQAAFNVDAEVEKVFRSKRNADAIFFPPPN >Vigun11g107700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30675656:30678522:-1 gene:Vigun11g107700.v1.2 transcript:Vigun11g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGGKSSKEGVEEIHHHKVFGESEGQDSEKEKGKGVDLSSGRRQWKPVFDDASISQRPLKKIRSPEHQSPNHQPSSSSSSMLSLQPPSSRIVFPFAFEGSQHPMPSFPHSFGTTNLPLFRPPLQPTQQMISFGSQQNMGYPQFLSPDSTIPNQQQLQQQQQQYQQQQQLLQYWNDALNLSPRGRMMMMMMNRSGHEGRPLLRPQAQPLNATKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENAKLNFPELFLNKDKAEASTPAPASSSNEGSTSTSSQNTKQPEPVPEETNTESEDMPPTETLAEENAETEEGVSQPQELVWGEWFNTIPAGWGPGSPVWDDLDHNNNLLLHSQLPFVNQSHQEFHHDAAAASSQTQQDNNNTGPGSSSPSCPMRPFF >Vigun02g061400.1.v1.2 pep primary_assembly:ASM411807v1:2:20708635:20713520:1 gene:Vigun02g061400.v1.2 transcript:Vigun02g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHPGDGRTRSSVQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEITKKSSDCNIRPDLSFDSHHGGEVSKIDESESKPKVFEPCDAQFIDYTPCQDQRRAMTFPRENMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSAAFDMAHCSRCLIPWGANGGMYMMEVDRVLRPGGYWVLSGPPINWKANYKSWQRPREELEEEQRKIEEVAKLLCWEKKSEQSEIAIWQKTVDSESCRSRQEDSGVKFCESADANDVWYKKMEVCITPTPKVSGDLKPFPERLYAIPPRIAGGSVPGVSVASYNDDNEKWKKHVNAYKKINRLLDSGRYRNIMDMNAGLGSFAAAIQSTKLWVMNVVPTIAEKSTLGVIYERGLTGIYHDWCEAFSTYPRTYDLIHSHGLFSLYKDKCNEEDILLEMDRILRPEGAVIFRDEVDVLIKVKKLVEGMRWDTKMVDHEDGPLVPEKILVAVKQYWVANVTSAQ >Vigun09g058401.1.v1.2 pep primary_assembly:ASM411807v1:9:5924650:5928728:1 gene:Vigun09g058401.v1.2 transcript:Vigun09g058401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGIRKYPLPDGDGDESKVVYTLGLGMGMGMNFYYGNGDGIMIPVPVPPRCHPYLQRAAPTPCRSFLSVEERGSMNNWFKKQMRRFSPLALIGWGYGAVLIFLFSPFTFNFKNGTLFSLCFLFLKVCIGNSDLDISQESILQNVDEQTVRSLNGCRVTDQVLRLVPNIQTFRTTLRCMKFWAKRRGVYSNVASFLGGINLALFVARICQVCTQWRWPNPVMLCAIEEGSLGLSVWDPRRNPKDRYHLMPIITPAYPCMNSTYNVTSSTLRVMSDEFGRGGEMCEAMEAGKADWDTLFEPCPFFESYKNYLQIDITAENSDDLRQWKGWVESRLRQLTLKIEKHTYGMLQCHPHPGEFSDKSRPFHHSYFMGLRRKQGVAVNEGEQVDIRLTVEEFKHSVNAYTLWKTGMDIRVSHALDQGQVEKPQGKGVAVVADDLKRRRKSEDAMDNNSKNSKSPVSLPAPSREAHEDATRIKLDESEVKGIDEQKSKKLCLRSPGEIPSGDTGINGFVNFAATDTCSSKEVENPAIEKIMSGPYDAHQSFPEEPEELEDDTQYRNQVKDTGANIKNNITESLVPKPAMAEEPVVYMETSSTRLCSCEGLEELEPAELTAPLLTVPPAPAPAPKKPLIRLNFTSLGKAADKSS >Vigun07g128700.1.v1.2 pep primary_assembly:ASM411807v1:7:23769338:23771852:-1 gene:Vigun07g128700.v1.2 transcript:Vigun07g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAHILPLALSLFFLTLFLPTQARYHFHKKHKHSNYHNAPEISPSPSPFSGPSSSPPDEAPSPSPSVDENYHNASNNFFDVRAFGAIGDGVTDATESFKMAWDTACQSESPVKVILVPQGLSFVIQSAIFTGPCKGGLVLKVDGTLMPPDGPDSWPNNTSRRQWLVFYRINGLSLEGSGLIDGRGAKWWDLPCKPHKGPHGTTSPGPCDSPVAIRFFMSSNLSVQGLKIKNSPQFHFRFDGCESVHVESIYITAPALSPNTDGIHIENTNDVKIYNSVISNGDDCVSIGAGCHDVDIKNITCGPGHGISIGSLGNHNSRACVSNITVRDSVIKVSDNGVRIKTWQGGSGSVSGVTFSNIHMESVRNPMIIDQFYCLSKDCSNKSSAVFVSNISYTNIKGTYDIRHPPMHFACSDSVPCTNLTLSDIELLPAEGDMVLDPYCWSAYGSSETLTIPPVSCLLEGLPQSISGNDVDHC >Vigun09g005500.1.v1.2 pep primary_assembly:ASM411807v1:9:389058:391978:1 gene:Vigun09g005500.v1.2 transcript:Vigun09g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEKDNKNSLSQRQFCSIMCLKPIPFTFLLLFLGFYVALSTPEDPINCFSHNTNCTITNSYATFPDRTICEAQQVFYPTQEQDILRVVASATRNGKKMKVATRFSHSIPKWVCPEGHNGWLISTKYLNRVMEIDAEKMTARVQSGVTLKQVVEEAAKAGLALPYTPYWWGLTMGGILGTGAHGSSLWGKGSAVHEQVVELRIVTPAPPEHGYAKVHILTEEDQRLNAAKVSLGLLGVISQITLKLEPLFKRSITYVTENDSNLEDQVVAFGQEHEFADIIWYPNQHKAVYRVDDRVPIHTSGNGVYDFVPFRSTPATQLQLLRTTEEVVDFTGDAEGKCLLAKTATNVLMTTAYGLTNNGLIFSGFPVVGFHNHIQASGSCLGSDPNTKIATLCPWDSRIKGEFFHQTAFSIGLSVVKNFIEDVKKLVELEPKAFCGIEMYNGILMRYVKGSSAYLGKQEDGVDFDITYYRSKDPITPRLYEDIIEEVEQIGIFKYGGLPHWGKNRNVAFEGVMNKYKNADKFLKVKDEYDPERLFSSKWTDQVFGLKEGLMISKDGCALEGLCICSHDNHCAPTKGYFCRPGKVYKEANICAGSGS >Vigun03g223000.2.v1.2 pep primary_assembly:ASM411807v1:3:37070160:37074069:-1 gene:Vigun03g223000.v1.2 transcript:Vigun03g223000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDMEEEEAPDVICELENVQGLVDALTAVRWKRHQDAVLELSEHGIVLIVEESSCLQAKVYLKRELFVRYDYKARGRPRFGVSLGHFVDCLNAFSLPGQSSVIQIQYPGPDMQLLLKSVDSQDASICAEIRTRIPDTAAWNYNFEPAGATPLTFTVKSAALKEAIEDLEWPGSSIQIILEPDPPSVTLRAEGHGDLQIDFMYYVNSELLVAFQCDHRASFKYKYKFLRATTSTMPSSVIKENRGSKLSIGRGGMLKVQHLVSIAKPLHTHVDAVGYQQPGRIAHIEFFVKPEETDD >Vigun03g223000.1.v1.2 pep primary_assembly:ASM411807v1:3:37070160:37074069:-1 gene:Vigun03g223000.v1.2 transcript:Vigun03g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDMEEEEAPDVICELENVQGLVDALTAVRWKRHQDAVLELSEHGIVLIVEESSCLQAKVYLKRELFVRYDYKARGRPRFGVSLGHFVDCLNAFSLPGQSSVIQIQYPGPDMQLLLKSVDSQDASICAEIRTRIPDTAAWNYNFEPAGATPLTFTVKSAALKEAIEDLEWPGSSIQIILEPDPPSVTLRAEGHGDLQVYFMYYVNSELLVAFQCDHRASFKYKYKFLRATTSTMPSSVIKENRGSKLSIGRGGMLKVQHLVSIAKPLHTHVDAVGYQQPGRIAHIEFFVKPEETDD >Vigun01g033701.1.v1.2 pep primary_assembly:ASM411807v1:1:4348165:4350022:-1 gene:Vigun01g033701.v1.2 transcript:Vigun01g033701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIRTSISLYIKRFTTCHLNVIMVMWVCHGCGFVSWNAGMKWR >Vigun11g074600.1.v1.2 pep primary_assembly:ASM411807v1:11:21786383:21790960:1 gene:Vigun11g074600.v1.2 transcript:Vigun11g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLKNNEEVGPREKYCVTGSTGYIGSWLVEALLQRGCTVHATVRDPAKSLHLLSLWKGGDQLRFFKADLNEEGSFDEAVKGCVGVFHVAASMEFNVSDKENNEFYVQENIIDPAIKGTINLLKSCLKSNSVKRVVFTSSISTITARESNGNWKTMVDETCQIQPENVLNTQASGWVYALSKLLTEDAAFQFAKDNGIDLVSIITSTVAGPFFTTNVPKSVKVLLSPLTGETEYFKILSAVNARMGSIALVHIEDICRAHMFLMEHAKAEGRYICSSQSCTLSNLTTLLSKVYSCSNICMKTEKIYDKVLSEISSKKLEDLGFSYKHGLEDIIYQTVTCCLDYSYLPPV >Vigun11g074600.3.v1.2 pep primary_assembly:ASM411807v1:11:21787595:21790960:1 gene:Vigun11g074600.v1.2 transcript:Vigun11g074600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNVSDKENNEFYVQENIIDPAIKGTINLLKSCLKSNSVKRVVFTSSISTITARESNGNWKTMVDETCQIQPENVLNTQASGWVYALSKLLTEDAAFQFAKDNGIDLVSIITSTVAGPFFTTNVPKSVKVLLSPLTGETEYFKILSAVNARMGSIALVHIEDICRAHMFLMEHAKAEGRYICSSQSCTLSNLTTLLSKVYSCSNICMKTEKIYDKVLSEISSKKLEDLGFSYKHGLEDIIYQTVTCCLDYSYLPPV >Vigun11g074600.2.v1.2 pep primary_assembly:ASM411807v1:11:21786383:21790960:1 gene:Vigun11g074600.v1.2 transcript:Vigun11g074600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEILSLHLLSLWKGGDQLRFFKADLNEEGSFDEAVKGCVGVFHVAASMEFNVSDKENNEFYVQENIIDPAIKGTINLLKSCLKSNSVKRVVFTSSISTITARESNGNWKTMVDETCQIQPENVLNTQASGWVYALSKLLTEDAAFQFAKDNGIDLVSIITSTVAGPFFTTNVPKSVKVLLSPLTGETEYFKILSAVNARMGSIALVHIEDICRAHMFLMEHAKAEGRYICSSQSCTLSNLTTLLSKVYSCSNICMKTEKIYDKVLSEISSKKLEDLGFSYKHGLEDIIYQTVTCCLDYSYLPPV >Vigun11g074600.4.v1.2 pep primary_assembly:ASM411807v1:11:21786383:21790960:1 gene:Vigun11g074600.v1.2 transcript:Vigun11g074600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNVSDKENNEFYVQENIIDPAIKGTINLLKSCLKSNSVKRVVFTSSISTITARESNGNWKTMVDETCQIQPENVLNTQASGWVYALSKLLTEDAAFQFAKDNGIDLVSIITSTVAGPFFTTNVPKSVKVLLSPLTGETEYFKILSAVNARMGSIALVHIEDICRAHMFLMEHAKAEGRYICSSQSCTLSNLTTLLSKVYSCSNICMKTEKIYDKVLSEISSKKLEDLGFSYKHGLEDIIYQTVTCCLDYSYLPPV >Vigun11g220800.1.v1.2 pep primary_assembly:ASM411807v1:11:41298232:41302627:-1 gene:Vigun11g220800.v1.2 transcript:Vigun11g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTHVPPGFRFHPTDEELVDYYLRKKVASRRIDLDVIKDVDLYKIEPWDLQEICRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHELIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEDGWVVCRVFKKRVTTMRKVNEHDSPNWYDEQVSFIQDMDSPKQNSQPNLVYQLPYPCKKELDVPYQVPRDHFLPLLESPKLLQSASAMTPNPMAPYLLDHANHSTTLLPSLLIQEEHVIGQQMNFQTTYGNCNEQAMDQVTDWRVLDKFVASQLSQDGSKDNIFHGTDNGNCNVQFRHFDKHEVVVPQENASTSNSSYPIALWK >Vigun11g220800.2.v1.2 pep primary_assembly:ASM411807v1:11:41298232:41300485:-1 gene:Vigun11g220800.v1.2 transcript:Vigun11g220800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTHVPPGFRFHPTDEELVDYYLRKKVASRRIDLDVIKDVDLYKIEPWDLQEICRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHELIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEDGWVVCRVFKKRVTTMRKVNEHDSPNWYDEQVSFIQDMDSPKQNSQPNLVYQLPYPCKKELDVPYQVPRDHFLPLLESPKLLQSASAMTPNPMAPYLLDHANHSTTLLPSLLIQEEHVIGQQMNFQTTYGNCNEQAMDQVTDWRVLDKFVASQLSQDGSKDNIFHGTDNGNCNVQFRHFDKHEVVVPQENASTSNSSYPIALWK >Vigun06g127300.1.v1.2 pep primary_assembly:ASM411807v1:6:25414217:25415759:-1 gene:Vigun06g127300.v1.2 transcript:Vigun06g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISEYSHSSNAGTRKKRSRSKKRFSDGQVKSLESMFETDSRLEPAKKLEVARELGLQPRQVAIWFQNKRARWKFKQVQRDYTTLRANYKTLDSQFHALNKEYQSMLIQLQDLKNRLQKPLEQTESCSTGHKAANSMESETGNGETIKREQEIKQPRMTTGKSEDIVCGIISDCDRSIKAKQYFGVEDEASLLNFVENTDGSLTTSEHWGLLESDDFMGQLTSCDYQWWDFWS >Vigun05g020800.1.v1.2 pep primary_assembly:ASM411807v1:5:1737651:1742530:-1 gene:Vigun05g020800.v1.2 transcript:Vigun05g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQPSNPNAVDGAGNGATPCSAADGADDGRPAARLPRWTRQEILVLIQGKSDAENRFRPGRGSGSAFGLSEPKWALVSAYCKKHGVNREPVQCRKRWSNLAGDYKKIKEWELQVRDETESFWLMRNDLRRERKLPGYFDREVYNILDSPSTAAAATVETPTAAVEAAVDEEVHLYDSNRRVGSDDGLFSDCEKEDVLLSAPAKDVPAPVPISEKQFQPLLQLCEGEGNAEGTTNEKRATPNPEMGSTSQGGRKRKRFSTDTEEESLQSQLIDVLEKNGKMLRDHLETQNTNFQLDRQQQKDTATNIVAVLDKLADALGRIADKL >Vigun08g201800.2.v1.2 pep primary_assembly:ASM411807v1:8:36603317:36606193:1 gene:Vigun08g201800.v1.2 transcript:Vigun08g201800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSNHDIAKPSSHPFMSFRGTPLLMDALDFFPQKSKETEDDDDDDDADDIDHHHQNLDFHVDTSLDLLTKNTSGNRSIEENDALETMDEKRFVALVTELHQVNAENQRLRELIDQMNNNSNAVRMQLIKITQKQHNQGNNGVSGEKEEMVVPRSFLDMGVAEKDEASQQVSEEKLRESKSVVDLIHRCKGSEKDIEDKLDCVRESSKARMEGDQELSNKVPKLDQSSETLSMIKKARVSVRARSDSTMIADGCQWRKYGQKMAKGNPCPRAYYRCTMSTGCPVQRCAEDRSVLITTYEGQHNHPLPPTAQAMASTTSAAASMLLSGSMPSSDGLINPTILESASLPCSQNMATLSASAPFPTITLDLTQNATNSSQHQREPQNQLSLLSPLLAQKFMSVPKLFGHSLCDQTKLAGLHESQGMDTAAPSFANSLNAATAAITADPNFTAALVAAITSVMGSSHSNTGGTSNTTGDDQCNNNA >Vigun08g201800.3.v1.2 pep primary_assembly:ASM411807v1:8:36603317:36606193:1 gene:Vigun08g201800.v1.2 transcript:Vigun08g201800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRFVALVTELHQVNAENQRLRELIDQMNNNSNAVRMQLIKITQKQHNQGNNGVSGEKEEMVVPRSFLDMGVAEKDEASQQVSEEKLRESKSVVDLIHRCKGSEKDIEDKLDCVRESSKARMEGDQELSNKVPKLDQSSETLSMIKKARVSVRARSDSTMIADGCQWRKYGQKMAKGNPCPRAYYRCTMSTGCPVRKQVQRCAEDRSVLITTYEGQHNHPLPPTAQAMASTTSAAASMLLSGSMPSSDGLINPTILESASLPCSQNMATLSASAPFPTITLDLTQNATNSSQHQREPQNQLSLLSPLLAQKFMSVPKLFGHSLCDQTKLAGLHESQGMDTAAPSFANSLNAATAAITADPNFTAALVAAITSVMGSSHSNTGGTSNTTGDDQCNNNA >Vigun08g201800.1.v1.2 pep primary_assembly:ASM411807v1:8:36603317:36606193:1 gene:Vigun08g201800.v1.2 transcript:Vigun08g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSNHDIAKPSSHPFMSFRGTPLLMDALDFFPQKSKETEDDDDDDDADDIDHHHQNLDFHVDTSLDLLTKNTSGNRSIEENDALETMDEKRFVALVTELHQVNAENQRLRELIDQMNNNSNAVRMQLIKITQKQHNQGNNGVSGEKEEMVVPRSFLDMGVAEKDEASQQVSEEKLRESKSVVDLIHRCKGSEKDIEDKLDCVRESSKARMEGDQELSNKVPKLDQSSETLSMIKKARVSVRARSDSTMIADGCQWRKYGQKMAKGNPCPRAYYRCTMSTGCPVRKQVQRCAEDRSVLITTYEGQHNHPLPPTAQAMASTTSAAASMLLSGSMPSSDGLINPTILESASLPCSQNMATLSASAPFPTITLDLTQNATNSSQHQREPQNQLSLLSPLLAQKFMSVPKLFGHSLCDQTKLAGLHESQGMDTAAPSFANSLNAATAAITADPNFTAALVAAITSVMGSSHSNTGGTSNTTGDDQCNNNA >Vigun10g005100.1.v1.2 pep primary_assembly:ASM411807v1:10:485288:488773:1 gene:Vigun10g005100.v1.2 transcript:Vigun10g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEALAWDTQDYTFLKKILLVLLCVILFCKIVRYMFGLLKEEKEPITVLVTGAAGQIGYALVPMIARGAMLGPDQPVILHMLDIEPAAEALKGVKMELVDAAFPLLKGVVATTDVVEACKNVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQNAAADCKVLVVANPANTNALILKEFAPSIPDKNITCLTRLDHNRALGQISERLNVHVSDVKNVIIWGNHSSTQYPDVNHATVTANGAQKPVRELVADDNWLNSEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKESWVSMGVYSDGSYGVQPGLIYSFPVTCEKGEWTIVKDLKIDEFSRDKMDKTAQELVEEKELAKSCLN >Vigun10g005100.2.v1.2 pep primary_assembly:ASM411807v1:10:484842:488773:1 gene:Vigun10g005100.v1.2 transcript:Vigun10g005100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATDPVRVLVTGAAGQIGYALVPMIARGAMLGPDQPVILHMLDIEPAAEALKGVKMELVDAAFPLLKGVVATTDVVEACKNVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQNAAADCKVLVVANPANTNALILKEFAPSIPDKNITCLTRLDHNRALGQISERLNVHVSDVKNVIIWGNHSSTQYPDVNHATVTANGAQKPVRELVADDNWLNSEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKESWVSMGVYSDGSYGVQPGLIYSFPVTCEKGEWTIVKDLKIDEFSRDKMDKTAQELVEEKELAKSCLN >Vigun07g239300.1.v1.2 pep primary_assembly:ASM411807v1:7:36068403:36072523:1 gene:Vigun07g239300.v1.2 transcript:Vigun07g239300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPSPNLLGSLLESAVSTHSSLLGRAAHALILRTHDTPLSSFLCNHLVNMYSKLDRINSAEILLSLTNPRTVVTWTSLISGCVHNRRFTSALLHFTNMRRESVLPNDFTFPCVFKASASLHMPFTGKQLHALALKGGNILDVFVGCSAFDMYSKTGLRVEARNMFDEMPHRNLATWNAYISNAVQDGRCLDAVSAFKKFLSVGGEPNAITFCVFLNACADMASLELGIQLHGFIVRSRYREDVSVSNGLIDFYGKCGDIVSSEMIFNRIGSGRRNVVSWCSMLAALVQNHEEERACMVFLKARKEVEPTDFMISSVLSACAELGGLELGRSVHALAVKACVDENIFVGSALVYLYGKCGSIENAEQVFREMPERNLVTWNALIGGYAHLGDVDMALTLFEEMTLGSFGIAPSYVTLVSVLSACSRAGAVERGLHIFESMRGRYGIEPGAEHYACIVDLLGRSGLVDRAYEFIKRMPILPTISVWGALLGSCKMHGKTKLGKIAAEKLFQLDPDDSGNHVVFSNMLASAGRWEEATIVRKEMRDIGIKKNVGYSWVAVKNRVHVFQAKDSSHEKNSEIQAMLAKLRVEMKKTGYVPDTNLSLFDLEEEEKASEVWYHSEKIALAFGLIALPHGVPIRVTKNLRICGDCHSAIKFISKIVGREIIVRDNNRFHHFKDGWCSCKDYW >Vigun07g239300.2.v1.2 pep primary_assembly:ASM411807v1:7:36068463:36073867:1 gene:Vigun07g239300.v1.2 transcript:Vigun07g239300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPSPNLLGSLLESAVSTHSSLLGRAAHALILRTHDTPLSSFLCNHLVNMYSKLDRINSAEILLSLTNPRTVVTWTSLISGCVHNRRFTSALLHFTNMRRESVLPNDFTFPCVFKASASLHMPFTGKQLHALALKGGNILDVFVGCSAFDMYSKTGLRVEARNMFDEMPHRNLATWNAYISNAVQDGRCLDAVSAFKKFLSVGGEPNAITFCVFLNACADMASLELGIQLHGFIVRSRYREDVSVSNGLIDFYGKCGDIVSSEMIFNRIGSGRRNVVSWCSMLAALVQNHEEERACMVFLKARKEVEPTDFMISSVLSACAELGGLELGRSVHALAVKACVDENIFVGSALVYLYGKCGSIENAEQVFREMPERNLVTWNALIGGYAHLGDVDMALTLFEEMTLGSFGIAPSYVTLVSVLSACSRAGAVERGLHIFESMRGRYGIEPGAEHYACIVDLLGRSGLVDRAYEFIKRMPILPTISVWGALLGSCKMHGKTKLGKIAAEKLFQLDPDDSGNHVVFSNMLASAGRWEEATIVRKEMRDIGIKKNVGYSWVAVKNRVHVFQAKDSSHEKNSEIQAMLAKLRVEMKKTGYVPDTNLSLFDLEEEEKASEVWYHSEKIALAFGLIALPHGVPIRVTKNLRICGDCHSAIKFISKIVGREIIVRDNNRFHHFKDGWCSCKDYW >Vigun05g235800.1.v1.2 pep primary_assembly:ASM411807v1:5:42892990:42896477:-1 gene:Vigun05g235800.v1.2 transcript:Vigun05g235800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFFALLLIPLTFSDLFVQIQSFNFGINYGQLGDNLPSPSSVAALIKSLKVSKIKLYDSNPDILSAFADSGVEFIIGTRNEDLPSLRDPSNAQKWIQQHVQPYISRTKITCIAVGNEVFDYNNPQLTTSLLPAMQSMYNALVSLGLAQQVTVTSAHSYNVIASSFPPSSGAFRQDLIQYLQPILNFHAQIKSPFLINAYPFFAYKGNPNQISLNYVLFQPNSGSTDPVTNLHYDNMLFAQIDAVYAAIKRLGHTDIEVKISETGWPSKGGPDEIGATPQNAEIYNSNLLKRIEQKQGTPAKPSIPVDVFVFALFNENLKPDPVSERNFGLYYPDGNPVYNIGLEGYLPEMTGEFYSKSKVLSINFVCIFSFLLFTWELLRH >Vigun07g268800.1.v1.2 pep primary_assembly:ASM411807v1:7:38424238:38425611:-1 gene:Vigun07g268800.v1.2 transcript:Vigun07g268800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDIESGFSHGSDGLYPYMIESPELRWGFIRKVYIIISVQLLFTAAFSSIFIFFTPARNFARYNNYAPFLFFGAAIFSIIFLFVLSKYYNKHPVNLILLGLYTLGMSVAVGYACAFVDAMIVMEAALLTGVVTGSLTLYTFWAVKRGSDFSFLGPFLFASLMVMLLFSIIQVFFPLGPTGRMFIAGIGALIMCGFIVYDTDDLIKRYTYDDYIWAAIAIYGDILNLFIYLLTILNEL >Vigun05g263450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45591367:45591726:-1 gene:Vigun05g263450.v1.2 transcript:Vigun05g263450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGFFFQFYFQKISNFELFDLLSNPQFALSFLEFAPMAQPSFSRWRSSCSQAAVESRDFFFFLARCAGRRIWETRRESRWSPSPSRWPAFSCSRARWRWSHRLPPVFIREPHRVLHL >Vigun07g231800.1.v1.2 pep primary_assembly:ASM411807v1:7:35376937:35378773:1 gene:Vigun07g231800.v1.2 transcript:Vigun07g231800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCITSMHPIPIMPQPSNQEIKEQDQHPLVFDASVLRHQLHIPSQFIWPDEEKACLDEPELQVPFIDLGGFLSGDPLAAAEASRLVGEACQKHGFFLVVNHGIQQQLISDAHLYMDHFFALPLSHKQRAQRMPGEHCGYASSFTGRFSSKLPWKETLSFQYSARNNSPTLVKDYLCDKMGKEFHQFGNVYQDYCEAMSNLSLGIMELLGMSLGVGRAYFREFFEENSSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVCVDNEWHSIKPVFNAFVVNVGDTFMALSNGRYKSCLHRAVVNSETTRKSLAFFLCPRSDKVVSPPCELVDHLSPRLYPDFTWPMLLEFTQKHYRADMKTLEAFTNWLQRKSTHSNFDSHIK >Vigun03g392200.1.v1.2 pep primary_assembly:ASM411807v1:3:59847912:59851963:1 gene:Vigun03g392200.v1.2 transcript:Vigun03g392200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYFRFSKALVVLGLAFTVLTQTQSQPWSLLQAPKDLTLKLIRDPVTLSLASTDYGHILHYNPFAIFSPSSISDIALLINFSNSLPIPFTIAPRGQAHSVNGQAMTNDGVVVNMTELNRFRNGTGIVVVSDGRTSAPYADVGGEQIWIDVLHATLEHGLTPLSWTDYLFLSVGGTLSNAGISGQSFRFGPQISNVHELDVVTGKGDLVTCSSENNSELFYAVLGGLGQFGIITRARIALGPAPTRVKWLRLLYNDFSAFSADQEHLISLNGRNDTIAADYVEGFLLLNQPPLDLSSFPAPDQPRIASLVTQYGIIYIIELVKYYDNSTQQHVDEDVKVLVEGLKFVPTFMFEKDASYEEFLNRVHSDELLLTSQGLWDVPHPWLNLFVPGSRISDFDEGVFKGIILKQNITAGVAIIYPMNRSKWNDKMSAVTPNEDIFYTVSLLHSTGFDKVEEFQAQNQEILKFCEDAGIEIKQYLPQNKTRKEWMKQFGSKWKTFQERKNEFDPNRILSPGQRIFN >Vigun04g190000.1.v1.2 pep primary_assembly:ASM411807v1:4:41389118:41408821:-1 gene:Vigun04g190000.v1.2 transcript:Vigun04g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSGFLQVAFDRLASPQVVQFFRGRKLDEKLLSKLNIVLHSINDLADDAEQKQFRNPHIKAWLFAVKDVVFDAEDLLKEIEYELTRCQVEAGSDPQTLTSKVSNFFNSTFSSFNKKIESEMKDVLEKLEYLAKQKGALGLKEGIYSGDGSESQKLPSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDPKIEEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKEKISGRKFLLVLDDIWNERREEWEAVRTPLSCGAPGSRIIVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKNDDDELNDERKEIGKRIVEKCKGLPLALKTIGSVLRTKSSISDWQSVLESDIWDLSKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEGFLHHSQHINNVEEIGEQYFEDLLTRFKFDKGNFVPNTTRHFSFRLEDARCFDGLGSLTDAKRMRSFLPIRETATTKSYHYKLPCQFKTLIHELFSKLKFLRVLSLDGYSDLREVPDSVGDLKHLHSLDLSRTYIQKLPESISVLYNLLILKLNGCSYLEELPSNLHKLTKLHCLEFEDTKVTKMPLNFEELKNLHVLNMFLVDRNGESSVKQLGGLNLHGKLSINEVQNIVNPLDALEANLKDKHLVELQLEWKSNHVCDDSEKEKKVLENLQPSKHLEHLSITNYGGTQLPSWVFNNSLSNLVFLRLKSCKYCVCLPSLGLLSSLKTLEIIGLKGISSIGVEFYGSNSSFMSLETLNFIDMKEWEEWECKTTSFHLKGLSNQLLHLKHLVICYCDKLIISETNMDTRSLEFLKLNSCPLVSIPTTHYNLLKEMSIDDGCDSLTTFSLDFFPNLCYLYLRRCRNLQRISQEDTHNHLQRMTIEECPQFESFPSEGLSAPQLVRAENLKLMPKRMQILLPSLTELEIIDCPKVEMFPDEGLPSNLKKMSLSSLKLIASLRDTLDANTCLESLIVEKLEVESFPGEVLLPRSLTTLHIRFCPNLKKLDYKGLSHISSVIYFGCPNLHQRKLESS >Vigun01g005350.1.v1.2 pep primary_assembly:ASM411807v1:1:658727:658997:1 gene:Vigun01g005350.v1.2 transcript:Vigun01g005350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVAKLEAETHLPTFTFKQTPWMLHNSHHNNQYNCYCCSTTHSFRC >Vigun11g043600.1.v1.2 pep primary_assembly:ASM411807v1:11:6400721:6405426:-1 gene:Vigun11g043600.v1.2 transcript:Vigun11g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPFSFGRKVPSVARHDPDIDEELSGIQNVKMFTYKELRVASDNFSQANKIGQGGFGSVYKGLLKDGKLAAIKVLSAESTQGVREFMTEINVISEIEHENLVKLYGCCVEGNHRILVYNYLEKNSLSQTLLGLGHSSNIFFDWKTRSRICIGIARGLSYLHEEVRPHIVHRDIKASNILLDENLTPKISDFGLAKLIPSYMTHVSTRVAGTIGYLAPEYALRGHLTRKADIYSFGVLLVEIVSGRCHTNTRLPIGEQFLLETTWELYQRRELVALVDASLDGHFDAEEACTFLKVGLFCTQDTSKLRPPMSSVVKMLAGEMDIDESKITKPGLISDFMDLKIRGDKKDGDIETKGSSSYNASSASDSQGNTMSFAASTSTTATFTLKYDQSL >Vigun11g043600.2.v1.2 pep primary_assembly:ASM411807v1:11:6400726:6405411:-1 gene:Vigun11g043600.v1.2 transcript:Vigun11g043600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPFSFGRKVPSVARHDPDIDEELSGIQNVKMFTYKELRVASDNFSQANKIGQGGFGSVYKGLLKDGKLAAIKVLSAESTQGVREFMTEINVISEIEHENLVKLYGCCVEGNHRILVYNYLEKNSLSQTLLGLGHSSNIFFDWKTRSRICIGIARGLSYLHEEVRPHIVHRDIKASNILLDENLTPKISDFGLAKLIPSYMTHVSTRVAGTIGYLAPEYALRGHLTRKADIYSFGVLLVEIVSGRCHTNTRLPIGEQFLLETTWELYQRRELVALVDASLDGHFDAEEACTFLKVGLFCTQDTSKLRPPMSSVVKMLAGEMDIDESKITKPGLISDFMDLKIRGDKKDGDIETKGSSSYNASSASDSQGNTMSFAASTSTTATFTLKYDQSL >Vigun11g014900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1831411:1835921:1 gene:Vigun11g014900.v1.2 transcript:Vigun11g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAEMIIGALVSSSVQMTIDNLASRFMDICCGNKSNMKLLSSLKLKLLAVDVVADDAEQKQFTNPRVRDWLLAVKDVVFDVEDLLEETDHTLSKTQVEAQSQYAAKKVWNFLISCFVSSLQNEIGSRLEKLIEDLENLATKSQILGLQKAHDVGVRSGWGTKLRSTYLPKESVIYGRDNDKTFVFNWLTSKTHKNLSILSIVGMGGVGKTALAQHVFNDPRMDEAKFDVKAWVCVSDEFDVFKVSRAILEHVTGSIDNSRDTEMVHKSLKEKLTGKKYLLILDDVWNENPSKWEEVQKALVFGAEGSRILVTTRSREVASIMRSEEHSLKQLRDNHSCELFAKHAFRDVDIQANPDCWEIGRKIVKKCKGLPLALKTMGSLLYNKSSVSEWESVLQSEIWELPEEHCGIIPALALSYIHLPSDLKVCFAYCALFPKDHEFKKEHLMHLWMTKNRLNCPQEEVCQQYFNELLSRSFFEQSSKKEEVFGMHDLLNDLAKYVGQGIHFRCEAGQIENIQKVTRHYSVEFGYNRDFDGFGTLCDIEKFRTFMPTHRSTDSTDNLWSWYINMPIHELLSKFKFLRILSLSHLTLLTELPDSISDLEYLRSLDLSYTSIRILTEKTCLLSYLQILKLNYCRDLEELPTNLHLLTNLCRLEFKGTKVGKVPPHLEKLKNLKVVMDFNVGQGRDFGIQQLGELNLDGSVSIGELQNVENSVDALEADLKNKTHLVELELEWTRNGNSIDSEKVEDVIEKLEPPKNLKVLSIYDYAGKQFPNWLLKNSLLNLESLELCRCAPCHRLPPLGLLPFLKNLKISSCFEIVSIDADFHGNNFSSFKSLQTLYFSNMRQWEKWDCQSVTSAFPRLQHLSISYCPKLKGYLPKQLVPLETLEIKYCQKLEASAPKALCLDLCNCGKLHLDGTTIKKLKMEETSLEIVRSDTLKHLEIEHLEASIGDDDSVSLWTFPLHFFPTLRILYLRRLGNLQMISHFGAHNYLHYLHISECPKLESFPGNIPFLKSLYIKDCPTLEAVLPSSNPFLNSALSTRWGLCGCPKLPNLREEALSQSISIFHVRGFPLVEEFSEEEGEEWEKGSKRCY >Vigun03g207800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34011662:34013223:1 gene:Vigun03g207800.v1.2 transcript:Vigun03g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHEYMNWRLFEDEYMNWRLFEVSIVSLIFISQNPPPYHPLCFTIIHHHITKKDPHHSLLHHPNTVHIINTPKKNLSPPYHLPAAPNANTKPTTHHHLHLAPA >Vigun06g007505.1.v1.2 pep primary_assembly:ASM411807v1:6:3783154:3784052:1 gene:Vigun06g007505.v1.2 transcript:Vigun06g007505.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHESNGPSTPSFDSDGRHRSHETRPLLLRKKPCLFPSLLGESRGAPKNQERKRKPFDRFTPHSLLNKARPRALGKSAGSFSVVLFPPRERRTEEVCPACGGSIENLERYYESSVPDSIAAAIWFTGTTKKSHAYVTTVTDGLSWTPVGRASGFHSHHHIDDKSPRTLP >Vigun03g350300.3.v1.2 pep primary_assembly:ASM411807v1:3:55110487:55123472:-1 gene:Vigun03g350300.v1.2 transcript:Vigun03g350300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSGKHIFGGSHHSHTLHTFYTGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYDYLTKRQLHTTARLFKAEANVSTGPVVIEAPRGFLFEWWSVFWDIYIARANQKAEAASYSKNQQIKARLMQKQQEQEFQNANLNQQTQMLLLLQRRAQQQQRLGGNQFISGSASCPISNDPLMRQNQAAPNAMATKLYNDKLKLPCQRDVLEDANIKQKVADDVGKLLNPNHAVLLKAAGMSGEMASGQTLLGNIQHLQYLNQKLPGSMQGSQAISEGSLIGCHGSNPGGSNLTLKGWPLAGLDELRSGILQHNSLMHSPQSFNQLSLRQQLMLQAQQNLISPSVFDIERRRLGMLFNDRNMSLAKDGQSNSVDDLGPSNGSPAQVGSPVVSHPDSDVFFKQQIQNSNQQLQQYSQHPLPSQPSDNLQQQQEKIGPGPGSMTMEGNVSNSLQGNDQAPKCKLVRKRKSASSSGPGNSSGTTNTTGPPASSPSTPSTQTPGEMITVSTLQQNAPSSKSSFMFGTDGLGSLTSSQNQLAHMDHLVGDGCLGDNIEPFLSPDDNNITENVCKGLGLKEIKRIMAGSHKVECCHFSSDGKLLATGGHDNKVSLWCTELFNQKSTLEEHSEWITDVRFCPSMPRVATSSADKTVRVWDIDNPGYSLRTFTGHASTVTSLDFHPSKDDLICSCDNSEIRYWSIKNGSCTGVFKGGATQMRFQPCLGRLLAAAVDNFVSIFDVETLACRLKLQGHNNVVRSVCWDSSGKYLASVSDDLVRVWNVGSGSKGECIHELAASGNKFNTCVFHPFYPLLFIGCNKTIDVLDFGEKKTFTMHAHEKLVSSLAVSNAGLLASTSHDKHLKFWK >Vigun03g350300.4.v1.2 pep primary_assembly:ASM411807v1:3:55110487:55123472:-1 gene:Vigun03g350300.v1.2 transcript:Vigun03g350300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSGKHIFGGSHHSHTLHTFYTGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYDYLTKRQLHTTARLFKAEANVSTGPVVIEAPRGFLFEWWSVFWDIYIARANQKAEAASYSKNQQIKARLMQKQQEQEFQNANLNQQTQMLLLLQRRAQQQQRLGGNQFISGSASCPISNDPLMRQNQAAPNAMATKLYNDKLKLPCQRDVLEDANIKQKVADDVGKLLNPNHAVLLKAAGMSGEMASGQTLLGNIQHLQYLNQKLPGSMQDVKSEMNALQGSQAISEGSLIGCHGSNPGGSNLTLKGWPLAGLDELRSGILQHNSLMHSPQSFNQLSLRQQLMLQAQQNLISPSVFDIERRRLGMLFNDRNMSLAKDGQSNSVDDLGPSNGSPAQVGSPVVSHPDSDVFFKQQIQNSNQQLQQYSQHPLPSQPSDNLQQQQEKIGPGPGSMTMEGNVSNSLQGNDQAPKCKLVRKRKSASSSGPGNSSGTTNTTGPPASSPSTPSTQTPGEMITVSTLQQNAPSSKSSFMFGTDGLGSLTSSQNQLAHMDHLVGDGCLGDNIEPFLSPDDNNITENVCKGLKEIKRIMAGSHKVECCHFSSDGKLLATGGHDNKVSLWCTELFNQKSTLEEHSEWITDVRFCPSMPRVATSSADKTVRVWDIDNPGYSLRTFTGHASTVTSLDFHPSKDDLICSCDNSEIRYWSIKNGSCTGVFKGGATQMRFQPCLGRLLAAAVDNFVSIFDVETLACRLKLQGHNNVVRSVCWDSSGKYLASVSDDLVRVWNVGSGSKGECIHELAASGNKFNTCVFHPFYPLLFIGCNKTIDVLDFGEKKTFTMHAHEKLVSSLAVSNAGLLASTSHDKHLKFWK >Vigun03g350300.1.v1.2 pep primary_assembly:ASM411807v1:3:55110487:55123472:-1 gene:Vigun03g350300.v1.2 transcript:Vigun03g350300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSGKHIFGGSHHSHTLHTFYTGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYDYLTKRQLHTTARLFKAEANVSTGPVVIEAPRGFLFEWWSVFWDIYIARANQKAEAASYSKNQQIKARLMQKQQEQEFQNANLNQQTQMLLLLQRRAQQQQRLGGNQFISGSASCPISNDPLMRQNQAAPNAMATKLYNDKLKLPCQRDVLEDANIKQKVADDVGKLLNPNHAVLLKAAGMSGEMASGQTLLGNIQHLQYLNQKLPGSMQDVKSEMNALQGSQAISEGSLIGCHGSNPGGSNLTLKGWPLAGLDELRSGILQHNSLMHSPQSFNQLSLRQQLMLQAQQNLISPSVFDIERRRLGMLFNDRNMSLAKDGQSNSVDDLGPSNGSPAQVGSPVVSHPDSDVFFKQQIQNSNQQLQQYSQHPLPSQPSDNLQQQQEKIGPGPGSMTMEGNVSNSLQGNDQAPKCKLVRKRKSASSSGPGNSSGTTNTTGPPASSPSTPSTQTPGEMITVSTLQQNAPSSKSSFMFGTDGLGSLTSSQNQLAHMDHLVGDGCLGDNIEPFLSPDDNNITENVCKGLGLKEIKRIMAGSHKVECCHFSSDGKLLATGGHDNKVSLWCTELFNQKSTLEEHSEWITDVRFCPSMPRVATSSADKTVRVWDIDNPGYSLRTFTGHASTVTSLDFHPSKDDLICSCDNSEIRYWSIKNGSCTGVFKGGATQMRFQPCLGRLLAAAVDNFVSIFDVETLACRLKLQGHNNVVRSVCWDSSGKYLASVSDDLVRVWNVGSGSKGECIHELAASGNKFNTCVFHPFYPLLFIGCNKTIDVLDFGEKKTFTMHAHEKLVSSLAVSNAGLLASTSHDKHLKFWK >Vigun03g350300.5.v1.2 pep primary_assembly:ASM411807v1:3:55110487:55123472:-1 gene:Vigun03g350300.v1.2 transcript:Vigun03g350300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSGKHIFGGSHHSHTLHTFYTGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYDYLTKRQLHTTARLFKAEANVSTGPVVIEAPRGFLFEWWSVFWDIYIARANQKAEAASYSKNQQIKARLMQKQQEQEFQNANLNQQTQMLLLLQRRAQQQQRLGGNQFISGSASCPISNDPLMRQNQAAPNAMATKLYNDKLKLPCQRDVLEDANIKQKVADDVGKLLNPNHAVLLKAAGMSGEMASGQTLLGNIQHLQYLNQKLPGSMQDVKSEMNALQGSQAISEGSLIGCHGSNPGGSNLTLKGWPLAGLDELRSGILQHNSLMHSPQSFNQLSLRQQLMLQAQQNLISPSVFDIERRRLGMLFNDRNMSLAKDGQSNSVDDLGPSNGSPAQVGSPVVSHPDSDVFFKQQIQNSNQQLQQYSQHPLPSQPSDNLQQQQEKIGPGPGSMTMEGNVSNSLQGNDQAPKCKLVRKRKSASSSGPGNSSGTTNTTGPPASSPSTPSTQTPGEMITVSTLQQNAPSSKSSFMFGTDGLGSLTSSQNQLAHMDHLVGDGCLGDNIEPFLSPDDNNITENVCKGLKEIKRIMAGSHKVECCHFSSDGKLLATGGHDNKVSLWCTELFNQKSTLEEHSEWITDVRFCPSMPRVATSSADKTVRVWDIDNPGYSLRTFTGHASTVTSLDFHPSKDDLICSCDNSEIRYWSIKNGSCTGVFKFFIRVVQLR >Vigun03g350300.2.v1.2 pep primary_assembly:ASM411807v1:3:55110487:55123472:-1 gene:Vigun03g350300.v1.2 transcript:Vigun03g350300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSLSGILCSGKHIFGGSHHSHTLHTFYTGHRQDQNFPLFEDQTMSHFNWEADKMLDLYIYDYLTKRQLHTTARLFKAEANVSTGPVVIEAPRGFLFEWWSVFWDIYIARANQKAEAASYSKNQQIKARLMQKQQEQEFQNANLNQQTQMLLLLQRRAQQQQRLGGNQFISGSASCPISNDPLMRQNQAAPNAMATKLYNDKLKLPCQRDVLEDANIKQKVADDVGKLLNPNHAVLLKAAGMSGEMASGQTLLGNIQHLQYLNQKLPGSMQDVKSEMNALQGSQAISEGSLIGCHGSNPGGSNLTLKGWPLAGLDELRSGILQHNSLMHSPQSFNQLSLRQQLMLQAQQNLISPSVFDIERRRLGMLFNDRNMSLAKDGQSNSVDDLGPSNGSPAQVGSPVVSHPDSDVFFKQQIQNSNQQLQQYSQHPLPSQPSDNLQQQQEKIGPGPGSMTMEGNVSNSLQGNDQAPKCKLVRKRKSASSSGPGNSSGTTNTTGPPASSPSTPSTQTPGEMITVSTLQQNAPSSKSSFMFGTDGLGSLTSSQNQLAHMDHLVGDGCLGDNIEPFLSPDDNNITENVCKGLGLKEIKRIMAGSHKVECCHFSSDGKLLATGGHDNKVSLWCTELFNQKSTLEEHSEWITDVRFCPSMPRVATSSADKTVRVWDIDNPGYSLRTFTGHASTVTSLDFHPSKDDLICSCDNSEIRYWSIKNGSCTGVFKFFIRVVQLR >Vigun11g097900.1.v1.2 pep primary_assembly:ASM411807v1:11:28803748:28805317:-1 gene:Vigun11g097900.v1.2 transcript:Vigun11g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEERVSKLLSAQTHVWNHIFSFINSMSLKCAIDLEIPDIIHKHGEPMPLSQLTASLSMNPSKANNIYRLMRILTHSGFFSEVKVNENEVEMGYVLTDASTLLLKDNPLSVTPFLHAMLDPILTKPWHGLATWFRNDDPSPFQTAHGMKIWDYAGRDQKLNQLFNDAMASDAELVSNVVIERCGGVLKGLESVVDVGGGTGTMAKGIAKSFPHIDCTVFDLPHVVADLQGSHNLKFVGGDMFEWVPPADAVLLKWILHDWNDEQCVRILKKCKEGVKKKVIAIDMVMESEKLDYESTETQLMVDMVVMVLYPGKERTEKEWAKIIFSAGFSDYKITPIVGLRSLIEIYP >Vigun05g083100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7846289:7849541:-1 gene:Vigun05g083100.v1.2 transcript:Vigun05g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHGRATVLWSMLMQVRLQCTTANAIARYARSGQLDHARRVFDETPLALRTISSWNAMVAAYFEARQPREALFLFERMPHRNTVSWNGLISGHIKNGMLLEARGVFDAMPDRNVVSWTSMVRGYVRNGDVAEAERLFWQMPDKNVVSWTVMLGGLLQDARIEDARRLFDMMPEKDVVAVTNMIGGYCEEGRLDEARALFDQMPKRNVVTWTTMVSGYARNGRVDVARKLFEVMPERNEVSWTAMLMGYTHSGRMGEASKLFDMMPVKPVVACNEMIMGFGLAGEVDKARRVFEEMKDRDDGTWSALIKVYERKGYELEALGLFRRMQREGVALNFPSLISVLSVCASLASLDHGRQVHGQLVRSEFDQDLFVASVLITMYIKCGDLVRAKRVFDRFPLKDVVMWNSMVTGYSQHGLGEEALNVFYDMGSSGVPPDDVTFIGVLSACSYSGKVKEGLELFESMKCKYQVEPGVEHYACLVDLLGRAGQVNDAMKLVEKMPMEPDAIVWGALLGACRTHMNLDLAEVAVDKLAQLEPKNAGPIVLLSHMYASRGRWKDVEVLRKKIKSRSVIKLPGCSWIEIEKKVHMFTGGDSKGHPEQPIIMKMLEKLGGLLREAGYCPDGSFVLHDVDEEEKTHSLGYHSEKLAVAYGLLKVPKGMPIRVMKNLRVCGDCHSAIKLIAKVSGREIILRDANRFHHFKDGYCSCKDYW >Vigun08g160200.1.v1.2 pep primary_assembly:ASM411807v1:8:33277418:33280316:1 gene:Vigun08g160200.v1.2 transcript:Vigun08g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLAKAAYDAKMLKLLREYSQVLVVSSDNVGSNQLQGIRRGLHADSVVVMGKNSLMKRSIILDAQKTGNKAFLNLVPLLVGNVALIFTKGDIREVSEQISKHKVVQPILMCPKYMSHDTYHNCSYMQSGQLPLGLTCCNQQQSSQVSEPFLVCSKFQPHQHCFMMRSRQFLMTSIWSPLLLLAGNL >Vigun05g029300.3.v1.2 pep primary_assembly:ASM411807v1:5:2338818:2344642:-1 gene:Vigun05g029300.v1.2 transcript:Vigun05g029300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSTSMLKTLERYQKCSYGAVEVSKPAKELESSYREYLKLKARFESLQRTQRNLLGEDLGPLNTKELEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKLLLLFLLQEQMLVEANRSLTMKLEEINSRNHYRQSWEAGDQSMQYGGPQNSQSQGFFQPLECNPTLQIGPDYRYNVVASDQITATTPAQQVSGFIPGWML >Vigun05g029300.1.v1.2 pep primary_assembly:ASM411807v1:5:2338818:2344642:-1 gene:Vigun05g029300.v1.2 transcript:Vigun05g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSTSMLKTLERYQKCSYGAVEVSKPAKELEQSSYREYLKLKARFESLQRTQRNLLGEDLGPLNTKELEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEQMLVEANRSLTMKLEEINSRNHYRQSWEAGDQSMQYGGPQNSQSQGFFQPLECNPTLQIGPDYRYNVVASDQITATTPAQQVSGFIPGWML >Vigun05g029300.2.v1.2 pep primary_assembly:ASM411807v1:5:2338818:2344642:-1 gene:Vigun05g029300.v1.2 transcript:Vigun05g029300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSTSMLKTLERYQKCSYGAVEVSKPAKELESSYREYLKLKARFESLQRTQRNLLGEDLGPLNTKELEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEQMLVEANRSLTMKLEEINSRNHYRQSWEAGDQSMQYGGPQNSQSQGFFQPLECNPTLQIGPDYRYNVVASDQITATTPAQQVSGFIPGWML >Vigun04g068800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7929370:7929661:1 gene:Vigun04g068800.v1.2 transcript:Vigun04g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun01g117000.1.v1.2 pep primary_assembly:ASM411807v1:1:29349762:29352124:-1 gene:Vigun01g117000.v1.2 transcript:Vigun01g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVSDCRQLDFLPFTCDRCNQIFCLEHRGYIEHKCTKPNKDDVKVVICPLCAKGVRLIPDQDPNIAWDHHVNIDCDPSNYQKVTKKRKCPAPGCREVLVFSNTIKCRDCLEDHCLKHRFGSDHKCSGPKKLETSYSFMGLFTTTKREEPKPNLTSTASSKWTSSFLSVASNIRASAEASMSKLSNEINQVWLAARDGAGPNSGSGNKNDLQEETCPQCGVKFSSAISLVDHVQKVHESNGKPLGVKKIDACPKCSRGFVDPVSLVEHIEKDHGGSAA >Vigun10g164600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38360276:38360917:-1 gene:Vigun10g164600.v1.2 transcript:Vigun10g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITHSLFLFTILSCTSNAAVNDFCVADLKGPDSPSGYQCKPPNTVTVDDFVFSGLVAGNTTNTFNAALTSAFVTDFPGLNGLGVSAARLDIAKGGSIPMHTHPAATELLIVVEGQITAGFMTPSAVYSKTLKAGDVMVFPQGQLHFQVNSGNGKATAFLAFSSANPGAQLLDLLLFGNSLPSELIAQTTFLDVEQVKKLKARFGGRGIDYS >Vigun04g102100.1.v1.2 pep primary_assembly:ASM411807v1:4:23552802:23561925:1 gene:Vigun04g102100.v1.2 transcript:Vigun04g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKEKNWRMEAEENLQRLQSLLLGAEHALAREDFASAHVLALRLLGFLDVKSYSDAVDEAFLQPTRRDALSKLHTARKSLTPLSDRQAFEQAKKSPGYIFGTTGDIDIEKIQSSKYFRALVNQSKEKDAYKLIDHLGKQDKTGSKASKQMVQTKLPSMYGKSSLWTSNCSQSSLNMKNYSSEDCRILGRPQSQASHTKGLGVSSIFQVEGEERAFGNTFSTKRVHVEANSPRVGYLKSPSNKDEVHPDVCGKGFITARAKLEIEEKQKRGAGGSPCTSVSPQCDNNPANRLHGGRSYGVSRRGFRGNFVPPIKSNGNNAGNMSARNAGKCDDSLDDSTRKCLEILCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCIDEMVIYPLQRPDIFMGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLQKDGLFNLSNEEMDIICNLTEGYSGSDMKNLVKDASMGPLREALRQGIEITKLKKEDMRTVTLQDFEYSLREVRPSVSPNELGTYDQWNKQFGSLSL >Vigun09g083600.4.v1.2 pep primary_assembly:ASM411807v1:9:10236400:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSLAASTPLPPTPSRLAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.7.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240839:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLLSLQWSWLELKLDIYLNVMLWICLKTSFPCLCFRTHRKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.3.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCLCFRTHRKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.1.v1.2 pep primary_assembly:ASM411807v1:9:10236364:10240839:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSLAASTPLPPTPSRLAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.14.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCLCFRTHRKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.5.v1.2 pep primary_assembly:ASM411807v1:9:10236446:10240653:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSLAASTPLPPTPSRLAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.6.v1.2 pep primary_assembly:ASM411807v1:9:10236437:10240719:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSLAASTPLPPTPSRLAKVVVSIDPLNSNDDDSPTKVLLHVYIQIMNVWMSCRNTHCVSANGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.9.v1.2 pep primary_assembly:ASM411807v1:9:10236446:10240653:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.10.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.13.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCLCFRTHRKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.2.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240839:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.15.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCLCFRTHRKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.16.v1.2 pep primary_assembly:ASM411807v1:9:10236363:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.11.v1.2 pep primary_assembly:ASM411807v1:9:10236406:10240810:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun09g083600.12.v1.2 pep primary_assembly:ASM411807v1:9:10236437:10240840:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSLAASTPLPPTPSRLAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPLIMR >Vigun09g083600.8.v1.2 pep primary_assembly:ASM411807v1:9:10236364:10240809:1 gene:Vigun09g083600.v1.2 transcript:Vigun09g083600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMELAGAEVGHIPKCYVMDMFKDFIPMSVFSDTPQGKISVEGKILNRFDMRPHNQNLELYGKLCRKRTNKYTVKSRQIQVIDMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYKKSGD >Vigun05g142550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18450385:18450852:1 gene:Vigun05g142550.v1.2 transcript:Vigun05g142550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGDVGDEGGGGGHDSCSDDGGGRGGNVGYGGDVGYGGGGGGYGGGGGYGGGCGSDVGYGGGGGSGVGGGCGGGGVGGGCGGYIGYGGGGSDGGGGNGGGDDVGGYSVSNGSTCGCGGSDVVVDGGGEVGGGGGGNGGEGGGGGGGRKQL >Vigun10g161400.1.v1.2 pep primary_assembly:ASM411807v1:10:38013502:38022254:-1 gene:Vigun10g161400.v1.2 transcript:Vigun10g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGTRFRPKSIPQPLEGASDNSKSQSGLVEAGENIAQIPQSSASSETLSLAEREASFTLNLFADGYSIGKPSENEAANTTKYQDFPKLLHPYDRSSESLFLAIESGHLPGDILDDIPAKYVDGALICEVHDYRRCSFDKGSSVSAESSPTVSKVCLKMSLENIVKDIPSITDKSWTYGDLMEVESKILKSLQPKLHLDPTPKLDRLCESPLPAKLNLPRKRLRNMPEFAVTSTNKIHGKKVCIDRVQEASIGRLGDSGNTSSNAIVQQTHENQSMQNLTPNVAMALRPKNFIPDSSIPNFPMMSHQPRYGMAVGTARSLQEQGPVPSINSSGASPSAQDVMISYADHANSSASLHGKRDQDGQASPLSNIAKRMRPTSAVVEAMQHQQIGSHVESLQGSDMNWQNTLQQQALARGIQYGSGGIQKFSQQVFEGGVNQEMGAVSFTAGQQGMRLVAKEEQFEIDKVDGAETNRNRSEMEMETNILDPQQLRLQQRLPQHAYMRPNFPQAAWNLGQHIDKETKKDDQHQKRKSVQSPRLSTGALPHSPLSSKSGEFSNGAVGPSFGPSSMAAVPGTAQKDKTSMVSVPATVGTPSGDSTQRQQQAQLAAKRRSSSLPKTPAMNGVGSPASVGTTSVPLNANSPSVVTSSLVDQGLQNMLERFSKIEMVTMRHQLNFKKNRVEDFQMKKQNVYVTSPIAPYIASSTSSEGVIDDSISLSKSLIGGSMNACKMRILTFCVPERVVQGNHVSLVPRLRTRMIIYEKSDGTVALYYGDVEEADYLAAEDYLLTLPNTHSADLLAEQFCSQMIREGYVKEDDRIQLKPNLVNLPSGNQSTAPNNAVVEMQQYGEPVPGQSSNEIAKPVSGNNASINLSQNLVTNPRMLPPGNPQALQISQGLLSSASMSSRPQQLDSQQTVQQQQQQQMQQNQHTLIQQQNSQFQRSPMMLGTNQLSHLNPVGQNSNMPLGNHILNKSSALQMQMFQQQQQQQQQPQMQRKMMVGLGTNVGMGNLRNNLVGLAPMGNPMGMGSARGGIGGSGISAPMTSIAGMGNMGQNPMNLSQTSNITNSISQQFRSGSLNSTSAEILSKLRLVPTRNMMGSPQSNLAGISGARQMHPGTAGLSIMGRTNAMQRPMGPPKMMAGMNLYMNQQQQQQQHQQPQQQQQHQQQLQLQQQLQQQQQQETSSQLQAVVSPPQVGSPSMGVPPLNQQTQQQASPQQMSQRTPMSPQISSGAIHAISAGNPEACPASPQLSSQTLGSVSSITNSPMDMQGVNKSNSVTNAQ >Vigun01g161000.3.v1.2 pep primary_assembly:ASM411807v1:1:34286703:34291539:1 gene:Vigun01g161000.v1.2 transcript:Vigun01g161000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTFSYGEKKDEPKGLRSTSGRSENSTCADIRRSGSELNSQEVSDNGSTESLRRNAIPSLSQRSSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYLGLIKSVEVPSGRIEVAVKQLSKRGMQGHREWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVEHHLSHRSEAPLSWSRRLKIARDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYASPEYVQTGRLTSKNDVWSYGVFLYELITGRHPIDRNRPRGEQKLLEWIRPYLSDGRKFQLILDPRLDKKQIFKSAQRLSMIANRCLVKNPKNRPKMSEVLEMVNGMVESSSSCSSPQLPLRSVSRVESSQDTETNNKKRTMDQKPGESNWFARMWRPKLVRTC >Vigun01g161000.2.v1.2 pep primary_assembly:ASM411807v1:1:34285686:34291539:1 gene:Vigun01g161000.v1.2 transcript:Vigun01g161000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTFSYGEKKDEPKGLRSTSGRSENSTCADIRRSGSELNSQEVSDNGSTESLRRNAIPSLSQRSSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYLGLIKSVEVPSGRIEVAVKQLSKRGMQGHREWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVEHHLSHRSEAPLSWSRRLKIARDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYASPEYVQTGRLTSKNDVWSYGVFLYELITGRHPIDRNRPRGEQKLLEWIRPYLSDGRKFQLILDPRLDKKQIFKSAQRLSMIANRCLVKNPKNRPKMSEVLEMVNGMVESSSSCSSPQLPLRSVSRVESSQDTETNNKKRTMDQKPGESNWFARMWRPKLVRTC >Vigun01g161000.4.v1.2 pep primary_assembly:ASM411807v1:1:34286703:34291539:1 gene:Vigun01g161000.v1.2 transcript:Vigun01g161000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTFSYGEKKDEPKGLRSTSGRSENSTCADIRRSGSELNSQEVSDNGSTESLRRNAIPSLSQRSSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYLGLIKSVEVPSGRIEVAVKQLSKRGMQGHREWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVEHHLSHRSEAPLSWSRRLKIARDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYASPEYVQTGRLTSKNDVWSYGVFLYELITGRHPIDRNRPRGEQKLLEWIRPYLSDGRKFQLILDPRLDKKQIFKSAQRLSMIANRCLVKNPKNRPKMSEVLEMVNGMVESSSSCSSPQLPLRSVSRVESSQDTETNNKKRTMDQKPGESNWFARMWRPKLVRTC >Vigun01g161000.1.v1.2 pep primary_assembly:ASM411807v1:1:34285686:34291637:1 gene:Vigun01g161000.v1.2 transcript:Vigun01g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTFSYGEKKDEPKGLRSTSGRSENSTCADIRRSGSELNSQEVSDNGSTESLRRNAIPSLSQRSSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYLGLIKSVEVPSGRIEVAVKQLSKRGMQGHREWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVEHHLSHRSEAPLSWSRRLKIARDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYASPEYVQTGRLTSKNDVWSYGVFLYELITGRHPIDRNRPRGEQKLLEWIRPYLSDGRKFQLILDPRLDKKQIFKSAQRLSMIANRCLVKNPKNRPKMSEVLEMVNGMVESSSSCSSPQLPLRSVSRVESSQDTETNNKKRTMDQKPGESNWFARMWRPKLVRTC >Vigun08g146900.1.v1.2 pep primary_assembly:ASM411807v1:8:31967434:31970878:1 gene:Vigun08g146900.v1.2 transcript:Vigun08g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSGAPRLPPPTVPAPVPSLSPGPVMYKNRLQEFTSRSGTEVPIYQTVNEGQPHIPKFRSTVWVAGISYTSESTFSQKKAAEQEAARLALETILQKTREEGPSLVSEISPLCKSIINEYAAKLHIEGPSYNTVQQQIGGVLPVFTSSLVFNGTSYTGDPARTKKEAEQSAAQAAILSIMGDSNSGIKLFEIIKSKSIFYDAIKGKGLSLLQASEVLSAPDSKHISVTPDPKEAAIPDSVADNSEVKVECTESSKIHSTCQKQESSLQDTLPLEPGSADSIDNDLSSKRRKKNKKKANKKSRLESPIPITAL >Vigun08g146900.2.v1.2 pep primary_assembly:ASM411807v1:8:31967434:31970454:1 gene:Vigun08g146900.v1.2 transcript:Vigun08g146900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSGAPRLPPPTVPAPVPSLSPGPVMYKNRLQEFTSRSGTEVPIYQTVNEGQPHIPKFRSTVWVAGISYTSESTFSQKKAAEQEAARLALETILQKTREEGPSLVSEISPLCKSIINEYAAKLHIEGPSYNTVQQQIGGVLPVFTSSLVFNGTSYTGDPARTKKEAEQSAAQAAILSIMGDSNSGIKLFEIIKSKSIFYDAIKGKGLSLLQASEVLSAPDSKHISVTPDPKEAAIPDSVADNSEVKVECTESSKIHSTCQKQESSLQDTLPLEPGSADSIDNDLSSKRRKKNKKKANKKSRLESP >Vigun08g088500.1.v1.2 pep primary_assembly:ASM411807v1:8:20205679:20208926:-1 gene:Vigun08g088500.v1.2 transcript:Vigun08g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTSQLAMSEEDLDFAKKVSIKEKMEVDPSKVLLLLRDFLAIQQRRAEAYSKLKRGFSDYMANGGEVAYQQLCGEMTVEFNDCSKKVLEMEALFRSSDYCREDLAQLLRAVQDQEKQNLLQTVTIQMLKKAGRPSERLVSHENCKFTKPTEHECVHVQEITEASGTEEAEADAEYDNALNEAIRGVQDVVMTINEHLEEVRYEIAALEAE >VigunL028700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:128897:135013:-1 gene:VigunL028700.v1.2 transcript:VigunL028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSENEEMSTLPGLNQIQFEGFCRFIDRGLTEGLFKFPKIEDTDQEIEFQLFAETYQLLEPLINEKDAVYESLTYSAELYISAGLIWKSSRDIQKQTIFVGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELDPNGIPIDKKARIWARVSRKQKISILVLSSAMGSNLSEILENDKKKIGSKENAILEFYRQFACVGGDPVFSESLWKELQKKFFQQRCELGKIGRRNINQKLNLDIPQNNTFLLPRDILTAADHLIGMKFGMALLDDINHLKNKRIRSVADLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDQTNPLTEIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGIWGAIESPFFEISERSKRIRMLYLSPNIDEYYRVATGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSESEKCIVGTGLECQVALDSGVSAIAEHEGNIVYTDTDRIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYEDIFTSFHIRKYEIQTHMTSYGSERITNKIPHLEAKLLRNLDKNGIVILGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIRISILQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQIFECSLGLSGGMLDRHYRITPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSKIFDGRTGNSFKQPALMGKTYILKLIHQVDDKIHGRSSGHYALGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIQTRQEVLGTTIIGGTIPKPTDAPESFRLLVRELRSLAMELNHFLISEKNFRIDRKEYKHQQLRIGSVSPQQISAWAKRILPNGEIVGEVKKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIRDKKDDPKFCEQCGVEFIDSRIRRYQMGYIKLACVVTHAWYLKRLPSYIANLLDKPLKELESLVYGDVGRSYWIGSYLNFSFARPVVKKPTFLRLRGSFEYEIQSWKHSIPLFFTTRGFDIFRNREISSGAVAIREQLADLDLRIIMDYSLIEWKELGKEGSPDNENEWEDRKVGRRKNFLVRRIELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVICQEKLVQAAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIIVGPSLSLHRCGLPGEIAIELFQTFLIRDLIRKHFASNIGIAKSKIRQKEPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPQKNYKYTKKKEPFFWNSYDAIGAYQQKRITFDSPLWLRWRLDLRIISSREVPIEVHYESLGTYHEIYGHYLVVRSTKKQIRSIYIRTNVGHISFYREIEEAVQGFCRAYSYGI >Vigun04g148000.1.v1.2 pep primary_assembly:ASM411807v1:4:36361219:36364522:-1 gene:Vigun04g148000.v1.2 transcript:Vigun04g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTEGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEEVDEEKEKDSKKKKKIKEVSHEWQLINKQKPIWLRKPEEITKDEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRSKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLYMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEELCKVIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSGYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFASRIHRMLKLGLSIDEDETGGDDVDMPPLEEEGAEESKMEEVD >Vigun09g060200.1.v1.2 pep primary_assembly:ASM411807v1:9:6139746:6141578:-1 gene:Vigun09g060200.v1.2 transcript:Vigun09g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAKYANARFLGSTSAFSHYRKKIAVANLFQKYGFPPSLVSFFLSRNPSILHSPLPQLHHSLTTLFSLRIPQNDIVSLLTTNPSLLHHSFHDALQSRLPQLQTRFPTLSPSTLLNLFLSSTKLHLDPLHLSPKLNALKTDFAFSDATVASVLEGFPDVVVTSENEIASVIDFLAEFGIPRDEIDLVVRSFPRVLALGVEQRLRPLFREIKELGFSNREMRREISRDPRILGMEIGELTRCLRLIESLKCRESIKERVIDSGLMRACFEVKLRVDCLCGYGLTRRDALKIIWKEPRVICYEVGDIERKVEFLVQRMKCSVECLAEVPKYLGVNFEKQIVARYSVVECLRGKGAIGFEIGLKDLVMPSRLRFYNLYVKPYPECEKIYGRFSGCGVQVKMKHPPGLWKLFKPQKFAERDEDVKNVRSFMESLVYFSTEGRFVATGSTDTIIKLFEGLKINQM >Vigun02g070600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22130568:22131599:-1 gene:Vigun02g070600.v1.2 transcript:Vigun02g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYHFAFILLFFLLLHQSLSANFRVPYSSTAKAKKNTRGKTFENQWKREIWPPQPYAPQKKIEGLSLIKNYFVEFGYLQSFAPFSDFLDRGTILAIQNFQQRFNLQVTGNLNNQTLNQILLPRCGVPDKNFPHGFIDNEALPNYRNQWFAKRNLTYGFLPESEIPESMRKVFRDSFTRWAKAIEVLNPTETTYENADIKVGFYSFAEGVPEQLYGISSVRLEAGSNVSIGEIRLDGSYYWAVPSENDSVSWKEGVLDLESVAMHEIGHLLGLDHSFMEESVMYPYILPSQQRKIQMSNSDKNNILQQYSDAMSGYGGSWGVLVTTTLSLGFGYVVLLDSLWI >Vigun09g016100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1167638:1169848:1 gene:Vigun09g016100.v1.2 transcript:Vigun09g016100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKLLYLKCVTSTATLCYPFIHHYPFVFSLRFSTATSNSRPFAVSYLIDNFGFSPESASKTSNSYCISFQTPEKPETVIRFFRNHGFSNIQINYMVRKAPWLLSCDPSKRVLPKFEFFLSKGVSSSEIVNLVSKYPRFLRPSLKNHILPTYELIYKFLQSHVKTTRCMFGNSFFSRGDRLARNIRLLLENEVRESNIARLLGNHSKEVFASNDIVKLVKEVKDLGFDPSKAIFVVAMIAIKRTSPSLWKEKVDTFKKWGWSDEALSEAFRRHPHCMLTSIKKINVLMNLWVNELGRDALELVQGPKIFGLSMEKRIIPRARVVQHLLAKGLRKSSASFITPICVSEKVFLERFVACFKEESCELLKLYQENVSVQG >Vigun03g050300.1.v1.2 pep primary_assembly:ASM411807v1:3:4053762:4055758:-1 gene:Vigun03g050300.v1.2 transcript:Vigun03g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDAPYVFAVGILGNLVSFCCFLAPVPTFYRVCKKKTTEGFQSLPYVAALFTSMLWIFYAYIKTGEILLITINAFGCFIETVYLVIYITYCPRKARFFTFKMIFLFNVGVIFLVVLLTHVLAKERTARIELLGWICVVLSTSVFAAPLSIIKVVIRTKSVEFMPITLSLLLTVSAIMWLAYGILLRDIYVTLPNFVGITFGTIQIVLYFIYRKHTPSKDQKLPEHKDNATNEENANTAVSSENHAANSGGFVDIEIGEKKGVKKVEEAQKKQDQTENKTREASTQQVQHN >VigunL068301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:1843323:1844537:-1 gene:VigunL068301.v1.2 transcript:VigunL068301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTKVSIAIACWDDVAEVEKNLLWQDLVHKFEITPNTERIRKKVLSHIATRWRDFKARLTRLYVFGDRQHDTPCEKYKISEEEWMQFRACRESGDWKKGQQPNKDKGLMIHHMYSLEVVMHYWRKN >Vigun07g003350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:270127:273792:1 gene:Vigun07g003350.v1.2 transcript:Vigun07g003350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLKLPRLLMPHMRRIHLHSQPLPSESTYRIGGIDDAVALIHRMVDMHPLPSIVEFTKILGMIVKMKYYATAINLYTLMEYKGVVPFTVTFNILINCFCHMGRMDFAFSVMGKIIKWGCQPNVVTFTTLMKGLCVNDKMLDALHIYNEMVARRILFDDVLYGTLINGLCKSKMGNTRAAIQLLQKMEGQLVKPNLVMYNTIVHCLCKDGHINEARVLCSKMIVQGIFPDIFTFSSLIYGLCRAGQRKEVRSLLNGYCLNNRVDEARELFNLMIERGEQHDIIIYNILMNGYCLNNKVGEAKKLFHRMIERGEQPDIITYTILMHGYCLIDRVDEARKLFHGMIETGLVPDVWSYNILIKGYCKIERVDEAMNVLEHMFLTNLVPNIITYNSLVDGLCKSGGISDACKLVDEMHYCGQPPPDVTTYNILLESLCRIEHVDKAIAFFKHLIFERSFAPNVWSYNILISGCCKNRRLDEAMNLFNQMCFKNLVPDIVTYNILLDALCNGQQLDKAIALLKYQIVDQGISPNLRTYNILINGLHKSGRPKTARKISQYLFIRGYHPDVQTYLINELCKGYH >Vigun03g237600.1.v1.2 pep primary_assembly:ASM411807v1:3:39573923:39578233:1 gene:Vigun03g237600.v1.2 transcript:Vigun03g237600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESVVESPVKRQRDDEENGVSVSMDTEGGKDPQGNGLSSVIPGWFSEISSMWPGEAHSLKVEKILFQGKSDYQNIMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREIARHSSVEKIDICEIDSMVVDVSKQYFPDVAVGYEDPRVTLTVGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFASVAKALRPGGVVSTQAESIWLHMDIIEDIVANCRQIFKGSINYAWTTVPTYPSGMIGFMLCSTEGPPVDFKHPVNPLDENEFQKSARPLKFYNSEIHTAAFCLPSFAKRKIGPKAN >Vigun03g145000.2.v1.2 pep primary_assembly:ASM411807v1:3:14766898:14769048:1 gene:Vigun03g145000.v1.2 transcript:Vigun03g145000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTIIRAFIIPPQQQHYCLRLLCFSTSPSYNVQTCIATLQSCAHNANLSKGKELHSHLLKNAFFGSPLAVTSLINMYSKCTLIDHSLRVFNYPTHLDKNVFAYNALIAGFVANALPQRGFALYKQMRHLGIVPDKFSFPCVIRACGDIMEDLEDAHEVFEALPVRDVVLWNAMVNGYAHIGRFEEALVVFRRMEREGVIPCRYTVTGVLSIFSVTGDFDSGRAVHGFVTKRGYESSVVVSNALIDMYGKCKCVGDALSVFEVMVERDIFSWNSIISVHEHCGDHYGTLRLFDRMQVKGVQPDLVTITTVLPACTHLAALRHGREIHRYMVVNGLGKEESHKDFDYVLLNNALMDMYAKCGNVRDACTVFDNMREKDVASWNIMITGYAMHGYGDEALDIFCRMCEAEMVPNEISFVGLLSACSHAGMVKEGLGFLSEMESKYGASPSIEHYTCVIDMLCRAGRLMEAYDLVVRMPFKADPVGWRSLLAACRVHKEKDLAEIAGSKVIELEPGHCGNYVLMSNVYGAVGRYEEVSEVRHTMKQQNVKKIPGCSWIELVNGVHVFITGDRTHPHTDSIYAGLNSLTVVLQEHGYAPFV >Vigun03g145000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14766898:14769048:1 gene:Vigun03g145000.v1.2 transcript:Vigun03g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTIIRAFIIPPQQQHYCLRLLCFSTSPSYNVQTCIATLQSCAHNANLSKGKELHSHLLKNAFFGSPLAVTSLINMYSKCTLIDHSLRVFNYPTHLDKNVFAYNALIAGFVANALPQRGFALYKQMRHLGIVPDKFSFPCVIRACGDIMEDLEVRKIHGLLFKFGLELDVFVGSALVITYLKFGLVQDAHEVFEALPVRDVVLWNAMVNGYAHIGRFEEALVVFRRMEREGVIPCRYTVTGVLSIFSVTGDFDSGRAVHGFVTKRGYESSVVVSNALIDMYGKCKCVGDALSVFEVMVERDIFSWNSIISVHEHCGDHYGTLRLFDRMQVKGVQPDLVTITTVLPACTHLAALRHGREIHRYMVVNGLGKEESHKDFDYVLLNNALMDMYAKCGNVRDACTVFDNMREKDVASWNIMITGYAMHGYGDEALDIFCRMCEAEMVPNEISFVGLLSACSHAGMVKEGLGFLSEMESKYGASPSIEHYTCVIDMLCRAGRLMEAYDLVVRMPFKADPVGWRSLLAACRVHKEKDLAEIAGSKVIELEPGHCGNYVLMSNVYGAVGRYEEVSEVRHTMKQQNVKKIPGCSWIELVNGVHVFITGDRTHPHTDSIYAGLNSLTVVLQEHGYAPFV >Vigun01g200300.1.v1.2 pep primary_assembly:ASM411807v1:1:37666731:37669350:-1 gene:Vigun01g200300.v1.2 transcript:Vigun01g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSPISPLAFSIFFLTLFLLVQARHHSHTKHKHSHSHKSSKHSNPAPPYPPHNSNASVVLDVRTFGAIGDGKTDDTEAFKMAWDTACQSESAVNAILVPQGFSFLIQSTIFTGPCQSFMVLKVDGTLMPPDGPESWPKNNSRHQWLVFYRINGMSLEGNGLIDGRGEKWWDLPCKPHKGPNGTTLPGPCDSPIAIRFFTSSNLTVQGLRIKNSPQFHFRFDGCKNVHIESIYITAPKLSPNTDGIHIENTNEVKIYNSVISNGDDCVSIGSGCNDVDIKNITCGPGHGISIGSLGNHNSRACVSDIVVRDSVIKMTENGVRIKTWQGGSGSVSGVTFNNIHMVGVRNPIIIDQFYCLTKDCTNKTSAVSVSNIIYTNIRGTYDIRSPPMRFACSDSVPCTNLTLSEIELLPAEGDIVHDPFCWNAYGDLETLTIPPVSCLLEGTPQSVSDFDITRC >Vigun01g200300.2.v1.2 pep primary_assembly:ASM411807v1:1:37666731:37669350:-1 gene:Vigun01g200300.v1.2 transcript:Vigun01g200300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSPISPLAFSIFFLTLFLLVQARHHSHTKHKHSHSHKSSKHSNPAPPYPPHNSNASVVLDVRTFGAIGDGKTDDTEAFKMAWDTACQSESAVNAILVPQGFSFLIQSTIFTGPCQSFMVLKVDGTLMPPDGPESWPKNNSRHQWLVFYRINGMSLEGNGLIDGRGEKWWDLPCKPHKAIRFFTSSNLTVQGLRIKNSPQFHFRFDGCKNVHIESIYITAPKLSPNTDGIHIENTNEVKIYNSVISNGDDCVSIGSGCNDVDIKNITCGPGHGISIGSLGNHNSRACVSDIVVRDSVIKMTENGVRIKTWQGGSGSVSGVTFNNIHMVGVRNPIIIDQFYCLTKDCTNKTSAVSVSNIIYTNIRGTYDIRSPPMRFACSDSVPCTNLTLSEIELLPAEGDIVHDPFCWNAYGDLETLTIPPVSCLLEGTPQSVSDFDITRC >Vigun06g233500.2.v1.2 pep primary_assembly:ASM411807v1:6:33950988:33954461:-1 gene:Vigun06g233500.v1.2 transcript:Vigun06g233500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSSPSPSPSPSPPRRQTRRPAPQYVEVNCASSGTKRRFAMGTDAGFAVALINRKLKGTVVPASHIEAAKDGEEPIAFGPTSFLSDFGDGWKLQTVTLTDFSSEVRNGQFQQMRMQAPELVAGVPGTARSLSNPITFVYIAKIMFAFILIFVLAAIFTLFLDNLPAFILFLKSI >Vigun06g233500.1.v1.2 pep primary_assembly:ASM411807v1:6:33950988:33954461:-1 gene:Vigun06g233500.v1.2 transcript:Vigun06g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSSPSPSPSPSPPRRQTRRPAPQQYVEVNCASSGTKRRFAMGTDAGFAVALINRKLKGTVVPASHIEAAKDGEEPIAFGPTSFLSDFGDGWKLQTVTLTDFSSEVRNGQFQQMRMQAPELVAGVPGTARSLSNPITFVYIAKIMFAFILIFVLAAIFTLFLDNLPAFILFLKSI >Vigun11g035900.3.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGAVDRIIGRPPPKTGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSYCALNMEKLIETRIDAAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQGAASMAGRRITVLRQSGAACDHPIDPSYPEGAYLTNILVRVS >Vigun11g035900.5.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGAVDRIIGRPPPKTGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSYCALNMEKLIETRIDAAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQVEESLFSDSLELHVIILLIHHILKAHT >Vigun11g035900.1.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTLLMKSLSVCSLPASASTLQQIALHQPKGVAKVVLKKGKTQIFKDGNPMVYSGAVDRIIGRPPPKTGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSYCALNMEKLIETRIDAAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQGAASMAGRRITVLRQSGAACDHPIDPSYPEGAYLTNILVRVS >Vigun11g035900.4.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNQLDGACTTRFLCSVFGSCSLKMKLQAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQVEESLFSDSLELHVIILLIHHILKAHT >Vigun11g035900.2.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNQLDGACTTRFLCSVFGSCSLKMKLQAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQGAASMAGRRITVLRQSGAACDHPIDPSYPEGAYLTNILVRVS >Vigun11g035900.7.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNQLDGACTTRFLCSVFGSCSLKMKLQAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQGAASMAGRRITVLRQSGAACDHPIDPSYPEGAYLTNILVRVS >Vigun11g035900.6.v1.2 pep primary_assembly:ASM411807v1:11:4843295:4849919:-1 gene:Vigun11g035900.v1.2 transcript:Vigun11g035900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTLLMKSLSVCSLPASASTLQQIALHQPKGVAKVVLKKGKTQIFKDGNPMVYSGAVDRIIGRPPPKTGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEDEATSDSYCALNMEKLIETRIDAAVEVRRRLGLPSVHTNAYRLINSEGDRLSGLIIDVFGDVAVVASSAAWVEKYKSEIEACLGKINYINHINWRPSVDILKEDGVNESELKEMPPSTCPERTKIVENGIVYTISLKGQKTGFYADQRENRQFISTISDGLKVLDLCCYSGGFALNAVRGGALNVTGIDTSLLALELAKENVLLNSIDPGRISFLKEDATEFMKGALLRNESWDIVIIDPPKLAPTKKVLHSASGMYRNLNSLAMQLTKRGGFLMTCSCSGAVTQSGIFLRILQVEESLFSDSLELHVIILLIHHILKAHT >Vigun01g198500.2.v1.2 pep primary_assembly:ASM411807v1:1:37505661:37509273:1 gene:Vigun01g198500.v1.2 transcript:Vigun01g198500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding NATLGLEPPEKVFDLSDGKLSVGGVPLLSQVPENVTFNSFSSICEPRDAPPSILQRVIAVSHKGGFLGFSHVSPSDRLLNSLGSFSGRNFLSIFRFKTWWSTQWVGNSGSDLQMETQWVLLEVPETESYVVIIPIIEKSFRSALHPGSDDHVMICAESGSTQVRASSFGAIAYVHVAENPYNLMREAYSALRVHLNSFRLLEEKTVPRIVDKFGWCTWDAFYLTVNPVGVWHGLKDFSEGGVAPRYVIIDDGWQSVNFDDEDPNEDAKNLVLGGEQMTARLHRFEEGEKFRKYQKGLLLGPNAPSFNPETVKQLISKGIEAEHLGKKRAAAISAGGSDLAEIELMIVKVRKEIDDLFGGKGKESNESGGCCCKASECGGMKDFTRDLRTEFKGLDDVYVWHALCGGWGGVRPGTTHLDSKIIPCKLSPGLDGTMQDLAVDKLVEGSIGLVHPHQANDFYDSMHSYLAQAGVTGVKIDVIHSLEYVCEEYGGRVEIAKAYYDGVGNSIIKNFNGSGIIASMQQCNDFFFLGTKQIPFGRVGDDFWFQDPNGDPMGVFWLQGVHMIHCSYNSLWMGQIIQPDWDMFQSDHECAKFHAGSRAICGGPVYVSDRVGSHDFDLIKKLVFPDGTVPRCIYFPLPTRDCLFRNPLFDQKTVLKIWNFNKYGGVIGAFNCQGAGWDPKAKKFKGFPECYKPISCTVHVTEVEWDQKKEAARMGKAEEYVVYLNQAEVLHFMTPMSEPLQLTIQPSTFELYNFVPVEKLGSSNIKFAPIGLTNMFNSGGTIQELEYTEKGVKVKVKGGGRFLAYSSESPKKFQLNGSDAAFQWLPDGKLVLDLAWVEENGGVSDLAIFF >Vigun01g198500.1.v1.2 pep primary_assembly:ASM411807v1:1:37505661:37509273:1 gene:Vigun01g198500.v1.2 transcript:Vigun01g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPVNATLGLEPPEKVFDLSDGKLSVGGVPLLSQVPENVTFNSFSSICEPRDAPPSILQRVIAVSHKGGFLGFSHVSPSDRLLNSLGSFSGRNFLSIFRFKTWWSTQWVGNSGSDLQMETQWVLLEVPETESYVVIIPIIEKSFRSALHPGSDDHVMICAESGSTQVRASSFGAIAYVHVAENPYNLMREAYSALRVHLNSFRLLEEKTVPRIVDKFGWCTWDAFYLTVNPVGVWHGLKDFSEGGVAPRYVIIDDGWQSVNFDDEDPNEDAKNLVLGGEQMTARLHRFEEGEKFRKYQKGLLLGPNAPSFNPETVKQLISKGIEAEHLGKKRAAAISAGGSDLAEIELMIVKVRKEIDDLFGGKGKESNESGGCCCKASECGGMKDFTRDLRTEFKGLDDVYVWHALCGGWGGVRPGTTHLDSKIIPCKLSPGLDGTMQDLAVDKLVEGSIGLVHPHQANDFYDSMHSYLAQAGVTGVKIDVIHSLEYVCEEYGGRVEIAKAYYDGVGNSIIKNFNGSGIIASMQQCNDFFFLGTKQIPFGRVGDDFWFQDPNGDPMGVFWLQGVHMIHCSYNSLWMGQIIQPDWDMFQSDHECAKFHAGSRAICGGPVYVSDRVGSHDFDLIKKLVFPDGTVPRCIYFPLPTRDCLFRNPLFDQKTVLKIWNFNKYGGVIGAFNCQGAGWDPKAKKFKGFPECYKPISCTVHVTEVEWDQKKEAARMGKAEEYVVYLNQAEVLHFMTPMSEPLQLTIQPSTFELYNFVPVEKLGSSNIKFAPIGLTNMFNSGGTIQELEYTEKGVKVKVKGGGRFLAYSSESPKKFQLNGSDAAFQWLPDGKLVLDLAWVEENGGVSDLAIFF >Vigun06g232800.2.v1.2 pep primary_assembly:ASM411807v1:6:33879102:33883871:-1 gene:Vigun06g232800.v1.2 transcript:Vigun06g232800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGGGRSLQQALRRRIETCKSKYSTAEEIANHLRSTYPDYHRTKHQTLIRFVQEAVHSTAKLNHTPTPKYSDGDDDDNIESRSASRKRRKKIDEGEEKLKKMEALHVRRRVPNPSSSSSASSESDDEETVSTSEDAIYGEKVEPQFDLMKEMLRKSYTPKKKAAAEEKNVEMEMSSRPKDTVLNEATEVRKQSMRSVSNGGEVSNGEVKRKDGPRFKDLGGMKEVLEELKMEVIVPLFHPQIPRQLGVKPMAGILLHGPPGCGKTRLAHAIANETGLPFYKISATEVVSGVSGASEENIRELFAKAYRSAPSIVFIDEIDAIASKRENLQREMEKRIVTQLLTCMDQSNRLLQPDDSESAGYVLVIGATNRPDAIDPALRRPGRFDREIIICHPDESAREEILSVLTSNLRLEGLFDLQRIARATSGFVGADLAALVDKAGNLAMKRIIDERRRELSQELRSEHEDWWREPWSSEEIDKLAIKMSDFEEASKKIQPSLRREGFSMIPDVKWEDVGGLDMLRKEFERYIVRRIKYPEDYERLGVDLETGFLLYGPPGCGKTLIAKAVASEAGASFIHIKGPELLNKYVGESELAVRTLFSRARTCAPCILFFDEVDALTTKRGKEGGWVIERLLNQLLIELDGAGHRRGVFVIGATNRPEVMDRALLRPGRFGKLLYVPLPDLDERVLILKALARTKAIDADVDLSVIARMKGCENLSGADLAALINEAAMAAVEEKDTTIKSIHFEMALSKVSPSVSDRQKQYYQHLSESFKVALK >Vigun06g232800.3.v1.2 pep primary_assembly:ASM411807v1:6:33879102:33883862:-1 gene:Vigun06g232800.v1.2 transcript:Vigun06g232800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGGGRSLQQALRRRIETCKSKYSTAEEIANHLRSTYPDYHRTKHQTLIRFVQEAVHSTAKLNHTPTPKYSDGDDDDNIESRSASRKRRKKIDEGEEKLKKMEALHVRRRVPNPSSSSSASSESDDEETVSTSEDAIYGEKVEPQFDLMKEMLRKSYTPKKKAAAEEKNVEMEMSSRPKDTVLNEATEVRKQSMRSVSNGGEVSNGEVKRKDGPRFKDLGGMKEVLEELKMEVIVPLFHPQIPRQLGVKPMAGILLHGPPGCGKTRLAHAIANETGLPFYKISATEVVSGVSGASEENIRELFAKAYRSAPSIVFIDEIDAIASKRENLQREMEKRIVTQLLTCMDQSNRLLQPDDSESAGYVLVIGATNRPDAIDPALRRPGRFDREIIICHPDESAREEILSVLTSNLRLEGLFDLQRIARATSGFVGADLAALVDKAGNLAMKRIIDERRRELSQELRSEHEDWWREPWSSEEIDKLAIKMSDFEEASKKIQPSLRREGFSMIPDVKWEDVGGLDMLRKEFERYIVRRIKYPEDYERLGVDLETGFLLYGPPGCGKTLIAKAVASEAGASFIHIKGPELLNKYVGESELAVRTLFSRARTCAPCILFFDEVDALTTKRGKEGGWVIERLLNQLLIELDGAGHRRGVFVIGATNRPEVMDRALLRPGRFGKLLYVPLPDLDERVLILKALARTKAIDADVDLSVIARMKGCENLSGADLAALINEAAMAAVEEKDTTIKSIHFEMALSKVSPSVSDRQKQYYQHIFH >Vigun07g226700.2.v1.2 pep primary_assembly:ASM411807v1:7:34890855:34891442:1 gene:Vigun07g226700.v1.2 transcript:Vigun07g226700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKVVLKVLTMIDEKTKKKAIEAAADIYGVDSIAADVKEQKLIVVGEMDAVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKNEEKPEEKPEEKK >Vigun07g226700.3.v1.2 pep primary_assembly:ASM411807v1:7:34891059:34891442:1 gene:Vigun07g226700.v1.2 transcript:Vigun07g226700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEKTKKKAIEAAADIYGVDSIAADVKEQKLIVVGEMDAVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKNEEKPEEKPEEKK >Vigun07g226700.1.v1.2 pep primary_assembly:ASM411807v1:7:34890855:34891442:1 gene:Vigun07g226700.v1.2 transcript:Vigun07g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQKVVLKVLTMIDEKTKKKAIEAAADIYGVDSIAADVKEQKLIVVGEMDAVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKNEEKPEEKPEEKK >Vigun07g103550.1.v1.2 pep primary_assembly:ASM411807v1:7:18729910:18730808:1 gene:Vigun07g103550.v1.2 transcript:Vigun07g103550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSHHPRHTWLIFSFISSSHLPYHSFTSTLHLHQKPPLPRALLALIFISVAFHLLFGVFHGSPSSPTPRTSINWYLEPSKPLVHPSSWFG >Vigun03g289100.1.v1.2 pep primary_assembly:ASM411807v1:3:47114425:47115331:-1 gene:Vigun03g289100.v1.2 transcript:Vigun03g289100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTPFFFTLLLFFMLSHAIRPEPAFHQESFTKPNQQRVEAVDESCEGFGEDECLMKRTLAAHVDYIYTQKHNP >Vigun03g048800.1.v1.2 pep primary_assembly:ASM411807v1:3:3895047:3911787:-1 gene:Vigun03g048800.v1.2 transcript:Vigun03g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRPPKRPKITRGDDDYMPGNILEIELCNFMTFDYLKCKPGPRLNLVIGPNGSGKSSLVCAIALGLCGEPQLLGRATSIGAYVKRGEESGYIKITLRGDHRKEHINIMRKISTNNKSEWLLNGNVVSKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPEQHRTLVDKSRSLKHIELSLERNEGTLKQLMEQNAELETDVERVRQRDELLAKAESMKKKLPWLKYDMKQAEYREVKERESNAAKALEEAAKLLNDLKEPIMKQKEEKAALDAKCKKVSRSVNENAKKRIELMEEEHKLDVELQGKYKEMEELRRQEETRQQKLVKAREELAIAELELQNLPSYVPPKDELQRLKAEIGELDYSANQVRQNKSQAENEIKRKKSFMMQNKERLMEMDNKSTKCLHVLQRSGAEKIFEAYKWVQEHRHEFNKEVYGPVLVEVNVSNKVHAAYLEGQVAHYTWKSFITQDSGDRDLLVKHLQFFDVPILNYTGDGGHQREPFEISEDKRALGIYSRLDQIFDAPIAVKEVLISQFNLDNSYIGSKETDQNADEVPRLGIINLWTPENHYRWSKSRYGNHVSTVVEQVERPQLLLNNLNVGEIEKLRSQQKELEEVVANLEECVKKFQDEERSLVNQAANLRKEWEGISITVQNERKKRQTLISRIDQRKGLLKVMEERDDLDTEIAKLVHQAFKYNIQRFRNAMEIKDLLVEAVSYRQIFIEQRMAFIEFDAKTGEMDANLKQHENVAVQASLHFENCKKESENCRQKLTDSLKYAKSIAQLTPELKKEFLEMPTTIEELEAAIQDTTAQANSILFVNHNILEQYKDRQRQIEDLAAKLEADRKESTRCLAELNDIKGKWLPTLRNLVTKINETFSLNFQEMAVAGEVSLDEHDIDFDQFGILIKVKFRENGQLKVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIQEPSKVWTTGDRWSIITGLVGNTPC >Vigun09g132800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29143662:29145650:1 gene:Vigun09g132800.v1.2 transcript:Vigun09g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGIACGGNFHVAANFGIQSRSGLAMQPPCSVAIGRSTARAGRISAALATERASVTKVELPGKRKAGANDAVEGQERLDRWMKESVVEIVKNLREAPLLVQVYPKLGAEAATTTEKRVLVEDWPAVKERWEKGESPMPEGVIFVEELEGDEAEEGRGERTTRAWGVVVQGKGVGCGPVCYLLKTCRVGSGPGNGMGICSTHFCLVKVNELRETVQSQLKNCWLLQSEWK >Vigun01g253700.1.v1.2 pep primary_assembly:ASM411807v1:1:41917009:41921524:-1 gene:Vigun01g253700.v1.2 transcript:Vigun01g253700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQPNDLRSRIAQIQKLYDVDRDFNPLELDTPSHCALHLENTLQHIVSDFPSFETEDAYINQLQEELRTVHLQSAQMADQIGLLTKIHNDDSAILLAKLEELDFSLHYIQSKDQKNISEATEGIDSPILRDDCSNLAAENLDKNLELFQLENKIDEMKLIVKSLQNLQFTVKWFEVVEQIEDALTGLKVLAFDENCIRLSLQTYMPSSEGISYQLSVQEDAVDELNHELLIEVFEGTMKLKNVQVSPNDIYLSDIVDYAKSVSNFSLQWFIQKVQDRILQSTLRSLVIKDANKSRYSLEYLDKDETIVAHMAGGTDAYIKLSHGWPIFGSPLKLICIKGSDDLKRASLSFHCKVEKLVNSLDTHVRQNISSFVDAIEKILIEQLQLDLRVSDTSG >Vigun09g159200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32620340:32620988:1 gene:Vigun09g159200.v1.2 transcript:Vigun09g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMPGLWRHQLLFLTLLAFVVIESEGSRFPNDFWEQMLPKRLNSPSSSPSGGTNSVFSTSSTASESDIPPSIDGKV >Vigun03g063600.1.v1.2 pep primary_assembly:ASM411807v1:3:5221495:5223507:-1 gene:Vigun03g063600.v1.2 transcript:Vigun03g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPMLPLGRTSSIEREPRTLNIHQIQSARELAIYILNTKTIEEASRIFTEGLQPVVSGACCLGSGTTMDIDIDIDLGEELELMNSKDATTQVAPAAAFRDIASAPF >Vigun06g013900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:6537878:6538970:1 gene:Vigun06g013900.v1.2 transcript:Vigun06g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVQPRNPPLSQKKPSPAAAKSSPPPAVYINVPEHFHPSETTSRYPHYNRREPRRCGCCCCLCWFIFIIIVLVILLGAAAGVFYLIYRPEAPAYTIKRVAVKGVNLTSTAFSPEFDVVIRAYNGNDKIGISYKEGSSVAMFYNDVRLCDGVLPAFYQPSNNVTVLKAVLTGNDVQLTVSDQTALMNAVTEWSVPLKLELSVPVEIKVGSVTTWKINVRVECDVTVDQLTVHAGILRRNCSDRVYLW >Vigun10g072300.1.v1.2 pep primary_assembly:ASM411807v1:10:17995873:18000422:-1 gene:Vigun10g072300.v1.2 transcript:Vigun10g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLEFIKSSSKQHSSSKTAKKCSELRHSKRSLKEADKLKSKSGVGAQCSDLKQKTVVDAGGRIQNTETMTRSATERDELVKHMSNLPGYLQHASRVENIEEKAFNVGVLDWSRLEQWKQKHIPVLASNFISFNSSGSSSRTAIKPSTSVGSKEKLNDEKNLSSSGIRPSYRESGSAKIPFHDVKRFESYRSVIKSTGDEKIMTPLVFESSGKTDYSKRTSRVKNFASNTRLHGISSVPNENANDRVDGDKQNMESLQERNHKKKERNHKSRSDMGLPSIKSKGKGVSSSSETSKTVNRLQESDFDFGHKHFHSKPSNTVLLCPQEIPQSSSSEYFQLSESRLSSDENFSESTKSSLSYVSLPEEAHSEDGCSKISLSRAPCSAVEISSPLETMQHISSTDHGIDRSSITSETPSSIINKMSSLQSIGACFEKDTLDAKLRDQCAFSNLKESPDQETAELAAQKEMNVSHNHRFSFSLSRIGRSFSFKEGPTLPKYSSMYVSAKSGPVTPQSSVRWDNPSKGKSNSHNRNRSSPMRRLLDPILKLKPSDKHLSAHSGQTLEGSVNSSFRTVGVSESLLSEKSKGSAVQGLLQLTIKNGVPLFKFVLNNERKIFAATKNSLTSLDKGDLGCCFTFYLVNEIKKTSSGWISHGNKEKNCGYAYNIIAEMKSSKILESADQHPNKKLMLKEYVLAGVEMGHTDQGPPKFIKSAELAAVVIATLCENTNKELHNDNYMLKKGCSKCLADERCLSNSGENDAFVCTTAILPGGIHGSPNKGEPTPLIYRWKSGGSCDCGGWDIGCRLFVLSNPKQNSCIPRSYQPHDRFQLFVQGEAGQDKPLFTLLPLKDGFYSVEFDSTISHLQAFFISVAVINCQKLPGVLEIDDMHKEILKEQNNGKDQRKAPLKYAPMPPLSPVDRV >Vigun10g072300.2.v1.2 pep primary_assembly:ASM411807v1:10:17995928:18000177:-1 gene:Vigun10g072300.v1.2 transcript:Vigun10g072300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLEFIKSSSKQHSSSKTAKKCSELRHSKRSLKEADKLKSKSGVGAQCSDLKQKTVVDAGGRIQNTETMTRSATERDELVKHMSNLPGYLQHASRVENIEEKAFNVGVLDWSRLEQWKQKHIPVLASNFISFNSSGSSSRTAIKPSTSVGSKEKLNDEKNLSSSGIRPSYRESGSAKIPFHDVKRFESYRSVIKSTGDEKIMTPLVFESSGKTDYSKRTSRVKNFASNTRLHGISSVPNENANDRVDGDKQNMESLQERNHKKKERNHKSRSDMGLPSIKSKGKGVSSSSETSKTVNRLQESDFDFGHKHFHSKPSNTVLLCPQEIPQSSSSEYFQLSESRLSSDENFSESTKSSLSYVSLPEEAHSEDGCSKISLSRAPCSAVEISSPLETMQHISSTDHGIDRSSITSETPSSIINKMSSLQSIGACFEKDTLDAKLRDQCAFSNLKESPDQETAELAAQKEMNVSHNHRFSFSLSRIGRSFSFKEGPTLPKYSSMYVSAKSGPVTPQSSVRWDNPSKGKSNSHNRNRSSPMRRLLDPILKLKPSDKHLSAHSGQTLEGSVNSSFRTVGVSESLLSEKSKGSAVQGLLQLTIKNGVPLFKFVLNNERKIFAATKNSLTSLDKGDLGCCFTFYLVNEIKKTSSGWISHGNKEKNCGYAYNIIAEMKSSKILESADQHPNKKLMLKEYVLAGVEMGHTDQGPPKFIKSAELAAVVIATLCENTNKELHNDNYMLKKGCSKCLADERCLSNSGENDAFVCTTAILPGGIHGSPNKGEPTPLIYRWKSGGSCDCGGWDIGCRLFVLSNPKQNSCIPRSYQPHDRFQLFVQGEAGQDKPLFTLLPLKDGFYSVEFDSTISHLQAFFISVAVINCQKLPGVLEIDDMHKEILKEQNNGKDQRKAPLKYAPMPPLSPVDRV >VigunL043201.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:38190:43840:-1 gene:VigunL043201.v1.2 transcript:VigunL043201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNANSSSKTQPPYAPNPNHQNFLQNNGVGMTPQPQFCSGNHQSQSLSPPFMQRLPMNVAPFMNAANHNHFPLQNDQMHLCQMGMPGHQQGQPLVGVLGPQNNVGNPNYSNPMYPGQMLAQSILNILQPSNVNMSMPNGQFRAPYPMQNMNQQLPTQLSSPSQGVPHGMHPGSFPMFGFPNQLPQAMVPQSSLLSPSPQLGFEPGRHVRLHIDPNEKNLAPPNVNANAFVSQSPFSSHQLQGNTSGSLNSDLAHTSNSQPPALLKSHSQVNPYGNIKTNVPNTNWNGSPSKNFKNRPTRGGFKGGFQKSKFNDVNNGKRRTGFLKDHNGRGLNFSTFPYSGRAAQDSVRSKELKQQLERSFSVTYTEQEIKQWREARKKNHPCNNFQKVIKREVLQRELKEVLAKQAELGIEVAEIPSHYLKNSDNQGLQNEGKNKFSDKRKFQNKFNKKLDRKGRFGKKQKFADFSESPSLKMRKPTLLQKLLSADISKDKSHLFQVFRFMVINSFFKHCPDKPLRYPSVMVKENWSEVDTKKDIPKRGNEGAVKKIASLNNDDHSSEDEDSDVDENDSIVHNNPHKELFSLVKEGFEKSDEEGEILE >Vigun03g417500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62422977:62424517:-1 gene:Vigun03g417500.v1.2 transcript:Vigun03g417500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCLTLLLLSSVAMGEDADHKHSLKATSHAQIQCTMCSSCENPCGQVSPPPPPPSPPPPSTTNCPPPPSPPSSGGGGGGSYYYSPPPPSQYTYYSPPPPASTGGGGGIYYYPPPSNGNYPRPPPPNPIVPYFPFYYYSPPAPGAAAPTPWTASFVLCAISLSSFLMFLL >Vigun02g079100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23131477:23133433:1 gene:Vigun02g079100.v1.2 transcript:Vigun02g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAPIHGDILEAILSHVPLIHLVPICHVSNSWKRAVSSSLAHLRPIKPWLIVLTQSPRASHVTKLHAYDPRSDVWLEIKNHMCPHSSTVRSSHSSLLYTLTPAEFAFSLDALHLNWHHAPSPRVWRTDPIVARVGSRVVVAGGACEFEDDPLAVEMYDVESRAWETCPSMPALLKDSTASSWLSVAVTGEVMHLTEKHSGVTYSFDTVSKKWEGPFDLRPDESVFNCVTGTLGERLMVAGVVGEFGNVRGVKLWEVRGGLGSRMVEVGEMPKEMVWKVMGDSELGSVEVTWIGDFVYARNTSVPEELVACEVVNGVGCEWRSVRNGAVSHGARMVACGGNVCMEDLQRAVMSGTRTFCVKHM >Vigun08g025100.1.v1.2 pep primary_assembly:ASM411807v1:8:2168136:2173008:1 gene:Vigun08g025100.v1.2 transcript:Vigun08g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGFQERRSWRRAGSRRGGDTSPDSVIFTLESNLSLFSSASASVDRCSFASDAHDHDSLVSEISLHLAGQEHDGDFAHSESWSGPDPDLDPNNRQHQRRGDADLDALHRKHHQNRFYGKGEKAKVQKEEDRDGGDTEDGNQPLEFDSARNSFSLALKECQDRRSRSEALLKKHDRRRPASLDLNNAVGNGNVSSPRLGLGAMKKSTVCSRRSGSGSANFPSPGTPNYLHASAAMQKGWCSERVPLHTSAARKQVGAALLPFNNGRTLPSKWDDAERWILSPVSGDSTGRASLPAPQRRPKSKSGPLGPPGAAAVASYSLYSPAAPLFDGGNSGSFMTASPFSAAVSVSAAADGLMASSGGSCGAVPTRTDPCMARSVSVHGCSQMQSQSSLPAQGEKFDGFKDAGTNVSPAISRRDMATQMSPEGSSCSSPNLRPSFSASTPPSLPLSEFKSLPFSKMDVRDVPVDERVTMTRWSKKHRALFSGRGSENDGSWKIRETSSRSSSWDITEGSKTVTKAKREEAKINAWENLQKAKAEAAIRKLEMKLEKKRASSMDKIMNKLRLAQKKAQEMRSSVSTNRDHQVARTPHKAILFSRATQMGSLSGCFTCHAF >Vigun06g037300.1.v1.2 pep primary_assembly:ASM411807v1:6:15316123:15318187:-1 gene:Vigun06g037300.v1.2 transcript:Vigun06g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEMLVLKGDMSLTLRQMNKTFYSNKEIFLRELINNASDALDKIQFESHTNRNILDDGLIRLVPHKANKTLSIIDIGIGMTRADLAYNLGLGFYSAYLVADKVIVTSKHNDHDQYIWESQPSASFIVTKDINAQQPSRGTNITLFLKDNQLEYLEEITIKDLIIKNCQHISYRIYLGNEKTKDDWQLINIWLHNQERDNKFVAQRPVNHITDDLVFSILSKLPLKSLKRFGCVRRSWTLLFENSHVMNLIRNNFIHNHQSYYDDTHLFLNLTPLYQNHYKSSLFSISGERFQNMEKLCWPSQIQEDYHELLCILGSSSINGILCLYIQNGQQPAYLWNPATSEFKVIPPSPFENAPHCIHIDISYHGFGYDYVRDDYKVIRQVLYFVDTDDDVEERDAFPLTCVWEMYSLRSNSWTNLKLDSCIPSSYGVNNKFYLEGMCHWWGYGDGFIQYLVSFDLINKVWIMTPPPSDIPMEVYDHFDMHFVRRHLFLLNESIALMSNYAETTTFYISILVEVGKKETWTKLFIFGPIQYIAFPIGTRNMGNILFQTHDDDLAWFDLSTHTIQKLGVNVHGGYSQLAVYKKSLITIERINC >Vigun01g012900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1443558:1444860:1 gene:Vigun01g012900.v1.2 transcript:Vigun01g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKEKKKKKSESDEDDDVFYYRYSSVNPSPTQHNPNSNPNPNSNPKTNNKGSSSLAPSKSTLYVSNLDYSLTNSDLHTLFSKFGRIARVTVLKDRHTRLSRGVAFIQFVSPDDARNAAAETHRMVLKDRTLTASIAADNGRAPEFIRKRVYRDTARCFECGADGHLSYDCPRNHLGPRQRPEPKRPRRVPHHRDDDKDDGGEDSDGVGAGARFEDDNWASVVDDGADERLLTGNRDDDAVAPKSKKKKKAGYFSDESDDDDFDG >Vigun07g019400.7.v1.2 pep primary_assembly:ASM411807v1:7:1599584:1603475:-1 gene:Vigun07g019400.v1.2 transcript:Vigun07g019400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEMGGKTESRLTSAAAFVEGGIQDACDDSCSICLENFSKSDPATVTNCRHDFHLQCILEWCQRSSQCPMCWQAISLKDATSQELLEAVEQERSLRNMPSRNASIFHLSQHEFQRLPVERDSDIEERILRHLAAAASMRRAHPLGRRESQRSRSSSLGNVHSFVYATHPSAPPSVTGGGSEPAAIPAGNPSAPLIFDGTQQSSPQQRPLVQTRSSSFTSASTVPTTNLQRAHSNGSFASHSLPASHDEPQPSEQSFSDSLLSKFNAVSMRYKESISKGTRGWKERLFSPNSSVSELGSEVRRELNAKIASVSRLIERLETARENKNAAGTSVSNHSVAETSNNQNNVEVHGENSFPGSNSSATFSAVPDSKQALAQI >Vigun07g019400.3.v1.2 pep primary_assembly:ASM411807v1:7:1599584:1603695:-1 gene:Vigun07g019400.v1.2 transcript:Vigun07g019400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEMGGKTESRLTSAAAFVEGGIQDACDDSCSICLENFSKSDPATVTNCRHDFHLQCILEWCQRSSQCPMCWQAISLKDATSQELLEAVEQERSLRNMPSRNASIFHLSQHEFQRLPVERDSDIEERILRHLAAAASMRRAHPLGRRESQRSRSSSLGNVHSFVYATHPSAPPSVTGGGSEPAAIPAGNPSAPLIFDGTQQSSPQQRPLVQTRSSSFTSASTVPTTNLQRAHSNGSFASHSLPASHDEPQPSEQSFSDSLLSKFNAVSMRYKESISKGTRGWKERLFSPNSSVSELGSEVRRELNAKIASVSRLIERLETARENKNAAGTSVSNHSVAETSNNQNNVEVHGENSFPGSNSSATFSAVPDSK >Vigun07g019400.1.v1.2 pep primary_assembly:ASM411807v1:7:1599584:1603695:-1 gene:Vigun07g019400.v1.2 transcript:Vigun07g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEMGGKTESRLTSAAAFVEGGIQDACDDSCSICLENFSKSDPATVTNCRHDFHLQCILEWCQRSSQCPMCWQAISLKDATSQELLEAVEQERSLRNMPSRNASIFHLSQHEFQRLPVERDSDIEERILRHLAAAASMRRAHPLGRRESQRSRSSSLGNVHSFVYATHPSAPPSVTGGGSEPAAIPAGNPSAPLIFDGTQQSSPQQRPLVQTRSSSFTSASTVPTTNLQRAHSNGSFASHSLPASHDEPQPSEQSFSDSLLSKFNAVSMRYKESISKGTRGWKERLFSPNSSVSELGSEVRRELNAKIASVSRLIERLETARENKNAAGTSVSNHSVAETSNNQNNVEVHGENSFPGSNSSATFSAVPDSKQALAQI >Vigun07g019400.8.v1.2 pep primary_assembly:ASM411807v1:7:1599584:1603475:-1 gene:Vigun07g019400.v1.2 transcript:Vigun07g019400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEMGGKTESRLTSAAAFVEGGIQDACDDSCSICLENFSKSDPATVTNCRHDFHLQCILEWCQRSSQCPMCWQAISLKDATSQELLEAVEQERSLRNMPSRNASIFHLSQHEFQRLPVERDSDIEERILRHLAAAASMRRAHPLGRRESQRSRSSSLGNVHSFVYATHPSAPPSVTGGGSEPAAIPAGNPSAPLIFDGTQQSSPQQRPLVQTRSSSFTSASTVPTTNLQRAHSNGSFASHSLPASHDEPQPSEQSFSDSLLSKFNAVSMRYKESISKGTRGWKERLFSPNSSVSELGSEVRRELNAKIASVSRLIERLETARENKNAAGTSVSNHSVAETSNNQNNVEVHGENSFPGSNSSATFSAVPDSK >Vigun04g099880.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23352854:23353837:1 gene:Vigun04g099880.v1.2 transcript:Vigun04g099880.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLRGGDVRKITNLTLSPSILFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKTFAWARHALIWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLRANVGSAQGPTGLGKYLMRSPTGEVIFGGETMHFWDLRAPWLEPLRGPNSLDLSRLKKDIQPWQERRSAEYITHAPLGSLNFVGGVATEINAVNYVSPRGWLATSHFVLGFFLFVGHLWHPGRARTAAAGFEKGIDHDFEPVLSMTPLN >Vigun05g037400.1.v1.2 pep primary_assembly:ASM411807v1:5:3028693:3032450:-1 gene:Vigun05g037400.v1.2 transcript:Vigun05g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLTCFPLSSSSSFFKRTFILPHQRLTLTRKCSTSALTAEESELQYPTVQHLLTSPDGVSTLMKMDRKPLLPHQSHAPRWFPYLDAFRCQNGTVLTSAEVVEVLAPCISEDRWRRFGSVVRNRSYSVCLVVEGLCDFGNVSAAFRSADALGVQSVHVVSCDTNKRYKDNRHVSMGAEKWLDIELWDSTKECFKMLKSRGYRIATTHVGMDAVSIHDLDWSHPTAIVVGNENRGISDEALELSDLHCSIPMAGMVDSFNVSVAAGILMHHAVCNRISRMGRHGDLTVEECQILLAEFSLRHSKSSISIVEDYATRKAATFT >Vigun01g063800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:15357826:15358302:-1 gene:Vigun01g063800.v1.2 transcript:Vigun01g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLPRNSAPIHLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun11g128700.2.v1.2 pep primary_assembly:ASM411807v1:11:33621549:33626276:-1 gene:Vigun11g128700.v1.2 transcript:Vigun11g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFCESVDSWLSSDPKNIAVIHCMGSTNLESIQIIKKPGGSPKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMARVAQIETAEKEKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIDCLALVTGGEIASTFDNPESVKLGHCDLIEEIMIGEDKLIHFSGVAMGHACTIFLRGASHHVLDEAERSLHDALCVLSQTVNGSRVLLGGGWPEMVMAKEVDALAKKTPGKRSLAIEAFSRALLAIPTIIADNAGLDSAELISQLRAEHQKEGCTAGIDVISGSVGDMAERGICEAFKVKQAVLLSSTEAAEMILRVDEIITCAPRRREDRM >Vigun06g003500.2.v1.2 pep primary_assembly:ASM411807v1:6:1660602:1667206:1 gene:Vigun06g003500.v1.2 transcript:Vigun06g003500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLRNGSGSSSSSLNSISLETEDDQTIASILAEDENLKADNRLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHGRLSERLVTYGLAELQMEGDGNCQFRALADQLFRKSDYHKHVRRQVIKQLKHHKKLYEGYVPMEYKSYLKKMKKSGEWGDHVTLQAAADRFGAKVCLVTSFRDTCYVEILPTDKNPTRELWLSFWSEVHYNSLYATGDVPPIVPKKKFWLF >Vigun06g003500.1.v1.2 pep primary_assembly:ASM411807v1:6:1660527:1667292:1 gene:Vigun06g003500.v1.2 transcript:Vigun06g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLRNGSGSSSSSLNSISLETEDDQTIASILAEDENLKADNRLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHGRLSERLVTYGLAELQMEGDGNCQFRALADQLFRKSDYHKHVRRQVIKQLKHHKKLYEGYVPMEYKSYLKKMKKSGEWGDHVTLQAAADRFGAKVCLVTSFRDTCYVEILPTDKNPTRELWLSFWSEVHYNSLYATGDVPPIVPKKKFWLF >Vigun05g158600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25581359:25581481:-1 gene:Vigun05g158600.v1.2 transcript:Vigun05g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun04g150200.1.v1.2 pep primary_assembly:ASM411807v1:4:36590809:36596020:-1 gene:Vigun04g150200.v1.2 transcript:Vigun04g150200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRLTSFTHLLSPPSSAAIARRSRAILRRRHHLRQRPLAASFSAQSATAETQDRVNNSKNRVPEQVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLLINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEDEAIQMIDIYTRFAYEQAAIPVITGRKSKVETFAGACKTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFTDENGVREHIWQTSWAISTRFVGGIIMTHGDDAGLMLPPKIAPIQVVIVPIWKKDDEKAAVLNAALIVKDVLQKSGIRVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVASGSVVISRRDIPGKQGKVFGISMEPSNLEAYVKDKLDEIQSSLLERAIAFRDSNIVDVSTYSDLKAAISEGKWARGPWSASDEDELKVKEETGATIRCFPFEQPQGIKTCLMTGNPAEEVAIFAKSY >Vigun06g090100.2.v1.2 pep primary_assembly:ASM411807v1:6:22239500:22248290:1 gene:Vigun06g090100.v1.2 transcript:Vigun06g090100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHCLGVKGKQMRSEDEIEYRDKRRSSRIIALEEKKRQERERELELESQRKDTSINHDTRNKGKGKATMEEHGDLCDNINEDEERSTKKRRKSKEFYQLISSIKELGRQSTSRTNESSLNGIPLKNTLEIIIDFLQRKDPDELFAEPINPDVVKNYNEIVKQPMDFATMRAKLHENMYTDLNLFKLDILLICSNAKNVHPKTSIYHAVAEDISRHAKFIFEALDVVPQHLDLDSSLMKRRPGRKPKNWEQKTSQRDSTGRQNFAYLTEVGKRDTYKPLVSEVLCGGNMPNFQFVKDLGPIVQRVAAKKLEPLQHQQLCFSSTSSQNLLANASGSQIRHQPTPQIPTQQDTLNAQTFPLALPFLNRSLTIPGSATPKNNILNNTRNFRGISKGKLDSPVDHASSSVGPIQEETNRIHFGVNKTSNNTREWNTSTGVPYMLANGSSTSTNNVFSPHHPTSIISGLSGNRNSISGQPLMPSILLEDTMSCMRSNIIESSLVNQPRPRVSMYDMPRHNLAELSLVNQPWKTNAMFSMIPSRVTPWSQPMQGGSIPGTSFQALLNSPDFNNSSYTMQANLGQVMHQTPNMQMQLRQESVPPQVPNSSSASTSSVQEASSELLGDTNYKQVNLDLHL >Vigun06g090100.3.v1.2 pep primary_assembly:ASM411807v1:6:22239500:22248290:1 gene:Vigun06g090100.v1.2 transcript:Vigun06g090100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHCLGVKGKQMRSEDEIEYRDKRRSSRIIALEEKKRQERERELELESQRKDTSINHDTRNKGKGKATMEEHGDLCDNINEDEERSTKKRRKSKEFYQLISSIKELGRQSTSRTNESSLNGIPLKNTLEIIIDFLQRKDPDELFAEPINPDVVKNYNEIVKQPMDFATMRAKLHENMYTDLNLFKLDILLICSNAKNVHPKTSIYHAVAEDISRHAKFIFEALDVVPQHLDLDSSLMKRRPGRKPKNWEQKTSQRDSTEVGKRDTYKPLVSEVLCGGNMPNFQVNENQLMYKESLLQFVKDLGPIVQRVAAKKLEPLQHQQLCFSSTSSQNLLANASGSQIRHQPTPQIPTQQDTLNAQTFPLALPFLNRSLTIPGSATPKNNILNNTRNFRGISKGKLDSPVDHASSSVGPIQEETNRIHFGVNKTSNNTREWNTSTGVPYMLANGSSTSTNNVFSPHHPTSIISGLSGNRNSISGQPLMPSILLEDTMSCMRSNIIESSLVNQPRPRVSMYDMPRHNLAELSLVNQPWKTNAMFSMIPSRVTPWSQPMQGGSIPGTSFQALLNSPDFNNSSYTMQANLGQVMHQTPNMQMQLRQESVPPQVPNSSSASTSSVQEASSELLGDTNYKQVNLDLHL >Vigun06g090100.4.v1.2 pep primary_assembly:ASM411807v1:6:22239500:22248290:1 gene:Vigun06g090100.v1.2 transcript:Vigun06g090100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHCLGVKGKQMRSEDEIEYRDKRRSSRIIALEEKKRQERERELELESQRKDTSINHDTRNKGKGKATMEEHGDLCDNINEDEERSTKKRRKSKEFYQLISSIKELGRQSTSRTNESSLNGIPLKNTLEIIIDFLQRKDPDELFAEPINPDVVKNYNEIVKQPMDFATMRAKLHENMYTDLNLFKLDILLICSNAKNVHPKTSIYHAVAEDISRHAKFIFEALDVVPQHLDLDSSLMKRRPGRKPKNWEQKTSQRDSTGRQNFAYLTEVGKRDTYKPLVSEVLCGGNMPNFQVNENQLMYKESLLQFVKDLGPIVQRVAAKKLEPLQHQQLCFSSTSSQNLLANASGSQIRHQPTPQIPTQQDTLNAQTFPLALPFLNRSLTIPGSATPKNNILNNTRNFRGISKGKLDSPVDHASSSVGPIQEETNRIHFGVNKTSNNTREWNTSTGVPYMLANGSSTSTNNVFSPHHPTSIISGLSGNRNSISGQPLMPSILLEDTMSCMRSNIIESSLVNQPRPRVSMYDMPRHNLAELSLVNQPWKTNAMFSMIPSRVTPWSQPMQGGSIPGENRSIITIFFFSFFSSCQHSNHSNTSWYGLGTSFQALLNSPDFNNSSYTMQANLGQVMHQTPNMQMQLRQESVPPQVPNSSSASTSSVQEASSELLGDTNYKQVNLDLHL >Vigun06g090100.1.v1.2 pep primary_assembly:ASM411807v1:6:22239500:22248290:1 gene:Vigun06g090100.v1.2 transcript:Vigun06g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHCLGVKGKQMRSEDEIEYRDKRRSSRIIALEEKKRQERERELELESQRKDTSINHDTRNKGKGKATMEEHGDLCDNINEDEERSTKKRRKSKEFYQLISSIKELGRQSTSRTNESSLNGIPLKNTLEIIIDFLQRKDPDELFAEPINPDVVKNYNEIVKQPMDFATMRAKLHENMYTDLNLFKLDILLICSNAKNVHPKTSIYHAVAEDISRHAKFIFEALDVVPQHLDLDSSLMKRRPGRKPKNWEQKTSQRDSTGRQNFAYLTEVGKRDTYKPLVSEVLCGGNMPNFQVNENQLMYKESLLQFVKDLGPIVQRVAAKKLEPLQHQQLCFSSTSSQNLLANASGSQIRHQPTPQIPTQQDTLNAQTFPLALPFLNRSLTIPGSATPKNNILNNTRNFRGISKGKLDSPVDHASSSVGPIQEETNRIHFGVNKTSNNTREWNTSTGVPYMLANGSSTSTNNVFSPHHPTSIISGLSGNRNSISGQPLMPSILLEDTMSCMRSNIIESSLVNQPRPRVSMYDMPRHNLAELSLVNQPWKTNAMFSMIPSRVTPWSQPMQGGSIPGTSFQALLNSPDFNNSSYTMQANLGQVMHQTPNMQMQLRQESVPPQVPNSSSASTSSVQEASSELLGDTNYKQVNLDLHL >Vigun05g303000.1.v1.2 pep primary_assembly:ASM411807v1:5:48563506:48567864:1 gene:Vigun05g303000.v1.2 transcript:Vigun05g303000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPITTSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLNNAPSRTGSFVGAASHSGPIMPNTAARSIYTTSGNLSSGGVSASVSMKKTTSGPLNKHGEPVKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLEFTGSMKSHSSVTHNPATTTLSLDDEYSFSRNFPKPILWSVILIFIMGFIAGAFILGAVHNPILLVVVIVLFCAVVALFTWNTCCGRTAIVSFISHYPDTELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLFEYRRWDSKAANPKHRCFTWGLRAAERHVVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVSPDNKDMSPEFLRWLRDRSISSDDRMMQLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPLTTGCQWAKCIFPVSLEGIILRCEDTSKIDVIPV >Vigun05g303000.2.v1.2 pep primary_assembly:ASM411807v1:5:48563586:48567803:1 gene:Vigun05g303000.v1.2 transcript:Vigun05g303000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPITTSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLNNAPSRTGSFVGAASHSGPIMPNTAARSIYTTSGNLSSGGVSASVSMKKTTSGPLNKHGEPVKKSSGPQSGGVTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLEFTGSMKSHSSVTHNPATTTLSLDDEYSFSRNFPKPILWSVILIFIMGFIAGAFILGAVHNPILLVVVIVLFCAVVALFTWNTCCGRTAIVSFISHYPDTELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLFEYRRWDSKAANPKHRCFTWGLRAAERHVVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVSPDNKDMSPEFLRWLRDRSISSDDRMMQLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPLTTGCQWAKCIFPVSLEGIILRCEDTSKIDVIPV >Vigun06g213800.2.v1.2 pep primary_assembly:ASM411807v1:6:32545132:32548999:-1 gene:Vigun06g213800.v1.2 transcript:Vigun06g213800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRQKEIELDKRIKEKSIDKRSKDRSHRDDNLSRGTGRHFGIDNSTRTSASRSSHREYELDKNDKSSSERNHKDDNSSRRPGRHAVVDKSSSVYTSCPSEREHEHGVEGLKDEELEEFLHSRTKRGRGAVGPRMDDTGPYLPPDSDGEPGTSPNVRHRRVILGPEKPLSLRSYDTSDEEELHEEKRKKSKKSHSRSADKEHSKRHKSKDKSKHKKRKGRRKEVNIITKALT >Vigun06g213800.4.v1.2 pep primary_assembly:ASM411807v1:6:32545132:32548909:-1 gene:Vigun06g213800.v1.2 transcript:Vigun06g213800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDTQMDLETENRLAALLMKEAAELRRQSEREGVLAYLRKPDVRTRPNSRFLTATVRGVQQANRAVEVNEMWRLRQKEIELDKRIKEKSIDKRSKDRSHRDDNLSRGTGRHFGIDNSTRTSASRSSHREYELDKNDKSSSERNHKDDNSSRRPGRHAVVDKSSSVYTSCPSEREHEHGVEGLKDEELEEFLHSRTKRGRGAVGPRMDDTGPYLPPDSDGEPGTSPNVRHRRVILGPEKPLSLRSYDTSDEEELHEEKRKKSKKSHSRSADKEHSKRHKSKDKSKHKKRKGRRKEVNIITKALT >Vigun06g213800.1.v1.2 pep primary_assembly:ASM411807v1:6:32545132:32548999:-1 gene:Vigun06g213800.v1.2 transcript:Vigun06g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLAALLMKEAAELRRQSEREGVLAYLRKPDVRTRPNSRFLTATVRGVQQANRAVEVNEMWRLRQKEIELDKRIKEKSIDKRSKDRSHRDDNLSRGTGRHFGIDNSTRTSASRSSHREYELDKNDKSSSERNHKDDNSSRRPGRHAVVDKSSSVYTSCPSEREHEHGVEGLKDEELEEFLHSRTKRGRGAVGPRMDDTGPYLPPDSDGEPGTSPNVRHRRVILGPEKPLSLRSYDTSDEEELHEEKRKKSKKSHSRSADKEHSKRHKSKDKSKHKKRKGRRKEVNIITKALT >Vigun06g213800.3.v1.2 pep primary_assembly:ASM411807v1:6:32545132:32548923:-1 gene:Vigun06g213800.v1.2 transcript:Vigun06g213800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLAALLMKEAAELRRQSEREGVLAYLRKPDVRTRPNSRFLTATVRGVQQANRAVEVNEMWRLRQKEIELDKRIKEKSIDKRSKDRSHRDDNLSRGTGRHFGIDNSTRTSASRSSHREYELDKNDKSSSERNHKDDNSSRRPGRHAVVDKSSSVYTSCPSEREHEHGVEGLKDEELEEFLHSRTKRGRGAVGPRMDDTGPYLPPDSDGEPGTSPNVRHRRVILGPEKPLSLRSYDTSDEEELHEEKRKKSKKSHSRSADKEHSKRHKSKDKSKHKKRKGRRKEVNIITKALT >Vigun03g204800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33129723:33130445:1 gene:Vigun03g204800.v1.2 transcript:Vigun03g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEETQNKATTEQSSSELLASAKLVADAAQSTLRNESDKVDKAKVAGAAGDLLDAAGKYGKLDEQKGVGQYVDKAADYLHGYKDKAAAPSQSEDSKPQEGGGLAGGIANLAGGFFK >Vigun10g061400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12740622:12742437:1 gene:Vigun10g061400.v1.2 transcript:Vigun10g061400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVIDVENPSDNPTVQKGVDLNVNVNANANVDSSDGEGNWYTALVHQISVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFLCGRMKLLEHDPLELMTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPLFVAVGETLFLHQPWPSGKTWASLGTIFAGSVLYVVTDYQFTFMAYTWALAYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEIQDESDWHTFQVILPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTWVGTVGLLICMLGGIMYQQSASKPKAAKQASEQEKEEEQQKLLEMQDNSETNIKTNEVNKSREES >Vigun10g061400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12740622:12742469:1 gene:Vigun10g061400.v1.2 transcript:Vigun10g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVIDVENPSDNPTVQKGVDLNVNVNANANVDSSDGEGNWYTALVHQISVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVFLCGRMKLLEHDPLELMTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPLFVAVGETLFLHQPWPSGKTWASLGTIFAGSVLYVVTDYQFTFMAYTWALAYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEIQDESDWHTFQVILPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTWVGTVGLLICMLGGIMYQQSASKPKAAKQASEQEKEEEQQKLLEMQDNSETNIKTNEVNKSREES >VigunL013401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:101440:101661:-1 gene:VigunL013401.v1.2 transcript:VigunL013401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDNSRSEYGKVAPGWGTTPLMGVAMALFTIFLFIILEIYNSSILLDEIYIN >Vigun01g109800.1.v1.2 pep primary_assembly:ASM411807v1:1:28322315:28325472:1 gene:Vigun01g109800.v1.2 transcript:Vigun01g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSYSLTICSRNKPYLKPFKQCSTPFSMTVSCNNPKPSSRGFFLQEQKLRKHSLVVHAAAVSTNQETPVQTSSGNPFKPQRVMVIGGDGYCGWATALHLSNKGYEVSIVDNLVRRLFDHQLGLESLTPISSIQNRLHCWKSLTGKNIELYIGDICDFEFLSETFKTFEPDAVVHFGEQRSAPYSMIDRSRAVYTQQNNVVGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETALHEELYNRFDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGFLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNQLANLVTKAGEKLGLDVKTIHVPNPRVEAEEHYYNCKNTKLVDLGLKPHFLSDSLLDSLLNFAVQYKDRVDTKQIMPSVSWKKIGVKTKTVTT >Vigun05g018100.1.v1.2 pep primary_assembly:ASM411807v1:5:1458622:1462257:-1 gene:Vigun05g018100.v1.2 transcript:Vigun05g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSSPLPLHDEFEKLVIRMNPPRVAVDNVSSRTDTVIKVDSANRRGSLLEVVQVLTDMNLSVRRAYISSDGEWFMDVFHVTDQNGKKFVQDDVADRIQQSLGPRASSFRSLRRSVGVQAEAEHTIIELTGRDRPGLLSEVFAVLADLKCNVVAAEVWTHNSRMASVVYITDEETGLSIDNPDRLAKIKQLLLYVLKGDIDKKSANTAVSVGSTHKDRRLHQLMYADRDYDIDDGDSGSTSDRNKLLVTVDDCIDKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTVIAEGPEAYQEYYIRHVDGCPISSEAERQRVIHCLEAAIRRRTSEGIKLELCGEDRVGLLSDVTRIFRENGLSVNRAEVTTRGTQAVNVFYVTDVTGNPVKSETIEAVRKEIGLAILHVKDDVCSKPEPHERGKFSLSGLFRSSSEKFLYNLGLMKSYS >Vigun01g100000.1.v1.2 pep primary_assembly:ASM411807v1:1:26690718:26696391:-1 gene:Vigun01g100000.v1.2 transcript:Vigun01g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDNSCQQKNNKMASRSSSIATSIPASSVKRRLYQVWKGNNKFLCGGRLVFGQDASSLFLTSFLIGGPAITFCIKMLLSLKKEDAHFSNPVLIGGVVLTVLVFVFLFMTSSRDPGIIPRNAQPPELEEPLDINTPSMEWLNNKAPNLKFPRVKDMTVNGHTIKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGSRNYPFFILFISSSTLLCIYVFAFSWVNLLRQKGKLWVNMSHDVLSVTLIVYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGVLQNFKELSCGRIPKTMINFREWVLVEDDNPDESYTSDLERGFISSKQKFDMEMGTMYGKDGMRVPSILKELDYNGIDDTLKKKAGEKEGEYDIFVPADNTKSKTGGPNAKEEKQ >Vigun01g100000.4.v1.2 pep primary_assembly:ASM411807v1:1:26690718:26696390:-1 gene:Vigun01g100000.v1.2 transcript:Vigun01g100000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRDPGIIPRNAQPPELEEPLDINTPSMEWLNNKAPNLKFPRVKDMTVNGHTIKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGSRNYPFFILFISSSTLLCIYVFAFSWVNLLRQKGKLWVNMSHDVLSVTLIVYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGVLQNFKELSCGRIPKTMINFREWVLVEDDNPDESYTSDLERGFISSKQKFDMEMGTMYGKDGMRVPSILKELDYNGIDDTLKKKAGEKEGEYDIFVPADNTKSKTGGPNAKEEKQ >Vigun01g100000.2.v1.2 pep primary_assembly:ASM411807v1:1:26690718:26696390:-1 gene:Vigun01g100000.v1.2 transcript:Vigun01g100000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRDPGIIPRNAQPPELEEPLDINTPSMEWLNNKAPNLKFPRVKDMTVNGHTIKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGSRNYPFFILFISSSTLLCIYVFAFSWVNLLRQKGKLWVNMSHDVLSVTLIVYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGVLQNFKELSCGRIPKTMINFREWVLVEDDNPDESYTSDLERGFISSKQKFDMEMGTMYGKDGMRVPSILKELDYNGIDDTLKKKAGEKEGEYDIFVPADNTKSKTGGPNAKEEKQ >Vigun01g100000.3.v1.2 pep primary_assembly:ASM411807v1:1:26690718:26696390:-1 gene:Vigun01g100000.v1.2 transcript:Vigun01g100000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDNSCQQKNNKMASRSSSIATSIPASSVKRRLYQVWKGNNKFLCGGRLVFGQDASSLFLTSFLIGGPAITFCIKMLLSLKKEDAHFSNPVLIGGVVLTVLVFVFLFMTSSRDPGIIPRNAQPPELEEPLDINTPSMEWLNNKAPNLKFPRVKDMTVNGHTIKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGSRNYPFFILFISSSTLLCIYVFAFSWVNLLRQKGKLWVNMSHDVLSVTLIVYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGVLQNFKELSCGRIPKTMINFREWVLVEDDNPDESYTSDLERGFISSKQKFDMEMGTMYGKDGMRVPSILKELDYNGIDDTLKKKAGEKEGEYDIFVPADNTKSKTGGPNAKEEKQ >Vigun09g159500.1.v1.2 pep primary_assembly:ASM411807v1:9:32649523:32652316:-1 gene:Vigun09g159500.v1.2 transcript:Vigun09g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLLRPEVGVVSHVPTSFVWPKEYLVDANGELQAPVVDLDGFLRGDEEATQCAAKLIREACSNHGFFQVINHGVDSCLIREAHHQMDTFFKLPIHRKLSVHKTPGSMWGYSGAHAHRFSSQLPWKETLSFPYHDNTSEHVVTNYFKSTIGEDFEQAGVIFQKYCDGMKQLGLKLTELLAISLGADRLHFRELFSDGCSIMRCNNYPSCQQPNLTLGTGPHCDPTSITILHQDLVGGLDVFADNQWKTVPPRLDALVVNIGDTFMALSNGRYKSCVHRAVVNKYKERKSLAFFLCPKEDKVLRAPDEVVSMDGTKHYPDFTWSHFLHFTQNHYRADQATLPNFINWFLSSKTTN >Vigun07g166300.1.v1.2 pep primary_assembly:ASM411807v1:7:28030695:28034273:-1 gene:Vigun07g166300.v1.2 transcript:Vigun07g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKYYLDVALVPLGFLITIGYHVWLWNKVRTQPSSTIIGINTHGRRSWVPSMLKDIEKKNILAVQTLRNMIMGSTLMATTSILLSAGLAAVISSTYSVKKPLNDAVYGAHSEFMVALKYVTLLTIFLFSFFCHTLSIRFFNQLSILICTPQDVMSMVTPQYLTELLEKGTLLSTVGNRLFYSALPLLLWIFGPVLVFLSSVAMIPVLYNLDIVCGTVKTKVVKNEKGENYV >Vigun05g251100.1.v1.2 pep primary_assembly:ASM411807v1:5:44510187:44512150:-1 gene:Vigun05g251100.v1.2 transcript:Vigun05g251100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDANTLSSSPTFSHSSNTLSSSSPPQLVMTPCAACKILRRRCAEKCVLAPYFPPTEPAKFTTAHRVFGASNIIKFLQELPECQRADAVASMVYEAGARIRDPVYGSAGAICQLQKQVNELQAQLAKAQAEVVNMQFQQANLVALICMEMSQTPQESPQQSVDNFITSPSHSSGYQNNLSFFEDNTNLNSLWEPLWT >Vigun06g030000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13271395:13273563:-1 gene:Vigun06g030000.v1.2 transcript:Vigun06g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMYPFQVQTFRNLLKVCIAQRDLITGKTLHALYFKSLIPPSTYLSNHFTLLYSKCGSLHSAQTSFHLTQHPNVFSYNTLINAYAKHSLIHLAHQLFDEIPQPDIVSYNTLIAAYADRGECGPVLRLFEEVRELDLGLDGFTLSGVITACGDDVGLVRQLHCFVVMCGYDGYASVNNAVLACYSRKGFFNEAKRVFREMGEGGVRDEVSWNAMIVACGQHREGLEAVGLFIEMVRKGLKVDMFTMASVLNAFTCVKDLVGGMQFHGMMVKSGFHGNSHVGSGLIDLYSKCAGGMVECRKVFEEICAPDLVLWNTMISGFSQYEDLSEDGLWCFQEMQRKGFRPDDCSFVCVTSACSNLSSPSVGKQVHALAIKSDISYNRISVDNALVAMYSRCGNVQDARKVFDTMTQHNTVSLNSMIAGYAQHGVEAESLRLFELMLQKDIAPNSITFISVLSACAHTGKVEEGQKYFNMMKEKFGIEPEAEHFSCMIDLLGRAGKLREAERIIETMPFNPGSIEWASLLGACRKHGNVELAVKAANEFLRLEPYNAAPYVMLSNMYASASRWEEAANIKRMMRGRGVKKKPGCSWIEIDKKVHVFVAEDTSHPMIKEIHLYMEELLRKMKQAGYVPDIRWALVNAEEIERNERERRLLNHSEKLAVAFGLISTEEGVPILIVKNLRICGDCHNAIKHISAITGREITVRDTHRFHCFKEGQCSCRDYW >Vigun05g223100.1.v1.2 pep primary_assembly:ASM411807v1:5:41526282:41530355:1 gene:Vigun05g223100.v1.2 transcript:Vigun05g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATLRNSIAIDSAAMPEAPKHFCNEPKELDDGGSNSSIIMENKRALEYIEEVTRNADEIQEKVLAQILSCSAETEYLRRHGLDARTDRITFKKLVPVVTYEDLKPHIDRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEEQERRSLLYSLLMPVMDQFVPGLEKGKGMYFLFTKSEAKTPSGLLARPVLTSYYNSSQFIHRKPDPYANYTSPNETILCPHSYQSMYSQLLCGLFQNEEVLRVGAVFASGFIRALKFLEKHFRCLCNDIRTGTLDTKITDPSVREAVMKVLKPNPTLADFIEAECLKGSWKGIITRIWPNTKYVDVIVTGTMSQYIPILDYYSNGLPLVCTMYASSECYFGLNLNPLCHPTEVSYTLIPTMAYFEFLPVDHINGHTDSISNLDHEHLVDLVDVKMNQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELHNAVKTGADHLPQFGASLTEYTSCVDTSTIPGHYVVYWEINTNGETPAIPSSVFCDCCFAIEESLSSVYRQGRVSECIGALEIRVVENGTFDKLMDFALSQGASINQYKTPRCVTYVPIIDLLNSKVVSNYFSPKCPSWVPGHKNWFIEN >Vigun04g021500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1632543:1634411:1 gene:Vigun04g021500.v1.2 transcript:Vigun04g021500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRGKEKVPGKEKNVKTVRKIRIVYTDPDATDYSSEEEEEDDKLLSNGYELSGCCSKRVVKEILVPCMQSKLQEENSSEDVNSEKMKASAIHFSSRRMRKPSSMYKGVQRRKWGKYVAEIRDPIQGLRVWLGTFDTEQEAAMAYERKKNEFESSRKRDDAVAFDSSKEVLFHASPSSVLDVCCTTKASLDVSDPNDSVKEKFNVEKVGEDVEPVNSEDNSTQLLLEEPVMASLFGCDGFYLDEAEKRGILLDNEFYNFLDNDIKGGFMWNVEHGEATVLPPVDSAFDELAWIDETLDWESS >Vigun04g021500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1632301:1634484:1 gene:Vigun04g021500.v1.2 transcript:Vigun04g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRGKEKVPGKEKNVKTVRKIRIVYTDPDATDYSSEEEEEDDKLLSNGYELSGCCSKRVVKEILVPCMQSKLQEENSSEDVNSEKMKASAIHFSSRRMRKPSSMYKGVQRRKWGKYVAEIRDPIQGLRVWLGTFDTEQEAAMAYERKKNEFESSRKRDDAVAFDSSKEVLFHASPSSVLDVCCTTKASLDVSDPNDSVKEKFNVEKVGEDVEPVNSEDNSTQLLLEEPVMASLFGCDGFYLDEAEKRGILLDNEFYNFLDNDIKGGFMWNVEHGEATVLPPVDSAFDELAWIDETLDWESS >Vigun04g021500.2.v1.2 pep primary_assembly:ASM411807v1:4:1632570:1634411:1 gene:Vigun04g021500.v1.2 transcript:Vigun04g021500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFECFGALIVDTRYLLKNVRNSKQKEMECKRGKEKVPGKEKNVKTVRKIRIVYTDPDATDYSSEEEEEDDKLLSNGYELSGCCSKRVVKEILVPCMQSKLQEENSSEDVNSEKMKASAIHFSSRRMRKPSSMYKGVQRRKWGKYVAEIRDPIQGLRVWLGTFDTEQEAAMAYERKKNEFESSRKRDDAVAFDSSKEVLFHASPSSVLDVCCTTKASLDVSDPNDSVKEKFNVEKVGEDVEPVNSEDNSTQLLLEEPVMASLFGCDGFYLDEAEKRGILLDNEFYNFLDNDIKGGFMWNVEHGEATVLPPVDSAFDELAWIDETLDWESS >Vigun03g276100.1.v1.2 pep primary_assembly:ASM411807v1:3:45241235:45252135:-1 gene:Vigun03g276100.v1.2 transcript:Vigun03g276100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPVNWEALDALLIDFAKSENLIEEDSSAPSPSSSSYHSRLLIRHIRRSLHTGAIDAAVHLLRLHAPSILTDHKILFRLHKQKFIELLRKGTAEDRESAIQCLRTSLAPCALDAYPEAYEEFKHVLLAFIYDKDDNTSPVANEWSERRRIDLAGYMSSMLRAHLNAYDPIFSMALRYLISIHRVYCLRQGITSPISDLTERLLLEERDPPATPQDILYEVPPFDEVDIQALAHAVELTRQGAIDSLRFSKGDLFLAFQNELCRMRLDVPLLDQLVREYCVYRGIVDSASGKQQPISEPVKFNQQDPGYCSSRDCSLEIDCNASKHSDGETSVTNAQMDGSPENNADVTSMRQVDFEVRYASELTSIHEDCSTSGSQQHEDAAALQRSRLPGNGERSKRKRWRGRYDDNSYMPNASLEEHSKQEHSISTVVSTISKEKQGSDKLSVHDVSNVEDRYEILLGMKELASRGMAAEAVQEVNAIDPNFFAQNSVLLFQLKQVEFLKLVSSGDYNAALKVACTHLGPLASSDPALLKPLKETLLALLRPNEDALGNALPLHALAASLQVAVGRRLGVEEPQLMKIMRATLYTHNEWFKLQMCKDRFEGLLRIDSLKEANTPFLAPVSMSKSYADSCTNGSSQATVSSGTRTSEDGSSPTQVSSRDVICDEGAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Vigun03g276100.2.v1.2 pep primary_assembly:ASM411807v1:3:45239721:45252047:-1 gene:Vigun03g276100.v1.2 transcript:Vigun03g276100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPVNWEALDALLIDFAKSENLIEEDSSAPSPSSSSYHSRLLIRHIRRSLHTGAIDAAVHLLRLHAPSILTDHKILFRLHKQKFIELLRKGTAEDRESAIQCLRTSLAPCALDAYPEAYEEFKHVLLAFIYDKDDNTSPVANEWSERRRIDLAGYMSSMLRAHLNAYDPIFSMALRYLISIHRVYCLRQGITSPISDLTERLLLEERDPPATPQDILYEVPPFDEVDIQALAHAVELTRQGAIDSLRFSKGDLFLAFQNELCRMRLDVPLLDQLVREYCVYRGIVDSASGKQQPISEPVKFNQQDPGYCSSRDCSLEIDCNASKHSDGETSVTNAQMDGSPENNADVTSMRQVDFEVRYASELTSIHEDCSTSGSQQHEDAAALQRSRLPGNGERSKRKRWRGRYDDNSYMPNASLEEHSKQEHSISTVVSTISKEKQGSDKLSVHDVSNVEDRYEILLGMKELASRGMAAEAVQEVNAIDPNFFAQNSVLLFQLKQVEFLKLVSSGDYNAALKVACTHLGPLASSDPALLKPLKETLLALLRPNEDALGNALPLHALAASLQVAVGRRLGVEEPQLMKIMRATLYTHNEWFKLQMCKDRFEGLLRIDSLKEANTPFLAPVSMSKSYADSCTNGSSQATVSSGTRTSEDGSSPTQVSSRDVICDEGAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Vigun03g276100.3.v1.2 pep primary_assembly:ASM411807v1:3:45241522:45252047:-1 gene:Vigun03g276100.v1.2 transcript:Vigun03g276100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPVNWEALDALLIDFAKSENLIEEDSSAPSPSSSSYHSRLLIRHIRRSLHTGAIDAAVHLLRLHAPSILTDHKILFRLHKQKFIELLRKGTAEDRESAIQCLRTSLAPCALDAYPEAYEEFKHVLLAFIYDKDDNTSPVANEWSERRRIDLAGYMSSMLRAHLNAYDPIFSMALRYLISIHRVYCLRQGITSPISDLTERLLLEERDPPATPQDILYEVPPFDEVDIQALAHAVELTRQGAIDSLRFSKGDLFLAFQNELCRMRLDVPLLDQLVREYCVYRGIVDSASGKQQPISEPVKFNQQDPGYCSSRDCSLEIDCNASKHSDGETSVTNAQMDGSPENNADVTSMRQVDFEVRYASELTSIHEDCSTSGSQQHEDAAALQRSRLPGNGERSKRKRWRGRYDDNSYMPNASLEEHSKQEHSISTVVSTISKEKQGSDKLSVHDVSNVEDRYEILLGMKELASRGMAAEAVQEVNAIDPNFFAQNSVLLFQLKQVEFLKLVSSGDYNAALKVACTHLGPLASSDPALLKPLKETLLALLRPNEDALGNALPLHALAASLQSASFLATTSLRQPNFSQFM >Vigun03g402100.1.v1.2 pep primary_assembly:ASM411807v1:3:60924250:60926824:-1 gene:Vigun03g402100.v1.2 transcript:Vigun03g402100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMEQQRPQQQDEAEEMQHGPLPVEQLQASSIAATDVKKLKDAGIHTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPMGFTSASELHAQRDAIIQITTGSRELDKILEGGVETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFAVMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKMADEFGVAIVITNQVVSQVDGSAVFAGPQIKPIGGNIMAHATTTRLALRKGRGEERICKVISSPCLAEAEARFQICAEGVSDVKD >Vigun03g035900.1.v1.2 pep primary_assembly:ASM411807v1:3:2762460:2768602:-1 gene:Vigun03g035900.v1.2 transcript:Vigun03g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVSAMTLNLCTPCFSRVLHHPSSSLPKLSETCVNHAANTNSPSPSLFFTTPSLSNLSRFLNSKASVSESHNETSDDTANILDQQLLLQVAANAKDADEALQIIADNSSRNGGFVSTSDCCSVISAALNRNNPELALSIFYAMRASLHPVDDSGPLAARWKWSRPNANVYALLIQGLAAVLRVSDALRVIKYICEVGVSPGEEVRFGKVVKCPSCRIAVGVAQPQQGIQIVSCSKCRYQYELVSGDIVSIESEEISMDISAWERGLRYVKLRKQNIPSAVHSIVVRTPSGMARTHRFATETVDLPAQEGERVTVAVAAPSNVYRKVGPFKFSPRNPDFYPGEAMCITKHKDGRESLLLRAPRKENSSLLKPSFLFPLIALLATGDAASGLIDPGLPQLLSVVAVSTLVVGSTLNTVVLPQFNQLPQKSVDAVAIKQRLLSQYDVLLSRINDLKEAAEKEIWMLARMCQLENKISAVGEPAYRNRRSKVKRVRESLQNSLRGRIELIDSYARISSMIEIEVEMETDVLAAETASNMDSISEQIEQIMELENLEEGWKIQAEANDEAERLLSSQPMPLDEV >Vigun11g054600.1.v1.2 pep primary_assembly:ASM411807v1:11:10070710:10074145:-1 gene:Vigun11g054600.v1.2 transcript:Vigun11g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSSPFSAATVCVLSVIVAVIPLWAVKMVNTLWLRPKRLEKLLRAQGLHGDPYSLSPSTSNQNQSEVQQQKPDSESFALSDDVAPRLSTSLYYTIAKYGKKSFFWEGRTPKVIISEPNQIKEVFNNIHNLHKPKLSGNAKFLMNGLLSYEGEKWAKHRRIINPAFHLEKFKNMIPAFSQSCYDMISMWEGMLSSDGKCEIDVFPFLQNLSRDAISRTAFGSSYAEGEKIFQLLKMQGYLVMMTAKYNNIPILRYLPTAINKKMRAVDKDIHDSIEVIIKKKEKAMKNGETCNEDLLSILLESNKMETQGHGNGTSAGMTYEEVIGECKLFYLAGQETTSSLLVWAMIFLSRYPEWQARAREEVLHVFGNQTPKFDGLSQLKVVTMVIYEVLRLYPPTIFFNRTLQKDMNLGNLSLPAGVKVALPIFLLHQDGDIWGDDAKEFNPQRFSEGIAKATKGQLSFYPFGWGPRICIGQNFALLEAKIVLSLLLQNFSFELSPNYKHAPTAVLSLQPKHGAPIVLHKLQNPS >Vigun11g094700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27716472:27718617:1 gene:Vigun11g094700.v1.2 transcript:Vigun11g094700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGDIVQVEGGHIVRSSGRKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPPTHDEEKQNDAAGSSGIVAVEQQQEEHHHHQQQQSESCGYNFQLQRQLGAFISTHVDTDPINFQTNNSSEDLGLSLHCFQDHPGLINWPSQQGANQAPPSNEHQIQTLFAGSTPVAFENHYQRTVTWNNEATTGDHVSRMGFFLNSHPFLGQASASAYAQSETLQSSFSFPVTSSEHQRPQPVHQPSIFGSRFVSDGLAGFCIPDRIQSVEENHGVASNRPSSSPSSIH >Vigun11g094700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27715093:27718617:1 gene:Vigun11g094700.v1.2 transcript:Vigun11g094700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGDIVQVEGGHIVRSSGRKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPPTHDEEKQNDAAGSSGIVAVEQQQEEHHHHQQQQSESCGYNFQLQRQLGAFISTHVDTDPINFQTNNSSEDLGLSLHCFQDHPGLINWPSQQGANQAPPSNEHQIQTLFAGSTPVAFENHYQRTVTWNNEATTGDHVSRMGFFLNSHPFLGQASASAYAQSETLQSSFSFPVTSSEHQRPQPVHQPSIFGSRFVSDGLAGFCIPDRIQSVEENHGVASNRPSSSPSSIH >Vigun11g094700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27714892:27718642:1 gene:Vigun11g094700.v1.2 transcript:Vigun11g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGDIVQVEGGHIVRSSGRKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPPTHDEEKQNDAAGSSGIVAVEQQQEEHHHHQQQQSESCGYNFQLQRQLGAFISTHVDTDPINFQTNNSSEDLGLSLHCFQDHPGLINWPSQQGANQAPPSNEHQIQTLFAGSTPVAFENHYQRTVTWNNEATTGDHVSRMGFFLNSHPFLGQASASAYAQSETLQSSFSFPVTSSEHQRPQPVHQPSIFGSRFVSDGLAGFCIPDRIQSVEENHGVASNRPSSSPSSIH >Vigun06g151900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27629395:27632804:1 gene:Vigun06g151900.v1.2 transcript:Vigun06g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHPLCSSHCSTYSFSFHSGKPFIIVPSRSKTLLPAPPRFSSAQPFNTLRDANDPDAKSSSLSKNSIWVNPKSSRAKQLWKISPRARSSFLSKLAKSLDSCNPTNEHVSQILDVLGDNIFERDAVFILDVMVNPTTALLALEYFRQKINPVKHVVLYNVTLKVFREVRDFEGAEKLFGEMVQRGVHPNIITFSTIISSASMCSMPRKAVEWFEKMHSFGVEPDNSIFSFMIRAYASSGNAEMAMKLYDRAKAEKWSVDTGVFSALIKTCGILENFDGCLSVYNDMKVLGVKPNMLTFNTLLYAMGRARRALDAKAIYEEMINSGFSPSWATYAALLQAYCKARFREDALGVYREMKEKGMDVDAVLYNLLFDMCADVGCLDEASEIFEDMKSSGTCQPDNLTYACLINMYSSHLKHSDSLESRNPWEQQVSTILKGIVDKISEGDAIFILSKMVDPNTALFVLRYFQSKINFSRDKEVISYNVTLNLFRKSRDFEGAEALFDEMLYRGVKLDNITFSTMVNCASVCGLPDKAVELFEKMSSFGFERDGITSSAMIYAYARTNKVDKALELYDRAKAEKWRIDALTFSTLIKMFSVAGNYDKCLIIYQDMKVLGVKPSVVTYNNLLGAMLRARNHRQAKTIYNEMKNSGISPDFITYASLLEVYTRALCGEDAFGLYKEMQGNGVNVTADLYNKLLSMCADLGYIDKAVEIFYDMKSSGSCQPDSWTFTFLITMYSRSGRVSEAEGILNEMIQSGLQPNIFVMTSLVQCYGKVKRTDDVVKVFNQLLELGIDPNDHFCSCLLSVLTQTPKEEAGKLTDCIEKANPKLGTVVRYLVEGKEGNGDFRKETVELLNSFHAEVKKPLCNCLIDLCFKLNVPERACDILDLGLMLEIYTDIQSRSQNQWSLHLKELSVGAAMTALHVWINDLSRALESEEDLPPLLGINTGHGKHKYSDKGLATVVESHLKELDAPFYEASDNPGWFLVTKTAAESWLQSRGSTESIASLNTLALGVPAVAL >Vigun03g446800.1.v1.2 pep primary_assembly:ASM411807v1:3:64818182:64820236:1 gene:Vigun03g446800.v1.2 transcript:Vigun03g446800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFLILLAVLSISALSLSPSHAQDSDNNGLVMNFYKEACPQAEDIIKEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVSLGGPYIPLKTGRRDGRRSRADVVETFLPDHNESISSVLDKFGAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPEIDSALNPDHVPHMLKKCPDAIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLANDKRTKPYVKKMAKSQDYFFKEFSRAITLLSENNPLTGTKGEIRKQCNVANKHHEEP >Vigun05g188800.2.v1.2 pep primary_assembly:ASM411807v1:5:36704944:36714359:1 gene:Vigun05g188800.v1.2 transcript:Vigun05g188800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQDAVAMDCEMVGVGQGNKSALGRVTLVNKWGNVIYDEFVRPMERVVDFRTRISGIRPRDLRKAKDFWTAQKKVSELINGRILVGHALSNDFKALLLTHPKKDIRDTSEYQPFLGSSSRRALRHLAAEHLGVQIQTGEHCPIEDARAAMLLYQRHKKDWEKSIKDQFRFKQKQRKSKQKKKHKIEDASNANHVEIES >Vigun05g188800.1.v1.2 pep primary_assembly:ASM411807v1:5:36704944:36714359:1 gene:Vigun05g188800.v1.2 transcript:Vigun05g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKQTQLNPNWLQLQQKLNDSKAPRTFKSSDKVTPESILGKRKERPDEESNDSQINPLIPVNDDSSLTDAVAMDCEMVGVGQGNKSALGRVTLVNKWGNVIYDEFVRPMERVVDFRTRISGIRPRDLRKAKDFWTAQKKVSELINGRILVGHALSNDFKALLLTHPKKDIRDTSEYQPFLGSSSRRALRHLAAEHLGVQIQTGEHCPIEDARAAMLLYQRHKKDWEKSIKDQFRFKQKQRKSKQKKKHKIEDASNANHVEIES >Vigun03g333400.1.v1.2 pep primary_assembly:ASM411807v1:3:53123728:53125406:-1 gene:Vigun03g333400.v1.2 transcript:Vigun03g333400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMVGGRTWAGTPVVQQIVPNSGWTQDSAGHYLLVDLPEFRKEEVKLQVDSYGRIVVKGERQINAWKHDHFRLTFPAPLDSDMDRIAGKFDGGVLYVTIPKQVTQHSKVSELGKVGNGKVERAEENGSHRHGNGEVDRAKENDGHEVERTEKNDSQEHGNGKVERAEENDRHASEHDKDTEEEVKRNENECIKEFPEQVIRKWEQESMLKGAVEVLRENKGIVVTAVVAFSLGLLVYRKFNNTVS >Vigun03g074700.1.v1.2 pep primary_assembly:ASM411807v1:3:6187261:6190293:1 gene:Vigun03g074700.v1.2 transcript:Vigun03g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQNVVPSKTKSGINRDLAVAQKPPAAPGGYIPIPRRRVLKNLEINGGQRINAWVDSMRASSPTHAKSTSSFAEEHSSWILRHPSALDMFEQIMDASRGKQIVMFLDYDGTLSPIVDDPDRAFMSDSMRKTVRKLARCFPTAIVTGRCRDKVYNFVRLAELYYAGSHGMDIQGPTRDSKYSNKDKGEPVLFQPASEFLPMIDEVYNELVEKMKSIPGARVENNKFCVSVHFRCVDEKKWSELAQEVRSVLKEYPKLRLNQGRKVLEIRPSIKWDKGKALEFLLESLGFANCNDVFPVYIGDDKTDEDAFKKLRDRGQGFGILVSKFPKDTTASYSLQEPNEVMDFLQRLVEWKQVSLRLRARSRV >Vigun03g147400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15104086:15105535:1 gene:Vigun03g147400.v1.2 transcript:Vigun03g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNYFFFGYNYLGSNNDCFNNGTRPPMLPRSESRSGSLSENASTSGRNAASDRRGGEESIEDGGINSARLFREPSPSLWQRASGVITTISSFIYGRDSNITRVSPEVSDIIVDQQNNQDDPSSPQGESEGESEEESGSSSSSSSSSSISRRVWRRTRTPGRVRPVVDDESASGSSSRREYHVVERITGKVWRVVHRDSSSSEDER >Vigun01g199000.1.v1.2 pep primary_assembly:ASM411807v1:1:37563623:37565452:-1 gene:Vigun01g199000.v1.2 transcript:Vigun01g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVSNITFATRLFKYQSFHSLLHRSDLNDHTLVFDVEGTLLKSSSMFPYFMLVAFEAGGLLRAMVLLLVYPFICLVDDETSLKIMVMICFFGIKEDSCRVGRAVLPKLFLEDVGSEMFEVLKKCRRKVGLSKLPRVMVESFLKEYLEVDFVVGREMKLFNGFYVGLMEERNCMHDNLDLVHDGKINCSHMIGVSGSEKQFGHSFFSSCKEVYMVTEAEKKNWKTLAKDKYPKPLIFHDGRLAFKPTPLTTLALFTWVPCGFFIALIRIIIGVSLPYNISSPLLAFTGLHLTTSEPKKTKDQQKLKGNLYACNHRTLLDPLYLAFTLKRDLVAVTYSLSKMSEILAPIKTVRLTRNRDEDAEMMKRLLKDGDLVVCPEGTTCREAYLLRFSPLFSELCEEIVPVAMDCGVTMFHGTTAGGLKCLDPLLFMMNPTPCYSVQLLENVSSPSLRSGRMSEEARFEVANEVSRKIGRVLGFECTTLNRKDKYLVLAGNEGAVSTTKTGRTV >Vigun03g141300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14172721:14174577:1 gene:Vigun03g141300.v1.2 transcript:Vigun03g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CPRD2 MKTLSCYYTFATVIALLFSFTPSSADTHENFLQCLYSYPHNTNSISSVLYTQTNSSYFSVLDATMQNLRFSDSRKPLVIVTPQVVSHIQATIKCSQRHGLQIRTRSGGHDYEGLSYVARVPFVILDLLNFREIKVDVENRTAWVQVGATLGELYYTISQASKTLGFPAGVCYSVGAGGHISGGGYGFLMRKYGLAADNVIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVSWKIKLVPVPSTVTVFNVERILEENATEIIEKWQLVANKLDERIFLRMDLARANSSQHGKLALQANFVAMFQGGVEELIPLMQKNFPELGLKRKDCTETSWIGSAVFTNGALIGSSGHEAPEVLLNRTQIRSGKYKGKSDYVRKPIPVDGLRGLWRWLNDDKVQYSQLQFAPYGGKMDNISESEIPFAHRSGYIFHIHYVVVWQEEGDEATQRHVNWIRRLYKYMEPYVSNSPRAAYVNYRDLDIGVNNNGYTSYHQASIWGLKYFSNNFKRLATVKTKVDPHNFFRNEQSIPTLSKE >Vigun06g044600.1.v1.2 pep primary_assembly:ASM411807v1:6:16910214:16915227:1 gene:Vigun06g044600.v1.2 transcript:Vigun06g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMIFTGLNSSRVLPITSQPHPSSHFPSNMLKFAPLRTPSAYSSSVSCSATRDPSSVLPLEEHRNLDNGSVLPSRPDSFGRFGKFGGKYVPETLMHALTELEAAFHSLTADEQFQTVLAGILKDYVGRESPLYFAERLTEHYKRPNGEGPHIYLKREDLNHTGAHKINNAVAQALLAKSLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLIACIGGGSNAIGLFHEFVDDKDVRLIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALEAFKRVSRLEGIIPALETSHALAYLEKVCPNLPNGAKVVVNFSGRGDKDVQTAIKYLKI >Vigun07g231400.1.v1.2 pep primary_assembly:ASM411807v1:7:35314530:35317925:-1 gene:Vigun07g231400.v1.2 transcript:Vigun07g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTSSGKSNDLTNENGKGNGGLVVCFGEMLIDFVPTVGGVSLAEAPAFQKAAGGAPANVAVGIARLGGSSAFVGKVGADEFGYMLVDILKQNNVDTSGIKFDPNARTALAFVTLRADGEREFLFFRNPSADMLLQESELATDLIKKAKIFHYGSISLIHEPCKSAHLAAMKIAKDSGCILSYDPNLRLALWPSAEAARNGIKSIWDQADVIKISEDEITFLTCGDDPYDDDVVLKKLFHPNLKLLIVTEGSGGCRYYTQELKGRVAGVKVNAVDTTGAGDAFVSGIMYNLASDQSIFQNEERLRKALYFANVCGAITVTGRGAIPALPTKEAVLQFAET >Vigun07g231400.2.v1.2 pep primary_assembly:ASM411807v1:7:35314529:35317926:-1 gene:Vigun07g231400.v1.2 transcript:Vigun07g231400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTSSGKSNDLTNENVGGVSLAEAPAFQKAAGGAPANVAVGIARLGGSSAFVGKVGADEFGYMLVDILKQNNVDTSGIKFDPNARTALAFVTLRADGEREFLFFRNPSADMLLQESELATDLIKKAKIFHYGSISLIHEPCKSAHLAAMKIAKDSGCILSYDPNLRLALWPSAEAARNGIKSIWDQADVIKISEDEITFLTCGDDPYDDDVVLKKLFHPNLKLLIVTEGSGGCRYYTQELKGRVAGVKVNAVDTTGAGDAFVSGIMYNLASDQSIFQNEERLRKALYFANVCGAITVTGRGAIPALPTKEAVLQFAET >VigunL059638.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:23459:24432:1 gene:VigunL059638.v1.2 transcript:VigunL059638.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHITGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun08g005500.1.v1.2 pep primary_assembly:ASM411807v1:8:474708:482289:-1 gene:Vigun08g005500.v1.2 transcript:Vigun08g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKDVKILLKQTNEYRRASRFAKNLGQRPNSNYVGKVSRLKSSSKSTGSSVIFESPGPLHDIIVCWIDQHVVHKGEGSKRLQLFIVELIRAGIFYPLAYVRQLIVSGIMDANVNLVDMERRRRHYRILKQLPGCFIHDVLEDLGIVDGVHLKEALQIYLNERRLILRGPLSESHDDASGTDLSALKRKRYPASIKDEASGMTVDQRNVISTTKNVKDNANIEELKTAISVLLQLPNCSSNLSATGCDESEGTIKRSIGSQNSKIDPVEGTPGCEQCSRTKRQKLSEERNSCVQGHSPVQSDDDDAWWVKKGMKSPEPLKVDQPQKLTKQVTKSRQKNVRKTQSLAQLAASRIEGSQGASTSHVCGSKVSCPHHKTAMDVDGQRSVDIIPTSHFGDIVSIGKALKQLRFVEKRAIAVWLLSVVRQVIEETDKNIGKVGQFGRPFSGADDRSSIRWKLGEDELSAILYLMDISHDLVSAVKFLLWLLPRVLNSPNSTIHSGRNVLMLARNAENQVCDVGEAILLSSLRRYENILVAADLIPEALSSAMHRAAKIVSSNGRLSGSGVLAFARYLLRKYSNVASVIEWEKTFKATCDTRLSSELDSCRSVDGELGLPLGVPAGVEDHDDFFRQKISGGRLQSRVGAGMREVVQRNVEEAFHFLFGKDRKLFAAGTLKGLPPVEKWDNGYQIAQQIVMGLIDCIRQTGGAAQEGDPSLVSSAVSAIVGSVGPTLAKMPDFSSGSNHSNITSTSNLLNYARCILRMHITCLGLLKEALGERQSRVFDIALATEASTALAGVFTPSKASRAQFQTFPEVHDSSNTISNDMGSNSNKVVVAKTTKIAAAVSALFVGAIIYGVTSLERMVTVLRLKEGLDAVQFVRSTRSNANGNARSVMAFNKMDSSIEVHVHWFRLLVGNCRTICEGLVVELLGEPFIMALSRMQRMLPLNLVFPPAYSIFAFIRWRPFILNATVREDMNQIYQSLIVAITEAIKHLPFRDVCFRDCQGLYDLMSADNSDSEFANLLEFNGSDMHLKLTAFVPLRSRLFLNAIIDCKMPQSIYAKDDGSRISGPGESKVKPTDSGSKLQDMLVHVLDTLQPAKFHWQWVLLRLLLNEQALIEKVENHDVPLSDAIKLSSPSPEKAASASENENNFIQILLTRLLVRPDAAPLFSELIHLFGRSLEDSMLLQAKWFLGGQDVLFGRKAIRQRLHNIAVNKKLSVKTQFWEPWGWCSPTTDSLTIKGDNKKFDSTSLEEGEVVEEGTYLKRCQQQVIERALIELLLPCIDQSSDEARNSFATDLVKQLSYIETHITAITGGSKPVGSAPAGVEGQPNKVNNRKNLRTGNATLSRRPTVATDSSPPSPAALRASMSLRLQLLLRFLPTLCTDREPSVRSTRQFLASVIFRLLGSRVVHQDADISANAVPLPTREAESSSEVASAAFVDSSSQSLFDRLLLVLHGLLSSYPPSWLRPKPSKTSNEPTIDRELLETLQNDLDRMQLPDTDRWRIQAAMPVLIPSMRCSLSCQPPSVSNSALMCIQPGTTNPGVNSSSSTIPQRGPALSRVASNVSGKQKRQDNDLEIDPWTLLEDGAGSVPPAGNTASIGSGDHVNIRAASWLKGAVRVRRTDLTYVGAVDDDS >Vigun04g200300.1.v1.2 pep primary_assembly:ASM411807v1:4:42397667:42398105:1 gene:Vigun04g200300.v1.2 transcript:Vigun04g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKIGLLLSLLLTTLFPFQLKGNNHHDLGTHILNHGEQYNFSFKPNFFANVTLYFCSFAWLRTRHYFDICDEDRDDCAECVWNIFKSGPCIVYPRFNECFVWNH >Vigun11g041200.1.v1.2 pep primary_assembly:ASM411807v1:11:5932571:5933824:1 gene:Vigun11g041200.v1.2 transcript:Vigun11g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVYNSTFTSTIFSQKFSSSSSYHFHAFILLIKIVFIVSQNMSIFSIEAFKFFFLLSFFISLSNGKSLGMIPNHVKSISLHQKAAAATTEENGAKKDMRMELYPTGSALPDCSDACGSCFPCKRVIVSYKCMVAESCPVVYRCMCKGKYYHVPSNG >Vigun02g110600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26429700:26431110:1 gene:Vigun02g110600.v1.2 transcript:Vigun02g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRIPLSEEIMNNKPRKKKNTTQKTLFQLKGNDVKEDGQTSLSLKSTQKGSKRPLITEVSPTFQRQEGSNSDSLPDSSAAGNEYRALRRKYLLLEDESFALGEELKEVEDEVKSLEEEKIALLDQLVVMEGLVDPSMQS >Vigun10g027500.2.v1.2 pep primary_assembly:ASM411807v1:10:3325955:3333451:-1 gene:Vigun10g027500.v1.2 transcript:Vigun10g027500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEEKKKEEIEVITAIYKVNLHCKECGNKIKKHLMITQGVQSVEIEIEKGEVRAKGKIEPLKILKLIEKKSNKKVELISPKIKPKEITTVEKKPKEIKEPIIRIISAKVHMHCDKCEADLKRRLIKHKGIFDVKTDQKAQNVTVEGTIEVEKLKSFLRKRVHKTVEIVSIKEVKKEEKKKDHGESTKKKDEDNTKKSGESTKEKGGEITKIIETREKEEVKVKDNVPYIIHYVYAPQLFSDENPNSCCIL >Vigun10g027500.1.v1.2 pep primary_assembly:ASM411807v1:10:3325836:3333341:-1 gene:Vigun10g027500.v1.2 transcript:Vigun10g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEEKKKEEIEVITAIYKVNLHCKECGNKIKKHLMITQGVQSVEIEIEKGEVRAKGKIEPLKILKLIEKKSNKKVELISPKIKPKEITTVEKKPKEIKEPIIRIISAKVHMHCDKCEADLKRRLIKHKGIFDVKTDQKAQNVTVEGTIEVEKLKSFLRKRVHKTVEIVSIKEVKKEEKKKDHGESTKKKDEDNTKKSGESTKEKGGEITKIIETREKEEVKVKDNVPYIIHYVYAPQLFSDENPNSCCIL >Vigun10g027500.3.v1.2 pep primary_assembly:ASM411807v1:10:3325955:3333451:-1 gene:Vigun10g027500.v1.2 transcript:Vigun10g027500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKEEKKKEEIEVITAIYKVNLHCKECGNKIKKHLMITQGVQSVEIEIEKGEVRAKGKIEPLKILKLIEKKSNKKVELISPKIKPKEITTVEKKPKEIKEPIIRIISAKVHMHCDKCEADLKRRLIKHKGIFDVKTDQKAQNVTVEGTIEVEKLKSFLRKRVHKTVEIVSIKEVKKEEKKKDHGESTKKKDEDNTKKSGESTKEKGGEITKIIETREKEEVKVKDNVPYIIHYVYAPQLFSDENPNSCCIL >Vigun10g051500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8039573:8040949:-1 gene:Vigun10g051500.v1.2 transcript:Vigun10g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATMTWRNKAVPEEKALGINSFDAGKTMCRLISLYHSLSDQEIAKLHHKVIKSKGITYLNSQHECFLLNLAAAERLEELDTAADAVSRLGRKCSDSSLGRFDLVYADLKLGLIDLRKLVYGCRNSLKMISKMEKLVSSTRSLYTAMESMAKLEISEKKRQRLKTNDYNNFTKQNMEYLDEEIACCRKQVQHYKEVSLWNQTLDKTVGIMAKVVCIVYARICSVFGGYIANCSCYSINGDGDSENGSCCCLLEHRELYKKNYCLHEESLHKAVTRSGPIPKGSSNKTGVIRFLNRGFDSPVNNGVNNRVLRLAPPSTVGGAGLAARYAEVVLLAEQCLHAPATMGQGARAAFYGMLPERVRQKVAAKLKGRWRRGEEGEALAEGWRDAVEKLLEWLSPVAHDTVRWQEERSVETARFEAGTTALLLQTLHYSDLEKAEAAIVEVLVGLSCICRCERT >Vigun07g201500.2.v1.2 pep primary_assembly:ASM411807v1:7:32252285:32255931:1 gene:Vigun07g201500.v1.2 transcript:Vigun07g201500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPNFMDEIDCGSFFDHIDDLLDFPVEDVDGGAPTLPSVAAAAAGNCNSLASIWPAGADSFPGSDSVFSGNSASDLSAELSVPYEDIVQLEWLSNFVEDSFCGGSLTMKKVEEPSCTTKEDSVHNQFHTSSPVSVLESSSSCSGGKTVPAPPRSPEVYIPVPCGRARSKRPRPATFNPRPAMNLISPASSFVGENMQPNVISSKASSDSENFAESQLVAKMPKQGCGEPKKKKKVKVTVPAGGGGGGGDSNQNGLQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRFKSGRLYPEYRPAASPTFCPSLHSNSHKKVLEMRCRGIEKSGFAMNNVAASPELIPNTNSSLSLEYI >Vigun07g201500.1.v1.2 pep primary_assembly:ASM411807v1:7:32252121:32255980:1 gene:Vigun07g201500.v1.2 transcript:Vigun07g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPNFMDEIDCGSFFDHIDDLLDFPVEDVDGGAPTLPSVAAAAAGNCNSLASIWPAGADSFPGSDSVFSGNSASDLSAELSVPYEDIVQLEWLSNFVEDSFCGGSLTMKKVEEPSCTTKEDSVHNQFHTSSPVSVLESSSSCSGGKTVPAPPRSPEVYIPVPCGRARSKRPRPATFNPRPAMNLISPASSFVGENMQPNVISSKASSDSENFAESQLVAKMPKQGCGEPKKKKKVKVTVPAGGGGGGGDSNQNGLQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRFKSGRLYPEYRPAASPTFCPSLHSNSHKKVLEMRCRGIEKSGFAMNNVAASPELIPNTNSSLSLEYI >Vigun03g053400.1.v1.2 pep primary_assembly:ASM411807v1:3:4386865:4388327:-1 gene:Vigun03g053400.v1.2 transcript:Vigun03g053400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAINALFLILFLQHLLFTTANDSIPVPADWPQQFHSVLFINRSGNLRKTDLWYDWPNGRNFNIVQNQLGVLTYDLEWDNGTSFYYTLQPFDKTCKIMHFDVGILRPSWLDGANYLGQESVDNFLCNVWEKVDFITYYEDVLTRRPVKWIFFDGMVSHVMTFEVGAVLEDEHWQAPVYCFDEMKNETSEKTNAFVLESEDAASAGFSHGMLMREMPHSAYAK >Vigun03g234500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39054116:39055270:-1 gene:Vigun03g234500.v1.2 transcript:Vigun03g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNEINNTNIEDQREAPINEDILETIFSYVPLIHLLPASLVSRAWKRALSTSLRHVNPIKPWLMLHIQNPRALHVTTTFAYDPRSRTWFQIHAPHPNHASTLRASNSTFLYTLSSSEFAFSIDPLHLHWHYACPPRVSRTDPIVARVGPLIVVAGGACEFEDDPLAVEAYDTESRAWTRCQSMPAILKDSSASTWLSATVTGEKMYVTEKNSGVTYSLDCNTMGWQGPLEVRPNLSVFHCVTGAIGGRLMVAGMVGEVEKVEEVKLWEVKGELAVESELGYWCEELAAMPKEMMVKIMGEGGGSIVVNWIGNYVYFQNRWEAEEIVVCEVGSGGSCEWESVRNVTASDVNRMQRTVFSGAHVGLEDLKKAIKKNCLFVEKVV >Vigun10g055850.1.v1.2 pep primary_assembly:ASM411807v1:10:10000118:10001015:1 gene:Vigun10g055850.v1.2 transcript:Vigun10g055850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCASSRTRVASNASCLRECVFLLLVCGAFSRTTAAFSCQLFGVCNLCSRSNTSRAIWCLSGLSD >Vigun03g281600.1.v1.2 pep primary_assembly:ASM411807v1:3:46146394:46148351:-1 gene:Vigun03g281600.v1.2 transcript:Vigun03g281600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVRFPLPPVIINRRNRIPVSRAKRIESSSLMDQPKKVIVCGGGVIGVCTAYFLAEKGAAVTLIEMSDVACAASGKAGGFLAYDWCDGGPLEELARVSFNLHRSLSEELDGPRSYGYRALTTLSLTVRESERSSASRSLPSWIDGPARSPKTIGTPETTAQVHPQLFTRTLIDRAVEKYGVKVEIAKLERVEVEEGRVGSVVLEGGRALEADAVVLAVGPWSSKLGLLSSLFRVYGLKAHSIVLEPRDGSSITPHALFLSYYSSGGRGGALDPEVYPRPTGEVYVCGMSKEEEVPDDPAEIRGNPESIAMLKRVAKTVSSHLGEGEGGVKAEQACFLPCTDDGIPVIGEVPGVKGCYVATGHNCWGILNGPATGAALAQLLLHGHSTIVDLKRFSPSRFLLPTNP >Vigun07g144400.2.v1.2 pep primary_assembly:ASM411807v1:7:25517958:25521642:-1 gene:Vigun07g144400.v1.2 transcript:Vigun07g144400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKAARRTTNRRFSVCASQLNMRTRMALQFQLYNFQRERMSGEAIPVDRATYGFKLDRCHVNKQLRLRLPLKASAAQFQEQIHTIAKDEMLANYVPVYVMLPLGVVTNENVIEDRAGLEKQLRKLHAAGVDGVMVDVWWGIVESKGPGQYDWSAYKTLFQLVQKCELKLQVIMSFHQCGGNVGDSVFISLPKWVLQVGEANPDIFYTNYKGIRNKECLSLGVDNQPVFHGRSPIQVYGDYMRSFRDNMKEFLKSQLMVDIEVGLGPAGELRYPSYTQILGWVFPGIGEFQCYDKYLKADFKKAATEVGHPEWELPDNAGESNDIPESTEFFKSSGTYQTEKGIFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVAGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPGKANSGAEELVKQVLSGGWAENIEVGGENALARYDRKAYEQILSNARPNGIAKFGYPTLIMYGVTYLRLSDMLMMQRNFDTFKAFVKNMHANMTILL >Vigun07g144400.1.v1.2 pep primary_assembly:ASM411807v1:7:25517958:25521642:-1 gene:Vigun07g144400.v1.2 transcript:Vigun07g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKAARRTTNRRFSVCASQLNMRTRMALQFQLYNFQRERMSGEAIPVDRATYGFKLDRCHVNKQLRLRLPLKASAAQFQEQIHTIAKDEMLANYVPVYVMLPLGVVTNENVIEDRAGLEKQLRKLHAAGVDGVMVDVWWGIVESKGPGQYDWSAYKTLFQLVQKCELKLQVIMSFHQCGGNVGDSVFISLPKWVLQVGEANPDIFYTNYKGIRNKECLSLGVDNQPVFHGRSPIQVYGDYMRSFRDNMKEFLKSQLMVDIEVGLGPAGELRYPSYTQILGWVFPGIGEFQCYDKYLKADFKKAATEVGHPEWELPDNAGESNDIPESTEFFKSSGTYQTEKGIFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVAGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPGKANSGAEELVKQVLSGGWAENIEVGGENALARYDRKAYEQILSNARPNGIAKFGYPTLIMYGVTYLRLSDMLMMQRNFDTFKAFVKNMHANMDYCPDPERYYHSTQPMERSEARIPLELLLEATKPLGHFPWLDETDVTRSVLTVFLHYILATVLRILRIKVN >Vigun03g222100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36869900:36874512:-1 gene:Vigun03g222100.v1.2 transcript:Vigun03g222100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRQAFKYMKQKPSIVIPSKTSPSSTPIHHPYISQLLATPNWALLLNHHLFSNPHLLNPRSAVTVFNNQRNASHAIKFHAWLSHVNPSLATHSSVQRALRNTLHRNGPAVLSLELLRDVHNSGFRLTEDLLCALIGSWGRLGLANYCAHVFGQISFLSLTPSTRLYNALIDALVKSNSIDLAYLKFQQMPADNCRPDRITYNTLVHGVCKIGVVDEALRLIRQMNDKGHLPNVFTYTMLIDGFCNANRVGEAFGVFETMKERGVGPNEATVRALVHGVFRCVDPGKALDMLLSKFLDRELEQERVHFKLACDTVLYCLASNSMAKEMIVFLREVLGRGYAPDSSVFNVIIACLVKGDELRESCDIFEILRKQGVKASIGTYLVLMEASYKRGWREDGDRVFGQMISDGLISNVVSYNMIINCFCRAKLTDNASEAFRDMQVRGFVPNLVTFNTLINGHCKEGEIVKARELLEMMLLETGLKPDIFTFSSIIDGLCRIKKTEEAFGCFTEMIEWGITPNAVIYNILIRSFCAVGDVARSVKLLGRMQKEGVSPDNYSYNALIQIYCRMNKVDKAKRLFDSMSRSGLNPDNYTYGAFIEALSESGRLEEAKKIFYSMEANGCSPDLYICNLIIKILVQQNCIEEARNIMERCRLEGISLDSIPN >Vigun03g222100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36869900:36874512:-1 gene:Vigun03g222100.v1.2 transcript:Vigun03g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRQAFKYMKQKPSIVIPSKTSPSSTPIHHPYISQLLATPNWALLLNHHLFSNPHLLNPRSAVTVFNNQRNASHAIKFHAWLSHVNPSLATHSSVQRALRNTLHRNGPAVLSLELLRDVHNSGFRLTEDLLCALIGSWGRLGLANYCAHVFGQISFLSLTPSTRLYNALIDALVKSNSIDLAYLKFQQMPADNCRPDRITYNTLVHGVCKIGVVDEALRLIRQMNDKGHLPNVFTYTMLIDGFCNANRVGEAFGVFETMKERGVGPNEATVRALVHGVFRCVDPGKALDMLLSKFLDRELEQERVHFKLACDTVLYCLASNSMAKEMIVFLREVLGRGYAPDSSVFNVIIACLVKGDELRESCDIFEILRKQGVKASIGTYLVLMEASYKRGWREDGDRVFGQMISDGLISNVVSYNMIINCFCRAKLTDNASEAFRDMQVRGFVPNLVTFNTLINGHCKEGEIVKARELLEMMLLETGLKPDIFTFSSIIDGLCRIKKTEEAFGCFTEMIEWGITPNAVIYNILIRSFCAVGDVARSVKLLGRMQKEGVSPDNYSYNALIQIYCRMNKVDKAKRLFDSMSRSGLNPDNYTYGAFIEALSESGRLEEAKKIFYSMEANGCSPDLYICNLIIKILVQQNCIEEARNIMERCRLEGISLDSIPN >Vigun03g222100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36869900:36874512:-1 gene:Vigun03g222100.v1.2 transcript:Vigun03g222100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQRQAFKYMKQKPSIVIPSKTSPSSTPIHHPYISQLLATPNWALLLNHHLFSNPHLLNPRSAVTVFNNQRNASHAIKFHAWLSHVNPSLATHSSVQRALRNTLHRNGPAVLSLELLRDVHNSGFRLTEDLLCALIGSWGRLGLANYCAHVFGQISFLSLTPSTRLYNALIDALVKSNSIDLAYLKFQQMPADNCRPDRITYNTLVHGVCKIGVVDEALRLIRQMNDKGHLPNVFTYTMLIDGFCNANRVGEAFGVFETMKERGVGPNEATVRALVHGVFRCVDPGKALDMLLSKFLDRELEQERVHFKLACDTVLYCLASNSMAKEMIVFLREVLGRGYAPDSSVFNVIIACLVKGDELRESCDIFEILRKQGVKASIGTYLVLMEASYKRGWREDGDRVFGQMISDGLISNVVSYNMIINCFCRAKLTDNASEAFRDMQVRGFVPNLVTFNTLINGHCKEGEIVKARELLEMMLLETGLKPDIFTFSSIIDGLCRIKKTEEAFGCFTEMIEWGITPNAVIYNILIRSFCAVGDVARSVKLLGRMQKEGVSPDNYSYNALIQIYCRMNKVDKAKRLFDSMSRSGLNPDNYTYGAFIEALSESGRLEEAKKIFYSMEANGCSPDLYICNLIIKILVQQNCIEEARNIMERCRLEGISLDSIPN >Vigun02g023300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7797458:7798336:-1 gene:Vigun02g023300.v1.2 transcript:Vigun02g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAEDQFYEAYAIEFEEAGLAISAASRLLTILLDCEQFRNKINAPHFIDLLRGILRSNIPLRSKDWVAACLLKLSSLSGSITSVYPINVEVTLYETIPRLLEQIKTSFSPEAQETAVVELNRIISEGVVDSTDEAIISEGAISSLVMLLEEGSDRAVEASLAILHNLSMNNENHSALVAAGAVQVLKRIVLANRPHWERALLLLRILQP >Vigun05g243300.1.v1.2 pep primary_assembly:ASM411807v1:5:43639320:43643331:1 gene:Vigun05g243300.v1.2 transcript:Vigun05g243300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSIFDLFLHNCQNLFFSFYSLTEMKKFQAFLVTLLFLVTLFHGGSVTCSSSSSSRTEDGSEEWGYVQIRPKAHLFWWLYRSPYRVDNPSKPWPIILWLQGGPGASGVGFGNFGEVGPLDGNLKPRNSTWLRKADLLFVDNPVGTGYSYVEDSDLYAKTDQEATIDLTTLLAELFNNDANLQKSPLFIVAESYGGKFAVALALSAFKAIQHGTLKATLGGVALGDSWISPEDFVFSWGPLLKDLSRLDDNGLQKANSIAEKIKQQLEAGQFVNATNSWGDLENEIIASSNSVDFYNFLQDSDSDSNSLNAVELGLSKEVAIMRYSKYLKSKISTLGSEDDDLEKLLNGVVRKKLNIIPENVTYEVQSWEVFESLAADFMKPRISEVDELLTLGVNVTVYNGQVDLICATKGTEAWLKKLKWEGLQSFLEKDRTPIYCGSDRTTKGFFKSFKNLHFYWILGAGHFVPVDQPCVALDMVAAITQSPAA >Vigun03g388300.1.v1.2 pep primary_assembly:ASM411807v1:3:59445320:59449658:1 gene:Vigun03g388300.v1.2 transcript:Vigun03g388300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVCALQQTLTAEAASVLKHSLGLARRRGHAQVTPLHVAATLLSLRGSSLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTAPAPLIHTQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLTIKVELEHLITSILDDPSVSRVMREAGFSSTAVKNNIEDSSPHSVFQCYNSSGGVFSSPCSPSSSENHRETTTNPANFRQTHHFLTSYASEFHPSLLFSPLKSAPACSFSGAASSGKDDIKVVLDILLRKKKKNTVIVGDSVSLTEGLVGELMRRVERSEVPDELKSTRFIKFQISPASLSCMKRDEVEMKVLELKRKVNSVASGEGGGIFYIGDLKWTVEEASLSDKEEGSPEGYNPVDHLVSEIGRLFCDCGTSNNAKVWLMATSSYQTYMRCQMRQPPLEKQWALQAVPVPSGGLDLSLHAPSVLDSKMSITQNQSHVPETKPLGNTEQQDRLNCCEECASNYEKEAQFLKPDQKKMIPFWLQSHSTEDHDKDELVKLKRKWNRLCHCLHQSKQLHNPLNWNNSYNSASSISFANNATHCSTSKLVPRFRRQQSCIIEFNFGDKREATEAVLDSLEGMEGKEVKTTLALGNGGSGETVGDITDDRTLQRAHICKLLQENVPWQSETVPSIAEALIHSKSAKQNNSITWLLVKGNDIIGKKRLALAVAESVFGSTDVLLQFDMLKRETSVAPFSEMLAGALKTHQQLAVLIENVEFADAQFMKFLSDGFETGKFGNFTEENSIQVILILTSGGSTSIEEKNEDSVIKLSWEVSETKPNLETQSVAARIIEPYLGNKRRAELDLFSDTKSFQGSKKKVCSRQTSFNTLDLNMKADEEGDGEGKEAESNPISSDLTKETIADPLSQNGFLDSIENRFEFNTSSVKDREMAELFLCKIKGSFEEVRGKQCCWENLSVDERVIEDVCFGCGYFTNKLFEKWLKKVFQSSLKTVNFGGKEGIVFRLCWGGKGDTKADSGFMSSSLPKSIQLNYFIE >VigunL046332.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:491590:492057:-1 gene:VigunL046332.v1.2 transcript:VigunL046332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun09g137700.1.v1.2 pep primary_assembly:ASM411807v1:9:29906910:29909000:1 gene:Vigun09g137700.v1.2 transcript:Vigun09g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHENGDSNTQISEGAKSFYLFRYNSPIIQIVLMGLVCFCCPGMFDALSGMGGGGQLKTTVSNNALTALYTTFAVFGILGGGVYNILGPHLTLFAGCSTYVLYAGSFLYYNHYQHQFFAIIAGAILGIGAGLLWAAQGAIMTSYPPENRKGTYISIFWSIFNMGGVIGGLIPFILNYNRGDAAATVNDGTYIGFMAFMSAGAVLSLTILPASKVVRDDGTRCTHMLYSNVTTESYEILKLFYNWKMLLIVPAAWSSNFFYTYQFNHVNKPQFNLRTRGFNNVFYWGAQMLGSVAIGYVMDFSFKSRRKRGVVGISVVALLATGIWVGALANQIKRDLGVIMDFTDSDYAGPFVLFFSFGLLDSMFQSMVYWVIGALANDSEILSRYAGFYKGIQSAGAAVAWQIDNHKVSGMAQLIVNWVLTTVSYPLLLVLVVLAVKEDNKGEEEQAKQVAPSSAENAFVH >Vigun10g168200.1.v1.2 pep primary_assembly:ASM411807v1:10:38678910:38729594:-1 gene:Vigun10g168200.v1.2 transcript:Vigun10g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGSFNLHSSLRRFLDRCPKLQCFPQFESLEQRGEVTEEEIVNVLGGVFLHPSYTIPLMGCFRPIAQCIVDKAVALLRLVPNLRSIPEDTAREDDRDSVLDGVVNIVEFYSQQGRGLDLHELACLAFCRALDMGPFLLSSILSYFNFAPAPFERFALKQVMVETHELHVAQISYRFLLMEREIFSKLWDWSCFLDLVRESCKSDLKWCGVQVLSVLLKLGYKATENLNVGAEEAFSCLLRWEEFCQDTSLEKVGCYVKPMADYVSSSPDRIMDLNNENCLKSSGFNYLPVSSSKLRELPQHLKRQRLTTRDDISLSNTFVLTSMVKKSYDRVLLAVSQKWPVLLYGPTGSGKSALIAKVAQDSGNQVLSIQMDDQIDGRTLVGAYVCTDRPGEFRWQPGSLTQAVQNGLWIVFEDINKAPSDLHSILVPLLEGAGSFATGHGEVVRVAESFRIFSTISVSKLDTFESAGQNSFSVFWRRVMVPPLDNKDLQEILKARYPDLELHVSQLIETFETVNNISMLQFAGFHPGSSTSAYRPCRFSLRDLLKWCKRIAGLGFSLDGSLSENQCFCVYKEAVDIFASSSSSIKNRLSVMKEIAELWKLPVSAAETLYPLDKPIIQDSVTYLRIGRVSLQYTEKPLQQHKRPFVEIRSSLHVLERIACSVKYNEPVLLVGETGTGKTTIVQNLALRLGQKLTVFNLSQQSDVADLLGGFKPMDAQSVYLSLYREFKELFTKTFSVKNNGGFITYLHEYIESHRKKFLIDKNGEDLLKGLQIAVGKSVKLIQPGPSKRRKRPLEEQIIQSWERFCIKLHNVCQSNPSSTMMFSFVEGSFVTALRKGEWILLDEVNLAPPETLQRIVGVLEGEHGVLCLAERGDTDYIHRHPNFRVFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLNDEDLSLFISQLISSGYMDQKLVNKIVSFYKEAKKESEERLQDGANQKPQYSLRSLYRALEYMQKAERKFGLQKALYDGFNMFFVSLLDGSSAEIMRQKISSLLLGGHMPPHVHLSRYLEKSKSDGSSGNYVQTKTVQEHLGNLARAVLMRYPILLQGPTSSGKTSLVRYLAAITGHDFVRINNHEHTDLQEYLGSYITDTTGKLVFNEGVLVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQERIQAHPNFMLFATQNPPTLYGGRKMLSRAFRNRFVEIHVGEIPDNELSKILEDRCKIYVGHAEKMVEVMKDLRLHRQSSRVFAGKHGFITPRDLFRWADRTQRFGNSNEDLAKDGYYLLAERLRDEDEKSVVQEILEKHFRVKLNTKNLYEQISCRDNSSNLLVGFGGSDGLESVLLTKGMQRLYFLVERCYNLREPVLLVGETGGGKTTVCQLLSACLQLKLRTLNCHQYTETSDFIGGFRPTRERSALISGYKEIIEKLKKLKSCTYFPMDLSSDINDASSTLDLLSVIIRKCKEGQVCSDISREELKDLEQIKLDLNGLHQKWQSIFVWQDGPLVEAMRDGDLFLVDEISLADDSVLERLNSVLEPERMLSLAEKGGTNLEKVTAHSNFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVNDLDELQGIALKRISKFKVTGSLCPAYQERLSLIVEAMISFFEWFNNLHPGRMLTVRDLISWVDFFITMETSLGSENAVLHGVFLVLLDGLSLGTGLSKRDAAELRERCLSFLLQKLMVDESNLLYSKLSRIGNYGWGEFGSVMDVPHSNNTQHDDLFGIDPFYINKGIDIVEDGGFEFMAPTTCRNALRVLRAMQLPKPVLLEGSPGVGKTSLIIALGNFSGHKVVRINFSEQTDMMDLLGSDLPVESDEGMKFSWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGNTFKCPPSFRVFACQNPSHQGGGRKGLPKSFLNRFTKVYVDELVQEDYLSICESKFPTIPQSLLSKLVLFNKRMHEDTTVNQKFAKDGFPWEFNLRDIFRSCEIIQGAPKHSGLYSFLNIVYIQRMRTAADRKEVLQVFKEVFEVTPYINLYPRVQLNSEHLIVGNATIKRNHVQLTTSSSKQLLMLPEIRQSLEAAAQCVEHQWLCILTGPSCSGKTSLIRLLANLTGNVLNEINLSSATDISELLGSFEQYDALRNFFNVVHQIERYVNEFISLQLEASKDAIFRETDFYTRWIAFLSGFKFDSLSSSATNYLENQKKIVSSLSLFIEQLKLQIEKSSLPLSYSLQELDFALKTVLKMKADDKNRVVSTKFEWVTGLLIKAIEQGEWIVLENANLCNPTVLDRINSLVEPSGSITVNERGAVDGKPLVIHPHPNFRMFLTVNPHYGEVSRAMRNRGVEIFMLQPYWALDDISGYNAEDIELKDVRRFIVLSGIPIAQLVDSMATAHIYAKKEGLKLNDRITYLELSHWVHLFLQLLRNGCCPIWSLQISWEHIYLSSLGAEGEKIVNLAKTEYLSVPNFSGYDVLTVCPLSLPGGWPLPLSLRDFVYYSKEASVKQNCMYLESLGTQIASHQYQISRKRHSTASLLTPSKQVRAYMMDLMTLRELMYPKASNVKISDYEREYKFDSELTNKMLLFAANWTIEQATESDLKFYLLRFKWLSSQMQPFCEFFNDFVILIEQMMKHPIWEYISCRGELDVDLQLIPLLSLDIVNLAPSNSKTKYLSNAISCFDPLMLTFQQRNIESHHSFDEEASCFIPLLKSLYVLQDEILYKFVSASKLIEGQSFDYKIQLYCNLIEDHVLFWRYFVSLKFDQMIISWHSLVKGAQKFIDICPEAVGDFLMESKKLKKFSFSEKPLLWIHGGHPFMPSSSDLHDQHHLILKFVDTIWPRKTGAKYLEHPGNLSSHLVDVVASFDHDLRFLIMQDVSNSSFLMVKWSHEDGIHNFQKLEETHQVLLRRFENEKQKLQLNSGSKDSSSFVENSTACCSFSREMLCQKSAFEAWQDTFPPVDYTSLFWDMELLQKLSSIHLDDLEGSHQAVGCLSNLLDFALKFSLSFSSRPPQMFVPHQKILWTLNAWASVDAVNLKIASFILEMWFKWHESMWVWFPKFIKNFVKIDGFGTTALPHMLIEPFSASTVLQITQSTHAIKEFWMQCLKCRVTLFNLWHCSHHGKHLPKFLLSAARSLFQQIIYAHRKSFDADQFARIKSTFSSSEKDALTEESIYILSSLVASSRHQRMKNSVQKFIVPLLRELYLQTNASDFNFTIGCAWAHIGALRIHLLLSCNEIDPAMKYYCKYSQLIEKISTLELEIQVRKECGYFAGQFLTHESDKRKTQRLEKLQAERQKLEKKIVFRAEPWKYKKLMNECDDFLKLVDGLEALLSRSTAEELQQIVDHACSWQETATCFIDRLMDEYAAYSDIIQPIQVAVYEMKFGLSLILSSTLEKKILHTLGEENINVVTEMMYTLMRFPQAASFKLISVKHDIELGLHPSYSFDSCLVDMDLMERLVTLSSDVAGDKMVSVVQNRAAIYWSIFVQIAHRIGNAKIIDDKSYTLLHKIFDEFAKLWLSTKAYTKSKSDFDAQQYKFRPRAFQIESVIDVELPPLANTFSPETFFEWKEFSSDENSADKMVSSEECFALDEEWKQLEESILSHVVLIHNQIFGSSDLVQTPGIFEVSDEDRMHSFTNSYSLGIDLIKGVHSINLPSLDAKLMPEHLFYLCLDYRKKYLLSHKSATRYNFYKDSNAPEMVHMLKVLGPLQQQILPHINEWEVHNDLQKILDVIDMLLTLPSDTPLAKAFSGLQFLLHKAEVMQENGSKFPLSNQLKSVNDLLSSWQKMELNSWPALLDEVMDQYENNAAKLWFPLYSVLLPNSCEISIIQSLEDFIHTSSIGEFKKRLQLLFSFLGQNHISASLKINSSSYQLEQSTFLYNIFGFYVQFLPIVLKYIDASRKEVGIELNKLVKLCRWEDGKSHLSFESMKKSRQKLRKLIQKYTDVLQQPVSIFLNLEGAQRGGKTQSFHNHKPIYDVTSKGLVDGSFDLSLFSENRFMWFDNFGRELDSALQNLLLKKTSVLDIIPLHQKEIQSIFRPCDSQRTLDLKGWKAVWHMIENIYTTAVDYGNLWKEDKKGQGKRRALSELLKLLESNGLSRHKSAYTADQHKTWWFLQLSGNISYLLLTSSRLQCVTPGIPEVESKSSAEECLLMEWKTAIDYYYKSVVSVLLMQQMCLNPHKDITLEQVDSSSSFLNQLIQIQQKQLTAASAFNKQLECFRERVSTLGKMFSFSSSTDNERNYICSIIPKQYATYRCMWQQKQLFDTLYATSREELLLLRVLESSHLNTCNRARPLVSRMIAFIEEFLPLFCKSKESLDCYLIGKSKAVTPVTSSLHPCIVTQEMELLVSENFKTIWDFKDHFLELQEKDLDRSTVREVLIQHFLEITDKAKLIEDEFTTAKQGNFDQVDLSGDRFCERNSVELNARFNEALMSTYQHLASVLQSLCLPSNIPMVDESMEKIVSWESLFESFVTNLSLDTLCEDLFKVVSSGEMLVNCCDDKISSFSSVGAHFKSLHMFMDQLLNFGDELMKNFLAMHRSVSVTTHVIANVLASLFSKGFGISPAEDQEDDGTLDTTGDSSGTGMGEGVGLKDVSDQIADEDQLMGTSEQKNEKQDDSDKVPSSNNTGIEMEQDFQADALSLSEDSGEDDDIDNEDGELESEMGPTGPDSEAVGEKVCDKNENETPNDTREKYESGPSVKDRDGGNRELRAKDDSTANEPADGNSDEGDAQDDETVIPDDVGDGEKEDEVTMDKEAAYSDPTGLKPDELDQTSDMDLDLNESADLMEDAEPDEQDNVAENGKEERQEEETCSPDEVMEEAHTEVDVNSEMDDQGQQNGDMQSTEPKEDISKPSELINEEVSAAELALQSKFDWQTSGSENVAAESNLSNSHHDSDSTLFGGVPSSISEMDFKMSDSSNGGGFGENKPKSHDNPQSERSIQEKHTNPHRSIGDALEYQKERINVSGDVEGDNSEKQDEMEDDNADEYGYVSEFEKGTAQALGPATLEQVDRNFDGDQPDKECHAGEDSKLQFEKENSETISVSNPSVAKNEKRDQANPSVMETLRDDGSTHPLASINIDLENRLEDLVSFRSSFISESTDLSQLSLDDKDLGKGREPCDVPDHVKDNATALWSRFELSTTKLSIELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASDYSKDRIWLRRTRPNKRDYQVVIAVDDSHSMSENGCGDVAIEALVTVCRAVSQLEMGSLAVASFGTKGNIKLLHDFDRPFSGEAGVKMISNLTFEQENTIADEPVVDLLKYLTNKLDTAVVKARLPSGRNPLQQLVLIIADGRFHEKENLKRCVRDVSTGNRMVAFLLLDNSQESIMDLKEASFEGGKMKFSKYMDSFPFPYYIVLRNIEALPRTLANLLRQWMELMQHSTS >Vigun11g037800.1.v1.2 pep primary_assembly:ASM411807v1:11:5179650:5182474:-1 gene:Vigun11g037800.v1.2 transcript:Vigun11g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRTNSQMSAILVLLLLGILSSITVTESIGVCYGQLGDSLPSKQEVVDLYKSNGIDKMRIYFPQPETLEALKGSDIEVIMDVARESLQSMTDQNAAMDWVNTNIVPYAQDVNFRYIAVGNEIRPDYNEAQYILPAMTNIHNAISSANLQDQIKVSTAIDSTLISNPYPPNDGVFNSQSYMGPIVDFLKSNGAPLLANIYPYFAYIGDQQNIPLEYALFTQQGTNSVGYQNLFDAMLDSIYAALEKVGASDVKIVVSESGWPSEGGDGASTQNAATYYANLINHAKSGSGTPKRPGGPIETYLFAMFDENNKQGAESERHFGLFTPDKSPKYQLSFN >Vigun08g190300.1.v1.2 pep primary_assembly:ASM411807v1:8:35807849:35809053:1 gene:Vigun08g190300.v1.2 transcript:Vigun08g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPHCASTKRVLVCLIEKELEFEVIPVDLTKGEQKNPDFLKLQPFGVVPVIQDGDYTLYESRAIMRYYADKYRSQGVELLGRTAEERGVVEQWLEVEAHNFHPPAYDLCVHVLFGPLLGITPDPKVAEESEAKLVKVLDIYEQRLSQSKYLGGDFFSVADVSHLPFTDYIVNKMKKGYLIKERKHVSGWWDDISSRPSWKKVIELYQPPI >Vigun03g308050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49965146:49968483:1 gene:Vigun03g308050.v1.2 transcript:Vigun03g308050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNAGALVESSSADEAAAKTAQKRYEGLLMVRNKAIKGKGAWYWTHLEPLLVHNTETGLPKAVKLRCTLCDAVFSASNPSRTASEHLKRGTCPNFNSAAKPISSVSPVVVPSSSPSSASPFSAQHNHRKRTTTSPSASGSGSGSLYHAPSRFGSALVPQQPHLVLSGGKEDLGALAMLEDSVKKLKSPKTSPGPALSKAQIDSAIEFLGDWVYESCGSVSFASLEHPKFRAFLSQVGLPAVFPRELTGARLEARFEEAKVESEARIRDAMFFQIASDGWKWNGNVNENGKSYDSGLVNLSVNLPNGTSLYRRALFVTASAPSKYAEEVLWETITGICGNLVQQCVGIVADRFKAKALKNLENQNHWMVNLACQYQGFNSLIKDFAKELPLFRAVVHNCLKLANLFNYTSQLRNSFHKYQLQEYGHTWLLRVPLHEFELGPVYAMMEDTLSSVRALQLVLLDEPFKMVAIEDQGAREVGDMIRDVGFWKDLEAVHGLVKLVKDMAQEIEAERPLVGQCLPLWDELRAKVKDWSSKFHIAEGVVEKLVERRFKKNYHPAWAAAYILDPLYLVRDTSGKYLPPFKYLTPEQEKDVDRLITRLVARDEAHIALMELMKWRTEGLDPVYAQAVQMKERDPVTGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLWRWVCAHGHHSRTALNKVQKLIFIAAHSKLERRDFSNDQDKDAELFTLANGEDDVLNEVLVDTSSV >Vigun07g272700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38825017:38827082:1 gene:Vigun07g272700.v1.2 transcript:Vigun07g272700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAASMGVHGWGDMKSLSLQVITGRWFVVFASFLIMAAAGATYMFGLYSGDIKSTLGYDQTTLNLLSFFKDLGTNVGVMSGLINELTPPWVVLAMGAVLNFFGYFMIWLSVTQKIARPQVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYLGLSGAIITQLYSAIYYDDTKALILLIGWLPAAVSFAFLRTIRYLKPVRQPNELKVFYNFLYISLGLAGFLLVMITIQKRLNFTQSEYGVSAGIVIFLLFLPLAVVSIEEHKIWQSKRLALVDPSPVKIVTEGEKVKLNEATNGNASVTAVSNDTKWWENVFSPPERGEDYTILQALFSIDMFILFICSICGIGGTLTAIDNLGQIGNSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEHFLHKYKFPRPLMLTLTMLLSCVGHLLIAFDVANGLYAASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSAASPLGLYVLNVVMTGHLYDKEAKKQLAALGLERKKGQELNCIGVHCFKLSFIIITAATFFGAIVSLILVARTRKFYKGDIYKRFRDAATVSETEMAGVENANGAEKDEKHVVPTHRDQNSD >Vigun10g081900.1.v1.2 pep primary_assembly:ASM411807v1:10:23037602:23047920:-1 gene:Vigun10g081900.v1.2 transcript:Vigun10g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAVHLALAALVGASVVAVSAYYMHRKTLAQLLEFARTVEREAEGGGGSDAESPPAHLKKRRGTSRRRGNGGYRRGSASLPDVTLISGGFDGDEKRNGQVHVEGIPPGLPRVHTLREGKSTQSGSFKRSLLRPTSPKSPVASGSAFESVEESDDEDNMTDKDKLDTAYLHTNGNVGPEGKIPFETLPNHVNANGEQMAIAPSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFTRLKITPIEAPSPDEVEAYVVLQECLEMRKRYIFREAIAPWDKEVISDPSTPKPNPDPFLYTSEGKSDHYFEMQDGVIHVYPNREANEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFKSLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEVTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQLPRLYNVYKEMGIVTSFQNMLDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLKKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNDIQRTNVPHIRLEFRDTIWKEEMQQVYLGKAVIPEEVEK >Vigun02g194000.1.v1.2 pep primary_assembly:ASM411807v1:2:33030655:33035518:1 gene:Vigun02g194000.v1.2 transcript:Vigun02g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGVLADLEKVLITKKENLTPQEANILHSWKTRFVSDFTASALAGSAAAWTATRNLSKAKALQIDLPGTTLNVSKAIRTYLSVGAGTIFGNWLGNRILYSRADQILTMDGSILQKELANIMVTKYQNDPSVMQLMSKHFYLERIFDDSSNNPKLRWRYRNFFSEAVHGHRTHDQDSYDKSEDHSHNDSNDKSQGRSENVTNSQKPNLETKHTFINSAPDTSWEPDPLDCVFGYAHPLENTINSDSPNKQPSGTQNRVHRRSRRRRRMRDHETLSNSESTATV >Vigun02g194000.2.v1.2 pep primary_assembly:ASM411807v1:2:33030694:33035513:1 gene:Vigun02g194000.v1.2 transcript:Vigun02g194000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGVLADLEKVLITKKENLTPQEANILHSWKTRFVSDFTASALAGSAAAWTATLNVSKAIRTYLSVGAGTIFGNWLGNRILYSRADQILTMDGSILQKELANIMVTKYQNDPSVMQLMSKHFYLERIFDDSSNNPKLRWRYRNFFSEAVHGHRTHDQDSYDKSEDHSHNDSNDKSQGRSENVTNSQKPNLETKHTFINSAPDTSWEPDPLDCVFGYAHPLENTINSDSPNKQPSGTQNRVHRRSRRRRRMRDHETLSNSESTATV >Vigun01g037100.1.v1.2 pep primary_assembly:ASM411807v1:1:4882696:4896314:1 gene:Vigun01g037100.v1.2 transcript:Vigun01g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDDDVPEPNEEERKKEEEPQPPPPPPPRSQTKSGSSSNQQLENKPHSSLTLVEKLPDASLLLDAPTVSSDLMSASDHFSRVAAAQAENASRKRDSNGMASSTVRSKVPKGNLPHSRNVPETSGGMLLPPQISGRQNIVTEDISKLFVKKHR >Vigun10g127800.1.v1.2 pep primary_assembly:ASM411807v1:10:33569547:33576730:-1 gene:Vigun10g127800.v1.2 transcript:Vigun10g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMTLPGSASYYMQRGIPGAGTQSELHNSPNIRPLSNPNLPFQSSIGGGGNIGSTLPLESSGISSPCVNVSVPSGAVPGESVKRKRGRPRKYGPDGTVSLALTPTPASHPGALAQGQKRGRGRPPGSGKKQQLASLGELMSGSAGMGFTPHIITIAVGEDVATKIMAFSQQGPRAICILSANGAVSTVTLRQPSTSGGTVTYEGRFEILCLSGSYLVADGGGGSRNRTGGLSVSLASPDGRVIGGGVGGVLIAASPVQVIVGSFTWGGSKTKIKKKEPSAEVAEVAIETDHQTVHNPVAMNSLSPNQNLTPTSSLSPWPASRPLDMRNSHIDIDLMRG >Vigun10g127800.2.v1.2 pep primary_assembly:ASM411807v1:10:33569547:33575933:-1 gene:Vigun10g127800.v1.2 transcript:Vigun10g127800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDQMTLPGSASYYMQRGIPGAGTQSELHNSPNIRPLSNPNLPFQSSIGGGGNIGSTLPLESSGISSPCVNVSVPSGAVPGESVKRKRGRPRKYGPDGTVSLALTPTPASHPGALAQGQKRGRGRPPGSGKKQQLASLGELMSGSAGMGFTPHIITIAVGEDVATKIMAFSQQGPRAICILSANGAVSTVTLRQPSTSGGTVTYEGRFEILCLSGSYLVADGGGGSRNRTGGLSVSLASPDGRVIGGGVGGVLIAASPVQVIVGSFTWGGSKTKIKKKEPSAEVAEVAIETDHQTVHNPVAMNSLSPNQNLTPTSSLSPWPASRPLDMRNSHIDIDLMRG >Vigun08g201700.1.v1.2 pep primary_assembly:ASM411807v1:8:36599631:36602101:1 gene:Vigun08g201700.v1.2 transcript:Vigun08g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVNTEERPKFRDIRRYFCQYCGICRSKKTLITSHINSQHKEEDEKARKERDPEAESSKSNTCGECGATFKKHAYLLQHMQSHSLERPFVCTVDDCQASYRRKDHLTRHLLLHEGKTFNCPVVNCNIEFSLQGNMKRHVEEIHDGSSTAAADKSHKQHVCPEIGCGKVFRFSSQLKKHEDSHVKLESVDVVCLEPGCMKHFANVQCLKAHVNSSHQYMSCDICGSKQLKKNIKRHLRSHEADTSSSETFQCEFKGCSCTFSKKSNLDKHKKAVHLQEKPFRCGFPECGMRFAYKHVRDNHEKTAKHVFTLGDFEEADEEFRSRPRGGRKRKCPTVEMLIRKRVTPPSQLEDWLFMQDRE >Vigun08g146500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31940108:31941692:-1 gene:Vigun08g146500.v1.2 transcript:Vigun08g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVRQIFNKFDKNGDGKISITELRDMLSTLGSKTTKEELKRMMEELDKNGDGFIDLKEFADFHCNDVGNDQSKELRDAFDLYDVDKNGLITAKELHDVLRKLGEKCSLSDCRRMISNVDADGDGSVNFEEFKKMMARS >Vigun05g174533.1.v1.2 pep primary_assembly:ASM411807v1:5:31898251:31898566:-1 gene:Vigun05g174533.v1.2 transcript:Vigun05g174533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSACATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGL >Vigun04g052700.1.v1.2 pep primary_assembly:ASM411807v1:4:4910031:4914548:-1 gene:Vigun04g052700.v1.2 transcript:Vigun04g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISCSYDVFLSFRGSDTRHGFVGNLYKALDDKGIHTFIDDEKLQRGEEITPALMKAIEESRIAITVLSHNYASSSFCLDELVNIIACAKKKGLLVLPVFYDLNPSDVRHQKGSFAEALARHEERFKDKKESFSHNMDRLEKWKMALHHVASFSGYHFKQGYEYEYEFIRRIVEFVSSKINRTPLHVADYPVGLEAQMVEVMKLLDVGSEDGVHMVGIHGIGGIGKTTLALAIYNMVVDHFDGLCFLENVRENSDRHGLQHLQSILLAELVKEKRINIASVQEGISMIQHRLQRKKVLLIVDDVDKHEQLQAIVGRCEWFGSGSRIMITTRDEQILASHEVKRMYEVKELNKKDSLQLLTWKAFGTDEADPSYKEVLNRVVAYASGLPLALEVIGSNLFGKSIEEWKSAIKQYERIPNNQILKILKVSFDALEEEEKSVFLDIACCFKGYELEEVQDILRAHYGDCMKYHIGVLVDKSLLKFSVHGVMVKMHDLVEDMGKEIVRKESPKDPGKRSRLWLHEDIIQVLEDNTGTSEIEIIHLDFPPLDKEEIVEWNRKAFKKMRNLKTLIIKSGNFSAGPKYLPNSLRVLEWWRYPSHDLPSDFHSKKLAMCKLPQSCFTSHELVRLLKKFMGMRFLNLDKSKSLTQIPDVSGLPNLEKLSFQHCQNLTAIHNSIGFLCKLKILSAFGCTKLVRFPPIKLSALEKLNLSRCHSLENFPEILGKMENIRVLQLEYTAIKELPCSFQNFTRLQELQLSNCGVVQLPSSIAVMPELTDLIGWKWKGWQWLKEEEDEEKHGSCVVSSNVECLWASECNLCDDFFSIGFMRFAHVKDLDLSKNNFTVLPECIKEFQFLRKLKVSDCKLLQEIRGIPPSLKHFLAKNCKSLTSSSTSMFLNQELHEDGKTEFYLPGERVPEWFDHKSNGPSISLWFRNRFPDKVVCLVIGAVNDSGMFRPMVVINGNKSFVGSGYFMMGMDHTYIFDLKTMEFEDDLYGVPLENEWNHAEVKYIGLEETSILKESGIHVFKQESGMEDIWFSDPYGKRKLEDDLNSLESQNQQLLKKHRFVDMEAL >Vigun04g052700.2.v1.2 pep primary_assembly:ASM411807v1:4:4910031:4914548:-1 gene:Vigun04g052700.v1.2 transcript:Vigun04g052700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISCSYDVFLSFRGSDTRHGFVGNLYKALDDKGIHTFIDDEKLQRGEEITPALMKAIEESRIAITVLSHNYASSSFCLDELVNIIACAKKKGLLVLPVFYDLNPSDVRHQKGSFAEALARHEERFKDKKESFSHNMDRLEKWKMALHHVASFSGYHFKQGYEYEYEFIRRIVEFVSSKINRTPLHVADYPVGLEAQMVEVMKLLDVGSEDGVHMVGIHGIGGIGKTTLALAIYNMVVDHFDGLCFLENVRENSDRHGLQHLQSILLAELVKEKRINIASVQEGISMIQHRLQRKKVLLIVDDVDKHEQLQAIVGRCEWFGSGSRIMITTRDEQILASHEVKRMYEVKELNKKDSLQLLTWKAFGTDEADPSYKEVLNRVVAYASGLPLALEVIGSNLFGKSIEEWKSAIKQYERIPNNQILKILKVSFDALEEEEKSVFLDIACCFKGYELEEVQDILRAHYGDCMKYHIGVLVDKSLLKFSVHGVMVKMHDLVEDMGKEIVRKESPKDPGKRSRLWLHEDIIQVLEDNTKFMGMRFLNLDKSKSLTQIPDVSGLPNLEKLSFQHCQNLTAIHNSIGFLCKLKILSAFGCTKLVRFPPIKLSALEKLNLSRCHSLENFPEILGKMENIRVLQLEYTAIKELPCSFQNFTRLQELQLSNCGVVQLPSSIAVMPELTDLIGWKWKGWQWLKEEEDEEKHGSCVVSSNVECLWASECNLCDDFFSIGFMRFAHVKDLDLSKNNFTVLPECIKEFQFLRKLKVSDCKLLQEIRGIPPSLKHFLAKNCKSLTSSSTSMFLNQELHEDGKTEFYLPGERVPEWFDHKSNGPSISLWFRNRFPDKVVCLVIGAVNDSGMFRPMVVINGNKSFVGSGYFMMGMDHTYIFDLKTMEFEDDLYGVPLENEWNHAEVKYIGLEETSILKESGIHVFKQESGMEDIWFSDPYGKRKLEDDLNSLESQNQQLLKKHRFVDMEAL >Vigun05g188200.1.v1.2 pep primary_assembly:ASM411807v1:5:36473141:36477688:1 gene:Vigun05g188200.v1.2 transcript:Vigun05g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGIMGSLHVLVPLLCLMSTALGKTTVDDGMHVEMKGTIGVIADNNSRNGKEEIVAVKMAMEDFYHYSNQSFGLQIRDSHADPLQAALAARDLIDTQKVEAIIGPETWKETTLVADICSQNMTPVLSLADATPNWSTLKWPYLVQISPNQFKQMKAVAAILHSFEWYNVNIIYDDTDSSSTRMFSHLYRDLNVAGVHISHVLAIPPLSSSALSQELENLRDGHCRVFVVNLSLPLAINLFKTAKELGMMEKGYVWIVTDPLTSLVHSLNSSIISSMQGIVGIKSYFPEIGLQYEDFYPKFRKRFSSENPHELNNEPGIFAARAYDAAWTLALSMIQTNNKKDQTLLDKILLNNFTGLSGKIHFSDQKLDPSDTFQIINVMGKDCKEIGFWTNGLGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWIKALDKPLRIGVPVLATLKQFINVIQDQTENTTTFQGFTIDLFRATMELLPYHLPYKFYPFNDTYDNLVKQVYLKNFDAVIDVTIISYRYQYAEFTQPYTDPGVVMVVPLKSQVDHRAWLFMKPFTKTMWVLILAMVIYNGFILWMLERRHSPEITGSMLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVVWLFVALIITQTYTANLASMLTAERLEPTIDNIDQLRNSNIRVGYGSGSFLKHYVNEVLHFHPENMRNYGELEEYAEALRRKEIGAAFLEVPAAKIFLAKYCREFIQAGPSYKIGGFAFAFPRGSPFIPDVNKALLDLVETGKVRELENKMLALEECEDTGVNGKTASLSPNSFWVLFIFTAGTSTFSLLVYIFRINYANSEEKTIWKLTTMIIQQCNHAKRRMSRKVSDVAESPTTSSTTHATPTQV >Vigun09g015200.1.v1.2 pep primary_assembly:ASM411807v1:9:1117757:1119990:1 gene:Vigun09g015200.v1.2 transcript:Vigun09g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVARGTVVLAEYTEFTGNFPAIAAQCLHKLSSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKESVGKQISIAFLERVKADFKKKYGGGKADTAIAKSLNKEFGPVMKAHMKYIIDHAEEIEKLIKVKAQVSEVKSIMLENIDKAIDRGENLTILADKTETLRSQAQDFRKQGAQVHRKMWYQNMKIKLVVLGILLFLVLVIWLSICGGFDCTN >VigunL059346.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000318.1:35604:37810:1 gene:VigunL059346.v1.2 transcript:VigunL059346.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVVVVVVVVAVIVLVVIGGGGGSGGDRGGDCGGCGDGAGGNGGGAGGCGGCGCGGGLGGLGGGRSGGGGDGCDGGDDEVAGCGDGGDSGRGAVEVGMIVQGGGRGGGGHAHGVHCSGGGGGGSGCSGCGGGGCGYCGGVGCGGSGGRGRDGSGGGSGEGDCRGSGGGGRDGGGCGCVSYCGCGGCSDGSRHGGHVGGRGSRRGVSGCGGAAAGTSVGGCASDGRGGPWWWWCWSWLISGGGGCGGCGGGVGGGGGSSGGCGGGGCDGVGGYGSGGGRGGGDRGADGGGSGCGCGGGGCGCGCGSCGGCLGCIGNGGVGRIEGGSGAGDGGGDGRGGGGCCGCGGGCCGGGVSQGGCCGGCGGGGGGARHNGGCGSHGGGGGGCGCGGGCCCGSVSHGGRCGGGGGGARHDSGCGSHGGGGGGRGGGCGGCGGGVGARGDGRGCGYGGGCGGGGGNGCVIGGCSGGSRHQCRGDGRGGCRGVGSCGGGFGGAGAGGCAGDGRGSGGSLCGLGGVGGVGGACSSDGGCGGCGLGGLGGGDSAAGRSGASGGGSGACGGGDGSGVRCARHNGGYGSHGGGGGGCGCGGGCCCGGVSHGGRCGGGAGGARHDGGCGSHGGGRGGRGCLVVVVDVEGVVVGLVLVVMVGVVVMVVVVVVVVAVVVLLVVVVVVADIGVVAMVVVVVVGLVVVVVVLV >Vigun06g033100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14152898:14153491:-1 gene:Vigun06g033100.v1.2 transcript:Vigun06g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMGYGLAITRLSEGQMPATCDEYKPLFAPCVPYLVSQEFSTPTPSCCAGAAQQLTKGNNPAALKNLCTCLDASTTNLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun03g359401.1.v1.2 pep primary_assembly:ASM411807v1:3:56208352:56209393:1 gene:Vigun03g359401.v1.2 transcript:Vigun03g359401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKSLRECHNLCTEVGPPKIRLIQTNTDAWHFSASSYKMDIITIFIINNTYPQQCIHISISLFISFYFFHCVQGNSENFEHGNTKPIEYSMKQICES >Vigun07g221800.2.v1.2 pep primary_assembly:ASM411807v1:7:34436996:34437854:-1 gene:Vigun07g221800.v1.2 transcript:Vigun07g221800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVSKISGVESVSMEMKEKKLTLIGDMDAVVVVEKLRKLCYTEILSYGPEKVEKKENKKVEKKEAENKNKDQTQNIADILKTYETYHNHYHYTSYVEENPNACVIS >Vigun07g221800.1.v1.2 pep primary_assembly:ASM411807v1:7:34436996:34437854:-1 gene:Vigun07g221800.v1.2 transcript:Vigun07g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVVLQVELPDEKTKKKAMKAVSKISGVESVSMEMKEKKLTLIGDMDAVVVVEKLRKLCYTEILSYGPEKVEKKENKKVEKKEAENKNKDQTQNIADILKTYETYHNHYHYTSYVEENPNACVIS >Vigun01g195500.1.v1.2 pep primary_assembly:ASM411807v1:1:37259004:37260344:-1 gene:Vigun01g195500.v1.2 transcript:Vigun01g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLSEATRRASCALSSTTRLSLKREFCSGTRSRTADPEIHSGEQEAGPRVHRGQPQGISTNDKAKNEEIAETERRASKAHEMLKTPKSPCEPSPKLKSAGVNQRLDPMIQQKREHTWKGLEEVSCAGLDGSPWPEDENKGKEDDKDYYKHHKASPLSEIEFVDSRKPISRASDKTADSGRGIDVIGWLPEQMESAEETLLRAAEMWRQRAMRGDPDAPHSRVLRALRGEDF >VigunL075466.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000514.1:5584:7686:1 gene:VigunL075466.v1.2 transcript:VigunL075466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDELNGKRKVEVDLLCDRCGMEPESTIHVLKGCPWASGIWLLCPLALHTQQMQALNFSDWVKEMGKCLDEEQLELMLVVAWALWSDINLLLFQDIQNYPVDVVNKAISFFEEYKKEKLKIVQTPIGWQAPPHGVYKMNTDAAIFNQPSKGLAACFIPPFPHLSHVAGKATREGVKPAKGGLQGDNSNRKGSERRVPFDYPGSLPSPSKLSKAWGFSLPDDLQPALCPSRIPGRRHSYLGRLAIQLNREPSSHYFFRWQLAAGTGPATRVVHRAAVFPSQAEKTSKESLSMALPGNPS >Vigun02g055900.1.v1.2 pep primary_assembly:ASM411807v1:2:19967333:19973194:-1 gene:Vigun02g055900.v1.2 transcript:Vigun02g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINTALCVLFFTLYSILRKQPSNYEVYGPRMLAEGMSKRRSRFNLERLIPSAGWVAKAWRLSEEELLQLSGLDGVVFMRMITFSLKMFTFAGMIGMLVILPVNCWGNQLKDIDIVDFVNNSLDVFTISNVNSGSHWLWVHFIAVYIVSGFICMLLFFEYKYISSKRISYFYSSEPQPHHFTILVHSIPTSSSSSISHSVERFFSELYPSTYLSHVVVRRTGKIHNLVSEAKKLYKRVTQLRSDPTRQKRMNRGISGIFRQKTNVIEEYQKKLEDIEESVRFKQSEASLAGEEARAAFVFFRSRLGAATAFHIKQSVNPTQWITEFAPEPRDVYWPFFSESFMRRWISKLVVVVVCTLFTISFLIPVVFVQGLTNLNQLEILFPFLTSLLSIKFFSQIVTGYLPSLILQLFLKVVPPAMRFLSSIQGYISHSDIEMSASRKVLWFTVWNVFFASVFSGSILSMLKAVLDPKNIPAKLAVAVPAQASFFITYVVTQGWTSVCSELFRVIPFLFCWITRLFTSPDDDEFEVPSIPYHKDIPRVLFFGLLGITYFFLAPLILPFLLAYFCLAYIIYRNQFINVYAPKYDTAGKFWPVIHNSMIFSLVLMQIIAVGIFALKKLSMASTLAMPLPVLTLLFNEYCRKRFLPIFVAYSAESLIKKDRQDQNDATMTQFYENLVNAYRDPALLPLQYLPNYDNLRTPLIPQA >Vigun02g061900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20772776:20775831:-1 gene:Vigun02g061900.v1.2 transcript:Vigun02g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEKKYMTSEELKDHNKEGDLWISIQGKVYNVSDWLKEHPGGDIPILNLAGQDVTDAFIAYHPGTAWSHLERFFTGYYLSDFKVSEVSRDYRKLASEFSKMGLFDTKGHVTSYTLSAVAVMFLIVLYGVLRCTSVWAHLGSGMLLGLLWMQSAYVGHDSGHYVVMTNNGYNKFAQLLSGNCLTGISIAWWKWTHNAHHIACNSLDHDPDLQHMPVFAVSSRFFNSLTSHFYGRKLEFDYVARFLICYQHFTFYPVMCVARVNLYLQTILLLFSKRKVQDRALNLMGIFVFWTWFPLLVSCLPNWPERVMFVMSSFTVCSIQHIQFCLNHFAANVYVGLPKGNDWFEKQTSGTLDISCSSWMDWFFGGLQFQLEHHLFPRLPRCQLRKISPLVSDLCKKHNLPYRSLSFWEANQWTIRTLRTAALQARDLSNPAPKNLLWDAVNTHG >Vigun07g176100.1.v1.2 pep primary_assembly:ASM411807v1:7:29129546:29134554:1 gene:Vigun07g176100.v1.2 transcript:Vigun07g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDSCIGKVMKLSHVLAVSTAGLIAAVTATAFSHYWWRERVRGEHRKNNKLIPLLQRTESGRLGEIEKFSHYFARQMGFEDPSEVPELCILAQEYLRKSKEYDENIYEYISQINDDDTDSLYMKLVDEFERCILSYLAFHWNQATSLISLALSVESRHKKKLKEILLAASRKQRFARVSKNLQVTRVFSTLVDEMKAINGDLQHSDVMVPMALSERSPVLLFMGGGMGAGKSTVLKDILKEPFWSGAASNAVVVEADAFKESDVIYRALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIDMARNVHKYRYRMGVGYKVEEDGTITENYWEQVDNTEEDQSKEMSNGESHTRKPYRIELVGVVCDGYLAVVRAIRRAIMTGRAVRVNSQLKSHKRFANAFPGYCKLVDNARLYSTNVVGGPPKLIGWKDGDHNLLIDPEEFKCLETLRNLNPEADSIHELHKEPNLIMKPGSVWGDIILSPSRTAVQKELRESIKKIEKPS >Vigun07g176100.2.v1.2 pep primary_assembly:ASM411807v1:7:29129669:29134554:1 gene:Vigun07g176100.v1.2 transcript:Vigun07g176100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSHVLAVSTAGLIAAVTATAFSHYWWRERVRGEHRKNNKLIPLLQRTESGRLGEIEKFSHYFARQMGFEDPSEVPELCILAQEYLRKSKEYDENIYEYISQINDDDTDSLYMKLVDEFERCILSYLAFHWNQATSLISLALSVESRHKKKLKEILLAASRKQRFARVSKNLQVTRVFSTLVDEMKAINGDLQHSDVMVPMALSERSPVLLFMGGGMGAGKSTVLKDILKEPFWSGAASNAVVVEADAFKESDVIYRALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIDMARNVHKYRYRMGVGYKVEEDGTITENYWEQVDNTEEDQSKEMSNGESHTRKPYRIELVGVVCDGYLAVVRAIRRAIMTGRAVRVNSQLKSHKRFANAFPGYCKLVDNARLYSTNVVGGPPKLIGWKDGDHNLLIDPEEFKCLETLRNLNPEADSIHELHKEPNLIMKPGSVWGDIILSPSRTAVQKELRESIKKIEKPS >Vigun09g071400.1.v1.2 pep primary_assembly:ASM411807v1:9:7794814:7808760:-1 gene:Vigun09g071400.v1.2 transcript:Vigun09g071400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLALFLLLASVQANLSQEIEHGSLLVDGAQIKAETGDNFICATIDWWPHDKCDYNHCPWGYSSVVNLDLSRPFLAKAIQALKPLRIRLGGSLQDQVIYDVGSLQSPCHPLQKIEGGLFGFSKGCLHMTRWDELNQFFNETGAIVTFGLNALHGKHQISHNVWEGTWDPTNAYDFIKYTVSKGYKIDSWELGNELSGKGIGASVGVAQYGKDLIKLKQILGTLYENAKFKPSLVAPGGFYERQWYDKLLQVSGSGIINVLTHHLYNLGPGSDEHLERKILDPERLSRVKSIFGNLSETIQTYGPWASAWVGEAGGAYNSGGNHVSNTFLNSFWYLDQLGIASSYNTKVYCRQTLIGGNYGLLNTTTLTPNPDYYSALLWHRLMGKKVLAVSSDVSSPFLRAYAHCSKDRVGVTLLLINLSNETRFTLTIRNPASASIENEVAKNIHKENSFFDKLKKTFSWVGTKGSEVTFREEYHLTPKDGYLKSQTMVLNGIPLELTDEGDLPRLDPVSSNVRSPIYITPLSIAFIVYPNFDAPACATQRKH >Vigun11g081000.1.v1.2 pep primary_assembly:ASM411807v1:11:23894729:23895099:1 gene:Vigun11g081000.v1.2 transcript:Vigun11g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKIAFATIVLALIACNGYSLRSISRMQVDGECKESNECNLSLPHCKSRVVCENGSCKCVKSKKQCDSNRDCWSICTPSCVMAYCNMKTYKCVCPCHI >Vigun05g298900.2.v1.2 pep primary_assembly:ASM411807v1:5:48312590:48314877:-1 gene:Vigun05g298900.v1.2 transcript:Vigun05g298900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVVPFQSSGALVEELKRVSAENKKLTEMLTEMCENYNALRSNLMEYMRKNPDKEQSSSKKRKSESSFNNNSAPKSINGNSESSSTDEESCKKPKEDIKTPVSKVYVRTEASDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHPHPSQMEPATGSNRGVNPGSVPCSGSLSSSAPTVTLDWTKSKSSSDSKNISPKTEAPEVPQILVEQMATSLTKDPNFRAALVAAISGKMLHNN >Vigun05g298900.1.v1.2 pep primary_assembly:ASM411807v1:5:48312590:48314877:-1 gene:Vigun05g298900.v1.2 transcript:Vigun05g298900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSRINTSLDLNINPRRLHEEFPKKEVEHNFFSLGVKKSSVKEQSSGALVEELKRVSAENKKLTEMLTEMCENYNALRSNLMEYMRKNPDKEQSSSKKRKSESSFNNNSAPKSINGNSESSSTDEESCKKPKEDIKTPVSKVYVRTEASDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHPHPSQMEPATGSNRGVNPGSVPCSGSLSSSAPTVTLDWTKSKSSSDSKNISPKTEAPEVPQILVEQMATSLTKDPNFRAALVAAISGKMLHNN >Vigun03g109100.1.v1.2 pep primary_assembly:ASM411807v1:3:9776026:9789088:1 gene:Vigun03g109100.v1.2 transcript:Vigun03g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSSTPFKMRVTNTPSTDLALTNLAYCSPSDLRNFAVPGHKNLYLATVADSFVLSLAAHDNIGSDQIGLNSIQRRCAKVSSGDSVQLTRFVPPEDFNLALLTIDLEFVKKANKSEQIDAVLLAKQLRKRFLNQVMTVGQKVLFEYHGNNYSFTVSQAAVEGQQKSNSLERGMISEDTYIVFETSRDSGIKIVNQREGATSNIFKQKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKILNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRTRGDESELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDMLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLEDLTKQVEEENIKVTMEDFLNALQEVIPAFGASTDDLERCRLHGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSRGSGKTALAATVGIDSDFPYVKIVSAETMIGLQESTKCAQIIKVFEDAYRSPLSVIVLDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKLMVVGTTSELGFLDSIGFCDTFSVTYHVPTLNTKDAKKVLEQLNVFSEDDIDSAAEALNDMPIRKLYMLIEMAAQGEQGGSAEAIYSGKEKINISHFYDCLQDVVRL >Vigun02g035800.1.v1.2 pep primary_assembly:ASM411807v1:2:14837009:14846801:1 gene:Vigun02g035800.v1.2 transcript:Vigun02g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADEPLYPIAVLIDELKNDDIQLRLNSIRKLSTIARALGEERTRRELIPFLGENNDDDDEVLLAMAEELGVFVPFVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEMSKTELRSIYNLLCQDDMPMVRRSAASNLGKFAATVEYTHLKADTMSIFEDLTKDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPDLSIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLDMISNPHYLYRMTILQSISLLAPVMGPEITCSKLLPVVITASKDRVPNIKFNVAKVLESIFPIVDQSVVENSIRPSLVELSEDPDVDVRFFSNQALQAIDHVMMSS >Vigun02g136900.1.v1.2 pep primary_assembly:ASM411807v1:2:28645575:28648207:-1 gene:Vigun02g136900.v1.2 transcript:Vigun02g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTCILVIAFSLFFLLHWLAKHYKHKSHISLKLPPGPKRLPIIGNLHQLAVAGSLPHHALQKLSHKYGPLMHLQLGEISAVVASSPEMAKEITKTHDVAFVQRPQFISGQILSYGGIDVVFAPYGDYWRQMRKIFVSELLSTKRVQSFSFIREDETAKFIDSIRTSAGSPVNVTGRIFSLVSTTVSRAAFGNKSKDQDEFMFLIKKVIGSVGGFDLADLFPSMKSIHFITGKKAKLEKLLNQVDRVLENIVKEHQEKQRIAKEGRTQIKDEDLVDVLLGFQEADAALDIKITTRNVKALILDVFAGGVDTSASTIEWAMTEMMRSPRVMKKAQAELREALRGKKIIHERDLEQLTYLKLVVKETLRLHPPTPLLIPRECSERTIIDGYEIPVKTKVMINVWAICRDPKYWSDAEMFVPERFEGSSIDFKGNNFEYLPFGAGRRICPGISFGLAGIMLPLARLLYHFNWELPNGITPETVDTVERFGMAIGRKNELCLIPIVYDP >Vigun05g164050.1.v1.2 pep primary_assembly:ASM411807v1:5:26480886:26482432:-1 gene:Vigun05g164050.v1.2 transcript:Vigun05g164050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCECTVGSGFSLSELENREQFLAVSQGTKTKLPNQPNLSD >Vigun07g196000.2.v1.2 pep primary_assembly:ASM411807v1:7:31571333:31577177:-1 gene:Vigun07g196000.v1.2 transcript:Vigun07g196000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGVIVAASIAALTVKQLNVNNSRSEHGEARFRKSQDEGTEQEQVTHSTDGLTTKIRQEEDQKEVMLISSVTNRTDDFEDDISPELESLLSGEIDFPLLADRTDEERKDKVYETDMANNASELERLRNLVRELEEREVKLEGELLEYYGLKEQEADFVELQRQLNIKTVEIDMLKMTINSLQEERKKLQEELTHGASAKRELEAARSKIKELRRQIQLEANQAKTQLLLLKQKVSSLVMKEEEVVKKDAEIGKKLKALNDLEVEVVELKRENKELQHEKREVTVKLNAAESRITELSNENEMLSKVKEEVSSLRHMNEDLLKQVEGLQINRFSEVEELVYLRWVNACLRYELKNYQAPPGKLSTRDLNTSLSPKSQEKAKQLMLEYAGSEHGQGDTDIDSYFSHTSSPGSEDFDNASSIDSFMSKHSSVSKKTSLIQKLKKWGKSKDDSSALSSPARYLSGGSLSRMNMSNRPRNSLESLMQRNAGDSVAITTFGQNDQEPSNSPETLALPSIRRVSSCESLNSVASSFQLMSKTVAGYLDGKYPAYKDRHKLALEREKQIKEKAEKVRVQKFGGNSNLNMSKAERERTMSFPSKLAQLKEKTHVCGSSNDHPDYAKNVDSQTISKMNLVHIEKRAPRVPQPPPKPSDGAPICTNSNSSNAVSCPPSESLPPPPPPAPPGGPLPTPLGNLSRGALASDNVHRFPELVEFYQTLMKREEKNETSSLFSSTTYASDARSNMIGEIENRSSFLLAVKADVETQGDFVLSLANEVRAASFNKIEDLVAFVNWLDGELSFLVDERAVLKHFDWPEGKTDVLREAAFEYMDLMKLEKQVSTFTDDPKHPCEVALQKMYSLLEKVEQSVYALLRTRDMAISRFKEFGIPVTWLLDTGVMGKIKLSSVQLANKYMKRVASELDILSGPDKEPNREFLIVQGVRFAFRVHQEVSIQRA >Vigun07g196000.1.v1.2 pep primary_assembly:ASM411807v1:7:31571333:31577177:-1 gene:Vigun07g196000.v1.2 transcript:Vigun07g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGVIVAASIAALTVKQLNVNNSRSEHGEARFRKSQDEGTEQEQVTHSTDGLTTKIRQEEDQKEVMLISSVTNRTDDFEDDISPELESLLSGEIDFPLLADRTDEERKDKVYETDMANNASELERLRNLVRELEEREVKLEGELLEYYGLKEQEADFVELQRQLNIKTVEIDMLKMTINSLQEERKKLQEELTHGASAKRELEAARSKIKELRRQIQLEANQAKTQLLLLKQKVSSLVMKEEEVVKKDAEIGKKLKALNDLEVEVVELKRENKELQHEKREVTVKLNAAESRITELSNENEMLSKVKEEVSSLRHMNEDLLKQVEGLQINRFSEVEELVYLRWVNACLRYELKNYQAPPGKLSTRDLNTSLSPKSQEKAKQLMLEYAGSEHGQGDTDIDSYFSHTSSPGSEDFDNASSIDSFMSKHSSVSKKTSLIQKLKKWGKSKDDSSALSSPARYLSGGSLSRMNMSNRPRNSLESLMQRNAGDSVAITTFGQNDQEPSNSPETLALPSIRRVSSCESLNSVASSFQLMSKTVAGYLDGKYPAYKDRHKLALEREKQIKEKAEKVRVQKFGGNSNLNMSKAERERTMSFPSKLAQLKEKTHVCGSSNDHPDYAKNVDSQTISKMNLVHIEKRAPRVPQPPPKPSDGAPICTNSNSSNAVSCPPSESLPPPPPPAPPGGPLPTPLGNLSRGALASDNVHRFPELVEFYQTLMKREEKNETSSLFSSTTYASDARSNMIGEIENRSSFLLAVKADVETQGDFVLSLANEVRAASFNKIEDLVAFVNWLDGELSFLVDERAVLKHFDWPEGKTDVLREAAFEYMDLMKLEKQVSTFTDDPKHPCEVALQKMYSLLEKVEQSVYALLRTRDMAISRFKEFGIPVTWLLDTGVMGKIKLSSVQLANKYMKRVASELDILSGPDKEPNREFLIVQGVRFAFRVHQFAGGFDTESMKAFEELRSRIHAPAGEDSSNQKHK >VigunL016901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:908517:909025:-1 gene:VigunL016901.v1.2 transcript:VigunL016901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPQRGWSERSFENSREGVEEPHQSIPNLVVKLYCGDDTVGEVLQKNSSAPG >Vigun05g088300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8406716:8407725:1 gene:Vigun05g088300.v1.2 transcript:Vigun05g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLKPVDVSSETNQTQFSMQILDSSLQTLVKSWKRRQRWLLLFNTSSQQDSNSRAAWRTHLAIFLDSTWLHVFTILLLVADLVITTLELSSSLVSCERRRSSVAEEWFHWIGIGILSFLAAKTVALLVGLGSSFFQHVGYVVDGVVVIGAIFLEAFLVGKGGGFLVVVSLWRFIRVVESVFELSDEAIEAQIAGIIYQFEALREENSRLLEAVYEKEKMIEMLEEDLDKCRDESP >Vigun07g286401.1.v1.2 pep primary_assembly:ASM411807v1:7:39922689:39930360:-1 gene:Vigun07g286401.v1.2 transcript:Vigun07g286401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSLVLTYKGRKCNLRVVWINHVERSLIQGIHPLEVEDAGSAHHRLHVEKVGSAHHRLHVEKVGSAHHRLHVEKVGSAHHRLHVEKVGSAHHRLHVEKDFSVTREDLQKIHSTAAVRESKKW >Vigun07g143400.1.v1.2 pep primary_assembly:ASM411807v1:7:25427254:25428751:1 gene:Vigun07g143400.v1.2 transcript:Vigun07g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVHRTVEVNGIKMHVAEKGEGPVVLFLHGFPELWYSWRHQILHLSSVGYRAVAPDLRGYGDSDAPEPLTAYTCFHLVGDIVALIDSLGVDKVFLVAHDWGAILGWYLCLFRPDRVKAYVCLSVPFRPFLGRNPQQKTVDMFRALYGEDYYICRFQEPGKMEAELARVDTAYLMKNILTTRQTGPPIFPKGEYGTGFNPHTPETLPSWLTQQDLDYYVTKFSKSGFTGGLNYYRNLNPNWELTAPWTGVGIVNVPVKFIAGSVDLVYTSPGMKEYIHDGGFKKDVASLEEVVVQEGVGHFNNQEAAHDVANHIHDFISKF >Vigun08g140400.1.v1.2 pep primary_assembly:ASM411807v1:8:31264386:31266139:-1 gene:Vigun08g140400.v1.2 transcript:Vigun08g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDKVEVLDFWASPFCGRVKIALEEKGVNHVHSEEDLFGAKSELLLKSNPIHQKVPVLLHNGKPISESAIIVSYIDEVWSSKSLLPSSAYDRAQARFWTDYIDKKVFETGRSIWASNGEEREVGTRDFIEILKHLEEALGEKNYFGGDAFGYLDIIAIPHSAWFLAYEKLGGFKVADHAPKISAWVKRSLQRESVSKVLPDPEKVYQFVLHFRKMSGLD >Vigun03g143100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14428018:14429932:1 gene:Vigun03g143100.v1.2 transcript:Vigun03g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNSLPFLSVILLLLHVANSQPTTSRDSIYHTFLQCLQIHTNQPDHVSNIIYAQTNASYTSVLRAFARNARFTSPSVQKPLLIVTPQSEHHVQATVLCAKSIGVQLKIRSGGHDFEGVSYVSQGPFIILDMFNFQSVTVDVRNEIAVIEAGASLGQVYYRIWEKSKVHGFPAGACPTVGVGGHLSGGGYGNMMRKHGLSVDHILDAKIVDVKGRILDKATMGEDLFWAIRGGGGASFGVILSFTVKLVAVPEVVTVFQVDKTLEQNATDLVVQWQQVAPHTDDRLYLRLVLQPVGKAQKTIRASVEALFLGEASEVVRLLGKEFPLLGLKKELCHEMRWIDSVVWWANYNDGSSVNALLDRNHYWVHSNKRKSDYVQTPISRNGLTWIWKKMIELGKVELVFNPYGGKMNEVPSDATPFPHRAGNLYKIQYTVSWQEAGGGAEERFLSEIRMLHSYMTPFVSKNPRSAYFNYRDLDIGINRHGKDNFEDGEVYGIKYFKKNFERLVKVKSKVDPENFFWNEQSIPSYPIKA >Vigun10g149600.3.v1.2 pep primary_assembly:ASM411807v1:10:36835534:36843367:-1 gene:Vigun10g149600.v1.2 transcript:Vigun10g149600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLVRPLLTRRGFSTSSENLVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYQRRYPDEFLDKSDARGKGDYQIDYVPAPRFTEADKNNDKRSLQRALDRRLYLLVYGNAHGAPSGKPVWHFPEKVYESEDTMRKCAESALESIIGDLSNTYFVGNAPMGHMVVQPTEDQSGSTSYKRFFFKSQVIAKNKFNIAKCEDFVWVTKDELMEYFPEQAEFFNKMIIS >Vigun10g149600.2.v1.2 pep primary_assembly:ASM411807v1:10:36835534:36843367:-1 gene:Vigun10g149600.v1.2 transcript:Vigun10g149600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTNLMQGTSTQGGKGDYQIDYVPAPRFTEADKNNDKRSLQRALDRRLYLLVYGNAHGAPSGKPVWHFPEKVYESEDTMRKCAESALESIIGDLSNTYFVGNAPMGHMVVQPTEDQSGSTSYKRFFFKSQVIAKNKFNIAKCEDFVWVTKDELMEYFPEQAEFFNKMIIS >Vigun10g149600.1.v1.2 pep primary_assembly:ASM411807v1:10:36835534:36843367:-1 gene:Vigun10g149600.v1.2 transcript:Vigun10g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLVRPLLTRRGFSTSSENLVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYQRRYPDEFLDKSDARGKGDYQIDYVPAPRFTEADKNNDKRSLQRALDRRLYLLVYGNAHGAPSGKPVWHFPEKVYESEDTMRKCAESALESIIGDLSNTYFVGNAPMGHMVVQPTEDQSGSTSYKRFFFKSQVIAKNKFNIAKCEDFVWVTKDELMEYFPEQAEFFNKMIIS >Vigun09g081800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9782802:9784399:1 gene:Vigun09g081800.v1.2 transcript:Vigun09g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPPSYSFASSSHSSHHQPPSTSLSSQRSLISVPSLNPSTTTAAAATFHQCLTTLKGHTSHISSLTLSGKFLYTGSSDREIRSWNRTPLSSHPQNTILAGNGAVKSLIIQSNKLFSAHQDHKIRVWKISDTKHEHDVDEHKKYTHVATLPTLGDRASKVLIPKNHVQIRRHKKCTWVHHVDTVSALALSKDGTLLYSVSWDRSIKMWRTKDFACVESVTNAHDDAINAVAVSYDGRVYTGSADKRIKVWRKEEGERKHTLVECLEKHNSGVNALALSSDERHLYSGACDRSVLVWEKKGERMGLVGALRGHTKSILCLAVAGDLVCSGSADKTIRIWRGFHAQYSCLAVLEGHGGSIKCLTAVLDHCNPSHSQTSFLVYSGGLDCDIKVWQILVPSI >Vigun04g034400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2840634:2842949:1 gene:Vigun04g034400.v1.2 transcript:Vigun04g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRLRFCPSSSIPKFSPFFLNPSSFSFLHSHSQSPSLHHDHVHDAVSQFDGMLHMRRVPPIFQFGKILGSLTRMKHYPTAISLFKQMELKGIQGNLVILNILVNCFCHLDQMPLAFSILSKILKLGYHPDAITLSTLIKGLCLKGEVMKALNLYDKVVAQGFRLNEISYGILINGLCKIGETEAAIKMLRRVEGGLSKSDVVMYSTIIDSLFKDNLAKEAYDLINKMISNNIKPDVCIYNIIIDALCKEGKIKEAKSVLGVMVKACVKPNVVTLSTLMDGHYLVNEVKNAKHIFNVMIQMGVTPDVHSYNMMINGLCKSKMVDEAMNLFWGMHERNMVPNTVTYTSLIDGLCKSGRIAYVWDLIDEMHDRNQQPDVITYNCLIDALCKNYHLDRAFELLKTMMEKGIRPDMYTWSILIDGMCRGGRLEKAQEIFQDLLIKGYPLNVRSYSIMINGLCKKGLLDEALTLWSKMEDNGCLPNAVTFEIMIRALFEKDENDKAETFLREMVSRGLLNP >Vigun08g193100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36021043:36021725:1 gene:Vigun08g193100.v1.2 transcript:Vigun08g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWINYDRDDFIDACLYLFMLITGGWSVYWLWINSGDGRDEMYERRRYTESRNNIRSVMESARIEVAVRALPPVTAFHEYQTTESQNLCPICIHHFSDGDLVQPFGVCSHRFHPSCIKSWLFLGKINCPLCRMKLSLTSHR >Vigun10g021100.3.v1.2 pep primary_assembly:ASM411807v1:10:2504180:2509220:1 gene:Vigun10g021100.v1.2 transcript:Vigun10g021100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRCTGIELHTGKSGIQTLSLPCVCEIRLRGFPVQTSSVPVISSAEVTPDTQNIASSFYLEESDLKALLAPGCFSNTHGCLEIAVFSGRKASHCGVGIKRQQIGIFKMHVGPEWGEGKPLILFNGWIGIGKNKQENGKPGTELHLKVKLDPDPRYVFQFEDITTLSPQIVQLQGSIKQPIFSCKFSKDRVSQVDPLSAYWTGSTTEISNLETERRERKGWKVTIHDLSGSAVAAAFITTPFVPSSGCDWVARSNPGSWLIVRPDTGRSESWHPWGKLEAWRERGIRDTVCCKFHLLSEAQEGGEVLMSEIHINAEKGGEFFIDTEKHLRTATTAASPIPSPQSSGDFGALSPLVGGFVMSCRIQGEGKQSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIQACKPFRRKVCVNLSHVSTAWFVPVK >Vigun10g021100.2.v1.2 pep primary_assembly:ASM411807v1:10:2504061:2509220:1 gene:Vigun10g021100.v1.2 transcript:Vigun10g021100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRCTGIELHTGKSGIQTLSLPCVCEIRLRGFPVQTSSVPVISSAEVTPDTQNIASSFYLEESDLKALLAPGCFSNTHGCLEIAVFSGRKASHCGVGIKRQQIGIFKMHVGPEWGEGKPLILFNGWIGIGKNKQENGKPGTELHLKVKLDPDPRYVFQFEDITTLSPQIVQLQGSIKQPIFSCKFSKDRVSQVDPLSAYWTGSTTEISNLETERRERKGWKVTIHDLSGSAVAAAFITTPFVPSSGCDWVARSNPGSWLIVRPDTGRSESWHPWGKLEAWRERGIRDTVCCKFHLLSEAQEGGEVLMSEIHINAEKGGEFFIDTEKHLRTATTAASPIPSPQSSGDFGALSPLVGGFVMSCRIQGEGKQSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIQACKPFRRKVRRGFWHSM >Vigun10g021100.1.v1.2 pep primary_assembly:ASM411807v1:10:2504051:2508654:1 gene:Vigun10g021100.v1.2 transcript:Vigun10g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRCTGIELHTGKSGIQTLSLPCVCEIRLRGFPVQTSSVPVISSAEVTPDTQNIASSFYLEESDLKALLAPGCFSNTHGCLEIAVFSGRKASHCGVGIKRQQIGIFKMHVGPEWGEGKPLILFNGWIGIGKNKQENGKPGTELHLKVKLDPDPRYVFQFEDITTLSPQIVQLQGSIKQPIFSCKFSKDRVSQVDPLSAYWTGSTTEISNLETERRERKGWKVTIHDLSGSAVAAAFITTPFVPSSGCDWVARSNPGSWLIVRPDTGRSESWHPWGKLEAWRERGIRDTVCCKFHLLSEAQEGGEVLMSEIHINAEKGGEFFIDTEKHLRTATTAASPIPSPQSSGDFGALSPLVGGFVMSCRIQGEGKQSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIQACKPFRRKVRRGFWHSM >Vigun08g147700.1.v1.2 pep primary_assembly:ASM411807v1:8:32050305:32052765:-1 gene:Vigun08g147700.v1.2 transcript:Vigun08g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSEVEEQTLLSKYSDLLRSGTLAKLKTREKKFKPVAEHVNAVHHHRDPSSFPFKWSWRDVSIKVQNMRHQYLGVKQKIRLAPHHFNWKDGNNHWENFLKYKEVFGDVQLLESPKPLTTGKLGFEVDSDDEEDEEEDEDCGDEDEDVCKVRKLGSVVELREAVVKREERRREREFRREKVEAERERKRREVEFRRERRRECLEEREMELEERQLRWARRELEKRMRLERELDAERRWRKRVEEKMEEEEMEWRQRMVAMQVEHEKQMMQMHVEACQNQMQVLGIMARLLCQFFGSGTDGLSNGLGPLPPQVLHHGAGLGYEICSVPL >Vigun06g169800.2.v1.2 pep primary_assembly:ASM411807v1:6:29138525:29143663:-1 gene:Vigun06g169800.v1.2 transcript:Vigun06g169800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRTLALRPESGFMIMVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVYPVTPLITSLRQELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYLFTEPLLTRWPFNKIREKALEVAIKGIHYEDQNTRYIQAGCINKSASMLACWVEDPNGDAFKKHLARVADYLWLSEDGMCVQGINTQSWDVGFAVQALLATGLIDDLGPTLEKAHDFIKKSQVVENRSGDFKSMFHHISKGAWTFADRDHGVQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDTVNFILSLQSKNGGLPVWEPAKAQKWLENLNPAEFLADIVIEHECIECTGSALQALVLFKKLYPKHRREEIEKFIVKATKYIENQQLPNGTWHANWAVCFTYSSWFALGGLAAAGNTYSNCAAMRKAVNFLLSIQNDDGGWGESYLSCSMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGVYKSSCLVHYVFYRNVFPLLALSEYRTNVLVHYTSV >Vigun06g169800.1.v1.2 pep primary_assembly:ASM411807v1:6:29138526:29143774:-1 gene:Vigun06g169800.v1.2 transcript:Vigun06g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARLHFHQNRFQHKACADRLWRFQILREKDFKQRIRKVKIEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHFGGSHYFIPIMIISVYSTGNLDSVIQEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGKRFVYPVTPLITSLRQELFTEPYDEKTWKKARHKCAKEDLYYPHHWIQDLIWDTAYLFTEPLLTRWPFNKIREKALEVAIKGIHYEDQNTRYIQAGCINKSASMLACWVEDPNGDAFKKHLARVADYLWLSEDGMCVQGINTQSWDVGFAVQALLATGLIDDLGPTLEKAHDFIKKSQVVENRSGDFKSMFHHISKGAWTFADRDHGVQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDTVNFILSLQSKNGGLPVWEPAKAQKWLENLNPAEFLADIVIEHECIECTGSALQALVLFKKLYPKHRREEIEKFIVKATKYIENQQLPNGTWHANWAVCFTYSSWFALGGLAAAGNTYSNCAAMRKAVNFLLSIQNDDGGWGESYLSCSMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGVYKSSCLVHYVFYRNVFPLLALSEYRTNVLVHYTSV >Vigun06g168500.1.v1.2 pep primary_assembly:ASM411807v1:6:29019075:29025499:1 gene:Vigun06g168500.v1.2 transcript:Vigun06g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLEGAEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTVAPPERQHASLPRPCVLYSDNKIHNTSDVADDLSKCSIKEVEKQPVDRSNVIPMSRLPLPAPQNIQGVAARPGKVVGSVMRYNNCGVAVTAETEQRRIVRNPSVSAQFAASNCSYPRRNPGYKSERDAEDDGIEGSNGLQPKPQYMARKVAAAPGGPGGQWY >Vigun07g075200.2.v1.2 pep primary_assembly:ASM411807v1:7:9834355:9840749:1 gene:Vigun07g075200.v1.2 transcript:Vigun07g075200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLTPLSFFLSFMPCYASFILLHTTPMRNHVSSLSFLFLFLFIPFFPTIQCADNTFAPSFYTEEIYKELENLAILLNKDIKAGLGFCIKDVHKDWEEAFDFKGRLDFVDSCVKKKGDFRDRICTVSEIRYYFHGFLEQGATSSNYVKPNRNCNLTSWVSGCEPGWSCSAGKNFDLKKDIKDIPIRTSNCQACCEGFFCPQGLTCMIPCPLGSYCPIAKLNNATGVCDPYSYQIPQGETNHSCGSADIWTGVVNNSDIFCSPGSYCPTTTRKVSCDSGYYCRRGSTHQNKCSSLSSCNPNTANQNMHAYGALLIVALSTLLIFIYNCSDQVLVTRERRKAKSREAAARQVRETVQARERWKIAKDIAKKGKGGGGLGEQLSRTFSRKKSAKSDTLKVGNQAKRGGDTFLPSVQNASSFEQQSAASDAQKKEPTNLTKMLNSLEDDPHSNEGFNLQIGDKNIKKQMPKGKNLHTQSQILRYAYGQIEKEKAQQEKNKNLTFSGVISMATEGEVRTRPVIEVAFKDLTLTLKGKRKHLLRCVYGKLMPGRVSAVMGPSGAGKTTFLSALAGKARGCTMTGSILINGKSESIHCYQKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKPDKVLIVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGMEMVMEPSLLILDEPTTGLDSASSTLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGINVPDRVNPPDHFIDILEGLVKPNGAVTHQQLPVRWMLHNSYPVPPDMLHFADQIAAASTTSTEPIATKPPEEVDDQSFAGEFWEDMKSNVQMRKDHIEATFLKIKDLSNRRTPGVARQYRYYLGRVCKQTLREGKSQAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVSLLCKISALRSFSLDKLQYWRESASGISNLAHFLAKDTIDIFNTIIKPVVYLSMFYFFSNPRSSFASNYVILLCLVYCVTGMAYAMAIYFEPAPAQLFSVLLPVVMTLIANQKKDTLFMKILVKLCYPNWALEAFIIANAERYTGVWLITRCSSLMNNGYNVGDWPICLLALFFYGIIARVVAFFCLIITQKK >Vigun07g075200.3.v1.2 pep primary_assembly:ASM411807v1:7:9834355:9839202:1 gene:Vigun07g075200.v1.2 transcript:Vigun07g075200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLTPLSFFLSFMPCYASFILLHTTPMRNHVSSLSFLFLFLFIPFFPTIQCADNTFAPSFYTEEIYKELENLAILLNKDIKAGLGFCIKDVHKDWEEAFDFKGRLDFVDSCVKKKGDFRDRICTVSEIRYYFHGFLEQGATSSNYVKPNRNCNLTSWVSGCEPGWSCSAGKNFDLKKDIKDIPIRTSNCQACCEGFFCPQGLTCMIPCPLGSYCPIAKLNNATGVCDPYSYQIPQGETNHSCGSADIWTGVVNNSDIFCSPGSYCPTTTRKVSCDSGYYCRRGSTHQNKCSSLSSCNPNTANQNMHAYGALLIVALSTLLIFIYNCSDQVLVTRERRKAKSREAAARQVRETVQARERWKIAKDIAKKGKGGGGLGEQLSRTFSRKKSAKSDTLKVGNQAKRGGDTFLPSVQNASSFEQQSAASDAQKKEPTNLTKMLNSLEDDPHSNEGFNLQIGDKNIKKQMPKGKNLHTQSQILRYAYGQIEKEKAQQEKNKNLTFSGVISMATEGEVRTRPVIEVAFKDLTLTLKGKRKHLLRCVYGKLMPGRVSAVMGPSGAGKTTFLSALAGKARGCTMTGSILINGKSESIHCYQKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKPDKVLIVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGMEMVMEPSLLILDEPTTGLDSASSTLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGINVPDRVNPPDHFIDILEGLVKPNGAVTHQQLPVRWMLHNSYPVPPDMLHFADQIAAASTTSTEPIATKPPEEVDDQSFAGEFWEDMKSNVQMRKDHIEATFLKIKDLSNRRTPGVARQYRYYLGRVCKQTLREGKSQAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVCKFQNSIPNMILLFLSWLIEFLM >Vigun07g075200.4.v1.2 pep primary_assembly:ASM411807v1:7:9834355:9840749:1 gene:Vigun07g075200.v1.2 transcript:Vigun07g075200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLTPLSFFLSFMPCYASFILLHTTPMRNHVSSLSFLFLFLFIPFFPTIQCADNTFAPSFYTEEIYKELENLAILLNKDIKAGLGFCIKDVHKDWEEAFDFKGRLDFVDSCVKKKGDFRDRICTVSEIRYYFHGFLEQGATSSNYVKPNRNCNLTSWVSGCEPGWSCSAGKNFDLKKDIKDIPIRTSNCQACCEGFFCPQGLTCMIPCPLGSYCPIAKLNNATGVCDPYSYQIPQGETNHSCGSADIWTGVVNNSDIFCSPGSYCPTTTRKVSCDSGYYCRRGSTHQNKCSSLSSCNPNTANQNMHAYGALLIVALSTLLIFIYNCSDQVLVTRERRKAKSREAAARQVRETVQARERWKIAKDIAKKGKGGGGLGEQLSRTFSRKKSAKSDTLKVGNQAKRGGDTFLPSVQNASSFEQQSAASDAQKKEPTNLTKMLNSLEDDPHSNEGFNLQIGDKNIKKQMPKGKNLHTQSQILRYAYGQIEKEKAQQEKNKNLTFSGVISMATEGEVRTRPVIEVAFKDLTLTLKGKRKHLLRCVYGKLMPGRVSAVMGPSGAGKTTFLSALAGKARGCTMTGSILINGKSESIHCYQKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKPDKVLIVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGMEMVMEPSLLILDEPTTGLDSASSTLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFAGIGINVPDRVNPPDHFIDILEGLVKPNGAVTHQQLPVRWMLHNSYPVPPDMLHFADQIAAASTTSTEPIATKPPEEVDDQSFAGEFWEDMKSNVQMRKDHIEATFLKIKDLSNRRTPGVARQYRYYLGRVCKQTLREGKSQAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVYFSSEIIFSG >Vigun07g027400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2496421:2496819:1 gene:Vigun07g027400.v1.2 transcript:Vigun07g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSATSKARSGQRAKLASIEPQPHNVVSWQRKLSTKSEVVNVRAYPTEKQGEKPLCDDHTFSNYIQRTNYKIRSNSNIGCREGQRDPAYADHVNNGTNNNNENEQDPFSDYIQNARKKLRMRTLSRNTS >Vigun06g055600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18212359:18213399:-1 gene:Vigun06g055600.v1.2 transcript:Vigun06g055600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIEKLIEVWISAFSCLCYCYYIASKIPKGFLRLLSLLPILFIFFILPFTLSSPNLIAFTSLFLVWLGTFKLLLFSFNQGPLALSPSNILHFIFIASLPINPKQHPSTNPNTTQKFPKWLLALKVLTLGFIVHVSSYIEHLNPHFITLLYYCYLYLGLEIVLAFITFTVQSVFGFEIEPLFNKPYLCTSLQDFWSHRWNIMITRILRPTVYNPVRRIFNDVVNPMYATSVAMLATFLVSGLMHELMYYYLTRVSPTWEVTCFFVLHGVCTTVEVAVKKVALRRGRQLHHAVSRLLVMVFLVSTCWWLFLPQLLRNGVNTKATQEYGVLVDFVVKSRLPYIYVCL >Vigun04g036300.2.v1.2 pep primary_assembly:ASM411807v1:4:3027187:3031479:-1 gene:Vigun04g036300.v1.2 transcript:Vigun04g036300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMEYTRDAWISSYRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIRFDSSLLIGYRPQDPPMVISNPSSSSHSILITQGRSSTAVRLDKKKPII >Vigun04g036300.1.v1.2 pep primary_assembly:ASM411807v1:4:3027187:3031559:-1 gene:Vigun04g036300.v1.2 transcript:Vigun04g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRNWGYYEPATSFKSHLGLQLMSSMPEKPLIGGRNAAVLSGTNGAFHHRDIGMSHATYPMEYTRDAWISSYRDKYMNMIPTNHNYGGIPETSSAHQIQMIPPPELPKEEREVEEEPVVEKVTGGTRKKRQSPKVPKSPKAKKSKRGPRVPKNENAPTVHRARVPKKTTEIVINGIDMDISSIPIPVCSCTGASQQCYRWGSGGWQSACCTTGMSVYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIRFDSSLLIGYRPQDPPMVISNPSSSSHSILITQGRSSTAVRQ >Vigun03g219301.1.v1.2 pep primary_assembly:ASM411807v1:3:36503476:36504453:-1 gene:Vigun03g219301.v1.2 transcript:Vigun03g219301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDLNLEVPGTFFETWKEFVSCQVASSIGCFYPKNCLTANSSSIEILFLFLLSIAPSLLFCVNDRHSVLNACFI >Vigun05g018700.1.v1.2 pep primary_assembly:ASM411807v1:5:1516684:1521203:-1 gene:Vigun05g018700.v1.2 transcript:Vigun05g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRFQSTVLSLLISVSCFLTCLGNNDVPVQLNDDVLGLIVFKSDLQDPSSHLASWNEDDANPCSWQFVQCNPQSGRVSEVSLDGLGLSGKIGRGLEKLQHLTVLSLSHNNLSGSISPSLTLSNTLERLNLSHNALSGSIPTSFVNMNSIKFLDLSQNSFSGPIPENFFDTCSSLHQISLARNMFDGPVPGSLSRCSSLNSLNLSNNRFSGNVDFNGIWSLTRLRTLDLSNNALSGSLPNGVSSIHNLKEILLQGNQFSGPLSTDIGFCLHLSRLDFSDNQFSGALPESLGRLSSLSYFKASNNFFTSEFPPWIGNLTSLEYLELDHNQFTGSIPQSIGELGSLSHLRLSSNKLVGTIPSSLSSCTKLSVIHLRGNGFNGSIPEGLFGLGLEEMDLSRNELSGSIPEGSSRLLETLSSLDLSDNHLQGNIPAETGLLSKLSYLNLSWNDLQSQMPPEFGLLQNLAVLDIRNSALRGSVPADICDSGNLAVLQLDGNSLQGNIPEEIGNCSSLYLLSLSHNNLTGSIPKSMSKLNKLKILKLEFNELSGEIPMELGMLQSLLAVNISYNRLTGRLPTGSIFQNLDKSSLEGNLGLCSPLLQGPCKMNVPKPLVLDPNAYNNQISPQRQRNESSESGSVHRHRFLSVSAIVAISASFVIVLGVIAVSLLNVSVRRRLSFVDNALESMCSSSSRSGSPATGKLILFDSQSSPDWIGNPESLLNKASEIGEGVFGTLYKVPLGSQGRMVAIKKLISTNIIQYPEDFDREVRILGKARHPNLIALKGYYWTPQLQLLVTEFAPNGSLQAKLHERLPSSPPLSWPLRFKILLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENCNPKISDFGLARLLTKLDRHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGVMILELVTGRRPVEYGEDNVLILNDHVRVLLEQGNVLECVDQSMNEYPEDEVLPVLKLAMVCTSQIPSSRPTMAEVVQILQVIKTPVPQRMEVF >Vigun02g043700.1.v1.2 pep primary_assembly:ASM411807v1:2:17542202:17548273:1 gene:Vigun02g043700.v1.2 transcript:Vigun02g043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGIFFSSFVAMKHHSLLFLVLIFLTYSRPFSATQSWTTIPRMSPIPEWEASLHDDPVAVDAEQVKSFFFKQTLDHFNYKPESYTTFPQRYLINFKYWGGANSSAPIFAYLGAEAPIDNSPNTIGFLIDNAASFNALLVYIEHRYYGKSVPFGSREEALKNASTIGYFNSAQALADYAAVLKHIKNTLHAQNSPVIVIGGSYGGMLASWFRLKYPHLTVGALASSAPILYFDNITPQNGYYSVVTRDYREASETCYKTILQSWSEIHRVASQPNGLVTLSKRFNTCHLLNQTDELINYLRLMYGYGAQYNQPPKYPVNVICGGIDGASLGSDILSKIYAGVVALKGNTTCTVNAPTNVSETTVGWRWQTCSEMVIPIGIGNDTMFEPNPFNIKRYVEGCKKIFGVSPRPHWVTTYYGGHNITLVLGRFGSNIIFSNGLKDPYSIGGVLENISDNIVAVHTVNGSHCLDLLRANDSDPEWLVKQRKKEIKIMKRWISQYYADLHALKDNTK >Vigun02g043700.2.v1.2 pep primary_assembly:ASM411807v1:2:17542202:17548273:1 gene:Vigun02g043700.v1.2 transcript:Vigun02g043700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGIFFSSFVAMKHHSLLFLVLIFLTYSRPFSATQSWTTIPRMSPIPEWEASLHDDPVAVDAEQVKSFFFKQTLDHFNYKPESYTTFPQRYLINFKYWGGANSSAPIFAYLGAEAPIDNSPNTIGFLIDNAASFNALLVYIEHRYYGKSVPFGSREEALKNASTIGYFNSAQALADYAAVLKHIKNTLHAQNSPVIVIGGSYGGMLASWFRLKYPHLTVGALASSAPILYFDNITPQNGYYSVVTRDYREASETCYKTILQSWSEIHRVASQPNGLVTLSKRFNTCHLLNQTDELINYLRLMYGYGAQYNQPPKYPVNVICGGIDGASLGSDILSKIYAGVVALKGNTTCTVNAPTNVSETTVGWRWQTCSEMVIPIGIGNDTMFEPNPFNIKRYVEGCKKIFGVSPRPHWVTTYYGGHNITLVLGRFGSNIIFSNGLKDPYSIGGVLENISDNIVAVHTVNGMLAWISLPGSFAGK >Vigun02g043700.3.v1.2 pep primary_assembly:ASM411807v1:2:17542202:17548273:1 gene:Vigun02g043700.v1.2 transcript:Vigun02g043700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGIFFSSFVAMKHHSLLFLVLIFLTYSRPFSATQSWTTIPRMSPIPEWEASLHDDPVAVDAEQVKSFFFKQTLDHFNYKPESYTTFPQRYLINFKYWGGANSSAPIFAYLGAEAPIDNSPNTIGFLIDNAASFNALLVYIEHRYYGKSVPFGSREEALKNASTIGYFNSAQALADYAAVLKHIKNTLHAQNSPVIVIGGSYGGMLASWFRLKYPHLTVGALASSAPILYFDNITPQNGYYSVVTRDYREASETCYKTILQSWSEIHRVASQPNGLVTLSKRFNTCHLLNQTDELINYLRLMYGYGAQYNQPPKYPVNVICGGIDGASLGSDILSKIYAGVVALKGNTTCTVNAPTNVSETTVGWRWQTCSEMVIPIGIGNDTMFEPNPFNIKRYVEGCKKIFGVSPRPHWVTTYYGGHNITLVLGRFGSNIIFSNGLKDPYSIGGISLPGSFAGK >Vigun05g136100.1.v1.2 pep primary_assembly:ASM411807v1:5:16317817:16321523:-1 gene:Vigun05g136100.v1.2 transcript:Vigun05g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDYIVAHGTEEDSCSEENSLWFSSHSSFGIGDNMILQDESNKIERGSINSEIDEERTNLSRVNLEVTEKIKPSNYLQCSCDELKIRQRDLKREKQKILRYKPGAWIEKVGGLETSDHDVPKTTCLLMVGPSGSGKSSLINRISKVLEDDKLAPARAQESYNSFTENGTYFLQEYMMPRSSNSICLYDTRSLSYNLDKDENIRMLKGWMTKGVRHGELVVRKTDDERLRTILESKAHNKGYFSSETRKVNFVIYVVNGLLVLKAMKNAGALETQYVETIVSTFNCPFLSFKDDKPVLVFTHGDLLSHTERAHVRGHLGRVLGIPPTKQIFDIPDCDCPATASAIVGMLRYSLAHADRSFPQKCKVVDKVHKVSLLVYMIMLILGIGVAIGLAQNTEIHFPHPKTRVLRSKQKIEWHKIRHIW >Vigun07g026500.1.v1.2 pep primary_assembly:ASM411807v1:7:2372183:2379774:-1 gene:Vigun07g026500.v1.2 transcript:Vigun07g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHLLEQEAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLTRVNSDEIIHRIREWRQTGCYQPARRSTSQPVHDILPSPTVSVSRKKQKTSHSGQSLPALSSVKSVQYTSAGPTGGRHFSNRNASALASNAPAEAAAFDPLIGKKVWTRWPEDNHFYEAVVTDYNPADGRHALVYDINKVHETWEWVDLKEISPEDIRWEGEDPGILHRGGHSGHGRGVKRFFGHGGDSLGAGRGRGHPRFHTRQEFHPPQNGIGKRVLDDIELLNTDSLVKEVERIFATSAPDSMELEKAKQMLKEHEQALVDAIARIADASDGESDEEQPFLQHGQLIERG >Vigun07g026500.3.v1.2 pep primary_assembly:ASM411807v1:7:2372781:2379763:-1 gene:Vigun07g026500.v1.2 transcript:Vigun07g026500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHLLEQEAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLTRVNSDEIIHRIREWRQTGCYQPARRSTSQPVHDILPSPTVSVSRKKQKTSHSGQSLPALSSVKSVQYTSAGPTGGRHFSNRNASALASNAPAEAAAFDPLIGKKVWTRWPEDNHFYEAVVTDYNPADGRHALVYDINKVHETWEWVDLKEISPEDIRWEGEDPGILHRGGHSGHGRGVKRFFGHGGDSLGAGRGRGHPRFHTRQEFHPPQNGIGKRVLDDIELLNTDSLVKEVERIFATSAPDSMELEKAKQMLKEHEQALVDAIARIADASDGESDEEQPFLQHGQLIERG >Vigun07g026500.2.v1.2 pep primary_assembly:ASM411807v1:7:2372183:2379774:-1 gene:Vigun07g026500.v1.2 transcript:Vigun07g026500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHLLEQEAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLTRVNSDEIIHRIREWRQTGCYQPARRSTSQPVHDILPSPTVSVSRKKQKTSHSGQSLPALSSVKSVQYTSAGPTGGRHFSNRNASALASNAPAEAAAFDPLIGKKVWTRWPEDNHFYEAVVTDYNPADISPEDIRWEGEDPGILHRGGHSGHGRGVKRFFGHGGDSLGAGRGRGHPRFHTRQEFHPPQNGIGKRVLDDIELLNTDSLVKEVERIFATSAPDSMELEKAKQMLKEHEQALVDAIARIADASDGESDEEQPFLQHGQLIERG >Vigun10g044300.1.v1.2 pep primary_assembly:ASM411807v1:10:6352458:6354256:1 gene:Vigun10g044300.v1.2 transcript:Vigun10g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEDGDLGKGVSMNGNEESLERRVHTSSIEDAVKVLLTALGEDINREGIIKTPLRVAKALSEGTRGYVQSVKEIVEGALFPEAGLDHTEFAGDGGGVGGVVIVRDLEFYSYCESCMLPFYFKCHVGYVPSAQRVLGLSKLSRVTNVFAKRLQEPQRLANEVCSALHEGIQPTGVAVVLQCKHIPFPDMESYSLGSNHKGVVGILVSSGSGVFENKDANLWADFFGLLNFRGIDKDKILDKGSMDDQCWCPSLSSKVSSENEELNPAMLTAVASILKSLGEDPTRKELEGTPARYTKWLMNFKCNSIERALNCWLGIRTNGALNTNEGLGFDEKLQSELNLPFLSQCEHHLLPFHGVVHIGYFVSKGFHPIEKSLLQSIVHFYGIKLQVQERVTKQIAETISPLIGGTVIVVVEASHTCMISRGIEKFGSNTATIAAFGRFSTDLAARAVFLNTIPKATYI >Vigun07g184900.1.v1.2 pep primary_assembly:ASM411807v1:7:30198382:30199639:-1 gene:Vigun07g184900.v1.2 transcript:Vigun07g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLHGFWYSPFALRVKWSLKLKGIEYENIEEDRFNKSSQLVQYNPVYKRIPILVHAGKPISESMLIIEYIDQVWPQNPLLPSHPYHRALARFWVKYSDDLTEGVRALYRSKNNEQRKKAIEDILEHLRVVEDQCLGKENKFFGGDCVNVVDLAFGSIIKYLTVLEDILQVQILEAQKFPRLYSWFNIFKSEPAIAEVLPDQDQMVAFVKPLREQILASS >Vigun01g164100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34583873:34585072:1 gene:Vigun01g164100.v1.2 transcript:Vigun01g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIKGKRTKRQRLPSPLRLTMSTCSTDNSAGFDPPTTSNELRSEEDEDLANCLILLARGHNTPKPSHTKESGLYVYECKTCNRCFPSFQALGGHRASHKRFSKPGAEEKQGLVHSSNSNHDDFCVPTSTTLTLQLSTVLYNSSNSTITAKSRVHECSICGAEFSSGQALGGHMRRHRNFLSSPSGGAIAYGGDGIVEIPESKKQKDGLNLDLNLPAPEDDHHRESNLFPFQSKEKVIVFSATSLVDCHY >Vigun09g256400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42239783:42240623:1 gene:Vigun09g256400.v1.2 transcript:Vigun09g256400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVLLALFLPCLGMTAVFIVYMCLLWYATTRHHPSGNGLPVKQVANKGLSPLELEKLPKITGKELVLGTECAVCLDEIEGEQPARLVPGCNHGFHVQCADTWLSNHPLCPVCRTKLDPQIFTSHNPC >Vigun05g215200.1.v1.2 pep primary_assembly:ASM411807v1:5:40714612:40720171:-1 gene:Vigun05g215200.v1.2 transcript:Vigun05g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTHQHHHHDDTDHPYNILPLHTPTIDHPSLRFPEVCAAVAALRSVGDLRLPSQWHPDMDLLDWLGFFFGFQNDNVRNQRENLVLHLANAQMRLSPPQETLDATLLRSFRSKLLRNYTSWCSYLLVKPAVNISYRSDNNSSSNHRLELLYVSLYLLIWGEAANLRFLPECLSYIFHHMAMDLNKLLQNHDDDNNFHQASLHRESFLDRVVQPIYRVLLSEVESSRNGTVPHCKWRNYDDINEFFWDKRCFKKLKWPLDIGSGFFDKRVRKIGFVERRSFWNLFRSFHRLWVMLVLFLQAALIVAWEDKSYPWHALRDRDLQVRVLTIFFTWSALRFLQSLLDIVMQWRLVSVEKIGLGMKMVLKAVVAAAWFIVFFIFYQKIWEQRNRDGNWSVEANKRLVKFLEVVFVFMVPELLALVLLILPWVRNFIEKKDWRVFFMLSWWFQSRTFVGRGLSESLTDNIGYTLFWVVVLASKFCFSYFLQIRPMVGPSKMILNLKDINYLWHELFRNGIWFALGLLWLPVILIYLMDIQIWYSIYSSLVGANVGLFAHLGEIRSMKQLKLRFQFFASAVLFNLMPEEQSLNASRALSNKVKDVIHRMKLRYGFGHSYMKLESNQREANKFALLWNEVIMCFREEDIISDREVELLELPKNSWNVRVIRWPCFLLCNELLLALSQAKELVDVPDKRLWSKICKHEFRRCAVIETYDCIKHLLLQIIKPNSEEHSIVMVLFQEIDHSLEIGKFTKVFKTTALLQLHIKLIKFLELLNKKQTDSSQMVKTLQALYEIVVRDFFKERRNIERLREDGLAPQNPDSSKVLLFENAIQLPETVNENFYREIRRLLTILTSRDSMENVPVNLEARRRITFFTNSLFMKMPHAPRVEKMMAFSVLTPYYSEEVVYSKEQLRVGNEDGISTLYYLQTIYDDEWNNFMERMRREGMKNESDIWTDKLGDLRSWASYRGQTLSRTVRGMMYYYKGLKLLAFLDSASEVEIQEGTRELVSLNQDNSDGSTSNKSLSSPMSLSRENSSARLSFKGHDCGTALMKFTYVISCQIYGAQKERKDPRAEEILYLMKNNEALRVAYVDEVPFGSDEKEYYSVLAKYDRELEKEVEIYRVKLPGPIKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKIRNLLEEYRYYYGIRKPTILGVREHIFTASVSSLAWFMSAQEMSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFITRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHKLDFFRMLSFFYTTVGFFFNTMMIVLTVYAFLWGRLMLALSGIEAAIVKSNNKALSIILSQQFIVQIGLFTALPMIVENSLEQGFLQSVWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILIVYSTQSTVATDTFVYIAMTFSSWFLVASWIMTPFLFNPCGFDWLKTVRDFDEFMNWIWQRQGFFAKAEQSWERWWYEEQDHLRLTGIWGKLFEIILDLRFLIFQYGIVYRLDIAAGSTSIGVYFVSWIYVFFVFGIYVVVAYSRNEYEAKQHLYFRLVQSLVIVLAILLILVLMKFTKFKFMDIFTSLVAFIPTGWGMILIAQVFRPFLQHSIVWNVVVSLARLYDTLFGIIVMVPVALFSWLPGFQPMQTRILFNEAFGRGLRIFQIVTAKKSSAKSV >Vigun05g144600.1.v1.2 pep primary_assembly:ASM411807v1:5:19590232:19595444:-1 gene:Vigun05g144600.v1.2 transcript:Vigun05g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSTPPYPSAARIADSQCFPQYTASLKCLEKFNSDKSKCQEHFDVYKECKKKEREARLERNKNRSLFS >Vigun05g144600.2.v1.2 pep primary_assembly:ASM411807v1:5:19592489:19595443:-1 gene:Vigun05g144600.v1.2 transcript:Vigun05g144600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSTPPYPSAARIADSQCFPQYTASLKCLEKFNSDKSKCQEHFDVYKECKKKECVSTYDQLTNYTWLMCMMELDLFYLNNKVIPARKATIEYYNI >Vigun01g101750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26925511:26925834:1 gene:Vigun01g101750.v1.2 transcript:Vigun01g101750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVEVDQLAPFRRDVGIGVNVRELLLRHPGIFYISAKGKTLTVFLKEAYRNGGLIESNPVYEARRNMLDLVLLGYRKTRKLLAGAAAKEESSVVVCEVNEEGERQ >Vigun01g135700.1.v1.2 pep primary_assembly:ASM411807v1:1:31556541:31558926:-1 gene:Vigun01g135700.v1.2 transcript:Vigun01g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRSRAVSKPGLMADHSSQQSPTQNYAKTIPSLFGSPKFRDFTNKCLSSGTEALRSPTSILDARALSPFAFANPFSTPPNKTSPPSRTSWDKPDSKGLALALVGAFKDDDNPIHDSSETNKGNVLLRVKIPFESQTCGDDFGSKPKDSKNSSGSESFAKDDCVSTSVRALSWSEMELSEEYTCVISHGPNPKTTHIFNNCIVVETYYSLPQNQNSHSATSANFLSFCHTCKKHLDQTKDIFIYRGEKAFCSRECRHQEMVLDGIENLEFESNGFS >VigunL023000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:15518:17346:1 gene:VigunL023000.v1.2 transcript:VigunL023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSSLVELLRGSSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLF >VigunL059400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000451.1:7362:7979:1 gene:VigunL059400.v1.2 transcript:VigunL059400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KLHSRFYKKFYESLSFASLDGSFIFPECILIFGLILLLVIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSRNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGERSSFKK >Vigun05g025400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2064257:2066864:-1 gene:Vigun05g025400.v1.2 transcript:Vigun05g025400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKVEGNLPVYGYHDPEAFVNSIQKPRVIIMLVKAGAPVDQTIKTLSAFMEKGDCIIDGGNEWYENTERREKAVAELGLLYLGMGVSGGEEGARSGPSLMPGGSFEAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSVFSEWNRGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDARFLSGLKEERVEAAKVFKSGGFGEIVTDHPVDKQQLIDDVRKALYAAKICSYAQGMNLIRAKSVEKGWDLKLGELARIWKGGCIIRAIFLDRIKQAYDRNPNLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDIEGSYHTEWFKLAKQSRI >Vigun05g025400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2063047:2067232:-1 gene:Vigun05g025400.v1.2 transcript:Vigun05g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKVEGNLPVYGYHDPEAFVNSIQKPRVIIMLVKAGAPVDQTIKTLSAFMEKGDCIIDGGNEWYENTERREKAVAELGLLYLGMGVSGGEEGARSGPSLMPGGSFEAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSVFSEWNRGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDARFLSGLKEERVEAAKVFKSGGFGEIVTDHPVDKQQLIDDVRKALYAAKICSYAQGMNLIRAKSVEKGWDLKLGELARIWKGGCIIRAIFLDRIKQAYDRNPNLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDIEGSYHTEWFKLAKQSRI >Vigun02g144900.1.v1.2 pep primary_assembly:ASM411807v1:2:29317143:29320646:-1 gene:Vigun02g144900.v1.2 transcript:Vigun02g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMYHFDKNLSSQDEISLFLRQILLRSSPSSSSSPHSVPGSCNSNVSHQNVNSHASFSPSHLQHAKISNLGSTASFLSASATSASFKPHPASAPNVSSSSLLVSENENDDYDCESEEGVEALAEELPTKPVPSRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLTLHPMCFPEGLQAVQLSEMGMELSERNRSTPLNITATLPLHQENNPLHYTSNLQSKHILPNQPSAPYPSFINNPETSFGLESRIQPETKPLQHKGGSSGPIRGEDILHYQQPSAIHSDANNTLGGSQVVKEFESGTTVSLSFDTQTCEPCIGARDQSGVIIRNSEANIVVTSQLSR >Vigun09g086450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:11402766:11403089:1 gene:Vigun09g086450.v1.2 transcript:Vigun09g086450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKKTFAEVFHNTCDVPLSQLPTPCTKSNLVTVQVAEDNNLVGLTRMARVILNKCHKPYTLHDLGIKQSLLWKSLGNWKAIPLGKGFFVFTLSPLEDMHRALAIGI >Vigun09g170800.1.v1.2 pep primary_assembly:ASM411807v1:9:34032227:34039704:-1 gene:Vigun09g170800.v1.2 transcript:Vigun09g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSRIFEHRNRTKAMDRKNPRRKPKLERRNALKYSEYDAGSSTSDEALYTRSMEFYDRTSFRIEGVEGEFDRICRSLGLSGPEDFSIPAAAWEAMKFRSSSDILPRLNLENLDIPEEEEEDEEEEEVPKVNEERIVKPPEDSEFSEKLGDGVRVLEADESSTGIKGARPPMLKPPPGVRVQMVDDSSCSTWDLLRDLAPIGEGLSLKHSEHTREVENDAPLGGVEREVSEKEEGEVDRASPKREEEENVDNAARIAEIVAGLSESCSFSTSNEDDSSSSTTDHTSNNISPQGRIKRIITAGSWQKGEFLGGGSFGTVYEGISDDGFFFAVKEVSLLDQGTQGKQSVYQLEQEIALLSQFEHENIVQYYGTEMDESKLYIFLEFVTKGSLRSLYQKYTLRDSQVSAYTRQILHGMKYLHDRNVVHRDIKCANILVDASGSVKLADFGLAKATKMNDVKSMKGTAFWMAPEVVKGKNKGYGLPADIWSLGCTVLEMLTGQLPYCDLEWMQALFKIGKGVPPSIPDSLSRDATDFILQCLQVNPNDRPTAAQLLNHSFVQRPLSQTSGSSFPHVLGRKG >Vigun09g226100.1.v1.2 pep primary_assembly:ASM411807v1:9:39850716:39853858:1 gene:Vigun09g226100.v1.2 transcript:Vigun09g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRASSRFHQLHSHQRALSLHTTLPALNASTSTHTPTPYAPPPPPSASSPVGVSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYIPGCPPTAEALLYGLLQLQKKINRRKDFLHWWTK >Vigun08g108800.1.v1.2 pep primary_assembly:ASM411807v1:8:27058000:27064711:1 gene:Vigun08g108800.v1.2 transcript:Vigun08g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDQSLQDMEEKVNEALVVLRDEGDSFAKRAEMYYKKRPELANFVEETFRAYKALADRYDHLSKELQSANRTIASVFPDQVPCPIEEDEEEESDTGTNSLSSDPNNQTHDKPSIPKVPKTPKKDFKSQTMLISRKATLKKTPSSVKYLPTISCSGLTKEEALVEIDNLQKEILSQQTEKEFVRSLYERAYQKYWSIEDEIAATQKRVCSLQDEFGVGTVIEDNDARTLMAATALKSCQETLDKLKEIQVQASKEAKEEYERVKKAHEMFETLRDQFISKYMTSQLEHDDGDKCKSIETDQKSIDEEMDRLEQEAHDVGLLREKIKRKLEEDSRNSFTVTEMAECIDELVNKVCTLETAVSSQTGLVKRLRSDTDGLQTNIRKLEEDKEMLREDSEVTKKKLKELERELWRLKMLNRSVRSQDNTLQTHFTEATCNLEHLSGKLTYMKPDEEEENLVLYGKKRTASDGIPLKKSEKHGANMLVNNLDVKKIRENRRANIHKKSVSIGSSFLNERIQKLPFHENDNSSDTVSTVDNESQDLDTSEGDQPNWRQMFMSGLDDREKILLEEYTSVLMNYKEVRAKLNDVEKRSRDSIFELTLQLREMKNALVAKDKEIQFLRQKLNWPDANPDESPNTNTTEYKYTPNEANLRRASQRAYGSDSEVLHLNLNADANAVTPYSEHHGETESTRSILSLKMTLGRLMENQDKRHDLSNMEQKFRSAIDDLMEENLEFWLRFSTSVHQIQKFQNSVQDLKAELKMIKERNDKLEGHTNNKQQHMQSELRPIFRHLREIRTELSLWVEHNAVLQDELQGRYSSLSNIQDEISRAANARSGADQAELISKYQAAKFQGEILNMKQENNKVASELQAGLSLVKGMKVDVEKTLDELDEAIGVSNKSAAQIKKSSSRARIPLRSFLFGVKLKKQKNHPSLFACVNPSLQRQHSFNEAQAPI >Vigun04g122100.1.v1.2 pep primary_assembly:ASM411807v1:4:31229364:31231872:-1 gene:Vigun04g122100.v1.2 transcript:Vigun04g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFIALQCYQCSTMQVKQKKSSNKWSCAVCNQKQSVRRVFAQGFMAKDVRKFVQDFNMSRQSFDDGEWPLAETLDPAPEISDGEVSRQKRRNDWSAYLDQEDHHTLEEQQLHHDDDCEKLVVTELQKGMFKKSRVTENNAAGSDKFFKKTLFLNSQEDPVTQRATSMIENNPKRRKNDVTVYDQVTQKFKQTSTEIASKWSRYLNEYDDNSEFGLNKSFNVDKISGPCNNIVLETIANERVEDDIHPDFM >Vigun05g141700.1.v1.2 pep primary_assembly:ASM411807v1:5:17788517:17791170:-1 gene:Vigun05g141700.v1.2 transcript:Vigun05g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEQYPKEFYPNDGMTRRVSSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLESRSACDAGTDMAKKLLVFEVVSFSFFLWSSLVAQGLKLALNLLNSKDTDEAFRAHINLKALRLGMLGSAIGSVMGCLFLVLSMVNVIQIRLGVLSCGSKAAVHAVTAMVVLVSSALVLYISTAIYAFTH >Vigun08g061900.2.v1.2 pep primary_assembly:ASM411807v1:8:8382646:8386712:1 gene:Vigun08g061900.v1.2 transcript:Vigun08g061900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEEASQSQDSWRDHHTWITTQDILREKLITEDSFSWKLSSEGETGYKKGEELRYVGGVDISFSKDDPSKACGTLVVLDFHTLQLLYQDFSFVTLQVPYVPGFLAFREAPVLQQLLEKMKRSGNPFYPQLLMVDGNGILHPRGFGLACHIGVEANLPTIGIGKNLHHVDGLTHSTVRKLLEAEENSSKEFTNLVGCSGRVWGVAMRSTQGSIKPIFISIGHMISLQTAIMIVQKTCKFRVPEPIRQADIRSRDYIRKLEMNGKVT >Vigun08g061900.1.v1.2 pep primary_assembly:ASM411807v1:8:8382646:8386712:1 gene:Vigun08g061900.v1.2 transcript:Vigun08g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEEASQSQDSWRDHHTWITTQDILREKLITEDSFSWKLSSEGETGYKKGEELRYVGGVDISFSKDDPSKACGTLVVLDFHTLQLLYQDFSFVTLQVPYVPGFLAFREAPVLQQLLEKMKRSGNPFYPQVMLFITLRKVHMNLSPLKLARFLLLSTHIAGANLISCTKISDIQNFNRLLLMVDGNGILHPRGFGLACHIGVEANLPTIGIGKNLHHVDGLTHSTVRKLLEAEENSSKEFTNLVGCSGRVWGVAMRSTQGSIKPIFISIGHMISLQTAIMIVQKTCKFRVPEPIRQADIRSRDYIRKLEMNGKVT >Vigun08g061900.3.v1.2 pep primary_assembly:ASM411807v1:8:8382645:8386712:1 gene:Vigun08g061900.v1.2 transcript:Vigun08g061900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEEASQSQDSWRDHHTWITTQDILREKLITEDSFSWKLSSEGETGYKKGEELRYVGGVDISFSKDDPSKACGTLVVLDFHTLQLLYQDFSFVTLQVPYVPGFLAFREAPVLQQLLEKMKRSGNPFYPQLLMVDGNGILHPRGFGLACHIGVEANLPTIGIGKNVSIVDSIIASCGWSYSFNSEKTSRS >Vigun04g092600.2.v1.2 pep primary_assembly:ASM411807v1:4:18019132:18022234:1 gene:Vigun04g092600.v1.2 transcript:Vigun04g092600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNIRNNLSFISIPPSCNSTFQFFLFCSNTPPSSSATPLLSPPRLSSYLLFSFGCSTLCSPNHPFARSSTFRSNIDNGHSRFKLNLHFHTRVHFCTRTCTPYLILATERVSALQFFDWLKGSDPDLCCNPDIGSLFVNNCGLLGNYEAMVPVLRGFSLKRVFLGMKAFGFLLDLGLDKDSSMECVKKIMAVFIEVGGVYQSCGVQLLIEMFGLSGSFEMAEFVIRTDGRKVKNYHVLMRIMCKRGDCKRVEDLIKEMERSGCDINANTFNLLLSCLCKSGKIDEAWQMLEAMEKNYGLTDVHSFDILINTFCKRRQFDLVLKLLDRMTLKGIEPSILAHAAIIKSYFESGKYEEAHAYVIGSADKLSYSSNANYSLLATLHLKNGNVLLASKVLSEMMDKGLKPKFSAYRKIRTHLEKKAEKDLSMELSKRYLSLIEK >Vigun04g092600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:18019132:18022234:1 gene:Vigun04g092600.v1.2 transcript:Vigun04g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNIRNNLSFISIPPSCNSTFQFFLFCSNTPPSSSATPLLSPPRLSSYLLFSFGCSTLCSPNHPFARSSTFRSNIDNGHSRFKLNLHFHTRVHFCTRTCTPYLVRPLATSEQVSQIIALIRDDGDDLGSKLNSMNVSLSDALVVDIFQILATERVSALQFFDWLKGSDPDLCCNPDIGSLFVNNCGLLGNYEAMVPVLRGFSLKRVFLGMKAFGFLLDLGLDKDSSMECVKKIMAVFIEVGGVYQSCGVQLLIEMFGLSGSFEMAEFVIRTDGRKVKNYHVLMRIMCKRGDCKRVEDLIKEMERSGCDINANTFNLLLSCLCKSGKIDEAWQMLEAMEKNYGLTDVHSFDILINTFCKRRQFDLVLKLLDRMTLKGIEPSILAHAAIIKSYFESGKYEEAHAYVIGSADKLSYSSNANYSLLATLHLKNGNVLLASKVLSEMMDKGLKPKFSAYRKIRTHLEKKAEKDLSMELSKRYLSLIEK >Vigun03g284200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46512701:46514848:-1 gene:Vigun03g284200.v1.2 transcript:Vigun03g284200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDIVQGKWNPIQEEEEEDQDQEEEEALSLSDLPINLNDQPRHQEQGSAVNQATQEEFNFRSCGAPFSKQPEMCVADEVFFKGQILPLRVSFSSEAGLLATLSQSQPHYGKHFINESLDFPSNSSSSSSRSSSLRSQNSSTSTTSSSTITTTKTVTTVPLRTKPRIRNQFHMHPSPKPQLRAPTPTQLSSLGNPGRKSTSAWGIFRLGVVPAPEIELQDLKVRNRSNCVSRNSSSNSSSNNSAKSVKRSNRKKGNDGVLKQLVGKGGGLLSGCDCSFQTVQPNNMVMIKGGNGGGKSSNKTESTGHAAKEKVVELKKQRQKQGKKVTSRRRTFEWIKELHASHPGDDDDEEEALLSNA >Vigun07g181350.3.v1.2 pep primary_assembly:ASM411807v1:7:29808527:29811521:1 gene:Vigun07g181350.v1.2 transcript:Vigun07g181350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKQMHQQHLLLAFFTGRSTDSCLGNATILVSGVKYKMANWKQHKAIKGGISACFERVSLSSSQVSVRGNTFCLSQNDIEEHFYIVFFNKSFYLFSFFPSNFVLILIILLSSVLRCKIPTVSC >Vigun07g181350.1.v1.2 pep primary_assembly:ASM411807v1:7:29808525:29811521:1 gene:Vigun07g181350.v1.2 transcript:Vigun07g181350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKQMHQQHLLLAFFTGRSTDSCLGNATILVSGVKYKMANWKQHKAIKGGISACFERVSLSSSQVSVRGNTFCLSQNDIEEHFYIVFFNKSFYLFSFFPSNFVLILIILLSSVLRCKIPTVSC >Vigun07g181350.2.v1.2 pep primary_assembly:ASM411807v1:7:29808436:29811521:1 gene:Vigun07g181350.v1.2 transcript:Vigun07g181350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMKQMHQQHLLLAFFTGRSTDSCLGNATILVSGVKYKMANWKQHKAIKGGISACFERVSLSSSQVSVRGNTFCLSQNDIEEHFYIVFFNKSFYLFSFFPSNFVLILIILLSSVLRCKIPTVSC >Vigun01g107400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27955840:27957959:-1 gene:Vigun01g107400.v1.2 transcript:Vigun01g107400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWLVQCNSSFISFSLAFMRRLYGFGSIMFMVVLTIALMVLPLVLPPLPPPPMILMLVPVVIMLLLVMLAVSSKHDPGVIHLCNFTW >Vigun01g107400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27955839:27957959:-1 gene:Vigun01g107400.v1.2 transcript:Vigun01g107400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWLVQCNSSFISFSLAFMRRLYGFGSIMFMVVLTIALMVLPLVLPPLPPPPMILMLVPVVIMLLLVMLAVSSKHDPGVIHLCNFTW >Vigun05g224200.3.v1.2 pep primary_assembly:ASM411807v1:5:41676117:41680778:-1 gene:Vigun05g224200.v1.2 transcript:Vigun05g224200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMTFQFQERYNFQHERMSGEAIRVERARKQSASYGFKLDGGHVKKQSRLGLPLKASPAKSKEQIHSISEDQMLANYVPVYVMLPLGVVTNENVLEDRMKLEKQLRKLHEAGVDGVMVDVWWGIVESKGPGQYDWSAYRTLFRLVQKCKLKLQVIMSFHQCGGNVGDSVFISLPKWVHKVGEANPDIFYTNCKGIRNKECLSLGVDNEPVFHGRSPIEVYGDYMRSFRDNMKEFLKSQLMVDIEVGLGPAGELRYPSYTQILGWVFPGIGEFQCYDKYLKADFKKAAAEVGHPEWELPDNAGESNDIPESTEFFKSSGTYQTEKGVFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVSGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPVKARSGAEELVKQVLSGGWAENIEVAGENALARYDRKAYDQILSHARPNGDARSGHPTLKMYGVTYLRLSDNLMMQRNFDIFKGFVKKMHANLDYCPDPERYYHFTGPMERSKPRIPLELLLEATKPLGPYPWLKETDVTLSVLTGFLHYILATVLRILRIKVN >Vigun05g224200.2.v1.2 pep primary_assembly:ASM411807v1:5:41676117:41680778:-1 gene:Vigun05g224200.v1.2 transcript:Vigun05g224200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMTFQFQERYNFQHERMSGEAIRVERARKQSASYGFKLDGGHVKKQSRLGLPLKASPAKSKEQIHSISEDQMLANYVPVYVMLPLGVVTNENVLEDRMKLEKQLRKLHEAGVDGVMVDVWWGIVESKGPGQYDWSAYRTLFRLVQKCKLKLQVIMSFHQCGGNVGDSVFISLPKWVHKVGEANPDIFYTNCKGIRNKECLSLGVDNEPVFHGRSPIEVYGDYMRSFRDNMKEFLKSQLMVDIEVGLGPAGELRYPSYTQILGWVFPGIGEFQCYDKYLKADFKKAAAEVGHPEWELPDNAGESNDIPESTEFFKSSGTYQTEKGVFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVSGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPVKARSGAEELVKQVLSGGWAENIEVAGENALARYDRKAYDQILSHARPNGDARSGHPTLKMYGVTYLRLSDNLMMQRNFDIFKGFVKKMHANLDYCPDPERYYHFTGPMERSKPRIPLELLLEATKPLGPYPWLKETDVTLSVLTGFLHYILATVLRILRIKVN >Vigun05g224200.1.v1.2 pep primary_assembly:ASM411807v1:5:41676117:41680778:-1 gene:Vigun05g224200.v1.2 transcript:Vigun05g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVCATQRNMGTRMTFQFQERYNFQHERMSGEAIRVERARKQSASYGFKLDGGHVKKQSRLGLPLKASPAKSKEQIHSISEDQMLANYVPVYVMLPLGVVTNENVLEDRMKLEKQLRKLHEAGVDGVMVDVWWGIVESKGPGQYDWSAYRTLFRLVQKCKLKLQVIMSFHQCGGNVGDSVFISLPKWVHKVGEANPDIFYTNCKGIRNKECLSLGVDNEPVFHGRSPIEVYGDYMRSFRDNMKEFLKSQLMVDIEVGLGPAGELRYPSYTQILGWVFPGIGEFQCYDKYLKADFKKAAAEVGHPEWELPDNAGESNDIPESTEFFKSSGTYQTEKGVFFLTWYSNKLLTHGDDILDEANKVFLGYKVKLAAKVSGIHWWYKTESHAAELTAGYYNLNHRDGYRPIARMLSRHNAILNFTCLEMKNDEQPVKARSGAEELVKQVLSGGWAENIEVAGENALARYDRKAYDQILSHARPNGDARSGHPTLKMYGVTYLRLSDNLMMQRNFDIFKGFVKKMHANLDYCPDPERYYHFTGPMERSKPRIPLELLLEATKPLGPYPWLKETDVTLSVLTGFLHYILATVLRILRIKVN >Vigun08g178766.1.v1.2 pep primary_assembly:ASM411807v1:8:34854717:34855925:-1 gene:Vigun08g178766.v1.2 transcript:Vigun08g178766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGVTLWCVWLFLLEVSLAGVRKYKFDVEYINGEPYCVKQVVIGINGHFPGPTITAEEGDTLHIILTNKLSTQGIVLHWHGIRQYGTPWADGTASISQCAIAPGQTFHYRFTAERAGAYFYHGHDGFQKLEGVNGALIVRSREKKEAFDYDGEFNLLLSDWWQKNTHQPQVNQTMHAYNYL >Vigun05g192700.2.v1.2 pep primary_assembly:ASM411807v1:5:37427856:37432873:-1 gene:Vigun05g192700.v1.2 transcript:Vigun05g192700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSFGTACILRRAAQTFAVSHSHIFNSNATFSFQTKFSSHILSVSTCSASGVSQAVQALKGEVDVLIKGVGERSVAKEVKHILEMARRASSKRETLHTDFLTPPVLKESMQVLEKLADVKAIAQGGYPQAERCRISVGHPEELTSDPDIISALSIKGNFQFEPCSHGDFLGSVLGTGIVREKLGDIILQGEQGAQIIVVPELVEFLMSTLVKVRNVPVTCTKIPLISLDYEPPRTKSFKTIEASLRVDALASAGFKISRSKLVDMISNGDVRVNWIPVTTKGTTLKSGDIVSVSGKGRLKVK >Vigun05g192700.1.v1.2 pep primary_assembly:ASM411807v1:5:37427856:37432873:-1 gene:Vigun05g192700.v1.2 transcript:Vigun05g192700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSFGTACILRRAAQTFAVSHSHIFNSNATFSFQTKFSSHILSVSTCSASGVSQAVQALKGEVDVLIKGVGERSVAKEVKHILEMARRASSKRETLHTDFLTPPVLKESMQVLEKLADVKAIAQGGYPQAERCRISVGHPEELTSDPDIISALSIKGNFQFEPCSHGDFLGSVLGTGIVREKLGDIILQGEQGAQIIVVPELVEFLMSTLVKVRNVPVTCTKIPLISLDYEPPRTKSFKTIEASLRVDALASAGFKISRSKLVDMISNGDVRVNWIPVTTKGTTLKSGDIVSVSGKGRLKIGEINSTKKGKFAVELIRYL >Vigun11g089900.1.v1.2 pep primary_assembly:ASM411807v1:11:27033057:27033384:1 gene:Vigun11g089900.v1.2 transcript:Vigun11g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLELKQTMEMYSNAYKEALTAQQKVVELQRWKLEEERRLEEARLAKEVALAVAEKEKARSKAAIETAEAQKTIAKLES >Vigun05g233800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42737902:42739293:1 gene:Vigun05g233800.v1.2 transcript:Vigun05g233800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKSRGSELKVANRPTSPVFSSSSSGEWPEFSSSSSCSSVSYSPKFATEEEEKVHGENGEETKAMDLVGCPRCFMFVMLSEEDPKCPKCKSTVFLEFFKHESIKTDN >Vigun03g178500.1.v1.2 pep primary_assembly:ASM411807v1:3:22346415:22346690:1 gene:Vigun03g178500.v1.2 transcript:Vigun03g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAVGLYMVAVERQTQNRARMLAEELRATESGGSNGEDS >Vigun11g096800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28267829:28269072:1 gene:Vigun11g096800.v1.2 transcript:Vigun11g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGEDHASQLLRAQTRVWNHIFKFIKSLSLKCAIEWGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISHLMKILTHSGFFSEHHVTPNEPEVMYVLTDVSTLLLKDHPFSMRYLPQLIFDPVMINPWLQFSTWFRNEDPTPFHSENGMGVWDFAGREPKFNHLFNKCMAMDSRLVSTVLIEKYKWLLEGIESLVDVGGGTGTMFKVIVESFPQLKCIVFDLPHVVAGLEETENINMLEGTCLKQFLMLIPSY >Vigun04g199200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42352935:42353771:1 gene:Vigun04g199200.v1.2 transcript:Vigun04g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSISKIMRTFCWLLILSLQLKGSESNDQLLPKENSVHYKVTVQITNKLHTKLGFQQLNLHCKDKFHDLGPVTLKFGETYSFRFAPNIFISTTLYFCRFVWLGGDHRFDIYVQKRDYYCHHALCYWEIFDGGPCGTIKYESNSSVCFLWDRALA >Vigun02g148900.2.v1.2 pep primary_assembly:ASM411807v1:2:29639835:29642258:-1 gene:Vigun02g148900.v1.2 transcript:Vigun02g148900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLRPLEMCFGGGGSDQTLLWDTDLKPHASGNYSIAVVQANSSLEDQAQVFTSSSATFVGVYDGHGGPQASRFITNHLFSFLRTEEGGLSEEVIKKAFAVTEEEFLRFVKQSWISRPQMASVGSCCLLGAISKDVLYVANLGDSRAVLGRRASEGEANCGGVVAERLSTDHNVGVEEVRKEVEALHPDDAHIVVCTRGVWRIKGIIQVSRSIGDAYLKKPNFDDNPLFQQFACPLYLKRPVMTAEPSILMRKLKANDLFLVFASDGLWEHLTDEEVVQIVSTNPRTGIAKRVVTVALEEAAKKRDMRYDELKKVEKGLRRHFHDDITVIVLYLDHSKESINGRSRHKGDYGCINTPIDIFSQSANDFDL >Vigun02g148900.1.v1.2 pep primary_assembly:ASM411807v1:2:29639835:29642258:-1 gene:Vigun02g148900.v1.2 transcript:Vigun02g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLRPLEMCFGGGGSDQTLLWDTDLKPHASGNYSIAVVQANSSLEDQAQVFTSSSATFVGVYDGHGGPQASRFITNHLFSFLRKFTAEEGGLSEEVIKKAFAVTEEEFLRFVKQSWISRPQMASVGSCCLLGAISKDVLYVANLGDSRAVLGRRASEGEANCGGVVAERLSTDHNVGVEEVRKEVEALHPDDAHIVVCTRGVWRIKGIIQVSRSIGDAYLKKPNFDDNPLFQQFACPLYLKRPVMTAEPSILMRKLKANDLFLVFASDGLWEHLTDEEVVQIVSTNPRTGIAKRVVTVALEEAAKKRDMRYDELKKVEKGLRRHFHDDITVIVLYLDHSKESINGRSRHKGDYGCINTPIDIFSQSANDFDL >Vigun03g156700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16839808:16849333:-1 gene:Vigun03g156700.v1.2 transcript:Vigun03g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMQLSQKFYDAFKLCGTSLKSPPIARKLHAQLIRSGLDASLFLLNNLLHMYSNCAMLEDAFCVFRQTSHRNTFTWNTMLHALVHSDRMHEAEKLFDEMPTRMRDSVSWTTMISGYCQNGLPAHSIKTYISMLRDSDHEIQNCDPFSYTCTMKACACLASTQLALQLHAHLLKLHLEAHTCIQNALVDMYIKCRAIRLAEVVFLDIESPSLFCWNSMIYGYSQLYGPVEALRTFNQMPEHDNVSWNMLISMFSQHGLAVRCLSMFMEMCSLGFKPNFMTYGSVLSACANISSLEWGAHLHARILRMEHSLDLYLGNGLIDMYAKCGCLELARRVFDRVGERNQVSWTCLIAGVAQFGLGEDAFTLFNQMRLDFVVLDDFTLATILGVCSGQKYAAPGKLIHGYSIKSGMESSVPVGNAIIRMYAKCGDAEKARLAFRLMPLRDIISWTTMINVFSQDGDIDMARQCFDTMTERNVITWNSMLSTYVQHGFTEEGMKLYVLMRSKAVKPDWVTFATSIRACADLAIVKLGTQVICHAMKFGLSSDVSVANSIITMYSRCGQIKEAQKVFDSIHVKSLISWNAMMAAFAQNGIGQKVIETFEVMLRTGCKPDHISYVAVLSGCSHIGLVAEGKHYYDSMTQEFGISPRNEHFACMVDLLGRAGLLDQAKNLIDGMPFKPNATVWSALLGACRIHHNSRLAETAVKQLMELNVEDSGGYVLLANIYAETGELENVADMRKLMKVKGIRKSPGCSWIEVDNGVHVFTVDDSNHPQIKEVYIKLDEMMKKVEDTGKYVSVVSSTHRSKKYHSEKLAFAFGLLSLPSWMPIHVMKNLRVCNDCHLVIKLLSLVTSRELIMRDRYRFHHFKDGFCSCGDYW >Vigun09g129000.1.v1.2 pep primary_assembly:ASM411807v1:9:28600295:28604244:1 gene:Vigun09g129000.v1.2 transcript:Vigun09g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAVQAFRFSVMLHSTKPERTGPNDSVRMFGGFKKQLFVSSTFHPPRPSTRIQRKKKSLVVACSHNNIPARTLESETFHAPVDEKLILKNKSQEIETYLNGRCIYLVGMMGSGKTTVGKILSQALSYAFFDSDALVEEEVDGTSVADIFKHYGETFFRNKETEILRKLSMMHRHVISTGGGAVVRPINWKYMYQGISVWLDVPVEALAQRITAVGTDSRPLLHYEPGDAYTKTFMRLSALLEERSEAYANANARVSLENMVAKLGQRDVSDLSPTAIAMEALEQIKGFLMSEDGC >Vigun09g129000.2.v1.2 pep primary_assembly:ASM411807v1:9:28600295:28604244:1 gene:Vigun09g129000.v1.2 transcript:Vigun09g129000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAVQAFRFSVMLHSTKPERTGPNDSVRMFGGFKKQLFVSSTFHPPRPSTRIQRKKKSLVVACSHNNIPARTLESETFHAPVDEKLILKNKSQEIETYLNGRCIYLVGMMGSGKTTVGKILSQALSYAFFDSDALVEEEVDGTSVADIFKHYGETFFRNKETEILRKLSMMHRHVISTGGGAVVRPINWKYMYQGISVWLDVPVEALAQRITAVGTDSRPLLHYEPGDAYTKKEVKHMQMPMPGSPWKIW >Vigun11g209400.2.v1.2 pep primary_assembly:ASM411807v1:11:40519302:40523305:-1 gene:Vigun11g209400.v1.2 transcript:Vigun11g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTEEERKGYKEVTWDDKEVCGFYMVRFCPHDLFVNTRSDLGACPRIHDPKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPPPLTAEKSEQLSVVEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNAEKTALSQPQNDKVLMLGQEKKMALCEICGSFLVANDAAERTQSHVTGKQHVGYGMVRDFITEYKAAKEKANDEEKLAREKEAEERRKQREKEHERRRRSDSSDRDRHRDKDHNRERDRYRDRDSERERSREHDVRGYRDGGRGVDYRLRNGRNGGRDRYRDRSRSRSPVKHSYRRSS >Vigun11g209400.4.v1.2 pep primary_assembly:ASM411807v1:11:40519302:40523305:-1 gene:Vigun11g209400.v1.2 transcript:Vigun11g209400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTEEERKGYKEVTWDDKEVCGFYMVRFCPHDLFVNTRSDLGACPRIHDPKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPPPLTAEKSEQLSVVEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNAEKTALSQPQNDKVLMLGQEKKMALCEICGSFLVANDAAERTQSHVTGKQHVGYGMVRDFITEYKAAKEKANDEEKLAREKEAEERRKQREKEHERRRRSDSSDRDRHRDKDHNRERDRYRDRDSERERSREHDVRGYRDGGRGVDYRLRNGRNGGRDRYRDRSRSRSPVKHSYRRSS >Vigun11g209400.3.v1.2 pep primary_assembly:ASM411807v1:11:40519453:40523285:-1 gene:Vigun11g209400.v1.2 transcript:Vigun11g209400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTEEERKGYKEVTWDDKEVCGFYMVRFCPHDLFVNTRSDLGACPRIHDPKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPPPLTAEKSEQLSVVEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNAEKTALSQPQNDKVLMLGQEKKMALCEICGSFLVANDAAERTQSHVTGKQHVGYGMVRDFITEYKAAKEKANDEEKLAREKEAEERRKQREKEHERRRRSDSSDRDRHRDKDHNRERDRYRDRDSERERSREHDVRGYRDGGRGVDYRLRNGRNGGRDRYRDRSRSRSPVKHSYRRSS >Vigun08g177450.1.v1.2 pep primary_assembly:ASM411807v1:8:34749081:34754685:-1 gene:Vigun08g177450.v1.2 transcript:Vigun08g177450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGEGSDHQAMTDDGNVEHIGGGGVNKAENNSISHVEVGASEPYVGREFVSEDAAKTFYSEYARRVGFSCKAAQGRSKADGENMYCEFFCGREDLKRKPADSCNAMIRIEKRDQNKWVVTQFVKEHSHSMASLSKVHSIRPRKHFSSVGRTMPETYQGVGLVPSGVMYVSMDKNCIPTKNIHGIRNIPAVATIAETVHPVKSPSMMNYAVRPPIQKRTLGRDAHNLLEYFKKMQAENPGFFYAIQLDDDNHMSNVFWADARSRTAYGHFGDTVTMDTTYRINQYRVPFALFTGVNHHGQMILFGCALLLDDSEASFIWLFKTFLTAMNDRYPVSITTDQDRAIQTAISQIFPQTRHCISKWHVLREGHEKLAYVCNMHPNFQIELYNCINLTETVEEFDSSWNFIMNKYDLTKNDWLQSLYNARAQWVPAYFRDSFFAVISPNHGIDGSFFYGFANHQATLPVFFRQYEQALESWFEKELETDYETICTTPVPRTPSPMEKQAANLYTRKIFSKFQEELVETFAYTANRIEEDGESSIFRVAKFDDDQKAYVVTLNLSELRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTKNAKISAGSVEHASESHVHESLASRYSNLCWEAIKYAEEGAMTVETHDTAIGALRESAKKISFMKRSVAKVSPPSHPVSGIAYDDRKSPTSTADTNPLLWPQQDELTKRFNLNDASTPVQSVADLNLPRMTPMSLQRDDGTPENMVVYPCLKSLTWVMENKTSTPGNRVAVINLKLQDYSRNPSTESEVKFNLSKVTLEPLFNHMVNIRDQLSAPTRKFAVLNLKLPVADTNTGVCEVKFQVSKETLVAVLRSMDYIREQLSVPGDAQPEAAISKRPRK >Vigun03g308100.1.v1.2 pep primary_assembly:ASM411807v1:3:49978363:49992277:-1 gene:Vigun03g308100.v1.2 transcript:Vigun03g308100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDSDSSSSSYGADYKSLKQVSRERLLHEMLRSAKTGDSKSTWKVLIMDKLTVKIMSHSCKMADITDEGVSLVEDIYKRRQPLPAMDAIYFIQPTRENIIMFLSDMSGRKPLYRKAFVFFSSPIARELVMEIKKDAQVLPRIGALREMNLEYFTIDTQGFITNNERALEELFGDEENNRKAVACLNVMATRIATVFASLREFPFVRFRAAKSLDATTMTTFHDLIPTKLAAGVWDCLMKYKKTIPNFPQTETCELLIIDRTIDQIAPVIHEWTYDAMCHDLLNMEGNKFVHEVPSKTGGPPERKEVLLEDHDPIWVELRHAHIADASERLHEKMTSFISKNKAAQIQHGSRGSGEMSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRESGLRELGQLEQDLVFGDAGMKEVIKFLTSNEDTTRENKLRLLMILASIYPEKFEGEKGLNLIKVAKLTDDDAIAINNLRMLEGEPETKKTLMSSFPLNFDMHKKKRAARKDRSGEEETWQLSRFYPIVEELIEKVSKNELSKLDYPCLNDPSPTFHGTSLAGPITHNPPAHSMRSRRTPTWAKPRGSDDDGYSSDPVLRHASSDFKKMGQRIFIFIVGGATRSELRTCHKLTGKLRREVILGSSSIDDPAQYITKLKMLTAQEPSLDDLHI >Vigun03g398800.2.v1.2 pep primary_assembly:ASM411807v1:3:60535630:60540461:1 gene:Vigun03g398800.v1.2 transcript:Vigun03g398800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFNGLRGKEISLISIVLMSATIIFWSWEKMPGLNTFLPPETPPLQFSSDHLVTTHSVEVLSPDLRLGEDDSLLAKTKATNEYKEELHLEGVSQTIPSEISSGKNNGEDGSPLLPKEKTANEPENLHLEGASQKIPAQTSYEGEDGSSLTKENTANEGKKLHLEGASQVVPAQTSSGKSMSEDGPPLAKEKTTDDPEKVHLKGASLMVPAQTSSGKSMGEDGQSLAKEKTTNEAKEEKTLKQEQEHRKDSVTNSPQTSSSIVGKDNKWENSTESKVCNYARGKWVPDKNRPLYSGFGCKQWLSTMWACRLMQRTDFEYERLRWQPKDCQMEEFEGSKFLRRMRNKTLAFVGDSLGRQQFQSLMCMISGGKDKLHVEDVGREYGLTIADGSARPNGWAFRFSSTNTTILYYWSAILCDVEPIDINNPNTDYAMHLDRPPAFLRQYLHKFDVLVLNTGHHWNRGKLNANRWVMHVGGVPNTDKKIAVIWGAKNLTIHSIVSWVNSQLPKYPGLKAFFRTISPRHFFGGDWNTGGSCDNTKPMSVGKEILEEESSDQGAAHAVKGTGVRLLDITAVSQLRDEAHISRFSITAKPGVQDCLHWCLPGVPDTWNEILFAQI >Vigun03g398800.1.v1.2 pep primary_assembly:ASM411807v1:3:60535630:60540461:1 gene:Vigun03g398800.v1.2 transcript:Vigun03g398800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPNRMKVGFNGLRGKEISLISIVLMSATIIFWSWEKMPGLNTFLPPETPPLQFSSDHLVTTHSVEVLSPDLRLGEDDSLLAKTKATNEYKEELHLEGVSQTIPSEISSGKNNGEDGSPLLPKEKTANEPENLHLEGASQKIPAQTSYEGEDGSSLTKENTANEGKKLHLEGASQVVPAQTSSGKSMSEDGPPLAKEKTTDDPEKVHLKGASLMVPAQTSSGKSMGEDGQSLAKEKTTNEAKEEKTLKQEQEHRKDSVTNSPQTSSSIVGKDNKWENSTESKVCNYARGKWVPDKNRPLYSGFGCKQWLSTMWACRLMQRTDFEYERLRWQPKDCQMEEFEGSKFLRRMRNKTLAFVGDSLGRQQFQSLMCMISGGKDKLHVEDVGREYGLTIADGSARPNGWAFRFSSTNTTILYYWSAILCDVEPIDINNPNTDYAMHLDRPPAFLRQYLHKFDVLVLNTGHHWNRGKLNANRWVMHVGGVPNTDKKIAVIWGAKNLTIHSIVSWVNSQLPKYPGLKAFFRTISPRHFFGGDWNTGGSCDNTKPMSVGKEILEEESSDQGAAHAVKGTGVRLLDITAVSQLRDEAHISRFSITAKPGVQDCLHWCLPGVPDTWNEILFAQI >Vigun03g398800.3.v1.2 pep primary_assembly:ASM411807v1:3:60535630:60540461:1 gene:Vigun03g398800.v1.2 transcript:Vigun03g398800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGPPLAKEKTTDDPEKVHLKGASLMVPAQTSSGKSMGEDGQSLAKEKTTNEAKEEKTLKQEQEHRKDSVTNSPQTSSSIVGKDNKWENSTESKVCNYARGKWVPDKNRPLYSGFGCKQWLSTMWACRLMQRTDFEYERLRWQPKDCQMEEFEGSKFLRRMRNKTLAFVGDSLGRQQFQSLMCMISGGKDKLHVEDVGREYGLTIADGSARPNGWAFRFSSTNTTILYYWSAILCDVEPIDINNPNTDYAMHLDRPPAFLRQYLHKFDVLVLNTGHHWNRGKLNANRWVMHVGGVPNTDKKIAVIWGAKNLTIHSIVSWVNSQLPKYPGLKAFFRTISPRHFFGGDWNTGGSCDNTKPMSVGKEILEEESSDQGAAHAVKGTGVRLLDITAVSQLRDEAHISRFSITAKPGVQDCLHWCLPGVPDTWNEILFAQI >Vigun10g153000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37291042:37293260:1 gene:Vigun10g153000.v1.2 transcript:Vigun10g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLTRPSLLTLPSRMPPSPSHHHATTRSPPAASSATSSPFTPPRAKRCRTRSPPSLLQRAREPPLIASCPPNTSHSLASFPCRQSHRRRSQRYQKRRRPPLHSQLPILLLARDRGCTHPLRGLEDSPAGDRRQRFQPPLTSAPAPSSPSSSSARKRGMRGLCNSSPPCQPP >Vigun08g059700.1.v1.2 pep primary_assembly:ASM411807v1:8:7870196:7870581:-1 gene:Vigun08g059700.v1.2 transcript:Vigun08g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRFMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun09g139100.3.v1.2 pep primary_assembly:ASM411807v1:9:30084723:30086532:1 gene:Vigun09g139100.v1.2 transcript:Vigun09g139100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIVKDEVRKAVSTNPGFAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGYEVIDHAKAKLEAVCPGIVSCADILAFAARDSVEFAGGLGYDVPAGRRDGRISLASDTRTELPPPTFNVNQLTQLFARKGLTQDEMVTLSGAHTIGRSHCSSFSNRLYNFSASSRQDPSLDPSYAALLKRQCPQGSTNPNLVVPMNPSSPGIADVGYYVDILSNRGLFTSDQTLLTDAETASQVEQNARDSYQWASKFADAMVKMGQISVLTGNAGEIRTNCRVVSS >Vigun09g139100.1.v1.2 pep primary_assembly:ASM411807v1:9:30084923:30086532:1 gene:Vigun09g139100.v1.2 transcript:Vigun09g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMLKCITIVSVIYLLNQNAHCELQVGFYSYSCGMAEFIVKDEVRKAVSTNPGFAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGYEVIDHAKAKLEAVCPGIVSCADILAFAARDSVEFAGGLGYDVPAGRRDGRISLASDTRTELPPPTFNVNQLTQLFARKGLTQDEMVTLSGAHTIGRSHCSSFSNRLYNFSASSRQDPSLDPSYAALLKRQCPQGSTNPNLVVPMNPSSPGIADVGYYVDILSNRGLFTSDQTLLTDAETASQVEQNARDSYQWASKFADAMVKMGQISVLTGNAGEIRTNCRVVSS >Vigun09g139100.2.v1.2 pep primary_assembly:ASM411807v1:9:30084923:30086532:1 gene:Vigun09g139100.v1.2 transcript:Vigun09g139100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTMLKCITIVSVIYLLNQNAHCELQVGFYSYSCGMAEFIVKDEVRKAVSTNPGFAAGLVRMHFHDCFIRGCDASVLLDSTPSNTAEKDSPANKPSLRGYEVIDHAKAKLEAVCPGIVSCADILAFAARDSVEFVSAGGLGYDVPAGRRDGRISLASDTRTELPPPTFNVNQLTQLFARKGLTQDEMVTLSGAHTIGRSHCSSFSNRLYNFSASSRQDPSLDPSYAALLKRQCPQGSTNPNLVVPMNPSSPGIADVGYYVDILSNRGLFTSDQTLLTDAETASQVEQNARDSYQWASKFADAMVKMGQISVLTGNAGEIRTNCRVVSS >Vigun09g193200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36814513:36816207:1 gene:Vigun09g193200.v1.2 transcript:Vigun09g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGKDTLLNLMETEKPEPPQSPLHLHLQTHKEISEQDLQFSPPRPSSSDGMFPIMPDSPWTLSPLPTPSSSLLYHCIASLHRHEGNIYAIAASKGLVFTGSNSSRIRVWKQPDCMDRGYLKASSGEIRAILAYSNMLFSTHKDHKIRIWTFTVSDSFKSKKLGTLPRKTSILIFPSRGKNTPKHKDSVSCMAYYHSEGLLYTGSHDRTVKAWRVSDRKCVDSFGAHEDNVNAILVNQDDGCLFTGSSDGSVKIWRRVYTEDSHTLTMTLKFQPSPVNALALSCSFNHCFLYSGSSDGMINFWEKERLCYRFNHGGFLQGHRFAVLCLATVGNMIFSGSEDTTIRVWRREEGSCYHECLTVLDGHRGPVRCLAACLEMEKVVMGFLVYSASLDQTFKVWRIKVLPDEKMCMDYSEQCEAPRVKIRDYDMSPVLSPSWVEKKLQGSYFQ >Vigun11g141200.3.v1.2 pep primary_assembly:ASM411807v1:11:35067686:35071783:1 gene:Vigun11g141200.v1.2 transcript:Vigun11g141200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRTSTSSRSGECLEGMLSDYVGGRNKVKAQKGSSTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPEFTWATKIAQQWKQLMITPHVVGHYQEAASSLVMEGVLPTNPSQVCENEKIDFQQKKSNDVQMIKIKRELYDEVLNFQSKTFGTETLQELMAMKSKWDLKGPNSPKITVLLNHFKRKTLCAQLDSLLQQTLPFHHAWVLSFGSPNEASLRRIVETYNDSRISFISSSYDFKYYGRFQMALQTEADLVYVIDDDMIPGRKMLQILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLVKTLFTETPFTFSTGEDLHLSYQLQKYKNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWKALSTGYVTQWAAMYPQKVDALFYAHSVDEVKVLAPLLEKFRSTVGKKAYIVVSGGSFCTCEDVATVLKWPTMVCKERRFKIFDLAIGSLSGVSDSEAPVIHAVYTSMKGLIKIHNPSVVITVADINPHVRKALKMASETNSNATTLVLLPRASVSQVLWMADLRSTALPNWNRMRVSVNIITQNRANSLTRLLKSLSNAYYLGDEIPITFNMDSRVDEETIRLVGSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALMAYHYDPQVSLPELSSISLYTPKLVEVVKERPKWNATEFFKHIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPQQASFSTNHMEPGAHISAKDNVVKHNKQDFEVPLLKEDFRNLLPAMKMPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCNNATEVVAVDQHTGLPRTCSKF >Vigun11g141200.4.v1.2 pep primary_assembly:ASM411807v1:11:35066878:35071783:1 gene:Vigun11g141200.v1.2 transcript:Vigun11g141200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRTSTSSRSGECLEGMLSDYVGGRNKVKAQKGSSTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPEFTWATKIAQQWKQLMITPHVVGHYQEAASSLVMEGVLPTNPSQVCENEKIDFQQKKSNDVQMIKIKRELYDEVLNFQSKTFGTETLQELMAMKSKWDLKGPNSPKITVLLNHFKRKTLCAQLDSLLQQTLPFHHAWVLSFGSPNEASLRRIVETYNDSRISFISSSYDFKYYGRFQMALQTEADLVYVIDDDMIPGRKMLQILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLVKTLFTETPFTFSTGEDLHLSYQLQKYKNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWKALSTGYVTQWAAMYPQKVDALFYAHSVDEVKVLAPLLEKFRSTVGKKAYIVVSGGSFCTCEDVATVLKWPTMVCKERRFKIFDLAIGSLSGVSDSEAPVIHAVYTSMKGLIKIHNPSVVITVADINPHVRKALKMASETNSNATTLVLLPRASVSQVLWMADLRSTALPNWNRMRVSVNIITQNRANSLTRLLKSLSNAYYLGDEIPITFNMDSRVDEETIRLVGSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALMAYHYDPQVSLPELSSISLYTPKLVEVVKERPKWNATEFFKHIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPQQASFSTNHMEPGAHISAKDNVVKHNKQDFEVPLLKEDFRNLLPAMKMPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCNNATEVVAVDQHTGLPRTCSKF >Vigun11g141200.1.v1.2 pep primary_assembly:ASM411807v1:11:35067056:35071783:1 gene:Vigun11g141200.v1.2 transcript:Vigun11g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDIEASKMGFGRTSTSSRSGECLEGMLSDYVGGRNKVKAQKGSSTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPEFTWATKIAQQWKQLMITPHVVGHYQEAASSLVMEGVLPTNPSQVCENEKIDFQQKKSNDVQMIKIKRELYDEVLNFQSKTFGTETLQELMAMKSKWDLKGPNSPKITVLLNHFKRKTLCAQLDSLLQQTLPFHHAWVLSFGSPNEASLRRIVETYNDSRISFISSSYDFKYYGRFQMALQTEADLVYVIDDDMIPGRKMLQILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLVKTLFTETPFTFSTGEDLHLSYQLQKYKNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWKALSTGYVTQWAAMYPQKVDALFYAHSVDEVKVLAPLLEKFRSTVGKKAYIVVSGGSFCTCEDVATVLKWPTMVCKERRFKIFDLAIGSLSGVSDSEAPVIHAVYTSMKGLIKIHNPSVVITVADINPHVRKALKMASETNSNATTLVLLPRASVSQVLWMADLRSTALPNWNRMRVSVNIITQNRANSLTRLLKSLSNAYYLGDEIPITFNMDSRVDEETIRLVGSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALMAYHYDPQVSLPELSSISLYTPKLVEVVKERPKWNATEFFKHIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPQQASFSTNHMEPGAHISAKDNVVKHNKQDFEVPLLKEDFRNLLPAMKMPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCNNATEVVAVDQHTGLPRTCSKF >Vigun11g141200.2.v1.2 pep primary_assembly:ASM411807v1:11:35067056:35071783:1 gene:Vigun11g141200.v1.2 transcript:Vigun11g141200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRTSTSSRSGECLEGMLSDYVGGRNKVKAQKGSSTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPEFTWATKIAQQWKQLMITPHVVGHYQEAASSLVMEGVLPTNPSQVCENEKIDFQQKKSNDVQMIKIKRELYDEVLNFQSKTFGTETLQELMAMKSKWDLKGPNSPKITVLLNHFKRKTLCAQLDSLLQQTLPFHHAWVLSFGSPNEASLRRIVETYNDSRISFISSSYDFKYYGRFQMALQTEADLVYVIDDDMIPGRKMLQILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLVKTLFTETPFTFSTGEDLHLSYQLQKYKNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWKALSTGYVTQWAAMYPQKVDALFYAHSVDEVKVLAPLLEKFRSTVGKKAYIVVSGGSFCTCEDVATVLKWPTMVCKERRFKIFDLAIGSLSGVSDSEAPVIHAVYTSMKGLIKIHNPSVVITVADINPHVRKALKMASETNSNATTLVLLPRASVSQVLWMADLRSTALPNWNRMRVSVNIITQNRANSLTRLLKSLSNAYYLGDEIPITFNMDSRVDEETIRLVGSFEWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALMAYHYDPQVSLPELSSISLYTPKLVEVVKERPKWNATEFFKHIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPQQASFSTNHMEPGAHISAKDNVVKHNKQDFEVPLLKEDFRNLLPAMKMPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCNNATEVVAVDQHTGLPRTCSKF >Vigun03g001000.1.v1.2 pep primary_assembly:ASM411807v1:3:90516:93546:1 gene:Vigun03g001000.v1.2 transcript:Vigun03g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRIDTLLNNSSLPSSQPFSCAQNATTATNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPLVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSSHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNVGRLGPETQAVQAAAVCLSRTASSPSSENNFSTGAPLQIGVIVPKPPETCTVVEPALFSNTTTAQTNNDSKVNKLQPNLELQLSTASGTGNKSEGNPCAQLQLSIGSLEKGCMREKWLGERVEDEAREQLRMAVAEKAYAEEARKHAKRQMEQAELEFTNAKRIRQQALAELDKACALKDHAIKQINSTMLQITCLACKHHFQTAPSDHTSFVFSYITRDAAQPGKHRLKAIN >Vigun03g001000.2.v1.2 pep primary_assembly:ASM411807v1:3:90516:93546:1 gene:Vigun03g001000.v1.2 transcript:Vigun03g001000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKRETPLVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSSHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNVGRLGPETQAVQAAAVCLSRTASSPSSENNFSTGAPLQIGVIVPKPPETCTVVEPALFSNTTTAQTNNDSKVNKLQPNLELQLSTASGTGNKSEGNPCAQLQLSIGSLEKGCMREKWLGERVEDEAREQLRMAVAEKAYAEEARKHAKRQMEQAELEFTNAKRIRQQALAELDKACALKDHAIKQINSTMLQITCLACKHHFQTAPSDHTSFVFSYITRDAAQPGKHRLKAIN >Vigun04g180100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40417020:40419024:-1 gene:Vigun04g180100.v1.2 transcript:Vigun04g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAPFPRLLLHNVSCMRNAQQVLRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFSRPSAGQILWNGHDIQQSAIFHQYKLQLNWLSLKDAINDKFTVLNNVQWFEVLENKEGKAMAAVELMGLGRLANEKPRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKHGGIVIVATHLHIEIEDSMVLKLPPRFPRRMTHVDMLDRADIT >VigunL037602.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000424.1:58713:59414:-1 gene:VigunL037602.v1.2 transcript:VigunL037602.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFETFLTLFANIWLGLGFINSITFIFLVGVFLSLWLGASVLGLGEWFIKRMPLVHLIDWKRIGSFYMCPFLHFISNFYSHF >Vigun03g136300.2.v1.2 pep primary_assembly:ASM411807v1:3:13352686:13354633:1 gene:Vigun03g136300.v1.2 transcript:Vigun03g136300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDRKVAQELKPASLMVLSQVATAAVNVLYKLAINDGMNIMVLTSYRHIFGAAFSLSLALLIERKRPKLTWRVLFMAFFSGLFGGTLAQNLYFIGLAWVSATFATSLYNLVPLVTFIFSVLFGLEKLSLRTTSGRVKVLGPIIGIVGSMVLTFYRGTEIKIWTFHTTLLHKNQKGHLGSSNLDSGRRWVGVLCGLGSVVSFSSWLIIQTKMNKEYPSHFSSSALMSLMGAIQATVFALCVEKDWSQWRELWYLELLLLSLLGV >Vigun03g136300.1.v1.2 pep primary_assembly:ASM411807v1:3:13352686:13354633:1 gene:Vigun03g136300.v1.2 transcript:Vigun03g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDRKVAQELKPASLMVLSQVATAAVNVLYKLAINDGMNIMVLTSYRHIFGAAFSLSLALLIERKRPKLTWRVLFMAFFSGLFGGTLAQNLYFIGLAWVSATFATSLYNLVPLVTFIFSVLFGLEKLSLRTTSGRVKVLGPIIGIVGSMVLTFYRGTEIKIWTFHTTLLHKNQKGHLGSSNLDSGRRWVGVLCGLGSVVSFSSWLIIQTKMNKEYPSHFSSSALMSLMGAIQATVFALCVEKDWSQWRLGWSIRLLTAAFSGIVVSGVVVIVTAWCVGVKGPLYASVFNPLSLVIVAFFAPLLLEENLYLGSVIGAVLIVCGLYMVLWGKSKEIKTSSEKTKHLEASSGVQITNNC >Vigun03g450600.2.v1.2 pep primary_assembly:ASM411807v1:3:65194391:65198951:-1 gene:Vigun03g450600.v1.2 transcript:Vigun03g450600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDPDTTSPSYELEKGLALFGSDEELATQIPTQVQSVVEGSGSIRVSEFKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQPPESQELLSKVKNVIEKPHNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >Vigun03g450600.1.v1.2 pep primary_assembly:ASM411807v1:3:65194391:65198951:-1 gene:Vigun03g450600.v1.2 transcript:Vigun03g450600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVTLTLNLCPSSSIVTASHLSPHCYSFKSIPNPNINAFFSFSLSLSLFHHGRVGTCKKLVPKPFPSRRTWLYGHMNRDPDTTSPSYELEKGLALFGSDEELATQIPTQVQSVVEGSGSIRVSEFKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQPPESQELLSKVKNVIEKPHNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >Vigun09g056800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5704706:5705450:1 gene:Vigun09g056800.v1.2 transcript:Vigun09g056800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQSTYCLCFSKKIRLSGMADTRYMVYVCLITFFVMLQWHLTVAEECALDTETKKHVSHQDVPVIERELLEDLDFSHHHRQLAGSDKDEKKKKDKKKKKKKKKGKDDDDDDDDDDDDESSAKQSTISVSKATSIFCTSILLGFLLM >Vigun06g116000.2.v1.2 pep primary_assembly:ASM411807v1:6:24396746:24400915:1 gene:Vigun06g116000.v1.2 transcript:Vigun06g116000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFLSMYIHGYASLCRKCFGASEEFTVVRGVKGLCIDCWKLMEIVEQNLDHDSNGNKIALNDRETYECLFKEYWEIIKVEERLTSEHVFAAQPDFRTCKPFQHHKENSKGEEKKVQNELKEGSRSDAIFAESPDYKKFESLLHHKKVSEVEEAQNEVKEGFTGDVTYVAHADYEKFKSFKHHKKISKVEEEEEESEDEEESEEEESEEEEEDDEEEESDEGNGLMSFTSDEDSKSAKRKRSNAEEFVGWGSKPLISFLESIGKHGTEPLTKWNVNSLIHEYIKVKSLDHPRYKEKFLPDERLFPIFKKKVVSKGDIYYLLEFHIAKRMDDSFEDKNNVQISNSSLDQHLNAKKSCIQSNLSTLIGKPPLRKGESFIKHSHFASINAHNIKLIYLKRSLVLEFSKQPESFLGKIVGTFVRARMDSNDPRQGKSYHLVRVSGVEFDETSKRTLLQVSIMPKAIAISELSDEDFTEQECEDLQQKVKASLLPKLTVAEVQEKAESLHEDIKKHSFTTRLVHLQNQIDRANLRGRNKEKIALLEERERLEQLWKQEQLIKSVPSVRAELVEAKCGDSEDKVIPHS >Vigun06g116000.1.v1.2 pep primary_assembly:ASM411807v1:6:24396746:24400915:1 gene:Vigun06g116000.v1.2 transcript:Vigun06g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNMEKTTATFLEKEEEEEKPSEEWCFGCKDGGQMIICDHPGCKKVYHPICVGKDAGFFEHVHSWLCSRHFCCQCNDISKFHCLACPKSLCRKCFGASEEFTVVRGVKGLCIDCWKLMEIVEQNLDHDSNGNKIALNDRETYECLFKEYWEIIKVEERLTSEHVFAAQPDFRTCKPFQHHKENSKGEEKKVQNELKEGSRSDAIFAESPDYKKFESLLHHKKVSEVEEAQNEVKEGFTGDVTYVAHADYEKFKSFKHHKKISKVEEEEEESEDEEESEEEESEEEEEDDEEEESDEGNGLMSFTSDEDSKSAKRKRSNAEEFVGWGSKPLISFLESIGKHGTEPLTKWNVNSLIHEYIKVKSLDHPRYKEKFLPDERLFPIFKKKVVSKGDIYYLLEFHIAKRMDDSFEDKNNVQISNSSLDQHLNAKKSCIQSNLSTLIGKPPLRKGESFIKHSHFASINAHNIKLIYLKRSLVLEFSKQPESFLGKIVGTFVRARMDSNDPRQGKSYHLVRVSGVEFDETSKRTLLQVSIMPKAIAISELSDEDFTEQECEDLQQKVKASLLPKLTVAEVQEKAESLHEDIKKHSFTTRLVHLQNQIDRANLRGRNKEKIALLEERERLEQLWKQEQLIKSVPSVRAELVEAKCGDSEDKVIPHS >Vigun10g075600.1.v1.2 pep primary_assembly:ASM411807v1:10:20502198:20504284:1 gene:Vigun10g075600.v1.2 transcript:Vigun10g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYTVHMRIISKGAIILFSIMMLLFDTTYSVVLESNENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFNEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSSPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESIYENKFRTGAMGHFYIYLAEQMPNKYSKEI >Vigun06g192800.1.v1.2 pep primary_assembly:ASM411807v1:6:30978629:30980328:1 gene:Vigun06g192800.v1.2 transcript:Vigun06g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKFCLVQHKKPSVAALMKRCIGAKKKMDSSIAKKWRELSGQSHWRGLMEPLNIDLRRYIIHYGEMAQAAYDAFISEKASKYAGSSRYAKRNFFSKVGLTNGNPFTYSVTKFLYATSEIDVPEAFIVKSFSREAWSRESNWIGYVAVATDEGKAALGRRDIVIAWRGTVKTLEWVNDLDFVLVSAPQVFGNNTDPKVHQGWYSIYTSEDPRSPFNKSSARSQVLSEVRRLVELYKNEEISITITGHSLGAAIATLSAVDIVANGYNKPSDPSLKASPVTAIVFACPRVGDSNFRKVFSGYKDLTTLRIRNELDIVPNYPLVGYSDVGEELKIDTRKSMYLKNPGNPLSWHNLEGYLHGVAGTQGSRGAFKLEVNRDIALVNKTLDALKDELLVPVSWRVEKNKGMVQQNDGSWKLMDREDDDF >Vigun11g134500.1.v1.2 pep primary_assembly:ASM411807v1:11:34400648:34401601:-1 gene:Vigun11g134500.v1.2 transcript:Vigun11g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGLDSNSNSNSNSSSTQSNIAKGKRTKRMRLLSPSGVVVVTAATAAATGTTTTSCSSPNSGGSSTTTCESEEEDMANCLILLAQGGESHPHQPHHHKQQVEDHNGVKTAKGNGNTITTTATTAVDTKVGFFIYECKTCNRTFPSFQALGGHRASHKKPKLSPEEKKPPPSQSPHVAVTTYDRFEEDTVNVKSGPPISLQLGHGNNKGKIHECSICGSEFSSGQALGGHMRRHRASSTNTNTVDTSSSNTVTAVATTVSPPRSVLQLDLNLPAPEDDIREAKFQFPVTTQMLVGTPALVDCLY >Vigun03g220100.1.v1.2 pep primary_assembly:ASM411807v1:3:36624130:36627488:-1 gene:Vigun03g220100.v1.2 transcript:Vigun03g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFSTTSKLDLENDYEAQVRDSVYSASHSSQEIESFGMLHHDMELKNSLNSPIHDSLAETGSLESSANLYMDKSVTECEPELVICHKETSFNIIKDICVDEGVHTNDKSFFWRKVDQNIHKTYSSYESKETVKDNAGINLLNPSVTDESDQSKDFMQLDEDATRKLSDNVYKEIVVPEDNVLLQDLDREKSRTSSVEGDEIKHDHAKVDNDPEFHSQPDKSKNVIKDDAVLSSPTLESKTEIIGSSSSLQQNANMEHKLDHSGHGSSEVSDNNCGQTEDADAKDQVFPRLDESSSFSVGYLGPLPYCGSISLRSDSSTTSSRSFAFPILQSEWNSSPARLTKSGSRHHSKQQGWKHRFFCCKF >Vigun03g220100.3.v1.2 pep primary_assembly:ASM411807v1:3:36624130:36627488:-1 gene:Vigun03g220100.v1.2 transcript:Vigun03g220100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFSTTSKLDLENDYEAQVRDSVYSASHSSQEIESFGMLHHDMELKNSLNSPIHDSLAETGSLESSANLYMDKSVTECEPELVICHKETSFNIIKDICVDEGVHTNDKSFFWRKVDQNIHKTYSSYESKETVKDNAGINLLNPSVTDESDQSKDFMQLDEDATRKLSDNVYKEIVVPEDNVLLQDLDREKSRTSSVEGDEIKHDHAKVDNDPEFHSQPDKSKNVIKDDAVLSSPTLESKTEIIGSSSSLQQNANMEHKLDHSGHGSSEVSDNNCGQTEDADAKDQVFPRLDESSSFSVGLQSEWNSSPARLTKSGSRHHSKQQGWKHRFFCCKF >Vigun07g279050.1.v1.2 pep primary_assembly:ASM411807v1:7:39405509:39405749:-1 gene:Vigun07g279050.v1.2 transcript:Vigun07g279050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILEIRRRKKKKKKKDGKDFMNRRGLVWRQRRRKKADKPERIQKKVGAPHR >VigunL059649.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000335.1:48913:50276:1 gene:VigunL059649.v1.2 transcript:VigunL059649.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLRTQNFRAPRFHGIHLGHLEAFQPSHLKNHEFHFFTKLPTKPFSYAGCTDQLGHVQNPPTQNFRAPRLSWYSFGGIWRPSNPHISKTTNFIFSQNSPPSHLAMPWCTDNLGHVQNPPTQNFRAPRLSWYSFGGIWRPFQPSHLKNHEFHFFTKLPTKPFSYAVVHRQPWPCLSNPHISKTTNFIFSQNSPPSHLAMPWCTDQLGHVQNPPTQNFRAPRLSWYSFGGIWRPFQPSHLKNHEFHFFTKLPTKPFSYAVVHRPTLAMCKTHQLKTFVHQDFHGIHLGAFGGHSNPHISKTTNFIFSQNSPPSHLAMPWCTDNLGHVQNPPTQNFRAPRLSWYSFGGIWRPSNPHISKTTNFIFSQNSPPSHLAMPWCTDNLAMCKTPNSKLSCTKTFMVFIWGHLEAIPTLTSQKPRISFFHKTPHQAI >Vigun08g159150.1.v1.2 pep primary_assembly:ASM411807v1:8:33178707:33180871:-1 gene:Vigun08g159150.v1.2 transcript:Vigun08g159150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQWSPSSFHPNITTTSTSNVRPHPNLCKTMAVILLMFLLSVVSHNLVHMMPRTLALSLSSESDKQALLALKLKLTNGVANALPSWNSSLHVCEWKGVACGQRHIRVSVLHLENQTWGGTLGPSLGNLSFLTTLILYNINLYGEIPTQIGQLKRLQLLDLSLNNLNGQIPIHLTNCSKLEAIGLLENKLTGKVPSWFGSGSMTRLNKLLLGVNNLVGTIPPSLGNLSSLQNIFLARNHLVGSIPHVLGRLSNLKIVNLALNSLSGVVPDSLYNLSNIQILALANNQLSGILPSKMQLGFPNLQAFLFGANQFSGTFPSSVFNITGLERFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGEVPRLIGNFSTNLNILSMGLNQISGTIPEGIWQLIGLADIIMQTNYLVGTVPDSIVRLKNLSIGVSTNNLNGDIPNQTFGNLEGLVNLDLSYNSFTGSIPSEFGNLKHLSVLYLQGNKFSGEMPKELGACSGLTELVLQSNFFCGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNTLNLSFNHLYGEVPTEGVFNNVTAISLIGNKDLCGGIPQLKLPECSQLSSKKHKWSFRKKLILITAIVVRVGLVSSTLFISIYLFRKRPKILSEHLSFIK >Vigun10g122900.1.v1.2 pep primary_assembly:ASM411807v1:10:33068756:33089271:1 gene:Vigun10g122900.v1.2 transcript:Vigun10g122900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGCCQFLTSSTRAKVWLKKQFIQLYLYETRVAELKDVVDKLEKKKDSIQHTVDEEERRHGRRIHVEVKEWMDRVNKLILAYKDFDNDEIYHKCAVFDFFDSGYLPKPGIRYRRSRKAYDITEQANELLQNAKFDIFFNWSGPPSTAAFFSNLGYESYSSRNDTVKNVIDEFQKPGVRMIGLYGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPHELEKDDDVTIDRRKSLDVDILKNVNDRKSSDLDGSTSFRKGMLHGVGGLKNINEGKSHVDAFDVVKTKKNVTQYKGCKILMISEIKPVLLSQMEGKEESIFPVEALKENEAEMLFKKKAGICGNNYEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDETNRKLESQNLARAPEFSTKLSYELLEDEELKYTFLLCARMGHDGLVMDLVKYCIGFGFLQGINTARQTRDKVYMLVAKLKESGLLSDSYSSDHFTMPDTIRTAALSIAYKENHLFTMTKRKLDEWPNNLERYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIHLPLVGSSISSLHKLRMLCLEQCCMLDKELYIIGELKRLRILSFSGSDIESLPAELNELKMLQIFDISNCSKLKKIPNGVISSLVSLEELYMRNTLIQWEDEEQTRQSKITLLSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLFSYKIVIGNLSSVLETDFKMPEKYETLKFLAIQLKNGSDIHSLKGIKMLFEEVENLFLELNSVHEAQNIVHDLFYRLNLNGFPYLKHLWMVNNSTIQSLIYPKDRQLSEIAFPKLKSLYLYNLKIDKICSCELSKPSFGKLKVIKINLCGELKNVFSISVVGLLKVLETIEVSECNSLKEIIDVEPQSDPEKTELHMLPELRYLKLQSLSEFIGFDAIPHIEGNERKLFHEKVAVSKLERLELSSIQIDTIWSVDPSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCVKMGSIFLEQVIEKDITGSIFPKLKNMKLRNIKSLSKIWYPKLPSGSFNKLDTLIIEECHRLENALDGIFGSLCNLRITNCRSMQAIFNILDQVGDVVNNLQDVHLETLPKLKLVWKMNYKDGVGIPKFNNLKKIWAQDCDNLKYIFPFFVAKSLHNLEFLVVCDCYGLSEIVAEREVTNTDEVNFNFPKLSIVKFSELPKLTSFCPTAYTLSCPLKELSIELCYNLEPFNNKTDHVHECFPQKVINNLKSMQIEFWHAKSPSSYIGKVNHRRDNLEELSLSRIMDTEILYSFLHRIPNLKSLSLNNCFFEKIVPPKEDTEFENLGVVPNLKRLMLIDLPNLIEIGFEPDIILERLEFLILKNCPRMITVAPSYVSFTRLTNLEVVSCDGLQSLMSASTAKGLVQLNTMKVEKCESLVEIVRKDGEKSDRVVFQQLKALELVSLKKLKSFCVSDCGFEFPSLEKLVVSACYNMDKFSETVPSSPILQNVDVVHGKENKRLCWEGDINATIKEIFREMKFFEGLEEMSLSQHQELQGSWQHGVGLQEKNSWFYSLKILKIENCEIQPCAIPSNILPCLRSLKELHVQACNNVEVIFEMNVEEGTGTTFNLEILTLQKLPKLKDVWERNGKGTESFQNLKLVNVSECKNLQSVFPLTLAKNLKKLAELQITRCHVLQEIVRKEEDTTTVFAFPCLTTLHLGDLPELIYFYPQSFTLECSTLNSLFVWNCQELELFGSAYRQLIFLDLKNIFNLEELLLDWEHTMVLTKLGKSMDNLNYLNHIQLFFDADENERPYFPIQILQKMPNLTDMGIYYCSCLEVFQTKISEIAEKKVLTNLETLTLDNVSKLQSIGSKDSWLNVICDSEKLQHLYISNCPDLKTLVHSTPLVSFTYVKEMYIHRCQELKYLFTLSSLKKLKNLEHIEVSDCESMEVIVFKERDDTSEEIKLQQLKSINLIRLSSLKCFYSDNDTLRLPSLMLVDIWICPKMKFFSGGVIHLNSSFKGTLASNVSSDDLVFCRDLNSSVEKDSLQLEFFEAVDKECFSDNFELQVDPLCKNGLLYKWLVNLETLKLQNCMLSYAIPSSILSALKNLKELEVRDSKQVKAIFYINDDTDIKETELQLKILTLDGLSELTHVWKNDTNKILIFRNLQEVVVSDCAKLQTLFPASLSKSLKDLKKLEIYFCKNLQDLVEQEETTLVTEKFVFPCLEDLEFKDLPQVTCPKTFTLEFPSVKFLSVRNCDELRLFQSVYDPTGEGTSSKTLPLISDPKVMSNLKKLTLDWKQILALSLWFKSQQTTEGLTNLDRISLCFFGAKENEMPMLPVEILKAPNLTEMDIINCESLENFLAQNPMIGDEEMLGQLTILMLYNVATTQLFELEYSSSLNIMCGRLHELNVVQCLHLTTLGVLSTSTVSFSCLKEVSIHKCPNLKYLFTTSAAKKLANLEKILVIKCESITEIVAKEGDATSEAIKFERLHAIHLQSLTSLKCFYSGSDTLQLPSLKFVAIWNCPNMEIFSHGIESLMEITLSMDQEAGYLPPPQDLNTRIKGISQRKEFFEAVDKECFSDNLELQENPHCKFGLQNQWLGDLVTLKLKKCTLSCAIPSAILALLKNLKELEVRDSPTVEVLFCMNDTEILETASQLRFLTLKRLSKLTHFWEKKKNGVLIFPNLQQVVVRKCEKLETLFPASLAKNLKSLKAIKIEDCAKFQEIVEKEEATEAKFVLPCLQKLDLFCLPQLTCFYPQTFTLECSALNELSILKCDKLELFQSAPSMGEVTSVNRQPLISSLEVISNLKILKLDWKQILALRSRLKSEKFTGIFKCVNKMKLVLDGGESEMHVVLNEILHTTPNLIEMIMGIYNCNSPEIFLAQNPKIAEDGMLLHLRILALSYVSTIRSIQSQNSSWLNTICEKVHDLNVFQCRHLETIGVHSTSTLSFSLLKKVDVLRCRRLQYLFTSSVAKELVNLKEIIVQECKSLKEIIAKEGDEEGEGEDNSENEIIFVKLEKLSLGSLGKLESFYTGSCTLNFPSLRKVEVNKCFNSKIFRHRDKVPPKFTVIIDRIGCRSDKKPLIMQQVEEEVSRVSLVTTNSLDFCRESGDSKKEMIAT >Vigun10g122900.2.v1.2 pep primary_assembly:ASM411807v1:10:33068721:33089271:1 gene:Vigun10g122900.v1.2 transcript:Vigun10g122900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGCCQFLTSSTRAKVWLKKQFIQLYLYETRVAELKDVVDKLEKKKDSIQHTVDEEERRHGRRIHVEVKEWMDRVNKLILAYKDFDNDEIYHKCAVFDFFDSGYLPKPGIRYRRSRKAYDITEQANELLQNAKFDIFFNWSGPPSTAAFFSNLGYESYSSRNDTVKNVIDEFQKPGVRMIGLYGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPHELEKDDDVTIDRRKSLDVDILKNVNDRKSSDLDGSTSFRKGMLHGVGGLKNINEGKSHVDAFDVVKTKKNVTQYKGCKILMISEIKPVLLSQMEGKEESIFPVEALKENEAEMLFKKKAGICGNNYEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDETNRKLESQNLARAPEFSTKLSYELLEDEELKYTFLLCARMGHDGLVMDLVKYCIGFGFLQGINTARQTRDKVYMLVAKLKESGLLSDSYSSDHFTMPDTIRTAALSIAYKENHLFTMTKRKLDEWPNNLERYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIHLPLVGSSISSLHKLRMLCLEQCCMLDKELYIIGELKRLRILSFSGSDIESLPAELNELKMLQIFDISNCSKLKKIPNGVISSLVSLEELYMRNTLIQWEDEEQTRQSKITLLSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLFSYKIVIGNLSSVLETDFKMPEKYETLKFLAIQLKNGSDIHSLKGIKMLFEEVENLFLELNSVHEAQNIVHDLFYRLNLNGFPYLKHLWMVNNSTIQSLIYPKDRQLSEIAFPKLKSLYLYNLKIDKICSCELSKPSFGKLKVIKINLCGELKNVFSISVVGLLKVLETIEVSECNSLKEIIDVEPQSDPEKTELHMLPELRYLKLQSLSEFIGFDAIPHIEGNERKLFHEKVAVSKLERLELSSIQIDTIWSVDPSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCVKMGSIFLEQVIEKDITGSIFPKLKNMKLRNIKSLSKIWYPKLPSGSFNKLDTLIIEECHRLENALDGIFGSLCNLRITNCRSMQAIFNILDQVGDVVNNLQDVHLETLPKLKLVWKMNYKDGVGIPKFNNLKKIWAQDCDNLKYIFPFFVAKSLHNLEFLVVCDCYGLSEIVAEREVTNTDEVNFNFPKLSIVKFSELPKLTSFCPTAYTLSCPLKELSIELCYNLEPFNNKTDHVHECFPQKVINNLKSMQIEFWHAKSPSSYIGKVNHRRDNLEELSLSRIMDTEILYSFLHRIPNLKSLSLNNCFFEKIVPPKEDTEFENLGVVPNLKRLMLIDLPNLIEIGFEPDIILERLEFLILKNCPRMITVAPSYVSFTRLTNLEVVSCDGLQSLMSASTAKGLVQLNTMKVEKCESLVEIVRKDGEKSDRVVFQQLKALELVSLKKLKSFCVSDCGFEFPSLEKLVVSACYNMDKFSETVPSSPILQNVDVVHGKENKRLCWEGDINATIKEIFREMKFFEGLEEMSLSQHQELQGSWQHGVGLQEKNSWFYSLKILKIENCEIQPCAIPSNILPCLRSLKELHVQACNNVEVIFEMNVEEGTGTTFNLEILTLQKLPKLKDVWERNGKGTESFQNLKLVNVSECKNLQSVFPLTLAKNLKKLAELQITRCHVLQEIVRKEEDTTTVFAFPCLTTLHLGDLPELIYFYPQSFTLECSTLNSLFVWNCQELELFGSAYRQLIFLDLKNIFNLEELLLDWEHTMVLTKLGKSMDNLNYLNHIQLFFDADENERPYFPIQILQKMPNLTDMGIYYCSCLEVFQTKISEIAEKKVLTNLETLTLDNVSKLQSIGSKDSWLNVICDSEKLQHLYISNCPDLKTLVHSTPLVSFTYVKEMYIHRCQELKYLFTLSSLKKLKNLEHIEVSDCESMEVIVFKERDDTSEEIKLQQLKSINLIRLSSLKCFYSDNDTLRLPSLMLVDIWICPKMKFFSGGVIHLNSSFKGTLASNVSSDDLVFCRDLNSSVEKDSLQLEFFEAVDKECFSDNFELQVDPLCKNGLLYKWLVNLETLKLQNCMLSYAIPSSILSALKNLKELEVRDSKQVKAIFYINDDTDIKETELQLKILTLDGLSELTHVWKNDTNKILIFRNLQEVVVSDCAKLQTLFPASLSKSLKDLKKLEIYFCKNLQDLVEQEETTLVTEKFVFPCLEDLEFKDLPQVTCPKTFTLEFPSVKFLSVRNCDELRLFQSVYDPTGEGTSSKTLPLISDPKVMSNLKKLTLDWKQILALSLWFKSQQTTEGLTNLDRISLCFFGAKENEMPMLPVEILKAPNLTEMDIINCESLENFLAQNPMIGDEEMLGQLTILMLYNVATTQLFELEYSSSLNIMCGRLHELNVVQCLHLTTLGVLSTSTVSFSCLKEVSIHKCPNLKYLFTTSAAKKLANLEKILVIKCESITEIVAKEGDATSEAIKFERLHAIHLQSLTSLKCFYSGSDTLQLPSLKFVAIWNCPNMEIFSHGIESLMEITLSMDQEAGYLPPPQDLNTRIKGISQRKEFFEAVDKECFSDNLELQENPHCKFGLQNQWLGDLVTLKLKKCTLSCAIPSAILALLKNLKELEVRDSPTVEVLFCMNDTEILETASQLRFLTLKRLSKLTHFWEKKKNGVLIFPNLQQVVVRKCEKLETLFPASLAKNLKSLKAIKIEDCAKFQEIVEKEEATEAKFVLPCLQKLDLFCLPQLTCFYPQTFTLECSALNELSILKCDKLELFQSAPSMGEVTSVNRQPLISSLEVISNLKILKLDWKQILALRSRLKSEKFTGIFKCVNKMKLVLDGGESEMHVVLNEILHTTPNLIEMIMGIYNCNSPEIFLAQNPKIAEDGMLLHLRILALSYVSTIRSIQSQNSSWLNTICEKVHDLNVFQCRHLETIGVHSTSTLSFSLLKKVDVLRCRRLQYLFTSSVAKELVNLKEIIVQECKSLKEIIAKEGDEEGEGEDNSENEIIFVKLEKLSLGSLGKLESFYTGSCTLNFPSLRKVEVNKCFNSKIFRHRDKVPPKFTVIIDRIGCRSDKKPLIMQQVEEEVSRVSLVTTNSLDFCRESGDSKKEMIAT >Vigun10g122900.3.v1.2 pep primary_assembly:ASM411807v1:10:33068721:33089271:1 gene:Vigun10g122900.v1.2 transcript:Vigun10g122900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGCCQFLTSSTRAKVWLKKQFIQLYLYETRVAELKDVVDKLEKKKDSIQHTVDEEERRHGRRIHVEVKEWMDRVNKLILAYKDFDNDEIYHKCAVFDFFDSGYLPKPGIRYRRSRKAYDITEQANELLQNAKFDIFFNWSGPPSTAAFFSNLGYESYSSRNDTVKNVIDEFQKPGVRMIGLYGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPHELEKDDDVTIDRRKSLDVDILKNVNDRKSSDLDGSTSFRKGMLHGVGGLKNINEGKSHVDAFDVVKTKKNVTQYKGCKILMISEIKPVLLSQMEGKEESIFPVEALKENEAEMLFKKKAGICGNNYEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDETNRKLESQNLARAPEFSTKLSYELLEDEELKYTFLLCARMGHDGLVMDLVKYCIGFGFLQGINTARQTRDKVYMLVAKLKESGLLSDSYSSDHFTMPDTIRTAALSIAYKENHLFTMTKRKLDEWPNNLERYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIHLPLVGSSISSLHKLRMLCLEQCCMLDKELYIIGELKRLRILSFSGSDIESLPAELNELKMLQIFDISNCSKLKKIPNGVISSLVSLEELYMRNTLIQWEDEEQTRQSKITLLSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLFSYKIVIGNLSSVLETDFKMPEKYETLKFLAIQLKNGSDIHSLKGIKMLFEEVENLFLELNSVHEAQNIVHDLFYRLNLNGFPYLKHLWMVNNSTIQSLIYPKDRQLSEIAFPKLKSLYLYNLKIDKICSCELSKPSFGKLKVIKINLCGELKNVFSISVVGLLKVLETIEVSECNSLKEIIDVEPQSDPEKTELHMLPELRYLKLQSLSEFIGFDAIPHIEGNERKLFHEKVAVSKLERLELSSIQIDTIWSVDPSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCVKMGSIFLEQVIEKDITGSIFPKLKNMKLRNIKSLSKIWYPKLPSGSFNKLDTLIIEECHRLENALDGIFGSLCNLRITNCRSMQAIFNILDQVGDVVNNLQDVHLETLPKLKLVWKMNYKDGVGIPKFNNLKKIWAQDCDNLKYIFPFFVAKSLHNLEFLVVCDCYGLSEIVAEREVTNTDEVNFNFPKLSIVKFSELPKLTSFCPTAYTLSCPLKELSIELCYNLEPFNNKTDHVHECFPQKVINNLKSMQIEFWHAKSPSSYIGKVNHRRDNLEELSLSRIMDTEILYSFLHRIPNLKSLSLNNCFFEKIVPPKEDTEFENLGVVPNLKRLMLIDLPNLIEIGFEPDIILERLEFLILKNCPRMITVAPSYVSFTRLTNLEVVSCDGLQSLMSASTAKGLVQLNTMKVEKCESLVEIVRKDGEKSDRVVFQQLKALELVSLKKLKSFCVSDCGFEFPSLEKLVVSACYNMDKFSETVPSSPILQNVDVVHGKENKRLCWEGDINATIKEIFREMKFFEGLEEMSLSQHQELQGSWQHGVGLQEKNSWFYSLKILKIENCEIQPCAIPSNILPCLRSLKELHVQACNNVEVIFEMNVEEGTGTTFNLEILTLQKLPKLKDVWERNGKGTESFQNLKLVNVSECKNLQSVFPLTLAKNLKKLAELQITRCHVLQEIVRKEEDTTTVFAFPCLTTLHLGDLPELIYFYPQSFTLECSTLNSLFVWNCQELELFGSAYRQLIFLDLKNIFNLEELLLDWEHTMVLTKLGKSMDNLNYLNHIQLFFDADENERPYFPIQILQKMPNLTDMGIYYCSCLEVFQTKISEIAEKKVLTNLETLTLDNVSKLQSIGSKDSWLNVICDSEKLQHLYISNCPDLKTLVHSTPLVSFTYVKEMYIHRCQELKYLFTLSSLKKLKNLEHIEVSDCESMEVIVFKERDDTSEEIKLQQLKSINLIRLSSLKCFYSDNDTLRLPSLMLVDIWICPKMKFFSGGVIHLNSSFKGTLASNVSSDDLVFCRDLNSSVEKDSLQLEFFEAVDKECFSDNFELQVDPLCKNGLLYKWLVNLETLKLQNCMLSYAIPSSILSALKNLKELEVRDSKQVKAIFYINDDTDIKETELQLKILTLDGLSELTHVWKNDTNKILIFRNLQEVVVSDCAKLQTLFPASLSKSLKDLKKLEIYFCKNLQDLVEQEETTLVTEKFVFPCLEDLEFKDLPQVTCPKTFTLEFPSVKFLSVRNCDELRLFQSVYDPTGEGTSSKTLPLISDPKVMSNLKKLTLDWKQILALSLWFKSQQTTEGLTNLDRISLCFFGAKENEMPMLPVEILKAPNLTEMDIINCESLENFLAQNPMIGDEEMLGQLTILMLYNVATTQLFELEYSSSLNIMCGRLHELNVVQCLHLTTLGVLSTSTVSFSCLKEVSIHKCPNLKYLFTTSAAKKLANLEKILVIKCESITEIVAKEGDATSEAIKFERLHAIHLQSLTSLKCFYSGSDTLQLPSLKFVAIWNCPNMEIFSHGIESLMEITLSMDQEAGYLPPPQDLNTRIKGISQRKEFFEAVDKECFSDNLELQENPHCKFGLQNQWLGDLVTLKLKKCTLSCAIPSAILALLKNLKELEVRDSPTVEVLFCMNDTEILETASQLRFLTLKRLSKLTHFWEKKKNGVLIFPNLQQVVVRKCEKLETLFPASLAKNLKSLKAIKIEDCAKFQEIVEKEEATEAKFVLPCLQKLDLFCLPQLTCFYPQTFTLECSALNELSILKCDKLELFQSAPSMGEVTSVNRQPLISSLEVISNLKILKLDWKQILALRSRLKSEKFTGIFKCVNKMKLVLDGGESEMHVVLNEILHTTPNLIEMIMGIYNCNSPEIFLAQNPKIAEDGMLLHLRILALSYVSTIRSIQSQNSSWLNTICEKVHDLNVFQCRHLETIGVHSTSTLSFSLLKKVDVLRCRRLQYLFTSSVAKELVNLKEIIVQECKSLKEIIAKEGDEEGEGEDNSENEIIFVKLEKLSLGSLGKLESFYTGSCTLNFPSLRKVEVNKCFNSKIFRHRDKVPPKFTVIIDRIGCRSDKKPLIMQQVEEEVSRVSLVTTNSLDFCRESGDSKKEMIAT >Vigun01g181100.2.v1.2 pep primary_assembly:ASM411807v1:1:36204058:36208848:-1 gene:Vigun01g181100.v1.2 transcript:Vigun01g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLELPNCINHHAANKPSARGAEQVLGKGHTTQFTIFPDDCKMSDDAQTLQTTISLQPSLADSHSRFEIGFSQPMICAKYPYTDQFYGLFSAYAPQISGRIMLPLNMTSDDGPIYVNAKQYHGIIRRRQSRAKAVLHHKLTKRRKPYMHESRHLHAMRRPRGCGGRFLNTRKSGNGNGKTDNEVHETVGEQFHSGGSQSSEFLQSEAGTLNSSKETNGSSPNISGSEVTSMYSHRFSLNHFGSSVHTLVDMIDGGRGMIIPPKWAAAAGNCCNLKV >Vigun01g181100.3.v1.2 pep primary_assembly:ASM411807v1:1:36204059:36208633:-1 gene:Vigun01g181100.v1.2 transcript:Vigun01g181100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLELPNCINHHAANKPSARGAEQVLGKGHTTQFTIFPDDCKMSDDAQTLQTTISLQPSLADSHSRFEIGFSQPMICAKYPYTDQFYGLFSAYAPQISGRIMLPLNMTSDDGPIYVNAKQYHGIIRRRQSRAKAVLHHKLTKRRKPYMHESRHLHAMRRPRGCGGRFLNTRKSGNGNGKTDNEVHETVGEQFHSGGSQSSEFLQSEAGTLNSSKETNGSSPNISGSEVTSMYSHRFSLNHFGSSVHTLVDMIDGGRGMIIPPKWAAAAGNCCNLKV >Vigun01g181100.1.v1.2 pep primary_assembly:ASM411807v1:1:36203978:36208938:-1 gene:Vigun01g181100.v1.2 transcript:Vigun01g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLELPNCINHHAANKPSARGAEQVLGKGHTTQFTIFPDDCKMSDDAQTLQTTISLQPSLADSHSRFEIGFSQPMICAKYPYTDQFYGLFSAYAPQISGRIMLPLNMTSDDGPIYVNAKQYHGIIRRRQSRAKAVLHHKLTKRRKPYMHESRHLHAMRRPRGCGGRFLNTRKSGNGNGKTDNEVHETVGEQFHSGGSQSSEFLQSEAGTLNSSKETNGSSPNISGSEVTSMYSHRFSLNHFGSSVHTLVDMIDGGRGMIIPPKWAAAAGNCCNLKV >VigunL032325.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:209568:212551:-1 gene:VigunL032325.v1.2 transcript:VigunL032325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTKRKDFMIVNMGPHHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELPKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHPPGFINLQILPQLVKRMKLADIMTILEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQRIGPEYTGPFGVLQALADGTKLLFKENLIPSRGDIRLFSFGPSISVISIIISYSVIPFGYNFVLSDLNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISL >Vigun05g161600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25667761:25668728:1 gene:Vigun05g161600.v1.2 transcript:Vigun05g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhG MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHGIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIFVARQ >Vigun06g006400.1.v1.2 pep primary_assembly:ASM411807v1:6:3390892:3395886:-1 gene:Vigun06g006400.v1.2 transcript:Vigun06g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCSRPMCYKAYGSGKEDSPMCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAISKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIRCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLTKGEMLMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPPAPHLS >Vigun07g197300.1.v1.2 pep primary_assembly:ASM411807v1:7:31737713:31741981:1 gene:Vigun07g197300.v1.2 transcript:Vigun07g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTPFLGIREESPQITAQHQPSTVAPITVPQKKRRNQPGTPNPDAEVIELSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEQKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARFPSSLNPFGTHLFGTNHTSLTLSQVGTQLSQVQNQNQTTTNNILRLGSVGAAVKFEHLIPPLNQSSFGHSPQSMPSSAFFMNDSTNQTLFEEHQSQQGPIFSNKQLHGLMQLTDLHGNTNNSDSSSVAAPDSNLFNLSFFPSSNSTTTIISDQFNNISGSDHGTTPPPPPLYVSNNPAVSDHVGSGFSSLFGDSLENVSSPHMSATVLLQKASQMGSTTTTSASSLLRGMCSSKAENGHHHHNNLQGLMNSIANGNTPLFRNMQGNENNLCGFHNVDESNNKLPQNLSVNFGGSDKLTLDFLGVGGMVRNMNGGFSQREQLQQQQRVMGTEFFGP >Vigun06g061300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18920763:18921887:-1 gene:Vigun06g061300.v1.2 transcript:Vigun06g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGNTIFLFLTFLVASIVPTISQSCNSYRFSNNINYAACEDLSVLESSLHWNYHPASGVVDVAFNKANAKDSSWVAWAINPTSKGMLGSQSFVAVHKSNATIRAYTSITSYATMLEEGDLSFSVYSLSASYTNGRIIIFASFQLPSNKTVMNHASQEGLVSDDDTLMPHSFSSSNLQSHGTIDFISGKVSKPNGDVNSRATLGNVRNNLNPHGIMMPIGVMVSRYLKVFDGLGDTWFHLHRPCQSLAFFIAIVGFGSGLYIGNHYGVHHAPLRCVGITLMCLASAQICVAVFSGLNKDHKYKTFWNILRYIVGYTTIALTIWNVLKGFEILNVDNVWKNSYLGIMISLGAIIVVLEVITWIWICDEETTTDS >Vigun03g348900.2.v1.2 pep primary_assembly:ASM411807v1:3:54930185:54938893:1 gene:Vigun03g348900.v1.2 transcript:Vigun03g348900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVLNSAQALAAHTFLLCFTLFLVLKLDHDLSCSWWVVFLPLWMFHGVVARGRFSLPAPSAPRNRNWAPCHAVVATPLLIAFELLLCIYLESIYDLGYAAVDLKIVFLPLLTFEIIILIDNFRMCKALMPGDEENLSDEAIWETLPHFWVAISMVFFIAATVFTLLKLSGDVGALGWWDLFINFAIAECFSFLVCTKWSNPVIHRNSREASSSSSTTIRYLDWNSGLVVSTDENRPQGRICSLQDIGGHFMKVPIIVFQVLLCMHLEGTPASAVNIPLPVIFSPLFVLQGAGVLLSASKLAEKLVLLLRSGAAAGIYFRFSSRVHDCLGFLHHGSRLLGWWSIDEGSREEQARIYHEGASGYNTFCGYPPEIVKKMPKKELAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRICFEGEINVVLLPCRHRVLCSTCSEKCKKCPICRDSIAERLPVYDV >Vigun03g348900.1.v1.2 pep primary_assembly:ASM411807v1:3:54930185:54938893:1 gene:Vigun03g348900.v1.2 transcript:Vigun03g348900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVLNSAQALAAHTFLLCFTLFLVLKLDHDLSCSWWVVFLPLWMFHGVVARGRFSLPAPSAPRNRNWAPCHAVVATPLLIAFELLLCIYLESIYDLGYAAVDLKIVFLPLLTFEIIILIDNFRMCKALMPGDEENLSDEAIWETLPHFWVAISMVFFIAATVFTLLKLSGNYFPPKCDVGALGWWDLFINFAIAECFSFLVCTKWSNPVIHRNSREASSSSSTTIRYLDWNSGLVVSTDENRPQGRICSLQDIGGHFMKVPIIVFQVLLCMHLEGTPASAVNIPLPVIFSPLFVLQGAGVLLSASKLAEKLVLLLRSGAAAGIYFRFSSRVHDCLGFLHHGSRLLGWWSIDEGSREEQARIYHEGASGYNTFCGYPPEIVKKMPKKELAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRICFEGEINVVLLPCRHRVLCSTCSEKCKKCPICRDSIAERLPVYDV >Vigun10g129400.1.v1.2 pep primary_assembly:ASM411807v1:10:33756055:33757488:1 gene:Vigun10g129400.v1.2 transcript:Vigun10g129400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLEENHRMKRGILEVLVVNAKGIIHTNFVGTPSYYVIIECGTQTRITKVSSGKHEKPRWNEKFIFDLSESDCKNSTYLKCRIMDTELFRNGGFVGEAKIFIGGIILEGSDQGYIEIQPAPYNVVLQDHTYKGQIKIGFKFIADNNEEYLMEKPDEFKGYEKKESPNSIFGWIWRISLWKILFFYQHKTSKSHQDYH >Vigun02g185300.1.v1.2 pep primary_assembly:ASM411807v1:2:32473278:32478329:-1 gene:Vigun02g185300.v1.2 transcript:Vigun02g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPFPLSCSKTPILRLPAPPKPPLLCHRHSLICRRYGYSYSYSYPSCAPSLTCKAAQVSVAEESSASGDNWVPVVPLAALPRGERRVILQDGETILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGDVKEWYPKNPVLRVLTPALRKLFTYPVKTDEQNIYISLRGGKTDASAEIVFSGKAQPGVTATDVNVEEVRMVVDEDQEGFGFTGKNEIINGRAAVIGFLLLLDFELLTGKGLLKGTGFLDFLYSVSNAFN >Vigun10g143800.1.v1.2 pep primary_assembly:ASM411807v1:10:36105786:36110299:1 gene:Vigun10g143800.v1.2 transcript:Vigun10g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAKLRLVKCPKCQNVLPEHANYTVYQCGGCGTVLRGKLKSSEGVRLWESSDEGRGGGDEGRSESFLGKGVVDLRDNSDVDVGFNGGFSMEGQRRGLGKPNKGLEKFLDGSMDGDEKGVLESDFVSKDKRGKSIGREQQEQKTHMVGDQFYGRVSNWPYGERGEREGFRRKSQTDMEGVRFPTHSYPNEGSSSSYTSFSYGEQWRNFKDMDGVNKVQHLEQDRADILRKLDELTNQLNKSSEVVGNPKEKVLPDGRMVPPDPYNGPETWFPEGYGSSAMSRTSRPFFGPNKHMSGPPYFNYPHDPYAYAAGPEMGMRNFHPSMHNPNYASGYGDPFVSPMMRDSHPLPHQFPQKPMHPYFPGRYADTDPDSYDPYARNAMLHPPSCSCLRCYNNKRRESVPVPPASFVNSRFPHTPAPKDPMLYGHEIPGAVGPYVHNSRAAIHERQPRTRWPRDYNSEMGAFVGSHLRKAVPLSSTRQCLPIAGASPFITCHSCFELLQLPKKTLFMLKNLKQKKMRCGVCSSEMKLAIINKKLVISPHMEEATTSTRVDDATGEVVNSRVSHSRGDGNTSAVNFSSDDYSGYDFHSVDREPSMLAEDSTLKSRATQSFHSSSPSISDDENSPEIMTAPGEATGSIHQPSKASPSPSPGGSFNDHAVNRLGKGNQSSRSDQELEKVEKNASRQNSLKEVVLATEMDVIDYSNTGVSQDSGDASREHDHPRSNRRSDSFLANIIKKDNGKSSVTVNGQPIPDRVIKKAEKFAGPIQPGNYWYDSQAGFWGVMGGPCLGIILPFIEEFQHPMPEKCAGGNTGVFVNGRELHQKDLELLCRRGLPRDGNRSYIVEISGRVRDEHTGEELDSLGKLAPTVEKERRGFGMKVPRTAA >Vigun03g210000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34556759:34557646:-1 gene:Vigun03g210000.v1.2 transcript:Vigun03g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIPVTFLCSLVLLALAKGSYGGEIVIYWGQNGNEGTLSEACATGNYDYVIIAFLPTFGKGQTPMINLAGHCDPLKNECSGLSSDIKSCQAKGIKVLLSLRGDTGSHSIDASEVATYLWNNFLGGQSPSRPLGPAVLDGIDFDIEGGSNKHWGDLARFLKGYTMAKQGKQVYITAAPQCPFPDAWIGNALTTGLFDYVWIQFYNNPPCQYTSGAITNLEDAWKQWITGIPANKIFLGLPASPQAAGSGFIPSADLISQVLPAIKGSAKYGGVMLWSRYYDVQSGYSSSVKSYV >Vigun06g090600.1.v1.2 pep primary_assembly:ASM411807v1:6:22311998:22314510:1 gene:Vigun06g090600.v1.2 transcript:Vigun06g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEFDSQFFMSIVFLGFAGLLCYLYTFFVEKQKRLRSKLMKQGITGPPSTILLGNILELQKVRSSTSKSSSSSSQIPSPHNCAALLLPIFDKWRDQYGQVFMFSLGTTQILCVNHPDIVREVTVCTSLDLGKPAYQLKQLGPLVGQGILTSNGTKWVHQRKILAPELYMEKVKGMMNIVRESAVSVVNSWSTRIEAEGGVADIEIDEYMRNFSGNVISKACFGSNYAESEEIFLKFVALQELLSWKNIFRLIPGMSYLPTKANREIWRLEKEMKEMILQVVKERKETSFEKDLLQVVLENVKDSKLSKEAIDNFIVDNCKNIYLAGYETTAVSATWCMMLLASNQDWQDRVRAEVTEICMGEIPDFSMLGKMKQLGMVINEALRLYPPVTVVSREALKDMKFGNLDVPKGFNLWIMVVSLHTNPEIWGDDAYKFKPERFANGTTGCCKLPHVYMPFGVGPRVCLGQNLAIVELKMIIALILSKFTFSLSPRYVHSPIFRLLIEPEHGINILVKKL >Vigun10g196200.1.v1.2 pep primary_assembly:ASM411807v1:10:40966525:40971886:-1 gene:Vigun10g196200.v1.2 transcript:Vigun10g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMSVGLHFSAAHSSLRAMEKIICSAPFSRASSGFAATKPFRTLSRRLSSSGTTIIPRAAPVTDVEDGNQGETDTIPTPVVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVAKANVFLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTIINNLIQYHPESSSLLALGAAFGLLPPKEQVDVDIATHINISDDGPDRSLLYVETADRPGLLVDLVKIITDINIDVESGEFDTEGLLAKAKFHVSYNGKAIIKPLQQVLANSLRYFLRRPTTEESSF >Vigun05g147200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20931697:20932005:1 gene:Vigun05g147200.v1.2 transcript:Vigun05g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDSKSWHFLAQVSSDRLVNRSSKRCCNLRSSSAAPFPFHAPDMLILDQSKLLELFRHIGCRSLQFLLFLPGGSQFLLQCFHLRLITHWKSGGQDGQAQN >Vigun04g112033.1.v1.2 pep primary_assembly:ASM411807v1:4:28168214:28168894:1 gene:Vigun04g112033.v1.2 transcript:Vigun04g112033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLAPTVGHETNTLSTRFSLKMVSTRSKAGASKQVDAGPSGPSGVTPDLAAILDGQMKMQQELADLKKRNAEEMEALKQENSRLRRRIEADANQKGKAKETSEAVKSPAFQPTEEESEYNPTPTPSPPPNKPPSLPHTPNTSHPVTQDLQHPQQPTFPTTYPILSTPHTSHPTTPRIFPQPTSLLITSPPPSLP >Vigun07g202500.1.v1.2 pep primary_assembly:ASM411807v1:7:32416410:32423218:1 gene:Vigun07g202500.v1.2 transcript:Vigun07g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALPLFSPELACPASECMRYTNVNFLLSRPSLRVSCLVKKGGDVGASNGDGKNSNASVSLQSMHSHKDESFERKRRMHVPLQKTDFVRTLLIDNYDSYTYNVYQELSVINGVPPVVIRNDEWTWEELCHHLYEENAFDNIVISPGPGSPACPEDIGICLQVLQKCWDVPVLGVCLGHQALGYVHGAQVVHASEPIHGRLSEVEHNGCQLFRDIPSGRNYGFKVVRYHSLVIDSESLPKELIPTAWTSPTSTLPFHEAQKVESIFEDPVLVKVGNGSSNHFDYGNTRSKKVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNDFKEVRSSMSAENNAVDQLKKLVHAERDLEYNKTEMKHLEMLNMVNTHHATTGDKCLKLKWRKFSHLAGQVGGAKNIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGRLWKQLTFRLSHQSDGSSKGGGYLSLEDSQGSAETIFLKEGFLDFLNKELLSYRYDENEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILGIHEENSSVTQWLDDTEEKLLSLDGSVKMASGKRDSHYSTLSSNKTGFSAEKSREQYIEDVKECQNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIARGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPLLMDVESYETVHTMVSTIRGKKRSDVSAVDCVRAAFPGGSMTGAPKLRSMEIIDSIESSSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAVIALSNPEQEYEEMVLKTGAPSRAVMHFD >Vigun07g202500.2.v1.2 pep primary_assembly:ASM411807v1:7:32414384:32423263:1 gene:Vigun07g202500.v1.2 transcript:Vigun07g202500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALPLFSPELACPASECMRYTNVNFLLSRPSLRVSCLVKKGGDVGASNGDGKNSNASVSLQSMHSHKDESFERKRRMHVPLQKTDFVRTLLIDNYDSYTYNVYQELSVINGVPPVVIRNDEWTWEELCHHLYEENAFDNIVISPGPGSPACPEDIGICLQVLQKCWDVPVLGVCLGHQALGYVHGAQVVHASEPIHGRLSEVEHNGCQLFRDIPSGRNYGFKVVRYHSLVIDSESLPKELIPTAWTSPTSTLPFHEAQKVESIFEDPVLVKVGNGSSNHFDYGNTRSKKVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNDFKEVRSSMSAENNAVDQLKKLVHAERDLEYNKTEMKHLEMLNMVNTHHATTGDKCLKLKWRKFSHLAGQVGGAKNIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGRLWKQLTFRLSHQSDGSSKGGGYLSLEDSQGSAETIFLKEGFLDFLNKELLSYRYDENEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILGIHEENSSVTQWLDDTEEKLLSLDGSVKMASGKRDSHYSTLSSNKTGFSAEKSREQYIEDVKECQNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIARGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPLLMDVESYETVHTMVSTIRGKKRSDVSAVDCVRAAFPGGSMTGAPKLRSMEIIDSIESSSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAVIALSNPEQEYEEMVLKTGAPSRAVMHFD >Vigun07g202500.3.v1.2 pep primary_assembly:ASM411807v1:7:32415989:32423263:1 gene:Vigun07g202500.v1.2 transcript:Vigun07g202500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALPLFSPELACPASECMRYTNVNFLLSRPSLRVSCLVKKGGDVGASNGDGKNSNASVSLQSMHSHKDESFERKRRMHVPLQKTDFVRTLLIDNYDSYTYNVYQELSVINGVPPVVIRNDEWTWEELCHHLYEENAFDNIVISPGPGSPACPEDIGICLQVLQKCWDVPVLGVCLGHQALGYVHGAQVVHASEPIHGRLSEVEHNGCQLFRDIPSGRNYGFKVVRYHSLVIDSESLPKELIPTAWTSPTSTLPFHEAQKVESIFEDPVLVKVGNGSSNHFDYGNTRSKKVLMGIRHSSRPHYGVQFHPESVATCYGSQIFKNFREITEDYWLRFRSSFKEKRAYSNDFKEVRSSMSAENNAVDQLKKLVHAERDLEYNKTEMKHLEMLNMVNTHHATTGDKCLKLKWRKFSHLAGQVGGAKNIFCELFGHETENTFWLDSSSTEKERARFSFMGGKGGRLWKQLTFRLSHQSDGSSKGGGYLSLEDSQGSAETIFLKEGFLDFLNKELLSYRYDENEYEGLPFDFHGGYVGYIGYDLKVECGVKSNRHKSKTPDACFFFADNLVVVDHKNDDVYILGIHEENSSVTQWLDDTEEKLLSLDGSVKMASGKRDSHYSTLSSNKTGFSAEKSREQYIEDVKECQNYIKDGESYELCLTTQMKKPIKELNSLGLYLHLRERNPAPYAAWLNFSKEDLCICCSSPERFLQLDRKNTLEAKPIKGTIARGATKEEDEQLKLKLQFSEKDQAENLMIVDLLRNDLGRVCDPGSVHVPLLMDVESYETVHTMVSTIRGKKRSDVSAVDCVRAAFPGGSMTGAPKLRSMEIIDSIESSSRGIYSGCIGFFSYNQTFDLNIVIRTVILHESEASIGAGGAVIALSNPEQEYEEMVLKTGAPSRAVMHFD >Vigun02g045301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18132470:18134070:-1 gene:Vigun02g045301.v1.2 transcript:Vigun02g045301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRRLLHFPGNIKRDTAATSSSPLALTGDAWSRRRCSFSFSREPPPLGSPLSATTLLCSNSDDATSVAATVNAAAAATRRATGDAKLPDVRLSPSATITRPHSSVHATTSDHTPVQSFESPAALCFLFVLGCYGIFECVTVMMMNVILVCCFCRNGDVMLRLALQKGYAVGVGMLRW >Vigun06g200600.1.v1.2 pep primary_assembly:ASM411807v1:6:31576780:31578585:-1 gene:Vigun06g200600.v1.2 transcript:Vigun06g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSMATARVTRFLPSHHLHDHRDTCVPDVEFEFLHDGETLLAQSACSEDCHNTNEIEFDQDEDRPDTVEQNRTFWDNQHQILQANVYRTSSLESGIRNATKEALQNIQSVETVCGCGRQIPLTSCRNCLMREVSGRLQKAGYNSAVCKTKWRSSPEIPSGEHSFLDVVENTKRGEMRVIVELNFRGEFEIARGSEEYNRLVGKLPEVFVGKVERLSNLIKVLCVAGKRCMKEKKMHMGPWRKHRYMQAKWLGPCDRNTSTTSLSMGYSQRIPISMPKPKQKASLLTVDLLDKLPNMHCTAVEVV >VigunL081001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:27542:28150:-1 gene:VigunL081001.v1.2 transcript:VigunL081001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCFDDSYFIDCNFCIYYRFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMRPWIAVAYSAPVAAATAVFLIYPIGQGSFLDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGTPPIS >Vigun09g237233.1.v1.2 pep primary_assembly:ASM411807v1:9:40772630:40773216:-1 gene:Vigun09g237233.v1.2 transcript:Vigun09g237233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNPRITEVFKGGCTRTSWTTFMNRITHQNTRSIFSVKLSKYQSKASHLDLPSKFANFIREVKVEDVFLIGPKTIVNCKILISNKQRSSTKIGQGWRLFCSENELKEGDIVVFQVDNDFIESNVEVFVNGCCCD >Vigun09g150900.3.v1.2 pep primary_assembly:ASM411807v1:9:31531295:31535072:1 gene:Vigun09g150900.v1.2 transcript:Vigun09g150900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPILTLFILLNPPLVLANIETCSDSVCQSGEPVIRFPFRIEGKHAEACGYPGFIVSCNQNPQTLLNLPNWDPLKIQTINYAAQQLWVNDPGNCLPKRLLSLNLSASPFRAVYHQQFTFFNCSVNLPNLVRRYRPIGCLSDPPKYAVFATPSTTVVSHLSSVCDLVATVKVPVQSPFYDQVTSSELGEDLRLTWESPACGRCESHGGRCGFRSDDTFELDCFGFPSKGISRGARYAIAICIGVPAMLCSFGVLSCICSWLKMRNHDGPWAHETVADFEALAGSRPTSVSGLDRPTIESYPKIVIGENRRLPKKSEKTCSICLSEYMPKETVKSIPECGHCFHAQCIDEWLPLNASCPICRTSPRKFPQPRARSSP >Vigun09g150900.1.v1.2 pep primary_assembly:ASM411807v1:9:31531115:31535072:1 gene:Vigun09g150900.v1.2 transcript:Vigun09g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPILTLFILLNPPLVLANIETCSDSVCQSGEPVIRFPFRIEGKHAEACGYPGFIVSCNQNPQTLLNLPNWDPLKIQTINYAAQQLWVNDPGNCLPKRLLSLNLSASPFRAVYHQQFTFFNCSVNLPNLVRRYRPIGCLSDPPKYAVFATPSTTVVSHLSSVCDLVATVKVPVQSPFYDQVTSSELGEDLRLTWESPACGRCESHGGRCGFRSDDTFELDCFGFPSKGGTICMYMYNDHFKHSVKMRTIRNNKDKKGISRGARYAIAICIGVPAMLCSFGVLSCICSWLKMRNHDGPWAHETVADFEALAGSRPTSVSGLDRPTIESYPKIVIGENRRLPKKSEKTCSICLSEYMPKETVKSIPECGHCFHAQCIDEWLPLNASCPICRTSPRKFPQPRARSSP >Vigun09g150900.2.v1.2 pep primary_assembly:ASM411807v1:9:31531115:31535072:1 gene:Vigun09g150900.v1.2 transcript:Vigun09g150900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPILTLFILLNPPLVLANIETCSDSVCQSGEPVIRFPFRIEGKHAEACGYPGFIVSCNQNPQTLLNLPNWDPLKIQTINYAAQQLWVNDPGNCLPKRLLSLNLSASPFRAVYHQQFTFFNCSVNLPNLVRRYRPIGCLSDPPKYAVFATPSTTVVSHLSSVCDLVATVKVPVQSPFYDQVTSSELGEDLRLTWESPACGRCESHGGRCGFRSDDTFELDCFGFPSKGISRGARYAIAICIGVPAMLCSFGVLSCICSWLKMRNHDGPWAHETVADFEALAGSRPTSVSGLDRPTIESYPKIVIGENRRLPKKSEKTCSICLSEYMPKETVKSIPECGHCFHAQCIDEWLPLNASCPICRTSPRKFPQPRARSSP >Vigun09g153100.2.v1.2 pep primary_assembly:ASM411807v1:9:31811235:31814687:-1 gene:Vigun09g153100.v1.2 transcript:Vigun09g153100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSAARTPVGLSTNFSCNRLKRPLIIAFKGDKQNDSALVATQEKIPVPIETAKTQKKKIGKANKVPKRERVAFAEENSPSSLDVDYNEAAAMLENIYKLSPAFDAGNAECIDGKIKRVSRRRKKIVDDCEEKDVNDDRVVRNQNKKAKRLSLDARISLKRNSDGDEVVPTRNKIKNRIEKIEELIREYSASTDFVGMDWRRMKIPPVLSSSEHAWLFKLMQPMKTILQVKEGLQKELEREPADSELADATNMNIAHVKKALEVGQAARNKLIKHNLRLVLFVINKYFSDFASGSRFQDLCQAGVKGLMTAIDRFEPNRRFRLSTYSLFWIRHAITRSMTLSSFTRVPFGLESVCILTVHGSSRNPKS >Vigun09g153100.1.v1.2 pep primary_assembly:ASM411807v1:9:31811235:31814687:-1 gene:Vigun09g153100.v1.2 transcript:Vigun09g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSAARTPVGLSTNFSCNRLKRPLIIAFKGDKQNDSALVATQEKIPVPIETAKTQKKKIGKANKVPKRERVAFAEENSPSSLDVDYNEAAAMLENIYKLSPAFDAGNAECIDGKIKRVSRRRKKIVDDCEEKDVNDDRVVRNQNKKAKRLSLDARISLKRNSDGDEVVPTRNKIKNRIEKIEELIREYSASTDFVGMDWRRMKIPPVLSSSEHAWLFKLMQPMKTILQVKEGLQKELEREPADSELADATNMNIAHVKKALEVGQAARNKLIKHNLRLVLFVINKYFSDFASGSRFQDLCQAGVKGLMTAIDRFEPNRRFRLSTYSLFWIRHAITRSMTLSSFTRVPFGLESVRAEIQKAKTELTIELQRSPTEEEIIERAKISPERYHDVMKASKSILSLNSRHITTQEEFINGIVDDGGVNGDNSKQPALLRLALDDVLDSLKPKESLVIRQRFGLDGKGDRTLGEIARNLNISREMVRKHEMKALMKLKHSARLDYLRRYVV >Vigun08g091600.2.v1.2 pep primary_assembly:ASM411807v1:8:21374594:21379818:1 gene:Vigun08g091600.v1.2 transcript:Vigun08g091600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDNVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITACEREHAERQMKLLEAQNAAAESSLGETEIDS >Vigun08g091600.1.v1.2 pep primary_assembly:ASM411807v1:8:21374573:21379863:1 gene:Vigun08g091600.v1.2 transcript:Vigun08g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDNVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITACEREHAERQMKLLEAQNAAAESSLGETEIDS >Vigun10g018500.1.v1.2 pep primary_assembly:ASM411807v1:10:2074494:2077336:1 gene:Vigun10g018500.v1.2 transcript:Vigun10g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNPSCGVLHFFCCFACCLLFPALVLSHHIHGNPASDIVDIINKNRTDQKLPHLNDSPGLGCMALQYVELCKGNCSDNNAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCQREYLEPSLAFSQVLIKDKNSVSVLKNKTHTEVGVGLVGLHKGPFFWCVLFSDGQTNSTFVLENRGVGIQQKKGCYSGSSTPCSGGHRSSVALFNILFFMCCMSILVFALL >Vigun06g001000.3.v1.2 pep primary_assembly:ASM411807v1:6:489405:500808:-1 gene:Vigun06g001000.v1.2 transcript:Vigun06g001000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHGRCIFSLTSLQIGDLQSYFADLSLFLANDSKKMYILVDNRPWLSDLGSRGIHIWQLMVTKSRLSPFAYSKARRERNEGKEVCPQSSSSNPKKLMRWLSLIEAVVLSRKRVLLPVKNLRNSLQLSSELHRTLYGFIIFEVAWSSVRGINYYNELQTDTSLAIEAKLMKRWEFDNIAQAARCMSSWFSGTPSEQMLLKERLDSASGGEIFYDASEDFSGVVSVDDDDDGDNNNVCRNVTVEDMLGTNVAVCSADIEDTTEMLHTPPPSGPNKRRKLMNSLSAGVEADSYSAGEIDDSLDYSQTSSCFSDDTAEVTQDDSVETTQDDADKATQYRDVLLLFRFDDHDLPFKLREVIVSDLRLLTLLEAGLPSWVIFLQSYPVLCNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAVRWFLAFSHAMRSFFSVLVQPLVESLVEIFGFLLPSLKFLFDIAESIFSVIWLVVDTSFDIVGNVLELLFSPFWFLFNVVWNIATCILYPLFWVLWELLYAPVRLILMTFRFLPSTCLYIFNILGKLWQFFSSIFQFAATSEATVTASEVSMWRTLWNDLFSQIFRALKSIVYGFVAFFTACNRHRLSIYNHVQEFIRRLYRACQRSRQGNSGDRGKNGLTLKLAEEKKNV >Vigun06g001000.2.v1.2 pep primary_assembly:ASM411807v1:6:489370:500809:-1 gene:Vigun06g001000.v1.2 transcript:Vigun06g001000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHGRCIFSLTSLQIGDLQSYFADLSLFLANDSKKMYILVDNRPWLSDLGSRGIHIWQLMVTKSRLSPFAYSKARRERNEGKEVCPQSSSSNPKKLMRWLSLIEAVVLSRKRVLLPVKNLRNSLQLSSELHRTLYGFIIFEVAWSSVRGINYYNELQTDTSLAIEAKLMKRWEFDNIAQAARCMSSWFSGTPSEQMLLKERLDSASGEIFYDASEDFSGVVSVDDDDDGDNNNVCRNVTVEDMLGEIDDSLDYSQTSSCFSDDTAEVTQDDSVETTQDDADKATQYRDVLLLFRFDDHDLPFKLREVIVSDLRLLTLLEAGLPSWVIFLQSYPVLCNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAVRWFLAFSHAMRSFFSVLVQPLVESLVEIFGFLLPSLKFLFDIAESIFSVIWLVVDTSFDIVGNVLELLFSPFWFLFNVVWNIATCILYPLFWVLWELLYAPVRLILMTFRFLPSTCLYIFNILGKLWQFFSSIFQFAATSEATVTASEVSMWRTLWNDLFSQIFRALKSIVYGFVAFFTACNRHRLSIYNHVQEFIRRLYRACQRSRQGNSGDRGKNGLTLKLAEEKKNV >Vigun06g001000.4.v1.2 pep primary_assembly:ASM411807v1:6:489405:500808:-1 gene:Vigun06g001000.v1.2 transcript:Vigun06g001000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHGRCIFSLTSLQIGDLQSYFADLSLFLANDSKKMYILVDNRPWLSDLGSRGIHIWQLMVTKSRLSPFAYSKARRERNEGKEVCPQSSSSNPKKLMRWLSLIEAVVLSRKRVLLPVKNLRNSLQLSSELHRTLYGFIIFEVAWSSVRGINYYNELQTDTSLAIEAKLMKRWEFDNIAQAARCMSSWFSGTPSEQMLLKERLDSASGGEIFYDASEDFSGVVSVDDDDDGDNNNVCRNVTVEDMLGEIDDSLDYSQTSSCFSDDTAEVTQDDSVETTQDDADKATQYRDVLLLFRFDDHDLPFKLREVIVSDLRLLTLLEAGLPSWVIFLQSYPVLCNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAVRWFLAFSHAMRSFFSVLVQPLVESLVEIFGFLLPSLKFLFDIAESIFSVIWLVVDTSFDIVGNVLELLFSPFWFLFNVVWNIATCILYPLFWVLWELLYAPVRLILMTFRFLPSTCLYIFNILGKLWQFFSSIFQFAATSEATVTASEVSMWRTLWNDLFSQIFRALKSIVYGFVAFFTACNRHRLSIYNHVQEFIRRLYRACQRSRQGNSGDRGKNGLTLKLAEEKKNV >Vigun06g001000.1.v1.2 pep primary_assembly:ASM411807v1:6:489349:500839:-1 gene:Vigun06g001000.v1.2 transcript:Vigun06g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDHGRCIFSLTSLQIGDLQSYFADLSLFLANDSKKMYILVDNRPWLSDLGSRGIHIWQLMVTKSRLSPFAYSKARRERNEGKEVCPQSSSSNPKKLMRWLSLIEAVVLSRKRVLLPVKNLRNSLQLSSELHRTLYGFIIFEVAWSSVRGINYYNELQTDTSLAIEAKLMKRWEFDNIAQAARCMSSWFSGTPSEQMLLKERLDSASGEIFYDASEDFSGVVSVDDDDDGDNNNVCRNVTVEDMLGTNVAVCSADIEDTTEMLHTPPPSGPNKRRKLMNSLSAGVEADSYSAGEIDDSLDYSQTSSCFSDDTAEVTQDDSVETTQDDADKATQYRDVLLLFRFDDHDLPFKLREVIVSDLRLLTLLEAGLPSWVIFLQSYPVLCNLYRPWMCPLARLLYVLISFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAVRWFLAFSHAMRSFFSVLVQPLVESLVEIFGFLLPSLKFLFDIAESIFSVIWLVVDTSFDIVGNVLELLFSPFWFLFNVVWNIATCILYPLFWVLWELLYAPVRLILMTFRFLPSTCLYIFNILGKLWQFFSSIFQFAATSEATVTASEVSMWRTLWNDLFSQIFRALKSIVYGFVAFFTACNRHRLSIYNHVQEFIRRLYRACQRSRQGNSGDRGKNGLTLKLAEEKKNV >Vigun05g158700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25581640:25581756:-1 gene:Vigun05g158700.v1.2 transcript:Vigun05g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbL MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun11g100100.4.v1.2 pep primary_assembly:ASM411807v1:11:29417587:29420899:1 gene:Vigun11g100100.v1.2 transcript:Vigun11g100100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARLIVRSCSAGRSAFRVASEAKTARSPFRIASNKPLSQSTIRLPVELSSCVVSMMPYHTATASALMNSMLSISSRTSAWIPEGS >Vigun11g100100.5.v1.2 pep primary_assembly:ASM411807v1:11:29417720:29418938:1 gene:Vigun11g100100.v1.2 transcript:Vigun11g100100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARLIVRSCSAGRSAFRVASEAKTARSPFRIASNKPLSQSTIRLPVELSSCVVSMMPYHTATASALMNSMLSISSRTSAWIPEGS >Vigun11g100100.3.v1.2 pep primary_assembly:ASM411807v1:11:29417587:29420899:1 gene:Vigun11g100100.v1.2 transcript:Vigun11g100100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARLIVRSCSAGRSAFRVASEAKTARSPFRIASNKPLSQSTIRLPVELSSCVVSMMPYHTATASALMNSMLSISSRTSAWIPEGL >Vigun11g100100.2.v1.2 pep primary_assembly:ASM411807v1:11:29417720:29419248:1 gene:Vigun11g100100.v1.2 transcript:Vigun11g100100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARLIVRSCSAGRSAFRVASEAKTARSPFRIASNKPLSQSTIRLPVELSSCVVSMMPYHTATASALMNSMLSISSRTSAWIPEGQKQTT >Vigun11g100100.1.v1.2 pep primary_assembly:ASM411807v1:11:29417720:29419789:1 gene:Vigun11g100100.v1.2 transcript:Vigun11g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAARLIVRSCSAGRSAFRVASEAKTARSPFRIASNKPLSQSTIRLPVELSSCVVSMMPYHTATASALMNSMLSISSRTSAWIPEDCNDDV >Vigun09g076775.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8791500:8791673:1 gene:Vigun09g076775.v1.2 transcript:Vigun09g076775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFFFFQFFFAMNTDHISKESGGETHNTTHKDMSSMYHQEQTVQPCQLSSSIHYGG >Vigun01g155200.6.v1.2 pep primary_assembly:ASM411807v1:1:33785804:33788597:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCYGQRTENKPIKPATVKQNHTWSGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGKTPNQSSDNLKNGEIKCSI >Vigun01g155200.3.v1.2 pep primary_assembly:ASM411807v1:1:33786955:33788597:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLFRVISGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGKTPNQSSDNLKNGEIKCSI >Vigun01g155200.1.v1.2 pep primary_assembly:ASM411807v1:1:33786893:33788734:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCYGQRTENKPIKPATVKQNHTWSGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGFHFQAQNHCGKVIILRVAMIIMAQIQTLLKLHLKLLVRIQILDYNSRYYIRKCGDIILDEVVFVLQFNLLVLTNYQFST >Vigun01g155200.4.v1.2 pep primary_assembly:ASM411807v1:1:33787180:33788609:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLFRVISGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGFHFQAQNHCGKVIILRVAMIIMAQIQTLLKLHLKLLVRIQILDYNSRYYIRKCGDIILDEVVFVLQFNLLVLTNYQFST >Vigun01g155200.2.v1.2 pep primary_assembly:ASM411807v1:1:33786893:33788730:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCYGQRTENKPIKPATVKQNHTWSGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGKTPNQSSDNLKNGEIKCSI >Vigun01g155200.5.v1.2 pep primary_assembly:ASM411807v1:1:33785804:33788597:1 gene:Vigun01g155200.v1.2 transcript:Vigun01g155200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCYGQRTENKPIKPATVKQNHTWSGMKTEEHDGWNALECLRGRLLAERHASKVAKEQAESLCNKFNELEKKLKEEIKLRDKAERKLKLLKKKLECFNVSTSSWQKCHSGEKCELFCGSSLCSAVSLENGVRNHNAAQEHAIVHTHNSPFTPKDGDISLLADTSSCISDLGNFLPQIPGFHFQAQNHCGKVIILRVAMIIMAQIQTLLKLHLKLLVRIQILDYNSRYYIRKCGDIILDEVVFVLQFNLLVLTNYQFST >Vigun04g080932.1.v1.2 pep primary_assembly:ASM411807v1:4:12112003:12113334:1 gene:Vigun04g080932.v1.2 transcript:Vigun04g080932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLQENNAIVLEDINLLEEDTDSGTIFHEEGIHKEDNEKHSEDVVSPCVGMYFDSVNDVKKFYKEYAIRSGFGTRIKTSRIDDDNHLCYFKLVCSREGKVVSSIPPEMKTLPTQRKQCHACIIVVRKEDKWMISSVVHEHNHDVSPSKSRLIRGNRKLNMQAKRTLDINDEADVRIHKSFHSLVCDAGDNRITNIFWADGRSRAAYADFGDIVSFDTTCLNNKYDMSFAPFVGVNHHGQSILLGCELLCAEDTCTFVWLFQSWLRCMGNKAPQGIVINQCKAMQNAIEIVFPNTRQCWCLWQIMKKLPEKLVGHTKYKEIKHGVKQLVYESDNAEDFENGWAKFIEKYDLQLNKCLYTLYEERRRWVPCYLKSHFWAGMSANPKPFKV >Vigun05g155833.1.v1.2 pep primary_assembly:ASM411807v1:5:25275301:25275589:1 gene:Vigun05g155833.v1.2 transcript:Vigun05g155833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQARFGFIKPAFIFSSLSKKAPSSNWRSESSFWKFNFDPSNRRLSSSSLVHQCLSSPSSISARWSLLVSQ >VigunL060058.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:38365:38799:1 gene:VigunL060058.v1.2 transcript:VigunL060058.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFDFSGVEFWVTGFWFRVSWFGFEVWGFGFRLSAFEFRIKSYGFRDSCFGCRISAFWFRVSDFGFRVSGFKIWVLCFDFGVSSLGFRVSNFELQVSSLGFRMSVFNFGFSGFRFWVTSFGLPISGFGFRGSGLGFRVFEFGI >Vigun03g419100.1.v1.2 pep primary_assembly:ASM411807v1:3:62543996:62545940:-1 gene:Vigun03g419100.v1.2 transcript:Vigun03g419100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGQDSDPKSKLVLEICSISTRSVVCVHHKLLSESANTTFVDWYCILGVEENAGVNAIRKRYHKLALHVHPDKNKHPKAEIAFKLVSEAYACLSNAAKRKAFDLERYKHFCIECKRIPYTSSNVPVNSGGPGFRAWNIITRSRSFKLWRNIREMRERFMDEANVIENCLRTNSMSRKESPGQNPVGFLPRSKSLHRFEKETPVFNPSDYLYQGYPHLRSNIYKNSSTFWYLQRNSMLHNEKGGALHASPVFEVQSRSLFASKFAFVPSKC >Vigun03g419100.2.v1.2 pep primary_assembly:ASM411807v1:3:62543996:62545940:-1 gene:Vigun03g419100.v1.2 transcript:Vigun03g419100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVRALHVHPDKNKHPKAEIAFKLVSEAYACLSNAAKRKAFDLERYKHFCIECKRIPYTSSNVPVNSGGPGFRAWNIITRSRSFKLWRNIREMRERFMDEANVIENCLRTNSMSRKESPGQNPVGFLPRSKSLHRFEKETPVFNPSDYLYQGYPHLRSNIYKNSSTFWYLQRNSMLHNEKGGALHASPVFEVQSRSLFASKFAFVPSKC >Vigun05g166600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27733831:27734765:1 gene:Vigun05g166600.v1.2 transcript:Vigun05g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATINIDYYSLFIVILLTPLPPLTTLDPPQISKNSLPWQKVISTFNIDEYTPQQPKFITMDTWLPSEFPKAQ >VigunL059098.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000619.1:24843:27079:-1 gene:VigunL059098.v1.2 transcript:VigunL059098.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAPTPNKNNTLMRLLRDLELSRKEAFEQLRKDKEQSDLRIQEHIQRLEAREKERDPRKRGHSRRNPSQEKQTPKIPKFYGGSDPKIFLDWEAKVDQIFIENHVKDQAQVDLVVLGFLEYANTWWHKVCKNYDQGPPAASWMDIKTLMRARFVPPSYRKELILKLQRLHQGPMSVSEYFKELESQMRRVEIKETNKEKIKRFVSGLRRDIKDQVELYEYSTLENVFTLALGIEIQLKRKRRAKKSYSPNHYFSHSWKGKNKKKHDKFPSSSHQEPPSKSKSPSDHLHHSTSQRSCSIKCFKCLGYNHIALNCPTKRTMILKKSNDVESEHSSPHSLSKESSSSSKTKSFEKDPMLLRRMIGQDQSELEPTQRENIFQSRCKINKWVCSLIIDGGSSTNVASTRLVEKLSLETIPHAKPYKLAWISKEGEIDVNIQVLINFSIGSYKDEVLCDVVPMEVTHILLGRPWQFDKQTLHDGYTNQYILFKNGKKTTLLPLSPQEVNKDRNIIRKDKEEKEKGQAFTKVLLAYKKILPKQDVHHSSFSSQDKKEGPKHKQERKSSLENKDGLTKARGNTLRKDGTKAQKREAQILPQIKSSSIHKGFKNLWSNSLQEGEDDEGLTPTKDEGTCLRRLSMFRKEVH >Vigun04g100501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23485517:23486225:1 gene:Vigun04g100501.v1.2 transcript:Vigun04g100501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSTDVYHASEFKYSNVHILPKTSHLWILSGKSDRSASVSFSTRKDQDQLNIHYLSTGERDICNHLASNNKVRHNLFRFTPSEKKKEGFQIIQKIIKSYVKIIVILHILLFFTILRIY >Vigun06g182200.1.v1.2 pep primary_assembly:ASM411807v1:6:30187875:30193095:1 gene:Vigun06g182200.v1.2 transcript:Vigun06g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNDNQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSRMSSRGQAAYAEAGTVVEQTVGAIRTVASFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNGVVMEDIRGDIELKDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFQVRWIREQIGLVSQEPILFAASIKENIMYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEEGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQREVSLSRDSSSRHSHGFTLSHRSGVHESVEIEDGDVEKSKGDAKKVSLRRLAYLNKPEVPMLVLGSVAAIINGLVFPMFGFLFSSAISMFFEPPEKQRKDSRFWALLYVGLGLITLVVIPVQNYFFGVAGGKLVERIRSLTFEKVVHQEISWFDDPANSSGAVGARLSSDASTVKSLVGDTLALIVQNLSTIIAGLVISFTANWILAFIILAVSPLVLMQGFLQMKFLQGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTNKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPTRPHIQIFKDLCLNIPAGKTVALVGGSGSGKSTVISLLERFYNPHTGRILLNGMDIKEFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGIATEEEITAAAKASNAHQFICALPEGYDTHVGERGTQLSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDRVSVSRTTVVVAHRLTTIRGADIIAVMKDGAVAEKGTHNELMKIIDGVYASLVALHTTAS >Vigun10g032200.1.v1.2 pep primary_assembly:ASM411807v1:10:4231651:4233602:-1 gene:Vigun10g032200.v1.2 transcript:Vigun10g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPSIQLASSTSKLPPMYDVAIHFTGEEICKKFISHLDSVLSAAGFTTFLHHDNAIEPMQSQEPVLDLCRVAIVVFTKTYSQSSWCLHQLQQIIELHEIYSRHVLPVYYEIRPSDVRLQKGDFGKAFKVTAQQTFSAQQLEHGMSMWSHALTKAANFYGWDESNYRSDAELVEKIVKIVYIWTFWEKNAHCT >Vigun10g000500.1.v1.2 pep primary_assembly:ASM411807v1:10:107021:115558:-1 gene:Vigun10g000500.v1.2 transcript:Vigun10g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDKHPFTPLSPDVTRLSSQIRSMANDFLTQHPPTQGEGVLIDEADRLPPHKIDENMWKNREYIEEAIFLLESSNWPEVLKQQSTPDSAEFALTFGQLKDKLHNTLKAIESFQIKNAEHVFNTVMTYLPQDFRGTLLRQQRERSERNKQAEVDTLVNSGGSIRDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFTRQINDDDGPMEEQRHRYGPPLYSLTSMILSVRLFLSILWARYDTKKLKKEQIAILEQAVNVYTTELERFLTFIGEVFANAPFFISADVVGALEAGKNDDYKEIHIPAGKTYEVLLSVDVVNSYIAWDFSLVQGKINMDIGFSLEFVSPTGEKTLMLPSRRYESDQGNFCTLMAGSYKLIWDNTYSTFFKKVIRYKIDCIPPVTEPVQSDSSKNAGEVEAG >Vigun07g212300.2.v1.2 pep primary_assembly:ASM411807v1:7:33347835:33352649:-1 gene:Vigun07g212300.v1.2 transcript:Vigun07g212300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPFFLFCLQQALLQNHHLFSPHGTHQAQHHVHGKLSSLSMLQLLNLSSTNVSGTIPPSFGQLSHLQLLDLSSNSLTGSIPAELGSLSLLQFLFLNSNRLTGSIPQHLSNLTSLEVLCLQDNLLNGSIPSQLGSLTSLQQFRIGGNPYLTGQIPSQLGLLTNLTTFGAAATGLSGAIPSTFGNLINLQTLALYDTEISGSMPPELGLCSELRNLYLHMNKLTGSIPPQLSKLQKLTSLLLWGNALTGPIPAELSNCSSLVIFDVSSNDLSGEIPGDFGKLVVLEQLHLSDNSLTGKIPWQLSNCASLSTVQLDKNQLSGTIPWELGKLKVLQSFFLWGNLVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFGLKKLSKLLLLGNSLTGGLPPSVANCQSLVRLRVGENQLSGQIPKEIGQLQNLVFLDLYMNNFSGSIPVEIANITVLELLDVHNNYLTGEIPSVIGELENLEQLDLSRNSLTGEIPWSFGNFSYLNKLILNNNVLTGSIPKSIRNLQKLTLLDLSYNSLSGGIPPEIGHVTSLTISLDLSYNSFTGEIPESVSALTQLQSLDLSHNMLYGEIKVLGSLTSLTSLNISYNNFSGPIPVTPFFRTLSSSSYIQNPELCQSIDGATCSSSLIRKNGLKSAKTIALVTVILASVTIILIASWILVTRNHGYKVEKTLGASTSTLGTEDFSYPWTFIPFQKVNFSIDNILDCLKDENVIGKGCSGVVYKAEMPNGELIAVKKLWKASKADEIVDSFAAEIQILGYIRHRNIVRLIGYCSNRSVNLLLYNYIPNGNLRQLLQANRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFEAYLADFGLAKLMHSPNYHHAMSRVAGSYGYIAPEYGYSMNITEKSDVYSYGVVLLEILSGRSAVESHVGDGQHIVEWVKRKMGSFEPAVSILDTKLQGLPDQMVQEMLQTLGIAMFCVNSSPSERPTMKEVVALLMEVKSQPEEMGKTSQPLIKQSLNQS >Vigun07g212300.1.v1.2 pep primary_assembly:ASM411807v1:7:33347835:33352649:-1 gene:Vigun07g212300.v1.2 transcript:Vigun07g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVPRSVTTLLFSMIFFCLTLTKIGVTCLSPDGQSLLSLLPAAGSAAKSSPVLSSWNPSSSTPCSWKGITCSPQGRVISLSIPDTFLNLSYLPPQLSSLSMLQLLNLSSTNVSGTIPPSFGQLSHLQLLDLSSNSLTGSIPAELGSLSLLQFLFLNSNRLTGSIPQHLSNLTSLEVLCLQDNLLNGSIPSQLGSLTSLQQFRIGGNPYLTGQIPSQLGLLTNLTTFGAAATGLSGAIPSTFGNLINLQTLALYDTEISGSMPPELGLCSELRNLYLHMNKLTGSIPPQLSKLQKLTSLLLWGNALTGPIPAELSNCSSLVIFDVSSNDLSGEIPGDFGKLVVLEQLHLSDNSLTGKIPWQLSNCASLSTVQLDKNQLSGTIPWELGKLKVLQSFFLWGNLVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFGLKKLSKLLLLGNSLTGGLPPSVANCQSLVRLRVGENQLSGQIPKEIGQLQNLVFLDLYMNNFSGSIPVEIANITVLELLDVHNNYLTGEIPSVIGELENLEQLDLSRNSLTGEIPWSFGNFSYLNKLILNNNVLTGSIPKSIRNLQKLTLLDLSYNSLSGGIPPEIGHVTSLTISLDLSYNSFTGEIPESVSALTQLQSLDLSHNMLYGEIKVLGSLTSLTSLNISYNNFSGPIPVTPFFRTLSSSSYIQNPELCQSIDGATCSSSLIRKNGLKSAKTIALVTVILASVTIILIASWILVTRNHGYKVEKTLGASTSTLGTEDFSYPWTFIPFQKVNFSIDNILDCLKDENVIGKGCSGVVYKAEMPNGELIAVKKLWKASKADEIVDSFAAEIQILGYIRHRNIVRLIGYCSNRSVNLLLYNYIPNGNLRQLLQANRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFEAYLADFGLAKLMHSPNYHHAMSRVAGSYGYIAPEYGYSMNITEKSDVYSYGVVLLEILSGRSAVESHVGDGQHIVEWVKRKMGSFEPAVSILDTKLQGLPDQMVQEMLQTLGIAMFCVNSSPSERPTMKEVVALLMEVKSQPEEMGKTSQPLIKQSLNQS >Vigun05g269200.1.v1.2 pep primary_assembly:ASM411807v1:5:46056744:46066276:1 gene:Vigun05g269200.v1.2 transcript:Vigun05g269200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFHSLFSKSKSKIASTGYLLRATTTSQCLHTNITTIHTSNANNSHHVFSPRYSHIAYAFSKSPARADSRVPTSRTFCTSSSERDSIEYDVVIVGAGPAGLSAAIRLKQMCRERNADLSVCVLDKGAEVGAHIISGNVFEPRALNELLPQWKEQEAPITTPVSSDKFWFLTKGRAISLPSPFNNKGNYVISLSQLVRWMGAKAEELGVEIYPGFAASEILYDANSKVIGIGTNDMGIAKDGSKKENFQRGVEIKGRITLLAEGCRGSLSEKIMKKYNLRERAGAEHQTYALGIKEVWEIDEEKHQPGAVIHTLGWPLDHKTYGGSFLYHMKDNQISIGLVVALNYQNPFLNPYEEFQKLKHHPAIKSFLEGGTVIQYGARSLNEGGFQSIPYPVFPGGAIIGCSAGFMNVPKIKGTHTAMKSGMLAAEATFGALNEGLDMDTYWDALRNSWIWEELHKSRNYRPAFEYGLIPGLALSGLEHYIFKGRHSFTLKHGKPDHEATNAARFHSPIQYPKADGILSFDVPSSLHRSNTNHEHDQPPHLRLKDPKSPELINLPVYAAPEWRYCPARVYEYVADEQNQMKLQINAQNCLHCKACDIKDPTQNIEWTVPEGGGGPGYSVM >Vigun11g058401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12293524:12294629:-1 gene:Vigun11g058401.v1.2 transcript:Vigun11g058401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSRLSLLGLFFSSTPLYLPSSSLENPKHQQPSFLFHSPISTTSFSLSPFLSNCLCHRLNN >Vigun09g077300.1.v1.2 pep primary_assembly:ASM411807v1:9:8853836:8863158:1 gene:Vigun09g077300.v1.2 transcript:Vigun09g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSPSPSSLDQTLRDVDDYVLENKSEDLSWDTLHYVYELVQNGNAAFRENRMEEAINFYSRANNIKSGDPIILSNRSAAYIRISQYFMRRTPSSSECRPLSGLEPTTLAELALKDAEKLVELQSNAAKSYLLKANALLLLEKYEKARDVILSGLQVDPFSNSLRDSLQHLERVSSSSTGMSTHGQPERNDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQSMDRGNRCPLCRTVLFISPRTCSISVTLKNIIQKNFPEEYAERKQEQDGLINAGVDLLPLFVMDVVIPCQRFPLNIFEPRYRLMVRRIMEGNHRMGMAILDSSSGSLAEFACEVEITECEPLPDGRFYIEIESRRRFRIIRSWDQDGYRVAEVEWIQDILPPEGTSERENLLELTCTAAETARSWIARAKEVARHDQRKLERLSSFEVMMPSLKDPERFSFWLCTLSNRRPAERLDLLRIRDTTERIRRGLIFLRQEEQGCRIQ >Vigun10g123333.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33143396:33143893:1 gene:Vigun10g123333.v1.2 transcript:Vigun10g123333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLKYLNDIKLLFNDNKSERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPEIIEKMVLTHLKTLKLDNVSKLKSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPSVSFTYVREMYINGCKELKYLFTLSSVNKLENLEHIEVCNCESMQAIVWK >Vigun08g179300.2.v1.2 pep primary_assembly:ASM411807v1:8:34920571:34925092:1 gene:Vigun08g179300.v1.2 transcript:Vigun08g179300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNEPHGIVNQPPEQGIPVMITWNYGGNNVAAEGSWDNWTSRKALQRAGKDHSILLVLPPGIYHYRFIVDGEERFIPDLPNVTDEMGHVCNLLDVNDYVPENPDGVSEFEAPPSPESSYGQAFPADEDFAKEPMSVPSQLHLTVLGMENSDVGSSSKPQHVVLNHVFIEKNLASKSVVALGLTHRFQSKYVTVVLYKPLKR >Vigun08g179300.1.v1.2 pep primary_assembly:ASM411807v1:8:34919903:34925092:1 gene:Vigun08g179300.v1.2 transcript:Vigun08g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNANGREDGSITATVDSPVAETVVGVAHAPDSRPPVRAFSSDSMANSPPQSPRRSRSPILFGPQVPLAPLQRGNGPPFLNQMWQNEPHGIVNQPPEQGIPVMITWNYGGNNVAAEGSWDNWTSRKALQRAGKDHSILLVLPPGIYHYRFIVDGEERFIPDLPNVTDEMGHVCNLLDVNDYVPENPDGVSEFEAPPSPESSYGQAFPADEDFAKEPMSVPSQLHLTVLGMENSDVGSSSKPQHVVLNHVFIEKNLASKSVVALGLTHRFQSKYVTVVLYKPLKR >Vigun02g148200.1.v1.2 pep primary_assembly:ASM411807v1:2:29561404:29563820:1 gene:Vigun02g148200.v1.2 transcript:Vigun02g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSDSLSLPRDQNGQDALQLIQHLTQNTDPIQNQVLRQILHQNADTEYLRRFGLNGATDRDTFKSKVPVVSYEDLRPDIERIANGDRTPILCAHPISEFLTSSGTSAGERKLMPTIDEDMDRRQFLYSLQMPVMNQYVSDLDKGKALHFLFIKAESKTPGGLLARPVLTSYYKSEQFRKRPFDPYNVLTSPNEAILCPDSFQSMYTQMLCGLIMRHEVLRVGAVFASGLLRAIRFLQLNWDQLAHDIHTGTLNPKVTDPSIRECMSKILNPDPELATFIKNECSGENWERIIVRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKPCTMYASSECFFGLNLKPMSEPSDVSYTILPNMGYFEFLPHDDDSPVTLSRDSPPRLVDLADVELGKFYELIITTYSGLCRYRVGDILQVTGFHNSDPQFRFVRRKNVLLSIDSDKTDEAELQKAIENASELLKEFNTSVVEYTSFADTKSIPGHYVIYWELFMKDSPHSPTSEVLNQCCLAMEESLNTVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFAPIMELLDSRVVSSHFSPAAPHWTPERRS >Vigun07g038800.1.v1.2 pep primary_assembly:ASM411807v1:7:3759715:3762378:1 gene:Vigun07g038800.v1.2 transcript:Vigun07g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MDTQEETLLTQFDWDSFFDQVPEFDAHDFLQDDTAPVSVAGDNPSPNDPVLSQIENLLMAHPENDVVFPETPLSESSESEFYRLLEEILVEPDEGPESERSKAESEEGSEKDRTDDAVPDEPTSKKLKRQLRNRDAAVRSRERKKAYVKDLEMKSRYLEGECRRLGHLLQCCYAENNALRLCLQSRGAYAASMTSQESAVLLLEPLLLGSLLWVMGIMCHLSLPLMLCLTAVLPRENIEQKGLRRVTQKGPESNISKCFQMESFVKSRRCRASRTKMKFIFMMF >Vigun10g177300.1.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILGPSLSIVTAYASRNEPLFFSKFTAVGENSHSPLWFSCTRPRKSARNHFQLKSSNGLPLNAVSSNDGLAGTSLAKEDSKLQPLDGVFPLSDSECAGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGFARTKMTNEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLFRARYPSEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELENKKIAPELYPYGSRGPVGAHYLAARHNVRWGDLGNED >Vigun10g177300.6.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILGPSLSIVTAYASRNEPLFFSKFTAVGENSHSPLWFSCTRPRKSARNHFQLKSSNGLPLNAVSSNDGLAGTSLAKEDSKLQPLDGVFPLSDSECAGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGFARTKMTNEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPA >Vigun10g177300.9.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGYLRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPA >Vigun10g177300.2.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILGPSLSIVTAYASRNEPLFFSKFTAVGENSHSPLWFSCTRPRKSARNHFQLKSSNGLPLNAVSSNDGLAGTSLAKEDSKLQPLDGVFPLSDSECAGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGFARTKMTNEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRYTSFLLSPDSLLVFSIRFETISRKI >Vigun10g177300.8.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGYLRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRNQSTIQTCPSLMKLYI >Vigun10g177300.7.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLFRARYPSEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELENKKIAPELYPYGSRGPVGAHYLAARHNVRWGDLGNED >Vigun10g177300.5.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILGPSLSIVTAYASRNEPLFFSKFTAVGENSHSPLWFSCTRPRKSARNHFQLKSSNGLPLNAVSSNDGLAGTSLAKEDSKLQPLDGVFPLSDSECAGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGFARTKMTNEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRNQSTIQTCPSLMKLYI >Vigun10g177300.3.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILGPSLSIVTAYASRNEPLFFSKFTAVGENSHSPLWFSCTRPRKSARNHFQLKSSNGLPLNAVSSNDGLAGTSLAKEDSKLQPLDGVFPLSDSECAGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGFARTKMTNEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRNQSTIQTCPR >Vigun10g177300.4.v1.2 pep primary_assembly:ASM411807v1:10:39565037:39568767:1 gene:Vigun10g177300.v1.2 transcript:Vigun10g177300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGYLRENCEDKMDQFLKRCFYHSGQYSSEEHFSELDIKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASQKASSKDGWTRVIVEKPFGRDSESSSELTKCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRPLQLENVVVGQYKGHNKGGKSYPAYTDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLFRARYPSEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELENKKIAPELYPYGSRGPVGAHYLAARHNVRWGDLGNED >Vigun05g095300.1.v1.2 pep primary_assembly:ASM411807v1:5:9247286:9249624:-1 gene:Vigun05g095300.v1.2 transcript:Vigun05g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVGMVVSNKMQKSVVVAVDRLFYHKVYDRYVKRTSKFMAHDENDLCNIGDKVRLNPSRPLSKHKHWIVAEILKKARIYVPPSTSMSKNVSSSSEAPAS >Vigun11g063900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14598913:14599394:1 gene:Vigun11g063900.v1.2 transcript:Vigun11g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACAALIAAASVSAVVATVEVSAPAPGPSSGASTIVPLVSSLVGASVLSFFALLH >Vigun07g152600.2.v1.2 pep primary_assembly:ASM411807v1:7:26360692:26363492:-1 gene:Vigun07g152600.v1.2 transcript:Vigun07g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGAAKRVCVFISRNRSYSSSASSENVNRIKQLRERTSAPIKDVKAALVDSNWDIDAAQKELRKKGKSFGFQKILSNRLRRFARPRPNPAKVALIELNCEIDFVARNTFSDTWHCVWQSKHCRSIALLLLPLMSDLNLWILIFFSAVATLL >Vigun07g152600.3.v1.2 pep primary_assembly:ASM411807v1:7:26360692:26363492:-1 gene:Vigun07g152600.v1.2 transcript:Vigun07g152600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGAAKRVCVFISRNRSYSSSASSENVNRIKQLRERTSAPIKDVKAALVDSNWDIDAAQKELRKKGKSFGFQKILSNRLRRFARPRPNPAKVALIELNCEIDFVARNTFSDTWHCVWQSKHCRSIALLLLPLMSDLNLWILIFFSAVATLL >Vigun07g152600.1.v1.2 pep primary_assembly:ASM411807v1:7:26359979:26363492:-1 gene:Vigun07g152600.v1.2 transcript:Vigun07g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGAAKRVCVFISRNRSYSSSASSENVNRIKQLRERTSAPIKDVKAALVDSNWDIDAAQKELRKKGKSFGFQKILSNRLRRFARPRPNPAKVALIELNCEIDFVARNTFSDTWHCVWQSKHCRSIALLLLPLMSDLNLWM >Vigun09g269500.3.v1.2 pep primary_assembly:ASM411807v1:9:43215055:43218903:1 gene:Vigun09g269500.v1.2 transcript:Vigun09g269500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMIWLNKTEQRCSQISSVMAESGVVEIIGKPVQELLLNPQNLLKNYMYEEGGAGFRDALVPSQDDEHVPVLDLLLLSSPSTAQQELAKLRHALHSWGCFQAINHGMESSFLDKVREVSKQFFQLPEEEKQKCAREPKDAEGYGNDIIYSANQRLDWTDRIYLKVLPEDQRKFKFWPQKPHDFRSTVVHYTESIKLLSEVILKVMAKSLNLEEDCFLNECGERGDTFLRLNHYPPCPLPDHVLGLKTHADGSTITFLLQDKEVEGFQVLKDHKWFKVPIIPDALVINVGDQIEIMSNGIFRSPIHRAVVNAEKERLTVAMFLVPDSEKEIKPVDKLVKESRTALYKPVKNYVEIYLQYYQQGKRAIEAFKI >Vigun09g269500.1.v1.2 pep primary_assembly:ASM411807v1:9:43215055:43218903:1 gene:Vigun09g269500.v1.2 transcript:Vigun09g269500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGVVEIIGKPVQELLLNPQNLLKNYMYEEGGAGFRDALVPSQDDEHVPVLDLLLLSSPSTAQQELAKLRHALHSWGCFQAINHGMESSFLDKVREVSKQFFQLPEEEKQKCAREPKDAEGYGNDIIYSANQRLDWTDRIYLKVLPEDQRKFKFWPQKPHDFRSTVVHYTESIKLLSEVILKVMAKSLNLEEDCFLNECGERGDTFLRLNHYPPCPLPDHVLGLKTHADGSTITFLLQDKEVEGFQVLKDHKWFKVPIIPDALVINVGDQIEIMSNGIFRSPIHRAVVNAEKERLTVAMFLVPDSEKEIKPVDKLVKESRTALYKPVKNYVEIYLQYYQQGKRAIEAFKI >VigunL084250.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000629.1:34472:35030:-1 gene:VigunL084250.v1.2 transcript:VigunL084250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVACLPSHPLEKLVARKKRRGREAVYEASLPLFPTLSGFRNRNHLSFRLLHPSTFFSIAVRSKLPASFISTASFGDKSSLPFSKMPDWSASANRNTNRFPLFRVLIERSEIKVY >Vigun07g284900.1.v1.2 pep primary_assembly:ASM411807v1:7:39814062:39821052:-1 gene:Vigun07g284900.v1.2 transcript:Vigun07g284900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRINCSIQNTLSLLNPPLSSLRFRASPLKLNPQFRFGQNPSRGALFCTYATTDITPSNAEPFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAAMAQGSTPPDHCNLISQSYKALWKDLDISYDKFIRTTDSKHEAIVKEFYSRVLDSGDIYRADYDGLYCVNCEEYKDEKELLDNNCCPVHLKPCVSRKEDNYFFALSKYQKALEETLNKNPNFVQPSFRLNEVQSWIKSGLRDFSISRASVDWGIPVPDDKSQTIYVWFDALLGYISALSDDQEQPDLLKSVSSGWPASLHLIGKDILRFHAVYWPAMLMSAGLSLPKMVFGHGFLTKDGMKMGKSLGNTLEPNDLVDKFGTDAVRYFFLREVEFGSDGDYSEERFINIVNAHLANTVGNLLNRTLGLLKKNCQSILVVDSATAAEGNSFKDNVENLVDKARIHYENLSLSSACEAVLEIGNVGNSYMDQRAPWSLFKQGDTAAEAAAKDLVIILETVRIIAIALFPVTPSLSWRIYEQLGYSRDQFDAATWKDTKWGGLKGGQVMAQPKPVFARIENQTEVEDKSKGVAVGKTVKSKGKLKLKQAQEVAGA >Vigun05g210900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40170474:40171871:1 gene:Vigun05g210900.v1.2 transcript:Vigun05g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFDNDHDHSPFPTFQNHNDFNRRILITAIVSLSVVLVLVFVLHIYARFFLRRQTRRRSAIYQLSLNVAHAQAQPPNTGLDPALITALPTFPFKHQNDAVECAVCLSVLEDGEHVRLLPNCKHSFHVGCIDAWLASHSTCPLCRTKAEPLRLEPVQREDPTRLALPTAPVLFENVEGTSDGGNGSPKINGSNSRLSSFRRILSRERSLRRIQPSTHDDVEQDLERQ >Vigun07g219600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34233975:34237332:1 gene:Vigun07g219600.v1.2 transcript:Vigun07g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFKLFLLCLLCSNTFNSVMCSLEIHCNQKDMNTLLHFKQGLIDPSGLLSSWFPNLDCCQWSGIKCDDITARVTQLYLPCYTNHPQLLPYGDKDDKSHCLSGAFSLTLLELEFLNYLNLSNNDFKCIKYSSIGSHRCDDFSTDNLPYLFGNTTKLHYLDLSYNYDLVVHDLHWISPLTSLQYLNLGGVYIHKDIDWLQSVTMLPSLEELHLVSCQLENLYPFLHYANFTSLQVLNLAHNNFVSEFPTWLFNLSSGISHIDLSQNQIHSQLPKTLPCFRSLKSLVLSQNYLKGTIPDWLGQLEQLQELDLSHNFLSGSIPTSLGNLSSLTILILESNEFNGSLPDDLGKLFNLETLRVAANSLTGIVSERNLLSFQNLRELSLSSPGLDFDFNSEWVPPFQLKHIRLGYVRNKFPAWLFKHSSLKYLAIGDSNASFEPLDKFWKFATQLEFISLERNTINGDMSNVVLNSKVVWLVGNNLGGFVPRITPEVVVLHLRNNSLSGSISTMLCQNMTNKSNLVHLNLAYNNLSGELTDCWNNWDSLVLINLGHNNLKGEIPNSMGSLSNLRCLSVGNNMLSGEVPLSLKKCHNLSIFDVGHNNLSGVLPSRFGENIKGLKLGSNQFSGTIPTQICELHSLMVMDFSSNRLSGSIPDCLHNITTMVANYASNRRVGFILNFPGFSVTVVTSVQILIKGNELSYVYLMNVIDLSNNNLSGNVPSDMYMLTGLQSLNLSHNHLMGTISEEIVNLKPLESIDLSRNNFSGQIPSSMSNLHYLEVLNLSFNNFMGKIPSGTQLGFTELSYIGNPGLCGPPLSNICQQDEEHHATKPTEEEEEEEDDTFEIYSWFYMGLGIGFAVGFWGVLVSAIFFNRRCNRAYIH >Vigun07g160400.8.v1.2 pep primary_assembly:ASM411807v1:7:27215507:27226948:1 gene:Vigun07g160400.v1.2 transcript:Vigun07g160400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILPYSYTHRYVSFPFKLNQFSPRTVTVRAAVSAPEKRGRKKKQPKDDQSSVENGLRFSFMEELMDRARNRDSNGVSEVIYDMIAAGLSPGPRSFHGLVVSHALNGHEEAAMESLRRELAAGLRPVHETFMALVRLFGSKGRAIRGLEILGDMQDLNYDIRQAWIVLIEELIRSKHLEGANQVFFKGADIGLKATDEVYDLLIKEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEDYMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVESHKRIQPNAKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHDEGNHGDPLSLYLRALCREGRIVEMLEALEVMAKDNQTIPSRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAQGFIYSNPMETSFKQRCLEDLRDYNKKLLKTLQIEGIAVLGDGVSEYDYIRVKERLKKLIKGPEQNSLKPKAASKMLVSELKEELEAQDLPTDGTRNILYQRVQKARRINRSRGRPLWIPPVEEEEEEVDEELDALISRIQLQEGNTEFWIRRFLGEGLTGDQEMTMDAAKSDVSEVADDIDAIEDATKDAEDDEVDEEEEEAEQVEEEVEPAENQDVDRIKVKEVEAKKPLQMIGVQLFKDSDQPITRSKKFRKSRLQAADDDDDDWFPLDVFEAFKEMRKRKIFDVSDMYTLADAWGWTWERELKNRPPRRWSQEWEVVLAIKVMQKVIELGGTPTIGDCAMILRAAIRAPLPSAFLTILQTTHGLGYKFGSSLYDEIISLCVDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQRIDNTSNGVITDEGL >Vigun07g160400.7.v1.2 pep primary_assembly:ASM411807v1:7:27215507:27226948:1 gene:Vigun07g160400.v1.2 transcript:Vigun07g160400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILPYSYTHRYVSFPFKLNQFSPRTVTVRAAVSAPEKRGRKKKQPKDDQSSVENGLRFSFMEELMDRARNRDSNGVSEVIYDMIAAGLSPGPRSFHGLVVSHALNGHEEAAMESLRRELAAGLRPVHETFMALVRLFGSKGRAIRGLEILGDMQDLNYDIRQAWIVLIEELIRSKHLEGANQVFFKGADIGLKATDEVYDLLIKEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEDYMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVESHKRIQPNAKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHDEGNHGDPLSLYLRALCREGRIVEMLEALEVMAKDNQTIPSRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAQGFIYSNPMETSFKQRCLEDLRDYNKKLLKTLQIEGIAVLGDGVSEYDYIRVKERLKKLIKGPEQNSLKPKAASKMLVSELKEELEAQDLPTDGTRNILYQRVQKARRINRSRGRPLWIPPVEEEEEEVDEELDALISRIQLQEGNTEFWIRRFLGEGLTGDQEMTMDAAKSDVSEVADDIDAIEDATKDAEDDEVDEEEEEAEQVEEEVEPAENQDVDRIKVKEVEAKKPLQMIGVQLFKDSDQPITRSKKFRKSRLQAADDDDDDWFPLDVFEAFKEMRKRKIFDVSDMYTLADAWGWTWERELKNRPPRRWSQEWEVVLAIKVMQKVIELGGTPTIGDCAMILRAAIRAPLPSAFLTILQTTHGLGYKFGSSLYDEIISLCVDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQRIDNTSNGVITDEGL >Vigun07g160400.2.v1.2 pep primary_assembly:ASM411807v1:7:27215493:27227096:1 gene:Vigun07g160400.v1.2 transcript:Vigun07g160400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEDYMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVESHKRIQPNAKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHDEGNHGDPLSLYLRALCREGRIVEMLEALEVMAKDNQTIPSRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAQGFIYSNPMETSFKQRCLEDLRDYNKKLLKTLQIEGIAVLGDGVSEYDYIRVKERLKKLIKGPEQNSLKPKAASKMLVSELKEELEAQDLPTDGTRNILYQRVQKARRINRSRGRPLWIPPVEEEEEEVDEELDALISRIQLQEGNTEFWIRRFLGEGLTGDQEMTMDAAKSDVSEVADDIDAIEDATKDAEDDEVDEEEEEAEQVEEEVEPAENQDVDRIKVKEVEAKKPLQMIGVQLFKDSDQPITRSKKFRKSRLQAADDDDDDWFPLDVFEAFKEMRKRKIFDVSDMYTLADAWGWTWERELKNRPPRRWSQEWEVVLAIKVMQKVIELGGTPTIGDCAMILRAAIRAPLPSAFLTILQTTHGLGYKFGSSLYDEIISLCVDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQRIDNTSNGVITDEGL >Vigun07g160400.5.v1.2 pep primary_assembly:ASM411807v1:7:27215507:27226948:1 gene:Vigun07g160400.v1.2 transcript:Vigun07g160400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEDYMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVESHKRIQPNAKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHDEGNHGDPLSLYLRALCREGRIVEMLEALEVMAKDNQTIPSRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAQGFIYSNPMETSFKQRCLEDLRDYNKKLLKTLQIEGIAVLGDGVSEYDYIRVKERLKKLIKGPEQNSLKPKAASKMLVSELKEELEAQDLPTDGTRNILYQRVQKARRINRSRGRPLWIPPVEEEEEEVDEELDALISRIQLQEGNTEFWIRRFLGEGLTGDQEMTMDAAKSDVSEVADDIDAIEDATKDAEDDEVDEEEEEAEQVEEEVEPAENQDVDRIKVKEVEAKKPLQMIGVQLFKDSDQPITRSKKFRKSRLQAADDDDDDWFPLDVFEAFKEMRKRKIFDVSDMYTLADAWGWTWERELKNRPPRRWSQEWEVVLAIKVMQKVIELGGTPTIGDCAMILRAAIRAPLPSAFLTILQTTHGLGYKFGSSLYDEIISLCVDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQRIDNTSNGVITDEGL >Vigun07g160400.6.v1.2 pep primary_assembly:ASM411807v1:7:27215493:27227096:1 gene:Vigun07g160400.v1.2 transcript:Vigun07g160400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILPYSYTHRYVSFPFKLNQFSPRTVTVRAAVSAPEKRGRKKKQPKDDQSSVENGLRFSFMEELMDRARNRDSNGVSEVIYDMIAAGLSPGPRSFHGLVVSHALNGHEEAAMESLRRELAAGLRPVHETFMALVRLFGSKGRAIRGLEILGDMQDLNYDIRQAWIVLIEELIRSKHLEGANQVFFKGADIGLKATDEVYDLLIKEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEDYMKPDTDTYNWVIQAYTRAESYDRVQDVAELLGMMVESHKRIQPNAKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKVLHDEGNHGDPLSLYLRALCREGRIVEMLEALEVMAKDNQTIPSRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAQGFIYSNPMETSFKQRCLEDLRDYNKKLLKTLQIEGIAVLGDGVSEYDYIRVKERLKKLIKGPEQNSLKPKAASKMLVSELKEELEAQDLPTDGTRNILYQRVQKARRINRSRGRPLWIPPVEEEEEEVDEELDALISRIQLQEGNTEFWIRRFLGEGLTGDQEMTMDAAKSDVSEVADDIDAIEDATKDAEDDEVDEEEEEAEQVEEEVEPAENQDVDRIKVKEVEAKKPLQMIGVQLFKDSDQPITRSKKFRKSRLQAADDDDDDWFPLDVFEAFKEMRKRKIFDVSDMYTLADAWGWTWERELKNRPPRRWSQEWEVVLAIKVMQKVIELGGTPTIGDCAMILRAAIRAPLPSAFLTILQTTHGLGYKFGSSLYDEIISLCVDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQRIDNTSNGVITDEGL >Vigun06g023600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11084578:11087219:-1 gene:Vigun06g023600.v1.2 transcript:Vigun06g023600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTTYWCYTCRQPIWLERRDAICPYCDGGFVQELYELLRAVSSQQGFSSQREDFQHVPDIMDAVRAVMEQRGSEPRVGDNFMRQRMAGSYTNFDVRRRFGSTPVPDQTWGVYTSGPYLIFHGQPPGFTVSNTASRSGSGHVDFGHYFLGPRLEGLIEQHITNDRLGPPPASRSSIDAMPTIKITNEHLQSDSHCAVCKERFELSSEARKMPCSHIYHSDCIVPWLVQHNSCPLCRVELPPQGHSSSRSSRIRGGRNGNGSGSDSISRRSGNREMNSGRRNLLSYLWPFRTSSSSNT >Vigun06g023600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11084448:11087345:-1 gene:Vigun06g023600.v1.2 transcript:Vigun06g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTTYWCYTCRQPIWLERRDAICPYCDGGFVQELYELLRAVSSQQGFSSQREDFQHVPDIMDAVRAVMEQRGSEPRVGDNFMRQRMAGSYTNFDVRRRFGSTPVPDQTWGVYTSGPYLIFHGQPPGFTVSNTASRSGSGHVDFGHYFLGPRLEGLIEQHITNDRLGPPPASRSSIDAMPTIKITNEHLQSDSHCAVCKERFELSSEARKMPCSHIYHSDCIVPWLVQHNSCPLCRVELPPQGHSSSRSSRIRGGRNGNGSGSDSISRRSGNREMNSGRRNLLSYLWPFRTSSSSNT >Vigun06g023600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11084356:11087345:-1 gene:Vigun06g023600.v1.2 transcript:Vigun06g023600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTTYWCYTCRQPIWLERRDAICPYCDGGFVQELYELLRAVSSQQGFSSQREDFQHVPDIMDAVRAVMEQRGSEPRVGDNFMRQRMAGSYTNFDVRRRFGSTPVPDQTWGVYTSGPYLIFHGQPPGFTVSNTASRSGSGHVDFGHYFLGPRLEGLIEQHITNDRLGPPPASRSSIDAMPTIKITNEHLQSDSHCAVCKERFELSSEARKMPCSHIYHSDCIVPWLVQHNSCPLCRVELPPQGHSSSRSSRIRGGRNGNGSGSDSISRRSGNREMNSGRRNLLSYLWPFRTSSSSNT >Vigun04g016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1198276:1198596:1 gene:Vigun04g016400.v1.2 transcript:Vigun04g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNCCDSCKRNTIRCCCNDEIEHANCISSKMRWKVLWMKLKKEKKKLFESASSSPLQLPYDPYTYSLNFDRGTAKDEPDNLSRSFSAKFADPSSSKLLVKKEVV >Vigun05g241200.2.v1.2 pep primary_assembly:ASM411807v1:5:43450550:43454290:-1 gene:Vigun05g241200.v1.2 transcript:Vigun05g241200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTISNNSVAKWSLPQFDSSPDPRSSPIILRHSLLHVRAFRIHCVPSEPLLPLPPITHDQPSFGGGGGSGSGSEGGDGDAGGSGDGDRDGGGDEEFGPLLNFEAVMRESEARGVKLPPDMVEAARVTGIREMFLLRYLELQNSSWPLSFLMQHCAMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGMLAPYARIGKPSISKGLLGQIQRASSALPSSVFEAERPGCKFSVMQRIATYFYKGALYGSVGFGCGIVGQGIANMIMNAKRSIKKSEDDIPVPPLLQSAALWGFFLAVSSNTRYQIINGLESVVEASVVAKRVPLVAMAFTVGVRFGNNIYGGMQFIDWAKRSGVQ >Vigun05g241200.3.v1.2 pep primary_assembly:ASM411807v1:5:43450503:43453931:-1 gene:Vigun05g241200.v1.2 transcript:Vigun05g241200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTISNNSVAKWSLPQFDSSPDPRSSPIILRHSLLHVRAFRIHCVPSEPLLPLPPITHDQPSFGGGGGSGSGSEGGDGDAGGSGDGDRDGGGDEEFGPLLNFEAVMRESEARGVKLPPDMVEAARVTGIREMFLLRYLELQNSSWPLSFLMQHCAMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGMLAPYARIGKPSISKGLLGQIQRASSALPSSVFEAERPGCKFSVMQRIATYFYKGALYGSVGFGCGIVGQGIANMIMNAKRSIKKSEDDIPVPPLLQSAALWGFFLAVSSNTRYQIINGLESVVEASVVAKRVPLVAMAFTVGVRFGNNIYGGMQFIDWAKRSGVQ >Vigun05g241200.1.v1.2 pep primary_assembly:ASM411807v1:5:43450516:43453912:-1 gene:Vigun05g241200.v1.2 transcript:Vigun05g241200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTISNNSVAKWSLPQFDSSPDPRSSPIILRHSLLHVRAFRIHCVPSEPLLPLPPITHDQPSFGGGGGSGSGSEGGDGDAGGSGDGDRDGGGDEEFGPLLNFEAVMRESEARGVKLPPDMVEAARVTGIREMFLLRYLELQNSSWPLSFLMQHCAMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVDIALVGMLAPYARIGKPSISKGLLGQIQRASSALPSSVFEAERPGCKFSVMQRIATYFYKGALYGSVGFGCGIVGQGIANMIMNAKRSIKKSEDDIPVPPLLQSAALWGFFLAVSSNTRYQIINGLESVVEASVVAKRVPLVAMAFTVGVRFGNNIYGGMQFIDWAKRSGVQ >Vigun02g168300.4.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMAGFNSVPNWLLILKEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQVRKSQNVIKHSIDIVILTLSEFMMTKQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.5.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQVRKSQNVIKHSIDIVILTLSEFMMTKQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.8.v1.2 pep primary_assembly:ASM411807v1:2:31179211:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.7.v1.2 pep primary_assembly:ASM411807v1:2:31179211:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKQVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.2.v1.2 pep primary_assembly:ASM411807v1:2:31179211:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMAGFNSVPNWLLILKEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.3.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMAGFNSVPNWLLILKEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.1.v1.2 pep primary_assembly:ASM411807v1:2:31179211:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMAGFNSVPNWLLILKEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKQVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.6.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMAGFNSVPNWLLILKEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKQVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.9.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKQVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.10.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHHPHLNKINNQPSSKLNILQSKPFTQKNRSHTLIYGCQTHALHLSCSYHSSCLTLQQLKMEEKFFTRCLSHGSVKKNEKNVFCLDCCTSICLHCLPFHRSHVFLQIRRYMYYDVLRLGDAETVLNCSLVQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun02g168300.11.v1.2 pep primary_assembly:ASM411807v1:2:31179210:31180874:-1 gene:Vigun02g168300.v1.2 transcript:Vigun02g168300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDVLRLGDAETVLNCSLVQVRKSQNVIKHSIDIVILTLSEFMMTKQPYTTNKTKVVFLKQRPHTRSHRGSSNLCITCDRNLQDPYIFCSLSCKVQQHLLLITESTTMEGTCNKYEFSVLLDKERSRENAQQIEDSQITTDSELDSPTVSGSTSVAESVNDSLKKKRGSVDLVPEIWYTMVNRRKGVPHRSPLY >Vigun01g051900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:8575458:8576563:1 gene:Vigun01g051900.v1.2 transcript:Vigun01g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKSINIEAQIPEEILIAQIMQVHASISKLESLRPCKQVNSLFTHLVKLCTLPSSIDIEALPQEVQEMRESLINLSGHAEGLLEFEFSTFISLTPEPFKNVTLFPYYGNYLKLAHIENKILKENGIVNAKKVAFVGSGPMPLTSIIMATHHMESTHFDNFDIDEKANEVARKIVASDEALEKRMKFVTQDIMDVRERLGQYDCIFLAALVGLNREAKVKILGHIRKYMKEGGVLLVRSAKGSRAFLYPIIEDRDMVNFEVLTIFHPTNDVINSVVLLRKPKA >Vigun09g138750.2.v1.2 pep primary_assembly:ASM411807v1:9:30048090:30049945:1 gene:Vigun09g138750.v1.2 transcript:Vigun09g138750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQENKKSIMKMRGVEGVVIDMAQNQVTVRGTVEPEAICYIITKKTKKKVQLISPLPEPEGEPTPEASEPVTVELNINMHCEACAAQLKRKILKMRGVQTAVAELSTGKVVVTGTMDAHKLVDYVHRRTRKQVKIVPQPETEALAEKKELEKPEAEEEVEPEEEKEEKEEKKEEENTEIIPKIEEGGNGGNNESKEEKGVEEEKKEVVGDENGVVVNVDGTIITHHSMLLNRPLSYSVMKILMHVAFHELITRSMKKRKKGFHIVFN >Vigun09g138750.3.v1.2 pep primary_assembly:ASM411807v1:9:30048080:30049945:1 gene:Vigun09g138750.v1.2 transcript:Vigun09g138750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQVTVRGTVEPEAICYIITKKTKKKVQLISPLPEPEGEPTPEASEPVTVELNINMHCEACAAQLKRKILKMRGVQTAVAELSTGKVVVTGTMDAHKLVDYVHRRTRKQVKIVPQPETEALAEKKELEKPEAEEEVEPEEEKEEKEEKKEEENTEIIPKIEEGGNGGNNESKEEKGVEEEKKEVVGDENGVVVNVDGTIITHHSMLLNRPLSYSVMKILMHVAFHELITRSMKKRKKGFHIVFN >Vigun09g138750.4.v1.2 pep primary_assembly:ASM411807v1:9:30048090:30049945:1 gene:Vigun09g138750.v1.2 transcript:Vigun09g138750.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEACAAQLKRKILKMRGVQTAVAELSTGKVVVTGTMDAHKLVDYVHRRTRKQVKIVPQPETEALAEKKELEKPEAEEEVEPEEEKEEKEEKKEEENTEIIPKIEEGGNGGNNESKEEKGVEEEKKEVVGDENGVVVNVDGTIITHHSMLLNRPLSYSVMKILMHVAFHELITRSMKKRKKGFHIVFN >Vigun09g138750.1.v1.2 pep primary_assembly:ASM411807v1:9:30048090:30049945:1 gene:Vigun09g138750.v1.2 transcript:Vigun09g138750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQENKKSIMKMRGVEGVVIDMAQNQVTVRGTVEPEAICYIITKKTKKKVQLISPLPEPEGEPTPEVASEPVTVELNINMHCEACAAQLKRKILKMRGVQTAVAELSTGKVVVTGTMDAHKLVDYVHRRTRKQVKIVPQPETEALAEKKELEKPEAEEEVEPEEEKEEKEEKKEEENTEIIPKIEEGGNGGNNESKEEKGVEEEKKEVVGDENGVVVNVDGTIITHHSMLLNRPLSYSVMKILMHVAFHELITRSMKKRKKGFHIVFN >Vigun10g182100.1.v1.2 pep primary_assembly:ASM411807v1:10:39959078:39961805:1 gene:Vigun10g182100.v1.2 transcript:Vigun10g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVELCSAQPQMASQFQGRAKGGLVTMPFIIGNEALARVATLGLLPNMILYLMGNYNLHLGKATQILLLSVATTNFMPLPGAFIADSYLGRFLAVGLGSFITFLGMALLWLTAMIPEARPPHCNSETERCVSATFWQMTVLISSLVLMSIGNGGLSCSLAFGADQVNRKDNPNNQRALEIFFSWYYASSAISIIIAFTGIVYIQDHLGWKLGFGVPAALMFLSTFLFFLASPLYVKHKTHSSLLTGFARVVVVACKNRKLRLPHKISDGMYHHNKDSDLVVPSDKLRFLNKACFIKDPEKDIASDGSTLNPWNLCTVDQVEELKAIVKVIPLWSTGIMMYLNIGGSFGLLQAKSLNRRITPNFEVPAGSLGVIMIFTIFIWIAVYDRVIIPLASKLRGKPIRISSKTRMGLGLFFSFLHLVTAAIVETIRRRRAISEGHVDDTHAVLNMSAMWLFPQLCLGGIAEAFNAVGQNEFYYSEFPKTMSSIASSFFGLGMAVGYVLSSLLFHVVEKVTSRGENGGWISDNINKGHFDKYYWVLVTLSAVNLLYYLVCSWGYGPTADQESKVNQENGSNEEELPLIGAKTEGSFDKVSQVGEEILRTSSHKTVTD >Vigun11g064000.5.v1.2 pep primary_assembly:ASM411807v1:11:14612712:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVKKALKLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >Vigun11g064000.1.v1.2 pep primary_assembly:ASM411807v1:11:14612712:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLSPSFNLSLTRNRITCFSKEHRRQVIAKEAVGDATRAISASVVERIMKRLRSVVPMVIASVQISAMVPLVDYVASPGAAEAVLYSPDTKVPRTGEVALRRAIPANSNMKSIQDTLEDITYLLRIPQRKPYGTMEGNVKKALKLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >Vigun11g064000.2.v1.2 pep primary_assembly:ASM411807v1:11:14612712:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYEDTLEDITYLLRIPQRKPYGTMEGNVKKALKLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >Vigun11g064000.4.v1.2 pep primary_assembly:ASM411807v1:11:14612712:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLSPSFNLSLTRNRITCFSKEHRRQVIAKEAVGDATRAISASVVERIMKRLRSVVPMVIASVQISAMVPLVDYVASPGAAEAVLYSPDTKVPRTGEVALRRAIPANSNMKSIQLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >Vigun11g064000.6.v1.2 pep primary_assembly:ASM411807v1:11:14614042:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVKKALKLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >Vigun11g064000.3.v1.2 pep primary_assembly:ASM411807v1:11:14612712:14620856:1 gene:Vigun11g064000.v1.2 transcript:Vigun11g064000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRYWIWKDTLEDITYLLRIPQRKPYGTMEGNVKKALKLAVDEKDSILASIPAELKEKGSLVHASLIEGKGGLQTLLQSIKEQDADKVSVNLASTLDTVAELELLQAPGLSFLLPEQYAKQYPRLSGRGTVEFTIEKGDGSTFSPVGGEQKNTATIQVVIDGYSAPLTAGNFAKLVMDGAYNGAKLNSINQAILSDNGGEKNSGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRSAGLGGISFDEGQFSVFGYTTTGRDILPQIKTGDIIRSAKLIEGQDRLVLPNES >VigunL059109.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000127.1:21163:21625:1 gene:VigunL059109.v1.2 transcript:VigunL059109.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHISILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun03g256600.1.v1.2 pep primary_assembly:ASM411807v1:3:42277389:42280720:-1 gene:Vigun03g256600.v1.2 transcript:Vigun03g256600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDNVVLGVEKKSTAKLQDSRTVRKIVNLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGAPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKENGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKET >Vigun03g420200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62602230:62604312:1 gene:Vigun03g420200.v1.2 transcript:Vigun03g420200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFDSAHERKKKLAVLSVSSVLLVAMVAAVAVGINDDVHGQHGEGGDAGIAKSQRSVHMLCQSAEYKETCQKSLANASHDNTDLKQLIIAAFNATSQEIHEKIQNSTLYHELATDNMTKQAMDICKEVLGYAVDDINESAATLEKFELSKMNDYAYDLKVWIAGTLAHQQTCLDGFENTTTEAGKTMAKVLNTSLEMSRNALDIVNGVSSLFKGLNFTAFSSSTTSPSHNPNPNPNPNPSPSHRKILSEEETLVDGFPSWVSEGQRKILESAELKPNVVVAQDGSGQVTTIHEALKLVPKKNKVPFVIHIKAGVYREHVNLNKHMGFVIMIGDGPTLTRITGSKNYVDGIQTYNTATVGVNAANFMAKNIGFENTAGAEKHQAVALRVTADKAVFYNCHMDGYQDTLYTQSQRQFYRDCTVTGTIDFVFGDAIAVFQNCKFIVRKPLEDQQCMVTAGGRTKVDSPSALVFQSCIFTGEAELKGLTPKIAYLGRPWRVFSKVVIMDSEIDDIFSPEGYMPWMGSAFRDTCTYYEYNNRGPGADTTGRITWPGFKVITPVEADDYYPGKFFELANVTERDGWILDSGVPYSLGPLPPPTNQPAV >Vigun05g245100.1.v1.2 pep primary_assembly:ASM411807v1:5:43812818:43816362:1 gene:Vigun05g245100.v1.2 transcript:Vigun05g245100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGYGSDGIYRSLRPSIVFPKQPNLTLVSHLFNRVAPFSSKQALIDADSSQTVSFAQLKTLTVKVSHGLLRLGVAKNDVVLFLAPNDIRYIVCFLAVTSIGAVVTTVNPAYTAAEVTKQAKDSNPKLVITVPELWDKLQHLNLPAVFLHSQAHSHATSFDALVQLAGSHTEFPKVDVKQSDTAVLLYSSGTTGVSKGVVLTHGNFVAASLMIGLDDDLAGEMHSVFLCVLPMFHVFGLTVISYAQLQRGNAVVSLKKFEFELVLKTVEKFRVSHLWVVPPIILALAKHGLVDKYDLSSLKHIGSGAAPLGKELMEECAKRFPRATVAQGYGMTETCGIVSVENTRMGIRHSGSAGMLVSGVEAQIVSVDTLKPLPPGQLGEIWVRGPNMMQGYHNNPQATTLTKDKKGWVHTGDLGYFDEDGQLFVVDRIKELIKYKGYQVAPAELEGLLVSHPEILDAVVIPYPDAEAGEVPVAYVVRSPNSSLTEEDIQKFIANQVAPFKRLRRVTFINAVPKTASGKILRRELIEKVRSKI >Vigun09g033300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2889590:2890896:1 gene:Vigun09g033300.v1.2 transcript:Vigun09g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTARDSSVYGVMASWFTPSYLFIFINLVIGTIAITSRFTNTAKRQRQHQLIRSPSLLNRFTSFNFRYHKHHETTPTLTPHNHNVVVEPVQTLHSSRPVQIKSLDTTETGEEDEVIKSNNNNSPQLDRVPSSSLLDRIRSFSFRRTESERSDPVQNSDSGMNNLVRTPSLLERVRCFSLDLGKVEKESCDSVQQQLSRGPSFLQRLKSLTFDRSESEAEVRDGGESVEEREEEEGVDAKADDFINRFRQQLRLQRLDSILRCRDILTRNS >Vigun09g078800.3.v1.2 pep primary_assembly:ASM411807v1:9:9159678:9164326:-1 gene:Vigun09g078800.v1.2 transcript:Vigun09g078800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLLLMLLVFSTIDLYPSSLALTQDGHTLLEIKSTLNDTRNVLSNWIKSDESHCSWTGITCHPGEQRVRSINLPYMQLGGIISPSIGKLSRLHRLALHQNGLHGVIPNEIGNCTELRALYLRANYLQGGIPSDIGNLSYLHVLDLSSNSLKGAIPSSIGRLTQLRVLNLSTNFFSGEIPDIGVLSTFRNNAFIGNLDLCGRQVQKPCRTSLGFPVVLPHAESDEAAVPAKRSPHYVKWLLVGAITIMGLALVITLSLLWICLLSKKERAARKYIEVKDQVNPESSTKLITFHGDLPYTSCELIEKLESLDEDDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPSTKLLIYDYLAMGSLDDLLHENTEQSLKWSTRLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENMEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFARRGVNVVGWMNTFLKENGLEDVLDKRCSDADVESVEVILELAASCTDANADERPSMNQVLQILEQEVMSPCPSDFYESHSDH >Vigun09g078800.2.v1.2 pep primary_assembly:ASM411807v1:9:9159678:9164326:-1 gene:Vigun09g078800.v1.2 transcript:Vigun09g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVHGLVSLVILGNNEFAQCINLPYMQLGGIISPSIGKLSRLHRLALHQNGLHGVIPNEIGNCTELRALYLRANYLQGGIPSDIGNLSYLHVLDLSSNSLKGAIPSSIGRLTQLRVLNLSTNFFSGEIPDIGVLSTFRNNAFIGNLDLCGRQVQKPCRTSLGFPVVLPHAESDEAAVPAKRSPHYVKWLLVGAITIMGLALVITLSLLWICLLSKKERAARKYIEVKDQVNPESSRKDDGTKLITFHGDLPYTSCELIEKLESLDEDDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPSTKLLIYDYLAMGSLDDLLHENTEQSLKWSTRLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENMEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFARRGVNVVGWMNTFLKENGLEDVLDKRCSDADVESVEVILELAASCTDANADERPSMNQVLQILEQEVMSPCPSDFYESHSDH >Vigun09g078800.1.v1.2 pep primary_assembly:ASM411807v1:9:9159678:9164326:-1 gene:Vigun09g078800.v1.2 transcript:Vigun09g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLLLMLLVFSTIDLYPSSLALTQDGHTLLEIKSTLNDTRNVLSNWIKSDESHCSWTGITCHPGEQRVRSINLPYMQLGGIISPSIGKLSRLHRLALHQNGLHGVIPNEIGNCTELRALYLRANYLQGGIPSDIGNLSYLHVLDLSSNSLKGAIPSSIGRLTQLRVLNLSTNFFSGEIPDIGVLSTFRNNAFIGNLDLCGRQVQKPCRTSLGFPVVLPHAESDEAAVPAKRSPHYVKWLLVGAITIMGLALVITLSLLWICLLSKKERAARKYIEVKDQVNPESSRKDDGTKLITFHGDLPYTSCELIEKLESLDEDDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPSTKLLIYDYLAMGSLDDLLHENTEQSLKWSTRLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENMEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFARRGVNVVGWMNTFLKENGLEDVLDKRCSDADVESVEVILELAASCTDANADERPSMNQVLQILEQEVMSPCPSDFYESHSDH >Vigun09g078800.4.v1.2 pep primary_assembly:ASM411807v1:9:9159678:9164326:-1 gene:Vigun09g078800.v1.2 transcript:Vigun09g078800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVHGLVSLVILGNNEFAQCINLPYMQLGGIISPSIGKLSRLHRLALHQNGLHGVIPNEIGNCTELRALYLRANYLQGGIPSDIGNLSYLHVLDLSSNSLKGAIPSSIGRLTQLRVLNLSTNFFSGEIPDIGVLSTFRNNAFIGNLDLCGRQVQKPCRTSLGFPVVLPHAESDEAAVPAKRSPHYVKWLLVGAITIMGLALVITLSLLWICLLSKKERAARKYIEVKDQVNPESSTKLITFHGDLPYTSCELIEKLESLDEDDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPSTKLLIYDYLAMGSLDDLLHENTEQSLKWSTRLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENMEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFARRGVNVVGWMNTFLKENGLEDVLDKRCSDADVESVEVILELAASCTDANADERPSMNQVLQILEQEVMSPCPSDFYESHSDH >Vigun08g019600.1.v1.2 pep primary_assembly:ASM411807v1:8:1680566:1682941:1 gene:Vigun08g019600.v1.2 transcript:Vigun08g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESFPRTQYMHSEPRMSNSEALTDDEYTMRSSSSASPMSPYFYDQGRLSGEGSPMMMSPWNNNAGSPFQKVEWVPGEDGSLPQNALVGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLQEYSGFKSNSGLVKTIILSGQKIFTGHQDGKIRVWKVSPKNPSVHKRAGTLPTLKDIFKSSIKPSNYVEVRRHKTALWIKHSDAVSCLSLSDNKLYLYSASWDRTIKVWRIADSKCLESIHAHDDAVNAVVCGEEGMVFSGSADGTVKVWRREPRGKGLKHTAVKTLLKQECAVTALAVDADASMVYCGASDGLVNFWEREKGYAHGGVLKGHKLAVLCLTSAGTLVFSGSADKTICVWRREGVIHTCVSVLTGHDGPVKCLAVEEDRENAGGRDKRWVLYSGSLDKSVKVWSVSESMNNYQSQHQRMVSDAESLPSVSESSLSSSGWASGRN >Vigun09g138500.3.v1.2 pep primary_assembly:ASM411807v1:9:30008056:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKDMCT >Vigun09g138500.4.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKDMCT >Vigun09g138500.1.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQLIC >Vigun09g138500.5.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQLIC >Vigun09g138500.7.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQLIC >Vigun09g138500.6.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQLIC >Vigun09g138500.2.v1.2 pep primary_assembly:ASM411807v1:9:30008056:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKDMCT >Vigun09g138500.10.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKDMCT >Vigun09g138500.8.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLQQIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKDMCT >Vigun09g138500.9.v1.2 pep primary_assembly:ASM411807v1:9:30008057:30012366:1 gene:Vigun09g138500.v1.2 transcript:Vigun09g138500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRWAKSMGRISRFGGFSVASSKENQQQQQQQPTITCTTFNILAPIYKRINHEDPSCRESDYRAYWLARNHRILDWLLNEMSSIICLQEFWVGNDELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVLKEYFNIFNYKELHFNDFGDRVAQLLHVELGSAFSQWRSSNIRQEILIVNTHLLFPHDSTLSLVRLQQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHDYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKYLVRRASLTESDAFAFLKADNEDCITYSGFCEALKHLSLTGHCHGLNDEEIKDLWLQADVNGNGVLDYKEFLIWNSTAPEQSDENKIGEQDEVVNDSQEQTIGFCVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQLIC >Vigun10g020100.1.v1.2 pep primary_assembly:ASM411807v1:10:2330682:2340744:-1 gene:Vigun10g020100.v1.2 transcript:Vigun10g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPGILTDWPWKPLGSFKYVVLAPWVVHSTYSLLVKDEKQRDLSTFLILPFLVWRMVHNQIWITLSRYRTAKGNGRIVDKGLEFDQVDREREWDDQILFNGLLYYLACYTLRGASHLPLWKTDGMVMAMLIHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHISYFLLFSMPMLTLVFTNTASVGAMVVYVTYIDFMNNMGHSNFEVVPKWLFAIFPPLKYLIYTSSFHSLHHTQFRTNYSLFMPLYDFIYGTTDKASDTLHESALKREEETPDVVHLTHLTTPESIYHQRLAFAYLASKPYTSKWYLTLMWPLTAWSMVLTWIYGRTFIVEANRFQKLKLQTWSIPKYTIQYFMQSQKVVINTMIEEAILDADRKGIKVLSLGLRNQEEELNIYGGLFVSRNPKLKVKVVDGSSLVVALLLNTIPKGTTQVLLRGKLTKVAYALVFSLCQQNVQVATLHEDDYVRLKKSIKGSETNLTFSKSSTQKIWLVGDELSEEEQLKAPKGTLFIPYTQFPPKKYRKDCFYHCTPAMLAPPSVQNIHSCEDWLPRRVMSAWRIAGIVHSLEGWNEHECGHTMNDIDKVWDSTLQHGFQPLQVQVKELAKCY >Vigun10g049800.1.v1.2 pep primary_assembly:ASM411807v1:10:7720058:7723725:-1 gene:Vigun10g049800.v1.2 transcript:Vigun10g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFRLSIFCLFISLLPLFSSSALLFQGFNWESSKKGGWYNSLKNSIPDLANAGITHVWLPPPSQSVSPEGYLPGRLYDLDASKYGTKNELKSLIAAFHEKGIKCLADIVINHRTAERKDGRGIYCIFEGGTPDSRLDWGPSFICRDDTAYSDGTGNNDSGEGYEPAPDIDHLNPQVQRELSEWMNWLKSEVGFDGWRFDFVKGYAPSITKIYMEQTRPDFAVGEKWDSLSYENGKPNYNQDGHRGALVNWVESAGGAVTAFDFTTKGILQAAVQGELWRLIDPNGKPPGMIGVKPENAVTFIDNHDTGSTQRAWPFPSDKVMQGYAYILTHPGTPSIFYDHFFDWGLKEQIAKLSSIRVRNGINEKSSVKIMASEGDLYVAKIDNKIMVKIGPKMDLGNLIPSNLHVATSGQDYAVWE >Vigun02g086100.1.v1.2 pep primary_assembly:ASM411807v1:2:24048636:24050822:-1 gene:Vigun02g086100.v1.2 transcript:Vigun02g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAAAGRHHVLLLPGPMQGNVNSMMKLAQLLALHHFHITFLTTDFIHRRLHRFADIHSLSQTYPNLEFKSISDGLPDHHPRSNNNAFADLYSFFNSHGKPLLTEILLSKTAAKPKVTCLIGDGFLGGLTADVADEVGIPVIHFRAISVSSFWALFCAPNLFESNELPIRGEEDMDRIITALPGMENIIRCRDLPSFYRGTESNQVDPLKSTVFDCHQTLRARGVILNTFEDLDGPLLSQMRLKFLRVFAVGPLHAHLNSRRVSDAKTTPSTASFWEADRSCLTWLDSQPLQSVLYVSFGSITTVTRERLMEFWYGLVNSKKRFLWVIRPDMVAGEDNGDRVPAELEEGTKERGFIVGWAPQEEVLTHKAIGGFLTHSGWNSTLESLVAGVPMICWPCFADQQINSRFVSEVWKIGLDMKDLCDRHVVEKMVNDVMVHRREEFLKSAQAMATLAHKSVSPGGSSYSSLQDLVEYIKSVGRKNN >Vigun11g066100.6.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYTFLFVLAELSMLFPSISSATDTFNGSQSLPDGATLVSEDGTFEMGFFSPSNSNTTTNRYLGIWYKSIPVRTVVWVANRENPVKDNSSKLSISTQGKLVILSNNNTLVWSAHTTEETKSQSIIVQLLNSGNLVVRDEKDSSPQNFFWESFDYPCDTFLPGMKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYGYMAPEYTINGLFSIKSDVYSFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.4.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYTFLFVLAELSMLFPSISSATDTFNGSQSLPDGATLVSEDGTFEMGFFSPSNSNTTTNRYLGIWYKSIPVRTVVWVANRENPVKDNSSKLSISTQGKLVILSNNNTLVWSAHTTEETKSQSIIVQLLNSGNLVVRDEKDSSPQNFFWESFDYPCDTFLPGMKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.9.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.10.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYGYMAPEYTINGLFSIKSDVYSFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.1.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYTFLFVLAELSMLFPSISSATDTFNGSQSLPDGATLVSEDGTFEMGFFSPSNSNTTTNRYLGIWYKSIPVRTVVWVANRENPVKDNSSKLSISTQGKLVILSNNNTLVWSAHTTEETKSQSIIVQLLNSGNLVVRDEKDSSPQNFFWESFDYPCDTFLPGMKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKRGPKILAAVLIPVILLTFVIAFIFTYSYWRKRKHIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYGYMAPEYTINGLFSIKSDVYSFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.11.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKRGPKILAAVLIPVILLTFVIAFIFTYSYWRKRKHIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.8.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYTFLFVLAELSMLFPSISSATDTFNGSQSLPDGATLVSEDGTFEMGFFSPSNSNTTTNRYLGIWYKSIPVRTVVWVANRENPVKDNSSKLSISTQGKLVILSNNNTLVWSAHTTEETKSQSIIVQLLNSGNLVVRDEKDSSPQNFFWESFDYPCDTFLPGMKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKRGPKILAAVLIPVILLTFVIAFIFTYSYWRKRKHIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun11g066100.12.v1.2 pep primary_assembly:ASM411807v1:11:16175646:16179515:1 gene:Vigun11g066100.v1.2 transcript:Vigun11g066100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWNLKKGLIWRFTAWKNWDDPSPGNFSWGMELGNTRELVMWKGSSEYYRSGPWNGVRFSGKYTPRYNLEFNSTPDEVYYTYKFASNSIITRVVLNQSLYSRHRYNWITQNQTWSLYSVVPIDKCDSFNVCGPYGSCNGGEPLHCECLMGFKPKSVQNYEALDSSQGCVPSGPWGCGKTDNDFQKFSGLKLPDTTRSWIHPNLTLESCKAKCLQNCSCIAYANLDIRDGSGCIIWYEALVDLTLASLPGPDLYIRRTASEIEKRGPKILAAVLIPVILLTFVIAFIFTYSYWRKRKHIEKASEESKSESSEGDLDLPFFDFPTIVRATNDFSRDKMLGQGGFGPVYRGTLADGQEIAAKRLCSNTGQGMKEFKNEVILCAKLQHRNLVKLLGCSIEGEEKILVYEYMPNKSLDYFLFDSSRKGILNWSKRLNIIHGIARGLLYLHQDSRLRIIHRDMKASNILLDNELNPKISDFGIARMFRGNQTEGNTKQVVGTYGYMAPEYTINGLFSIKSDVYSFGILLLEIVSGKRNGGIFFPDHGHNLLEHAWRFWKGDTPMKLVDTSLDDCFNTSEALRCIHVGLLCVQLHPENRPNMASVIFMLSGENILPEPKEPGFLIARMKTAGECSTSNAIKTSTNELTITLPQPR >Vigun09g057600.2.v1.2 pep primary_assembly:ASM411807v1:9:5804941:5812181:1 gene:Vigun09g057600.v1.2 transcript:Vigun09g057600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKNLMLPLSHEDSKQNEERQRKVSAMTKKGVFVALSYMASSVLLVMFNKAALSSYNFPFANVITLSQMVFAFMILYVMKSLKMISFTTSESLSGCSNSAVFVSFRTLAQTLPLALTYLLFMVVTMEAVRGINIPMYTTLRRTVVAFTMVMEYFLSGHIHSRVVVGSVGIIIAGAFVAGARDFTFDSYSYSVVFIENMCKAVYLASVSRLGKSSGLNIFGIVWCNGCDASFLCFHFLYKLHRSVEYNYQLGTYTGNLW >Vigun09g057600.4.v1.2 pep primary_assembly:ASM411807v1:9:5808694:5812181:1 gene:Vigun09g057600.v1.2 transcript:Vigun09g057600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKAALSSYNFPFANVITLSQMVFAFMILYVMKSLKMISFTTSESLSGCSNSAVFVSFRTLAQTLPLALTYLLFMVVTMEAVRGINIPMYTTLRRTVVAFTMVMEYFLSGHIHSRVVVGSVGIIIAGAFVAGARDFTFDSYSYSVVFIENMCKAVYLASVSRLGKSSGLNIFGIVWCNGCDASFLCFHFLYKLHRSVEYNYQLGTYTGNLW >Vigun09g057600.3.v1.2 pep primary_assembly:ASM411807v1:9:5808682:5812181:1 gene:Vigun09g057600.v1.2 transcript:Vigun09g057600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKAALSSYNFPFANVITLSQMVFAFMILYVMKSLKMISFTTSESLSGCSNSAVFVSFRTLAQTLPLALTYLLFMVVTMEAVRGINIPMYTTLRRTVVAFTMVMEYFLSGHIHSRVVVGSVGIIIAGAFVAGARDFTFDSYSYSVVFIENMCKAVYLASVSRLGKSSGLNIFGIVWCNVVICGPILFLWSLLRGDLQTTLNFPYFFYPGFQVVMLLSCAFTFFINYIVVLNTTINSALTQAICGNLKDVFTSGLGWLLFGGLPYDLFNILGQVLGFLGSCLYAYCKLQGK >Vigun09g057600.1.v1.2 pep primary_assembly:ASM411807v1:9:5804941:5812181:1 gene:Vigun09g057600.v1.2 transcript:Vigun09g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKNLMLPLSHEDSKQNEERQRKVSAMTKKGVFVALSYMASSVLLVMFNKAALSSYNFPFANVITLSQMVFAFMILYVMKSLKMISFTTSESLSGCSNSAVFVSFRTLAQTLPLALTYLLFMVVTMEAVRGINIPMYTTLRRTVVAFTMVMEYFLSGHIHSRVVVGSVGIIIAGAFVAGARDFTFDSYSYSVVFIENMCKAVYLASVSRLGKSSGLNIFGIVWCNVVICGPILFLWSLLRGDLQTTLNFPYFFYPGFQVVMLLSCAFTFFINYIVVLNTTINSALTQAICGNLKDVFTSGLGWLLFGGLPYDLFNILGQVLGFLGSCLYAYCKLQGK >Vigun02g035300.1.v1.2 pep primary_assembly:ASM411807v1:2:14704004:14707240:1 gene:Vigun02g035300.v1.2 transcript:Vigun02g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYEIEHPRKAFGWAARDTSGLLSPFFFSRRKTGEKDVTFKVLYCGICHSDLHKLKNEWSDSIYPLVPGREIVGEVIEVGSKVEKFKVGDKVAVGCLVGSCSSCQNCVNNLENYCRQAIPTYGAKNVDGSITYGGFSDFMVADEHFVVNIPNGLPLDVVAPLLCAGITVYSPLRYFGLDKPDMHLGVVGLGGLGHLAVKFAKALGLKVTVISTSPSKEQEAIQHLGADSFVLSRDQDQMKAAMCTLDGIIDTVSAVHPIIPLIDLLKSHGKLVTVGAPEKPLDLLLPSLIMGRKSIVGSYIGGIQETQEMIDFASEHNVRPEIEVIPMDYVNTAMERLLKADVKYRFVIDIGNTLKPYPSITLE >Vigun03g182800.1.v1.2 pep primary_assembly:ASM411807v1:3:23572516:23574916:-1 gene:Vigun03g182800.v1.2 transcript:Vigun03g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKKKREEEEEEPLPSLSHDNANGSDSQSEEGSERGDDSSRYEQARNQRIKENMERMNKLGLFDLSLKLKRPKQNPLPKKKKAIQPNHSPQRRSSRIMSLVPINYSERRNRESASKEDDVVEIYIPEGTNPEGYTEEHEEHLGDCESAWELYVDGYDEDGNRIYDPIKGETCHQCRQKTLGQHTHCSKCELLQGQFCGDCLYMRYGENVMEANRNNKWVCPPCRGICNCSRCRRGKGWMPTGNIYSKVSKLGFKSVAHYLIKTRGTELEGPDAENSVADEKSETSADTTPNRPTRTRASKKRS >Vigun01g154000.1.v1.2 pep primary_assembly:ASM411807v1:1:33673487:33675870:1 gene:Vigun01g154000.v1.2 transcript:Vigun01g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATTTTSTTTTTTTTLVVGAANHGSPLSPSPTPPSSTRPSLRTHHSHPLHHPSSTFFLSASGKALLLSNSNKRPDTPRQKYVKQVTGRNNDTELHLAAQRGDLPAVRQIIAEIDSVLVGTFELDSEVAHIRYAILNEVNDLGETALFTAAEKGHLDVVLELLPHSTAESLSSKNLSGFDTLHIAASKGHLAIVQVLLEHDPGLIKTFAQSNSTPLISAATRGHADVVQELLSRDPTQLEMTRANGKNALHLAARQGHVAVVKILLQKDPQLARRTDKKGQTALHMAVKGISCDVVKLILDADAAIVMLPDRFGNTALHVATRKKRVEIVQELLLLPDTNVNTLTREHKTALDLAEGLPITEEILEIKECLIRYGAVKANDLNQPRDELRKTMTQIKKDVYFQLEQTRKTNRNVSGIENELRKLHRAGVNNAGNSVTVVAVLFAAVAFAALFTVPGGDYDNGMAVMVHTAPFKAFFISNALALFTSLAVVLVQITIVRGEVKAERKVVEVINKMMWLASVCTSVSYTTASYIVVGRRSQWAAILVTVIGGIIMGGILGTMTYYVVKSKRSRRERKKEKKSKKEGTLRLSYSDESEINPIYAI >Vigun04g140900.2.v1.2 pep primary_assembly:ASM411807v1:4:34906871:34913461:1 gene:Vigun04g140900.v1.2 transcript:Vigun04g140900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFWSMICGDSVGSKTMGKLSCYDFKFLKDPSLCINHLLIISFDALLLIMLSFAVIHRSFSRPCQGLIQVGRYSKLQLCSAITSGSLGLLNLCLGIWFLEEKLRKNNTTFPLHWWVLELFQGFTWLFVGLTVSLKLKQLSRVWLWLFSILTFFVYGIVCLLSMSYLITSTELSFKIVLDVLSLLGVFLLLSCTYSVCKIEDTNRETREGLYTPISDQFNGVDPTNRYVTPFAKAGFCSRMLFWWLSPLMKKGQEKTLENEDIPKLGELDRAESCYLLFEEHLNKQKEKDPSSPVSILRTIILCHQKEILISGFFALLKVVTVSSGPVLLNAFIRVAEGNESFKYEGYVLVISLFIIKVIESLSQRQWYFRSRLIGMKVRSLLTAAIYKKLLRLSNVARLTHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSVQLCIALVILFRAIGMATIASLVVIVLTVLCNTPIAKLQHKFQTELMVSQDERLKAISEALLNMKVLKLYAWENHFKNAIEKLRKVELKLLAAVQLRKAYNVIIFWCSPVLVSAASFGVCYFLKVPLHANNVFTFVAALRIVQEPITTIPDVIGVVIQAKVAFARIIKFLEAQELQSNSFRKESSVNSLNDSILIKHADFSWEDNVSKPTLRNINLEIKHGQKVAICGEVGSGKSTLLSLILGEVPITKGTIDVYGKFAYVSQTAWIQTGTVRENILFGSELEAERYQETLHRSSLVKDLELFPNGDLTEIGERGVTLSGGQKQRIQLARAFYQNADIYLLDDPFSAVDAHTATNLFNDYIMEGLKEKTVLVVTHQVDFLSAFDYVLLISKGEILEVAPYHHLLSSSKEFQNLVNAHKKTAGSNKLVNVTFSKRHPTSVREIRQAFMINQFNIAHSKLIKQEEREIGDTGLKPYLQYLNQMKCYILFSVAALCHITFSIFQILQNSWMATNVDNHHVSTLLLIVVYFLLGVISILFMFIRSLSLVSLGFLSSKYLFLQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDIPFSLTFTVGATINAYSTLTVLAVVTWPVLVISIPLVYVAIRLQRYYFASAKEVMRMNGTTKSSVANHIAETVAGVVTIRAFEEEYRYFVKNLDLIDINASSFFHSFASNEWLILRLETVAAVVLSFSALCMVLFPPGTFTSGFIGMALSYGLTLSSSLVLSIQSQCSLANYIISVERLNQYMHIQSEAEEIIETKRPPFNWPDEGEIEVKDLQVRYRPDGPLILHGITCTFKAGHKVGIVGRTGSGKSTLIASLFRLVEAAGGKIVVDGIDISCIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLFQHSDKEIWEVLGKCQLREVVQEKEEGLNSSVVEDGSNWSMGQRQLFCLGRAILSSSKILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLSISDGILVEYAEPNTLMKREGSLFRQLVQEYWSHFHSSESH >Vigun03g073000.2.v1.2 pep primary_assembly:ASM411807v1:3:6030912:6032493:1 gene:Vigun03g073000.v1.2 transcript:Vigun03g073000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLSDLMGSGYHHKKKKKKQFQTVELKVRMDCDGCELKVKNALSSLSGVKSVEINRKQQRVTVSGYVEANKVLKKAKSTGKRAEIWPYVPYNLVAQPYAVAAYDKKAPPGYVRRVEVAANTGTITRYEDPYVTMFSDDNPNACSIM >Vigun03g073000.1.v1.2 pep primary_assembly:ASM411807v1:3:6031271:6032493:1 gene:Vigun03g073000.v1.2 transcript:Vigun03g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLSDLMGSGYHHKKKKKKQFQTVELKVRMDCDGCELKVKNALSSLSGVKSVEINRKQQRVTVSGYVEANKVLKKAKSTGKRAEIWPYVPYNLVAQPYAVAAYDKKAPPGYVRRVEVAANTGTITRYEDPYVTMFSDDNPNACSIM >Vigun08g034300.1.v1.2 pep primary_assembly:ASM411807v1:8:3200007:3202418:-1 gene:Vigun08g034300.v1.2 transcript:Vigun08g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCTRNWIGLLQLKQRSMAILPSLAVPSTPMFRVKLFQCQPCHFHRCSEIYFPRLRSYNRTRSFKESMAEHNEPNEVKMKIGIMREKLKGTIPVFVQEFPWKKAEHIFLDKLLNLSQEAVKWSLVLFFIYSFVSDVVYTLSINRELIIPVGLFAGCLVADFLKEISQELFHRCEEKVLKWRLLGMYFIFVFVKIMSSWFATVPRVFLLHVASGGLMQVLWHWRNLIEDAKNQQETSNFSNLETS >Vigun10g135610.2.v1.2 pep primary_assembly:ASM411807v1:10:34757322:34759291:1 gene:Vigun10g135610.v1.2 transcript:Vigun10g135610.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHCLTSTLGLRDVKEHRTTLGSTLNRELTSGGRNKFFSPFHLFLSLWCSFEVNLSFSPIAFHITVDPFSHHRLHFVPLRYLIYILQIKRKSLKI >Vigun10g135610.3.v1.2 pep primary_assembly:ASM411807v1:10:34757322:34758887:1 gene:Vigun10g135610.v1.2 transcript:Vigun10g135610.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHCLTSTLGLRDVKEHRTTLGSTLNRELTSGGRNKFFSPFHLFLSLWCSFEVNLSFSPIAFHITVDPFSHHRLHFVPLRVEVLKNDVEESYEE >Vigun10g135610.1.v1.2 pep primary_assembly:ASM411807v1:10:34757322:34758887:1 gene:Vigun10g135610.v1.2 transcript:Vigun10g135610.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHCLTSTLGLRDVKEHRTTLGSTLNRELTSGGRNKFFSPFHLFLSLWCSFEVNLSFSPIAFHITVDPFSHHRLHFVPLSYQCYIYIKEGSSTRRLTK >Vigun11g145300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35438504:35439557:1 gene:Vigun11g145300.v1.2 transcript:Vigun11g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHSLFLCASLVLVIVTTSAQPSPATGPQSPLASPSGMNTVPLVPVSPNAAPSPATPKASTIDIAQILSKAKRFSVLIRLLKTTQLINQLNSQLLTSGSGGLTILSPPDSAFSKLKGGFLNSLDDRQKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDPQRLQLNITTYGGSHVSMSTGAVNATITGTVYADNKLAIYEVDKVLLPLDVLLPKPNKAPAPKSSADKSGDTNKNMDDDSGVPVEASGGCMNFNSVLVKWMSFVVGVAFMGGAMI >Vigun10g026400.1.v1.2 pep primary_assembly:ASM411807v1:10:3130047:3131437:-1 gene:Vigun10g026400.v1.2 transcript:Vigun10g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKFSSIWVFFLCVFALSCARATSMNIPVASAFHASSYEKDAGRRSLAQQRKVGPNNADPCCHHYRK >Vigun03g315250.1.v1.2 pep primary_assembly:ASM411807v1:3:50917503:50918503:1 gene:Vigun03g315250.v1.2 transcript:Vigun03g315250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEEQLCRILEDKSEELQNFEPSLGSVMWAAFGTTTVFGFALTEDDYGHSQTVASTDPFDVISLKYDLQCWIAFDLYISTKMVTVHLG >Vigun09g052600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5205780:5206577:1 gene:Vigun09g052600.v1.2 transcript:Vigun09g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMASLNSLVLLLAALVLSPQVLANYEKPPVHKPPIKKPPVEKPPVYKPPVHKPPVEEKPVRKPPVHKPPHGKPPLHESQYEKPPVYKSPPVHKPPVEKPPVHKPPVQKPPVHKPPHGKPPVHESQYEKPPLYKSPPVEKPPVYKPPVQKPPVHKPPHPKYPPGSN >Vigun06g105900.1.v1.2 pep primary_assembly:ASM411807v1:6:23542963:23544400:-1 gene:Vigun06g105900.v1.2 transcript:Vigun06g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEYRFPPQKQYTQPGKEYLMNPPPQYSSPDYKPSNKLQGKVAVVTGGDSGIGRAVCNLFSLEGATVIFTYVKGQEDIDARDTLEIIRKGKSGDAKEPMAIAVDLGYEENCKRVVDEVINAYGCIDVLVNNAAVQFQSDSLEEIDDKRLDKVFRTNIFSYFFMTKYAVRHMKEGSSIINTTSVVAYQGFATLVDYASTKGAIVGFTRALALQLVSKGIRVNGVAPGPIWTPLQVASFTVEQIVVFGSDVTPMKRAGQPIEVAPSYVFLASNQCSSYITGQVLHPNGGTIVNT >Vigun04g159200.3.v1.2 pep primary_assembly:ASM411807v1:4:38125374:38128224:1 gene:Vigun04g159200.v1.2 transcript:Vigun04g159200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSHVPATETPSFWTEEKHVHFLNTMEAAFVRAMHENHGAATLTRHCLRLDRDLPDTSDSTLDLKPHPRRRTRKLHAPTDSMGPTMRRPRRRSSQPYNSSQDQVVPHAENQTEGAAACNGEEDDKRAQN >Vigun04g159200.4.v1.2 pep primary_assembly:ASM411807v1:4:38125681:38126758:1 gene:Vigun04g159200.v1.2 transcript:Vigun04g159200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSHVPATETPSFWTEEKHVHFLNTMEAAFVRAMHENHGAATLTRHCLRLDRDLPDTSDSTLDLKPHPRRRTRKLHAPTVDSMGPTMRRPRRRSSQPYNSSQDQV >Vigun04g159200.1.v1.2 pep primary_assembly:ASM411807v1:4:38125374:38128224:1 gene:Vigun04g159200.v1.2 transcript:Vigun04g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSHVPATETPSFWTEEKHVHFLNTMEAAFVRAMHENHGAATLTRHCLRLDRDLPDTSDSTLDLKPHPRRRTRKLHAPTVDSMGPTMRRPRRRSSQPYNSSQDQVVPHAENQTEGAAACNGEEDDKRAQN >Vigun08g090600.3.v1.2 pep primary_assembly:ASM411807v1:8:21072261:21081699:-1 gene:Vigun08g090600.v1.2 transcript:Vigun08g090600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELQWILVLAICASSLINILAQNPQLTTYRNLANLDYSGVISIDCGVNEGYTDKTTNLQYEADDIEFGEMNNTFSTYNISQIHKQLNTLRSFPYGKRNCYTLTPKQGKNKKYIVRAYFAYGNYDSKNKAPVFDLHLGVNFLKTINASEAAVIRIEAVHFASTERIDFCLVNIDQGVPFISLLELWPLDNSIYQSSSTLLTLDLLTRLNLGASEDNFIRYTDDIYGRSWEVPNNYNKHLKTSSAIDLDKLDDLYKLPAEVLSSAVEGLHSSSLEFTLKYDTDSEYCVYLHFFDFEDRTNKQKRRLNIVINGFDDNNVTEFLTLSYWKPYSIILPIKQGMGIQKILIEANSDSDLPPMLNALEIYRVLPQSDSSTQQEDLDAIWHIKDVYRISNMNWQGDPCGPKNFTWEGVKCSNGDTPTIISFRNLSSSKLSGEIDASFSNLTCLETLDLSNNELTGEVPEFFAKLPQLHFLNLSMNMLTGSVPESLKAKSSNNSLQFSFDGNLRPYQTESSKSNHKKFLIPLVASITAFVAVVLTISTIVMVIRRLRKKGKVISTISVKDDLLKSANQAFSYSEILRITNDFSTMIGKGGFGKVYLGTLECGKRVAVKILSSPSAQGYKEFQSEAKLLMNVHHRNVVSLVGYCDEGDTKGLIYEYLPEGNLQQKLSDKNPVFLEWTQRLKIAMDAANGLDYLHNGCKPPVIHRDLKTSNILLDENMHAKISDFGVSKTFANDSDTYVTTYPAGTPGYLDPEFYCSGTLNKKSDVYSFGIILLELITGQPALTGTPENLSYILPWVNNKLRTGNIQEIVDPRLHGKYNGASAWKFVGIAMSCLPQVPIHRPDIGHIALELKDCLSLELSLERTVSNVRESLAMSSRQIEFH >Vigun08g090600.2.v1.2 pep primary_assembly:ASM411807v1:8:21072261:21081699:-1 gene:Vigun08g090600.v1.2 transcript:Vigun08g090600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELQWILVLAICASSLINILAQNPQLTTYRNLANLDYSGVISIDCGVNEGYTDKTTNLQYEADDIEFGEMNNTFSTYNISQIHKQLNTLRSFPYGKRNCYTLTPKQGKNKKYIVRAYFAYGNYDSKNKAPVFDLHLGVNFLKTINASEAAVIRIEAVHFASTERIDFCLVNIDQGVPFISLLELWPLDNSIYQSSSTLLTLDLLTRLNLGASEDNFIRYTDDIYGRSWEVPNNYNKHLKTSSAIDLDKLDDLYKLPAEVLSSAVEGLHSSSLEFTLKYDTDSEYCVYLHFFDFEDRTNKQKRRLNIVINGFDDNNVTEFLTLSYWKPYSIILPIKQGMGIQKILIEANSDSDLPPMLNALEIYRVLPQSDSSTQQEDLDAIWHIKDVYRISNMNWQGDPCGPKNFTWEGVKCSNGDTPTIISLNLSSSKLSGEIDASFSNLTCLETLDLSNNELTGEVPEFFAKLPQLHFLNLSMNMLTGSVPESLKAKSSNNSLQFSFDGNLRPYQTESSKSNHKKFLIPLVASITAFVAVVLTISTIVMVIRRLRKKGKVISTISVKDDLLKSANQAFSYSEILRITNDFSTMIGKGGFGKVYLGTLECGKRVAVKILSSPSAQGYKEFQSEAKLLMNVHHRNVVSLVGYCDEGDTKGLIYEYLPEGNLQQKLSGFHFTQY >Vigun08g090600.1.v1.2 pep primary_assembly:ASM411807v1:8:21072261:21081699:-1 gene:Vigun08g090600.v1.2 transcript:Vigun08g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELQWILVLAICASSLINILAQNPQLTTYRNLANLDYSGVISIDCGVNEGYTDKTTNLQYEADDIEFGEMNNTFSTYNISQIHKQLNTLRSFPYGKRNCYTLTPKQGKNKKYIVRAYFAYGNYDSKNKAPVFDLHLGVNFLKTINASEAAVIRIEAVHFASTERIDFCLVNIDQGVPFISLLELWPLDNSIYQSSSTLLTLDLLTRLNLGASEDNFIRYTDDIYGRSWEVPNNYNKHLKTSSAIDLDKLDDLYKLPAEVLSSAVEGLHSSSLEFTLKYDTDSEYCVYLHFFDFEDRTNKQKRRLNIVINGFDDNNVTEFLTLSYWKPYSIILPIKQGMGIQKILIEANSDSDLPPMLNALEIYRVLPQSDSSTQQEDLDAIWHIKDVYRISNMNWQGDPCGPKNFTWEGVKCSNGDTPTIISLNLSSSKLSGEIDASFSNLTCLETLDLSNNELTGEVPEFFAKLPQLHFLNLSMNMLTGSVPESLKAKSSNNSLQFSFDGNLRPYQTESSKSNHKKFLIPLVASITAFVAVVLTISTIVMVIRRLRKKGKVISTISVKDDLLKSANQAFSYSEILRITNDFSTMIGKGGFGKVYLGTLECGKRVAVKILSSPSAQGYKEFQSEAKLLMNVHHRNVVSLVGYCDEGDTKGLIYEYLPEGNLQQKLSDKNPVFLEWTQRLKIAMDAANGLDYLHNGCKPPVIHRDLKTSNILLDENMHAKISDFGVSKTFANDSDTYVTTYPAGTPGYLDPEFYCSGTLNKKSDVYSFGIILLELITGQPALTGTPENLSYILPWVNNKLRTGNIQEIVDPRLHGKYNGASAWKFVGIAMSCLPQVPIHRPDIGHIALELKDCLSLELSLERTVSNVRESLAMSSRQIEFH >Vigun08g090600.4.v1.2 pep primary_assembly:ASM411807v1:8:21072261:21081699:-1 gene:Vigun08g090600.v1.2 transcript:Vigun08g090600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELQWILVLAICASSLINILAQNPQLTTYRNLANLDYSGVISIDCGVNEGYTDKTTNLQYEADDIEFGEMNNTFSTYNISQIHKQLNTLRSFPYGKRNCYTLTPKQGKNKKYIVRAYFAYGNYDSKNKAPVFDLHLGVNFLKTINASEAAVIRIEAVHFASTERIDFCLVNIDQGVPFISLLELWPLDNSIYQSSSTLLTLDLLTRLNLGASEDNFIRYTDDIYGRSWEVPNNYNKHLKTSSAIDLDKLDDLYKLPAEVLSSAVEGLHSSSLEFTLKYDTDSEYCVYLHFFDFEDRTNKQKRRLNIVINGFDDNNVTEFLTLSYWKPYSIILPIKQGMGIQKILIEANSDSDLPPMLNALEIYRVLPQSDSSTQQEDLDAIWHIKDVYRISNMNWQGDPCGPKNFTWEGVKCSNGDTPTIISFRNLSSSKLSGEIDASFSNLTCLETLDLSNNELTGEVPEFFAKLPQLHFLNLSMNMLTGSVPESLKAKSSNNSLQFSFDGNLRPYQTESSKSNHKKFLIPLVASITAFVAVVLTISTIVMVIRRLRKKGKVISTISVKDDLLKSANQAFSYSEILRITNDFSTMIGKGGFGKVYLGTLECGKRVAVKILSSPSAQGYKEFQSEAKLLMNVHHRNVVSLVGYCDEGDTKGLIYEYLPEGNLQQKLSGFHFTQY >Vigun06g191800.1.v1.2 pep primary_assembly:ASM411807v1:6:30914902:30917974:1 gene:Vigun06g191800.v1.2 transcript:Vigun06g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSSSIWVLSNIKLQFFARIRRFLQSKATRKRFDPSDRFDAAKSRGEHNKKAENIGTEQVMQKTEEEEEEEVSAIMLQRTVKMLHFGSWEEKEVAAKEIEKLAKEDVNVRKLITELGVVPVLVSMAASPVASRRRAALTALVHLADGTYTNKALIVEAGILCKLPKNIDLVDESTRSKLAELLLSLSSLANTQFPLASLDFLPLLRHIFETDTCFDTKQSCLSALYNLSTVLENASPMVSSGVVPILLEASSLKEISEKALATLGNLSVTLMGKKAIENSTMVPETFIDILSWEDKPKCQELSVYILMILAHQSSLQRKKMAEAGIVPVLLEVVLLGSTLAQKRAMKLLQWFKDERQTKMGPHSGPQTPRFAMGSPVNQREAKEGKKMMKSLVKQSLHRNMEIITHRANAAGESSKLKSLIISTSSKSLPY >Vigun03g121500.1.v1.2 pep primary_assembly:ASM411807v1:3:11355963:11359470:-1 gene:Vigun03g121500.v1.2 transcript:Vigun03g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQYILGGIMACSFAFLYFVYSFGAKKVTDSSSIHVESNKCEKKSSENGISSHEAAESSDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLQDCVSEIDAQQVFGYALYKDGKNTKLSYPLEKFSSDVSGRSFHNGRFIQRMREKASSLPNVNLEQGTVTSLLEEKGTIIGVQYRTKSGQEFTAKAPLTIVCDGCFSNLRRSLCNPKVDVPSHFVGLVLENCNLPYANHGHVILGDPSPILFYPISSTEIRCLVDVPGQKLPSLANGEMARYLKTVVAPQVPPELYTSFIAAVDKGSIRTMPNRSMPASPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLKNLHDASALCRYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGVFSNGPISLLSGLNPRPLSLVLHFFAVAIYGVGRLLIPFPSPKRVWIGARLISGASGIIFPIIKAEGVRQMFFPATVAAYYRAPPVR >Vigun05g142600.1.v1.2 pep primary_assembly:ASM411807v1:5:18486828:18487073:-1 gene:Vigun05g142600.v1.2 transcript:Vigun05g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPICCLPRLKTTRFMKWKRLSFGWTTPIPVLFSAGKG >Vigun04g199800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42375358:42375955:1 gene:Vigun04g199800.v1.2 transcript:Vigun04g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSKLGLLLYLLLLTLYHFQFKGSESGVVASKVEDSFFPPSKIHVTIINFMAKKDLAIHCKDKHHDLGNKILSYGERFNFSFKPNKFLYVTLYFCRFTWVGASHHFDIYDEYRDDCVECLWEIVERGPCNVYPRFSKCYMWNK >Vigun07g157600.2.v1.2 pep primary_assembly:ASM411807v1:7:26908515:26943855:1 gene:Vigun07g157600.v1.2 transcript:Vigun07g157600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTEDEVVDIESASSDSFNDDSDDEESLVPEIDDGVHLEEPLTEEEIQDLISELLEVESKAAEAQEALEEESLSKVESEVRQELKQSLQGDDLETAVDDEMATFKEEWEAVLDDLETESAHLLEQLDGAGIELPSLYKLIEKEAPNVCSTEAWKKRNHWVGSVATSEIAESIADAEKHLQVNRPVRRRHGKLLEEGASGFLQKKLCDETQEPVKNEAEGDWDMFNKIVSDGSGIDATFGSKHWASVYLASTPQQAALMGLKFPGVDEVEEIDDVDGNSMDPFIAAAIANERELDLSDEQRRQFKKVKEEDDAIVDKKLQIRLKHRRHKKISKQEEMSTPIQLTDSPTQKPSYADHLDPDAKEGTNYNGKIVSDNGNDTCVLIETDNIKGFDASHHLDKEKLTSSDGLSDPPKSSVDGVIEQRGIKRVSSGELDAENKKSRLVVIDSDDEAGVTKEKLDCITQQVKDELGKNGTGSLPSECLDEKFLCTVCDKVALEVHPHPLLKVITCGDCNRLLKEKAHEKDLRQDCSEGYCTWCGGNSGLVSCKLCNILFCTKCLKKNIGVELVSETQATSWHCCCCHPNLLQRLSLQLEKAVGSASIVVSSSSSDSDDSDDSDNSDDSDDSDAQINVTMSSKRRPKKKIRRILDDAELGEETKRKIAIEKERQERLKSLRGQFSASSIEMSPDGCNGNLSEGASVEVLGDALAGYIVNVVREKGEEAVRIPPSISAKLKAHQISGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRCVDLGLRTALIVTPVNVLHNWRQEFLKWSPSELKPLKVFMLEDVPRRAELLKKWRAKGGVFLIGYTAFRNLSFGKHVKDRNMAREICHALQDGPDILVCDEAHMIKNTKADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTLTDVKIMNQRSHILYEELKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYKRFLDVHGFTTQEHPEMLRKRCFFAGYQALARIWNHPGILQLTKEAKDYAKEEDAVENFLVDDSSSDENSDYNVLAGEKMGFANDLLQRKDGNGFFLKGWWNDLLHGKIYKEIDHSGKMVLLMEILTMSSDVGDKVLVFSQSIPTLDLIELYLSRTPRRGKRGKFWKKGKDWYRLDGRTVSSERQKLVERFNEPLNKRVKCTLISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRSWRYGQTKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFAFGDDDNPETLGDLGQENEHQENPISVGHPLKHTAPHSNGSSYSDKLMESLLSKHHPWWIAHYHEHESLLQENEDEKLSKEEQDMAWEVYRKSLEWEEVQRVPLGESIVPIQKPEIPNDVPHVSETCNILPSKLSRRFASRKCTNLAHMLTLRSQGTKFGCSTVCGECAQEIRWEDLKKR >Vigun07g157600.3.v1.2 pep primary_assembly:ASM411807v1:7:26918220:26943855:1 gene:Vigun07g157600.v1.2 transcript:Vigun07g157600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPIQLTDSPTQKPSYADHLDPDAKEGTNYNGKIVSDNGNDTCVLIETDNIKGFDASHHLDKEKLTSSDGLSDPPKSSVDGVIEQRGIKRVSSGELDAENKKSRLVVIDSDDEAGVTKEKLDCITQQVKDELGKNGTGSLPSECLDEKFLCTVCDKVALEVHPHPLLKVITCGDCNRLLKEKAHEKDLRQDCSEGYCTWCGGNSGLVSCKLCNILFCTKCLKKNIGVELVSETQATSWHCCCCHPNLLQRLSLQLEKAVGSASIVVSSSSSDSDDSDDSDNSDDSDDSDAQINVTMSSKRRPKKKIRRILDDAELGEETKRKIAIEKERQERLKSLRGQFSASSIEMSPDGCNGNLSEGASVEVLGDALAGYIVNVVREKGEEAVRIPPSISAKLKAHQISGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRCVDLGLRTALIVTPVNVLHNWRQEFLKWSPSELKPLKVFMLEDVPRDRRAELLKKWRAKGGVFLIGYTAFRNLSFGKHVKDRNMAREICHALQDGPDILVCDEAHMIKNTKADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTLTDVKIMNQRSHILYEELKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYKRFLDVHGFTTQEHPEMLRKRCFFAGYQALARIWNHPGILQLTKEAKDYAKEEDAVENFLVDDSSSDENSDYNVLAGEKMGFANDLLQRKDGNGFFLKGWWNDLLHGKIYKEIDHSGKMVLLMEILTMSSDVGDKVLVFSQSIPTLDLIELYLSRTPRRGKRGKFWKKGKDWYRLDGRTVSSERQKLVERFNEPLNKRVKCTLISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRSWRYGQTKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFAFGDDDNPETLGDLGQENEHQENPISVGHPLKHTAPHSNGSSYSDKLMESLLSKHHPWWIAHYHEHESLLQENEDEKLSKEEQDMAWEVYRKSLEWEEVQRVPLGESIVPIQKPEIPNDVPHVSETCNILPSKLSRRFASRKCTNLAHMLTLRSQGTKFGCSTVCGECAQEIRWEDLKKR >Vigun07g157600.1.v1.2 pep primary_assembly:ASM411807v1:7:26908515:26943855:1 gene:Vigun07g157600.v1.2 transcript:Vigun07g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTEDEVVDIESASSDSFNDDSDDEESLVPEIDDGVHLEEPLTEEEIQDLISELLEVESKAAEAQEALEEESLSKVESEVRQELKQSLQGDDLETAVDDEMATFKEEWEAVLDDLETESAHLLEQLDGAGIELPSLYKLIEKEAPNVCSTEAWKKRNHWVGSVATSEIAESIADAEKHLQVNRPVRRRHGKLLEEGASGFLQKKLCDETQEPVKNEAEGDWDMFNKIVSDGSGIDATFGSKHWASVYLASTPQQAALMGLKFPGVDEVEEIDDVDGNSMDPFIAAAIANERELDLSDEQRRQFKKVKEEDDAIVDKKLQIRLKHRRHKKISKQEEMSTPIQLTDSPTQKPSYADHLDPDAKEGTNYNGKIVSDNGNDTCVLIETDNIKGFDASHHLDKEKLTSSDGLSDPPKSSVDGVIEQRGIKRVSSGELDAENKKSRLVVIDSDDEAGVTKEKLDCITQQVKDELGKNGTGSLPSECLDEKFLCTVCDKVALEVHPHPLLKVITCGDCNRLLKEKAHEKDLRQDCSEGYCTWCGGNSGLVSCKLCNILFCTKCLKKNIGVELVSETQATSWHCCCCHPNLLQRLSLQLEKAVGSASIVVSSSSSDSDDSDDSDNSDDSDDSDAQINVTMSSKRRPKKKIRRILDDAELGEETKRKIAIEKERQERLKSLRGQFSASSIEMSPDGCNGNLSEGASVEVLGDALAGYIVNVVREKGEEAVRIPPSISAKLKAHQISGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRCVDLGLRTALIVTPVNVLHNWRQEFLKWSPSELKPLKVFMLEDVPRDRRAELLKKWRAKGGVFLIGYTAFRNLSFGKHVKDRNMAREICHALQDGPDILVCDEAHMIKNTKADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTLTDVKIMNQRSHILYEELKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYKRFLDVHGFTTQEHPEMLRKRCFFAGYQALARIWNHPGILQLTKEAKDYAKEEDAVENFLVDDSSSDENSDYNVLAGEKMGFANDLLQRKDGNGFFLKGWWNDLLHGKIYKEIDHSGKMVLLMEILTMSSDVGDKVLVFSQSIPTLDLIELYLSRTPRRGKRGKFWKKGKDWYRLDGRTVSSERQKLVERFNEPLNKRVKCTLISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRSWRYGQTKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFAFGDDDNPETLGDLGQENEHQENPISVGHPLKHTAPHSNGSSYSDKLMESLLSKHHPWWIAHYHEHESLLQENEDEKLSKEEQDMAWEVYRKSLEWEEVQRVPLGESIVPIQKPEIPNDVPHVSETCNILPSKLSRRFASRKCTNLAHMLTLRSQGTKFGCSTVCGECAQEIRWEDLKKR >Vigun06g143700.1.v1.2 pep primary_assembly:ASM411807v1:6:26950464:26958358:-1 gene:Vigun06g143700.v1.2 transcript:Vigun06g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKSLIYAFVSRGTVILAEYTEFSGNFNSIAFQCLQKLPATNNKFTYNCDAHTFNYLVDNGYTYSIVADESIGRQVPMAFLERVKDDFVSKYGGGRAATAPANSLNKEFGPKLKEHMQYCVDHPEEVNKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHNQAQDFRTSGTRIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGK >VigunL006200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:25:4706:-1 gene:VigunL006200.v1.2 transcript:VigunL006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEHREQFTYDT >Vigun04g097851.1.v1.2 pep primary_assembly:ASM411807v1:4:22204761:22205281:1 gene:Vigun04g097851.v1.2 transcript:Vigun04g097851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWSKAPDSSSGLRKRAWVQIPFLTVLLFVYFKFLMWKRT >Vigun02g202700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33678941:33682264:-1 gene:Vigun02g202700.v1.2 transcript:Vigun02g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPHTHTHSVTRSKAMERMGMVEKVALPLLLPNPPPPSQSPSSSPSPPSSKPNSVGVMTPGPSPTPTPIPTSAPPMTTLIHDLNTSSRSRHRVALGKSFDPNRGKPWSPHGLSSSGQQILRTLIRSDSNSLSTHLDDILRPLLDQPNPASDILGIIKALGFNNKCDLAFAVFQWVRTTNHSVPLFNTSAITVIFKILGKAGRVSSAASLLLALQNDGVHIDVYAYTCLINAYSSSGRYRDAVNLFNKMQQDGCNPTLITYNVVLNVYGKMGMPWSNVTALVDSMKSRGISPDLYTYNTLISCCRRGSLYEEAVHLFEQMKLEGFTPDKVTYNALLDVFGKSRRPKEAMQVLREMEANAFSPTIVTYNSLISACAKGGLLEEALQLKTQMLDKGIQPDVFTYTTLLSGFEKAGKDELAIQVFEEMRSVGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKVCNCSPDIVTWNTLLAVFGQNGMHSQVSGIFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMAVYKSMLEAGVVPDLSTYNAVLAALARGGLWEQSEKVLAEMKDGRCKPNEMSYSSLLHAYANGKEIERMNAFAEEIYSGSIETHPVLLKTLVLVNSKSDLLMDTERAFLELRRRGISLDITTLNAMLSIYGRKQMVAKANEILNFMHDRGFSPSLTTYNSLMYMYSRSENFQKSEEILREVLEKGMKPDRISYNTVIYAYCRNGRMKEASRLFSEMKDSTLVPDVVTYNTFIATYAGDSMFAEAIDVVRYMIKQGCKPDQNTYNSIVDWYCKLDRRDEANSFVKGLRNLDPHVSKEEENRLLERIVKMWP >Vigun01g183500.1.v1.2 pep primary_assembly:ASM411807v1:1:36414850:36417528:1 gene:Vigun01g183500.v1.2 transcript:Vigun01g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKKNMASPLLEPVPSKRASKLQTLGNIIVTVVGTGVLGLPFAFRIAGWVAGSLGVAVVGLSTYYCMLLLVNCRDKLASEEPLGESNTYGDLGYRSFGTLGRFVTEVIIVVAQCAGSIAYFVFIGQNLHSVFESQNLSMASYIFMLVPVEIGLSWIGSLSALAPFSIFADVCNVLAMGIVVKEDVQRTFGEGFSFGERTAITSNIGGLPFAAGMAVFCFEGFGMTLALENSMQDRRKFPILLAQTFGGITLVYILFGFCGYMAFGEETRDIVTLNLPRNWSSLSVQVGLCVGLAFTLPIMLHPINEIVEGKLKIILRNNNDSTGPGKICICISRAIVVVGLAVIASFVPEFGVFASFVGSTLCAMLSFVLPATFHLKLLGSSLPFWRKALDFIVLFSGLFFAIYGTYNTIVGV >Vigun09g042000.1.v1.2 pep primary_assembly:ASM411807v1:9:3887976:3902248:-1 gene:Vigun09g042000.v1.2 transcript:Vigun09g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSENEPSSHSSPPPPPANICMQKFRLYETLSNFYMIGRDKSRTYWKVLKIDRLEPSELSVLEDSTTYTESECSDLLRRIHEGNRSTGGLKFVTTCYGIIGFIKFLGPYYMVVITKRRKIGAICGHTVYAVSKSEMISLQNPSVQSNIDENRYKKLLLTVDLTKDFYFSYSYCIMRSLQRNLCDSETSHVLYETMFVWNEFLTRGIRNHLQNTIWTVALIYGFFKQETLAISGREFILTLIARRSRHYAGTRYLRRGLNDKGRVANDVETEQIVFEDVPEGLPVQICSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDQNYQATKLHFEDLVKRYGNPIIILNLIKSHERKPRESILRSEFGNAINFINKDLSKENKLRFLHWDLKHFQSKATNVLLLLGKVATYALTLTGFLYCQVSPTSRLEECIERPPIDFAYDNIDKGSFQPTRHVDVDNEDGNNLERKPSGEDNHANGNHFVKSPMFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIIDHLKIDLDDPVSDDLMGFYERMGDTLAHQYGGSAAHKKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQNAINIFLGHFQPQVGKPALWDLGSDQHYDIGRHGDDDARSFVKRCFSDGSIIHGRFPTSSPNPNIEKFSKLGLQNHSEEGNNDFCDSFAEISTFESDIAFSRYAPTLPRRQLFGDAPRERYIDSEHISHSAHEVSFSSSNFLDLDWLSSSANSCEEEPFERFSIANSSIAGSSSENVINGVIIGGDTPSTSVLDSNTKGRERTGSELSHRDAQSKVLEEYPDTFVQWVNNGQTLCN >Vigun02g164600.1.v1.2 pep primary_assembly:ASM411807v1:2:30932940:30935490:-1 gene:Vigun02g164600.v1.2 transcript:Vigun02g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITFGSFEDSFSAASLKAYFAELHATLIFVFAGVGSAIAYNEITKDAALDPTGLVAVAVAHAFALFVGVSVAANISGGHLNPAVTFGLAIGGNITLLTGFFYWIAQLIGSILACLLLNLVTAKSIPTHGLAYGVNAFQGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLIGGGLAGFIYGDVFIGSYAAVPASETYP >Vigun11g067500.1.v1.2 pep primary_assembly:ASM411807v1:11:19207742:19210796:1 gene:Vigun11g067500.v1.2 transcript:Vigun11g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPRDYNLVEQNEKILDFIEDVTANADQVQKRVLSEILSNNANVEYLKRHGLHGQTDRETFKKLLPVITYEDIQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEDLGRRSSLYGLLMPVMSLFVPGLEKGKGMYLMFIKSEAKTPGGIVARPVLTSYYKSSYFKDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVFRVGAVFASGFIRAIRFLEKHWSLLCNDIRTGTINSQITDTSVREAVMNILKPDPKLADLIHTECSKSSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMCYFEFLPVNRSNGVNDPLHTPRSLNEKQQQELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAMKNAVTHLVPFDASVSDYTSYADTTTIPGHYVLYWELALKGSTPIPPCVFEDCCFTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKTPRCVKFAPVVELLNSRVVEKYFSPKCPKWVSGHKQWINQN >Vigun07g098500.2.v1.2 pep primary_assembly:ASM411807v1:7:17243215:17245516:1 gene:Vigun07g098500.v1.2 transcript:Vigun07g098500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDEVASGGMYVKFRPHLLMLLIQMLLSFLYFLVEASLSKGMNPHVFVTYRHVIGGIAVLPFAYVRERKLWPKLTIRMFIELFFLSLFGTSLTLNMFFASLKYTTPSFDASMTNTISSLTFILAVALRLEVVDVKKGRGVAKVFGTVLSLIGALIMTLYKGHTIESLRGAPFHVRGNFVHNNWIKGSLLAGASCISWSLWFILQAVIAKKYQAQLSLTVWINCVGAAQSAIFAVLVEHKPRAWLITSTVELCCVFYAGAICGGLVIFIQFWTAEQKGPVFVSMFNPLGTILVAILAYFLFGEQLHTVYWE >Vigun07g098500.1.v1.2 pep primary_assembly:ASM411807v1:7:17243215:17245516:1 gene:Vigun07g098500.v1.2 transcript:Vigun07g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDEVASGGMYVKFRPHLLMLLIQMLLSFLYFLVEASLSKGMNPHVFVTYRHVIGGIAVLPFAYVRERKLWPKLTIRMFIELFFLSLFGTSLTLNMFFASLKYTTPSFDASMTNTISSLTFILAVALRLEVVDVKKGRGVAKVFGTVLSLIGALIMTLYKGHTIESLRGAPFHVRGNFVHNNWIKGSLLAGASCISWSLWFILQAVIAKKYQAQLSLTVWINCVGAAQSAIFAVLVEHKPRAWLITSTVELCCVFYAGAICGGLVIFIQFWTAEQKGPVFVSMFNPLGTILVAILAYFLFGEQLHTGSLLGVVFVIIGLYLLLWGKEGDRDYNSQESLATDVEQRECRTQIKISAQQEVPQRNVSLDTN >Vigun01g126000.1.v1.2 pep primary_assembly:ASM411807v1:1:30315707:30318014:-1 gene:Vigun01g126000.v1.2 transcript:Vigun01g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGEGDKRWIVEDRPDGTNVHNWHWAETNCLEWSRTFFSSLLSNLTILDGEGSLFIKTTSLRSLDGEAYINVRKGKIIPGYEISLTLNWHGEAKDSNGASLHQVDGAVEIPYISDENADEDPELRITVNDEGPVGKRIKDAMLSKGKPLILEKVRVWVQSMARGGPLKDELENKKPAPSPSPSPAKPASSPSPSTTAAPKKETSTATKKKEKKGVKSISMTERFNCRAKDLYEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGTNLELQEGKLIVQKWRFGSWNDGVQSTVRLVFEEPETGVTVVKLTHSDVPEEDRYGNATVAENTERGWRDLIFQRIRAVFGFGI >Vigun03g098500.1.v1.2 pep primary_assembly:ASM411807v1:3:8398322:8400233:1 gene:Vigun03g098500.v1.2 transcript:Vigun03g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVTLFTNMKFSTRSIMAFFVFLLFSLLGLSSSSSILDLDLAKFTTQEQVSTLFQLWKKEHGRVYQNQEEDAERFEVFHKNLNYIRHVNANRKSTHSHRLGLNKFADITPEELSKMYLQDPKDVSQHVNMANKKMKKDKFSCDDAPASWDWRNKGVITEVKNQAHCGSGWAFSATGAIEATNAIVTGNLVSVSEQEIIDCVSKASGCEGGYHFHAFEWVIENGGIATEVDYPYRAENGTCKSNKAQNAVTIDSFDGYLITNYSSAAEADEALLCATFEQPISAAMDGRDFFFYTDGIYEGGNCSSPYGINHFVLIVGYDSVDGVDYWIVKNSWGKDWGMDGYIWIQRNTGNEAGVCAINFFVAFPIKEKPAIRVKPDKKRLDYSPL >Vigun05g266100.2.v1.2 pep primary_assembly:ASM411807v1:5:45807558:45811357:-1 gene:Vigun05g266100.v1.2 transcript:Vigun05g266100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQAFMQLRALSTPVRAWPHREMKSTKPNHIVCKAQNQDVQDSDPTTLSLLSRRLALGTALIGGAAVAGTKTSPADATIAKRSLEKPISVLPAVSTEEYPASVVESLSLNRSSFPEGFVFGTASAAYQYEGAAYEYGRKPSVWDNFTHRYPEKIDDRSNGDVAVDEYHRYVEDVQIMKDMNLDAYRFSVSWSRIIPNGKVGDNEEGVNQEGIDYYNDLIDNLIANGLEPYITLFHWDTPQALQEEYGGFLSHQIVDDFKDFAKVCFKYFGDRVKHWITLNEPWSYSNNGYAEGIHAPGRCSEWQNSTCLGGDSGTEPYIVTHNLLLSHAAAVDVYRNQFKENQNGEIGITLISTWFEPYSDTAEDIAAAKRALDFMFGWYMEPLTSGRYPENMRILVGKRLPEFSAEESTLLAGSLDFLGLNYYTSKYAANRPKVEPSPTSKAEPSYTTDANVVYLNGRNGIPVGTPTASDWLYVCPKGIKDLLLYTKETYKNPVIYITENGRGNDVNDEPQTLEEALLDIYRIDYYYRHLYYLLSAIGDGVNVQGYFAWSLLDNFEWKNGYLVGFGLNYVDRNDDLKRYAKLSAQWFKNFLQKPQPKPNLKA >Vigun05g266100.1.v1.2 pep primary_assembly:ASM411807v1:5:45807558:45811349:-1 gene:Vigun05g266100.v1.2 transcript:Vigun05g266100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQAFMQLRALSTPVRAWPHREMKSTKPNHIVCKAQNQDVQDSDPTTLSLLSRRLALGTALIGGAAVAGTKTSPADATIAKRSLEKPTVSVLPAVSTEEYPASVVESLSLNRSSFPEGFVFGTASAAYQYEGAAYEYGRKPSVWDNFTHRYPEKIDDRSNGDVAVDEYHRYVEDVQIMKDMNLDAYRFSVSWSRIIPNGKVGDNEEGVNQEGIDYYNDLIDNLIANGLEPYITLFHWDTPQALQEEYGGFLSHQIVDDFKDFAKVCFKYFGDRVKHWITLNEPWSYSNNGYAEGIHAPGRCSEWQNSTCLGGDSGTEPYIVTHNLLLSHAAAVDVYRNQFKENQNGEIGITLISTWFEPYSDTAEDIAAAKRALDFMFGWYMEPLTSGRYPENMRILVGKRLPEFSAEESTLLAGSLDFLGLNYYTSKYAANRPKVEPSPTSKAEPSYTTDANVVYLNGRNGIPVGTPTASDWLYVCPKGIKDLLLYTKETYKNPVIYITENGRGNDVNDEPQTLEEALLDIYRIDYYYRHLYYLLSAIGDGVNVQGYFAWSLLDNFEWKNGYLVGFGLNYVDRNDDLKRYAKLSAQWFKNFLQKPQPKPNLKA >Vigun03g143300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14477605:14479194:1 gene:Vigun03g143300.v1.2 transcript:Vigun03g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPIIPLSLLVFLLSVSLANSASPAQSFLQCLKLNSGRKPSLDSLIYTPSNRSFTSILDTTAQNLRCLVRSAPKPEFIFTPDSDSLVKAAVICSKKLSIHFIVRSGGHDYEGISYVSEIESPFIIIDLVKLRGINVDIKSNTAWVQAGATTGELYYRISEKSSVHGFPAGLYTSLGIGGHITGGAYGGMMRKYGLGVDNVIDAKIVDANGRILDRKAMGEDLFWAIRGAGGGSFGIILWWKLKLVPVPPTVTVFTVTKSLEQGATKILHRWQEVAPYIDENLFIRVIIEPSSAANKTHRTVTTSYNALFLGRASKLLQIMKKSFPELGLTRKDCLETSWIKSVLYLAGFPSGTPPEVLLKGKSTFKNFFKAKSDFVRKPIPEKGLEGLWQRLLLEDSPLMIWNPYGGRMSRFSESDTPFPHRNGTLYKIQYLTVWKKGDKNPAKHIDWIRKLYKYMGPYVSSFPREAYVNYRDLDLGENTKYSTTYEKARSWGYSYYKNNFERLVRIKSKVDPQNVFRHEQSIPTRRF >Vigun07g194100.2.v1.2 pep primary_assembly:ASM411807v1:7:31354172:31357030:-1 gene:Vigun07g194100.v1.2 transcript:Vigun07g194100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVDMSCQGCVGAVKRVLGKLDGVESYDIDLNEKKVVVKGNVQPDTVLQTVSKTGKKTTFWEGEAAASETSTVTAT >Vigun07g194100.1.v1.2 pep primary_assembly:ASM411807v1:7:31354172:31356820:-1 gene:Vigun07g194100.v1.2 transcript:Vigun07g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPCSSKDEMDDFSFVVLVGMLLTVVLKVDMSCQGCVGAVKRVLGKLDGVESYDIDLNEKKVVVKGNVQPDTVLQTVSKTGKKTTFWEGEAAASETSTVTAT >Vigun03g217300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36172690:36174183:-1 gene:Vigun03g217300.v1.2 transcript:Vigun03g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREMEAVAENPARSRGLKYASINPNKPQPSPIPKPNQFPSHLDAPNVSSSARALCDILTRASPHEIETALSSSGIDPDEDLVKEVLKLSYNYPSSAVKFFRWAGRGKRHPAHTWNLMVDLLGKNQLFEPMWDAVRSMKQEEKLSLSTFASVFHSYSAAGRFNEAVMSFDVMDRYGVKQDVVAVNSLLSAICCEDNQTSVGVEFLERIKAKVSPDGDTFAILLEGWQREGNAAKAKITFGDMVAQVGWDKDNVAAYDAFLMTLFRADLMDDVVRFLQAMKDHDCFPGLKFFTNALDALVKRNDAHHAIPMWDVMVSGELVPNLIMYNAMIGLLCNNVAIDHAIRLLDEMAFHGAFPDSLTYNMIFECLVKNKKARETERFFAEMIKNEWPPTGPNCAAAIAMLFECDDPEAAHEIWSYVVENHVKPLDESANAMLVGLCNLSRLSEVKRLAEDVLYRRIKVYESTMTLLKDAFYKEGRSARDRYDSIYRKWKAHVQL >Vigun08g101000.1.v1.2 pep primary_assembly:ASM411807v1:8:25002163:25003665:1 gene:Vigun08g101000.v1.2 transcript:Vigun08g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNQLQPLPLLSSFLGSSPQESLLQNQNPKPQHHGQVEDVTVALHIGLPNNSSAGSTNDHGLVNATTHAPTNYWIPTQEQILIGFSHFSCPVCFKTFNRYNNLQMHMWGHGSQYRRGPDSLKRTHPRPLLDIPCYCCSRGCKNNIEHPRAKPLKDFRTLQTHFKRKHGAKPFTCRKCAKPLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHIKAFGFGHTPFTSSSDAM >Vigun02g192500.1.v1.2 pep primary_assembly:ASM411807v1:2:32943627:32946079:-1 gene:Vigun02g192500.v1.2 transcript:Vigun02g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATSHQPNPLITPYKMGPFNLSHRVVLAPLTRQRSYNNVPQPHAVLYYSQRTSNGGLLIAEATVVSDTGRGYPDTPGIWTKEQVEAWKPIVEAVHAKGGIFFCQIWHVGRVSNSDYQPNGQAPVSSTDKPISPEIPSNSMDKVHFTPPRRLSKDEIPHIVNDFRVAARNAIEAGFDGVEIHGAHGYLLEQFMKDKVNDRRDEYGGSLENRCRFSLEVVEAVVNEIGADRVGIRLSPFAEYEECGDSNPKQLGLYMVNALNKYGILYCHMVEPRMKTVAQKIECCESLVPMRKAFNGTFIASGGYEREDGMKAIAENRADLIAYGRWFLSNPDLPERFALNAPLNKYNRETFYTSDPVLGYSDYPFLDEDSIV >Vigun11g215500.3.v1.2 pep primary_assembly:ASM411807v1:11:40960438:40964587:-1 gene:Vigun11g215500.v1.2 transcript:Vigun11g215500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSSCNTYNYGDALYWDARYVQEGGSFDWYQRYSALRPFVRNFIPLSSRILMVGCGNADLQMDVRDMSLFPDESFDGVIDKGTLDSLMCGTDAPISASQMLAEVCRLLKPGGTYILITYGDPTVRMPHLSRPVYNWKITLYNIPRPGFQKAESSTPSRKSFLEPIPLSEKGLLPADFVLEDPDSHFIYVCKKINDTEIDTIPTYQLTADVL >Vigun11g215500.2.v1.2 pep primary_assembly:ASM411807v1:11:40960438:40964587:-1 gene:Vigun11g215500.v1.2 transcript:Vigun11g215500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSSCNTYNYGDALYWDARYVQEGGSFDWYQRYSALRPFVRNFIPLSSRILMVGCGNAVMSEDMVKDGYEHITNIDISSVAIEMMRRKYEYIPQLKYLQMDVRDMSLFPDESFDGVIDKGTLDSLMTSKTWRDLYFDHVWRSNSKDASSKQTRIQLENYVV >Vigun11g215500.1.v1.2 pep primary_assembly:ASM411807v1:11:40960438:40964587:-1 gene:Vigun11g215500.v1.2 transcript:Vigun11g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVSSCNTYNYGDALYWDARYVQEGGSFDWYQRYSALRPFVRNFIPLSSRILMVGCGNAVMSEDMVKDGYEHITNIDISSVAIEMMRRKYEYIPQLKYLQMDVRDMSLFPDESFDGVIDKGTLDSLMCGTDAPISASQMLAEVCRLLKPGGTYILITYGDPTVRMPHLSRPVYNWKITLYNIPRPGFQKAESSTPSRKSFLEPIPLSEKGLLPADFVLEDPDSHFIYVCKKINDTEIDTIPTYQLTADVL >Vigun11g073600.3.v1.2 pep primary_assembly:ASM411807v1:11:21001976:21009739:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILPNKPCPTVAYHFQLLLVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKERTQCAKANIY >Vigun11g073600.2.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009739:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILPNKPCPTVAYHFQLLLVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIKRLVHAQMAEATWCHSNHIPTLEEYMQVRILSSGYPMLITSSFLGMEDITEEILIWATNEPIIIAACTLMFRITDDIVGDEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.1.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQQTMSNGGLSLPTSVSGEKPPFTRSTANFHPSVWGDHFLSFVPSSVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIKRLVHAQMAEATWCHSNHIPTLEEYMQVRILSSGYPMLITSSFLGMEDITEEILIWATNEPIIIAACTLMFRITDDIVGDEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.4.v1.2 pep primary_assembly:ASM411807v1:11:21001976:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQQTMSNGGLSLPTSVSGEKPPFTRSTANFHPSVWGDHFLSFVPSSVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKERTQCAKANIY >Vigun11g073600.9.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIKRLVHAQMAEATWCHSNHIPTLEEYMQVRILSSGYPMLITSSFLGMEDITEEILIWATNEPIIIAACTLMFRITDDIVGDEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.8.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIKRLVHAQMAEATWCHSNHIPTLEEYMQVRILSSGYPMLITSSFLGMEDITEEILIWATNEPIIIAACTLMFRITDDIVGDEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.6.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCILPNKPCPTVAYHFQLLLVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.5.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009716:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQQTMSNGGLSLPTSVSGEKPPFTRSTANFHPSVWGDHFLSFVPSSVESDSSIEQAKLLKEDVRKRLVSPIDDNNFSFKLNFIDSVQRLGVSYHFEQEIDSALCRIYEISTKDNDIIANNDDLYHTALLFRLLRQHGYRISPSVFFKFKDQSGKFKESLANDIEGMLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun11g073600.7.v1.2 pep primary_assembly:ASM411807v1:11:21000540:21009717:-1 gene:Vigun11g073600.v1.2 transcript:Vigun11g073600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYEAAQIRCHGEHVLEEAHNFSLEQLTQFMTTQLSCSLTTRVQHSLRQSLCRGLPRLEATYFMSFYEEYPSHDEKLLTFAKLDFNKLQELHLKEVSNLTKWWAKDLDVSSNLPFTRDRIVECYFWALGVYFEPQYSRWITAKLAALGTIIDDIYDAYGTIEELNLFTIAIDRWDTRCLVDLPKYMQVCYKAILDVYEEIEQEMRKQRKVFSIKYVKKEIKRLVHAQMAEATWCHSNHIPTLEEYMQVRILSSGYPMLITSSFLGMEDITEEILIWATNEPIIIAACTLMFRITDDIVGDEIEQERQHVVSSIQCYMKEHKISRKRAIEELLKLVENAWKDINDACLAPTQVPMKFLMRAVNFTRVADVFYKDEDTYTNAGGIMKDHIETLLVKKMSV >Vigun07g193150.1.v1.2 pep primary_assembly:ASM411807v1:7:31234445:31235830:1 gene:Vigun07g193150.v1.2 transcript:Vigun07g193150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKSFGATPTSRCNYFKWLNKDNGDEKDATIARQRRKIYHLEKSLVISGKWVRFLSGIIICLGLINVILEAKHHSMIVISHR >Vigun11g204100.2.v1.2 pep primary_assembly:ASM411807v1:11:40130146:40133838:-1 gene:Vigun11g204100.v1.2 transcript:Vigun11g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTFRKLFDTFFSNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRTLWRHYFNNTDGLIYVVDSLDRERLGKAKQEFQAVINDPFMLHSVILVLANKQDLRGAMSPREVCEGLGLFDLKNRKWHMQGTCALRGDGLYEGLDWLSTTLKERKAAGYSSIGLGTSSI >Vigun11g204100.1.v1.2 pep primary_assembly:ASM411807v1:11:40130132:40133838:-1 gene:Vigun11g204100.v1.2 transcript:Vigun11g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTFRKLFDTFFSNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRTLWRHYFNNTDGLIYVVDSLDRERLGKAKQEFQAVINDPFMLHSVILVLANKQDLRGAMSPREVCEGLGLFDLKNRKWHMQGTCALRGDGLYEGLDWLSTTLKERKAAGYSSIGLGTSSI >Vigun04g185000.1.v1.2 pep primary_assembly:ASM411807v1:4:40989112:40994102:-1 gene:Vigun04g185000.v1.2 transcript:Vigun04g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSESGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKEKEEEEVEGRSTRSRQEWEIDPSKLVIKTVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGTSELQLQTENGHIGMPSNVCCVVVEYCPGGALKTYLIKNRRRKLAFKVVVQLALDLARGLSYLHTKKIVHRDVKTENMLLDKTRTLKIADFGVARIEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPLDQPQGCLCFRSYRGP >Vigun04g185000.2.v1.2 pep primary_assembly:ASM411807v1:4:40989112:40994102:-1 gene:Vigun04g185000.v1.2 transcript:Vigun04g185000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSESGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKEKEEEEVEGRSTRSRQEWEIDPSKLVIKTVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGTSELQLQTENGHIGMPSNVCCVVVEYCPGGALKTYLIKNRRRKLAFKVVVQLALDLARGLSYLHTKKIVHRDVKTENMLLDKTRTLKIADFGVARIEASNPHDMTGETGTLGYMAPENLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPLDQPQGCLCFRSYRGP >Vigun08g147400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32008549:32009592:1 gene:Vigun08g147400.v1.2 transcript:Vigun08g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVLTSSLHIPLFSSLPFTLFFLLLSLMSLFSVITFLCGSHKLKNLRIEAQKKRSNSKLNTNLGNRALSMVKMLSWRKVQAEGEAQGDCSSDHDEEALWRKNILMGEKCRPLNFSGKFDNDFLPRN >Vigun03g442400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64488983:64490040:1 gene:Vigun03g442400.v1.2 transcript:Vigun03g442400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSPSSSPPPPTISDHLSFLPAAILTLTLSLSPEDREVLAYLLSCSSSSSNNNNNHFSNNHHRRKNPKVVVDGDHPPLFNCSCFRCYMSYWVRWNSSPNHQLIHEIIDAFEDSLTHTAKLSRKDKRNKRGSKTKPPELTRSEFHSSPAEPLPEGSSGSGGGEVEVGEGGDGGDGEEKGSVRRFVSFIGERIWGAWGP >Vigun05g065600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5681834:5682528:-1 gene:Vigun05g065600.v1.2 transcript:Vigun05g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLHQKLRKGATMAISIKSVSFLWVLILILSSAHNSGVSAQLIIQVTNRLDNGSLDLTVACPNVESKSYLLHGGESHQWLNNAASTQSGGPPFSCSFQWKGASHMFNMYDPSRDFDSDELHWYIKETGPCRLYNRPKQPTICSNWE >Vigun07g288000.1.v1.2 pep primary_assembly:ASM411807v1:7:40077798:40082904:1 gene:Vigun07g288000.v1.2 transcript:Vigun07g288000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSDPVEPLTAAAATPAVAVTASSSAEGQPAPPATPNIVMSSKDHDSLFSGGGIRLFLSSFLSGSRSGRFSYGYSSFKGKRSSMEDFFETTISEVDGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSSHPDFFKDTKTAIVEAFKQTDIDYLNEEKGHQRDAGSTASTAMLLGDRIVVANVGDSRVVASRAGSAIPLSIDHKPDRSDERQRIEKAGDL >Vigun02g070900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22158259:22159305:-1 gene:Vigun02g070900.v1.2 transcript:Vigun02g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNLCAFLLLFLLLLDQSLPAKAGFLKGSQNVFKSFLRGKYPSKWIVDVVDILLKQNFSSPQPNQTPQQIKGLSQIKEYFYNYGYLQYSDPFDDYLDQQTVLAISTFQQTFNLQVTGDLNNQTLQLISLPRCYVPDINFTYGFTQNLSWPKAGNHWFQKNNLTYGFLPESEIPDNATKVFEQAFTRWANATGRLNLTMTNYDDADIKVGFYIFNVSFDGDVFGYSFIREEPPSNVKTAEIRLNANMFWALPSENNSLSWEDEVLDLESVAMHEIGHLLGLDHSFVNDSVMYPYILPSQQRKVELSNADKKNLLQQNANVGSGGGRCLGVPSVITLSLGFVYMLLMYY >Vigun10g164100.2.v1.2 pep primary_assembly:ASM411807v1:10:38326280:38330100:1 gene:Vigun10g164100.v1.2 transcript:Vigun10g164100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIESAEAAAETQTTITTRDTSKPTSLESALAEAAEYGSQHTESVEAMAQKALECPCISDLRTGSCGSQFSEAFLCFLKSTSEEKGSDCVHPFIALQSCIKANPDAFSKDILGEEESNELGPVVKYEILPPRWAKESGRPKSNL >Vigun10g164100.1.v1.2 pep primary_assembly:ASM411807v1:10:38326228:38330100:1 gene:Vigun10g164100.v1.2 transcript:Vigun10g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIESAEAAAETQTTITTRDTSKPTSLESALAEAAEYGSQHTESVEAMAQKALECPCISDLRTGSCGSQFSEAFLCFLKSTSEEKGSDCVHPFIALQSCIKANPDAFSKDILGEEESNELGPVVKYEILPPRWAKESGRPKSNL >Vigun04g201000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42445389:42445808:1 gene:Vigun04g201000.v1.2 transcript:Vigun04g201000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKIMIILCLLVVVGVKLKGSVSAVPLFPTKVTVQITNKLSTKQKQLDLRCKDKHHDLGLIKLNINQTYSFRFFPEYFIPTTLYFCHFVWSNGDHHFNIYVEERDFYCSHYRCSWEIIENGPCKIKPESRQCFMWN >Vigun07g193200.1.v1.2 pep primary_assembly:ASM411807v1:7:31245265:31248128:1 gene:Vigun07g193200.v1.2 transcript:Vigun07g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYGLPEDLRRFIPPRTHMNPSNTHLLEPLCLHHGLSAVAAAATTIPPNTYDPNMVGDVFFPRAFTHFAHHHDYSSSSGVNPTNAATGAVSDHAFCSMESAEKGWFGFDSGNNRWPRQETLSLLEIRSRLDCKFRENNQKAPLWNEISRIMAEEFGYQRSGKKCKEKFENLYKYYKKTKEGKASRQDGKHYRFFRQLEAICGDQANTNHASTSDKPHRGGGNSNNTNNIQTPTFTINQDNNGDSSNNPKCSESLSISNSSEFETSSSENNEEDLSAIAFMMRQSREKQKALSDERHGDERRLRKSWRAKVEEIVDSHMRKIIETQDAWMERMLSVVEQREQEMSSKEEERKRKESMWFDQQVHELWAKEKAWVEARDSALIEVVRKHVGIELEALPLDEAMVEEAGNKNKGQGKIEFPSEGVDQRGSSRWTEMEISNLIQLRSGFEQRFRENGYLENGLWDEISGKLGCLGFERSGSECKQIWDEISISLRRTVDECDGGKRRPWYLGLKVTDDDDL >Vigun08g084150.1.v1.2 pep primary_assembly:ASM411807v1:8:18249984:18251402:-1 gene:Vigun08g084150.v1.2 transcript:Vigun08g084150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTKLTGQRLETIFLTSLATFLILFPVSFFFERSKWLKNCDFKLIKQLLCLSFGGLFQDLFLKGLDLTSTTMGTDMPNVAPGI >Vigun11g174300.1.v1.2 pep primary_assembly:ASM411807v1:11:37937485:37939833:1 gene:Vigun11g174300.v1.2 transcript:Vigun11g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLAMFSLLFAFLLSGTIEARKDPEEYWKEIMKDQQMPEGLQGLLPFQSENNPKTQEQLVKDSKHECEDPLDTNVVSQYGDFELKLSAKKDNIEPRPSASNYGDFELKLSASKKGDIEPRPSATNYGDFELKLNAGKKDDIEPRPSATNYGDFELKLSASKKDDIEPRPSATNYGDFELKLSAGKKDDIEPRPSATNYGDFELKLSASKKDDIEPRPSATNYGDFELKSRASKKDDIEPKPSATKYGEFEPRPSATKYDDF >Vigun03g340000.3.v1.2 pep primary_assembly:ASM411807v1:3:53820609:53826531:-1 gene:Vigun03g340000.v1.2 transcript:Vigun03g340000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFRSDNRFPLNVEELRNQHGKEKRVLQSSQSLSIQAVQILEQCEKSLAEARAGDKKHIQRLEKELLNCSQEIDYLQDQLSARNAEVNYLGERAHSLELKLEGMEVLQEEVFSLREELKRFSSKQFSLIQELGYKEIELEKSTLSMEKLEDSISSIALESQFEVESMKLDMMALEQSLFEAKKIQEETLDENNKLSKSIEELQVALQDAQKIIISLNEENRELKEKLDTANKTSKISSQKDEYWLENKDRPLLKTQSSLSEQGNNSTIAKDTSTSEVHGPHVRRSVIFLDPAADSKWEMESSQQIQEYECVIKRLKN >Vigun03g340000.1.v1.2 pep primary_assembly:ASM411807v1:3:53820609:53826505:-1 gene:Vigun03g340000.v1.2 transcript:Vigun03g340000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFRSDNRFPLNVEELRNQHGKEKRVLQSSQSLSIQAVQMQILEQCEKSLAEARAGDKKHIQRLEKELLNCSQEIDYLQDQLSARNAEVNYLGERAHSLELKLEGMEVLQEEVFSLREELKRFSSKQFSLIQELGYKEIELEKSTLSMEKLEDSISSIALESQFEVESMKLDMMALEQSLFEAKKIQEETLDENNKLSKSIEELQVALQDAQKIIISLNEENRELKEKLDTANKTSKISSQKDEYWLENKDRPLLKTQSSLSEQGNNSTIAKDTSTSEVHGPHVRRSVIFLDPAADSKWEMESSQQIQEYECVIKRLKEELREEKSRAKEEAEDLVQEMAELRYQMTSLLEEECKRRACIEHASLQRISELEAQLQRKHKN >Vigun03g340000.2.v1.2 pep primary_assembly:ASM411807v1:3:53820609:53826531:-1 gene:Vigun03g340000.v1.2 transcript:Vigun03g340000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFRSDNRFPLNVEELRNQHGKEKRVLQSSQSLSIQAVQILEQCEKSLAEARAGDKKHIQRLEKELLNCSQEIDYLQDQLSARNAEVNYLGERAHSLELKLEGMEVLQEEVFSLREELKRFSSKQFSLIQELGYKEIELEKSTLSMEKLEDSISSIALESQFEVESMKLDMMALEQSLFEAKKIQEETLDENNKLSKSIEELQVALQDAQKIIISLNEENRELKEKLDTANKTSKISSQKDEYWLENKDRPLLKTQSSLSEQGNNSTIAKDTSTSEVHGPHVRRSVIFLDPAADSKWEMESSQQIQEYECVIKRLKEELREEKSRAKEEAEDLVQEMAELRYQMTSLLEEECKRRACIEHASLQRISELEAQLQRKHKN >Vigun03g340000.4.v1.2 pep primary_assembly:ASM411807v1:3:53820609:53826505:-1 gene:Vigun03g340000.v1.2 transcript:Vigun03g340000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFRSDNRFPLNVEELRNQHGKEKRVLQSSQSLSIQAVQMQILEQCEKSLAEARAGDKKHIQRLEKELLNCSQEIDYLQDQLSARNAEVNYLGERAHSLELKLEGMEVLQEEVFSLREELKRFSSKQFSLIQELGYKEIELEKSTLSMEKLEDSISSIALESQFEVESMKLDMMALEQSLFEAKKIQEETLDENNKLSKSIEELQVALQDAQKIIISLNEENRELKEKLDTANKTSKISSQKDEYWLENKDRPLLKTQSSLSEQGNNSTIAKDTSTSEVHGPHVRRSVIFLDPAADSKWEMESSQQIQEYECVIKRLKN >Vigun06g003600.1.v1.2 pep primary_assembly:ASM411807v1:6:1691377:1704965:1 gene:Vigun06g003600.v1.2 transcript:Vigun06g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKVALTVLFSLALLFSPSQSAVFSVDLGSESLKVAVVNLKPGQSPISIAINEMSKRKSPALVSFHDGHRLLGEEAAGLAARYPQKVYSQTRDLLGKPYASAQRILNSMYLPFETKENFRGGMSVVVDDGNENDSVYSPEELVAMVLGYAANLAEFHSKIPIKDAVIAVPPYMGQAERKGLLAAAQLAGINVLSLINEHSGAALQYGIDKDFSNETRHVIFYDMGATSTYAALVYFSSYKGKEYGKSASVNQFQVKDVRWNPELGGQHMELRLVEYFADQFNAQVGGGIDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLHDDVDFRSTITREKFEDLCEDIWEKSLLPVKEVLEHSGLSLEQIYAVELIGGATRVPKLQAKLQEFLGRKELDRHLDADEAIVLGAALHAANLSDGIKLNRKLGMVDGSLYGFVVELSGPELLKDESSRQLLVPRMKKVPSKMFRSINHNKDFEVSLAYESEHLLPPGATTAEIARYQISGLTEASEKYSSRNLSSPIKASIHFSLSRSGILSLDKADAVIEITEWVEVPKKNLTVENSTISSNVSAESAAGNSSEGNNESIQTDSGNSNTSNTSAEEQAAAEPATEKKLKKRTFRVPLKITEKITGLGMSLSQDFLTEAKKKLQVLDKKDTDRKRTAELKNNLEEYIYSTKEKIETLENFEKVSTSEERQSFIEKLDQVQDWLYTDGEDANAAEFQERLDQLKAVGDPIFLRLKELTARPAAVEHAHKYIDELKQIVEEWKAKKPWLPQERVDEVIESSEKLKNWLDEKEDEQKKTSGFSKPAFTSEEVYLKVLDLQNKVASINRIPKPKVQKVVKIETESNEQNTDDSNSTSADSSSSSESSADSSEGENQEETVTEQAEGHDEL >Vigun03g219500.1.v1.2 pep primary_assembly:ASM411807v1:3:36524410:36528627:-1 gene:Vigun03g219500.v1.2 transcript:Vigun03g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLLTKPNWNDVVDNDDSTRSKLSLLNDLETVIWSAVGRAEARLWLCNTVAGFNCVTFVDQRDLFRALLRTRRTKRDLASQLLHFMVDTSPHKLGSILAGRCHVLEKFFQGNPKRVLQWFTCSSSGGGLEQGKGFRALSQFAFKNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDILQTVENFLEHVPEFWSSDEFSESVKDGDIFFIDRSFFVQYFIDLMYKEEFKDIWDVINEFLGQQPFSSLCGRLLIALEDQDLCYLVESLCKSLGPRMELQHFNDISNLFVILVFKCGAYGSIDQLLLLNAVTAQGRQLLRLLRDEEAWEPHEKINEIVSKMSAIPSNPNILTTIFKDKYKMKTIEVIKYMGLLSWLIYYRLSREYQTPESWESLFVNNQIGFRNSNKNALPEEDGPLEEDCSDFDSSLSVRVKSRRKKKARKKRRTYYRDEGGDDDELLDYDSASQKLVSLSNIRSWLLSTDGYSSGLSSADLPEYLHRQCLSKWMTWL >Vigun03g219500.2.v1.2 pep primary_assembly:ASM411807v1:3:36524410:36528627:-1 gene:Vigun03g219500.v1.2 transcript:Vigun03g219500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLLTKPNWNDVVDNDDSTRSKLSLLNDLETVIWSAVGRAEARLWLCNTVAGFNCVTFVDQRDLFRALLRTRRTKRDLASQLLHFMVDTSPHKLGSILAGRCHVLEKFFQGNPKRVLQWFTCSSSGGGLEQGKGFRALSQFAFKNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDILQTVENFLEHVPEFWSSDEFSESVKDGDIFFIDRSFFVQYFIDLMYKEEFKDIWDVINEFLGQQPFSSLCGRLLIALEDQDLCYLVESLCKSLGPRMELQHFNDISNLFVILVFKCGAYGSIDQLLLLNAVTAQGRQLLRLLRDEEAWEPHEKINEIVSKMSAIPSNPNILTTIFKDKYKMKTIEVIKYMGLLSWLIYYRLSREYQTPESWESLFVNNQIGFRNSNKNALPEEDGPLEEDCSDFDSSLSVRVKSRRKKKARKKRRTYYRDEGGDDDELLDYDSASQKLVSLSNIRSWLLSTDGYSSGLSSIYQSTFIDSACLSG >Vigun03g219500.4.v1.2 pep primary_assembly:ASM411807v1:3:36524410:36528627:-1 gene:Vigun03g219500.v1.2 transcript:Vigun03g219500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLLTKPNWNDVVDNDDSTRSKLSLLNDLETVIWSAVGRAEARLWLCNTVAGFNCVTFVDQRDLFRALLRTRRTKRDLASQLLHFMVDTSPHKLGSILAGRCHVLEKFFQGNPKRVLQWFTCSSSGGGLEQGKGFRALSQFAFKNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDILQTVENFLEHVPEFWSSDEFSESVKDGDIFFIDRSFFVQYFIDLMYKEEFKDIWDVINEFLGQQPFSSLCGRLLIALEDQDLCYLVESLCKSLGPRMELQHFNDISNLFVILVFKCGAYGSIDQLLLLNAVTAQGRQLLRLLRDEEAWEPHEKINEIVSKMSAIPSNPNILTTIFKDKYKMKTIEVIKRGWTIRRRLF >Vigun03g219500.3.v1.2 pep primary_assembly:ASM411807v1:3:36524410:36528627:-1 gene:Vigun03g219500.v1.2 transcript:Vigun03g219500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLLTKPNWNDVVDNDDSTRSKLSLLNDLETVIWSAVGRAEARLWLCNTVAGFNCVTFVDQRDLFRALLRTRRTKRDLASQLLHFMVDTSPHKLGSILAGRCHVLEKFFQGNPKRVLQWFTCSSSGGGLEQGKGFRALSQFAFKNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDILQTVENFLEHVPEFWSSDEFSESVKDGDIFFIDRSFFVQYFIDLMYKEEFKDIWDVINEFLGQQPFSSLCGRLLIALEDQDLCYLVESLCKSLGPRMELQHFNDISNLFVILVFKCGAYGSIDQLLLLNAVTAQGRQLLRLLRDEEAWEPHEKINEIVSKMSAIPSNPNILTTIFKDKYKMKTIEVIKRGWTIRRRLF >Vigun11g157700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36635334:36636986:-1 gene:Vigun11g157700.v1.2 transcript:Vigun11g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLRSPTLLRIPATNGIINHRFFRSEHQRYAELIDIYARDRALHPGKKLHASLITKGFSRFNVIASNLVTFYVCCSQLSHARKLFDKIPTTNVRRWIAFIGTCARCGFYDLALAVFSEMQAIPGLTPNYVFVIPSVLKACGHVGDRITGEKTHGLILKCSFEFDFFVSSALIVMYSKCAKVGDARKVFDGMVMKDTVALNAVVAGYVQQGSANEALSLVESMKVMGLKPNVVTWNSLISGFSQKGDLGMVSEIFRLMISDGLEPDVVSWTSVISGFVQNFRNNEAFDAFKQMLSHGFCPTSATISTLLPACATAARVRVGREIHGYALVTGMEGDLYVRSALVDMYAKCGFISEARNLFSRMAEKNTVTWNSIIFGFANHGYFEEAIELFNQMEKEGAAKLDHLTFTAALTACSHVGDIELGQRLFKVMHEKYGIEPRLEHYACMVDLLGRAGKLDEAYCMIKAMPIEPDLFVWGALLAGCRNHGHVELAEVAALHLMELEPESAANRLLLSSLYADAGKWGKVERIKKRIKKGKLRKLQGLSWINNL >Vigun11g122800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33011968:33012894:1 gene:Vigun11g122800.v1.2 transcript:Vigun11g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDCGHHHSSSRRLLRLILIGVAAFILLILFLVFLIWVILRPTKPRFTLQDATLFTFNLSSPIPNTLSLTMQVSLSAHNPNSRIGVYYHALRLHASYRSQQISLPTALPDTYQGHHDFTVWSPFLYGNTVPVAPFVLASLQQDQNAGTVMVNVKINGRVKWKVGTWVSGRYHIYVNCPAYISFAGDRSNAAGVKFHLLQSCSVDV >Vigun06g212850.1.v1.2 pep primary_assembly:ASM411807v1:6:32492982:32494171:-1 gene:Vigun06g212850.v1.2 transcript:Vigun06g212850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSVLDRLSCNMGNQLFQRFSRFFLFNKTSAFAPDTNKAKDSAASIFNILDTTPTTDSSSNESINISLLNFKTSVSITQLDLN >Vigun05g262400.1.v1.2 pep primary_assembly:ASM411807v1:5:45484753:45487980:-1 gene:Vigun05g262400.v1.2 transcript:Vigun05g262400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASQGYHQPSTIEEVRTLWIGDLQYWVDEAYLSHCFAHTGEVLSIKIIRNKMTGQPEGYGFVEFVSHAAAERVLQTYNGMQMPGTEQTFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDYLLQETFRVHYHSVRGAKVVTDPNTGRSKGYGFVKFSDENERNRAMTEMNGVYCSTRAMRISAATPKKTTAGYAAPAPAPVPKPVYQIPAYTAPVQAQPPEYDANNTTIFVGSLDLNVSEEELKQNFLQFGEIVSVKIPPGKGCGFVQFGTRQSAEEAIQKMQGKMIDQQVVRISWGRTLAARQELPAGWGQQMDPSQWNAYYGYGQGYEAYAYGAAQDPSLYAYGAYAGYAQYPQQVEGAPDPTGMAPPTMERDELYDPLAMPDVDKLNAAYLSVHGNAILGRSLWQKSSSSSFEQT >Vigun06g171500.1.v1.2 pep primary_assembly:ASM411807v1:6:29286124:29294447:-1 gene:Vigun06g171500.v1.2 transcript:Vigun06g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGFGKDSGPNARSNSPFSFGFTNPSSTPPTSSPVPAFSSQSTPRSIDSSSWSDGQKIFYKDLETNIPQGPSPVKTFVAPRDSTTGLTARTSGFPNPERRSPPISYADVEDLGNFDQPVTINKPSLSPPRQGSTSTVSRTVPHSQIHQKSFRFNVPEATVSKPMSSTASKRTRSPASSFATNETLEGNSISSEDNSEREILAKAKRLARFKVELSRSEQNNADIPDQKAFASRPEQSVLEPKYVRGHLVDSASNFSGAHVVADNEVLETSNVIIGLCPDMCPESERGERERKGDLDQYERVDGDRNVTSRLLAVKKYTRTAEREASLIRPMPILQNTIDYLLTLLDQPYDERFLGVYNFLWDRMRAIRMDLRMQHIFNQGAITMLEQMIKLHIIAMHELCDYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGMNILTEKEFRGYYALLKLDKHPGYKVEPAELSLEIAKMTPEIRQTPEILFARSVARACRTGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHSGLQNNQGIPVAHVSNWLAMEDEGIEGLLEYHGFLLKVFEEPYMVKEGPFLNVDVDYPTKCSKLVHKKRSGRIIEDISLSIQAESPHVETMKEIQTRKPEPQVASPVKNDSSVRKPDEEIPDAVAIYSPEVSGKAFKDVPDSPQDKDISGPRPSPLSSPFPNIIPEQQLPRFGIFNGTNSDLIARGSPNTNFQFSVEQRPLEIIPNAAPPENSLGFSFSVPPPVSHGVFKDEPLVIQQEHEDDINEFSENCQDEEIAEAKLKLFLRLWRRRASKLRMLREGRQLASNAALDSMPLGPPIQHYIDRPGNFDKFDIDIAMRERYKKQEKSWSRLNVSDVVASILGRRNPDAKCLCWKIILCSQMNTGYEMGAAGTWLTSKFMPSSDENVVFSSPGSVIWRKWISNQSGINPSCCLSVVRDTAFGSLDDAVSGAGAVMFLVSESIPWELQRSHLHTLLRSIPSGACLPLLILCSSYDERFSYAITNELGLQNIDKLKISNFQLVFLYENQRMEHLSGFFSDTRLREGLEWLACESPLQPNIGCVKPRELVYAHLNSFPGMQGIVINSNLGPNDCISLFNEALDRSIKEIIATASSNPTGWPCPEIGLLDKFCDEDRVVKMCLPTLGWSSNEKTEPIVRALQNCKLPTFPGDLSWLARGSKVGQEIENQRKQLENCLIQYLTHTSKTMGVALATKEAFVTMQSCARLELRGSNYHVVPHWGMIFRRIFNWRLMGLSSREISMAYISEHHHVTLPNVSPETWLSYYPDTSLDEIISVSCNSPPPVKDQPLQHLPRRVSNDVFHGTVNQTDSENNLPLDKSPAMDSATTFSNAKPNREADKLSKLLEQCNLLQDSIDKKLFVYY >Vigun11g141600.1.v1.2 pep primary_assembly:ASM411807v1:11:35116517:35127910:-1 gene:Vigun11g141600.v1.2 transcript:Vigun11g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASISSSSPVEVILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRTQVEQLLRYIIEEPPEDAENKRVFKFPFIACEIFTCEIDVILKTLVDEEELMNLLFSFLAPDRSHSTLLAGYFSKVVICLMIRKTVPLMNYVQAHQHVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMKWLAESNLLEMIVDKLSPASPPEVHANVAETLCTITRVASSSTLAIKLSSPSFVAKVLGYALEDSHSKSSLVNSLSVCISLLDPKRSAIPSPFFHSFRTQNMYEPPIPVNPDTIGAMLPKLGELLVLLDVSSDNKILPTTYGELRPPLGKHRLKIVEFIAVLLKTGNEVAEKELANSGTIRRVIDLFFEYPYNNSLHHHIESIISSCLESKYNAIIDHVLRDCDLVGRFIQADKNSILSAESNQPTVPAAGRRGTRVGNIGHITRIFNKIAHLAHSQSHITKYLQENSEWNEWQATVLQQRNAVENVHRWACGRPTALQDRMRDSDDEDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEEHGVLDRDDEDVYFDDDSAEVVISSLRLGDDQGSNLFTNSNWFAFQDDRIGDAPGGTSSSEMMDEIKLNSGSNGGSNSSDDEVVVGEDEELADSKNTVNGTSISNTDFFSGLTGSDSMNGGTLDFESEKTSPSHDMGFFRFEAPDNDDLFGDRPLPDWVGWAEPSDMQNSGSSRNPFLDHDESGSNLPIKPQEDSPFLNSPSNGESVPPSNGSPTSTDSINGSIDSSPTTVAVPSLFEEDVEFVGVELDGTEKAMEQAIKEGTVGEAGPLKRNVVSKVPEKENSEEDGSHAVKDFNDANYWRVDKEVAVLE >Vigun06g045400.1.v1.2 pep primary_assembly:ASM411807v1:6:16994136:16998290:1 gene:Vigun06g045400.v1.2 transcript:Vigun06g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCITHHPVTSKLKNFFPSPHVSASDLASRFLGTKKSVGWLSLTSRIGPSNGSRATCWFRFGKNGVDAEGAGIYGSQSRDDFDRDDVEQVELYRDEDCVESTREDGRVESYRAMVDVSGARPKVEKRQPGSCRAELVQAKVNRVNMSCI >Vigun02g129100.2.v1.2 pep primary_assembly:ASM411807v1:2:28070818:28072981:1 gene:Vigun02g129100.v1.2 transcript:Vigun02g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDRILVLGPTGAIGRHIVWASVKAGNPTYVLVRDTPSTVNKPRLVTAANPETRDELLQNFQNAGVFLIQGDMNDHQSLVNAIKQVDVVICSFGRLLIEDQVKIIAAIKEAGNIKRFFPSEFGLDVDRHDAVEPVREVFEEKAKIRRVIEAEGVPYTYLCCHAFTGYFLRNLAQIDITVPPRDKIYIQGDGNVKGAYVTEADVGTYTVEAVNDPNTLNKAVHIRLPANYLTLNEIVSLWEKKIGKSLEKIYVPEEKVLKDIQELSFPNNYLLALYHSQQIRGDAVYEIDPTRDVEASEAYPHVKYTTVDEYLNQFV >Vigun09g223100.1.v1.2 pep primary_assembly:ASM411807v1:9:39604911:39616365:1 gene:Vigun09g223100.v1.2 transcript:Vigun09g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEEEENVAEEVLGSSLTMEKVAAAKKFIENHYRAQMKNIQERKERRWVLERKLASSDVPNEERINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLRRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLSENVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCIALSTLHENQTMDDETLAEPMDVDGCLRDADNRSSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDTQLTLEAKDLIYRLLCDVDHRLGTRGAQEIKAHPWFNGVEWEKLYEMEAAFKPQVNGELDTQNFMKFDEVDPPTAARSGSGSSRKMLTTKDLSFVGYTYKNFDAVKEGLRQSFGDSMQEYASKRAAEETNLQMLASSGDPMLP >Vigun06g217500.1.v1.2 pep primary_assembly:ASM411807v1:6:32819879:32823372:1 gene:Vigun06g217500.v1.2 transcript:Vigun06g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGEKRYAVLMCGEDSEYLLKMHGGCYGMFGRVLAEEGERWDLYKVVQGEFPANHHLSLYDGFVITGSCYDAHANDSWILELLELVNRLHSMHKKILGICFGHQIIGRALGGKVGRSTKGWDIGVKSINISSSLPFSFSSLNLPSHLSIHKCHRDEILELPPKAHLIASSEMTAIEMFSYGDHIFCIQGHPEFTHDILFHFIDRIITRNLVQEAFALDAKDKAALLKPDKDILKTLCVNFLKGRSSLATIQ >Vigun03g181300.1.v1.2 pep primary_assembly:ASM411807v1:3:23100723:23107232:-1 gene:Vigun03g181300.v1.2 transcript:Vigun03g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGGASAGPDEIEDGMVDGSFHSPEWHAARLASLNTSHTITWEEYKKKQKEEEIKKGELEADADRMMREYRAQLDAERARKLSQGRNHSSSKSRHSKDKRDRISKKHSSRKRKHSRRSSASSSSSSSSYSSSSDEEERGSRRSKSRSKRSKKEKKPKSRSKDTGTDSEDNGPVRLSRFFGNVKS >Vigun01g111200.1.v1.2 pep primary_assembly:ASM411807v1:1:28486621:28487337:-1 gene:Vigun01g111200.v1.2 transcript:Vigun01g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSRRVLAVHCGEFDVVDRACCGVGRDRGQISCLPLQFPCVNRRQIVFWDGFHSSESAICLESC >Vigun03g223300.1.v1.2 pep primary_assembly:ASM411807v1:3:37112258:37117410:1 gene:Vigun03g223300.v1.2 transcript:Vigun03g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSVTSLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVTLLCFLSIFVGVVMLKSKRKKA >Vigun06g006900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:3618748:3619082:1 gene:Vigun06g006900.v1.2 transcript:Vigun06g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYSGLKMELTSCGRTQRGSYRFPITNQMNTKRLNKKLELTSCGRTQRDSYRFPITNQMNTKRLNKKLELVPSVDDDDIILSIGFTSINNMLAHSFWFLLFYLIVFYV >Vigun09g048600.1.v1.2 pep primary_assembly:ASM411807v1:9:4745182:4746694:1 gene:Vigun09g048600.v1.2 transcript:Vigun09g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAITTLPQFTGLRPQFSAAPVQNLVAVQPLRRKGKGGLGVRCDYIGSSTNLIMVASTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGIVLGLKNIGAI >Vigun10g123900.1.v1.2 pep primary_assembly:ASM411807v1:10:33221043:33224673:1 gene:Vigun10g123900.v1.2 transcript:Vigun10g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKVLVVGGTGYLGQHLLQAYAHANPNANGNPFVFDLAFTHHSSPPPHLLVDAIPSSIYFQVDLKTGVGFEAISNTFGQPDVVVNCAAISVPRPCESDPATAHAINVPSSLVQWLQSFEKRTTLLIHLSTDQVYEGEKSFYKEEDIAVPVNVYGKTKVAAEKFISENCPNFAILRSSIIYGPQTISPVPKSLPIQWIDGALAKGEEVQFFHDEFRCPIYVKDLVAIILALTSQWISEGKQMQLLLNVGGADRVSRVQMAEAVAQFRGYDTSLIKPVPASSVDRGVKSPADISMDISRLVQTLKIHPVSFKDGVRSTFATEARQ >Vigun06g039200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15800823:15801651:-1 gene:Vigun06g039200.v1.2 transcript:Vigun06g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGTRWSFKKLSKELWPRRLSSSFRGKRLHIHTSIVDNVVYKIVSVVEGVVIVSTLCVFYLCCGCHL >Vigun01g213800.1.v1.2 pep primary_assembly:ASM411807v1:1:38766341:38768838:1 gene:Vigun01g213800.v1.2 transcript:Vigun01g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVGYRANALLTFAVTILALMCGMASLSDNFNSPSPSAHVQVLNINWFQKQPNANDEVSMTLNISADLQSLFTWNTKQVFVFLAAEYETPKHSLNQISLWDGIIPSKEHAKFWIHTSNKYRFIDQGSNLRGKEYNLTIHWHVMPKTGKMFADKIVMPGFRLPEEYR >Vigun10g073700.1.v1.2 pep primary_assembly:ASM411807v1:10:19222573:19227202:1 gene:Vigun10g073700.v1.2 transcript:Vigun10g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTTLSLSINPSLSPPFPSPATLITTPSSFSTFRQNSLRFAFYRSFGSKPIASFCRKARLCSLQGSSSAKSRKSFALGATEMTIAEDCLLEAVEEEEGPPDFSLLDPESNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPKEFHGAKLIGSRSFPCPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVIKFLHTAADLTLVPSAAIGRDLEAARATAANKIRLWNKGVDSESFHPRFKSHEMRLRLSNGEPDKPLIVHVGRLGVEKSLDFLRSLMDSLPEARIAFIGDGPYREDLEKLFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPEDQDGKIGFLYTPGDLEDCLSKLKPLLDDKDLRETMGIAARLEMEKYDWRAATRKIRNENYNAAIWFWNKKRAQLLRPFQWLAKRIFPSSPDQEGNSQGC >Vigun10g073700.2.v1.2 pep primary_assembly:ASM411807v1:10:19222573:19227202:1 gene:Vigun10g073700.v1.2 transcript:Vigun10g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTTLSLSINPSLSPPFPSPATLITTPSSFSTFRQNSLRFAFYRSFGSKPIASFCRKARLCSLQGSSSAKSRKSFALGATEMTIAEDCLLEAVEEEEGPPDFSLLDPESNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPKEFHGAKLIGSRSFPCPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVIKFLHTAADLTLVPSAAIGRDLEAARATAANKIRLWNKGVDSESFHPRFKSHEMRLRLSNGEPDKPLIVHVGRLGVEKSLDFLRREDLEKLFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPEDQDGKIGFLYTPGDLEDCLSKLKPLLDDKDLRETMGIAARLEMEKYDWRAATRKIRNENYNAAIWFWNKKRAQLLRPFQWLAKRIFPSSPDQEGNSQGC >Vigun10g073700.3.v1.2 pep primary_assembly:ASM411807v1:10:19222573:19227202:1 gene:Vigun10g073700.v1.2 transcript:Vigun10g073700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTHEGVPKEFHGAKLIGSRSFPCPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWWVIKFLHTAADLTLVPSAAIGRDLEAARATAANKIRLWNKGVDSESFHPRFKSHEMRLRLSNGEPDKPLIVHVGRLGVEKSLDFLRSLMDSLPEARIAFIGDGPYREDLEKLFEGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPEDQDGKIGFLYTPGDLEDCLSKLKPLLDDKDLRETMGIAARLEMEKYDWRAATRKIRNENYNAAIWFWNKKRAQLLRPFQWLAKRIFPSSPDQEGNSQGC >VigunL059242.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000293.1:8514:9607:-1 gene:VigunL059242.v1.2 transcript:VigunL059242.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSCHPPKLSLPTTQESIVFGVLAGPPLASTTQESIVFGVLAGPPLASNNPRRGLPLLPTTQESIVFGVLAWPPIVSNNPRVGRIWSFTSLPRKPTTKRSVVFGVQYSLQSIPTSQKPAFFAVRLSLPRFQLSSKLPSSQFGQVHSFPASSPQVALGAVRPSSLFSSIIPPSCLVRSSA >Vigun09g266800.1.v1.2 pep primary_assembly:ASM411807v1:9:43025613:43027762:-1 gene:Vigun09g266800.v1.2 transcript:Vigun09g266800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVQFIFANGGAGEASYANNSSFQSKMISKVKPILEESMKSLYCNSVPSCFKVADLGCSSGPNALQVAYDVIDAVHNISRSSSITFQIYLNDQFQNDFNNIFHSLPCFYQRLREEKGEGFGACFVNATPGSFYGRLFPTNSMHFFHSSTSLHWLSQAPKGLINKENIYFTNTSQCTVYQAYLHQFSEDFNLFLKSRAEELVHGGGMVLTFVGRDETSDIITPWGLIGLVLNDMVLESLIEEEKLESVNMPRYGPTANEVKELIDAEGCFTLQKLETLKSSWDEGLKENGNGDLALDANARANFIAKYVRATTEPFLTSRFGEGVIDELFLRFGEKVAKLLEKQKLEYTYLVMFMTKK >Vigun09g266800.2.v1.2 pep primary_assembly:ASM411807v1:9:43025613:43027762:-1 gene:Vigun09g266800.v1.2 transcript:Vigun09g266800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRHDCEVERSKVGLCKCGHFFLRMSRRKNFWTESLLFFKRRSRNQVHHCHTKSLLIHASSSPCQWKQFNLFSLMVELAKLATQTIPPFNLVQSKMISKVKPILEESMKSLYCNSVPSCFKVADLGCSSGPNALQVAYDVIDAVHNISRSSSITFQIYLNDQFQNDFNNIFHSLPCFYQRLREEKGEGFGACFVNATPGSFYGRLFPTNSMHFFHSSTSLHWLSQAPKGLINKENIYFTNTSQCTVYQAYLHQFSEDFNLFLKSRAEELVHGGGMVLTFVGRDETSDIITPWGLIGLVLNDMVLESLIEEEKLESVNMPRYGPTANEVKELIDAEGCFTLQKLETLKSSWDEGLKENGNGDLALDANARANFIAKYVRATTEPFLTSRFGEGVIDELFLRFGEKVAKLLEKQKLEYTYLVMFMTKK >Vigun09g056000.2.v1.2 pep primary_assembly:ASM411807v1:9:5586614:5589617:-1 gene:Vigun09g056000.v1.2 transcript:Vigun09g056000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIPHLVLQSSSNHQKMPVIGFGTASTSSTIDTKEAVLEAIKSGYRHFDTAAIYGSEQPLGEAIAEALQLGLIASRDELFITSKLWCTDNFPHLVLPALQKTLQCMKLEYVDLYLIHWPISVNPGIWGFPFPEEALSSFDSKGVWQAMEECQKQGLTKLIGVSNFSCHKLENLLSFATIPPSVNQIELNPTWQQKKLREFCEKKGIVVTAYSPLGSGSFWGSNGVMDNEFLNQIAEAHDKSVAQVSLRWLYELGVTAVVKSYNNERMKQNLEIFDWSLTKDDYEKIDEIKQSRIFSIGPEDIWDEEN >Vigun09g056000.1.v1.2 pep primary_assembly:ASM411807v1:9:5586556:5588286:-1 gene:Vigun09g056000.v1.2 transcript:Vigun09g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIPHLVLQSSSNHQKMPVIGFGTASTSSTIDTKEAVLEAIKSGYRHFDTAAIYGSEQPLGEAIAEALQLGLIASRDELFITSKLWCTDNFPHLVLPALQKTLQCMKLEYVDLYLIHWPISVNPGIWGFPFPEEALSSFDSKGVWQAMEECQKQGLTKLIGVSNFSCHKLENLLSFATIPPSVNQIELNPTWQQKKLREFCEKKGIVVTAYSPLGSGSFWGSNGVMDNEFLNQIAEAHDKSVAQVSLRWLYELGVTAVVKSYNNERMKQNLEIFDWSLTKDDYEKIDEIKQSRIFSIGPEDIWDEEN >Vigun11g123800.1.v1.2 pep primary_assembly:ASM411807v1:11:33116140:33117546:-1 gene:Vigun11g123800.v1.2 transcript:Vigun11g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSVLSVAVKRLEGKVAIITGGASGIGEATARLFSKHGAHVVIADIQDDVGLSLSNELESAIYVHCDVTKEEDVEKCVDTAVSKYGKLDIMFNNAGTGDEFKRSILDNTKSDFERVISVNLVGPFLGTKHAARVMIPARRGCIINTASVAGCIGGGATHAYTSSKHGLVGLMKNTAVELGQFGIRVNCVSPFAVATPLLSKYFNLDEEGVREAYSNLKGSYLVPNDVAEAALYLAGDESKYVSAHNLVLDGGLTNSNVGFPMFEKSV >Vigun01g041400.2.v1.2 pep primary_assembly:ASM411807v1:1:5772306:5777315:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKKFMNMTLLDFEECQGLTQIPDVSGMPNLEKISFKNCKSLNTIHDSIGFLGKLNSLNAVGCSKLRSFPPLKLTSLKNLELSYCYGLESFPEVLGKMGKITKLVLEDCNIKELPFSFQNLTELQTLQLRFCPMLRLPSSIVMMPKLAEIIVWESKGWLFPKQVEVEEKVSSMVSSNVDCLLLPRCKLSDDFLPIALAWFANVKELDLSWNNFTVLPECISNCHFLSKLTLDGCHSLREIRGIPPNIQLLLAVDCKSFTSSCRSTLLNQKLHEAGNTMFRLSGASFPEWFDHHNQGPSCSFWVGNKFPSIALCIAIGPAHLEHVTIDRPIININGVKCSLHGEEKPYLNMLPHHTYLFDLQHIVFSDYLDRFVTENEWNHVEITYSVKQRFNEKDKHAVTPVSVENGIYVLKQRSSMEDIQFTDPHKKRRLDVDPE >Vigun01g041400.5.v1.2 pep primary_assembly:ASM411807v1:1:5773275:5777315:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSFSFSFTYDVFLSFRGEDTRYGFTGNLYRALRDRGIHTFIDDEELRKGDEITSALEKAIEGSRIFIIVFSLNYASSSFCLNELAYILPHAKRNALLVLPLFYDVVPSHVRHHTGSFGEALDAHEKRFRGMSQGFELNIEKLNKWKMALRRAANLSGYHFKHGEEYEYQFIEKIVKLVSNKINRVPLHVADYQVGLEKRVLEVKMLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKASRNSSFSKPNILINFLLHC >Vigun01g041400.4.v1.2 pep primary_assembly:ASM411807v1:1:5772306:5777315:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSFSFSFTYDVFLSFRGEDTRYGFTGNLYRALRDRGIHTFIDDEELRKGDEITSALEKAIEGSRIFIIVFSLNYASSSFCLNELAYILPHAKRNALLVLPLFYDVVPSHVRHHTGSFGEALDAHEKRFRGMSQGFELNIEKLNKWKMALRRAANLSGYHFKHGEEYEYQFIEKIVKLVSNKINRVPLHVADYQVGLEKRVLEVKMLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKKLHEAGNTMFRLSGASFPEWFDHHNQGPSCSFWVGNKFPSIALCIAIGPAHLEHVTIDRPIININGVKCSLHGEEKPYLNMLPHHTYLFDLQHIVFSDYLDRFVTENEWNHVEITYSVKQRFNEKDKHAVTPVSVENGIYVLKQRSSMEDIQFTDPHKKRRLDVDPE >Vigun01g041400.3.v1.2 pep primary_assembly:ASM411807v1:1:5772306:5776283:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKKFMNMTLLDFEECQGLTQIPDVSGMPNLEKISFKNCKSLNTIHDSIGFLGKLNSLNAVGCSKLRSFPPLKLTSLKNLELSYCYGLESFPEVLGKMGKITKLVLEDCNIKELPFSFQNLTELQTLQLRFCPMLRLPSSIVMMPKLAEIIVWESKGWLFPKQVEVEEKVSSMVSSNVDCLLLPRCKLSDDFLPIALAWFANVKELDLSWNNFTVLPECISNCHFLSKLTLDGCHSLREIRGIPPNIQLLLAVDCKSFTSSCRSTLLNQKLHEAGNTMFRLSGASFPEWFDHHNQGPSCSFWVGNKFPSIALCIAIGPAHLEHVTIDRPIININGVKCSLHGEEKPYLNMLPHHTYLFDLQHIVFSDYLDRFVTENEWNHVEITYSVKQRFNEKDKHAVTPVSVENGIYVLKQRSSMEDIQFTDPHKKRRLDVDPE >Vigun01g041400.6.v1.2 pep primary_assembly:ASM411807v1:1:5772306:5777315:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKKLHEAGNTMFRLSGASFPEWFDHHNQGPSCSFWVGNKFPSIALCIAIGPAHLEHVTIDRPIININGVKCSLHGEEKPYLNMLPHHTYLFDLQHIVFSDYLDRFVTENEWNHVEITYSVKQRFNEKDKHAVTPVSVENGIYVLKQRSSMEDIQFTDPHKKRRLDVDPE >Vigun01g041400.1.v1.2 pep primary_assembly:ASM411807v1:1:5772306:5777315:-1 gene:Vigun01g041400.v1.2 transcript:Vigun01g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSFSFSFTYDVFLSFRGEDTRYGFTGNLYRALRDRGIHTFIDDEELRKGDEITSALEKAIEGSRIFIIVFSLNYASSSFCLNELAYILPHAKRNALLVLPLFYDVVPSHVRHHTGSFGEALDAHEKRFRGMSQGFELNIEKLNKWKMALRRAANLSGYHFKHGEEYEYQFIEKIVKLVSNKINRVPLHVADYQVGLEKRVLEVKMLVDVGSDDCVHMVGIYGLGGVGKTTLALALYNSIADHFEGLCFLENVRENSNKQGLHHLQRILLSEMVGENNINIGSVRQGISMMQHRLRQKKILLILDDVDKHEQLQAIVGSPDWFGPGSRVIITTRDKQLLSCHLVEKLYEVKKLEKNDALRLLSWKGFRTEEVDTSYSNVMDSVLAYASGHPLALEVIGSKLFRKSVEEWESAIKQYEKIPISQILEVLKISFDALEEVEKSVFLDIACCFKGYALSDIEDILRAQYGHSMKYHIGVLVEKSLIKYKWKFGVTMHDLIEDMGKEIVRQQSPKRPGKRSRLWSPEDIIQVLEDNSGSGEIEIICLNSSLPDKEEIIEWNRKAFKKMKNLKTLIIKKGNFSEGPKYLPNSLRVLEWLKYPSQGLPPDFRSKELAICKLPTSCFGSLELAELSKKFMNMTLLDFEECQGLTQIPDVSGMPNLEKISFKNCKSLNTIHDSIGFLGKLNSLNAVGCSKLRSFPPLKLTSLKNLELSYCYGLESFPEVLGKMGKITKLVLEDCNIKELPFSFQNLTELQTLQLRFCPMLRLPSSIVMMPKLAEIIVWESKGWLFPKQVEVEEKVSSMVSSNVDCLLLPRCKLSDDFLPIALAWFANVKELDLSWNNFTVLPECISNCHFLSKLTLDGCHSLREIRGIPPNIQLLLAVDCKSFTSSCRSTLLNQKLHEAGNTMFRLSGASFPEWFDHHNQGPSCSFWVGNKFPSIALCIAIGPAHLEHVTIDRPIININGVKCSLHGEEKPYLNMLPHHTYLFDLQHIVFSDYLDRFVTENEWNHVEITYSVKQRFNEKDKHAVTPVSVENGIYVLKQRSSMEDIQFTDPHKKRRLDVDPE >Vigun09g081000.1.v1.2 pep primary_assembly:ASM411807v1:9:9626411:9632280:-1 gene:Vigun09g081000.v1.2 transcript:Vigun09g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSFRLTKRLYNSKPLFRISSNFVAHASSATAAPPSPSPPSPPIPAPSALPNLLTAPWSATQSRGISLSGSDVRVGNLDGNRGRAHEVLKHYGPGEGTGKTILEVQPRSVAGYATVATAEGDIKSKERKSGLGKETKTKREQLLKATAVASLVLLYPNAYSLALANFFVFWHLKAGIEEILADYVHHAMTREFVTISLRLFLIIAMKDVFLKFIFV >Vigun09g093700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13570086:13570638:1 gene:Vigun09g093700.v1.2 transcript:Vigun09g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTRVSEYEREPFEYSTQRATMGNYHYPAGHREYTEKVVYEEDVIGGPRHHHHNMHHHHPETREVAKVVEYEVPERRVGEVIYEENKIAYPYNRRY >Vigun02g141100.2.v1.2 pep primary_assembly:ASM411807v1:2:28980497:28986953:1 gene:Vigun02g141100.v1.2 transcript:Vigun02g141100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTVQVGDFANFVGSHFWNFQDELLGLAGDPSADSVFKNQDLNMDVLYRTGETQQGIPSYTPRLVSINLRGSLGSMNSHGTLYTEVASTSRDVVTWTGRVSTQASEPLKRNLFLQRLYEEEEKPNINEIPGSNNDSQNEYEDKDVVECLENGVKFWTDYSKVHYHPRSLYELDGVWANIEEFNNYGIGRDSFTWAAQGEEISDRLRFFVEECDHIQGFQFIVDDSGGFSAIAAEFLENIVDEYTNIPVLLYAVQGSGSHTNLQSRKRTVSEVLHDSISFSRLSTYSKLIVPVGLPSLSKSKASRFLHIEDAKHFHSSAIYAAALHSISLPFRMVPVGPTADACSISGAVDIHGVVQMLSGQGRQNMVSVLDVAMPAPALTGGQNDLSLLEVLQPLTPQIADDVEDMLAIEHMTVHGALASGHRASVTEVKDKVDGAFRCASTRPMFCHLSVALCPLPIPLPFPSIFGNNVGKQGELIGGQTINSSPKGSLEVHSVPMAARLRSSSVVLPLLENKLQNLQRFGIQRGAAGSELLRSWGFGMEELVEMEEMLSKMAATLRPPQLTSDSD >Vigun02g141100.1.v1.2 pep primary_assembly:ASM411807v1:2:28980497:28986953:1 gene:Vigun02g141100.v1.2 transcript:Vigun02g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTVQVGDFANFVGSHFWNFQDELLGLAGDPSADSVFKNQDLNMDVLYRTGETQQGIPSYTPRLVSINLRGSLGSMNSHGTLYTEVASTSRDVVTWTGRVSTQASEPLKRNLFLQRLYEEEEKPNINEIPGSNNDSQNEYEDKDVVECLENGVKFWTDYSKVHYHPRSLYELDGVWANIEEFNNYGIGRDSFTWAAQGEEISDRLRFFVEECDHIQGFQFIVDDSGGFSAIAAEFLENIVDEYTNIPVLLYAVQGSGSHTNLQSRKRTVSEVLHDSISFSRLSTYSKLIVPVGLPSLSKSKASRFLHIEDAKHFHSSAIYAAALHSISLPFRMVPVGPTADACSISGAVDIHGVVQMLSGQGRQNMVSVLDVAMPAPALTGGQNDLSLLEVLQPLTPQIADDVEDMLAIEHMTVHGALASEGHRASVTEVKDKVDGAFRCASTRPMFCHLSVALCPLPIPLPFPSIFGNNVGKQGELIGGQTINSSPKGSLEVHSVPMAARLRSSSVVLPLLENKLQNLQRFGIQRGAAGSELLRSWGFGMEELVEMEEMLSKMAATLRPPQLTSDSD >Vigun02g141100.3.v1.2 pep primary_assembly:ASM411807v1:2:28980497:28986953:1 gene:Vigun02g141100.v1.2 transcript:Vigun02g141100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGWLGIPLLIQCSRIRILTWTCFIVLGIPSYTPRLVSINLRGSLGSMNSHGTLYTEVASTSRDVVTWTGRVSTQASEPLKRNLFLQRLYEEEEKPNINEIPGSNNDSQNEYEDKDVVECLENGVKFWTDYSKVHYHPRSLYELDGVWANIEEFNNYGIGRDSFTWAAQGEEISDRLRFFVEECDHIQGFQFIVDDSGGFSAIAAEFLENIVDEYTNIPVLLYAVQGSGSHTNLQSRKRTVSEVLHDSISFSRLSTYSKLIVPVGLPSLSKSKASRFLHIEDAKHFHSSAIYAAALHSISLPFRMVPVGPTADACSISGAVDIHGVVQMLSGQGRQNMVSVLDVAMPAPALTGGQNDLSLLEVLQPLTPQIADDVEDMLAIEHMTVHGALASEGHRASVTEVKDKVDGAFRCASTRPMFCHLSVALCPLPIPLPFPSIFGNNVGKQGELIGGQTINSSPKGSLEVHSVPMAARLRSSSVVLPLLENKLQNLQRFGIQRGAAGSELLRSWGFGMEELVEMEEMLSKMAATLRPPQLTSDSD >Vigun02g141100.4.v1.2 pep primary_assembly:ASM411807v1:2:28980497:28986953:1 gene:Vigun02g141100.v1.2 transcript:Vigun02g141100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGWLGIPLLIQCSRIRILTWTCFIVLGIPSYTPRLVSINLRGSLGSMNSHGTLYTEVASTSRDVVTWTGRVSTQASEPLKRNLFLQRLYEEEEKPNINEIPGSNNDSQNEYEDKDVVECLENGVKFWTDYSKVHYHPRSLYELDGVWANIEEFNNYGIGRDSFTWAAQGEEISDRLRFFVEECDHIQGFQFIVDDSGGFSAIAAEFLENIVDEYTNIPVLLYAVQGSGSHTNLQSRKRTVSEVLHDSISFSRLSTYSKLIVPVGLPSLSKSKASRFLHIEDAKHFHSSAIYAAALHSISLPFRMVPVGPTADACSISGAVDIHGVVQMLSGQGRQNMVSVLDVAMPAPALTGGQNDLSLLEVLQPLTPQIADDVEDMLAIEHMTVHGALASGHRASVTEVKDKVDGAFRCASTRPMFCHLSVALCPLPIPLPFPSIFGNNVGKQGELIGGQTINSSPKGSLEVHSVPMAARLRSSSVVLPLLENKLQNLQRFGIQRGAAGSELLRSWGFGMEELVEMEEMLSKMAATLRPPQLTSDSD >Vigun09g100700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16486070:16486381:-1 gene:Vigun09g100700.v1.2 transcript:Vigun09g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHFTRAHLITSLLYWAVSLHQSATQVENRSRPTFASVFFFGSVAWFKRHSCLSLGFSYHPRAFHSQPSLVVNVSFLLHAFLLFLTRFHDYNSRVTPLCKLG >Vigun11g063500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14497730:14498403:-1 gene:Vigun11g063500.v1.2 transcript:Vigun11g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTKKVKRSKPVAGSCSRCGGGASVADMITQTRFCFVPFYCKSWKAIMCTFCGAMLKSYT >Vigun07g068100.1.v1.2 pep primary_assembly:ASM411807v1:7:8158915:8160505:1 gene:Vigun07g068100.v1.2 transcript:Vigun07g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNCHPFSLTTHAFTHHIDTATAVMYSDNATGSSRASSGTGRYRGVRRRSNGKWVSEIREPRKPSRIWLGTFPTPEMAAVAYDVAAYALKGKDAELNFPDSASSLPVPDSLSARDIQVAAAAAAAAAGAAKDAMQMPTGNNNSSGVQGNQTGTSNEFVDEDLIFDMPNVLVNMAQGMLLSPPPFDIGVEPNSPENIEHETSLWNFP >Vigun01g115400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29205360:29208500:1 gene:Vigun01g115400.v1.2 transcript:Vigun01g115400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPRGDGYGDQNVCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCISDDFNLRQIIIKIINSDSDPTISVVPQESMNNLDIEQLQSRLRHKLSCQKYLLVLDDVWNDNRAKWIELKDLIKVGALGSKIIVTTRSNSIASMMGTVPSYVLEGLSVENCLSLFLKWAFREGEEKEHPNLVDIGKEIVKKCRGVLLAVKTSGSSLFSIFDSERWEIMRDHELWNLKQQEDDILPSLKLSYDQMPSYLRHCFAFFSLYPKDFGFTSAEIANFWATLGLLRSPFGSQKIENVGKLYINELHSRSFLEDFEDFGTVYYFKLHDLVHDLSLYVAKEEFLMVNSHTSNIPKQVRHISVVENDSLSHTLFPKSRGVRTIIFPVDGVGVGSESLLETWIKRYKYLRHLDLSNSTFETLPNSIAKLEHLRAFSLDNNCKIKRLPNSFCKLQNLEMLSLRRCLGLETLPKGLGMLISLRKLYITTKQSILSEDDFATLNNLHTLIFEYCDNLKFLFQGAEAQLSSLEVLIIQSCGSLESLPLHILPKLHVLIVTRCVMLNLSLNSETAIQRLKMKYLHIEQCPRQQTLPEWIQAAANTLRTLIILNCHCLEVLPEWLSTLTQLKMLHIVNCPQLLDFPSNMHCLRALEDLIIDGYPELGRKCEPRSGEYWSFIAHIKCVSIGKTRKMKLLFQMLSRLGLNCTQ >Vigun01g115400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29205359:29208499:1 gene:Vigun01g115400.v1.2 transcript:Vigun01g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYFVFEIAESLLGKLASNLYEEISRAFDLYEDVKSFRDTMSIVKGVLLDAEEKKDKKHGLREWLRQIQNVCLDAEDVLDGFECQNLRKQVLKASGTTSMKVNHLFSSSNSLVFRFRMAWQIKNVTRRLDKIAADGNKFGLERIVFYHSPMQRREMTYSHVDASGVIGREKEKEEIIKILMQPHPRGDGYGDQNVCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCISDDFNLRQIIIKIINSDSDPTISVVPQESMNNLDIEQLQSRLRHKLSCQKYLLVLDDVWNDNRAKWIELKDLIKVGALGSKIIVTTRSNSIASMMGTVPSYVLEGLSVENCLSLFLKWAFREGEEKEHPNLVDIGKEIVKKCRGVLLAVKTSGSSLFSIFDSERWEIMRDHELWNLKQQEDDILPSLKLSYDQMPSYLRHCFAFFSLYPKDFGFTSAEIANFWATLGLLRSPFGSQKIENVGKLYINELHSRSFLEDFEDFGTVYYFKLHDLVHDLSLYVAKEEFLMVNSHTSNIPKQVRHISVVENDSLSHTLFPKSRGVRTIIFPVDGVGVGSESLLETWIKRYKYLRHLDLSNSTFETLPNSIAKLEHLRAFSLDNNCKIKRLPNSFCKLQNLEMLSLRRCLGLETLPKGLGMLISLRKLYITTKQSILSEDDFATLNNLHTLIFEYCDNLKFLFQGAEAQLSSLEVLIIQSCGSLESLPLHILPKLHVLIVTRCVMLNLSLNSETAIQRLKMKYLHIEQCPRQQTLPEWIQAAANTLRTLIILNCHCLEVLPEWLSTLTQLKMLHIVNCPQLLDFPSNMHCLRALEDLIIDGYPELGRKCEPRSGEYWSFIAHIKCVSIGKTRKMKLLFQMLSRLGLNCTQ >Vigun04g061300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6339020:6339740:-1 gene:Vigun04g061300.v1.2 transcript:Vigun04g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGLYLVLVITVIHFHLSMATPWKEIDSISSHVESEFLFGSHVARMLYDVSQSVSGQTGNSNNQAVDCPQSNGYRTCVPSQNGGGANKRCADYTRNC >Vigun08g180950.1.v1.2 pep primary_assembly:ASM411807v1:8:35054539:35056598:-1 gene:Vigun08g180950.v1.2 transcript:Vigun08g180950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSGEDRSNDNQSSENNLKKGPWTAEEDAILAAYVTRHGPGNWNIVQQNTGLLRCGKSCRLRWTNHLRPDLRRGAFSKEEQNKVIQLHALMGNKWAKMAQEIPGRTDNEIKNFWNTRLKKRRRIGLELYPDGIKKPVVNAHNSETNLVSYTHRDSQELQPREACTSSCVPRVVFNNSSNSQNQPLYAQPISMVPEERQPFEVPEQQHMSEVPDQQRQFYEVPEQLQLSHELEGMELHDFDKLPGGCMYDNYACSGGPSSVSALPPLPPSCDDLAFDESMILRDNSEFVTNPCYEPRSPRSNGYLESIFYLPKVPQEKPDDFSMLEELNLGNTRENSEQRKPEKEEDEVGYGDLIWIQKHDDGPYNEKVGIVHPFLKP >Vigun09g055800.1.v1.2 pep primary_assembly:ASM411807v1:9:5569594:5571155:-1 gene:Vigun09g055800.v1.2 transcript:Vigun09g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIPHVVLQSSSNHQKMPVIGFGTASTSSTIDTKEAVLEAIKLGYRHFDTASIYGSEQPLGEAIAEALQLGLIVSRDELFITSKLWCADNFPHLVLPAIHKTLQSLKLEYVDLYLIHWPISVNPGTWEILYPEEALTSFDLKGVWKAMEECQKQGLTKFIGVSNFSCHKLENLLSFATIPPSVNQVEMNLTWQQKKLREYCQAKGIIITAYSPLGAPGALWGSNYVVDNELLKEIAEAHGKSFAQVSLRWLYEVGVTVAVKSYNKERMKQNIEIFDWALSKDDYEKIAQIEQHQLCKNGPTKFIADLFDGEN >Vigun09g055800.2.v1.2 pep primary_assembly:ASM411807v1:9:5569594:5571155:-1 gene:Vigun09g055800.v1.2 transcript:Vigun09g055800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIPHVVLQSSSNHQKMPVIGFGTASTSSTIDTKEAVLEAIKLGYRHFDTASIYGSEQPLGEAIAEALQLGLIVSRDELFITSKLWCADNFPHLVLPAIHKTLQSLKLEYVDLYLIHWPISVNPGTWEILYPEEALTSFDLKGVWKAMEECQKQGLTKFIGVSNFSCHKLENLLSFATIPPSVNQVEMNLTWQQKKLREYCQAKGIIITAYSPLGAPGALWGSNYVVDNELLKEIAEAHGKSFAQMVV >Vigun05g142300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18268299:18268898:-1 gene:Vigun05g142300.v1.2 transcript:Vigun05g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTILALFLLSVLTFNPPSTTAQPVTDLHGNIVRNGGRFFILPPFTVAGGIRRIKTGNETIPLSVVQSPIEIDKGLPLTITSPSDSLFIPNGSPVFISFELTPIIGAFPLEWTVVAGLPEGTLVKVEGYPHTVPGSFSIHRVRDNTYKLLFCTLDSSLCGNVAIVEDEAKNRLLAVNQKHPYEFVLEQLPSSSAASK >Vigun01g203300.1.v1.2 pep primary_assembly:ASM411807v1:1:37946619:37949170:1 gene:Vigun01g203300.v1.2 transcript:Vigun01g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLHRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLKQRGINPVTHKPLSEVENGEEDKTRSQEFSNELNLLNSESFKSDEGSYEQRASSIAPKAYEMEGSCSSKIKTKNDTNLITNCSNKDLFLDSYTTTCQPSDLMGNYPLQMTDTLPTNSDFPTNSDSSHWFSPTARPIDINSEFTSDVMSILPPTTASFLPSTSFCYKPSLAVPPEDISAPSFALNGPNYREPSASNNSNGSNTSDGSREFTSSKNSVLSSWGLTEEAKWSEYLHNPMLMLAAPESLCNQTRPATHLVPDNTLGSIISGSKEQHQSQTSTIFSKDIQKLTAAFGHI >Vigun11g186500.1.v1.2 pep primary_assembly:ASM411807v1:11:38684136:38693743:-1 gene:Vigun11g186500.v1.2 transcript:Vigun11g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLSLNRHRALSLTSHAPSLSALRSHFLASTHSLRFPPSPPSLRSRNRRTTSRNSGLLRIHSPRFVFKASFHSHSVVVLVIVVTLSAVSWLHFTLTKKKNKSLNQTRGLTNFALSPQGTNVGSQVIDGEILGFTEFQRDNTLAEIGKLKDEYRVFEENEIHLPFLKSSVVQEVAIATETSESSSVLDSGLNNNNNNNNNNINNSSSNSSKVLDESFLSVPFSSTSLPPLEFAEEMAIHVEESQDKVNSDTEFPLIDVESEHTALSVRVNNAVAATVVGLTEEKIELGSMNGEVLFGESVREGLYMFYEVNKPATGSMTPLSGLKSLSPRASFMNKKRSPSVMGNATLKGTGLSTDIPLQEAEHVKGAAEFSSHNGYPLQHVSKNLRRGRSSSRDRERTNVDYNNNTVFPQAHSMKMHIDLKNDKIMVPDDQKNDPSEHLSKYNNLLKVGRVHECVEVLKHMETKGLLDMTKVYHAKFFNTCKKRKAVKEAFDFIKLIPNPTLSTFNMLMSVCASSQDSERAFHVLQLLKNAQLEPDCKLYTTLILTCAKSGKVDLMFEVFHKMVNSGVEPNVHTYGALIDGCARAGQIAKAFGAYGILRSKNVKPDRVVFNALIAACAKSGAVDRAFDVLAEMAGETQPIDPDHVTIGALLKACTKAGQVERAKEVYKMVQKYNIKGCPEVYTIAINSCSETGDWEFALAVYNDMTQKGIQPDEIFLSALINVAGHAKNLDAAFDVLQQAREGGIRIGITSYSSLMGACSNARNWQKALELYEYLKSLKLSITVSTINALLTALCDGDQFQKALEVFFEMKGLGLCPNSITFSVLIVASEKKDDMEAAQMLLSQAKKDGVATNLIICRCIIGMCLRRFEKACDVGEPVLSFHSERPQVDNKWTSLALTVFRDTIDAGQKPTIEILSQILGCLQLPYDTSLKNRLIENLGVSADTSRGSNLCSLLDGFGEYDPRAFSILEESASYGVVPFVSFKTSPIVIDAKELHASTAEVYLITVFKGLKHRLAAGARIPNIIILLPVEKTEVVSQKGEKIINLAGRAGQAIGALLRRLQIPHQGNESNGKLRIHGVALKKWFQPKLTSPFSGKPGDWSSSISRLGKGISHQQRNIRVGNLSLD >Vigun06g005000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:2602669:2604298:-1 gene:Vigun06g005000.v1.2 transcript:Vigun06g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLVLQLLILLIRPSLPQNLHPSDLTALLSIKATLTDLSPTNPFFSTWNLTAPDPCSSFAGLTCTLRRVTALSLGEPSFPLAGTLPASLSLLTDLTQLLLSPGLVTGPIPLQLAHLTNLRVLSLTSNRLTGPIPTALSTLRRLHTLDLSRNQLSGSLPPTLTDLPQLKILILASNSLSGTLPQTVNSPLLHLDLKDNKLTGPLPSSLPSSLRYLSLSQNLMWGPLPNGLQTLSELAFLDLSMNRFAGPIPAQLLSLPALFNIFLQRNNLSGGLGSGPRPGPVPGSIVDLSHNCLSGELSSVLEGVESLFLNNNRFTGEVPEAYVKSVCRGSTRLLYLQHNYLTGIPLREGVVLPDAASLCVSYNCMVPPPAVVMTCPASAGGVVTRPAAQCSVFSHNGNTGG >Vigun07g167700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28180557:28181728:1 gene:Vigun07g167700.v1.2 transcript:Vigun07g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHQLILFLLLLFVAKANGSLLPFDPPRGILAELLSDRFPDPFHVLEQIPFGVEKDEQSTVMPAARVDWKETPEGHEIMVDVPGLKREEIKIEVEENRVLRVSGERKREEEKKGDHWHRVERSYGKFWRQFRLPQNVDLDSVKAKLENGVLTLTLNKLSPEKIKGPRVVTIAGEDQEPPKLTKDGAKQEL >Vigun10g164700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38365522:38366467:-1 gene:Vigun10g164700.v1.2 transcript:Vigun10g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTLFLFALLSSTSHAFVNDFCVANLKGPDGPSGYECKPPNTVTVDDFVFSGLVAGNTSGIFNAAVTPAFVTELPGVNGLGLSAARLDLAKGGLVPAHSHTASSEILIMVKGEITAGFITPGAVYQKTLKPGDVMVFPQGLLHFQVNSGKGKATAFLAFSNPSPGAQLLDLLLFGNNLPSEFISQITLLDYAQVKKLKPRFGGRG >Vigun04g070000.1.v1.2 pep primary_assembly:ASM411807v1:4:8123336:8125934:-1 gene:Vigun04g070000.v1.2 transcript:Vigun04g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYTEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun04g070000.2.v1.2 pep primary_assembly:ASM411807v1:4:8123336:8125934:-1 gene:Vigun04g070000.v1.2 transcript:Vigun04g070000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYTEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun06g221700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33098105:33099620:-1 gene:Vigun06g221700.v1.2 transcript:Vigun06g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEMVEGKREKEVLPLDVVINILKRVPVKSLMRFKCVSKEWLKLLERNPFFTKQQLEYSTGNNALLLLQRVHRQPQPDPFSTCLIGPHHHHLIDESHLSDIVSPAAKILASCNGLLCLRHTTALSILNPATRQIRQVPIENLSAFNYVGFGFSPLADDYKIVRISMCIFAPDNQVVVLDNVRVERVEVYSLASGFWKEIDAAKLQPLCIVSSSVAITGSIFWLATKTSDSDTDSEFVVSFDIGRDLFTLVNGPPFQHSSSHPYSNNVLAVHNDKLAMFRHYIVGAFESCWFDLWVLEDLHGDYNNNTCDGVGGSWVKMYSVGPFSRIVYPLSLWGDEIVCREELSGQENDFRGVETLLALFNPLTKELKNLPAHRDEFFYVPFTYSQSLVPVPNLLQH >Vigun11g226100.2.v1.2 pep primary_assembly:ASM411807v1:11:41642042:41653084:1 gene:Vigun11g226100.v1.2 transcript:Vigun11g226100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIDDDWELTSSSSNEVRTVVLVGRTGNGKSATGNTILGRKVFKSKASSYAVSTTCELQTAELEDGQRVNVIDTPGLFDLSSGSEFVGKEIVKCIDLAKDGIHAVIVVFSVRTRFTEEEETALRSLQSLFGSKIVDYMIVVFTGGDELEENNERMEDYLGRGCPKALEEILGLCENRVVLFDNKTKDEGKRFGQVQKLLYFVNIVLSRNGGRPYTDELFTELKKGAMQLHKQQRKVDSLKGQYSEDVISEFEKQMQQTYDDQLKRITEMVESKLSEATMKLKQQLDEEQAARLKAEEKAMLAQMRSDEEIRKLRERLEKAHEELRKRAEGRCAIL >Vigun11g226100.3.v1.2 pep primary_assembly:ASM411807v1:11:41642176:41653084:1 gene:Vigun11g226100.v1.2 transcript:Vigun11g226100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIDDDWELTSSSSNEVRTVVLVGRTGNGKSATGNTILGRKVFKSKASSYAVSTTCELQTAELEDGQRVNVIDTPGLFDLSSGSEFVGKEIVKCIDLAKDGIHAVIVVFSVRTRFTEEEETALRSLQSLFGSKIVDYMIVVFTGGDELEENNERMEDYLGRGCPKALEEILGLCENRVVLFDNKTKDEGKRFGQVQKLLYFVNIVLSRNGGRPYTDELFTELKKGAMQLHKQQRKVDSLKGQYSEDVISEFEKQMQQTYDDQLKRITEMVESKLSEATMKLKQQLDEEQAARLKAEEKAMLAQMRSDEEIRKLRERLEKAHEELRKRAEGRCAIL >Vigun11g226100.1.v1.2 pep primary_assembly:ASM411807v1:11:41642173:41653084:1 gene:Vigun11g226100.v1.2 transcript:Vigun11g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIDDDWELTSSSSNEVRTVVLVGRTGNGKSATGNTILGRKVFKSKASSYAVSTTCELQTAELEDGQRVNVIDTPGLFDLSSGSEFVGKEIVKCIDLAKDGIHAVIVVFSVRTRFTEEEETALRSLQSLFGSKIVDYMIVVFTGGDELEENNERMEDYLGRGCPKALEEILGLCENRVVLFDNKTKDEGKRFGQVQKLLYFVNIVLSRNGGRPYTDELFTELKKGAMQLHKQQRKVDSLKGQYSEDVISEFEKQMQQTYDDQLKRITEMVESKLSEATMKLKQQLDEEQAARLKAEEKAMLAQMRSDEEIRKLRERLEKAHEELRKRAEGRCAIL >Vigun11g226100.5.v1.2 pep primary_assembly:ASM411807v1:11:41643351:41653092:1 gene:Vigun11g226100.v1.2 transcript:Vigun11g226100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGDHQSGLFDLSSGSEFVGKEIVKCIDLAKDGIHAVIVVFSVRTRFTEEEETALRSLQSLFGSKIVDYMIVVFTGGDELEENNERMEDYLGRGCPKALEEILGLCENRVVLFDNKTKDEGKRFGQVQKLLYFVNIVLSRNGGRPYTDELFTELKKGAMQLHKQQRKVDSLKGQYSEDVISEFEKQMQQTYDDQLKRITEMVESKLSEATMKLKQQLDEEQAARLKAEEKAMLAQMRSDEEIRKLRERLEKAHEELRKRAEGRCAIL >Vigun11g226100.4.v1.2 pep primary_assembly:ASM411807v1:11:41642691:41653084:1 gene:Vigun11g226100.v1.2 transcript:Vigun11g226100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIDDDWELTSSSSNEVRTVVLVGRTGNGKSATGNTILGRKVFKSKASSYAVSTTCELQTAELEDGQRVNVIDTPGLFDLSSGSEFVGKEIVKCIDLAKDGIHAVIVVFSVRTRFTEEEETALRSLQSLFGSKIVDYMIVVFTGGDELEENNERMEDYLGRGCPKALEEILGLCENRVVLFDNKTKDEGKRFGQVQKLLYFVNIVLSRNGGRPYTDELFTELKKGAMQLHKQQRKVDSLKGQYSEDVISEFEKQMQQTYDDQLKRITEMVESKLSEATMKLKQQLDEEQAARLKAEEKAMLAQMRSDEEIRKLRERLEKAHEELRKRAEGRCAIL >Vigun06g090400.1.v1.2 pep primary_assembly:ASM411807v1:6:22296261:22298442:1 gene:Vigun06g090400.v1.2 transcript:Vigun06g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGGSLGYGGAITCLSNVDHTKKVQQSVRNSFMGRKIVGAMKSWRGKNGWKRRVGVVQCRGIGDFVGGDLIWLDIDRWLSDVEEHKALAIYPPHEGGYEGRYLSRLTRQGYYFLDLSARGLGDPETTLTKIHPVCPAHVGKQPIARWYYPPEVDFRLESLPPNAKGLVVWILEAKVLSKAELQFLALLPTLRPNVRVIAECGNWRKFMWKPLKEIAGLTTSEET >Vigun06g090400.2.v1.2 pep primary_assembly:ASM411807v1:6:22296261:22298442:1 gene:Vigun06g090400.v1.2 transcript:Vigun06g090400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGGSLGYGGAITCLSNVDHTKKVQQSVRNSFMGRKIVGAMKSWRGKNGWKRRVGVVQCRGIGDFVGGDLIWLDIDRWLSDVEEHKALAIYPPHEGGYEGRYLSRLTRQGYYFLDLSARGLGDPETTLTKIHPVCPAHVGKQPIARWYYPPEVDFRLESLPPNAKGLVVWILEAKVFSLRQNCSS >Vigun11g112500.1.v1.2 pep primary_assembly:ASM411807v1:11:31507300:31509558:-1 gene:Vigun11g112500.v1.2 transcript:Vigun11g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCKLPARIFCESDQASLCWDCDAKVHGANFLVARHSRTLLCRTCHSPTPWKAAGARLANAASICHRCAASAAEEPDETESGNDEEPDTEDDEVSGEEDGENQVVPWSSTPPPPASSSSSECSSFSGCKNEDDEGASVSVCEPETTTSSLKRQRENNNDDEDGVSGRDPQRFGRVAHLNGGGV >Vigun09g018200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1371787:1372819:1 gene:Vigun09g018200.v1.2 transcript:Vigun09g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAFPSTTSMVTLLFSLLLAFHFAMPVPDRSLSTLASIKDGVKKRNTMVAASAREGTSQNLNTETTPKQDLSKGPERGSSRAPRPPLKWQNKIFNANEHEVPSGPNPISNR >Vigun10g047700.1.v1.2 pep primary_assembly:ASM411807v1:10:7108440:7111333:1 gene:Vigun10g047700.v1.2 transcript:Vigun10g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRVFSSEESTTNSVRKGVWFWDFNEQEYPIQETDVIKFRVQNVSYPQIPVEQPKESKPFAPMLVTGSLDHDGLGPVSWWCAEEIEVDDE >Vigun10g047700.4.v1.2 pep primary_assembly:ASM411807v1:10:7108194:7111739:1 gene:Vigun10g047700.v1.2 transcript:Vigun10g047700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRVFSSEESTTNSVRKGVWFWDFNEQEYPIQETDVGSLDHDGLGPVSWWCAEEIEVDDE >Vigun10g047700.3.v1.2 pep primary_assembly:ASM411807v1:10:7108194:7111739:1 gene:Vigun10g047700.v1.2 transcript:Vigun10g047700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRKGVWFWDFNEQEYPIQETDVIKFRVQNVSYPQIPVEQPKESKPFAPMLVTGSLDHDGLGPVSWWCAEEIEVDDE >Vigun10g047700.5.v1.2 pep primary_assembly:ASM411807v1:10:7108440:7111333:1 gene:Vigun10g047700.v1.2 transcript:Vigun10g047700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRVFSSEESTTNSVRKGVWFWDFNEQEYPIQETDVGSLDHDGLGPVSWWCAEEIEVDDE >Vigun10g047700.2.v1.2 pep primary_assembly:ASM411807v1:10:7108194:7112288:1 gene:Vigun10g047700.v1.2 transcript:Vigun10g047700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRKGVWFWDFNEQEYPIQETDVIKFRVQNVSYPQIPVEQPKESKPFAPMLVTGSLDHDGLGPVSWWCAEEIEVDDE >Vigun03g041700.1.v1.2 pep primary_assembly:ASM411807v1:3:3275080:3277900:1 gene:Vigun03g041700.v1.2 transcript:Vigun03g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGPLIGPFNTKNRSSENLGFIRHKAAKITVWTPSSVSQHPFLLHSPSHTMIIPEKNRKEICKYLFQEGVCFAKKDFNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMHYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPPGRPFGGPSGDRPRGPPRFEGERRFGGDRDGYRGGPRGGGDFGGDKGGAPADYRPSFGGSGGRPGFGRGSGGFGAPTSSNLP >Vigun02g198700.1.v1.2 pep primary_assembly:ASM411807v1:2:33372382:33374131:1 gene:Vigun02g198700.v1.2 transcript:Vigun02g198700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSDPPFHEAYKILFDKTRNTIQENNGFDSDVVVAAEECELPVIDLSLLEDRDETLREECKSHIARASQEWGFFQVVNHGICSHIFSRLRCEQEKVFRQPFEKKSKEEKFLNFSAGSYRWGAPTATCIRQLSSFHIPLSDILGSSGSNSLSWRIEEFATRVSRLAETLADILAEKMGEKSRFFKENCLPNTCYLRLNRYPPCPTAFGIHGLMPHTDSDFLTILYQDEVGGLQLVKDKKWIAVKPNPDALIINIGDLFQAWSNGVYKSVEHRVMTNPKLERFSMAYFLCPSNDTVIESCREPCIYRKFSFREYRKQVRDDVQKLGSKIGLPRFLAH >Vigun09g267000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43035155:43039311:-1 gene:Vigun09g267000.v1.2 transcript:Vigun09g267000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHGRATMLWSMLMQVRLQCTTANAIARYARSGKLDHARRVFDETSLPLRTISSWNTMVAAYFEACQPCEALFLFERMPQRNTVSWNGLISGYIKNGMLLDARNVFDSMPDRNVVSWTSMVRGYVQNGDVAEAERLFWQMPNKNVVSWTVMLGGLLQEARIEDARKLFDMMPEKDVVAVTNMIGGYCEEGRLGEARALFDQMPKRNVVTWTTMVSGYARNGRVDVARKLFEVMPERNEVSWTAMLMGYTHSGRMGEASKLFDMMPVKLVVACNEMIMGFGLAGEVDKARCVFEEMKDRDDGTWSALIKVYERKGYELKALGLFRRMQRERVALSFPSLISVLSVCASLASLDHGRQVHGQLVRSEFDKDLYVSSVLITMYIKCGDLVRAKRVFDRFPLKDVVMWNSMITGYSQHGLGEEALNVFYDMGSSGVPPDDVTFIGVLSACSYSGKVKEGLELFKSMKCKYQVEPGVEHYACLVDLLGRAGQVNDAMKLVEKMPMEPDAIVWGALLGACRTHMNLDLAEVVVDKLAQLEPKNAGPIVLLSNMYASRGRWKDVEVLRKKIKSRSVIKLPGCSWIEVEKKVHMFTGGDSKGHAEQPIIMKMLEKLGGLLREAGYCPDGSFVLHDVDEEEKTHSLGYHSEKLAVAYGLLKVPKGMPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGYCSCKDYW >Vigun01g060250.1.v1.2 pep primary_assembly:ASM411807v1:1:12597273:12597807:-1 gene:Vigun01g060250.v1.2 transcript:Vigun01g060250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILLKERGAFGNADTGFIIPLCPRQHMCYNGHNKGSQSRKGELTPKTRPWFGLWAATRLCETKISESVRR >Vigun07g260100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37675488:37677581:-1 gene:Vigun07g260100.v1.2 transcript:Vigun07g260100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLGSIKRATSQSVLGSMALFRSSKRFLISDLLLPLTESSSISLNPSSSSNFHHHYPKPTIQFPYGSPKNTTLAFHTAQPRSTSNAEAICRILSNSRDSTVAASLAAVVVNPSPELVLEVLNKLSNAGTLALSFFRWAEKQGEFKHTTETFHALIEALGKIRQFKLIWTLIDDMKQRKLLTSDTFALVARRYVRARKVKEATETFEKMERYGLKPHVSDFNRLIDVLCKSKCVEKAHEVFDKMRHLGLDPDIKSYTILLEGWSQLQNLIKLNELCREMEDKGFQIDVVAYGIIINAYCKAKKFDETIGLYHEMKAKGLRPSPHVYCTLINGLGSDKRLNQALEFFEASKASGFAPEAPTYNAVVGAYCWSLRMDDAYRMVGEMKKCGIGPNSRTFDIILHHLIKGRRIEEACSVFHRMGGEFGCEPSVSTYEIMVRMFCNEQRLDMAMAVWDEMKEKGILPGMHMFSTLICSLCHESKLNDACKYFQEMLDVGIRPPAKMFSTLKEALVDARMEHIAMHFALKIDKLRKSPLVA >Vigun04g093300.1.v1.2 pep primary_assembly:ASM411807v1:4:18569604:18570701:1 gene:Vigun04g093300.v1.2 transcript:Vigun04g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSNSDDSKSTFCLVDEDHTFANSIRYTLNQDPRVTYCGYSIPHPSFNRVNIRLKTTGDLAKEVFKDGCLKLKLMCCHVRSTFDDALSEFKETKTN >Vigun06g200200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31539915:31541176:-1 gene:Vigun06g200200.v1.2 transcript:Vigun06g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNHSISPRQQENQKPWQGLQSPKPVARSEPGSPYPTTFVQADTSSFKQVVQMLTGSSETAKQASSKPAANPTHSIPPMKSVPNKKQQFKLYERRTNSLKNNLNINPLTSFFSPRKPDMLSPSILDFPALVLSPVTPLIPDPFDRSNAPPSLDSEAEDKAIKEKGFFLHPSPASTPRDAEPRLLPLFPTTSPRASAPSPSSSTAAS >Vigun10g190700.1.v1.2 pep primary_assembly:ASM411807v1:10:40523911:40524786:1 gene:Vigun10g190700.v1.2 transcript:Vigun10g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMVTSLCLSVMVSILMFVHYTEAQRVFIVGDGTGWTTPQDPSTYQTWVSDKTFAVGDILSFDFQRAQHNVVAVPEESYTSCSSANPIRTYTTGPVNVTLTTAGQHYYICSIGQHCTRGQRLAVNVSGSGLPPSAAVSPGSNTTPPPPPSSPAATFSSASIPFFLFLSAFILVIFGHNA >Vigun03g205500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33261425:33262655:-1 gene:Vigun03g205500.v1.2 transcript:Vigun03g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRLGDFISEAKVIIKAHPRHFHILSLIFLFPLSLFLLLSPTISNLFHYFFTNNNSPTLHPSQTLNPTFFLYSLFLLLFSNCGVITITYSVFHFSHDQPVDLPSTIKSISTSFLPLLATTIVSQLIFFFITFLYCLLLMLLHLTFLPHLSPFTIGFLIILPLIVVQTYLEVNWTLASVIVVMESCWGMEPLRRSARLIKGMKGVALSCIFFYGFFAFIFTWNYLFVTRGYHGSTESWVAAVLNWVVILFGSYFQAMLMLSQIAVTTVLYIYCKANNVEIAEEFGKDYVSLPFHDDGKISQVV >Vigun09g006800.1.v1.2 pep primary_assembly:ASM411807v1:9:516764:519232:-1 gene:Vigun09g006800.v1.2 transcript:Vigun09g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNFCVYRNEIHHSVGERTQVLQDVAADPTLPRTKSVRCTQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGYRWRD >Vigun11g146100.2.v1.2 pep primary_assembly:ASM411807v1:11:35484961:35490757:-1 gene:Vigun11g146100.v1.2 transcript:Vigun11g146100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRNLEETPTWAVSTVCFVLILISIIIEHIIHLIGKWLKKKHKRALYESLEKIKSGLISRICISEKVASTWHPCSHENTDTEESEHGTNSRRLLAAFNGSNEVTPRRVLAGGGSDKCGEGKVPFVSSDGIHQLHIFIFVLTVFHVLYCITTMALGRAKMKRWKRWEEETKTPEYQFSHDPERFRFARETSFGRRHLSFWTKNPVLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHSKFDFRKYIKRSLDEDFKVVVGISPPFWFFAVLFLLLNTHGSYSYLWLPFIPLIIILLVGTKLQVIITEMGLRIQQRGEILKGVPLVQPGDYLFWFNRPALILYLINFVLFQNAFQLAFFSWSALQFGIKSCFHAHTEDVVIRIAMGVLIQILCSYVTLPLYALVTQMGSTMKPTIFNERVAEALRKWHQTAKKQIRQNRVGPLSLSGTPMSSRPTTPSHHMSPMHLLRYYRSEMDSFPTSPRRSNFDGDHTQPWDMDSPSPSYSQHEVEMGHTNDPTTNTTLHEIVTVAHTKEFSFDNRPSPAT >Vigun11g146100.1.v1.2 pep primary_assembly:ASM411807v1:11:35484961:35490757:-1 gene:Vigun11g146100.v1.2 transcript:Vigun11g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRNLEETPTWAVSTVCFVLILISIIIEHIIHLIGKWLKKKHKRALYESLEKIKSELMLLGFLSLLLTVGQGLISRICISEKVASTWHPCSHENTDTEESEHGTNSRRLLAAFNGSNEVTPRRVLAGGGSDKCGEGKVPFVSSDGIHQLHIFIFVLTVFHVLYCITTMALGRAKMKRWKRWEEETKTPEYQFSHDPERFRFARETSFGRRHLSFWTKNPVLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHSKFDFRKYIKRSLDEDFKVVVGISPPFWFFAVLFLLLNTHGSYSYLWLPFIPLIIILLVGTKLQVIITEMGLRIQQRGEILKGVPLVQPGDYLFWFNRPALILYLINFVLFQNAFQLAFFSWSALQFGIKSCFHAHTEDVVIRIAMGVLIQILCSYVTLPLYALVTQMGSTMKPTIFNERVAEALRKWHQTAKKQIRQNRVGPLSLSGTPMSSRPTTPSHHMSPMHLLRYYRSEMDSFPTSPRRSNFDGDHTQPWDMDSPSPSYSQHEVEMGHTNDPTTNTTLHEIVTVAHTKEFSFDNRPSPAT >VigunL017700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:14422:14808:-1 gene:VigunL017700.v1.2 transcript:VigunL017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRQRLGKRGRATCRETCTCGSGRGPRYTVLICVGPRNSSEIVMAQKQIWSGIPLFPVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPT >Vigun02g094300.1.v1.2 pep primary_assembly:ASM411807v1:2:24926976:24930950:-1 gene:Vigun02g094300.v1.2 transcript:Vigun02g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPLRLCYLFPNHTNLNFSVFKPNVLSLTSFSPSPSSSSSLSQKQYLPLHSCRCSSIAPVSTTTYEFSDGSAEVELRLKLEGLDIRSSRDISVDANDTSLAIRVLRPGAPITLIETNPLFDRIKSSETIWYIDDDELVVNCKKQDPDLKWPDIMESWESLAAGSSQLLQGTSIYLVGDSTEINQKVAQELATGLGYTPLSTKELLETYTKQTVDSWLLAEGSDSVAEAESAVLESISSHARAVIATLGGQHGASGRSNKWQHLYAGFTVWLSQTEALDEDSAREETHKSLKDGTISYTNADVVVKLQGWDPAYAKSVAQACLSALKQLILSDKKLPGKKSLYVRLGCRGDWPNVKPPGWDPSSEGNTTLGTH >Vigun10g033100.6.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun10g033100.1.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVPSMEFASSTSKLPQMYDVLINFNGEDIRRKFVSHLDSVLLAAGLTTFLHHQISVNDMDIQQPILDLCRIVIVVFTKTYSQSAWCLHQLHQIIKWHETYCRHVLPVYYEIQPSDVRLQKGDFGKDLRATAQQTFSAQQLEHGMSRWSHALSKTADFFGWDVSNYRSDAELVDTIVKSILNLPVLSATKFPIGLQSHVEDGIQIIKNKATGVCRLGICGIGGSGKTTLAKAIYNQIHGTFLKKSFIENISGVSRTQKYANLQQRLLSDLLKTKVEIRSVEMGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun10g033100.3.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun10g033100.4.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun10g033100.5.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQQPILDLCRIVIVVFTKTYSQSAWCLHQLHQIIKWHETYCRHVLPVYYEIQPSDVRLQKGDFGKDLRATAQQTFSAQQLEHGMSRWSHALSKTADFFGWDVSNYRSDAELVDTIVKSILNLPVLSATKFPIGLQSHVEDGIQIIKNKATGVCRLGICGIGGSGKTTLAKAIYNQIHGTFLKKSFIENISGVSRTQKYANLQQRLLSDLLKTKVEIRSVEMGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun10g033100.2.v1.2 pep primary_assembly:ASM411807v1:10:4413845:4418399:-1 gene:Vigun10g033100.v1.2 transcript:Vigun10g033100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMIEERLYGKKVLIVLDDVNDYWPLDLLESSAWFGEGTVIIITTRDESLLRIHHQVDSIFRMNLMNPNDSLELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSLLYERTKEEWNKVLLRLESVPQYEVLEILKISFDGLRNQIERNLFLDICRFFVGKDRVYVTKILNGCGVDADSGIRILIERSLIIVKRNNKFGLHPLLKEMGREIIGEITTGKESEKASRLWFDKDEDYALIEHILFSSQEKNVIQRFPPKWFLTVRDFFDRDYLEVRDAISRMKLGGHCECRSKELGWIILEKFSSDFLPVGLLHDAIAIDLKHSLPRLVWKEPQVLASLKVLNLSHSKYLTETPDFSRLPGLEHLILKDCPRLCEVHPSIGGLCNLTLLNLKDCTKINNLPREIYMLKSLKTLILSGCSGIHLMEKDIVQMESLITLITENRVVKEVPFSIVSSKSIGYLSLRGFEGLSHNIFPFIIRSWMLPSMNPLSYNHSFCMDMEVNSWDDITPLLRILVNLRSILVQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRFSLIGVGRCKEFFDGFSDSISEVFAGSESCDVSLPGDNNPNCLAHMGEGYSVSFTVPRDRDMKGMALCVVYLSTPEIVATECLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLVCGESNESSSKKNSLIRFIKKL >Vigun11g037100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4996556:4997766:-1 gene:Vigun11g037100.v1.2 transcript:Vigun11g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFDSSLNLEDTHYKEGYDEGHSDGLVAGKEEGRQVGLKVGFEIGEELGFYRGCVDIWNSALRLDPTCFSPRAKTIIGQMEELIEKYPLMDPENVQVQEIMDSLRLKFKMVCSSLHVKLEYSGYPKSSTEANDIQF >Vigun03g211750.1.v1.2 pep primary_assembly:ASM411807v1:3:35123838:35125147:1 gene:Vigun03g211750.v1.2 transcript:Vigun03g211750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQCIQQTPFWWFVFLHERVKISRNLLCELSNVWVESRGGFMINSEFVAFKLLDVCIGLGLRVYGDRIDLEEVGVDKKVTISMVYNYLLTECECLDVEDYCRLYILLGIFEFLLPNRNGTVFVSLFRIVDDLTSLVKYNWGGVVYSYLVGSLSYASVVLKKQSDKKHFHVVGCVYLLQRKSAVIESKFPRLLCWIDYKVGDVSIRSSLKNNMIVDDLCLSKEEIGELVVKEALSKGNGERHKSKRKECVSGGTKKKEQLMGVIEQQEHDIRELGGAIAKLKSTLAERENRSKDEFVSPMNLNEVDVPSQAAEDKNVTNSDMYVHMRNDPRLRFKSRVIQTPFTVYSRKKKMIHK >Vigun03g176900.1.v1.2 pep primary_assembly:ASM411807v1:3:21990346:21998851:1 gene:Vigun03g176900.v1.2 transcript:Vigun03g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVTSSLTGSIIVEDVIYENVDREVNCIFPSRDLVFRRLVFERAANLVQSEALLKDELLPTKLVSETGRKKNNASSKSRKSGSQRHNVGASNQLTVYHGYVASSYHTGIISGFTLISSYMETVASSGKMVKAVIIGLGAGLLPMFLHGCVPFLEVETVELDPVIVDIAKDYFSFVEDERLKVHIADGIQFVQAIDNSGAAQIHGSNDRSYTESLNESSTVSHAGVELTKVDIIIVDVDSSDPSSGLACPAPDFLDESFLETVKDKLSENGLFVVNLVSRSQAIKDTALSKMKKVFRHLFCLQLDEDVNEVHFALKSESCIEDNCFSEASIKLHKLLEFKHPEIGQNIINATKKIRRLK >Vigun03g176900.2.v1.2 pep primary_assembly:ASM411807v1:3:21991268:21998971:1 gene:Vigun03g176900.v1.2 transcript:Vigun03g176900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSPWFQWSLQGVGALLVTSSLTGSIIVEDVIYENVDREVNCIFPSRDLVFRRLVFERAANLVQSEALLKDELLPTKLVSETGRKKNNASSKSRKSGSQRHNVGASNQLTVYHGYVASSYHTGIISGFTLISSYMETVASSGKMVKAVIIGLGAGLLPMFLHGCVPFLEVETVELDPVIVDIAKDYFSFVEDERLKVHIADGIQFVQAIDNSGAAQIHGSNDRSYTESLNESSTVSHAGVELTKVDIIIVDVDSSDPSSGLACPAPDFLDESFLETVKDKLSENGLFVVNLVSRSQAIKDTALSKMKKVFRHLFCLQLDEDVNEVHFALKSESCIEDNCFSEASIKLHKLLEFKHPEIGQNIINATKKIRRLK >Vigun09g022000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1726875:1739823:1 gene:Vigun09g022000.v1.2 transcript:Vigun09g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERSKEEEVKYRGVRKRPWGKFGAEIRDPTKPTGRQWLGTFDTAEEAARAYDRAAIALRGALAILNFPDEYYSHLPFVLSSDSSAKDNGSSFARKEVIEFEYLDDKVLEDLLEIEEKRRKE >Vigun01g109300.1.v1.2 pep primary_assembly:ASM411807v1:1:28188758:28191244:1 gene:Vigun01g109300.v1.2 transcript:Vigun01g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFSPPLFSTKGWLLEEEPFGYNNTHNLSYKDDASSQYSFPYQFYSPQTQIEVEIERSTAPSSDPAMVKKLSHNASERDRRKKVNNLVSSLRSLLPMADQTKKMSIPATVSRVLKYIPELQQQVQALTKRKEELLCRISRQLQGEAVNKESQRKISHHNSSFVVSTTRLNDCEAVVHISSHETHKAPLSEILQCLENDGLFLLHASSSETFGGRFFYNLHFQVEKTDRLETEILTEKLLPIYEKQRIF >Vigun03g210500.1.v1.2 pep primary_assembly:ASM411807v1:3:34645352:34659923:1 gene:Vigun03g210500.v1.2 transcript:Vigun03g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQKTALGERDIEQAITFLKKGSYLLKYGRRGKPKFCPFRLSNDESLLLWYSGKEEKQLKLGTVSRIIPGQRTATFQRYPRPEKEYQSFSLIYNDRSLDLICKDKEEAEIWFIGLKALVTRGNSRKWRFESRTDDSQCSDSPKSSTRKSTPSNTPSSDPGEGGGAAFDVKNRWVKAFSEIISFNAASKGSSQAESVANSSLSSGSADNSSNRNSSSEAFRVSLSSAVSSSSQGSYHEDFDSLSDVFIWGEGIGNGILGGVHRVGALSSSQMDAFLPKALESKLVLDVQSISCGYRHAVLVTKQGEIFSWGEESGGRLGHGVEMDVHHPKLVEALGGLNIELVACGEYHTCAVTYSGDLYTWGDGAHNSGMLGHGIEATYWIPKKVSGSLEGLRVSYVSCGPWHTAIVTSAGQLFTFGDGTFGALGHGNHSSATIPQEVKTLRGFRTTTVACGVWHTAAVVEVITESSTSSSCKRLFTWGDGDKGQLGHGDKKPRLFPECVIALSAENISKVACGHSLTIALTTSGRVYTVGSTAYGQLGCPASDGKVPTCVKIADSFVEDIACGSYHVAVLTSKAEVYTWGKGLNGQLGHGDNDHRNKPTLVEFLKDKQVKSVFCGSNFTVAVCLHKWIPSVDHSTCFGCRNSFNFRRKRHNCYNCGLVFCKSCTSKKSLKASLAPNTSKPYRVCEDCYLKLKKSAESVPLVQSPSLRSVSLQDNKITKIQGTLLRLSSFGSILQTVSGQSKLPDSQDIHRSPASNGKLETRGFASSKSSNSVFVDSKKHFSFSEPAARISCQSTSPASSKSSPRESYEDINDDLKQRNDILSQEVISLRTQVEDLTRKSKSLEAELERTSKQLKEVTTVAADEAGKCKSAKEVIKSLTAQLKEMVERLPEGHNADCSTELCAETTKNILDHSLDVSHIRNTAMLQNEGSSNVANLILPNGAKTQSGKAEWVVQDEPGVFVRLSLLPGGGNELKRVRFSRKHFTEEEAEKWWTENGSKILERHNIVAL >Vigun04g005500.1.v1.2 pep primary_assembly:ASM411807v1:4:365169:369043:1 gene:Vigun04g005500.v1.2 transcript:Vigun04g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTPLKQLKLAVPAQKTPITSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELEFDFTLDDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYHNGVISLVLEYMDRGSLADVIKQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYIQSEDQQNWPSFYELLAAIVDSPPPSAPPDQFSPEFCSFVSSCIQKDPRDRSTSLELLSHPFIKKFEDKDLDLGILVGSLEPPVNFPR >Vigun08g159100.1.v1.2 pep primary_assembly:ASM411807v1:8:33163271:33166589:-1 gene:Vigun08g159100.v1.2 transcript:Vigun08g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILFMFLLSVVSHNLVHMMPRTLALSLSSESDKQALLALKLKLTNGVANALPSWNSSLHVCEWKGVACGQRHMRVSVLHLENQTWGGTLGPSLGNLSFLTTLILYNINLYGEIPTQIGQLKRLQLLDLSLNNLNGQIPIHLTNCSKLEAIGLLENKLTGKVPSWFGSGSMTRLKTLFLGANDLVGTIPPSLGNLSSLQYISVARNHLVGSIPHVLGQLSNLKRLDLGLNSLSGVVPYSLYNLSSIQSFALDANQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSVFNITGLERFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSLTNCTHLRILILDGNGFGGDLPRLIGNFSTHLNMLSMGLNQISGTIPEGIWQLIGLTEITMQNNYLVGTIPDSIGRLKNLVRLVLGENKLSGNIPTAIRNLTMLSELDLHTNGFVGGVPLSLKYCMRMQSIDVSTNNLNGDIPNQTFGNFEGLTKLDLSYNSFTGSIPSDFGNLKHLSGLYLHGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNALNLSFNHLYGEVPTGGVFNNVTAISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKEKLILIIAIVVGVGLVTSTLFISIYLFRKRPITPKTPSTSCSHKNKYVKVSYGDLHKATNGFSSSNLVGSGGFGSVYSGSLLPFETPVAVKVLNLERGGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVFEFMPNGSLESLLHDNVEPESRNLSVNLDLVVNIALDVANALDYLHHGSEEAIVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGHSSRDQVSSSAIRGTIGYVPPEYGTGCRVSTKGDMYSYGILVLEMVTGRRPTDAMFGEGASLHKFCQMAIPEGFTEIADSRLLVPVVEEGRRMMEIKIRECLVGLARIGVECSAELPVDRMDIKDVVLELHSIKQSLCH >Vigun03g189300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25772506:25773214:1 gene:Vigun03g189300.v1.2 transcript:Vigun03g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQELEQVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAFEPILLLGKSRFAGVDMRIRVNGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Vigun01g189500.1.v1.2 pep primary_assembly:ASM411807v1:1:36869471:36875946:1 gene:Vigun01g189500.v1.2 transcript:Vigun01g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQNHCTKMGECRLIEGMRIVGLTGGIASGKSTVSNLFKSHGLPVVDADVVAREVLRKGSGGWKKVVAAFGDEILLEDGEVNRPRLGQIVFSDPDKRQFLNRLLAPYIARGIFWEVLKLWVKGYKVIVLDVPLLFEAKMDKFTKPVIVVWVDPETQIQRLLARDKSAEEEDARNRINAQMPLDVKRGKADIVIDNTGSFDDLSQHFQKVYVEVSKPLTWAEFWRSRHGVFTILASVTSGVVLFIKAFSNHTNTL >Vigun05g291700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47790929:47791426:-1 gene:Vigun05g291700.v1.2 transcript:Vigun05g291700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGVCVCVFSVFLCFVLYMFCCDLGCLCCFVLLSSVFLCICVFFICFSGFLGCWMFLIYIVFCVGCYVLFCVLCVWCVVCVLCVCFLYCVCFVVCVFYVVFLWCVVCVLCVCFLYCVCFVVCVFYVVFVVCVLVCSVVCLVFFVFLCCICCVVIWMCIFCCVLC >Vigun06g170600.1.v1.2 pep primary_assembly:ASM411807v1:6:29223056:29224793:1 gene:Vigun06g170600.v1.2 transcript:Vigun06g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILYVACSIGYLHRVGFTRKRERLIDPMDRASPLPSTSVQNQAVSESLPLPFLPNELVVEILSRLPVKSLLQFRCVCKSWMSLISDPYFMKKHLHLSTRSTHFTHHRIILSATTAEFHLKSCSVSSLFNSLSTVCDDLNYPVKNKFRHDGIVGSCNGLLCFAIKGDCVLLWNPSMRASKKSPPLGNNWRPGCFTAFGLGFDHVTEDYKVVAVFCDPNEIYSECKVKVYSMAANTWRKIQDFPHGFSPYQNSAKFVSGTLNWAANHPVGSTSLWVIVSLDLHKETYREVLPPDYEKEDCSTPTLGVLQECLCMNYDYKKTHFVVWMMKDYGMRESWFKLVSIPYLPNPEDFSYSGPYYVSENGEVLLMFEFDLILYDPRDNSFKYPRIESGKGWFDAEVYVETLVSPMKH >Vigun06g170600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29218962:29225711:1 gene:Vigun06g170600.v1.2 transcript:Vigun06g170600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASPLPSTSVQNQAVSESLPLPFLPNELVVEILSRLPVKSLLQFRCVCKSWMSLISDPYFMKKHLHLSTRSTHFTHHRIILSATTAEFHLKSCSVSSLFNSLSTVCDDLNYPVKNKFRHDGIVGSCNGLLCFAIKGDCVLLWNPSMRASKKSPPLGNNWRPGCFTAFGLGFDHVTEDYKVVAVFCDPNEIYSECKVKVYSMAANTWRKIQDFPHGFSPYQNSAKFVSGTLNWAANHPVGSTSLWVIVSLDLHKETYREVLPPDYEKEDCSTPTLGVLQECLCMNYDYKKTHFVVWMMKDYGMRESWFKLVSIPYLPNPEDFSYSGPYYVSENGEVLLMFEFDLILYDPRDNSFKYPRIESGKGWFDAEVYVETLVSPMKH >Vigun03g315000.2.v1.2 pep primary_assembly:ASM411807v1:3:50891583:50894267:-1 gene:Vigun03g315000.v1.2 transcript:Vigun03g315000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKSVPLKGDFFKYSSTKGSDHYKNGSFGVEVGGSRSHHFARSDEPRGRKEEREQGEICVEDDEYEVAYGLPPPQKKRKFSPIVWDLAEKEERISSESRISETVALSPLPSVLSSPGANLVDSDDLMAESLRDSRSYGQDVEEEKDVQEWTIRKSRWASDDGPSPRAADDKFNHGKGSSSPEIGEFHRSESSESTVTRSSGSTGRDHYLGLSTDDADSERDFHIDPMDDVEEPSDVGDCPSDSEESDGLMQVHRNINMLHSCRSVCEFEMIKKINEGTYGVVYKARDKKTGELVALKKVKMNIERDGFPMSSLREINILLSFNHPSIVNVKEVVVDDFDGTFMVMEFMEHDLKGMMEVKKQPFTISEIKSLVKQLLEGVKYLHDNWVIHRDLKSSNILLNHDGELKICDFGLSRQYGSPLKPYTPVVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELFSKEPLFRGKSELEQLDQIFRTLGTPDEKIWPGLSKLPGAKAKFAKQPFNRLRKKFPAASFTGLPVLSELGFDLLKKLLTYDPEERITAEDALLHDWFYEGPPPKSDFNPIFPSWRC >Vigun04g166700.3.v1.2 pep primary_assembly:ASM411807v1:4:39131600:39138152:1 gene:Vigun04g166700.v1.2 transcript:Vigun04g166700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEIFSSGQAVKVVEPPQSFHVALGSVQFSGPTGDSPAPVSSPVITSGSTEGKKKRGRPRKYGPDGNVALSPMPISSSIPLTGDFSAWKRGRGKPVESIKKSFKFYEVEGQGAGQGDQIAYSVGANFTPHILVVNAGEDVTMKIMSFSQQGCQAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLTGSYMPTENGFTKSRSGGMSISLAGPDGRVMGGGLAGLLVAAGPVQVVVASFVPGHQLEQKPKKPRVEHVPMTAAPIHASPIHVSTTSAEDIRIGLGGVKPILTPAAFQMDSIFGNGQPSDDEAPFPEEEEDEEEEEPNTSYADAEVAS >Vigun04g104500.1.v1.2 pep primary_assembly:ASM411807v1:4:24765758:24771900:1 gene:Vigun04g104500.v1.2 transcript:Vigun04g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWVVSLPVQNSASTLWNKLQEEISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLVKSNNFVEGVSHKIRRQIDDLERVSGAVTSTLTVDGVPVDSYLTRFVWDEARYPTMSPLKETVDGIHGQVAKIEDDLKVRVSEYNNIRSQLNTINRKQAGSLAVRDLSNLVKPEDIITSENLTTLLAIVPKYSQKDWLSSYETLTNYVVPRSSNKLYEDNEYALYNVTLFSRVADNFRTSAREKGFQIRDFEYSPESHESRKQELEKLIEDQERLRASLLQWCYTSYGEVFSSWMHFCAVRVFTESILRYGLPPSFLACVLAPSVKSEKKVRSILEGLSDSTNSGYWKTEDDGVGMAGLVAGDADAHPYVSFTINLI >Vigun05g213800.1.v1.2 pep primary_assembly:ASM411807v1:5:40484264:40487275:1 gene:Vigun05g213800.v1.2 transcript:Vigun05g213800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLHSRHPSVVQKLSGQSYFMSRLAPNHSRNYSTSGSYFNGGVQSLGLVPVTAHAPAEKGVSGFLVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFSRTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTIKSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLEAFKIIIAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGGG >Vigun06g074900.1.v1.2 pep primary_assembly:ASM411807v1:6:20579195:20580168:1 gene:Vigun06g074900.v1.2 transcript:Vigun06g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVLFPCLMVLFLVIFAPGFANACNYPCHDPSACAKLRCDPPGCVKRCRNSCCICQCLENEKAQINM >Vigun11g003500.3.v1.2 pep primary_assembly:ASM411807v1:11:337055:341157:-1 gene:Vigun11g003500.v1.2 transcript:Vigun11g003500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYSHAVKYSPEVTRMKPEMDSNIGQHCHQQNSGLMRSDHNHHHQHQHHQQQQQNYPPSTSSEMETMLAKLISSNDSETLQEFGGKPVKEEAGDRVSQPPQQHSGYSFGSSPQIMYQTQQFQGFSMPNGSLRPAGNGFDGSFSAVNSMASQNSTQTKMVSSTNCSNLIRQKSSPAGFFSNYSVDNTMRDVASFRGCDVSNGQAITSTSGLHGTLNFSSRPSSSCSTRMPQIAENGNEGVEPNCVESRNLRNMPSFTPDFWDGSAISASRTASNNCEISFSTSNAMDIQDEDFGYQKLGLTHHLSLPSSSTRMAATMEKLYQTQGNVPCKIRAKRGFATHPRSIAERERRTRISARIKKLQDLFPDKQTSTADMLDLAVEYIKDLQKQVKILADTRAKCTCTSNQKQ >Vigun11g003500.1.v1.2 pep primary_assembly:ASM411807v1:11:337055:341157:-1 gene:Vigun11g003500.v1.2 transcript:Vigun11g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYSHAVKYSPEVTRMKPEMDSNIGQHCHQQNSGLMRSDHNHHHQHQHHQQQQQNYPPSTSSEMETMLAKLISSNDSETLQEFGGKPVKEEAGDRVSQPPQQHSGYSFGSSPQIMYQTQQFQGFSMPNGSLRPAGNGFDGSFSAVNSMASQNSTQTKMVSSTNCSNLIRQKSSPAGFFSNYSVDNTMRDVASFRGCDVSNGQAITSTSGLHGTLNFSSRPSSSCSTRMPQIAENGNEGVEPNCVESRNLRNMPSFTPDFWDGSAISASRTASNNCEISFSTSNAMDIQDEDFGYQKLGLTHHLSLPSSSTRMAATMEKLYQTQGNVPCKIRAKRGFATHPRSIAERERRTRISARIKKLQDLFPDKQTSTADMLDLAVEYIKDLQKQVKILADTRAKCTCTSNQKQ >Vigun03g425200.1.v1.2 pep primary_assembly:ASM411807v1:3:63077964:63084288:1 gene:Vigun03g425200.v1.2 transcript:Vigun03g425200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRLKVQLSEANPGTRTPVRQPKRNGNSNGEGDAGSSGHSDEVDCLPSAEISSCASGSSENWMVLSIAGEKPTPRSNHAAAVIGNKMVVVGGESGTGLLDDVQVLNFDRFSWTKASSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKTDPGSDRISVWAFDTETECWSLMEAKGDIPVARSGHSVVRAGTVLILFGGEDAKRRKLNDLHMFDLKSFTWLPLHYTGTAPSPRFNHVAALYDDKILYIFGGSSKSRTLNDLYSLDFETMAWSRVKIRGFHPSPRAGCCGVLCGTKWFITGGGSRKKRHGETVIFDIVKNEWSVAITSPPSSISTNKGFSMVLVQHKEKDFLVAFGGSKKEPSNQVEVLIMEKNESVVGRQSAPSKCSASVLLEKHSSSARFASQLKDCSQHLVDSVARQNLASAIEHGSGRRSLSESLVADPNFPPTNTSLRKQFDHDEEYNTDLKMDKNSDGSSFPRAGDHRTNENDHGKQMNTGGTKSNIEEQAMLSGISNQQNLVFENDMPESDNLSFSENNKSASNVYHYYESKVASLIRKNGILEGQLAASLASKEAAEKSLTSVLKSKQEMERKMADAQKEMEMMREKLASLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERARAFQLQYEVFHLKQRLQTMENRASTTPRKPFHVQ >Vigun03g425200.3.v1.2 pep primary_assembly:ASM411807v1:3:63078084:63084275:1 gene:Vigun03g425200.v1.2 transcript:Vigun03g425200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIAGEKPTPRSNHAAAVIGNKMVVVGGESGTGLLDDVQVLNFDRFSWTKASSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKTDPGSDRISVWAFDTETECWSLMEAKGDIPVARSGHSVVRAGTVLILFGGEDAKRRKLNDLHMFDLKSFTWLPLHYTGTAPSPRFNHVAALYDDKILYIFGGSSKSRTLNDLYSLDFETMAWSRVKIRGFHPSPRAGCCGVLCGTKWFITGGGSRKKRHGETVIFDIVKNEWSVAITSPPSSISTNKGFSMVLVQHKEKDFLVAFGGSKKEPSNQVEVLIMEKNESVVGRQSAPSKCSASVLLEKHSSSARFASQLKDCSQHLVDSVARQNLASAIEHGSGRRSLSESLVADPNFPPTNTSLRKQFDHDEEYNTDLKMDKNSDGSSFPRAGDHRTNENDHGKQMNTGGTKSNIEEQAMLSGISNQQNLVFENDMPESDNLSFSENNKSASNVYHYYESKVASLIRKNGILEGQLAASLASKEAAEKSLTSVLKSKQEMERKMADAQKEMEMMREKLASLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERARAFQLQYEVFHLKQRLQTMENRASTTPRKPFHVQ >Vigun03g425200.2.v1.2 pep primary_assembly:ASM411807v1:3:63077964:63084240:1 gene:Vigun03g425200.v1.2 transcript:Vigun03g425200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRLKVQLSEANPGTRTPVRQPKRNGNSNGEGDAGSSGHSDEVDCLPSAEISSCASGSSENWMVLSIAGEKPTPRSNHAAAVIGNKMVVVGGESGTGLLDDVQVLNFDRFSWTKASSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKTDPGSDRISVWAFDTETECWSLMEAKGDIPVARSGHSVVRAGTVLILFGGEDAKRRKLNDLHMFDLKSFTWLPLHYTGTAPSPRFNHVAALYDDKILYIFGGSSKSRTLNDLYSLDFETMAWSRVKIRGFHPSPRAGCCGVLCGTKWFITGGGSRKKRHGETVIFDIVKNEWSVAITSPPSSISTNKGFSMVLVQHKEKDFLVAFGGSKKEPSNQVEVLIMEKNESVVGRQSAPSKCSASVLLEKHSSSARFASQLKDCSQHLVDSVARQNLASAIEHGSGRRSLSESLVADPNFPPTNTSLRKQFDHDEEYNTDLKMDKNSDGSSFPRAGDHRTNENDHGKQMNTGGTKSNIEEQAMLSGISNQQNLVFENDMPESDNLSFSENNKSASNVYHYYESKVASLIRKNGILEGQLAASLASKEAAEKSLTSVLKSKQEMERKMADAQKEMEMMREKLASLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERARAFQLQYEVFHLKQRLQTMENRASTTPRKPFHVQ >Vigun04g005700.1.v1.2 pep primary_assembly:ASM411807v1:4:377380:385174:-1 gene:Vigun04g005700.v1.2 transcript:Vigun04g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGCFNLNRFSHFPSSPALQPSRSDLLKIASRRFALSVTKTQQSPVTKPQSTSTAVIEIDNPASVKRQLAQLFELSLRATVPDEPDVVPLVDACAVKGGVKFGDYQCNNAMGVWSKVKGKQTEFRGPPAIGQAIVNNLPPSEMIDSCSVAGPGFVNIVLSKKWIAQRVQRMLVDGIDAWAPRLPVKRALVDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSRVECVIRRNHVGDWGTQFGMLITYLFEKFTNPDDLSEAAIGDLQALYKASKVRFDSDPEFKLRAQQAVVRLQSGEIKYRKAWKQICDVSRAEFDKVYQRLGVQLEERGESFYNPYIPGVLEKLDNLGLIEESDGARVIYVEGVNIPVIAVKRDGGYNYFSTDLASLWYRLNEEKLEWIVYVTDIGQQQHFDMLFKAYRRAGWLPKDENAYPKCTHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKRRCRTSILERDTAKDWSEEEIEKTSEAIGYGAVKYADLKINRLTNYTFNFDQMLNDKGNTAVYLQYAHARICSIIRKSGRDIEEVKRNGKIVLDHEDERALGLHLLQFPEVFEEACTNLLPNLLCEYLYNLSEIFTKKFYSNCQVVGSSEETSRLLLCEATVIVMRHCFYLLGIEPVYRL >Vigun05g084600.2.v1.2 pep primary_assembly:ASM411807v1:5:8023453:8027576:1 gene:Vigun05g084600.v1.2 transcript:Vigun05g084600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVAKSQAKDSDSDHDVEFAAGNVKLITTKEAWDQYLEEARRDGKIVIANFSATWCGPCKVIAPYYCELSEKYTSMVFLLVDVDELTEFSTTWDIKATPTFFFLKDGQQLDKLVGANKPELQKKIIAINDSLPECKQ >Vigun05g084600.6.v1.2 pep primary_assembly:ASM411807v1:5:8023395:8027576:1 gene:Vigun05g084600.v1.2 transcript:Vigun05g084600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVAKSQAKDSDSDHDVEFAAGNVKLITTKEAWDQYLEEARRDGKIVIANFSATWCGPCKVIAPYYCELSEKYTSMVFLLVDVDELTEFSTTWDIKATPTFFFLKDGQQLDKLVGANKPELQKKIIAINDSLPECKQ >Vigun05g084600.4.v1.2 pep primary_assembly:ASM411807v1:5:8023453:8027576:1 gene:Vigun05g084600.v1.2 transcript:Vigun05g084600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVAKSQAKDSDSDHDVEFAAGNVKLITTKEAWDQYLEEARRDGKIVIANFSATWCGPCKVIAPYYCELSEKYTSMVFLLVDVDELTEFSTTWDIKATPTFFFLKDGQQLDKLVGANKPELQKKIIAINDSLPECKQ >Vigun05g084600.5.v1.2 pep primary_assembly:ASM411807v1:5:8023395:8027576:1 gene:Vigun05g084600.v1.2 transcript:Vigun05g084600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVAKSQAKDSDSDHDVEFAAGNVKLITTKEAWDQYLEEARRDGKIVIANFSATWCGPCKVIAPYYCELSEKYTSMVFLLVDVDELTEFSTTWDIKATPTFFFLKDGQQLDKLVGANKPELQKKIIAINDSLPECKQ >Vigun10g052900.1.v1.2 pep primary_assembly:ASM411807v1:10:8747560:8755578:1 gene:Vigun10g052900.v1.2 transcript:Vigun10g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVGRNRVVGEYVVGRQIGAGSFSVVWHGRHKVHGTEVAIKEIATLRLNKKLQESLMSEIFILKRINHPNIICLHDIIQVPGKIHLVLEYCKGGDLSLYIQRHGRVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSQNDEKSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNILKSTELQFPSDIPSLSFECKDLCQKLLRRNPVERLTFEEFFNHPFLSQKQREQDDEPLRSRSSSRLVGGFFSTVSDPLRRTEENYQEDCLPFILDDDSGGPEGSPSFSRKKSSIKSTYGFDLNSKLDKAESTSPISNNINSNSAFRTMTQRSQNTNRRLDNHNISRDLTDPLESPEQMFANPYPKVTDSLENIDQEYVLVPGPPIDVSSSVGASRPSHTQYRSGSLPQESSSAITRPSAPMPIVGAHTNSMYQVGSSGSQDSAPGTSLGSMDISDEQPSAHCMTRVKSLQQCASAITELVNEKMEAGKHLEAFSIQLVILAIWKQALHICHTQAASAMEGSPNQETSRYRRSASRKHGSPDSEECLEGNTLWPKDILSKIESEFLREFEHAEELAKTIEPGNTEMPDAMETIFQSALAFGRHGGVEELMGDMESAAALYSKAVRLLVFLLVEGPSLILNPPFSLTNSDRYRLRNYIDILNNRQGYSRSQRMALLKCDDNQGILKEKF >Vigun10g052900.2.v1.2 pep primary_assembly:ASM411807v1:10:8747560:8755578:1 gene:Vigun10g052900.v1.2 transcript:Vigun10g052900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVGRNRVVGEYVVGRQIGAGSFSVVWHGRHKVHGTEVAIKEIATLRLNKKLQESLMSEIFILKRINHPNIICLHDIIQVPGKIHLVLEYCKGGDLSLYIQRHGRVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSQNDEKSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNILKSTELQFPSDIPSLSFECKDLCQKLLRRNPVERLTFEEFFNHPFLSQKQREQDDEPLRSRSSSRLVGGFFSTVSDPLRRTEENYQEDCLPFILDDDSGGPEGSPSFSRKKSSIKSTYGFDLNSKLDKAESTSPISNNINSNSAFRTMTQRSQNTNRRLDNHNISRDLTDPLESPEQMFANPYPKVTDSLENIDQEYVLVPGPPIDVSSSVGASRPSHTQYRSGSLPQESSSAITRPSAPMPIVGAHTNSMYQVGSSGSQDSAPGTSLGSMDISDEQPSAHCMTRVKSLQQCASAITELVNEKMEAGKHLEAFSIQLVILAIWKQALHICHTQAASAMEGSPNQETSRYRRSASRKHGSPDSEECLEGNTLWPKDILSKIESEFLREFEHAEELAKTIEPGNTEMPDAMETIFQSALAFGRHGGRRTHG >Vigun10g052900.3.v1.2 pep primary_assembly:ASM411807v1:10:8748393:8755578:1 gene:Vigun10g052900.v1.2 transcript:Vigun10g052900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLVPGKIHLVLEYCKGGDLSLYIQRHGRVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSQNDEKSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNILKSTELQFPSDIPSLSFECKDLCQKLLRRNPVERLTFEEFFNHPFLSQKQREQDDEPLRSRSSSRLVGGFFSTVSDPLRRTEENYQEDCLPFILDDDSGGPEGSPSFSRKKSSIKSTYGFDLNSKLDKAESTSPISNNINSNSAFRTMTQRSQNTNRRLDNHNISRDLTDPLESPEQMFANPYPKVTDSLENIDQEYVLVPGPPIDVSSSVGASRPSHTQYRSGSLPQESSSAITRPSAPMPIVGAHTNSMYQVGSSGSQDSAPGTSLGSMDISDEQPSAHCMTRVKSLQQCASAITELVNEKMEAGKHLEAFSIQLVILAIWKQALHICHTQAASAMEGSPNQETSRYRRSASRKHGSPDSEECLEGNTLWPKDILSKIESEFLREFEHAEELAKTIEPGNTEMPDAMETIFQSALAFGRHGGVEELMGDMESAAALYSKAVRLLVFLLVEGPSLILNPPFSLTNSDRYRLRNYIDILNNRQGYSRSQRMALLKCDDNQGILKEKF >Vigun10g006100.1.v1.2 pep primary_assembly:ASM411807v1:10:614674:617086:1 gene:Vigun10g006100.v1.2 transcript:Vigun10g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDAAPEFYQNVVVMRHGDRMDNFEPMWVSSAARPWDPPLVQQGRVRAFATGREFRKNLPFTLNRVFVSPFLRCVQTAAEVVTALSAVSDNQDPNNLTGDGVPIDPSKIKVSVEYGLCEMMSRAAIRLDVVPKDGNWGFDIPKIEALLPTGTVDKNVKRVYEKLPQWEEDPYLQTRPRYKQIVKDLADKYPTENLLLVTHGEGVGVAYSSFQEEVEVYDVDYCGYVQLRRPIFKKDQSFTAGEFEAVIHNGQTGIKCTQKNKTSL >Vigun07g050200.1.v1.2 pep primary_assembly:ASM411807v1:7:5247319:5252168:1 gene:Vigun07g050200.v1.2 transcript:Vigun07g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEVTTEATAAHANLRDSQSVLQVQVIASLELNRVDYAEGRKKSLRARYYFGIIFLIINFIAWFFRDYGQGVLPFILHMKACGKEGEECFHALGVLRVSLGCYIFFSVMFLTTVKTRKLHESWNLWHSGYWEVKFIILLVSMALPFFFPSELVQIYGEVARIGAGVFLLLQLVSVIQFIIWWNNYWIPDEERMQRWSFGVLMSTLFYVVSICGIVYLYKTYAPRASCSLNLFFITWTAILLAAIMVVSLHSKVNRGLLSSGIMASYIVFLCWCALRSEPANATCQTKNQDKGNTDWITIIGFLIAIFAIVIAAFSTGIDSKSFRFSEEIVEEEDDIPYSYGFFHLVFSLGAMYFAMLFISWDLNNSARKWSIDVGWMSAWVRVINEWFAATIYIWMLISPIVRQNKVMGNDRTVQETVDSVMP >Vigun07g050200.5.v1.2 pep primary_assembly:ASM411807v1:7:5247319:5252168:1 gene:Vigun07g050200.v1.2 transcript:Vigun07g050200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFYVVSICGIVYLYKTYAPRASCSLNLFFITWTAILLAAIMVVSLHSKVNRGLLSSGIMASYIVFLCWCALRSEPANATCQTKNQDKGNTDWITIIGFLIAIFAIVIAAFSTGIDSKSFRFSEEIVEEEDDIPYSYGFFHLVFSLGAMYFAMLFISWDLNNSARKWSIDVGWMSAWVRVINEWFAATIYIWMLISPIVRQNKVMGNDRTVQETVDSVMP >Vigun07g050200.4.v1.2 pep primary_assembly:ASM411807v1:7:5247319:5252168:1 gene:Vigun07g050200.v1.2 transcript:Vigun07g050200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCTGSSSREVARIGAGVFLLLQLVSVIQFIIWWNNYWIPDEERMQRWSFGVLMSTLFYVVSICGIVYLYKTYAPRASCSLNLFFITWTAILLAAIMVVSLHSKVNRGLLSSGIMASYIVFLCWCALRSEPANATCQTKNQDKGNTDWITIIGFLIAIFAIVIAAFSTGIDSKSFRFSEEIVEEEDDIPYSYGFFHLVFSLGAMYFAMLFISWDLNNSARKWSIDVGWMSAWVRVINEWFAATIYIWMLISPIVRQNKVMGNDRTVQETVDSVMP >Vigun07g050200.2.v1.2 pep primary_assembly:ASM411807v1:7:5247319:5252168:1 gene:Vigun07g050200.v1.2 transcript:Vigun07g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCTGSSSREFRMLCIFQLSEFLLPHQSLYTATTIFFSVMFLTTVKTRKLHESWNLWHSGYWEVKFIILLVSMALPFFFPSELVQIYGEVARIGAGVFLLLQLVSVIQFIIWWNNYWIPDEERMQRWSFGVLMSTLFYVVSICGIVYLYKTYAPRASCSLNLFFITWTAILLAAIMVVSLHSKVNRGLLSSGIMASYIVFLCWCALRSEPANATCQTKNQDKGNTDWITIIGFLIAIFAIVIAAFSTGIDSKSFRFSEEIVEEEDDIPYSYGFFHLVFSLGAMYFAMLFISWDLNNSARKWSIDVGWMSAWVRVINEWFAATIYIWMLISPIVRQNKVMGNDRTVQETVDSVMP >Vigun07g050200.3.v1.2 pep primary_assembly:ASM411807v1:7:5247319:5252168:1 gene:Vigun07g050200.v1.2 transcript:Vigun07g050200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTTVKTRKLHESWNLWHSGYWEVKFIILLVSMALPFFFPSELVQIYGEVARIGAGVFLLLQLVSVIQFIIWWNNYWIPDEERMQRWSFGVLMSTLFYVVSICGIVYLYKTYAPRASCSLNLFFITWTAILLAAIMVVSLHSKVNRGLLSSGIMASYIVFLCWCALRSEPANATCQTKNQDKGNTDWITIIGFLIAIFAIVIAAFSTGIDSKSFRFSEEIVEEEDDIPYSYGFFHLVFSLGAMYFAMLFISWDLNNSARKWSIDVGWMSAWVRVINEWFAATIYIWMLISPIVRQNKVMGNDRTVQETVDSVMP >VigunL030700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:173393:174043:-1 gene:VigunL030700.v1.2 transcript:VigunL030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun02g183300.1.v1.2 pep primary_assembly:ASM411807v1:2:32337511:32345016:-1 gene:Vigun02g183300.v1.2 transcript:Vigun02g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENNSRPIFEISSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLANIATLSSVYHKPPDVFVTRVLSSAQRTEDEDYVEGGETGFAESHANPANGPASPPTGRQLAPASATGAPTTPPSVAPLPDLLGDLIGMDNSIVPVDQPATPSGPPLPILLPASTGQGLQISAQLTRQDGQIFYSLLFENNSQVALDGFMIQFNKNTFGLAAAGPLQVPQLQPGMSARTHLPMVTFQNMSQGPPSSLLQVAVKNNQQPVWYFNDKFSLHVFFTEDGRMERSSFLETWRSLPDSNEVSKDFPAIVIGSAEATLERLAASNMFFIAKRKNANQDVFYFSAKLPRGVPFLIELTTVIGNPGVKCAIKSPSPEMSPLFFEALETLLMG >Vigun05g133833.1.v1.2 pep primary_assembly:ASM411807v1:5:15764197:15767104:-1 gene:Vigun05g133833.v1.2 transcript:Vigun05g133833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGRGSEAFIGCNYFKWCSGDASDERDNTIIRQRRKIYILEKELKGSKMFVNVVLGIVCLLVVVNIIVLSRLMKRV >Vigun05g232300.1.v1.2 pep primary_assembly:ASM411807v1:5:42631241:42634217:1 gene:Vigun05g232300.v1.2 transcript:Vigun05g232300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGAGAGVKIDVFWDEGMLKHDTGIGVFDTGMEIGFLEVLEKHPENSDRVRNMVSILKKGPIAPYISWNSGRSALISELLSFHTPEYVNELLDADKEGGKEICAGTFLNPGSWDAALLAAGTTLSAMKHLLDGHGKVAYALVRPPGHHAQPSQADGYCFFNNAGLAVQLALDSGCKKVAVIDIDVHYGNGTAEGFYRSNKVLTISLHMNHGSWGPSHPQSGSVDELGEGEGYGYNLNLPLPNGTGNKGYIYAFNELVVPSIQKFGPDMIVLVAGQDSSAFDPNGRQCLTMEGYREIGRIVHDLAKSESDGRLLIVQEGGYHVTYSAYCLHATLEGILNLPITLLSDALGGYPEDETFSVKVIEAIKNYQKDKVPFWRNS >Vigun05g232300.2.v1.2 pep primary_assembly:ASM411807v1:5:42631241:42634217:1 gene:Vigun05g232300.v1.2 transcript:Vigun05g232300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGAGAGVKIDVFWDEGMLKHDTGIGVFDTGMEIGFLEVLEKHPENSDRVRNMVSILKKGPIAPYISWNSGRSALISELLSFHTPGLAVQLALDSGCKKVAVIDIDVHYGNGTAEGFYRSNKVLTISLHMNHGSWGPSHPQSGSVDELGEGEGYGYNLNLPLPNGTGNKGYIYAFNELVVPSIQKFGPDMIVLVAGQDSSAFDPNGRQCLTMEGYREIGRIVHDLAKSESDGRLLIVQEGGYHVTYSAYCLHATLEGILNLPITLLSDALGGYPEDETFSVKVIEAIKNYQKDKVPFWRNS >Vigun07g180100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29718240:29718821:1 gene:Vigun07g180100.v1.2 transcript:Vigun07g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSFILALILVLAAVHVEPNLAGRVLSMKEQLNLMSLDKGPVPPSGPSTCTYIPGTNGKNCPPFEEINVAGNIQPYPRLVVPFAFAANKH >Vigun05g277600.1.v1.2 pep primary_assembly:ASM411807v1:5:46714482:46717490:1 gene:Vigun05g277600.v1.2 transcript:Vigun05g277600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLSRVNLFIAFHLLLVTPIFAESSFSSNAISTTKFLDLAKDPQLFDWMVGIRRKIHENPELGYEEFETSELIRVELDKLGISYKYPVAVTGVIGFIGTGLPPFVALRADMDALPMQELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKKHENEIRGTVVLVFQPAEEGGAGARKILDAGVLENISAIFGLHITPTFPIGEVAARAGPIFAGSGFFEATINGRGGHAAIPQHSIDPILAASNVIVSLQHIVSREANPLDSQVLTVGKFQGGGAFNVIPDSVTIGGTFRAFSRESFMQLRHRIEQVITGQAAVQRCNATVNFLDEEKPFFPPTVNNGDLHEFFQSVAGSLIGANKVKDLQPLMGSEDFAFYQEVFPGYFFLLGMEDASVERLELPHSPYYKINEDALPYGAALHASLATTYLLKLNQEVPVVEGKHHDEL >Vigun09g188200.11.v1.2 pep primary_assembly:ASM411807v1:9:36230206:36239648:-1 gene:Vigun09g188200.v1.2 transcript:Vigun09g188200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVKSEATLETVQEIAVYLHRFHNLDLFKQGWYQIKITVRWEDSENVCFGIPASIVQYEVPPDLDPSSIYGVWRIDDTDNSFSTQSFRIKYARQDVHLDLMIVFNLRRNNLVDLATTAVILKFELIYAPMTEHGVELDLPLDASSAAIHEFRIPPKALVGLHSYCPVHFDALHAVLVDVSVHASILKVASHSFASKLPSNSANTEVIADQSSDTSNKGSAVLVKVLSASRDILLEELQKIGRAINEALDFSEFVSIVSNMKQENQLANEVIGQGKPHNGRKFLCPIVQGGNEDLDFQDVEKFCSLSQNELMDCFHSLGDQLSYLWKTFLKFHRDNKTKIMGVLHETWIRERKTEWSIWMLYSKVEMPHQYTNSKGDETSQRTAKRAVSLWKLPDDPIQIAATRAELHRKSIEQMRINNRSIQDMQIFGDPLRTPIVIVEHVLNVPRRFPSENSLLRHIGHIDSDGFPLSLNYDSIAKKFAQPSNDRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEANEDKTFGDFREMGNRLAKEVILFLKIKMDKASRFGSLADIRLSFVGHSIGNLIIRSALADSIMEPFLSHLHTYVSVSGPHLGYLYSSNSLFNSGLWFLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCQKTLEHFRNIILLSSPQDGYVPYHSARIESCQAASRDTSKKSKLFMEMLNACMDQIRANSTLHRVFMRCDVNFDPSTQGKNLDSIIGRAAHIEFLDSDIFAKFIMWSFPDLFR >Vigun09g188200.1.v1.2 pep primary_assembly:ASM411807v1:9:36230161:36239671:-1 gene:Vigun09g188200.v1.2 transcript:Vigun09g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVKSEATLETVQEIAVYLHRFHNLDLFKQGWYQIKITVRWEDSENVCFGIPASIVQYEVPPDLDPSSIYGVWRIDDTDNSFSTQSFRIKYARQDVHLDLMIVFNLRRNNLVDLATTAVILKFELIYAPMTEHGVELDLPLDASSAAIHEFRIPPKALVGLHSYCPVHFDALHAVLVDVSVHASILKVASHSFASKLPSNSANTEVIADQSSDTSNKGSAVLVKVLSASRDILLEELQKIGRAINEALDFSEFVSIVSNMKQENQLANEVIGQGKPHNGRKGGNEDLDFQDVEKFCSLSQNELMDCFHSLGDQLSYLWKTFLKFHRDNKTKIMGVLHETWIRERKTEWSIWMLYSKVEMPHQYTNSKGDETSQRTAKRAVSLWKLPDDPIQIAATRAELHRKSIEQMRINNRSIQDMQIFGDPLRTPIVIVEHVLNVPRRFPSENSLLRHIGHIDSDGFPLSLNYDSIAKKFAQPSNDRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEANEDKTFGDFREMGNRLAKEVILFLKIKMDKASRFGSLADIRLSFVGHSIGNLIIRSALADSIMEPFLSHLHTYVSVSGPHLGYLYSSNSLFNSGLWFLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCQQKTLEHFRNIILLSSPQDGYVPYHSARIESCQAASRDTSKKSKLFMEMLNACMDQIRANSTLHRVFMRCDVNFDPSTQGKNLDSIIGRAAHIEFLDSDIFAKFIMWSFPDLFR >Vigun09g188200.10.v1.2 pep primary_assembly:ASM411807v1:9:36230206:36239648:-1 gene:Vigun09g188200.v1.2 transcript:Vigun09g188200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVKSEATLETVQEIAVYLHRFHNLDLFKQGWYQIKITVRWEDSENVCFGIPASIVQYEVPPDLDPSSIYGVWRIDDTDNSFSTQSFRIKYARQDVHLDLMIVFNLRRNNLVDLATTAVILKFELIYAPMTEHGVELDLPLDASSAAIHEFRIPPKALVGLHSYCPVHFDALHAVLVDVSVHASILKVASHSFASKLPSNSANTEVIADQSSDTSNKGSAVLVKVLSASRDILLEELQKIGRAINEALDFSEFVSIVSNMKQENQLANEVIGQGKPHNGRKFLCPIVQGGNEDLDFQDVEKFCSLSQNELMDCFHSLGDQLSYLWKTFLKFHRDNKTKIMGVLHETWIRERKTEWSIWMLYSKVEMPHQYTNSKGDETSQRTAKRAVSLWKLPDDPIQIAATRAELHRKSIEQMRINNRSIQDMQIFGDPLRTPIVIVEHVLNVPRRFPSENSLLRHIGHIDSDGFPLSLNYDSIAKKFAQPSNDRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEANEDKTFGDFREMGNRLAKEVILFLKIKMDKASRFGSLADIRLSFVGHSIGNLIIRSALADSIMEPFLSHLHTYVSVSGPHLGYLYSSNSLFNSGLWFLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCQQKTLEHFRNIILLSSPQDGYVPYHSARIESCQAASRDTSKKSKLFMEMLNACMDQIRANSTLHRVFMRCDVNFDPSTQGKNLDSIIGRAAHIEFLDSDIFAKFIMWSFPDLFR >Vigun09g188200.2.v1.2 pep primary_assembly:ASM411807v1:9:36230197:36239671:-1 gene:Vigun09g188200.v1.2 transcript:Vigun09g188200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVKSEATLETVQEIAVYLHRFHNLDLFKQGWYQIKITVRWEDSENVCFGIPASIVQYEVPPDLDPSSIYGVWRIDDTDNSFSTQSFRIKYARQDVHLDLMIVFNLRRNNLVDLATTAVILKFELIYAPMTEHGVELDLPLDASSAAIHEFRIPPKALVGLHSYCPVHFDALHAVLVDVSVHASILKVASHSFASKLPSNSANTEVIADQSSDTSNKGSAVLVKVLSASRDILLEELQKIGRAINEALDFSEFVSIVSNMKQENQLANEVIGQGKPHNGRKGGNEDLDFQDVEKFCSLSQNELMDCFHSLGDQLSYLWKTFLKFHRDNKTKIMGVLHETWIRERKTEWSIWMLYSKVEMPHQYTNSKGDETSQRTAKRAVSLWKLPDDPIQIAATRAELHRKSIEQMRINNRSIQDMQIFGDPLRTPIVIVEHVLNVPRRFPSENSLLRHIGHIDSDGFPLSLNYDSIAKKFAQPSNDRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEANEDKTFGDFREMGNRLAKEVILFLKIKMDKASRFGSLADIRLSFVGHSIGNLIIRSALADSIMEPFLSHLHTYVSVSGPHLGYLYSSNSLFNSGLWFLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCQKTLEHFRNIILLSSPQDGYVPYHSARIESCQAASRDTSKKSKLFMEMLNACMDQIRANSTLHRVFMRCDVNFDPSTQGKNLDSIIGRAAHIEFLDSDIFAKFIMWSFPDLFR >Vigun09g188200.12.v1.2 pep primary_assembly:ASM411807v1:9:36230189:36239651:-1 gene:Vigun09g188200.v1.2 transcript:Vigun09g188200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVKVKSEATLETVQEIAVYLHRFHNLDLFKQGWYQIKITVRWEDSENVCFGIPASIVQYEVPPDLDPSSIYGVWRIDDTDNSFSTQSFRIKYARQDVHLDLMIVFNLRRNNLVDLATTAVILKFELIYAPMTEHGVELDLPLDASSAAIHEFRIPPKALVGLHSYCPVHFDALHAVLVDVSVHASILKVASHSFASKLPSNSANTEVIADQSSDTSNKGSAVLVKVLSASRDILLEELQKIGRAINEALDFSEFVSIVSNMKQENQLANEVIGQGKPHNGRKGGNEDLDFQDVEKFCSLSQNELMDCFHSLGDQLSYLWKTFLKFHRDNKTKIMGVLHETWIRERKTEWSIWMLYSKVEMPHQYTNSKGDETSQRTAKRAVSLWKLPDDINNRSIQDMQIFGDPLRTPIVIVEHVLNVPRRFPSENSLLRHIGHIDSDGFPLSLNYDSIAKKFAQPSNDRVLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKVEFLMSEANEDKTFGDFREMGNRLAKEVILFLKIKMDKASRFGSLADIRLSFVGHSIGNLIIRSALADSIMEPFLSHLHTYVSVSGPHLGYLYSSNSLFNSGLWFLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCQQKTLEHFRNIILLSSPQDGYVPYHSARIESCQAASRDTSKKSKLFMEMLNACMDQIRANSTLHRVFMRCDVNFDPSTQGKNLDSIIGRAAHIEFLDSDIFAKFIMWSFPDLFR >Vigun11g198400.1.v1.2 pep primary_assembly:ASM411807v1:11:39681177:39682764:1 gene:Vigun11g198400.v1.2 transcript:Vigun11g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQFSMPVNGGGGDTKPRGLVHDKFSDSKFLTFIGAHEIFTVETWKAALVELIATGALMFTLTSCTVACLESHESNPKLLIPFAVFIIVFVFLIVIVPLSGGHMNPIFTFVAALKGIVTLSRAVLYVVAQCIGSTIGFFVLKSVMEPELAQTYSLGGCAIGDKGQGSSIKPHDALLLEFSCTLLVLFVGITLAFDKKRCKELGFPMVCLVVAASLALAVFLSLTVTGRPGYAGAGLNPARCLGSALLHGGPLWNGHWIFWAGPFLACIIYYSVSISLPKKGLDWVDGEYDILNLAMGFCGTIPNNAVLNDLP >Vigun02g201900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33642100:33642864:-1 gene:Vigun02g201900.v1.2 transcript:Vigun02g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYIIDAMRKQKPNTLTHSRSDTSQRSYRMLLGSDSFNGSHHSHTMMNPTAATTSSYAATNLRNSKS >Vigun10g006900.3.v1.2 pep primary_assembly:ASM411807v1:10:659184:661201:-1 gene:Vigun10g006900.v1.2 transcript:Vigun10g006900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASTSTAVPETDPQIEHIIGIPDEIQPALHDLCCIYKVPLNLRKLNEGEAYEPILISIGPFHHNKKPELEPMHKQKQRYFKAFLRRVTNEGALAYRAFLSKNFDTIKHMYSEPTSLSKDEFVNMMLLDSVFIMELFLRKSNISEQQNDHIFTTPWICKSIQRDLLLLENQLPFYLLEDLYEIVMDLLDSQQSVDEQNKLSFLELAFKYFEDYYPHTSTPDKQNIVNNFSSCNHFTDLVRRFYLPEQVNTQDFIPCSTKQCVLKTATKLNEAGVSFEKVRHKSLLDIKLQKIPCCSWFLCLGCVPCFTCVKTRFQIPQLRVLQTTECVLRNLIALEQCHYPDQPFMCNYVSLIDSLIHSTEDVELLVDKGIIEHELGSHTELATMINGLCKHVEVNGNNYGNITSKLNDHYNSNWKHYMGVLRSVYFRDPWRITSTLVGVVIFLFAIFTFLHITGKLLNPLREMMYEKPLVTPTVLGVSGDGFAQEGAALAPATAPVMTGFAGGGNGGSRR >Vigun10g006900.4.v1.2 pep primary_assembly:ASM411807v1:10:659184:661201:-1 gene:Vigun10g006900.v1.2 transcript:Vigun10g006900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASTSTAVPETDPQIEHIIGIPDEIQPALHDLCCIYKVPLNLRKLNEGEAYEPILISIGPFHHNKKPELEPMHKQKQRYFKAFLRRVTNEGALAYRAFLSKNFDTIKHMYSEPTSLSKDEFVNMMLLDSVFIMELFLRKSNISEQQNDHIFTTPWICKSIQRDLLLLENQLPFYLLEDLYEIVMDLLDSQQSVDEQNKLSFLELAFKYFEDYYPHTSTPDKQNIVNNFSSCNHFTDLVRRFYLPEQVNTQDFIPCSTKQCVLKTATKLNEAGVSFEKVRHKSLLDIKLQKIPCCSWFLCLGCVPCFTCVKTRFQIPQLRVLQTTECVLRNLIALEQCHYPDQPFMCNYVSLIDSLIHSTEDVELLVDKGIIEHELGSHTELATMINGLCKHVEVNGNNYGNITSKLNDHYNSNWKHYMGVLRSVYFRDPWRITSTLVGVVIFLFAIFTFLHITGKLLNPLREMMYEKPLVTPTVLGVSGDGFAQEGAALAPATAPVMTGFAGGGNGGSRR >Vigun02g182600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32291029:32291708:1 gene:Vigun02g182600.v1.2 transcript:Vigun02g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTMFTFLSRKVEQKLKDTEAVYRDELINRKKSSESSKKVKKSVRFADSEPSIFGEQKKEKGKETIRVKVKMTKEEAARLLSKCKEGGVLEFKDVARELVTLPLNRVRVVSSLHQP >Vigun07g133900.1.v1.2 pep primary_assembly:ASM411807v1:7:24355435:24357211:-1 gene:Vigun07g133900.v1.2 transcript:Vigun07g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNFLLLLTSPLLLSTAVSSDPDLLQDLCVADLSSGVKVNGFTCKEASKVNAADFFSSILAKEGSTNNTFGSKVTGANVEKVPGLNTLGVSLSRIDYAPDGINPPHTHPRATEVVFVLEGQLDVGFITTSNVLISKTINKGDIFVFPKGLVHFQQNNANVPAAVISAFNSQLPGTQSVATTLFAATPSLPDHVLTKTFQVGTKEVQKIKTRLAPKN >Vigun10g118700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32503179:32504256:-1 gene:Vigun10g118700.v1.2 transcript:Vigun10g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPTRKRLVLSSKAMADAASWYCAFVLVALLLLTMFRDSSTLPSHVDNNNNNQLMSRPCEEIYVVGEGETLHTISDKCGDPFIVENNPHIHDPDDVFPGLVIKITPSHHA >Vigun07g094300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15275016:15277315:-1 gene:Vigun07g094300.v1.2 transcript:Vigun07g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVGFELVHANYNQNMIRPHMHETWDHYSNAPTPATENQCLNLGQNEVSEWMEEHIGDITKHLVEELTETTCDSLLKTSHDNFVPSLLPNFILPQSNNVCDQGLSLITLLMECAVAISVENLGEANRMLLELTQVASPYKASCGERVVAYFAKAMSCRVMNSWLGVCSPLADHRNINWAFQVLNNVTPFIKFAHFTSNQAILEAVNHCDSIHIIDLDIMQGLQWPPFLHILATRMEGPAQVSMTCLGSSMEVLVETGKQLSNFARRLGISFKFYPIASKFGEVIDVSMLHVKPGEAVAVHWLQHSLYDATGPDWKTLRLLEELEPRIITLVEQDVNHGGSFLDRFVGSLHYYSTLFDSLGAYLHFDDANRHRVEHDLLSREINNVLAIGGPKRSGEDKFRHWRRELSTHSFLQLPMSANSMAQAHLILNMFSPAYGYSLSQLDGTLRLGWKDTSLYTASAWTSSASN >VigunL085300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000645.1:24437:25084:-1 gene:VigunL085300.v1.2 transcript:VigunL085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRADLACRIFPEPDASQIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSSSSSAASDKSRGPFWNLLRLVFGGIVKPIQTLGQFLGPKRPSSSSPTPSSSIAVGTDDDSDAGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWCTVCPIGQSAKANPQAHWSRLEKLVHKTPSVERPGK >Vigun11g052200.3.v1.2 pep primary_assembly:ASM411807v1:11:8977202:8984898:1 gene:Vigun11g052200.v1.2 transcript:Vigun11g052200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPPPVTSNTPNSMKPEEAIRHDVIDTDDDNDSTELVLIGDKVGKIDKGKAIATIDDDYGDHQNMELLDDVMDKSGSADEHNSDSSCDDDDYSDLFSDDYMDADQSALIQSHFDNVDIPSGIEVPIPWMAEYDLGSKNTNNHPLPSWSQTMSGAYNFQVTDSSQPLLTLEPSNLETQGPSSGNSNLQVKMNTIIQHPSGVELSSPLVNCLSKKKSNASKHRRHKLKLAFGMKSSKSNLFTGPSESKKKANIFYAPASHIFVDNFEAKKLPNGSEVPHWGHFGNFKTADGSNTLSHSNFVGSSFHFPGAGFSNDMWSKKDFHQPLSSYTVKSSFAGPFVPFNSAPEPLFDNSWVHYPVGDGSNGAAADSAVATLSDEARDEILRKFQNFKQFDTIEDTSDHYFLNYSRAMKQVSRNCAKRIQEEWKSLEKDLPDSIFVRVYESRIDLLRAVIIGAEGTPYHDGLFFFDVFFPSSYPNVPPEVHYHSCGLRLNPNLYSSGKVCLSLLNTWSGHQNEKWLPGFSTILQVLVSIQGLILNSEPYYNEPGFAHLKGSKEGAFNSLHYNENTFILSLRTMVYIMRRPPKNFEDFVAGQFCSRGHDILVACKAYIDGAQVGCSTKGGVQDVDQGDKSCSNQFRTSLSAHVDILVREFTKIGAKDCDKVFPSAEEKNPLNEMPETAAIPDEKFQDMMKS >Vigun11g052200.2.v1.2 pep primary_assembly:ASM411807v1:11:8977173:8984898:1 gene:Vigun11g052200.v1.2 transcript:Vigun11g052200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPPPVTSNTPNSMKPEEAIRHDVIDTDDDNDSTELVLIGDKVGKIDKGKAIATIDDDYGDHQNMELLDDVMDKSGSADEHNSDSSCDDDDYSDLFSDDYMDADQSALIQSHFDNVDIPSGIEVPIPWMAEYDLGSKNTNNHPLPSWSQTMSGAYNFQVTDSSQPLLTLEPSNLETQGPSSASHIFVDNFEAKKLPNGSEVPHWGHFGNFKTADGSNTLSHSNFVGSSFHFPGAGFSNDMWSKKDFHQPLSSYTVKSSFAGPFVPFNSAPEPLFDNSWVHYPVGDGSNGAAADSAVATLSDEARDEILRKFQNFKQFDTIEDTSDHYFLNYSRAMKQVSRNCAKRIQEEWKSLEKDLPDSIFVRVYESRIDLLRAVIIGAEGTPYHDGLFFFDVFFPSSYPNVPPEVHYHSCGLRLNPNLYSSGKVCLSLLNTWSGHQNEKWLPGFSTILQVLVSIQGLILNSEPYYNEPGFAHLKGSKEGAFNSLHYNENTFILSLRTMVYIMRRPPKNFEDFVAGQFCSRGHDILVACKAYIDGAQVGCSTKGGVQDVDQGDKSCSNQFRTSLSAHVDILVREFTKIGAKDCDKVFPSAEEKNPLNEMPETAAIPDEKFQDMMKS >Vigun11g052200.1.v1.2 pep primary_assembly:ASM411807v1:11:8977173:8984898:1 gene:Vigun11g052200.v1.2 transcript:Vigun11g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPPPVTSNTPNSMKPEEAIRHDVIDTDDDNDSTELVLIGDKVGKIDKGKAIATIDDDYGDHQNMELLDDVMDKSGSADEHNSDSSCDDDDYSDLFSDDYMDADQSALIQSHFDNVDIPSGIEVPIPWMAEYDLGSKNTNNHPLPSWSQTMSGAYNFQVTDSSQPLLTLEPSNLETQGPSSGPSESKKKANIFYAPASHIFVDNFEAKKLPNGSEVPHWGHFGNFKTADGSNTLSHSNFVGSSFHFPGAGFSNDMWSKKDFHQPLSSYTVKSSFAGPFVPFNSAPEPLFDNSWVHYPVGDGSNGAAADSAVATLSDEARDEILRKFQNFKQFDTIEDTSDHYFLNYSRAMKQVSRNCAKRIQEEWKSLEKDLPDSIFVRVYESRIDLLRAVIIGAEGTPYHDGLFFFDVFFPSSYPNVPPEVHYHSCGLRLNPNLYSSGKVCLSLLNTWSGHQNEKWLPGFSTILQVLVSIQGLILNSEPYYNEPGFAHLKGSKEGAFNSLHYNENTFILSLRTMVYIMRRPPKNFEDFVAGQFCSRGHDILVACKAYIDGAQVGCSTKGGVQDVDQGDKSCSNQFRTSLSAHVDILVREFTKIGAKDCDKVFPSAEEKNPLNEMPETAAIPDEKFQDMMKS >Vigun07g076100.1.v1.2 pep primary_assembly:ASM411807v1:7:10094477:10097298:-1 gene:Vigun07g076100.v1.2 transcript:Vigun07g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGVAYNHMMVQRPNLPPVLPPPRLPIPGNAQVPGSQPLLPGMRPPVFPRPLPGAPGYVSAPAMPPMLPPPGAPQVPGQLNTLPRPPSLAPPPTAPGSTAAPASNGAPSMLSSAMYQANPPAPSSGGYDNYNASAQAPEGNH >Vigun07g087900.1.v1.2 pep primary_assembly:ASM411807v1:7:13587283:13588778:1 gene:Vigun07g087900.v1.2 transcript:Vigun07g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGFVLSFNVAAATTNSSPSRVMFPSKNSASRLVVRASDEAAPAPATATPPPEAEAKPKPPPIGPKRGAKVKILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIVEVE >Vigun01g074700.2.v1.2 pep primary_assembly:ASM411807v1:1:20970167:20978168:1 gene:Vigun01g074700.v1.2 transcript:Vigun01g074700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSKLKKIALHKTVSKDKRDFHPTVKFDELALAAKDMQDMRDCYDSLLSAAAATQNSAYEFAESLQEMGTCLLEKTTLNDDEESGKVLGMLGSVQLELQKLVDSYRSHIVLTITNPSESLLNELRTVEDMKRQCDEKREVYEYMIAQQKEKGKSKSGKGESITLQQLQAAHDDYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPQVRMVAERQHIDYQFSGLEDDIGEDGNNDDSNDFDVIEGGELSFDYRPNKQGPYIVSTSPNSAEVEEPGRSYVRASTPETAETSLDKNQGDFKLSSRVSSYSAPILAEKKFDPAEKVRQLIASSAAKSNAYVLPTPVNIKETKSSLAPRTSASGSLHNLWHSSPLDEKKNERDIDSKLSEPTIHRAHSVLKESNSDTTSIQLPRPSADGLSLPQVDVFNASDTKKIKIQTFSGPLTNKPLSVKPISGGFPRLPVPQPSSPKASPNASPPLVSSPRISELHELPRPPGSKPVKSSRVGHSAPLVFKTPEVTVANKFSSVISSVASPLPTPPIVSRSFSIPSSGQRAMTLNVSNAKYLDSPQVSKVDKAPSPPLTPMSQRVSSIPDMASHSSEIQGGS >Vigun01g074700.1.v1.2 pep primary_assembly:ASM411807v1:1:20970167:20978168:1 gene:Vigun01g074700.v1.2 transcript:Vigun01g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSKLKKIALHKTVSKDKRDFHPTVKFDELALAAKDMQDMRDCYDSLLSAAAATQNSAYEFAESLQEMGTCLLEKTTLNDDEESGKVLGMLGSVQLELQKLVDSYRSHIVLTITNPSESLLNELRTVEDMKRQCDEKREVYEYMIAQQKEKGKSKSGKGESITLQQLQAAHDDYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPQVRMVAERQHIDYQFSGLEDDIGEDGNNDDSNDFDVIEGGELSFDYRPNKQGPYIVSTSPNSAEVEEPGRSYVRASTPETAETSLDKNQGDFKLSSRVSSYSAPILAEKKFDPAEKVRQLIASSAAKSNAYVLPTPVNIKETKSSLAPRTSASGSLHNLWHSSPLDEKKNERDIDSKLSEPTIHRAHSVLKESNSDTTSIQLPRPSADGLSLPQVDVFNASDTKKIKIQTFSGPLTNKPLSVKPISGGFPRLPVPQPSSPKASPNASPPLVSSPRISELHELPRPPGSKPVKSSRVGHSAPLVFKTPEVTVANKFSSVISSVASPLPTPPIVSRSFSIPSSGQRAMTLNVSNAKYLDSPQVSKVDKAPSPPLTPMSQRVSSIPDMASHSSEIQVDAGGS >Vigun02g180800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32159396:32160851:1 gene:Vigun02g180800.v1.2 transcript:Vigun02g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPPTKYTHHTKLLTQTHPPKNPCPRLVRITVTDTDATDSSSDEEQTFHSSKRQRHRRFINEISIEPCTTENNNGDTNGGNGVFSKKRVRRRSVVGKGRTAETPRVSTGKKFRGVRQRPWGKWAAEIRDPSRRVRLWLGTYDTAEEAALVYDNAAIKLRGPDALTNFIMPPATWHNKDQTPVSNASSSAEDTVTQNKNLFSPTSVLHSCSLSEEAESVAGKDDESSSVSESSKAKAESPFPVPIPNELKFDFPDFLAAPDVYGELGGAAAAESVLFPDDEWSGLFLTACEDFGLKSWHTDRNHDFFQDIDDLFVSDPLLAL >Vigun09g196500.1.v1.2 pep primary_assembly:ASM411807v1:9:37110179:37113975:1 gene:Vigun09g196500.v1.2 transcript:Vigun09g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLHLPRFPLYLITSSQSLPLRALATSSKTTLLRPPKASLTTTEPVTVANPSATELSLEKLFVPPETTVSLDTARVLNGSNIILSKYANDALISQAEFVKSSVKTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYASAPHELRMDWAEFTKDYFLNRSTLVSVFLLIDASIPAKQIDLDYASWLGQNQIPMTIIFTKCDKRKKKKNGGKRPEENVDDFQHLIRGFFQSVPPWIMTSSVTHQGRDEILLHMAQLRNYWLKH >Vigun10g097800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28616328:28616453:-1 gene:Vigun10g097800.v1.2 transcript:Vigun10g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSSNSETRFQALVFSFSDNLLILTKPFSSTTIFNQSSH >Vigun02g032800.8.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814325:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLQGHICRTGDDDRPEIPIERQYYTLLGCTSLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.1.v1.2 pep primary_assembly:ASM411807v1:2:13803651:13814548:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDQLNRRTIRSHGAKVARTHMQDWLILLLLAIIDAILNAIEPFHRFVGQGMMTDLRYPLKDNTIPFWAVPIVAIVLPIALILVYYFFRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.6.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814548:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLQGHICRTGDDDRPEIPIERQYYTLLGCTSLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.7.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814548:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLQGHICRTGDDDRPEIPIERQYYTLLGCTSLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.4.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814548:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDLRYPLKDNTIPFWAVPIVAIVLPIALILVYYFFRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.2.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814548:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRKMPEDQLNRRTIRSHGAKVARTHMQDWLILLLLAIIDAILNAIEPFHRFVGQGMMTDLRYPLKDNTIPFWAVPIVAIVLPIALILVYYFFRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.5.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814325:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDLRYPLKDNTIPFWAVPIVAIVLPIALILVYYFFRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g032800.3.v1.2 pep primary_assembly:ASM411807v1:2:13803675:13814325:-1 gene:Vigun02g032800.v1.2 transcript:Vigun02g032800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDQLNRRTIRSHGAKVARTHMQDWLILLLLAIIDAILNAIEPFHRFVGQGMMTDLRYPLKDNTIPFWAVPIVAIVLPIALILVYYFFRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTTDVRCTGDKAVIREGHKSFPSGHTSWSFAGLGFLAWYLSGKIKVFDRRGHVAKLCIVFFPLLVASMIAVSRVDDYWHHWQDVCAGGLIGLTIASFCYLQFFPPPYDKDGWGPREYFQELVDPNTSSNNNDDICAQQSDVQIVSLCIPPQHDGDARVINTLNSNPTLDESQIARVL >Vigun02g168200.1.v1.2 pep primary_assembly:ASM411807v1:2:31174929:31178465:-1 gene:Vigun02g168200.v1.2 transcript:Vigun02g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSPRISHLVGRLNEIKVSDVKVQHRHCCQTQVSPPPSSLQQTEYDPPDTVVPRSYIGENVSRKDKNKYLYSTLLELNDSKEAVYGALDAWVAWEQNFPVASLKRILNSLEKEQQWHRVVQVIKWMLSKGQGTTMGTYGQLIRALDMDHRVEEAHKFWEMKIGSDLHSVPWQLCHVMISVYYRNNMLEDLVKLFKGLEAFDRKPRDKTIIQKVANAYEMLGLVKEKEKVLAKYSHLFTEEGPTKPQRRNSFESKKDMHHTSEKPRQRQSVNPSSEEK >Vigun09g212300.1.v1.2 pep primary_assembly:ASM411807v1:9:38648883:38650840:1 gene:Vigun09g212300.v1.2 transcript:Vigun09g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAKASEYLVITGVGIRDIKLAKKGWVLPGQSCTVFDLSPVNYTFEVQAMSAEKLPFILPAVFTIGPRVDDDGSLLRYAKLLSSHDKLSHHVKELVQGIIEGETRVLAASMTMEDIFRGTKSFKQEVFEKVQLELNQFGLLIYNANVKQLVDVAGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEVGAKLREGQTQQNAAKIDAETKIISTQRHGEGVKEDIKVKTEVKVFENEREAVVAEANSELAKKKAMWAQTAQVAEVEATKAVALREAELQREVERMNALTRTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAILFEKEKEAEAQKALAEAAYFSRQQAAEAELFAKKKEAEGLLAIGQAQGVYLRTLLDALGGNYTNLRDYLMINSGMFQEIAKTNAEAIRGLQPKISIWSNGTDGSDGGGGMKDVAGAYKMLPPLFKTVHEQTGMLPPAWMGTLSDKSS >Vigun03g340800.1.v1.2 pep primary_assembly:ASM411807v1:3:53967023:53971586:1 gene:Vigun03g340800.v1.2 transcript:Vigun03g340800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTNPFSSQRISNPETMSQSIENEALSPLGSDLKCDGYGFFFVVSSVLFVLYLALHAKKSLNSLCRRGSYVVVSYYAVLWLVTLLNLAWSSLQAWQCSQGKEVAWNLLSLFTASGMLCLEISLIAFLLNDNYMNGMEALAHSFIASGIIVCMDTLLKAIYVFGFGVPLFNRHVERAHTIKWSLWIVHKLLLAAAYGFILFAHFSKWREKLPPRPAFYNYVAVMFVLSVISLFACGLAGIGIGLGNWLYDLTVLCYHSLYLPFLYITFLADFFQEEDFLLDTAYYSEMKDAGFFDAD >Vigun07g122800.1.v1.2 pep primary_assembly:ASM411807v1:7:22733317:22734477:-1 gene:Vigun07g122800.v1.2 transcript:Vigun07g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANVKNKSTVALHPPYFQMISDAITSLKERTGSSQPAIAKFVEEKHGKVLPPIFRKLLSVQLKSLVKSEKLYKVKNSYKLSSPQSEKPKTAPKEKKVAEKTKRLSQVKTPEALKKKKAPSTKKFVAAAVSGGGKVKCLSQVKTPETMKKTSKLTPVKRKGTPKPSNANKKARK >Vigun05g287200.1.v1.2 pep primary_assembly:ASM411807v1:5:47425145:47435515:1 gene:Vigun05g287200.v1.2 transcript:Vigun05g287200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKCVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARRQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKGCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYLKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADVEIADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSFEGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIFGGALLAMCSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVMSHLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFDLAIHLGKLDVAKSIAIELQSEPKWKQLGELAMSTGKLEMAEECLQHAMDLSGLLLLYSSLGDAEGISKLASLAKKQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLTKVNSKAAESLADPEEYPNLFEDWQVALGVESKAAETRNVYPPADQYVNHADKSHITLVEAFRNMQIEEEHLENGLTEQNGEEQDTEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEDWGTNNEGAPSA >Vigun05g287200.2.v1.2 pep primary_assembly:ASM411807v1:5:47425145:47435985:1 gene:Vigun05g287200.v1.2 transcript:Vigun05g287200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKCVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARRQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKGCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYLKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADVEIADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSFEGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIFGGALLAMCSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVMSHLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFDLAIHLGKLDVAKSIAIELQSEPKWKQLGELAMSTGKLEMAEECLQHAMDLSGLLLLYSSLGDAEGISKLASLAKKQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLTKVNSKAAESLADPEEYPNLFEDWQVALGVESKAAETRNVYPPADQYVNHADKSHITLVEAFRNMQIEEEHLENGLTEQNGEEQDTEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEDWVLAHH >Vigun05g022901.1.v1.2 pep primary_assembly:ASM411807v1:5:1924004:1927173:1 gene:Vigun05g022901.v1.2 transcript:Vigun05g022901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIFCFHLLLHSLPKSGGSVLLPQRTRGQELTMMGLGRLVGTLKSKIRSLKLRKPYDKMEKSESMRVEIRSRKARKLIEETLKIADSPKSRTFTL >Vigun03g083400.3.v1.2 pep primary_assembly:ASM411807v1:3:6941315:6945029:1 gene:Vigun03g083400.v1.2 transcript:Vigun03g083400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGAIDGGVRRRGLTFRRNDFFPEESFKSWENYARAIMETPWRLKDRMLSRSEDHTELVEMKARSSHEMKKTLNWWDLMWFGIGAVIGSGIFVLTGLEARSTVGPAVVLSYVVSGVSALFSVFCYTEFAVEIPVAGGSFAYLRVELGEFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCGQHPDDFRIVVHKMNPDYGHLDPISIGVLIAITILAVYSTKGSSIFNFIATIFHLVVIAFIIIAGLTKANTENYTPFLPFEVRGVFKASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTFLYCVLAVTLCLMQKYTDIDPDAPYSVAFSAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWFAHVDEKTGTPVNATMSMLAATAVISFFTKLNILSNLLSISTLFIFMLVAVALLVRRYYSSGVTTKQNKVKLILCLALILGSSCGISGYWASSDGWIGYAVFVPLWILGTGVLWLCVPQAKQPKLWGVPLVPWLPSLSIAINIFLLGSIDKDSFIRFGVWTGLLLVYYVLLGLHASYDTAKGFESQQNPVDVDKQWNKAEEGAQGARGQVPLAEVTTD >Vigun03g083400.1.v1.2 pep primary_assembly:ASM411807v1:3:6941315:6945029:1 gene:Vigun03g083400.v1.2 transcript:Vigun03g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGAIDGGVRRRGLTFRRNDFFPEESFKSWENYARAIMETPWRLKDRMLSRSEDHTELVEMKARSSHEMKKTLNWWDLMWFGIGAVIGSGIFVLTGLEARSTVGPAVVLSYVVSGVSALFSVFCYTEFAVEIPVAGGSFAYLRVELGEFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCGQHPDDFRIVVHKMNPDYGHLDPISIGVLIAITILAVYSTKGSSIFNFIATIFHLVVIAFIIIAGLTKANTENYTPFLPFEVRGVFKASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTFLYCVLAVTLCLMQKYTDIDPDAPYSVAFSAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWFAHVDEKTGTPVNATMSMLAATAVISFFTKLNILSNLLSISTLFIFMLVAVALLVRRYYSSGVTTKQNKVKLILCLALILGSSCGISGYWASSDGWIGYAVFVPLWILGTGVLWLCVPQAKQPKLWGVPLVPWLPSLSIAINIFLLGSIDKDSFIRFGVWTGLLLVYYVLLGLHASYDTAKGFESQQNPVDVDKQWNKAEEGAQGARGQVPLAEVTTD >Vigun03g083400.2.v1.2 pep primary_assembly:ASM411807v1:3:6941315:6945029:1 gene:Vigun03g083400.v1.2 transcript:Vigun03g083400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGAIDGGVRRRGLTFRRNDFFPEESFKSWENYARAIMETPWRLKDRMLSRSEDHTELVEMKARSSHEMKKTLNWWDLMWFGIGAVIGSGIFVLTGLEARSTVGPAVVLSYVVSGVSALFSVFCYTEFAVEIPVAGGSFAYLRVELGEFVAFIAAGNILLEYVIGGAAVARSWTSYFATLCGQHPDDFRIVVHKMNPDYGHLDPISIGVLIAITILAVYSTKGSSIFNFIATIFHLVVIAFIIIAGLTKANTENYTPFLPFEVRGVFKASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTFLYCVLAVTLCLMQKYTDIDPDAPYSVAFSAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWFAHVDEKTGTPVNATMSMLAATAVISFFTKLNILSNLLSISTLFIFMLVAVALLVRRYYSSGVTTKQNKVKLILCLALILGSSCGISGYWASSDGWIGYAVFVPLWILGTGVLWLCVPQAKQPKLWGVPLVPWLPSLSIAINIFLLGSIDKDSFIRFGVWTGLLLVYYVLLGLHASYDTAKGFESQQNPVDVDKQWNKAEEGAQGARGQVPLAEVTTD >Vigun11g052500.1.v1.2 pep primary_assembly:ASM411807v1:11:9092857:9096510:-1 gene:Vigun11g052500.v1.2 transcript:Vigun11g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPSPCSSSSSSSTASFGSSTSAKTWIVHGIVAGVAIAAAVGARAYMTRFDKFRSRALGIIPARYASSRFPGKPLVEILGKPMIQRTWERAKLAATLDHVVVATDDEKIADCCRQFGADVIMTSESCKNGTERCNEALQKLGKNYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDAHDPNRVKCVIDNRGYAIYFSRGLIPFNKSGKVNQQFPYLLHLGIQSYDVKFLKIYPDLPPTPLQLEEDLEQLKVLENGYKMKVIKVNHEAHGVDTPEDVGKIESLMRERNFS >Vigun01g042022.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5942164:5942448:-1 gene:Vigun01g042022.v1.2 transcript:Vigun01g042022.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALACPDRGGRWRGRRRRLWALCNALGKTLWALWTPVQGCVNRITASSPAGLATVVGVVTGESGGAGRGPRSRSCGGVEGKGLPHSGEEKLFLN >Vigun07g005500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:439865:440793:1 gene:Vigun07g005500.v1.2 transcript:Vigun07g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPYINAAIRSSRDHEVYFFMKNKYLRLHYAPGTYNDKILTNLRPVSSTFPALASKSFDVIDCAFDTEASEAYVFSGNRCAYIDYAPGSAQDKILAGPTTIAEMFPALKNYVFADGIDAAFRSTQGKEVYLFKGNLYCRIAYDTKKIIGRIYDIGDGFTVLKGTIFESGIDACFATHKDSQAYLFKGDKYVRIKFTPGSSNDTLLGDIRPILDGWPVLRGILPVD >Vigun10g170200.1.v1.2 pep primary_assembly:ASM411807v1:10:38947536:38951680:1 gene:Vigun10g170200.v1.2 transcript:Vigun10g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVTGLINRGHKLKGTVVLMRKNVLDINALTSAQSPTGIIGGAIGAVGGVIGSTVDTLTSFLGRSVALRLISATAADASGKGKVGKQTYLEGIITSIPTLGAGQSAFNIHFEWDSDMGIPGAFYIENFMQVEFFLVSLTLEDVPNQGTIHFVCNSWIYNAKKYKSDRIFFANKAYLPSETPGPLVKYREEELKTLRGDGTGERKEHERIYDYDVYNDLGDPDSNARLARPVLGGSALPYPRRGRTGRKPSKKDPKSESRSDSVYLPRDESFGHLKSSDFLVYILKSASQNVIPQLQSALRLQFNQPEFTSFDDVRGLYDGGIKLPTDALSKISPIPLFSELFRTDGEQVLKFPPPKVIQVDQSAWMTDEEFAREMIAGVNPHIITRLQEFPPKSKLDSQLYGDNTSTITREHLEPNLGGLTVEQAIQNNRLFILDHHDTLIPYLRRINATETKAYATRTIIFLQDNGTLKPLAIELSKPHPQGDNFGPISNVYLPANQGVEAYIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFVIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGPYSLEMSAVVYKDWVFPEQALPADLIKRGVAVEDSSAPHGLRLLIEDYPYAADGLEIWATIKSWVQEYVSFYYKSDAAITQDTELQAFWKELVQVGHGDKKNEPWWVKMHTREELVESCTTLIWTASALHAAVNFGQYPYGGYILNRPTLSRQFMPEIGSAEYGELAKNPEKVYLKTITGKSDALKDLTIIEVLSRHASDELYLGERDGGEFWTSDKEPLEAFKRFGKRLAEIEKKLIQRNSDESLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >Vigun10g170200.2.v1.2 pep primary_assembly:ASM411807v1:10:38947536:38951680:1 gene:Vigun10g170200.v1.2 transcript:Vigun10g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVTGLINRGHKLKGTVVLMRKNVLDINALTSAQSPTGIIGGAIGAVGGVIGSTVDTLTSFLGRSVALRLISATAADASGKGKVGKQTYLEGIITSIPTLGAGQSAFNIHFEWDSDMGIPGAFYIENFMQVEFFLVSLTLEDVPNQGTIHFVCNSWIYNAKKYKSDRIFFANKAYLPSETPGPLVKYREEELKTLRGDGTGERKEHERIYDYDVYNDLGDPDSNARLARPVLGGSALPYPRRGRTGRKPSKKDPKSESRSDSVYLPRDESFGHLKSSDFLVYILKSASQNVIPQLQSALRLQFNQPEFTSFDDVRGLYDGGIKLPTDALSKISPIPLFSELFRTDGEQVLKFPPPKVIQVDQSAWMTDEEFAREMIAGVNPHIITRLQEFPPKSKLDSQLYGDNTSTITREHLEPNLGGLTVEQAIQNNRLFILDHHDTLIPYLRRINATETKAYATRTIIFLQDNGTLKPLAIELSKPHPQGDNFGPISNVYLPANQGVEAYIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFVIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGPYSLEMSAVVYKDWVFPEQALPADLIKRGVAVEDSSAPHGLRLLIEDYPYAADGLEIWATIKSWVQEYVSFYYKSDAAITQDTELQAFWKELVQVGHGDKKNEPIHA >Vigun05g171966.1.v1.2 pep primary_assembly:ASM411807v1:5:30765368:30766287:-1 gene:Vigun05g171966.v1.2 transcript:Vigun05g171966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSCSIGDINVRDASPTATKLFAHVDRHFAAAFENELTHQWTLLDSFENRFVVSYNMDKMNPKLTDGWKDLENTYSTQIWDSYVQFRYVGNSTFEITVFIGQCTPKNMKAFLDTTAVDPGTSLFAVTLTQCQANASHLDLNVDFAEVIKSLEMDVIYLVGRRRGVECKLLFCAQNRLKEGDRLVFEVDQVQKQPIVEVYINGCYCDVAKSIDLV >Vigun05g085400.1.v1.2 pep primary_assembly:ASM411807v1:5:8087207:8095147:1 gene:Vigun05g085400.v1.2 transcript:Vigun05g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDESLLLESDPRPVIVHRRGKSGSRTYLYGHGSFSSSVFEAAIADISDLDVKERNKEVLPSDSSFHTASFSNSNSSDKCAVESKFPWQFPLECPTRERRRSASWGAMELHGADNRSVPFEISGASSHVQDRLNSKSQRIRHKSVQFDDPAFQEDGARLIYINDPRKTNDKYEFTGNEIRTSRYTFVTFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNRESLVLQSGDFRSKKWKKIQAGEVVKIFADETIPADMVLLGTSDQSGLAYIQTMNLDGESNLKTRYARQETASVVTSESCDVFGVIRCEQPNRNIYEFTANMEFNGLKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSRLESYMNRETLWLSVFLFIMCLVVALGMCLWLVRHNNQLDTLPYYRKRYFTNGPDNGKKYKYYGIPMEAFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDRDMYDASSASRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVHGKNYGSSLHVVDDTAADVTPKRRWKLKSETVVDSELMMMLRGNSNGEERVSGHEFFLTLAACNTVIPILGDGGFSSHGTNELKDDIRCINYQGESPDEQALVSAASAYGYTLFERTSGHIVIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPDNSVKVLVKGADTSMFSILENGSESNNNILHTTHSHLNEYSSQGLRTLVIGSRDLSDAELEEWQSHYEEASTSLTDRATKLRQTAALIESNLKLLGATGIEDKLQEGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLSGDMQQIIINGTSEVECRNLLADAKAKYGVKSSSGGRRSSKHKTNAGLGDLDIPNGFPKWNPGKEEGTIAPLALIIDGNSLVYILEKELESELFDLATSCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFQFLKKLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIIVGIQDKDLSHRTLLQYPKLYGSGHRQEAYNMQLFWITMIDTVWQSLVLFYIPLFTYKDSSIDIWSMGSLWTIAVVILVNVHLGMDINRWVLITHVAIWGSIIITYGCMVILDSIPVFPNYWTIYHLARSPTYWITILLIIIVALLPRFICKVVYQIFWPSDIQIAREADLMRKRHATLRPRLHVSS >Vigun01g063928.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:15361965:15362903:-1 gene:Vigun01g063928.v1.2 transcript:Vigun01g063928.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSLEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIQLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTN >Vigun04g046300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3989622:3990251:1 gene:Vigun04g046300.v1.2 transcript:Vigun04g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLLAFALLFALVSQPLLGAAEASPDEVVDTSGKLLRAGVNYNILLSMPYKNSKTPQGLGLSKIGKSCPLDVVVVDRHHSLSLRFIPVNPKKGVIRVSTDLNIMFPPNITCPHHSIVWKIESFQVSKGRSLSLVSTGGVKGNPGRETIGNWFKIEKYGGAYKLVYCPSVCPSCKHVVCENVGMFVDEKGNHRLALSAVPFQVKFLKA >Vigun09g005900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:431427:432746:-1 gene:Vigun09g005900.v1.2 transcript:Vigun09g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFCPNHATPFERVLVPSISATSWRSHGVSATANQKGGKLGHTLLGVIRSDSTPITKLTEEQGKMSGSDVLWALQRASARKKKNRKNKKEYRRDESSVATLTEQSAVDYTNVRPLSINANWAAKLEDLDKRLRELSDTI >Vigun10g127500.1.v1.2 pep primary_assembly:ASM411807v1:10:33545966:33548890:1 gene:Vigun10g127500.v1.2 transcript:Vigun10g127500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRSHYCYYIITCEVLVEQLSCFYFLKYYNVFSIILSQTLTQHKLSTTQQLVTMVGGLAISSLNLSASTCAIVTLISTVLIWWFWNALNWVWLRPKRIEKRLKEQGIQGNSYRPFIGDIRDMVKMIKEAKSKPMDPHSNDIAPRVLPYVVHTIAKYGKNSFMWLGPRPRVFVLDPEKFKEMATKVYDFQKPDTSPLFKLLASGFANYDGDKWAKHRKIVSPAFNVEKLKALVPIFCESCDELIRQLESALSSSDGLCELDLWPFVQNVSSDVLARAGFGSSYQEGKRVFQLQREMLQLTMTLFKFAFIPGYRFLPTRTNRRMKAIDNEIRTSLMGIINRRLKALEAGEPTNNDLLGILLESNYRESEKSSGGGMSLREVVEEVKLFYLAGQEANAELLVWTLLLLSKHPDWQEKAREEVFQVFGNEKPDYERLGQLKIVSMILQESLRLYPPVVMFARYLRKDTKLGDLTIPAGVELVVPVSMLHQEKEYWGDDAGEFNPERFSEGVSKATKGKLSYLPFGWGPRLCIGQNFGLLEAKVAVSMILQRFSLEFSPSYTHAPSFIITLQPEHGAHIILHKL >Vigun10g127500.2.v1.2 pep primary_assembly:ASM411807v1:10:33544539:33548890:1 gene:Vigun10g127500.v1.2 transcript:Vigun10g127500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLAISSLNLSASTCAIVTLISTVLIWWFWNALNWVWLRPKRIEKRLKEQGIQGNSYRPFIGDIRDMVKMIKEAKSKPMDPHSNDIAPRVLPYVVHTIAKYGKNSFMWLGPRPRVFVLDPEKFKEMATKVYDFQKPDTSPLFKLLASGFANYDGDKWAKHRKIVSPAFNVEKLKALVPIFCESCDELIRQLESALSSSDGLCELDLWPFVQNVSSDVLARAGFGSSYQEGKRVFQLQREMLQLTMTLFKFAFIPGYRFLPTRTNRRMKAIDNEIRTSLMGIINRRLKALEAGEPTNNDLLGILLESNYRESEKSSGGGMSLREVVEEVKLFYLAGQEANAELLVWTLLLLSKHPDWQEKAREEVFQVFGNEKPDYERLGQLKIVSMILQESLRLYPPVVMFARYLRKDTKLGDLTIPAGVELVVPVSMLHQEKEYWGDDAGEFNPERFSEGVSKATKGKLSYLPFGWGPRLCIGQNFGLLEAKVAVSMILQRFSLEFSPSYTHAPSFIITLQPEHGAHIILHKL >Vigun06g206900.1.v1.2 pep primary_assembly:ASM411807v1:6:32072429:32073244:1 gene:Vigun06g206900.v1.2 transcript:Vigun06g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSAELRSKYNVRSIPVRKEDEVTQVYRRKWVIHIERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKAKGRAAADKEKGTKFAPEDIMQTVD >Vigun06g206900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32072429:32073244:1 gene:Vigun06g206900.v1.2 transcript:Vigun06g206900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSAELRSKYNVRSIPVRKEDEVQVVRGTYKGREGKVTQVYRRKWVIHIERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKAKGRAAADKEKGTKFAPEDIMQTVD >Vigun11g110300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31162877:31163664:-1 gene:Vigun11g110300.v1.2 transcript:Vigun11g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIRAWSVAASVGVVEALKDQGICRWNHGLRSAQQHLKTRVGSFSQARKLSSTSSTMVSTRLKEDNTKQSEESLRKVMYLSCWGPN >Vigun08g180600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35025156:35028041:1 gene:Vigun08g180600.v1.2 transcript:Vigun08g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATHWCYACRQPIVLDGGDTLCPYCDGGFIQELDELRGAAPAPQHTYSSSQSGEFHQMPDLFDAIHAFMGQRGSDQRFGLMDAVDNFMRHRMAGRNSNFDVRARSGSFPVPEQQGWGVFSSGPYLIFHGQVPGFTLATGSPRGGPRRVDFGDYFMGPGLEELIEQLTMNDRRGPPPAARSSIDAMPTIRITQAHLRLDSHCPVCKDKFELGTEAREMPCNHIYHSDCIVPWLVQHNSCPVCRVELPPQGQVSSRGARSLGGRNASTSTTSGGGGGSDSSSRSRENNNNNNNNPQNHGRRNPLSFLWPFRSSSSSNNSHYSENGGSSSSTTPDQNNGTSYSGWPFDH >Vigun08g180600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35025156:35028101:1 gene:Vigun08g180600.v1.2 transcript:Vigun08g180600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATHWCYACRQPIVLDGGDTLCPYCDGGFIQELDELRGAAPAPQHTYSSSQSGEFHQMPDLFDAIHAFMGQRGSDQRFGLMDAVDNFMRHRMAGRNSNFDVRARSGSFPVPEQQGWGVFSSGPYLIFHGQVPGFTLATGSPRGGPRRVDFGDYFMGPGLEELIEQLTMNDRRGPPPAARSSIDAMPTIRITQAHLRLDSHCPVCKDKFELGTEAREMPCNHIYHSDCIVPWLVQHNSCPVCRVELPPQGQVSSRGARSLGGRNASTSTTSGGGGGSDSSSRSRENNNNNNNNPQNHGRRNPLSFLWPFRSSSSSNNSHYSENGGSSSSTTPDQNNGTSYSGWPFDH >Vigun11g071500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20583221:20583712:1 gene:Vigun11g071500.v1.2 transcript:Vigun11g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSIRNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFQCNNKI >Vigun05g217500.1.v1.2 pep primary_assembly:ASM411807v1:5:40924776:40928200:-1 gene:Vigun05g217500.v1.2 transcript:Vigun05g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSLCTVFPILTPTLSKPHFVPLSSRTPKRSRIITSVAEDREIVPVSEDRGARSHEVEGIQPSESITEAEIDPRLTSSSTVNAFIVLGVGTFAVTKLLTIDHDYWHGWTLYEILRYIPEHNWIAYEQALKANPVLAKMAISGIVYSIGDWIAQCYEGKPLFEFDRARLFRSGLVGFTLHGSLSHYYYQLCEALFPFQEWWVVPAKVAFDQTVWSAVWNSIYFVVLGLLRFESLSNIYGELKSTFFPLLTAGWKLWPFAHLITYGLIPVEQRLLWVDCVELVWVTILSTYSNEKSEARISDSASEATSSTSNQNSKE >Vigun02g094700.1.v1.2 pep primary_assembly:ASM411807v1:2:25026872:25030101:1 gene:Vigun02g094700.v1.2 transcript:Vigun02g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEGDLWDDSALITAFDHAISTYKKMHISGKNKGNTVQEAETVIGEEASCVDFASSDTTRDADTNDHIPATDVPDSGETSNEAKLEEDHHVESLVEQPCVHSASGQGIQSGQSNAYTEGVDDYNQLVTQYYELEEKRAKILEQLNQYGSWNYQNGAAVSSFGVPYSDPQENSMAAYQVSDPNAVCTCCPCYSQCLPEPRTSIPGCSLGELSVGKPCNNAYVEMDHKMSFPYKDDDIRKMAMGAAEKALSTIRTTISGDFNANEGKERNNSEPEEISGPETDLAAVLNAWYSAGFCTGKYLVEQSIQNRRQM >Vigun03g259200.2.v1.2 pep primary_assembly:ASM411807v1:3:42525621:42528568:1 gene:Vigun03g259200.v1.2 transcript:Vigun03g259200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLVVIITAIVLLLLAEARPTTTEQCNGKWEEGCRNKVESLKLKVIAIFSILVTSVIGVSLPLFSRSVPALRPDRDLFVIVKAFASGVILATGYMHVLPDSFQDLTSECLPERPWRKFPFTTFIAMFSAVITLVVDSYSISFFKKKVAASSANASGSASLEAGERKEVEVCGHGHGHDVHADADADRDANIVDAEQLLRYRVVAQVLELGIVVHSVVIGLSMGASMNPCTIRPLIAAICFHQMFEGMGLGGCILQVSY >Vigun07g166100.1.v1.2 pep primary_assembly:ASM411807v1:7:27991265:28002991:1 gene:Vigun07g166100.v1.2 transcript:Vigun07g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEKAENTTYRMEVVIHKEEEKVVGKEDKDVCVCRVPKSLIGGHPEAFSPQFVGLGPYHHPRLDLTMTDVLKKAAAMRIMNDDFKIQVSDVQPFYHKDTLNSYAHDKHKLLEDITMDGFFLLALINWSLDAQPPNHTYFLTGKHGMPLVNALGVELTIGAVIRDVFMLENQIPTRLLHQINQATPQHGIQSHLGAKMLHFCKMYCPLAKPQILPENTKVTHLLDLMYHLVAPMPSKTANTPPSPQVHPEVEPEVYPEVESEVESEAAPEVALEVQPDNEPDTARTEEHEPAEVTTEVEVKVEMPNMTSSKTEPIGCSSIFCSICFKTLLFLVIVGVILCSILWYLLLFICQIVYSVLRIPCRILYGILKTVLTVFVKLFISLLPVPRGLMADTTRKNSMAPDERKTIWMGIQIPKQWVNKIRQRLFGEEDKRPAVTIQSVTELDDAGFHFKPVNSLQGIRFEARKKELFLPVLKLDENSEVIMRNLVAYESLTRHTYLIFTRYVEIMRAIIDTPEDVKVLVQNDIIVTELKDKVVADLFNGMSTSIKPTQVPELEEVIKEVKIKYEESQWLQKIMRYVYSSWKILTAIAAFSFFVLTSVQTFCSVYDCASHFSSNLEKLPTVSDNGLISYI >Vigun04g102700.6.v1.2 pep primary_assembly:ASM411807v1:4:23711562:23712401:1 gene:Vigun04g102700.v1.2 transcript:Vigun04g102700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTSRNCRTPSTGSFLPLLIRRVVAEHQTHPLLVRRRTCRCDVASIVVQSHQCAFCDCQSNSQFEDARVTTGFLKGNFWVGSVLSATIIVEAVRSRLYISEVIFFLLQKDIEKLLQS >Vigun07g052700.1.v1.2 pep primary_assembly:ASM411807v1:7:5558646:5566480:1 gene:Vigun07g052700.v1.2 transcript:Vigun07g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKKKYKVIRKVKEHNRQKAKEAKKLRLSGKKKVEKDPGIPNDWPFKEHELKALEARRARAIEELEQKKAERKERARKRKLGLLEEEDDSKLLEDSKKNTNDFGNAAKTRDSSDRAFYKDLVKVIEASDVLLEVLDARNPLGTRCPEMENMVMKLGPDKRLVLVLNKIDLVPEEVLEKWLKYLREELPTVAFKCSTQQQRSNVGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSQENDASIALKNCKRIEKLDPISPVKEILKLCLPEQLVTLYKIPSFNVGDVDDFLLKVAEAKGKRTKRGIFDVATAARIVLRDWNEGKIQYYTMPPNRDQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKSVDDFNVVEVPSSHPLNLTEMMLEDETEAKSGDQGEGPGNVGEVDESKEDDGGKNKDNSASSRQNEKLYTADGMHNTKMWRAEKKKRKKAKKASMDGDYDFKTDYFQKGRSINGF >Vigun01g186000.2.v1.2 pep primary_assembly:ASM411807v1:1:36619298:36622258:1 gene:Vigun01g186000.v1.2 transcript:Vigun01g186000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFSKISPEIMIRMGLRKLQDKVALITGAASGIGKATATKFINNGAKVIIADINQQLGEETAKELGSNATFITCDVTQESDISNAVEFAISKHKQLDIMYNNAGVACKSPLSIVDLDMALFDKIMDINVRGAVAGIKHAARVMIPRGSGSILCTASVTGVMGGLAQHTYSISKSAVVGIVKSLASELCRHGIRVNCISPFAIPTPFALEEMSQIYSHVDSQRHVDIIHNSSVLKGVYCEPDDVANAALFLASNDAKYVSGHNLVVDGGFTSFKNLDFPAPDQVK >Vigun01g186000.4.v1.2 pep primary_assembly:ASM411807v1:1:36619298:36622258:1 gene:Vigun01g186000.v1.2 transcript:Vigun01g186000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFSKISPEIMIRMGLRKLQDKVALITGAASGIGKATATKFINNGAKVIIADINQQLGEETAKELGSNATFITCDVTQESDISNAVEFAISKHKQLDIMYNNAGVACKSPLSIVDLDMALFDKIMDINVRGAVAGIKHAARVMIPRGSGSILCTASVTGVMGGLAQHTYSISKSAVVGIVKSLASELCRHGIRVNCISPFAIPTPFALEEMSQIYSHVDSQRHVDIIHNSSVLKGVYCEPDDVANAALFLASNDAKYVSGHNLVVDGGFTSFKNLDFPAPDQVK >Vigun01g186000.1.v1.2 pep primary_assembly:ASM411807v1:1:36619298:36622258:1 gene:Vigun01g186000.v1.2 transcript:Vigun01g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFSKISPEIMIRMGLRNSANISYSRALFAESFHRLLSTQTSGKLQDKVALITGAASGIGKATATKFINNGAKVIIADINQQLGEETAKELGSNATFITCDVTQESDISNAVEFAISKHKQLDIMYNNAGVACKSPLSIVDLDMALFDKIMDINVRGAVAGIKHAARVMIPRGSGSILCTASVTGVMGGLAQHTYSISKSAVVGIVKSLASELCRHGIRVNCISPFAIPTPFALEEMSQIYSHVDSQRHVDIIHNSSVLKGVYCEPDDVANAALFLASNDAKYVSGHNLVVDGGFTSFKNLDFPAPDQVK >Vigun01g186000.3.v1.2 pep primary_assembly:ASM411807v1:1:36619298:36622258:1 gene:Vigun01g186000.v1.2 transcript:Vigun01g186000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFSKISPEIMIRMGLRNSANISYSRALFAESFHRLLSTQTSGKLQDKVALITGAASGIGKATATKFINNGAKVIIADINQQLGEETAKELGSNATFITCDVTQESDISNAVEFAISKHKQLDIMYNNAGVACKSPLSIVDLDMALFDKIMDINVRGAVAGIKHAARVMIPRGSGSILCTASVTGVMGGLAQHTYSISKSAVVGIVKSLASELCRHGIRVNCISPFAIPTPFALEEMSQIYSHVDSQRHVDIIHNSSVLKGVYCEPDDVANAALFLASNDAKYVSGHNLVVDGGFTSFKNLDFPAPDQVK >Vigun05g162232.1.v1.2 pep primary_assembly:ASM411807v1:5:25681876:25682663:1 gene:Vigun05g162232.v1.2 transcript:Vigun05g162232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLFNSDSPTPIVAFLSVTSKVVASTLATRIFDIPLYFSSNEWHLLLEILAILSMILGNPIAITQTSMKRMLANFACIVSFGLRTGTDNIRDYVGLYTKDPYLALSLALCLLSLGGLPPLAGLYFLVSIGLLTSVVFIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMILCVIASTILGISRNPIIEIAQDTLFSF >Vigun03g319900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51423451:51426213:1 gene:Vigun03g319900.v1.2 transcript:Vigun03g319900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKAVAASLRVLQSSLATRVLVTRNQVTHFSLHNPLPHLDSSRNLQFPISHSKLYFSTKPSSIVDLVLTNDWSKALELNLENSCPSMTHETVIYVIKRLDKNPEKASCFFNWVIKKDWFRSSSSVFSLIVRILATKDTMKLFWITLRMMKEHGFYLNEETYVTIYVRFKKEKMNSDAVALTHFYNRMLEENAMQSVVTKVVGIISVSEWGEEVMGELAKLKIQLSDNFVIRVLKELRNTPLKSYKFFHWVGTQPGYERNTVTYNAVASVLARNDSIQEFWSVIEEMKSVGYALDIDTYIKISRQLQKNRMMEDAVKLYEHMMDGSYKPLVQDCSLLLKSISASDKPNLDLVFRVVRKYESTGHTLSKAIYDGIHRSLTGAGKFDEAENIVRTMRNAGHEPDNITYSQVVFGLCKMRRFEEAFKVLEEMESCGCIPDIKTWTILIQGHCDAKEIDNALLCFYKMIEKGCNPDADLLDVLVDGFLSQNRIEGAYELLIVISRKCRISPWQATYKKLIEKLLGVMKFEEALQLLRLMKTHNYPPYHLPFVPYISKFGSVEDAEAFLKALSVKSYPSHAVYVQVFESLFEEGRLSEARDLLYKTPHHIRTHSKISKLFGSSERDTQTQLLPDP >Vigun09g232300.1.v1.2 pep primary_assembly:ASM411807v1:9:40359634:40364661:-1 gene:Vigun09g232300.v1.2 transcript:Vigun09g232300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVCCSISWSFGSAVTAALPSFPSPRFPLSLPSSNSPATVLRRSTPPPRRVTRMALKNAKDEQDPRLERISSAIRVIPDFPKPGILFQDITTLLLDPKAFKDTIDLFVERYRDQNINVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGKDKIEMHVGAVLPGERALVIDDLIATGGTLDAAIKLLERVGVDVVECACLIELPELKGRDRLGNKPLFVLVKVTA >Vigun04g135400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33942157:33944339:-1 gene:Vigun04g135400.v1.2 transcript:Vigun04g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMASITLTLVLALVSLSLPSQTSADNYPYPSPPPTPYYHHSPPPQQYSPPNHPYHYPSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPHYVYASPPPPHHY >Vigun11g096001.1.v1.2 pep primary_assembly:ASM411807v1:11:27909765:27913213:-1 gene:Vigun11g096001.v1.2 transcript:Vigun11g096001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVVKLATLALKTACKPIANRLKKEAGYHPKFRSFIIAIARLTTTVQRRIYGRATDVAIRPLNEERAVQVAADLLGELFVFSVAGAAIIFEVQRSSRSEARKEEIRRQEIQVVFLPSLL >Vigun10g162300.1.v1.2 pep primary_assembly:ASM411807v1:10:38123054:38125848:-1 gene:Vigun10g162300.v1.2 transcript:Vigun10g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGILRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPEHMTRVSTAEIKRAQKAEREASDLKGTMRKRMEFLDFD >Vigun07g292600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40391704:40394409:1 gene:Vigun07g292600.v1.2 transcript:Vigun07g292600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVILTAQTQPQMFFRNSVPLPLAPKPTRISLALKPLSSSSLVAKCHQQRQQHQKTQDEGIPAEEVKILAKFKSRHNYIRVLEVSRKAEHHFRGSRLLLLDAPGNIHSVSFLFTSLTKTYFDVFATLPPILPSGPIALLGFGAGTAARLLLDLYPNAVLHGWELDPAVIQVAREYFNLGKLERENQQRLFIYIGNALNATVHNGFSGIVVDLFSQGCLIPELQEPATWEKLRGRLRKGGRIMVNVGGSCVEPESRLRDGKVVMEETLRAMKEVFGKELFVLNLGNRKDDSSLALTGDLPPSEEWKKRLPGPLKSYTQMWMPYSD >Vigun07g292600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40391704:40394409:1 gene:Vigun07g292600.v1.2 transcript:Vigun07g292600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVILTAQTQPQMFFRNSVPLPLAPKPTRISLALKPLSSSSLVAKCHQQRQQHQKTQDEGIPAEEVKILAKFKSRHNYIRVLEVSRKAEHHFRGSRLLLLDAPGNIHSVSFLFTSLTKTYFDVFATLPPILPSGPIALLGFGAGTAARLLLDLYPNAVLHGWELDPAVIQVAREYFNLGKLERENQQRLFIYIGNALNATVHNGFSGIVVDLFSQGCLIPELQEPATWEKLRGRLRKGGRIMVNVGGSCVEPESRLRDGKVVMEETLRAMKEVFGKELFVLNLGNRKDDSSLALTGDLPPSEEWKKRLPGPLKSYTQMWMPYSD >Vigun03g206100.1.v1.2 pep primary_assembly:ASM411807v1:3:33422514:33434637:1 gene:Vigun03g206100.v1.2 transcript:Vigun03g206100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQGRSDKLQGAGAVNPRSRLRVWFIRACSSIVLWTCLFQLVTVSELWRSHFFLEITSRIHNTIQSPLQTNNELAQPPPTFLPPRNYTSNGFLRVSCNGGLNQMRAAICDMVAISRLLNLTLVVPELDKKSFWADPSNFEDIFDVRHFIDSLRDEVRIVKRVPKKFSEKNGQTTLKMPPVSWSNEKYYLEQILPLFGKHKVVHFNKTDSRLANNGLPLDLQRLRCRVNFQALKFTPELENLGQKLIRILSKNGPFVALHLRYEMDMLAFSGCTLGCTNEEAEELKRMRYAFPSWREKEIVSEERRSQGLCPLTPEEAALVLQALGFDRKTPIYIAAGEIYGGERRLAQLRAAFPRIVRKETLLANDELQQFRNHSSQMAALDFIVSVASNTFVPTYYGNMAKLVEGHRRYSGFKKSILLDRKKLVELLDMHKNGTFPWTEFANAVRKVHERKMGQPTRRRVEVDKPKEEDYFYANPYECLCEGTKCDDLLGALNSSQIQ >Vigun01g151000.2.v1.2 pep primary_assembly:ASM411807v1:1:33345173:33346599:1 gene:Vigun01g151000.v1.2 transcript:Vigun01g151000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMPTFPLFVIFVLNNQQGRFCSAFSNYIAINFSLQLKDWCFIAVCVSIDNGVNVSRKVPLKLVSAMKGSREKHGGSQVKLNVKWAPDVYDPIPTLLSHTVRSNKKQQKSRKKKPEKKNGKKGQKGNSSKGGNTKDKQFRKLSGTSGLCYKSMDSCDKVLVASAELDALDVPTADSNCGTSFLKKYSKSGLR >Vigun06g175200.1.v1.2 pep primary_assembly:ASM411807v1:6:29555618:29558914:1 gene:Vigun06g175200.v1.2 transcript:Vigun06g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEKGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIVAESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Vigun11g176000.1.v1.2 pep primary_assembly:ASM411807v1:11:38079164:38081864:1 gene:Vigun11g176000.v1.2 transcript:Vigun11g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLNGSGNLGASCSDMTVLERQREATMKWQHHHHDNHQPYCSATEFHVNLVFSSSSNNLPQSQGLAMARERDDSALSQVLAHSLKPDPGLCPESDNGFGSSSGFLPKENNKKRKALNCKVAAESDNKEKRVKGSGEEGESRVTNQTGNRNGKSNANKNNRETSADSSKGSEVQNQKREYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKIAGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSIDELFAREVFPSCGQGLPNIGMASDMSMCNNPSYVQLNSTQQLVSCFGGLINNVGISPPNVGVRRNLSTTTTHVPLPEAFIDSSCFTQILPSSNWEGDFQSLYNVAFDQGRASSSSFPSQPFTGVVEISNPKMEM >Vigun11g176000.2.v1.2 pep primary_assembly:ASM411807v1:11:38079164:38081864:1 gene:Vigun11g176000.v1.2 transcript:Vigun11g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLNGSGNLGASCSDMTVLERQREATMKWQHHHHDNHQPYCSATEFHVNLVFSSSSNNLPQSQGLAMARERDDSALSQVLAHSLKPDPGLCPESDNGFGSSSGFLPKENNKKRKALNCKVAAESDNKEKRVKGSGEEGESRVTNQTGNRNGKSNANKNNRETSADSSKGSEVQNQKREYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKIAGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSIDELFAREVFPSCGQGLPNIGMASDMSMCNNPSYVQLNSTQQLVSCFGGLINNVGISPPNVGVRRNLSTTTTHVPLPEAFIDSSCFTQILPSSNWEGDFQSLYNVAFDQGRASSSSFPSQPFTE >Vigun05g033200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2673182:2674940:1 gene:Vigun05g033200.v1.2 transcript:Vigun05g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSHLLPYNLHSVFCSHMTLAYDSMEIYEQCFISPSSASATHFSLPLTFFDLIWLRFHPVERIFFYPLPLPHSNPSFFFHKVLPNLKTSLSSTLQHFPPLAGKIIWPSHSGKPFIQFNPGDGVLLVLAQCNDDAKFNHSLDNSPRDASESRSLVPHLESSDSHASVMSLQITFFPNKGFSIGINSHHAVLDGKSSTMFIKAWAYACKSCEDESLNPELEPLFDRDLIKDPTGLETAIINTWAKMASQIDPSDTSNGRSLRIMSLPTQENLVRATFDLKRGDLEKIKKRVLSKWELVGEETVSGFSKPTTLSTFVACCAYVSVCIAKATHEAQNGDKFCLAFTADCRARLEPPIPENYFGNCVASHIVDTEPHDFIKEDGVVVVAKRIWSKTKMLEKVLTEGIDTVIPRFAAMLRKGFKGIGVSGSNRFGVYETDFGWGKPAKVEITSIDRGLTIGLAETKEEKGGVEVGLALNKHVMDLFQEIFYEGLCMD >Vigun01g122200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29896104:29900179:-1 gene:Vigun01g122200.v1.2 transcript:Vigun01g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEDFLLKETKPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYTEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASILEVTVKDKDVVRDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKASLGNQTLRTRISQSRTINPMWNEDMMFVAAEPFEEPLILSVEDRVAPNKEESLGRCAIPLQMVERRLDYKPVNTKWYNIEKHVVIMEGEKKKEIKFSSKIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGKGTTDAYCVAKYGQKWVRTRTIIDSFAPRWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKGGGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSLPLLPKMHYIHPLTVSQLDNLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTTRHSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Vigun11g195050.1.v1.2 pep primary_assembly:ASM411807v1:11:39448538:39449033:-1 gene:Vigun11g195050.v1.2 transcript:Vigun11g195050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFFNIFIFGIFCMNLVLPSRPNCNGLRCSLGTAFCNTCCVEQVYKNGTCVKPSYYYICQCQK >Vigun06g210900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32381797:32383217:1 gene:Vigun06g210900.v1.2 transcript:Vigun06g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVDVPDHLKCPISLQIMSDPVILSSGHTFDRSSIQRWLDAGHRTCPITKLPLSQHSSLIPNHALRSLISNYAPINPLNHSHPHTLISTLTSLSSSPISKLDALRLLTRLSHADSLFRRRLSDSPALLPALLSCLHDASSPALRHRVLSLLLHLSLDDDTKVGLVAEGVLSRIVALLSAPSDCRAVAATLLTSLAVAATLLTSLAVLHVNKATIGAFPRAIHALVSLIREGRPRESKEAATALFALCSFPDNRSRAVECGAVPALLRSADSGLERSVEVIGVLAKCKEGREQMVRFGECVKILARVLRNGSSSGVQYALMALYSLCYHSEESVLESLKNGVLEICEGLLQDDNAKVKMNSSCLIQLLRQHPLAELR >Vigun04g098850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:22691129:22691460:-1 gene:Vigun04g098850.v1.2 transcript:Vigun04g098850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREEQNKKKMDSVFSCLCGILKYFYDFLYFNIFN >Vigun07g109600.1.v1.2 pep primary_assembly:ASM411807v1:7:20300115:20304307:-1 gene:Vigun07g109600.v1.2 transcript:Vigun07g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSANSGGGGGGSNQTYKPYHPLKTLTGHENAVSCVKFSNDGTLLASASLDKTLIIWSSATLSLLHRLIGHSEGISDLAWSSDSHYICSASDDCTIRIWDATRGDCVKILRGHSDAVFCVNFNPQSSYIVSGSFDESIRVWDVKTSKCVQVINGHSMPVTSVHYNREGTLILSASHDGSCKVWDTKTFAPLKTLIDDKVPAVSFAKFSPNGKFILVATLNDTLKLWNYGSGKFLKIYSGHVNRVYCITSTFSVTNGRYIVSGSEDCCVYLWDLQQKNMIQRLEGHTDTVISVTCHPTENKIASAGLARDATVRVWVQDS >Vigun11g126600.5.v1.2 pep primary_assembly:ASM411807v1:11:33367977:33370082:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRSVITSCSFFVSTILFLLSISDLLVKIHGLGFGINYGQIANNLPLPSQVAVLIKSLNVSRIKLYDADPNVLVAFSETNVEFVIGLGNEYLENMTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun11g126600.1.v1.2 pep primary_assembly:ASM411807v1:11:33366350:33370326:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRSVITSCSFFVSTILFLLSISDLLVKIHGLGFGINYGQIANNLPLPSQVAVLIKSLNVSRIKLYDADPNVLVAFSETNVEFVIGLGNEYLENMTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun11g126600.6.v1.2 pep primary_assembly:ASM411807v1:11:33366360:33370327:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun11g126600.2.v1.2 pep primary_assembly:ASM411807v1:11:33366360:33370327:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRSVITNLLVKIHGLGFGINYGQIANNLPLPSQVAVLIKSLNVSRIKLYDADPNVLVAFSETNVEFVIGLGNEYLENMTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun11g126600.3.v1.2 pep primary_assembly:ASM411807v1:11:33366385:33370130:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRSVITSYLLVKIHGLGFGINYGQIANNLPLPSQVAVLIKSLNVSRIKLYDADPNVLVAFSETNVEFVIGLGNEYLENMTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun11g126600.7.v1.2 pep primary_assembly:ASM411807v1:11:33366361:33370326:-1 gene:Vigun11g126600.v1.2 transcript:Vigun11g126600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSKAQTWIQQHVQPYFSQTKITCITVGNEVFNSNDTQLMLNLLPAMQTVHDALVNLGLDQQVTVTTAHSFNILSNSYPPSSGVFREDLAQYIQPLLDFHAQINSPFLINAYPFFTYKDNPNMVPLNYVLFQPNQGTVDPNTNLQYDNMLYAQIDAVYAAIKQMGHDDIQVRISETGWPSNGDPDEVGATPQNAELYNGNLLKRIEQKQGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPNGTPVYNIGLKGYLPQMPMAAKSNILSVNFFVCIVVSFIFALELSRW >Vigun01g229500.1.v1.2 pep primary_assembly:ASM411807v1:1:40210677:40219038:-1 gene:Vigun01g229500.v1.2 transcript:Vigun01g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTSLLPHNKRFVFSVRSKPFHSHSYSHSLSFSKFLSLPSSLSTCCRVARISTETLEVSPPPPDDFNFHREIARLAALRDRLAACATLVEKLRVLNADSRVKRFFSSGRGLARVLASLRLSSDQLFLLKCVVAAGQEHVLCLDGTESLESAAAAAATVSAVKSALYAIAEMIENLNSFDGNGGVGSGMALGDYEIIELNKLLQTLEEIERFYDCIGGIIGYQITVLELIVQKSFERQNIKWSHQIHEVKECQIFGINAPNGHNLSEDTEYASQAALWGIEGLPDLGEIYPLGGSADRLDLVDPNSGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKLYNKQCITPVAIMTSAAKNNHSHVTSLCERLSWFGRGRSTFKLFEQPLVPVVGAEEGQWLVTKPLNPLSKPGGHGVIWKLAHDKGIFKWFYSQGRKGATLRQVSNVVAATDLTLLALAGIGLRHGKKLGFASCERISGATEGINVLMEKKSLDGKWEYGVSCIEYTEFDKFGITTGPLAPKSLQAEFPANTNILYVDLPSAELIGSSKNGNSLPGMVLNTRKPIVYTDQFGKCHSVSGGRLECTMQNIADNYFSSYSSRCYNDVEDELDTFIVYNKRRRVTSSAKKKRRHGDKSLHQTPDGALLDILRNAHDLLSQCDIRLPEIEANENYVDSGPPFLILLHPALGPLWEVTKQKFYGGSISEGSELQIEVAEFFWRNVQLNGSLIIIAENVMGSMKNNESGESILHYGQRCGKCKLQNVKVFNKGIDWNCGRNIYWKHDVQRSEGLQIILHGNAEFEATDVVLQGNHVFEVPDGHILKIKPGNPGLAIQLDPIDQDMMESGSWHWNYREEGSRIQLDLVES >Vigun11g156300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36538032:36541533:1 gene:Vigun11g156300.v1.2 transcript:Vigun11g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFESNFINGFKPNQVPNTVVAEDQFLVPVNGMMRPFEFGFSDNPLILPPDLNFAQNHVSFAPDEESPLDEIDFSATVLRYINQMLMEEDLEAKSCMFHDSLALQAAEKSFYEAIGETHPSSVKTYHNVDSPEDSSFSDYGSSGTTTSTTNSIDSHWNNVDLTDYKPSILQTTFPTDFVFQASSIQSSMNTTSNFGVTDRGFLPTAGFLDPTLFSKSESVLQFERGVEEANKFLPKVNPLVIDLENPTFRKVPPLQEEIKAERDEISAELRGRKNHGREDEEADSQDGRSNKQSAVYIDDSEISELLDKVLLGTGCRKEPAPLCICYADLPNGASSSAQKKLEETNKSGGGKSRVKKQGNTKGVVDLRTLLILCAQAVSSDDRVSANELLKQIRQHTSPLGDGTQRLAHCFANALEARLAGTGTQMYTALSHKRTSAADMVKAYQMYISACPFKKLSIIFANHTILQLAMEVESLHIIDFGIRYGFQWPALIYRLSKRLGGPPKLRITGIELPQPGFRPAERVQQTGLRLKRYCDRFKVPFEFNAIAQKWETIKVEDLKIKENELLAVNSMFRFQNLLDETVVLNSPRDAVLSLIRKANPSIFIQGTVNGSYNAPFFVTRFREALFHYSTLFDVLDTNVSREDPMRLMFEKEFFGRRVMNALACEGCERVERPETYKQWQVRNTRAGFRQLPLDKHLINKLRCKLKDIYHSDFMLLEDANFMLQGWKGRVVYASSCWVPA >Vigun07g205400.2.v1.2 pep primary_assembly:ASM411807v1:7:32695414:32699639:-1 gene:Vigun07g205400.v1.2 transcript:Vigun07g205400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSNCSVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGYAFAEYETEESADYAVRLFSGLVTLYNRTLKFAISGRDKTTLNGSTATTPTSNSSQRPRPHAAPINNSENFQHPARLSTSDRYSDYAVNYSQE >Vigun07g205400.1.v1.2 pep primary_assembly:ASM411807v1:7:32695414:32699639:-1 gene:Vigun07g205400.v1.2 transcript:Vigun07g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSNCSVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGYAFAEYETEESADYAVRLFSGLVTLYNRTLKFAISGRDKTTLNGSTATTPTSNSSQRPRPHAAPINNSENFQHPARLSTSDRYSDYAVNYSQVPPIRVTSGNGSHYSGNNYEYSRRAFGATLDSISRNRSRRFDRSSPISYPYY >Vigun07g205400.5.v1.2 pep primary_assembly:ASM411807v1:7:32695414:32699639:-1 gene:Vigun07g205400.v1.2 transcript:Vigun07g205400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSNCSVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGYAFAEYETEESADYAVRLFSGLVTLYNRTLKFAISGRDKTTLNGSTATTPTSNSSQRPRPHAAPINNSENFQHPARLSTSDRYSDYAVNYSQGSVL >Vigun07g205400.4.v1.2 pep primary_assembly:ASM411807v1:7:32695414:32699639:-1 gene:Vigun07g205400.v1.2 transcript:Vigun07g205400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSNCSVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGYAFAEYETEESADYAVRLFSGLVTLYNRTLKFAISGRDKTTLNGSTATTPTSNSSQRPRPHAAPINNSENFQHPARLSTSDRYSDYAVNYSQEMRISTQKSCKVPLFSYLIYAKRRKGSLCISVP >Vigun07g205400.3.v1.2 pep primary_assembly:ASM411807v1:7:32695414:32699639:-1 gene:Vigun07g205400.v1.2 transcript:Vigun07g205400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSNCSVYIGNLDERVTERVLYDILIQAGRVVDLHIPKDKETEKPKGYAFAEYETEESADYAVRLFSGLVTLYNRTLKFAISGRDKTTLNGSTATTPTSNSSQRPRPHAAPINNSENFQHPARLSTSDRYSDYAVNYSQVPPIRVTSGNGSHYSGNNYEYSRRAFGATLDSISRNRSRRFDRSSPISYPYY >Vigun04g047732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4123732:4126172:-1 gene:Vigun04g047732.v1.2 transcript:Vigun04g047732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFTLVRVSESPIIGRTIFCWILFFNCFLASIVIYFGTVIFCFMCSMIPIYYVSHFNSNSLWSDKNNYFTNTNGGMENLEKTAFNIKFWTEWTIVLMEGRPK >Vigun03g045400.1.v1.2 pep primary_assembly:ASM411807v1:3:3650720:3652885:-1 gene:Vigun03g045400.v1.2 transcript:Vigun03g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPTNHPNSVYDFTVKQDTKGDDVDLVTYKGKVLVIVNVASKCGMTNSNYVELNQLYEKYKDKGLEILAFPCNQFGEEEPGSNDQIKEFVCTRFKSEFPIFDKIEVNGDNSAPLYKFLKSGKWGIFGDDIQWNFAKFLVDKDGQVVDRYYLTTSPLSLERDILKLLGVV >Vigun03g045400.2.v1.2 pep primary_assembly:ASM411807v1:3:3650720:3652885:-1 gene:Vigun03g045400.v1.2 transcript:Vigun03g045400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPTNHPNSVYDFTVKDTKGDDVDLVTYKGKVLVIVNVASKCGMTNSNYVELNQLYEKYKDKGLEILAFPCNQFGEEEPGSNDQIKEFVCTRFKSEFPIFDKIEVNGDNSAPLYKFLKSGKWGIFGDDIQWNFAKFLVDKDGQVVDRYYLTTSPLSLERDILKLLGVV >Vigun03g202400.1.v1.2 pep primary_assembly:ASM411807v1:3:31777631:31779340:-1 gene:Vigun03g202400.v1.2 transcript:Vigun03g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVRWLFSINHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILAGNHQLYNVLITAHAFLMIFFMVMSGTIGGSGNWSVPILIGAPDMAFPRLNNISFWLLLPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSNILGAITMLLTDRNFNTTFSDPAEGGDLILYQHLFRFFGHPEVYIPILLGSGIISHIVLTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFIVGLDVDTRAYFTTATMIIVVPTGIKIFRFIFLFTIGGLTGIVLVNFGLDIALHDTHYVVAHFHYVLSMGAVFALFAAFHYWVGKIFGRTYPETLVNLTLFPMHFLGLLGMPHGIPDYPDAYTRWNALNSFGSYISVVGIWRFFVVVTITSTSGNNITRANIPWVVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >Vigun11g146900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35584085:35584312:1 gene:Vigun11g146900.v1.2 transcript:Vigun11g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYILKHIQIKVQSLCVTTSFDHVDYSSDIRIGFSEGVSMVGVIDEVWFSLTENDRNPILIGTKTRSRDNLPTEP >Vigun11g201500.1.v1.2 pep primary_assembly:ASM411807v1:11:39948613:39951696:1 gene:Vigun11g201500.v1.2 transcript:Vigun11g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIPKPSHLRRFLTLPLKPPHTPAKPYFTTVVLPRHLSTNSGGKDPSSNRLWNDFRETEEKFGALFEEESGSLAGINEEGGKREERDWLQEEEKGWLQEKGLDEKDEDAIFKGIEKESGDASGGGGGGAGGWNFGVGAGEGFKPWNLKEEDKEDVFDFPEEDVEHERDIPALDVKPEVDVEQLQKEEQALTAVVKGPKRAFGDLIAASGITDEMLDSLIALKDFDGVEGLPPLSVIEDMRYERNTRKSTRGEMERLKQEEAAKARVKQVDDKGRAYGTGRRKCSVARVWVQPGNGKFIVNDKEFDVYFPMLDHRATLLRPFSETKTLGLWDVNCTVKGGGVSGQVGAIRLGISRALQNWEPDLRPALRSAGFLTRDSRVVERKKPGKAKARKSFQWVKR >Vigun05g017200.2.v1.2 pep primary_assembly:ASM411807v1:5:1418867:1420897:1 gene:Vigun05g017200.v1.2 transcript:Vigun05g017200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSHSSASYIHMHLIEKCLIFHMSKEECMEALSKHANIKPVITSTVWNELEKENKEFFEEYAKAKSKEDRMSEEETSQMIQKMISDSTKGAAND >Vigun05g017200.1.v1.2 pep primary_assembly:ASM411807v1:5:1418867:1420897:1 gene:Vigun05g017200.v1.2 transcript:Vigun05g017200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSHSSASYIHMVQHLIEKCLIFHMSKEECMEALSKHANIKPVITSTVWNELEKENKEFFEEYAKAKSKEDRMSEEETSQMIQKMISDSTKGAAND >Vigun03g070900.1.v1.2 pep primary_assembly:ASM411807v1:3:5851970:5858643:1 gene:Vigun03g070900.v1.2 transcript:Vigun03g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTKRKAKQQQEAKQDAAEDNTTKPQPRAKRARSSKPQSEPEYFEDKRNLEDLWKETFPVGTEWDQLDTVYQFKWDFSNLENAFEEGGVLYEKKVYLFGCTEPQLVMFKGESKVVCVPAVVAVVSPFPPSDKIGINSVQREAEEIIPMKQMKMDWVPYIPLEDRDSQVDRLKSQIFILSCNQRRSALKHLKLDRLKKYEYCLPYFYQPFKEDEVEQSTEVPIIFPAEPKPVFCEFDWELDELEEFTDKLVEEEELTEDQKDAFKEFVKEKVREAKKANREAREARKKAIEEMSEETKAAFESMRFYKFYPVQSPDAPDVSNVKSPFINRYYGKAHEVL >Vigun03g259500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42562594:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561700:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561635:42567310:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561700:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561635:42567309:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561661:42567293:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42563423:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561635:42567310:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561637:42567293:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42563423:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun03g259500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42561708:42567280:1 gene:Vigun03g259500.v1.2 transcript:Vigun03g259500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSKLFEVSPNRSLWVTAFRGSLPTFLLSHSSTPLDASPATVKEIISLFTVLQTQIFEAVAELQEILDLQDAKQKMDGEICSQDSMILAFSNKLKDAECCLDILVDDYSDYRRSKRSKSGDDDSMTSSTVSSQLKLSDILSYAHKISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKVESKDKIVEAIVEPPSQMGTNTVPNLSAFQGMLPPVPPGWKPGMPVQLPIDLPLPPPGWKPGDPVPLPPMDSLQIPRFAEQQMQPHIPQPKQPEVIQVQPVNLDLGGSDTSDYSSDDVSSDDED >Vigun05g036800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2990469:2992374:-1 gene:Vigun05g036800.v1.2 transcript:Vigun05g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCIHSASCQCTKGHLSEDVIFLVFHNLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRTRAPKMLQDLQSSGSHIVDGNWRALGKLLIYCSGCTKGGLFNSIQIPGHFVYQTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFATSKVRKMLINKGAKFHPSEVCPYCKAKLWSMLQAEMIPQSASLRLGSYDDCIEYYVCLNGHMLGICTLLPLSDSEEASELR >Vigun08g217800.1.v1.2 pep primary_assembly:ASM411807v1:8:37770867:37773229:1 gene:Vigun08g217800.v1.2 transcript:Vigun08g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEKSNSCDTEVEAFVEVDPTGRFGRYNDLLGCGAVKKVYRAFDQEEGIEVAWNQVRLRNFSEDPVLINRLHSEVELLRTLSNKYIIVCYSVWKDEERHNINFITEICTSGNLRDYRKKHRHVSIKAFKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGLAAIVGRNHAAHSILGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTKGIKPQALSKVTEPEVKEFIEKCIAQPRARPSATDLLKDPFFYELNNDEESTPII >Vigun03g147900.2.v1.2 pep primary_assembly:ASM411807v1:3:15189045:15190344:1 gene:Vigun03g147900.v1.2 transcript:Vigun03g147900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLIKQKQKLEIKKIKNNRDLLITFTKRKRDIHKKASELSTLCGAKVDIVMHTSFGNWFSYGEPSHLVMTTSCDKQNPSKDVINLLVEDQTKLEIDELCAKNKRLIDQMHYEEEREKELTEILKTRNAHGWWEAKDLTHEQAKEMRASLIELKQKLENELSIERGEKDKSSHAPPNQVNGFNFYPNYTRGNNISDVVFGANNEAYGSVATESILPDISFSPIDEGKNFNFFPYGERREDLFDIVYPHKYLCHGYSFFVDGSGKSISSSFPTKQVQGLNPIDASGSNENGGGNDRCPLLSSSHLNQQREINPFVDIENELRDDFYFPPFQWS >Vigun11g066500.1.v1.2 pep primary_assembly:ASM411807v1:11:16461524:16463595:1 gene:Vigun11g066500.v1.2 transcript:Vigun11g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPERDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLPIIAEVDLYKFDPWVLPAKAVFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGSKTNWIMHEYRVLDSSRKNNLGTTKLDDWVLCRIYKKNSSSQKVEANLWAKECSNGSSPSSSSHVEDMVESFLEIDDQCFNFPGVNSIKSMQQQDEKFGFQKMGSGFFSDWVTPPDLDSISEFGSGCRTQGMVNYEFHDLFVPSVPPFGHMEFMSGAPTEEEVQSSVRTQQADGAGYFQQNPNARNLSGSNETFGLGFMDQQIRFGQN >Vigun11g066500.2.v1.2 pep primary_assembly:ASM411807v1:11:16461524:16463596:1 gene:Vigun11g066500.v1.2 transcript:Vigun11g066500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPERDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLPIIAEVDLYKFDPWVLPAKAVFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGSKTNWIMHEYRVLDSSRKNNLGTTKNSSSQKVEANLWAKECSNGSSPSSSSHVEDMVESFLEIDDQCFNFPGVNSIKSMQQQDEKFGFQKMGSGFFSDWVTPPDLDSISEFGSGCRTQGMVNYEFHDLFVPSVPPFGHMEFMSGAPTEEEVQSSVRTQQADGAGYFQQNPNARNLSGSNETFGLGFMDQQIRFGQN >Vigun02g164500.1.v1.2 pep primary_assembly:ASM411807v1:2:30926864:30932319:1 gene:Vigun02g164500.v1.2 transcript:Vigun02g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFRTQSRLAHSVLRFQTSAAHSFRYLTDMASDGGKSFARRDRLREIEVKVQKWWEEKEVFRAEPGEVNPKPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLAREIRNFGDPPVFPTQIEEEEQQQEEEPSGDGAPPDKFKGKKSKAASKSTGQLYQWEIMRSVGISDAEISKFQDPYKWLSYFPPLAVEDLKAFGLGCDWRRSFITTDINPYYDSFVRWQMRKLKSMGKIVKDVRYTIFSPLDGQPCADHDRASGEGVQPQEYTVIKMELVAPFPDKFKVLEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINDSEVFILAHRAALNLAYQNHSRVPEKPSCLLELTGRDLIGLPLKSPLSFSEVIYALPMLSILMDKGTGIVTSVPSDAPDDYMALHDLKAKPAFREKYGIKDEWVMPIEIVPIIEIPQFGNKCAETVCLQMKIKSQNDKEKLAEAKKQTYLKGFTEGTMIVGEYAGRKVQEAKPLIRTKLLETGQAIVYSEPEKRVMSRSGDECVVALTDQWYITYGESEWKKLAEECLANMSLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTVAHHLQNGDMYGSSQSAIKPQQLTDDVWDYIFCDGPYPKSTDISSSLLDKMKREFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLREAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWYEDNLAAESSMRTGPPSTYADRVFANEINIAIKTTEQNYSNYMFREALKTGFYDLQTARDEYRLACGVGGYNRDLVWRFMDVQTRLLAPICPHYAEFIWRELLKKDGFVVNAGLPTADTPDLTLKSANKYLQDSIVTMRKLLQKQLSGSKKGNKKGPPVTSLTENKVTGLVYVNEQFDGWKAECLSILQKKFNRDTRTFAPDSDILEALQQSSVGQASNFKQTQKLCMPFLRFKKEEAISIGAQALDLRLPFGEIEVLKENLDLIKRQIGLEDVEILSAADADSLARAGKYATLLNQNPPSPGNPTAIFLTQ >Vigun02g164500.2.v1.2 pep primary_assembly:ASM411807v1:2:30926864:30931782:1 gene:Vigun02g164500.v1.2 transcript:Vigun02g164500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFRTQSRLAHSVLRFQTSAAHSFRYLTDMASDGGKSFARRDRLREIEVKVQKWWEEKEVFRAEPGEVNPKPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLAREIRNFGDPPVFPTQIEEEEQQQEEEPSGDGAPPDKFKGKKSKAASKSTGQLYQWEIMRSVGISDAEISKFQDPYKWLSYFPPLAVEDLKAFGLGCDWRRSFITTDINPYYDSFVRWQMRKLKSMGKIVKDVRYTIFSPLDGQPCADHDRASGEGVQPQEYTVIKMELVAPFPDKFKVLEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINDSEVFILAHRAALNLAYQNHSRVPEKPSCLLELTGRDLIGLPLKSPLSFSEVIYALPMLSILMDKGTGIVTSVPSDAPDDYMALHDLKAKPAFREKYGIKDEWVMPIEIVPIIEIPQFGNKCAETVCLQMKIKSQNDKEKLAEAKKQTYLKGFTEGTMIVGEYAGRKVQEAKPLIRTKLLETGQAIVYSEPEKRVMSRSGDECVVALTDQWYITYGESEWKKLAEECLANMSLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTVAHHLQNGDMYGSSQSAIKPQQLTDDVWDYIFCDGPYPKSTDISSSLLDKMKREFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLREAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWYEDNLAAESSMRTGPPSTYADRVFANEINIAIKTTEQNYSNYMFREALKTGFYDLQTARDEYRLACGVGGYNRDLVWRFMDVQTRLLAPICPHYAEFIWRELLKKDGFVVNAGLPTADTPDLTLKSANKYLQDSIVTMRKLLQKQLSGSKKGNKKGPPVTSLTENKVTGLVYVNEQFDGWKAECLSILQKKFNRDTRTFAPDSDILEALQQSSVGQASNFKQTQKLCMPFLRFKKEEAISIGAQALDLRLPFGEIEVLKENLDLIKRQIGLEDVEILSAADADSLARAGKYATLLNQNPPSPGNPTAIFLTQ >Vigun03g368301.1.v1.2 pep primary_assembly:ASM411807v1:3:57140795:57141193:-1 gene:Vigun03g368301.v1.2 transcript:Vigun03g368301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVLYDIIMLLLMVYGSKEALRMSIWDKCGWNLLSGLLVWFSSCFEKFYSILRSWTLLIIFQDLCICLNWSGNDVFLTIVIMEFWRICLFQLSWKTFFFEKCYNGHFTIGFLILHLVYPS >Vigun03g386000.15.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.13.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180576:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.4.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEGDR >Vigun03g386000.10.v1.2 pep primary_assembly:ASM411807v1:3:59171035:59180576:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.2.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEGDR >Vigun03g386000.1.v1.2 pep primary_assembly:ASM411807v1:3:59171041:59184614:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.11.v1.2 pep primary_assembly:ASM411807v1:3:59171035:59180576:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.9.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180576:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.14.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIVLEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEENQSPGP >Vigun03g386000.5.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEGDR >Vigun03g386000.6.v1.2 pep primary_assembly:ASM411807v1:3:59170962:59180555:-1 gene:Vigun03g386000.v1.2 transcript:Vigun03g386000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPIRISEAGGKWPTLKEAATFGSPSHHMATEDLGIFLNGHRFHGSGKDVVPNRSGSAPPSMEGSFHAIENLLSQNTTRNASLGSLNRAVQKYDSGKGSFHLSRETLATHKEEAEDDSTQQLYDNELDKASGKWHRQDVASTSSKHKKIEDLPHAMSPVHNKSLGVVDELIDVDTGSNSSYDPSISTVDAIKPTIGGDDTRVSSFVDYSAPVTSSSSLNSTGSMGFNDLDVTVVESQLRALTVSNLPNSESQSYEDKWKNSLQNSLTQQRHQQSYPCETPSNSQSEKCAYIGMEQPLQSSSKFSSDVQPVLSVLQSSGYTPPLYATAAAYMTSTNPFYTNFQASGIYSPSYVGAYPFSPTAVPPYIAAYPPHGSVPLVDGATGSSFTPQAAGVSSIVGSISHSAEMIHANKVFGQFGFPPQPSFGEPFYMQYHQQPFMEGYNIPGHLLAPRASVGGQIGPFDSQKRPNSGSYLDEKKLHNQRSGANLNSNRGGIMHPGYFGHHSNMAFATQYPSSPLSRPVLSGYPESSSGLPGGRNERRPSPASGRNGGLLSGWQSQRALDSGHNPKIAIFLEELKSGKGRRFELSDIIGHIVEFSTDQHGSRFIQQKLENCGVEEKELVFKEVLPHTSKLMTDVFGNYVIQKFFEYGSPEQRKELANCLLGQILPLSLQMYGCRVIQKALEVINLEQKVQLVHELDGNVMRCVRDQNGNHVIQKCIESIPTKSIDFIISAFRGQVAILSMHPYGCRVIQRVLEHCSDEVQCQFIVDEILQSVFTLAQDQYGNYVAQHVLERGRPQERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGDSTERQLLITEIIGHDKQHDNLLTMMKDQFANYVIQRVLEICSESQRAVLLSRIRLHAHALKKYTYGKHIVARCEQLLEEGDR >Vigun03g204000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:32861958:32862890:1 gene:Vigun03g204000.v1.2 transcript:Vigun03g204000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GRSNEHEPLGHSIGIQTHNRRPLPPLPGPLGHLPPPSLLLHHRLPLPLPSLIPSPIPKHTPPTLFPNPNPNPLLPPPHPLPPLPPPLLPLRPRLHHPQRLPRLLRPPRQTPLRPPLHPPSLPRLILTTFLSHFLLFSLSLPLPFLLLRLSLASPLILTASTLLLLALLLALLYLRVSWSLAPVIVVAESTWGLQPLRRSASLVSGMTPVAASSFLFFASLQALLLWTAFLLRSDGWAWKDWAFITQIVLTSTLLMILMLYRAAADTVLYMYCKAVHGELAVDIAQEFAWQYVCLPFDDGKVPHVVSVVHV >Vigun06g159800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28255753:28257230:1 gene:Vigun06g159800.v1.2 transcript:Vigun06g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGHMLGRGSTAAVYTAQSRRSAPVFAVKSAELHHSEFLRREQMILSTLNCPHIVAYQGFDTTFENGVYWFNMFMEYAPHGTLAERGGRMDEAVVGSYTRQILQGLHYLHSRGIVHCDVKGQNVLVTEKGVKISDLGCARRVGEVSAIAGTPAFMSPEVARGEQQGFPADVWALGCTVLEMITGKPPWHGGGDPAGVIYRIGFSDELPEIPSCVSEQGRDFLGKCLKRDPNERWSVEELLGHGFVKECTELKLLLLDSDTPTGVLERGFWDSLETTEHGARDCPSARDRIRRLFSDEPLWVWKNDDDNDDEQWVTVRSNEFHEELSFHKTDTTSFNIVSDEENGFVTLFEPKIVVTTVVELSRWNGITCDDCYLGGRNCDCRCRESLLSVFVCVVIVLDGKFDSPEMGIFIFIMHCFLLLLSLSLFNSTLDGLNTFHFHHYNIIRDNVCAT >Vigun11g054400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9872519:9874508:1 gene:Vigun11g054400.v1.2 transcript:Vigun11g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLSLQDTETPPSLNSSPRSTNLSKNNNNIINNNNNIISNNTIPKSFPRSDCTPYPTTFVQADTSTFKHVVQMLTGSSDSTKPQDPIPPQPSKNFNIPPMKTTPNKQQGFKLYERRNNNNNNLKNNLMINTLVPNFGHTSGFSSPHNPEILSPSLLNFPSLTLSPVTPLNHDDPFDKSSPSLASSSSSEEDKAIAEKGFYLHPSPMSTPREFEPQLLPLFPVSSPRVSELP >VigunL059169.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000450.1:27511:27984:1 gene:VigunL059169.v1.2 transcript:VigunL059169.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFKVEWWETCPTCNQSSFSHSLLVWQHLVYFCGNDKSSIWDFSFKNQPFTSVIWLGNLLCLHALWIVTDNLRPTRAEATDVANGVLDGNSPFT >Vigun06g012500.1.v1.2 pep primary_assembly:ASM411807v1:6:5681462:5683564:-1 gene:Vigun06g012500.v1.2 transcript:Vigun06g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHTRKPHAVCVPYPAQGHISPLMQLAKLLHCQGFHVTFVNTEFNHNRFVKSHGAHFVKGLPDFTFETIPDGLPPSDKDATQNLPQLNLSLRKNCYAPFKDLVMKLNSSHHVPPISCIIADGLMGFAAKVARDLGIPDVQFWTASACGLVGYLQFDELVKRGIIPFKDDNFENDGTLEKSLKWISGPEDIRLKDIPSFIRVTTLDDILFDFLNVETKNCLRSSSIIINTFEDLEEKALEYLKAKNPNIYNFGPLHLLSRPFPEKENGFMSSGSSLWKNDSQCLSWLDKWEPNSVIYVNYGSITVMTEHHLKEFAWGLANSKLPFLWITRPDLVMGGSVVLPQEFFDEIKDRGFITHWCIQEQVLSHPSVGVFLTHCGWNSTLESISAGVPMICWPFFAEQLTNCRYICTSWGIGMEINHDVKREEVSKLAKEMMKGEKGMEMRQNCLQLKKKAARAIQVGGSSYSDLYKFVKDVLHHSTVL >Vigun03g187100.2.v1.2 pep primary_assembly:ASM411807v1:3:24904399:24910468:-1 gene:Vigun03g187100.v1.2 transcript:Vigun03g187100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHIPGTSPKSLFLFLELTRSGSRVRLDVNLGLVGIRSQMPHLGYLNQVGQLVFCDQWNQQNTMLRTILPWQDGGRGYNIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEAALAAAFISNCGARNFRLQALEGLEKSNIKIDSYGGCHRNRDGRVNKVEALKHYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIQDFAPSPGSILHIKEIEDIESVAKTMRYLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATVSREKEENSPSFKRRPCKCTRGPETVYHIYVRERGRFEMESIYLRSSNLTLDAVKAAVVLKFTSLNHVPVWKSERPEVIRGGSDLKLYKIYPVGLTQRQALYTFSFKGDADFRSHLENNPCAKFEVIFV >Vigun03g187100.1.v1.2 pep primary_assembly:ASM411807v1:3:24904399:24910468:-1 gene:Vigun03g187100.v1.2 transcript:Vigun03g187100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLTNLRGLRTEGTQQESLPVLATGGNPKRKWNNLMPLVVALVVIAEIAFLGRLDMAKNAAMVDSLADFFYRSRAVVEGEDLGLGLVASDRTSELDSCEEWLEREDAVTYSRDFSKEPIFVSGADQEWKSCSVGCKFGFSGDKKPDAAFGLPQPSGTASVLRSMESAEYYAENNIAMARRRGYNIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEAALAAAFISNCGARNFRLQALEGLEKSNIKIDSYGGCHRNRDGRVNKVEALKHYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIQDFAPSPGSILHIKEIEDIESVAKTMRYLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATVSREKEENSPSFKRRPCKCTRGPETVYHIYVRERGRFEMESIYLRSSNLTLDAVKAAVVLKFTSLNHVPVWKSERPEVIRGGSDLKLYKIYPVGLTQRQALYTFSFKGDADFRSHLENNPCAKFEVIFV >Vigun05g034000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2761694:2763897:-1 gene:Vigun05g034000.v1.2 transcript:Vigun05g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFLFPQTQSTVLPDPSTYFSPNLLSSPFPTNSFFQNFVIPNGTQPEYFHPYHIQASNSSLSASYPFLFFTAAVLYQVFVPDLTISASQTTSYGQNRVISSYSDLGVTLDIPSSNLRFFLVRGSPFITASVTKPTSLSIKTVHTILSLSSYDGNTKFIIQLNNTQTWLIYTSSPIYLNHVPSEVTSKPFSGIIRIAALPDSNPSNVATLDKFSSCYPVSGDATLGKPFRLEYKWQKKRSGDLLMLAHPLHAKLLSRDCNVTVLHDFKYRSVDGDLVGVVGDSWVLETDPIPVTWHSKKGISKESFGEIVSALYKDVKGLNSSAITTNSSYFYGKLVGRAARLALIAEEVSYYKVIPKIRKFLKETIEPWLDGTFKGNGFLYERKWRGLVTEQGSTDSTADFGFGIYNDHHFHLGYFLYGIAVLAKIDPAWGKKFKPQAYSLATDFMNLGQRYNSDYPRLRCFDLYKLHSWASGLTEFEDGRNQESTSEAVNAYYAAALMGLAYGDSRLVDTGSTLLALEIRATQTWWHVKAEDNLYEEEFAKDNRIVGILWANKRDSKLWWATAECRECRLSIQVLPLLPVTETLFSDTVYTKELVEWTLPSLKNKTNVEGWKGFTYALQGIYDKSTALKQIRRLTGFDDGNSFSNLLWWIHSR >Vigun10g095750.5.v1.2 pep primary_assembly:ASM411807v1:10:28190331:28190882:-1 gene:Vigun10g095750.v1.2 transcript:Vigun10g095750.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEENPEDPFKFKGVMASNLLGDGCKFSLSTICPWFKIKISWREVRPYLPKLSHLNHSCIRPNRC >Vigun10g095750.3.v1.2 pep primary_assembly:ASM411807v1:10:28190004:28192999:-1 gene:Vigun10g095750.v1.2 transcript:Vigun10g095750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEENPEDPFKFKGVMASNLLGDGCKFSLSTICPWFKIKISWREVRPYLPKLSHLNHSCIRPNRC >Vigun10g095750.2.v1.2 pep primary_assembly:ASM411807v1:10:28190331:28191131:-1 gene:Vigun10g095750.v1.2 transcript:Vigun10g095750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQQGICLAQGFNRMEELEENPEDPFKFKGVMASNLLGDGCKFSLSTICPWFKIKISWREVRPYLPKLSHLNHSCIRPNRC >Vigun10g095750.4.v1.2 pep primary_assembly:ASM411807v1:10:28190044:28192895:-1 gene:Vigun10g095750.v1.2 transcript:Vigun10g095750.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEENPEDPFKFKGVMASNLLGDGCKFSLSTICPWFKIKISWREVRPYLPKLSHLNHSCIRPNRC >Vigun10g095750.1.v1.2 pep primary_assembly:ASM411807v1:10:28190331:28191874:-1 gene:Vigun10g095750.v1.2 transcript:Vigun10g095750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQQGICLAQGFNRMEELEENPEDPFKFKGVMASNLLGDGCKFSLSTICPWFKIKISWREVRPYLPKLSHLNHSCIRPNRC >Vigun10g063600.2.v1.2 pep primary_assembly:ASM411807v1:10:13691878:13695048:-1 gene:Vigun10g063600.v1.2 transcript:Vigun10g063600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMVKDIDEKKETLKLAVRIKDLWFVQNRDNSRHMELILLDQKGSMIPAMVKKEDLGLWEEKLVEGQTYIMHNFKILKNHGQFRVCDHPYKLLFIGATTIKEQAISSIPVSVYKFKSIEDIVDGNYAADLLYDIIGVVDNVRCNPQSKNVAFHIRDFSSAVIGCTLWDSYYLKFMTNWRGDPDSSIFVVMLTQAKIKPCSGRWPVSISNSWNGSKLLMGDECAELVRFKEQWIERFGNVVSPSQECSQLSSPSQYSEHEKFMYKAVVRTISEITSMKEEQYCVTVGNTVKFNLGNDGWCYPVCNSCRKKTDEVGAFKCVLCGFDNEKHGIRYKLELQVTDGYNYTNFVLWDQDCNNLIGVSAVELMNKMIQDGEDDPKCFPEDLDVLLGCTLAFKVRVQPNNRSSSVMKASSNPETIACVRSKLETKMLTLSTSGDNDPYIDYCVTPRKELLLDFEVECDHLDDIPSAEFPRTKNKKRIKKEKQ >Vigun06g017100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8090043:8090810:-1 gene:Vigun06g017100.v1.2 transcript:Vigun06g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSMPISLSQISVSGDSDSESSWDHHTSSSHCPLPSLPPPIINQQWQNLPIATPPSKKPRGRPPGSKNKPKYILPQPNIKILIVNVAPGHDIIETIINIARRTHVSLTILSASGVITTVTLRHVPSGSSAVMLHGPFNLLSLTGSYLHNNQYTLLPGATPPRSLSFGIHLSTSHGRVFGGLVGGRVVAGDDVNLTISTFENPEIYRYGSEEQERDDHDNNINNNNSCNHNPSNFNKGGDLSMLNSANVGIGGW >Vigun04g149400.27.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.30.v1.2 pep primary_assembly:ASM411807v1:4:36508094:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.21.v1.2 pep primary_assembly:ASM411807v1:4:36507758:36511880:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.16.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.12.v1.2 pep primary_assembly:ASM411807v1:4:36508024:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADDAAGMHRRWKYYFSIGNAALTGFVEFIDVSEDGEDFPDCDGIYCVEKVESPHRWSGIPETQ >Vigun04g149400.23.v1.2 pep primary_assembly:ASM411807v1:4:36507968:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.24.v1.2 pep primary_assembly:ASM411807v1:4:36507968:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.22.v1.2 pep primary_assembly:ASM411807v1:4:36507758:36511880:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.20.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.11.v1.2 pep primary_assembly:ASM411807v1:4:36507753:36511875:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.19.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.18.v1.2 pep primary_assembly:ASM411807v1:4:36508094:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.26.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.15.v1.2 pep primary_assembly:ASM411807v1:4:36507753:36511875:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.17.v1.2 pep primary_assembly:ASM411807v1:4:36507687:36511935:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCFLWNQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFHQLQKVEHTKE >Vigun04g149400.9.v1.2 pep primary_assembly:ASM411807v1:4:36507753:36511875:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.25.v1.2 pep primary_assembly:ASM411807v1:4:36508094:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.2.v1.2 pep primary_assembly:ASM411807v1:4:36507556:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADVSISQMLNLLSLTYIKHYK >Vigun04g149400.3.v1.2 pep primary_assembly:ASM411807v1:4:36507556:36511867:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCRLADNADVSISQMLNLLSLTYIKHYK >Vigun04g149400.29.v1.2 pep primary_assembly:ASM411807v1:4:36507758:36511880:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun04g149400.28.v1.2 pep primary_assembly:ASM411807v1:4:36507758:36511880:1 gene:Vigun04g149400.v1.2 transcript:Vigun04g149400.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKSDGEDQQLQHEYQLPGAEEKLVLQFMDSVHNYLSLFDTLSSKLRQGWFDLSSARHSMGAARINSSLLDLKFHSAATTLKITNYDGTQPCFMLHKWVSSEEKSAHELQDENVQPQDSSSVNSCNNADCTNLVSVFYGIKRSDPSPYQFLEF >Vigun03g339900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53819113:53820205:1 gene:Vigun03g339900.v1.2 transcript:Vigun03g339900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNLSKPAALRPKLGAKLRPTIVCSATPNNSDNNISSDLKAFSAALALSSILLSAPLPAVADISGLTPCKESKQFAKREKQSIKKLESSLKLYEPDSAPALAIKASVEKTKRRFDNYAKQGLLCGADGLPHLIVSGDQRHWGEFITPGFLFLYIAGWIGWVGRSYLIAIRDEKKPTMKEIIIDVPLASRLLFRGFSWPVAAYRELINGDLIAKDV >Vigun03g339900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53818863:53820461:1 gene:Vigun03g339900.v1.2 transcript:Vigun03g339900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNLSKPAALRPKLGAKLRPTIVCSATPNNSDNNISSDLKAFSAALALSSILLSAPLPAVADISGLTPCKESKQFAKREKQSIKKLESSLKLYEPDSAPALAIKASVEKTKRRFDNYAKQGLLCGADGLPHLIVSGDQRHWGEFITPGFLFLYIAGWIGWVGRSYLIAIRDEKKPTMKEIIIDVPLASRLLFRGFSWPVAAYRELINGDLIAKDV >Vigun05g124000.1.v1.2 pep primary_assembly:ASM411807v1:5:13800500:13800950:1 gene:Vigun05g124000.v1.2 transcript:Vigun05g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCHPFLFSVLLVALVFTAGVEAATEPKIGFLNDCIGLCSGGLAKCKSDCAKLGYKDALCIDKININECCCQ >Vigun08g033200.1.v1.2 pep primary_assembly:ASM411807v1:8:3027078:3031857:-1 gene:Vigun08g033200.v1.2 transcript:Vigun08g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVVGLEGLVGSESSCAFGSSLAPDPETKHKWYGSGVLKQERSGTGSEDEWRTSKVPKTDDNMSAPSKAMLFHHRNSLLRSNVTLFSEGHNQPQMLSFSSPKSEPLMMDKASLNATLPFSYHQLSTYSRNTGYSSGSISMHGALAGVRGPFTPSQWMELEHQALIYKYITANVPVPTHLLIPIRKALDSAAFCNFSTGLLRPNTLGWGGFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEGQSGHALTTTTTTTTTTPPPNASSNSIVVPGNNNNNNNTFAHTNVHHPLPSHPSPANTINRMFMSKENNNNASERMQDALPMVPPTLELKPKDPFMIHKHQLPYDESSSRNNNEFGLVTSDSLLNPSQKRSFGSSSSQKDDSESQQQHSLRHFIDDSPKPQSHHHHHHRSSVWPELDNMQSDRTQLSISIPISSSDFMSFTTSSPSNEKLTLSPLRLSRELDPIQMGLGVGSGAPNESNTRQANWIPITWESSMGGPLGEVLNLSSSNNNSNGSDHCGKNTSALNLMKDGWDSSPPLGSSPNGVLQKTAFGSLSNSSAGSSPRAENNNMKEGASATTLCNAL >Vigun02g031201.1.v1.2 pep primary_assembly:ASM411807v1:2:13170313:13176128:1 gene:Vigun02g031201.v1.2 transcript:Vigun02g031201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIKGSDVGNSSKCKREYSSSLVSNLPAYEDLELGHQYNIRNQVTMLYDWRKHSEISNCRNKIRNDVFGILISIMHWEQRKSSTIANILESELFMDAASQEEYMDRKTLVRRLKTKVNMFRANKCCQVDNAVSRTSNMLSSSSGSLHSWSNESVPGHAFQRVLGPCINATDYGAVAAHNKHHFPKGGLSSIPKCTDLDVLSEFPAASVGGSNLEASFEVPNRFLGSSSIGNQQNCKDFEPGVLTKRKIDCPSEVMEPFIKRRKVDYESIEFRGSQADNILNPEEPTKDHDLIVNDKLVDSITGSDSNMETVCQKSMPSNTEDIQGKSGLNQDESNATEKVIEPKSQEKEMKSTDQTANIVPSTESLTRSRIKEHIRSLGKQDNNKVTTDGESGNDVYKCQLCSMTTLYFAPKPIYCLCCGISIRRNACYYYKREEEDTNNCFCTTCYKNARGGNISFNGTTVSKTDLSKNKNDGECEEAWIQCSKCKSWQHQICALYNSKSDLDNTAEYICLLCCLNENRNDVRVPFDAKDLPRTKLSDHIEGRLLKRLGQIERNKIPEVFVADNLSVRVVLSVDKHIEVKKQFLNIFEGNDYPAEFSYASKVILLFQKIDGVDVCLFAMYAQEFGSECGYPNQRSIYISYLDSVKYFRPEIHISARESLRTFVYHEILIGYLDFCKKRGFTTCYIWSCPPIKGEDYIFYCHPDSQKTPKKDQLRHWYHSALRKAGEEDIVVGLSNIYDRFFLPTGKRESKVTASRLPYFDGDFWSGAAMDKAMQIEQHTGGNREKMLKLVPIRSLKSMGCVNLSKGTAKDILVMQKLGQTISPFKEDFMVVQLQYVCIHCHKVIQCGKRWFCKDCKIFQECERCHTADSHTSVKGERHKLCQVLTERILPDTTEEKDIIFNKGLFETRYNFLSFCQKNRFQFDSLRQAKYSSMMILHFLSNRTHMTVGISCQVCCKRIVSQSYWRCETCPEFTVCSACYIARGAKCHSHTLSETCSTAQSPSGSEELKQNTTVLQELLEVVKHASQCHSTQTRPCTYPYCLKIRKLFSHASRCTVRVSGGCQHCKKVWQAIALHSKNCRDSACCIPRCMDMKKQAGWLAS >Vigun11g197500.1.v1.2 pep primary_assembly:ASM411807v1:11:39653540:39656840:-1 gene:Vigun11g197500.v1.2 transcript:Vigun11g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHKFLVFSLALLLFASPFLQVARCQSDSDDAVDTIEESNDIGIVGDDTQDFGDGTFSSAPGIDTISVFPKNSARLITAGEESELLVGVKNDGDSSLNVIAIKASIHLPFDHRLLVQNLSTQGFNNGSVPASAQATFPYLFAVSKFMQPGNFDLVGTIIYEIDDHPFQSTFFNGTIEVAEAGGFLSMESVFLVTLGAALLVLLGLWIHGQIQHLSKKTKRAPKVEVGTRSTDASTDEWLQGTAFTQSLSSKSKKKK >Vigun03g030900.1.v1.2 pep primary_assembly:ASM411807v1:3:2346186:2348178:1 gene:Vigun03g030900.v1.2 transcript:Vigun03g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRLLKRKGCVDEVNDDFPLSSPATKIRRLDAELPPIVEEEEPIPSPSPSPSPCPSPSSNDERALVLFKPLAHSPSSFSFTVDSDLINEITNNQFLLSKQSDGVVTGLQSREKSNDELALVPWVPSSSYQFSDVHDIDDDSNIELMEADETEEGGGSMMMDIEQEGDTDSDPKTYTSSIHYPTAHSGITEGFQQHCLLPQLPHNTSTPISWTR >Vigun01g239825.1.v1.2 pep primary_assembly:ASM411807v1:1:41023571:41024803:-1 gene:Vigun01g239825.v1.2 transcript:Vigun01g239825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARAVRCWTAGDKPEEGEDDVKSSCPLCPGRHMCYNGRDKGSRSREGELTPKTRPQFGFHTAVNSFPGLVHTARHTMGAGHARSRYLNRKEGDAEGRASDWSEVVTRALSHMDSLMCSSAPNPEMWIIQGTLIQLSLHSWDPGDPGGPLRLLSSREFIHPLSVYGQLSLEHRFRFGLNGKKRST >Vigun02g162200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30737437:30739184:-1 gene:Vigun02g162200.v1.2 transcript:Vigun02g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFSSTVPAHNLHKFQAIRCPSFSFRCQASSSSSTFQKPFVSTESTRLHLANLDKLLETQKPVDPPSQLHHHHQQQHPHQPIINHPKEKKGRSFLEGLNLARLWPEMKANEEMSPRHLNRLQRLLSMTAEYSPRNILGGRWREYHGSNDWKGMLDPLDENLRREVVRYGEFVQAAYQAFHSDPAMSTEEPPHPRHVALPDRSYRVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWVGYVAVCEDRSEIARMGRRDIVISLRGTSTCLEWAENMRAQLVDLEGVPEGQGKPKVECGFMSLYKTKGAHVASLSESVVEEVKRLVNLYRGEELSITVTGHSLGATLALLVADEISTCCTGVPPVAVFSFGGPRAGNKAFGDRVTAKNVKVLRIVNSQDVITRVPGIFVSEELEEKIRSVGGGVLEETTPLAYSHVGTELRVQTKMSPYLKPDADMACCHDLEAYLHLVDGFLASNCPFRANAKRSLARLMQDQSANVKKLYTSRAKALTVNLSRQGSMSMSNCLPSPS >Vigun10g174000.1.v1.2 pep primary_assembly:ASM411807v1:10:39267556:39272475:1 gene:Vigun10g174000.v1.2 transcript:Vigun10g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILIVSVTVFLLCTVILLYRNRLKSKYRNKLPLGTLGWPFIGETLEFVSCAYSDRPESFMDKRRSMYGKVFKSHIFGSPTIVSTDADVNKFILQSDAKVFVPSYPKSLTQLMGESSILLINGSLQRRIHGLIGAFFKSQQLKAQITRDMQKYVTESMSSWKEDSPVYIQDETKKIAFHVLVKALISLDPGEEMELLKKHFQEFISGLMSLPINLPGTKLYQSLQAKKKMVKLVRRIILAKRNSGICRVPKDVVDVLLNDASEKLTDDLIADNIIDMMIPGEDSVPVLMTLATKYLSECPAALQQLTEENMKLKKLQDQVGESLCWSDYLSLPFTQTVITETLRMGNIIIGVMRKALRDFEIKGNLIPKGWCVFANFRSVHLDDRNYECPYQFNPWRWQDKDMSSCNFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWHAEADAIVNFPTVRMKRRMPVMVRRVES >Vigun04g034500.1.v1.2 pep primary_assembly:ASM411807v1:4:2855685:2858190:1 gene:Vigun04g034500.v1.2 transcript:Vigun04g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRPNTTIHTYKQLQQQDHDDEEEVEEQNNEILKTRISNHPLYGVLLQAHLDCLKVGEISKLEREVKIDEMKAREKQKLDMLSQSELDLFMEAYCLSLGKLKEAMVEPQQKSMAFINNMHSQLREITAPSSEPAPPSASSSHCNFTTN >Vigun04g034500.2.v1.2 pep primary_assembly:ASM411807v1:4:2855685:2858190:1 gene:Vigun04g034500.v1.2 transcript:Vigun04g034500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRPNTTIHTYKQLQQQDHDDEEEVEEQNNEILKTRISNHPLYGVLLQAHLDCLKEAYCLSLGKLKEAMVEPQQKSMAFINNMHSQLREITAPSSEPAPPSASSSHCNFTTN >Vigun09g012000.2.v1.2 pep primary_assembly:ASM411807v1:9:891967:896643:1 gene:Vigun09g012000.v1.2 transcript:Vigun09g012000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTLEPGGSGGGGFHAVHAERDLESNWEVDLAKKLEEYLLKICSGEITGEEEGHIPVNFAEAALLLQGSIQVYSRKVEYLYTLVLRALEFLSQKRQQDHKDGEPVQPEEMGSHAVADEENDQFWGLDDIQVEEKNSLDGTTGKEVNLDHFIKPPANLVVLEGDCLDAGGDGGELESFLLSTTDLFRDFILLDTSDAVAVHEFLEGTKAAKTRNDASRRTPGRKTFLSPGRSGGSARRLSAAKSQCANSNCSPKLKSGFDDENAGPSFPASDGLDDCNYGFDMGHGCDASRDSDNSDDDNDDPWKPLNPHEQGNLRVKPFRKGKILKKNNINVRQPVPMGSLFPLAKLHGPISPELVELWERQHNAHERQRDSQAPFYEKLRQSLVNEGNETGVTFNTEGDNDDIEHDSGIPDFDMPDNAFMEENLPPFGNEPEFDDADVNVDEAVDLSQASLEDLCRSHLNALLASIAETETQTEMAARVSTWKQRIEHNLEEQESHPPFDIQDYGERLLDKFSLLSLEASSSRVLSFSDLVKGQEKYDVARSFSSLLQLVNNGEVSLERNGIDGKSVCYTSVNPFHVRLLKHGKEREDAQFALPKKRAKSPNKPSTKGKMDLLVCHHQQIVNFL >Vigun09g012000.3.v1.2 pep primary_assembly:ASM411807v1:9:891967:896643:1 gene:Vigun09g012000.v1.2 transcript:Vigun09g012000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTLEPGGSGGGGFHAVHAERDLESNWEVDLAKKLEEYLLKICSGEITGEEEGHIPVNFAEAALLLQGSIQVYSRKVEYLYTLVLRALEFLSQKRQQDHKDGEPVQPEEMGSHAVADEENDQFWGLDDIQVEEKNSLDGTTGKEVNLDHFIKPPANLVVLEGDCLDAGGDGGELESFLLSTTDLFRDFILLDTSDAVAVHEFLEGTKAAKTRNDASRRTPGRKTFLSPGRSGGSARRLSAAKSQCANSNCSPKLKSGFDDENAGPSFPASDGLDDCNYGFDMGHGCDASRDSDNSDDDNDDPWKPLNPHEQGNLRVKPFRKGKILKKNNINVRQPVPMGSLFPLAKLHGPISPELVELWERQHNAHERQRDSQAPFYEKLRQSLVNEGNETGVTFNTEGDNDDIEHDSGIPDFDMPDNAFMEENLPPFGNEPEFDDADVNVDEAVDLSQASLEDLCRSHLNALLASIAETETQTEMAARVSTWKQRIEHNLEEQESHPPFDIQDYGERLLDKFSLLSLEASSSRVLSFSDLVKGQEKYDVARSFSSLLQLVNNGEVSLERNGIDGKSVCYTSVNPFHVRLLKHGKEREDAQFALPKKRAKSPNKPSTKGKMDLLVCHHQQIVNFL >Vigun09g012000.4.v1.2 pep primary_assembly:ASM411807v1:9:891915:896702:1 gene:Vigun09g012000.v1.2 transcript:Vigun09g012000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAVADEENDQFWGLDDIQVEEKNSLDGTTGKEVNLDHFIKPPANLVVLEGDCLDAGGDGGELESFLLSTTDLFRDFILLDTSDAVAVHEFLEGTKAAKTRNDASRRTPGRKTFLSPGRSGGSARRLSAAKSQCANSNCSPKLKSGFDDENAGPSFPASDGLDDCNYGFDMGHGCDASRDSDNSDDDNDDPWKPLNPHEQGNLRVKPFRKGKILKKNNINVRQPVPMGSLFPLAKLHGPISPELVELWERQHNAHERQRDSQAPFYEKLRQSLVNEGNETGVTFNTEGDNDDIEHDSGIPDFDMPDNAFMEENLPPFGNEPEFDDADVNVDEAVDLSQASLEDLCRSHLNALLASIAETETQTEMAARVSTWKQRIEHNLEEQESHPPFDIQDYGERLLDKFSLLSLEASSSRVLSFSDLVKGQEKYDVARSFSSLLQLVNNGEVSLERNGIDGKSVCYTSVNPFHVRLLKHGKEREDAQFALPKKRAKSPNKPSTKGDKNQTERQKSSTKNHSAKRHKNKFKREKSPTSSRKNGSAGVSPPTNCKLSVKLGKVSAIKLSPEAKRRRRSQYVEPVNLHSAG >Vigun09g012000.1.v1.2 pep primary_assembly:ASM411807v1:9:891939:896719:1 gene:Vigun09g012000.v1.2 transcript:Vigun09g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTLEPGGSGGGGFHAVHAERDLESNWEVDLAKKLEEYLLKICSGEITGEEEGHIPVNFAEAALLLQGSIQVYSRKVEYLYTLVLRALEFLSQKRQQDHKDGEPVQPEEMGSHAVADEENDQFWGLDDIQVEEKNSLDGTTGKEVNLDHFIKPPANLVVLEGDCLDAGGDGGELESFLLSTTDLFRDFILLDTSDAVAVHEFLEGTKAAKTRNDASRRTPGRKTFLSPGRSGGSARRLSAAKSQCANSNCSPKLKSGFDDENAGPSFPASDGLDDCNYGFDMGHGCDASRDSDNSDDDNDDPWKPLNPHEQGNLRVKPFRKGKILKKNNINVRQPVPMGSLFPLAKLHGPISPELVELWERQHNAHERQRDSQAPFYEKLRQSLVNEGNETGVTFNTEGDNDDIEHDSGIPDFDMPDNAFMEENLPPFGNEPEFDDADVNVDEAVDLSQASLEDLCRSHLNALLASIAETETQTEMAARVSTWKQRIEHNLEEQESHPPFDIQDYGERLLDKFSLLSLEASSSRVLSFSDLVKGQEKYDVARSFSSLLQLVNNGEVSLERNGIDGKSVCYTSVNPFHVRLLKHGKEREDAQFALPKKRAKSPNKPSTKGDKNQTERQKSSTKNHSAKRHKNKFKREKSPTSSRKNGSAGVSPPTNCKLSVKLGKVSAIKLSPEAKRRRRSQYVEPVNLHSAG >Vigun11g148900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35872658:35873442:1 gene:Vigun11g148900.v1.2 transcript:Vigun11g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDRTVTRSNSRQVPARRLPPPRGLIKIRVVKTLVSSVTAFASSFVPRPTANGESDGASRTAHTSPSTSPPVPSAYNSDTTSP >Vigun11g010200.1.v1.2 pep primary_assembly:ASM411807v1:11:1238639:1241048:-1 gene:Vigun11g010200.v1.2 transcript:Vigun11g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANKTAFLEIQGRMIETTGKLKQVQNQMRTKEAEKKRAYLTMEELKQVPDDTNVYKSIGRMFVLETKASLMNEQEKKFTDGEASITTLQSSKEYLEKQMAEVESNLRELLQQDPGLARQIMSMNVV >Vigun08g104500.1.v1.2 pep primary_assembly:ASM411807v1:8:25978892:25981282:1 gene:Vigun08g104500.v1.2 transcript:Vigun08g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSFAQKCTFMVCQASKVDNHHPFHPFRFNSKLKLKGLSVQQQNLGLRFQKESTRNMSMIVYSSIPPPSDSSHGDWNVWIIGTIITALLSFTRGKWGPLVQFKEKIETTIEEAERVADIVEEVAEEVEKVAKGVVKHLPEGKLQNAAELVENAAENVYQHAQNAEDLLEKVEELEKEVDSFFESTTLKEESVVPTTDSRDPK >Vigun03g265300.1.v1.2 pep primary_assembly:ASM411807v1:3:43512740:43514809:1 gene:Vigun03g265300.v1.2 transcript:Vigun03g265300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKGEENEEEFDVGLEKLNLGARKKLVVMNLNGLLLHRTNRGSKQQIITSRPADWKSRNYLGFKRPFCEEFMKFCMERFEVGIWSSTKEHNIHAALNCAIGTLKNKLLFVWGQDQCTNSGFRSLENKYKPLFFKEMIKVWDNVKIGGPYSASNTLLIDDKPYKALLNPDNTSIFPEPYKPEDEADNTLDPNGELCLYLEGVAEGSDVESYVKDHPFGQPAITSSHPHWTFYSQLRENLHKKEN >Vigun05g291601.1.v1.2 pep primary_assembly:ASM411807v1:5:47779707:47780280:-1 gene:Vigun05g291601.v1.2 transcript:Vigun05g291601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVERTLQYMAIPQEEQTGSLYLNPDWRSQGFIFDCVTLKYMPSLPAVLCNLSFRIAGGTQVSELLLNLY >Vigun08g169700.1.v1.2 pep primary_assembly:ASM411807v1:8:34055526:34057993:1 gene:Vigun08g169700.v1.2 transcript:Vigun08g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLVLLLLASSLNHGYAQFHPKNEAFISLSITQNGLDFVKEMLVNKAISSLIPLQLPNIEKTAKIPFVGNVYMVLSNITIYHIYVPSSRVKPGETGVSITASGVTCDLSMNWYYSYSTWLVPVEISDRGRAEVQVKSMEVGLTLGLENEEGSLKLKLKDCGSNVKDISIKLDGGASWLYQGVVDAFEEKIGSAVENAISKKLTKGISRLDSYLETLPKEVPVDDHTSLNVTFVNDVLLSDSSVGFETNGLFIKRNPSLPILDLYHNNLKLPILCTNSSKMVGITLDEAVFNSASALYYDAKFMHWIVDQIPDQSLLNTAGWRFIIPQLYKKYPNHDMNLNISLSSPPVVEISNQKAGANTIADMTIDVLEGDKVIPVACISLVIQATSVLKISGNNLVGDIRLNDFQMSLKWSKIGNLRMYLIQPVVWTLIETVFLPHANTRLSKGLPLPIVHGFILQNAEIILSTSRLAVCSDVAFTTESNKRFPISFSR >Vigun05g006400.2.v1.2 pep primary_assembly:ASM411807v1:5:565330:567376:-1 gene:Vigun05g006400.v1.2 transcript:Vigun05g006400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYNLHQNAFSAREEMRGSLPIADQNGPVFCPKPRRAGVLTNLPVRPVKWHFGQQAEGSDSKAGAELLDIVLKRESYWEDFSNQIPSSPPYFCGSPPVRAANPLIQDARFGNEENTLVSTVSSPSGLLSPSSASRKGGCARMKFGLKPAAVRVEGFDCLNRDCQNSGIPAVA >Vigun05g006400.1.v1.2 pep primary_assembly:ASM411807v1:5:565298:567616:-1 gene:Vigun05g006400.v1.2 transcript:Vigun05g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYNLHQNAFSAREEMRGSLPIADQNGPVFCPKPRRAGVLTNLPVRPVKWHFGQQAEGSDSKAGAELLDIVLKRESYWEDFSNQIPSSPPYFCGSPPVRAANPLIQDARFGNEENTLVSTVSSPSGLLSPSSASRKGGCARMKFGLKPAAVRVEGFDCLNRDCQNSGIPAVA >Vigun11g047200.2.v1.2 pep primary_assembly:ASM411807v1:11:7294521:7295946:-1 gene:Vigun11g047200.v1.2 transcript:Vigun11g047200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQESMITFVPFLLKLELLHHHLPTSVISAQSDYFRRPSSPFSSPSPTGRTQLTERDSDKATPPPDTETQNEIQNSDIIETMRRKRMKEREKKRTSYTPSLQIQTTQTQEEAESSNSSIGVQSCVNKNSNFMYSLYEINY >Vigun11g047200.3.v1.2 pep primary_assembly:ASM411807v1:11:7294521:7295946:-1 gene:Vigun11g047200.v1.2 transcript:Vigun11g047200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQESMITFVPFLLKLELLHHHLPTSVISAQSDYFRRPSSPFSSPSPTGRTQLTERDSDKATPPPDTETQNEIQNSDIIETTSYTPSLQIQTTQTQEEAESSNSSIGVQSCVNKNSNFMYSLYEINY >VigunL052900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000028.1:11996:12382:-1 gene:VigunL052900.v1.2 transcript:VigunL052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRFMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun04g136300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34131644:34135718:1 gene:Vigun04g136300.v1.2 transcript:Vigun04g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFLEPFYALLLLLILAAAAPILGFHNGSEIKCIERERQALLNFKHGLIDDNGGMLATWRDDENSRDCCKWKGIRCDHITGHVTILRLRGSYAQYLIGAISISSLFPLQNIQHLDLSSNIFEGSDIPQLMGSLTNLRYLNLSNSYINGSIPTQLGSLTHLRYLDLSYNHLNGNLPHQLGNLSQLRYLDLSGNDFSGTLSFQVGKFPFLQTLRLAGGFDAKPEDAKWLSNLSSLRHLAFNGLHNPDWLQMIHSPKLRELRLVDCSLSDTHIQSLFYSPSNFSNSLTILDLSFNMLTSSTFQLFSNFTFNLQELYLSFNNIVLSSPVYSSFPSLVILDLSYNNMTSLVFQGSFNFSSKLQNLYLSNCSLRDDSFLMSTISITNSSSSLVSLDLSLNMLKSSSIFYWLFNSTTNICELDLYHNMLEGPIPDGFGKVMNSLEVLDLYANKLQGEIPFFFGNICTLQILDLSHNKLSGNISSFFQNSSWCNRNVFQRLYLPYNNITGILPMSIGLLSELEDLYLEGNRLEGDVTESHLSNFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLGPSFPSWLHTQSSLSDLDISDNGLNYVPDWVWDNLQNMRTLNMSHNNLSGPIPNISLKLPHTPSVILNSNHFEGKIPSFLLQASELMLSNNKFSDLFSFICNQGNSEMWILDLSNNQLKGQLPDCWTSVDWLGYLDLSNNQLSGRIPLSMGSLVELKVLVLRNNNLTGELASTLKNCSNLIMLDVAKNMLSGPIPSWIGESMQQLIILNMRENHFSGNLPIQLCYLNYIQLLDLSNNMLSKGIPSCLKELTAMSKKGIHTRGTLNGMYLIYIPYIKIYDYFVEEEYSFNISLIWKGVEQRFKNPELIKGIDLSSNKLTGEIPKEIGYLAGLVSLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDNLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDEDSVFYEALYMSMGIGYFTGFWGLLGPILLWRSWKNAYLDFLNRLTIGMYEQCGKCR >Vigun07g161800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27319435:27321701:-1 gene:Vigun07g161800.v1.2 transcript:Vigun07g161800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEAEDEEWDTRKYSSKNNAANGCMMRWVLVGKKILVAGFIASATAVVVPPLAVASAIGIAVSMPYAVFLASYVCTQNLMSKLLPRPTLQDPPLLKEMCFQQGGSDGMQIYREEQALVDELKRDIEMDGFRCMKNGGNVTFEENNGVQVQPFSEDYEEFKTPFEVTSVVLQESQDQPMEGDIEEAELQRETKGLLENIRDEGRTDMTRERGEYAEGICGGANERDQKIGSVEDRKEALVEAPSTIGGTEEDLRKEEMMLHLRIDESMDTMLEGKESDTTNDSRKLAEPSELLIPAEPVEGLPTEALVYNIPMDENSSQDSENQESQMHELNERMYLEDAEAREIANDLFDGKQIDPDENAYTIDLHEGLDHRICLPFTVCRGLTLL >Vigun07g161800.1.v1.2 pep primary_assembly:ASM411807v1:7:27319435:27321700:-1 gene:Vigun07g161800.v1.2 transcript:Vigun07g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEAEDEEWDTRKYSSKNNAANGCMMRWVLVGKKILVAGFIASATAVVVPPLAVASAIGIAVSMPYAVFLASYVCTQNLMSKLLPRPTLQDPPLLKEMCFQQGGSDGMQIYREEQALVDELKRDIEMDGFRCMKNGGNVTFEENNGVQVQPFSEDYEEFKTPFEVTSVVLQESQDQPMEGDIEEAELQRETKGLLENIRDEGRTDMTRERGEYAEGICGGANERDQKIGSVEDRKEALVEAPSTIGGTEEDLRKEEMMLHLRIDESMDTMLEGKESDTTNDSRKLAEPSELLIPAEPVEGLPTEALVYNIPMDENSSQDSENQESQMHELNERMYLEDAEAREIANDLFDGKQIDPDENAYTIDLHEECSIIGRRTDSMEVVVSSVEQESWPSECSSEENIICSSEELLQVALDDEKMWKEINVIRKIVGYEGRKEASCADELKALYMFTGVEPPTSLNENSIHPLEIKEKLQFLMSILGIKSNMT >Vigun07g161800.2.v1.2 pep primary_assembly:ASM411807v1:7:27319435:27321700:-1 gene:Vigun07g161800.v1.2 transcript:Vigun07g161800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEAEDEEWDTRKYSSKNNAANGCMMRWVLVGKKILVAGFIASATAVVVPPLAVASAIGIAVSMPYAVFLASYVCTQNLMSKLLPRPTLQDPPLLKEMCFQQGGSDGMQIYREEQALVDELKRDIEMDGFRCMKNGGNVTFEENNGVQVQPFSEDYEEFKTPFEVTSVVLQESQDQPMEGDIEEAELQRETKGLLENIRDEGRTDMTRERGEYAEGICGGANERDQKIGSVEDRKEALVEAPSTIGGTEEDLRKEEMMLHLRIDESMDTMLEGKESDTTNDSRKLAEPSELLIPAEPVEGLPTEALVYNIPMDENSSQDSENQESQMHELNERMYLEDAEAREIANDLFDGKQIDPDENAYTIDLHEECSIIGRRTDSMEVVVSSVEQESWPSECSSEENIICSSEEVALDDEKMWKEINVIRKIVGYEGRKEASCADELKALYMFTGVEPPTSLNENSIHPLEIKEKLQFLMSILGIKSNMT >Vigun06g110800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23969273:23970772:-1 gene:Vigun06g110800.v1.2 transcript:Vigun06g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFTRHGSKSIRHRKIKLHWNFTRAEFAQNSAEPESRFYLAITHNGKLQFFLGDLLRDLTRRHKKLEAGGGSGSDNLVVEPVLLSRREHVFGSRCYVSRAVFMGSKHVIEIECGGGGGAMRVKVDGETRLVVKRLAWKFRGYEKIFIDGVEVEFYWDVLSWVVNDDGDKSNNGHGVFVFQVGDGAVWPEMAVAEKKLIKKSVSVSSVLQWAEESSECGRSSCSSSTKSCGSNATGFSLLLYAWTVN >Vigun06g110800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23969239:23970893:-1 gene:Vigun06g110800.v1.2 transcript:Vigun06g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGCFSFRQPIPNTPSSSSSRSSYCSQQVPQNVVTCIYQTLLCNSPTYLTLSWSKTLFSHSLTVSAADTFSVTIPLNSSTMLSFFTRHGSKSIRHRKIKLHWNFTRAEFAQNSAEPESRFYLAITHNGKLQFFLGDLLRDLTRRHKKLEAGGGSGSDNLVVEPVLLSRREHVFGSRCYVSRAVFMGSKHVIEIECGGGGGAMRVKVDGETRLVVKRLAWKFRGYEKIFIDGVEVEFYWDVLSWVVNDDGDKSNNGHGVFVFQVGDGAVWPEMAVAEKKLIKKSVSVSSVLQWAEESSECGRSSCSSSTKSCGSNATGFSLLLYAWTVN >Vigun10g072750.1.v1.2 pep primary_assembly:ASM411807v1:10:18342422:18343028:1 gene:Vigun10g072750.v1.2 transcript:Vigun10g072750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYNFPQYQQWRNLMVVMNTTISSMAQLTLLCSLSRTGKTLTLFSILEIFAMQMVTFLNGIDFQHSGNHEQDPFKSCIFHFEI >Vigun10g072750.3.v1.2 pep primary_assembly:ASM411807v1:10:18342552:18343028:1 gene:Vigun10g072750.v1.2 transcript:Vigun10g072750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMNTTISSMAQLTLLCSLSRTGKTLTLFSILEIFAMQMVTFLNGIDFQHSGNHEQDPFKSCIFHFEI >Vigun10g072750.2.v1.2 pep primary_assembly:ASM411807v1:10:18342052:18343028:1 gene:Vigun10g072750.v1.2 transcript:Vigun10g072750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLMVVMNTTISSMAQLTLLCSLSRTGKTLTLFSILEIFAMQMVTFLNGIDFQHSGNHEQDPFKSCIFHFEI >Vigun09g013100.1.v1.2 pep primary_assembly:ASM411807v1:9:973890:976066:-1 gene:Vigun09g013100.v1.2 transcript:Vigun09g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKHPMMFLLIAAMAIPQTKAKLGFLSALLGSVSNIQGTVFCSSKDNVAVKAPSNPVFPNAEVQLVCGEKEFSSARTNDDGKFSIMMDPLLLDVSSLLNGCNLVVPTPLSNCNTNLPSSGTLISTLNFAGINRLATPIIANIIPSGFHYVPST >Vigun06g152700.2.v1.2 pep primary_assembly:ASM411807v1:6:27684723:27690027:-1 gene:Vigun06g152700.v1.2 transcript:Vigun06g152700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHHADEDPNQRSRRKKNAASGENSESGAAGQGAGEGKKALYHCNYCNKDITGKIRIKCAMCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWTEVAEHVGTKNKESCIEHYKNIYLSSPFFPVPDMSHVVGKNRKELLAMAKGQGEDKKGISMGDLSLKAESPFSPSRAKVEDSHKAGTANRLSSNLNSDSGPSGNTHSAAGANQKASNVARGKGGPGVIKMEDSQIDRDFGGKKPTSSGNEGPSLVELSGYNAKRQEFDTEYDNDAEQLLAEMEFKDTDSEEERELKLRVLRLYSKRLDERKRRKDFILERNLLYPNPLEKDLTPEEKAMCRKYDIFMRFHSKEDHDELLRTMIFEHRSWKKIQELKEARLAGCRNSADAEKYISLKRKREAEENARRTRTKESAQVGPSNQGIPNALTSPDSASKDLSARPAGPATSSSVNEMDAIGYSGADLLSEAEKRLCCELRLSPATYLKMQEQLSLQILAGTVSAKSDAHQLFKLDAIKVDRVYDMLIKKGIGLP >Vigun06g152700.1.v1.2 pep primary_assembly:ASM411807v1:6:27684723:27690027:-1 gene:Vigun06g152700.v1.2 transcript:Vigun06g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHHADEDPNQRSRRKKNAASGENSESGAAGQGAGEGKKALYHCNYCNKDITGKIRIKCAMCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWTEVAEHVGTKNKESCIEHYKNIYLSSPFFPVPDMSHVVGKNRKELLAMAKGQGEDKKGISMGDLSLKAESPFSPSRAKVEDSHKAGTANRLSSNLNSESDSGPSGNTHSAAGANQKASNVARGKGGPGVIKMEDSQIDRDFGGKKPTSSGNEGPSLVELSGYNAKRQEFDTEYDNDAEQLLAEMEFKDTDSEEERELKLRVLRLYSKRLDERKRRKDFILERNLLYPNPLEKDLTPEEKAMCRKYDIFMRFHSKEDHDELLRTMIFEHRSWKKIQELKEARLAGCRNSADAEKYISLKRKREAEENARRTRTKESAQVGPSNQGIPNALTSPDSASKDLSARPAGPATSSSVNEMDAIGYSGADLLSEAEKRLCCELRLSPATYLKMQEQLSLQILAGTVSAKSDAHQLFKLDAIKVDRVYDMLIKKGIGLP >Vigun05g011800.1.v1.2 pep primary_assembly:ASM411807v1:5:948072:953834:1 gene:Vigun05g011800.v1.2 transcript:Vigun05g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTCLLVTSFAIAILGFVFVVFFEAYKRRNNHQHIEVPAIFEDPNSLKQVPCPHIVDPATKYISLIIPAFNEQHRLPGALDETMNYLQQRTLKDSSFTYEVVIIDDGSTDETKRVAFEFVRKYTVDKVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVAKSEYHQGDSSNSDPRFRISDVPVAVFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLASGPGIRDTQCGFKMFTRAAARKLFSNVRLKRWCFDVELVFLCKRFKIPISEVSVNWSEIPGSKVNLLSIPNMLWELVLMSVGYRTGMWRISNST >Vigun05g011800.2.v1.2 pep primary_assembly:ASM411807v1:5:948062:953834:1 gene:Vigun05g011800.v1.2 transcript:Vigun05g011800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTCLLVTSFAIAILGFVFVVFFEAYKRRNNHQHIEVPAIFEDPNSLKQVPCPHIVDPATKYISLIIPAFNEQHRLPGALDETMNYLQQRTLKDSSFTYEVVIIDDGSTDETKRVAFEFVRKYTVDKVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVAKSEYHQGDSSNSDPRFRISDVPVAVFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLASGPGIRDTQCGFKMFTRAAARKLFSNVRLKRWCFDVELVFLCKRFKIPISEVSVNWSEIPGSKVNLLSIPNMLWELVLMSVGYRTGMWRISNST >Vigun01g063000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:14565697:14565868:1 gene:Vigun01g063000.v1.2 transcript:Vigun01g063000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKNEHISFSFYMPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun03g376300.1.v1.2 pep primary_assembly:ASM411807v1:3:57904402:57908693:1 gene:Vigun03g376300.v1.2 transcript:Vigun03g376300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLAAKGDNSGECEKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >Vigun02g011100.2.v1.2 pep primary_assembly:ASM411807v1:2:4360325:4368986:-1 gene:Vigun02g011100.v1.2 transcript:Vigun02g011100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLAVFGILGVLTRYLLQKLFGPGVGNVTSNQTLLYLDLPSNMIGSFLMGWFGVVFKGDISNVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFVVGLFLVAFSIIFGIETAKGFRWLLSKLSCGAGSDGSIISTRVDSNSRQLTILMMFLVILIMLWGVCGVLVKAEFRYGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKAGLLKWIPYGTLIANVSAAFVMAALATLKKAVHTRDYDTIVSGTQFGLLGCLSTVSTFAVEFNAMRESNYPWRAYAYAIITICISFVIGILVYCVPVWTKGV >Vigun02g011100.5.v1.2 pep primary_assembly:ASM411807v1:2:4360325:4368987:-1 gene:Vigun02g011100.v1.2 transcript:Vigun02g011100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSCTGSSIRRRSTSISSHISHHTDNDDECERVSEAGDIGDRALPSTRHSESGSTHFSFDIRPEENEVVVSTEEEEILHPNSTLSTGAIVDSEAINHETRGGLPKLLDYASCMVHLAVFGILGVLTRYLLQKLFGPGVGNVTSNQTLLYLDLPSNMIGSFLMGWFGVVFKGDISNVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFVVGLFLVAFSIIFGIETAKGFRWLLSKLSCGAGSDGSIISTRVDSNSRQLTILMMFLVILIMLWGVCGVLVKAEFRYGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKAGLLKWIPYGTLIANVSAAFVMAALATLKKAVHTRDYDTIVSGTQFGLLGCLSTVSTFAVEFNAMRESNYPWRAYAYAIITICISFVIGILVYCVPVWTKGV >Vigun02g011100.8.v1.2 pep primary_assembly:ASM411807v1:2:4360325:4369079:-1 gene:Vigun02g011100.v1.2 transcript:Vigun02g011100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVEVCRNCWTMLHVLTRYLLQKLFGPGVGNVTSNQTLLYLDLPSNMIGSFLMGWFGVVFKGDISNVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFVVGLFLVAFSIIFGIETAKGFRWLLSKLSCGAGSDGSIISTRVDSNSRQLTILMMFLVILIMLWGVCGVLVKAEFRYGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKAGLLKWIPYGTLIANVSAAFVMAALATLKKAVHTRDYDTIVSGTQFGLLGCLSTVSTFAVEFNAMRESNYPWRAYAYAIITICISFVIGILVYCVPVWTKGV >Vigun02g011100.1.v1.2 pep primary_assembly:ASM411807v1:2:4360434:4369079:-1 gene:Vigun02g011100.v1.2 transcript:Vigun02g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDWLYCISVLKQRVIFVTYLNVYQIVVQLVIKYLFIQLDSVKQGAKQTRFGCTNAVYGGSRVEEDHVFVRRTLFLLHMERLSCTGSSIRRRSTSISSHISHHTDNDDECERVSEAGDIGDRALPSTRHSESGSTHFSFDIRPEENEVVVSTEEEEILHPNSTLSTGAIVDSEAINHETRGGLPKLLDYASCMVHLAVFGILGVLTRYLLQKLFGPGVGNVTSNQTLLYLDLPSNMIGSFLMGWFGVVFKGDISNVSEHLAIAITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFVVGLFLVAFSIIFGIETAKGFRWLLSKLSCGAGSDGSIISTRVDSNSRQLTILMMFLVILIMLWGVCGVLVKAEFRYGGSAAELWFACMVGPIGVWIRWFLARLNGRGLGKAGLLKWIPYGTLIANVSAAFVMAALATLKKAVHTRDYDTIVSGTQFGLLGCLSTVSTFAVEFNAMRESNYPWRAYAYAIITICISFVIGILVYCVPVWTKGV >Vigun03g032000.1.v1.2 pep primary_assembly:ASM411807v1:3:2429440:2434956:-1 gene:Vigun03g032000.v1.2 transcript:Vigun03g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSIEDKSNLPVSHSNSKPKPQASEIGSVGHESAAPIVSINIKDLREGVGYSNVDSFTYEELRLATKHFRPDFILGEGGFGVVYKGVIDHAVRSGYKTTEVAIKELNREGFQGDREWLTEVNYLGQFSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGSTLTWSKRMKIALHAARGLAFLHGAERPIIYRDFKTSNILLDEDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRALDKSRPSREHNLVEWARPLLNHNKKLLKILDPKLEGQYSSKTALRVAHLAYQCLSQNPKGRPLMSHVVELLDNFQSREENEEDQMLQTGGSSSITLYEVPKGTNGTPT >Vigun03g032000.2.v1.2 pep primary_assembly:ASM411807v1:3:2429438:2434956:-1 gene:Vigun03g032000.v1.2 transcript:Vigun03g032000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSIEDKSNLPVSHSNSKPKPQGSVGHESAAPIVSINIKDLREGVGYSNVDSFTYEELRLATKHFRPDFILGEGGFGVVYKGVIDHAVRSGYKTTEVAIKELNREGFQGDREWLTEVNYLGQFSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGSTLTWSKRMKIALHAARGLAFLHGAERPIIYRDFKTSNILLDEDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRALDKSRPSREHNLVEWARPLLNHNKKLLKILDPKLEGQYSSKTALRVAHLAYQCLSQNPKGRPLMSHVVELLDNFQSREENEEDQMLQTGGSSSITLYEVPKGTNGTPT >Vigun04g117000.1.v1.2 pep primary_assembly:ASM411807v1:4:29483108:29486624:-1 gene:Vigun04g117000.v1.2 transcript:Vigun04g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVGGEKEISVYKRRGEALLRFKSSLVDRYGMLSSWSSADAANGKGFAAATSLLISGKIHQSLIELQQLKYLNLSDNSFSHSHIPEFLGSLSNLRYLDLSWCGFDGKIPSQFGNYHLEGSIPTQLGNLSKLEYLDLADNSLKFFEGNIPSEFGNLSNLQQLYLGNYYNGVLQINDGGKFLFNLISLTIFTCAPGLGLTDCSLSDHFILSLSPFEFNFSTSLLAFDLSDNTFTPPVIFRWVSNITSNLVELDLSGNNLEGSVSNHFGMAMKSLEILDLSLNNLKDEVLKSFMNICTLHSLNMQGNNLTEDLPSILHNLCNGCIRYSLQDLNLGENYINGSLPDISAFSVLKSLYLSNNQLSGKIAEGNKLSTQLESLSISSNFLEGGIPKLFGNACALRSLDMKANNLSDELSTIIHHLSGCAKYTLEHLGLQENQINGMIPDLSAFSALMSLDLSNNHLNGKIREDINLPFHLEYLSISSNFLEGGIPISFGNACALRSLEMEDNRLSVEFSMIIHHLSGCARYSLKELYLHMNEINGTLIDLSIFTSLRVLSIGENKLSGKILKNIQFPPQLEDLDIQSNSLNGVFTDYHFVNVTKLSYLDLSNNPLTLTFTQNWVPPFQLRYIWLKSCLLGTFPKWLRKQNKYDELDISNSKILDVVPRWFWAKLASEILHGIIPNFSGKNIIGFLSLASNQFEGPIPPFLRGSIFLDLSNNNLSDSHSFLCASGPEKTLYQLDLSHNHLSGQIPDCWSHFKSLAYLDLSHNKFSGNIPTSLGLLLGLQALLLRNNNLTHEIPFSLRRCTKLVMLDMSNNNLSGQIPPWIGSEMQELQILSLGSNNFNGILPLQICYLKSIQIFDLSLNNLSGKIPACINNFTSMVNKTFSSNYGRHEYFINISEFRTNYSYDLNAFLMWKGSEQIFKTTELLLLKGIDLSSNNFSAEIPVEIENLVELISLNLSRNNFIGQIPSNIGNLKSLEFLDLSRNQFIGSIPLSLAQIDRLTMLDLSHNHLSGVIPISTQLQSFNASSYEDNLNLCGPPLEKLCIDDGLSQDPIFEIHEYSLFGHEFYVSMTVGFTITFWITFGSMLFLKT >Vigun06g212800.1.v1.2 pep primary_assembly:ASM411807v1:6:32491338:32492775:1 gene:Vigun06g212800.v1.2 transcript:Vigun06g212800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLYLVVACAIFHLFLFPNTFCLADTNMKSGSQCSEADYSDSDSTLQTNLRSLLDSLVSNVVEHHGFYQNVVGKKANRVYGTVLCRGDISATNCSDCTLNSTREATNDCPMRNDVTIWFRWCFLRYSNESFFGDMQQRAMTVTNETDFDEPSVVSEGLPFMSRVAAEAADKSFMFHTAVLNTSKSGKRYGMAQCTRDINREDCRRCLDAQLLTFRTVIGNKRRWEIYGSNCFMWYNDYQFYSNASTLLSAAWRPSSSSCTSLMIGLTLAVSAALLVFF >Vigun01g113200.5.v1.2 pep primary_assembly:ASM411807v1:1:28788833:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLKDFELQHKNPSVEALRRWRSAVTLVKNRRRRFRMVADLDKRDEAQQIRQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSSEVREAGFCIHPEEIASIVRGHDNKILNNIGGVEAIARKLSVSVDGGVSEESINSRQQIYGFNRFTEKPSRSFLMFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVLFSSQIL >Vigun01g113200.1.v1.2 pep primary_assembly:ASM411807v1:1:28788833:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLKDFELQHKNPSVEALRRWRSAVTLVKNRRRRFRMVADLDKRDEAQQIRQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSSEVREAGFCIHPEEIASIVRGHDNKILNNIGGVEAIARKLSVSVDGGVSEESINSRQQIYGFNRFTEKPSRSFLMFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVMARSLPLDKYKLVNNLRSMFGEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKENADVIILDDNFTTIVNVVKWGRSVYINIQKFVQFQLTVNVVALVINFFSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLLKRPPVAKGANFITKPMWRNIIGQSIYQLIILAILNFDGKRLLGISGSDATEVLKTLIFNTFVFCQVFNEINSRDIEKINVFRGMFDSWIFLSIIFATVAFQVVIVEFLGTFASTVPLNWQFWVLSVLIGAVSIPIAAILKCIPVERDNSKQHHDGYEALPSGPELA >Vigun01g113200.2.v1.2 pep primary_assembly:ASM411807v1:1:28788833:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLKDFELQHKNPSVEALRRWRSAVTLVKNRRRRFRMVADLDKRDEAQQIRQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSSEVREAGFCIHPEEIASIVRGHDNKILNNIGGVEAIARKLSVSVDGGVSEESINSRQQIYGFNRFTEKPSRSFLMFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVMARSLPLDKYKLVNNLRSMFGEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKENADVIILDDNFTTIVNVVKWGRSVYINIQKFVQFQLTVNVVALVINFFSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLLKRPPVAKGANFITKPMWRNIIGQSIYQLIILAILNFDGKRLLGISGSDATEVLKTLIFNTFVFCQVFNEINSRDIEKINVFRGMFDSWIFLSIIFATVAFQVVIVEFLGTFASTVPLNWQFWVLSVLIGAVSIPIAAILKCIPVERDNSKQHHDGYEALPSGPELA >Vigun01g113200.4.v1.2 pep primary_assembly:ASM411807v1:1:28790784:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLKDFELQHKNPSVEALRRWRSAVTLVKNRRRRFRMVADLDKRDEAQQIRQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSSEVREAGFCIHPEEIASIVRGHDNKILNNIGGVEAIARKLSVSVDGGVSEESINSRQQIYGFNRFTEKPSRSFLMFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVMARSLPLDKYKLVNNLRSMFGEVVAVTGDGTNDAPALREADIGLAMGIAGTEVCISCLLCGS >Vigun01g113200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28788833:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVLFSSQIL >Vigun01g113200.3.v1.2 pep primary_assembly:ASM411807v1:1:28788833:28800317:-1 gene:Vigun01g113200.v1.2 transcript:Vigun01g113200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLKDFELQHKNPSVEALRRWRSAVTLVKNRRRRFRMVADLDKRDEAQQIRQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSSEVREAGFCIHPEEIASIVRGHDNKILNNIGGVEAIARKLSVSVDGGVSEESINSRQQIYGFNRFTEKPSRSFLMFVWDALQDLTLIILIACAVVSLGVGIATEGWPKGTYDGVGIILSIFLVVTVTAVSDYKQYLQFRDLDKEKKKIIVQVTRDGKRQKISIYDIVVGDVVHLSTGDQVPADGLFISGYFLLIDESSLSGESEPVSVNEEKPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETINEGGEDETPLQVKLNGVATIIGKIGLTFAILTFLVLIIRFLVEKAHNGEIASWSMADALKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSASCICTDKTGTLTTNRMVVTKTWICEKATQITGNESAHELKTCTPEGVQNILLQAIFQNTSAEVVKDKDGKDTILGTPTESALLEFGCLLGADFDAYAQRKMYKILKVEPFNSVRKKMSVLVGLPDGGIRAFCKGASEIILKMCNKIIDCNGEVVDLPEDQANKVFSIINDFASEALRTLCLAFKDINAMHGEANIPDSGYTLIALVGIKDPVRPGVKEAVQTCKAAGITIRMVTGDNIHTAEAIAKECGILTEGGVAIEGPKFRDLSSEEMKDTIPRIQVMARSLPLDKYKLVNNLRSMFGEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKENADVIILDDNFTTIVNVVKWGRSVYINIQKFVQFQLTVNVVALVINFFSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLLKRPPVAKGANFITKPMWRNIIGQSIYQLIILAILNFDGKRLLGISGSDATEVLKTLIFNTFVFCQVFNEINSRDIEKINVFRGMFDSWIFLSIIFATVAFQVVIVEFLGTFASTVPLNWQFWVLSVLIGAVSIPIAAILKCIPVERDNSKQHHDGYEALPSGPELA >Vigun03g142433.1.v1.2 pep primary_assembly:ASM411807v1:3:14374561:14382825:-1 gene:Vigun03g142433.v1.2 transcript:Vigun03g142433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNILRDNSPCGVREMNLNHENEKIIEEYSSLQKGQTKPELTGVEHDDTENWEEYGKIGGSCDSDANQTQDEDGKHNKMKLIKKMQINPEIMLQRRNLMVGETSRGRKDNYRNVNALCLPSDPQQTSQLRRLEDELMEHVLEMN >Vigun07g062350.1.v1.2 pep primary_assembly:ASM411807v1:7:7132332:7134175:-1 gene:Vigun07g062350.v1.2 transcript:Vigun07g062350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLAMMTSATYPCYRPLEPIDGSSVKAISIFETFTMDLYIPTFCGDSDVVVPMTTTRYSIDALKYLPSSIGTLGMIVARLVQLLSLTNYKRCWTRGSSS >Vigun05g199200.1.v1.2 pep primary_assembly:ASM411807v1:5:38540664:38541219:-1 gene:Vigun05g199200.v1.2 transcript:Vigun05g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPVNPIPTREEEVNRFVELREILDKLFKCLFGVIASIATPTGEALLTEHPNLTTVMVFMIVLYFLMCLLGTMLPESSDNFLIILVCIMVVTACVSSVLVLTTIPSLLPWFTVMGWVGVFALIVYVCYQELYSSVVRAILDCVKNFIDGYRTQDSRLPV >Vigun04g179900.1.v1.2 pep primary_assembly:ASM411807v1:4:40369145:40371035:-1 gene:Vigun04g179900.v1.2 transcript:Vigun04g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLNYMNSTTIGFLSLILFCLFLYNPFKFFQGKEAPTVAGAWPILGHLPLLSGSNKTHRTLGALAEKYGPIFTIKLGSKKALVINNWEIAKECFTTNDMVVSSRPKLVAIELMGYNHAMFGFAPYGPYWRELRKITTLEIFSPRRVKQLEHVRVSEVQTSIIELYNVWCSKKGESGYALVELKQWFSHLIYNMVLRMVLGKRFFGCENVKDEKAQRCVRAVEEFMRLLGVFTVGDAIPWLRWLDFGGHEKAMKETAKDLDRVLVQELEEHRQKKSLGEKVDEFQDFMDVMISFLDGRTTDRVDADTVIKSTVLTMIAGTDTSNTVLTWTISSILRNPSVLEKVKAELDIHVGKEEKVKAELDIHVGKERSVCESDISKLTYLHAIVKETKIISSCSSFSTS >Vigun07g212700.1.v1.2 pep primary_assembly:ASM411807v1:7:33401089:33405277:1 gene:Vigun07g212700.v1.2 transcript:Vigun07g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESETGTPTAASVPATPDTPGGPLFTSLRVDSLSHERDSFTMARCKCLPSKGHTCFTDFSVGVSLPNVSLTQKVGAEFVGTFILIFAATAGPIVNNKYNGVETLMGNAACAGLTVMFIILSIGHISGAHLNPSLTIAFAAFRHFPWTHVPAYIAAQVSASICACFALKGVYHPFLSGGVTVPSVSVGQAFATEFIITFNLLFVVTAVATDTRAVGELAGIAVGATVLLNILISGPTSGGSMNPVRTLGPAVAAGNYKHIWIYLVAPTLGALAGAGVYSLVKLRDNEAEPPRQVRSFRR >Vigun01g221500.1.v1.2 pep primary_assembly:ASM411807v1:1:39500786:39506993:-1 gene:Vigun01g221500.v1.2 transcript:Vigun01g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENADPCRHFLKDVKRIIIKVGTAVVTRQDGRLAVGKLGALCEQIKELNSLGYEIILVSSGAVGLGRQRLRYRKLINSSFADLQKPQIELDGKACAAVGQNSLMALYDALFSQLDVTSAQLLVTDNDFRDKDFRKQLSETVKSLLGLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVEGLYSGPPSDPRSKLIHTYIKEKHQNEITFGDKSRVGRGGMTAKVKASIHAAEAGIPVIITSGYAAENILKVLQGQLIGTLFHKDAHKWAPIKEVNAREMAVAARDCSRRLQAMSSEERKQILLKIADALEAHQEDIRVENEADVAAAQEEGREKSLVARLVLKKEKLATLANNIRIIANMEDPIGRVLKRTELAEGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDSVGAKLIGLVTSRAEIPELLKLDDVIDLVIPRGSNQLVSQIKSSTKIPVLGHADGICHVYVDKSADLEMAKRIVLDAKIDYPAGCNAMETLLVHKDLVAKGWLNNIIVDLRTEGVTLFGGPKASPLLNIPLARTLHHEYNSLTCTVEIVDDVYAAIDHINLYGSAHTDSIVTEDHEVADVFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVDGLLTTRWILKGSGQIVDGDKAISYTHKDLAI >Vigun10g005200.1.v1.2 pep primary_assembly:ASM411807v1:10:490680:497441:1 gene:Vigun10g005200.v1.2 transcript:Vigun10g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRKRVGWWFFTKAKLSLNKYRNFSSQHVILDNPNHSQVLVEGNGCSRMAILNRPSALNALNTNMLATLHKFYRSWEDNPDIGFVMMKGSGRAFAAGGDIVALYHLINKGNMEACKEFFRTAYSFMYLIGTYLKPHVALLNGITMGGGAGVSIPGTFRAATDKTIFATPEVHIGFHPDAAASFYLSHLPGHLGEYLALTGEKLNGVEMVTCGLATHYSLSARLPLIEEQLGKLVTDDPSVIEATLEHYGDLVHPDSSSVLQRIEILDKCFCHDTVEEIVDAMENAASETKDAWCIATLNRLKEAAPLSLKVALRSIREGRFQTLDQCLLREYRMTLQAIHGQISGDFCEGVRARVVDKDFAPKWDPPTLQKVSQDMVDQYFLPLSESEPDLELPTKSREAFL >Vigun10g076788.1.v1.2 pep primary_assembly:ASM411807v1:10:20786768:20786974:-1 gene:Vigun10g076788.v1.2 transcript:Vigun10g076788.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLLLMFQRLHILHRVFAPFINTAFFVATPFSLRSVRH >Vigun03g306800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49761050:49764419:1 gene:Vigun03g306800.v1.2 transcript:Vigun03g306800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDSTEQVLDIPPTPPGEHRNSFGSNGGGLSPTVVTALIIASWYLSNIGVLLLNKYLLSYYGYRYPIFLTMLHMLSCAAYSYASINFLEIVPLQHIHSKKQFLKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKETAEVYLALLPVVFGIVVASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPLAAFILLPFTLYIEGNVFALTVEKARGDPFILFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKATVAAVVSVLIFRNPVTVMGMAGFGITIMGVVLYSEAKKRSKVTTH >Vigun03g306800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49760972:49764419:1 gene:Vigun03g306800.v1.2 transcript:Vigun03g306800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDSTEQVLDIPPTPPGEHRNSFGSNGGGLSPTVVTALIIASWYLSNIGVLLLNKYLLSYYGYRYPIFLTMLHMLSCAAYSYASINFLEIVPLQHIHSKKQFLKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKETAEVYLALLPVVFGIVVASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPLAAFILLPFTLYIEGNVFALTVEKARGDPFILFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKATVAAVVSVLIFRNPVTVMGMAGFGITIMGVVLYSEAKKRSKVTTH >Vigun03g306800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49761050:49764343:1 gene:Vigun03g306800.v1.2 transcript:Vigun03g306800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDSTEQVLDIPPTPPGEHRNSFGSNGGGLSPTVVTALIIASWYLSNIGVLLLNKYLLSYYGYRYPIFLTMLHMLSCAAYSYASINFLEIVPLQHIHSKKQFLKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKETAEVYLALLPVVFGIVVASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPLAAFILLPFTLYIEGNVFALTVEKARGDPFILFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKATVAAVVSVLIFRNPVTVMGMAGFGITIMGVVLYSEAKKRSKVTTH >Vigun03g306800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49761050:49764265:1 gene:Vigun03g306800.v1.2 transcript:Vigun03g306800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDSTEQVLDIPPTPPGEHRNSFGSNGGGLSPTVVTALIIASWYLSNIGVLLLNKYLLSYYGYRYPIFLTMLHMLSCAAYSYASINFLEIVPLQHIHSKKQFLKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKETAEVYLALLPVVFGIVVASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPLAAFILLPFTLYIEGNVFALTVEKARGDPFILFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKATVAAVVSVLIFRNPVTVMGMAGFGITIMGVVLYSEAKKRSKVTTH >Vigun08g005600.1.v1.2 pep primary_assembly:ASM411807v1:8:482632:484691:-1 gene:Vigun08g005600.v1.2 transcript:Vigun08g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMILLLFLLSESEAREISLTQVPHFNKNVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVALSGSQLARSGIFPELRPCGEDFQKKWIEGLSQQHKRLRSLADHVPHGYKRASLLDVLIRNNVPLLRATWFIKVTYLNQVQPGSVGISSGTADKIQLSRSDVWTKDVINYLQALLDEFLSKNVSHSASHGESDHHKYLDHCRTKVIHCYLFLMVKDHRYILDGGILYGFCNGIMLKGCFIHLLSLIGCLLNYRKKICLRFGSCYCLLYMVF >Vigun02g190400.2.v1.2 pep primary_assembly:ASM411807v1:2:32808161:32811784:1 gene:Vigun02g190400.v1.2 transcript:Vigun02g190400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAMSKSGRLYEGRVSRGPLTGTPVTFKVYPGRRAGGVVADALAANELNTHLFLQSSSKGIAQHLALLVGGFETTTGEQWLAFRDDGKYSVADYAKIVSERLSKSREVSSWNPFEQGVTIKRRQSFIIKLLQGVMKGVAYLHDHDRLHQSLGPFSVVLNTISEKEGSYLIPRLRDLAFSVNVRYKELDDSGQLSEGLWRRASGAGAFTQMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSLSLQRLLENTFQLDLEATREYCLADDRLVNAVEFLDLGDGAGWELLQTMLNADFRKRPTAEAVLNHRFMTGAVL >Vigun02g190400.3.v1.2 pep primary_assembly:ASM411807v1:2:32808161:32811784:1 gene:Vigun02g190400.v1.2 transcript:Vigun02g190400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVPLDCFSFHHCLRNHTTQLSLSSRSTTSTATTSTLHFHYPIIQAKLPFFTNSDSFQVGRPIGTYGFINITSYSAFPSGPGTDYSLKDLGGLKTQDVGEGDVKIRLYEGRVSRGPLTGTPVTFKVYPGRRAGGVVADALAANELNTHLFLQSSSKGIAQHLALLVGGFETTTGEQWLAFRDDGKYSVADYAKIVSERLSKSREVSSWNPFEQGVTIKRRQSFIIKLLQGVMKGVAYLHDHDRLHQSLGPFSVVLNTISEKEGSYLIPRLRDLAFSVNVRYKELDDSGQLSEGLWRRASGAGAFTQMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSLSLQILSS >Vigun02g190400.1.v1.2 pep primary_assembly:ASM411807v1:2:32808161:32811784:1 gene:Vigun02g190400.v1.2 transcript:Vigun02g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVPLDCFSFHHCLRNHTTQLSLSSRSTTSTATTSTLHFHYPIIQAKLPFFTNSDSFQVGRPIGTYGFINITSYSAFPSGPGTDYSLKDLGGLKTQDVGEGDVKIRLYEGRVSRGPLTGTPVTFKVYPGRRAGGVVADALAANELNTHLFLQSSSKGIAQHLALLVGGFETTTGEQWLAFRDDGKYSVADYAKIVSERLSKSREVSSWNPFEQGVTIKRRQSFIIKLLQGVMKGVAYLHDHDRLHQSLGPFSVVLNTISEKEGSYLIPRLRDLAFSVNVRYKELDDSGQLSEGLWRRASGAGAFTQMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSLSLQRLLENTFQLDLEATREYCLADDRLVNAVEFLDLGDGAGWELLQTMLNADFRKRPTAEAVLNHRFMTGAVL >Vigun02g190400.4.v1.2 pep primary_assembly:ASM411807v1:2:32808161:32811784:1 gene:Vigun02g190400.v1.2 transcript:Vigun02g190400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAMSKSGRLYEGRVSRGPLTGTPVTFKVYPGRRAGGVVADALAANELNTHLFLQSSSKGIAQHLALLVGGFETTTGEQWLAFRDDGKYSVADYAKIVSERLSKSREVSSWNPFEQGVTIKRRQSFIIKLLQGVMKGVAYLHDHDRLHQSLGPFSVVLNTISEKEGSYLIPRLRDLAFSVNVRYKELDDSGQLSEGLWRRASGAGAFTQMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSLSLQILSS >Vigun03g355800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55712293:55715310:1 gene:Vigun03g355800.v1.2 transcript:Vigun03g355800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIAVIITCLLLMSVGTRVETQQIPVGATLAPQSTTPSFWLSPSAQFAFGFYSLEQGGGFVVAIWLVSGENKTVVWTAQRNDPPVTSGAKLQLNMDGKLVIIDDQGEEKVIAANSSAKAVSASVLDSGNFVLYNSKNDIIWQSFDYPTDTLLGGQSLPNGHQLVCSSSQNNHSSGRFRLKMQDDGNLVLYPVDTTDTGTDAYWDTETRFGLNRDEEYQYSLDRNGTLRILNESSNGRTKVILSVVSNSSLANDGNRIIYRATLNYDGIFHLYAHFKNGSTQNLKQWPQVKDMCSVKGFCGFNSYCTLDDDQPLCSCLSGFISVHQNDSTLGCKRSFLKEDCREKKDSATSYEMKSEEDIGWVDVPYFMAEMSQEECSAACLADCECEAAFYDGASCMKQRLPLRYLTRQLRDYRTSVLLWKVGDSLSNRTENDNPVPVPEPPPIKATSNKATVHIIVITSVFSLLLCSTIVISSHYTYKIRILKYKRLMETGNLGLNEEVTLRRFSYSELKRATNNFKIELGKGSFGAVYKGALEKGKRLIAVKRLEKLVEEGEREFQAEMRAIGKTRHRNLVRLLGFCAEGSKRLLVYEYMSNGSLGNLIFVGKNQRRPEWDERVRIAVEIARGIMYLHEECEAPIIHCDIKPQNILVDEFWTAKISDFGLAKLLMPDQTRTMTGARGTRGYVAPEWNKNVPISVKTDVYSYGIMLLEILCCRRNIEVHVPEPEAVSLSTWAYNCFVAGKLNKLFPWEVVDDKTAVENIVKVALWCIQDEAFLRPTMKSVVLMLEGVTDIAIPPCPASYST >Vigun02g020000.1.v1.2 pep primary_assembly:ASM411807v1:2:7057779:7062073:-1 gene:Vigun02g020000.v1.2 transcript:Vigun02g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAMTFITHQSIFNSVRCGDLEGLKEQMKNCTGEGSSEGVISEVMSMQNDAGGTLLYIAAEIGHREVFSFLLRLCDLEVLKIRANSDMNAFHVAAKRGHLDIVKDILSTWPEACNICNSSNSSALYFAAVQDHLDVVNAILDVDVSSMMIVRKNGKTALHNAARYGILRIVNALIARDSRIICIKDKKGQTALHMAVKGQSTSVVEEILQADLTILNERDKKGNTALHMATRKARSQIVSFLLTYTAIDVNAINNQKETALDLADKLPYGDSALEIKEALTECGAKHARHIGKVNEAMELKRAVSDIKHEVQSQLIQNEKTRRRVSGIAKELKKIHREAVQNTINSVTVVSVLFGSIAFMALFSLPGQYRKKQPEAGEANIAHDVAFSAFCLLNATALFISLAVVVVQITLVAWDTRAQRQVVSVINKLMWAACACTCGAFLAICFVVVGDETWLAISVTLLGAPILLGTLAYLCYFVFRRRFGLRGDSQRLVKRPSGSKSFSWSYSVNISDAEDYDSDHEKIYAL >Vigun07g089600.1.v1.2 pep primary_assembly:ASM411807v1:7:13959165:13964266:-1 gene:Vigun07g089600.v1.2 transcript:Vigun07g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILDISSDEEEGLEESLTVTDFKFIREMLFSSDEESDDSVKVVEIRENKPVLKSKSSVLAVEDLGGDDDDDDCVLLESDPENGVASVEEEANGSDDLLVIGEKGQIACRDFPHARYLCATLPFSSTPHEKHCKQCHCYVCDSPAPCLKWGTGALSSDHCHAISGTEPWEVQRKNFKLGLSSPLPASTNFGTSLRPGHLQRNEFVPPGVVNSSPNSVLPNQAPRSTAMCAVPALNSIPQTQAARPLINHFRTTSLPYSSVQNQVSRPINTPIMTPTPNVAMPNGASHGRLLLRNRVQPPSVPRPVLGVCSHTIQKGRGNGGSSFRPQFLRHHLVSREVDSAGNFPMANNSLHGSSGFSNSVNLIQQHHNYGTSVGFSNYRNCNEPYDACHPTNIYPQLSSQLASLSCVNQQSVASETQAYSQPLPLPNSSQGSHQTCIQVNSSAPYVVHLNSSHYGNEPQIRSQNGNFSRTTTQCGIASQDSCQPQLQQPHEQSARETAAKFSAFDPSWIDNTGQSILETSGSVGQAPIVNEFIEPLFECSQSPNSFVDFDNWLLDKDRFPELTDGVLQSELNMPSPDLFPSDVVMGLFYHDGK >Vigun11g052750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9196200:9196532:-1 gene:Vigun11g052750.v1.2 transcript:Vigun11g052750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDDDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun09g245800.2.v1.2 pep primary_assembly:ASM411807v1:9:41433244:41437651:-1 gene:Vigun09g245800.v1.2 transcript:Vigun09g245800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTVVSTTSSFSAVLLSTITARSTLPPKRTVSPSPLSVLSRRDIALLSFLALSPPASAIEFGISGPKNWLKEQKRNASKFLLAPVDASRQILRSAYLTLTKTDATYTDEDLEQIQQLFISAARDCVPQDRNSFVTFQAKSGVEVCTFRLIVKNAASLLGNKDPVKLKAEALLDNLIRSFTTVSGMASETNIQLASDREKIADAVSDTISDLDKFEQGIRDCLES >Vigun09g245800.3.v1.2 pep primary_assembly:ASM411807v1:9:41435710:41437522:-1 gene:Vigun09g245800.v1.2 transcript:Vigun09g245800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTVVSTTSSFSAVLLSTITARSTLPPKRTVSPSPLSVLSRRDIALLSFLALSPPASAIEFGISGPKNWLKEQKRNASKFLLAPVDASRQILRSAYLTLTKTDATYTDEDLEQIQQLFISAARDCVPQDRNSFVTFQAKSGVEVCTFRLIVKNAASLLGNKDPVKLKAEALLDNLIRYPISSIGVL >Vigun09g245800.1.v1.2 pep primary_assembly:ASM411807v1:9:41433244:41437651:-1 gene:Vigun09g245800.v1.2 transcript:Vigun09g245800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTVVSTTSSFSAVLLSTITARSTLPPKRTVSPSPLSVLSRRDIALLSFLALSPPASAIEFGISGPKNWLKEQKRNASKFLLAPVDASRQILRSAYLTLTKTDATYTDEDLEQIQQLFISAARDCVPQDRNSFVTFQAKSGVEVCTFRLIVKNAASLLGNKDPVKLKAEALLDNLIRSFTTVSGMASETNIQLASDREKIADAVSDTISDLDKFEQGIRDCLES >Vigun09g148400.1.v1.2 pep primary_assembly:ASM411807v1:9:31178678:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWASIYESFKQVVPWKRKQQTQKKCASKFDTV >Vigun09g148400.7.v1.2 pep primary_assembly:ASM411807v1:9:31178766:31180942:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWSLKGTCYPNHAYIKQSQLRY >Vigun09g148400.3.v1.2 pep primary_assembly:ASM411807v1:9:31178764:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWSLKGTCYPNHAYIKQSQLRY >Vigun09g148400.2.v1.2 pep primary_assembly:ASM411807v1:9:31178759:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWISLLLAIEKFLLAMGKKQKTF >Vigun09g148400.6.v1.2 pep primary_assembly:ASM411807v1:9:31178764:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWISLLLAIEKFLLAMGKKQKTF >Vigun09g148400.8.v1.2 pep primary_assembly:ASM411807v1:9:31178679:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWVHVIQIMHT >Vigun09g148400.5.v1.2 pep primary_assembly:ASM411807v1:9:31178797:31180912:-1 gene:Vigun09g148400.v1.2 transcript:Vigun09g148400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDSEKKCDHVHEPTYKPTKLPLLISRPSIAPGTPPPPSPPQTTISVPFQWEEAPGKPRHSHTESEPDNSVKKTLELPPRLLFLLDTNVDGPSHTTVLDGPYVGRAVSFTTSYRTPRGYWNSNFGSSRWSGYKKITTDDGEGSFDFSPHCSSTTMPNVKITRVPRRGTFWTLSKQRSQLWSLKGTCYPNHAYIKQSQLRQAFMKALSKWSHGNASNKRRKNVLPNSTLFDLLDFYSCQMYLFHKLYPSHKKMLFMYK >Vigun07g154800.1.v1.2 pep primary_assembly:ASM411807v1:7:26582682:26585487:1 gene:Vigun07g154800.v1.2 transcript:Vigun07g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESASAIPKGQVDLLDFIDWSGVECLNQSSTHSLSNAIKQGYREDEGLHLESDADEQLLLYIPFTQVIKLYSIVIKGPEDEGPKTVKLFSNKEHMGFSNVNDFPPSDVANLSEENLKGKPVLLKYVKFQNVRSLTIFIEDNQTGSEITKVQKIVLHGSTVETTDMKGLKKIEDH >VigunL073080.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:84189:85127:-1 gene:VigunL073080.v1.2 transcript:VigunL073080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRDNLFGFARTSGPITKWGCSLQHERTFSKLVRNGRHYPSPDPASWQGVAWSGSVAWVRISHPGKPTLLQEELCYALPIPIERKSCLVIPRLQHVRSLTPRAAAAVSRTDRRLACTGTYVV >Vigun10g078850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21428361:21428633:-1 gene:Vigun10g078850.v1.2 transcript:Vigun10g078850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTDLIFFLKSAKLFIFFLLRVSLCIHHCSSSLFCSAFSFFSCIHHCSSSLFCSAADLNATNHDLDASNHHCRCTTNHPVEARTALSRR >Vigun03g312900.1.v1.2 pep primary_assembly:ASM411807v1:3:50580139:50585695:-1 gene:Vigun03g312900.v1.2 transcript:Vigun03g312900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKQLNADLQFLSQSLPDPSHHALLRKIQLRVEDLGKLAAAAPVHRSKVEDMSAEVVDSNPYSRLMALQRMGIVENYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGLTKTDAAVQTLSDINPDVVLESYTLNITTVTGFETFMSSLKKKSFRPNKEGSGVDLVLSCVDNYEARMAVNQACNELSQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKLLLGFGQVSPYLGYNSLKDFFPTMQMRPNPQCSNVACLKRQEEYMLAKPARDAAAKAKMEAEVLSIEEVPLHDDNEWNISVVNDVELDGPDTRSSDVLPEGLTHELPSADEFHKAISDAPVADNDDLEDLRRQLEAINSA >Vigun09g052100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5148383:5149578:-1 gene:Vigun09g052100.v1.2 transcript:Vigun09g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKSSGPVLRTLSPVGRFRSSRPPSASYSSTALASPTSTLSTRSTTFFHRSRSPTRVNFCGSSASARFGSISPNRSISVAATSAGEVVKRQSHHQKRTCMCSPSTHPGSFRCSLHKNFGSRPAPPQYAPNRFNVRRSAMTNLLVKNRGAEGDLVKRALASLIRPSSHQQRRRGDFRRQPSRLSLMSKAEEHS >Vigun07g131600.1.v1.2 pep primary_assembly:ASM411807v1:7:24115601:24116721:1 gene:Vigun07g131600.v1.2 transcript:Vigun07g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSSKGVFLCTFLFCLSLASEARRSPVSSVVSEDLFNSIFLHKDNAACPAKNFYTYDSFIMACTRFPQFGSRGSSITRKREVAAFLAQISQETTGGWATAPDGPYAWGLCFKEEVSPQSDYCNSTNQQWPCYPGITYKGRGPIQLSWNYNYGPAGEALGFDGLRNPDVVSNNSVIAFETALWFWMTEQKPKPSCHNVMIGKYVPTEADIAGNRTAGYGLVTNIINGGLECGIPGDSRMNNRIQFFKRYATLFNVDTGPNLDCAYQKPF >Vigun05g155200.1.v1.2 pep primary_assembly:ASM411807v1:5:24859823:24866469:1 gene:Vigun05g155200.v1.2 transcript:Vigun05g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNMGLTSCHKQTMSFEVSCSRKRDRDRERGSIHPYKVVEITPPPKCLGVRCLPPNLQCGESVTIEGQAYTISAVTHRYQLRKGKYEPSEKRLDVLSTGRYLVNLYLENLLEQS >Vigun04g072600.1.v1.2 pep primary_assembly:ASM411807v1:4:8475263:8478234:-1 gene:Vigun04g072600.v1.2 transcript:Vigun04g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWLNRGNNHVLGVISLIVILGSVNVKVAESRVQSELAKTEYSAINCRKHSGSLKDFGGVGDGKTSNTKAFNSAISKLSQYGSDGGSTLIVPPGKWLTGPFNLTSHFTLFLMKDAVILASQNELEWPHLPVLPSYGSGRDASGARFSSLIFGTNLTDVVITGQNGTIDGQGSYWWDKFHKKQLSLNRPFMIEIMYSDQIQISDLTLINSPNWFVHPVYSSNIIVKGLTILAPVDSPNTDGINPDSCTNTRIEDCYIVSGDDCIAAKSGWDEYGIKFGKPTKHLVIRRVTCISPDSAMVALGSEMSGGIEDVRVEDLTAINTESAVRIKTAVGRGGFVKNIFVRGLNLNTMKYVFWMIGSYGQHPDPNYDPKALPIITGINFKDVVAKNVTYSAKLEGISKDPFTGICISNVSIEVSAQKKKKLQWNCTDVAGVTNNVTPPPCALLPHKEKTDCAFPNDKLPIEGVQFKTCSL >Vigun06g214700.2.v1.2 pep primary_assembly:ASM411807v1:6:32598754:32606935:-1 gene:Vigun06g214700.v1.2 transcript:Vigun06g214700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRISSMIPTSSWFTPKRGDFNLNNFEDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGIGLSVWTLATLCCGFSFNFWSISLCRMLVGVGEASFISLAAPFIDDNAPVTQKTAWLAIFYMCIPSGYALGYVYGGLVGSHFGWRYAFWVESFLMVPFAISGFFMKPLQLRGFVPAGSEQGPTPEGIEDVVQVMEASHGKDETLSLKAEHRDKSSDHHSKSKSATLINEKFSRFLKDMKALLLDKVYVINVMGYIAYNFVIGAYSYWGPKAGYNIYHMADADLIFGGVTIVCGIVGTLAGGFVLDYMNNTLSNAFKLLSTTTFIGAAFCFGAFLFRSMYGFLALFSIGELLIFATQGPVNYVCLRCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVLQDSINNWRTTALILTSILFLAAGIWFIGIFLHSVDRSDEDSGHQVSNVERLSTMPLLQEKTGATSASPSLSQDH >Vigun06g214700.1.v1.2 pep primary_assembly:ASM411807v1:6:32598754:32606935:-1 gene:Vigun06g214700.v1.2 transcript:Vigun06g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRISSMIPTSSWFTPKRLLAIFCVINLLNYVDRGAIASNGVNGSRGTCTEGSSCSSGSGIQGDFNLNNFEDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGIGLSVWTLATLCCGFSFNFWSISLCRMLVGVGEASFISLAAPFIDDNAPVTQKTAWLAIFYMCIPSGYALGYVYGGLVGSHFGWRYAFWVESFLMVPFAISGFFMKPLQLRGFVPAGSEQGPTPEGIEDVVQVMEASHGKDETLSLKAEHRDKSSDHHSKSKSATLINEKFSRFLKDMKALLLDKVYVINVMGYIAYNFVIGAYSYWGPKAGYNIYHMADADLIFGGVTIVCGIVGTLAGGFVLDYMNNTLSNAFKLLSTTTFIGAAFCFGAFLFRSMYGFLALFSIGELLIFATQGPVNYVCLRCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVLQDSINNWRTTALILTSILFLAAGIWFIGIFLHSVDRSDEDSGHQVSNVERLSTMPLLQEKTGATSASPSLSQDH >Vigun09g037400.1.v1.2 pep primary_assembly:ASM411807v1:9:3299965:3302656:1 gene:Vigun09g037400.v1.2 transcript:Vigun09g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNNCCEKQGAWSSDEDQILFNYVQLTGEGNWTDLPQRAGLKRCGENCKHRWLNYLKPTIPRENFSWDEQELIIRLHKLLGNRWCMIAGRLPGRSEDEIKNYWNTYLSKKVEEEKKVGSRSVKSRSMSSVESPWFSQNDLAMNSMDSPNPVIVRPKVVRLAKAVLPRLVGS >Vigun11g049600.1.v1.2 pep primary_assembly:ASM411807v1:11:8030504:8034758:1 gene:Vigun11g049600.v1.2 transcript:Vigun11g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEILHKMKEKVLGPSDTDSGKGKSKMKKHITHGFHLVKGRSGHAMEDYVVAQFKQVDNNELGLFAIFDGHSGQSVPDYLKSHLFDIILKEPNFWTEPADAVKKAYGITDSTILDKSGELGRGGSTAVTAILINCQKLVVANIGDSRAVLCKNGQAKQLSVDHEPSVESEDIKNRGGFVSNFPGDVPRVDGQLAVSRAFGDKSLKVHLSSEPHVMVEKIGDDAEFVILASDGLWKVMTNQEAVDAIRDVKDARSAAKILTEEARNRKSSDDISCVVVKLQ >Vigun09g244500.1.v1.2 pep primary_assembly:ASM411807v1:9:41332946:41339087:1 gene:Vigun09g244500.v1.2 transcript:Vigun09g244500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKDLNSRPPNGSSARDDRPLLKSESLTSADSIAEMEKKFAAYVRRDIYGTMGRGELPPKEKLLLGFALVTLLPIRVVLAVTVLLFYYLICRVCTLFYAPNQEDEQEDYAHMSGWRRAVIVSCGRALSRVMLFIFGFYWIPESNSASQGDKQQPEESDRPGVIISNHVSYLDILYHMSSSFPSFVAKRSVARLPLVGLISKCLGCVYVQRESKSSEFKGVSAVVTDRIREAHQNESAPLMMLFPEGTTTNGEFLLPFKTGGFLAKAPVLPVILRYHYQRFSPAWDSISGVRHVIFLLCQFVNFMEVIRLPIYHPSQQEMDDPKLYANNVRRLMASEGNLILSDIGLAEKRTYHAALNGNNSLPSVLHQKDE >Vigun09g244500.2.v1.2 pep primary_assembly:ASM411807v1:9:41332946:41339105:1 gene:Vigun09g244500.v1.2 transcript:Vigun09g244500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKDLNSRPPNGSSARDDRPLLKSESLTSADSIAEMEKKFAAYVRRDIYGTMGRGELPPKEKLLLGFALVTLLPIRVVLAVTVLLFYYLICRVCTLFYAPNQEDEQEDYAHMSGWRRAVIVSCGRALSRVMLFIFGFYWIPESNSASQGDKQQPEESDRPGVIISNHVSYLDILYHMSSSFPSFVAKRSVARLPLVGLISKCLGCVYVQRESKSSEFKGVSAVVTDRIREAHQNESAPLMMLFPEGTTTNGEFLLPFKTGGFLAKAPVLPVILRYHYQRFSPAWDSISGVRHVIFLLCQFVNFMEVIRLPIYHPSQQEMDDPKLYANNVRRLMASEGNLILSDIGLAEKRTYHAALNGLFSQC >Vigun02g189000.1.v1.2 pep primary_assembly:ASM411807v1:2:32707525:32709092:-1 gene:Vigun02g189000.v1.2 transcript:Vigun02g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPANCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEILKENPNMCAYMAPSLDARQDIVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLVENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSEGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRRKSVENGLKSTGEGLEWGVLFGFGPGLTIETVVLHSIAI >Vigun04g031600.1.v1.2 pep primary_assembly:ASM411807v1:4:2561395:2569283:1 gene:Vigun04g031600.v1.2 transcript:Vigun04g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMVQGGGVDERCVQKNYWMEHTTELSVESMMLDSNASHLDKEERPEVLSLLPAYEGKSVVELGAGIGRFTGELAKKAGQLLAVDFIESAIKKNENLNGHHKNVKFMCADVTSPNLQISEGSVDLIFSNWLLMYLSDEEVENLAGRMIKWLKVGGYVFFRESCFHQSGDSKRKYNPTHYREPRFYTKAFKECHKSDDRGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVRSQDDRRFQRFLDSVEYNHKDILLYESVFGQGFVSTGGLETTEEFVAKLGLKPGQKVLDVGCGTGGGDIYMAENFDVEVVGIDLSINMISLAIERVIGLKCSVEFECADCTKKSYPENTFDVIYSRDTLLHIKDKPSLFRSFYKWLKPGGTLLITDYCKSVGSLSVGYANYIQKGGYYIHEMKTYSQMLENAGFNDVIAEDQSNLFMKTLQQELNALESKKDDFIDEFSKEDYNIISERWKAKQMRGADGEQIWGLFIAKKK >Vigun04g031600.4.v1.2 pep primary_assembly:ASM411807v1:4:2564751:2569283:1 gene:Vigun04g031600.v1.2 transcript:Vigun04g031600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSDEEVENLAGRMIKWLKVGGYVFFRESCFHQSGDSKRKYNPTHYREPRFYTKAFKECHKSDDRGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVRSQDDRRFQRFLDSVEYNHKDILLYESVFGQGFVSTGGLETTEEFVAKLGLKPGQKVLDVGCGTGGGDIYMAENFDVEVVGIDLSINMISLAIERVIGLKCSVEFECADCTKKSYPENTFDVIYSRDTLLHIKDKPSLFRSFYKWLKPGGTLLITDYCKSVGSLSVGYANYIQKGGYYIHEMKTYSQMLENAGFNDVIAEDQSNLFMKTLQQELNALESKKDDFIDEFSKEDYNIISERWKAKQMRGADGEQIWGLFIAKKK >Vigun04g031600.2.v1.2 pep primary_assembly:ASM411807v1:4:2561395:2569283:1 gene:Vigun04g031600.v1.2 transcript:Vigun04g031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMVQGGGVDERCVQKNYWMEHTTELSVESMMLDSNASHLDKEERPEVLSLLPAYEGKSVVELGAGIGRFTGELAKKAGQLLAVDFIESAIKKISEGSVDLIFSNWLLMYLSDEEVENLAGRMIKWLKVGGYVFFRESCFHQSGDSKRKYNPTHYREPRFYTKAFKECHKSDDRGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVRSQDDRRFQRFLDSVEYNHKDILLYESVFGQGFVSTGGLETTEEFVAKLGLKPGQKVLDVGCGTGGGDIYMAENFDVEVVGIDLSINMISLAIERVIGLKCSVEFECADCTKKSYPENTFDVIYSRDTLLHIKDKPSLFRSFYKWLKPGGTLLITDYCKSVGSLSVGYANYIQKGGYYIHEMKTYSQMLENAGFNDVIAEDQSNLFMKTLQQELNALESKKDDFIDEFSKEDYNIISERWKAKQMRGADGEQIWGLFIAKKK >Vigun04g031600.3.v1.2 pep primary_assembly:ASM411807v1:4:2564751:2569283:1 gene:Vigun04g031600.v1.2 transcript:Vigun04g031600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCADVTSPNLQISEGSVDLIFSNWLLMYLSDEEVENLAGRMIKWLKVGGYVFFRESCFHQSGDSKRKYNPTHYREPRFYTKAFKECHKSDDRGNSFELSLIGCKCIGAYVRNKKNQNQICWIWQKVRSQDDRRFQRFLDSVEYNHKDILLYESVFGQGFVSTGGLETTEEFVAKLGLKPGQKVLDVGCGTGGGDIYMAENFDVEVVGIDLSINMISLAIERVIGLKCSVEFECADCTKKSYPENTFDVIYSRDTLLHIKDKPSLFRSFYKWLKPGGTLLITDYCKSVGSLSVGYANYIQKGGYYIHEMKTYSQMLENAGFNDVIAEDQSNLFMKTLQQELNALESKKDDFIDEFSKEDYNIISERWKAKQMRGADGEQIWGLFIAKKK >Vigun03g097900.1.v1.2 pep primary_assembly:ASM411807v1:3:8319725:8323625:-1 gene:Vigun03g097900.v1.2 transcript:Vigun03g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLCRFLILLSLFALVESSCSSEEEHVLVSKAFKSVSGFDAHSWFRTNCSQAHITRIVLPSQNLTGTISWGYLRNMSNLKVFDLSGNSLQGHVPSWFWSTSSLLEINLSGNRFGGSIIIHSSKPTTQNTSFSISSVQTLNLSHNRFTNSIQLSSFHNLKILDLSNNNLRTLPTGLQNLSRLHHLDLSSCNLHSDVKPVSSLHSLRYLDLSNNTFTGNFPFDFPPLATLEFLNISYNNFTSSTSLTKFNSFGKSAFIHAGNNFTYDSTASKTTNNSKNSAQSTHKKQRKRKYKTLIAAASSAGLAVLLILLSIWVLRIVIRKRKQRAKRSKWAISMPVPLGMNVVTSRVEKSGPFAFETESGSTWVADLKEPSSAAVVMFEKPLMNLTFKDLIVATSHFGKDSLLAEGRCGPVYRAVLPGDLHVAIKVLEHARDVDPDDSVATFVDLSRLKHPNLLPLSGYCIAGKEKLVLYEYMANGDLGRWLHELPTGDTNVEDWTSDTWEIQNGAVDDGSPEKMGWLTRHRIAVGIARGLAYLHHARSKPVVHGHFVTSNILLADDFEPRISDFGLRHDRNPNGGTEADVYCFGAALMELLTGRESTAETVAAARKAVREGQGVRVLDERLLVGGDSVVSEMVETLRVAFLCTAEHPWKRPTMQQVLGLVKDIRPHEQQLVTS >Vigun10g055033.1.v1.2 pep primary_assembly:ASM411807v1:10:9377550:9377967:1 gene:Vigun10g055033.v1.2 transcript:Vigun10g055033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKEIIHVQASFNNTIVTITDVRAQVISWSSAGPCGFKAGNGIRTISDQGMHQAKIMIKGLGLGRDAALRAIRRSGILLNFIRDVTPMPHNRCRSPKKRRV >Vigun07g215150.1.v1.2 pep primary_assembly:ASM411807v1:7:33638649:33641448:-1 gene:Vigun07g215150.v1.2 transcript:Vigun07g215150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSFNNKGDGIQNFSNATIIIITGCCCRSLYFHGIIFSCFFLRALLALLLLLTRDQHPATILDSTRSNADVKFPSKGTSTRQYYSVVILHYRVCYHVFVFCNGTFVHHKIRFSRDL >Vigun09g017900.1.v1.2 pep primary_assembly:ASM411807v1:9:1346312:1349682:-1 gene:Vigun09g017900.v1.2 transcript:Vigun09g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVEIASSSPFGSVLRDRNHREECRESNAKGTHNHHAKLQRNNKNFVTDINTCRGVSSDSATNENNSGCCSSKNKGVNQRKAPKNTRLERLRFTRASSFNNNNGIINNKKETSLSLLISPRHSQLLDRWATRQAREMVSNMENGAELLSMDDVDIFPRTPSSTSEEESSSSEIPNMAASSLVQIWEKRLNQCVVSKTNASFEKIGSSSSPTNEHANASLPEEECFDGPSGNEESSFHSFPEWESSDHSVSPQARSESGVSVASIIKKLTSTSQNQSPTPSFIDENEQEGYFSSSMTSVTGSPCRERECGQQQPPSELKFACPLRIRGRQAFNDLLMQMENDRHRELNNLSERGAVSKFAQKGRIQALLRIKLLQRDLVANDRSRQNSTSCELNRQSQGSAIMQLRERFSSGVEPRTPVHAEVATPRSPRRKAVNKTIQLGNSATTDQVRKDTRNQTAHSNHNTEEAPPSSDVMIQETNPRSDAKTQQKNSKETSEATTSMTDSGLNEMTDRAEASSEQNDITKSSNDETVNEEDISNQQYAESNAESGYQETLEVASNQNYAESSYGDEMEGEETDQNCDYESNYNWISEISRPRSYWEERRQAWYREMLETGSQNEDIRRLLERRTVSSFLSSDFRDRMDRLMESHRGTQAHLVNSQDYEENSQELMAFLQERLHSARASQEDGRDGIEEEEDEDNAEEHEHESLISDPYHEAGDYSNRSSSCSYRDNEGGDDFDRVVSPSGQPYQSQSFYPESRQSASPSTNHHSMEMELIYDLRGHMEQLYQEMSELKKIIKGCMNMQMELQQTMKVEVHSVKIQEKKSRNRTQKKKGNCCICYEVKVDSVLYRCGHMCACLKCAIELQCNSGKCPICRAKIVDVVRVYIDG >Vigun08g203900.1.v1.2 pep primary_assembly:ASM411807v1:8:36773875:36774142:1 gene:Vigun08g203900.v1.2 transcript:Vigun08g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIRNPNPKNHPSLLPPPNLFSTPPSFSLWLPLLPFSSHLAASTPKV >Vigun05g260700.2.v1.2 pep primary_assembly:ASM411807v1:5:45380589:45384148:1 gene:Vigun05g260700.v1.2 transcript:Vigun05g260700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSFGDKMHGITATLSLTANTFLCCSHNTHLSLRSLQPNCCIPTSKLSYSSRFHHLQWKQQRKERWGNRMMVVRARRGESPYEVLGVSPSATVGEIKRAYRKLALKYHPDVNKEEKAQEKFMRIKHAYNTLLNSSSRKRYDSGSQGYDFSQGSQSRSAQPEEEFYGLEDFFKDLQEEFRNWEANAASEGKPKSLWEELAEIGEEFVEFLEKELNITDQNDDYETPQGENASKFSGRETPSGSSSPGEASKGNRSVEDNLEEIEATLAQLKKELGL >Vigun05g260700.1.v1.2 pep primary_assembly:ASM411807v1:5:45380589:45384148:1 gene:Vigun05g260700.v1.2 transcript:Vigun05g260700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSFGDKMHGITATLSLTANTFLCCSHNTHLSLRSLQPNCCIPTSKLSYSSRFHHLQWKQQRKERWGNRMMVVRARRGESPYEVLGVSPSATVGEIKRAYRKLALKYHPDVNKEEKAQEKFMRIKHAYNTLLNSSSRKRYDSGSQGYDFSQGSQSRSAQPEEEFYGLGNFLRDVQITIEDFFKDLQEEFRNWEANAASEGKPKSLWEELAEIGEEFVEFLEKELNITDQNDDYETPQGENASKFSGRETPSGSSSPGEASKGNRSVEDNLEEIEATLAQLKKELGL >Vigun10g055533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9811937:9823130:-1 gene:Vigun10g055533.v1.2 transcript:Vigun10g055533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYSIVFLFYFFTLKCTFRCLDATCHFPSLSRENTHLTLPTPKPSYTTNSPTPFTHLTGLTHHSR >Vigun09g063700.1.v1.2 pep primary_assembly:ASM411807v1:9:6692636:6694999:1 gene:Vigun09g063700.v1.2 transcript:Vigun09g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKTSAANKDKDKKKPISRSSRAGLQFPVGRIHRLLKSRTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Vigun08g055100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6783860:6787548:1 gene:Vigun08g055100.v1.2 transcript:Vigun08g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQWSIFCVMHTLLLCVLLSSEVVLTSILKVGKASPGIEGSQMNWIDKNGILLVSYNGDFGFGFVTTANDITLFLLAIVHMDSNKVVWVANRGLPVSNSDKFVFDEKGNLYLLKGSTVVWSTGTEGKNVSSMELKDTGNLVLLRNDSHVIWQSFSHPADTLLPMQEFIEGMKLISEPGPNNLTYVLQIESGNAILSTGLQTPQPYWSLKDDSRKKIIYKSGGVVASATLNASFWSFFDETKSLLWQFDIAEKSDSNGTWILVLGSDGLITFSSLQREIVVSPITIPQDSCSTPEPCDPYYICSGGKKCTCPSVLSSSPNCKPGFVSPCSSKGTLELLKADDPLNYFALGFVPPSSKTDLIGCKTSCSANCSCLAFFFNSSSGNCFLLDWIGSFQKSVEDSGLVSYIKVVSSDGDHGDSESSTLQAIVVVIIVIATLFVICGMLFVAHRCFRKKQDLPESPEEFSEDESFLEGLTGMPIRYSYNDLKTATSNFSVKLGEGGFGSVYKGVVPDGTQLAVKKLEGIGQGKKEFKVEVSIIGRIHHHHLVRLKGFCAEGPHRLLAYEYMANGSLDKWIFNKHREGFVLDWDTRYNIALGTAKGLAYLHEDCDSKIIHCDIKPENVLLDDNFLVKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNCAISEKSDVYSYGMVLLEIIGGRKNYDPRETSEKSHFPYFAFKMMEEGKVREIVDSKVETDENDERVETAVKVALWCIQEDMSLRPCMSKVVQMLEGLCTVSKPPTCSVPGSQFYSYSDAGTSSGPSDCNSDANLSAVRLSGPR >Vigun03g019900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1450573:1453671:-1 gene:Vigun03g019900.v1.2 transcript:Vigun03g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQAHSSHLTLLLLLVAVFLGTCANASPVTLTQSRHLLYYRDEFGDRGENVTVDPSLVFENNRLRNAYIALQAWKQAILSDPRNQTTDWVGSDVCSYTGVYCAPALDDPSVRTVAGIDLNHGDIAGYLPEELGLLVDLALFHINSNRFCGTVPHTFQRLLLLFELDLSNNRFAGRFPEVVLRLPSLKFLDLRFNEFEGAVPRELFDKDLDAIFINDNRFVFDLPDNFGNSPVSVIVLANNRFHGCIPHSLGNMSNLNEIILMNNAFRSCLPSEIGLLKNLTVFDVSFNQLLGPLPSAVGNAVSLEQLNVAHNLLSGQIPSSICMLPHLLNFTYSFNFFTGEPPACLALPAFDDRINCLPGRPVQRPPAQCQAFLSKPVDCNSFNCKPFVPSPPSPTTPATPSPPSPGTPSPPSSGTPSPITPSPPSPGTPSPVTPSPPSPGTPSPVTPSPPSPGTSSPVTPSPPSPGTPSPLTPSPPSPGTPSPVTPSPPSPGTPSPVTPSPLPSPTTPSPPSPAAPSPLPSPTTPSPLPTPPSEPPVVGHSPPRPVAPSPLPPIHTPPSPVYLPPPHSSPAPPGYFPQPPPPSQLPPPSYTPPSPGTPLPTPTPPNGVHSPPPPSSPSPPAPTFSTPPPSHNYGSSPPPLPHPYPYLSPPPPPSPVHVPPPPPCTALLPPPPQSTPSPTPYLPPPSPSHPPYHPTPSPSHPSPYHPPPSPSHTPYHPPPSPSPPPTPPVQYSSPPPPPPCETPPAVSPPPPVVYQNPPPAPVYEGPLPPIVGLPYASPPPPPFY >Vigun03g393800.1.v1.2 pep primary_assembly:ASM411807v1:3:59992871:59996002:-1 gene:Vigun03g393800.v1.2 transcript:Vigun03g393800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDANKYDSKMSDLLSSDGQDFFTSYDEVYESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILEQLDYSLTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLQPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDKMLFDIQKFYNVVVEELPSNVAELL >Vigun07g260200.1.v1.2 pep primary_assembly:ASM411807v1:7:37677679:37682888:-1 gene:Vigun07g260200.v1.2 transcript:Vigun07g260200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDRKWLFTLFSAALLSLMVLLMSSFSAFSSPKAFPSLVQHGSRYPPAFAYFISGGHQDKDRILRLLLAVYHPRNRYLLHLGRDARDEERKALVAATRAVPAIRAFGNVDVVGKADYVTYLGSSNVAIALRAAAIMLKLDSGWNWFITLSARDYPLITQDDLSHVFSSVRRDLNFIEHTGDLGWKESDRFQPIVVDPGLYLARKSQIFQATEKRATPNAFKIFTGSPWVILSRPFLEFCIFGWDNLPRTLLMYFTNVKLSQEGYFHSVICNAPEFKNTTVNGNLRYIMWDDPPKMEPLFLNASLYNQMVESGAAFARQFELNNPVLDMIDEKILHRGSHRATPGVWCAGRRSWWVDPCSQWGDVNIVKPGPQAKKLEGSVSNLLDGWNSQTNQCR >Vigun06g015950.1.v1.2 pep primary_assembly:ASM411807v1:6:7396970:7401341:1 gene:Vigun06g015950.v1.2 transcript:Vigun06g015950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVETQDGGDAKVKISPRDIVYTQLAKPIEGEQPTIFHKLDFCYGCVEQIINSKMQFSTSYKFCNMTNMHIGSFEEELSSNPSDEVAEYILEGKWQKVVNMYNQFPTCHTTMINPSVGTTLHVVVDLDEEEVVEKLVNAIIRHKTMKALEMRNY >Vigun03g247800.1.v1.2 pep primary_assembly:ASM411807v1:3:41211310:41214303:1 gene:Vigun03g247800.v1.2 transcript:Vigun03g247800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPNTFFTKARNLIGFGLASTAAAATIAASNSNVSSSSSSSFFSSSSSSSSSPSSTTSSTSSSDAGDTSSSDGEIVPRKILKTKVCIIGSGPAAHTAAIYAARAELKSVLFEGWMANGVAPGGQLTTTTDVENFPGFPAGILGAELMDRCREQSQRFGAEIVTETVTTVDFSERPLRVFTDSTTVEAESVIVATGAVAKRLNFDGAGDGPDGFWNRGISACAVCDGAAPIFRDRPLAVIGGGDSAMEEATFLTKFGSKVYVIHRRDKFKASKIMQGKLMRNPKIEVLWNSVVVGAYGDNKGRVLGGVKVKNVVTGVVSDLKVSGLFFAIGHEPATKFLDGQLELDSDGYIVTKPGTTKTSVDGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGLQDGRSI >Vigun06g165500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28737135:28739341:-1 gene:Vigun06g165500.v1.2 transcript:Vigun06g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLPLSLTLLCLLSAPSSAYKSSLTLPVTKDHSTHQYLTTLSYGTPVEAANFVLDLGGSSLWVDCASRAAPSASLATIFHRSVRCLTAKGPEIETHRWLSSLANPVDQDQACQIPAENTITGKRVGEGELVEDLFEPSQQLLFTCAPTLLLNGLATGAKGMVGLDRSRTSFSSQVFHSLGTQRKISLCLSPSSGVLQFGNMAQGSEILRSLTFTPLLTNPDQNHPSINVNSVKINGKKVSFDAPLGGGAQLSTVVPYTTLQTSIYANFESAYLKAASVLNMTRVSPVSPFGLCFSSHGVGSSQVGPNVPVIDLVLQSEMVKWSIYGRNSMVQVSEDVMCLGFVDGGENPRNPIVIGGYQLEDVLVQFDFDTSMVGFSPSLLTKHASCSDFKSGSSAQPISV >Vigun02g107800.1.v1.2 pep primary_assembly:ASM411807v1:2:26169326:26176275:-1 gene:Vigun02g107800.v1.2 transcript:Vigun02g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFGANGPSTPPSNSAESFIGCFISLISKCEIRYEGVLYLLNVQDSTIGLKNVKSYGTEGRRKDATQVPPSDKVYEYILFRGSDIKNLQIKSPSTSSKSEEQVLSDPAIIQSQYSGSPGSPAASVGGRNLTESIQRQDTPEISSKPFPVGLPSYQSVTELGPSNSSAATKVASHPSFSSAMSWQGPSDLSSSGSHSLLQSSSLYSPPIAASVVVQNQIKNAEPQLPTKGGWTGFPERGLPISSATSSSLVNLTHSNSLTSLKNSDSLDVPSLLIPVPYSASMAFDGSNMPQFSSPYQDICPDPRAIHPRHSAPSFVDSTSGLLPTTPSLLTPDQFANPREQLLSTTHNLNPNQKGMGSLTQTSFGSSALMPFPASQAPLLPLPTSVQKPQYTAPQFTEEFDFEAMNEKFRKDEVWGSLGNATTIEGVQDNTSLNLGNREYHGMVPNHKSAYKKDDFFDTISCNSLTRGSRNGQNRFSERMKQDTETFGNFQQRHNFSYGAGRGENFRGSYNWGGRGYGYGGRGRGPNFPL >Vigun01g036050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4663354:4663719:-1 gene:Vigun01g036050.v1.2 transcript:Vigun01g036050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINKCDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDEDDDDEDDDDDDEDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDVKREGVGCKEELRWKPLLE >Vigun03g066400.3.v1.2 pep primary_assembly:ASM411807v1:3:5481503:5483869:1 gene:Vigun03g066400.v1.2 transcript:Vigun03g066400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLLHPPMTDSHASPLICRTMNAMKLRNFAPPRNHSRINTSRFGERPLWFRPVTIIRVAEHNSGSGLVELETLAQKKRELYQAVEGINRGIFGMPSAKKSEIESLVQQLESLNPTPYPTQELHMVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQTIDISKSKAVNMIKFSARGLSLLSGQLSIEASYKIASTTVKTPILIFGHFFLCKTLPNNFLISYCFKFTEG >Vigun03g066400.2.v1.2 pep primary_assembly:ASM411807v1:3:5481503:5483869:1 gene:Vigun03g066400.v1.2 transcript:Vigun03g066400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLLHPPMTDSHASPLICRTMNAMKLRNFAPPRNHSRINTSRFGERPLWFRPVTIIRVAEHNSGSGLVELETLAQKKRELYQAVEGINRGIFGMPSAKKSEIESLVQQLESLNPTPYPTQELHMVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQTIDISKSKAVNMIKFSARGLSLLSGQLSIEASYKIASTTVKTPILIFGHFFLCKTLPNNFLISYCFKFTEG >Vigun03g066400.5.v1.2 pep primary_assembly:ASM411807v1:3:5481503:5483869:1 gene:Vigun03g066400.v1.2 transcript:Vigun03g066400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLLHPPMTDSHASPLICRTMNAMKLRNFAPPRNHSRINTSRFGERPLWFRPVTIIRVAEHNSGSGLVELETLAQKKRELYQAVEGINRGIFGMPSAKKSEIESLVQQLESLNPTPYPTQELHMVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQTIDISKSKAVNMIKFSARGLSLLSGQLSIEASYKIASTTRVDISFENSTITPDQLMNVFRKNYDLLLSIFNPEGWLEITYPNAFKIFFFFFYFFV >Vigun03g066400.4.v1.2 pep primary_assembly:ASM411807v1:3:5481503:5483869:1 gene:Vigun03g066400.v1.2 transcript:Vigun03g066400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLLHPPMTDSHASPLICRTMNAMKLRNFAPPRNHSRINTSRFGERPLWFRPVTIIRVAEHNSGSGLVELETLAQKKRELYQAVEGINRGIFGMPSAKKSEIESLVQQLESLNPTPYPTQELHMVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQTIDISKSKAVNMIKFSARGLSLLSGQLSIEASYKIASTTRVDISFENSTITPDQLMNVFRKNYDLLLSIFNPEGWLEITYPNAFKIFFFFFYFFV >Vigun03g066400.1.v1.2 pep primary_assembly:ASM411807v1:3:5481503:5483869:1 gene:Vigun03g066400.v1.2 transcript:Vigun03g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLLHPPMTDSHASPLICRTMNAMKLRNFAPPRNHSRINTSRFGERPLWFRPVTIIRVAEHNSGSGLVELETLAQKKRELYQAVEGINRGIFGMPSAKKSEIESLVQQLESLNPTPYPTQELHMVAGCWRLVYSTISILGSKRTKLGLRDFISLDEFFQTIDISKSKAVNMIKFSARGLSLLSGQLSIEASYKIASTTRVDISFENSTITPDQLMNVFRKNYDLLLSIFNPEGWLEITYVDDSMRIGRDDKSNIFVLEKFDDSENS >Vigun01g247700.1.v1.2 pep primary_assembly:ASM411807v1:1:41534223:41538735:1 gene:Vigun01g247700.v1.2 transcript:Vigun01g247700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSSIIVEPFQFQNLHLNCNRGVRAGPFRRGKGVTLRCSHSETPSFQDDQGPPQEAVLKAISEVSKAEGRVGQTTNMVIGGTVSDDSTNEWLTLDQKVNSYPTVRGFTAIGTGGEDFVQAMVVAVESVIQQPIPQGRVKQKLSARGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >Vigun06g181901.1.v1.2 pep primary_assembly:ASM411807v1:6:30151065:30153248:-1 gene:Vigun06g181901.v1.2 transcript:Vigun06g181901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGFVDGAATPTPSESALIFLGTSCSSMVPNLMCLLQPSNPPCSFASSHCPSLPNETLITGATRLS >Vigun11g057200.19.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.16.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495080:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.6.v1.2 pep primary_assembly:ASM411807v1:11:11484145:11495677:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.7.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRSVSMQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.12.v1.2 pep primary_assembly:ASM411807v1:11:11484200:11495673:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRFAVFELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.15.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495080:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.10.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRSVSMQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.13.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495673:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.18.v1.2 pep primary_assembly:ASM411807v1:11:11484144:11495678:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.23.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495080:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.17.v1.2 pep primary_assembly:ASM411807v1:11:11484974:11486515:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.24.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495080:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.21.v1.2 pep primary_assembly:ASM411807v1:11:11484144:11495678:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.20.v1.2 pep primary_assembly:ASM411807v1:11:11484144:11495678:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.14.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.5.v1.2 pep primary_assembly:ASM411807v1:11:11484144:11495678:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.11.v1.2 pep primary_assembly:ASM411807v1:11:11484200:11495673:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRFAVFELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.8.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRSVSMQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.25.v1.2 pep primary_assembly:ASM411807v1:11:11484974:11486330:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.22.v1.2 pep primary_assembly:ASM411807v1:11:11484145:11495677:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun11g057200.9.v1.2 pep primary_assembly:ASM411807v1:11:11484224:11495672:-1 gene:Vigun11g057200.v1.2 transcript:Vigun11g057200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKDNKAQIMGAMVYECRSVSMQMVCYQKQKFPATAAKELGLINWSKSITMMTTFFNLFRKKGSSLYTQLTEIDLGADHSTIQFSWPTRRNICVGVARGLAFLHEEVRSHFIYRKIQATKVLLDEDLRPKSSHFGLAKLIPPSVYFPFEGGYVAPEHGVRNKVTIKSALELYY >Vigun02g099800.1.v1.2 pep primary_assembly:ASM411807v1:2:25489626:25493672:1 gene:Vigun02g099800.v1.2 transcript:Vigun02g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPSTAAMWTPFTAAQWHELEHQALIFKYLKAGLSVPPDLLLPIRKSLQFMAHPSLGGYYGKKIDPEPGRCRRTDGKKWRCSRDAHPDSKYCDRHMIRRRYRSRKPVESQPHSSSASSNPVAASASAASSATGSAATSAAGSAAASAATLNTLPLHTNGAREGFTFTLGNSATTTAPTLSHLHMDPLTLSGDNTKKTYRFGLNSEADEHVLQKDLGSVRYQGYDFTSDSMWSHMSPIPSSTVSESRSGSTMLGNCFQQQTMRDADLLNLETARTKDLIFSSQLSSGGSLKQEYQSPQSLFSDWDWKKDLSSSAIEYRPNKDFNCNPDVNVD >Vigun04g005800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:391750:392849:1 gene:Vigun04g005800.v1.2 transcript:Vigun04g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAGKSHKANGKPSSEARKDRKSATGMSGSPKKGGHGGKFTWIGNGYDSVEIAMEHGAMDARDPNFEDPAEIAVAAV >Vigun08g115100.2.v1.2 pep primary_assembly:ASM411807v1:8:28174162:28177075:1 gene:Vigun08g115100.v1.2 transcript:Vigun08g115100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNALSGMGGGGQLNHTASNNSLTALYTTFSIFGILGGAIYNILGPHLTLLAGCSTYVLYTSSLLYYNHHHHQAFPILSGALLGVGAGLLWAAQGAIMTSYPPANRKGTYISIFWSIFNMGGVIGGLIPLIMNYHRSEAASVNDGTYIGFMCFMTVGTLLSLAILPACKVVRDDGSRCSSMVYSNVSTECVEVRRLFSNWKVLLMVPAAWSSNFFYPYQFNDVNGALFNLRTRGFNSVFYWGAQMLGSVGIGYVMDFSFERRRVRGLLGVVVVAVLGTVIWGGALANQLRYNSNDFPEKLDFKHSGFVGPFVLYFSFGLLDAMFQSLVYWVIGALADDSQILSRYSGLFKGIQSAGIAVAWQVDEHNVGLMSQLIVNWVLTTISYPLLFVLVMLAVKDE >Vigun08g115100.4.v1.2 pep primary_assembly:ASM411807v1:8:28174162:28177075:1 gene:Vigun08g115100.v1.2 transcript:Vigun08g115100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGDEESSNQVQQSETSKSSGLPFLRYNSPLVQVILIGVVCFCCSGMFNALSGMGGGGQLNHTASNNSLTALYTTFSIFGILGGAIYNILGPHLTLLAGCSTYVLYTSSLLYYNHHHHQAFPILSGALLGVGAGLLWAAQGAIMTSYPPANRKGTYISIFWSIFNMGGVIGGLIPLIMNYHRSEAASVNDGTYIGFMCFMTVGTLLSLAILPACKVVRDDGSRCSSMVYSNVSTECVEVRRLFSNWKVLLMVPAAWSSNFFYPYQFNDVNGALFNLRTRGFNSVFYWGAQMLGSVGIGYVMDFSFERRRVRGLLGVVVVAVLGTVIWGGALANQLSFGLLDAMFQSLVYWVIGALADDSQILSRYSGLFKGIQSAGIAVAWQVDEHNVGLMSQLIVNWVLTTISYPLLFVLVMLAVKDE >Vigun08g115100.1.v1.2 pep primary_assembly:ASM411807v1:8:28174162:28177075:1 gene:Vigun08g115100.v1.2 transcript:Vigun08g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGDEESSNQVQQSETSKSSGLPFLRYNSPLVQVILIGVVCFCCSGMFNALSGMGGGGQLNHTASNNSLTALYTTFSIFGILGGAIYNILGPHLTLLAGCSTYVLYTSSLLYYNHHHHQAFPILSGALLGVGAGLLWAAQGAIMTSYPPANRKGTYISIFWSIFNMGGVIGGLIPLIMNYHRSEAASVNDGTYIGFMCFMTVGTLLSLAILPACKVVRDDGSRCSSMVYSNVSTECVEVRRLFSNWKVLLMVPAAWSSNFFYPYQFNDVNGALFNLRTRGFNSVFYWGAQMLGSVGIGYVMDFSFERRRVRGLLGVVVVAVLGTVIWGGALANQLRYNSNDFPEKLDFKHSGFVGPFVLYFSFGLLDAMFQSLVYWVIGALADDSQILSRYSGLFKGIQSAGIAVAWQVDEHNVGLMSQLIVNWVLTTISYPLLFVLVMLAVKDE >Vigun08g115100.5.v1.2 pep primary_assembly:ASM411807v1:8:28174162:28177094:1 gene:Vigun08g115100.v1.2 transcript:Vigun08g115100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNALSGMGGGGQLNHTASNNSLTALYTTFSIFGILGGAIYNILGPHLTLLAGCSTYVLYTSSLLYYNHHHHQAFPILSGALLGVGAGLLWAAQGAIMTSYPPANRKGTYISIFWSIFNMGGVIGGLIPLIMNYHRSEAASVNDGTYIGFMCFMTVGTLLSLAILPACKVVRDDGSRCSSMVYSNVSTECVEVRRLFSNWKVLLMVPAAWSSNFFYPYQFNDVNGALFNLRTRGFNSVFYWGAQMLGSVGIGYVMDFSFERRRVRGLLGVVVVAVLGTVIWGGALANQLSFGLLDAMFQSLVYWVIGALADDSQILSRYSGLFKGIQSAGIAVAWQVDEHNVGLMSQLIVNWVLTTISYPLLFVLVMLAVKDE >Vigun08g115100.3.v1.2 pep primary_assembly:ASM411807v1:8:28174162:28177075:1 gene:Vigun08g115100.v1.2 transcript:Vigun08g115100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGGLIPLIMNYHRSEAASVNDGTYIGFMCFMTVGTLLSLAILPACKVVRDDGSRCSSMVYSNVSTECVEVRRLFSNWKVLLMVPAAWSSNFFYPYQFNDVNGALFNLRTRGFNSVFYWGAQMLGSVGIGYVMDFSFERRRVRGLLGVVVVAVLGTVIWGGALANQLRYNSNDFPEKLDFKHSGFVGPFVLYFSFGLLDAMFQSLVYWVIGALADDSQILSRYSGLFKGIQSAGIAVAWQVDEHNVGLMSQLIVNWVLTTISYPLLFVLVMLAVKDE >Vigun02g057100.1.v1.2 pep primary_assembly:ASM411807v1:2:20088401:20093242:-1 gene:Vigun02g057100.v1.2 transcript:Vigun02g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKTGLKKGPWTPEEDQKLIAFIENHGHGSWRALPPKAGLRRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIASHLAKRTDNEIKNYWNTHLKKRLDKMGIDPTTHKPKMESLIHFKDGSNLSHMAQWESARLEAEARLVRESKLQEQNNLVLTSSSPPPPPPQQQQPRLVLNKITPLHHHHQPSLPPCLDVLKAWQSSCSDNKNNNNNIYSSLHDKKKTMHVHSMYAMMLATDDLESPTSTLCFPESMQINDSNNNNSNNIMVSTNQVGLINENYLLPPSAVEEFLTKSVGTCGEGSTVKEDACCGGDGDSSESWRYFTKHSTDRDEEVAETEKRIMYQTMPCLQDDDIMEAVDALRGGGYYGNNTDTINNDVVPFTPLSSNVDMVVGFNNDMQDFDSNQDLAADNLEVNFEENKDYWNSMLGYK >Vigun02g096900.9.v1.2 pep primary_assembly:ASM411807v1:2:25268954:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.14.v1.2 pep primary_assembly:ASM411807v1:2:25268954:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.3.v1.2 pep primary_assembly:ASM411807v1:2:25268247:25274558:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.2.v1.2 pep primary_assembly:ASM411807v1:2:25268247:25274559:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.5.v1.2 pep primary_assembly:ASM411807v1:2:25268247:25274558:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.10.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.1.v1.2 pep primary_assembly:ASM411807v1:2:25268247:25274559:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.13.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.7.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.8.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.12.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.6.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSNISFHGSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g096900.11.v1.2 pep primary_assembly:ASM411807v1:2:25268353:25274557:1 gene:Vigun02g096900.v1.2 transcript:Vigun02g096900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNREESWRELMKKMLPPGASIPDDASNLDYSIATEYVGPPVSYELPKVEPLDGNSRTIPTAEPLSGSQRSNTHVGSMVIEAIPLPVSRIAGVTRSPNQSPRVSGSSDSVVSVLQNPDLSSASPSASPASVHNPPQPVVNEVKRAPVVTFNTVDRSQRKEVEVVKPVYSEYVGVLKERKKKKIRVCYRCGKGKWETKESCIVCNAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGLPLKPDEMAELLGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSLNASNGNTEVYMNGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFICALFSLPFPHGQPHGLKDETSHYTTVPIYLEQKKTQKLLLLGIQGSGTSTIFKQAKFLYGNKFSAEELQDVKLMIQSNMYKYLSILLDGRERFEEEAVSRMNGQASPGQTLETSSNGESSTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDIVYAEGVTQGNGLAFMEFSLDDRVPKSDTYSDNLDAQLPPLTKYQLIRVNAKGLNEGCKWVEMFEDVRAVVFCVSLSDYDQLWLSPDSNGSGTLVQNKMVQSKELFETMVRHPCFKDTPLVLVLNKYDIFEEKISRVSLNTCEWFSDFCPVRAYHNNQSLAHQAYFYVAMKFKDLYASLTGKKLFVAQARARERVTVDEAFKYIKEVLKWDEEKDENFYGPPEDSFYSTTDISSSPFVRQ >Vigun02g028500.1.v1.2 pep primary_assembly:ASM411807v1:2:11363383:11364896:-1 gene:Vigun02g028500.v1.2 transcript:Vigun02g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVMGPDLISDLPQSIIESILVQLPIRDAVRTSILSSKWRYKWALITQLVFDDKCVPFSNDREVVEMSVVKFITRVLFLHQGPIHKFQITNSKLQSCPEIDQWILFLSRNDIKELVMELGEGEFFRIPSSLFNCRKLTRLELSRSLNLHQVLISPDAVESLISRCPLLESLSLSYFDNLALTISAPNLKYLYSTLVEISIAMYMTDDIAEHFEQSSNCNFLKFLGGVPNLEKLVGLIYFTKVLIRCIFPIMYHNLETIELYQVNFEDTKEIFVILRMITSSPNLKELQISGSSNIPVAVDAPDLDFWEKGCLLDSALNKLKTMKLSEMGGWPHEIEFIKYLLCRSPVLETLSIIPCVFDMENNLKMLIELVKCRRASSRAEVIFIHE >Vigun01g071800.1.v1.2 pep primary_assembly:ASM411807v1:1:19722426:19725106:-1 gene:Vigun01g071800.v1.2 transcript:Vigun01g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIWTLFSFSLMTRFGWVHVCIDDISHVNIKRRPNHLYLLKSFYHTHFSFPKPSSLTTNNVFFLSLPIYIVRRKIGVGLVSMENYSMLFPCPNSSSYPISTSAVGTSQHGFGGQSSNAFLGLRPSNESSGSDHEKRGEEAGRDAAMLMSQISSGGINVSDELGGLGNGGNSNGKKKGEKKVRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGVVVTTYEGVHTHPIEKTTDNFEHILSQMQIYTPF >VigunL059057.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000410.1:481:6428:-1 gene:VigunL059057.v1.2 transcript:VigunL059057.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPVPKLKTRHPKTQTRNRKPKSRIPKRQNPKSQTRNTNPELGTPNPNHETRNLKPEIGNPKLVTQNLKSENLKLKIDIRNLKRETSNSKIETLNPKPKNRIPKPKSQNRNPNLNHNPKPTVTRNSNPQTRNPKPEIRNSIPNPKLNLKVETRNLKSVTRNPQNPKTQRRILRFETRNPNWETRNSKAEIQIRNQPEIRNPKSDTRNMSPKPVPKLKTRQPKTQTRNRKPKSRIPKRQNPKSQTRNTNPELGTPNPNHETRNPKPEIDPKLNLKVESRNSKFQTQNLKPGKPKTNPEIRNSKAETPKPKP >Vigun11g198900.3.v1.2 pep primary_assembly:ASM411807v1:11:39701708:39704479:1 gene:Vigun11g198900.v1.2 transcript:Vigun11g198900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMHTKSDSEVTSNSMEQSSPARSPPRRPLYYVQSPSNHDVEKMSYGSSPMGSPHHHFHYLSSPIHHSRESSTSRFSASLKNPRNFSSNWKKLHPHPQHDADHDDDADDGDDLDRSSRNLRLYFCFFLLFLMLFTLFSVILWGASKSYKPRLIVKMRKFYQSRKSERKLRVVVLGHQIPLYGGVSVLGNTKEHLESVALPLNLTFTVRSRAFILGRLVKSKFYRRVRCSVTLHGNKLGKPLNLTDSCVYK >Vigun11g198900.1.v1.2 pep primary_assembly:ASM411807v1:11:39701650:39704479:1 gene:Vigun11g198900.v1.2 transcript:Vigun11g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMHTKSDSEVTSNSMEQSSPARSPPRRPLYYVQSPSNHDVEKMSYGSSPMGSPHHHFHYLSSPIHHSRESSTSRFSASLKNPRNFSSNWKKLHPHPQHDADHDDDADDGDDLDRSSRNLRLYFCFFLLFLMLFTLFSVILWGASKSYKPRLIVKSMVFENLNVQSGNDGSGVPTDMLSLNSTVRIVYRNPATFFGVHVTSTPLQLSYYQLAIASGQMRKFYQSRKSERKLRVVVLGHQIPLYGGVSVLGNTKEHLESVALPLNLTFTVRSRAFILGRLVKSKFYRRVRCSVTLHGNKLGKPLNLTDSCVYK >Vigun11g198900.5.v1.2 pep primary_assembly:ASM411807v1:11:39702359:39704481:1 gene:Vigun11g198900.v1.2 transcript:Vigun11g198900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMHTKSDSEVTSNSMEQSSPARSPPRRPLYYVQSPSNHDVEKMSYGSSPMGSPHHHFHYLSSPIHHSRESSTSRFSASLKNPRNFSSNWKKLHPHPQHDADHDDDADDGDDLDRSSRNLRLYFCFFLLFLMLFTLFSVILWGASKSYKPRLIVKSMVFENLNVQSGNDGSGVPTDMLSLNSTVRIVYRNPATFFGVHVTSTPLQLSYYQLAIASGQMRKFYQSRKSERKLRVVVLGHQIPLYGGVSVLGNTKEHLESVALPLNLTFTVRSRAFILGRLVKSKFYRRVRCSVTLHGNKLGKPLNLTDSCVYK >Vigun11g198900.2.v1.2 pep primary_assembly:ASM411807v1:11:39701708:39704479:1 gene:Vigun11g198900.v1.2 transcript:Vigun11g198900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMHTKSDSEVTSNSMEQSSPARSPPRRPLYYVQSPSNHDVEKMSYGSSPMGSPHHHFHYLSSPIHHSRESSTSRFSASLKNPRNFSSNWKKLHPHPQHDADHDDDADDGDDLDRSSRNLRLYFCFFLLFLMLFTLFSVILWGASKSYKPRLIVKSMVFENLNVQSGNDGSGVPTDMLSLNSTVRIVYRNPATFFGVHVTSTPLQLSYYQLAIASGQMRKFYQSRKSERKLRVVVLGHQIPLYGGVSVLGNTKEHLESVALPLNLTFTVRSRAFILGRLVKSKFYRRVRCSVTLHGNKLGKPLNLTDSCVYK >Vigun08g175100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34528719:34528943:-1 gene:Vigun08g175100.v1.2 transcript:Vigun08g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKHFHSLVLIIITLLFLTPRIHCIRSKFSGPSTSSHEDFHPWGNSPISSRGREFMSEKRRVPTGSNPLHNKR >Vigun11g066200.1.v1.2 pep primary_assembly:ASM411807v1:11:16235888:16239438:1 gene:Vigun11g066200.v1.2 transcript:Vigun11g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTFLFLSTNLLHLLPIISSATDTINVLQPLLDGSTLVSKDGTFEMGFFSPNNSTNTTTNRYLGIWYRSVPVRTVVWVANRENPVKDNSSMCSINTDGKLVILTNNNTLVWSANSTEEIKAQSPFAQLLDSGNLVLRDEKDSNPEKYLWQSFDYPCDTFLPGMKIGWNLKTGLNRRLTAWKNWDDPSPGDFIWGMVLGNTTELVMWKGTSEYYRSGPWNGVRFNGKTTPLFNLELESTVDEVYYTYNIGNKSLITRVVLNQSVYSRQRYNLNVENQTWKLYSSVPRDICDTYNLCGPYGNCIVNESPPCQCLTGFKPKSVQNYEALDWSQGCVLSEAWSCGVKKKDGFKKFSGLKMPDTTSSWVDGNLTLENCKAKCLLNCSCTAYANLDVRGDGKGCIIWYGALLDLRVASVPGQDLYIRMVAPENGWDKKIVAVVIPVSLLAFVAVFIFIYWRKRNLREKTSEENKDEGCEEDLELSSFDFSTIVRATDNFSSEKRLGQGGFGPVYWGALEDGQEIAAKRLSNSTGQGVKEFKNEVILCAKLQHRNLVKVLGCSTQEGERVLVYEYMPNKSLDSFLFDSSKSGLLDWSKRFNIIFGIARGLLYLHQDSRLRIIHRDMKASNILLDSELNPKISDFGVARMFTANQTEENTKMVVGTYGYMAPEYAIDGLFSVKSDVYSFGVLLLEIVSGKKNRGMFFPDHGLNLLGHAWRLWKESSPMKLVDAALGDTFTVSEVLRCIHVGLLCVQLYPEDRPNMASVIFMLSSENTLPQPKEPGFLIERTNTAGECSTSNEVKTSTNELTVTLLEAR >Vigun09g068500.1.v1.2 pep primary_assembly:ASM411807v1:9:7317012:7322112:1 gene:Vigun09g068500.v1.2 transcript:Vigun09g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENQRGHLGDEDGSGDRFPVGMRVLAVDDNPICLKVLETLLRKCQYQVTTTNHAVEALKMLRENRNKFDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMQGVTHGACDYLLKPVRIEELKNIWQHVVRRKNFDGKDQSKASNDEKALNIAGEGSPSLRSENSADQNKRLGKKRKDQSEEEEDDGEGNGDDDDPSAQKKPRVVWSVELHRKFVAAVNQLGLEKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKKANHQANMVAALGGSDSYLRMGSIDGFGDFCTASGSGRISNTTLPSYASSGIFSRLNSPAGLNMRGISSSALIRPVQTQNLNSSLNTLGNIQPSIFSANQSSGLLQGIPTSIELNQSKQSNCSTGLSQLSQVDSSGFTVASSFPDSRATTVNGPNNSLPCASNNHIILQGNPQQTHGPGTFRNQSSVRAEPFGIGGSSNMLDYNRCNENWQNAAQLSKFPANSLPLCDAFGNDQLPPTSINVSNSGTHIGNTPVDFSSRMAMTVPLEEVQPLSYTPQQRWEEHKLDYDQNLSRPFNPVNSYASSSAATSSMGHGLNQNNAICSNRINASLVCPCTEVDRFSSDIPLKSNEAYILEQLKSQEGFMQNTFGTLDDIMGAMVKREQNELTLLDGEIGFDASYPVGSCN >Vigun07g182500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29881820:29882275:1 gene:Vigun07g182500.v1.2 transcript:Vigun07g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIGVFMLLFGLTITHLPSLANAKRKSKDHHHHHHHSPAYEPSGTHAILTLNNFARGGDGGGASECDGKFHPLPQRVVALSSGWYSHGVRCGRMIKIKARNGRSTVAKVVDECDSRHGCKNNVVDASETVWKDLRLKTDDGEVPVTWIML >Vigun06g137200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26291530:26293140:-1 gene:Vigun06g137200.v1.2 transcript:Vigun06g137200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSPLAISMASMLPFVIVLLLSHASCASLTDSVYGAFVDCLRNNIHSTNISGIVFAQTNASYTSLLRAYIRNARLNTSSTPKPLIIVTPLQEYHVQTAVICAKSIDIQLKTRSGGHDFEGLSYISDEPFIMLDMFNFRNITVDVEKGVAVVQAGATLGEVYYRIWEESDVHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSIDHIIDAQIVDVKGRILNKESMGEELFWAIRGGGGASFGVILSYTIKLVPVPEVVTVFRVEKTLDQNATDLVVQWQQVAPHTDERLFMRLELQPVNSNVGGRHRTIRAQVVTLFLGGADELVSLLDKEFSSLGLNRENCIEMSWIESALWWASFRNGTHPNALLNRKLNSAKFLRRKSDYVTTPISKDELEWVWKRMIELGETSIVFNPYGGRMNEISADATAFPHRAGNLFKIEYSVNWNEPGYASDKNFTTQIRRLHSYMTPFVSKNPRRAFLNYRDLDIGINHHDNNSYREGEVYGIKYFHNNFYRLVKIKTEIDPENYFRNEQSIPKL >Vigun06g137550.1.v1.2 pep primary_assembly:ASM411807v1:6:26308107:26309237:1 gene:Vigun06g137550.v1.2 transcript:Vigun06g137550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWANLIPNVHKVVIHKDRFYVSLLPTRQNKNNIERGKNERLGSIEFNIVRNESSRKGVQNNLYLRVALPCKEADHCTHQRVQSHLECFIVTFVIQYNSIQLFLFILI >Vigun11g100533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29489747:29490142:-1 gene:Vigun11g100533.v1.2 transcript:Vigun11g100533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSLSSRVQTFVDVSSLISLDVQNNSSNQLSYIYEELRKLQSLWIKCGSDVQLSGDTTSILDALYATNLDETESSGTASQMQNVFTLIECNSRSKLFEKTLLIRMGTSSEITYSETENFKGSFLIFLS >Vigun03g417200.1.v1.2 pep primary_assembly:ASM411807v1:3:62401483:62403111:1 gene:Vigun03g417200.v1.2 transcript:Vigun03g417200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPRRRKTDLSSKTGAFLMALTILLGLFCFVLCLIAEATRSKVMWTSINVNGKGSKSECVYSGSGKMPLLCASCAFIGLALAILVEHTFMLIAVSNSSPALLTLDPDSASAKSLTWQAGFFFVTTWICFAIGEILLLAGVSVESGHLKNWWKPKPSCISVREGLFCGAGVFALSTVFLASALYLTALRALRISQEQESVRREVALSASLPRGSRPQITTVTRENPTTRETPLSVFPSPFNKSYTFV >Vigun03g417200.2.v1.2 pep primary_assembly:ASM411807v1:3:62401483:62403111:1 gene:Vigun03g417200.v1.2 transcript:Vigun03g417200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPRRRKTDLSSKTGAFLMALTILLGLFCFVLCLIAEATRSKVMWTSINVNGKGSKSECVYSGSGKMPLLCASCAFIGLALAILVEHTFMLIAVSNSSPALLTLDPDSASAKSLTWQAGFFFVTTWICFAIGEILLLAGVSVESGHLKNWWKPKPSCISVREGARKCSKRGSS >Vigun07g150600.2.v1.2 pep primary_assembly:ASM411807v1:7:26089515:26094152:1 gene:Vigun07g150600.v1.2 transcript:Vigun07g150600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLANSPSRKDGIDEATETTLRIYGCDLVQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENPRKARQVIIVFHRMECRRENFPMEHLDLYSKKYVDLKMELSRTERHILKEMGFICHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDGEKSGIDEVCRVLAHLYSLPKAQYIPVCKDGDFTFSNKSLESKSQSTPKEVPQHSPPADSDTSMPKASQGEANIESMGGKGPVVKVAIDKLKDSKRSDDESKGMATDGEAKEEHMLKSKPDRKSEVIGETRRDRDRDRDRDRERDRDRDRDRTKSRDRDRGRDSDKEREGHRSRERAKDSGHSEKSKRHSSHDRDYHGSSYSSREKDRHRHH >Vigun07g150600.1.v1.2 pep primary_assembly:ASM411807v1:7:26089515:26094152:1 gene:Vigun07g150600.v1.2 transcript:Vigun07g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLANSPSRKDGIDEATETTLRIYGCDLVQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENPRKARQVIIVFHRMECRRENFPMEHLDLYSKKYVDLKMELSRTERHILKEMGFICHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDGEKSGIDEVCRVLAHLYSLPKAQYIPVCKDGDFTFSNKSLESKSQSTPKEVPQHSPPADSDTSMPKASQGEANIESMGGKGPVVKVAIDKLKDSKRSDDESKGMATDGEAKEEHMLKSKPDRKSEVIGETRRDRDRDRDRDRERDRDRDRDRTKSRDRDRGRDSDKEREGHRSRERAKDSVSAGHSEKSKRHSSHDRDYHGSSYSSREKDRHRHH >Vigun04g004600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:293957:295725:-1 gene:Vigun04g004600.v1.2 transcript:Vigun04g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGEHHRANPTVHVPPWPTHDDPTAEMYSAFLSNDVNAAEYSPYYLQEALTALQRYLPANETDADSDSEAQTDAPVDAYSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGAACPEFRKGSCKKGDTCEFAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQLRLVPMQSPRSSGESYDGSPMRQVLQSSAFMSSPAASLSPPESPPVSPSMNEMVASLRNLQLGKMKSMPHNRNVTVGSPGYGSPRGSVLRPGFCSLPTTPTQQPGRSGVNYLDVWEQSVEEEPVMERVESGRGIRAKMFEKLSKENPLEGSGQGSGAPDVGWVSELVK >Vigun03g325300.2.v1.2 pep primary_assembly:ASM411807v1:3:52170192:52171556:1 gene:Vigun03g325300.v1.2 transcript:Vigun03g325300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHTIPTSLSKHPNMKNTNTTVTASSKLDRKTIERNRRIHMKALCFKLVSIIPSKYLKPTKDQLDLAATYIKHLRERIEKLKGEKEQAMKMMRTTQTNNGIFSIDSDLPLLEIKELGSGIEVMLISGVNKNFMLYEVIGVLEEEGAEVVTANFSTVDNKIFYTVHAQVKISRVGVEPTRVYQKLQELIAPLESW >Vigun03g325300.1.v1.2 pep primary_assembly:ASM411807v1:3:52170192:52171556:1 gene:Vigun03g325300.v1.2 transcript:Vigun03g325300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHTIPTSLSKHPNMKNTNTTVTASSKLDRKTIERNRRIHMKALCFKLVSIIPSKYLKPTKDMLSQQDQLDLAATYIKHLRERIEKLKGEKEQAMKMMRTTQTNNGIFSIDSDLPLLEIKELGSGIEVMLISGVNKNFMLYEVIGVLEEEGAEVVTANFSTVDNKIFYTVHAQVKISRVGVEPTRVYQKLQELIAPLESW >Vigun04g152100.1.v1.2 pep primary_assembly:ASM411807v1:4:36884169:36893395:-1 gene:Vigun04g152100.v1.2 transcript:Vigun04g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFIRKGDSGFSSSSTAEEVTLGIHSTSLTAIVTGASSGIGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVHHFADEFNSTSLPLNILINNAGIFASPFMLSKDNIELQFAINYLGHFLLTSLLLDNMKKTARESKIQGRIVNVSSMGHRFTYPKGILFDKLNDPSSYNKWRAYGQSKLSNILHANELARRFKEDGVDITANSLHPGFITTNIYLHNRLLTGIKNILGPFVIRCLERFVLKNVQQGASTTCYLALHPQVSGISGKYFADNNVSETSLDGRDMDLAKKLWDFSMNLTNQK >Vigun04g152100.2.v1.2 pep primary_assembly:ASM411807v1:4:36884169:36893395:-1 gene:Vigun04g152100.v1.2 transcript:Vigun04g152100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFIRKGDSGFSSSSTAEEVTLGIHSTSLTAIVTGASSGIGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVHHFADEFNSTSLPLNILINNAGIFASPFMLSKDNIELQFAINYLGHFLLTSLLLDNMKKTARESKIQGRIVNVSSMGHRFTYPKGILFDKLNDPSSYNKWRAYGQSKLSNILHANELARRFKEDGVDITANSLHPGFITTNIYLHNRLLTGSINNMLFSIAPTSEWNQWQVFCR >Vigun02g025200.1.v1.2 pep primary_assembly:ASM411807v1:2:8385810:8388935:-1 gene:Vigun02g025200.v1.2 transcript:Vigun02g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAQVTACQPWISVKNISQLHKLHSKPYGLPKSFGPCSCVLLTLRARQSFGLKCRPISQSRKPLHICLAGEQGMMGNDDENSPWKSIEKAIGKFKGQNSIEDVLRRQIEKGDYYDSGGDGGEKPPGGGGNSGGSGPDGSGDSEDESLSGMLEENLQVFLATLGFIFLYIYIITGEEITKLARDYIRYLFGGPQSVRLKNAMYQCGVIYNTLTAPKEEEDEDDEFWLEEAILSTPTWWYDPDDYREALKNYLLSGSDEAMAMRDHLGLQSDDHVRYYLESDYDEDDENVNNNYEKEDDYEINFP >Vigun06g137100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26289500:26291316:1 gene:Vigun06g137100.v1.2 transcript:Vigun06g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKTTMTITVGNCFGSYVLLLTVITLSVIEAADPAVHDTFLKCLTENTKTASGELSKIVFAQNNPSFTTVLQKFARNKRFVTAMTPKPVLIFTPLEESHVQGAVICAKTAKLEIRIRSGGHDYAGISYVSKQTFMVLDMSKLNAITIDVKNEVAVVQPGATTGELYYRIWEKSKVHGFSSAVCPTVGLGGHISGGGYGNMLRSFGLTVDNVIDAKIVDVKGNLLDKKGMGEDLFWAIRGGGGASFGVVVSFTIKLLPVPEKVTIFRSERSWDSKTADFVLGWQKVASVTDKRLFMRLMLLPEQKTLKATIVALFLGGADEVVSLLGKEFPLLELKKENCSEVSWIESVMWWNDPKSLENGDKPETLLDRKPNTGIFLKRKSDFVEKGISKEGWETIFKSVVELGETGIHFNPYGGKMDEIAPDATPFPHRKGNMFKLQYNVNWVDPSIDADKNYTKQAKKLFNVMTPYVSKNPRRAFFCYRDINTGVNTFGQNSYKEGEVYGTKYFGSNFERLVKVKTAVDPQNFFRNEQSIPVLNANVSMHSLYISYHV >Vigun10g005000.1.v1.2 pep primary_assembly:ASM411807v1:10:480544:483088:-1 gene:Vigun10g005000.v1.2 transcript:Vigun10g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYRPSAEYVEDSNEALIDLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKVARFEGSSGKVYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYSEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun10g005000.2.v1.2 pep primary_assembly:ASM411807v1:10:480544:483088:-1 gene:Vigun10g005000.v1.2 transcript:Vigun10g005000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYRPSAEYVEDSNEALIDLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKVARFEGSSGKVYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGSRQKSSLSEYSEPSSISKKRHESNSKSKSKSKFNLSEVSHGHSSGISSMSPEYSHEGKPKRRKLTE >Vigun08g195700.1.v1.2 pep primary_assembly:ASM411807v1:8:36180089:36191373:-1 gene:Vigun08g195700.v1.2 transcript:Vigun08g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLGDSRVFLSLFLASTSLIFLSHLASAATPKLNAQEVKALKDIGSKIGKKDWDFGVDPCSGKGSWNVSDDRKGFQSSVMCDCSFNNSTSCHVVSIFLKGQNLSGTLSPMFSKLQYLTILDLSRNIITGSIPSEWSTMGLVEISFMGNKLSGPFPKVLTNITTLKNLSIEGNLLWGHIPTEIEKLVNLEKLILSSNGFTGALPPAFSKLTKLIDLRISDNNFFGKIPDFISNWTVIEKLHMHGCSLEGPIPSSISALTRLSDLRITDLKGDKTSAFPPLNNLKSMKTLVLRKCRIKGEIPEYIGRMEKLKILDLSYNSLSGEIPESFAELDKVDFMYLTGNKLSGTIPPWVLGNNKNIDVSDNDFSWDSSSPTECQRGSINLAESYSSSVDTQNNIHSCLKRNFPCPASVSQYQYALNINCGGKEANISGHIYEADGERKGAAMLYYSGQDWALSSTGNFMDNDIDSDPYIVANTSKLNNNVSALSSQLYTTARVSPLALTYYGLCLINGNYTIKLHFAEIIFISDRSLNSLGKRVFDVYIQGNLVLKDFDIQREAGGTGKPIVRTFNATVTQHTLKIHFYWAGKGTTGIPTRGVYGPLVSAISVNPNFKPPSRGDDNRTHVILAIGIVAGVLVLLLLGLVFMRWMGWLGGNDPVYKELRGIDLQTGLFTLRQIKAATKNFDAANKIGEGGFGCVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEMGLISGLQHPNLVKLYGCCVEGNQLILIYEYMENNCLSRILFGRNPESRIKLDWPTRKKICLGIAKALAYLHEESRIKIIHRDIKASNVLLDKDFNAKVSDFGLAKLIEDDKTHISTRVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALETVSGKSNTNFRPNEDFVYLLDWAYVLQERGSLLELVDPDLGSEYSTEEAMVVLNVALLCTNASPTLRPTMSQAVSMLEGWTDIQDLLSDPGYSAVSSSSKYKSIRNHFWQNPSRTHSMSIPSVYTDSSGSHVETEKSYRLVTTVNSDGSNTQESGVL >VigunL044700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:463831:463959:1 gene:VigunL044700.v1.2 transcript:VigunL044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVVSTLWFGALAGLLIEINRFFPDALIFPFF >Vigun08g173900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34447072:34449827:1 gene:Vigun08g173900.v1.2 transcript:Vigun08g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAWPVAAVTLVLLLCSSIPKSESQQEYVNNKQLNCSNHDTNATSGNLCNSVPSCISYLTFKSSPDYNSPVSISYLLNSNTTVTAAANNITDVQSIPVDTLITIPINCSCSGLYYQHNASYTIKIQGETYFLIANNTYQSLTTCQALMAQNTYGERDLVAGLNLNVPLRCACPTQKQSEAGFKYLLTYLVSKGETVSSIAEIFGVDQQSILEANELSNPSIYYFTPISVPLKTEPPTNLLRAAAPPNDSPSPPPPAAEGDSDSSKKWVIIGIVVGVVVLLLVCAALFFFCFYRQRRVQSPSPTPPAKAFSGPTHKQSEEMTLPTTQSWSLSSEGIRYAIESLSVYKFDELQKATGFFSEENKIKGSVYRASFKGDYAAVKILKGDVSGEINILKRINHFNIIRLSGFCVHKGDTYLVYEFAENDSLENWLHSVNKKYENSVSLSWVQRVHIAHDVADALNYLHNYTNPPHVHKNLKSGNVLLDGNFRAKVSNFGLARTVEGEGDEGGFQLTRHVVGTQGYMSPEYIENGLITPKMDVFAFGVVLLELLSGREAVGGSKNGAGEQMLSVTVNQVLEGDNVKEKLRGFMDPNLRDEYPLELAYSMAELAKRCVARDLNARPQISEVFMTLSKVQSSTMDWDPSDELERSRSVSQISDSR >Vigun09g183800.1.v1.2 pep primary_assembly:ASM411807v1:9:35763335:35766836:1 gene:Vigun09g183800.v1.2 transcript:Vigun09g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPCSSSTCAPIQSSLFSSFTLRRRLPLVGAFCMLSLGLSNIYTPFYSSALKTGCVLSLLRSKLGVRSHSAIRMEANSTTVPSIVVYVTVPNKEAGKKLAESIVTEKLAACVNRVPGIESVYQWEGKIQTDSEELLIIKTRQSLLEALTEHVKAHHEYDVPEVISLPITGGNLKYLEWIKESTRE >Vigun09g183800.2.v1.2 pep primary_assembly:ASM411807v1:9:35763335:35766836:1 gene:Vigun09g183800.v1.2 transcript:Vigun09g183800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPCSSSTCAPIQSSLFSSFTLRRRLPLVGAFCMLSLGLSNIYTPFYSSALKTGSKLGVRSHSAIRMEANSTTVPSIVVYVTVPNKEAGKKLAESIVTEKLAACVNRVPGIESVYQWEGKIQTDSEELLIIKTRQSLLEALTEHVKAHHEYDVPEVISLPITGGNLKYLEWIKESTRE >Vigun10g127600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33566534:33567100:1 gene:Vigun10g127600.v1.2 transcript:Vigun10g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPTSTASLVLLLILISANSLHCEAQQCRPSGRIIGKKPPPGECNQENDSECCVQGKAYTTFKCSPAVSSNTKAQLTLNSFEKGGDGGGPSACDNKYHSDDTPVVALSTGWFNNMSRCLHNVTISANGRSVVAMVVDECDSTMGCDEDHDYQPPCPNNIVDASKAVWKALGVPHNQWGGLQITWADA >Vigun03g231350.1.v1.2 pep primary_assembly:ASM411807v1:3:38569549:38571134:-1 gene:Vigun03g231350.v1.2 transcript:Vigun03g231350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SQACLHKNITILKKLIIKIYSSCPENREGVCELKNKMKYYAKTIWVDVKNTSKSCESDQKIRYEKCDKRKDTKMLKKEWKKRDNKQKIKDAMRLDNYKKMQCWTIMKHLMVRRYAWIMSLRDIKLKFKILEYSKVDNFTNDMRKVFSYPFGYPPKSEVHKITRKISQSFEFKWKIMKRSGY >Vigun05g110200.1.v1.2 pep primary_assembly:ASM411807v1:5:11419157:11422627:1 gene:Vigun05g110200.v1.2 transcript:Vigun05g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQMSNVDLEQGNHRRSLVGSDVSVEGSLCFSDADDGSCYSRFYSTNGGSYDDYSFACVSDPEAGGVAHSGRASSVSECSVELETRTGVPEIKVHLAKVEMDCRICHMGLESDSHESGVPIELGCSCKDDLAAAHKHCAEAWFKIKGNRTCEICHAVARNVYGGNEDSTEHVSDGSNGTTAAATLSTTAPSTEPRRFWHGHRFLNFLLACMVFAFVISWLFHFNMPSS >Vigun10g070942.1.v1.2 pep primary_assembly:ASM411807v1:10:17221619:17222415:1 gene:Vigun10g070942.v1.2 transcript:Vigun10g070942.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINMRQDLKKLFDMVIQIYRAQRGFMVSKSKLSNIKWTTIKCPKQSNDHDCGYYICRYMKEIFTYYEGGTIPIDYFPSCRCQQFSNNQIIEVKEDWCFYLISKCLQVSSEG >Vigun11g035700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:4821347:4821818:1 gene:Vigun11g035700.v1.2 transcript:Vigun11g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVLGFVMFVMAYGFTVTTLSYSQAPATCNGDEKLLSLCGEYLVNNKSNPSSDCCNAASDAFKRAMAVSHGQGIRDICNCLRVEGPNLNFHQDKLVRLPDVCHIKLSFSMQLCIFGS >Vigun05g301200.1.v1.2 pep primary_assembly:ASM411807v1:5:48449779:48452059:1 gene:Vigun05g301200.v1.2 transcript:Vigun05g301200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLHHCHCSSSSSLLLHCITMAESTYLLLFLLSLFLIMPNGAHSYDNNTFYLQKSQKKHQNRAPGCLLPQSRREKGAIILEMKDRGEWSGEKDNWHEKQLALDVLHVRSIQNHIRKTTSRHIEDSSETQVPLISGVKFQTLNYIVSVGLGSQNMTMIVDTGSDLTWVQCEPCLSCYNQKGPLFKSSNSTSFQSILCNSTTCQSLQSGTGNSGACDSNPSSCDYVVNYGDGSYTRGELGFEKLSFGGISVSNFVFGCGRNNKGLFGGASGIMGLGRSELSMISQTNATFGGVFSYCLPSIRNGASGSLVMGNQSEVFKNVTPIAYTRMVPNLQLSTFYILNLTGIDVGGVALQNLSFGNGGVILDSGTVISRLAPSVYNAVKAKFLEEFSGFPSAPGFSILDTCFNLTGYEEVNIPTISMHFEGNAELNVDATGIFYLVKEDASRVCLALASVSDEYEMGIIGNYQQRNQRVIYDAKLSKVGFAAEACSFT >Vigun06g159000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28201442:28201958:1 gene:Vigun06g159000.v1.2 transcript:Vigun06g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKTLFLVLFIMALAITVATSSEWNEETKSLRGTSRFLSQNGRVALTCEKNPKICLVKGSAGPDCCNNKCVKFLTDRQNCGRCGKKCSFGKICCEGKCVNPNTNKKHCGKCGNKCNAKGSCVFGMCSYA >Vigun01g121600.1.v1.2 pep primary_assembly:ASM411807v1:1:29833749:29836114:1 gene:Vigun01g121600.v1.2 transcript:Vigun01g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHNQQKRRLDNTQGMAFQLSLICLISTIVIAFILWRKQKKTLLPPTPMALPIIGHLHLLSTIPHRDFHNLSIRYGPIMHLFLGSVPCVVASTAEAAKEFLKTHESSFSNRLAQTVAIEALTYGFQDFFFAPDGPYWKFMKKLCMSELLGGHMLEQLLPVRQQESKRFIQCLLQKGVAGEAVDFGGELMTLSNNIVSRMTVGHTSTENEKDGEEMRKLVADSAELVGKFNISDFIWFLKRFDLQGFNVRLKKTRDRFDAVLDRIIRQREEERRNKKETGGTRPFKDMLDVLLDIFEDESSEMKLNKDNIKAFILDIFVAGTDTTSITIEWALSELINHPHVLEKARLEIDAVVGKSRIVEESDIKNLPYLQAIVKETLRLHPPGPLLTRESSTSEVVCGYNIPPKTRLFINIWAIGRDPNQWKNPLEFMPERFIDENGHSEFDVRGQSYNLLPFGSGRRRCPAISLALHVVHVNVAALIQCFQLKVNGGEGKVDMEEKPGLTLPRAHPLICVPVPRLNPFPSM >Vigun01g131700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30979739:30980467:1 gene:Vigun01g131700.v1.2 transcript:Vigun01g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNLITVALLLLALAPKTESQLKPPLIPVAKAPRPLCGSQFALVNYACSRLPFRHGAPPADSPPPPEGDGERHHNHNHRHNHRHGHSHRHHQTPEEENCCRWAKEVDNQCVCELLLRLPPFLVRPVHQYTLNVGAACDITYSCGSPI >Vigun05g246600.1.v1.2 pep primary_assembly:ASM411807v1:5:43968592:43970921:-1 gene:Vigun05g246600.v1.2 transcript:Vigun05g246600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLATTVSICCILLFLSLPVMSREVEDEREFSYEEESENGPSHWGNIHPEWSVCNNGSMQSPIDLLNERVQIVSQLGKLQMNYQPSNATIRNRGHDIMLEWVSGAGYLQINETKYLLNQCHWHSPSEHTIDGKRFDLELHMVHETPSGQTTVIGILYKIGRPDSFLSSLTSHIKAISETTEAEKVVGVVDPRQIKIFYKQYYRYMGSLTIPPCTENVSWTMVREIRSVSKEQVRLLRAAVDDESESNARPLQHINNRLLQLYRQKYIKH >Vigun04g040300.1.v1.2 pep primary_assembly:ASM411807v1:4:3467161:3471086:1 gene:Vigun04g040300.v1.2 transcript:Vigun04g040300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCCLIGSWSQSSCIQSCGDFCPLLFDQIESTRSTISGLASNCHSNAAKQSENVAHIPSVGNGHTPKVRKPYTITKQREKWTEEEHQKFLEALKLYGRGWRQIEEHIGTKTAVQIRSHAQKFFSKVVRESEGSAESSIQPINIPPPRPKRKPLHPYPRKSLDAFKGHTIPNETETSQSTNLLVAEKDTPSPTSVLSTVGSEAFGSAFSEQNNRCLSPNSCTTDIHSVSLSPVEKENDCMTSKASEEEEKGSPASVPLSIVSYPNMCMKPEFSTKETEYVIEDSTNMPQTTSIKLFGRTVSMVDNHKLQNIDDNGKAITVKSDEVDDVGNEKPGQSTEQVDTQLSLGLVGGNWAITPDSDGADYSQEPPKENVCLSECVPDASFPQWSLYQGLPAFCVSPCNQILNPMPLRPSLKVRAREEESCCTGSNTESVCDMENQGKNSDAVDSKCEKYQGEGAAPQKPARGFVPYKRCLAERDGNSLIAALEERDGQRARVCS >Vigun06g089700.5.v1.2 pep primary_assembly:ASM411807v1:6:22196527:22199719:-1 gene:Vigun06g089700.v1.2 transcript:Vigun06g089700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDSLISLQVLIFSITFLLSEISRVTGKNELVHNQGFGDFDRMRFINPSHMASPNSTSNFTAWNSLSHERLAGMQGLNMEWQTSPVVPSSPIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNDPLHILIEAELPASVVDVRLRQAQEIIQELLKPVDESQDIYKRQQLRELAMLNSNFREESPQLSGSISPFTSNEIKRVKTDQ >Vigun06g089700.3.v1.2 pep primary_assembly:ASM411807v1:6:22196527:22200800:-1 gene:Vigun06g089700.v1.2 transcript:Vigun06g089700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPLCTRLLNQEISRVTGKNELVHNQGFGDFDRMRFINPSHMASPNSTSNFTAWNSLSHERLAGMQGLNMEWQTSPVVPSSPIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNDPLHILIEAELPASVVDVRLRQAQEIIQELLKPVDESQDIYKRQQLRELAMLNSNFREESPQLSGSISPFTSNEIKRVKTDQ >Vigun06g089700.2.v1.2 pep primary_assembly:ASM411807v1:6:22196527:22200800:-1 gene:Vigun06g089700.v1.2 transcript:Vigun06g089700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMYNQISLPSSQRANSPNINIRTSFDVDSQYLAELLAERQKLGPFMQVLPLCTRLLNQEISRVTGKNELVHNQGFGDFDRMRFINPSHMASPNSTSNFTAWNSLSHERLAGMQGLNMEWQTSPVVPSSPIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNDPLHILIEAELPASVVDVRLRQAQEIIQELLKPVDESQDIYKRQQLRELAMLNSNFREESPQLSGSISPFTSNEIKRVKTDQ >Vigun06g089700.1.v1.2 pep primary_assembly:ASM411807v1:6:22196527:22200800:-1 gene:Vigun06g089700.v1.2 transcript:Vigun06g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMYNQISLPSSQRANSPNINIRTSFDVDSQYLAELLAERQKLGPFMQVLPLCTRLLNQEISRVTGKNELVHNQGFGDFDRMRFINPSHMASPNSTSNFTAWNSLSHERLAGMQGLNMEWQTSPVVPSSPIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNDPLHILIEAELPASVVDVRLRQAQEIIQELLKPVDESQDIYKRQQLRELAMLNSNFREESPQLSGSISPFTSNEIKRVKTDQ >Vigun06g089700.4.v1.2 pep primary_assembly:ASM411807v1:6:22196527:22200062:-1 gene:Vigun06g089700.v1.2 transcript:Vigun06g089700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMYNQISLPSSQRANSPNINIRTSFDVDSQYLAELLAERQKLGPFMQVLPLCTRLLNQEISRVTGKNELVHNQGFGDFDRMRFINPSHMASPNSTSNFTAWNSLSHERLAGMQGLNMEWQTSPVVPSSPIVKKILRLDIPKDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDLDKEELLRGRPGYEHLNDPLHILIEAELPASVVDVRLRQAQEIIQELLKPVDESQDIYKRQQLRELAMLNSNFREESPQLSGSISPFTSNEIKRVKTDQ >Vigun09g100250.1.v1.2 pep primary_assembly:ASM411807v1:9:16401108:16403710:1 gene:Vigun09g100250.v1.2 transcript:Vigun09g100250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEDMGVWEEKLKEGETYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRPQPIASIPRKLWKFKSIKDIIDGKYCVDLLVDVIGMLDNVEEKGHSKNVVFDLKDLRSMASVTV >Vigun09g100250.2.v1.2 pep primary_assembly:ASM411807v1:9:16401108:16403710:1 gene:Vigun09g100250.v1.2 transcript:Vigun09g100250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEDMGVWEEKLKEGETYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRPQPIASIPRKLWKFKSIKDIIDGKYCVDLLVDVIGMLDNVEEKGHSKNVVFDLKDLRSMASVTV >Vigun03g015000.7.v1.2 pep primary_assembly:ASM411807v1:3:1030784:1036008:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSYDEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.10.v1.2 pep primary_assembly:ASM411807v1:3:1030784:1036008:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFYLSCWLLFHPSEQSILSEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQDFKKTSYLRKEGFGRFVAACVFVPSKSSGSCSTAICCNTSRSVRP >Vigun03g015000.2.v1.2 pep primary_assembly:ASM411807v1:3:1030668:1036392:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.8.v1.2 pep primary_assembly:ASM411807v1:3:1031750:1036352:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.13.v1.2 pep primary_assembly:ASM411807v1:3:1030737:1036118:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQDFKKTSYLRKEGFGRFVAACVFVPSKSSGSCSTAICCNTSRSVRP >Vigun03g015000.4.v1.2 pep primary_assembly:ASM411807v1:3:1030710:1036104:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.12.v1.2 pep primary_assembly:ASM411807v1:3:1030784:1036351:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSYDEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQDFKKTSYLRKEGFGRFVAACVFVPSKSSGSCSTAICCNTSRSVRP >Vigun03g015000.5.v1.2 pep primary_assembly:ASM411807v1:3:1030784:1036008:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFYLSCWLLFHPSEQSILSEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.11.v1.2 pep primary_assembly:ASM411807v1:3:1031198:1036351:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKENDSHCEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQDFKKTSYLRKEGFGRFVAACVFVPSKSSGSCSTAICCNTSRSVRP >Vigun03g015000.1.v1.2 pep primary_assembly:ASM411807v1:3:1030710:1036104:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYSSCYHWQSVESIEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.9.v1.2 pep primary_assembly:ASM411807v1:3:1030690:1036351:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun03g015000.6.v1.2 pep primary_assembly:ASM411807v1:3:1030784:1036119:1 gene:Vigun03g015000.v1.2 transcript:Vigun03g015000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKENDSHCEEETMQIRTESIQIKTTELESESDSEAAAIWNEIEASESFLVCSMYQEAASAASSILERLRHASLATPDMLESTAMVLLQALIHLPRNQHILDQLRLHFISVKAIPPRVLLTGACFQIAQGSGLGVQEFVEDFLNGWSLEDARYCAVIKETNVEDGSIEERHIILEINEYVEVVELYSVTLLATVRKDVDLAISWVENSSLPEENRQGLLRRLYSMHSPESTIVSQPFPQSPTNRNEDYSLKERNMSEGLPQASKTKHLNNESNRSKNALIKLSKQIETCFCCFRGIHLKIGSTKFVITSGKIMLGCLLLFIYYVFRKKQATIKRILRRQAISVKRALVDLWQLAFSYQVNPLAAVQPPSAATRQGQ >Vigun07g051200.1.v1.2 pep primary_assembly:ASM411807v1:7:5319540:5325116:-1 gene:Vigun07g051200.v1.2 transcript:Vigun07g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSSSSSYSMWVFFFLLLALFIHLQAAMATTTTTTTPQISHLRNVEVEKHRLPSLQNSSMAERALEAEKLNEQAAVANPEEVVSMVEMSIQNSTERRNLGFFSCGTGNPIDDCWRCDPNWQRNRKRLADCGIGFGRNAIGGRDGKFYVVTDPRDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNVIIHGLHIHDCVPTGNAMVRSSPTHFGWRTMADGDAISIFSSSHIWVDHNSLSHCADGLVDAVLGSTAITISNNHFTHHNEVILLGHSDSYTRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPVNPFAKEVTKRVETAESQWKGWNWRSEGDLLLNGAFFTPSGAGASASYARASSLGAKSSSMVGTITSNAGALGCKRGRQC >Vigun09g057400.2.v1.2 pep primary_assembly:ASM411807v1:9:5758304:5770128:1 gene:Vigun09g057400.v1.2 transcript:Vigun09g057400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSSSSAIVESLRGCGISSSARLGKEELRKKFTMPQYLRLAMRDSIRYNDAAAGESRRVGGDDDSDEAAPLVPIVVFINPRSGGRCGPLLKERLQHLISEEQVLDILEVKPHEFIRYGLGCLEMLAALGDSCADETRERLRIMVAGGDGSVGWVLGCLSELHAQGRVPVPPVGIIPLGTGNDLSRSFGWGGSFPFSWKAAIKRSLNKATVAPLCLLDSWRFSISMPEGTVIEPPHCLKHTTEFTFDEDLEIEGELSENIIYYEGVFYNYFSIGMDAQVAYGFHNLRNEKPYLAQGPIANKIIYSGYSCTQGWFFTPCTSDPKLRGLKNILRMHVKKINSSEWEQVLVPTSVRAIVALNLHSYGSGRNPWGNLTPEYLEKRGFVEAKVDDGLLEIFGLKQGWHASFVMVELISAKHIAQASAIRLEVRGGEWKDAFMQMDGEPWKQPMSKDFSTFVEIKREPFQSLMLSGD >Vigun09g057400.1.v1.2 pep primary_assembly:ASM411807v1:9:5758213:5770128:1 gene:Vigun09g057400.v1.2 transcript:Vigun09g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSSSSAIVESLRGCGISSSARLGKEELRKKFTMPQYLRLAMRDSIRYNDAAAGESRRVGGDDDSDEAAPLVPIVVFINPRSGGRCGPLLKERLQHLISEEQVLDILEVKPHEFIRYGLGCLEMLAALGDSCADETRERLRIMVAGGDGSVGWVLGCLSELHAQGRVPVPPVGIIPLGTGNDLSRSFGWGGSFPFSWKAAIKRSLNKATVAPLCLLDSWRFSISMPEGTVIEPPHCLKHTTEFTFDEDLEIEGELSENIIYYEGVFYNYFSIGMDAQVAYGFHNLRNEKPYLAQGPIANKIIYSGYSCTQGWFFTPCTSDPKLRGLKNILRMHVKKINSSEWEQVLVPTSVRAIVALNLHSYGSGRNPWGNLTPEYLEKRGFVEAKVDDGLLEIFGLKQGWHASFVMVELISAKHIAQASAIRLEVRGGEWKDAFMQMDGEPWKQPMSKDFSTFVEIKREPFQSLMLSGD >Vigun02g174800.3.v1.2 pep primary_assembly:ASM411807v1:2:31710275:31715364:1 gene:Vigun02g174800.v1.2 transcript:Vigun02g174800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPQSHALFLFPSGFLIVMLFCIEEDECEDSKLKFNDRSSRNQLNNGDTDETSKSYSSEDVSAKAIVNRAELSSNYRTSSGSDMTLSSSDDSSGLDTPREIGTRKKNIHLNTKGFLPDPVLHNASESQNLALNASTSMHDAHQRSHWDWSTGSDHRLSTDDATYGSHHNSLLRESSQQQQPSPLEIESLKAEVAALARQVEVSDLELQNLRKQIMKECKRGQDLTKEIVVLKGERDAFKTECDSLRSFQKRMEEAKVRNRSQFEGGDLHALVEEIREELDYEKDLNANLRLQLKKMQESNAELVLAVQDLDEMLEQKNSHMCNHSYANDRDKISAEKKMNLSKCETDDDDDEEQKALEELVKEHTEAKETHLLEKKIVDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHGLAYKLEQSELQDQLKMQYECSSSPSAMNDIEIHIKNLENQLKEQSEDFTNSLATIKALETHIRKLEEQMEKQTQGFEADLEAVTRDKVEQEQRAIQAEEALRKTRLKNAKTAERLQEEFRRLSTQMTTTFDANEKATMKALTEASEMRAQKRVQEEQLHKVKEELESTTAEYEVKLNQLSNQVDTMKGQIQQMLLEIEDKSKQLESQKKHEEQVSRDFSNEIGLLKSEIGKLNMEISCLLEQVEDKEILRTDLELMKKSVEEFEALLQKGTVERNELLATIELLKKDAEESLNELNRMIHLKDEKETEVRELQSELEDVRAQYNDLKLSFSKDEVEKEKLLKQVFQLKAELKKKDDALISSEKRFRESSARAQLIDGTKNILKNKKTASVPQNSKEIATMREKIKTLEGLIQTKETTLETSKTSFLQKEKELQTKIEELEKKVDEFNRSIALQKVVEDRSFSSSNEISGELTSLKERNKSMESELKEMQERYLEMSLNFAEVEGERQKLVMTVRNLKSTQRGQ >Vigun02g174800.2.v1.2 pep primary_assembly:ASM411807v1:2:31711580:31715364:1 gene:Vigun02g174800.v1.2 transcript:Vigun02g174800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSSDDSSGLDTPREIGTRKKNIHLNTKGFLPDPVLHNASESQNLALNASTSMHDAHQRSHWDWSTGSDHRLSTDDATYGSHHNSLLRESSQQQQPSPLEIESLKAEVAALARQVEVSDLELQNLRKQIMKECKRGQDLTKEIVVLKGERDAFKTECDSLRSFQKRMEEAKVRNRSQFEGGDLHALVEEIREELDYEKDLNANLRLQLKKMQESNAELVLAVQDLDEMLEQKNSHMCNHSYANDRDKISAEKKMNLSKCETDDDDDEEQKALEELVKEHTEAKETHLLEKKIVDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHGLAYKLEQSELQDQLKMQYECSSSPSAMNDIEIHIKNLENQLKEQSEDFTNSLATIKALETHIRKLEEQMEKQTQGFEADLEAVTRDKVEQEQRAIQAEEALRKTRLKNAKTAERLQEEFRRLSTQMTTTFDANEKATMKALTEASEMRAQKRVQEEQLHKVKEELESTTAEYEVKLNQLSNQVDTMKGQIQQMLLEIEDKSKQLESQKKHEEQVSRDFSNEIGLLKSEIGKLNMEISCLLEQVEDKEILRTDLELMKKSVEEFEALLQKGTVERNELLATIELLKKDAEESLNELNRMIHLKDEKETEVRELQSELEDVRAQYNDLKLSFSKDEVEKEKLLKQVFQLKAELKKKDDALISSEKRFRESSARAQLIDGTKNILKNKKTASVPQNSKEIATMREKIKTLEGLIQTKETTLETSKTSFLQKEKELQTKIEELEKKVDEFNRSIALQKVVEDRSFSSSNEISGELTSLKERNKSMESELKEMQERYLEMSLNFAEVEGERQKLVMTVRNLKSTQRGQ >Vigun02g174800.1.v1.2 pep primary_assembly:ASM411807v1:2:31710275:31715364:1 gene:Vigun02g174800.v1.2 transcript:Vigun02g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSARWRSDKNRVKAVFKLHFHATQVFQSGMDALVLSIVPGDIGKPTTKLEKAAVRDGTCRWDNPVYETVKFVQEAKTGKISDRIYHFLVSTGLPKASSIGEVSVNFADYVETTKPCSVSLPVRISHCDAVLHVSIQRLQENGDRREEDECEDSKLKFNDRSSRNQLNNGDTDETSKSYSSEDVSAKAIVNRAELSSNYRTSSGSDMTLSSSDDSSGLDTPREIGTRKKNIHLNTKGFLPDPVLHNASESQNLALNASTSMHDAHQRSHWDWSTGSDHRLSTDDATYGSHHNSLLRESSQQQQPSPLEIESLKAEVAALARQVEVSDLELQNLRKQIMKECKRGQDLTKEIVVLKGERDAFKTECDSLRSFQKRMEEAKVRNRSQFEGGDLHALVEEIREELDYEKDLNANLRLQLKKMQESNAELVLAVQDLDEMLEQKNSHMCNHSYANDRDKISAEKKMNLSKCETDDDDDEEQKALEELVKEHTEAKETHLLEKKIVDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHGLAYKLEQSELQDQLKMQYECSSSPSAMNDIEIHIKNLENQLKEQSEDFTNSLATIKALETHIRKLEEQMEKQTQGFEADLEAVTRDKVEQEQRAIQAEEALRKTRLKNAKTAERLQEEFRRLSTQMTTTFDANEKATMKALTEASEMRAQKRVQEEQLHKVKEELESTTAEYEVKLNQLSNQVDTMKGQIQQMLLEIEDKSKQLESQKKHEEQVSRDFSNEIGLLKSEIGKLNMEISCLLEQVEDKEILRTDLELMKKSVEEFEALLQKGTVERNELLATIELLKKDAEESLNELNRMIHLKDEKETEVRELQSELEDVRAQYNDLKLSFSKDEVEKEKLLKQVFQLKAELKKKDDALISSEKRFRESSARAQLIDGTKNILKNKKTASVPQNSKEIATMREKIKTLEGLIQTKETTLETSKTSFLQKEKELQTKIEELEKKVDEFNRSIALQKVVEDRSFSSSNEISGELTSLKERNKSMESELKEMQERYLEMSLNFAEVEGERQKLVMTVRNLKSTQRGQ >Vigun11g083700.1.v1.2 pep primary_assembly:ASM411807v1:11:24908325:24909815:1 gene:Vigun11g083700.v1.2 transcript:Vigun11g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEQMNFPSSHTKLFCTPNKEKYFAWSIEEEGEIMSHHRHNFTPLSRQRGLRSYDGHTYNHHESNEVPVFYRSNSSRVTDERTSDVLPRQRVWEFRHPVGFHHSIPYFPAAAPRRQQIHIHNEDMGRLRDIFFQPSSRYTFQTSTQAPPVVEISKSTVLRKLQKVVYARKLNLYYRNNAEGKPMKEKKEKDEDGKRCAICLEDFKTGEEVMLTPCKHMFHEDCIVPWLTTKGQCPVCRSVICEMECENPSSFNNNDLAALESSNLFNGEFLSVFRAMEEAFQLGSLT >Vigun07g143600.1.v1.2 pep primary_assembly:ASM411807v1:7:25437135:25439684:-1 gene:Vigun07g143600.v1.2 transcript:Vigun07g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKAPLSLSRLIKYTKGKEEKIAVVVGTVTDDIRVYEVPSLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSRGFRV >Vigun05g057300.1.v1.2 pep primary_assembly:ASM411807v1:5:4908670:4920879:1 gene:Vigun05g057300.v1.2 transcript:Vigun05g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVGGSGTGLKDLPYNIAEPFPSAWGSWTHSRGTSKDDGSPVSVFSLSGSNAQDGHLAAARNGVKRLRTVRHPNILSFLHSAEIETYDAGSPKVTIYIVTEPVMPLSEKIKELGLEGTQRDEYYALGLHQIAKAVSFLNNDCKLIHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNETSASQMLQYAWLVGSQYKPMELAKSDWDAIKKSPPWAIDSWGMGCLIYEVFSGLRLSKTEELRNTGSIPKSLLPDYQRLLSSMPSRRLNSSKLIENSEYFQNKLVDTIHFMEILSLKDSVERDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAASALTALLKMGSWLSAEEFNIKVLPTIVKLFASNDRAIRVGLLQHIDQYGESLSPQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIGSYLNEGTRKRVLINAFTVRALRDTFAPARGAGIMALCATSSYYDITEVATRILPNVVVLTIDPDSDVRTKAFQAVDQFLQIAKQHYEKTNASDTTGAASVGSSSVPGNASLLGWAMSSLTLKGKPSDHVPVASASSTAVTSTASNGTTGIETTAPARVSSATDLSEHPVPTSPTSTDGWGELENGIQEDHESDRDGWDELEPLEETKPAPALSNIQAAQRRPVSQPISQKKQASNLPSKSTPKLNKDEDDDLWGSIAAPAPKTARPLNLKTAQTDDDDPWAAIAAPAPTTKAKPLSTGRGRVAKPAAPKLGAQRINRTSSGM >Vigun08g159700.2.v1.2 pep primary_assembly:ASM411807v1:8:33241038:33244775:1 gene:Vigun08g159700.v1.2 transcript:Vigun08g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNMILRMPIKKHVRPHRTLCKTMTVILLMFLLSVVSQSLVYMMPPTVALSLSSESDKLALLALKHKLTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLQNQNWGGTLGPSLGNLTFLTTLILSNINLHGEIPTQIGRLKSLQLLDLSHNSLNGQIPVHLSNCSKLEVINLLYNKLTGKVPSWFGSGSMTRLNKLLLGANDLVGTIPAYLGNLSSLQNITVARNHLVGNIPDVLGRLSNLKELNLGLNNLSGVVPDSLYNLSNIQTFVLGVNRLSGTLSSKMQLAFPNLRAFFVGDNQLNGAFPSSISNITGLQRFDISLNGFSGPIPPTLGSLNKLETFSIFDNRFGSGSAQDLDFLSSLTNCTRLKILLLGWNEFCGVLPDLIGNFSTNLTSLSMECNQITGTIPERIGQLIGLTNFVVINNYLEGSIPDSIGKLKNLVRLALQENKLSGHIPTAIGNLTMLSEFYLHTNKFQGSIPLSLKHCTRMQSFGVSTNNLSGDIPDQIFGNLEGLINLDLSNNSFTGSIPLEFGNLKHLSVLYLHENKLSGEIPPELGACSALTELMLQGNFFPGSIPSFLGSLGSLEILDLSNNNFSSTIPVELQNLTFLHALNLSFNHLYGEVPIGGVFNNVTAISLIGNKDLCGGIPQLKLPACSRTLSKKHNWKKPKLLCPSRSLQNMHLKVSYEELHEATDGFSSSNLVGAGSFGSVYRGSLLHFEKSIAVKVLNLEARGASKSFTSECKALEKIKHRNVLKILTYFSGVDYNGDDFKAIVFEFMLNGSVESLLHSDEKLNSINLNPNLQLRLNIALDVANALDHLHHGSEKTIIHCDIKPSNVLLDDDAVAHLGDFGLARLLNMFTGHASRDQVSSSAAIKGTIGYVPPEYGAGGRVSEKGDIYSYGILVLEMLTGKRPTDEMFGEGLSLHKFCQMAIPERILEIVDSRLLLPFGVMETNIRECFASFARIGVACSAELPVQRLAIKDAIMELNAIKHKLSY >Vigun08g159700.1.v1.2 pep primary_assembly:ASM411807v1:8:33241038:33244772:1 gene:Vigun08g159700.v1.2 transcript:Vigun08g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLNMILRMPIKKHVRPHRTLCKTMTVILLMFLLSVVSQSLVYMMPPTVALSLSSESDKLALLALKHKLTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLQNQNWGGTLGPSLGNLTFLTTLILSNINLHGEIPTQIGRLKSLQLLDLSHNSLNGQIPVHLSNCSKLEVINLLYNKLTGKVPSWFGSGSMTRLNKLLLGANDLVGTIPAYLGNLSSLQNITVARNHLVGNIPDVLGRLSNLKELNLGLNNLSGVVPDSLYNLSNIQTFVLGVNRLSGTLSSKMQLAFPNLRAFFVGDNQLNGAFPSSISNITGLQRFDISLNGFSGPIPPTLGSLNKLETFSIFDNRFGSGSAQDLDFLSSLTNCTRLKILLLGWNEFCGVLPDLIGNFSTNLTSLSMECNQITGTIPERIGQLIGLTNFVVINNYLEGSIPDSIGKLKNLVRLALQENKLSGHIPTAIGNLTMLSEFYLHTNKFQGSIPLSLKHCTRMQSFGVSTNNLSGDIPDQIFGNLEGLINLDLSNNSFTGSIPLEFGNLKHLSVLYLHENKLSGEIPPELGACSALTELMLQGNFFPGSIPSFLGSLGSLEILDLSNNNFSSTIPVELQNLTFLHALNLSFNHLYGEVPIGGVFNNVTAISLIGNKDLCGGIPQLKLPACSRTLSKKHNWSFRNKLILIIVIGVGGVLMSSIISITIYYFRKKPKLLCPSRSLQNMHLKVSYEELHEATDGFSSSNLVGAGSFGSVYRGSLLHFEKSIAVKVLNLEARGASKSFTSECKALEKIKHRNVLKILTYFSGVDYNGDDFKAIVFEFMLNGSVESLLHSDEKLNSINLNPNLQLRLNIALDVANALDHLHHGSEKTIIHCDIKPSNVLLDDDAVAHLGDFGLARLLNMFTGHASRDQVSSSAAIKGTIGYVPPEYGAGGRVSEKGDIYSYGILVLEMLTGKRPTDEMFGEGLSLHKFCQMAIPERILEIVDSRLLLPFGVMETNIRECFASFARIGVACSAELPVQRLAIKDAIMELNAIKHKLSY >Vigun02g200600.1.v1.2 pep primary_assembly:ASM411807v1:2:33530028:33531662:-1 gene:Vigun02g200600.v1.2 transcript:Vigun02g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDQQSTKQLREHLQHHQEPFSLQSYLIERSYMFKNFSSSESTNIHHLYSAKNLKWSIKYDLHKIRKRLLHATGILRSLLHNFIPTEDNQEFSNWKEEDNSDLYYVHEYLTAHTQATQQTSSLKTLAMSHHFTNNDAPPHKVLPANMFQTFTLPQLKRSEVDAGTKPYWMSSKENSRGPKWKAPLPNAVCHLKSSEEQVTAVATLSQKLVRDYVRKLAASSNILKLRRAKKDKLQDMVETASLCGRNRRSLEKREQLRFDHVEKDKGFHKNEGKSVSSKAVSEAEGLQNIIHEHFNVLEKQYREGKKIRHLLHAESCVISEEWKSFQIVNLEICMEIGDSITDDIVSEIIDLF >Vigun08g014300.1.v1.2 pep primary_assembly:ASM411807v1:8:1222273:1223757:-1 gene:Vigun08g014300.v1.2 transcript:Vigun08g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSNHVDPKSATLKRNRSLTKDEINAFWKSKKKIEEEHLTAISTSPYTVQNEQVRKNNAESEKKFQKSVSMPVTRVRENLNKDLFDTSLEQLIEKNGWWTRSSWAFLNEPPVNEAASYKYTSQFHVANMESSKFNPQNGISA >Vigun02g195100.1.v1.2 pep primary_assembly:ASM411807v1:2:33084438:33092088:-1 gene:Vigun02g195100.v1.2 transcript:Vigun02g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVRSSGSGVVVKSRNSSGCLIVRKKGDGLGATASTSRKLYESKKRANISVSLSDSGSSDELLIPPGRRLGPETIRVCNGLAASERGGNEVSRKRDRVERIRGSGEGIAAEKGLEARERKRSKLDVYDFDEYDGMGAENSRRRHLDDNGVGHGGGRFIGSGHAARSGIDREFKAGSSGRVLDKRKNSYGDRPSGLFPVDDIDHSRFKMNRDGTRVPVSPQKEKFNSDESIRVQGKNGVLKVMVNKKKVRGPSEQYYDHHKPVESRQRLKTEEPTKRIKSEETVKRNVPSRPSSYMETKPVEKPRLVKRPEKKRITSKKSLSSKDSRGDDEGDSDNSDASLNPGIRNTETHKPAKKVISEDEQTPVHEKLPTTGTKDGKIKRGSGTEKQKLRERIREMLLTSGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALQKQLNDDANEVKVKGDSSSFAPIADEVLSQLTRKTRKKMEKEMKKKKKKYDSESGNEKEPQRKRSASNKCDMNSTDSDSNEEKLSSFIKQGSKSMKNKMSENTITSARTKIQNATHHSSDGIEKSSFGGDPHIHGRKSKKHGRCTLLVRSSNKGSNSESDGFVPYVGKRTVLAWLIDSGTVELSQKVQYRRRKKVLLEGWITRDGIHCGCCSKILTVSKFELHAGSKLPQPYQNIYLESGVSLLQCQINAWNRQEHSEKIGFHSVDIDGNDPNDDTCGICGDGGDLICCDGCPSTFHQSCLDIQMLPPGEWHCTNCTCKFCGIATRTSEKDDASVYVLRTCNLCEKKYHDSCSEEMDTHPNNLNTSSLSFCGKECKELSEHLKKYLGTKHELEGGFSWSLIHRTDEDSEAACRGITQRIECNSKLAIGLAVMDECFLPVIDRRSGINIIRNVLYNTGSNFSRLSYGGFYAAILEKGDEIIAAASIRLHGTKVAEMPFIGTRHVYRRQGMCRRLFSAIESALCTLKVEKLVIPAIAELTHTWTTVFGFTRLDSSLRQEMKSLNMMVFPGIDMLQKRLVEQGKHEGSEKMGNEDNDFIHTKMGNGSDVGSLTPQNRHRSDDTSSNPANETNDECSDASQELNNEVLVDGTLSSKSDSEEMVSDSVSDKCISPSRTSHSAPEMKNKVDAASPVDKLNPPSKCQSISPNDTSVSSHSEDIPNVKALVQETSSSDPCSQENLDKKCHSFSAMNCDSSELDINPVVGSPKAADTSPTKEVRMDDDALDAVSSRNLLEENIRKGNDQNLDVSGSALKLGDKSLLQEGSDSKNEIECENEKDVCAVNASGVSSDTVVV >Vigun02g187300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32603771:32605033:1 gene:Vigun02g187300.v1.2 transcript:Vigun02g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANILCFFVLYTFLCQTLTGAYNSGFSVQLIRHNSPNQKSVIHMHKHGSFYQVPKKSYTPNGPFTRVTSNNGDYLMKLTLGTPPVDVYGLVDTGSDLVWAQCTPCRGCYKQKSPMFEPLRSKTYTPVPCNSEECNSLFGHSCSPKKLCAYSYGYADSSVTKGVLARETITFSSTDEEPVVVGDIVFGCGHSNSGIFNENDMGIIGLGGGPLSLVSQIGSIYGSRRFSQCLVPFHADPLTSGTISFGDASDVSGEGVVTTPLVSEEGESSYLVTLEGISVGDTFMPFNSSEMLAKGNIMIDSGTPATYLPQELYDSLVGELKVQSDMLPIDDDPDLDTQLCYRSETNLKGPTLIAHFQGADVPLMPIQTFIPPKDGVFCFAMAATTDGEYIFGNFAQSNILIGFDLDKKLVSFKPTDCANQ >Vigun07g055900.1.v1.2 pep primary_assembly:ASM411807v1:7:6091198:6093331:-1 gene:Vigun07g055900.v1.2 transcript:Vigun07g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQLWASRAASYLRISVFHRAFSNVVKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGATVKQGDSFGAVESVKATSDINSPVSGKVIQVNEELSSSPALVNSSPYKDGWIIKVELSDSGELNNLMDSEKYSKFCEEEDSH >Vigun05g251800.1.v1.2 pep primary_assembly:ASM411807v1:5:44590821:44593966:1 gene:Vigun05g251800.v1.2 transcript:Vigun05g251800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHNHRGITNANTGPPRGFTDIFRRELGFSHPNAFARRFSASEVLVKSLNLYGKLDGHGGCVNAVEFNSTGDLLVSGSDDRQVMLWNWASKARLLAYPSGHTDNIFQTKIMPFSGDCRIITSAADGQVRLGLFREGGGVETTLLGKHHGCVYKLAVEPGSPHIIYSSGEDGFIQHFDLRSNSATKLFCCSSSTGKQTPSKIGLTSIVIDSRNPYYFAVGGSDEYARVYDIRKCQWISARNSDQPVKTFCPRHLIGSNNVHITGLAYSSFSELLVSYNDELIYLFQKNEGMCSSPSSEDLKNSNEPQVYSGHRNAQTIKGVNFFGPNDEYVLSGSDCGHIFIWKKKEAKLVRLMVGDQHVVNQLEAHPHIPILATCGIEKNVKIWAPQWNDIPPLPGNVKEIMEANRQGREDRSRVTLTPDVIMHVLRLQRRQTLAYIERRYSRADIVSDDEDAEGYLLGRLDGDASSEEDSPGNSRDCNIS >Vigun05g074800.3.v1.2 pep primary_assembly:ASM411807v1:5:6645450:6673400:-1 gene:Vigun05g074800.v1.2 transcript:Vigun05g074800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSTTTESALQIAGRVVKRQLSYFFNYNDKFEEVKCYIELMDNTRKRIQHQVNNAEMNAEEIEHEVQHCLKQLDEKIEKYEQFVHDEYHSKTRCSIGFFPSNLSLRYRLGRNATKMVEEMKVEELWNKRFDEVSYRVLPSINVSLTNTSYESFASRTKTIHMFMQALEDTTVNMIGLYGVGGVGKTTLVKEVAKKAQEKKLFTVVVMANITRNPNIIKIQGQIGEMLGMRLEEESEIVRADRIRKRLKKEKENILIILDDLWDRLDLNRLGIPISDEDDGSQQDANDISDSGYHKMEKEELPSDFNNMTEENLSSNHKRCKILLTSRRKQVLCNQMDVKERSTFSIGVLNENEAKILLKKVAGIEIQNLVYDEKAIEIARMCDGLPIALVSIGRTLKNKSSFVWEDVYQQMKRQSYIEGKEPIEFSIKLSYDHLENEQLKCIFLQCARMGNDALVMDLVKFCIGLGLLQGVHTIREARNKVSMLIEELKESSLVLESYSSNRFNMHDIVRDVALSISSKEKHVFFMKNSILDEWPHKNQLERYTAIFVHSCYIIDDLSGSIYCPRLEILHIDNKDHFLKIPDEFFKDMIELRVLILIGLNLPCLPSSMICLTKLRMLSLEKCTLGQNLSIIGELKKLRILTLSGSNIECVPFEFGQLDKLQLLDLSNCSKLRLIPSNVISRMNILEEFYIGDSLIQWETEENIQSQNCSLCELSHLNQLRNLDIHIQNVVYVPQNLFFDELDSYKIVIGEFNMLTEGEFKIPDKYEVVKLLVLNLKEGIDIHSEIWIKILLKNVEYLWLGELINVHDVFYELNVEGFLKLKHLSIVNNFGIQYIINSMEQFHPLLAFPKLESLYLYKLHNLEKICNNQLLEASFCRLKIIKIKSCGKLENIFPYCMVGHLAMLETIEVCDCDSLEDIISVGRQTHTDNGDNIEFPELRTLTLKSLHAFTCLYTYDKLPCAQSFEDKGQNMNKDIIVEVDQDGTNSCHSLFNEKVSIPKLEWLELSSINIQKIWSDQTEHCFKNLLTLNVTDCGSLKYLLSFSMAKHLENLQSLFVSECEMMEDIFFPEDVEGNIDYVFPKLKKMEIMCMEKLNTIWQPNIGLHSFRSLDYLTIKECHKLKTIFPDFMRQRFQSLQSLTITNCKLVENIFDFTNISQTCDKNETNLHNIILQGLPNLVSLWKDGTGEILKHNNLQSIKIVGSPNLKYVFPLSVTNDLENLESLEVWNCRTMKEIVAWDKGSNENAITFKFPHLKTVSLRSLFELVSFYEGTHTLEWPSLKKLSILRCGKLEGITTKISNSQAKPIVLATEKVIYNLEFMAMSFREVKWLQKYIINVHRMHNLQSVVLHGLKNAEVIFWFLHRLPNLKRLILRFCHMRRIWAPITHNSREKIGVVMQLKELELRDMWFLEEIGFEHDMLLQRVQHLIIERCTKLKTLVSSLVSFRRLTYLEVVNCMMRNLMTYSTAKTLDQLTTMKVSSCPMIVAIVAENEEENVQEIDFKQLRSLELVSLPNLRSFLTADKCVLNFSLLENLVVSECPQMTKFSDVLSAPQLQKVHVVVGEKDKWYWEGDLNATLQKHFPYQVLFEHSKDMKLVDYPEMKEVRYGKPIFSDNFFGSLKKLEFDAVSKRDIVLPSHVLPSLKNLEELNVESCKLARVIFDLDESETQTKGIVFRLKKLTLKDLSNLKCVWNKHSQGIVNFSNLKEVFVYGCGTLVTLFPLTLAKNLGKLKTLTMHQCFKLIAIVEKEEETIHGTTETFEFPCLSKLFLWNMPQLVCFYPRQHHLKCPMLERLHVAYCRKLKLFNSVFHHSSLQHHMFSIEEVVPKLKELMMSEENIILLNDGHSPQDLLHKLNYLDISFEDHDNENDTLPFDFLHKVPNLECFVVRRCFGLKELFPSQKLNGHDGILTELKTLSLHNLFELESIGLEHPWVKPYIEKLKVLGIVKCHRLDRLVSCATSFINLKQLVVKGCRKMKCLFTFSTAKSLLNLEVLIIENCESIQEIIEKEDEDVNGEIVFGRLINLSMCSLPRLVSFYSGNATLHFSSLQYVILFKCPNMTTFSEVSINAPMLHGIKPSMNDSDLIFFYDLNTTIQSLFYEKDFFEYSKHTILRDYFEMRGFGSVKQAFPGKSFGNIKKLEFDGTSKGDTVIPSDVLSHLKSLEELNVHNADEVKVIFGMNDSHTKTKGTVFHLKKLILKDLSNLKCILNKNPQESVSFPNLHELFVDGCGSLVTLFATKLGMIETHELQRYDKLVEIVGKEDAVENRTPEILMFEFPCLFLLTLYNLTNLSCFYPEKHHLECPKLEIIHVAYCPKLKLFTSKIHDSHKEAMTEAPISCLQQPLFLVEKVVPKLKGLTLNEKNMMLFSDAHVQQDYLSKLNLLRLCFEDDKNEKGTLPFDFLHKVPNLEHFQVQRCFGIKEIFSSQKLQVHDGIPATLNELTLFELNELESIGFQHPWVKPFCENLQTLKVISCPRLENLGYRAMSFICLKKLFVKDCGRMEYLFTFSTAKSLGQLETLTIKNCESIKEIAKKEDEDDCDEIIFERLRTLSLNCLPRVQSFLSGNATLQFPCLENANVIDCPNMKTFSEGVLNAPKFLGIKTSLEDSDLFFNDDLNTSFQRLFQKQVEKSACDIEHLKFSDHSRLEEMWVGVVPIPTNNCFNNLKSLAVVECESLSNVIPFYLLRFLSNLKEIEVSNCQSVKAIFDVKVEAAKMMSITVPLKKLILNQLPNLEHIWNLNPDEILSLQELQEVSISNCQTLKSLFPTSVANHLVKLDVRACATLVQIFEEADAAINGETKQFNFHCLTSLTLWELPELKHLYPGKHTLEWPMLTHIDIYHCDQLKLFKTEHHSHEVAHTDDQLGISIHQQVVFSVEKVFPKLVQLSLKKEDAMAISQRQLQVMPSMEHQAITYNETMIGQGQFGANAAYLLQNLKLVKLMCYHEDDDSNIFSSGLLEEIPNIENLEVVCSSFNELFYSQVIPTTDRSKVLSKLKRLHLKNLPQLSAIGLEHSWVEPLLKTLETLEVFSCPTMKILVPSTLSFSNLTSLSIGECHGMLFLFTSSTAKRLRQLKHISIQDCEAIQEIVSKEGDDESKDEYITFDQLSVLSLESLPNIVGIYSGTFKLKFPCLDQVTLKECPQMKYSYVPDLREFKPQGQI >Vigun05g074800.2.v1.2 pep primary_assembly:ASM411807v1:5:6645450:6659384:-1 gene:Vigun05g074800.v1.2 transcript:Vigun05g074800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSTTTESALQIAGRVVKRQLSYFFNYNDKFEEVKCYIELMDNTRKRIQHQVNNAEMNAEEIEHEVQHCLKQLDEKIEKYEQFVHDEYHSKTRCSIGFFPSNLSLRYRLGRNATKMVEEMKVEELWNKRFDEVSYRVLPSINVSLTNTSYESFASRTKTIHMFMQALEDTTVNMIGLYGVGGVGKTTLVKEVAKKAQEKKLFTVVVMANITRNPNIIKIQGQIGEMLGMRLEEESEIVRADRIRKRLKKEKENILIILDDLWDRLDLNRLGIPISDEDDGSQQDANDISDSGYHKMEKEELPSDFNNMTEENLSSNHKRCKILLTSRRKQVLCNQMDVKERSTFSIGVLNENEAKILLKKVAGIEIQNLVYDEKAIEIARMCDGLPIALVSIGRTLKNKSSFVWEDVYQQMKRQSYIEGKEPIEFSIKLSYDHLENEQLKCIFLQCARMGNDALVMDLVKFCIGLGLLQGVHTIREARNKVSMLIEELKESSLVLESYSSNRFNMHDIVRDVALSISSKEKHVFFMKNSILDEWPHKNQLERYTAIFVHSCYIIDDLSGSIYCPRLEILHIDNKDHFLKIPDEFFKDMIELRVLILIGLNLPCLPSSMICLTKLRMLSLEKCTLGQNLSIIGELKKLRILTLSGSNIECVPFEFGQLDKLQLLDLSNCSKLRLIPSNVISRMNILEEFYIGDSLIQWETEENIQSQNCSLCELSHLNQLRNLDIHIQNVVYVPQNLFFDELDSYKIVIGEFNMLTEGEFKIPDKYEVVKLLVLNLKEGIDIHSEIWIKILLKNVEYLWLGELINVHDVFYELNVEGFLKLKHLSIVNNFGIQYIINSMEQFHPLLAFPKLESLYLYKLHNLEKICNNQLLEASFCRLKIIKIKSCGKLENIFPYCMVGHLAMLETIEVCDCDSLEDIISVGRQTHTDNGDNIEFPELRTLTLKSLHAFTCLYTYDKLPCAQSFEDKGQNMNKDIIVEVDQDGTNSCHSLFNEKVSIPKLEWLELSSINIQKIWSDQTEHCFKNLLTLNVTDCGSLKYLLSFSMAKHLENLQSLFVSECEMMEDIFFPEDVEGNIDYVFPKLKKMEIMCMEKLNTIWQPNIGLHSFRSLDYLTIKECHKLKTIFPDFMRQRFQSLQSLTITNCKLVENIFDFTNISQTCDKNETNLHNIILQGLPNLVSLWKDGTGEILKHNNLQSIKIVGSPNLKYVFPLSVTNDLENLESLEVWNCRTMKEIVAWDKGSNENAITFKFPHLKTVSLRSLFELVSFYEGTHTLEWPSLKKLSILRCGKLEGITTKISNSQAKPIVLATEKVIYNLEFMAMSFREVKWLQKYIINVHRMHNLQSVVLHGLKNAEVIFWFLHRLPNLKRLILRFCHMRRIWAPITHNSREKIGVVMQLKELELRDMWFLEEIGFEHDMLLQRVQHLIIERCTKLKTLVSSLVSFRRLTYLEVVNCMMRNLMTYSTAKTLDQLTTMKVSSCPMIVAIVAENEEENVQEIDFKQLRSLELVSLPNLRSFLTADKCVLNFSLLENLVVSECPQMTKFSDVLSAPQLQKVHVVVGEKDKWYWEGDLNATLQKHFPYQVLFEHSKDMKLVDYPEMKEVRYGKPIFSDNFFGSLKKLEFDAVSKRDIVLPSHVLPSLKNLEELNVESCKLARVIFDLDESETQTKGIVFRLKKLTLKDLSNLKCVWNKHSQGIVNFSNLKEVFVYGCGTLVTLFPLTLAKNLGKLKTLTMHQCFKLIAIVEKEEETIHGTTETFEFPCLSKLFLWNMPQLVCFYPRQHHLKCPMLERLHVAYCRKLKLFNSVFHHSSLQHHMFSIEEVVPKLKELMMSEENIILLNDGHSPQDLLHKLNYLDISFEDHDNENDTLPFDFLHKVPNLECFVVRRCFGLKELFPSQKLNGHDGILTELKTLSLHNLFELESIGLEHPWVKPYIEKLKVLGIVKCHRLDRLVSCATSFINLKQLVVKGCRKMKCLFTFSTAKSLLNLEVLIIENCESIQEIIEKEDEDVNGEIVFGRLINLSMCSLPRLVSFYSGNATLHFSSLQYVILFKCPNMTTFSEVSINAPMLHGIKPSMNDSDLIFFYDLNTTIQSLFYEKDFFEYSKHTILRDYFEMRGFGSVKQAFPGKSFGNIKKLEFDGTSKGDTVIPSDVLSHLKSLEELNVHNADEVKVIFGMNDSHTKTKGTVFHLKKLILKDLSNLKCILNKNPQESVSFPNLHELFVDGCGSLVTLFATKLGMIETHELQRYDKLVEIVGKEDAVENRTPEILMFEFPCLFLLTLYNLTNLSCFYPEKHHLECPKLEIIHVAYCPKLKLFTSKIHDSHKEAMTEAPISCLQQPLFLVEKVVPKLKGLTLNEKNMMLFSDAHVQQDYLSKLNLLRLCFEDDKNEKGTLPFDFLHKVPNLEHFQVQRCFGIKEIFSSQKLQVHDGIPATLNELTLFELNELESIGFQHPWVKPFCENLQTLKVISCPRLENLGYRAMSFICLKKLFVKDCGRMEYLFTFSTAKSLGQLETLTIKNCESIKEIAKKEDEDDCDEIIFERLRTLSLNCLPRVQSFLSGNATLQFPCLENANVIDCPNMKTFSEGVLNAPKFLGIKTSLEDSDLFFNDDLNTSFQRLFQKQVEKSACDIEHLKFSDHSRLEEMWVGVVPIPTNNCFNNLKSLAVVECESLSNVIPFYLLRFLSNLKEIEVSNCQSVKAIFDVKVEAAKMMSITVPLKKLILNQLPNLEHIWNLNPDEILSLQELQEVSISNCQTLKSLFPTSVANHLVKLDVRACATLVQIFEEADAAINGETKQFNFHCLTSLTLWELPELKHLYPGKHTLEWPMLTHIDIYHCDQLKLFKTEHHSHEVAHTDDQLGISIHQQVVFSVEKVFPKLVQLSLKKEDAMAISQRQLQVMPSMEHQAITYNETMIGQGQFGANAAYLLQNLKLVKLMCYHEDDDSNIFSSGLLEEIPNIENLEVVCSSFNELFYSQVIPTTDRSKVLSKLKRLHLKNLPQLSAIGLEHSWVEPLLKTLETLEVFSCPTMKILVPSTLSFSNLTSLSIGECHGMLFLFTSSTAKRLRQLKHISIQDCEAIQEIVSKEGDDESKDEYITFDQLSVLSLESLPNIVGIYSGTFKLKFPCLDQVTLKECPQMKYSYVPDLREFKPQGQI >Vigun05g074800.1.v1.2 pep primary_assembly:ASM411807v1:5:6645450:6673400:-1 gene:Vigun05g074800.v1.2 transcript:Vigun05g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSTTTESALQIAGRVVKRQLSYFFNYNDKFEEVKCYIELMDNTRKRIQHQVNNAEMNAEEIEHEVQHCLKQLDEKIEKYEQFVHDEYHSKTRCSIGFFPSNLSLRYRLGRNATKMVEEMKVEELWNKRFDEVSYRVLPSINVSLTNTSYESFASRTKTIHMFMQALEDTTVNMIGLYGVGGVGKTTLVKEVAKKAQEKKLFTVVVMANITRNPNIIKIQGQIGEMLGMRLEEESEIVRADRIRKRLKKEKENILIILDDLWDRLDLNRLGIPISDEDDGSQQDANDISDSGYHKMEKEELPSDFNNMTEENLSSNHKRCKILLTSRRKQVLCNQMDVKERSTFSIGVLNENEAKILLKKVAGIEIQNLVYDEKAIEIARMCDGLPIALVSIGRTLKNKSSFVWEDVYQQMKRQSYIEGKEPIEFSIKLSYDHLENEQLKCIFLQCARMGNDALVMDLVKFCIGLGLLQGVHTIREARNKVSMLIEELKESSLVLESYSSNRFNMHDIVRDVALSISSKEKHVFFMKNSILDEWPHKNQLERYTAIFVHSCYIIDDLSGSIYCPRLEILHIDNKDHFLKIPDEFFKDMIELRVLILIGLNLPCLPSSMICLTKLRMLSLEKCTLGQNLSIIGELKKLRILTLSGSNIECVPFEFGQLDKLQLLDLSNCSKLRLIPSNVISRMNILEEFYIGDSLIQWETEENIQSQNCSLCELSHLNQLRNLDIHIQNVVYVPQNLFFDELDSYKIVIGEFNMLTEGEFKIPDKYEVVKLLVLNLKEGIDIHSEIWIKILLKNVEYLWLGELINVHDVFYELNVEGFLKLKHLSIVNNFGIQYIINSMEQFHPLLAFPKLESLYLYKLHNLEKICNNQLLEASFCRLKIIKIKSCGKLENIFPYCMVGHLAMLETIEVCDCDSLEDIISVGRQTHTDNGDNIEFPELRTLTLKSLHAFTCLYTYDKLPCAQSFEDKGQNMNKDIIVEVDQDGTNSCHSLFNEKVSIPKLEWLELSSINIQKIWSDQTEHCFKNLLTLNVTDCGSLKYLLSFSMAKHLENLQSLFVSECEMMEDIFFPEDVEGNIDYVFPKLKKMEIMCMEKLNTIWQPNIGLHSFRSLDYLTIKECHKLKTIFPDFMRQRFQSLQSLTITNCKLVENIFDFTNISQTCDKNETNLHNIILQGLPNLVSLWKDGTGEILKHNNLQSIKIVGSPNLKYVFPLSVTNDLENLESLEVWNCRTMKEIVAWDKGSNENAITFKFPHLKTVSLRSLFELVSFYEGTHTLEWPSLKKLSILRCGKLEGITTKISNSQAKPIVLATEKVIYNLEFMAMSFREVKWLQKYIINVHRMHNLQSVVLHGLKNAEVIFWFLHRLPNLKRLILRFCHMRRIWAPITHNSREKIGVVMQLKELELRDMWFLEEIGFEHDMLLQRVQHLIIERCTKLKTLVSSLVSFRRLTYLEVVNCMMRNLMTYSTAKTLDQLTTMKVSSCPMIVAIVAENEEENVQEIDFKQLRSLELVSLPNLRSFLTADKCVLNFSLLENLVVSECPQMTKFSDVLSAPQLQKVHVVVGEKDKWYWEGDLNATLQKHFPYQVLFEHSKDMKLVDYPEMKEVRYGKPIFSDNFFGSLKKLEFDAVSKRDIVLPSHVLPSLKNLEELNVESCKLARVIFDLDESETQTKGIVFRLKKLTLKDLSNLKCVWNKHSQGIVNFSNLKEVFVYGCGTLVTLFPLTLAKNLGKLKTLTMHQCFKLIAIVEKEEETIHGTTETFEFPCLSKLFLWNMPQLVCFYPRQHHLKCPMLERLHVAYCRKLKLFNSVFHHSSLQHHMFSIEEVVPKLKELMMSEENIILLNDGHSPQDLLHKLNYLDISFEDHDNENDTLPFDFLHKVPNLECFVVRRCFGLKELFPSQKLNGHDGILTELKTLSLHNLFELESIGLEHPWVKPYIEKLKVLGIVKCHRLDRLVSCATSFINLKQLVVKGCRKMKCLFTFSTAKSLLNLEVLIIENCESIQEIIEKEDEDVNGEIVFGRLINLSMCSLPRLVSFYSGNATLHFSSLQYVILFKCPNMTTFSEVSINAPMLHGIKPSMNDSDLIFFYDLNTTIQSLFYEKDFFEYSKHTILRDYFEMRGFGSVKQAFPGKSFGNIKKLEFDGTSKGDTVIPSDVLSHLKSLEELNVHNADEVKVIFGMNDSHTKTKGTVFHLKKLILKDLSNLKCILNKNPQESVSFPNLHELFVDGCGSLVTLFATKLGMIETHELQRYDKLVEIVGKEDAVENRTPEILMFEFPCLFLLTLYNLTNLSCFYPEKHHLECPKLEIIHVAYCPKLKLFTSKIHDSHKEAMTEAPISCLQQPLFLVEKVVPKLKGLTLNEKNMMLFSDAHVQQDYLSKLNLLRLCFEDDKNEKGTLPFDFLHKVPNLEHFQVQRCFGIKEIFSSQKLQVHDGIPATLNELTLFELNELESIGFQHPWVKPFCENLQTLKVISCPRLENLGYRAMSFICLKKLFVKDCGRMEYLFTFSTAKSLGQLETLTIKNCESIKEIAKKEDEDDCDEIIFERLRTLSLNCLPRVQSFLSGNATLQFPCLENANVIDCPNMKTFSEGVLNAPKFLGIKTSLEDSDLFFNDDLNTSFQRLFQKQVEKSACDIEHLKFSDHSRLEEMWVGVVPIPTNNCFNNLKSLAVVECESLSNVIPFYLLRFLSNLKEIEVSNCQSVKAIFDVKVEAAKMMSITVPLKKLILNQLPNLEHIWNLNPDEILSLQELQEVSISNCQTLKSLFPTSVANHLVKLDVRACATLVQIFEEADAAINGETKQFNFHCLTSLTLWELPELKHLYPGKHTLEWPMLTHIDIYHCDQLKLFKTEHHSHEVAHTDDQLGISIHQQVVFSVEKVFPKLVQLSLKKEDAMAISQRQLQVMPSMEHQAITYNETMIGQGQFGANAAYLLQNLKLVKLMCYHEDDDSNIFSSGLLEEIPNIENLEVVCSSFNELFYSQVIPTTDRSKVLSKLKRLHLKNLPQLSAIGLEHSWVEPLLKTLETLEVFSCPTMKILVPSTLSFSNLTSLSIGECHGMLFLFTSSTAKRLRQLKHISIQDCEAIQEIVSKEGDDESKDEYITFDQLSVLSLESLPNIVGIYSGTFKLKFPCLDQVTLKECPQMKYSYVPDLREFKPQGQI >Vigun07g156700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26781254:26784269:1 gene:Vigun07g156700.v1.2 transcript:Vigun07g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWDSDYSPYTNPTTAWNNQLRQLSKQRQYREALTLYRHMLRSSFFPNTFTFPFLFKSCAFLSLPLTASQLHAHVIRTGSQPDPYTRSSLINTYSKCSLPHHARKVFDEMPNPTICYNAMISGYSFNSNPLDAVKLFRQMRREVEDGLYVNGDVSVNAVTLLSLVSGCSVATHLKIGSCLHGCVVRFGFVTDLAVANSLVTMYVKCGEVQVARQVFDEMFVRDLITWNAMISGYAQNGHARSVLEVYNEMKLSRVNADAVTLLGLLSACANLGAQGIGREVEREIERLGFDSNPFLRNALVNMYARCGNLTQARRVFDCSGEKSLVSWTAIIGGYGIHGQGAVAIELFDEMVKSGVKPDQTVFVSVLSACSHAGLTDRGLEYFEDMKMKYGLQPGPEHYSCVVDLLGRAGRLEEAVGLIMSMKVKPDGAVWGALLGACKIHKNVKVAELAFQHVVELEPMNIGYYVLLSNIYTDANNLEGVLRVRVMMRERKLRKDPGHSYVEYKGKMHLFHSGDVSHPRSKEIYRMLDKLENLVKEILSPDEKCQGRSEELLIGTGVHSEKLAIAFALLNSKSGTEITVMKNLRVCVHCHLFIKLVSKIVNRQFIVRDATRFHHFRDGICSCKDYW >Vigun11g204000.1.v1.2 pep primary_assembly:ASM411807v1:11:40126831:40129669:1 gene:Vigun11g204000.v1.2 transcript:Vigun11g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRKDSAPAINGEMGGSKFFRWNTNAMTLTFPPQTFSLPSPIPQWPQGQGFASGVINLGEIQVSQVTRFAIIWSSSATTDTKNAVTFYRPVGVPDRFHILGHYCQSDEKPLRGFVLVAKGVKIADIHNQDKLPPMKFPLDFSLVWGENRRSLETLGVYFWVPEPPEGYKALGCLVTTKPVKPFLDEMCCVRSDLTHECEPYRQILTAGSKIPEFSFQVWSLRPRDRGILGKGVSVGTFFCSNGWSTMREDVTVVCLKNLNPEMQAMPNLQQIHALIKHYGPTLFFHPHETYLPSSVEWFFNNGALLYTKGVSAGEKIDANGSNLPGGGTNDGQYWIDLPRDDKRELVKRGDLKSAKLYVHVKPVFGGTFTDIAMWVFCPFNGPATLKIGIKDIPLSKIGEHVGDWEHFTLRISNFSGELYSIYFSQHSGGEWVDACDLEYVEGNKAIVYSSKSGHASYPHAGTCIQGSAKLGIGIRNDAARSNLYVDSSIHYELVAAEYLENEITEPQWLQFMREWGPKIVYDSKTELDKVINALPRLLKYSVKGLFNKFPVELYGEEGPTGPKEKNNWLQDERW >Vigun11g204000.2.v1.2 pep primary_assembly:ASM411807v1:11:40126831:40129669:1 gene:Vigun11g204000.v1.2 transcript:Vigun11g204000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRKDSAPAINGEMGGSKFFRWNTNAMTLTFPPQTFSLPSPIPQWPQGQGFASGVINLGEIQVSQVTRFAIIWSSSATTDTKNAVTFYRPVGVPDRFHILGHYCQSDEKPLRGFVLVAKGVKIADIHNQDKLPPMKFPLDFSLVWGENRRSLETLGVYFWVPEPPEGYKALGCLVTTKPVKPFLDEMCCVRSDLTHECEPYRQILTAGSKIPEFSFQVWSLRPRDRGILGKGVSVGTFFCSNGWSTMREDVTVVCLKNLNPEMQAMPNLQQIHALIKHYGPTLFFHPHETYLPSSVEWFFNNGALLYTKGVSAGEKIDANGSNLPGGGTNDGQYWIDLPRDDKRELVKRGDLKSAKLYVHVKPVFGGTFTDIAMWVFCPFNGPATLKIGIKDIPLSKIGEHVGDWEHFTLRISNFSGELYSIYFSQHSGGEWVDACDLEYVEGNKAIVYSSKSGHASYPHAGTCIQGSAKLGIGIRNDAARSNLYVDSSIHYELVAAEYLENEITEPQWLQFMREWGPKIVYDSKTELDKVINALPRLLKYSVKGLFNKFPVELYGEEGPTGPKEKNNWLQDERW >Vigun05g271800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46293694:46294917:-1 gene:Vigun05g271800.v1.2 transcript:Vigun05g271800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEEETPPNFWTENGGHRRLRRSYSLFLSSGAALICVLVIALAFTLVIIPTIFSTLQAFPYHLFKPNSVKKSWDSLNFVLILFAILCAFLSRNNADETFSDTSYQYEKPNPTTTPQWYEETDRTSYKSYNRLRSFNSYPDLRRESPWLASDERWRFYDDTHVNGYCRFDLEEESVKNIEVVDKEVPLLPASPPPVPAEEGSGESENKGTSSTTEELLTSSKGKKKKKKQRQGSFENLEHIRNSESHSHPRVSSVFHNMFSSKKSKRKKLESASSSHVSLSKTEPVRGSVASSLKSNKKETFLKENVAVTGMESRLIPIPPPPPPPFKMPAWKFRVQGDFVRINSIRSWSSGSPDLEDEVVESPRSENGGEPAILLFYDPNPDDVDTKADTFIERFRTGLKGGPS >VigunL059085.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000535.1:59463:61580:-1 gene:VigunL059085.v1.2 transcript:VigunL059085.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFDLIKDIDEKKETLKLGVRVKDLWFVQNRDTNRHMELILLDQKGDMIHAMVKKEDISLWEEKLVEGQFRVCDHPYKLLFIGATTIKEQRISSIDLNIYNFKSIEDIVNGKFSTDLMYDIIGVVDNVRCNPQSKNVVFHIRDLSSAVIGCTLWDSYYFKFMSN >Vigun09g173500.2.v1.2 pep primary_assembly:ASM411807v1:9:34420432:34425684:1 gene:Vigun09g173500.v1.2 transcript:Vigun09g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVTRIFRHESVPGFLHMRTKHPSLFFLRERLVVHLLVTEQSCGFVCYLVYIEPVSLFGHSQIEGLHSMDEEFGADNLDMFFDWDDDDFLPTFLQSDTPITAMQDPNLMPNFNFQTEVAPVNPPAVMESTDEAETQIQLKDPTPLVSQGPSNTMDFTFVPNPLPPPPTTSVINGTQLSNSISSQMTSNPTIRGQNVTQLTACSYQLSELQDQNILALQRLHFDGDQQNTVEINNFNIYQSSSNPALVQPNPVQVRPASETYSSGLFAPSQRPAFFPLQNHISDSHAAWNSSRPQHTEFSSTDIHGAQYASNYMQSFSTVQNQVGHSSELQHNHDLQSQNSTGSVNSMLEPYSDVDSAFVTMPAIRYQQRCTPVLPEPAVPSASSLANFGKKRSLFAASSNSLGSGSGSSVPPTNQMYSPFQDQRHHPQVILSNIQGQQSGVSLQQWSRGVHNQISKHNQRMITPNLRGHSNTSSWVTEASSSRVSTSREAKTAPGLRIQEGAIVPKNEVRSSRDKGKSLQRTSSTSSEPSDAIRRILNMNPSRLVRRSIAGSHDSGRVHYEPPTRGRPPKRRFEEYFQHERVSSEIGNAATTPTSRQALPAPYNYRNVVPTNPNPPSAPRQFANSVYDLEFERRGHPIDPHLRLFKRPPEN >Vigun07g143300.2.v1.2 pep primary_assembly:ASM411807v1:7:25419067:25421443:1 gene:Vigun07g143300.v1.2 transcript:Vigun07g143300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDGASKRNIALICITTIFLVALIIGIAFSVNVETDSSHSERPQKDRVVSSVKAVRILCKPTMYQKECEKSLIKDAGNITDSRELIKIAFNVTMKRIGKGLKKIDTMRKNESDPRTKMAFGTCTQLLNLSTGEFNRAIEKMGKFDLNNLNNILTSLKVWLSGAITYQETCLDGFNNATTHSGSKMRSLLTTSMHLSSNALAIISALVSTVEITEAGSRNLSEGGEHVFGHGEVVPSWVVDDDDDDDDGVRRLLLQNPHKLKANAVVAKDGSEKFTTIGQALKMVPKKNKRPFVIHIRGGVYHEYVEVPKFMTRVVFVGDGPNRTRITGKRNFVDGTVTYRTATVAIHGDYFVAINIGFENSAGPQKHQAVAIRVQADRSIFYKCWIDGYENTLYALAMRQFYRECRISGTVDFVFGDAVAVFQKCIFVVKKPLKNQELIVTSQGRKEKQQPTGIVIQGSIIVGNGRVKFDNKAYLARPQKSYSRTIFMNTYMEDIIEPEGYLARQGRHGPSGMNTCFYSEYNNSGPGSDKSKRVKWRGIKTLNAKSATEYSPLNFFHGDQWVRVTKIPYYPNILPKL >Vigun07g143300.3.v1.2 pep primary_assembly:ASM411807v1:7:25419103:25421443:1 gene:Vigun07g143300.v1.2 transcript:Vigun07g143300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDGASKRNIALICITTIFLVALIIGIAFSVNVETDSSHSERPQKDRVVSSVKAVRILCKPTMYQKECEKSLIKDAGNITDSRELIKIAFNVTMKRIGKGLKKIDTMRKNESDPRTKMAFGTCTQLLNLSTGEFNRAIEKMGKFDLNNLNNILTSLKVWLSGAITYQETCLDGFNNATTHSGSKMRSLLTTSMHLSSNALAIISALVSTVEITEAGSRNLSEGGEHVFGHGEVVPSWVVDDDDDDDDGVRRLLLQNPHKLKANAVVAKDGSEKFTTIGQALKMVPKKNKRPFVIHIRGGVYHEYVEVPKFMTRVVFVGDGPNRTRITGKRNFVDGTVTYRTATVAIHGDYFVAINIGFENSAGPQKHQAVAIRVQADRSIFYKCWIDGYENTLYALAMRQFYRECRISGTVDFVFGDAVAVFQKCIFVVKKPLKNQELIVTSQGRKEKQQPTGIVIQGSIIVGNGRVKFDNKAYLARPQKSYSRTIFMNTYMEDIIEPEGYLARQGRHGPSGMNTCFYSEYNNSGPGSDKSKRVKWRGIKTLNAKSATEYSPLNFFHGDQWVRVTKIPYYPNILPKL >Vigun07g143300.1.v1.2 pep primary_assembly:ASM411807v1:7:25419070:25421443:1 gene:Vigun07g143300.v1.2 transcript:Vigun07g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDGASKRNIALICITTIFLVALIIGIAFSVNVETDSSHSERPQKDRVVSSVKAVRILCKPTMYQKECEKSLIKDAGNITDSRELIKIAFNVTMKRIGKGLKKIDTMRKNESDPRTKMAFGTCTQLLNLSTGEFNRAIEKMGKFDLNNLNNILTSLKVWLSGAITYQETCLDGFNNATTHSGSKMRSLLTTSMHLSSNALAIISALVSTVEITEAGSRNLSEGGEHVFGHGEVVPSWVVDDDDDDDDGVRRLLLQNPHKLKANAVVAKDGSEKFTTIGQALKMVPKKNKRPFVIHIRGGVYHEYVEVPKFMTRVVFVGDGPNRTRITGKRNFVDGTVTYRTATVAIHGDYFVAINIGFENSAGPQKHQAVAIRVQADRSIFYKCWIDGYENTLYALAMRQFYRECRISGTVDFVFGDAVAVFQKCIFVVKKPLKNQELIVTSQGRKEKQQPTGIVIQGSIIVGNGRVKFDNKAYLARPQKSYSRTIFMNTYMEDIIEPEGYLARQGRHGPSGMNTCFYSEYNNSGPGSDKSKRVKWRGIKTLNAKSATEYSPLNFFHGDQWVRVTKIPYYPNILPKL >Vigun01g030100.4.v1.2 pep primary_assembly:ASM411807v1:1:3722567:3726843:-1 gene:Vigun01g030100.v1.2 transcript:Vigun01g030100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFFDDIRSKSSVDPPQTEEPTEIGELVNEPLQTALKPHGTVTSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHDRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAFRPYNCPYAGSECSVMGDIPYLVTHLKDDHKVDMHNGCTFNHRYVKTNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYTYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRSMALFFSGGDKKELKLRVTGRIWKEQ >Vigun01g030100.3.v1.2 pep primary_assembly:ASM411807v1:1:3722567:3726843:-1 gene:Vigun01g030100.v1.2 transcript:Vigun01g030100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFFDDIRSKSSVDPPQTEEPTEIGELVNEPLQTALKPHGTVTSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHDRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAFRPYNCPYAGSECSVMGDIPYLVTHLKDDHKVDMHNGCTFNHRYVKTNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYTYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRSMALFFSGGDKKELKLRVTGRIWKEQ >Vigun01g030100.1.v1.2 pep primary_assembly:ASM411807v1:1:3722454:3726872:-1 gene:Vigun01g030100.v1.2 transcript:Vigun01g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFFDDIRSKSSVDPPQTEEPTEIGELVNEPLQTALKPHGTVTSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHDRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCAFRPYNCPYAGSECSVMGDIPYLVTHLKDDHKVDMHNGCTFNHRYVKTNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYTYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRSMALFFSGGDKKELKLRVTGRIWKEQ >Vigun05g053100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4555402:4556302:1 gene:Vigun05g053100.v1.2 transcript:Vigun05g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTMNSSSSITPRHYNTHKVFLFCNYILLGAASSCIFLTLSLRLIPSLCGFFFILLQIFTIAGAVSGCAAVGANSWYSAHMVATVLTAIFQGSVSVLVFTRTGDFLGQLKSYVREEDGAVILKLAGGLTILIFCLEWVVLTLAFFLKYYACVEGSNGAIVPMKSGKVQQDEDLKDWPWPFQV >Vigun11g090100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27039891:27040599:1 gene:Vigun11g090100.v1.2 transcript:Vigun11g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPVIRKALFAANQASSKAVDAQKGHLAVYVGEKMKRFVIPVSYLNQPSFQDLLSKAEEEFGYDHPMGGLTIPCSEDVFLSITSCLN >Vigun10g167200.3.v1.2 pep primary_assembly:ASM411807v1:10:38623671:38629583:1 gene:Vigun10g167200.v1.2 transcript:Vigun10g167200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHEIMVDSVISQSRHVETGRELQRWVPDEEDPECPELENIFDGPWNRGWDQFETNKMLFGVKSTFNEELYTTKLEKGPQTRELEKQALRIAREIEGEETQDLHLAEERGLYHNFDIDEETRFSSVYRGNIVDDVGYDENEDKLLDSHNSETFDNIYGLVSKKPGEVSGQKGNNGAQTWPNFSSVDHLELSQSTTAMDMCRSGSNDHAKQLASELPAQNCSFSDGESRIQENSASNLHGATDNTADENWIQAEDVQLSKSQDLQSSLESKNDGSKEEGLSFNGPSYAPSTHILLKTPEENVSVGETKSVISRGRQGSSTSTSGPGLSPSSSVGSLSSEKSTLNPYAKEFKLNPNAKSFMPSQAHARPRSPVSDGSFYYPATVSTVPNMPAIPMGIGVGTTFAGPQPVMYNPQVAQMPSQPYFHPNGPQYGQLVGHPRQVLYVPSFQPEMPYKGRDY >Vigun10g167200.2.v1.2 pep primary_assembly:ASM411807v1:10:38620233:38629582:1 gene:Vigun10g167200.v1.2 transcript:Vigun10g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQIGQPKSSNGYIRRKSEKEGATKSDYKIPSGKSNATSRLAGTGVVTDSKGVSYGSPSHDRLVYLTSCLIGQHVEAQVKNGSIYSGIFHATNTDKDYGIILKMACLTKDGSSQGQRFGIESFSKAPSKTLIIPSNDLVQVLAKDVSFFRDDQTSAPHYDMHHEIMVDSVISQSRHVETGRELQRWVPDEEDPECPELENIFDGPWNRGWDQFETNKMLFGVKSTFNEELYTTKLEKGPQTRELEKQALRIAREIEGEETQDLHLAEERGLYHNFDIDEETRFSSVYRGNIVDDVGYDENEDKLLDSHNSETFDNIYGLVSKKPGEVSGQKGNNGAQTWPNFSSVDHLELSQSTTAMDMCRSGSNDHAKQLASELPAQNCSFSDGESRIQENSASNLHGATDNTADENWIQAEDVQLSKSQDLQSSLESKNDGSKEEGLSFNGPSYAPSTHILLKTPEENVSVGETKSVISRGRQGSSTSTSGPGLSPSSSVGSLSSEKSTLNPYAKEFKLNPNAKSFMPSQAHARPRSPVSDGSFYYPATVSTVPNMPAIPMGIGVGTTFAGPQPVMYNPQVAQMPSQPYFHPNGPQYGQLVGHPRQVLYVPSFQPEMPYKGRDY >Vigun10g167200.1.v1.2 pep primary_assembly:ASM411807v1:10:38620233:38629582:1 gene:Vigun10g167200.v1.2 transcript:Vigun10g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQIGQPKSSNGYIRRKSEKEGATKSDYKIPSGKSNATSRLAGTGVVTDSKGVSYGSPSHDRLVYLTSCLIGQHVEAQVKNGSIYSGIFHATNTDKDYGIILKMACLTKDGSSQGQRFGIESFSKAPSKTLIIPSNDLVQVLAKDVSFFRDDQTSAPHYDMHHEIMVDSVISQSRHVETGRELQRWVPDEEDPECPELENIFDGPWNRGWDQFETNKMLFGVKSTFNEELYTTKLEKGPQTRELEKQALRIAREIEGEETQDLHLAEERGLYHNFDIDEETRFSSVYRGNIVDDVGYDENEDKLLDSHNSETFDNIYGLVSKKPGEVSGQKGNNGAQTWPNFSSVDHLELSQSTTAMDMCRSGSNDHAKQLASELPAQNCSFSDGESRIQENSASNLHGATDNTADENWIQAEDVQLSKSQDLQSSLESKNDGSKEEGLSFNGPSYAPSTHILLKTPEENVSVGETKSVISRGRQGSSTSTSGPGLSPSSSVGSLSSEKSTLNPYAKEFKLNPNAKSFMPSQAHARPRSPVSDGSFYYPATVSTVPNMPAIPMGIGVGTTFAGPQPVMYNPQVAQMPSQPYFHPNGPQYGQLVGHPRQVLYVPSFQPEMPYKGRDY >Vigun10g066400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15276817:15280846:-1 gene:Vigun10g066400.v1.2 transcript:Vigun10g066400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFLSIVSSNPPENLSPLSQFFSIPTDSDHFPEKIVSTSNVSEKTPLEAQSTEPRRIPSQNEVLDTLLLHKADPRSALVFFKKVERQRGFLKTVDVLCLLLQILASSPDTHKDAKYLLNNYVFGDSAPSAKVLVEHLVECAGRYGFELSDSRVFNYLLNSYARANKITDAVECFRTMLEHGVLPWVPIVNILLTAMVRRNMADNVRQLYDEMGERELYGDCYTLHILMRACLKGGRFADAWKYFEDGVGRGLKLDAAAYSIVIQAVCRVPDLNLACTLLKKMKELGWVPSEGTYVAVIGACVRQGNFVEALRLKDEMVSNGVPMNVVVATSLIKGHCMQGDVNSALRMFDEVVEAGVTPNVSIFSVLIDWCSKIGNVDKANELYTRMKLVGLQPTVFIVNFLLKGFRKKNLLENAYTLLDEAVENGIASVVTYNIVLLWLCELGKVNEACNLWDKMIGKGITPSLVSYNHMILGHCKAGCMDDAYNVMNDIIKTGLKPNVITYTILMEGFSKKGDCDRASDVFDQMVAADVVPTDYTFNTIMKGLCKVGRLSEAKDKLNTFIEQGFIPTSMTYNCIINGFVKEGAIDSAESAYREMCENGISPNVITCTSLINGFFKSNKIDLALKMYDDMKNKDLELDITAYSALIDGFCKMQDMENASKIFSELLEAGLTPNTIVYNSMISGFRNVNNMEAALNLHKEMINSKIPCDIQIYTSLIGGLLKEGKLSFALDLYSEMLSMGIVPDIVMYTVLINGLCNQGQLEKAGKILKEMDGNSITPTVLLYNTLIAVHFKEGNLQEAFRLHDEMLDKGLVPDDTTYDILVNGKVKRSYALIGA >Vigun10g066400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15276825:15280846:-1 gene:Vigun10g066400.v1.2 transcript:Vigun10g066400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFLSIVSSNPPENLSPLSQFFSIPTDSDHFPEKIVSTSNVSEKTPLEAQSTEPRRIPSQNEVLDTLLLHKADPRSALVFFKKVERQRGFLKTVDVLCLLLQILASSPDTHKDAKYLLNNYVFGDSAPSAKVLVEHLVECAGRYGFELSDSRVFNYLLNSYARANKITDAVECFRTMLEHGVLPWVPIVNILLTAMVRRNMADNVRQLYDEMGERELYGDCYTLHILMRACLKGGRFADAWKYFEDGVGRGLKLDAAAYSIVIQAVCRVPDLNLACTLLKKMKELGWVPSEGTYVAVIGACVRQGNFVEALRLKDEMVSNGVPMNVVVATSLIKGHCMQGDVNSALRMFDEVVEAGVTPNVSIFSVLIDWCSKIGNVDKANELYTRMKLVGLQPTVFIVNFLLKGFRKKNLLENAYTLLDEAVENGIASVVTYNIVLLWLCELGKVNEACNLWDKMIGKGITPSLVSYNHMILGHCKAGCMDDAYNVMNDIIKTGLKPNVITYTILMEGFSKKGDCDRASDVFDQMVAADVVPTDYTFNTIMKGLCKVGRLSEAKDKLNTFIEQGFIPTSMTYNCIINGFVKEGAIDSAESAYREMCENGISPNVITCTSLINGFFKSNKIDLALKMYDDMKNKDLELDITAYSALIDGFCKMQDMENASKIFSELLEAGLTPNTIVYNSMISGFRNVNNMEAALNLHKEMINSKIPCDIQIYTSLIGGLLKEGKLSFALDLYSEMLSMGIVPDIVMYTVLINGLCNQGQLEKAGKILKEMDGNSITPTVLLYNTLIAVHFKEGNLQEAFRLHDEMLDKGLVPDDTTYDILVNGKVKRSYALIGA >Vigun10g066400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:15276793:15280846:-1 gene:Vigun10g066400.v1.2 transcript:Vigun10g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFLSIVSSNPPENLSPLSQFFSIPTDSDHFPEKIVSTSNVSEKTPLEAQSTEPRRIPSQNEVLDTLLLHKADPRSALVFFKKVERQRGFLKTVDVLCLLLQILASSPDTHKDAKYLLNNYVFGDSAPSAKVLVEHLVECAGRYGFELSDSRVFNYLLNSYARANKITDAVECFRTMLEHGVLPWVPIVNILLTAMVRRNMADNVRQLYDEMGERELYGDCYTLHILMRACLKGGRFADAWKYFEDGVGRGLKLDAAAYSIVIQAVCRVPDLNLACTLLKKMKELGWVPSEGTYVAVIGACVRQGNFVEALRLKDEMVSNGVPMNVVVATSLIKGHCMQGDVNSALRMFDEVVEAGVTPNVSIFSVLIDWCSKIGNVDKANELYTRMKLVGLQPTVFIVNFLLKGFRKKNLLENAYTLLDEAVENGIASVVTYNIVLLWLCELGKVNEACNLWDKMIGKGITPSLVSYNHMILGHCKAGCMDDAYNVMNDIIKTGLKPNVITYTILMEGFSKKGDCDRASDVFDQMVAADVVPTDYTFNTIMKGLCKVGRLSEAKDKLNTFIEQGFIPTSMTYNCIINGFVKEGAIDSAESAYREMCENGISPNVITCTSLINGFFKSNKIDLALKMYDDMKNKDLELDITAYSALIDGFCKMQDMENASKIFSELLEAGLTPNTIVYNSMISGFRNVNNMEAALNLHKEMINSKIPCDIQIYTSLIGGLLKEGKLSFALDLYSEMLSMGIVPDIVMYTVLINGLCNQGQLEKAGKILKEMDGNSITPTVLLYNTLIAVHFKEGNLQEAFRLHDEMLDKGLVPDDTTYDILVNGKVKRSYALIGA >Vigun08g105100.1.v1.2 pep primary_assembly:ASM411807v1:8:26150815:26154154:1 gene:Vigun08g105100.v1.2 transcript:Vigun08g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVKELVESNSVRSVPSNYISLKSPEDSILYETENIPTIDFSRLTSSNAFERSKEIQQLGDACRNWGFFMLINHGVSETLREKMLRATQRFFDLSEEEKKEYAGEKVLDPIRYGTSFNVMVDKSLFWRDFLKCHVHPHLNVPSKPPGFRETVDEYTRKSREVVGELLKGICGSLGLEENYIHKRLNVELGAQMLIANFYPPCPKPELTMGLPAHTDHGLLTLLLQNQFSGLQIQHKGKWIPVNPLPNSFLVNTGDHLEILTNGKFKSVLHRAVVNTTGTRISIATAHGAPLETIVGPAPEFIDYHNPEAYRAIKYGHYMQFQQSHELDRRSCLDHIRI >Vigun09g213100.1.v1.2 pep primary_assembly:ASM411807v1:9:38724429:38728627:-1 gene:Vigun09g213100.v1.2 transcript:Vigun09g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPEEISHPPMDQLQGLEYCIDSNPSWVESIVLGFQHYILALGTAVMIPSFLVPLMGGTDDDKVRVVQTLLFVEGVNTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDSSLTTIEDPHLRFLNTMKAVQGAMIVASSIQIILGFSQLWGICSRFFSPLGMVPVIALAGFGLFDRGFPVVGHCVEIGIPMLILFVAFSQYLKNFHTRELPILERFALLISTTVIWAYAHLLTASGAYKHRPDLTQHNCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLTGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGFSVPEYFREYSSKALHGPTHTRAGWFDDFLNTIFFSSPTVALIVAVFLDNTLDYKDSAKDRGMPWWAKFRTFNGDGRNEEFYTLPFNLNRFFPPR >Vigun09g213100.2.v1.2 pep primary_assembly:ASM411807v1:9:38724429:38728627:-1 gene:Vigun09g213100.v1.2 transcript:Vigun09g213100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIISIIHDSSLTTIEDPHLRFLNTMKAVQGAMIVASSIQIILGFSQLWGICSRFFSPLGMVPVIALAGFGLFDRGFPVVGHCVEIGIPMLILFVAFSQYLKNFHTRELPILERFALLISTTVIWAYAHLLTASGAYKHRPDLTQHNCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLTGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGFSVPEYFREYSSKALHGPTHTRAGWFDDFLNTIFFSSPTVALIVAVFLDNTLDYKDSAKDRGMPWWAKFRTFNGDGRNEEFYTLPFNLNRFFPPR >Vigun03g153800.1.v1.2 pep primary_assembly:ASM411807v1:3:16302173:16303777:-1 gene:Vigun03g153800.v1.2 transcript:Vigun03g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEIPFLSILSYSLLYLLLFFLFQLLLQARKFQNLPPGPPSLPIIGNLHHLKRPLHRTFKALSDNYGHVISLWFGSRLVVVVSSHSLFQHCFTKNDVALANRPRFLSGKYIFYNYTTLGSSPYGHHWRNLRRITALELLSTHRINTFADIRRDETHRLIRKLAEDASSDFAEVELTSKFYDMTFNNIMRMISGKRYYGDDCDMADVEEARQFRAMVSELLKLAGANNKNDFMPLLRLFDFENLEKRLKKISSKTDTFLNGLLEEHRSKKQSATTMVDHLLSLQESQPDYYTDQIIKGLALGMLVAGTDSSAVTLEWALSCVLKHPEVLQRARDELDTHVGQECLLEESDLSKLPYLKNIIFETLRLYTPAPLLLPHSSSEECIIGGFKVPRDSIVLINAWSIHRDPEVWREATSFKPERFENEGELEKLIAFGLGRRACPGGGLAMRALCLTLGLLIQCFDWKLVGDRELDMREESGFTLSRLIPLKAMCKARPLTNKLVDSFL >Vigun03g244800.1.v1.2 pep primary_assembly:ASM411807v1:3:40780930:40783137:-1 gene:Vigun03g244800.v1.2 transcript:Vigun03g244800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFDCVQTTTESSHSMSTSTSPSAGDVLLKWGHRKRSRLSRAAIEDSSSSVHTNNRRISHSLPPNFSMPPPPPPPPLFSSTASSGRGRKHSPRNLEDPSLGGSESPSRNSQGSNPVVSRSAAPKVSSSGMERSSRRVASSGSAKFPKPSAFSTTQQASERMNNSQGDSASVQSEQEGCVATAPASSSLAAIGNKVTVEVIQWPRIYIALSRKEKEDDFLAMKGTKIPQRPKKRAKNVDRILQCCFPGMWLSELTKSRYEVREKKSIKKQKRRGLKGMEILDSDSD >Vigun09g055900.1.v1.2 pep primary_assembly:ASM411807v1:9:5576238:5580189:-1 gene:Vigun09g055900.v1.2 transcript:Vigun09g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIPYVVLQSSSTHHKMPLIGFGTASISSTIDTKKAVLEAIKSGYRHFDTATIYGSEQPLGEAIAEALQLGLIASRDELFITSKLWCTDNFPHLVLPAIHKTLKCLKLEYLDLYLIHWPISVNPGTSEFPFPEETLTSFDLKGVWQAMEECQKQGLTKFIGVSNFSCHKLENLLSFATIPPSVNQIELNPTWQQKKLREFCEKKGIVVTAYSPLGSGSFWGSNGVMGNELLNQIGEAHGKSVAQVSLRWLYELGVTIVVKSYSKERMKQNLEIFDWSLTKDDYEKIDEIKQSKIFKMGPEEIWNEENYRNDMNVDQDT >Vigun05g255500.1.v1.2 pep primary_assembly:ASM411807v1:5:44974247:44975538:1 gene:Vigun05g255500.v1.2 transcript:Vigun05g255500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAVGNSSELSHVDALRAALAEFISMLIFVFAGEGSGMAFNKVTNNGSATPAGLVAASLSHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLFRSILYWIAQLLGSVVACLLLKFATGGMETSAFALSAGVGAGNALVFEIVMTFGLVYTVYATAVDPKKGDIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPFAGAAIAAVVYEVLFITQHTHEQLPVTDY >Vigun10g121000.3.v1.2 pep primary_assembly:ASM411807v1:10:32766151:32784381:-1 gene:Vigun10g121000.v1.2 transcript:Vigun10g121000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALITCYHFLTSSPRAKAWLKKQLIQLDLYEARVDQVKDVVEKLKKKRDSIQHTVDEEERRHGRKIHVEVKEWMESVDKLIRAYKDFDDDEICHKRAVFEFFDSGYLPRPGIRYRRSIKAKDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYTSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDVRKIQGQIADMLGFVLEEESDIARAARIHKILNNENKSTLIILDDLWEEVNFNLLGIPCELEKEDGVTNVQGKSLDVDSLKNVSDGKSPDVDILMNVDGSKNINKGKSPVDASDRVKAENFVPQYKGCKILMISEIKQVLLTQMEGKEESIFPVDVLKEEEAEMLFKKKAGISDKNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDQTNRKLESQNLTGVPEFSTKLSYELLEDEELKYTFLLCARMGHDALIMDLVKYCIGFGFLQGINTARQTRDKLFMLVAKLKEAGLLSDSYSSDHFTMPDTVRRAALSIAYKENQLFTMTNGRLDEWPDKLQRYAAISLHHCDFIEDFPGKLNYPRLRVLEIVNNIPRPKIPKNFFKGVKELRVLILTGIDLPLIDSSVSSLYKLRMLCLEQCSMLDEELSIIGVLKRLRVLSLSGSDIKSLPNELNELKMLQIFDISNCPKLKKIPHGVISSMVSLEELYMRNTLIQWEDEEQTRQSKIALLSDLNHLNQLTTLDIQIPNVSYLPKNLYFDKLDSYKIVIGDLSSFLETGFQMPEKYETLKFLAVQLENGSDIHSLMGIKMLFEGVENLFLELNTVHEKHNSVHEAHNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQYPEKAFPKLESLSLYNLKMDEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVGLLKVLETIEVSECSSLKEVIYVGPPINPEKTVIPMLPELRYLKLHSLSKFIGFDATPYIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVRSQSSEILSFENLTHLDVNGCWKLKSLMSFTMANCLVNLQSLYVSDCKKMSCIFLPKQDTEKDIMGSIFPKLKNMKLSNMISLSKIWYPKLPSDSFYTLETLIIEECHKLENAVERIFGSLCNLRVTNCRSMEAIFNICEQVGDVANNLQDVHLETLPKLEIVWRINNKDLVGIPKFNNLKRILVQDCESLEYIFPFYVAKNLDNLESLVVCDCCGLNEIVAKREVTNTDREKFNFPKLSTIKFSDLPKLTSFYPTAYDLSCPLNELSIKFCNNLEPFNNGTEHAQRNPVHAFFPEKVINNLKSMQIEFWHAKSPRSYMGKGNHRRDNLEELSLSRLMNTEILYSFLHRNPNLKSLSLNNCYFENILPLKEDTEIENLGVVPNLKSLMLIDLLNLKEISFEPAIILERLEFLILKNCCNMITIAPSSVSFTRLKNLEVVKCDRLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGQKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSACYNMDKFSETVTSSPILQNVHVVHGKENKRFCWEGDINATIQKMFEEMKFFEGMEEISLSEHQELHETWQRGAGLQKKNSWFYSLKILKLENCVIQPCAIPSNILPYLMSLKELQVRGCNNVEVIFEMNAEESTASAIHLQKLTLEKLPHLKDVWERNDKGTKSFQNLKLVNVSECRDLQTVFPFTLAKSLKKLDELKIMHCHGLHEIVRKEEDTTAVFVFPCLTTLKLADLPELLYFYPESFTLECSTLKELVVWKCPKMELFGSANRQSIFFDLKDISNLEVLILGWEHTLALRTILGEPMDNLKYLNDIQLVFIIDENERPDLPIQILQKMPNLTKLSIHHCSWLEVFQTQIPEIVEKRVLTHLKSLRLNGVSKLQSIGSEDSPWLNLLCDSEKLQHLEVVNCPDLKSLVHSTPSPSVSFKHVKEMYITNCQDMKYLFTLPAVNNLESLEYIEVNNCESMEAIVLKVEDDISKEIQLQRLKHIDLNHLSSLKCFYSGDATLQLPSLIQVDIWMCPKMEFFSRGRIQLNSSFRGIEALNVSRDELVFYLDLNSSVKKVFLQQEFFQALDPVCKLGLENKWWANMETLKLQNCTLSYAIPSYILALLKNLKELEVRESNQVKAIFDINDDTEINETESQLKILTLIGLSELTHVWEKDTHRILIFRNLQQVVVSNCAKLQILFPTYLAKSLKDLNKLKINNCKNLQDLVEQEETTCVTEKFVFPCLEDLELRNLPRVTCPKMFTLEFPSVKFLDVRSCDGLGLFKSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLEWKQILPLSLWLKSQQSTEGLPNLNSIYVSFFGAKENEIPMLPIEILKAPNLIEMNVMHCESLENFLVQNAKIGEEEMLGKLTMLRLYDVSTTQLFELEYSSSLNIFERLHRLFVSHCPHLTTLGVHSTSIVSFYCLKELFIYKCPNLKYLFTSSAAKMLMNLEEISVIECESLTKIVVKEGDATSEAIKFERLHTIYLKSLTSLVCFYSGSETLQLSSLKIVTIWSCPNMEIFSQGIESLMGITLSIDLEPNDLPPPQDLNTRIKGISQRKEFIESVDKECFSDYLKLQEDPHCNFRLQNRWLSDLVSLKLQNCTLSCAIPSPILALLKSLEELEVRDSTTVEVIFYMNDSDSMEIASRLRILTLEGLSKLTRVWENKKNGVLIFPNLQQIVVSNCEKLETLFPASMAKNLKSLKGIKINFCDELREIVEKEEDREEKFVLPCLEKLDLSSLSKLTCFYPETFALECPALNELSVFDCNELELFQCAHSTGEGTSVNRRPLISSLDVISNLRELNLDWKHILALRSRFRSEKFKGVFKFVNKMLLDLDGDVGEMPIVLNEILHKAPNLIAMIMVMQKCNNPEIFLAQNPKIGEDGMLLQLRKLILVEVSAIRSIQSENSSWLNTICEKVHELHVFECPDIETIGVHSTSTMSFSFLKKVFASDCPQLQYLFTSSVAKKLVNLKEIMVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMIFLKLEILTLISLGKFEMFYTGSSTLNFPSLRRVRVDKCFSTKIFRRRDKVPPKFNVMIDEIRCKGDTKALIMQQFEEEAS >Vigun10g121000.2.v1.2 pep primary_assembly:ASM411807v1:10:32766151:32784381:-1 gene:Vigun10g121000.v1.2 transcript:Vigun10g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALITCYHFLTSSPRAKAWLKKQLIQLDLYEARVDQVKDVVEKLKKKRDSIQHTVDEEERRHGRKIHVEVKEWMESVDKLIRAYKDFDDDEICHKRAVFEFFDSGYLPRPGIRYRRSIKAKDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYTSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDVRKIQGQIADMLGFVLEEESDIARAARIHKILNNENKSTLIILDDLWEEVNFNLLGIPCELEKEDGVTNVQGKSLDVDSLKNVSDGKSPDVDILMNVDGSKNINKGKSPVDASDRVKAENFVPQYKGCKILMISEIKQVLLTQMEGKEESIFPVDVLKEEEAEMLFKKKAGISDKNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDQTNRKLESQNLTGVPEFSTKLSYELLEDEELKYTFLLCARMGHDALIMDLVKYCIGFGFLQGINTARQTRDKLFMLVAKLKEAGLLSDSYSSDHFTMPDTVRRAALSIAYKENQLFTMTNGRLDEWPDKLQRYAAISLHHCDFIEDFPGKLNYPRLRVLEIVNNIPRPKIPKNFFKGVKELRVLILTGIDLPLIDSSVSSLYKLRMLCLEQCSMLDEELSIIGVLKRLRVLSLSGSDIKSLPNELNELKMLQIFDISNCPKLKKIPHGVISSMVSLEELYMRNTLIQWEDEEQTRQSKIALLSDLNHLNQLTTLDIQIPNVSYLPKNLYFDKLDSYKIVIGDLSSFLETGFQMPEKYETLKFLAVQLENGSDIHSLMGIKMLFEGVENLFLELNTVHEKHNSVHEAHNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQYPEKAFPKLESLSLYNLKMDEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVGLLKVLETIEVSECSSLKEVIYVGPPINPEKTVIPMLPELRYLKLHSLSKFIGFDATPYIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVRSQSSEILSFENLTHLDVNGCWKLKSLMSFTMANCLVNLQSLYVSDCKKMSCIFLPKQDTEKDIMGSIFPKLKNMKLSNMISLSKIWYPKLPSDSFYTLETLIIEECHKLENAVERIFGSLCNLRVTNCRSMEAIFNICEQVGDVANNLQDVHLETLPKLEIVWRINNKDLVGIPKFNNLKRILVQDCESLEYIFPFYVAKNLDNLESLVVCDCCGLNEIVAKREVTNTDREKFNFPKLSTIKFSDLPKLTSFYPTAYDLSCPLNELSIKFCNNLEPFNNGTEHAQRNPVHAFFPEKVINNLKSMQIEFWHAKSPRSYMGKGNHRRDNLEELSLSRLMNTEILYSFLHRNPNLKSLSLNNCYFENILPLKEDTEIENLGVVPNLKSLMLIDLLNLKEISFEPAIILERLEFLILKNCCNMITIAPSSVSFTRLKNLEVVKCDRLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGQKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSACYNMDKFSETVTSSPILQNVHVVHGKENKRFCWEGDINATIQKMFEEMKFFEGMEEISLSEHQELHETWQRGAGLQKKNSWFYSLKILKLENCVIQPCAIPSNILPYLMSLKELQVRGCNNVEVIFEMNAEESTASAIHLQKLTLEKLPHLKDVWERNDKGTKSFQNLKLVNVSECRDLQTVFPFTLAKSLKKLDELKIMHCHGLHEIVRKEEDTTAVFVFPCLTTLKLADLPELLYFYPESFTLECSTLKELVVWKCPKMELFGSANRQSIFFDLKDISNLEVLILGWEHTLALRTILGEPMDNLKYLNDIQLVFIIDENERPDLPIQILQKMPNLTKLSIHHCSWLEVFQTQIPEIVEKRVLTHLKSLRLNGVSKLQSIGSEDSPWLNLLCDSEKLQHLEVVNCPDLKSLVHSTPSPSVSFKHVKEMYITNCQDMKYLFTLPAVNNLESLEYIEVNNCESMEAIVLKVEDDISKEIQLQRLKHIDLNHLSSLKCFYSGDATLQLPSLIQVDIWMCPKMEFFSRGRIQLNSSFRGIEALNVSRDELVFYLDLNSSVKKVFLQQEFFQALDPVCKLGLENKWWANMETLKLQNCTLSYAIPSYILALLKNLKELEVRESNQVKAIFDINDDTEINETESQLKILTLIGLSELTHVWEKDTHRILIFRNLQQVVVSNCAKLQILFPTYLAKSLKDLNKLKINNCKNLQDLVEQEETTCVTEKFVFPCLEDLELRNLPRVTCPKMFTLEFPSVKFLDVRSCDGLGLFKSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLEWKQILPLSLWLKSQQSTEGLPNLNSIYVSFFGAKENEIPMLPIEILKAPNLIEMNVMHCESLENFLVQNAKIGEEEMLGKLTMLRLYDVSTTQLFELEYSSSLNIFERLHRLFVSHCPHLTTLGVHSTSIVSFYCLKELFIYKCPNLKYLFTSSAAKMLMNLEEISVIECESLTKIVVKEGDATSEAIKFERLHTIYLKSLTSLVCFYSGSETLQLSSLKIVTIWSCPNMEIFSQGIESLMGITLSIDLEPNDLPPPQDLNTRIKGISQRKEFIESVDKECFSDYLKLQEDPHCNFRLQNRWLSDLVSLKLQNCTLSCAIPSPILALLKSLEELEVRDSTTVEVIFYMNDSDSMEIASRLRILTLEGLSKLTRVWENKKNGVLIFPNLQQIVVSNCEKLETLFPASMAKNLKSLKGIKINFCDELREIVEKEEDREEKFVLPCLEKLDLSSLSKLTCFYPETFALECPALNELSVFDCNELELFQCAHSTGEGTSVNRRPLISSLDVISNLRELNLDWKHILALRSRFRSEKFKGVFKFVNKMLLDLDGDVGEMPIVLNEILHKAPNLIAMIMVMQKCNNPEIFLAQNPKIGEDGMLLQLRKLILVEVSAIRSIQSENSSWLNTICEKVHELHVFECPDIETIGVHSTSTMSFSFLKKVFASDCPQLQYLFTSSVAKKLVNLKEIMVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMIFLKLEILTLISLGKFEMFYTGSSTLNFPSLRRVRVDKCFSTKIFRRRDKVPPKFNVMIDEIRCKGDTKALIMQQFEEEAS >Vigun10g121000.1.v1.2 pep primary_assembly:ASM411807v1:10:32766151:32784381:-1 gene:Vigun10g121000.v1.2 transcript:Vigun10g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALITCYHFLTSSPRAKAWLKKQLIQLDLYEARVDQVKDVVEKLKKKRDSIQHTVDEEERRHGRKIHVEVKEWMESVDKLIRAYKDFDDDEICHKRAVFEFFDSGYLPRPGIRYRRSIKAKDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYTSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDVRKIQGQIADMLGFVLEEESDIARAARIHKILNNENKSTLIILDDLWEEVNFNLLGIPCELEKEDGVTNVQGKSLDVDSLKNVSDGKSPDVDILMNVDGSKNINKGKSPVDASDRVKAENFVPQYKGCKILMISEIKQVLLTQMEGKEESIFPVDVLKEEEAEMLFKKKAGISDKNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDQTNRKLESQNLTGVPEFSTKLSYELLEDEELKYTFLLCARMGHDALIMDLVKYCIGFGFLQGINTARQTRDKLFMLVAKLKEAGLLSDSYSSDHFTMPDTVRRAALSIAYKENQLFTMTNGRLDEWPDKLQRYAAISLHHCDFIEDFPGKLNYPRLRVLEIVNNIPRPKIPKNFFKGVKELRVLILTGIDLPLIDSSVSSLYKLRMLCLEQCSMLDEELSIIGVLKRLRVLSLSGSDIKSLPNELNELKMLQIFDISNCPKLKKIPHGVISSMVSLEELYMRNTLIQWEDEEQTRQSKIALLSDLNHLNQLTTLDIQIPNVSYLPKNLYFDKLDSYKIVIGDLSSFLETGFQMPEKYETLKFLAVQLENGSDIHSLMGIKMLFEGVENLFLELNTVHEKHNSVHEAHNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQYPEKAFPKLESLSLYNLKMDEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVGLLKVLETIEVSECSSLKEVIYVGPPINPEKTVIPMLPELRYLKLHSLSKFIGFDATPYIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVRSQSSEILSFENLTHLDVNGCWKLKSLMSFTMANCLVNLQSLYVSDCKKMSCIFLPKQDTEKDIMGSIFPKLKNMKLSNMISLSKIWYPKLPSDSFYTLETLIIEECHKLENAVERIFGSLCNLRVTNCRSMEAIFNICEQVGDVANNLQDVHLETLPKLEIVWRINNKDLVGIPKFNNLKRILVQDCESLEYIFPFYVAKNLDNLESLVVCDCCGLNEIVAKREVTNTDREKFNFPKLSTIKFSDLPKLTSFYPTAYDLSCPLNELSIKFCNNLEPFNNGTEHAQRNPVHAFFPEKVINNLKSMQIEFWHAKSPRSYMGKGNHRRDNLEELSLSRLMNTEILYSFLHRNPNLKSLSLNNCYFENILPLKEDTEIENLGVVPNLKSLMLIDLLNLKEISFEPAIILERLEFLILKNCCNMITIAPSSVSFTRLKNLEVVKCDRLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGQKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSACYNMDKFSETVTSSPILQNVHVVHGKENKRFCWEGDINATIQKMFEEMKFFEGMEEISLSEHQELHETWQRGAGLQKKNSWFYSLKILKLENCVIQPCAIPSNILPYLMSLKELQVRGCNNVEVIFEMNAEESTASAIHLQKLTLEKLPHLKDVWERNDKGTKSFQNLKLVNVSECRDLQTVFPFTLAKSLKKLDELKIMHCHGLHEIVRKEEDTTAVFVFPCLTTLKLADLPELLYFYPESFTLECSTLKELVVWKCPKMELFGSANRQSIFFDLKDISNLEVLILGWEHTLALRTILGEPMDNLKYLNDIQLVFIIDENERPDLPIQILQKMPNLTKLSIHHCSWLEVFQTQIPEIVEKRVLTHLKSLRLNGVSKLQSIGSEDSPWLNLLCDSEKLQHLEVVNCPDLKSLVHSTPSPSVSFKHVKEMYITNCQDMKYLFTLPAVNNLESLEYIEVNNCESMEAIVLKVEDDISKEIQLQRLKHIDLNHLSSLKCFYSGDATLQLPSLIQVDIWMCPKMEFFSRGRIQLNSSFRGIEALNVSRDELVFYLDLNSSVKKVFLQQEFFQALDPVCKLGLENKWWANMETLKLQNCTLSYAIPSYILALLKNLKELEVRESNQVKAIFDINDDTEINETESQLKILTLIGLSELTHVWEKDTHRILIFRNLQQVVVSNCAKLQILFPTYLAKSLKDLNKLKINNCKNLQDLVEQEETTCVTEKFVFPCLEDLELRNLPRVTCPKMFTLEFPSVKFLDVRSCDGLGLFKSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLEWKQILPLSLWLKSQQSTEGLPNLNSIYVSFFGAKENEIPMLPIEILKAPNLIEMNVMHCESLENFLVQNAKIGEEEMLGKLTMLRLYDVSTTQLFELEYSSSLNIFERLHRLFVSHCPHLTTLGVHSTSIVSFYCLKELFIYKCPNLKYLFTSSAAKMLMNLEEISVIECESLTKIVVKEGDATSEAIKFERLHTIYLKSLTSLVCFYSGSETLQLSSLKIVTIWSCPNMEIFSQGIESLMGITLSIDLEPNDLPPPQDLNTRIKGISQRKEFIESVDKECFSDYLKLQEDPHCNFRLQNRWLSDLVSLKLQNCTLSCAIPSPILALLKSLEELEVRDSTTVEVIFYMNDSDSMEIASRLRILTLEGLSKLTRVWENKKNGVLIFPNLQQIVVSNCEKLETLFPASMAKNLKSLKGIKINFCDELREIVEKEEDREEKFVLPCLEKLDLSSLSKLTCFYPETFALECPALNELSVFDCNELELFQCAHSTGEGTSVNRRPLISSLDVISNLRELNLDWKHILALRSRFRSEKFKGVFKFVNKMLLDLDGDVGEMPIVLNEILHKAPNLIAMIMVMQKCNNPEIFLAQNPKIGEDGMLLQLRKLILVEVSAIRSIQSENSSWLNTICEKVHELHVFECPDIETIGVHSTSTMSFSFLKKVFASDCPQLQYLFTSSVAKKLVNLKEIMVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMIFLKLEILTLISLGKFEMFYTGSSTLNFPSLRRVRVDKCFSTKIFRRRDKVPPKFNVMIDEIRCKGDTKALIMQQFEEEAS >Vigun10g121000.4.v1.2 pep primary_assembly:ASM411807v1:10:32766151:32784381:-1 gene:Vigun10g121000.v1.2 transcript:Vigun10g121000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALITCYHFLTSSPRAKAWLKKQLIQLDLYEARVDQVKDVVEKLKKKRDSIQHTVDEEERRHGRKIHVEVKEWMESVDKLIRAYKDFDDDEICHKRAVFEFFDSGYLPRPGIRYRRSIKAKDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYTSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDVRKIQGQIADMLGFVLEEESDIARAARIHKILNNENKSTLIILDDLWEEVNFNLLGIPCELEKEDGVTNVQGKSLDVDSLKNVSDGKSPDVDILMNVDGSKNINKGKSPVDASDRVKAENFVPQYKGCKILMISEIKQVLLTQMEGKEESIFPVDVLKEEEAEMLFKKKAGISDKNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWDQTNRKLESQNLTGVPEFSTKLSYELLEDEELKYTFLLCARMGHDALIMDLVKYCIGFGFLQGINTARQTRDKLFMLVAKLKEAGLLSDSYSSDHFTMPDTVRRAALSIAYKENQLFTMTNGRLDEWPDKLQRYAAISLHHCDFIEDFPGKLNYPRLRVLEIVNNIPRPKIPKNFFKGVKELRVLILTGIDLPLIDSSVSSLYKLRMLCLEQCSMLDEELSIIGVLKRLRVLSLSGSDIKSLPNELNELKMLQIFDISNCPKLKKIPHGVISSMVSLEELYMRNTLIQWEDEEQTRQSKIALLSDLNHLNQLTTLDIQIPNVSYLPKNLYFDKLDSYKIVIGDLSSFLETGFQMPEKYETLKFLAVQLENGSDIHSLMGIKMLFEGVENLFLELNTVHEKHNSVHEAHNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIHPKDRQYPEKAFPKLESLSLYNLKMDEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVGLLKVLETIEVSECSSLKEVIYVGPPINPEKTVIPMLPELRYLKLHSLSKFIGFDATPYIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVRSQSSEILSFENLTHLDVNGCWKLKSLMSFTMANCLVNLQSLYVSDCKKMSCIFLPKQDTEKDIMGSIFPKLKNMKLSNMISLSKIWYPKLPSDSFYTLETLIIEECHKLENAVERIFGSLCNLRVTNCRSMEAIFNICEQVGDVANNLQDVHLETLPKLEIVWRINNKDLVGIPKFNNLKRILVQDCESLEYIFPFYVAKNLDNLESLVVCDCCGLNEIVAKREVTNTDREKFNFPKLSTIKFSDLPKLTSFYPTAYDLSCPLNELSIKFCNNLEPFNNGTEHAQRNPVHAFFPEKVINNLKSMQIEFWHAKSPRSYMGKGNHRRDNLEELSLSRLMNTEILYSFLHRNPNLKSLSLNNCYFENILPLKEDTEIENLGVVPNLKSLMLIDLLNLKEISFEPAIILERLEFLILKNCCNMITIAPSSVSFTRLKNLEVVKCDRLQSLMSASTAKSLVQLNTMKVVKCESLMEIVRKDGQKSDRVVFQQLKALELVSLKNLKSFCVSDCDFEFPSLEKLVVSACYNMDKFSETVTSSPILQNVHVVHGKENKRFCWEGDINATIQKMFEEMKFFEGMEEISLSEHQELHETWQRGAGLQKKNSWFYSLKILKLENCVIQPCAIPSNILPYLMSLKELQVRGCNNVEVIFEMNAEESTASAIHLQKLTLEKLPHLKDVWERNDKGTKSFQNLKLVNVSECRDLQTVFPFTLAKSLKKLDELKIMHCHGLHEIVRKEEDTTAVFVFPCLTTLKLADLPELLYFYPESFTLECSTLKELVVWKCPKMELFGSANRQSIFFDLKDISNLEVLILGWEHTLALRTILGEPMDNLKYLNDIQLVFIIDENERPDLPIQILQKMPNLTKLSIHHCSWLEVFQTQIPEIVEKRVLTHLKSLRLNGVSKLQSIGSEDSPWLNLLCDSEKLQHLEVVNCPDLKSLVHSTPSPSVSFKHVKEMYITNCQDMKYLFTLPAVNNLESLEYIEVNNCESMEAIVLKVEDDISKEIQLQRLKHIDLNHLSSLKCFYSGDATLQLPSLIQVDIWMCPKMEFFSRGRIQLNSSFRGIEALNVSRDELVFYLDLNSSVKKVFLQQEFFQALDPVCKLGLENKWWANMETLKLQNCTLSYAIPSYILALLKNLKELEVRESNQVKAIFDINDDTEINETESQLKILTLIGLSELTHVWEKDTHRILIFRNLQQVVVSNCAKLQILFPTYLAKSLKDLNKLKINNCKNLQDLVEQEETTCVTEKFVFPCLEDLELRNLPRVTCPKMFTLEFPSVKFLDVRSCDGLGLFKSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLEWKQILPLSLWLKSQQSTEGLPNLNSIYVSFFGAKENEIPMLPIEILKAPNLIEMNVMHCESLENFLVQNAKIGEEEMLGKLTMLRLYDVSTTQLFELEYSSSLNIFERLHRLFVSHCPHLTTLGVHSTSIVSFYCLKELFIYKCPNLKYLFTSSAAKMLMNLEEISVIECESLTKIVVKEGDATSEAIKFERLHTIYLKSLTSLVCFYSGSETLQLSSLKIVTIWSCPNMEIFSQGIESLMGITLSIDLEPNDLPPPQDLNTRIKGISQRKEFIESVDKECFSDYLKLQEDPHCNFRLQNRWLSDLVSLKLQNCTLSCAIPSPILALLKSLEELEVRDSTTVEVIFYMNDSDSMEIASRLRILTLEGLSKLTRVWENKKNGVLIFPNLQQIVVSNCEKLETLFPASMAKNLKSLKGIKINFCDELREIVEKEEDREEKFVLPCLEKLDLSSLSKLTCFYPETFALECPALNELSVFDCNELELFQCAHSTGEGTSVNRRPLISSLDVISNLRELNLDWKHILALRSRFRSEKFKGVFKFVNKMLLDLDGDVGEMPIVLNEILHKAPNLIAMIMVMQKCNNPEIFLAQNPKIGEDGMLLQLRKLILVEVSAIRSIQSENSSWLNTICEKVHELHVFECPDIETIGVHSTSTMSFSFLKKVFASDCPQLQYLFTSSVAKKLVNLKEIMVTECKSLKEIVSKEGDEHEQKGEGEDEDENEMIFLKLEILTLISLGKFEMFYTGSSTLNFPSLRRVRVDKCFSTKIFRRRDKVPPKFNVMIDEIRCKGDTKALIMQQFEEEAS >Vigun11g216442.1.v1.2 pep primary_assembly:ASM411807v1:11:41016443:41017247:1 gene:Vigun11g216442.v1.2 transcript:Vigun11g216442.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLYLLGGLNSTLQGKCRLSVLFSCVSTIIIAMFVLLLLLQALSRSSYGSQPQLSNYSISIHFSQLNGNNQYFTNLLVEDHS >Vigun10g139400.1.v1.2 pep primary_assembly:ASM411807v1:10:35556630:35562298:1 gene:Vigun10g139400.v1.2 transcript:Vigun10g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFERATAAPLNSAMTYDEASMERSKSFVNALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQSLDVSTMDLKVATINQKLLTCQIYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQIDARQNLFQTRTRLQSSGTPAAKTLSWHLASETKSTLKGSSHASPNIENPKFSAKASGVFHLLDNEENTWMKSSPAQIHFSNGVPPSSTPIHTLGGTRKDALEGSKPLTAFRSFDNPNRREVVQVPTRSKSVLSAFFAKQKTPKLKAGSFL >Vigun10g139400.2.v1.2 pep primary_assembly:ASM411807v1:10:35558492:35562298:1 gene:Vigun10g139400.v1.2 transcript:Vigun10g139400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQSLDVSTMDLKVATINQKLLTCQIYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQIDARQNLFQTRTRLQSSGTPAAKTLSWHLASETKSTLKGSSHASPNIENPKFSAKASGVFHLLDNEENTWMKSSPAQIHFSNGVPPSSTPIHTLGGTRKDALEGSKPLTAFRSFDNPNRREVVQVPTRSKSVLSAFFAKQKTPKLKAGSFL >Vigun09g264833.1.v1.2 pep primary_assembly:ASM411807v1:9:42901450:42902954:1 gene:Vigun09g264833.v1.2 transcript:Vigun09g264833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLGKRRNQQLSTIANLVLLTVLSRATIARSSNGKFGSGMSSLDFLLMEPSEVPGISGGKWTDQETLLLHEALELYKENWNEISEHVAAKSKSSITLFQMPIEDAYVDCGDDADASYNENIDPSTS >Vigun07g081200.1.v1.2 pep primary_assembly:ASM411807v1:7:11474145:11475196:-1 gene:Vigun07g081200.v1.2 transcript:Vigun07g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIDIPESSKVVKGKGVVAAPLRPGGWKKGVAIMDFILRLGAIAAALGAAATMGTSDQTLPFFTQFFQFEASYDSFTTFQFFVITMALVGGYLVLSLPFSVVAIIRPHAVGPRLFLIILDTVFLTLATASAASAAAVVYLAHNGDQDTNWLAICNQFGDFCAQTSSAVVSSFVAVVVFVLLIVMSALAIGKP >Vigun06g205700.1.v1.2 pep primary_assembly:ASM411807v1:6:31978300:31982510:1 gene:Vigun06g205700.v1.2 transcript:Vigun06g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREHNSISSEWPPIGAPLNMQRDEYDQHWSSSFDSSVNAVSFGFVATAILISMFLVMAIFERFLKPTSPPLLPSADWNRRRSSQMAFNGKLAHPSPKMSLYASWVSVLMPGDETPSFIAHPAPAPCCPERIPWPPHQHSSVPYSTYTTMHNINQV >Vigun01g029900.1.v1.2 pep primary_assembly:ASM411807v1:1:3693259:3702664:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVQREPHNTNGISGSGSGSCLYDLFCSETPNLNALNLKERWLSSGGGPQKRLDNLMRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun01g029900.5.v1.2 pep primary_assembly:ASM411807v1:1:3693259:3702664:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVQREPHNTNGISGGPQKRLDNLMRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun01g029900.3.v1.2 pep primary_assembly:ASM411807v1:1:3693287:3706023:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVQREPHNTNGISGSGSCLYDLFCSETPNLNALNLKERWLSSGGGPQKRLDNLMRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun01g029900.4.v1.2 pep primary_assembly:ASM411807v1:1:3693287:3706023:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVQREPHNTNGISGSGSGSCLYDLFCSETPNLNALNLKERWLSSGGGPQKRLDNLMRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun01g029900.2.v1.2 pep primary_assembly:ASM411807v1:1:3693286:3706023:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVQREPHNTNGISGGPQKRLDNLMRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun01g029900.6.v1.2 pep primary_assembly:ASM411807v1:1:3693287:3699541:-1 gene:Vigun01g029900.v1.2 transcript:Vigun01g029900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGNRYCADCGSLEPKWVSISLGVFICIKCSGVHRSLGVHISKVLSLKLDQWSDEQVDELVKLGGNTVVNKKYEAYLPSSIRKPKPHSSIEERSDFIRRKYEFLQFVEGDENMSCPFIPSNSGSSSDSQIGSSRLQSIREKKQYSDNKQQTKHRICRTFRNSRGRKETHDFRSSKKSTSLAGMTEFVGLIKVNVVKGINLAVRDVMTSDPYVIISLGHQSVKTRVIKNNLNPIWNESLMLSIPDNIPPLKIIVYDKDTFSTDDFMGEAEIDIQPLVSAAMEYEKCSASGDNTLIKDGIISLENGKIKQEVSVRLQHVERGVLEIELECVPLTQ >Vigun09g187200.1.v1.2 pep primary_assembly:ASM411807v1:9:36077822:36079810:-1 gene:Vigun09g187200.v1.2 transcript:Vigun09g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPNTKSTLTQIPLLATKAGPRDGAAWTQRLKEEYKALITYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVYNLLKYEFDLQFDIPVTYPSTAPELELPQLDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMIKHKDDATTSTES >Vigun03g202118.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:31096030:31096359:1 gene:Vigun03g202118.v1.2 transcript:Vigun03g202118.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQY >Vigun08g070600.1.v1.2 pep primary_assembly:ASM411807v1:8:11322818:11326367:1 gene:Vigun08g070600.v1.2 transcript:Vigun08g070600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRLNKDTQKELTRLTVVLLMVLAAASQALPGCPNSCGSVSSIPYPFGVGSSSVTGENCFLEGELELRCTNSTLYHGDGNVQILNISLAGKLDMLAFISEVCKNELLGGVETHGNDPFLRTPAFAISSEDNKFVSVGCDTYGYLNSFRNGTESSTGCLTRCDTLESVQSMQRSGNCTGIGCCQVDIPPGMKNITFQAFSFNNFNSTSDFNKCGYSFVVKNGNYTFSVDHLNGVPFTMSPLVMDWSVGNDTCEASELRADYACKSPYSDCENSPFEYGYRCNCKPGFQGNAYLLDGCQDFPECSNNKHNCDSKDHCRETPGSFECFCPDGLIGNGTKEGGGCHPKQPADAFTKIVIGASVGLIALFIGISWLYLMYQKRKVLKLKQKFFQQNGGIILRQQLATREDSSQTTTIFSAEELKKATNNFDESLIIGKGGYGTVFKGVLSNNKVVAIKKSKIVDQSQVEQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVNNGTLFDYLHNQGQGVNVSWKTRLRIATEAAAALSYLHSAASIPIIHRDVKTANILLDDTYTAKVSDFGASRLVPLDQTEIATIVQGTFGYLDPEYMQSSQLTEKSDVYSFGVVLVELLTGDKPFSFDRAEEKQSLTVYFLRSLKEDRLFDILQLGLLDKENQQDIMQVAILAARCLRLIGEERPSMKEVTMELEGIKLIEKHPWINTGKNFEESQYLLHEAQSNYEHGDSSSGQQGYDSLRELELIDFGNGR >Vigun11g180100.1.v1.2 pep primary_assembly:ASM411807v1:11:38406895:38408801:1 gene:Vigun11g180100.v1.2 transcript:Vigun11g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSHLMFVLRSQPLSPLPSSSFFSFLKPLPRLQFPPLRKSLFSVSSSVVVSQDGEETETGNDELDSERGDFGGTQLDSTSSPLVIKREERLKLEVPSLSVKERKELASYAHSLGDKLKTQLVGKSGVTPNVATSFIETLEANELLKIKIHRSCPGELDDVVKQLEEATGSVAVGQIGRTLIIYRPSASKLKEEEKKKQVRKFIPKKQLNPRLVNKSRVQVPKLTRPGSSWKGRSRP >Vigun04g104800.3.v1.2 pep primary_assembly:ASM411807v1:4:24856816:24861055:-1 gene:Vigun04g104800.v1.2 transcript:Vigun04g104800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSFVVRSPSEKRSIEMFSPAFYGACAIGGTLSCGVTHTAVTPLDVVKCNIQIDPVKYKNTSTGFGVMFKEQGLRGFYRGWAPTFVGYSAQGAFKYGLYEYFKKYYSDIAGPEYATKYKTLIYLAGSASSELIADVALCPFEAVKVRVQTQPGFARGLADGLPKLVRTEGVSGLYKGIMPLWGRQVPYTMMKFASFENIVEMIYKHVISKPKYECSNSQQLGVSIVGGYMAGILCAVVSHPADNLVSFLNNSKGASVADAVKKLGLWGLFTRGLPLRILMVGTLTGAQWGIYDSFKVFVGLPTTGGVAPVPPSPDA >Vigun04g104800.2.v1.2 pep primary_assembly:ASM411807v1:4:24856816:24861055:-1 gene:Vigun04g104800.v1.2 transcript:Vigun04g104800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRVDFEYIKPAKPKKGFVVKSEQLPDTLQNLISLFQQNSETLGRHSLIPTFLYSCPSPQQKMEASSFVVRSPSEKRSIEMFSPAFYGACAIGGTLSCGVTHTAVTPLDVVKCNIQIDPVKYKNTSTGFGVMFKEQGLRGFYRGWAPTFVGYSAQGAFKYGLYEYFKKYYSDIAGPEYATKYKTLIYLAGSASSELIADVALCPFEAVKVRVQTQPGFARGLADGLPKLVRTEGVSGLYKGIMPLWGRQVPYTMMKFASFENIVEMIYKHVISKPKYECSNSQQLGVSIVGGYMAGILCAVVSHPADNLVSFLNNSKGASVADAVKKLGLWGLFTRGLPLRILMVGTLTGAQWGIYDSFKVFVGLPTTGGVAPVPPSPDA >Vigun04g104800.1.v1.2 pep primary_assembly:ASM411807v1:4:24856816:24861055:-1 gene:Vigun04g104800.v1.2 transcript:Vigun04g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRVDFEYIKPAKPKKGFVVKSEQLPDTLQNLISLFQQSKLSLSHTVSLNLSVPATVSPLMAPSDSETLGRHSLIPTFLYSCPSPQQKMEASSFVVRSPSEKRSIEMFSPAFYGACAIGGTLSCGVTHTAVTPLDVVKCNIQIDPVKYKNTSTGFGVMFKEQGLRGFYRGWAPTFVGYSAQGAFKYGLYEYFKKYYSDIAGPEYATKYKTLIYLAGSASSELIADVALCPFEAVKVRVQTQPGFARGLADGLPKLVRTEGVSGLYKGIMPLWGRQVPYTMMKFASFENIVEMIYKHVISKPKYECSNSQQLGVSIVGGYMAGILCAVVSHPADNLVSFLNNSKGASVADAVKKLGLWGLFTRGLPLRILMVGTLTGAQWGIYDSFKVFVGLPTTGGVAPVPPSPDA >Vigun09g021900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1726921:1727295:1 gene:Vigun09g021900.v1.2 transcript:Vigun09g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHKDEAEKEKGGEEVKYRGVRKRPWGKYGAEIRDPRKPAGRQWLGTFDTAEEAARAYDRAALELRGALAVLNFPDSSASSSTTAIGSSCSSQDREVIEFEYLDDKVLEDLLESEVKRRNQY >Vigun10g086100.1.v1.2 pep primary_assembly:ASM411807v1:10:24603972:24607096:-1 gene:Vigun10g086100.v1.2 transcript:Vigun10g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCSKVLTFSLSNVVGGDDAKKKLSLCSSSSLSVSVNGGGSRNMRVCAAASNAPAPLTGVIFEPFQELKKDYLAVPIAPNVSLSRQNYSDEAEAAINEQINVEYNVSYVYHSLFAYFDRDNIALKGLAKFFKESSEEEREHAEKLIKYQNIRGGRVVLHPITSPPSEFEHPEKGDALYAMELALSLEKLTNEKLLYVHSVADRNNDAQLADFIESEFLNEQVESIKKIAEYVTQLRLVGKGHGVWHFDQRLLHD >Vigun07g192800.1.v1.2 pep primary_assembly:ASM411807v1:7:31176179:31178935:-1 gene:Vigun07g192800.v1.2 transcript:Vigun07g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATRSSSEVYTSDSEKGFAINHSTPPELDAGAKFVLVSRGSWLHCGYHLTTSIVAPVLLTLPFSFTLLGWVGGVLWLTLAAVITFYSYNLLSVVLEHHAQLGRRQLRFRDMARDILGPGWAKYYVGPLQFAICFGTVIGGPLVGGKSLKFIYQLYYPEGSMKLYQFIIICGAITLILAQLPSFHSLRHVNMISLILSVLYATCVTVGSIYIGHSKYARPRHYSVRGSDADQLFGVFNGISIIATAYASGIIPEIQATLAPPVKGKMLKGLCVCYSVIATTYFSVAISGYWAFGNESGASVLSNFLSETKKPLLPKWFFLMTNIFILLQVMALTAVYLQPTNEIFEATFGDPKMGQFSMRNVVPRVVLRSVAVAAATALAAMLPFFPDIMALFGAFGCIPLDFILPMIFYNMTFKPSKYTIMFWVNTLIAGASSILVVIGGIASIRQIVLDAKTYNLFSDM >Vigun07g192800.2.v1.2 pep primary_assembly:ASM411807v1:7:31176179:31178935:-1 gene:Vigun07g192800.v1.2 transcript:Vigun07g192800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATRSSSEVYTSDSEKGFAINHSTPPELDAGAKFVLVSRGPGWAKYYVGPLQFAICFGTVIGGPLVGGKSLKFIYQLYYPEGSMKLYQFIIICGAITLILAQLPSFHSLRHVNMISLILSVLYATCVTVGSIYIGHSKYARPRHYSVRGSDADQLFGVFNGISIIATAYASGIIPEIQATLAPPVKGKMLKGLCVCYSVIATTYFSVAISGYWAFGNESGASVLSNFLSETKKPLLPKWFFLMTNIFILLQVMALTAVYLQPTNEIFEATFGDPKMGQFSMRNVVPRVVLRSVAVAAATALAAMLPFFPDIMALFGAFGCIPLDFILPMIFYNMTFKPSKYTIMFWVNTLIAGASSILVVIGGIASIRQIVLDAKTYNLFSDM >Vigun03g238800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:39848211:39855331:-1 gene:Vigun03g238800.v1.2 transcript:Vigun03g238800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHFFTLLGLKATHCQAIKLGSIADFYIANNLITSYAKCSDLTSAHQLFDGMPHRDTVSWNAIISAYANSGHLGTTWKIVSAMRRSELAFDSHTLGSILKGVALAGELQLGQQMHSLMLKMGLSENMFSGSALLDMYVKCGRVNDAHIVFQSMPERNYVSWNTLVAGYSRVGDRDMAFRVLHCMELEGVEIDDGTVSPLLTLLDDAEFCWLTMQLHCKIVKHGLESFNTVCNASITAYSDCCSLQDAERVFDGAVSCRDVVTWNSMLGAYLKHEKEYLAFKVFIDMQNFGFEPDAYTYTGIVGACSAQERKSNGKCFHGLVIKSGLEDSVPISNALIAMYIRFNDKGMEDALRIFFSMNLKDGCTWNSILAGYVQVGLSEDALRLFLQMRSLVIEIDHYTFSAVIRSCSDLATLQLGQQVHVLALKVGLDTNNYVGSSLIFMYSKCGIIEDARKSFEATSKDYAIVWNSIIFGYAQHGQGNVALDLFYLMNEKKVKPDHITFVAVLTACSHNGLVEEGCNFIESMESDFGIPQLKEHYACAIDLYGRAGQLEKAKALVETMPFEPDAMVLKTLLGACRFCGDIELASEVAKTLLELEPEDHCSYVILSEMYGRFKMWNEKASVTRMMRERGVKKVPGWSWIEVKNKVHAFNAEDHSHPQCEEIYTQLQQLNQGIKLFDNFVNQMLLQQCLDNLDDCDDKMFL >Vigun05g069832.1.v1.2 pep primary_assembly:ASM411807v1:5:6017704:6019689:-1 gene:Vigun05g069832.v1.2 transcript:Vigun05g069832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQSKPETIPASALVVAEVAPVVDEVAPVVDEVPSDDAVAKEASGAEESNDVLVKPENTVVANKPSWRRSLDRDIAQAEVVRDKKLSYVKAWEDSEKTKTDNRAEKYLSAIAAWENKKKAALEAELKKIEEQLEKKKAEQSEKMKNKMALLHRAAEEKRAVIEARRGEDILKTEEMAARYRATGTTPKKTMGCF >Vigun06g065400.1.v1.2 pep primary_assembly:ASM411807v1:6:19387567:19393444:-1 gene:Vigun06g065400.v1.2 transcript:Vigun06g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKKPSKARKSPKNPKKAQPKHDSSSESEEDTPSQQQPQQLELGDDNSDSASELSSGGDDPLADDFLQGSDDDDEGKAPGSDSGSGSDSDDYDIEEKSRAIDEEKAKEEEDAEAEMQLNINQESDEFRLPTQEELEEEALRPPDLSNLQRRIKEIVRVLSNFKVLRQDGATRKDYVEQLKKDICTYYGYNEFLMGALVEMFPVVELMELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERVVDMAAAPGGKTTYIAALMKNTGIIFANEMKVPRLKSLTANLHRMGVSNTVVCNYDGKELPKVLGVNAVDRVLLDAPCSGTGVISKDESVKTSKNLEDIQKCAQLQKELILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRDVKLVPCGLDFGRPGFIRFREQRFHPSLEKTRRFYPHVQNMDGFFVAKLKKMSSSKPGAKSSETAEKEEDIPTEVENEKEKSNDGKKENGNATSESESKKTKKRKFPSKPVNGVKENGKESSEFEPKKRMKRKFPSKEEISKAREEKRNDLRQKKRKVIKQQKQK >Vigun11g084000.1.v1.2 pep primary_assembly:ASM411807v1:11:25042009:25045266:1 gene:Vigun11g084000.v1.2 transcript:Vigun11g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFAEMEKIWHVPAYFVRMPLPSNFFRGKNAWFLHQMDISLQSDGQRAEMSVDTGTEHRRDISKVSKLPFEGIIYNGS >Vigun11g084000.2.v1.2 pep primary_assembly:ASM411807v1:11:25042009:25045266:1 gene:Vigun11g084000.v1.2 transcript:Vigun11g084000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIWHVPAYFVRMPLPSNFFRGKNAWFLHQMDISLQSDGQRAEMSVDTGTEHRRDISKVSKLPFEGIIYNGS >Vigun06g024500.4.v1.2 pep primary_assembly:ASM411807v1:6:11363164:11367472:1 gene:Vigun06g024500.v1.2 transcript:Vigun06g024500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHTGNMFKLKLLNSPISEDAGRKHHKLLQAIKAMNDIEEVLIGVEKFHPQWYCLLKSVDTRVDKILSALRPQIFADHRALLVSLGWPPKLLLSNSGNDHISDLPNPLVLMQEDKRRNFSRSFIALCALQHLQKRREERQLNNNNLIEIDTHYKQLWAIDELVSPIASRMEYHFTKWSEQPEYMFALAYKVTRDFIAGIDGVLQPLIDKARLVSCSAKEAWVSAVVQMLSGFLEKNVFSLLAERYNVKHLKPNVSCSWLHLVDLTIAFDKKMQSLLNLETCFLAVSESFEGQSRGVSVLSIFCNRPDWLKIWAKIEFKNAWKKLNTELKEEKSWVISKICKPGIDNNQEYLLLAVEDNKAPPIAEFFLKIIWDIIERCKTMPSILSRAQFIRFTAGRLLWYFFKLLLFQFKAMELRLDNSDNVAIVRMCGLINAARYIWIKLQEWSDAIEFLEMKIAENDAGKPIQDHAMDNSCFFNEEIRSLSEMETNWLVEIIAVVLRQFEMLSWEYVQNDDSFKDNQDYTNLREDVDLVVSHDFVEALDALKSWLQTVKINLNRKDFLDLWRSIAEGLDHYISCSIVRNEVWFSKVGANQFEADIQALIFIFQPYCVRPQAFFPCIGEILKLLKLKKEEVKLMQTLLSNNENGFECLHLYGISNLSVNQVLQVLRCKIWVG >Vigun06g024500.2.v1.2 pep primary_assembly:ASM411807v1:6:11362726:11367438:1 gene:Vigun06g024500.v1.2 transcript:Vigun06g024500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSSLPRLPNVADLAPQHAAFLDQHFQTKRDLSYESSNLFLSASLSQQCSELESLFLLHASKRTVSWISRSFRAKSSLQQLSLGLRNLSLRTSPHGIGSKSFRRVLTEEIPRLAYEMNRIESLRCYLETAVQLEALVGDLEDASLFVMSCHTGNMFKLKLLNSPISEDAGRKHHKLLQAIKAMNDIEEVLIGVEKFHPQWYCLLKSVDTRVDKILSALRPQIFADHRALLVSLGWPPKLLLSNSGNDHISDLPNPLVLMQEDKRRNFSRSFIALCALQHLQKRREERQLNNNNLIEIDTHYKQLWAIDELVSPIASRMEYHFTKWSEQPEYMFALAYKVTRDFIAGIDGVLQPLIDKARLVSCSAKEAWVSAVVQMLSGFLEKNVFSLLAERYNVKHLKPNVSCSWLHLVDLTIAFDKKMQSLLNLETCFLAVSESFEGQSRGVSVLSIFCNRPDWLKIWAKIEFKNAWKKLNTELKEEKSWVISKICKPGIDNNQEYLLLAVEDNKAPPIAEFFLKIIWDIIERCKTMPSILSRAQFIRFTAGRLLWYFFKLLLFQFKAMELRLDNSDNVAIVRMCGLINAARYIWIKLQEWSDAIEFLEMKIAENDAGKPIQDHAMDNSCFFNEEIRSLSEMETNWLVEIIAVVLRQFEMLSWEYVQNDDSFKDNQDYTNLREDVDLVVSHDFVEALDALKSWLQTVKINLNRKDFLDLWRSIAEGLDHYISCSIVRNEVWFSKVGANQFEADIQALIFIFQPYCVRPQAFFPCIGEILKLLKLKKEEVKLMQTLLSNNENGFECLHLYGISNLSVNQVLQVLRCKIWVG >Vigun06g024500.1.v1.2 pep primary_assembly:ASM411807v1:6:11362737:11370928:1 gene:Vigun06g024500.v1.2 transcript:Vigun06g024500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSSLPRLPNVADLAPQHAAFLDQHFQTKRDLSYESSNLFLSASLSQQCSELESLFLLHASKRTVSWISRSFRAKSSLQQLSLGLRNLSLRTSPHGIGSKSFRRVLTEEIPRLAYEMNRIESLRCYLETAVQLEALVGDLEDASLFVMSCHTGNMFKLKLLNSPISEDAGRKHHKLLQAIKAMNDIEEVLIGVEKFHPQWYCLLKSVDTRVDKILSALRPQIFADHRALLVSLGWPPKLLLSNSGNDHISDLPNPLVLMQEDKRRNFSRSFIALCALQHLQKRREERQLNNNNLIEIDTHYKQLWAIDELVSPIASRMEYHFTKWSEQPEYMFALAYKVTRDFIAGIDGVLQPLIDKARLVSCSAKEAWVSAVVQMLSGFLEKNVFSLLAERYNVKHLKPNVSCSWLHLVDLTIAFDKKMQSLLNLETCFLAVSESFEGQSRGVSVLSIFCNRPDWLKIWAKIEFKNAWKKLNTELKEEKSWVISKICKPGIDNNQEYLLLAVEDNKAPPIAEFFLKIIWDIIERCKTMPSILSRAQFIRFTAGRLLWYFFKLLLFQFKAMELRLDNSDNVAIVRMCGLINAARYIWIKLQEWSDAIEFLEMKIAENDAGKPIQDHAMDNSCFFNEEIRSLSEMETNWLVEIIAVVLRQFEMLSWEYVQNDDSFKDNQDYTNLREDVDLVVSHDFVEALDALKSWLQTVKINLNRKDFLDLWRSIAEGLDHYISCSIVRNEVWFSKVGANQFEADIQALIFIFQPYCVRPQAFFPCIGEILKLLKLKKEEVKLMQTLLSNNENGFECLHLYGISNLSVNQVLQVLRCKIWVG >Vigun06g024500.3.v1.2 pep primary_assembly:ASM411807v1:6:11363164:11367472:1 gene:Vigun06g024500.v1.2 transcript:Vigun06g024500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIESLRCYLETAVQLEALVGDLEDASLFVMSCHTGNMFKLKLLNSPISEDAGRKHHKLLQAIKAMNDIEEVLIGVEKFHPQWYCLLKSVDTRVDKILSALRPQIFADHRALLVSLGWPPKLLLSNSGNDHISDLPNPLVLMQEDKRRNFSRSFIALCALQHLQKRREERQLNNNNLIEIDTHYKQLWAIDELVSPIASRMEYHFTKWSEQPEYMFALAYKVTRDFIAGIDGVLQPLIDKARLVSCSAKEAWVSAVVQMLSGFLEKNVFSLLAERYNVKHLKPNVSCSWLHLVDLTIAFDKKMQSLLNLETCFLAVSESFEGQSRGVSVLSIFCNRPDWLKIWAKIEFKNAWKKLNTELKEEKSWVISKICKPGIDNNQEYLLLAVEDNKAPPIAEFFLKIIWDIIERCKTMPSILSRAQFIRFTAGRLLWYFFKLLLFQFKAMELRLDNSDNVAIVRMCGLINAARYIWIKLQEWSDAIEFLEMKIAENDAGKPIQDHAMDNSCFFNEEIRSLSEMETNWLVEIIAVVLRQFEMLSWEYVQNDDSFKDNQDYTNLREDVDLVVSHDFVEALDALKSWLQTVKINLNRKDFLDLWRSIAEGLDHYISCSIVRNEVWFSKVGANQFEADIQALIFIFQPYCVRPQAFFPCIGEILKLLKLKKEEVKLMQTLLSNNENGFECLHLYGISNLSVNQVLQVLRCKIWVG >Vigun06g024500.5.v1.2 pep primary_assembly:ASM411807v1:6:11362726:11367438:1 gene:Vigun06g024500.v1.2 transcript:Vigun06g024500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHTGNMFKLKLLNSPISEDAGRKHHKLLQAIKAMNDIEEVLIGVEKFHPQWYCLLKSVDTRVDKILSALRPQIFADHRALLVSLGWPPKLLLSNSGNDHISDLPNPLVLMQEDKRRNFSRSFIALCALQHLQKRREERQLNNNNLIEIDTHYKQLWAIDELVSPIASRMEYHFTKWSEQPEYMFALAYKVTRDFIAGIDGVLQPLIDKARLVSCSAKEAWVSAVVQMLSGFLEKNVFSLLAERYNVKHLKPNVSCSWLHLVDLTIAFDKKMQSLLNLETCFLAVSESFEGQSRGVSVLSIFCNRPDWLKIWAKIEFKNAWKKLNTELKEEKSWVISKICKPGIDNNQEYLLLAVEDNKAPPIAEFFLKIIWDIIERCKTMPSILSRAQFIRFTAGRLLWYFFKLLLFQFKAMELRLDNSDNVAIVRMCGLINAARYIWIKLQEWSDAIEFLEMKIAENDAGKPIQDHAMDNSCFFNEEIRSLSEMETNWLVEIIAVVLRQFEMLSWEYVQNDDSFKDNQDYTNLREDVDLVVSHDFVEALDALKSWLQTVKINLNRKDFLDLWRSIAEGLDHYISCSIVRNEVWFSKVGANQFEADIQALIFIFQPYCVRPQAFFPCIGEILKLLKLKKEEVKLMQTLLSNNENGFECLHLYGISNLSVNQVLQVLRCKIWVG >Vigun03g120900.3.v1.2 pep primary_assembly:ASM411807v1:3:11283649:11286655:1 gene:Vigun03g120900.v1.2 transcript:Vigun03g120900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSNNGSESAAEVNWDELGFNLVTTDYMFVMKCAKGDKFSEGSLLPYGNIEISPSSGILNYGQGIFEGLKAYKTEDGRILLFRPDENGLRMKRGADRMCMPSPSVDQFVNAVKQTVLANKRWVPPPGKGSLYLRPLLIGTGPLLGLAPAPEYTFLIYCSPVGSYHKGTLNLKVEDKLYRAISGSGGTGGIKSVTNYAPVYTAITDAKANGFSDVLFLDSATGKHIEEASSCNVFVVKGNTISTPSLDGTILPGITRKSIIEVAIDLGYQVMERAISVEEMLVADEMFCTGTAVVVNSVASVTYKETRRDYKTGPETLSAKLRKTLVGIQTGCLEDTKSWTVTIS >Vigun03g120900.1.v1.2 pep primary_assembly:ASM411807v1:3:11283412:11286739:1 gene:Vigun03g120900.v1.2 transcript:Vigun03g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSNNGSESAAEVNWDELGFNLVTTDYMFVMKCAKGDKFSEGSLLPYGNIEISPSSGILNYGQGIFEGLKAYKTEDGRILLFRPDENGLRMKRGADRMCMPSPSVDQFVNAVKQTVLANKRWVPPPGKGSLYLRPLLIGTGPLLGLAPAPEYTFLIYCSPVGSYHKGTLNLKVEDKLYRAISGSGGTGGIKSVTNYAPVYTAITDAKANGFSDVLFLDSATGKHIEEASSCNVFVVKGNTISTPSLDGTILPGITRKSIIEVAIDLGYQVMERAISVEEMLVADEMFCTGTAVVVNSVASVTYKETRRDYKTGPETLSAKLRKTLVGIQTGCLEDTKSWTVTIS >Vigun03g120900.2.v1.2 pep primary_assembly:ASM411807v1:3:11283412:11286739:1 gene:Vigun03g120900.v1.2 transcript:Vigun03g120900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSNNGSESAAEVNWDELGFNLVTTDYMFVMKCAKGDKFSEGSLLPYGNIEISPSSGILNYGQGIFEGLKAYKTEDGRILLFRPDENGLRMKRGADRMCMPSPSVDQFVNAVKQTVLANKRWVPPPGKGSLYLRPLLIGTGPLLGLAPAPEYTFLIYCSPVGSYHKGTLNLKVEDKLYRAISGSGGTGGIKSVTNYAPVYTAITDAKANGFSDVLFLDSATGKHIEEASSCNVFVVKGNTISTPSLDGTILPGITRKSIIEVAIDLGYQVMERAISVEEMLVADEMFCTGTAVVVNSVASVTYKETRRDYKTGPETLSAKLRKTLVGIQTGCLEDTKSWTVTIS >Vigun10g140900.4.v1.2 pep primary_assembly:ASM411807v1:10:35783904:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEEGKSVKTGSPSSPATTDQTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSGMTTALELRNSLTVHSKENLTSAPQPCAAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun10g140900.5.v1.2 pep primary_assembly:ASM411807v1:10:35784014:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEEGKSVKTGSPSSPATTTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSGMTTALELRNSLTVHSKENLTSAPQPCAAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun10g140900.1.v1.2 pep primary_assembly:ASM411807v1:10:35784014:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKLVGTYLTRCFSSGDCLMGNSEEGKSVKTGSPSSPATTDQTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSGMTTALELRNSLTVHSKENLTSAPQPCAAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun10g140900.2.v1.2 pep primary_assembly:ASM411807v1:10:35784013:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKLVGTYLTRCFSSGDCLMGNSEEGKSVKTGSPSSPATTDQTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun10g140900.6.v1.2 pep primary_assembly:ASM411807v1:10:35784014:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEEGKSVKTGSPSSPATTTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun10g140900.3.v1.2 pep primary_assembly:ASM411807v1:10:35784014:35788649:1 gene:Vigun10g140900.v1.2 transcript:Vigun10g140900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEEGKSVKTGSPSSPATTDQTNQTNQPNIHVYPDWAAMQYYGPRVNIPPYFNSTVASGHAPHPFMWGPPQPMMPPYGPPYAAFYPPGGVYTHPAVAIGTHSHGQGVPSPPAAGTPSSVDSPTKLSGNTDQGLMKKLKGFDGLAMSIGNCNAESAELGAENRLSQSVDTEGSSDGSDGNTAGANQTKMKRSREETSTTDGEGKTETQDGPISKETASSKMIMPATPASIAGKFVGPVISSAVPPEAWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEMLTAENVSLKSEITKLTEGSEQMRMENSALREKLINTQLGQREEIILDSIDSKRSTPVSTENLLSRVNNSSSNDRSADTESDFCENKPNSGAKLHQLLDTNPRADAVAAG >Vigun11g124000.1.v1.2 pep primary_assembly:ASM411807v1:11:33128069:33129455:-1 gene:Vigun11g124000.v1.2 transcript:Vigun11g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNSAAATIRRLEGKVAIITGAASGIGEAIARLFSQHGAHVVIADIQDDVGLSLCKELESAIYVHCDVTNEEDVENCVNMAVSKYGKLDIMLNNAGQCNEFKRSILDNTKSEFERVIRVNMVGPFLGTKHAARVMIPAKSGCIINTASVAGCIGGGATHAYTSSKHGLVGLTKNTAVELGQFGIRVNCVSPYVVATPMLDKYFNLNEEGVREAYSNLKGSYLVTNDVAEAVLYLAGDESKYVSGHNLVLDGGFSITNAGFSPWQSQ >Vigun06g166300.1.v1.2 pep primary_assembly:ASM411807v1:6:28814842:28822081:-1 gene:Vigun06g166300.v1.2 transcript:Vigun06g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSWASALRITILLLLLAAVITACFTLPIEKMMKDFLLWVDHDLGPWGPIVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATIGAGAAFLLGRTIGRSFVVSRLKDYPQFKSVAIAIRRSGFKIVFLLRLVPLLPFNMLNYLLSVTPVSVGEYMLASWLGMMPITLGLVYVGTTLKDLSDVTHGWGEFSKTRWAFIILGLVISVILMICVTRVAKSALDKALAENEDIDDIISPELPIVDETSPNLNQPLIIKIDSAEDSHEK >Vigun09g054750.1.v1.2 pep primary_assembly:ASM411807v1:9:5481464:5481724:1 gene:Vigun09g054750.v1.2 transcript:Vigun09g054750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDENSITVPEEEYWDGLASCQKNLMAYYPLEGIWKINGSWSIVPLSHGYFQFSFSNDADLKTILAASTW >Vigun04g016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1222923:1223141:-1 gene:Vigun04g016700.v1.2 transcript:Vigun04g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGIPFLNRESFILHRRWVLPAVARQAHGGGSSGESGSAKRCVCSPSQHPGSFRCRLHHGEYVWRSRASK >VigunL088800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000090.1:4789:6884:1 gene:VigunL088800.v1.2 transcript:VigunL088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACAATDSVASGEIMLFGVRVVVDSMRKSVSMNNLSQYEHPHDSNNSSNSNNNKKDVLAAADYASADDAVPHNTGRQRERERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISKNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVQNQDTLSHSQPVCPAVPETNKSGFPMMPVYQFGVGSGVIAVQGGKPLEELTLGQGNIEHNVPINLVHSIPVVADPKASTVSDIITPSSSSAVDPPTLSLGLSFSSDQRQTSSRHSGLHAMPCFSNGDSIISVA >Vigun03g202900.1.v1.2 pep primary_assembly:ASM411807v1:3:32455173:32458580:-1 gene:Vigun03g202900.v1.2 transcript:Vigun03g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQHRTVASPPPSPQGRDSTAILFTFLSFFAVVALVFVPSASPSFQNTLSILHQVPEGHVGVYWRGGALLKTITEPGFHLKMPFLTQYEPVQVTLQTDQVTDIPCGTKGGVMINFEKIEVVNRLHKEFVFETLLNYGVHYDKTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQVDCTRYAPGIEIISVRVTKPTIPNTIRRNFEQMEEERTKVLIAIEKQKVAEKEAETMKKMAISEAEKNANVSKILMEQKLLEKDSTRRQEEIENAMYLAREKSLADADFYRVIKEAEANTLKLTPEFLQLKFIEAIANNTKIFFGDKVPNMILDQRLLGNLLHEDSIGTTATGKSDI >Vigun03g281400.1.v1.2 pep primary_assembly:ASM411807v1:3:46115237:46117710:1 gene:Vigun03g281400.v1.2 transcript:Vigun03g281400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDAQHHSPSILDALLCEERETFEEDLDANGAECEINDDDPSGRKLQSLPLALLQNDLFWEDEELVSLISKEGETHSCSLSAIADGPLEGSRVEAVNWISKVCGHYGFSALTTVLAVNYFDRFIPCLRFHRDKPWMTQLTAVACLSLAAKTEETHVPLLLDLQVEESRFVFEAKTIQRMELLVLSTLKWRMHPVTPISFFEHIVRRLGLKSRLHWEFLWRCERVLLNVTADSRAMSYLPSTLAAATMIHVIKEIESFNATKYIDQLLGLLKISEEQVNQCYKLMQKILGRYEGIYSLHQKRKRLTEPSSPGGILDSTFSCDSSNDSWALSSSVSLSLEPLFKRRRPQDQQMRLPSVSRVSIDVLNSPR >Vigun11g222100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41376909:41378344:-1 gene:Vigun11g222100.v1.2 transcript:Vigun11g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGLSAASPPFAAFLSLTLVVFSLLAGGPVAAWRPWPQNGKMNVTDYAFGDSKKYEGSSEFVKLRYHMGPVLTTNITVHTIWYGKWDRSQKKIIREFINSISATNSPHPSVAGWWRTVQLYTDQTGANISKTVRLGEEKNDRFYSHGKSLTRLSIQSVIKSAITAKTRPLPINPRSGLYLLLTADDVYVQDFCTSVCGFHYFTFPSLVGYTLPYAWVGNSAKLCPGQCAYPFALPAYIPNQKPFKSPNGDVGVDGMISVIGHEMAELASNPLANAWYAGQDPSFPVEIADLCEGIYGTGGGGSYTGQVLDARDGATYNMNGIRRRFLVQWLWSHVLNYCTGPNALDH >Vigun06g148600.2.v1.2 pep primary_assembly:ASM411807v1:6:27354048:27362149:1 gene:Vigun06g148600.v1.2 transcript:Vigun06g148600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRPLSPSNFRDLFDSVEAFLFDCDGVIWKGDELIQGVSQTLQMLRSKGKKLVFVTNNSWKSRSQYAQKFQSLGISVSQDEIFSSSFAAAMYLKAKDFPSQNKVYVIGGEGILEELRLAGITAFGGPEDANKTIDLKQNCFVEHDKSVGAVVVGIDPNINYYKLQYGTLCIRENLGCLFIATNRDAVGHMTALQEWPGAGCMVAAICGSTQKEPVVVGKPSTFMMEFLLKKFNVSCSKMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSALQDPSNNIQPDYYTNTISDMLDLSKA >Vigun06g148600.1.v1.2 pep primary_assembly:ASM411807v1:6:27356135:27362253:1 gene:Vigun06g148600.v1.2 transcript:Vigun06g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRPLSPSNFRDLFDSVEAFLFDCDGVIWKGDELIQGVSQTLQMLRSKGKKLVFVTNNSWKSRSQYAQKFQSLGISVSQDEIFSSSFAAAMYLKAKDFPSQNKVYVIGGEGILEELRLAGITAFGGPEDANKTIDLKQNCFVEHDKSVGAVVVGIDPNINYYKLQYGTLCIRENLGCLFIATNRDAVGHMTALQEWPGAGCMVAAICGSTQKEPVVVGKPSTFMMEFLLKKFNVSCSKMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSALQDPSNNIQPDYYTNTISDMLDLSKA >Vigun03g042050.1.v1.2 pep primary_assembly:ASM411807v1:3:3296475:3297753:1 gene:Vigun03g042050.v1.2 transcript:Vigun03g042050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLMQEIFLSACCVSRMRMRMRKSVSCSWLGLKHHQLVYIFRYVSTLYHKHVIKIILLAERINLSANFSFTRSIH >Vigun01g195400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37253444:37255778:-1 gene:Vigun01g195400.v1.2 transcript:Vigun01g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLHLRSFFSIILFFSSSVNVLAITQQAKDLVPCTMCAECENPCQPLPPPPPPPPVVECPPPPPPAPPLPPAIVECPPPPKTACPDNCEIPEGPPAVYFPPGTPYQYYVPGNVQNSGGKMKPYQGILFSNYLTSYSLYFTNFIYVASSCLPYYFVNA >Vigun01g195400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37254113:37255778:-1 gene:Vigun01g195400.v1.2 transcript:Vigun01g195400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLHLRSFFSIILFFSSSVNVLAITQQAKDLVPCTMCAECENPCQPLPPPPPPPPVVECPPPPPPAPPLPPAIVECPPPPKTACPDNCEIPEGPPAVYFPPGTPYQYYVPGNVQNSGGKMKPYQGILFSNYLTSYSLYFTNFIYVASSCLPYYFVNA >VigunL074000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:126253:127836:1 gene:VigunL074000.v1.2 transcript:VigunL074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSTSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >Vigun09g278700.2.v1.2 pep primary_assembly:ASM411807v1:9:43836852:43849521:1 gene:Vigun09g278700.v1.2 transcript:Vigun09g278700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFCDFRFLLLVAAGIFIYIQMRLFATQSGYADRLAAAIEAENHCTSQMRSLIDQISLQQGRIVALEEERKRRDQECGQMKSLVQDLERKDLQRLTDKMQVPVAAVVIIACNRADYLERTIKSVLKYQRPISSRFPLFVSQDGSNPDVKSTTLSYDQLSYMQHLDFEPVQTERPGELIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMDIAADFFDYFEAAAMLLDKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLARSIWDELSPKWPRAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSLGQYFKQYLEPIKLNDVKVNWRSMDLSYLLEDKYSMHFANTVKKATPVYGAGVVLKAYNIDGDVRIKYRDQSDFENIARQFGIFQEWKDGVPRTAYKGVVVFRHQTSRRIFLIGPESLKLLQIEES >Vigun09g278700.1.v1.2 pep primary_assembly:ASM411807v1:9:43836698:43849521:1 gene:Vigun09g278700.v1.2 transcript:Vigun09g278700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFCDFRFLLLVAAGIFIYIQMRLFATQSGYADRLAAAIEAENHCTSQMRSLIDQISLQQGRIVALEEERKRRDQECGQMKSLVQDLERKDLQRLTDKMQVPVAAVVIIACNRADYLERTIKSVLKYQRPISSRFPLFVSQDGSNPDVKSTTLSYDQLSYMQHLDFEPVQTERPGELIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMDIAADFFDYFEAAAMLLDKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLARSIWDELSPKWPRAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSLGQYFKQYLEPIKLNDVKVNWRSMDLSYLLEDKYSMHFANTVKKATPVYGAGVVLKAYNIDGDVRIKYRDQSDFENIARQFGIFQEWKDGVPRTAYKGVVVFRHQTSRRIFLIGPESLKLLQIEES >Vigun08g029000.2.v1.2 pep primary_assembly:ASM411807v1:8:2643610:2646821:-1 gene:Vigun08g029000.v1.2 transcript:Vigun08g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTVVDDFFVTASLALLLTFIVLKLVEVVNDIHATPKRHLAPQPIPPLSHAERFMVQRAQSESKLRFSTPVHYVTEYKIEKPTLEPVPQSKAVEIECEEATVEPVPPVQPAESKDTICCISPVQAATCVGSEHKVEEAVVELDSNFVLESPVKSHTDIVVIEEIAETNEGTTQEFEEKRDVESVEDPCTEIEVSAVENGVKENDYNDDDDDDDWEGIERSELEKEFMAATEFVANEGDRLENVGSNVKMELYGLHKVATEGPCREPQPMALKLSARAKWNAWQKLGSMNPEVAMEQYISLLSNKFPEWMKDTSTGISGHEPIKPEVSESAASDLSTTLSHQQLILANRELEQESYSEERSPLAGSDLNNNQN >Vigun08g029000.1.v1.2 pep primary_assembly:ASM411807v1:8:2642659:2646821:-1 gene:Vigun08g029000.v1.2 transcript:Vigun08g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTVVDDFFVTASLALLLTFIVLKLVEVVNDIHATPKRHLAPQPIPPLSHAERFMVQRAQSESKLRFSTPVHYVTEYKIEKPTLEPVPQSKAVEIECEEATVEPVPPVQPAESKDTICCISPVQAATCVGSEHKVEEAVVELDSNFVLESPVKSHTDIVVIEEIAETNEGTTQEFEEKRDVESVEDPCTEIEVSAVENGVKENDYNDDDDDDDWEGIERSELEKEFMAATEFVANEGDRLENVGSNVKMELYGLHKVATEGPCREPQPMALKLSARAKWNAWQKLGSMNPEVAMEQYISLLSNKFPEWMKDTSTGISGHEPIKPEVSESAASDLSTTLSHQQLILANRELEQESYSEERSPLAGSDLNNNVNK >Vigun10g065900.1.v1.2 pep primary_assembly:ASM411807v1:10:14672282:14672853:-1 gene:Vigun10g065900.v1.2 transcript:Vigun10g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILVATLLLVLVSQGYSQCSLSDIIVTQSPSGRKVHGKIEWTVTIRNQCACVQKNVKLNCKGFQTIEAVEASYLKVLGDVCLVSDGQPLFNGATVFNYAWDSQFAFSPISSVIAC >Vigun10g054700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9184960:9188703:1 gene:Vigun10g054700.v1.2 transcript:Vigun10g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHTLLCFFLITFLSVNHNANVFPANGYLLGNECSTLLHLKNNLIFNPTISKKLTLWNQSQDCCHWHGVTCKEGRVVALDLSEESISGGLLNSSVLFGLQYLQSLNLALNNFTSVIPSELCKLNNLRYLNFSNAGFEGQIPPEIFHLRRLVILDLSSISSPHNLKLDKQNIAMFSQNLTEITELYLDGIAISAKGKEWFQALSSLHNLRVLSMSSCNISGPIHASLAKLLSLTVLKLSYNNMSSSVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQDLTGSLPNFQPRGSIRDLNLSETSFTGNVPGAISNLKLLSTIDLSYCQFNGTLPSSMSKLTQLVYLDLSSNNFSGPLPSFNMSKNLTHLSLFHNSLTGVLPSSHFEGLKNLVNIDLGFNFFIGSLPSFLLKLPYLRELKLPSNQLTGLLDESVMTSTTLEMLDLGGNHLEGPIPLSIFNLRTLRVIQLNKNKFNGTLQLDMIRRLSNLTTLGLSHNNLSVDIYSRNDHDPSPFPALRNIMLASCKLRGIPSFVKNQSTLLYLDLADNEIQGTIPYWIWQLEYLLHLDLSKNFLTKLEGNAWNFSSNLLLLDLSSNQLQGSFPFLPTFVNHLDYSNNRFNSVIPSDIGNRLPFVTVLYLSNNSFQGQIPESFLNASSLLLLDLSRNNFDGTIPKFFAELSSTLRVLNFGGNKLQGYIPDTLPTSCSLQLLDLNDNLLEGTIPTSLANCQKLQVVNLRRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSIGCSKSRGQWEMLHMVDVAFNNFSGAIPGALLNNWKAMMRDRPEFGHLFIDIVDNYDPKNFKELLSHLDKTIVAKLAKIVANESRSILDQGSSDSFAVDISRYQNSILITNKGKQIKLDKIQRAFTYVDMSSNNFEGPIPIELMQFKAMMALNLSNNALSGHIPSSIENLKNLESLDMSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTHRTLRRRY >Vigun01g201600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37783772:37785713:1 gene:Vigun01g201600.v1.2 transcript:Vigun01g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIIISAVSLILVVGVALGVVVTVNKKGDESSIQTNQKSVEIICQNTDDKNLCHNTLSSVKGLDTADPKAYIATAVKATMDSVIKAFNMSDRLSTEHGDTDNGTKMAIDDCKDLLQSAIQSLQLSTDMVQNNNIQAVHDQTADFKNWLSSVISYQQACMEGFDDGKEGEKSIKEQFQTESLDKVQKLTAITLDIVTGLSHILEKFGLKLNLKPASRRLLSMDGYPTWFSAADRKLLDQIKRKGWRANITPNVVVAQDGSGQFKTIADAIASYPNGFQGRYYIYVKAGVYDEYITVPKTAVNLFLYGDGPGKTIVTGHKNFRDGVKTMQTATFANTAPGFIAKAMTFENTAGPDGHQAVAFRNQGDMSAVIGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTVIQHSVIVVRKPLDNQLNTITADGTSEKNMDTGIVIQDCDIVAEAELFPVRFQIKSYLGRPWKQYSRTVVMESTIGDFLHPEGWCPWAGEYFEDTLYYAEYNNAGPGAAMEGRIKWKGYHGLISREEAAQFTAGQFLKAGLGAGTDWLKALRVPHVLDFAKA >Vigun04g012800.1.v1.2 pep primary_assembly:ASM411807v1:4:930335:935512:1 gene:Vigun04g012800.v1.2 transcript:Vigun04g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDKEQAPPHHHQPLLSSLVVRPSHNEAAAGGRAADFEPGELHRDHPPPYSRSDRYPDEPGYRIRAGSSSPVHRRDADHRFGSDYNYLSRSRGYGGGRDPGRYRDPSPPFGRGRVAGRPMGRAFDGHGFVSGLARGESNGRNNPNVRPREGDWFCPDPLCGNLNFARRDHCNSCNRSRNAPARSPRRAYPAPPPLHAPPRRYPGPPIDRSPERTLNGYRSPPRGLARDGPREYGSAALPPLRQENRFPDPHVRRERMDYIEDAYRGRNKFDRAPPLEWDNRDRGRDGFPDERKGFERRPLSPPAPLLPSLPHHRNGRWARDVRERSRSPIRGGLPPKDYRRDTFVSRGRDDRRGMGRDRIGGMY >Vigun10g065400.1.v1.2 pep primary_assembly:ASM411807v1:10:14255887:14261079:-1 gene:Vigun10g065400.v1.2 transcript:Vigun10g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLRFSPNVFSLTNGGNLWQSKHSKNFSYGSSFAPKTSMHQRKNQIEYNLPRLQQPILNNQFKSVEGVSTNEENNKKYIPKAVSEEYFESEESRSSETKDIVGSVKRFLVALYWFCYPYTMFGRTLSTISASLLAVEKLSDISSPLFFIGVLQALLPFTLMDFYVNGVNQLYDYEIDKINKPFLPLPSGAFSFTTGVVVTVGSAIMGFLASYMIGSWPLFWGLLSFFLIWSGYSIKGPFLRWKKNPLLAATCIYTTLALIFPISFFYHMKTFVLKRAVTSLKPLMFFVAFTSIYSLGIALFKDIPDIEGDKAFGIQSFSTRLGQKKVFWICVSILESAFGVAILAGLSSSLLWVKLATGLGHAVLGSILWYQAKFVDLSDKGSIRSYYMLIWKLLYVAYFLMPLIR >Vigun01g222100.1.v1.2 pep primary_assembly:ASM411807v1:1:39542937:39546579:-1 gene:Vigun01g222100.v1.2 transcript:Vigun01g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMEKGKESEEKWVHDASVDYKGRVPLRASTGVWKASFFVLAIEFSERLSYFGIANNLISYLTKVMHEDLKTAAKNVNYWSGTTTLMPLVGGFIADAYTGRFYMVLFSAFVYLMGLSLLTMSQFIPSIKACNSRICQQPRKIHEVVFFLSLYCISVGTGGYKPCLESFGADQFDDDNLEERKKKMSFFNWWYFSLCFALLLSATVVVYVQDFVSWGVACLAITIIMVITVIAFCVGKPFYRYRRTEGNPLTPILQVLVAAVRKRNLSLPSNPALLYEVPESKRSQGRLLKHTSRLRFLDKAAIIEDKHAGRKESPWRLVTVTRVEETKLVLNLVPIWLTSLTVGVCLAQGTTLFVKQAAATNLKISEKFKIPAASMGSVSAVGTLIAVPIYDRIIVPILRKVTGNERGMSILKRISIGMASSVIVMVVAALVEAKRLRMAGSHHTMSVLWLIPQYLILGLGDSFSLVGLQEYFYEEVPDSMRSLGMALFLSVLGVGFFLSSFLITIVDNVTGKNGESWIGKDINSSRLDRFYWTLAVINALVWCLFMLVTRRYTYKTVQNRPMDKECNRSDEVEMVG >Vigun08g187600.1.v1.2 pep primary_assembly:ASM411807v1:8:35643393:35644069:1 gene:Vigun08g187600.v1.2 transcript:Vigun08g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSTSVLGLAVVLLVTIAAMKPVSGFSCVQAKLSLLTCLPFLTTNQESPSSVCCNAVRNVRASASTKPELREACDCLKATANETPNLNKDKAVQLPKLCNVDVGFSISKDIDCSKISSLARISG >Vigun11g133900.1.v1.2 pep primary_assembly:ASM411807v1:11:34346376:34352747:1 gene:Vigun11g133900.v1.2 transcript:Vigun11g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTNSAEHFVELYEIRTGLKREFAFAMKAQSQICGSLGRTRSKKAQTLVELSNDSSHKLCEKSRQQKIQNLTNLAKTKEDTSVALSEKEVNSDVMDVEKLKSQMSDETRMLVICEKEHKSDEPKTQLEDKGVMIVVCDEEHNNDEPKTEIGQNQPACDNDIIKEEDVNDEIVSTLDYKLKNPIESIQSTLQKLENGDSKVESNGIEKERKNVSMIVASTPVTSSMRFMGKKFPSKLKDFLSSGILEGLLVKYIRSIKAKSIGLLGVISGVGILCYCEVCNKAEVVSPTIFELHAGSSNKRPPEYIFLENGCTLRDIMNTFLNHPLNTLEEVVQNALGGFTMRRSKFCVNCRDVNVVSKLFCNSCVELLKDCEASLTSTNDTNDTFAFQSRSSELAMFPKSLNCGMKHSTSRGKSKGQLIRKDLRMHKLVFEKDGLPDGTQVGYYIHGKNLLSGYKKGSGIVCNCCNREISPSQFEAHAGWASRRKPYVHIFVSNGLSLHDLSISLSQGRKFSTNDNDDLCSICRDGGNLLCCDGCPRAFHPECVSLPCIPSGTWYCRYCQNLFQDNKCIEHNENTKVAGRVEDIDPLEQMNQRCIRVVKEFELGGCALCRGQDFSKSFGPRTVIICDQCEKEYHIGCLKDHNMQNIEELPEGNWFCCSECENINTTLVNLVARGEENLPNPLLSLIKKKYNNKGLEFGSDIRIKWRLLNWKVGESEETRQLLSKVVAIFHEQFDPIVHTTTGIDYIPAMIFGRNIIGEDFSGMYCMLLTVNEVVVSAGIFRVFGSEIAELPLVATVTDFQGQGYFQSLFSCIEGLLGSLKVKRFVLPAASEAESIWINKFGFTKLDQDKINNYWKYYHMMIFQGTSLLHKQIPQF >Vigun03g424500.8.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.4.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.2.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.1.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.6.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.7.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun03g424500.3.v1.2 pep primary_assembly:ASM411807v1:3:62993138:63000862:1 gene:Vigun03g424500.v1.2 transcript:Vigun03g424500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSVDSARDAGGVAGPVLIPMRFVWPYGGRSVYLSGSFTRWSELLQMSPVEGCPTVFQVIHSLAPGYHQYKFYVDGEWRHDEHQPCLSGEYGIVNTVLLATDPNFVPVLTPEIVSGSNMDVDNEAFRRMVRLTDGTLSNVLLPRISDADVQTSRQRISSFLSSCTAYELLPESGKVVTLDVELPVKQAFHILHEQGIPMAPLWDICKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKWTAFTQGFIRAGPYDNLKEIALKILQNGISTVPIIHSSSEDDSFPQLLHLASLSGILKCICRYFRNCSSSLPILQLPICAIPVGTWVPKIGETNCQPLAMLRPNASLTSALNLLVQAQVSSIPIVDDSDSLLDIYCRSDITALAKDRTYTHINLDEMTVHQALQLGQDFYSSFEPRSQRCQMCLRTDSLHKVMERLSQPGVRRLVIVEAGSKRVEGIISLSDIFKFFLG >Vigun09g251500.1.v1.2 pep primary_assembly:ASM411807v1:9:41855573:41857840:1 gene:Vigun09g251500.v1.2 transcript:Vigun09g251500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCTAPYLTPQLYHSSLFSKPPNPPMIPSLSLHIIPLLILQLLVLAPPSPAEAGKGQWQLLQENIGIVGMHMQLLHNDRVIILDRTDFGFSNLTLPDGRCRKNPNEMVVKTDCTAHSIEYDVAANTVRALFVQTNVWCSSGSVFPDGTLVQTGGFNDGDRTVRTFTPCRTCDWREVNSGLSARRWYATNHILPDGRQIIIGGRRQFNYEFYPKTQTTAKNTYSLPFLVQTNDASEENNLYPFVFLNVDGNLFIFANNRAILFDYNKNRVVKTYPQIPGGDPRCYPSTGSAVLLPLKNLRESNVEAEVLICGGAPRGAYPDTLSGRFTGALKTCARIKITDPNPSWVMETMPGARVMSDMVLLPNGDVLIINGASQGTAGWEMGRDPVLDPFLYKPNNPIGSRFEIQNPSNIPRMYHASAIVLRDGRVLVSGSNPHTYYNFTNVMFPTELRLEAFSPPYLEPELSNLRPTIVSPSSQTVVKYGETLKVGFEVTASLFKDSVSVTMLAPPFTTHSFSMNQRLLVLEAQDLSEVGKLSYEVEVTAPGSSVLAPPGFYLLFVVHREIPSHGIWVRMGDNRFVGGHLLTVRYGEIRESNKIIYILLPFFFSILTHF >Vigun09g251500.2.v1.2 pep primary_assembly:ASM411807v1:9:41855573:41857840:1 gene:Vigun09g251500.v1.2 transcript:Vigun09g251500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCTAPYLTPQLYHSSLFSKPPNPPMIPSLSLHIIPLLILQLLVLAPPSPAEAGKGQWQLLQENIGIVGMHMQLLHNDRVIILDRTDFGFSNLTLPDGRCRKNPNEMVVKTDCTAHSIEYDVAANTVRALFVQTNVWCSSGSVFPDGTLVQTGGFNDGDRTVRTFTPCRTCDWREVNSGLSARRWYATNHILPDGRQIIIGGRRQFNYEFYPKTQTTAKNTYSLPFLVQTNDASEENNLYPFVFLNVDGNLFIFANNRAILFDYNKNRVVKTYPQIPGGDPRCYPSTGSAVLLPLKNLRESNVEAEVLICGGAPRGAYPDTLSGRFTGALKTCARIKITDPNPSWVMETMPGARVMSDMVLLPNGDVLIINGASQGTAGWEMGRDPVLDPFLYKPNNPIGSRFEIQNPSNIPRMYHASAIVLRDGRVLVSGSNPHTYYNFTNVMFPTELRLEAFSPPYLEPELSNLRPTIVSPSSQTVVKYGETLKVGFEVTASLFKDSVSVTMLAPPFTTHSFSMNQRLLVLEAQDLSEVGKLSYEVEVTAPGSSVLAPPGFYLLFVVHREIPSHGRQQICWWAFINCKIWGDKRVK >Vigun09g093900.2.v1.2 pep primary_assembly:ASM411807v1:9:13682289:13688590:1 gene:Vigun09g093900.v1.2 transcript:Vigun09g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMDTELTTYENGAGSQHLLPEDKVLVPVEVTLKPSSTAKIEDVRSAVEGMLEKRSLSYIDGPIPVPLDEAFLADNVQRICVCGCDSDEGRHNDNVLLFWQVKPIVHVFQLSEEGPCEDISSDGQSSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLAIRFNSRYPQSQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGILTTFQNIMLPNYACAKERLNAPDLREGAAFMQLCKHLLETAEACEGMSGRSLRKLPFLAHAALSNPFDCNAIKFLCTMVETAKRERSELPD >Vigun09g093900.3.v1.2 pep primary_assembly:ASM411807v1:9:13682288:13688590:1 gene:Vigun09g093900.v1.2 transcript:Vigun09g093900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MDPFRCLLMRRFSQITCKEFASADVILMKGGIMIMFFCSGKSSLLYMFFSEEGPCEDISSDGQSSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLAIRFNSRYPQSQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGILTTFQDCKNIMLPNYACAKERLNAPDLREGAAFMQLCKHLLETAEACEGMSGRSLRKLPFLAHAALSNPFDCNAIKFLCTMVETAKRERSELPD >Vigun09g093900.1.v1.2 pep primary_assembly:ASM411807v1:9:13682289:13688590:1 gene:Vigun09g093900.v1.2 transcript:Vigun09g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMDTELTTYENGAGSQHLLPEDKVLVPVEVTLKPSSTAKIEDVRSAVEGMLEKRSLSYIDGPIPVPLDEAFLADNVQRICVCGCDSDEGRHNDNVLLFWQVKPIVHVFQLSEEGPCEDISSDGQSSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLAIRFNSRYPQSQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGILTTFQDCKNIMLPNYACAKERLNAPDLREGAAFMQLCKHLLETAEACEGMSGRSLRKLPFLAHAALSNPFDCNAIKFLCTMVETAKRERSELPD >Vigun10g112600.1.v1.2 pep primary_assembly:ASM411807v1:10:31338524:31342007:1 gene:Vigun10g112600.v1.2 transcript:Vigun10g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLHYCYSILLRSFCVIDCFTLCTLINSISSYHYGIKQEVLCFLIFMFNFGSGRGKAFDMRNDREKTRGRGGESGSGKDKIDALGRLLTRILRHMASELNLKMRNDGFVKVNDLLKLNMKTFANIPLRSHTVDDIKEAVRRDNKQRFSLMEENGELLIRANQGHTVTTVETESLLKPILSAEEVPVCVHGTYRKNLESILGSGLKRMKRLHVHFSCGLPTDGEVISGMRRDVNVLIFIDVRKALEEGMKLYISDNKVILTEGFDGVVPPKFFEKIESWPSRQPIPF >Vigun07g005000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:405008:406092:1 gene:Vigun07g005000.v1.2 transcript:Vigun07g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYINAAFRSSKEYQVYFFMKNKYVRLHYTPGTYDDKILTNLRLISSGFPSLAGTPFAESGIDCSFDTEASEAYVFSGNHCAYIDYAPGTTNDKILVGPTTIAQMFPVLKNTVFADGIDSAFRSTRGKEVYLFKGNEYCRIAYDTKKLVGSIRNIGDGFPVLKGTIFESGIDACFASHTESEAYLFKGEKYVRIKFSPGAYDDALVGDIRLILNGWPVLKGILPVT >Vigun01g014000.6.v1.2 pep primary_assembly:ASM411807v1:1:1560339:1574327:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFCIEKRDLGALLVKSVEGLCWMSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g014000.4.v1.2 pep primary_assembly:ASM411807v1:1:1560281:1574328:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g014000.3.v1.2 pep primary_assembly:ASM411807v1:1:1560281:1574328:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g014000.5.v1.2 pep primary_assembly:ASM411807v1:1:1560281:1574328:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g014000.1.v1.2 pep primary_assembly:ASM411807v1:1:1560316:1574358:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFCIEKRDLGALLVKSVEGLCWMSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g014000.2.v1.2 pep primary_assembly:ASM411807v1:1:1560281:1574328:-1 gene:Vigun01g014000.v1.2 transcript:Vigun01g014000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKMGFEPLNWYCKPAENSIWDKAVDSAFGPYTPCAINTLVISISNLVLIGLCLYRIWLITCNAKVQRFCLRSNYYNYLLGMLAAYCAAQPLLRLLTGNSAFNLSGETSFAPFEIAALLVETLTWSSMITLILLETKVYIRKFRWLVRCGVMYVLVGDIVVLNLLLSVKDYCSRSALFLYISSVICQVLFGALLFVYIPNLVPYSGHSTMQTDAPDHGEYEPLCGEDQVCPERQANVFSRISFGWITPLMKQGYRKPVTEKDVWKLDKWDETETLTEKFQNCWMLEFQSSNPCLLRALNSSLGKRFWMGGFFKIGNDLSQFVGPILLNHLLDSMQRGDPSWIGYIYAFSIFVGVTAGVLCEAQYFQNVMRVGFRLRSTLVAAIFRKSLRLTNDGRKKCSSGKLMNMITTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSLMLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQSRILSIRDDELSWFRKAQLLYALNSFILNSIPVLVTVTTFGIFTLLGGELTPARAFTSLSLFAVLRFPLNMLPNLLSQVANANVSLQRLEELFLAEERDLKQNPPIEPGLPAISIENGYFSWDPKEEKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMIGELPPLADGNATIRGTVAYVPQISWIYNATVRENILFGSKFEHERYRKVIDITALQHDLNLLPGLDFTEIGERGVNISGGQKQRVSIARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLRGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKSGPLFQRLMENAGKMEQQADNNGDSESHDKDTNLPLNNEAIVELPSDVSYEKKGKLRKSVLVKKEERETGVVSWKVIMRYKSALGGLWVVSILFGCYTLTEVLRISSSTWLSVWTSQDSTADNNPAYFLLIYALFSFGQVSVALANSYWLIVSSLRAAKRLHNAMLDKILRAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNTFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYAAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKAYDRMAHINGKFMDNNIRFTLVNISSNRWLTIRLEALGGLMIWLIATSAVMQNARASNQALFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNAVERVDTYINLETEAAGVIETNRPPPGWPTSGSIEFEDVVLRYRPELPPVLHGLSFTVPPTEKIGVVGRTGAGKSSMLNALFRIVELTKGRIIIDGCDISTFGLADLRKVLTIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNPFGLDAQVSEGGDNFSVGQRQLLSLGRALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFQSCTMLIIAHRLNTIIDCNRILLLDSGRVLEYSSPEELLQNEGTAFYKMVQSTGEANAEYLCSLVFGRTENNNEYNKELENHMRQLASTHWTAATQFAIAATLSSLHHLLPKPSSEDNEDILHKTKDAVTILQEVLVGKHDEAIEETLHRYHIPKDRWWSTLYKVIEGLAILKRLPKDNIHQIQLDFDERSFN >Vigun01g191700.1.v1.2 pep primary_assembly:ASM411807v1:1:36992613:36996438:-1 gene:Vigun01g191700.v1.2 transcript:Vigun01g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAAKKDKDDGVAKEHH >Vigun03g337900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53582832:53584325:1 gene:Vigun03g337900.v1.2 transcript:Vigun03g337900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMTHVLFKPAWKRSWSKATSTQNLQILSFSQYPTLPSISVSPQLQELSSIVMSTVGGLDDLELSLNKFKDSLTSPLVTQAIDSSKHEAHTRRLLRFFLWSSKNLNRSLEDKDYNHALRVFAEKKDYTAMDILMGDLKKEGRVMDAETFGLVADTLVKLGKEDEALGVFKNLDKYNCPIDEFTVTAIINALCSKGHAKRAEGVVWHHRDKITSAKPCIYRSLLYGWSVQRNVKEARRIIKEMKTNGFIPDLLCYNTFLRCLCERNLRHNPSGLVPEVLNVMMEMRSYRVFPTSISYNILLSCLGKTRRVKESCQILETMKNSGCEPDWVSYYLVAKVLFLSGRFGKGKEIVDQMIGKGLTSNHKFYYSLIGILCGVERVNHALELFEKMKKSSMGGYGPVYDVLIPKLCRGGNFEKGRELWDEATSMGIILQCSEDVLDPSITQVYKPTKPEKISLADSSKAKSPHKVKKFVGKMKMRKKYDATKKKKKVKKFTSN >Vigun09g233000.1.v1.2 pep primary_assembly:ASM411807v1:9:40406613:40409937:-1 gene:Vigun09g233000.v1.2 transcript:Vigun09g233000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTFNGTGVGFGFGVGCGFGIGWGFGGMPLNLLGLGAGGGCGVGVGLGWGFGTAFGSKYRSSRVTFQGVEFDSKETVNSKEFSKPSTEAGGAR >Vigun10g141150.1.v1.2 pep primary_assembly:ASM411807v1:10:35808660:35811731:-1 gene:Vigun10g141150.v1.2 transcript:Vigun10g141150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKQENLIRNCFTSPGIILALYTFARSIVIRVTKGIRHSESGSASNVLNMAVGSTNKEESRPPLRAPGFTTTKLSSSTLKRLGELEEKVDMLQSKPNVMPYEKEELLNAAVYRVDALEAELIATKKGFDKTRRTYGTHRQSRKREIQEKGLLVKKTTCMVELFDGWFQYHTSLPPQIYTLYEENQLTGNDV >Vigun01g081100.1.v1.2 pep primary_assembly:ASM411807v1:1:22831129:22833884:-1 gene:Vigun01g081100.v1.2 transcript:Vigun01g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHSPSKSQTQNSQSPTVIPLTCPPHLVKRMQQEICFICDQLGHWSWYCPFKSPNSKHVSPSANQASPVSSNAVQCSCGHGACEIKTSRSGRNFYTCPIKSGVKCKDFVKWCDEPVAESDFQPPMIKYPECACKAGVCRRVKDKDTDGVFKYYFTCPVKQGHGSCGYRVWEDEIKVVDGKTIVPTQRSRQRSLHEFWEGCQNDETDDELGSELSKRMKVTDYSEDSLAVPDIPALTVANSNRNGFPDFMADDDEFEFISPVSWETVEEEAFLSLSQLSTPSRIRWRQIMFQMRISSDASFGSCRMGWLGRLLFFHPAQSLNLPTPKPFFCCIFPSFNPIIVPKQRNVSDAPCNKLGPCNKLAIKGLGHTEVSGHVVKSQDGERKSKASRHREVILFTQQQLLADLETLAPHEHESMKEAAETTFAILNVLQVDCKKFSDHVLDYINFASSIAEIDKSKENFLTMEDLNKLFEEEKMRLAQLQDDHVKTKALLEASKRHRQLLCEQVSHLEAMLNEKQNQLKFCELETLKIETCLVDLERNILVTDITLKERAEQTKVAKKQSEERQTKQIAAQEALQKAKLELENW >Vigun01g081100.2.v1.2 pep primary_assembly:ASM411807v1:1:22831129:22833884:-1 gene:Vigun01g081100.v1.2 transcript:Vigun01g081100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHSPSKSQTQNSQSPTVIPLTCPPHLVKRMQQEICFICDQLGHWSWYCPFKSPNSKHVSPSANQASPVSSNAVQCSCGHGACEIKTSRSGRNFYTCPIKSGVKCKDFVKWCDEPVAESDFQPPMIKYPECACKAGVCRRVKDKDTDGVFKYYFTCPVKQGHGSCGYRVWEDEIKVVDGKTIVPTQRSRQRSLHEFWEGCQNDETDDELGSELSKRMKVTDYSEDSLAVPDIPALTVANSNRNGFPDFMADDDEFEFISPVSWETVEEEAFLSLSQLSTPSRIRWRQIMFQMRISSDASFGSCRMGWLGRLLFFHPAQSIFPSFNPIIVPKQRNVSDAPCNKLGPCNKLAIKGLGHTEVSGHVVKSQDGERKSKASRHREVILFTQQQLLADLETLAPHEHESMKEAAETTFAILNVLQVDCKKFSDHVLDYINFASSIAEIDKSKENFLTMEDLNKLFEEEKMRLAQLQDDHVKTKALLEASKRHRQLLCEQVSHLEAMLNEKQNQLKFCELETLKIETCLVDLERNILVTDITLKERAEQTKVAKKQSEERQTKQIAAQEALQKAKLELENW >Vigun01g104800.1.v1.2 pep primary_assembly:ASM411807v1:1:27521330:27523462:-1 gene:Vigun01g104800.v1.2 transcript:Vigun01g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPLFNRVLVEKIVPPSKTNAGILLPEKSSKLNSGKVIAVGPGIHSKDGKLIPVAVNEGDTVLLPEYGGTEVKLDNKEYHLYRDDDILGTLHD >Vigun07g079800.1.v1.2 pep primary_assembly:ASM411807v1:7:11083315:11084993:-1 gene:Vigun07g079800.v1.2 transcript:Vigun07g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Vigun03g033500.2.v1.2 pep primary_assembly:ASM411807v1:3:2579902:2583384:1 gene:Vigun03g033500.v1.2 transcript:Vigun03g033500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSQSTASFSPSFVSTRSDFTRLTRFPCRVTFRRGLAVSSCFNSSKSPGAGGFLSPDNGGEVSYELHHDFSPQRRRSGSPVFVTLPVNSVSREGGRVLRPKAMMFSLKALASAGVEGVVVEIWWGLVEKNKPRVYYWRGYEELVAMACKCGLKVRAVLAFHQHGAGPDDPNWIPLPLWVLDEIKKDVELAYCDRFGRRNIEYISLGCDILPVLCGRSPIQVYADFMRNFRDTFRSLLGVIITGVQIGMGPGGELRYPSFSPQEPNLAWSQELGEFQCYDKYMLASLNASARNIGMREWGNGGPFGAGSLMQNLEHTDFFKNDGGSWDTPYGKFFLEWYSGMLLLHGERICREAETIFRGTEVHISAKLAVIHWHYVTQSHPSELTAGYYNTFNRDGYLSIARMFSKYGFSMCCSCFEMQDAVMQKINPDGSPEGAEDVKILLEWDREAAFLVQLYYILLVTHGLRPHQ >Vigun03g033500.1.v1.2 pep primary_assembly:ASM411807v1:3:2579902:2583384:1 gene:Vigun03g033500.v1.2 transcript:Vigun03g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSQSTASFSPSFVSTRSDFTRLTRFPCRVTFRRGLAVSSCFNSSKSPGAGGFLSPDNGGEVSYELHHDFSPQRRRSGSPVFVTLPVNSVSREGGRVLRPKAMMFSLKALASAGVEGVVVEIWWGLVEKNKPRVYYWRGYEELVAMACKCGLKVRAVLAFHQHGAGPDDPNWIPLPLWVLDEIKKDVELAYCDRFGRRNIEYISLGCDILPVLCGRSPIQVYADFMRNFRDTFRSLLGVIITGVQIGMGPGGELRYPSFSPQEPNLAWSQELGEFQCYDKYMLASLNASARNIGMREWGNGGPFGAGSLMQNLEHTDFFKNDGGSWDTPYGKFFLEWYSGMLLLHGERICREAETIFRGTEVHISAKLAVIHWHYVTQSHPSELTAGYYNTFNRDGYLSIARMFSKYGFSMCCSCFEMQDAVMQKINPDGSPEGFLRQLLLAARLCDLALEGQNFSTNLDDGAFTQVLKMSKFYSNGIEKRPFSFNFVRMDKRLFESRNWDRFTRFVRQMSNGNIFRARLYSVGDTRFKTAPVMPEARLLYHLYQYS >Vigun01g218700.4.v1.2 pep primary_assembly:ASM411807v1:1:39264071:39268309:-1 gene:Vigun01g218700.v1.2 transcript:Vigun01g218700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIENGFPGFIPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGILGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVAGPQSGGSSMQPASSSTSAEKQDISGAVGSMKASDDELTCSVCLEQVNVGEVLRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWSDNGHNDIADMV >Vigun01g218700.2.v1.2 pep primary_assembly:ASM411807v1:1:39264071:39268309:-1 gene:Vigun01g218700.v1.2 transcript:Vigun01g218700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIENGFPGFIPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGILGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVAGPQSGGSSMQPASSSTSAEKQDISGAVGSMKASDDELTCSVCLEQVNVGEVLRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWSDNGHNDIADMV >Vigun01g218700.3.v1.2 pep primary_assembly:ASM411807v1:1:39264071:39268309:-1 gene:Vigun01g218700.v1.2 transcript:Vigun01g218700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIENGFPGFIPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGILGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVAGPQSGGSSMQPASSSTSAEKKQDISGAVGSMKASDDELTCSVCLEQVNVGEVLRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWSDNGHNDIADMV >Vigun01g218700.1.v1.2 pep primary_assembly:ASM411807v1:1:39264071:39268309:-1 gene:Vigun01g218700.v1.2 transcript:Vigun01g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIENGFPGFIPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGILGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVAGPQSGGSSMQPASSSTSAEKKQDISGAVGSMKASDDELTCSVCLEQVNVGEVLRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWSDNGHNDIADMV >Vigun10g009300.1.v1.2 pep primary_assembly:ASM411807v1:10:898712:900004:1 gene:Vigun10g009300.v1.2 transcript:Vigun10g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVASSISQANPNCSSFESNLSSQFNKSLPSWNQLKPFSTKCNFPKLTHKWKQYQGINHWEGLVTGEDGVGPMVQKGFLSLYTSKTSKRASLQEMIRKKIGRVIQRYTNEPLSLTLTGHSLGAALAILSANDITTTFKNAPMVTVISFGGPRVGNESFRKQLEQKGIKILRIVNSDDVVTKVPGFIVNLDELESNKDVSVGIWSRWLYKYTENMQLVYVDIGQELRLSSRDFPCLNKRDVAMCHDLKTYLHLVKNFVSSSCACKHKKIHSVQP >Vigun11g077200.2.v1.2 pep primary_assembly:ASM411807v1:11:22905267:22906874:-1 gene:Vigun11g077200.v1.2 transcript:Vigun11g077200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNSFFSSIPTSSNCCPLHLLMKLTQEPEGYHTHRNFDEKRFSAFFWHHGYATFLLKSLNSELQQRRTNW >Vigun08g119100.4.v1.2 pep primary_assembly:ASM411807v1:8:28649251:28652718:-1 gene:Vigun08g119100.v1.2 transcript:Vigun08g119100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRTQNINAREAALGIGEEASWHAKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTNLAVDNLNGAQILGRIIRVDHVDKYKKKEEEDEETERQKREARGVCRAFQRGDCTRGASCKFSHDEQRAANTGWGQEEDNPKWGHDKFEGPKKERRSGNNQPNHNSETRDRDSRSKARANDGFNNLPKRSDREERSRRWHDDDDDKGRENNSRREEKGSRRYGDDEFEHKPREERYRREEKKSRKDDYDDIPEQKDHRRREDKKSIKPDDGECEPKLRDSDIREDKRSRRKDGDDFVSKAREPHSNREDRRSRKHSEDESAPRSREDYDRKQDNRFYRSDSDRPESKVRHDYDFERREEKRSRR >Vigun08g119100.5.v1.2 pep primary_assembly:ASM411807v1:8:28649255:28651839:-1 gene:Vigun08g119100.v1.2 transcript:Vigun08g119100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSHDEQRAANTGWGQEEDNPKWGHDKFEGPKKERRSGNNQPNHNSETRDRDSRSKARANDGFNNLPKRSDREERSRRWHDDDDDKGRENNSRREEKGSRRYGDDEFEHKPREERYRREEKKSRKDDYDDIPEQKDHRRREDKKSIKPDDGECEPKLRDSDIREDKRSRRKDGDDFVSKAREPHSNREDRRSRKHSEDESAPRSREDYDRKQDNRFYRSDSDRPESKVRHDYDFERREEKRSRR >Vigun08g119100.3.v1.2 pep primary_assembly:ASM411807v1:8:28649043:28652678:-1 gene:Vigun08g119100.v1.2 transcript:Vigun08g119100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLMLISLETRAPENQRVLRSLRMRIKGAQILLWILGRIIRVDHVDKYKKKEEEDEETERQKREARGVCRAFQRGDCTRGASCKFSHDEQRAANTGWGQEEDNPKWGHDKFEGPKKERRSGNNQPNHNSETRDRDSRSKARANDGFNNLPKRSDREERSRRWHDDDDDKGRENNSRREEKGSRRYGDDEFEHKPREERYRREEKKSRKDDYDDIPEQKDHRRREDKKSIKPDDGECEPKLRDSDIREDKRSRRKDGDDFVSKAREPHSNREDRRSRKHSEDESAPRSREDYDRKQDNRFYRSDSDRPESKVRHDYDFERREEKRSRR >Vigun08g119100.1.v1.2 pep primary_assembly:ASM411807v1:8:28649037:28652717:-1 gene:Vigun08g119100.v1.2 transcript:Vigun08g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRTQNINAREAALGIGEEASWHAKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTNLAVDNLNGAQILGRIIRVDHVDKYKKKEEEDEETERQKREARGVCRAFQRGDCTRGASCKFSHDEQRAANTGWGQEEDNPKWGHDKFEGPKKERRSGNNQPNHNSETRDRDSRSKARANDGFNNLPKRSDREERSRRWHDDDDDKGRENNSRREEKGSRRYGDDEFEHKPREERYRREEKKSRKDDYDDIPEQKDHRRREDKKSIKPDDGECEPKLRDSDIREDKRSRRKDGDDFVSKAREPHSNREDRRSRKHSEDESAPRSREDYDRKQDNRFYRSDSDRPESKVRHDYDFERREEKRSRR >Vigun06g093400.4.v1.2 pep primary_assembly:ASM411807v1:6:22526065:22530009:-1 gene:Vigun06g093400.v1.2 transcript:Vigun06g093400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERQMALFRRYVAASAVALFVFLLFLMACNLNMASASSGGVMGGSFFDSGSDSDSSSSEFYTRDSESYRMMHHRNNDASSHDTELAEGGHAGVPFLFLFFMLAMFLFGFYKNKNGNSVTVLKLQVAMSGGKGSSIQRDLTRIAETADTSSRDGLKYLLTDTIHSLVGHLGHCVAGYSFVDLKQSKDDGEKCYYQLSNEERSKFDDETLVNLNNTEKRNTRIQSDEINNENSEFDGKDIKEERKIFEEEKLLNGFGNEYIVV >Vigun06g093400.1.v1.2 pep primary_assembly:ASM411807v1:6:22526054:22530031:-1 gene:Vigun06g093400.v1.2 transcript:Vigun06g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERQMALFRRYVAASAVALFVFLLFLMACNLNMASASSGGVMGGSFFDSGSDSDSSSSEFYTRDSESYRMMHHRNNDASSHDTELAEGGHAGVPFLFLFFMLAMFLFGFYKNKNGNSVTVLKLQVAMSGGKGSSIQRDLTRIAETADTSSRDGLKYLLTDTIHSLVGHLGHCVAGYSFVDLKQSKDDGEKCYYQLSNEERSKFDDETLVNLNNTEKRNTRIQSDEINNENSEFDGKDIKEERKIFEEEKLLNGFGNEYIVITILVAAKGAHKLPNIYGDEDMKKALQKLRTVLSSNLLAGKVLWTPQNEDETLSKRQLLEDYPQLANCMNTFLVKKHE >Vigun06g093400.3.v1.2 pep primary_assembly:ASM411807v1:6:22526065:22530009:-1 gene:Vigun06g093400.v1.2 transcript:Vigun06g093400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERQMALFRRYVAASAVALFVFLLFLMACNLNMASASSGGVMGGSFFDSGSDSDSSSSEFYTRDSESYRMMHHRNNDASSHDTELAEGGHAGVPFLFLFFMLAMFLFGFYKNKNGNSVTVLKLQVAMSGGKGSSIQRDLTRIAETADTSSRDGLKYLLTDTIHSLVGHLGHCVAGYSFVDLKQSKDDGEKCYYQLSNEERSKFDDETLVNLNNTEKRNTRIQSDEINNENSEFDGKDIKEERKIFEEEKLLNGFGNEYIVITILVAAKGAHKLPNIYGDEDMKKALQKLRTVLSSNLLAGKVLWTPQNEDETLSKRQLLEDYPQLANCMNTFLVKKHE >Vigun06g093400.2.v1.2 pep primary_assembly:ASM411807v1:6:22526054:22530031:-1 gene:Vigun06g093400.v1.2 transcript:Vigun06g093400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERQMALFRRYVAASAVALFVFLLFLMACNLNMASASSGGVMGGSFFDSGSDSDSSSSEFYTRDSESYRMMHHRNNDASSHDTELAEGGHAGVPFLFLFFMLAMFLFGFYKNKNGNSVTVLKLQVAMSGGKGSSIQRDLTRIAETADTSSRDGLKYLLTDTIHSLVGHLGHCVAGYSFVDLKQSKDDGEKCYYQLSNEERSKFDDETLVNLNNTEKRNTRIQSDEINNENSEFDGKDIKEERKIFEEEKLLNGFGNEYIVV >Vigun06g008200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4024885:4025980:-1 gene:Vigun06g008200.v1.2 transcript:Vigun06g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHEELNESAKTLNLNQIILPERTSPKTLLPPTSSSTSSYTNQAVGDTAHGGTTHDGTNSHLRHHNIMCVHQSRSKHNHARGKAANGDDNHSRRFVNITTARHCTTYSRAKHYRTVSSARVFFGPPRPLPRLPFRQRDRCQLLMPLTSPSSLSVSRSLFNPSPLSI >Vigun06g160000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28264319:28265623:-1 gene:Vigun06g160000.v1.2 transcript:Vigun06g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNMLSSKCSSFSYFSARRIADSIDVPKIKVTKISTARLPNRSLVAELDYLNKYLPTTTTTHAKEDPYYKINTNGSTTSGTSTPNSAEEVKLHLIMEIVADRIEMHKNIGAQRDNWNRLLMTSVNMITLSASAMVGLAAASPSGGAPIVALKVSSTILYMAATGLILVMNKIQPSQLAEEQRNAARLFKQLHRELKTRVSLGNPSEYDVDEAMEKVLALDRAYPLPLLGSMLEKLPRTVEPAVWWPRQKQRISPRKEELGGKMKGKNGWDARLEAEMRKIVMILRKKDMAEYLKLGKQVLNFNKVLAVSGPLLSGLAALGSCFVGSVSSSWPVMVGVIGGALASVVNTFEHGGQVGMVFEMYRANCGFFKLMEESIDLNITEEDPHKRENGELFEIKVALQLGRSLSELRQIAAAVSSSHEEKDFEEFASKLF >Vigun03g059600.1.v1.2 pep primary_assembly:ASM411807v1:3:4877008:4881372:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSLSERFSDDQVYTTQAALSPEVTSKSASNDDISTPKMSKEEVTDVKILTDKLAAALLNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLKQQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.3.v1.2 pep primary_assembly:ASM411807v1:3:4876944:4881443:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSLSERFSDDQVYTTQAALSPEVTSKSASNDDISTPKMSKEEVTDVKILTDKLAAALLNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLKQQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.4.v1.2 pep primary_assembly:ASM411807v1:3:4876944:4881443:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSLSERFSDDQVYTTQAALSPEVTSKSASNDDISTPKMSKEEVTDVKILTDKLAAALLNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLKQQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.2.v1.2 pep primary_assembly:ASM411807v1:3:4876973:4881443:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSLSERFSDDQVYTTQAALSPEVTSKSASNDDISTPKMSKEEVTDVKILTDKLAAALLNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLKQQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.5.v1.2 pep primary_assembly:ASM411807v1:3:4877008:4881308:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSGSISSLSERFSDDQVYTTQAALSPEVTSKSASNDDISTPKMSKEEVTDVKILTDKLAAALLNISAKEDLVKQHAKVAEEAVSGWEKAENEVSSLKQQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.7.v1.2 pep primary_assembly:ASM411807v1:3:4877671:4881308:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQKKLYQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun03g059600.6.v1.2 pep primary_assembly:ASM411807v1:3:4877345:4881308:1 gene:Vigun03g059600.v1.2 transcript:Vigun03g059600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQKKLYQLDATRQKNSILEDRVGHLDGALKECLRQLRQAREVQEQKIVEAVVNSCREWEFKKSELEGKVADLEAQLQSAKADSAASIRFDLQQRLEAVQKENSSLKHELQSRLEELELRIVERNLSSQAAETASKQHLESVKKVAKLETECRRLKAMTRKTFSVNDHRSVTASSVCVESFTDSMSDSGERLLAVQTDLRKLGGWEMNEYEPSRFDSCSSSLVMEIDQLKKEKTNGKNHMVPSTEINLMDDFLEMERLAAFPENVREGVASDQSNVDQATMEAEVEALIQKNVELEKKLVKMECEMEAMIQKNSELEKKLEKMEAGKVEVEMVLTKYHTQLETSESQIREAELKVAEFQTQLALAKRSNQEACEELKETKAKKEIVESTLKLTQTEVEKLISKICSLEAEIQKERALSAENSIKRGKLEEELLKMKQEAHVQQDTEIKQREVVNHNLKLKQEKELALAAGRFAECQKTIASLGQQLKSLATLEDFLLDSDNPVESTFEVTKSPQNGDQLKLPRNDLSIPKRDSESPISLNSSVTNEKIRNGFSKFIPKSKSVSKRGSH >Vigun04g155200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37552902:37556630:-1 gene:Vigun04g155200.v1.2 transcript:Vigun04g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGVCRETVCIGSERETLLKLKHHLTDPSNRLSSWNASVNPNCCEWDGVVCNNITSHVAELHLTTPRPSFSFYKSIPYQLDVDIYEEALKEYRRRAFGGEINPCLVDLKQLNYLDLSGNHFPGTPIPSFIATMTSLTHLNLSDAGFMGNIPSQIGNLSNLLYLDLSYLYFGSHENVDWLSSLSKLRYLDLSNTWSFERSMPIPSFVGTITTLIHLDLSNSGFMGNIPLQIGNLSNLAYLDLSGAANGTLPSQIGNLSNLLYLDLRSGDSIDKSLFIGNVDWLSSLTKLEYLDLGGANLSQSFHLLHTLQPLSSLLHLHLSGCTLPYSNQPSFLNSSSLLTLDLSDISYNSKISFVLKWVFGLKKLVSLVSTFNYFEGPIPDGLRNLTLLENLDLKETSFSSSIPHWFYSSFPHLKFLHLSRNNLQENISDALGNMTSLVTLDLSYNKLEGPIPTSLGKVTSLVILDLSHNKLEGSIPTSLGKLTSLVRLDLSHNQLSGNVTLDYCGNKGDGKHTKSLGELSSLRVLILSSNQLGGNPFESLRSLSKLSSLDIGYNRFEGVVTEDHLTNLTSLTDLFATRNNLTLKVGPNWHPTFQLSYLDMSSWQLGPNFPSWIQSQDKLDYLAMSNTRILDSIPYSFWKTLSQASFLNLSHNHIHGELQTTLKNPVSIITVDLSANKLSGKFPSLSNDVGFLDLSSNSFSKSMDDFLCKGKEKPMKLEFLKLASNNLSGEIPDCWDIWPYLLYVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNEICDMSLLQVLDLASNSFSKSMDDFLCKGKEKPMKLEFLNLASNNLSGEIPDCWDIWPYLLYVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLHMKVLILRSNRFSGHIPNEICDMSLLQVLDLAQNNLTGNIPTCFNSLKTMTQMNKSTTALIYFSAINYTFLYSDYIVISVRLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQLSGHVPQNIGNMGSLLSIDFSRNELSGEIPPTISNLSFLSMLDLSYNGLNGKIPTGTQLQTFDASNFIGNNLCGPPLPISCSSNDKSYIQNGKGSDRHGLNLFYVGMTFGYMVGFWIVVGPLVICRSWRYAYFHFLDHVWFKLQYFF >Vigun09g174500.1.v1.2 pep primary_assembly:ASM411807v1:9:34545075:34547153:-1 gene:Vigun09g174500.v1.2 transcript:Vigun09g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQKKSASKGHDEMVVSQEQQAKITEVRELIGQLSDKESLYCSDASISRYLVSRNWNVKKTAQMLKQSLKWRKEFKPEEIRWEEVAADAESGSIYRPNYQDKFGRSVIVMTPRRKNSLSTEREIKYLVQCLENAISNLPPQQEQVVWLVDLEGVKMSDISFKMSREIVHVLQNYYPRRLGLVILFNAPAIFQPFFKMMRPFLETEIYNKVKFCYSDDHNSRKFLEDLFDMDKLESAFGGNGDTGFDINKYGERMKEEETTRFIHSEHR >Vigun09g174500.2.v1.2 pep primary_assembly:ASM411807v1:9:34545092:34547057:-1 gene:Vigun09g174500.v1.2 transcript:Vigun09g174500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNIYYLDKLVLIIVLFNSSLLIFCISVLNSMSEDQKKSASKGHDEMVVSQEQQAKITEVRELIGQLSDKESLYCSDASISRYLVSRNWNVKKTAQMLKQSLKWRKEFKPEEIRWEEVAADAESGSIYRPNYQDKFGRSVIVMTPRRKNSLSTEREIKYLVQCLENAISNLPPQQEQVVWLVDLEGVKMSDISFKMSREIVHVLQNYYPRRLGLVILFNAPAIFQPFFKMMRPFLETEIYNKVKFCYSDDHNSRKFLEDLFDMDKLESAFGGNGDTGFDINKYGERMKEEETTRFIHSEHR >Vigun01g133000.1.v1.2 pep primary_assembly:ASM411807v1:1:31080611:31087912:-1 gene:Vigun01g133000.v1.2 transcript:Vigun01g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFSPNHFHLRSFQTKHNASRERRYVKCINGIGTRVSLFTIPAFSTRISASRNRTLSVNFSDRLRSDYARVEAPNDELPSEEVVPATHGGEAAVALSPEFDKSVISQLKPVMFRNKFLNFVRFGSVINGAAESFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPEDYLSFVSGSSVDELGDFSAELKLSIFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWMSFGFAILEALIVSCYSLPYSIYAASYRVKHVMITSVLLVCGAMTITWICDTISESGFGQGSSLIICVGILTGYMETLYKMLTQLSVSAVSWWPYILAVLGIFTVVTMWAVVVTEGCRKVKLQYYGFKLASAAREQSPITEVEPYIPFNINPAGMQPILTTSYLLALPSILAGLLRSPFWEHVKEILNPETSVGAEPWVYYSIYAFFVFLFNIFDIANMPKEIADYLNKMGARIPNIKPGKATIEYLTKVQASTRFWGGLLLSVLATTSSVLDHYLRRVNAGFAIGFTSVLIIVCSIIELRRSYQAYNVMPSLSNALRRYGV >Vigun01g133000.3.v1.2 pep primary_assembly:ASM411807v1:1:31080611:31087912:-1 gene:Vigun01g133000.v1.2 transcript:Vigun01g133000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFSPNHFHLRSFQTKHNASRERRYVKCINGIGTRVSLFTIPAFSTRISASRNRTLSVNFSDRLRSDYARVEAPNDELPSEEVVPATHGGEAAVALSPEFDKSVISQLKPVMFRNKFLNFVRFGSVINGAAESFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPEDYLSFVSGSSVDELGDFSAELKLSIFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWMSFGFAILEALIVSCYSLPYSIYAASYRVKHVMITSVLLVCGAMTITWICDTISESGFGQGSSLIICVGILTGYMETLYKMLTQLSVSAVSWWPYILAVLGIFTVVTMWAVVVTEGCRKVKLQYYGFKLASAAREQSPITEVEPYIPFNINPAGMQPILTTSYLLALPSILAGLTCQRKLRII >Vigun01g133000.2.v1.2 pep primary_assembly:ASM411807v1:1:31080611:31087912:-1 gene:Vigun01g133000.v1.2 transcript:Vigun01g133000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFSPNHFHLRSFQTKHNASRERRYVKCINGIGTRVSLFTIPAFSTRISASRNRTLSVNFSDRLRSDYARVEAPNDELPSEEVVPATHGGEAAVALSPEFDKSVISQLKPVMFRNKFLNFVRFGSVINGAAESFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPEDYLSFVSGSSVDELGDFSAELKLSIFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWMSFGFAILEALIVSCYSLPYSIYAASYRVKHVMITSVLLVCGAMTITWICDTISESGFGQGSSLIICVGILTGYMETLYKMLTQLSVSAVSWWPYILAVLGIFTVVTMWAVVVTEGCRKVKLQYYGFKLASAAREQSPITEVEPYIPFNINPAGMQPILTTSYLLALPSILAGLLRSPFWEHVKEILNPETSVGAEPWVYYSIYAFFVFLFNIFDIANMPKEIADYLNKMGARIPNIKPGKATIEYLTKVQASTRFWGGLLLSVLATTSSVLDHYLRRVNAGFAIGFTSVLIIVCSIIELRRSYQAYNVMPSLSNALRRYGV >Vigun03g091500.6.v1.2 pep primary_assembly:ASM411807v1:3:7727089:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATAAALVGQHLVRKVIAILGRTSLIIFILALTVFVSGISLGGVGIANLIKRIEKKENMDFGDLCSYRVRS >Vigun03g091500.9.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735005:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQLGLQCYLHFCHDVFCIHVCGGVLPSQTFSCSLWRSRHSKPD >Vigun03g091500.2.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATAAALVGQHLVRKVIAILGRTSLIIFILALTVFVSGISLGGVGIANLIKRIEKKENMDFGDLCSYRVRS >Vigun03g091500.5.v1.2 pep primary_assembly:ASM411807v1:3:7727135:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATAAALVGQHLVRKVIAILGRTSLIIFILALTVFVSGISLGGVGIANLIKRIEKKENMDFGDLCSYRVRS >Vigun03g091500.4.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQLGLQCYLHFCHDVFCIHVCGGVLPSQTFSCSLCTLLCSSSHCCCTGWAAFGEKGYCHTWENVSDNFHPCTHCFREWNITRRSRHSKPD >Vigun03g091500.1.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATAAALVGQHLVRKVIAILGRTSLIIFILALTVFVSGISLGGVGIANLIKRIEKKENMDFGDLCSYRVRS >Vigun03g091500.3.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQLGLQCYLHFCHDVFCIHVCGGVLPSQTFSCSLCTLLCSSSHCCCTGWAAFGEKGYCHTWENVSDNFHPCTHCFREWNITRRSRHSKPD >Vigun03g091500.7.v1.2 pep primary_assembly:ASM411807v1:3:7727089:7735004:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATAAALVGQHLVRKVIAILGRTSLIIFILALTVFVSGISLGGVGIANLIKRIEKKENMDFGDLCSYRVRS >Vigun03g091500.11.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735005:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQHFTL >Vigun03g091500.8.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735005:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQVPVTVGTTFYEAVLLYKGKRVIASKGDEHTRLHVGKLMVYCTCGLVAGIIGGLLGLGGGFILGPLFIGLGIPPQLGLQCYLHFCHDVFCIHVCGGVLPSQTFSCSLWRSRHSKPD >Vigun03g091500.10.v1.2 pep primary_assembly:ASM411807v1:3:7726683:7735005:1 gene:Vigun03g091500.v1.2 transcript:Vigun03g091500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKWVPRVLILLLLLLLLPLYVTSSHQNTDQKTVSSEFHVTKRVQTNDHDAKVFYKHTWPRMKFGWKIIVGSIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKCMITGGAAATVFYNLKQRHPTLDMPVIDYDLALLFQPMLMLGISVGVAFNVIFPDWLITALLIVVFIGLSINAFLKGVQTWKKETILKKEARQNVRLNDTAGTSNDADDPQTEEMVNESHINTNQTRKKVSVIENICWKELGILVSVWILILALQIGKNYTANCSALYWVLNLLQHFTL >Vigun09g123500.1.v1.2 pep primary_assembly:ASM411807v1:9:27512761:27518470:1 gene:Vigun09g123500.v1.2 transcript:Vigun09g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGSYGSGGLLTGRFHARKLSPSVITFYTIFIFAFSIIVFLFYVRNISLDQDPRRPFISRQSNSHQVPELHVHDKKQLWDSPFNHGLHQCVERTTKYKAAKGFDRYLTVKSNGGLNQMRTGISDMVAVAHMMNATLVVPQLDKRSFWKDSSVFSDIFDELHFIQSLKDDIRIVSELPKSLEGIPRARKHFTSWAGFGYYTDMTRLWSDYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYQALRFSPPIENLGKRLVDRLRSQGGRYIALHLRYEKDMLSFTGCSYGLTDAESEELRILRENTNYWKVKEINSTEQRIGGFCPLTPKEVGIFLHALGYPPSTPIYIAAGEIYGGNTHLSELSSRFPNLIFKESLATPEELKDFTNHASQTAALDYIICVESDVFVPSYSGNMARAVEGHRRFLGHRKTINPDRKVLVGIFDMVETGVLEEGTELSQMVQRMHKNRQGAPRKRHGSPPGVKGRARFKTEESFYENPYPECICGSKSKLEIT >Vigun09g123300.1.v1.2 pep primary_assembly:ASM411807v1:9:27479874:27482799:1 gene:Vigun09g123300.v1.2 transcript:Vigun09g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLKKTTVASHFVTQSKKTEESASFPRRRFHVEPGPREKALLAEDSILKPFKSYKKSVKQLKRIGDVLTVVVVAGCCYEIYVRAVTREDAQKQ >Vigun08g171901.1.v1.2 pep primary_assembly:ASM411807v1:8:34289826:34293679:1 gene:Vigun08g171901.v1.2 transcript:Vigun08g171901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNYGNVDEELSIKVNRLAECTLEGKWKEVEEMYNDDPPCHTAMINDSVGTALHVAVDLDEEGVVEKLVNAIIRHKTMKALEMKNYRGDTALHVAASRGFAKICELIIGSKKERIYLVRLKNKEGETPLFQAALNWKKLVFAYLSNLSDHTAPLQDLVRRNGDTILHCAIRREYFDLAVIIVHYYDFLSTHKNKDEFTPLKVLATRPSAFRSATKLSWWKQILYHCMLVEPVNPERQMKKILKKMEKKSNADQFTYPQNYTTLCEFIGGFKSLGALTAKDKFFTQKNKEDSENPPIKIVSEADGVGFLPPNYETSQQFLRSAYVHTLGLTGVGLKEIRNMKRRHEWSGQLLKKLLERPYEAFTGAGGVPDIPVDAEMYNVFNQLKPGFRVPGESSKLPWLNEDQEEEEEEEEEEEEEEEEEEEEEEEEEKEEEEEEKEEEEAKEKKSSSPSKKIDKTETAFLVAARNGIIEMVNELLDRIPSVIHNLNANKENVLLVAVMSRQPFVIENLKTKTKPEVWNNLTLAIDKNERTILHWAADAPEDDKPPKIAGSALQMMWDIKWFQYIKSLVPQHFYFRSDIKGKTAGEIFEEEHKDLIEKSSDWLKETSESCSVVAALVAGVSFATASSIPGGTDDEGRPHLEGKPAFDVFAVASLVGLCSSVTGLIMFLTILTSRKQAKDFRRDLPFKILLGLSSLFVSIAAMFVSFCSGHYFLLSHRYKTVLYPIYAATVFPVMFYAVAQFPLYFDLIIAIFSKVPWATGKGDKL >Vigun08g171901.2.v1.2 pep primary_assembly:ASM411807v1:8:34289832:34293635:1 gene:Vigun08g171901.v1.2 transcript:Vigun08g171901.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFFTVLSGESISYIYFYGYGADLAVIIVHYYDFLSTHKNKDEFTPLKVLATRPSAFRSATKLSWWKQILYHCMLVEPVNPERQMKKILKKMEKKSNADQFTYPQNYTTLCEFIGGFKSLGALTAKDKFFTQKNKEDSENPPIKIVSEADGVGFLPPNYETSQQFLRSAYVHTLGLTGVGLKEIRNMKRRHEWSGQLLKKLLERPYEAFTGAGGVPDIPVDAEMYNVFNQLKPGFRVPGESSKLPWLNEDQEEEEEEEEEEEEEEEEEEEEEEEEEKEEEEEEKEEEEAKEKKSSSPSKKIDKTETAFLVAARNGIIEMVNELLDRIPSVIHNLNANKENVLLVAVMSRQPFVIENLKTKTKPEVWNNLTLAIDKNERTILHWAADAPEDDKPPKIAGSALQMMWDIKWFQYIKSLVPQHFYFRSDIKGKTAGEIFEEEHKDLIEKSSDWLKETSESCSVVAALVAGVSFATASSIPGGTDDEGRPHLEGKPAFDVFAVASLVGLCSSVTGLIMFLTILTSRKQAKDFRRDLPFKILLGLSSLFVSIAAMFVSFCSGHYFLLSHRYKTVLYPIYAATVFPVMFYAVAQFPLYFDLIIAIFSKVPWATGKGDKL >Vigun04g005200.1.v1.2 pep primary_assembly:ASM411807v1:4:346715:349845:-1 gene:Vigun04g005200.v1.2 transcript:Vigun04g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGVGLLSLLVALSTASHIRSETILRLPSEKAISSDYCDSWRLAVETNNAGEWKRVPANCVDFVAEYITGERYRRDCEVVGNLSLAFARSVRLVGGGRDAWVFDIDETLLSNVPYYEEIGFGFEIFNETSFDSWVHSAAAPALPANLILYNELKELGFSVFLLTGRSEHQRNATETNLLLSGYRNWERLILRGSSDKGKPAISYKSEKREEVENEGYLIHGSSGDQWSDLWGYAVAARSFKIPNPMYYIP >Vigun01g053500.2.v1.2 pep primary_assembly:ASM411807v1:1:9980494:9984914:-1 gene:Vigun01g053500.v1.2 transcript:Vigun01g053500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTFSLLHSAASASSSSRVQLSSSLRVRPLTRHLGFRAADPLLAANVAARVAAVRVGKGRRGVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKYLVENGAKVILSSHLGRPKGVTPKYSLAPLVPRISELLGFPIVKADDSIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNDPEHAKKLASLADLFVNDAFGTAHRAHASTEGVTKYLKPSVAGYLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLLAKAKEKGVSLLLPSDVVIADKFAPDANSKVVPASAIPDGWMGLDIGPDSVKSFSEALGTTKTIIWNGPMGVFEFDKFAVGTEAIAKKLADLSQQGVTTIIGGGDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVLALDEATPVPV >Vigun01g053500.1.v1.2 pep primary_assembly:ASM411807v1:1:9980730:9984948:-1 gene:Vigun01g053500.v1.2 transcript:Vigun01g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTFSLLHSAASASSSSRVQLSSSLRVRPLTRHLGFRAADPLLAANVAARVAAVRVGKGRRGVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKYLVENGAKVILSSHLGRPKGVTPKYSLAPLVPRISELLGFPIVKADDSIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNDPEHAKKLASLADLFVNDAFGTAHRAHASTEGVTKYLKPSVAGYLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLLAKAKEKGVSLLLPSDVVIADKFAPDANSKVVPASAIPDGWMGLDIGPDSVKSFSEALGTTKTIIWNGPMGVFEFDKFAVGTEAIAKKLADLSQQGVTTIIGGGDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVLALDEATPVPV >VigunL075800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:27484:29117:-1 gene:VigunL075800.v1.2 transcript:VigunL075800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVNNQPHITHYSYMKGLSFCPEGIILSQSIMPQLDKFTYFTQFFWSCLFLFTFYIPICNDGDGVLGISRILKLRNQLVSNRGNKIRSNDPKSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGKRRKITFLSCFGEISGSRGMERNILYLISKSSYGASSSNPGWVITSRNDIMLIHVPHGQGRIKKIREERS >Vigun01g008100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:967059:968079:1 gene:Vigun01g008100.v1.2 transcript:Vigun01g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFWVLLLVVLCSFHSCLSASTPTNFIKSSCSATQYPALCVESLSVYAAAIQQDPHQLVQTALSLALNRTESTKSFVAKCNKFRGLKPREYAALKDCAEEISDSVDRLSRSLKELKLCKVSGEDFAWHISNVETWVSSALTDESTCGDGFAGKALGGKIKDAIRGRMVNVAQVTSNALSLINQYAAKH >Vigun03g174300.1.v1.2 pep primary_assembly:ASM411807v1:3:21412853:21425712:-1 gene:Vigun03g174300.v1.2 transcript:Vigun03g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAEEAAYIEKLYEYGEQLNNAKDKSQNVQDYQGIIDAAKTSVKAKQLAAQLIPKFYKFFPDLSGPALDAHLDLVEAEELGVRVQAIRGLPLFCKDTPENIGKMVDILVQILGSEEFVERDAVHKALMSLLRQDVKASLTALFKHIGSVEEPSTDDVIREKVINFVRDKVFPIKAELLKPQEEMERHITDLIKKSLEDVTGIEFRMFMDFLKSLSLFGEKAPAERMKELIGIIEGQADLDAQFNVSDADHIDRLISCLHMALPFVVRGASSSKFLNYINKYIIPVFDQLPGERKVDLLRSLAEFSPYTTPQDSRQMLPSIVQLLKKYMTWKKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSEHYNDFTERLNNVEEFTRATIKKLTQGMDENNKSLAGAKTDEEKEKIKTKKQNATTGLRTCNNILTMTKPLRTKVPSFIGDKRINLSWKEATKTASSNAPAAGAKRPSNAANGSNNIASKKGRGGGGGLQNQLVNRALEGLSAGGRGGMRGRGRGWGGRGRGRGGGGYR >Vigun10g101801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29497351:29499828:1 gene:Vigun10g101801.v1.2 transcript:Vigun10g101801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILPHPEGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPKKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun07g193600.1.v1.2 pep primary_assembly:ASM411807v1:7:31281178:31290952:1 gene:Vigun07g193600.v1.2 transcript:Vigun07g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MYYWVSANSSDFAGTPPQRRSGHSAVNIGKSKVVVFGGLVDKKFLSDIAVYDIEAKQWFQPECTGSGSDEHVGPSPRAFHVAVSIDCHMFIFGGRFGSQRLGDFWVLDTDIWQWSELSGFGDLPSPRDFAAASAVGNRKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVSGTLPQPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENEAPGWTQLKLPGQAPSPRCGHTVTSGGHYLLMFGGHGTGGWLSRYDIYYNDCIILDRVSAQWKRLSIGSEPPPARAYHSMSVVGPRYLLIGGFDGKTTYGDPWWLVPQEDPIANRLTAAPPRNIAESKEFAFNDDFQPQLKESQTEKFPFSELQRRLQISVSSSSLEIVNEFEDKELLEVASRLAGENVSTNSLAILTIRKHWRNSEPNMVKLKELGPLLRDYQRLIYRHYLERNASVQKPGFGEHVLHQFYHVKNATQLRMDDIPKLLAEYKQLPV >Vigun03g390500.1.v1.2 pep primary_assembly:ASM411807v1:3:59684847:59689775:-1 gene:Vigun03g390500.v1.2 transcript:Vigun03g390500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKRPYTVPTLFFLALFLISVIVFFSTRTTLHTASPIHPDLYLRVQPVSPPPPPPPPPPPPPSSPATTQTLTVGYTDNVTDAESDVQPVTEVNETVADSVGYTANVTDAESDAQPVTEVNETVADSVGYTANVTDAESDAQPVTEVNETVGNSVGYTVNVTDAESDAQPVTEVKETVGDDAVLNVKECDLFTGTWVRDDNYPIYQPGSCPYVDEAYDCKANGRTDTLYTKWRWKPDACDLPRFNATDFLVRLKGKRLMLVGDSMNRNQFESILCVLREGLQNKSRMYEIHGHKITKGRGYFVFKFVDYNISVIFVRSHFLVKEGIRLNSQGSSNPTLSIDRIDKTSGRWKKADILVFNTGHWWTHGKTARGINYYKEGDYLYPKFDAVEAYKKAMETWGKWIDRNINPRKQIVYYRGYSNAHFRGGDWDSGGSCNGETEPAFNGSILNNYPLKMKIVEEVIQGMKFPVKLLNITKLTNFRKDGHPSVYGKVNLDGKKVSTRKQDCSHWCLPGVPDAWNELIYATLVFQQTKSRY >Vigun03g390500.2.v1.2 pep primary_assembly:ASM411807v1:3:59684847:59689775:-1 gene:Vigun03g390500.v1.2 transcript:Vigun03g390500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKRPYTVPTLFFLALFLISVIVFFSTRTTLHTASPIHPDLYLRVQPVSPPPPPPPPPPPPPSSPATTQTLTVGYTDNVTDAESDVQPVTEVNETVADSVGYTANVTDAESDAQPVTEVNETVADSVGYTANVTDAESDAQPVTEVNETVGNSVGYTVNVTDAESDAQPVTEVKETVGDDAVLNVKECDLFTGTWVRDDNYPIYQPGSCPYVDEAYDCKANGRTDTLYTKWRWKPDACDLPRFNATDFLVRLKGKRLMLVGDSMNRNQFESILCVLREGLQNKSRMYEIHGHKITKGRGYFVFKFVEGIRLNSQGSSNPTLSIDRIDKTSGRWKKADILVFNTGHWWTHGKTARGINYYKEGDYLYPKFDAVEAYKKAMETWGKWIDRNINPRKQIVYYRGYSNAHFRGGDWDSGGSCNGETEPAFNGSILNNYPLKMKIVEEVIQGMKFPVKLLNITKLTNFRKDGHPSVYGKVNLDGKKVSTRKQDCSHWCLPGVPDAWNELIYATLVFQQTKSRY >Vigun11g175500.1.v1.2 pep primary_assembly:ASM411807v1:11:38047496:38048870:-1 gene:Vigun11g175500.v1.2 transcript:Vigun11g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVRVASRSFANRSRSFVQSSTTPFVFSSSSVTRIPRASRVLSVVRSVESLMPLHSAIANARLTSNIAFDSSCWSLLSRGLEKTL >Vigun09g272900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43415200:43415911:-1 gene:Vigun09g272900.v1.2 transcript:Vigun09g272900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLFPKRRGPEWKSGGTMTNGSSLSGHLLTIFCIVIALLSFSRYKDYMAQLQSTAISFQFFLFFLPLLLIFFIVSYSTTAASFNFNAFRP >Vigun06g099500.1.v1.2 pep primary_assembly:ASM411807v1:6:22998426:23004003:-1 gene:Vigun06g099500.v1.2 transcript:Vigun06g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGEHSLNVSEALPLSDTKLPKSKRVASLDIFRGLTVALMILVDDAGGQWPMIGHAPWNGCNLADFVMPFFLFIVGMAIPLALKRIPNRVVAVKKVVVRTLKLLFWGLLLQGGFSHAPDNLTYGVDMKHIRWCGILQRIALAYLVVALVEIFSRSAEDRDPEPTQLSIFKLYYWHWLVGACILAVYLALLYGMYVPDWQFTVHNPDSIYNGTTFTVTCGVRGKLDPPCNVVGYIDREVLGINHMYKRPAWRRSEACTEKSPYEGPFKRSAPSWCYAPFEPEGLLSSISAILSTIIGLHFGHVLVHLQDHSSRLKHWILVGLTLLGSGLILHFTHAIPLNKQLYTLSYVCVTSGAAALVFSAFYIVVDIWGLTLLFLPLKWIGMNAMLVYVMAAEGIFAGFINGWYYDDPHNTLTYWIQKHVFINVWHSKRVGILLYVIFAEILFWAFVAGILHRLGIYWKL >Vigun06g099500.3.v1.2 pep primary_assembly:ASM411807v1:6:22998425:23004003:-1 gene:Vigun06g099500.v1.2 transcript:Vigun06g099500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGEHSLNVSEALPLSDTKLPKSKRVASLDIFRGLTVALMILVDDAGGQWPMIGHAPWNGCNLADFVMPFFLFIVGMAIPLALKRIPNRVVAVKKVVVRTLKLLFWGLLLQGGFSHAPDNLTYGVDMKHIRWCGILQRIALAYLVVALVEIFSRSAEDRDPEPTQLSIFKLYYWHWLVGACILAVYLALLYGMYVPDWQFTVHNPDSIYNGTTFTVTCGVRGKLDPPCNVVGYIDREVLGINHMYKRPAWRRSEACTEKSPYEGPFKRSAPSWCYAPFEPEGLLSSISAILSTIIGLHFGHVLVHLQDHSSRLKHWILVGLTLLGSGLILHFTHAIPLNKQLYTLSYVCVTSGAAALVFSAFYIVLKESSQDSSMGGTMMTLIIH >Vigun06g099500.2.v1.2 pep primary_assembly:ASM411807v1:6:22998426:23004003:-1 gene:Vigun06g099500.v1.2 transcript:Vigun06g099500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGEHSLNVSEALPLSDTKLPKSKRVASLDIFRGLTVALMILVDDAGGQWPMIGHAPWNGCNLADFVMPFFLFIVGMAIPLALKRIPNRVVAVKKVVVRTLKLLFWGLLLQGGFSHAPDNLTYGVDMKHIRWCGILQRIALAYLVVALVEIFSRSAEDRDPEPTQLSIFKLYYWHWLVGACILAVYLALLYGMYVPDWQFTVHNPDSIYNGTTFTVTCGVRGKLDPPCNVVGYIDREVLGINHMYKRPAWRRSEACTEKSPYEGPFKRSAPSWCYAPFEPEGLLSSISAILSTIIGLHFGHVLVHLQDHSSRLKHWILVGLTLLGSGLILHFTHAIPLNKQLYTLSYVCVTSGAAALVFSAFYIVVFYS >Vigun08g112700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27882139:27883007:-1 gene:Vigun08g112700.v1.2 transcript:Vigun08g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVYINYWPLFPLLQTLIYRYSISSRRFIHNSTQSIMIGSLYVAGSIKISSLSISNPSNPAIPCQTLVSSNKVTPTSVTMAKGLSFKISTSCQSHASFVVQRKKNNNGDNGFVVGCAKWGLEREVEDEMKTHEDEDKGRSGMARFRHKCGEREGIVELLECLEREAIMGEDVGKDPTDYNRRAKIFDRSSQVFQALKEHNTDVFPQESS >Vigun02g189100.1.v1.2 pep primary_assembly:ASM411807v1:2:32710675:32712219:-1 gene:Vigun02g189100.v1.2 transcript:Vigun02g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPSNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEILKENPNMCAYMTPSLDARQDIVVVEVPKLGKDAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSEGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRRKSTENKLKTTGEGLEWGVLFGFGPGLTIETVVLHSVEI >Vigun09g010800.1.v1.2 pep primary_assembly:ASM411807v1:9:825510:827944:-1 gene:Vigun09g010800.v1.2 transcript:Vigun09g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIGVKRDLKVAAEQLWSELDPFSDLLGFDTTAPAAAATSKRQPPFPVVSDKKVESCAKKKKSVGAGKKSERARKNVYRGIRQRPWGKWAAEIRDPHKGVRVWLGTFPTAEEAARAYDAAAIRIRGDKAKLNFPDDTPPPSKKRCLSPDLPQQSSSSSTAPPPSAVSYGGDSSGGEELDLKQLELFLGLENEQPLPNNGVVWDNGYIMDDLWMLDDVVVANRNVVY >Vigun11g154800.1.v1.2 pep primary_assembly:ASM411807v1:11:36415788:36420836:-1 gene:Vigun11g154800.v1.2 transcript:Vigun11g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENASKVIELVNEIASISDYRPPVKKQYCNLARRLKLLIPMFEEIRDMKDQIPKDTSKAVLAFKEALESAGELLRFGSEGSKLYLVLERDGIMNKFYEVTARLEQSLGGISYDKLDISDEVKEQVELVLAQFRRAKGRLDEPDVRLYEDMLSLYDNSTDAATDPSVLSRLAEKLQLMGIADLTQESLALHEMVVSSGGDPGARIEKIAMLLKKIKDYVQTENLVKDDNLGGKGIFAKVYGVSTNEKSHQAPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLQAGHGTCPKTQQTLTSTVLTPNYVLRSLIAQWCEANGIEPPKRPSSSQPSKSASAYSPAERSKIDGLLQKLTSGSPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSVPDSRTQEHAVTALLNLSIYENNKGSIVNSGAVPGIVHVLKKGSMEARENAAATLFSLSVIDENKVTIGSSGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVIPTLMRLLTEPSGGMVDEALAILAILASHPDGKATIRAAEAVPVLVEFIGNGSPRNKENAAAVLVHLCSGDQQYLAQAQELGVMGPLLELAQNGTDRGKRKALQLLERMGRLLEQQQEVPTQTETQAQNEETQPPLITNPDDS >Vigun03g154000.1.v1.2 pep primary_assembly:ASM411807v1:3:16326819:16330141:1 gene:Vigun03g154000.v1.2 transcript:Vigun03g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAPYSVGTSLPSLQRLPSLKPQICNNYSSPVTVSSHRHAKCALQLQPQPPPPPPPTATVAPDESLVKRRTVIGLLAFDAVLAYSSLNSSPAAETPPCEFQVAPSGLAFCDKLVGAGPQAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDEGIIGGDGVPPMLAGGKRTLKIPPELGYGSRGAGCRGGSCIIPPDSVLLFDVEFVSQA >Vigun03g315500.1.v1.2 pep primary_assembly:ASM411807v1:3:50945366:50949713:-1 gene:Vigun03g315500.v1.2 transcript:Vigun03g315500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRLFVIPAARPVLAKTICFMSSFSSRTLEPCEPSPSPARSEEESRNVRVWAWWDFKNCHVPPDFDASKVAPTIMEAVRANGIKGPLNITAFGDVQQLPRAHQEALAYTGVRFIHVSDEGRNSADILVDLMYWVSQNPPPAHIFLISGDRNFAGTLHRLRMSNYNILLATPEKTPTVLHSAATIAWMWDSLLNGESLTGKVLNHPPDGPLGSWYGSYKEPVTVADPATEEPVTVAAPQATSLPNVEVYGPSKITVPKSVLTKICNILSSHPKGMSIGNLRGELMKNHVRLDKNWYGHKKFSRFLLSLPQVDLRSTGDSSFSVHLVPVESMEPCKNSSLESTVSDATNEEKGCAEAPKVNCEGKSKVRDADGKLSIASVSERSMGDDLKSVQPGPSKGRPVEENELRKSAVDVGNAPQSETELPHEDSKDSKTKMDTLKKSCKKLSANNTARSKVAGMEKHTTKDNLSAGNDQSMVENNVMANYESGNFEAKNKCENRTSKEVDDVFPSLSPPIDDSEAAQKPVGSAETNKRSPTLFHWIRRWWPFGKNNEKYDDLTAHQREGVSHVEDSKLSELEQTVSQTEEAKSSELDHTVSQSEEPKLSELNQNGSHSGKPELFSSVSFWNDMESFMFAPKGSFLFSQSRSREDVAQRLQNGGPLLLRSLPKKDILQLVEMLIADKKWLEERPSQALPFKLTQPVHKNLSVDQSHGGNGLRSIFLRRSSQSLEHDVGKHNQSVPHTRISAAAVETKRIERSRNDILDDCQKLVNEIVREHPEGYNIGCFRRLFVDKYGYHLDIQKLGYQKLASLLQIMPGVKFESTYMFPSIPAACDSDSDTILKTKAIADSHTVSNSDSELSESTLEDNDMESALEELGPVPIKNLDKSGLSLKSSQKAIELDISKSKHPGYELVLSDDDTSASEGDHSYLTQSEDQRKSICNERDSSFWQTMDLWHSCKEGENDVTKSDNVDSLNVSLTHILNSSRDPTTAFPSKTSSNYTDKQRAHKNYNFVLDTVLPDKDESKKTDESKMQKQSNSCCK >Vigun10g109100.13.v1.2 pep primary_assembly:ASM411807v1:10:30837253:30838415:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.9.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839505:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.8.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839450:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.10.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839504:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.15.v1.2 pep primary_assembly:ASM411807v1:10:30837253:30838415:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.12.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839504:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.14.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839504:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.6.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839450:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.1.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839450:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.7.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839450:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.16.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30837954:30838415:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVIVDLFLRILSLYVLRRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.11.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839504:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun10g109100.4.v1.2 pep primary_assembly:ASM411807v1:10:30835590:30839504:1 gene:Vigun10g109100.v1.2 transcript:Vigun10g109100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGCVTSAKLKSLDYNCSRDDLDEFFYKTTFGQKQCSPCFRFAQPCLIVNFKRMTWSVRNSLNDSSVSSSTSNGSNGRTRIIRVIQEFQSKLGSKIQEVKKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAVVEVIGALMYGASLPLVSMNRGLFVLLNYWKAGLTLGLFLDSFKY >Vigun07g231600.1.v1.2 pep primary_assembly:ASM411807v1:7:35339720:35344368:1 gene:Vigun07g231600.v1.2 transcript:Vigun07g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGFHRQHGRHAGGSGMKGMIVKLSLAAVVLLICTLSLFFSSTGTSNVQSSYRSEIHVEELWSNADSGGWRPSSAPRTHWPPPPNESNGYLRVRCNGGLNQQRSAISNAVLAARIMNATLVLPELDANSFWHDDSGFYGIYDVEHFIKTLRYDVKIVESIPENQKNGKKKKIKAFQIRPPRDAPISWYTNDALKKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSQSIVDKLRTQGPFMSIHLRFEMDMLAFAGCFDIFTPQEQKILKKYRQENFAPKRLVYNERRAIGKCPLTPEEVGLILRALGFDNSTRIYLAAGELFGGERFMKPFRSLFPRLENHSSVEHSEELAENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKSLAPIFTDRENGRTAGFDEAVRKVMLRTNFGEPHKRVSPESFYTNSWPECFCQTSAKNPADKCPPNDILNIMNDELEKTETHANSTIS >Vigun04g075200.2.v1.2 pep primary_assembly:ASM411807v1:4:9210879:9214533:-1 gene:Vigun04g075200.v1.2 transcript:Vigun04g075200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPVVDFQKLSEGEEWKKLKEACEKCGCFRVINHPIPETLMKEMKSVVKFVHDLPLEIKLRNKSIIPESGYVPSFAASPLYEGMGIYDMHESPQALEDFFSQLDLPPYHRQIVKTYGQAIHDLASTISQKMAKSLGVVGVNFKDWPFILRTIKYNFSPEYIGQTGAELHSDTGFITLLQDDETISGLELVDDSGLFNAVPPKSGSFLCIIGDVGHVWSNGKFWNVRHRVVCKETGTRYSCGAFMLAPRDGTVEAPRKLVELDNCRRYRPFKYEDLREFRISTGKKIGEVLDQYRIA >Vigun04g075200.1.v1.2 pep primary_assembly:ASM411807v1:4:9210879:9214533:-1 gene:Vigun04g075200.v1.2 transcript:Vigun04g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPVVDFQKLSEGEEWKKLKEACEKCGCFRVINHPIPETLMKEMKSVVKFVHDLPLEIKLRNKSIIPESGYVPSFAASPLYEGMGIYDMHESPQALEDFFSQLDLPPYHRQIVKTYGQAIHDLASTISQKMAKSLGVVGVNFKDWPFILRTIKYNFSPEYIGQTGAELHSDTGFITLLQDDETISGLELVDDSGLFNAVPPKSGSFLCIIGDVGHVWSNGKFWNVRHRVVCKETGTRYSCGAFMLAPRDGTVEAPRKLVELDNCRRYRPFKYEDLREFRISTGKKIGEVLDQYRIA >Vigun05g038800.1.v1.2 pep primary_assembly:ASM411807v1:5:3109105:3112792:-1 gene:Vigun05g038800.v1.2 transcript:Vigun05g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACIITVSQDGTADFLTVQEAIDAVPLGNIRRTVIRVSPGIYRQPVYIPKTKNFITLAALSPEDTVLTWNNTVAGINHHQPARIIGTGTFGCGSTIVEGEDFIAENITFENSAPQGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHVHCKSEGFITAQSRKSSQETTGYVFLRCTITGNGGKSYAYLGRPWGPFGRVVFAYTYMDQCIRHVGWDNWGNMEHERSACFYEYRCFGPGCCPSKRVTWCRELLDEEAMQFVTHPFIDPEPEKPWLAQRMALRIPYSA >Vigun07g200400.1.v1.2 pep primary_assembly:ASM411807v1:7:32100111:32101406:-1 gene:Vigun07g200400.v1.2 transcript:Vigun07g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRKSTAATQPGSRRSTNSDRNMTNSPRPHRTQTLTSSSSNYDTSTSEVPVTESRSYVFENNNKWSKTSSISSRASLSSLKDTLPESPNIYHFSEISAATANFSAPRLSSSSWRCLIRHRDVVVSRRNFRRQIDLPELSRRLAIICRSHHSSLVKLLGASVSGAVIFLVYEFVPGASLSDCLRNRRNPSYTDLVTWTSRMQIASDIAHGLDYIHNFSGSDSGSGSGSGFVHNHIKSSSIVITEDNLRAKICHFGLSDLCGEAVAGDRGESGERRVRIEGTRGYMAPEFQVSGVATKKTDVYAFGVVVLELLSGEEAVKFEFNDDGSYQRTSVVDTARVAAGEYGGVRKWVDRRLRDSFPLSVAERMIRVGLDCVGNDPNERPDMGRVSIEVSKLYLESKDWDQKMGTDIDLTVSLAPR >Vigun03g446600.1.v1.2 pep primary_assembly:ASM411807v1:3:64800073:64805545:-1 gene:Vigun03g446600.v1.2 transcript:Vigun03g446600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLHFNCKTPFANVKTSFGAGLLFPITVQRNQSPKGKLKNGWHSISINASADFSSRKEKKIFIAMPKGHDNDSKDFVLIPSTGTSNLGGENEKAYIFNKKDIVEASKGEVEYLDEKLVDYPKIITIIEESNVTGSDYKVNREVVIGKIDYHVDDILNDEVTQLLKLQLEERFHRQEIERIAEENVSLENKLFIYPPVVTPDQEIEVFLNKSLSTLCIESDIFIKGGFNDWRWKPFTERLNKSDLLGGDWWSCRLHVPKEAYRVDFVLFNGKSVYDNNDEKDFSIHVDGGITAFEFEDFLLEEKRIELEKLATEQAEKEEQEKIARKIEAEKAAREEDRSLAMAKVESKKKLLQKLTKNAVRSVKNLWYIEPIKFGGKSLVRLYYNRKSGPLAKVQEVWLHGGYNDWMDKLSFVKRLVKIGPKDGDWWCADVVVPEQAIVIDWVFANGPPRNASVYDNNNGKDFRALVNKSIPDEKTWIWEQERTYIKLQEQRRLREEALAVKVEKTAIMKAETKNKTLQRFLHSQKHVVFTQPLRVEAGSTITVLYNPCNTILNGKPEVWFTHSFNRWTHRNGLFPPQKMLSSNNETYVKTSVKVPLDAYMIDFVFSDKEDGGVFDNNNTMDYHIPVSGSIVKETTMHIVHISVEMAPIAKVGGLGDVVTSLSRAIEELDHHVDIILPKYDCLNLSNVKDFRYHRNYFWNGTEIKVWHGKVEGLSVYFLEPHNDFFRVGRIYGCENDAERFGFFCHAALEYLFQDGFQPDIIHCHDWSSAPVTWLCKEQYRHCNLSKAGVVFTIHNLEFGAHLIGKAMAYTNKATTVSPTYSREVCENPAIGPHFDKFHGILNGIDPDIWDPFNDKFLPISYTSENVVEGKKAAKEALQDRLGLKRVDRPLLGVISRLTHQKGIHLIKHAIHRTLERGGQVVLLGSAPDPCIQKDFENLANELHSVHHDRVRLCLVYDEPLSHLIYAGADFVAVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVYDVDHDKDRAHAQDLEPNGFSFDGSDATGVDYALNRAISRWYEDRNWFDNLCKTVMEQDWSWNRPALDYLELYHVARKAA >Vigun05g147700.1.v1.2 pep primary_assembly:ASM411807v1:5:21177051:21177840:-1 gene:Vigun05g147700.v1.2 transcript:Vigun05g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFFAFLLFASLFFLLTSTLARELKEGTFQTGHPNNPAVNCTRGHRYASCLPKSTPPSPTCSSYSRNC >Vigun07g049000.1.v1.2 pep primary_assembly:ASM411807v1:7:5063962:5070159:1 gene:Vigun07g049000.v1.2 transcript:Vigun07g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLRTLQLPPLSPKIPTTNPHSFSLHCFRSGFRFGFHSSVSCGCARQTSPVRFSHQASLQEEGKPVSLYLQPVSSETHFDLLLDQAQRLHQAVVVVWMANWCRKCIYLKPKLEKLAAEYYPRLQFYTVDVNTVSHKLVARAGVTKMPTIQLWKDGKKQAEVIGGHNKAHIVVSEVQEMIENE >Vigun07g049000.3.v1.2 pep primary_assembly:ASM411807v1:7:5063917:5070227:1 gene:Vigun07g049000.v1.2 transcript:Vigun07g049000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLRTLQLPPLSPKIPTTNPHSFSLHCFRSGFRFGFHSSVSCGCARQTSPVRFSHQASLQEEGKPVSLYLQPVSSETHFDLLLDQAQRLHQAVVVVWMANWCRKCIYLKPKLEKLAAEYYPRLQFYTVDVNTVSHKLVARAGVTKMPTIQLWKDGKKQAEVIGGHNKAHIVDCSCEDPVQM >Vigun07g049000.4.v1.2 pep primary_assembly:ASM411807v1:7:5063961:5070160:1 gene:Vigun07g049000.v1.2 transcript:Vigun07g049000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLRTLQLPPLSPKIPTTNPHSFSLHCFRSGFRFGFHSSVSCGCARQTSPVRFSHQASLQEEGKPVSLYLQPVSSETHFDLLLDQAQRLHQAVVVVWMANWCRKCIYLKPKLEKLAAEYYPRLQFYTVDVNTVSHKLVARAENANHTTLEGRQETS >Vigun07g049000.5.v1.2 pep primary_assembly:ASM411807v1:7:5063962:5070159:1 gene:Vigun07g049000.v1.2 transcript:Vigun07g049000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLRTLQLPPLSPKIPTTNPHSFSLHCFRSGFRFGFHSSVSCGCARQTSPVRFSHQASLQEEGKPVSLYLQPVSSETHFDLLLDQAQRLHQAVVVVWMANWCRKCIYLKPKLEKLAAEYYPRLQFYTVDVNTVSHKLVARAGVTKMPTIQLWKDGKKQAEVIGGHNKAHIVVSEVQEMIENE >Vigun07g049000.2.v1.2 pep primary_assembly:ASM411807v1:7:5063917:5070227:1 gene:Vigun07g049000.v1.2 transcript:Vigun07g049000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLRTLQLPPLSPKIPTTNPHSFSLHCFRSGFRFGFHSSVSCGCARQTSPVRFSHQASLQEEGKPVSLYLQPVSSETHFDLLLDQAQRLHQAVVVVWMANWCRKCIYLKPKLEKLAAEYYPRLQFYTVDVNTVSHKLVARAENANHTTLEGRQETS >Vigun08g179500.1.v1.2 pep primary_assembly:ASM411807v1:8:34935058:34941512:1 gene:Vigun08g179500.v1.2 transcript:Vigun08g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLANSFSVLELDAGDSHVPTTAGNSDKGVNPDIGVIPVKNKKQDQENAVFPSCEYKLPLVWIDLEMTGLNIEVDRILEIACIITDGYLTKTVEGPDLVIHQTKECLDRMGEWCQNHHASSGLTKKVLQSTISEGEAEKQVIEFVRRHIKVDSYTPLLAGNSIYVDFQFLKKYMPKLASLFSHVLVDVSSVKALCIRWYPKDQKRAPSKENRHRAMDDIRESIEELRYYKANIFKPKSRK >Vigun03g060100.2.v1.2 pep primary_assembly:ASM411807v1:3:4930729:4934275:1 gene:Vigun03g060100.v1.2 transcript:Vigun03g060100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENDSGRTKEEVSDDGSSTVENGDLESHARISLDKEGLETCRVCQCTESDKRADAALEFLGITPGSELCKSKGEVGCDGNGIPRNMSLNRNIEKNTGMVEFVSPDGEVFICKSDLELGLSHQDKLVELGCSCKNDLALVHYACALKWFVNHGSTICEICGHIANNIRVSDFNKVVGALKEYEVLRERTASGDPGPAQARANAGVDPDAVAAIRRQRLIEIALWFCPHHSSTNNNVSNVDTVSQVASEQPLSIVTEDAVPVQNTATKWAVEGTGILLATGLLTITLAWLIAPRVGKKTARSGLHILLGGVCALAVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >Vigun03g060100.3.v1.2 pep primary_assembly:ASM411807v1:3:4930708:4934275:1 gene:Vigun03g060100.v1.2 transcript:Vigun03g060100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENDSGRTKEEVSDDGSSTVENGDLESHARISLDKEGLETCRVCQCTESDKRADAALEFLGITPGSELCKSKGEVGCDGNGIPRNMSLNRNIEKNTGMVEFVSPDGEVFICKSDLELGLSHQDKLVELGCSCKNDLALVHYACALKWFVNHGSTICEICGHIANNIRVSDFNKVVGALKEYEVLRERTASGDPGPAQARANAGVDPDAVAAIRRQRLIEIALWFCPHHSSTNNNVSNVDTVSQVASEQPLSIVTEDAVPVQNTATKWAVEGTGILLATGLLTITLAWLIAPRVGKKTARSGLHILLGGVCALAVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >Vigun03g060100.1.v1.2 pep primary_assembly:ASM411807v1:3:4930306:4934276:1 gene:Vigun03g060100.v1.2 transcript:Vigun03g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENDSGRTKEEVSDDGSSTVENGDLESHARISLDKEGLETCRVCQCTESDKRADAALEFLGITPGSELCKSKGEVGCDGNGIPRNMSLNRNIEKNTGMVEFVSPDGEVFICKSDLELGLSHQDKLVELGCSCKNDLALVHYACALKWFVNHGSTICEICGHIANNIRVSDFNKVVGALKEYEVLRERTASGDPGPAQARANAGVDPDAVAAIRRQRLIEIALWFCPHHSSTNNNVSNVDTVSQVASEQPLSIVTEDAVPVQNTATKWAVEGTGILLATGLLTITLAWLIAPRVGKKTARSGLHILLGGVCALAVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >Vigun03g286500.4.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGNATNILWHDCPIQKQDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun03g286500.3.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGNATNILWHDCPIQKQDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun03g286500.6.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGNATNILWHDCPIQKQDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun03g286500.2.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITAKAPPPPCASGFFRKIESGPSPVAETLGLAKLRGINVAGLYRSRRSFVSHARSKPTKAKENAGASLIDDWLKPIKAKEDSDTEERAAAFPGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun03g286500.1.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITAKAPPPPCASGFFRKIESGPSPVAETLGLAKLRGINVAGLYRSRRSFVSHARSKPTKAKENAGASLIDDWLKPIKAKEDSDTEERAAAFPGKNLPQMSNVGNATNILWHDCPIQKQDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun03g286500.5.v1.2 pep primary_assembly:ASM411807v1:3:46863247:46867827:1 gene:Vigun03g286500.v1.2 transcript:Vigun03g286500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGNATNILWHDCPIQKQDRQQLLQQKGCVIWLTGLSGSGKSTIACALSRSLHSRGKLSYILDGDNIRHGLNHDLSFKAEDRSENIRRIGEVAKLFADAGVICITSLISPYQKDRDACRALLPKGDFIEVFIDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCSCEIVLQQKGNGCKSPSDMAEIVISYLEENGYLRA >Vigun08g074633.1.v1.2 pep primary_assembly:ASM411807v1:8:12883369:12885383:-1 gene:Vigun08g074633.v1.2 transcript:Vigun08g074633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMLHCAHCPAINRLGRYILVLIVDKSASRIHSDRLGTSSAQPSSNSTSSDEESLSDIPLWSRYEWYKWSSSIRKFAEAYVILDEDSLDEAVSLDRVGRVDNACHGREGYSDDLHVRLPFDEFTVGVLRILNVAPLQLHPNAWAALQAFRFLCRILGLKPSPTVFLHHYSTRPKEPVRWLSLFGQPRIGLLAPYSSSFKNYKNTFFRVVLNPVGRSYFFDGDTPKFPFYWTRNPLHYDEWPRTMMSAEDCEVLNLLDSLPRRLPTKRIVAILSSPRPRGDMLALMASHEGVGAGQKSRFHLLREKLNERKKGGDNAPGTSSAIQPKGAIDVGSPRPPPAAEKKKRKTAQKDGGSSRPPSPKRSRLSGDAFYQRLMGAEMQIYNGMSITISQEEANLITESPLPTLMKAFTEFQSRALVIGRHMGHELIKVGQTEDLEVEVASLKKQLRVANVEKEKLAGEVSDFQKHLQQAIGDKKSWRNRCLEAEEKLKKSSEEASALKRSLDKMKIAHVELDKEVWNLREGVMEKHELGFRKALRQTALLFDIPADDDHFDVGKDVYQKALVRIEDIPVISDQAEDTPPTPTVEDTERSREGNVDGAGDRD >Vigun10g184700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40119877:40120791:1 gene:Vigun10g184700.v1.2 transcript:Vigun10g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKHLGPLNHFVLPRFSSLSVLLVLYTMNLENCHCLSTISNSSWQSYERIGYDPIVCVNEFVTRLKMGSWKALWRKIKRERRRFFRPSPVFHVQYDPTSYLHNFDDGYSTDPDNVSRSFSARFAAPSKILAKIQVMGDEELQINHKSIMM >Vigun06g155200.1.v1.2 pep primary_assembly:ASM411807v1:6:27885296:27887616:-1 gene:Vigun06g155200.v1.2 transcript:Vigun06g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSKSGSLSYSTSTTLTYTYHYDHTPPPRVNSTPQPSPFPSPSPSAAAATIQSAYRAHRIRALYRKIAAVDSEADRLQRLIQRQDTVDAVRTDHLEKLRMNEALMALLLKLDSVPGIDPTIRDARRKVTRRIVGLQEILDSVSESQIDESGWWSMKNWDEVLADMEESICRERGGDEMERFCAQNLGFRCLQSWALKCHPTSSEPCSVGLACRMDRHFSSGLQGSLCNSFHLWVGNS >Vigun06g155200.2.v1.2 pep primary_assembly:ASM411807v1:6:27885296:27887616:-1 gene:Vigun06g155200.v1.2 transcript:Vigun06g155200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSKSGSLSYSTSTTLTYTYHYDHTPPPRVNSTPQPSPFPSPSPSAAAATIQSAYRAHRIRALYRKIAAVDSEADRLQRLIQRQDTVDAVRTDHLEKLRMNEALMALLLKLDSVPGIDPTIRDARRKVTRRIVGLQEILDSVSESQIDESGWWSMKNWDEVLADMEESICRERGGDEMERFCAQNLGFRCLQSWALKCHPTSSEPCSVGLACRMDRHFSSGLQGSLCNSFHLWVGNS >Vigun06g155200.3.v1.2 pep primary_assembly:ASM411807v1:6:27884310:27887616:-1 gene:Vigun06g155200.v1.2 transcript:Vigun06g155200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSKSGSLSYSTSTTLTYTYHYDHTPPPRVNSTPQPSPFPSPSPSAAAATIQSAYRAHRIRALYRKIAAVDSEADRLQRLIQRQDTVDAVRTDHLEKLRMNEALMALLLKLDSVPGIDPTIRDARRKVTRRIVGLQEILDSVSESQIDESGWWSMKNWDEVLADMEESICRERGGDEMERFCAQNLGFRCLQRGGLRLHQPLATVEMR >Vigun08g077550.1.v1.2 pep primary_assembly:ASM411807v1:8:14723850:14724808:-1 gene:Vigun08g077550.v1.2 transcript:Vigun08g077550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRGGGGGGCGGVGRCSGGGYGGGGGGGCGGGCGCGSGGGGVGHSGCCGGGCDGGGGRRQRGCQICSVGSHGGGGGYGGVPGHGVGDGGSRGGGGGGCDGGCDSGFDARGGSRGGGGSGGGDGPVCCHGGGRGSGSDDGCGGGGGGRARGGGGVAGRNGDGCGGGGRGGGGGGSGDCPGGGRGGGGGGSGDCPGGCHAGSRGGGGGASHGGGRGDGGGDCCGCGGGGGWVVVVVVVLVAVVVVVVVVMVVVVVVVVVVVVPELVVVVVVVVLVMMVVVVVVVVVVVMVVNIF >Vigun09g157500.1.v1.2 pep primary_assembly:ASM411807v1:9:32351834:32358644:-1 gene:Vigun09g157500.v1.2 transcript:Vigun09g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVCWPYFDPEYENFSNRMNPPRVSVDNESCHDCTLIKVDSVNKPGILLEVVQILTDLDFIITKAYISSDGGWFMDVFHVTDQQGRKITDSKTIDFIEKALGPKSQSTEGVKFWPSKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVFAAEVWTHNRRIACVLYVNDATNRAVDEPNRLSLMEEQLNNILRGCDGEKVARTSFSMGFTHMDRRLHQMLFADRDYESYRVAPDVNCPLSFRPKITIERCEEKGYSVVTVKCKDRAKLMFDIVCTLTDMQYVVFHATVSSDGPYASQEYFIRHMDGCTLDTEGEKERVIQCIEAGIRRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGVSTRGEQALNVFYVRDASGNPVDMKTMEALGKEIGKTMMVDVKRVPTNATVPETRGWAKTSFFFGNLLERFLT >Vigun03g191900.2.v1.2 pep primary_assembly:ASM411807v1:3:26636980:26639594:1 gene:Vigun03g191900.v1.2 transcript:Vigun03g191900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKAHEILAEAQHDHVAFLVVGDPFGATTHTDLVVRAKKMGIEVKIVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRLMGLHTLCLLDIRVKEPTLESLCRGKKAYEPPRYMTINTAIEQLLEIVQDREECAYTEDTECVGLARLGSEDQMIVAGTMKQLQLIDFGAPLHCLVIAGNTHPVEEEMLDFYRCRT >Vigun03g191900.1.v1.2 pep primary_assembly:ASM411807v1:3:26636980:26639594:1 gene:Vigun03g191900.v1.2 transcript:Vigun03g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEQDITLKGLEAVKKCHKVYMEAYTSLLSFGLSTNGISNLEKLYGKPIILADREMVEEKAHEILAEAQHDHVAFLVVGDPFGATTHTDLVVRAKKMGIEVKIVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRLMGLHTLCLLDIRVKEPTLESLCRGKKAYEPPRYMTINTAIEQLLEIVQDREECAYTEDTECVGLARLGSEDQMIVAGTMKQLQLIDFGAPLHCLVIAGNTHPVEEEMLDFYRCRT >Vigun03g191900.3.v1.2 pep primary_assembly:ASM411807v1:3:26637348:26639594:1 gene:Vigun03g191900.v1.2 transcript:Vigun03g191900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKAHEILAEAQHDHVAFLVVGDPFGATTHTDLVVRAKKMGIEVKIVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRLMGLHTLCLLDIRVKEPTLESLCRGKKAYEPPRYMTINTAIEQLLEIVQDREECAYTEDTECVGLARLGSEDQMIVAGTMKQLQLIDFGAPLHCLVIAGNTHPVEEEMLDFYRCRT >Vigun06g041700.2.v1.2 pep primary_assembly:ASM411807v1:6:16324889:16333234:1 gene:Vigun06g041700.v1.2 transcript:Vigun06g041700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQDLKAFAFKTKSVLSQLERKVQLARQQESVFWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEFVSRLVDPTFHHIALLTDNVLAASVVVTSAVETSINPEKLVFHIVTDKKTYAPMHAWFATNSVKSAVVEVKGLHQYDWSEEVNTGVKEMLETNHLIWKQYYNKEKDLRYTQEHSTYLEALRPSSVSLMNQLRMYTPELFPDLRKIVFLDDDVVIQHDISSLWELDLNGKVIGSVFKSWCGDSCCPGSKYMNYLNFSHPQVSSKLHADHCAWLYGMNIFDLEAWRRTNITETYRHWLKLNLESGTTMWNPGVLPPALIAFEGQVHPINSSMLVSELGYRHQSEEISREKLEAAPIIHFSGPAKPWLEIGFPEVRSLWSRRVNISNKFIRRCRITG >Vigun06g041700.1.v1.2 pep primary_assembly:ASM411807v1:6:16324889:16333234:1 gene:Vigun06g041700.v1.2 transcript:Vigun06g041700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYISTKGIRRVTISNEDGKGSAKTTTTGTGAGSGRRISARTVFPVVVVLGIVLPFLFVRIAILMLESAAVCSSLECAGLRFFNGVDTSLELRDELIRALVEANDGDVNEGAGSFNELVKEMTSNQDLKAFAFKTKSVLSQLERKVQLARQQESVFWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEFVSRLVDPTFHHIALLTDNVLAASVVVTSAVETSINPEKLVFHIVTDKKTYAPMHAWFATNSVKSAVVEVKGLHQYDWSEEVNTGVKEMLETNHLIWKQYYNKEKDLRYTQEHSTYLEALRPSSVSLMNQLRMYTPELFPDLRKIVFLDDDVVIQHDISSLWELDLNGKVIGSVFKSWCGDSCCPGSKYMNYLNFSHPQVSSKLHADHCAWLYGMNIFDLEAWRRTNITETYRHWLKLNLESGTTMWNPGVLPPALIAFEGQVHPINSSMLVSELGYRHQSEEISREKLEAAPIIHFSGPAKPWLEIGFPEVRSLWSRRVNISNKFIRRCRITG >Vigun06g141300.1.v1.2 pep primary_assembly:ASM411807v1:6:26689798:26692324:-1 gene:Vigun06g141300.v1.2 transcript:Vigun06g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFHGTVTALCCVVVVLGVFPLSLDAQAQQLDPSFYRNTCPRVHSIVREVVRNVSKKDPRMLASLIRLHFHDCFVQGCDASVLLNNTATIVSEQQALPNNNSLRGLDVVNDIKTAVENACPGVVSCADILTLASEISSVLGGGPDWKVPLGRRDSLTANRTLANENLPAPFFNLTRLKDAFRKQGLDTTDLVALSGAHTFGRAHCSFILDRLYNFSGTGRPDPTLDTTYLQQLRSQCPQGGPNNLVNFDPTTPDTIDKAYYSNLVVKKGLLQSDQELFSTPGADTIPIVTRFNSDQKVFFDAFEASMIKMGNIGVLTGKQGEIRKHCNFVNTKSVELDTVVSGESSEDGLVSSF >Vigun08g065700.1.v1.2 pep primary_assembly:ASM411807v1:8:9594577:9604653:1 gene:Vigun08g065700.v1.2 transcript:Vigun08g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQKASEWSGVATDDAFAIDNTNLFHKLGLQTFINLSTNFYDRVYAEEEEWFRSIFANSKKEDAIQNQYEFFVQRMGGPPLFSQRRGHPALIGRHRPFPVTHQAAERWLHHMQQALDSTPDIDDDSKTKMMNFFRHTAYFLVAGDELKNQNQQIPCKHAAKRDDS >Vigun03g058800.1.v1.2 pep primary_assembly:ASM411807v1:3:4809251:4812668:1 gene:Vigun03g058800.v1.2 transcript:Vigun03g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVSSSAVAYEAWTSLAVPDWLNKGDNAWQMVSATLVGIQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVTWAYKMSFGEKLLPFWGKAGPALGQRFLIKQAGLPATPHHFSNGALETAEIEPFYPMATMVWFQCVFAAIALVILAGSVLARMNFKAWMMFVPLWLTFSYTIGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSKRDRERFPPNNVLLMLAGAGLLWLGWAGFNGGDPYAANTDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGVLSGSVPWFSMMVLGKKLKIFQMVDDTLAVFHTHAVAGLLGGILTGLFAEPNLSTLFLPVTNSKGGIYGGPGGVQILKQIVGALFIIGWNLVATSIICVFINFIVPLRMTEEELLIGDDAVHGEEAYALWGDGEKLSIYKDDTTHHGIIVSSGATQVV >Vigun11g124400.1.v1.2 pep primary_assembly:ASM411807v1:11:33162368:33163624:-1 gene:Vigun11g124400.v1.2 transcript:Vigun11g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSAAATVRRLEGKVAIITGSASGIGEATARLFSKHGAHVVITDIQDDVGLSVCNELESAIYVHCDVTKEEDVENCVNIAVSKYGKLDIMVNNAGECDEFNRSIVENTKSEFERVINVNLVGPFLGTKHAARVMIPGKRGCIINTASVAGCIGGGATHAYTSSKHALVGLTKNTAVELGQFGIRVNCVSPYLVATPLLKKISILMKKEFVRLIPT >Vigun11g124400.2.v1.2 pep primary_assembly:ASM411807v1:11:33162368:33165299:-1 gene:Vigun11g124400.v1.2 transcript:Vigun11g124400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSAAATVRRLEGKVAIITGSASGIGEATARLFSKHGAHVVITDIQDDVGLSVCNELESAIYVHCDVTKEEDVENCVNIAVSKYGKLDIMVNNAGECDEFNRSIVENTKSEFERVINVNLVGPFLGTKHAARVMIPGKRGCIINTASVAGCIGGGATHAYTSSKHALVGLTKNTAVELGQFGIRVNCVSPYLVATPLLKKISILMKKEFVRLIPT >Vigun03g202280.1.v1.2 pep primary_assembly:ASM411807v1:3:31773177:31774214:1 gene:Vigun03g202280.v1.2 transcript:Vigun03g202280.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDRGSLLQAPPNLYKVLLTFSQPPVAYVKVGFGGSLISTPPVLIRSGLWSSVSSLLANKISEIDRPAGWVGLAIPFYELAVCASHLFLGSTGQIQYDHLGLRCHDIVLWKRAGCYKFSPVFFGWCRKGGIDLLTHIQSYRHGPTDLFSTRASSSATWFYLTKPCARPRREFALPNSLFDNKAETPDPTFISFE >Vigun05g217000.1.v1.2 pep primary_assembly:ASM411807v1:5:40868009:40871998:-1 gene:Vigun05g217000.v1.2 transcript:Vigun05g217000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNKGSMHSNLDCFVRCTTPVVQSQFLPKSEITSLNRLWHPWERESVEYFTLGDLWNCYDEWSAYGAGVPISLTSGETLVQYYVPYLSAVQIFTSNSFREETESGDCETRDSYSDSFSDESECDKLWRWDGTSSEEGGGSEQDSLWHFNDRLGHLYCQYFERATPYGRVPLMDKITGLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQGMDLDDDIEGGHEKKKEGEGIALPAFGLATYKMQGGNVWVAGNCGRDQERLLSLLSVADSWLKQLRVQHHDFNHFMGIRHGG >Vigun03g303600.1.v1.2 pep primary_assembly:ASM411807v1:3:49363284:49366604:1 gene:Vigun03g303600.v1.2 transcript:Vigun03g303600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLNIEPLELKFPFELKKQISCSLQLSNKTDAYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVMVTMQAQKEAPPDMQCKDKFLLQSVKTVDGTSPKDITPEIFNKEAGHVVEESKLRVLYVSPPQPPSPVPEGSEEGSSPRGSVSENGNANGSEFTQVARGFTERPEAQDKSAEARALISRLTEEKNNALEQNSKLRQELDLLKREGNKSRGGVSYVIVVLIGLLGIIMGYLLKKT >Vigun05g247200.2.v1.2 pep primary_assembly:ASM411807v1:5:44053226:44053552:1 gene:Vigun05g247200.v1.2 transcript:Vigun05g247200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLNRRSNLLCTVYVMDPPPTPPTTPLLRPAPTGGGFLDTCLWFLCCCGLFRCCFPPLFESGPPPL >Vigun04g109200.1.v1.2 pep primary_assembly:ASM411807v1:4:26828929:26830548:-1 gene:Vigun04g109200.v1.2 transcript:Vigun04g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLWTCLILLSLLASASFAANPRTPIDVPFGRNYVPTWAFDHIKYLNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMYIKLVPGDSAGTVTAFYLSSTNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRVYLWFDPTTQYHRYSVLWNMYQIVFYVDDYPIRVFKNSNDLGVKFPFNQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNAKFCDTQGKRWWDQPEFRDLDAAQWQKLAWVRNKYTIYNYCTDRKRYPQVPPECTRDRDI >Vigun06g131500.1.v1.2 pep primary_assembly:ASM411807v1:6:25778773:25782140:1 gene:Vigun06g131500.v1.2 transcript:Vigun06g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSVKNKNIEVDLESGLPLIGDDSKKASAPSGAKQGKTLFAKVSGGFVGGSFKDDVVPNLFRYESHFSEVSGDLMKATGNPLMAKDLVTSKQLMAKDSEKRKKTCNKKAPKPPRSPQAPSLDAADLKLIREISELAMLKRARIERMKALKKMKIAKSSSGPSSSSSTSSFLAMVFTVVFFVVIIFQGVPSRTSSVASFQGSPVSTGESEGGLISVQYQLNPSASESNVTASESHNFVQQVAGSDLPEKSRRVSG >Vigun06g131500.4.v1.2 pep primary_assembly:ASM411807v1:6:25779317:25782140:1 gene:Vigun06g131500.v1.2 transcript:Vigun06g131500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSVKNKNIEVDLESGLPLIGDDSKKASAPSGAKQGKTLFAKVSGGFVGGSFKDDVVPNLFRYESHFSEVSGDLMKATGNPLMAKDLVTSKQLMAKDSEKRKKTCNKKAPKPPRSPQAPSLDAADLKLIREISELAMLKRARIERMKALKKMKIAKSSSGPSSSSSTSSFLAMVFTVVFFVVIIFQGVPSRTSSVASFQGSPVSTGESEGGLISVQYQLNPSASESNVTASESHNFVQQVAGSDLPEKSRRVSG >Vigun06g131500.3.v1.2 pep primary_assembly:ASM411807v1:6:25778773:25782140:1 gene:Vigun06g131500.v1.2 transcript:Vigun06g131500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSVKNKNIEVDLESGLPLIGDDSKKASAPSGAKQGKTLFAKVSGGFVGGSFKDDVVPNLFRYESHFSEVSGDLMKATGNPLMAKDLVTSKQLMAKDSEKRKKTCNKKAPKPPRSPQAPSLDAADLKLIREISELAMLKRARIERMKALKKMKIAKSSSGPSSSSSTSSFLAMVFTVVFFVVIIFQGVPSRTSSVASFQGSPVSTGESEGGLISVQYQLNPSASESNVTASESHNFVQQVAGSDLPEKSRRVSG >Vigun08g070300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:11203095:11204021:1 gene:Vigun08g070300.v1.2 transcript:Vigun08g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASWKHHCLLFTLLAILVLSQGSRLPKEYWEQMLPKKLPSPSSSPSKGTNSVTPSSSSTMQNYALPTSDGKV >Vigun10g151400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37128626:37131095:-1 gene:Vigun10g151400.v1.2 transcript:Vigun10g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHICLSLCLFYFATLTLAQSDNYIIHMDTSAMPKAFSTQHTWYQSTLSSALKNSKSTTNNLNSVLSSKLLYSYTNVINGFSANLSPKELEALKTSPGYVSFLRDLPAKRDTTHSPKFLGLNPHEGAWPAAQFGKDVIVGLVDTGIWPESESFRDEGIGAVPSRWKGQCESTIKCNRKLIGARFFNKGLLAKHPNITIGANSTRDTEGHGTHTSSTAAGSVVEGASYFGYASGSATGMASSARVAMYKALWEDGAYMSDIIAAIDGAISDGVDVLSLSFGFDDIPLYEDPVAIATFAAMQKNIFVSTSAGNEGPFLGRLHNGIPWVITVAAGTLDREFHGALTLGNGVQVTGMSLYHGNFSSGHVPIVFMGLCDRVKELAKVRSKIVVCEDNGTIIDVQVSNIYEANVVAAVFITNSSDSSFFLDNSFATIVVSTTDGEIVKAYIKSNNSSAKASMSFEMTVLDTKPAPSVDSYSSRGPSSSCPFVLKPDITAPGTSILAAWPQNLPVETFGTQNVFSNFNLLSGTSMACPHVAGVAALLRGAHPEWSVASIRSAIVTTSDMLDNTLGPIKDIGNDNKAASPLASGSGHINPNRALNPGLVYDVGVQDYVNLLCALGFTQRNITVITGTSSNDCSKPSLDLNYPSFIAFFNSNNSSTAQEFQRTVTHVGEGPASYAASFIPVEGYEVSVSPELLVFKEKYEKLSYTLRIEGPRKKKEKKVAFGYLIWTDLQHVVRSPIVVTTLKFDF >Vigun09g183100.2.v1.2 pep primary_assembly:ASM411807v1:9:35674813:35679858:1 gene:Vigun09g183100.v1.2 transcript:Vigun09g183100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPTQTQTQASATKPHETQTQTVDTKHEESQSAPQPHTADYAPYPKLDPDDVVPPPLQQQEVPLNTESRAPISGDAATTMPKDSNPYVTPAPVAASSTKNSVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLLKTYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYQMGEQTQWSYYKVVIPLHQLRAVNASTSRTNSSEKYIQIISVDNHEFWFMGFVHYDSAVKNIQGALQPQ >Vigun09g183100.1.v1.2 pep primary_assembly:ASM411807v1:9:35674813:35679858:1 gene:Vigun09g183100.v1.2 transcript:Vigun09g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAPTQTQTQASATKPHETQTQTVDTKHEESQSAPQPHTADYAPYPKLDPDDVVPPPLQQQEVPLNTESRAPISGDAATTMPKDSNPYVTPAPVAASSTKTTLDSVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLLKTYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYQMGEQTQWSYYKVVIPLHQLRAVNASTSRTNSSEKYIQIISVDNHEFWFMGFVHYDSAVKNIQGALQPQ >Vigun07g084500.1.v1.2 pep primary_assembly:ASM411807v1:7:12560965:12565062:1 gene:Vigun07g084500.v1.2 transcript:Vigun07g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHDQAFWMGKSPGCLNDGDMANDNSSRMDSKRACSWFMDDPDVDLLPNKKQAVEAPNNLLSGILNSNVPSWGNSSGFHSLNGHFTEQVFAPDAANMAFEDANTPSVSIDDKLSVERKDNMDPFGSGSSFGLSISTALEDPRLVFNYDGIRKVRVNEVKGSENVMSVTTNSPYDRGVSDPLSNPCLYKAGDNSISTNLNYNKGGANVIAMDGSYERTDNNFMSMGQSYDKVNDSLSVHPTFNEICNTISMDDSNVISIAQAYNKAHGNSMLTNQLYNKVDNGTISSHYSYHKEGNDVPFVPRSYDKGESTIICFGSHDDDTTPSDLFISDYELLMCQALSHKSETVKEKDLVASSSNLLPNSTQTSAPATATENILKTKEEVKMSKRAISNNFPSNVRSLLSTGMLDGLSVKYKAWSREKELRGVIKGAGYLCSCHSCNFSKIINAYEFERHAGCKTKHPNNHIYFDNGKTIYGVVQELRSTPQSMLFEVIQTVTGSPINQKSFCIWKESFLAAAGNSSTYVQSK >Vigun07g259800.1.v1.2 pep primary_assembly:ASM411807v1:7:37657333:37659846:-1 gene:Vigun07g259800.v1.2 transcript:Vigun07g259800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNLIAHLAFNSIGTLQRDAPPNRLSPNYPEPPPHPTEEGTNFSEQPKLMSSTLVKAAKQFDALVAALPISESGEEEQLKKITELQAENDAIGQELQKQLEAAEKELNQVQELFRQASDNCLNLKKPDDN >Vigun01g072300.1.v1.2 pep primary_assembly:ASM411807v1:1:19984437:19991575:1 gene:Vigun01g072300.v1.2 transcript:Vigun01g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETSSVDISEPLLVNPHGNEEKEEYLREEVPEWKEQITIRGLVVSAVLGALFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKTWTGFLTKVGFFTKPFTKQENTVIQTCVVACYGLAFSGGFGSSLIAMDQRTYELIGPDYPGNRAEDVKNPGLGWMMGFMFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTKTGAELAGNQVRQLGKYLSISFVWSCFKWFFSGIGDSCGFDNFPSFGLTLFRNTFYFDFSPTYVGCGLICPHLVNCSVLLGAIISWGFLWPFISEHAGDWYPADLGSNDFKGLYGYKVFISIALILGDGIYNLVKIIIITVREMWRASSKQITLPVVTEVNDDESSQLQSVEKMRDEVFLKDRIPTWFAASGYVGLAAISIATIPMIFPPLKWYLVLCSYILAPALAFCNSYGTGLTDWSLASTYGKIGLFIIAAAVGQNGGVIAGVASCAVMMSIVSTAADLMQDFKTGYLTFSSAKSMFVSQLIGTAMGCVIAPLTFWMFWTAFDIGSPDGPYKAPYAVIFREMAILGVQGFSELPKYCMEMCGGFFAAALVINLVRDVTPKKFSQYIPIPMAMAVPFYIGAYFAVDMFVGTVILFVWEKLNRKDAEDYAGAVASGLICGDGIWTIPSAILSIMRIDPPICMYFGPSASS >Vigun04g077000.1.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDRQEDDDSTTVQSQPLPRDAFRIRQYQKARASSASQTGSVSYGSAFSGDLSGSTG >Vigun04g077000.2.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDKLCVFDPCGVTIGTSLQYGITLLSILLSIPIIENEVISRKWEV >Vigun04g077000.8.v1.2 pep primary_assembly:ASM411807v1:4:10245369:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDRQEDDDSTTVQSQPLPRDAFRIRQYQKARASSASQTGSVSYGSAFSGDLSGSTG >Vigun04g077000.7.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGQEDDDSTTVQSQPLPRDAFRIRQYQKARASSASQTGSVSYGSAFSGDLSGSTG >Vigun04g077000.5.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDNFCNQVYQTLIGGSPIIFQISSYNLSKFFF >Vigun04g077000.3.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDKLCVFDPCGVTIGTSLQYGITLLSILLSIPIIENEVISRKWEV >Vigun04g077000.6.v1.2 pep primary_assembly:ASM411807v1:4:10244579:10289775:1 gene:Vigun04g077000.v1.2 transcript:Vigun04g077000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSGGDKYNIETAEILANEAQHLPVAEATPIYEQLLLLFPTAAKFWRQYVEAYMATNNDDATKQIFSRCLLHCLQIPLWRCYIRFIRKVNDKKGMEGQEETRKAFDFMLNCVGADIASGPVWMEYIAFLKSLPAINGQEESHRMTTVRKVYQKAIVTPTHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPSGSYKEEMQWIAWKRLLSFEKGNPQRIDTASSNKRIIFTYEQCLMYMYHYPDIWYDYATWHATSGSIDAAIKVFQRALKALPDSEMLRYACAELEESRGAIQAAKKIYESLLGDGVNATSLSHIQFMRFLRRTEGVEAARKYFLDARKSPTCTYHVYVAYATMAFCLDKDPKMAHNVFEAGLKRFMHEPFYILEYADFLIRMNDDQNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSGAEDGTTLESSLQDIVSRYSFMDLWPCSSNDLDHLTRQEWLTKNINKKVEKYILANGTIVTDKTSMANISTTSPKIVYPDTSKMVIYDPKHTLGAGAGTNAFDEILKATPPALVAFLANLPAVEGPTPNVDIVLSICLQSDLPTGQSAKTGISTQVQTGKAGIPSQLSAGYAPATSELSGSTKSHPVPSGVSLKPGSNRQYGKRKESDKLCVFDPCGVTIGTSLQYGITLLSILLSIPIIENEVISRKWEV >Vigun03g383900.1.v1.2 pep primary_assembly:ASM411807v1:3:58874066:58879455:1 gene:Vigun03g383900.v1.2 transcript:Vigun03g383900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSFEGLALDPSKCSKLSMEEKRELVYEVSKWSHGASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVSEKKSGGHEAATDPEPHSSSPASGQKPAKRQRKSENPSHLPVPVTSISVNNNGSDSVNTTYCKNSACKATLNQADAFCKRCSCCICHQYDDNKDPSLWLICSSENPFPGVSCGLSCHLECALKHDGSGIGKDGERPKLDGGFYCVACGKVNDLLGCWRKQLMVAKDTRRVDILCYRVSLSQRLLQGTEKYDELYKIVDEAVKKLEPEVGPLTGSPVKIGRGIVNRLSSGPEVQKQCGFALESLDSLLSKRILPSSPNPTTQGAHLLTPNMVRFEDVTATSLTIILGSEEPCGENIPAYTMWYRKADEVEYPMEPTCTSLVPNRRFSVRGLLPGTEYSFKVVPNDSRESGVCEVQISTELGEDEVPNCSATERSQSPVTNCSSLSNPSSVEDETNNCNPYSELTDNHRAGHYPSFRKESDQLASGNLSNDAVNCSNIDVVGIPPDADSLSDKQHATGMAASIPSSDVLKLEDKHSPEEQVTEDIMSIDEGLNSPVVTGRECVPLVGSSEGGLPNTPCKLESLKDGAGRIGRSKSSAKDQENGSGKREGPQDGSTSKKRSGERQDEGRITNGFSERDFEYYVKVIRWLECEGHIEKNFRQKFLTWYSLRATPQEVRIVKIYIDTFLEDPASLAEQLVDTFSECISSKRISVVPAGFCMKLWH >Vigun08g103300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25639773:25641329:-1 gene:Vigun08g103300.v1.2 transcript:Vigun08g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKIYESSSSISMRDQYLKQLNKLSHNISKPNVKKPTFDSLPDSQPQVYNISKNDFRDMVQKLTGSPGNSHQPKPVANSRLHRLRPPPLPQVLSHRPPPPHSVAAAPLSPLPPFPSVHAESPVSAYMRFLRNSMPSSSSQSEFQIPASPVSFGCLNSVSFVPLSPTMPVSSRDP >Vigun05g124100.1.v1.2 pep primary_assembly:ASM411807v1:5:13825781:13826457:1 gene:Vigun05g124100.v1.2 transcript:Vigun05g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRINQTFLLGLLCIALLFSSGLAEDWDLPGKSCENFKCSSNDKCIRDCNRKGFNGGSCTGVSPWMIVCCCNK >Vigun10g080700.1.v1.2 pep primary_assembly:ASM411807v1:10:22511475:22515047:-1 gene:Vigun10g080700.v1.2 transcript:Vigun10g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVLSECGLRPLPPVFPRPTRPILCQKPSKFRFFSTNKGVADLKLQPRGFSSCNYRERRWELGVSAPVRVATSEGEEESVNGANGVGEEVPEFDPSAPPPFNLADIRAAIPKHCWVKDPWKSMSYVVRDVIVVFGLAAAAAYLNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIFNSMDNVTRTLRFKVPFPLLAYPIYLWNRSPGKTGSHFDPNSDLFVPSERKDVITSTICWTAMAALLVGLGFVMGPIQLLKLYGIPYVLFVMWLDLVTYLHHHGHEDKLPWYRGEEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGQYYREPKKSSPLPFYLIGELLRSMKKDHFVSDTGDIVYYQTDPTISNTSTSQ >Vigun10g037600.2.v1.2 pep primary_assembly:ASM411807v1:10:5120448:5131993:1 gene:Vigun10g037600.v1.2 transcript:Vigun10g037600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSSSSKSKPQWVYDVFISFRGEDTRKNFVSHLYSALTNAGVNTFLDDKKLAKGQQLKPELLHSIEGSQISIVVFSENYIFSKWCLDELVKIMECHAFRGQVVLPVFYDVFPSFLRNLNDVSFEEDSDLNRVKQWKKALSEAAGFVGWDVSNYRNENFVVKEMVTAVLERLDRTYMSITDFPVGLEYRVEHCIGFLRKQTKGAYILGIWGMGGIGKTTIAKAIYNEIRYEFKHKSFLANIREVWQRDFGRIDLQERLLSDILKTEKVKVYSIDWGKAMIKETLCTKRVLVVLDDVNTFEQLNALSANSNGIAQGSVIIITTRDVRLLNMLDVEHVYEVEEMNEIESLELFSWHAFKEANPPEGFLELSKQVVTYCGALPLALEILGSYLYKRRVKEWQSVLSKLKEIPNDKIQEKLKISYDGLTDHTEKDIFLDVCCFFIGKDRGYVTEILNGCGLHAEIGITVLVERSLIKIEKNNKLGIHDLLRDMGREIVRGSSPLEPQKRSRLWVHDDVLDILTEHTGTGVIEGLALKMQRTSGVCFGTETFEKMKRLRLLQLDHVQLAGDYGRLPKQLRWVHWKAFSLTHMPENFYQENIVAIDLKYSYLKLVWKVPPFLERLKFLNLSHSRYLSKTPDFSKLPNLEKLILKDCPSLYEVHHSIGDLNNLLLLNLKDCTCLGNLPMVIYKLKSLQTLILSGCSNIDKLEEAIGKMESLTTLIADNTSIKQVPFAIVRSKKIGYISLCGYEGLTRNVFPSLIWSWMSHTRGALSCIQPFGITPTSIVALDIQDTNLVNLLSKLSEFSKLRSISVQCDSDFQLTQELRSILHELCNVNSSELENAYLSPISENSMVSCLIGMGSYQQVFDMLSNSISEVLRTNSSNDFVLPGDNYPYWLAYTGEGHSVPFQVPEDGDCRMNGMLLCIVYSSTPENMATQALTNVFIFNYTKCTIEIFKHATTMLFSDEDWQGVISSLGPGDNVEIFVGVGDGITAKKTAVYLIYGQSITMRLESLGLNAQESPELSVILSPKMSAQPTTDVEMEAKKPKKNTFAKIRKKGTVDAEIKKLLLFIYNGFVTSIVLISNTKICYI >Vigun09g214800.2.v1.2 pep primary_assembly:ASM411807v1:9:38915305:38923338:1 gene:Vigun09g214800.v1.2 transcript:Vigun09g214800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSNSSGGYLTEAAAPGGQVESLLLRVGQAPSSSMENALSPSLKALIANKLLQHSDDNVKVAVGSCISEITRITAPEAPYDDDQMKDVFQLIVSAFENLHDKLSQSYAKRISILETVARVRSCVVMLDLECDALILEMFWHFLKTIREHHPANVFSSMETIMTLVLEESEDISLDLLSPLLTSIKKDHEEACPIAQKLGEKVLESCSTKLKPYLVQAVKSLGISVDDYSMVLASICQDTSVDLEKNDTCVTSELLEVNKDSREVTPSQQENPDGNISPKSVMSNGVTCVGDDNGFVDSKSNKKQEDVDCSDHSEGLTSEHSTKRRQKKPTTSTKSAKRSKGEVATNAKETENESHSRKVPCSPSEDHSACYNESEVLASPPSESFHEENLSKKLGRTKMKDGSVREGAAENVSKVSGGASDSEAKPARKSVKKAHGQISDAKKTSVVDSLKKRSDSAIDADTEKHSAKKLDNNKKGIGGSSSRQMGDKKKGCWRKANSETGVAKSSAMDVDKESDTEKYGENVVGLRVKVWWPKDREFYKGVIHSFDSAKKKHKVFYDDGDEETLNLVKEKWKVIDADSDADEEEQREPASLDASTDMPPKKKGETSVGESNKQGKTDPSSRSDGAIASHNSKGVSMKSTHKSKDGNKSEDSKTISKSEDEVCRKSKDSTAKNGSRKSVVADKKLSNKLENTDTSKTIESKDDEINMPKSCVKSKHEAFKGEKSKLEILKTTLLKGKRHKSGGKIDVGNGKSGLLKRKYPENDSDVSAGEVKDVKGKTSHSSKLQGSELKSGKKRQRN >Vigun07g259300.1.v1.2 pep primary_assembly:ASM411807v1:7:37617747:37622138:-1 gene:Vigun07g259300.v1.2 transcript:Vigun07g259300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTLLLKLLTLVVLLGCSSASDHLVNVSKLEMFVEELPDMPRIHSYHFLNGVPKSKPLKIGMFKKKWKFHRDLPPTTVYAFGKTKHTATVPGPTIEALHGVDTHVRWQNHLPPNHILPWDPTIPTAITNTTRGIPTVVHLHGGIHAPDSDGSANAWFTSKFNERGPTWSRKTYHYPNNQHPGNLWYHDHAMGLTRVNLLAGLLGSYIIRHPVVEEPLGLPTGEEFDRPLVVFDRSFRTDGSIFMSSMGNNPDIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFSNGLRFTHVASDSAYLDKPVTTNETLVGPSEITDIVVDFSQSKTNVAILSNDANYPYPSGDPVNEANGKVMKFIILPDQEVDTSRIPRRLVEYPVVDLSTATRTRYVAMYEYTSKIDEPTHLYLNAKPFDAPVTETPRVGSTEVWYVINLTEDNHPLHIHLGLLKVLDQTALVELDEFKECMTKKNDPVKCHLKKHARGKKVVVEDHEKGWKNVFKMIPGHVTKIAVRFSYIHTNASYDFDATAEPGYVYHCHVLDHEDNAMMRPLKLIH >Vigun01g065000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17145774:17148514:1 gene:Vigun01g065000.v1.2 transcript:Vigun01g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTWPFKVNNWVVPRFEIRHSGISDRNRRRRVKLGFAFKVSYCEKVSVFQCTRGYGTVVFSGHSKLDLGFGFLLGCSEPKFGVILKQNKSHIGDLAPPLGWALEDEGVVSELVVEKIDSNGEPINGESESIKFQDSDCEPKMGIGDNSKEGGKEESDGKVDVRALALRLQTAKTVDDVEEILVGKRELPLQVFSTIISSFGKEKRMDSALILFEWMKKRKIETNGSFGPNLFIYNCLLGVVKQSGQFAEMEAILNEMAKDGISYNVVTYNTLMAIYIEKGDCERALKVLEEIRRNGFTLSPVSYSQALLAYRRMEDCNGALNFFVELREIYHRGEIGEDGDGEDWEKEFVKLEKFTIRVCYQVMRCWLVSCDNLSNKVLQFLVDMDNAGIPLTRADLERLVWACTREDHYIVVKELYTRIRERYDKISLSVCNHAIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELVVSHFNFLLNAAKRKGIWRWGVRLLNKMEEKGLKPGSKEWNAVLVACSKASETTAAVQIFKRMVENGEKPTMISYGALLSALEKGKLYDDALRVWNHMVKVGVQPNAYAYTIMASIYTAQGNFNRVDAIIQEMVTIGIEVTVVTYNAIITGCARNGMSSAAYEWFHRMKVQNITPNEITYEMLIEALANDGKPRLAYQLYTRAKNEGFTLSSKAYDAVVHSSQVNGATTELGLLGPRPADKKKKVQIRKTLTEFYNLAGVPRRSKPFDRSEVYRPQTQETP >Vigun07g116000.1.v1.2 pep primary_assembly:ASM411807v1:7:21415134:21426025:1 gene:Vigun07g116000.v1.2 transcript:Vigun07g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSCTSLSSVLFSTVKFRCSFGQRPIIASIRCNHSHRLSSSSSKSNRQKEGAGHKKQHSKDERPSRGNVVEPQPTSSKAFGILRKNKEMFDSKDQQVEPSDLQDSAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGDRKLLTNAHCVEHDTQVKVKKRGDDSKYVAKVLARGVDCDIALLSVENDEFWRDVEPLTFGRLPHLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVFRSEEAENIGYVIPTTVVSHFLTDYEKNGRYTGFPCLGVLIQKLENPALRAWLKVQSNEGVLVRRVEPTSDAINVLKEGDVIISFDDVPVGSEGTVPFRTNERIAFHFLISQKFSGDTAELGIIRAGTFMKTKIVLNSRVHLVPYHIDEGQPSYLIIAGLVFTPLSEPLIEEECEDSIGLKLLARARYSLARFKGEQIVILSQVLANEVNIGYEDMGNQQVVKFNGTRIKNIHHLAHLVDSCEDRYLRFEFEDSYVAVLDREAVVGASSSILSDYGIPSGRSSDLSKPYVDTAEGDQPANQEFGDSPVSNFEIGSDGLLWA >Vigun11g061500.3.v1.2 pep primary_assembly:ASM411807v1:11:13547545:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSL >Vigun11g061500.1.v1.2 pep primary_assembly:ASM411807v1:11:13547442:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSL >Vigun11g061500.4.v1.2 pep primary_assembly:ASM411807v1:11:13544656:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQKSALSLFSPPKCSARVFSKHSLWVKLRVPSNFLSCLVIVAPLLNILFVAFSLKRCGDS >Vigun11g061500.10.v1.2 pep primary_assembly:ASM411807v1:11:13547545:13552252:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSL >Vigun11g061500.5.v1.2 pep primary_assembly:ASM411807v1:11:13544656:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQKSALSLFSPPKCSARVFSKHSLWVKLRVPSNFLSCLVIVAPLLNILFVAFSLKRCGDS >Vigun11g061500.8.v1.2 pep primary_assembly:ASM411807v1:11:13544656:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQMWRLLMIVMLAGRL >Vigun11g061500.9.v1.2 pep primary_assembly:ASM411807v1:11:13547545:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSL >Vigun11g061500.6.v1.2 pep primary_assembly:ASM411807v1:11:13544656:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDVVTAVDALHLEFRAVDNLVACNTSRVLRAFQNARVGSHHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQKSALSLFSPPKCSARVFSKHSLWVKLRVPSNFLSCLVIVAPLLNILFVAFSLKRCGDS >Vigun11g061500.2.v1.2 pep primary_assembly:ASM411807v1:11:13547545:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSL >Vigun11g061500.7.v1.2 pep primary_assembly:ASM411807v1:11:13544656:13552303:-1 gene:Vigun11g061500.v1.2 transcript:Vigun11g061500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLSIPLNVINSHHAIANPFVPDHFGGSTGYGHDEAGGRGALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNSLMSAVKPKTKCALIQRSCGYSWRRSLSVNDIARAIKIIKVQNPSCSVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKQKWVEAAAARLSAPGLGLDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRSDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQKSALSLFSPPKCSARVFSKHSLWVKLRVPSNFLSCLVIVAPLLNILFVAFSLKRCGDS >Vigun10g087500.1.v1.2 pep primary_assembly:ASM411807v1:10:25190266:25194968:1 gene:Vigun10g087500.v1.2 transcript:Vigun10g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLFLFHFLVLIFHVLFSFIPVLSLSSDGLALLALKSAVDQPSSAFSDWNDGDDTPCAWSGIVCANISGEEDPRVVGISLAGKSISGYLPSELGTLRFLRRLNLHDNAFSGVLPAQLSNATALHSLFLHRNNLSGPIPLSLCSLPRLQNLDLSENAFSGHIPDHLGNCKNLQRLIVAGNRFSGEIPAGVWPELQNLLQLDLSANELTGSIPDEIGTLVSLSGTLNLSFNHLSGKIPASLGKLPATVSFDFKNNNLSGEIPQTGSFSNQGPTAFLGNPNLCGFPLRKSCSGSDRDFSPGSDQNKPVHRSKGLSPGLIILISAADAAVVAFIGLVIVYIYWKKKDDENACSCIRRRKFGEEKGSACLCGGFPCVSGEKSDDDDDEDYEVEGAEGEGEGELVRIDKGLNFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFAAEVMAIGKVKHPNVVRLRAYYWAHDEKLLISDFISNGNLTHALRGRNGQPSTNLSWSTRLKIAKGTARGLAYLHECSPRKFVHADIKPSNILLDNDFQPFISDFGLNRLISITGNNPSTGGFMGGALPYMKSSQKERTDNYKAPEARVPGSRPTQKWDVYSFGVVLLEILTGRSPESSPTTSTSMEVPDLVRWVRKGFDQESPLSEMVDPSLLQEVRVKKEVLAVFHVALACTEGDPEARPRMKTVSENLDKVGT >Vigun11g109200.2.v1.2 pep primary_assembly:ASM411807v1:11:31027977:31032845:1 gene:Vigun11g109200.v1.2 transcript:Vigun11g109200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGSHSGRMFSDRKWILPFFASLIISMSLVLTAIFGALSSDSGGEQSPFEIISFKRSENSNGYFVESDIERASNVSVVKREAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLMTQDDLLYVFSNLSRNLNFIEHTRIAGWKLNQRARPIIIDPALYLSKKSDLALTTQRRTLPTSFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEEFHHTAISHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKALFARKFAKDDPVLDKIDKELLGRTHRFSPGAWCVGTSEGGADPCSVRGNGTMFRPGPGADRLSELLQGLLSKESLSKQCL >Vigun11g109200.3.v1.2 pep primary_assembly:ASM411807v1:11:31027964:31032845:1 gene:Vigun11g109200.v1.2 transcript:Vigun11g109200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDRKWILPFFASLIISMSLVLTAIFGALSSDSGGEQSPFEIISFKRSENSNGYFVESDIERASNVSVVKREAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLMTQDDLLYVFSNLSRNLNFIEHTRIAGWKLNQRARPIIIDPALYLSKKSDLALTTQRRTLPTSFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEEFHHTAISHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKALFARKFAKDDPVLDKIDKELLGRTHRFSPGAWCVGTSEGGADPCSVRGNGTMFRPGPGADRLSELLQGLLSKESLSKQCL >Vigun11g109200.5.v1.2 pep primary_assembly:ASM411807v1:11:31027988:31032845:1 gene:Vigun11g109200.v1.2 transcript:Vigun11g109200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDRKWILPFFASLIISMSLVLTAIFGALSSDSGGEQSPFEIISFKRSENSNGYFVESDIERASNVSVVKREAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLMTQDDLLYVFSNLSRNLNFIEHTRIAGWKLNQRARPIIIDPALYLSKKSDLALTTQRRTLPTSFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEEFHHTAISHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKALFARKFAKDDPVLDKIDKELLGRTHRFSPGAWCVGTSEGGADPCSVRGNGTMFRPGPGADRLSELLQGLLSKESLSKQCL >Vigun11g109200.4.v1.2 pep primary_assembly:ASM411807v1:11:31027987:31032845:1 gene:Vigun11g109200.v1.2 transcript:Vigun11g109200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGSHSGRMFSDRKWILPFFASLIISMSLVLTAIFGALSSDSGGEQSPFEIISFKRSENSNGYFVESDIERASNVSVVKREAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLMTQDDLLYVFSNLSRNLNFIEHTRIAGWKLNQRARPIIIDPALYLSKKSDLALTTQRRTLPTSFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEEFHHTAISHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKALFARKFAKDDPVLDKIDKELLGRTHRFSPGAWCVGTSEGGADPCSVRGNGTMFRPGPGADRLSELLQGLLSKESLSKQCL >Vigun11g109200.1.v1.2 pep primary_assembly:ASM411807v1:11:31027963:31032845:1 gene:Vigun11g109200.v1.2 transcript:Vigun11g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNAGSHSGRMFSDRKWILPFFASLIISMSLVLTAIFGALSSDSGGEQSPFEIISFKRSENSNGYFVESDIERASNVSVVKREAPRFAYLISGTKGDSHRMMRTLEAVYHPRNQYILHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLMTQDDLLYVFSNLSRNLNFIEHTRIAGWKLNQRARPIIIDPALYLSKKSDLALTTQRRTLPTSFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTEEFHHTAISHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKALFARKFAKDDPVLDKIDKELLGRTHRFSPGAWCVGTSEGGADPCSVRGNGTMFRPGPGADRLSELLQGLLSKESLSKQCL >Vigun07g169300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28332918:28335317:1 gene:Vigun07g169300.v1.2 transcript:Vigun07g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFETLLVKVKTAISNSFDSVPPKLLKKKPSFKSKPNVGVLAFEIAGVMSKLLHLWHSLSDATVVRIRNDALNLEGVRKIISNDESFLLGLACAEFAESLRVVANSVTRLSARCEDPNLRSFQQAFLEFADSGCDLKGWALPGPKETESKLKKMERYVTLTAALYREMEELAVLESGFRKALNHADANNVGSKDQQKLYELQQKIFWQKQEVKDLKERSLWSRSFDSVVVLLVRFSFTVLARIKVVFGIGQRMPCLSRTLSTSATVYPSDQNPNDFVVSGLLEEDSKFNEAVNEDMESGFFEANSKLLKPPESTLGASGLALHYANLIIVMEKMIKSPHLVGVDARDDLYGMLPRSIRWGLRGRLRGVGFCASDPVLAAEWRDALGRILGWLSPLAHNMIKWQSERSFEQHNLVAKTNVLLLQTLFFANKDKTEAAITELLVGLNYIWRFEREMTAKALFECANSNGLLFKFNKPANEI >Vigun04g011100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:827291:828499:1 gene:Vigun04g011100.v1.2 transcript:Vigun04g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIRRVGLLSTVGAGENEPFYYQLGRKVMIALSERQFEQYRIGMWSEKWTMSYTVDLSCATLTLKRSCKDEGAKGLLVRLDSTINNACYTFDFDVHTVTESDALNNAGMSITTDGSDSIINIDRSEQIHAGGSVTETLLCLFGAGDKGGLNVLETKKKIKEGQPYAVTIAHYFATSAGINDYSGNTTDVGLSVVVKIRACNGNLDVTVEGPEQHPGFGLRYLFGEAMRTKIWKPTLCPHCANIQKQRRSMIWQSDSDDSESVPVARRHGGGQKNLRAVDNGGRFNGNGNGNYTENNKYMFFGKRWW >Vigun08g163650.1.v1.2 pep primary_assembly:ASM411807v1:8:33606066:33607316:1 gene:Vigun08g163650.v1.2 transcript:Vigun08g163650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIGVMIMMMVILGCTQAIGTTPEKKSCSAKCAPGCVFANLAYPICYAICMDKCKRGSPPTLYDDCFNSCIATKFTPNNIGDRDHVFHEVNSCLQKCHVRL >Vigun06g021300.1.v1.2 pep primary_assembly:ASM411807v1:6:9983486:9984151:1 gene:Vigun06g021300.v1.2 transcript:Vigun06g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMLLKITENFTRDWAGQKPQPQRHHISRYILHLFKQPKLNDQSSETKRLTKNI >Vigun05g277100.3.v1.2 pep primary_assembly:ASM411807v1:5:46686136:46694695:1 gene:Vigun05g277100.v1.2 transcript:Vigun05g277100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVPAPAGPPHPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGASRRDLERMDYSIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCLTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNIDLSKSMGNGCIASSSNSSSSRPYLANGGSPDRSYNYISNDFSFPPGGIPSLRLPMVSSHESSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLVDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSAEATTLEASKNPMRRQVPTPSRPSRSLGNSLTRVVRRGAEAPGVDANGSSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Vigun05g277100.2.v1.2 pep primary_assembly:ASM411807v1:5:46686136:46694695:1 gene:Vigun05g277100.v1.2 transcript:Vigun05g277100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVPAPAGPPHPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGASRRDLERMDYSIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCLTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNIDLSKSMGNGCIASSSNSSSSRPYLANGGSPDRSYNYISNDFSFPPGGIPSLRLPMVVSSHESSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLVDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSAEATTLEASKNPMRRQVPTPSRPSRSLGNSLTRVVRRGAEAPGVDANGSSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Vigun05g277100.1.v1.2 pep primary_assembly:ASM411807v1:5:46686136:46694695:1 gene:Vigun05g277100.v1.2 transcript:Vigun05g277100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVVPAPAGPPHPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGASRRDLERMDYSIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCLTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNIDLSKSMGNGCIASSSNSSSSRPYLANGGSPDRSYNYISNDFSFPPGGIPSLRLPMVVSSHESSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLVDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSAEATTLEASKNPMRRQVPTPSRPSRSLGNSLTRVVRRGQFSMSFALHRFLSKDSISIGWFILNKHSTSQVPTSDTVEQVQKLLVWMLMGVLLTSQQSCCT >Vigun05g298800.2.v1.2 pep primary_assembly:ASM411807v1:5:48307523:48310487:1 gene:Vigun05g298800.v1.2 transcript:Vigun05g298800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAACSLGLHLAADNTFKAFEKTTLFKPSSIADATKLCYITHKTRLSSSGITIIPRASTVTGTVEDGNQGETDTIPTPIVIIDQDSDPDATVVEITFGDRLGALLDTMNALKDLGLNVVKANVFLDSSGKHNKFSITKADSGRKVEDPELLEAIRLTILNKMIQYHPESSAQLALGAAFGLVAPPEQVDVEIATHITISDDGPHRSLLYVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKAKFHVNYKDKALIKPLQFLLIV >Vigun05g298800.1.v1.2 pep primary_assembly:ASM411807v1:5:48307523:48310487:1 gene:Vigun05g298800.v1.2 transcript:Vigun05g298800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAACSLGLHLAADNTFKAFEKTTLFKPSSIADATKLCYITHKTRLSSSGITIIPRASTVTGTVEDGNQGETDTIPTPIVIIDQDSDPDATVVEITFGDRLGALLDTMNALKDLGLNVVKANVFLDSSGKHNKFSITKADSGRKVEDPELLEAIRLTILNKMIQYHPESSAQLALGAAFGLVAPPEQVDVEIATHITISDDGPHRSLLYVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKAKFHVNYKDKALIKPLQQVLVNSLRYFLRRPTTEESSF >Vigun06g015700.3.v1.2 pep primary_assembly:ASM411807v1:6:7242373:7247221:-1 gene:Vigun06g015700.v1.2 transcript:Vigun06g015700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVRIRVICSSLCHSDVTFRELQVPPAIFPRILGHEATGVVESVGKDVSELKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGEIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGKTIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLKPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun06g015700.2.v1.2 pep primary_assembly:ASM411807v1:6:7238888:7247221:-1 gene:Vigun06g015700.v1.2 transcript:Vigun06g015700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVRIRVICSSLCHSDVTFRELQVPPAIFPRILGHEATGVVESVGKDVSELKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGEIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGKTIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLKPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun06g015700.1.v1.2 pep primary_assembly:ASM411807v1:6:7241716:7247221:-1 gene:Vigun06g015700.v1.2 transcript:Vigun06g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVRIRVICSSLCHSDVTFRELQVPPAIFPRILGHEATGVVESVGKDVSELKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGEIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGKTIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLKPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun07g233400.1.v1.2 pep primary_assembly:ASM411807v1:7:35508226:35512375:1 gene:Vigun07g233400.v1.2 transcript:Vigun07g233400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTLMVRCQRIGCDATFSEDDNPDGSCRYHDSGPIFHDGTKEWSCCKKRSHDFSLFLEIPGCKTGKHTAVKQVIAPVKKNTTPPPTAVSSTNASSKDTCSRCRQGFFCSDHGSQSKPVNVVDKSPNLVGDVSAVNNSSVKAPKPKKIVDINEPQICKNKGCGQTFKEKDNHDTACSYHPGPAVFHDRMRGVSIKYSSECSSFGLISI >Vigun07g233400.2.v1.2 pep primary_assembly:ASM411807v1:7:35508226:35512375:1 gene:Vigun07g233400.v1.2 transcript:Vigun07g233400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTLMVRCQRIGCDATFSEDDNPDGSCRYHDSGPIFHDGTKEWSCCKKRSHDFSLFLEIPGCKTGKHTAVKQVIAPVKKNTTPPPTAVSSTNASSKDTCSRCRQGFFCSDHGSQSKPVNVVDKSPNLVGDVSAVNNSSVKAPKPKKIVDINEPQICKNKGCGQTFKEKDNHDTACSYHPGPAVFHDRMRGWKCCDIHVKEFDEFMNIPPCTKGWHSADPES >Vigun07g233400.3.v1.2 pep primary_assembly:ASM411807v1:7:35508226:35512375:1 gene:Vigun07g233400.v1.2 transcript:Vigun07g233400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTLMVRCQRIGCDATFSEDDNPDGSCRYHDSPIFHDGTKEWSCCKKRSHDFSLFLEIPGCKTGKHTAVKQVIAPVKKNTTPPPTAVSSTNASSKDTCSRCRQGFFCSDHGSQSKPVNVVDKSPNLVGDVSAVNNSSVKAPKPKKIVDINEPQICKNKGCGQTFKEKDNHDTACSYHPGPAVFHDRMRGWKCCDIHVKEFDEFMNIPPCTKGWHSADPES >Vigun05g265100.1.v1.2 pep primary_assembly:ASM411807v1:5:45717467:45723875:-1 gene:Vigun05g265100.v1.2 transcript:Vigun05g265100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGISDESPTETKKTKSKTPRKSKETLLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENSLDSAESISELPVVEITIEEIGKSKFNSMIGLVDRERVDAALYDDYETEKAREKRLAKEARAQEMQAKNAALGKKVKETHAPKVGKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMRNQNYISFCRLDIDIHKNIPHVHLHEKRENKEHWRGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFKFVSDAPDKNVSVRFARRTDVMPPIPMETKHHPSSVDLLLIKRLITETSKQNLLQFLQHEFVNINKSYAERLIGEMGTDFSVKTPVKSLTSQQLVRIHQLLRQAKFDDPSGHCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKNVKQGLNIFRFANRIPLLFEQGADVVTRTAHKRINWSSYKINQIQDKIGVFVSIVSTKIPFKGTGKEYIGDDITDIASAVKYAIQQCCVQLKSKIVKKMQAREQQERKRNLSRYIPDASGAVYNVLKEMTQLHAAKKIRYGDDDVELLRKVSENLITKETLCEKLAKHVEQVDYEMALEYATQSGVSEEPRDSIYIQSLDGENKMIDLQTPFFVFRVFQ >Vigun03g232200.1.v1.2 pep primary_assembly:ASM411807v1:3:38716831:38721873:1 gene:Vigun03g232200.v1.2 transcript:Vigun03g232200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHITMGDNDSQTQPLLTPRPDTAVFTADSDDIAPITGTADFAREFLVESKKLWYLAAPAIFTSVCQYSLGAVTQVFSGHVSTIALAAVSVENSVIAGFCLGITFGMGSALETLCGQAYGAGQVHMLGVYMQRSWVILNATAILLTLLYVFAAPLLRAIGQTAAISAAAGEFAVWMIPQLFAYAMNYPMQKFLQAQSRIMVMAWIAAAALVLHVVFSWLLMLELGWGMVGAAVVLNASWWFIDIGQFAYILSGTCGEAWSGFSLKAFHNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKDAEVSVDALSICMNLLGWTIMVSFGLNAAVSVRVSNELGASHPRTAKFSLLVAVITSTLIGVTLSLILIIFRNEYPFLFSNDSAVREMVAELTPMLAICIVINNVQPVLSGVAVGAGWQAAVAYVNIACYYLFGIPLGLLLGYKFEMGVTGIWSGMLSGTVLQTSVLFFMVYRTDWNKEASLAEDRIKQWGGGEEDSRGKNDQET >Vigun03g232200.2.v1.2 pep primary_assembly:ASM411807v1:3:38716793:38721868:1 gene:Vigun03g232200.v1.2 transcript:Vigun03g232200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHITMGDNDSQTQPLLTPRPDTAVFTADSDDIAPITGTADFAREFLVESKKLWYLAAPAIFTSVCQYSLGAVTQVFSGHVSTIALAAVSVENSVIAGFCLGITFGMGSALETLCGQAYGAGQVHMLGVYMQRSWVILNATAILLTLLYVFAAPLLRAIGQTAAISAAAGEFAVWMIPQLFAYAMNYPMQKFLQAQSRIMVMAWIAAAALVLHVVFSWLLMLELGWGMVGAAVVLNASWWFIDIGQFAYILSGTCGEAWSGFSLKAFHNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKDAEVSVDALSICMNLLGWTIMVSFGLNAAVSVRVSNELGASHPRTAKFSLLVAVITSTLIGVTLSLILIIFRNEYPFLFSNDSAVREMVAELTPMLAICIVINNVQPVLSGVAVGAGWQAAVAYVNIACYYLFGIPLGLLLGYKFEMGVTGIWSGMLSGTVLQTSVLFFMVYRTDWNKEASLAEDRIKQWGGGEEDSRGKNDQET >Vigun09g057300.3.v1.2 pep primary_assembly:ASM411807v1:9:5753522:5756705:-1 gene:Vigun09g057300.v1.2 transcript:Vigun09g057300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSLSSQQLFSNFRFISLSTFNSHHRYICSHHPESEKARLRSRNASKTAKTMADLINSQPWSNTLVSLLPTPLSKTTVLRTLRLIKDPSKALRFFKWAQQSGFPHTAQSYFIMLQILGRDRNLNVARNLLFSIEKKSNGTVKLEDRFFNTLIRSYAEAGLFKESLKLFQTMKSVAVSPSVVTFNSVLSILLKRGRTNMAKEVYDEMLHTYGVSPDTCTYNVLIRGFCKNSMVDEGFRFFKEMASFNCDPDVVTYNTLVDGLCRAGKVRIARNLVNAMSRKCEGLNPNVVTYTTLIRGYCMKQEVDEALVVLEEMSGRGIEPNMVTYNTLIKGLCEVHKLDKMKDVLEWMKDNGGFSPDTFTFNTIIHSHCCAGNLDEALKVFESMKKFQVRMDSASYSTMIRSLCQKGDYDMAEVLFDELLEKEILLSNFGSKPLAAAYSPLIQYLCEHGKSKKAERVMRQLMKRGTQDGQLYNTLIMGHCKEGAYESGYELLVWMLRRDFLPDVDIYDYLIEGFLLKNKPLLAKETLEKMLKSSYQPKTVTWHSILAKLLEKGCAHESAGVILMMLEKNVRQNINLSTESLKLLFRCGQQERAFEIIDLVYKNGYRVTVEEVIQFLFERGKLSEACKMLLFSLKNHQNVNINLCNAIILNLCKINKVSEAFKLCYELVEIGLCQELTCLNDLLAALEEGGRREEAIFISKRLPRLENLDESKGNRSSKKFRPIKV >Vigun09g057300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5752394:5756705:-1 gene:Vigun09g057300.v1.2 transcript:Vigun09g057300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLINSQPWSNTLVSLLPTPLSKTTVLRTLRLIKDPSKALRFFKWAQQSGFPHTAQSYFIMLQILGRDRNLNVARNLLFSIEKKSNGTVKLEDRFFNTLIRSYAEAGLFKESLKLFQTMKSVAVSPSVVTFNSVLSILLKRGRTNMAKEVYDEMLHTYGVSPDTCTYNVLIRGFCKNSMVDEGFRFFKEMASFNCDPDVVTYNTLVDGLCRAGKVRIARNLVNAMSRKCEGLNPNVVTYTTLIRGYCMKQEVDEALVVLEEMSGRGIEPNMVTYNTLIKGLCEVHKLDKMKDVLEWMKDNGGFSPDTFTFNTIIHSHCCAGNLDEALKVFESMKKFQVRMDSASYSTMIRSLCQKGDYDMAEVLFDELLEKEILLSNFGSKPLAAAYSPLIQYLCEHGKSKKAERVMRQLMKRGTQDGQLYNTLIMGHCKEGAYESGYELLVWMLRRDFLPDVDIYDYLIEGFLLKNKPLLAKETLEKMLKSSYQPKTVTWHSILAKLLEKGCAHESAGVILMMLEKNVRQNINLSTESLKLLFRCGQQERAFEIIDLVYKNGYRVTVEEVIQFLFERGKLSEACKMLLFSLKNHQNVNINLCNAIILNLCKINKVSEAFKLCYELVEIGLCQELTCLNDLLAALEEGGRREEAIFISKRLPRLENLDESKGNRSSKKFRPIKV >Vigun09g057300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5752393:5756705:-1 gene:Vigun09g057300.v1.2 transcript:Vigun09g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLINSQPWSNTLVSLLPTPLSKTTVLRTLRLIKDPSKALRFFKWAQQSGFPHTAQSYFIMLQILGRDRNLNVARNLLFSIEKKSNGTVKLEDRFFNTLIRSYAEAGLFKESLKLFQTMKSVAVSPSVVTFNSVLSILLKRGRTNMAKEVYDEMLHTYGVSPDTCTYNVLIRGFCKNSMVDEGFRFFKEMASFNCDPDVVTYNTLVDGLCRAGKVRIARNLVNAMSRKCEGLNPNVVTYTTLIRGYCMKQEVDEALVVLEEMSGRGIEPNMVTYNTLIKGLCEVHKLDKMKDVLEWMKDNGGFSPDTFTFNTIIHSHCCAGNLDEALKVFESMKKFQVRMDSASYSTMIRSLCQKGDYDMAEVLFDELLEKEILLSNFGSKPLAAAYSPLIQYLCEHGKSKKAERVMRQLMKRGTQDGQLYNTLIMGHCKEGAYESGYELLVWMLRRDFLPDVDIYDYLIEGFLLKNKPLLAKETLEKMLKSSYQPKTVTWHSILAKLLEKGCAHESAGVILMMLEKNVRQNINLSTESLKLLFRCGQQERAFEIIDLVYKNGYRVTVEEVIQFLFERGKLSEACKMLLFSLKNHQNVNINLCNAIILNLCKINKVSEAFKLCYELVEIGLCQELTCLNDLLAALEEGGRREEAIFISKRLPRLENLDESKGNRSSKKFRPIKV >Vigun09g057300.4.v1.2 pep primary_assembly:ASM411807v1:9:5752535:5756705:-1 gene:Vigun09g057300.v1.2 transcript:Vigun09g057300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSLSSQQLFSNFRFISLSTFNSHHRYICSHHPESEKARLRSRNASKTAKTMADLINSQPWSNTLVSLLPTPLSKTTVLRTLRLIKDPSKALRFFKWAQQSGFPHTAQSYFIMLQILGRDRNLNVARNLLFSIEKKSNGTVKLEDRFFNTLIRSYAEAGLFKESLKLFQTMKSVAVSPSVVTFNSVLSILLKRGRTNMAKEVYDEMLHTYGVSPDTCTYNVLIRGFCKNSMVDEGFRFFKEMASFNCDPDVVTYNTLVDGLCRAGKVRIARNLVNAMSRKCEGLNPNVVTYTTLIRGYCMKQEVDEALVVLEEMSGRGIEPNMVTYNTLIKGLCEVHKLDKMKDVLEWMKDNGGFSPDTFTFNTIIHSHCCAGNLDEALKVFESMKKFQVRMDSASYSTMIRSLCQKGDYDMAEVLFDELLEKEILLSNFGSKPLAAAYSPLIQYLCEHGKSKKAERVMRQLMKRGTQDGQLYNTLIMGHCKEGAYESGYELLVWMLRRDFLPDVDIYDYLIEGFLLKNKPLLAKETLEKMLKSSYQPKTVTWHSILAKLLEKGCAHESAGVILMMLEKNVRQNINLSTESLKLLFRCGQQERAFEIIDLVYKNGYRVTVEEVIQFLFERGKLSEACKMLLFSLKNHQNVNINLCNAIILNLCKINKVSEAFKLCYELVEIGLCQELTCLNDLLAALEEGGRREEAIFISKRLPRLENLDESKGNRSSKKFRPIKV >Vigun09g057300.2.v1.2 pep primary_assembly:ASM411807v1:9:5752416:5756705:-1 gene:Vigun09g057300.v1.2 transcript:Vigun09g057300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSLSSQQLFSNFRFISLSTFNSHHRYICSHHPESEKARLRSRNASKTAKTMADLINSQPWSNTLVSLLPTPLSKTTVLRTLRLIKDPSKALRFFKWAQQSGFPHTAQSYFIMLQILGRDRNLNVARNLLFSIEKKSNGTVKLEDRFFNTLIRSYAEAGLFKESLKLFQTMKSVAVSPSVVTFNSVLSILLKRGRTNMAKEVYDEMLHTYGVSPDTCTYNVLIRGFCKNSMVDEGFRFFKEMASFNCDPDVVTYNTLVDGLCRAGKVRIARNLVNAMSRKCEGLNPNVVTYTTLIRGYCMKQEVDEALVVLEEMSGRGIEPNMVTYNTLIKGLCEVHKLDKMKDVLEWMKDNGGFSPDTFTFNTIIHSHCCAGNLDEALKVFESMKKFQVRMDSASYSTMIRSLCQKGDYDMAEVLFDELLEKEILLSNFGSKPLAAAYSPLIQYLCEHGKSKKAERVMRQLMKRGTQDGQLYNTLIMGHCKEGAYESGYELLVWMLRRDFLPDVDIYDYLIEGFLLKNKPLLAKETLEKMLKSSYQPKTVTWHSILAKLLEKGCAHESAGVILMMLEKNVRQNINLSTESLKLLFRCGQQERAFEIIDLVYKNGYRVTVEEVIQFLFERGKLSEACKMLLFSLKNHQNVNINLCNAIILNLCKINKVSEAFKLCYELVEIGLCQELTCLNDLLAALEEGGRREEAIFISKRLPRLENLDESKGNRSSKKFRPIKV >Vigun08g137700.1.v1.2 pep primary_assembly:ASM411807v1:8:30990848:30991664:-1 gene:Vigun08g137700.v1.2 transcript:Vigun08g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLNIFRSNFLPKQSQIEKEEESTQPQQRVQVPKIAGENKDMGHLRKKMETIHCMGHKCSRKKHYYSRKCPKCVEICAFYGTAKNQDIELLENKNIVVIETYQTHSTCLFYTVTSTFTQYYTSATFSKLLHKL >Vigun06g014500.3.v1.2 pep primary_assembly:ASM411807v1:6:6771919:6779935:1 gene:Vigun06g014500.v1.2 transcript:Vigun06g014500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVPPAIFPRILGHEATGVVESVGKDVSEVKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGDIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGETIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLIPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun06g014500.1.v1.2 pep primary_assembly:ASM411807v1:6:6771919:6779935:1 gene:Vigun06g014500.v1.2 transcript:Vigun06g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVRIRVICSSLCHSDVTLRNLQVPPAIFPRILGHEATGVVESVGKDVSEVKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGDIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGETIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLIPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDKASILLHCKCT >Vigun06g014500.2.v1.2 pep primary_assembly:ASM411807v1:6:6771605:6779935:1 gene:Vigun06g014500.v1.2 transcript:Vigun06g014500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNSQASSPASTTEGKPIRCKAAVSRKAGEPLVIEEITVAPPMPGEVRIRVICSSLCHSDVTLRNLQVPPAIFPRILGHEATGVVESVGKDVSEVKKGDVVIPVILPECGECIDCKSSKSNRCTNFPFKVSPWMPRHGSSRFTDKNGDIIYHFLFISSFSEYTVVDIANIIKIDPHIPPDRACLLGCGVSTGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVYPEKFEIGKKFGVTDFVHARECEDKPVSQVIIEMTGGGADYCFECVGMASVVHEAYASCRKGWGETIVLGVDKPGARINISSHEVLHDGKCLMGSLFGGLIPKSHVPILLKRYVDKELQLDEFVTHEVEFKDINKAFDLLSTGKCLRCVIWMDK >Vigun02g047000.2.v1.2 pep primary_assembly:ASM411807v1:2:18595239:18600448:-1 gene:Vigun02g047000.v1.2 transcript:Vigun02g047000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKYLETSRHKVFATRKYLKVLGFSSFHTAPNSSVELHSQEEEVVIALGSNVGDRLHNFKEALKMMRNSGINITRHAGLYETAPAYVTDQPRFINSAVRAVTKLGPHELLSTLKRIEKDLGRTDGIRYGPRPIDLDILFYGKYKVSSDILTIPHERIWERPFVMAPLMDLLGSAIDSDAVAAWHSFSGHSGGLSGLWEDLGSESLIGEEGMYRVIPVANGLLDWSRRTCVMGILNVTPDSFSDGGNFLSVESAVSQVRLMISEGADMIDIGAQSTRPMASRISVEEELGRLLPILEAVVSMPEAEGKLISVDTFYSEVALEAVSKGAHLINDVSAGKLDSNMFKVMADLDVPYVAMHMRGDPSTMQNSENLKYDNVCKEISSELYSRVREAEISGIPAWRIIIDPGIGFSKKTEDNLEILLGLPDIRKEIGRRSLSISHAPMLIGASRKRFLSEICPRPAAERDPATIAAVTAGVLGGANIVRVHNVKANLDAVKLCDAILRQKSSHMKSRH >Vigun02g047000.3.v1.2 pep primary_assembly:ASM411807v1:2:18595239:18598756:-1 gene:Vigun02g047000.v1.2 transcript:Vigun02g047000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKYLETSRHKVFATRKYLKVLGFSSFHTAPNSSVELHSQEEEVVIALGSNVGDRLHNFKEALKMMRNSGINITRHAGLYETAPAYVTDQPRFINSAVRAVTKLGPHELLSTLKRIEKDLGRTDGIRYGPRPIDLDILFYGKYKVSSDILTIPHERIWERPFVMAPLMDLLGSAIDSDAVAAWHSFSGHSGGLSGLWEDLGSESLIGEEGMYRVIPVANGLLDWSRRTCVMGILNVTPDSFSDGGNFLSVESAVSQVRLMISEGADMIDIGAQSTRPMASRISVEEELGRLLPILEAVVSMPEAEGKLISVDTFYSEVALEAVSKGAHLINDVSAGKLDSNMFKVMADLDVPYVAMHMRGDPSTMQNSENLKYDNVCKEISSELYSRVREAEISGIPAWRIIIDPGIGFSKKTEDNLEILLGLPDIRKEIGRRSLSISHAPMLIGASRKRFLSEICPRPAAERDPATIAAVTAGVLGGANIVRVHNVKANLDAVKLCDAILRQKSSHMKSRH >Vigun02g047000.4.v1.2 pep primary_assembly:ASM411807v1:2:18595432:18598756:-1 gene:Vigun02g047000.v1.2 transcript:Vigun02g047000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKYLETSRHKVFATRKYLKVLGFSSFHTAPNSSVELHSQEEEVVIALGSNVGDRLHNFKEALKMMRNSGINITRHAGLYETAPAYVTDQPRFINSAVRAVTKLGPHELLSTLKRIEKDLGRTDGIRYGPRPIDLDILFYGKYKVSSDILTIPHERIWERPFVMAPLMDLLGSAIDSDAVAAWHSFSGHSGGLSGLWEDLGSESLIGEEGMYRVIPVANGLLDWSRRTCVMGILNVTPDSFSDGGNFLSVESAVSQVRLMISEGADMIDIGAQSTRPMASRISVEEELGRLLPILEAVVSMPEAEGKLISVDTFYSEVALEAVSKGAHLINDVSAGKLDSNMFKVMADLDVPYVAMHMRGDPSTMQNSENLKYDNVCKEISSELYSRVREAEISGIPAWRIIIDPGIGFSKKTEDNLEILLGLPDIRKEIGRRSLSISHAPMLIGASRKRFLSEICPRPAAERDPATIAAVTAGVLGGANIVRVHNVKANLDAVKLCDAILRQKSSHMKSRH >Vigun02g047000.1.v1.2 pep primary_assembly:ASM411807v1:2:18595329:18600515:-1 gene:Vigun02g047000.v1.2 transcript:Vigun02g047000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKYLETSRHKVFATRKYLKVLGFSSFHTAPNSSVELHSQEEEVVIALGSNVGDRLHNFKEALKMMRNSGINITRHAGLYETAPAYVTDQPRFINSAVRAVTKLGPHELLSTLKRIEKDLGRTDGIRYGPRPIDLDILFYGKYKVSSDILTIPHERIWERPFVMAPLMDLLGSAIDSDAVAAWHSFSGHSGGLSGLWEDLGSESLIGEEGMYRVIPVANGLLDWSRRTCVMGILNVTPDSFSDGGNFLSVESAVSQVRLMISEGADMIDIGAQSTRPMASRISVEEELGRLLPILEAVVSMPEAEGKLISVDTFYSEVALEAVSKGAHLINDVSAGKLDSNMFKVMADLDVPYVAMHMRGDPSTMQNSENLKYDNVCKEISSELYSRVREAEISGIPAWRIIIDPGIGFSKKTEDNLEILLGLPDIRKEIGRRSLSISHAPMLIGASRKRFLSEICPRPAAERDPATIAAVTAGVLGGANIVRVHNVKANLDAVKLCDAILRQKSSHMKSRH >Vigun05g068300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5915224:5915790:-1 gene:Vigun05g068300.v1.2 transcript:Vigun05g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCSKASLILLVSLILTSSFASEAQKCRPSGRIRGKKAPAGECNQENDSDCCIEGKLYTTYECSPPMSTHTKAYLTLNSFEKGGDGGGPSECDNQYHSDDTPVVALSTGWFNHRSRCLQNITISGNGRSVVAMVVDECDSTKGCDADHDYQPPCPNNIVDASRAVWKALGVPPNEWGGFDITWSDA >Vigun06g096100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22742238:22747687:-1 gene:Vigun06g096100.v1.2 transcript:Vigun06g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRNGSTQPSNGKLSAAGSGYSIDLNAFQSRLKTFYSHWDEHNTDLWGSSEAIAIACPPPSEDLRYLKSTALNLWLLGFEFPETIMVFMKKQIHILCSQKKASILESVKKSAREAVGADLVLHVKPKNDDGTALMDAIFRAIRAQPKSEDHDSSTIGYISREAPEGKLLETWTEKLKNTKFNLVDVANGLSSIFAVKTNEELTSIKRAAYLTTSVMKNFVVTKLENVIDEEKKVSHSALMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGDFDLRPSAVSNDELLHYDSASVIICAVGARYKSYCSNIARTFLIDADPLQSRAYGVLLKAHEAVMGFMKPGNKLSAAYQAAVSVVERDAPDLVSYLTKSAGTGIGIEFRESGLNLNAKNEQIIREGMVFNVSIGFQNLQSEKSKSKNRHFSLLLADTVIINKDKTEVVTSMSSKALKDVAYSFNEDEEEEERPSTKVDAKGAEPFTKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGSEAGDSRSSSRASTELVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSPHDANSMKFPGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLSDLWIRPAFGGRGRKIPGTLEAHVNGFRYSTTRQDERVDIMFGNIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERDRKNKINVEFQTFVNRVNDLWGQAQFNGLELEFDQPLRELGFPGVPHKSSVFIVPTSSCLVELIETPFLVVSLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESENSEESDKGYEPSDVEPESDSEDEASDSESLVESEDDDDDEDSDEDSEEEKGKTWEELEREASNADREKGNESDSEEDRKRRKAKSFGKSRGSNLSSSMPKRAKLR >Vigun06g088500.1.v1.2 pep primary_assembly:ASM411807v1:6:22074429:22077455:-1 gene:Vigun06g088500.v1.2 transcript:Vigun06g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGKVLSALFFAAVIAVTAAKLRGKRFRLPPGPFPVPIFGNWLQVGDDLNHLNLADIARRYGDIFLLRMGQRNLVVVSSPELAKEVLQTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRVGWEDEAARVVEDVRRNPDAAVGGIILRRRLQLMMYNIMYRIMFDRRFENEEDPLFQKLRVLNGERSRLGQSFEYNYGDFIPILRPFLRGYLKICKEVKDTRFKLFKDYFIEERKNLENTKKRDNGGLKCAIDHILDAQKKGEISEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKVREEIERVVGAGKQVTEQDSEKLPYLEAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKMLVNAWWLANNPAKWKKPEEFRPERFLEEESKVEANGNDLRYLPFGVGRRSCPGIILALPIMCITLGRLVQNFELLPPPGQHMLDTTEKAGQFSLHILKHSTIVAKPRSF >Vigun02g047900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18751301:18752499:-1 gene:Vigun02g047900.v1.2 transcript:Vigun02g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGPDFEKIFHFLSICILAFINEGSSSLSSAFMNEPWSWGVSIPISLYVKFALEIHSHLGICVGIGVPSLVYWGATRGAIDWYWQAALKFNHEIFKTCLFNIVIGLLHLLVSKLANYIIEWVKDHKVKKGYLSHFPLISTLDFDSLSHHPLLRPISVKYLFLKLLELLTVFFYNGNILLLSWCFSGQVYSYEVGKAISWVSLGSSMFVWIKALLTELGMQQKPPEDKACMKAQEKLQSVDTKDEPIICSSEC >Vigun07g226332.1.v1.2 pep primary_assembly:ASM411807v1:7:34840890:34843754:1 gene:Vigun07g226332.v1.2 transcript:Vigun07g226332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYGPIPSSFGNLMALTTLDLSDNQLHGSIPATFGRLSSLSLLDLSNNHLNGSIPESLGQLRNLVTLRMVNNSFTGIISEIHLRNLSNLIEFQIGYNNLDLKTDSNWEPPFKYLQVLGMAYNNLTHFPQWVQTLEQLSTLDISNNQISGNIPKHFGHHLPNLYRLNLRTNLIEGSIPDSLCKMENLFILDLSENRFSGTIPDCWGRHNNSLHGEPFQPLQSNKWLQILDLGENQFTGKIPSWWAAIAVLEILRLRGNLFSGDIPTSLCQFYNVKILDLADNNLSGSIPPCIGDFRGMMIKGVEAPPAFTYNADEWAKRHFKQFLKGNEYDYTQKYAYPYLVNIDLSSNSLMGSIPDDVTSLSGLIGFNLSHNHLSGKIPNNINKMQSLESLDFSNNTLSGPIPTDMSIIHYLGFLNLSYNNLSGSIPTNDHFLTSDNRSFAGNPHLCGGPLANKWFWVFFGTLFLKRDWRHSYFLYVDGVVDKIYVAIMDALRRVTSIVQMMVVKNMRRIVEEIQTFVVDIDLY >VigunL015562.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:829120:829363:1 gene:VigunL015562.v1.2 transcript:VigunL015562.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPTDVLEMNLGTDDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun02g079900.1.v1.2 pep primary_assembly:ASM411807v1:2:23245213:23248098:1 gene:Vigun02g079900.v1.2 transcript:Vigun02g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKISSLFFISLLVIVFATFSTTVSVEARKTKKNVHKRNSHKDNGHGRGSHSPSCPTPTPQGSMFDVLSFGAKGNGVSDDSEALVAAWDGACKVGGATVKIPAQFKFLIKPVTLQGPCMPHLTLQIDGTLLAPPEASSWPTSSLFQWINFKWVHNFTIKGSGTVDGQGYNWWSSSEFYDIQKKSYSKRIPSMKPTAIRFYSTNSVTVRDIRIINSPLCHLKFDNSKGIQVDNITISSPENSPNTDGIHLQNTQDVQIQRSIIATGDDCVSIQTGCSNIHVHHINCGPGHGISLGGLGKDKSAACVSDIIVEDVSMKNTLYGARIKTWQGGFGMVKNVSFSRIQVYDVMFPIMIDQYYCDKQKCKNETSTVVISGVKFDKISGSFGMQPVHIACSSSIPCTDVDLTDIELSPSPKYKGFQEAVCWNSYGKSQGPLLPSSIDECLKSGGGLIKRIARSHDRVCY >Vigun11g029100.1.v1.2 pep primary_assembly:ASM411807v1:11:3784491:3790155:-1 gene:Vigun11g029100.v1.2 transcript:Vigun11g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNWLSGSLPTSLDALQTLRVLDLSNNQLSGEVPHLKNLANLQVLNLENNTFGPHFPSLPTKLVSLVLRNNSFRLSVPSGLNSFYLLQRLDLSLNGFVGPFPPSLLSLPSINYLDISSNKFTGMLFSNMSCNDDLRFVNLSSNLLKGEVPSCLEPKTRVVLYDGNCLSNKNQDQHPLHFCSNEALAVKIIPPQPKHKRTTGKAVLVSSMGGVVGVVLILGVVILVVSRVHKKQVVKIPSKSPLENVTTQLHNEEEVKTTTRSIMEHIIKRVPDKRAVETLTRSIKEHVMSRVKNKRVVRASTRSIIEHVSSVNTAKLLTDARYISETMKMGASLPPYRTFALDELKEATNNFDSSCFISEGQHGQIYKGVLSDGMHISIRGLKMRKRHSPQTYMHHVEMISKLRHSHLVSALGHAFECNQDDSCVNSVFLIFEFVPNRSLRSCVSGSSGEKISWTQRIAATIGVVKGIQFLHTGIVPGLYSNNLKITDILLDNNHNVKISSYNLPLSSENKRMISNGTSPGLKGNVQTRIKGEDKNDVYDIGVILLEIILGRPIIFHNEVGTLKDLLHVSIKTDDIARRSIVDPAVHKECSDESLMTMMEICVRCLSDDLTERPSVEDILWNLQFAAQVQNSWKRDSSDHSYSPTPSSRDT >Vigun08g005800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:500906:503331:1 gene:Vigun08g005800.v1.2 transcript:Vigun08g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVMCGQPCSGKSKAALCLVEALKESESKHQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARASGIRYCVVYCDVEETFCRKWNEERREKGEASYEDSIFEDLVRRFEKPERRNRWDSPLFELWPHREETEKSSSAIIDVVSYLTKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIVEAQSQALGGPLNGVSVGKDLPVINISRCVGLPELRRMRRTFIKLTGQTSLSGPPPPSDADSAKRMFIDYLNRELGTS >Vigun05g003200.1.v1.2 pep primary_assembly:ASM411807v1:5:247466:249699:1 gene:Vigun05g003200.v1.2 transcript:Vigun05g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKVLRELLQTPGVHQGPACFDALGAKLIESAGFPYCITSGFSIAASRLALPDTGFISYGEMLDQCQLIADAVSIPIIADADNGYGNAMNLKRTVKGFIAAGFAGILLEDQVAPKACGHTRGRKVLPREEAVMKIRAAVDARAESGSDIVIVARTDARQAVSLEEALIRCNAFAEAGADVLFIDALASVEEMKALCQVSPHVPKLANMLEGGGKTPILSPKELEEVGFKLAVYPLSLVGVCIRAMQDALTAIKGGGVPPPGSMPSFEEIKDIVGFNAYYKEEERYATNTNKQA >Vigun01g216400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39010921:39011633:1 gene:Vigun01g216400.v1.2 transcript:Vigun01g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDPQDVADRERIFKHFDSNGDNQISSQELGEALKALGSVTPDEVQRMMDEIDTDGDGFISHQEFTDFAIANRGLIRDVAKIF >VigunL013950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:143838:144047:-1 gene:VigunL013950.v1.2 transcript:VigunL013950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVRLYYGFMTTFAIGPSYLFLLRARLVEEGTEKKIAETTGLLRDSS >Vigun03g009700.1.v1.2 pep primary_assembly:ASM411807v1:3:668819:676242:1 gene:Vigun03g009700.v1.2 transcript:Vigun03g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSFAVSTSPTRHDVFLSFRGEDTRDNFISHLYAALKRKNIETYIDYRLQRGEEISPALETAIEESKIYVIIFSENYASSTWCLNELTKILDCKKRYGRYVIPVFYKVDPSTIRKQKERYKEAFEEHEHRFKEDMEKVQGWKDALTEAAGLSGWDSKVTRPEYTLVEEIVKDILRKLINRCSISYDQGIIGIEKHIEAIRCLLHLESPYLRIIGICGMGGIGKTTISEQIYHTLAVHFDSRSLVLDVQEKLQRDGIDSIRIKYMSELLNEAPSPLLLYYKERLKRTRVLLILDDVTDSAQLEKLLRGCDSFGQGSRIIMTGRNKQVLKNVGADDVYELKGLNFHDSLKLFSLHAFKQNSLREITYMDLSVKVLRYAKGIPLALQILGSLLYDKPREAWESQLQKLERCQDLKIFNVLKLSYDELDEEQKNIFLDIACFYRGHEETVVAETLDDCGFSSKIEMDVLKDRCLISVLDGRIVMHDLIQEMGQEIVRKECPQHPGKRSRLLKVEEISEVLRNNKGSEALQSIVADMRKMEEVEVHAQCFEMIKNLRMLMLYYSRFRNESNVFRESSLIILPETLKILYWTGFPQRSLPPNFCPQNLVRLEMIGSHLEQLWEGDQYLPKLKRLNLSGSLKLTRIPDLSLSPNIEEIILSSCEKLINVHSSKLLSRLTCLCLDNCYDLKCVTVPSNILSTSPGLILLSHCHELEIFKTVETQLCDLPSLSSSRLRCNCALYGKALRSRLHHSEIFSITFDRYKEEEVANNDICLQCDGVSRKLTEGVPLDFQSLKKLCCLDLSGCSSLKIFPFNLSEMKFLRQLCLRRCSKLENFPEIQDTMEDLTVLILDRTAIQALPSSLWRLVGLQELSLCTCRNLEIIPSSIGSLTRLCKLDLSYCDSLQTFPSTIFKLKLRKLDLCCCFKFRTFPEITESAQTFAHINLTNTAVKELPSSFGNLANLRSLQLNRCTNLESLPNSIVNLKHLCKLDCSGCAKLTEIPTHIGLLSSLMELSLSVTGIVNLPKSIVHLSSLKSLDLTGCKNLECIPQIPPFLKQLVALDCPSIRQVMSNSLARNISNSKESVFKFYLTNAQQLDSGARANIEEDARLMMTDDAYKSACFCFPGSEVPNWFPFRSEGASVTINEDLSFCSDDRLIGFALCVVFGVLDTNDIEGRRGSFGYNLKFESDDDGTQIIPNNDVLKNYFEWNYEDKVVDKDRTFMWKFKLESLRRSGMRLRLCDARSFTFEISRNDYDFEQPDYESVVGEFKSVLTIKECGICPLYSNGSNVSQSSRTYRKRQKAESYLVS >Vigun03g257200.1.v1.2 pep primary_assembly:ASM411807v1:3:42328196:42330284:-1 gene:Vigun03g257200.v1.2 transcript:Vigun03g257200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGIKSSGSEVVTIDVHATKGLIQTGHVYLDVRTVEEFQKGHVDAEKIINIPYMFNTPEGRVKNQEFLKEVLSACKKEDRIIVGCQSGVRSVYATSDLLTEGFKDVTNMGGGYLDWVKNQFPVKTPSDLVKDEVPKKVPLDLVKNELPLKTP >Vigun09g260400.1.v1.2 pep primary_assembly:ASM411807v1:9:42570708:42574691:-1 gene:Vigun09g260400.v1.2 transcript:Vigun09g260400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFGKTDDGNKTDQYRIRTIHKTPPGRPEKKETVEGRKEEDLRILINYTLDDLKSATRNFRPDTVLGEGGFGRVFKGWIDKNTFKPSRVGVGIPVAVKKSNPDSLQGLQEWKSEVQFLGKLSHPNLVKLIGYCWEDNQFLLVYEYMQKGSLESHLFRRGPEPLSWDIRLKIAIGAARGLAFLHTSEKSVIYRDFKSSNILLDGDFNAKLSDFGLAKLGPVNGKSHVTTRVMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEMLTGRAALDTTLPTDMQNLVQFSLSSLNDKKRLQKIMDPNMDDQYSPRAAFHIAQVILKCLESDHRKRPSMVEVLANLEKAQTIKYKPKGNRASAIRQTSDLHHPNYPRSSPPPFHYNNND >Vigun09g021200.1.v1.2 pep primary_assembly:ASM411807v1:9:1642619:1656622:1 gene:Vigun09g021200.v1.2 transcript:Vigun09g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTAFLHEGGPFLSRKRPRALDLGHQDIDLHADAERCISTGDVPSSSNTDDKVDPDSGMEMSCPSNINSGYVPVCSTTGNISHMDQSFCGYVQQPALVSGWMYVNESGQMCGPYIKEQLYEGLTTGFLPSELPVYPVINGTIMNPVPLNYFKQFPDHVSTGFAYLIMGISGARMPTMAVYEQDRSFEAAPLAGNPDSESVSHSHVNYCSKESNHLNPHSEAFDSLISCQMLREECCWLYEDEKGMKHGPHSINELISWHSHGYIKDSAVIFHSDKKYDTFVLLSVVNALKGDTTGTICRSGSKINEVDDMVDLISEISENISSQLHMSIMKAGRRVVLDGIIGDIIAEYVTEKKCKRQKLESVAHTPENKMVSKGSAIPSDPAITHIFDDQACHESLRLPSASFKSVGSVENFWWSYAVVRKVLLDYCMQVMWNAVFFDTIADYLYSWRKRKIWSHPKPQPSTNGCKYHAEKIELEALVPKPGFPERNADGYNQFGVLTTKINHPNLFLSPSRFEGGNLLKGQNVSSPYNDSKDLSFILESVENELHFSSKASFADYIRHFVEKEVEKLVPFPEENKLNEVAVSDTCFSDILADKLSAKEILNEKSLDSVEEGNSFGKSASGNLMSDIFSKAFKELCGYGDDVVEEEIDNLPPGIEKKSQTVVLHHELKFRPSRSVECHPKITEYVATALCRQKLHDGVLEEWKSVFLDSVLDQVFISSTIKKHFMSDGQEKGETFNSSKKNLNGATSGLGREKEGEKSSEVRLVIGQNTYYRKKLSRKELVSSQTVAEKDSRPGKKPAGKLRKLVCGDVGDAVEVEITSVKHGKTKRIKGKKDSCSKGRSSVTVNGSSHSDKLSLKNKAGQKVLKFSDNVKDVVKSTVKKLSVPTDNSVREKKVVKSDATVKEKASGHSSREIQSATNKASKSKRKHQMDGTESSHPTKILKISNGDAYLDASKQVTVERTKSAKPKPLNLCPKSGGCARTSIDGWEWHRWSRSASPAYKARVRGLPSVQNKCIYSENNLSQLPNGKGLSARTNRVKLRNLLAAAEGADLLKVPQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPRISDIRERQYERMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCQPNCYTKVISVEGQKKIFIYAKRHIKAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Vigun02g007100.1.v1.2 pep primary_assembly:ASM411807v1:2:3100855:3103013:1 gene:Vigun02g007100.v1.2 transcript:Vigun02g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFHVDGVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMKPFLIKFFPGVHKQMKDKSESKNQYCKFDNELLTLFTSSLYLAALVASCFASTTTRKLGRKASMLIGGLFFLVGSLLNGFAINIEMLIIGRMFLGIGSVPVYLSEVAPAKIRGALNIGFQMMITIGILVANLINYGTSKHENGWRISLGIAVVPAILLCIGSLCVVETPNSLIERGKFEKAKKMLQKIRGTEKIDEEYQDIVDASEMAKNVEHPWKNITRPKYRPQLTFCIFIPTFQQLTGINVIMFYAPVLFQILGFGNDASLMSAVITGVVNVVATLVSIFTVDKFGRRVLLLEGGVQMFICQVIVGTMIGLRFGLNGQGAFSKVEADILLFFICAYVATFAWSWGPLGWLVPSEICSLEIRPAGQAINVATNILFTFAIAQVFLTMLCHLKFGLFFFFAAFVVIMTIFIASLLPETKNVPIEEMNILWTSHWFWKKIVPNDIDFKSKNSKSVV >Vigun11g030200.1.v1.2 pep primary_assembly:ASM411807v1:11:3917607:3921011:-1 gene:Vigun11g030200.v1.2 transcript:Vigun11g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKRKRVHCVVLAYPHQGHINPMLQFSKRLQHDGVRVTFVTSHFYCKTIPNIPSSITLEGISDGFDDAGIAEADTIKTYLDRFWKFGPQTLSELLERLTSCGNLIHCVVYDSFLPWTLDIAKNHALTCAVFLTQPLFVNCVYHHVQKGNLKLPLPNHNAIISLPGLPLLQPFDLPSFIYSYGSYSASFELVVNQFSNIEKADWVLCNTFYELEKEVTEWMMKIWPKLKTIGPTIPSMFLDKRAKDDDEYGLSLFKSEECVKWMDEKPKGSIVYVSFGSFSTLSEEQMEEVAFGLQESGYHFLWVVRATEMGKIPKGFERVNSEKGLVVTWCSQLKVLEHEGVGCFVTHCGWNSTLEALSLGVPMIGMPQWTDQTTNAKVIEDVWEIGVRVSVDDKGVFRREGLKHALKEIMETERGIEIKRKAIQWKNLAAKSVDEGGSSDMNIREFMDSLVHS >Vigun03g250850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41680328:41681103:1 gene:Vigun03g250850.v1.2 transcript:Vigun03g250850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLASTKKHKEINAFDNLISDSIKKIHEHHRRRAFFLSFSYSQRSLLML >Vigun05g292625.1.v1.2 pep primary_assembly:ASM411807v1:5:47867909:47868948:-1 gene:Vigun05g292625.v1.2 transcript:Vigun05g292625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQAIISSGRLSRFLACPEHKFKDGDTNSCPSSFLSEQPDCVQGLGVFIQDACCTLSSSEEQALNMVLNHVTLSVSQGSFVAVIGEWRRSSRKWR >Vigun10g030600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3952844:3953796:-1 gene:Vigun10g030600.v1.2 transcript:Vigun10g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHTPFKTTIALSLLVFLSLFFTSSHSALTCTSYKIPKNRTYANCTALPTLGAILHYTYNATNRTLAVAYAAEPPKPSGWVAWGLNLAGGGMIGTEAFIAIPGSSGGTTVHRYNLTSYRGIDEVKAFTFDSWDVATEADGGVVAIFAVVAIPEKAGNVTHVWQVGPTKDGKLSIHEAKPDNLQAKAALPVALAPAAAGGSGNSSGSGNATAPAAAGGDKSGVSERFGAGFYFGLVVALMTGVVAL >Vigun05g108300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11095633:11097071:1 gene:Vigun05g108300.v1.2 transcript:Vigun05g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNEKEKIHNPKKLKRLYKTVLLKLKCAFIEIEIERRFLFSLVTHYIAFQNQKPSVTPCILVTMHNRSNPVATTNVVNYTTSSNTYDLYDVTFENRTIHTQVTPNSSEVDRWLSSNAGRRLGLLVGLDVEWRPNTHPNRRNPIATLQLCVGHACLIFHIINSRFIPRSLVSFLQDPNVTFLGVGIRADADKLLEDYGLNVVNVCDLRSLAERRVPHLMHAGLKMLCLHVLGVEVEKPQSVTRSLWDNPQLTPEQVKYAAIDAFLSYEIGRLLMGR >Vigun05g108300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11095805:11097046:1 gene:Vigun05g108300.v1.2 transcript:Vigun05g108300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNEKEKIHNPKKLKRLYKTVLLKLKCAFIEIEIERRFLFSLVTHYIAFQNQKPSVTPCILVTMHNRSNPVATTNVVNYTTSSNTYDLYDVTFENRTIHTQVTPNSSEVDRWLSSNAGRRLGLLVGLDVEWRPNTHPNRRNPIATLQLCVGHACLIFHIINSRFIPRSLVSFLQDPNVTFLGVGIRADADKLLEDYGLNVVNVCDLRSLAERRVPHLMHAGLKMLCLHVLGVEVEKPQSVTRSLWDNPQLTPEQVKYAAIDAFLSYEIGRLLMGR >Vigun08g059200.1.v1.2 pep primary_assembly:ASM411807v1:8:7620282:7623796:-1 gene:Vigun08g059200.v1.2 transcript:Vigun08g059200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKLPRKSLKPDSDESTWGDSALSADSPRVASKSQRPHGGSSTAAKRASSSAVFPASMVSGFEPLVPFKDVANAEKMNLFVSKLSLCCVTFDFSDPSKSVADKDVKRKTLVELVDFVACGTMKFSEPAILALCRMCAFNLFRVFPPNYRTGGGENDDDEPMFDPAWPHLQLVYELLLKFISSSCLDAKVAKKYIDHSFIARLLELFDSEDPRERDCLKAILHRIYGKFMVHRPYIRKSINNIFYRFVFETEKHSGIGELLEIFGSVITGFALPLKEEHKIFLWRVLVPLHKPKSIGVYFQQLSHCVMQFIEKEPKLASIVIRGLLKYWPATNSQKEAIFLGELEEILEVINMVEFQRIMVPLFWRIGCCINSLHFQVAERALFLWNNDHIVNLIAHNRQVILPIIFPALDRNVQSHWNPAVVNLTHNIRKMFLEMDEKLFISCHNHFKEEEAILTSAAEKRKEAWKQLEHAASLRPVTGNTAVLVS >Vigun06g229500.1.v1.2 pep primary_assembly:ASM411807v1:6:33656981:33660350:-1 gene:Vigun06g229500.v1.2 transcript:Vigun06g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSANHHPHPNGERRQWPPAAAAEAAPTVAAHSSYNGYRQYHPTTPARSSSSASFKGCCCCLFLLFSFLALLVLAVVLVIILAVKPKKPQFDLEQVGVQYMGITSTPPSTASLTLTIRLLFAATNPNKVGIRYGQSSFTVMYRGIPLGKATVPGFFQQPHSHRQVIATISVDRVNLLQADAADLIRDASLNDRVDLRVLGDVSAKIRVMNFDSPGVQVSVDCAIVISPRKQSLSYKQCGFDGLTV >Vigun07g245000.1.v1.2 pep primary_assembly:ASM411807v1:7:36593994:36595506:1 gene:Vigun07g245000.v1.2 transcript:Vigun07g245000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELIFPENSLLSATAITKHMDSDSNPPLHEAYEMLLNKTRNSNEQSGCDDDKVVVVVVEDTELAVINVRHLAESDEVRREKCKSEIAKASEEWGFFQIVNHGISNDVFSGLRVEREKIFQQTFEKKSKENTFFNFSADSYSWGAPSATCIRQLSWSEAFHIPLTDILGSSESNTFSSTIKEFATEVSTLAKTLADILAEKMGQKCSLFEENCLPSSCYFRLNRYPPCPLASEIHGLQPHTDSSFLTILHQDEVGGLQIMKDGKWIAVKPNPDALLIIIGDLFQAWSNGVYKSLQHRVVTNPRLERFSMAYFFCPSNDTVIRSCTEKSEYRNFSFGEYMQEVRDDVQKLGYKIGLPKFLIR >Vigun07g245000.2.v1.2 pep primary_assembly:ASM411807v1:7:36593405:36595580:1 gene:Vigun07g245000.v1.2 transcript:Vigun07g245000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAITKHMDSDSNPPLHEAYEMLLNKTRNSNEQSGCDDDKVVVVVVEDTELAVINVRHLAESDEVRREKCKSEIAKASEEWGFFQIVNHGISNDVFSGLRVEREKIFQQTFEKKSKENTFFNFSADSYSWGAPSATCIRQLSWSEAFHIPLTDILGSSESNTFSSTIKEFATEVSTLAKTLADILAEKMGQKCSLFEENCLPSSCYFRLNRYPPCPLASEIHGLQPHTDSSFLTILHQDEVGGLQIMKDGKWIAVKPNPDALLIIIGDLFQAWSNGVYKSLQHRVVTNPRLERFSMAYFFCPSNDTVIRSCTEKSEYRNFSFGEYMQEVRDDVQKLGYKIGLPKFLIR >Vigun11g022100.3.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKVHTRTRKFDYVDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTLENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMVLPKPKHPPFSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun11g022100.4.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTLENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMVLPKPKHPPFSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun11g022100.2.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKVHTRTRKFDYVDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTLENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMVLPKPKHPPFSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun11g022100.7.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFGMFLHSFDASIRSTNACSAIVSLHFVYGVLKYFMHMGYS >Vigun11g022100.9.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFASEFSS >Vigun11g022100.5.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKVHTRTRKFDYVDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYVSLLMLYMAKNYSRILVDA >Vigun11g022100.8.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFVDTWLPSMLWKDCFQ >Vigun11g022100.1.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTLENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMVLPKPKHPPFSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun11g022100.6.v1.2 pep primary_assembly:ASM411807v1:11:2684149:2688307:1 gene:Vigun11g022100.v1.2 transcript:Vigun11g022100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLSSVYQTNLEEMLRWASSDAATSKGYNHKNIDNNSPVYGLYDCRGDVVGYFCQFCVSTAAQEAPQRCPNRVSAMVWYEFCILRYSDENFYGTVFTNPTWHSLGEKNVSKTEHIQKGYDFVRSLIRKATKETNQLFYMDSFNLSSTERRYGSVQCTRDLTNEGCRQCLETILAQVAECCEQKLGWAIWTESCAIKYDDHMFYQTSSVAEPNPKLAKQGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDKLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILIYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYVSLLMLYMAKNYSRILVDA >Vigun05g188700.1.v1.2 pep primary_assembly:ASM411807v1:5:36629968:36634005:-1 gene:Vigun05g188700.v1.2 transcript:Vigun05g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTTDSEMDQQRRGYHHSEPCILTRGATNISQPNNMHAMVRASGNTTNVDSHFLPDAYDNARVYGVTQYNGIQHPHNLDMGVPAAANLYYSGINPSSGAGVFPLPLNHRASDQLPGSSTFAVAGVSSDNFGRSSGFIDDVRGPYKRKIAEGMRGNYQYFNASSSSSIAPPNARRTTDGVAMMDGAPFSFRIPSLVEVGPHGSAWSRAGESIMVHDHNHLIHGNYLGQHFQPAAPPWLDQQLNGNNNDGHTTPWNQSLPMPYVQAPTVNGGSLDSASMGLQRYHDPAGSRNGLRFPHPLPVNQQHHNYHHPAMPMQGVRGHNINFHPAVTAASFRVPINPSRGVAIPAQPGFELGPRHVGPAPSPGLRIYRPHRGIVPETALGHRSLPPVGFLQVDDVALIDEVGNLVDHHRDMRLDIEDMSYEDLLALGERIGNVSTGLSEETITNQLKTKSYSSIATGINLEEEACDDQETDSCIICQEEFKNQEKIGVLRCEHEYHAECLKKWLVVKNVCPICKSEALTPGRKDV >Vigun05g188700.2.v1.2 pep primary_assembly:ASM411807v1:5:36629968:36634005:-1 gene:Vigun05g188700.v1.2 transcript:Vigun05g188700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTTDSEMDQQRRGYHHSEPCILTRGATNISQPNNMHAMVRASGNTTNVDSHFLPDAYDNARVYGVTQYNGIQHPHNLDMGVPAAANLYYSGINPSSGAGVFPLPLNHRASDQLPGSSTFAVAGVSSDNFGRSSGFIDDVRGPYKRKIAEGMRGNYQYFNASSSSSIAPPNARRTTDGVAMMDGAPFSFRIPSLVEVGPHGSAWSRAGESIMVHDHNHLIHGNYLGQHFQPAAPPWLDQQLNGNNNDGHTTPWNQSLPMPYVQAPTVNGGSLDSASMGLQRYHDPAGSRNGLRFPHPLPVNQQHHNYHHPAMPMQGVRGHNINFHPAVTAASFRVPINPSRGVAIPAQPGFELGPRHVGPAPSPGLRIYRPHRGIVPETALGHRSLPPVGFLQVDDVALIDEVGNLVDHHRDMRLDIEDMSYEDLLALGERIGNVSTGLSEETITNQLKTKSYSSIATGINLEEEACDDQETDSCIICQEEFKNQEKIGVLRCEHEYHAECLKKWLVVKNVCPICKSEALTPGRKDV >Vigun09g051600.1.v1.2 pep primary_assembly:ASM411807v1:9:5097025:5100480:1 gene:Vigun09g051600.v1.2 transcript:Vigun09g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFLFFILFSFTYASEQIFPAHIGSIFGGAGAGAAAGGRSREPKYNIEFHPQDSPFVPDDDQESITIPDKNGQKFICYLPKVEKEKSGKPVIQHNVSSMIVETEKRIKQKTPDELLEALKGPCFLRQEGWWSYEFCYQKKLRQLHLEDDKIVQEFILGEYDPEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTMCDLTNRPRETEVRFVCSEPRTMISSITEISTCKYALTVQCPTLCKHPLFQEEIPVWHTIDCNVLPKKHMGSEVRQENLNMEIVMVTDSENNDSELEQ >Vigun07g078600.1.v1.2 pep primary_assembly:ASM411807v1:7:10785932:10808783:-1 gene:Vigun07g078600.v1.2 transcript:Vigun07g078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVQMSVDGDSKDLKELSQRLRDGKKIAGGEHELCEGDEVKCNGLAEGVKAGQEGTVESSAPQHIPQPQGAIICWERFLHIRSLKVLLVEIDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLILTEVAMPGLSGIGLLYKIMGHKTRKNIPVVMMSSLDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTHTQKSVKSKSLEKSDNNSGSNDEDDNGSVGLNNGDGSDNGSGTQSSWTKRAVEVDSPKPVSQWDQIAECPDSTCAQVVHSNAEIGGNKVAPLGAKECSEQKEQLVKTAGSKHSNAPDAAPSKPLDLNCENQSSKLRCKGLSLSEAITSSSDSQMHSGEFEALNKRLKPSDTENKGTNNDEELPSLDLSLKRLRGVKDADITIQDDRNVLRRSDQSAFSRYNAASNTKKSPTGCVGSNSPYNNSLEVTKKDSSRDIQSHSSGNLPNQNSNGASNNIDMGSTTNNAYAKSAVISELAVASTKCLYQTSAFQPIKNNLVCNSQQVILHNTEDKAARMLALPKVDRHRDSAAPDFHLHYENGNCTDDMHQLPSDHDTESAKKLATAATPHCGSSNVVEVLVEGNVGNLSNNRSVSGSNNGSNGQNGGSTAVNAGGTNMESDNGLPGNSGSGDASGSRSANRVDQNKTSQREAALTKFRQKRKERKERCFHKKVRYQSRKKLAEQRPRFRGQFVRQSSNEKTSEATTER >Vigun07g078600.4.v1.2 pep primary_assembly:ASM411807v1:7:10785966:10808722:-1 gene:Vigun07g078600.v1.2 transcript:Vigun07g078600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVQMSVDGDSKDLKELSQRLRDGKKIAGGEHELCEGDEVKCNGLAEGVKAGQEGTVESSAPQHIPQPQGAIICWERFLHIRSLKVLLVEIDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLILTEVAMPGLSGIGLLYKIMGHKTRKNIPVVMMSSLDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTHTQKSVKSKSLEKSDNNSGSNDEDDNGSVGLNNGDGSDNGSGTQSSWTKRAVEVDSPKPVSQWDQIAECPDSTCAQVVHSNAEIGGNKVAPLGAKECSEQKEQLVKTAGSKHSNAPDAAPSKPLDLNCENQSSKLRCKGLSLSEAITSSSDSQMHSGEFEALNKRLKPSDTENKGTNNDEELPSLDLSLKRLRGVKDADITIQDDRNVLRRSDQSAFSRYNAASNTKKSPTGCVGSNSPYNNSLEVTKKDSSRDIQSHSSGNLPNQNSNGASNNIDMGSTTNNAYAKSAVISELAVASTKCLYQTSAFQPIKNNLVCNSQQVILHNTEDKAARMLALPKVDRHRDSAAPDFHLHYENGNCTDDMHQLPSDHDTESAKKLATAATPHCGSSNVVEVLVEGNVGNLSNNRSVSGSNNGSNGQNGGSTAVNAGGTNMESDNGLPGNSGSGDASGSRSANRVDQNKTSQREAALTKFRQKRKERKERCFHKKVRYQSRKKLAEQRPRFRGQFVRQSSNEKTSEATTER >Vigun07g078600.2.v1.2 pep primary_assembly:ASM411807v1:7:10785961:10808806:-1 gene:Vigun07g078600.v1.2 transcript:Vigun07g078600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVQMSVDGDSKDLKELSQRLRDGKKIAGGEHELCEGDEVKCNGLAEGVKAGQEGTVESSAPQHIPQPQGAIICWERFLHIRSLKVLLVEIDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLILTEVAMPGLSGIGLLYKIMGHKTRKNIPVVMMSSLDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTHTQKSVKSKSLEKSDNNSGSNDEDDNGSVGLNNGDGSDNGSGTQSSWTKRAVEVDSPKPVSQWDQIAECPDSTCAQVVHSNAEIGGNKVAPLGAKECSEQKEQLGSKHSNAPDAAPSKPLDLNCENQSSKLRCKGLSLSEAITSSSDSQMHSGEFEALNKRLKPSDTENKGTNNDEELPSLDLSLKRLRGVKDADITIQDDRNVLRRSDQSAFSRYNAASNTKKSPTGCVGSNSPYNNSLEVTKKDSSRDIQSHSSGNLPNQNSNGASNNIDMGSTTNNAYAKSAVISELAVASTKCLYQTSAFQPIKNNLVCNSQQVILHNTEDKAARMLALPKVDRHRDSAAPDFHLHYENGNCTDDMHQLPSDHDTESAKKLATAATPHCGSSNVVEVLVEGNVGNLSNNRSVSGSNNGSNGQNGGSTAVNAGGTNMESDNGLPGNSGSGDASGSRSANRVDQNKTSQREAALTKFRQKRKERKERCFHKKVRYQSRKKLAEQRPRFRGQFVRQSSNEKTSEATTER >Vigun07g078600.3.v1.2 pep primary_assembly:ASM411807v1:7:10785962:10808805:-1 gene:Vigun07g078600.v1.2 transcript:Vigun07g078600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVQMSVDGDSKDLKELSQRLRDGKKIAGGEHELCEGDEVKCNGLAEGVKAGQEGTVESSAPQHIPQPQGAIICWERFLHIRSLKVLLVEIDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLILTEVAMPGLSGIGLLYKIMGHKTRKNIPVVMMSSLDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTHTQKSVKSKSLEKSDNNSGSNDEDDNGSVGLNNGDGSDNGSGTQSSWTKRAVEVDSPKPVSQWDQIAECPDSTCAQVVHSNAEIGGNKVAPLGAKECSEQKEQLVKTAGSKHSNAPDAAPSKPLDLNCENQSSKLRCKGLSLSEAITSSSDSQMHSGEFEALNKRLKPSDTENKGTNNDEELPSLDLSLKRLRGVKDADITIQDDRNVLRRSDQSAFSRYNAASNTKKSPTGCVGSNSPYNNSLEVTKKDSSRDIQSHSSGNLPNQNSNGASNNIDMGSTTNNAYAKSAVISELAVASTKCLYQTSAFQPIKNNLVCNSQQVILHNTEDKAARMLALPKVDRHRDSAAPDFHLHYENGNCTDDMHQLPSDHDTESAKKLATAATPHCGSSNVVEVLVEGNVGNLSNNRSVSGSNNGSNGQNGGSTAVNAGGTNMESDNGLPGNSGSGDASGSRSANRVDQNKTSQREAALTKFRQKRKERKERCFHKKVRYQSRKKLAEQRPRFRGQFVRQSSNEKTSEATTER >VigunL079500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000591.1:64756:66478:-1 gene:VigunL079500.v1.2 transcript:VigunL079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLSKFFHYPIGFNLSNSFPLKCDVSSFYAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNLVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVVR >Vigun02g085300.1.v1.2 pep primary_assembly:ASM411807v1:2:23969244:23973070:1 gene:Vigun02g085300.v1.2 transcript:Vigun02g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFCRTLLTFWSILIHLFSLSILKSIWFTPNITVFASGNETEHLALLKFKESISNDPSRIMLSWNTSTHFCNWHGITCNSVLQRVTELNLKGYKLKGFISPYVGNLSHMTYFNIGNNSFYGEIPHEIGKLSKLQYLSVANNLLLEGKFPSNLTACTGLKILHLYGNNLTGEIPVEILSLQKLQQLILWKNNFSGRIPSFMGNLSSLIYLSLSVNNFNENIPQEICRLKRLTFLSLDINKLTGVFPSCLYNMSSLTVFSANQNQLNGSLPSNMFHTLSNLQALYIDGNQISGPIPSSIANASTLSILGMDDNNIYGQVPSMGKQRHLQSLTLGLNNLGDNSPNDLEFLKSLTNCSELQVLSLAYNNFGGRLPNSLGNLSTKLSELYLGGNQISGDIPATCGNLIGLILFTMERNLIHGIIPITLAKLQKLQVLDLRGNKLSSLGTFIGNLSRLYYLDVAKNMLQGSIPPSLGNCQDLQHLDLSQNNLTGTIPLQVFNLSSLATVFSLSQNFLSGNIPEEVGNLKNLNSLYLYENRLSGHIPKTIGECIMLEELYLNGNSLQGSIPSSLASLRGLQSLDLSRNLLSGSIPSVLQSISLLQYFNVSFNMLDGEVPTKGIFSNASGIIVTGNSNLCGGISKLHLPLCPAKATKQARHHSLRLIAIIVSVVASLLILLVILTIYWMRKRSNKPSLDSPTIDQLPKVSYQSLYNGTNGFSSSNLIGSGSFSSVYKGTLEQEDKIVAIKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQEFKAIIFEYMTNGNLEQWIHPETPSAQHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGIARLLSTFNHTTSGQTSTNGIKGTVGYAPPEYGERYKVSTYGDVYSFGILILEMLTGRRPTDEMFKDGQNLRNFVAVSIPNNLLQILDQRIISEYEATAVEGNCGNLNAEAEKCVVSLFRIGLACSVESPKERMNLVDVIRELNQITKVSSLLEMTIE >Vigun11g129600.1.v1.2 pep primary_assembly:ASM411807v1:11:33734850:33735175:1 gene:Vigun11g129600.v1.2 transcript:Vigun11g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPFRPREKLFEKQKYFQNIPKHTYLKGPMDKVTSVAIPLALAATSLYLIGRGIYNMSHGIGKKE >Vigun01g130800.1.v1.2 pep primary_assembly:ASM411807v1:1:30875157:30877834:1 gene:Vigun01g130800.v1.2 transcript:Vigun01g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >Vigun01g130800.2.v1.2 pep primary_assembly:ASM411807v1:1:30874165:30877823:1 gene:Vigun01g130800.v1.2 transcript:Vigun01g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >Vigun01g234000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40581589:40582175:1 gene:Vigun01g234000.v1.2 transcript:Vigun01g234000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAMLVAEEYERRTKCLKKGEEAFPATKFHTLSFSSSFSSSFPEDKIEVQKQEFMKRLWEPKTQIAIAASNSFFSA >Vigun03g201350.1.v1.2 pep primary_assembly:ASM411807v1:3:30886351:30888019:-1 gene:Vigun03g201350.v1.2 transcript:Vigun03g201350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDKEVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGISMNPIIEIAQDTLF >Vigun04g154600.1.v1.2 pep primary_assembly:ASM411807v1:4:37406165:37410309:-1 gene:Vigun04g154600.v1.2 transcript:Vigun04g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCESYERKYDVFLSFRGDDTRKGFTSHLHDALCQKGVNTFIDYKELKKGEKISPSLFCAIEGSRISVVVLSKTYASSTSCLDELLKILECKNSKAHLVLPVFYHINPSQVREQKGSFEEALTNHEDMFRDDVDKVKRWRAALESKFVKRIVEEILSKLNHTPLNVAKHAVGLDSVVEDIKSLLETGLDDVRFLGIYGIGGIGKTTAAKAVYNHIANEYEASAFIANVREVSNQRLGMVQLQEALLSEVFKYGDFKVGNKDRGINLIKAKLYCKKVLIVVDDVDSVQQLECLVGECSWFGAGSRIIITTRDEHLLIVHNVEAAYKVKELSHGHALELFSWFAFKKPFPPTNYEELSLHIINHAKGLPLALTVLGSHLCGRARAEWVSALAKLGKVPNKQIYEVLKISFDGLEENEKAIFLDIACFFKGEDKEYVKMILDGCDFHSDIGIGVLRDKSLITVELNKLWMHELLQEMAKEIVRCESPKEPGKRSRLWFHEDVLHVLNQNMGTNNIEGIKLDLPEPNEHVSISGKAFTKMKRLRLLMINNAQISHDIRYLSDELRLIDWPGYPSSTLPPTFQPKRLVSLKLTDGLMKTLWNGIKIFRDLKLVSFSCCEHLTEIPDFSMIPNLESLSLDHCKSLTKVHESVGSLDKLVTLDILLCSNLKILPSCFKLKSLRTLILTGCSKVRKFPEIVERMVHLEKLLLQGTSIKELPQSIEYLNGLKTLLLDSCKKLEHLPSTLHNLQHLTAIGLSDCFQLKELPNLPLNTEYINTTNCRSLESFPTLFNSSNLPRFSQMMFINCSKLIDKQFQDHITNLLYHEESVREVMLPGSSIPDWFHQQSTNGLISLEVSSRLYGKAVDMFFGAVFELDKDVITTGILSCVYEIIINDQRILARERGFDSLDRSHVWLTKENYGRLVWPLNNIHRWNHFRISFGICEASSKVKVKASLKSVGFHVSSKKEKYLIDHETVRKYTVI >Vigun10g174400.1.v1.2 pep primary_assembly:ASM411807v1:10:39300814:39304263:1 gene:Vigun10g174400.v1.2 transcript:Vigun10g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPFDPYYLYQQDDRSNINTLFVSGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRANQVVAFATFFNHQSAMAALHSLNGVKFDPQTGSVLHIELARSNSRRKRKPGGGAYVVIDKRSKGERDVQGSSSDDGDSDPDEPSDSDGNHGDIPIIPSDDAAVGSGHAIPVEQHEKGSAGGLCSTLFIANLGPNCTEDELKQAFSAYAGFNMVKMRSRGGMPVAFADFEEIDQAAKVVEELQGSLLPSSDRGGMHIEYARSKMRKH >Vigun10g174400.2.v1.2 pep primary_assembly:ASM411807v1:10:39300814:39304263:1 gene:Vigun10g174400.v1.2 transcript:Vigun10g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHSLNGVKFDPQTGSVLHIELARSNSRRKRKPGGGAYVVIDKRSKGERDVQGSSSDDGDSDPDEPSDSDGNHGDIPIIPSDDAAVGSGHAIPVEQHEKGSAGGLCSTLFIANLGPNCTEDELKQAFSAYAGFNMVKMRSRGGMPVAFADFEEIDQAAKVVEELQGSLLPSSDRGGMHIEYARSKMRKH >Vigun01g093600.6.v1.2 pep primary_assembly:ASM411807v1:1:25629994:25644121:1 gene:Vigun01g093600.v1.2 transcript:Vigun01g093600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSHCAKNVAGERLDDGFLCCGSCGRVLEDYFFAEEPTFVKNAAGQSKLSGNYVRTVQSEFSESRQRTLDRAHDEIKYLSLGLGVQDEHMADQALTFYKIALERNFTRGRKSEQVQAACLYIAFRHNNKPYLLIDFSNYLRIDVYVLGSVFLQLCEVLRLGEHPIVQKPIDPSLFIHRFTKNLIKRGSKAVSDTALTIVASMKRDWMQTGRKPSGLCGAALYISALAHGIKCSKPDILRIVHVCEATLTKRLVEFENTKCSSLTVEELDTMAKEQENNPTVMPEGELKAGISSKDLLCEHKDSGASHFALGLCEACYKDFDKLSGGLGGGLDPPAFQRAEREREKRALLEESVDEACDLANASNDQFKSHREDLPVPEGANVAHESTKDGEYDDSFREDESETLSDIDDEDVDLYIHDEEGRKIKKILWEAANREYLEEQAAKEAIAAANKKAFEANFENCSEDLLAARELAASASEAVAKSRKEMKQRRANEAKNMGPAQSAAEAFGQMSKKRQLQSLKSKVNFDRLGELFNELEKTDDPKKEKKARFDSLLDNDDKIKSFEHNDDKIKSFEYKNDDEIGSVDEFEDDMGEMYQSGLSTENIADTYFPEDDGYGYNDYDY >Vigun01g093600.5.v1.2 pep primary_assembly:ASM411807v1:1:25629199:25643873:1 gene:Vigun01g093600.v1.2 transcript:Vigun01g093600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFNPRFGVIRRDSCDSDDSFQAIRIVEEENHIVSFVSTTMVYCSHCAKNVAGERLDDGFLCCGSCGRVLEDYFFAEEPTFVKNAAGQSKLSGNYVRTVQSEFSESRQRTLDRAHDEIKYLSLGLGVQDEHMADQALTFYKIALERNFTRGRKSEQVQAACLYIAFRHNNKPYLLIDFSNYLRIDVYVLGSVFLQLCEVLRLGEHPIVQKPIDPSLFIHRFTKNLIKRGSKAVSDTALTIVASMKRDWMQTGRKPSGLCGAALYISALAHGIKCSKPDILRIVHVCEATLTKRLVEFENTKCSSLTVEELDTMAKEQENNPTVMPEGELKAGISSKDLLCEHKDSGASHFALGLCEACYKDFDKLSGGLGGGLDPPAFQRAEREREKRALLEESVDEACDLANASNDQFKSHREDLPVPEGANVAHESTKDGEYDDSFREDESETLSDIDDEDVDLYIHDEEGRKIKKILWEAANREYLEEQAAKEAIAAANKKAFEANFENCSEDLLAARELAASASEAVAKSRKEMKQRRANEAKNMGPAQSAAEAFGQMSKKRQLQSLKSKVNFDRLGELFNELEKTDDPKKEKKARFDSLLDNDDKIKSFEHNDDKIKSFEYKNDDEIGSVDEFEDDMGEMYQSGLSTENIADTYFPEDDGYGYNDYDY >Vigun01g093600.1.v1.2 pep primary_assembly:ASM411807v1:1:25629104:25644120:1 gene:Vigun01g093600.v1.2 transcript:Vigun01g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSHCAKNVAGERLDDGFLCCGSCGRVLEDYFFAEEPTFVKNAAGQSKLSGNYVRTVQSEFSESRQRTLDRAHDEIKYLSLGLGVQDEHMADQALTFYKIALERNFTRGRKSEQVQAACLYIAFRHNNKPYLLIDFSNYLRIDVYVLGSVFLQLCEVLRLGEHPIVQKPIDPSLFIHRFTKNLIKRGSKAVSDTALTIVASMKRDWMQTGRKPSGLCGAALYISALAHGIKCSKPDILRIVHVCEATLTKRLVEFENTKCSSLTVEELDTMAKEQENNPTVMPEGELKAGISSKDLLCEHKDSGASHFALGLCEACYKDFDKLSGGLGGGLDPPAFQRAEREREKRALLEESVDEACDLANASNDQFKSHREDLPVPEGANVAHESTKDGEYDDSFREDESETLSDIDDEDVDLYIHDEEGRKIKKILWEAANREYLEEQAAKEAIAAANKKAFEANFENCSEDLLAARELAASASEAVAKSRKEMKQRRANEAKNMGPAQSAAEAFGQMSKKRQLQSLKSKVNFDRLGELFNELEKTDDPKKEKKARFDSLLDNDDKIKSFEHNDDKIKSFEYKNDDEIGSVDEFEDDMGEMYQSGLSTENIADTYFPEDDGYGYNDYDY >Vigun01g093600.2.v1.2 pep primary_assembly:ASM411807v1:1:25626173:25644120:1 gene:Vigun01g093600.v1.2 transcript:Vigun01g093600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSHCAKNVAGERLDDGFLCCGSCGRVLEDYFFAEEPTFVKNAAGQSKLSGNYVRTVQSEFSESRQRTLDRAHDEIKYLSLGLGVQDEHMADQALTFYKIALERNFTRGRKSEQVQAACLYIAFRHNNKPYLLIDFSNYLRIDVYVLGSVFLQLCEVLRLGEHPIVQKPIDPSLFIHRFTKNLIKRGSKAVSDTALTIVASMKRDWMQTGRKPSGLCGAALYISALAHGIKCSKPDILRIVHVCEATLTKRLVEFENTKCSSLTVEELDTMAKEQENNPTVMPEGELKAGISSKDLLCEHKDSGASHFALGLCEACYKDFDKLSGGLGGGLDPPAFQRAEREREKRALLEESVDEACDLANASNDQFKSHREDLPVPEGANVAHESTKDGEYDDSFREDESETLSDIDDEDVDLYIHDEEGRKIKKILWEAANREYLEEQAAKEAIAAANKKAFEANFENCSEDLLAARELAASASEAVAKSRKEMKQRRANEAKNMGPAQSAAEAFGQMSKKRQLQSLKSKVNFDRLGELFNELEKTDDPKKEKKARFDSLLDNDDKIKSFEHNDDKIKSFEYKNDDEIGSVDEFEDDMGEMYQSGLSTENIADTYFPEDDGYGYNDYDY >Vigun01g093600.3.v1.2 pep primary_assembly:ASM411807v1:1:25629166:25644120:1 gene:Vigun01g093600.v1.2 transcript:Vigun01g093600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSHCAKNVAGERLDDGFLCCGSCGRVLEDYFFAEEPTFVKNAAGQSKLSGNYVRTVQSEFSESRQRTLDRAHDEIKYLSLGLGVQDEHMADQALTFYKIALERNFTRGRKSEQVQAACLYIAFRHNNKPYLLIDFSNYLRIDVYVLGSVFLQLCEVLRLGEHPIVQKPIDPSLFIHRFTKNLIKRGSKAVSDTALTIVASMKRDWMQTGRKPSGLCGAALYISALAHGIKCSKPDILRIVHVCEATLTKRLVEFENTKCSSLTVEELDTMAKEQENNPTVMPEGELKAGISSKDLLCEHKDSGASHFALGLCEACYKDFDKLSGGLGGGLDPPAFQRAEREREKRALLEESVDEACDLANASNDQFKSHREDLPVPEGANVAHESTKDGEYDDSFREDESETLSDIDDEDVDLYIHDEEGRKIKKILWEAANREYLEEQAAKEAIAAANKKAFEANFENCSEDLLAARELAASASEAVAKSRKEMKQRRANEAKNMGPAQSAAEAFGQMSKKRQLQSLKSKVNFDRLGELFNELEKTDDPKKEKKARFDSLLDNDDKIKSFEHNDDKIKSFEYKNDDEIGSVDEFEDDMGEMYQSGLSTENIADTYFPEDDGYGYNDYDY >Vigun04g010700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:808733:809602:1 gene:Vigun04g010700.v1.2 transcript:Vigun04g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVIGLSGIVCGVFSMVITETNHIRISPCMWHFPSEIIKWMKTNRSFDAWGGVIDTSLYFYARGGRLGLLAVESKKNTTEEDAEVVTAAHYFVKSSGTCFYGSTGANIGLSVVAKFRASNGKFQITVEGPEQHPVSSLHCMIDEVNTTGIWKPTMCPHCYQKRRGKLLWQSDSEDSDSVSIPVPRANPRNARGVSNGGRLQGNGNGNFIENNVMVFKRRC >Vigun10g109900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30930959:30935277:1 gene:Vigun10g109900.v1.2 transcript:Vigun10g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDSAPSTPGKFKMEKATYFNRVRWHTSLAKLAVWSFVFLGAILIFFFRSPASTPVPVDLSRRSLRTYNWGGPVWEKRVRASARVRSRNGFAVLVTGAAGFVGTHVSAALKRRGDGVLGIDNFNDYYDPSLKRARQLLLERTGVYIVEGDINDEALLRKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSVNPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKVIPIFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRGPAQLRVFNLGNTSPVPVSDLVGILERLLKAKAKRNIMRLPRNGDVQFTHANISYAQRELGYKPTTDLHSGLKKFVRWYLNYYSDVKKADE >Vigun05g030000.1.v1.2 pep primary_assembly:ASM411807v1:5:2383566:2384526:1 gene:Vigun05g030000.v1.2 transcript:Vigun05g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWMKPEVYPLLAAMTFVTTMCVFQLTRNVLQNPDVRINKTRRSMAVLDNREEGEKYAEHSLRKFLRTRPPEIMPTINHFFSQDK >Vigun08g082700.1.v1.2 pep primary_assembly:ASM411807v1:8:17404300:17408510:-1 gene:Vigun08g082700.v1.2 transcript:Vigun08g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGAANENVLENGSCSSSESEKGKGGLRTMPFIIVNECLEKVASYGIMPNMIVYLMNGYGMGIVEGTKVMTTWSAMSNGFSIFGAFLSDSYFGRFLVISIGSFSSLLGLTILWLTAMIPELRTSSCQSFMLDCSSATAIQLAVLFISMGLISIGAGCIRPCSIAFGADQLTIREKSNNGRLLDSFFNWYYTSVALSTVISLSVIVYIQENLGWKIGFGVPAALMFISALSFLLGSPIYVKAKPGHSLLTSFLQVVVVAIKNRKLCLPHTFDQYYQECDSALVVPTDSLRCLNKACIIRNPETLSNPDESVLDPWSQCTVRQVESLKSLLRVLPMWSTGIFMVATQTTFSTVQANTMDRTLFGTFKMPAGSFNLVMVITVSIIIPTYDRVMVPLLAKYTGMPRGFTCRSRIGIGLLFICASKATSALVETMRRNAAIEEGFEEQPNAVIHMSVLWLVPEFVLLGFAEGFNPVGQIEFFYTYIPKSMSSFAMAIFTLQLAAVDIFGNLLVSIVDKVTSVGGNESWLSTNINKGHLNYYYALLTCLGILNYFYFLAICWAYGPAQEKKLEASAHKEEEQFDYMELPTS >Vigun07g165000.2.v1.2 pep primary_assembly:ASM411807v1:7:27738489:27745664:1 gene:Vigun07g165000.v1.2 transcript:Vigun07g165000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPKDSSGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKNIVERLSALRTRIVVMAGQEGPTITRTKRKSATQHGGSTLADLQQALEDYLPVLLGLVENGSHLQYKIQFAWVNQEDDAEETAMSNAWYEVLSVLHLMATLSLSQANLLLLPRMSTDGHQPKVSEESRRASVDIFLKAAGYLDCAVRHVFPQLPAELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCETFHAAPPLSRNPPLWGTMKYLFEKIPKDTSSKVRVNRDLYTYERIMETAPTLPDFALALKPDEYQLPQVDSSWRTENVKGGQSGATDLLNG >Vigun07g165000.1.v1.2 pep primary_assembly:ASM411807v1:7:27736781:27745664:1 gene:Vigun07g165000.v1.2 transcript:Vigun07g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPKDSSGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKNIVERLSALRTRIVVMAGQEGPTITRTKRKSATQHGGSTLADLQQALEDYLPVLLGLVENGSHLQYKIQFAWVNQEDDAEETAMSNAWYEVLSVLHLMATLSLSQANLLLLPRMSTDGHQPKVSEESRRASVDIFLKAAGYLDCAVRHVFPQLPAELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCETFHAAPPLSRNPPLWGTMKYLFEKIPKDTSSKVRVNRDLYTYERIMETAPTLPDFALALKPDEYQLPQVDSSWRTENVKGGQSGATDLLNG >Vigun01g221400.1.v1.2 pep primary_assembly:ASM411807v1:1:39495836:39500065:1 gene:Vigun01g221400.v1.2 transcript:Vigun01g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVNEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLVGDSGEPFFVLNSDVISEYPLKDMIAFHKAHGGEASIMVTKVDEPSKYGVVVMNETTGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPNIAAAKKLYAMVLPGFWMDIGQPRDYISGLTLYLDSLRKNSPSKLASGPHIVGNVIVHETADIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSNILKPEIVM >Vigun01g221400.2.v1.2 pep primary_assembly:ASM411807v1:1:39495836:39500065:1 gene:Vigun01g221400.v1.2 transcript:Vigun01g221400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVNEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLVGDSGEPFFVLNSDVISEYPLKDMIAFHKAHGGEASIMVTKVDEPSKYGVVVMNETTGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPNIAAAKKLYAMVLPGFWMDIGQPRDYISGLTLYLDSLRKNSPSKLASGPHIVGNVIVHETADIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSNILKPEIVM >Vigun10g086000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24597447:24599157:1 gene:Vigun10g086000.v1.2 transcript:Vigun10g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIFPSQNQLRMHFLSHQLLVTLQMRAPFHEGWKNRYEAWNHFIQLEPKSDKRAQCKYCDALIRYEKGITSMRNHVLRCSNNPNNEVNKRRKASASSTIDGNINSPSYGRFD >Vigun01g164200.1.v1.2 pep primary_assembly:ASM411807v1:1:34588892:34591673:-1 gene:Vigun01g164200.v1.2 transcript:Vigun01g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQSSGFDLSFKILLIGDSGVGKSSLLVSFISSSVEDLTPTIGVDFKIKMLTVGGKRLKLTIWDTAGQERFRTLTSSYYRKAQGIILVYDVTRRETFTNLSEVWSKEVQLYSTNQDCVKMLVGNKVDRDTERTVSREEGLALAKELGCLLLECSAKTRENVEQCFEELALKIMEAPSLLEEGSTAVKRSVLKSKQEPQGSQNSGCCS >Vigun03g326300.1.v1.2 pep primary_assembly:ASM411807v1:3:52243075:52245350:-1 gene:Vigun03g326300.v1.2 transcript:Vigun03g326300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHLMYAMASGLGLTTITNGRFSPHHTLTYTVNAFFGPDVGSFSEWLASLSGADPVQALGSALANLIHHPFYYILILGLPLSFLYSRISTYLLRAHLLDSVSRVPLTRMQCFCLISAGSFTHFFLDHLFEENGKTTMYTWILSTGWWQSRAPVNPDAVVVVGFLCACLVGGFFYINRASSSNSIKKKSYQSMLLIICIALLYCFWCAIQIYWFSPRRPAVGEEADLGVLVFLALYFFLPYGLCIISMSPKDPDTNQIPL >Vigun11g114900.4.v1.2 pep primary_assembly:ASM411807v1:11:31895752:31900679:1 gene:Vigun11g114900.v1.2 transcript:Vigun11g114900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLMTHFITRTRSQLVPVTPFIHRPKSLLAPPISCSQTLSSLQFSTDTSAFSHHPIRLPEDLSRNVTVLSCESSAEGGVCHVYLLGTSHVSKESSREAQAIVKFLKPQVVFLELCSSRASILTRKNLKIHVPTDEEMVTMLKRKHHMFEVLYGWCCAKRASELDVLPGNEFRVAYQEAIKYGAKVILGDRPIHITLRRTWSKMPLWYILKLLYFKLFCRQHFPISGGFKKKEMNKEFPTLMETLVHERDQVLGGGCLGYGSGIDILCIF >Vigun11g114900.2.v1.2 pep primary_assembly:ASM411807v1:11:31895752:31900679:1 gene:Vigun11g114900.v1.2 transcript:Vigun11g114900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLMTHFITRTRSQLVPVTPFIHRPKSLLAPPISCSQTLSSLQFSTDTSAFSHHPIRLPEDLSRNVTVLSCESSAEGGVCHVYLLGTSHVSKESSREAQAIVKFLKPQVVFLELCSSRASILTRKNLKIHVPTDEEMVTMLKRKHHMFEVLYGWCCAKRASELDVLPGNEFRVAYQEAIKYGAKVILGDRPIHITLRRTWSKMPLWYILKLLYFKLFCRQHFPISGGFKKKEMNKEFPTLMETLVHERDQ >Vigun11g114900.1.v1.2 pep primary_assembly:ASM411807v1:11:31895752:31900679:1 gene:Vigun11g114900.v1.2 transcript:Vigun11g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLMTHFITRTRSQLVPVTPFIHRPKSLLAPPISCSQTLSSLQFSTDTSAFSHHPIRLPEDLSRNVTVLSCESSAEGGVCHVYLLGTSHVSKESSREAQAIVKFLKPQVVFLELCSSRASILTRKNLKIHVPTDEEMVTMLKRKHHMFEVLYGWCCAKRASELDVLPGNEFRVAYQEAIKYGAKVILGDRPIHITLRRTWSKMPLWYILKLLYFKLFCRQHFPISGGFKKKEMNKEFPTLMETLVHERDQYMSSTLLKVARENSSVVAVVGEAHLQGIKKHWKQPVVMEDLMTVP >Vigun11g114900.3.v1.2 pep primary_assembly:ASM411807v1:11:31895752:31900679:1 gene:Vigun11g114900.v1.2 transcript:Vigun11g114900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHLMTHFITRTRSQLVPVTPFIHRPKSLLAPPISCSQTLSSLQFSTDTSAFSHHPIRLPEDLSRNVTVLSCESSAEGGVCHVYLLGTSHVSKESSREAQAIVKFLKPQVVFLELCSSRASILTRKNLKIHVPTDEEMVTMLKRKHHMFEVLYGWCCAKRASELDVLPGNEFRVAYQEAIKYGAKVILGDRPIHITLRRTWSKMPLWYILKLLYFKLFCRQHFPISGGFKKKEMNKEFPTLMETLVHERDQVLGGGCLGYGSGIDILCIF >Vigun01g113800.1.v1.2 pep primary_assembly:ASM411807v1:1:28878759:28881736:1 gene:Vigun01g113800.v1.2 transcript:Vigun01g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHRSHQPRKPNADSTRDEDSHTIITLDCSTSAYYIKRTRPKLLSFLLLITFLSCCYVFAPLFLPISFSFSHLYSPPIENDGNSDGVDVTDSSCSSVSAGRICCDRSGFRSDVCVMKGDVRTHSASSSILLYSPRSSDNVSVEEELQHEKIKPYTRKWETSVMNTIDELNLISKKVNGAGGCDVQHDVPAVFFSNGGYTGNVYHEFNDGIIPLFITSQHFKKRVVFVILEYHSWWIMKYGDILSRLSDFPPIDFRGDNRTHCFPEAIVGLRIHDELTVDSALMRDNKSIVDFRNLLDQAYWPRIRGLIQKEERKAQEKLREQQASLSQSSETYEYIDQQVQENPMKKPKLVILSRSGSRAITNENLLVKMAKEIGFMVKVLKPDSTTEMAKIYRTLNASDVMIGVHGAAMTHFMFLRPGSVFIQVVPLGTTWAAETYYGEPAKKLGLKYIGYEIHPRESTLYEKYDKNDPILRDPTSINKKGWEYTKKIYLDSQNVILDLGRFRKRLHRAYEYTLSKSKPNLQHQPM >Vigun08g063800.3.v1.2 pep primary_assembly:ASM411807v1:8:8836518:8839660:-1 gene:Vigun08g063800.v1.2 transcript:Vigun08g063800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQALRAKPSSSSFRRFAVSPTVPQSSCSRVCVCESIYIHSVSAFAFSVSSKNRKKKHCEHLRSMPGLTCNACNTEFKDDTEQKLHYKSEWHRYNLKRKVAGVPGVTEALFLARQSVIAQEKNKLSETPMMYTCGLCGKDYKSSKAHAEHLKSRGHMMRASEGTSDADEKAIIKPLAQRVVNRPPPRREVDNSENEESEDEWEEVDPEDDLADGAAKSLTGLNVNEQGENVDMDEDEDEDDNDEDDFELDPSCCFMCDQKHKTIEDCMVHMHKQHGFFIPDVEYLKDPKGLLTYLGLKVKRDYECLYCNDRCYPFSSLEAVRKHMVAKSHCKVHFGDGDDEEEVELEEFYDYSSSYVDDQGKQIIVSGETGNNVELVGGSELVITRKSGDRTSTRTLGSREFLRYYRQKPRPSPANSMAITAALASRYRSMGLTTVQSREQIVRMKVLKEMSRSGVENMRTKIAMKSNVIRNLPKNVPY >Vigun08g063800.2.v1.2 pep primary_assembly:ASM411807v1:8:8836518:8842746:-1 gene:Vigun08g063800.v1.2 transcript:Vigun08g063800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTCGLCGKDYKSSKAHAEHLKSRGHMMRASEGTSDADEKAIIKPLAQRVVNRPPPRREVDNSENEESEDEWEEVDPEDDLADGAAKSLTGLNVNEQGENVDMDEDEDEDDNDEDDFELDPSCCFMCDQKHKTIEDCMVHMHKQHGFFIPDVEYLKDPKGLLTYLGLKVKRDYECLYCNDRCYPFSSLEAVRKHMVAKSHCKVHFGDGDDEEEVELEEFYDYSSSYVDDQGKQIIVSGETGNNVELVGGSELVITRKSGDRTSTRTLGSREFLRYYRQKPRPSPANSMAITAALASRYRSMGLTTVQSREQIVRMKVLKEMSRSGVENMRTKIAMKSNVIRNLPKNVPY >Vigun03g322100.4.v1.2 pep primary_assembly:ASM411807v1:3:51783848:51789109:1 gene:Vigun03g322100.v1.2 transcript:Vigun03g322100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKYKRIANHKLLIKFGLVILVMLLLFLGFYLESETGKLNASSSYTVVVDCGSTGTRVNVYEWMVGVKGTSKGNLPVLLHSYPDNTTRKSSLWKGSCQYHCMQTQPGLHNFVNDSLGVRQALEPLIVWAEQVVPREMRGNTPAFVLATAGLRGLPKEDADRVLGDIEAVVKGHSFMMSKSWIRVLSGKEEAYYGWVALNYKMGTFDYNYPKSPTLGLVDIGGSSLQIVAEIDVAGDDVHVMKSRLGSMEHRIMAYSLPAFGLNKAFDRTVLMLRNNQSVERTASISELRHPCLVSTYVQNYTCHSCSGLASIYEKNHSQHQASELYSLRLTGEPNWEQCKELAIGAAMNSSSKVSHLTVSKNCQASSFSGTGTGILNLTAVAQPAKFHALSGFFFVYSKLDLSPRTNLTMVWESGKQICSNLW >Vigun03g322100.1.v1.2 pep primary_assembly:ASM411807v1:3:51783848:51789109:1 gene:Vigun03g322100.v1.2 transcript:Vigun03g322100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKYKRIANHKLLIKFGLVILVMLLLFLGFYLESETGKLNASSSYTVVVDCGSTGTRVNVYEWMVGVKGTSKGNLPVLLHSYPDNTTRKSSLWKGSCQYHCMQTQPGLHNFVNDSLGVRQALEPLIVWAEQVVPREMRGNTPAFVLATAGLRGLPKEDADRVLGDIEAVVKGHSFMMSKSWIRVLSGKEEAYYGWVALNYKMGTFDYNYPKSPTLGLVDIGGSSLQIVAEIDVAGDDVHVMKSRLGSMEHRIMAYSLPAFGLNKAFDRTVLMLRNNQSVERTASISELRHPCLVSTYVQNYTCHSCSGLASIYEKNHSQHQASELYSLRLTGEPNWEQCKELAIGAAMNSSSKVSHLTVSKNCQASSFSGTGTGILNLTAVAQPAKFHALSGFFFVYSKLDLSPRTNLTMVWESGKQICSNLWSGLSSVSDNPNYAGQFCFQVAYMASLIDYGLCLGDVEMVFGPGDISWTLGAALIEGKFLWLNSTSYKAHVIISTLKNVKKILLCCILTQKPA >Vigun03g322100.5.v1.2 pep primary_assembly:ASM411807v1:3:51783848:51789109:1 gene:Vigun03g322100.v1.2 transcript:Vigun03g322100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKYKRIANHKLLIKFGLVILVMLLLFLGFYLESETGKLNASSSYTVVVDCGSTGTRVNVYEWMVGVKGTSKGNLPVLLHSYPDNTTRKSSLWKGSCQYHCMQTQPGLHNFVNDSLGVRQALEPLIVWAEQVVPREMRGNTPAFVLATAGLRGLPKEDADRVLGDIEAVVKGHSFMMSKSWIRVLSGKEEAYYGWVALNYKMGTFDYNYPKSPTLGLVDIGGSSLQIVAEIDVAGDDVHVMKSRLGSMEHRIMAYSLPAFGLNKAFDRTVLMLRNNQSVERTASISELRHPCLVSTYVQNYTCHSCSGLASIYEKNHSQHQASELYSLRLTGEPNWEQCKELAIGAAMNSSSKVSHLTVSKNCQASSFSGTGTGILNLTAVAQPAKFHALSGFFFVYSGLYGIID >Vigun03g322100.3.v1.2 pep primary_assembly:ASM411807v1:3:51783848:51789109:1 gene:Vigun03g322100.v1.2 transcript:Vigun03g322100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKYKRIANHKLLIKFGLVILVMLLLFLGFYLESETGKLNASSSYTVVVDCGSTGTRVNVYEWMVGVKGTSKGNLPVLLHSYPDNTTRKSSLWKGSCQYHCMQTQPGLHNFVNDSLGVRQALEPLIVWAEQVVPREMRGNTPAFVLATAGLRGLPKEDADRVLGDIEAVVKGHSFMMSKSWIRVLSGKEEAYYGWVALNYKMGTFDYNYPKSPTLGLVDIGGSSLQIVAEIDVAGDDVHVMKSRLGSMEHRIMAYSLPAFGLNKAFDRTVLMLRNNQSVERTASISELRHPCLVSTYVQNYTCHSCSGLASIYEKNHSQHQASELYSLRLTGEPNWEQCKELAIGAAMNSSSKVSHLTVSKNCQASSFSGTGTGILNLTAVAQPAKFHALSGFFFVYSKLDLSPRTNLTMVWESGKQICSNLWSGLSSVSDNPNYAGQFCFQVAYMASLIDYGLCLGDVEMVFGPGDISWTLGAALIEGKFLWLNSTSYKAHVIISTLKNVKKILLCCILTQKPA >Vigun03g322100.2.v1.2 pep primary_assembly:ASM411807v1:3:51783848:51789109:1 gene:Vigun03g322100.v1.2 transcript:Vigun03g322100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKSPSKYKRIANHKLLIKFGLVILVMLLLFLGFYLESETGKLNASSSYTVVVDCGSTGTRVNVYEWMVGVKGTSKGNLPVLLHSYPDNTTRKSSLWKGSCQYHCMQTQPGLHNFVNDSLGVRQALEPLIVWAEQVVPREMRGNTPAFVLATAGLRGLPKEDADRVLGDIEAVVKGHSFMMSKSWIRVLSGKEEAYYGWVALNYKMGTFDYNYPKSPTLGLVDIGGSSLQIVAEIDVAGDDVHVMKSRLGSMEHRIMAYSLPAFGLNKAFDRTVLMLRNNQSVERTASISELRHPCLVSTYVQNYTCHSCSGLASIYEKNHSQHQASELYSLRLTGEPNWEQCKELAIGAAMNSSSKVSHLTVSKNCQASSFSGTGTGILNLTAVAQPAKFHALSGFFFVYSKLDLSPRTNLTMVWESGKQICSNLWSGLSSVSDNPNYAGQFCFQVAYMASLIDYGLCLGDVEMVFGPGDISWTLGAALIEGKFLWLNSTSYKAHVIISTLKNVKVTSSPTVLFAVLVLLSLIVYCSQIKLPMPSRRDSAPGSSLPSYTPVRRRSN >VigunL061137.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:27660:28190:1 gene:VigunL061137.v1.2 transcript:VigunL061137.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun05g098400.1.v1.2 pep primary_assembly:ASM411807v1:5:9637137:9637990:-1 gene:Vigun05g098400.v1.2 transcript:Vigun05g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYIYLIPSARTESLVHITKFRERKSKDMSSLRNLFKELSNNLYNFSTLLFALVAARNHDMFIKHHREMMVLLWTSVLYSFLMIVGSILQTHNTTLLPIITCSMFMVGGVASFLVLSFFSLVVAIITLVFWVVIFTLVVYTYVVPQRSS >Vigun03g420850.2.v1.2 pep primary_assembly:ASM411807v1:3:62645551:62647705:1 gene:Vigun03g420850.v1.2 transcript:Vigun03g420850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQPNTLVCVALQASYLHLNFSGFICLCAKNGKMQTQKLKMSTYMMCEILDQIGAIKEICEALSLRWSVVLLV >Vigun03g420850.1.v1.2 pep primary_assembly:ASM411807v1:3:62645551:62647705:1 gene:Vigun03g420850.v1.2 transcript:Vigun03g420850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIQPNTLVCVALQASYLHLNFSGFICLCAKNGKMQTQKLKMSTYMMCEILDQIGAIKEICEALSLRWSVVLLV >Vigun10g172100.1.v1.2 pep primary_assembly:ASM411807v1:10:39082633:39085515:-1 gene:Vigun10g172100.v1.2 transcript:Vigun10g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNVLRSGASLLNRLSKSLPLRSNTPQLQSHFLSPSLSRLPAAISPQNDVEFPHKQGFLYPCGLPSLRFFLPQGDAPSSDEPMLLFPKRTFQPSLIKRKRNHGFFARKATKGGRKVIARRIAKGRFRITA >Vigun03g135300.1.v1.2 pep primary_assembly:ASM411807v1:3:13270862:13271473:1 gene:Vigun03g135300.v1.2 transcript:Vigun03g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPARRVLPLYAAILVVFSLILVGAESRFENGVMDLAELMNKKDVPTEFKCCTENQISSCIPNTPDDKRCNQLCLVPCEKGGHCTDKHLCHCAC >VigunL016401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:877777:878854:1 gene:VigunL016401.v1.2 transcript:VigunL016401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISCFNGILSFGAGGTITKCLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRMGKPLWVISEDMERDVFMSAAEAQTHGIVDLVAVE >Vigun09g100400.1.v1.2 pep primary_assembly:ASM411807v1:9:16422723:16427632:-1 gene:Vigun09g100400.v1.2 transcript:Vigun09g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFAGQKESRKYSHSQNMGKSRKYSKAYATGFVPDFRHAVETMGESEGLGSLGRVDTELTASADSCAPKRKCPGLSTGGYGSFDVPFQLFSLSRMSGFERKDLKTRLTWELEQVKEFQKKVEAMNSNALVLSPSSDIRSCSAGQKRPKLESQHLAMEVSVPHGKKRPLPGNSGPRTKKSISGRPELPKPAAPVASHAAMMKPCEALLNRLMTHQFGWVFNTPVDVVKLNIPDYFTVIKHPMDLGTVKRRITSGEYTNLLDFAADVRLTFSNAMTYNPPGNDVHVMADTLNKMFESRWKAIEKKIPVIDCVPSEPSRPTRVETEISDRVPPSKKKKITSNSVKPEPLKKIMSVEEKHKLSVELEAMLGELPDAIVDFLREQSYNAGQTNDEEIEIDIDALSDDTLFKLRKLLDDYLLEKQRSQTKAGQCELELLNESGFSNSPVQPCKVNEQVEEDVDIVGGNDPPISTYPPLEIDKDGTNRNSKCTSSSSSSSESGTSSSDSDSGSSSGSELDIAKTSEPLSGTKENVGSGLTLDQNKGDPGNSETGKDSTNVGGQVEQTSQSKLVASESESHQEGESAASKRQVSPEKLYRAALLRSRFADTILKAQEKALEKDEKRDPEKLRLEREELERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALQKMEKTVDINESSQFLEDLEMLSAVHDEPMPSFKEETSADLPQNGLGRINLQGNPLEQLGLYMKDDEEEEEEELPVSGAAGPLNDVEEGEID >Vigun09g100400.2.v1.2 pep primary_assembly:ASM411807v1:9:16422785:16427632:-1 gene:Vigun09g100400.v1.2 transcript:Vigun09g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFAGQKESRKYSHSQNMGKSRKYSKAYATGFVPDFRHAVETMGESEGLGSLGRVDTELTASADSCAPKRKCPGLSTGGYGSFDVPFQLFSLSRMSGFERKDLKTRLTWELEQVKEFQKKVEAMNSNALVLSPSSDIRSCSAGQKRPKLESQHLAMEVSVPHGKKRPLPGNSGPRTKKSISGRPELPKPAAPVASHAAMMKPCEALLNRLMTHQFGWVFNTPVDVVKLNIPDYFTVIKHPMDLGTVKRRITSGEYTNLLDFAADVRLTFSNAMTYNPPGNDVHVMADTLNKMFESRWKAIEKKIPVIDCVPSEPSRPTRVETEISDRVPPSKKKKITSNSVKPEPLKKIMSVEEKHKLSVELEAMLGELPDAIVDFLREQSYNAGQTNDEEIEIDIDALSDDTLFKLRKLLDDYLLEKQRSQTKAGQCELELLNESGFSNSPVQPCKVNEQVEEDVDIVGGNDPPISTYPPLEIDKDGTNRNSKCTSSSSSSSESGTSSSDSDSGSSSGSELDIAKTSEPLSGTKENVGSGLTLDQNKGDPGNSETGKDSTNVGGQVEQTSQSKLVASESESHQEGESAASKRQVSPEKLYRAALLRSRFADTILKAQEKALEKDEKRDPEKLRLEREELERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALQKMEKTVDINESSQFLEDLEMLSAVHDEPMPSFKEETSADLPQNGLGRINLQGNPLEQLGLYMKDDEEEEEEELPVSGAAGPLNDVEEGEID >Vigun11g062532.1.v1.2 pep primary_assembly:ASM411807v1:11:14091343:14094272:-1 gene:Vigun11g062532.v1.2 transcript:Vigun11g062532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKCVEFDDDVSGVVGSLFEKKPITLRDIIKKIKNLVVSDDDVENACRLYLLLCFTVFYFPRTSRTVTNMPFKVLDNVDNLSEYNWAESVHSFFISALNRGCKVVREKINTRSLNLAGFVVVVQVWAARRLGLEDVEGEVQFPRFLRWPSVKIRTPNIESAFEKNKIVFGWALTAEERNNPIVQNVVHIDEQYNVNNDGIQGNDVNEEDRCPSEQWKSDEGQACPSHRKESPQPHQCEEDDLNDKPKANHNGDDKAIKPNTEGQSNTLFIDKAKLYKDVTAVGCPRTIYVDLNGEILRSDECQCFRPRGWIDNMSIMFAAYEFMYKQKMLTGKISRVIFNPFYTNAVILDCNKRKVNRREWCLDDYRHYLTRDLVSVQDILTADFLFAPVIYAEHWWCYAFNCKTKEFFVLDSLAHKCRRRKQIDTHVVQNVENLFWLFLNDKNKLKPTFEVHIEDVPEQPNLHDCGIMVLKYLEIWDGIKRFDGKSMPAYTDEDLQQFRQQYICDWILHPENKHREAVLEIFKPSLKK >Vigun08g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5269910:5271422:1 gene:Vigun08g048000.v1.2 transcript:Vigun08g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAMTRVDSSSSSSSSPTSCNESFSTTTCKMYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGKGASFNFPDTPRLLEMDSLKIPCSHQEIQEAAAKFANKVVEIFAEEDGEVGVQSESMSDHTTDTNTNTEFPIYGGTNHDVQVDQDTMDWTFMNMLDDLNGSDFGLYFEAEKGEFSCPTTHTPLLFHNGDEVEVDDHDHDAFSNHSFLWSWNF >Vigun03g183400.1.v1.2 pep primary_assembly:ASM411807v1:3:23654857:23660064:1 gene:Vigun03g183400.v1.2 transcript:Vigun03g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATTIMGEVDPAFIQDLEHRPKLHTLQTQNIPVIDLSPITNHAVSDPSSIEGLVKEIGSACKEWGFFQVINHGVPITLRQNIEQGSRMFFGQTLEEKRKVRRDEKSAVGYYDTEHTKNVRDWKEVFDFLAKDPTLVPLSADEHDDRLTQWTNTSPPYPPNFRDIIQEYVEEMEKLSFKLMELIALSLGLEAKRFEEYFMKDQTSFIRFNHYPPCPNPHLALGVGRHKDPGALTILGQDEVEGLEVKHKAYEEWIRIKPIPNAYIINLGDIVQVWSNDAYESPEHRVVVNSEKERFSIPFFFFPAQETEVKPLDELINDQNPSKYRTYKWGKFLVHRFNTNFKKHKVDNIQIYDYKIK >Vigun09g007900.1.v1.2 pep primary_assembly:ASM411807v1:9:605248:607827:1 gene:Vigun09g007900.v1.2 transcript:Vigun09g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTWVFVVSVAAMLVVVANGQSSVKPLVKTVKGKKVCDKGWECKGWSAYCCNDTISDYFQTYQFENLFAKRNSPVAHAVGFWDYRSFITAAAQYQPNGFGTTGGTTSGQKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKELSPDKFYCDEYYKLTYPCTPGAAYYGRGAIPLYWNYNYGKAGEALKVDLLNHPEYIEQNATLAFQAALWQWMTPPEKHLPSPHDVFVGNWKPTKNDTLSKRVPGFGATINLLYGDQTCGQGPDNEAMNNIISHYLYYLDLMGVGREEAGPNEVLSCAEQKAFKPSGSPSSATN >Vigun11g132700.1.v1.2 pep primary_assembly:ASM411807v1:11:34144588:34147796:1 gene:Vigun11g132700.v1.2 transcript:Vigun11g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAVFLFAAALLSVVEGSPVTLTLERAFPSNHGVELSQLRARDSLRHRRMLQSTNYVVDFPVKGIFDPSQVGLYYTKVKMGTPPREFYVQIDTGSDVLWVSCGSCNVCPQTSGLQIQLNYFDPRSSSTSSLISCSDRRCRNGVQSSDASCSGQNNQCIYTFQYGDGSGTSGYYVSDLMHFASIFEGTLTTNSSASVVFGCSIQQTGDLTKSDRAVDGIFGFGQQGMSVISQLSSQGIAPRVFSHCLKGDNSGGGVLVLGEIVEPNIVYTPPSQPHYNLYLQSISVNGQFLQIDPAVFSTSSNRGTIVDSGTTLSYLAEEAYTTFVNVITAAIPQSVRSVLSRGNQCYLITTSRLSGAVHIYFVDFKHSFQGCLFYSFRCLCESLFVSHV >Vigun11g132700.2.v1.2 pep primary_assembly:ASM411807v1:11:34144588:34147796:1 gene:Vigun11g132700.v1.2 transcript:Vigun11g132700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAVFLFAAALLSVVEGSPVTLTLERAFPSNHGVELSQLRARDSLRHRRMLQSTNYVVDFPVKGIFDPSQVGLYYTKVKMGTPPREFYVQIDTGSDVLWVSCGSCNVCPQTSGLQIQLNYFDPRSSSTSSLISCSDRRCRNGVQSSDASCSGQNNQCIYTFQYGDGSGTSGYYVSDLMHFASIFEGTLTTNSSASVVFGCSIQQTGDLTKSDRAVDGIFGFGQQGMSVISQLSSQGIAPRVFSHCLKGDNSGGGVLVLGEIVEPNIVYTPPSQPHYNLYLQSISVNGQFLQIDPAVFSTSSNRGTIVDSGTTLSYLAEEAYTTFVNVVSYVRLQLLFHNLYAVFFPGEISVT >Vigun04g170660.1.v1.2 pep primary_assembly:ASM411807v1:4:39482566:39484312:1 gene:Vigun04g170660.v1.2 transcript:Vigun04g170660.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGCDAPDEEDDAVVDDPNDTEILDWIEDEGSDDVANDDDDEEEEEELNDDDDVDPYKNQDLYMDMEEGEDGKYEAMEENETDFNWNEEFEKALSSGDAMENLARKALETNKKLNKKQPMMHNVEEETTPSVDGDETALRGKRAKVSPEEWKYIGIGKWRKRIKRSRIPPELFLRGVVRPFTYRNLVKEIVLTRHAILDGEIGAQATDYCGMCGQQR >Vigun06g111400.2.v1.2 pep primary_assembly:ASM411807v1:6:24033092:24035673:-1 gene:Vigun06g111400.v1.2 transcript:Vigun06g111400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWIRNFLLGKKDEKIKKIDASEDSKSSNTGSLIVSPKVKRRWSFGKLTGGRITSKIVGHKFSRSFDSGESAKLQIQALLETKASRRLPTPLPRSYKDKNKAATKIQAGFRSYLAKRALHALRGLVKLQALVRGHLVRKQTTATLRGMHALMAIQVRARIHRIQMADEVNLLGKQPPQHREVAHFRDLATEENKDSKDMSVEEMLEALKSRSGPLDGSYVKARERDSMTFYSKHVPVVSKRKHQYKNTQIVEPNSPENFRVMSGFNTTAMAALSTSQRHSVPHRQSLSPNYMNKTESSRAKARSQSEPRQRPKRGMRNKGKSVESPLNGPRQNLFSNSLRFDHGSLDLWSSNLRGDSKRDSFGSSSVTTDSYY >Vigun06g111400.1.v1.2 pep primary_assembly:ASM411807v1:6:24033092:24035476:-1 gene:Vigun06g111400.v1.2 transcript:Vigun06g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWIRNFLLGKKDEKIKKIDASEDSKSSNTGSLIVSPKVKRRWSFGKLTGGRITSKIVGHKFSRSFDSGESAKLQIQALLETKASRRLPTPLPRSYKDKNKAATKIQAGFRSYLAKRALHALRGLVKLQALVRGHLVRKQTTATLRGMHALMAIQVRARIHRIQMADEVNLLGKQPPQHREVAHFRDLATEENKDSKDMSVEEMLEALKSRSGPLDGSYVKARERDSMTFYSKHVPVVSKRKHQYKNTQIVEPNSPENFRVMSGFNTTAMAALSTSQRHSVPHRQSLSPNYMNKTESSRAKARSQSEPRQRPKRGMRNKGKSVESPLNGPRQNLFSNSLRFDHGSLDLWSSNLRGDSKRDSFGSSSVTTDSYY >Vigun02g032100.1.v1.2 pep primary_assembly:ASM411807v1:2:13521192:13526793:1 gene:Vigun02g032100.v1.2 transcript:Vigun02g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVLGILKLRIIKGINLAIRDTRASDPYVVVRMGDQKLKTRVIKNNCNPTWNEELTLFVKDVNIPLHLTVYDKDTFTVDDKMGEAEVDFIPFLRCKKMELKNLPNGCAVKRIQPNRSNYLAEESSCVWNDGDIVQQMILRLKNVEKGEVVVQIQWVDVVGFRGLSHIKL >Vigun06g227600.1.v1.2 pep primary_assembly:ASM411807v1:6:33523622:33525628:1 gene:Vigun06g227600.v1.2 transcript:Vigun06g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVYYHHPFSIYFIISILFVLFVLYKLVQSWDSNNSSINLPPGPRTLPFIGNLYQLVGSLPHHSLKLLADKYGPLMHLKLGEVSNIVVTSPEIVLEVMKTHDVNFSYRPNLASSRIVSYNNINIAFSQYGEYWRQVRKICTVELLTTKRVQSFQSVREEEVAELVKKVAASEGCAINLTQSIFPMTYGIVARAAFGKKSKYQQVFISKIEEQLKLMGGFSLSDLYPSSKVLEMMANVKFEKIHNEIDRVLQDIIEEHRNRSKCEVVEDLVDVLLKYQQENDSESLLIDDNIKAIIQDIFVGGGETSSSVVEWGMSELIRNPRVMEKAQAEVRRVYGRKGYVDETKIQQLEYLKSIIKETLRLHPPVPLLIPRESRDKCEINGYEIPSKTRVIINAWAIGRNHEYWTDAESFKPERFLNNSIDFKGADFAFIPFGGGRRICPGITFAIPNIELPLAQLLYHFDWKLPNNMKNEELDMTESGGITLRRKNDLYLIPVTHQT >Vigun04g011800.1.v1.2 pep primary_assembly:ASM411807v1:4:855973:859107:-1 gene:Vigun04g011800.v1.2 transcript:Vigun04g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEQGYVGLSEVSAMEGSDKFSPRTSGGLNLKATELRLGLPGSESPERDGGGVEEKNGHPLGMVKNLVSGAKRGFSDTIDGGSGKWLLSGNGGSEVGLGKECGLFSPRGIGASAAKAESANQQISVVKDKVPLPPKPLNEKKPQISAPAAKEQVVGWPPIRSFRKNSMATQPQKNDDDAEAKSGCLYVKVSMDGAPYLRKVDLKNFGTYMELSTALEKMFSCFTISQCGSHGVSGRDKMSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSSEAIGLAPRAMEKCKSRN >Vigun04g011800.2.v1.2 pep primary_assembly:ASM411807v1:4:855973:859107:-1 gene:Vigun04g011800.v1.2 transcript:Vigun04g011800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEQGYVGLSEVSAMEGSDKFSPRTSGGLNLKATELRLGLPGSESPERDGGGVEEKNGHPLGMVKNLVSGAKRGFSDTIDGGSGKWLLSGNGGSEVGLGKECGLFSPRGIGASAAKAESANQQISVVKDKVPLPPKPLNEKKPQISAPAAKEQVVGWPPIRSFRKNSMATQPQKNDDDAEAKSGCLYVKVSMDGAPYLRKVDLKNFGTYMELSTALEKMFSCFTISSGWLVP >Vigun03g164100.1.v1.2 pep primary_assembly:ASM411807v1:3:18539974:18548662:-1 gene:Vigun03g164100.v1.2 transcript:Vigun03g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALIDLNSATEDHETTSSLPSSVCLELWHACAGPMISLPKKGTLVVYFPQGHLEQHLHDFPLPASANIPSHLFCRVLDVKLHAEEGSDEVYCQVVLVPKSEQVHQKLREGEFEADGEEEDAETVMKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLEYSQQRPSQELVAKDLHGQEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQLKSGSAISTFAAEQLNLSSLLDVVNALSAKRAFSVHYNPRVSSSEFIIPVKKFLRSLDYSYSIGTRFRMRFETEDAAERRFTGLIVGITDVDPVRWPGSKWRCLMVRWDDLEASRHNRVSPWEIEPSGSASTANNLISAGLKRIKIGLPSTKLDFQVSNAIGSSDFGESLRFQKVLQGQEMLGVNTTFDSISGQNHQLSDLRRCYPGSNCPRIAATGNSIGIPQVSSNVSCNGIGFSESFIFQKVLQGQEILPSQPYGRALSVDEACGNGRFGPFDGNHTPRPSNGWSSHLSNKSSHLHPPVPSGQVSSPSSVLVFQQAVNPVSNSDYNSKISQVMEGKVHQQLSYTSEAKGGKFVSAPYEPQPLRGLVQEGTSSFGVSNLHNQLESSRSHDSISALRASKELVPTCKSRCRVFGFSLTEGAPVASTEVAAANPSAVTCSGPSFARHGEDGFHPTHPHRSKAVGSYCTKGVLQY >Vigun03g244400.1.v1.2 pep primary_assembly:ASM411807v1:3:40707952:40713338:-1 gene:Vigun03g244400.v1.2 transcript:Vigun03g244400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTAIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFMDIKLTRDNNITTGKIYQSVINRERRGDYLGKTVQVVPHITDAIQDWIERVAHIPVDGKTGPADVCVIELGGTIGDIESMPFIQALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRALRGQGLTPHILACRSTMVLDENARGKLSQFCLIPAENIITLYDVPNIWHIPLLLRDQKAHEAMFKVLNIHGVTKEPNLEEWTCRAESSDLLHEPVRIALVGKYTCLSDSYLSVLKALLHASVDCKKKLVVDWIPATNLESATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKIIAARYARENRIPFLGICLGMQIAVIEFARSVLGVQDANSTEFDPHTKSPYIIFMPEGSKTQMGGTMRLGSRRTYFQTKECKSAKLYGCKSFIDERHRHRYEVNPELVSRLENAGLSFTGKDETGQRMEIVELPNHPYFIGAQFHPEFKSRPGKPSPLFLGFIGAACGQFDAVLQSSSIVDNSLSKGVGSDISAVNKAYKNITATKTAYRTEFIYGSCNGLHF >Vigun03g244400.2.v1.2 pep primary_assembly:ASM411807v1:3:40707952:40713338:-1 gene:Vigun03g244400.v1.2 transcript:Vigun03g244400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTAIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFMDIKLTRDNNITTGKIYQSVINRERRGDYLGKTVQVVPHITDAIQDWIERVAHIPVDGKTGPADVCVIELGGTIGDIESMPFIQALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRALRGQGLTPHILACRSTMVLDENARGKLSQFCLIPAENIITLYDVPNIWHIPLLLRDQKAHEAMFKVLNIHGVTKEPNLEEWTCRAESSDLLHEPVRIALVGKYTCLSDSYLSVLKALLHASVDCKKKLVVDWIPATNLESATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKIIAARYARENRIPFLGICLGMQIAVIEFARSVLGVQDANSTEFDPHTKSPYIIFMPEGSKTQMGGTMRLGSRRTYFQTKECKSAKLYGCKSFIDERHRHRYENWYLVWKMQVFLLLGRMKQVNAWRLLSYLTTHISSELNFILNLNQDQENLLLCSWGSLEQHVDNLMLSYRALQLLIIVSQKE >Vigun05g113200.1.v1.2 pep primary_assembly:ASM411807v1:5:11955182:11959850:-1 gene:Vigun05g113200.v1.2 transcript:Vigun05g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFSNFSVAGVANVRQQALFGNLGNQESNLIRLSFKGVLNKAAFQVLCGNKCGRPCVATQKKKPFLVMSMSQPSAESQSTVTSIGFSEGGGDGLLSKEHVTRENESDSKIDRNDDNGVVVDSSGGNGSFGSGGEGDGSGGGGDGGDDDSHDNEEEEFGPILRYDEVMREAQARGATLPSDMIEAAKSVGIRKVLLLRYLDLQGSFWPLGFFMKSCSMLRNRMLADPAFLFKIGTEIVIDTCCATFAEVQKRGKDFWAEFELYLADLLVGLVVNVALVGMLAPYARIGKPSISSGFLGRMQKAYAALPSSVFEAERPGCRFSVQQRLGTYFYKGIMYGAVGFGCGIIGQGIANMIMTAKRSIKKSEEDIPVPPLIKSAALWGVFLAVSSNTRYQIVNGLERLVEASPMAKQVPPVALAFTVGVRFANNVYGGMQFVDWARWSGVQ >Vigun09g255400.1.v1.2 pep primary_assembly:ASM411807v1:9:42174725:42179385:-1 gene:Vigun09g255400.v1.2 transcript:Vigun09g255400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTLLLHLFCILLLCFGASRSSARKVNNHGTNSKQVYIVYMGAADSTNASLRNDHAQILNSVLKRDENALVRNYKHGFSGFAARLSKEEADSIAQKPGVVSVFPDPILKLHTTRSWDFLTHQTRVKVDTKPNTLSGFSSSSDVILGVIDTGIWPEDASFNDEGMGPIPPRWKGTCVTTRDFNSSNCNRKLIGARYYTDDEEGEGSHTPRDYDGHGTHVASTAVGSVVRNVSFYDLAAGDAKGGSPESRLAIYKACFGGGCRGEAILAAFDDAIADGVDVLSLSLGPSPGSQKELLDDPVSIGAFHAVERGILVVCAAGNSGPESYTVVNDAPWIFTVAASTIDRDFESDLVLGDNRTIKGRAINFSNLKSSPEYSIISGELAKTKKSTVADARECESGSLDGEKVKGKIVVCVGPSGDSSEIKKLGGKGLVLITDVYGSIAENFGDFPVTVIYPKYGVSILNYITSTSNPLGTILSTKTVLDYKPAPMVPSFSSRGPSTLSSNILKPDILAPGANIFAAWSKKAIVGVPKGRKPSLYNIISGTSMATPHVSGLACSVKTHNPTLSPSAIKSAIMTSAIQNDNLKMPIRNDSEELATPYDYGAGGITTSESLKPGLVYETDTVDYLNFLCYAGFNISEIKIISRSASKNFSCPEDSSFDLISNINYPSIAVNITGKGNVSVTRTVTNVGEEDETVYSLTVDAPSNVKVTVTPDKLQFTKSSKKLSYEVIFSASKSLENDLFGSVTWTNDKYAVRIPFVLTK >Vigun01g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27289502:27292439:-1 gene:Vigun01g103600.v1.2 transcript:Vigun01g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNWFSRWEEELPPPEELMPLSQTLITPDLAMAFDIRNPHTTTNPNTAQQHQHQQQPPQQQQQQQPSNPSSLPNPQQPTSTEFADSGELGSGTAGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGISAAGPGGPGGGASGAVADPATDHLFASSPVPAHFLHPATRPNSDHFLPFVPVPALHHHQQQQQQLAAAAQYHRQVGHFGSPPNGHFENPFISRPNLQRMGGGGGGPVHSHHPVSGYVEDMESANAASGGRKVLTLFPTGDD >Vigun01g103600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27289502:27292439:-1 gene:Vigun01g103600.v1.2 transcript:Vigun01g103600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNWFSRWEEELPPPEELMPLSQTLITPDLAMAFDIRNPHTTTNPNTAQQHQHQQQPPQQQQQQQPSNPSSLPNPQQPTSTEFADSGELGSGTAGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGISAAGPGGPGGGASGAVADPATDHLFASSPVPAHFLHPATRPNSDHFLPFVPVPALHHHQQQQQQLAAAAQYHRQVGHFGSPPNGHFENPFISRPNLQRMGGGGGGPVHSHHPVSGYVEDMESANAASGGRKVLTLFPTGDD >Vigun10g177500.1.v1.2 pep primary_assembly:ASM411807v1:10:39597152:39601217:1 gene:Vigun10g177500.v1.2 transcript:Vigun10g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIQQHDHLGAEVEAKTEPLNERELVLDGGFLIPQTNSFGQIFRTYHDTESERHEGVENFYRKNHTYQSLDFVKKMREEYAKLNKVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLNLPSFGDLPQWAVVGDTFPVGCRFDESIVHHKYFKENPDFNNPSYNTKHGIYSEKCGLHNVMMSWGHDDYMYLVAKENNTTLPSAALFIIRYHSFYALHREGAYKHLMNDEDVENLKWLHIFNKYDLYSKSKTRIDVEKVKPYYLSLIEKYFPAKLKW >Vigun07g005950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:470953:471645:-1 gene:Vigun07g005950.v1.2 transcript:Vigun07g005950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVYIDAALPSSNPFQVYFFIKNKYVRLYYTPGTSGDELLTPLELVSTGFPSLAGTPFAEQGIDGCFNTEGSEAYIFSGKNCAYIDYAPNTGNDKILQGPSTIAEMFPDLRNTVFAEGLDGAFRSTIGKEVYIFKGEKYTRITYDTKLRIIDILDIPEGFPVLKGTIFENGIDACFSSHVENEVYLFKGDDYVRMKVTPHATNDTLVGDVTPIVEGWPPLKGIVPVTE >Vigun03g278000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45557248:45559090:1 gene:Vigun03g278000.v1.2 transcript:Vigun03g278000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGDAVGRGSFATVSLVIPTTNPSQFPSLTAVKSSQALTSFWLKNEKHVLDHLGSCPRIIRCFGDDYSFENGVEYYNLFLEYAAAGSLADKVNNCGGRIAEPEVRRYTRSIVEGLNHVHQNGFVHCDIKLQNILVFDDGEIKIADFGLAKEAGERKQRKSECRGTPLFMSPEQVIDGECESPADIWALGCAVVEMVTGKPAWKVEKGSSMWSLMLRIGVGEEIPEIPENLSKEGKDFIEKCFVKDPRKRWSAEMLLKHPFVSDDTVSFKRLHESPRSHFDFPDWVSSATSSLPSSPESQSPWNFDESRLEGFCSPEDRLRQISTVDRPANWSESDDWSSVR >Vigun01g193200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37085971:37086889:1 gene:Vigun01g193200.v1.2 transcript:Vigun01g193200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAKLLWVMALCVAAMAHQAAANGGKDTTDAKAVIDKVCAASPTRDLCVEVLSSDPPSSSNLKDLAILSLRFAARNASGILADTKILIDDDQLDPDVQQGLADCKENILDAESQLEDTIAALMADAAGDAQLWLKAALAAIDTCDASIPGDDDVLSVESAVFRKLCNVAITVIQQLPNKTKT >Vigun03g441000.1.v1.2 pep primary_assembly:ASM411807v1:3:64368035:64372697:-1 gene:Vigun03g441000.v1.2 transcript:Vigun03g441000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDSLSQFLASAVDAAHKAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLKQLYPSHKFIGEETTAAGGNAELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIRKIPTVGVVYNPIINELFTGIHGKGAFLNGNPIKVSSQTELINALLVTEVGTKRDNLTVDACTNRINSLLFKVRSLRMTGSCALNLCGIACGRLDVCFELGFGGPWDVAAGAVIVREAGGVLFDPSGADFDITSQRVAASNPFLKEALVAVVRQNL >Vigun02g040200.1.v1.2 pep primary_assembly:ASM411807v1:2:16523485:16525999:-1 gene:Vigun02g040200.v1.2 transcript:Vigun02g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDALLGRTFKATKFKAIANLAISRLAVLKNQRQARLRHARSDVLELLQLGHLERASLRVEHVIKDQNMLDVYDRIEGYCNLLIERIHLIEQERECPEELKEAASGLLYAASRCGDFPEIQEIRAVLTSRFGKEFAARSIELRNNCGVHPQMIQKLSTRMPSLESRMKVLKDIASENGIVLQLEETSVSVEAQSAVELQNQLGPEKEEENESILPSRGKNEELTDSFKGRKKYKDVADAAQAAFESAAYAAAAARAAVELSRSQSHDPDDHDSPGPQTRKVEDGQDNAKHQMEKEIFSETQGEDFNKDIDELKGSKDFISRNSTDKVLMGTNASVDAEIEGDPFKEEVVFDDSDDETDNNQNKNESSEKTSSGYGAGIDVNSGSRKLVLNTVSESKMQGVPQLDLHKRPISVRSR >Vigun02g040200.2.v1.2 pep primary_assembly:ASM411807v1:2:16523392:16525999:-1 gene:Vigun02g040200.v1.2 transcript:Vigun02g040200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDALLGRTFKATKFKAIANLAISRLAVLKNQRQARLRHARSDVLELLQLGHLERASLRVEHVIKDQNMLDVYDRIEGYCNLLIERIHLIEQERECPEELKEAASGLLYAASRCGDFPEIQEIRAVLTSRFGKEFAARSIELRNNCGVHPQMIQKLSTRMPSLESRMKVLKDIASENGIVLQLEETSVSVEAQSAVELQNQLGPEKEEENESILPSRGKNEELTDSFKGRKKYKDVADAAQAAFESAAYAAAAARAAVELSRSQSHDPDDHDSPGPQTRKVEDGQDNAKHQMEKEIFSETQGEDFNKDIDELKGSKDFISRNSTDKVLMGTNASVDAEIEGDPFKEEVVFDDSDDETDNNQNKNESSEKTSSGYGAGIDVNSGSRKLVLNTVSESKMQGVPQLDLHKRPISVRSR >VigunL073700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:120653:120925:1 gene:VigunL073700.v1.2 transcript:VigunL073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >Vigun07g134900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24504608:24505799:1 gene:Vigun07g134900.v1.2 transcript:Vigun07g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKVKLAYISDLTARKSTYKKRKKGIIKKVSELTILCGISACAIISSPFEAKAEIWPDPEGAKKVIEKYLDASVIDESKNVNQESFLMQRIGRAQEQLKKLRQENEEKEKILSIFRYMQGEDLPTDIQELKQLKNLIQNTMKETKNKMDDLNSESV >Vigun07g134900.2.v1.2 pep primary_assembly:ASM411807v1:7:24504608:24506390:1 gene:Vigun07g134900.v1.2 transcript:Vigun07g134900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKVKLAYISDLTARKSTYKKRKKGIIKKVSELTILCGISACAIISSPFEAKAEIWPDPEGAKKVIEKYLDASVIDESKNVNQESFLMQRIGRAQEQLKKLRQENEEKEKILSIFRN >Vigun07g124200.2.v1.2 pep primary_assembly:ASM411807v1:7:22987451:22993493:1 gene:Vigun07g124200.v1.2 transcript:Vigun07g124200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDASSVMYRPPKDLDGIPGAKDLIMCLTGYLRQDRDDIMTMVGLMGAKFSKPLVANKVTHLICYKFEGEKYLLAKRLGTIKLVNHRWLEDCLKEWVLLPEDKYNKSGFELEMTEEEAKDSEEEGEDAKLGQSGGRNIQQSPLGSKFAHAANHGLLKSVREASSNLPDSTGPRVLPNVNNGEDSLTIPESKRKSDQDSSFYNVDDSKISYQTPDISKLPDKYTNTTESKNADYPKALGCQDPGNTANTKSSVQRSGLHGNTTESKKLINELTSTSASAAGVAHSNEKLRTTSYSRKNQREFSVPRILDGSSGIEGNRCENSKADEEIQFMKSTSLDISGRGNDFTKGDEPISLLPQKRINEASSTKLKSRKVSSDAKVSIQSANGKSQGLKVTSQVDEPPKAGSNSNSVAFDEHFSRNAGPESAQRDSVYQNSPQSAIRSISESKINGKPDVTSSGLRQVGCDEAGLHITKSLDSSSKGNKNSHDVESGGCTNLDLSNEECNKLVRKSPTKKSVVKGISGSKPRVSATARHKRSLSLNKTNLGEGVALCSESKEICDAKIHQGCPQNRDINNMMEQEAVTKNTDDASDRADFFTDETEAPDDKCEFEFGMALNEESVHPSEKPNRATKEKSETICPATKCEEAMPPKKCTNKAEIQKTSSLLVKNQARECAAGKANATVSKYADDASDRTETLDDETEDPDDKLENELGMTTEEELVNPSKKRDKSTKVHPSKKRDKSSKEKPEAICPASKCEDAMPPKGVTNKTEKQKPSSLVVKHQARKRPAGKAKATVAKDLSKSKVAVSKEKVPNETGDEAEIETVEEVPSPADQHDKSALARNKSVTLAEEEKENRPIDLMQDPVKGRSNDNPTNKSDVRSTNIKSTKVGLNPSISESNTRVKTEAAFFILSGHRLQRKEFQQVIKRLKGRVCRDSHQWSYQATHFIAPDPLRRVEKFFAAAASGRWILKMDYLTDSSQEGKLLAEEPYEWHRNGLSEDGAINMEAPRKWRLVKEKTGHGAFYGMRIVVYGDCIAPPLDTLKRVIKAGDGTILATSPPYTRFLGTGVDYAVVSPGMPHVDIWVQEFLKHEIPCVVADYLVEYVCKPGFSLEKHVLYGTHSWAEKSLDKLKSKAEEIVAPEDFSDDDDSDDVPCQVCGSRDRGDVMLICGDESGSVGCGVGTHIDCCDPPLTQVPEDDWFCSKCSTTPNSSKSSTKRKKNVLS >Vigun07g124200.1.v1.2 pep primary_assembly:ASM411807v1:7:22987031:22993493:1 gene:Vigun07g124200.v1.2 transcript:Vigun07g124200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASYASRVFRGVRFVLRGFNPAAESQIRVKLEDGGGIDAGQYGGSCSHVIVDKIAYDDPLCVAARKDRKAVVTALWVDHSADIGMPVDASSVMYRPPKDLDGIPGAKDLIMCLTGYLRQDRDDIMTMVGLMGAKFSKPLVANKVTHLICYKFEGEKYLLAKRLGTIKLVNHRWLEDCLKEWVLLPEDKYNKSGFELEMTEEEAKDSEEEGEDAKLGQSGGRNIQQSPLGSKFAHAANHGLLKSVREASSNLPDSTGPRVLPNVNNGEDSLTIPESKRKSDQDSSFYNVDDSKISYQTPDISKLPDKYTNTTESKNADYPKALGCQDPGNTANTKSSVQRSGLHGNTTESKKLINELTSTSASAAGVAHSNEKLRTTSYSRKNQREFSVPRILDGSSGIEGNRCENSKADEEIQFMKSTSLDISGRGNDFTKGDEPISLLPQKRINEASSTKLKSRKVSSDAKVSIQSANGKSQGLKVTSQVDEPPKAGSNSNSVAFDEHFSRNAGPESAQRDSVYQNSPQSAIRSISESKINGKPDVTSSGLRQVGCDEAGLHITKSLDSSSKGNKNSHDVESGGCTNLDLSNEECNKLVRKSPTKKSVVKGISGSKPRVSATARHKRSLSLNKTNLGEGVALCSESKEICDAKIHQGCPQNRDINNMMEQEAVTKNTDDASDRADFFTDETEAPDDKCEFEFGMALNEESVHPSEKPNRATKEKSETICPATKCEEAMPPKKCTNKAEIQKTSSLLVKNQARECAAGKANATVSKYADDASDRTETLDDETEDPDDKLENELGMTTEEELVNPSKKRDKSTKVHPSKKRDKSSKEKPEAICPASKCEDAMPPKGVTNKTEKQKPSSLVVKHQARKRPAGKAKATVAKDLSKSKVAVSKEKVPNETGDEAEIETVEEVPSPADQHDKSALARNKSVTLAEEEKENRPIDLMQDPVKGRSNDNPTNKSDVRSTNIKSTKVGLNPSISESNTRVKTEAAFFILSGHRLQRKEFQQVIKRLKGRVCRDSHQWSYQATHFIAPDPLRRVEKFFAAAASGRWILKMDYLTDSSQEGKLLAEEPYEWHRNGLSEDGAINMEAPRKWRLVKEKTGHGAFYGMRIVVYGDCIAPPLDTLKRVIKAGDGTILATSPPYTRFLGTGVDYAVVSPGMPHVDIWVQEFLKHEIPCVVADYLVEYVCKPGFSLEKHVLYGTHSWAEKSLDKLKSKAEEIVAPEDFSDDDDSDDVPCQVCGSRDRGDVMLICGDESGSVGCGVGTHIDCCDPPLTQVPEDDWFCSKCSTTPNSSKSSTKRKKNVLS >Vigun07g274800.1.v1.2 pep primary_assembly:ASM411807v1:7:39035899:39037457:-1 gene:Vigun07g274800.v1.2 transcript:Vigun07g274800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAVFVNAQLIPNFIGKRVRTVVQVNQCGGGVATTKSTDDSQLTIKGLPQVPIMNFIEVIGIAESSNSIDAELWTDFGSTFDTNSFNQLCQLANGEFKGLFL >Vigun11g037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5194985:5196580:1 gene:Vigun11g037900.v1.2 transcript:Vigun11g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINKWLLSSYLNESVNHDFAIRRYCPARMEEDQEGVVFDDTELCSSDASTPCLASSEVDDFVDSFINMDQYEKADEGHQEKHQTLGHCMVMNNDDADADADEHSSMNGVFGYLPTTMEDSELEMYENLTMLEEEVATMSDSFGTLPEFVHCGKEANLGVQQGLELVHMLLACAEAVGCRDNHQAELLINRIFALASPSGDALQRVSYCFATGLKCRFSKSTSMDITLINRENKLEAFHLLYQTTPYIAFGFMAANEAIFQASQGKNSIHIVDLGMEHTLQWSSLIRAFASRPEGPPMVRITGLTHNEEDTSKLQTSMNVLVNEASSLGIHLEFFTISEPASSSLLTVEKLNLRKGEALFVNGILQLHKYVKESRGNLKEILLSVKKLGPTAFTVVEQDTNHNGPFFLGRFLESLHYYSAIFDSLEACMPRNSQHRMRIERLHFAEEILNIVACEGSERTERHERVDQWRRQLGRAGFQVMPLKCTSQVRMMLSVYDCDGYTLSSEKGNLLLGWKGRPVMMSSAWQVASV >Vigun03g228100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37879025:37880299:1 gene:Vigun03g228100.v1.2 transcript:Vigun03g228100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGPKPFFCLLQKILKGFLLLSWNVGFLPPFSSPTRGTKGVAPGYLAGPSPPCQLLPFSQTAVVRTVAGNASRGRRSHQRRRRGRSKSGQSLRLSPRSRPKIPRAPPAAFPLFAVVFPTLLLIHSKSGLGLGSHSTATVRPAFEAQIRRRQCRRILALLLLLFPVGCWNGWG >Vigun07g268400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38375891:38377550:1 gene:Vigun07g268400.v1.2 transcript:Vigun07g268400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQLDYKAKRANPTSPTSLKLFGFSVHEDITMEEEDEVVIHDDVEDSTTKASSTSPSASPDSAGVGSGPPSSGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRNAAVSFARNPMISAFAPPPHLLAPPGSMVVPAAPWVYVPPRAAPPPFHVSVSHGCAFPSANGHNGLSSNNSSNVTITGVKAAGAGMFPYVGVVGDSQLALSTVQVQARAHHATVDGPSLSRFSKGDGGPKFDDAWGLDLHLSLAPAAP >Vigun09g167200.2.v1.2 pep primary_assembly:ASM411807v1:9:33531143:33534832:-1 gene:Vigun09g167200.v1.2 transcript:Vigun09g167200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLRNARPYLLLLAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNAIASVTLAPFAFVLERKVRPKMSFRVFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSITFVMAVILGMERMKIKEVACQAKVIGTVVTFGGTLLMALYKGPVLSFMKSSTSHVSQTENVSNPTGNHWVIGTVFLLIGCAGFSAFYILQAITLRKYPAEMSLATWVCFVGALQSSVVAIFAERHHPHAWSLGWDTRLFAPAYAGIVTSGVQYYIQGMVIKSMGPVIVTAFNPLRMIIVTGLACIILSEQLYLGSVGKS >Vigun09g167200.1.v1.2 pep primary_assembly:ASM411807v1:9:33531143:33534832:-1 gene:Vigun09g167200.v1.2 transcript:Vigun09g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLRNARPYLLLLAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNAIASVTLAPFAFVLERKVRPKMSFRVFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSITFVMAVILGMERMKIKEVACQAKVIGTVVTFGGTLLMALYKGPVLSFMKSSTSHVSQTENVSNPTGNHWVIGTVFLLIGCAGFSAFYILQAITLRKYPAEMSLATWVCFVGALQSSVVAIFAERHHPHAWSLGWDTRLFAPAYAGIVTSGVQYYIQGMVIKSMGPVIVTAFNPLRMIIVTGLACIILSEQLYLGSIIGAIVVVLGLYLVVWGKAKERRGMTPPSPTEDNFPEDQRQLPVSAPRNDSDDNKA >Vigun06g098200.1.v1.2 pep primary_assembly:ASM411807v1:6:22905731:22907917:1 gene:Vigun06g098200.v1.2 transcript:Vigun06g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTVEVNGIKMHVAEKGEGPVVLFIHGFPELWYCWRHQILALSSRGYRAVAPDLRGYGDTDVPSSVSSYTIMHLVGDIVALIDSLGVDQVFLVAHDWGAIVGWYLCLFRPERIKAYVCLSVPFMPRNPKVRPVDAMRALYGDDYYICRFQEPGKAEALYGSNNIGEAIKNMLTSRRPGPPILPKEGVTLPSGSLPSKPLPSWLSQEDVNYYASKFAKTGLTGGLNYYRNLNLNWELTAAWTGAQVKVPVKFITGDLDVVYTSLGTKDYIDSGAFKRDVPYLEEVVVQEGVAHFNNQEAAEDVSNHIYEFIKKF >Vigun01g122100.2.v1.2 pep primary_assembly:ASM411807v1:1:29869264:29876292:-1 gene:Vigun01g122100.v1.2 transcript:Vigun01g122100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTNPTTNNQLEPLPPPQHRHPHPHHYQLQQHQHHQVHDHQISFGMMQSSSSSSSIPGNYLGKDSGAYDLGELDQAFFLYLDGQADPSTVQDQRQNSSSGMRPPTLNIFPSKPMHVEPSSSKSKANLELVSPQTSGSKRPSEPSMELANPRNEAASAPQPPKAVKRESNRKGPTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRVRLNQLEQELQRARTQGMFLGGGGALLGGEQSLPVAMNTITSEAAMFDVEYARWVEEHHRIVCELRAAVQEHLPENELRLFVDNCVAHYDQVINLKSLVAKTDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILSQIEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTITSDSLSYPLNMANYMGQMAVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCFLAIAEYFHRLRALSSLWLARPRVE >Vigun01g122100.1.v1.2 pep primary_assembly:ASM411807v1:1:29869264:29876292:-1 gene:Vigun01g122100.v1.2 transcript:Vigun01g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTNPTTNNQLEPLPPPQHRHPHPHHYQLQQHQHHQVHDHQISFGMMQSSSSSSSIPGNYLRGKDSGAYDLGELDQAFFLYLDGQADPSTVQDQRQNSSSGMRPPTLNIFPSKPMHVEPSSSKSKANLELVSPQTSGSKRPSEPSMELANPRNEAASAPQPPKAVKRESNRKGPTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRVRLNQLEQELQRARTQGMFLGGGGALLGGEQSLPVAMNTITSEAAMFDVEYARWVEEHHRIVCELRAAVQEHLPENELRLFVDNCVAHYDQVINLKSLVAKTDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILSQIEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTITSDSLSYPLNMANYMGQMAVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCFLAIAEYFHRLRALSSLWLARPRVE >Vigun07g012400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1089981:1091072:1 gene:Vigun07g012400.v1.2 transcript:Vigun07g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSRLKNTTATTTNHHVRSSSSSWPWPSCHQPRTLSFRATTTTTPNDDVSKATNSIAQTPDSFFTDSPNSDSFSTASDISRTVDPTETVIRGLRSDRLFFEPDPTSSLWEAKLATLPPFKNSVVFSIDSTHPYVDFRKSMEDMVATHSVRDWEDLEDLLCWFLKVNAQNTHHYILHAFVDFLFSLALSSSSSSSNAICSSTTTTTTTTTLSSLSSSSSSCTTPCTFSFEEHLQHQHFS >Vigun05g001700.1.v1.2 pep primary_assembly:ASM411807v1:5:137432:139644:1 gene:Vigun05g001700.v1.2 transcript:Vigun05g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNMHSKATIGVEFQTQCLEIDSKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHCDTTVAMMLVGNKCDLENIRSVSVEEGKSLAEAEGLFFMETSALDSTNVKTAFEMVIREIYNNVSRKVLNSDTYKAELSVNRVSLVNNGPATSKQNQSYFSCCSR >Vigun05g001700.2.v1.2 pep primary_assembly:ASM411807v1:5:137432:139646:1 gene:Vigun05g001700.v1.2 transcript:Vigun05g001700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNMHSKATIGVEFQTQCLEIDSKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHCDTTVAMMLVGNKCDLENIRSVSVEEGKSLAEAEGLFFMETSALDSTNVKTAFEMVIREIYNNVSRKVLNSDTYKAELSVNRVS >Vigun01g165000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34724429:34726580:1 gene:Vigun01g165000.v1.2 transcript:Vigun01g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQITDLHFVLFPLMAQGHMIPMMDIARLLAQRGVVVTIFTTPKNALRFNSVLSRAVSSGLQIRLLQLPFPAKEAGLPEGCENFDMVTSMDMINKMFRTVNMLQKSAEELFEALTPKPSCIISDFCIPWTAQLAEKYRIPRISFHGFSCFCLHCLHQVHTSGVRESITSKTEYFTIPGIPDKIQVTKEQLPGALSYDSKEFGEQVRAADKKSYGVIINSFEELEKTYVRDYKKVRNDKVWCIGPVSLCNRDGLDKAERGKQASMNGHQCLKWLDLQQHKCVVYVCFGSLCNLIPSQLVELALALEDTKRPFVWVIREGSHFQELEEWISEEGFEERTKGRGLIIRGWAPQVMILSHYSIGGFLTHCGWNSTLEGISGGVPLVTWPLFGDQFLNEKLVRDVLKIGVSVGAEAPVMWGEEEKMGVMVKKDDIKRAIFMVMDEDGEEAKERRERVKKLCEMGKRAMENGGSSHVAITSLIKEIMQQSTSLSLEDSQLTSSS >Vigun09g056600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5674866:5676976:-1 gene:Vigun09g056600.v1.2 transcript:Vigun09g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAEKTNGGEANNPMLLHGKYELGRLLGHGTFAKVYHARNLKSGKSVAMKVVGKEKLIKVGMMEQIKREISAMNMVKHPSIVQLHEVMASKSKIYIAMELVRGGELFNKIARGRLREETARLYFQQLVSAVDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSTFSEHLRQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVALYKKIYRGDFKCPPWFSSEARRLITKLLDPNPNNRITISKIMESSWFKKPVPKNVMGAKREELDLEEKIKQQEECKSTTMNAFHIISLSEGFDLSPLFEEKKREEKEIRFATTRPASSVISRLEEVAKAVKFDVKKSETKVRLQGQERGRKGKLAIAADLYAVTPSFLVVEVKKDNGDTLEYNQFCSNQLRPALKDIVWRTSPSENPTPA >Vigun06g003100.1.v1.2 pep primary_assembly:ASM411807v1:6:1382127:1384726:-1 gene:Vigun06g003100.v1.2 transcript:Vigun06g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVVSLFSLFLLCMAAAAAAKGRKTLSLDHQWLTSYPVIDDDVDGICKTMVETQGYKCEEHKVTTQDGYILSLQRMPAGRSGDKADKPPVLLQHGIFSDASTWLVNSPDESLGFILADNGYDVWLANVRGTKYSNGHTSLDTNDQAYWDWSWDELAGYDLSAFVQYVYNYTGQTMHYAGHSLGTLMALAALSQGQGLNMLRSAALLCPIAHMNQIPSLLTKIAADTFIANDLYWLGIREFNPNGGGAASNFVEDICNKLNLNCASPMSLVTGPNCCLNSSMTDVSSEPTATKNLIHLSQMIKTGKIAKYDYGDEGDNMQHYGQAVPPLYDMTAIPNDFPLFVTYGGQDLLSDVKDVQVLLNDLQHHDGNKLVVLFKEDYAHLDFVRAVNANQIIYDPIIAFYKAN >Vigun09g264700.1.v1.2 pep primary_assembly:ASM411807v1:9:42887694:42889019:-1 gene:Vigun09g264700.v1.2 transcript:Vigun09g264700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCMNFMSWFLFIHLLVTLCSYGCRGREISEVISEVAVEEGHHHNHMDPSVMVFFTLKDLRVGKRMAIHFPKRDPAKSPKFWPKEEADSVPFSSNQLPHLLKLFSFSPHSSQATAMENTLRECESKPIKGEVKFCATSLESMLEFTQSILGVTSELQAFSTLHQTTSSVTFQNYTIQETIMEIPAPKMVACHTMPYPYAVFYCHSQESANRVYRVSLVGENGDRVEAMAVCHLDTSQWVPTHVSFQVLRITPGTSPVCHFFPADHLIWVPKPQAHGSSSI >Vigun10g123700.1.v1.2 pep primary_assembly:ASM411807v1:10:33202858:33206438:-1 gene:Vigun10g123700.v1.2 transcript:Vigun10g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKGENSCASPWLKISEEVDPASASETLCFVDRMGASIAVPPKWNARGTYDSLFRNFIKVLHIQPGRISISFHVKPPICNGYGTLHGGSVGTLSEILSTACARTVVAEDKELFLGEISISYMSATPVNEEVLANASVVKSGRNLTVVAAEFKLKKSGNLAYSTRATFYNMPLSSL >Vigun03g104500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9061862:9062799:-1 gene:Vigun03g104500.v1.2 transcript:Vigun03g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIIGRRSNVFDPFSMDVWDPFKDFPFHNSLAASIPEFSRQNSAFVSTRVDWKETPEAHVLKADIPGLKKEEVKVEIEDDNVLQISGERNVEKEDKNDTWHRVERSSGKFVRRFRLPENAKIDQVKASMEDGVLTVTVPKEEVKKPEVKSIEISG >Vigun01g182300.1.v1.2 pep primary_assembly:ASM411807v1:1:36299659:36303139:-1 gene:Vigun01g182300.v1.2 transcript:Vigun01g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDSSSIYGVSNFFLLFLLFLLPLSSLSAFPHNSSTTPQINSNSILVALLDSHYTELAELVEKALLLQQLEDAVGNHNITIFAPRNKALERDLDPEFKRFLLEPRNLRSLQTLLMSHILPARISSRHWPAADRRHATLGNHHHLHLATKPSGQMTVDAAEILRADDVVRPDGVIHGIERLIVPRSVQEDFNRRRNLAAISAVLPEGAPEIDPRTHRLKKPAPVPAGAPPVLPIYDALAPGPSIAPAPAPGPGGPKHHFNGERQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYILTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVLAQESDGSVKFGHGDSSAYLFDPDIYTDGRISVQGIDGVLIPPEEEEERTHRTPPLVKVAAKPRRGRLMEMACSMFGAFGQGCQ >Vigun06g004900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:2528543:2531931:1 gene:Vigun06g004900.v1.2 transcript:Vigun06g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQLSIFLLWLLCATMFHRGACGTSFHLLCNEKDRSALQIFKLGVVNHSNKLSSWSSQQDCCSWKGVHCDNDTGRVRRLDLKQQYLEGEINLSLFQIQFLSYLDLSLNGFTSLSAPFDAHASFSNIQFLDLSFNDDLHIHNLRWLNQLSSLKYLNLSEINLQNESNWLQSMQLHPSLLELRLASCHLTNISPSIKFVNFSSLLSLDLSGNHFDSELPYWLFNLTTISNIDLSFNFLRGTLPKSLLSLGNLKSLRLHDNEINGSIPEWLGQHEHLQYLGLSENMFVGSIPSSIGNMSSLVDLSISSSSLSGNLPTSLGQLFNLKSLFIGGESLSGVLHENHFSNLSNLEALVLNAPFSFDLASDWIPPFQLEGISLSNAKLGPKFPEWLYTQHSLVYLEVPNSSISSINSDLFWRFVANITQLNLSKNNIYADLTNITLNSQLIFMDHNNFTGGLPHISANVIYLDLSRNSFYGPIAPLFCHKLGTENNLDYLDISFNLLTGGVPDCWEYWKGLSFLFMESNVLTGELPPSMASFIDLIALDLHNNSLSGHFSLDLSNITNLEFINIKQNNFSGTVPTKMPRAMEVMLLGSNQFEGNIPTQLCNLPSLIQLDLFHNKLSGPIPPCISDIPSMGGAQRTSHYPFEFNLYNKGQELEYEDYGLLRTLDLSSNNLSGEIPSQLFSLVQLQSLNLSRNHFTGKISREIGSMKNLESLDLSSNELYGEFLVPFPLYLS >Vigun07g003000.5.v1.2 pep primary_assembly:ASM411807v1:7:236653:242070:-1 gene:Vigun07g003000.v1.2 transcript:Vigun07g003000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSTIKEEDNIGEAEKKGFQGDTNETSIAKDVEEKASIDITNEPGKDTWQDEGHGEDVKGKAQTITTDEDSQEKTIGFASNHATTVLENDSMKGDTRASDVNMENQTPPTAEAEVVQEIGEAALVSEDATTELGKVTMQEDNHDDRMKENMQMIPSDEAKDVQEGEAEAFQDEVTGLNSNNTESTLENDLLEEDTCKNDLNMENKIHPTAEVEDVQEKATGMTSHYSISSLKNDLLEEDDPEDDVNVNHQKHKTIDDKFDQLQIETRLNFDDETSEFDDKTTQEDKQDANAVKPTVNSIDVQEKTIISASDAALKLTNSFEGSGDEITEVRQPEKSPSDGSVEAKVGKSENLSSLSLEGTEEYEKQETCFREHWIETCNHHLNNEPSIQQGDEITEVRQPEMSLIDRSVVTESGNLEILSSSSLEDTQEFVKQEETREREHMLVTDNHDLNSDPSIQQGGVVIEVGQSDTSNDGSVEAKATNSEILSSSSLEGTEEYEKQEESCLREEILLTYNHQLNNEPPIQQDVEETTVLTLSAVNMSNDIEIQESSGHSDNDEPDKFLSEQSFVGTDSLLEHNLLDTNSHSEQIKDDDLAKEMKSHVELCTDMLDTTSDSLSIDTTINGNSLTKVKCTTEDSQTSPLESSIVVSPIELDHEENCKVPHGESILSRSGSMENSQDCKPDQCMKDSLKEYNMVYICDVSVESNGDCNGERNMLLDSNVSKLVTNDQVEEPKVTENGVPFDDFINNSNKTSEETGATSEEKHYVVPQAKKISLIEGLTDVDCRHEEGNENKIEETNQNPEISHVLVNTFEGTEMSEHCNCDLVTINQEESFPLKNNSSLLHFYDDHQDNVKQDKSFTATSMPNLGCKQTNKTRNSGHTIDNSNSSELTVPSLNLDDKEAFEKEGKEDPQHTEAELTASTATMSSIAPYSNKSIFENGGYETRESITRLSTESNPDNPNTSSQMQKSPSFNLNLRKEARPGESDKIPLLHQNKSANESFSKQTSLNLINSMPHAQYEQCMLHSEEMPVEEKIVTMERSYSRKSKAPFIGLLKEEEEAHLLGMSRIQENHAGTKNTVSSTSPKKDKRKTRSSFFSSCMCCATVP >Vigun07g003000.4.v1.2 pep primary_assembly:ASM411807v1:7:236653:242070:-1 gene:Vigun07g003000.v1.2 transcript:Vigun07g003000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSTIKEEDNIGEAEKKGFQGDTNETSIAKDVEEKASIDITNEPGKDTWQDEGHGEDVKGKAQTITTDEDSQEKTIGFASNHATTVLENDSMKGDTRASDVNMENQTPPTAEAEVVQEIGEAALVSEDATTELGKVTMQEDNHDDRMKENMQMIPSDEAKDVQEGEAEAFQDEVTGLNSNNTESTLENDLLEEDTCKNDLNMENKIHPTAEVEDVQEKATGMTSHYSISSLKNDLLEEDDPEDDVNVNHQKHKTIDDKFDQLQIETRLNFDDETSEFDDKTTQEDKQDANAVKPTVNSIDVQEKTIISASDAALKLTNSFEGSGDEITEVRQPEKSPSDGSVEAKVGKSENLSSLSLEGTEEYEKQETCFREHWIETCNHHLNNEPSIQQGDEITEVRQPEMSLIDRSVVTESGNLEILSSSSLEDTQEFVKQEETREREHMLVTDNHDLNSDPSIQQGGVVIEVGQSDTSNDGSVEAKATNSEILSSSSLEGTEEYEKQEESCLREEILLTYNHQLNNEPPIQQADVEETTVLTLSAVNMSNDIEIQESSGHSDNDEPDKFLSEQSFVGTDSLLEHNLLDTNSHSEQIKDDDLAKEMKSHVELCTDMLDTTSDSLSIDTTINGNSLTKVKCTTEDSQTSPLESSIVVSPIELDHEENCKVPHGESILSRSGSMENSQDCKPDQCMKDSLKEYNMVYICDVSVESNGDCNGERNMLLDSNVSKLVTNDQVEEPKVTENGVPFDDFINNSNKTSEETGATSEEKHYVVPQAKKISLIEGLTDVDCRHEEGNENKIEETNQNPEISHVLVNTFEGTEMSEHCNCDLVTINQEESFPLKNNSSLLHFYDDHQDNVKQDKSFTATSMPNLGCKQTNKTRNSGHTIDNSNSSELTVPSLNLDDKEAFEKEGKEDPQHTEAELTASTATMSSIAPYSNKSIFENGGYETRESITRLSTESNPDNPNTSSQMQKSPSFNLNLRKEARPGESDKIPLLHQNKSANESFSKQTSLNLINSMPHAQYEQCMLHSEEMPVEEKIVTMERSYSRKSKAPFIGLLKEEEEAHLLGMSRIQENHAGTKNTVSSTSPKKDKRKTRSSFFSSCMCCATVP >Vigun07g003000.3.v1.2 pep primary_assembly:ASM411807v1:7:236653:242070:-1 gene:Vigun07g003000.v1.2 transcript:Vigun07g003000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSTIKEEDNIGEAEKKGFQGDTNETSIAKDVEEKASIDITNEPGKDTWQDEGHGEDVKGKAQTITTDEDSQEKTIGFASNHATTVLENDSMKGDTRASDVNMENQTPPTAEAEVVQEIGEAALVSEDATTELGKVTMQEDNHDDRMKENMQMIPSDEAKDVQEGEAEAFQDEVTGLNSNNTESTLENDLLEEDTCKNDLNMENKIHPTAEVEDVQEKATGMTSHYSISSLKNDLLEEDDPEDDVNVNHQKHKTIDDKFDQLQIETRLNFDDETSEFDDKTTQEDKQDANAVKPTVNSIDVQEKTIISASDAALKLTNSFEGSGDEITEVRQPEKSPSDGSVEAKVGKSENLSSLSLEGTEEYEKQETCFREHWIETCNHHLNNEPSIQQGDEITEVRQPEMSLIDRSVVTESGNLEILSSSSLEDTQEFVKQEETREREHMLVTDNHDLNSDPSIQQGDEISDFRQPEKSPNDEAVEAKGGNSESFSSFEDTEEYEKQEETCLREHLLVAYNEPSIQQGGVVIEVGQSDTSNDGSVEAKATNSEILSSSSLEGTEEYEKQEESCLREEILLTYNHQLNNEPPIQQEIQESSGHSDNDEPDKFLSEQSFVGTDSLLEHNLLDTNSHSEQIKDDDLAKEMKSHVELCTDMLDTTSDSLSIDTTINGNSLTKVPHGESILSRSGSMENSQDCKPDQCMKDSLKEYNMVYICDVSVESNGDCNGERNMLLDSNVSKLVTNDQVEEPKVTENGVPFDDFINNSNKTSEETGATSEEKHYVVPQAKKISLIEGLTDVDCRHEEGNENKIEETNQNPEISHVLVNTFEGTEMSEHCNCDLVTINQEESFPLKNNSSLLHFYDDHQDNVKQDKSFTATSMPNLGCKQTNKTRNSGHTIDNSNSSELTVPSLNLDDKEAFEKEGKEDPQHTEAELTASTATMSSIAPYSNKSIFENGGYETRESITRLSTESNPDNPNTSSQMQKSPSFNLNLRKEARPGESDKIPLLHQNKSANESFSKQTSLNLINSMPHAQYEQCMLHSEEMPVEEKIVTMERSYSRKSKAPFIGLLKEEEEAHLLGMSRIQENHAGTKNTVSSTSPKKDKRKTRSSFFSSCMCCATVP >Vigun07g003000.1.v1.2 pep primary_assembly:ASM411807v1:7:236653:242070:-1 gene:Vigun07g003000.v1.2 transcript:Vigun07g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSTIKEEDNIGEAEKKGFQGDTNETSIAKDVEEKASIDITNEPGKDTWQDEGHGEDVKGKAQTITTDEDSQEKTIGFASNHATTVLENDSMKGDTRASDVNMENQTPPTAEAEVVQEIGEAALVSEDATTELGKVTMQEDNHDDRMKENMQMIPSDEAKDVQEGEAEAFQDEVTGLNSNNTESTLENDLLEEDTCKNDLNMENKIHPTAEVEDVQEKATGMTSHYSISSLKNDLLEEDDPEDDVNVNHQKHKTIDDKFDQLQIETRLNFDDETSEFDDKTTQEDKQDANAVKPTVNSIDVQEKTIISASDAALKLTNSFEGSGDEITEVRQPEKSPSDGSVEAKVGKSENLSSLSLEGTEEYEKQETCFREHWIETCNHHLNNEPSIQQGDEITEVRQPEMSLIDRSVVTESGNLEILSSSSLEDTQEFVKQEETREREHMLVTDNHDLNSDPSIQQGDEISDFRQPEKSPNDEAVEAKGGNSESFSSFEDTEEYEKQEETCLREHLLVAYNEPSIQQGGVVIEVGQSDTSNDGSVEAKATNSEILSSSSLEGTEEYEKQEESCLREEILLTYNHQLNNEPPIQQADVEETTVLTLSAVNMSNDIEIQESSGHSDNDEPDKFLSEQSFVGTDSLLEHNLLDTNSHSEQIKDDDLAKEMKSHVELCTDMLDTTSDSLSIDTTINGNSLTKVKCTTEDSQTSPLESSIVVSPIELDHEENCKVPHGESILSRSGSMENSQDCKPDQCMKDSLKEYNMVYICDVSVESNGDCNGERNMLLDSNVSKLVTNDQVEEPKVTENGVPFDDFINNSNKTSEETGATSEEKHYVVPQAKKISLIEGLTDVDCRHEEGNENKIEETNQNPEISHVLVNTFEGTEMSEHCNCDLVTINQEESFPLKNNSSLLHFYDDHQDNVKQDKSFTATSMPNLGCKQTNKTRNSGHTIDNSNSSELTVPSLNLDDKEAFEKEGKEDPQHTEAELTASTATMSSIAPYSNKSIFENGGYETRESITRLSTESNPDNPNTSSQMQKSPSFNLNLRKEARPGESDKIPLLHQNKSANESFSKQTSLNLINSMPHAQYEQCMLHSEEMPVEEKIVTMERSYSRKSKAPFIGLLKEEEEAHLLGMSRIQENHAGTKNTVSSTSPKKDKRKTRSSFFSSCMCCATVP >Vigun07g003000.2.v1.2 pep primary_assembly:ASM411807v1:7:236653:242070:-1 gene:Vigun07g003000.v1.2 transcript:Vigun07g003000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSTIKEEDNIGEAEKKGFQGDTNETSIAKDVEEKASIDITNEPGKDTWQDEGHGEDVKGKAQTITTDEDSQEKTIGFASNHATTVLENDSMKGDTRASDVNMENQTPPTAEAEVVQEIGEAALVSEDATTELGKVTMQEDNHDDRMKENMQMIPSDEAKDVQEGEAEAFQDEVTGLNSNNTESTLENDLLEEDTCKNDLNMENKIHPTAEVEDVQEKATGMTSHYSISSLKNDLLEEDDPEDDVNVNHQKHKTIDDKFDQLQIETRLNFDDETSEFDDKTTQEDKQDANAVKPTVNSIDVQEKTIISASDAALKLTNSFEGSGDEITEVRQPEKSPSDGSVEAKVGKSENLSSLSLEGTEEYEKQETCFREHWIETCNHHLNNEPSIQQGDEITEVRQPEMSLIDRSVVTESGNLEILSSSSLEDTQEFVKQEETREREHMLVTDNHDLNSDPSIQQGDEISDFRQPEKSPNDEAVEAKGGNSESFSSFEDTEEYEKQEETCLREHLLVAYNEPSIQQGGVVIEVGQSDTSNDGSVEAKATNSEILSSSSLEGTEEYEKQEESCLREEILLTYNHQLNNEPPIQQDVEETTVLTLSAVNMSNDIEIQESSGHSDNDEPDKFLSEQSFVGTDSLLEHNLLDTNSHSEQIKDDDLAKEMKSHVELCTDMLDTTSDSLSIDTTINGNSLTKVKCTTEDSQTSPLESSIVVSPIELDHEENCKVPHGESILSRSGSMENSQDCKPDQCMKDSLKEYNMVYICDVSVESNGDCNGERNMLLDSNVSKLVTNDQVEEPKVTENGVPFDDFINNSNKTSEETGATSEEKHYVVPQAKKISLIEGLTDVDCRHEEGNENKIEETNQNPEISHVLVNTFEGTEMSEHCNCDLVTINQEESFPLKNNSSLLHFYDDHQDNVKQDKSFTATSMPNLGCKQTNKTRNSGHTIDNSNSSELTVPSLNLDDKEAFEKEGKEDPQHTEAELTASTATMSSIAPYSNKSIFENGGYETRESITRLSTESNPDNPNTSSQMQKSPSFNLNLRKEARPGESDKIPLLHQNKSANESFSKQTSLNLINSMPHAQYEQCMLHSEEMPVEEKIVTMERSYSRKSKAPFIGLLKEEEEAHLLGMSRIQENHAGTKNTVSSTSPKKDKRKTRSSFFSSCMCCATVP >Vigun05g203000.1.v1.2 pep primary_assembly:ASM411807v1:5:39166088:39167029:1 gene:Vigun05g203000.v1.2 transcript:Vigun05g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKGAGADDDS >Vigun04g066600.4.v1.2 pep primary_assembly:ASM411807v1:4:7437802:7445858:-1 gene:Vigun04g066600.v1.2 transcript:Vigun04g066600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASAAPEAVEKKPRRNKRVVDENVPLLPKVQASDDDDDDDASGFEEFNGASFCGAVFNLSTTIIGAGIMGLPACVKKLGMVPGLLAIILTGFLTEKSIEFMIRISRVGNVSSYGSLMRDAFGKSGKALVEICVIVNSIGVLIIYMIILGDVISGTSSGGTHHSGLLEGWFGVQWWTGRTFVVSFTTVAVFAPLVSFKRIDSLKFTSALSVGLAVVFLVIAVGMSVLKIITGGIGMPRLFPIITDMTSIWELFTVVPVLVTAYICHPNVHWSNSCCLYSIHISSFHHSEGSTQRSNQKGQDSICLYDIFGCLVECCGFIQ >Vigun04g066600.2.v1.2 pep primary_assembly:ASM411807v1:4:7437802:7445858:-1 gene:Vigun04g066600.v1.2 transcript:Vigun04g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASAAPEAVEKKPRRNKRVVDENVPLLPKVQASDDDDDDDASGFEEFNGASFCGAVFNLSTTIIGAGIMGLPACVKKLGMVPGLLAIILTGFLTEKSIEFMIRISRVGNVSSYGSLMRDAFGKSGKALVEICVIVNSIGVLIIYMIILGDVISGTSSGGTHHSGLLEGWFGVQWWTGRTFVVSFTTVAVFAPLVSFKRIDSLKFTSALSVGLAVVFLVIAVGMSVLKIITGGIGMPRLFPIITDMTSIWELFTVVPVLVTAYICHPNVHPIANELEDSSQMNGVVRASLALSASVYLLTSFFGFLLFGEATLDDVLANFDTNLGIPLGSVLNDVVRSSYAAHLVLVFPVVFYGLRVNLDSLLFPSSRPLTQHKLRFASITVTLVAVIFLGANFIPSIWDIFQFTGATAAVCIAFIFPASIILRDRHNVATKRDKILSVFMIFLAVLSNAVALYSDTYALIKKNKTYT >Vigun04g066600.3.v1.2 pep primary_assembly:ASM411807v1:4:7437802:7445858:-1 gene:Vigun04g066600.v1.2 transcript:Vigun04g066600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASAAPEAVEKKPRRNKRVVDENVPLLPKVQASDDDDDDDASGFEEFNGASFCGAVFNLSTTIIGAGIMGLPACVKKLGMVPGLLAIILTGFLTEKSIEFMIRISRVGNVSSYGSLMRDAFGKSGKALVEICVIVNSIGVLIIYMIILGDVISGTSSGGTHHSGLLEGWFGVQWWTGRTFVVSFTTVAVFAPLVSFKRIVGLAVVFLVIAVGMSVLKIITGGIGMPRLFPIITDMTSIWELFTVVPVLVTAYICHPNVHPIANELEDSSQMNGVVRASLALSASVYLLTSFFGFLLFGEATLDDVLANFDTNLGIPLGSVLNDVVRSSYAAHLVLVFPVVFYGLRVNLDSLLFPSSRPLTQHKLRFASITVTLVAVIFLGANFIPSIWDIFQFTGATAAVCIAFIFPASIILRDRHNVATKRDKILSVFMIFLAVLSNAVALYSDTYALIKKNKTYT >Vigun04g066600.1.v1.2 pep primary_assembly:ASM411807v1:4:7437802:7445858:-1 gene:Vigun04g066600.v1.2 transcript:Vigun04g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASAAPEAVEKKPRRNKRVVDENVPLLPKVQASDDDDDDDASGFEEFNGASFCGAVFNLSTTIIGAGIMGLPACVKKLGMVPGLLAIILTGFLTEKSIEFMIRISRVGNVSSYGSLMRDAFGKSGKALVEICVIVNSIGVLIIYMIILGDVISGTSSGGTHHSGLLEGWFGVQWWTGRTFVVSFTTVAVFAPLVSFKRIDSLKFTSALSVGLAVVFLVIAVGMSVLKIITGGIGMPRLFPIITDMTSIWELFTVVPVLVTAYICHPNVHPIANELEDSSQMNGVVRASLALSASVYLLTSFFGFLLFGEATLDDVLANFDTNLGIPLGSVLNDVVRSSYAAHLVLVFPVVFYGLRVNLDSLLFPSSRPLTQHKLRFASITVTLVAVIFLGANFIPSIWDIFQFTGATAAVCIAFIFPASIILRDRHNVATKRDKILSVFMIFLAVLSNAVALYSDTYALIKKNKTYT >Vigun04g064850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7015158:7015937:-1 gene:Vigun04g064850.v1.2 transcript:Vigun04g064850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSASKKIQPDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDHDDDDDDDDDDDHDHDDDDDDHDHDDDDDDDHDHDHDDHDHDHDDDDDDDDDHDHDDDDDDHDHDDDDHDDHDDDHDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDHDDDDDHDDDDDDDDDDDDDDDDDDDDDHDDDDDDDDDDDDDDDDDDDDDDDDDDDNNNNNNNNNNNNNVS >Vigun08g044300.1.v1.2 pep primary_assembly:ASM411807v1:8:4652851:4656858:1 gene:Vigun08g044300.v1.2 transcript:Vigun08g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESQDPAAASEAQISKKAAKKEAAKQDKLRRRQEIAAASAATANLSVDEADPLAANYGDVPLIDLQSKTPTDVSEWTRVEALGSSLENKTVLIRGRAQTIRPVGKKMAFLVIREHGYTVQCLVQAQPDTVSPQMVKFAAALNRESIVDVEGVVSIPAAPIKGATQQVEIQVRKLYCVSRAVPTLPINLEDAARSEVEIEKALQAGEQLVRVNQDTRLNFRVLDVRTPANQGIFRVQSHVANAFRQFLLSEGFCEIHTPKLIAGSSEGGASVFRLDYKGQPACLAQSPQLHKQMCICGDFGRVFEIGPVFRAEDSYTHRHLCEFTGLDVEMEIKKHYFEVMDVVDRLFVAMFDSLNQHCKKDLEAVGSQYPFEPLKYLRNTLRLTYEEGIQMLKDVGVEIEPFGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDNPSYSNSFDVFIRGEEIISGAQRVHVPEFLEQRAAACGIDVKTISTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPLRIAP >Vigun10g041100.1.v1.2 pep primary_assembly:ASM411807v1:10:5672887:5677900:1 gene:Vigun10g041100.v1.2 transcript:Vigun10g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVISLFILFFSFSISRGEISGEVGVNYGRLGSDLPPPRISVRLIQSLLKAKRVKIYDADAEILEALQNTGIQVSIMLPNELVVNASTNQTFSDQWVRSNVVPFYPETLIRYLLVGNELISSTGNETWPHIVPAMHRISHSLKVFRLRKVKIGTPHAMDVLQSSFPPSNGTFRNDIALPIIKPMLQFLHKTRSFFFLDVYPFFAWASDPTNINLSYTLFESRNITVTDSLTGLIYTNLFDQMVDAVYYAMKRLGYPDIRIFIAETGWPNGGDLDQVGANVHNAATYNRNVVKRLTQKPVLGTPARPGLVLPALIFSLYNENLKPGLGSERHFGLLYPNGSRVYDIDLSGKTPESELAPVPPAMDYKGKAWCVVAEGANVTEVAVALSYACSQGNGTCDPIQPGNPCYKPDSVTGHADYAFSAYWAQFRGVGGTCNFNGLATQTAKDPSYGSCKFPSVTL >Vigun06g101000.1.v1.2 pep primary_assembly:ASM411807v1:6:23106457:23111349:-1 gene:Vigun06g101000.v1.2 transcript:Vigun06g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELHSQDNAAAATDSPRVSRNHRVEGASTEEYSVGVCSDVDTSSNFDRLLSEFDEYVAAERHVSRDLGFGFEVGDMVWGKVKSHPWWPGHIYNEAFASPSVRRLKREGHVLVAFFGDSSYGWFEPAELILFDANFAEKSQQTNARTFLRAVEEAVDEACRRRGLGLACRCRKTDNFRPTNVEGYFCVDVDDYEPGGLYSDSQIAKARDSFNPSETLAFVKQLAIAPHDGGRGGIGFTNNKATLSAYRKAVFEQFDETYAQAFGVQPVRATHPRISPLDQSGTVRHTPRAPLSGPLVIAEALGGGKSSTKSLKVKEASKKDRYLLKRRDDPNNSVQLAYEEYNSDAANSYVFQKRAPPVPVTPHKLEIQADTGFISHDGAASISDAKEHLKGHVQEDGSGHSSAISADTKPLLDKGKESFEEMTHNFEHDNAFSKSMVRSDLSGELATVDAMSQLSHPENQVSVDVKYEGNAKLSGPCDDFNQVVLGPLTAGGGNNDMHQLKSENNVYGSPVEVKHKVKKKVPKRSAAELNSETSAVGERKKKKKKDLNLRPAAELNSEIPAVGERKKKKKKDLNLQPTLGFPEKHSTFGESVSVKSTGKAVSIGLAPGEDFPSEQVVVDANARNLLPVDTIGNANVELPQLLGDLQALALNPFHGIERKIPGAVQLFFLRFRSLVYQKSLSVSLPNENEAPEVRFPKSPSSLRTSDNPDEFVKASQIVKPVKHIVRPDDPTKAGRKRAPSDRQDEIAAKRLKKIKDLKALASEKAATSHKTFEARREDGMETFSQAPSKLVKPDTMKKVNTPAKAVEPTMLMIKFPPETTLPSIPELKARFARFGPMDQSGFRQFWNSSTCRVVFLHKADAQAAYKYSVGNQSLFGSVGVRCFIREFGDPAPEVSEAAKGKVDDGGSDIARVKDPPVVHRLASASSMQPLPQPIQLKSCLKKSTGDESGLVTGNGSSSKGNSRVKFMLGGEESNKGDQIMVGNRNKFNNASFADAVATDFNSKNVQKMTLQPPLPILPLPTQFSKPPQHNSRNSELAMAPRNSPNFINATASATASTVDISQQMIHLLTRCSDVVTNLSGLLGYVPYHPL >Vigun06g101000.3.v1.2 pep primary_assembly:ASM411807v1:6:23106457:23111350:-1 gene:Vigun06g101000.v1.2 transcript:Vigun06g101000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLKTVCMDYTTKSILSPLSGPLVIAEALGGGKSSTKSLKVKEASKKDRYLLKRRDDPNNSVQLAYEEYNSDAANSYVFQKRAPPVPVTPHKLEIQADTGFISHDGAASISDAKEHLKGHVQEDGSGHSSAISADTKPLLDKGKESFEEMTHNFEHDNAFSKSMVRSDLSGELATVDAMSQLSHPENQVSVDVKYEGNAKLSGPCDDFNQVVLGPLTAGGGNNDMHQLKSENNVYGSPVEVKHKVKKKVPKRSAAELNSETSAVGERKKKKKKDLNLRPAAELNSEIPAVGERKKKKKKDLNLQPTLGFPEKHSTFGESVSVKSTGKAVSIGLAPGEDFPSEQVVVDANARNLLPVDTIGNANVELPQLLGDLQALALNPFHGIERKIPGAVQLFFLRFRSLVYQKSLSVSLPNENEAPEVRFPKSPSSLRTSDNPDEFVKASQIVKPVKHIVRPDDPTKAGRKRAPSDRQDEIAAKRLKKIKDLKALASEKAATSHKTFEARREDGMETFSQAPSKLVKPDTMKKVNTPAKAVEPTMLMIKFPPETTLPSIPELKARFARFGPMDQSGFRQFWNSSTCRVVFLHKADAQAAYKYSVGNQSLFGSVGVRCFIREFGDPAPEVSEAAKGKVDDGGSDIARVKDPPVVHRLASASSMQPLPQPIQLKSCLKKSTGDESGLVTGNGSSSKGNSRVKFMLGGEESNKGDQIMVGNRNKFNNASFADAVATDFNSKNVQKMTLQPPLPILPLPTQFSKPPQHNSRNSELAMAPRNSPNFINATASATASTVDISQQMIHLLTRCSDVVTNLSGLLGYVPYHPL >Vigun06g101000.2.v1.2 pep primary_assembly:ASM411807v1:6:23106457:23111349:-1 gene:Vigun06g101000.v1.2 transcript:Vigun06g101000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLKTVCMDYTTKSILSPLSGPLVIAEALGGGKSSTKSLKVKEASKKDRYLLKRRDDPNNSVQLAYEEYNSDAANSYVFQKRAPPVPVTPHKLEIQADTGFISHDGAASISDAKEHLKGHVQEDGSGHSSAISADTKPLLDKGKESFEEMTHNFEHDNAFSKSMVRSDLSGELATVDAMSQLSHPENQVSVDVKYEGNAKLSGPCDDFNQVVLGPLTAGGGNNDMHQLKSENNVYGSPVEVKHKVKKKVPKRSAAELNSETSAVGERKKKKKKDLNLRPAAELNSEIPAVGERKKKKKKDLNLQPTLGFPEKHSTFGESVSVKSTGKAVSIGLAPGEDFPSEQVVVDANARNLLPVDTIGNANVELPQLLGDLQALALNPFHGIERKIPGAVQLFFLRFRSLVYQKSLSVSLPNENEAPEVRFPKSPSSLRTSDNPDEFVKASQIVKPVKHIVRPDDPTKAGRKRAPSDRQDEIAAKRLKKIKDLKALASEKAATSHKTFEARREDGMETFSQAPSKLVKPDTMKKVNTPAKAVEPTMLMIKFPPETTLPSIPELKARFARFGPMDQSGFRQFWNSSTCRVVFLHKADAQAAYKYSVGNQSLFGSVGVRCFIREFGDPAPEVSEAAKGKVDDGGSDIARVKDPPVVHRLASASSMQPLPQPIQLKSCLKKSTGDESGLVTGNGSSSKGNSRVKFMLGGEESNKGDQIMVGNRNKFNNASFADAVATDFNSKNVQKMTLQPPLPILPLPTQFSKPPQHNSRNSELAMAPRNSPNFINATASATASTVDISQQMIHLLTRCSDVVTNLSGLLGYVPYHPL >Vigun01g106000.1.v1.2 pep primary_assembly:ASM411807v1:1:27727025:27728886:-1 gene:Vigun01g106000.v1.2 transcript:Vigun01g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKNCVSKKQKDCKVYSLLDLPEWILDCILECLSPLDLFRVAEVCSSLRDKTRSDALWEKLIKQKWGRLVGDVAHQEWLWHTTKINTQSLLLLQQNQGGLCGSSTGVWPSLSFNSYLENFIDFITLIKSCSKMAFYICLESGRFWFPVQLYKSGNQNLSVCDAIVSYDSRTNTFQARSRRGGWHMIEGNVPWDKLRMSPVETSPMTFYVSNSMNDLKPGDQIEIQIRRRTDSHYTWSYAVIGHPETCEEDVNHCHCKYNDMLVVEFKQYKGQSRWRRSVLNRKLHEEQGSRPRWFGGIRKLVNEDEIKRWNNFLTSK >Vigun05g272100.1.v1.2 pep primary_assembly:ASM411807v1:5:46308784:46312660:1 gene:Vigun05g272100.v1.2 transcript:Vigun05g272100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVPGVPGVSGILNPGVHRQNKIKGTVVLMRKNVLDFNSVADLTKGNVSGVIGTGLNVIGSTVDGLTAFLGRSVALQLISATKSDESGKGKVGKDTFIEGIITSLPTLGAGESAFYVHFEWDESMGIPGAFYIKNFMQVEFYLKSLTLEDVPNHGTIRFVCNSWIYNNTLYKKALRIFFANNTYVPSETPAPLVHYREEELKNLRGDGTGERKEHERIYDYDVYNDLGNPDLNENFARPTLGGSSTHPYPRRGRTGRYPTRKDSSCEKPGEVYVPRDENFGHLKSSDFLAYGIKSLSQYVLPAFESVFTLNLTPNEFNSFQDVRDLCEGGIKLPTEVISTISPLPVIKELFRTDGEQVLKFPTPHVIQVSKSAWMTDEEFAREMIAGVNPNVIRGLKEFPPKSNLDPATYGDQHSKITAEVLDLEGSTVDEALANKRLFVLDYHDIFMPYIRRINQTYAKAYATRTILFLKENGTLKPVAIELSLPHPSGDQSGAVSQVILPAKEGVESTIWLLAKAYVVVNDSCYHQLMSHWLNTHAVIEPFIIATHRHLSALHPIYKLLTPHYRDTMNINALARQSLINADGIIEKSFLPSKYSVEMSSAVYKHWVFTDQALPAELIKRGVAVEDSSAPHGLRLLIEDYPYAVDGLEIWAVIKSWVQEYVSVYYAKDDDVISDSELQHWWKEAVEKGHADLKDEAWWPKLQTLEELVQICTIIIWTGSALHAAVNFGQYPYGGFILNRPTSSRRLLPEKGTPEYEEMVKSHQKAYLRTITSKFQTMIDLSVIEILSRHASDEVYLGQRENPHWTSDSKALQAFQKFGNKLKDIEEKLGSKNKDEKLRNRFGPVELPYTLLHPTSGEGLTFRGIPNSISI >Vigun03g269500.2.v1.2 pep primary_assembly:ASM411807v1:3:44149613:44154006:-1 gene:Vigun03g269500.v1.2 transcript:Vigun03g269500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFCVNERKVCVRWVEKYFKDCLCNMKDEISFGLGLTSLLFWGVAEIPQIITVFRTKKSHGVSLIFLLTWVAGDICNLTGCLLEPATLPTQYYTALLYTITTIVLLLQIIYYDYISNWYKHPQKVNHKREEEDERKPLKPPKPAHESGIPIPNGTRQAVPRQEHYYMSARSLAGSGTPPWGTYMRAAKSGPSAMESIDASSSEDDEAYPPSSKNPPIKPRPIPRPAPGSYGTFLAAAVSLPLKANGLRERYMRFAGRKLLQEYETHSTLGQWLGWLMAAIYISGRLPQIWLNIKRGSVEGLNPFMFVFALVANVTYVGSILVRTTELESIKANMPWLLDAVL >Vigun03g269500.1.v1.2 pep primary_assembly:ASM411807v1:3:44149613:44154006:-1 gene:Vigun03g269500.v1.2 transcript:Vigun03g269500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFCVNERKVCVRWVEKYFKDCLCNMKDEISFGLGLTSLLFWGVAEIPQIITVFRTKKSHGVSLIFLLTWVAGDICNLTGCLLEPATLPTQYYTALLYTITTIVLLLQIIYYDYISNWYKHPQKVNHKREEEDERKPLKPPKPAHESGIPIPNGTRQAVPRQEHYYMSARSLAGSGTPPWGTYMRAAKSGPSAMESIDASSSEDDEAYPPSSKNPPIKPRPIPRPAPGSYGTFLAAAVSLPLKANGLRERYMRFAGRKLLQEYETHSTLGQWLGWLMAAIYISGRLPQIWLNIKRGSVEGLNPFMFVFALVANVTYVGSILVRTTELESIKANMPWLLDAVLCVVLDLFIILQYIYYRYFRRREGKGENYEEERLHQLEFCG >Vigun07g238400.1.v1.2 pep primary_assembly:ASM411807v1:7:35985453:35986531:1 gene:Vigun07g238400.v1.2 transcript:Vigun07g238400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMMKMGVVLVIMSVLCAGAAALSSTCETVLVSLSPCLNYIDGNSSTPSSGCCTQFATVVSSEPRCLCEVLNGGASSLGVPINQTKALTLPTACKVHTPPISRCNATSPAESPHSSPSAGTGSKKVPPPDNASSMPPAENASSAANSVNFSVPLLFLVLASTFATLVR >Vigun07g238400.2.v1.2 pep primary_assembly:ASM411807v1:7:35985453:35986531:1 gene:Vigun07g238400.v1.2 transcript:Vigun07g238400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMMKMGVVLVIMSVLCAGAAALSSTCETVLVSLSPCLNYIDGNSSTPSSGCCTQFATVVSSEPRCLCEVLNGGASSLGVPINQTKALTLPTACKVHTPPISRCNATSPAESPHSSPSGTGSKKVPPPDNASSMPPAENASSAANSVNFSVPLLFLVLASTFATLVR >Vigun06g088600.1.v1.2 pep primary_assembly:ASM411807v1:6:22083441:22093536:1 gene:Vigun06g088600.v1.2 transcript:Vigun06g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNNGSAAVATARTPSVTLDNNNNNHHHHHNNHHKLAFTSNNNNTNANCSPSLPTFHNASRRSSAAPPSPRIFATPDPVFPQWQPSERASRMTPEQIEEVRSRLNLDVTVASDSPPAPAPIESFTDMCLDSSIMKDIAYHEYTRPTSIQAQAMPIALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPSIRRNDGPLALVLAPTRELAQQIEKEVKAFSRSLESLKTAIVVGGTNIEKQRAELRAGVEIAVATPGRFIDHLQQGNTSLSRISFVVLDEADRMLDMGFEPQIREVMRSLPEKHQTLLFSATMPVEIEALAKEYLASPVQVKVGKVSSPTTNVSQTLVKISENEKIDRLLDLLVEDASQAEKCGHPFPLTIVFVERKTRCDEVAEALVAQGLSAVSLHGGRSQSEREAALHDFRSGSTNILVATDVASRGLDVTGVSHVINLDLPKTMEDYVHRIGRTGRAGSTGLATSFYTDRDMFLVANIRKAIADAESGNTLTFATGKVARRKEKEAAAAQKEANIALSKQLGLGAASINIEDKYKFMITAANIKREGAADSAWDD >Vigun01g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4526593:4529673:1 gene:Vigun01g034800.v1.2 transcript:Vigun01g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVPGKLGIQAEHVKSDKRLANMKLYSSQHQDAKTRGADMKKKMRKSRSINLSDLEALQSSSSSSTPSRRSLSQPGKPPPLQTPTTAASASASASPQKQQPLFRTTDVSPNYMKPTSSSHAKKELFPVSHRNAQSGSDFKNLPRKFSTDSKAACAKKPAKALARSSSLSLVRTLTKTTSFKASRACSRKSSRAVMCADMTAPQRATCSSTLKDSKFPSYLMLSPGATESEGTSAMKVCPYTYCSLNGHHHVDLPPLKSFVSARRRLLKTQKRAKLEALSPRRLKVPLKTDKKESDVKQNVFDEKPACDEIGIDIFIEIYANEKDAKPTGAEEMGRRDFLKEIEDQADNKSPIEDNGVAARTIGIPSPSVRELDLEEDLQKPFDDVAIGVDTNGKFLQEQCSQDAIEDHQPTVWCHEEMSMGSYCSDGEQDMGDVDMDDSDSRTYEMEWKEERFCGFDHEEDSDSSVYTEEDNDSKVESSSESSHDVSVTWLDDILGSYYEDFLIDETHKEANSEESTHFEESIGISSVLEDTNGSIEAKEIDQSSFPEEIFEYMMIAGDNGGEDEKHVDDEASCNSKTLDEQTFDSTQNQKMSDTSTIDETGEEGCLSSLGNNDESSKMEREIELVDVSEESNMADQDQDLLEKDQGKGRRFQRTSCIGGEEEDASKNWKGSIGRKRGVEDDDEMRKFNPKEPNFLPLVPEPEKEKVDLRHQMMDERKNSEEWMLDCALRQAVTKLAPARKKKVALLVEAFETVMPAPKCENRVRNDSAFGHAGRIQACS >Vigun05g019500.1.v1.2 pep primary_assembly:ASM411807v1:5:1586397:1591440:1 gene:Vigun05g019500.v1.2 transcript:Vigun05g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAVEVLNRYGTDMVGEPRGSDSECLAGFECFLNIVRALGMGSCISEVGAGGSSPPLLSDSNTDGKRRRLRGSSSFDFRVPGRMFSNGSSEVASMFCKQGRKGINQDAMLVWENFCSKEDTIFCGVFDGHGPYGHKVARKVRDSFPLKLNAQWDLHHKNRDGVSDHSSANGSYKSEGNGFRLVDEKTCPTYHEFDETDITLTLKESYLKACKLMDKELKMHPDIDCFCSGTTAVTLVKQGLDLIIGNIGDSRAILATRDQDDSLIAVQLTVDLKPNLPREEERIRLRRGRVFSLQNEPEVSRVWLPNSDFPGLAMARAFGDFCLKDFGLISVPDISYHHLTEKDEFVVLATDGIWDVLSNEEVVDIVASAPRSTAARALVESAVQSWRTKYPFCKVDDCAAVCLFFDPDTDFESNDTKGKLVPEASVDQSKDLS >Vigun08g139000.1.v1.2 pep primary_assembly:ASM411807v1:8:31127744:31130734:-1 gene:Vigun08g139000.v1.2 transcript:Vigun08g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNMIDRENLKPGDHIYSWRQAYIYAHHGIYVGDGMVIHFTRGAGQEIGTGTVLDNFLLSSSPTHSSDTPCARCGDQTGTDGVFSSCLDCFLAGGNLYIFEYGVSPAFFLAKARGGTCTLKQSDPTDEVLHRSSFLLENGFGGYNIFKNNCEDFAIYCKTGLLVSTSISVGRSGQLASYISAASTVVSTPLRFLTTSFSGLALVSFGMYCVGRLMSDIGVRRDVLKVPVERLIATPGLDISERTTQMAKED >Vigun03g118300.1.v1.2 pep primary_assembly:ASM411807v1:3:11013250:11017500:-1 gene:Vigun03g118300.v1.2 transcript:Vigun03g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFESCIFSSKPQIVAPKAQHSQYFNSSKLDALHIANKWNIVPEERSSAEVYKTGNLRRNLREKVEVVKNELWKSVDESSFKGLQMIDVMQRLNIDYYFQDEIEALIGKQYANCSSVGSGYGNHIHQIALRFRLFRQHGYFASQEVFEKFTDKERKFRPELSENIKGMVDIYEASQLAVAGEDILAEAEKFSGKVLKGKVDCIDSHGDKFVKRTLEHPFHKSLPLFTARKFLGDFHDKNLWLSSFQEIAKMDFSLLQCSYHREIGQISKWWSGLGLANELVYARNQPLKWYIWSLACFADPTLSEERIELTKPISLIYIIDDIFDVYGTLDELTLFTEAVCRWDITAIEQLPDYMKTCFRVLYNLTNEISSKVYKKHGWNPIHSLQNAWKSLCKAFLVEAKWFGSGKLPSAEEYLENGTVSSGVHIVMVHAFFLLGEGLTDENVEIIDRIPDIISSPATILRLWDDLGNAQDENQKGNDGSYVNCLMMEKAEYTREVARERVMSKICDAWKRLNQECLFHKQFHPTFTKASLNLARMVPLMYSYDDEQSLPGLEEQVKSLLYDNFL >VigunL010701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:68986:69324:1 gene:VigunL010701.v1.2 transcript:VigunL010701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQVDEPDGDVSSFVVAEPVPPDLPSDDSPTLHHLSLQAYHGTYGKCTIYFSGSIAGTTVRILLDGGSSDNFIQMEKIPKRKSQSNRWVNNEWDRLDPTLTHMGQCSADAS >Vigun03g156600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16835669:16849350:-1 gene:Vigun03g156600.v1.2 transcript:Vigun03g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQKRMGSCIVNRSIATTMLHSTLQRLYMPFKPPTVQTTSPAAILKDSQSLPKLPVSYSNLLSQCVAAKSLTSGMELHAHLIKFGFSRHPGLRNYLVTLYSKCCRFRYARKLVDESSEPDVVSWSSLLSGYVQNGFLEEALLVFNEMFLLGVKCNEYTFPSVLKACSMKRDLNTGRKVHGMAVVTGFESDGFVGNTLVVMYAKCGLLDDSKRLFGGIVEQNVVSWNALFSCYVQSELRGEAVDLFKEMMRSGIRPNEFSISIILNVCAGLQDGGLGRTLHGLMLKMGLDLDQFSANALVDTYSKAGEIEGAVAVFQEIAHPDVVSWNAVIAGCVLHDRNDLALMMLDEMNSSGTSPNMFTLSSALKACAAMAFKELGRQLHSSLIKRVADSDLYASVGLIDMYSKCEMMDDARRAYDSMPKKDVIAWNALISGYSQCGDDLEAVSLFSKMYNEDIDFNQTTISTVLKSVASLQAIKVCKQIHSISIKSGIYSDFYVINSLLDTYGKCNHIDEASKIFEERTWEDLVAYTSMITAYSQYGDGEEALKLYLQMQDAYIKPDAFICSSLLNACAKLSAYEQGKQLHVHAIKFGFMCDIFASNSLVNMYAKCGSIEDADRAFFEIPNRGIVSWSAMIGGYAQHGHGKEALQLFNQMLRVGVPPNHITLVSVLCACNHAGLVYEGRQYFEKMEEMFGIKPTQEHYACMIDLLGRSGKLNEAVELVNSIPFEANGSVWGALLGAARIHKNIELGQKAAEMLYDLEPEKSGTHVLLANIYASAGMWENVAKVRKLMKDSKVKKEPGMSWIEIKDKVYTFIVGDRSHSRSDEIYAKLDQLGDLLSKAGYSPVVEIDIHNVNRSEKEKLLYHHSEKLAVAFGLIAMPPGAPIRVKKNIRICVDCHTFFKFVCKIVSREIIVRDINRFHHFKDGSCSCGDYW >Vigun07g253400.1.v1.2 pep primary_assembly:ASM411807v1:7:37213445:37214886:-1 gene:Vigun07g253400.v1.2 transcript:Vigun07g253400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Vigun03g434800.1.v1.2 pep primary_assembly:ASM411807v1:3:63884344:63891241:-1 gene:Vigun03g434800.v1.2 transcript:Vigun03g434800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPHPVPRTVEEVFTDFKGRRSGLIKALTTDVEKFYQQCDPEKENLCLYGFPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFELVTGSVKQSKDQPAAHNNGSKFKSSGKVSRQSESQAKGMKMSAPPKEEDDSGDEEEEDDEQGATCGACGDNYGTDEFWICCDMCERWFHGKCVKITPAKAEHIKQYKCPSCSNKRVRV >Vigun03g434800.2.v1.2 pep primary_assembly:ASM411807v1:3:63884364:63891241:-1 gene:Vigun03g434800.v1.2 transcript:Vigun03g434800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPHPVPRTVEEVFTDFKGRRSGLIKALTTDVEKFYQQCDPEKENLCLYGFPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFELVTGSVKQSKDQPAAHNNGSKFKSSGKSRQSESQAKGMKMSAPPKEEDDSGDEEEEDDEQGATCGACGDNYGTDEFWICCDMCERWFHGKCVKITPAKAEHIKQYKCPSCSNKRVRV >Vigun03g434800.3.v1.2 pep primary_assembly:ASM411807v1:3:63884344:63891242:-1 gene:Vigun03g434800.v1.2 transcript:Vigun03g434800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPHPVPRTVEEVFTDFKGRRSGLIKALTTDVEKFYQQCDPEKENLCLYGFPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFELVTGSVKQSKDQPAAHNNGSKFKSSGKVSRQSESQAKGMKMSAPPKEEDDSGDEEEEDDEQGATCGACGDNYGTDEFWICCDMCERWFHGKCVKITPAKAEHIKQYKCPSCSNKRVRV >Vigun05g300000.2.v1.2 pep primary_assembly:ASM411807v1:5:48389992:48393929:1 gene:Vigun05g300000.v1.2 transcript:Vigun05g300000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGKVFKSFKILLVVASITFSILITFFVINQSSVFEILQEFNALIEGTQNNATNKQGIQNIATVQATEKKNLNISEPVVVKGLKNDAFEDGKDYLSLSINNDNDKLLDGLLDSSIDETSCISRFQSYLYRKASSHKPSKYLISKLRNYERLHQRCGPYTKSYNQTMAKGAKHSKKDDDLRCKYLVYVTSDGLGNRMITLVSTFLYAILTDRVLLVRFDVDMLNLFCEPFSDSSWMLPKNSPYWNDIRQFETPETISMNNKENNSREFLPPVLVLDLMHTHVDHTNLFHCDQNQDLLQKVPALILRSNQYFVPSLFMISSFRQDLNKMFPNKDTIFHHLGRYLFHPSNEVWERIQKLYEAHLAKENERIGVQVRVFNTHQAPTQSIANEIIYCVQQNKLLPKLNMQSSMSPPLEKNTSKVVLVVSLYSAYGEKLKTIYQSNISLSREIIKVYQPSHEEHQHFGDDMHNVKAWTEIYLLSLCDSLVTTSMSTFGYVAHSLGGLKP >Vigun08g107800.1.v1.2 pep primary_assembly:ASM411807v1:8:26793195:26805968:1 gene:Vigun08g107800.v1.2 transcript:Vigun08g107800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTIDLGFRSLVLVAVVCLVLPAISFVLRRRWRRAEARAEEIKRLLVLAEEETVRAETEASYYRYGAVSVPKNKVCAVCFSPTTTRCARCKAVHYCSGKCQIVHWRQGHKEECHPAIATCQTDDLVSDLRKNVADPDYRRIHEHSSQTESTEYATASEDHLSEKSSSNISRAKDDSARDESLQEGNVTGSNSELSSNSFSGFSASTGASESSDDSSVCESVISNEHERSEGHIFVDHTHDTSDTTSSHNDIDESVPLSPKFVSLVDSVDDYPAMHKLYQTTPGLGKEESKLTSSDGSSGLHMRKGSTIEPSTVSSGFWNETLDSTRLKDDSNSDHLPSQGDSFPKSVENNMPGSSSSEKNVVDSSGCADAVSIHNLQTVGSRVSNHVINPTSTLELAESRGLPCAIADTKLVSKKEEHSRYSTQCGNKGIQSGTVTSSRVCSSPKSKNDLETSVQKVSDQFRGSKSSKPFPLAVGSNITGKYSDKGHFPYDLFVKLFNLNRVQLQPFGLINCGNSCYANAVLQCLAFTPPLTAYLLQGLHSKSCANKKWCFTCEFESLILKSKDTKSPISPVGLLSQLQNIGSQLGNGREEDAHEFLRLAVETMQSVCLMDSGDKTSDSLREETNLMGLTFGGYLQSKIKCMKCGGKSERQERMMDLTVEIDGEIATLEDALRQFTTAETLDGENKYNCVRCKSYEKAKKRMTVLEAPNVLTIALKRFKSGKFGKLNKPIQFPEILDLAPFMSGTSDLAIYRLYGVVVHLDNMNAAFSGHYVCYVKNFQSRWFKVDDSVVTSVELESVLAKGAYMLFYARCSPRAPRSIRNSIVSSDLKRKLNGKTTIVKGRLSTVSGAGVNLTCADGSPSLDTMYSNFLRQRRIWEEDSSSDNSSLISSNSDEGSCSTDSTSDSTSTDDFAGYIFGDFGCGSGGTFRNSDSNISPALSSSPHTRYFPSCDI >Vigun04g015000.1.v1.2 pep primary_assembly:ASM411807v1:4:1090041:1094504:1 gene:Vigun04g015000.v1.2 transcript:Vigun04g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASISIVSSSSSFLSDSPSTSAPTRTRPFLFSSSNRTPLFGFPRTPLPNATLRASSSSSSSALTPQENGSPEQFLENNSIADFMRFKSGVEGGGRSELQTALVSYRKRFPWSLLRPFLQVDLVSTIHIADEEYFLALQKELESYDCVLFEMVASRESLESRRNVTATKRLKGSRAKGFNILGCIQRQMAQILTLDFQLDCLNYQSSNWYHADLDYETFKLLQLEKGESFFSFAKDMTLRSTKAVLQPASIPEDLDPLRSKLLWASRVLPMPLVGLLIIGGVCTDVGSQASEYPEIEALSRLDFGAAMKVFLAKRLTSEFTLVTADVEEKSVIIGERNRVAIEALKAAMDKGHNRIAILYGGGHMPDLGRRLREEFDLVPSNVKWITAWSIRKRDLNTNSFPFLKKIAKASGWPLNRYQTLALLIFSSVLALDLWFWELFFGTAVNWVSELVQYVGNSPMI >Vigun02g117100.1.v1.2 pep primary_assembly:ASM411807v1:2:26999527:27007853:-1 gene:Vigun02g117100.v1.2 transcript:Vigun02g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGTISGVVPEPEGFLVHYPAYPSSISRAVDTLGGIQGILKARSSQSNKLELRFRPEDPYSHPAFGELRPTNSLLLKISKRKSPCVREAEEASSSSGVKNGEQENQPESERKQEESLCADIVARVTDAYFFDGMADYQHVIPVHADVARRKKRNWPELEEPLFDKGGFMDPDHEDVMIIVPPIFSPKDVPENLVLRPAAIPSSKKKQEEVVQQHLIEMDVEMEPVLALDFDIKDIPKKVNWEEYIPQGSDQWELQMVVSGMFDERPIWSKDSLTERLHKKGLSFSHGMLRRLLSRISYYFSSGPFLRFWIKKGYDPRKDPNSRIYQRIDYRVPVPLRSYCDAHLANKSKHKWEDICAFRVFPYKFQTSLQFFDLIDDYIQAEIKKSPLQSTCTFATGWFSQHMINCIRQRLMVRFLSVFPKPGAENLLRAATLKFEKLRRETYRHAMKLDEEECQQPNLALEEHEELDNAEDEEEAAEGNDSEEEWEEELDLAGDIQIPLQSQSYTNIENFSRTHLQELFGSFPHNEVHGANLLLTNDSDEEYHIYEEDSEENYSDE >Vigun02g117100.2.v1.2 pep primary_assembly:ASM411807v1:2:26999527:27007853:-1 gene:Vigun02g117100.v1.2 transcript:Vigun02g117100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQHVIPVHADVARRKKRNWPELEEPLFDKGGFMDPDHEDVMIIVPPIFSPKDVPENLVLRPAAIPSSKKKQEEVVQQHLIEMDVEMEPVLALDFDIKDIPKKVNWEEYIPQGSDQWELQMVVSGMFDERPIWSKDSLTERLHKKGLSFSHGMLRRLLSRISYYFSSGPFLRFWIKKGYDPRKDPNSRIYQRIDYRVPVPLRSYCDAHLANKSKHKWEDICAFRVFPYKFQTSLQFFDLIDDYIQAEIKKSPLQSTCTFATGWFSQHMINCIRQRLMVRFLSVFPKPGAENLLRAATLKFEKLRRETYRHAMKLDEEECQQPNLALEEHEELDNAEDEEEAAEGNDSEEEWEEELDLAGDIQIPLQSQSYTNIENFSRTHLQELFGSFPHNEVHGANLLLTNDSDEEYHIYEEDSEENYSDE >Vigun02g117100.3.v1.2 pep primary_assembly:ASM411807v1:2:26999527:27007853:-1 gene:Vigun02g117100.v1.2 transcript:Vigun02g117100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQHVIPVHADVARRKKRNWPELEEPLFDKGGFMDPDHEDVMIIVPPIFSPKDVPENLVLRPAAIPSSKKKQEEVVQQHLIEMDVEMEPVLALDFDIKDIPKKVNWEEYIPQGSDQWELQMVVSGMFDERPIWSKDSLTERLHKKGLSFSHGMLRRLLSRISYYFSSGPFLRFWIKKGYDPRKDPNSRIYQRIDYRVPVPLRSYCDAHLANKSKHKWEDICAFRVFPYKFQTSLQFFDLIDDYIQAEIKKSPLQSTCTFATGWFSQHMINCIRQRLMVRFLSVFPKPGAENLLRAATLKFEKLRRETYRHAMKLDEEECQQPNLALEEHEELDNAEDEEEAAEGNDSEEEWEEELDLAGDIQIPLQSQSYTNIENFSRTHLQELFGSFPHNEVHGANLLLTNDSDEEYHIYEEDSEENYSDE >Vigun08g084400.4.v1.2 pep primary_assembly:ASM411807v1:8:18441014:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFDGLKGSGYKTRDTAISDVGGFDSVELSILLCNDEFIRKLNKEWRDEDHATDVLSMSSHIPELKIPVLMLGDIVISVETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun08g084400.5.v1.2 pep primary_assembly:ASM411807v1:8:18444135:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFCYQHKWKVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDELSKDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun08g084400.3.v1.2 pep primary_assembly:ASM411807v1:8:18441014:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFDGLKGSGYKTRDTAISDVGGFDSVELSILLCNDEFIRKLNKEWRDEDHATDVLSMSSHIPELKIPVLMLGDIVISVETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDELSKDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun08g084400.6.v1.2 pep primary_assembly:ASM411807v1:8:18444135:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFCYQHKWKVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun08g084400.2.v1.2 pep primary_assembly:ASM411807v1:8:18442169:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFSHFLCHRPPLHTMARAVTCFTQLPHFSPASNNAISSHVSLFLKSPRFGRSFHALTRCRTEGRHRLMAGVRSESKEYRKVRRRASKNKEKELQLRVEICLEEDLPDDPEILSIAELLRLNVPIAMKLAFDGLKGSGYKTRDTAISDVGGFDSVELSILLCNDEFIRKLNKEWRDEDHATDVLSMSSHIPELKIPVLMLGDIVISVETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun08g084400.1.v1.2 pep primary_assembly:ASM411807v1:8:18442169:18453856:1 gene:Vigun08g084400.v1.2 transcript:Vigun08g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFSHFLCHRPPLHTMARAVTCFTQLPHFSPASNNAISSHVSLFLKSPRFGRSFHALTRCRTEGRHRLMAGVRSESKEYRKVRRRASKNKEKELQLRVEICLEEDLPDDPEILSIAELLRLNVPIAMKLAFDGLKGSGYKTRDTAISDVGGFDSVELSILLCNDEFIRKLNKEWRDEDHATDVLSMSSHIPELKIPVLMLGDIVISVETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHEISEEAEVEMEREEEILLKSLDWKGKGLIKSACDAETNSNFHQNSSDDELSKDRKKEGSLRFYKPKFRYIFCDMDGTLLNSKSQISSTTVTALREASSRGVKIVIATGKARPAVIDIFKTVDLAGKDGIVSEFSPGVFLQGLLVYGRQGQEIFRSNLDPNVCREACLYSLESKVPLVAFCEGRCLTLFHDPLVDSLHTIYHEPKAEVMPSVEHLLASAEIQKMIFLDTAENVADTLRPYWSDATKGRASVVQAVPDMLEIVPLGTSKGNGVRVLLDHLGVTAKEIMAIGDGENDMEMLDLASLGIALGNGSEKTKAVANVIGLSNDEDGVADAIYRYAF >Vigun01g024600.1.v1.2 pep primary_assembly:ASM411807v1:1:2741652:2744420:-1 gene:Vigun01g024600.v1.2 transcript:Vigun01g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRMSLSSLPTFPMHPSLQPAKFGTNSDTATEAVTLVNAAVQTEVSVIRKSWILSSREEAELCLGLRVSSDKTQTPQQQGAKSEVAKPKTKVLEEPSAIPRRSLLSLDKALCNDRETKERLAHDYRGLVTSIALGYQGKGLSLQDLIQEGTIGLLRGAEKFEPERGYKLSTYVYWWIKQAMIKAVATKSRLVRLPGGKCEMVAKVAQVNNILSTRLGRKPTYDETAKVLNVKASTIRLVSEKSRTPISLDKVVTDCGHMTLQEIIPGPEDMTPEKMVKRQLMKKEVMNLLNTLSKREAQIVTLHYGLNGETPRSFKEIGGMMQLSRERIRQINGIALSKLRQTSIKDNLIFYLV >Vigun09g190500.1.v1.2 pep primary_assembly:ASM411807v1:9:36517842:36522869:-1 gene:Vigun09g190500.v1.2 transcript:Vigun09g190500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLNSHRHNIRTLSPHIKKLLPVIMSSISLKENGGEVSVTKNYSNGGGLRDLYGEDSATEDHLITPWTFSVASGYSLLRDPRYNKGLAFSEGERDAHYLRGLLPPVVFNQELQEKRLMHNLRQYEVPLHRYMALMDLQERNERLFYRLLMDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQRRATGKEYAELLDEFMRAVKQNYGEKVLIQFEDFANHNAFDLLEKYSSSHLVFNDDIQGTASVVLAGLLASLKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKAPVEETRKKIWLVDSKGLIVSSRLESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVETMASLNEKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKLFVPGQANNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSEENYDKGLIYPPFSNIRKISAHIAAKVAAKTYDLGLASHLPRPKDLVKYAESCMYSPGYRSYR >Vigun09g190500.4.v1.2 pep primary_assembly:ASM411807v1:9:36517842:36522421:-1 gene:Vigun09g190500.v1.2 transcript:Vigun09g190500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISLKENGGEVSVTKNYSNGGGLRDLYGEDSATEDHLITPWTFSVASGYSLLRDPRYNKGLAFSEGERDAHYLRGLLPPVVFNQELQEKRLMHNLRQYEVPLHRYMALMDLQERNERLFYRLLMDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQRRATGKEYAELLDEFMRAVKQNYGEKVLIQFEDFANHNAFDLLEKYSSSHLVFNDDIQGTASVVLAGLLASLKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKAPVEETRKKIWLVDSKGLIVSSRLESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVETMASLNEKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKLFVPGQANNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSEENYDKGLIYPPFSNIRKISAHIAAKVAAKTYDLGLASHLPRPKDLVKYAESCMYSPGYRSYR >Vigun09g190500.2.v1.2 pep primary_assembly:ASM411807v1:9:36517842:36522869:-1 gene:Vigun09g190500.v1.2 transcript:Vigun09g190500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISLKENGGEVSVTKNYSNGGGLRDLYGEDSATEDHLITPWTFSVASGYSLLRDPRYNKGLAFSEGERDAHYLRGLLPPVVFNQELQEKRLMHNLRQYEVPLHRYMALMDLQERNERLFYRLLMDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQRRATGKEYAELLDEFMRAVKQNYGEKVLIQFEDFANHNAFDLLEKYSSSHLVFNDDIQGTASVVLAGLLASLKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKAPVEETRKKIWLVDSKGLIVSSRLESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVETMASLNEKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKLFVPGQANNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSEENYDKGLIYPPFSNIRKISAHIAAKVAAKTYDLGLASHLPRPKDLVKYAESCMYSPGYRSYR >Vigun09g190500.3.v1.2 pep primary_assembly:ASM411807v1:9:36517842:36522869:-1 gene:Vigun09g190500.v1.2 transcript:Vigun09g190500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLNSHRHNIRTLSPHIKLLPVIMSSISLKENGGEVSVTKNYSNGGGLRDLYGEDSATEDHLITPWTFSVASGYSLLRDPRYNKGLAFSEGERDAHYLRGLLPPVVFNQELQEKRLMHNLRQYEVPLHRYMALMDLQERNERLFYRLLMDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQRRATGKEYAELLDEFMRAVKQNYGEKVLIQFEDFANHNAFDLLEKYSSSHLVFNDDIQGTASVVLAGLLASLKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKAPVEETRKKIWLVDSKGLIVSSRLESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVETMASLNEKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKLFVPGQANNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSEENYDKGLIYPPFSNIRKISAHIAAKVAAKTYDLGLASHLPRPKDLVKYAESCMYSPGYRSYR >Vigun06g140750.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26625978:26629586:-1 gene:Vigun06g140750.v1.2 transcript:Vigun06g140750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPLFSGRLRHLLLPNPTNPLRPIPVFPILHPPHSSPRRFSSSTLSRDDGVSLCCQMWIDNFRHPDRVVTSLSPLLRRFDLWLLAYQKVATDDTGSYTPRSSISTSTLQDLLALRNAVLDGKFKWGARLKFFVKSPRDKTDYDSLSKRKIKTILTTTQPSPFQDKIVQEVLLMILEPIYEPRFSSKSYAFRPGRTPHTVLRVIRRSFAGYLWYIKADFSVLLDGMKVGLVINAVMRDVRDKLIVDLIKDALVTPVVVTTLEKKEKKKKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAERVPNWGHCGVLSPLLANVCLDELDRWMEGKIKEFYVPSKSDVIWNSPEEEQGNTSWPEFVPTSGPDKTRKMDFVRYGGHVLIGVRGPRADAAALRKQLIEFCDQRFMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLSFLKGDKDPDPQPCFRMFHATQAHTNAQMNKFLSSMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYQNLLRMGLAESIDGLHYTRLSLVPETDYSPFPSNWRPDHEKLLLEYIKLEDPKTLEEQRSCISEQGLVTPQDYISMLVWNYKRNSLAMDQLSLVRSNESVVEQHSLVGSNQDDQDHINKEEEDDAKMKAAQM >Vigun06g140750.1.v1.2 pep primary_assembly:ASM411807v1:6:26625978:26629581:-1 gene:Vigun06g140750.v1.2 transcript:Vigun06g140750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPLFSGRLRHLLLPNPTNPLRPIPVFPILHPPHSSPRRFSSSTLSRDDGVSLCCQMWIDNFRHPDRVVTSLSPLLRRFDLWLLAYQKVATDDTGSYTPRSSISTSTLQDLLALRNAVLDGKFKWGARLKFFVKSPRDKTDYDSLSKRKIKTILTTTQPSPFQDKIVQEVLLMILEPIYEPRFSSKSYAFRPGRTPHTVLRVIRRSFAGYLWYIKADFSVLLDGMKVGLVINAVMRDVRDKLIVDLIKDALVTPVVVTTLEKKEKKKKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAERVPNWGHCGVLSPLLANVCLDELDRWMEGKIKEFYVPSKSDVIWNSPEEEQGNTSWPEFVPTSGPDKTRKMDFVRYGGHVLIGVRGPRADAAALRKQLIEFCDQRFMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLSFLKGDKDPDPQPCFRMFHATQAHTNAQMNKFLSSMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYQNLLRMGLAESIDGLHYTRLSLVPETDYSPFPSNWRPDHEKLLLEYIKLEDPKTLEEQRSCISEQGLVTPQDYISMLVWNYKRNSLAMDQLSLVRSNESVVEQHSLVGSNQDDQDHINKEEEDDAKMKAAQMLILSFTFSWRMLSKRKRMLYEVGTPCVQAFNLGKILTLPLCL >Vigun06g226400.1.v1.2 pep primary_assembly:ASM411807v1:6:33442142:33444218:1 gene:Vigun06g226400.v1.2 transcript:Vigun06g226400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSVNLPPGFRFYPTDEELVVHFLHRKASLLPCHPDVIPDLELYQYDPWQLHGRALAEGNQWYYYSRRTQNRVTGNGYWKPTGMEEPVVSSSNNKRVGMKKYFVFHVGEAAAGIKTNWIMQEYRLSDSASSTRSSKRKPQPKADYKKWVICRVYERNGEEDNGTELSCLDEVFLSLDDDLDEISLPN >Vigun02g028269.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10343516:10344538:1 gene:Vigun02g028269.v1.2 transcript:Vigun02g028269.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTMATTTTALATTTATTTATTTTPMTTTTTATTTTIITTTTTATTLNHHPHPYHTTTTITTTTTTTTPTITTATTKATKATTSTTTTTTTTTTTTTITTTTTITTTVTTTMATTTTITTNTITTMTTIATTTITTNTTTVTTTTIVTIRTSTTTTTTTTTATITTTTSITTTTTMTTPTTTTITTTSITTSTNTITTITITNTITTTTTTTTNTSPTTTTTTITNSIIHHHGHHHHHHNHHDNHHHDQQHYHHDHHHHHHHHHYHKNHHHHHHRRHHHHHHHNHHHHHSYSNHHHYHNDHHHTTTIATTTTPIETTTTVTTATTTATTTTLNPKQ >Vigun05g006100.1.v1.2 pep primary_assembly:ASM411807v1:5:506054:507788:1 gene:Vigun05g006100.v1.2 transcript:Vigun05g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLVNAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVENVLKGAPITPITSEAAASGRGPPLKAYDIRHVSRDENSAASNETQQQRVKTRSRVRRPVVNAKPISTTNENNGSKGTELGSSEPGLMTCEWTEEALNRSGSHESSLSHQSEAANAVESESMVSVETAETSILFRDEPDSNPKIVQRTDDVGLELTLGFEPVSRAQHVVPVKKRRIELKDFSGSAESGSCKMELGLECSA >Vigun11g100500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29469028:29470036:1 gene:Vigun11g100500.v1.2 transcript:Vigun11g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDKTVIKNKKPNNAADEVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAARNFRGPKAKTNFPLLPDTAAAAAANNINNLNRNNNNNITNNASSSSSPSQSSTVESATPERDVTRRAATAVIDRFPFLPIQQQLLVTPVAPVRPVFLLDRAHYLTHQFPFRFEPAPVQSDSDSSSVVDCQPKRELNLDLNLAPPMDY >Vigun09g052800.1.v1.2 pep primary_assembly:ASM411807v1:9:5242839:5246380:-1 gene:Vigun09g052800.v1.2 transcript:Vigun09g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFTPHPSLLLLLTLLLPLSFFSLSAAVKTSDFHSLRYPDGVEVGGGGGEFRKVLPWKPRRSMAEDAPSNTSLILAQRRTTRKDPLDNLNRYTGGWNISNRHYIASVVFTAAPFFVVAAVWFVIFGLSLSIICLCYCCCPREPYGYSRLAYALSLILLILFTVAAIVGCVLLYTAQGKFHGSTINTLEYVVSQADFTAENLRNVSDYLDAAKKIGVDAVFLPADVQKEIDDIKTKINSSAVQLSSKTKDNSETIRDVIDAMRLALVILAAVMLFIAFVGFLLSIFGLQGLVYFLILIGWILVAGTFILCGIFLFLHNAVADTCVAMDEWVQNPTAQTALDEILPCVDNATAQETLTRTREVTHQLVKLVDNVVSNVTNRNFPPAAAPLYYNQSGPLMPPLCNPFNADLTNRSCAPGEVPLDNAAEVWKNYTCEVSSSGICETPGRMTPTIYGQMEAAVNISYGLYHYAPFLVDLQDCTFVRKTFTDISNDHCPGLQTNSELIYIGLVLVSAAVMLSLIFWVIYARERRHRVYTKQFIDG >Vigun05g235200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42866784:42867398:-1 gene:Vigun05g235200.v1.2 transcript:Vigun05g235200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTFKVVTLIISVFSIMQISCGGDPDILTDFIVPPNTTLDGNFFTFTGFRSLFSPNTLSAFKVQKASRAEFPAVDGQSVSYAVLEFPGGSVNPPHTHPRSAELLFNVQGSLQVGFVDTTNKLFTQTLKTGDVFVFPKGLVHFQYNSDSQKPAVAISAFGSASAGTVSIPSTLFNTSIDDNILALSFKTDVSTIQTLKKGFAP >Vigun02g061200.1.v1.2 pep primary_assembly:ASM411807v1:2:20673575:20675351:1 gene:Vigun02g061200.v1.2 transcript:Vigun02g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQALKG >Vigun07g010100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:857614:859416:1 gene:Vigun07g010100.v1.2 transcript:Vigun07g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSINERSFGREREEEEEEDRDNGDEPKEGAVEVGTRRPRGRPPGSKNKPKPPIFVTRDSPNSLRSHVMEVAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGATGLTVYLAGGQGQVVGGSVVGPLVAAGPVMIIAATFANATYERLPLEEEEEDGGGGSVQGGSTLGGSPPGIGSSGGGGGGDGVSGGSHLPGGIPDPSSLPLYNLPPNLLSNGGGQVGHEAFAWAHGHGRPPF >Vigun03g252600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41889170:41890545:-1 gene:Vigun03g252600.v1.2 transcript:Vigun03g252600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPNQASLCLVQRYREKMEAISALPSGNQPVRSISLPTRVHPSSQRFEELLNHLRPHHVSVSRTTCLEAETIESDLVVLAELYKCMEELFHSPQTQQGLLHYQNGKLVEEALCGSVTLLDACGSGRDLLLALKEQVQTLQSAMRRRRGDSSIEKSICEYNSFRKKAKKDIAKQLGAMKRMENKVNCCSLMSQTQDQHLIFLAKVLREASIITISIFRSLLLFLSMPGLRTKGTSVISKLKPTRLFSSDKEQKNTNVVDLSALCSLLGRGKHSDAKVELQSTLRVLETLNVCIDGLEGELDCLFRRIVRNRVSFLNMLAH >Vigun07g189600.1.v1.2 pep primary_assembly:ASM411807v1:7:30762354:30772006:-1 gene:Vigun07g189600.v1.2 transcript:Vigun07g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNWHKELTYDEWVPITISGARPGPRYKHAAAVVDEKLYIAGGSRNGRHLSDVQVFDLRSLTWSSLKLKANVGKEDGDSSQEFLPATSGHSMIRWGEKLLLLGGSSRDSSAELTVRYIDIETCEFGVINTSGNVPVARVGQSATLVGSRVILFGGEDMSRKLLNDVHVLDLESMNWEMIETTQTPPAPRYDHAASIQGERYLLIFGGCSHSVFFNDLHLLDMQTMEWSQPQTQGNLVSPRAGHAGVTIDESWYIVGGGDNRSGCPETLVLDMSKLVWSVLTVVKPKDPLSSEGLSVCSATVDGEKYLLSFGGYNGRYSNEVFVMRPKEKDIVRPKIFQSPAAAAAAASVTSAYALSKSEKLDFMQLDYMNSNSHVNGHSKDDVTDKIEAIKEEKRILELSIAEVRAENSKLGGEIEELNNTHAELSKELQSVQGQLVAERSRCFNLEAKIAELQKLLESMQSVEDEVQALREKNSALDQEIELAATAQRQSSGGVWRWFGGNEK >Vigun07g189600.3.v1.2 pep primary_assembly:ASM411807v1:7:30762355:30771981:-1 gene:Vigun07g189600.v1.2 transcript:Vigun07g189600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNWHKELTYDEWVPITISGARPGPRYKHAAAVVDEKLYIAGGSRNGRHLSDVQVFDLRSLTWSSLKLKANVGKEDGDSSQEFLPATSGHSMIRWGEKLLLLGGSSRDSSAELTVRYIDIETCEFGVINTSGNVPVARVGQSATLVGSRVILFGGEDMSRKLLNDVHVLDLESMNWEMIETTQTPPAPRYDHAASIQGERYLLIFGGCSHSVFFNDLHLLDMQTMEWSQPQTQGNLVSPRAGHAGVTIDESWYIVGGGDNRSGCPETLVLDMSKLVWSVLTVVKPKDPLSSEGLSVCSATVDGEKYLLSFGGYNGRYSNEVFVMRPKEKDIVRPKIFQSPAAAAAAASVTSAYALSKSEKLDFMQLDYMNSNSHVNGHSKDDVTDKIEAIKEEKRILELSIAEVRAENSKLGGEIEELNNTHAELSKELQSVQGQLVAERSRCFNLEAKIAELQKLLESMQSVEDEVQALREKNSALDQEIELAATAQRQSSGGVWRWFGGNEK >Vigun07g189600.2.v1.2 pep primary_assembly:ASM411807v1:7:30762355:30771981:-1 gene:Vigun07g189600.v1.2 transcript:Vigun07g189600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNWHKELTYDEWVPITISGARPGPRYKHAAAVVDEKLYIAGGSRNGRHLSDVQVFDLRSLTWSSLKLKANVGKEDGDSSQEFLPATSGHSMIRWGEKLLLLGGSSRDSSAELTVRYIDIETCEFGVINTSGNVPVARVGQSATLVGSRVILFGGEDMSRKLLNDVHVLDLESMNWEMIETTQTPPAPRYDHAASIQGERYLLIFGGCSHSVFFNDLHLLDMQTMEWSQPQTQGNLVSPRAGHAGVTIDESWYIVGGGDNRSGCPETLVLDMSKLVWSVLTVVKPKDPLSSEGLSVCSATVDGEKYLLSFGGYNGRYSNEVFVMRPKEKDIVRPKIFQSPAAAAAAASVTSAYALSKSEKLDFMQLDYMNSNSHVNGHSKDDVTDKIEAIKEEKRILELSIAEVRAENSKLGGEIEELNNTHAELSKELQSVQGQLVAERSRCFNLEAKIAELQKLLESMQSVEDEVQALREKNSALDQEIELAATAQRQSSGGVWRWFGGNEK >Vigun10g126900.1.v1.2 pep primary_assembly:ASM411807v1:10:33500552:33502191:-1 gene:Vigun10g126900.v1.2 transcript:Vigun10g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKMLAAAINQGVPEARARIFGHQLNPSGKKSPHKILRMKLFGEKVAQWYPHDINKDDPLVMARQEQERLSKLEMLKRRGKGPPKKGQGRRAAKRNK >Vigun05g213100.1.v1.2 pep primary_assembly:ASM411807v1:5:40384542:40386190:-1 gene:Vigun05g213100.v1.2 transcript:Vigun05g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTKRKPVFLKVDQLKPGTNGHTLTVKVVSSKPVKTVSNRGGRSSVLTARPSRIAECLVGDETGTIIFTARNEQVDLMNAGATLTLRNAKIDMFKGSMRLAVDRWGRIEATEPANFEVKEDNNLSLVEYELVNVVEE >Vigun08g013400.3.v1.2 pep primary_assembly:ASM411807v1:8:1157746:1158472:-1 gene:Vigun08g013400.v1.2 transcript:Vigun08g013400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSVTIISSLSQTDRYLKMAQSVTNTFLRGTPILPLPPPKKNVGRVVQCGVRCRKKDIHPEFHGDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSAVMVSDDQVEKFRKKFGELTQIMEIPVLKGEIVIPSRRKGVSKGGKKK >Vigun08g013400.1.v1.2 pep primary_assembly:ASM411807v1:8:1156984:1158505:-1 gene:Vigun08g013400.v1.2 transcript:Vigun08g013400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSVTIISSLSQTDRYLKMAQSVTNTFLRGTPILPLPPPKKNVGRVVQCGVRCRKKDIHPEFHGDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSAVMVSDDQVEKFRKKFGELTQIMEIPVLKGEIVIPSRRKGVSKGGKKK >Vigun08g013400.2.v1.2 pep primary_assembly:ASM411807v1:8:1156210:1158472:-1 gene:Vigun08g013400.v1.2 transcript:Vigun08g013400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSVTIISSLSQTDRYLKMAQSVTNTFLRGTPILPLPPPKKNVGRVVQCGVRCRKKDIHPEFHGDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSAVMVSDDQVEKFRKKFGELTQIMEIPVLKGEIVIPSRRKGVSKGGKKK >Vigun03g342300.2.v1.2 pep primary_assembly:ASM411807v1:3:54093341:54099768:-1 gene:Vigun03g342300.v1.2 transcript:Vigun03g342300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPETIPLTTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSFLTRSDNNGVMTTVTTVQEIHEKIISLNYDEYTAEIKTADAQESHKGGVIVLVTGCLTGKDNVRRKFSQTFFLAPQEKGYFVLNDVFRYIEVNDAPQLNSASVNVISENAETVHEPESEETHAPKHLVEDTATSALAEDENHNNGGEVYHPQDEEEGSVIDEEVAEPPTDLSQNDIVTVHDSTSAAQDDAPRRSYAAIVMKSNVASGHVYVPSRAARVASAKSSEQWPTTAKSTPVPESFAPIGDGAPESSDLHEEVEGHSIYIRNLPFSATVEQLEEVFKKFGPIKHGGIQVRSSKHGFCFGFVEFEELSSMQSALEASPITVGERQAVVEEKRTTTRVSGSGRGRYPPGRGGFRSDSFRARGKFGGGRGYGRNEFRNQGEFSGQPRSSQRPNQNGGGRGGGRQGVGNRNSTPSSSAA >Vigun03g342300.3.v1.2 pep primary_assembly:ASM411807v1:3:54093341:54099707:-1 gene:Vigun03g342300.v1.2 transcript:Vigun03g342300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPETIPLTTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSFLTRSDNNGVMTTVTTVQEIHEKIISLNYDEYTAEIKTADAQESHKGGVIVLVTGCLTGKDNVRRKFSQTFFLAPQEKGYFVLNDVFRYIEVNDAPQLNSASVNVISENAETVHEPESEETHAPKHLVEDTATSALAEDENHNNGGEVYHPQDEEEGSVIDEEVAEPPTDLSQNDIVTVHDSTSAAQDDAPRRSYAAIVMKSNVASGHVYVPSRAARVASAKSSEQWPTTAKSTPVPESFAPIGDGAPESSDLHEEVEGHSIYIRNLPFSATVEQLEEVFKKFGPIKHGGIQVRSSKHGFCFGFVEFEELSSMQSALEASPITVGERQAVVEEKRTTTRVSGSGRGRYPPGRGGFRSDSFRARGKFGGGRGYGRNEFRNQGEFSGQPRSSQRPNQNGGGRGGGRQGVGNRNSTPSSSAA >Vigun03g342300.1.v1.2 pep primary_assembly:ASM411807v1:3:54093341:54099941:-1 gene:Vigun03g342300.v1.2 transcript:Vigun03g342300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPETIPLTTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSFLTRSDNNGVMTTVTTVQEIHEKIISLNYDEYTAEIKTADAQESHKGGVIVLVTGCLTGKDNVRRKFSQTFFLAPQEKGYFVLNDVFRYIEVNDAPQLNSASVNVISENAETVHEPESEETHAPKHLVEDTATSALAEDENHNNGGEVYHPQDEEEGSVIDEEVAEPPTDLSQNDIVTVHDSTSAAQDDAPRRSYAAIVMKSNVASGHVYVPSRAARVASAKSSEQWPTTAKSTPVPESFAPIGDGAPESSDLHEEVEGHSIYIRNLPFSATVEQLEEVFKKFGPIKHGGIQVRSSKHGFCFGFVEFEELSSMQSALEASPITVGERQAVVEEKRTTTRVSGSGRGRYPPGRGGFRSDSFRARGKFGGGRGYGRNEFRNQGEFSGQPRSSQRPNQNGGGRGGGRQGVGNRNSTPSSSAA >Vigun10g021300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2561128:2561326:1 gene:Vigun10g021300.v1.2 transcript:Vigun10g021300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFKILKNKSQFRVCDHPFKLLFIRVTSVRPQPIVNVPMKVFKFKSIK >Vigun03g127600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12331718:12332149:1 gene:Vigun03g127600.v1.2 transcript:Vigun03g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSFIVMAIFIAISFSTIEMAQASRHLLQATLPTLPTFPFFPFFSSPPPPPEPLLLHFTTFITTTLCYGFPLSLPLIFNPYTISMLLICFLFLLCI >Vigun11g015700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1948268:1949333:1 gene:Vigun11g015700.v1.2 transcript:Vigun11g015700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSYTSKHLLHKRPKRNRFTKPSSLIFSNQTTKVSSVTDSILFPETSMTSLLSSPLSIPSPLSIPSPPPPPPPLLSNEATMEKPSLPLRMTISLQPANTKSKRDSKSNKLYRRFRSVFRSLPIIAPSCKMPTVMRSRGSEVYIHGGTRITGTLFGHRKARVNLAFQTNPNCEPFLLLELAIPTGKLLQEMGTGLNRIALECERRAHNSEKIRIIDEPIWTLFCNGKKTGYGVKREATDDDLNVMQLLHAVSVAVGVLPNEISDPHDGELLYTRANFERVIGSKDSETYYMMMPDGNDNGPELSLFFVRV >Vigun10g001500.1.v1.2 pep primary_assembly:ASM411807v1:10:170976:172453:-1 gene:Vigun10g001500.v1.2 transcript:Vigun10g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLVLSLFILLEVPKSCIAFEYFIFPQSWPKGFCEKQIVDKQNRTCHPKIPKKFIIHGLWPEPISTDRPIGAVNLTEEDIKAVEKELALDWPSLLGSNFFFWGREWWNHGVFSEEQFPKLEYFKLAMEIYKKNDMFEILKKEKIVPKTKELYNVSFVIEAVKKHTEHDPQIKCYTHAKLRVLALYEIRVCLTVDGMSYRDCPDPHGNCGTTHLLYPK >Vigun06g201600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31658461:31664874:-1 gene:Vigun06g201600.v1.2 transcript:Vigun06g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQRPVAGRGGTSNLPPLNSVYNIIPVHDLWNDHPSLRYPEVRAAAAALRAVGDLPKHQFMRWEPEMDLLDWLRLLFGFQIDNARNQREHLVLHLANSQMRLEPPPAIADALDAGVLRRFRRKLLHNYSAWCSFLGLKSNVLLSRRRDPTDLRRELVYVALYLLVWGEAGNLRFTPECICYIYHFMAKEVNHVIDEHIDPDTGRPFMPTVSGELGFLKSVIMPIYNTIKVEVDSSRNGKAPHSAWRNYDDINEYFWSRRCLKRLGWPLNFESNFFGTTPKEKRVGKTGFVEQRSFWNVYKSFDRLWVMLILFFQAAVIVSWEGTTYPWQALERRDVQVKMLTVFITWSALRLLQSVLDAGTQYSLVTRETTWLGVRMALKSMVAITWTVLFSVFYGMIWIEKGSSSIWSDAANQRIITFLKVVLFFLIPELLALVLFVVPWLRNAIEESDWSIVYLLTWWFHSRIFVGRGVRQSLVDNVKYTVFWVAVLASKFSFSYFVQIKPLVAPTKALLNLRNIPYKWHEFFNNTNRVAVVFLWLPVVLVYFMDLQIWYSIFSAFYGAIIGLFSHLGEIRNITQLRLRFQFFASAMQFNLMPEEKLLTPQATLLKKLYEAIHRLKLRYGLGQPFKKIESSQVDATRFALIWNEIMITFREEDIISYRELELLKLPPNCWNIRVIRWPCSLLCNELLLAVSQAKELENESDSSLWLKICKNEYRRCAVIEAYDSIKYLFPMVLKAKKEEYYIVTNIFRVIDHYIQMGKLTESFKMSRLPQIHAKVSEFVHLLIQPDRDMNKAVNILQALYELFVREFPKAKLRQDGLARQSSTTNEGLLFENAITFPDAGDAVFSEQLRRLDTILTSRDSMHNVPLNLEARRRIAFFTNSLFMNMPRAPLVEKMMAFSVLTPYYDEEVLYSKESLRKENEDGITTLFYLQKIYEDEWKNFMERMQREGLKDEDDIWTTEKARDLRLWVSHRGQTLSRTVRGMMYYYRALKMLAFLDSASEMDVRHESEHTVSHSSTNQNNSLNGLSANGPSSLRTNLRPADSSVSTLFKGHEYGSALMKFSYVVACQMYGHHKADKNPRADEILYLMQNNEALRVAYVDEVSLGREGTEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNTNYGVSKPTILGVRENVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLGRGGVSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKISSGNGEQVLSRDVYRLGHRLDFFRMLSVFYTTIGFYFNSMVIVLMVYAFLWGRLYMALSGIEGAASDNATNNEALGAVLNQQFAIQVGIFTALPMIVENSLEHGFLSALWDYLTMQLQLASLFYTFSLGTRTHFFGRTILHGGAKYRATGRGFVVAHKSFAENYRLYARSHFVKGIELGVILIVYAAHSPLAKDTFVYIAMTISCWFLVLSWIMSPFVFNPSGFDWLKTVYDFEDFMNWIWYPGGPFKKAEYSWETWWYEEQDHLKTTGIWGKLLEIILDLRFFFFQYGIVYQLGIAGGNTSIAVYLLSWIVMVVIVAIYITIAYARDKYATKEHIYYRSVQLLVILVTVLVVFLLLEFAHLKFVDLLSSLLAFVPTGWGMILIAQVLRPFLQTTKVWETVVSLARLYDLLFGIIVMAPMAIFSWLPGFQSMQTRILFNEAFSRGLQISRIVSGKKSV >Vigun04g013300.2.v1.2 pep primary_assembly:ASM411807v1:4:961286:965046:1 gene:Vigun04g013300.v1.2 transcript:Vigun04g013300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEAMAEVKEWLAKTFEGAGKPVPEFEYTPRSVSHLHHLITLSKAKDEAARLVARDFRLKASEYRSQAARIREILENVGLAQESLPSNVVTSAQVLANVANLLNIRDTEMSSFLVAMGDISLRKTGVEEKRAEVNKESKLLLDYTRKAIARLTYLKRTLAQLEDEVAPCEAQMDNWATNLQVMAAKERQYVQQCANYKVIPPRLAIAFWLKWLSIERS >Vigun04g013300.1.v1.2 pep primary_assembly:ASM411807v1:4:961286:965046:1 gene:Vigun04g013300.v1.2 transcript:Vigun04g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEAMAEVKEWLAKTFEGAGKPVPEFEYTPRSVSHLHHLITLSKAKDEAARLVARDFRLKASEYRSQAARIREILENVGLAQESLPSNVVTSAQVLANVANLLNIRDTEMSSFLVAMGDISLRKTGVEEKRAEVNKESKLLLDYTRKAIARLTYLKRTLAQLEDEVAPCEAQMDNWATNLQVMAAKERQYVQQCANYKAVLNHAGYTPEVSHSVLVEMAEHRKELEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYADAEKYLEDVLQSALASSE >Vigun07g291100.1.v1.2 pep primary_assembly:ASM411807v1:7:40282396:40288080:-1 gene:Vigun07g291100.v1.2 transcript:Vigun07g291100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRSSITSFCSQFLKSSYSHNLNYARSSSSLSSSSNPHLITSSATALDFSSKATTDFVSLTRHYGRCYWELSKARLSMLVVATSGTGFVLGSGSAVDFSALSWTCLGTMMVAASANSLNQVFEINNDAKMKRTSRRPLPSGRITKPHAIGWASSVGLAGTALLATQTNMLAAGLAASNLVLYAFVYTPLKQIHHVNTWVGAVVGAIPPLLGWAAASNDISLNAMILPAALYFWQLPHFMALAYMCRSDYAAGGFKMYSLADASGRRTALVALRNSIYLIPLGFLAYDWGVTSEWFCLESTVLTLAISAAAFSFYRDRTREKARRMFHASLLYLPVFMTGLLIHRRSDSEQLLEDNAKGFVKSASSGEALELDDNDDNQNIKAKKRQARPPVAYASVAPFPFLPAPSY >Vigun01g062400.3.v1.2 pep primary_assembly:ASM411807v1:1:13806593:13809164:1 gene:Vigun01g062400.v1.2 transcript:Vigun01g062400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNASENWGFFQITNHGIPTHVLNEMIKGISRFHEQDAKVRKTYYTRDLSKKVVYFSNYSLYQDPSTDWRDTIIFFWTPNPPKAEELPQVCRDIVPEYSTKVMALASTLFELVSEALGLDRLHLKEMKCEEGLVLLGNYYPACPEPELAMGTSKHTDGDFMTILLQDQMGGLQILHENKWIDVPAIHGALVVNIGDLMQLVSNDKFISVQHRVLANNLGPRTSIASFFGIGDQSAEGVSKVFGPIKELLSENNPPVYRETSLKDYLAHQYSKRLGASSISFLKL >Vigun01g062400.2.v1.2 pep primary_assembly:ASM411807v1:1:13806593:13809164:1 gene:Vigun01g062400.v1.2 transcript:Vigun01g062400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNTKELEAGTVPSYDRRSELNAFDFSKVGVQGLVENGVTKVPPIFYSSRSNLSEDFTTESNSKFAIPTIDLTGIHDDPTLRDAVVMKVRNASENWGFFQITNHGIPTHVLNEMIKGISRFHEQDAKVRKTYYTRDLSKKVVYFSNYSLYQDPSTDWRDTIIFFWTPNPPKAEELPQVCRDIVPEYSTKVMALASTLFELVSEALGLDRLHLKEMKCEEGLVLLGNYYPACPEPELAMGTSKHTDGDFMTILLQDQMGGLQILHENKWIDVPAIHGALVVNIGDLMQCSTQSFSKQSWPKNINRILFWNW >Vigun01g062400.4.v1.2 pep primary_assembly:ASM411807v1:1:13806593:13808379:1 gene:Vigun01g062400.v1.2 transcript:Vigun01g062400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNTKELEAGTVPSYDRRSELNAFDFSKVGVQGLVENGVTKVPPIFYSSRSNLSEDFTTESNSKFAIPTIDLTGIHDDPTLRDAVVMKVRNASENWGFFQITNHGIPTHVLNEMIKGISRFHEQDAKVRKTYYTRDLSKKVVYFSNYSLYQDPSTDWRDTIIFFWTPNPPKAEELPQVCRDIVPEYSTKVMALASTLFELVSEALGLDRLHLKEMKCEEGLVLLGNYYPACPEPELAMGTSKHTDGDFMTILLQDQMGGLQILHENKWIDVPAIHGALVVNIGDLMQVR >Vigun01g062400.5.v1.2 pep primary_assembly:ASM411807v1:1:13806593:13809038:1 gene:Vigun01g062400.v1.2 transcript:Vigun01g062400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNASENWGFFQITNHGIPTHVLNEMIKGISRFHEQDAKVRKTYYTRDLSKKVVYFSNYSLYQDPSTDWRDTIIFFWTPNPPKAEELPQVCRDIVPEYSTKVMALASTLFELVSEALGLDRLHLKEMKCEEGLVLLGNYYPACPEPELAMGTSKHTDGDFMTILLQDQMGGLQILHENKWIDVPAIHGALVVNIGDLMQCSTQSFSKQSWPKNINRILFWNW >Vigun01g062400.1.v1.2 pep primary_assembly:ASM411807v1:1:13806593:13809164:1 gene:Vigun01g062400.v1.2 transcript:Vigun01g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNTKELEAGTVPSYDRRSELNAFDFSKVGVQGLVENGVTKVPPIFYSSRSNLSEDFTTESNSKFAIPTIDLTGIHDDPTLRDAVVMKVRNASENWGFFQITNHGIPTHVLNEMIKGISRFHEQDAKVRKTYYTRDLSKKVVYFSNYSLYQDPSTDWRDTIIFFWTPNPPKAEELPQVCRDIVPEYSTKVMALASTLFELVSEALGLDRLHLKEMKCEEGLVLLGNYYPACPEPELAMGTSKHTDGDFMTILLQDQMGGLQILHENKWIDVPAIHGALVVNIGDLMQLVSNDKFISVQHRVLANNLGPRTSIASFFGIGDQSAEGVSKVFGPIKELLSENNPPVYRETSLKDYLAHQYSKRLGASSISFLKL >Vigun06g135900.1.v1.2 pep primary_assembly:ASM411807v1:6:26204628:26207064:1 gene:Vigun06g135900.v1.2 transcript:Vigun06g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVMAQEETQTAEVVAVAEPQKEEKVAGENVEPKESSLDEKKPETVEKSSSYKEESNFLSDLKEFERKALIEFKSKLEEAILGGTLFEKEEPKKEASAENEAEEKNDAEEKKEGKNVEVEKNDVCLWGVPLLPSKGAEGIDVVLLKFLRAREFRVSDAFEMLKKTLKWRKESNIDSLVDEVFASDLASAACMSGVDHEGHPVCYNIFGAFESEELYQKTFGTEEKRSEFLRWRCQMMEKGIQKLNLKPGGVSSLLQINDLKNSPGISNKELRVATKQTVAILQDNYPEMVAKNIFINVPFWYYALNALLSPFLTQRTKSKIVVARPNKVTETLTKYIPIEEIPVQYGGFKRENDAEFSSQDGAVSELILKAGSTATVEIPALEVGNSVCWDLTVLGWEVSYKEEFVPSDEGSYTVIVQKGKKMGSQEGPIRNTFRNNEAGKVVLTIENNSNKKKRVLYRYKNTTKSSF >Vigun06g135900.2.v1.2 pep primary_assembly:ASM411807v1:6:26204628:26207064:1 gene:Vigun06g135900.v1.2 transcript:Vigun06g135900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVMAQEETQTAEVVAVAEPQKEEKVAGENVEPKESSLDEKKPETVEKSSSYKEESNFLSDLKEFERKALIEFKSKLEEAILGGTLFEKEEPKKEASAENEAEEKNDAEEKKEGKNVEVEKNDVCLWGVPLLPSKGAEGIDVVLLKFLRAREFRVSDAFEMLKKTLKWRKESNIDSLVDEVFASDLASAACMSGVDHEGHPVCYNIFGAFESEELYQKTFGTEEKRSEFLRWRCQMMEKGIQKLNLKPGGVSSLLQINDLKNSPGISNKELRVATKQTVAILQDNYPEMVAKNIFINVPFWYYALNALLSPFLTQRTKSKIVVARPNKVTETLTKYVPIPSIKLAILFIGCFISIPLMILISLYSKGTFQLRKSQFNMVVSRGKMMLSSLPKMVLSQNSFSRLDQLQPLRFLH >Vigun08g074000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:12676052:12678659:1 gene:Vigun08g074000.v1.2 transcript:Vigun08g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVVLLSLLILFFTVSISGVSSTTSTCPMDLSYIETFPWDTSSCRDPVDKEHCCQALLSVIGMGIAQHLKDTSYFQLPDENTSSTCLSDFQTKISALSINSSLVPYCFPRASELVTNASTCAGIITVDDWKKKVGMISPLDTSCNGDLSDQTRCSICIDAGLKVTSLLKGLDPNATKCFYFTVLYATAIVNQYGPSNLGTAACILGMPLSVKGSSNKKQELKLVFGLVGAVTGVVLGFVLIVVYRKWDKRRKEDNYHRSVENGVRNSVLPNTGAKWFHISELERATGKFSQRNMVGQGGDGVVYKGTLSDGTVVAVKEIFDLETKGDEDFCYEVEIISKIKHRNLLPLRGCCISSDNLKGKRRFLVYDFMPNGSLSYQLSVSGANRLTWPQRKNIILDVAKGLAYLHYEIKPPIYHRDIKATNILLDSKMKAKVSDFGLAKQGNDGQSHLTTRVAGTYGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVLDTMNSSVVLITDWAWTLAKSGNMEDIFDQSIKEEGPEKIMERFVLVGILCAHAMVALRPTIAEALKMLEGDIDIPQLPDRPVPLGHETFQSSLLHGMQTSVGSSSYFSSYLASYSTSTRTT >Vigun03g391900.1.v1.2 pep primary_assembly:ASM411807v1:3:59808925:59811067:-1 gene:Vigun03g391900.v1.2 transcript:Vigun03g391900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPITSPVPDSWYPTLSVFTLAIGLVLTASFFIYEATSSRKTRSLVQELATGAVASVFLGFGSLFLLIACGVYV >Vigun07g267800.1.v1.2 pep primary_assembly:ASM411807v1:7:38323003:38327244:1 gene:Vigun07g267800.v1.2 transcript:Vigun07g267800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIYRKLYSNNYDYFLSLPPHKLDKNRLLNWFDLRFNVITILLDVDSVRNGCMPKSVVENNTSFGCFSLLVSCEHFRDDLCFLCSSRLFFEEAITKHSRERRNPKMAALHVFGVVLMAWLVQAVLGGSCPSLINCGDLGNISFPFTDTRHRDCGILVIHGCDDHEPGAKKTIKNNNKWFDILKLEQFTITVQHDELRDFLLQRSCEAFNQNYTFTVSSPLAKTSPLNHYVDVLRCNPALGAAPNNSVSNSAICRNETIYSVVDNDPSSSYTHLKGCTMVQLPTNLDVRFVDLDPNDPFKYVTFEIEIGIELSQNCSRCLYANGGQCRVDNTGMFYCDQGKGPTKTRLIVIAVACVSGALGVIVLGGWRIRRRFYNKKNPSHQIIEIFLNTHGHLAAKRYSYSEIKKATNSFRNKLGAGGYGSVYKGKLNDGSLVAVKVLNDSKGNGEEFINEVASISVTSHVNIVSLLGFCQEGSKRALIYKYMPNGSLEKFIYEDKDPRKLSLRLSCKTIYNIAIGIARGLEYLHRGCNTKILHFDIKPHNILVDEDFCPKISDFGLAKICQKKESIVSLLGTRGTAGYIAPEVFSRNFGGVSHKSDVYSYGMVVLEMVGAKMNKNVEGEGSSEVYFPQWVYKRLELNEEPRLRSIKNESDREMIRKLIIVSLWCIQTDPSNRPAMSRVVDMMEGSLEVLQIPPKPYLSSPPRSPPSSSDHTNCTSHTTYPSEY >Vigun06g152900.1.v1.2 pep primary_assembly:ASM411807v1:6:27709954:27711954:-1 gene:Vigun06g152900.v1.2 transcript:Vigun06g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLADRKIARFERNISKKGSESTKKGYPVGPILLGFFIFVVVGSSLFQIIRTASSRGMF >Vigun06g152900.2.v1.2 pep primary_assembly:ASM411807v1:6:27710432:27711676:-1 gene:Vigun06g152900.v1.2 transcript:Vigun06g152900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLADRKIARFERNISKKGSESTKKGYPVGPILLGFFIFVVVGSYH >Vigun02g038000.1.v1.2 pep primary_assembly:ASM411807v1:2:15867542:15871953:-1 gene:Vigun02g038000.v1.2 transcript:Vigun02g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWLVDKSRIATKIKCASGTCDPGKIIWSSNPTKPCPNCQHDIDNSDVAQEWPGLPKGVKFDPSDQEIIWHLLAKVGVEGSIPHPFIDEFITSLEEDDGICYTHPKNLPGIKKDGSASFFFHRAIKAYNTGNRKRRKIASQDFGDARWHKTGKTKPVVLDGARKGWKKIMVLYENNIGGGKVEKTNWVMHEYHLGAKEDENDGEYIFSKVFYQQQVKLGDKDDQDVTEATESTEATIVKVVPNTPKLVTLDPPSSKRHCADLDHVQETHNSLQLSEMDCLHEIEDDCQELATESQSDEGVENKESNAEEGQKWWDSESQNMLSSQQLVEALTLCDDILYSQSPSRDGKHEDHNGQPGLGVYADLGPECLKKDIEECQRLVLDPSNIVNDNPAEIRLSQLEFSSQDSFISWGYKAVN >Vigun01g154600.1.v1.2 pep primary_assembly:ASM411807v1:1:33733051:33739737:1 gene:Vigun01g154600.v1.2 transcript:Vigun01g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGQDYGKPENTSSEASDREIFKAWAKDVSECEEHFKVNVKVGLNQEEVENRQKIYGLNELEKHDGQSIWSLILEQFNDTLVRILLAAAIISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALDALKEIQSEHAVVIREGTKIPSLPAKELVPGDIVELKVGDKVPADMRVVELISSTLRLEQGSLTGESEAVNKINRRVEEDADIQGKRCMVFAGTTVVNGHCFCLVTQTGMDTEIGKVHTQIHVASQSEEDTPLKKKLNEFGENLTMIIGLICILVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLIAIGHNVDTLRAFKVEGTTYNPADGQIENWPTEGLDANLQTIAKISAICNDAGVAQSEHKFVAHGMPTEAALKVLVEKMGHPEGSKVTQSASTSTLLRCCEWWNEHDRRLATLEFDRDRKSMGVIVDSGLGKRSLLVKGAVENVLDRSTKIQLRDGSVVNLDDNAKNLVLQALHEMSTSALRCLGFAYKDELPKFENYSGSDDHPAHQLLLDPSNYSSIENELIFVGLVGLRDPLGRKYTKQLKTAEKLESVLWL >Vigun07g070100.3.v1.2 pep primary_assembly:ASM411807v1:7:8611067:8612315:-1 gene:Vigun07g070100.v1.2 transcript:Vigun07g070100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRGQGGIQQLLAAEQEAQRIVNAAKAEKLARLKQAKEEAEKEIAEYRAQLEREFQKKVSDSTGDSGANVKRLEQETEAKIQNLKTEAAKISDDVVAMLRTYVTSVKN >Vigun11g213200.3.v1.2 pep primary_assembly:ASM411807v1:11:40808459:40812763:1 gene:Vigun11g213200.v1.2 transcript:Vigun11g213200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKRVMEVKSVFAQETDILKQLELVDWIQKLGLANHFQKEINGFLESILAYVKTSNVNLNTQQDLHVSALCFRLLRNHGYAVLPGKFLDKNGKVKRRMSADGGDVKDVVELLEASHLGLEGEKILDKAKTCAINSLKDSFCSINKDQLSDTVDDERMDHSLELPSHWRVQWFEVKWHVKQYSKEKNMNPVLLELSKLNFNMIQATLQLELKELSRWWENLGIKEELSFARNRLVESFMCAAGVASEPKYRSIRKWLTKVIVFVLVIDDAYDIHASFQELKPFTMAFERWEDTDTELEELPTYMKTCVHALKDVTNEIAYEIGGEDNFHLVLHYLKKAWIEFFKALYEEAKWYNQGYIPSLQEYLSNAWISSSGPVILLHSYLGTVYQVNDSMEHFLHTYEDLVYNVSLVIRLCNDLGTMVAERERGDAASSIVCYMNEKGVSEEKARKHIGDKIFEAWKKINEKCFASSSSCSWMEPFVTQAINAARVGHTLYQNGDGFGIQDQDIKKHILSLVVEPL >Vigun11g213200.2.v1.2 pep primary_assembly:ASM411807v1:11:40808459:40812763:1 gene:Vigun11g213200.v1.2 transcript:Vigun11g213200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKRVMEVKSVFAQETDILKQLELVDWIQKLGLANHFQKEINGFLESILAYVKTSNVNLNTQQDLHVSALCFRLLRNHGYAVLPDTLGKFLDKNGKVKRRMSADGGDVKDVVELLEASHLGLEGEKILDKAKTCAINSLKDSFCSINKDQLSDTVDDERMDHSLELPSHWRVQWFEVKWHVKQYSKEKNMNPVLLELSKLNFNMIQATLQLELKELSRWWENLGIKEELSFARNRLVESFMCAAGVASEPKYRSIRKWLTKVIVFVLVIDDAYDIHASFQELKPFTMAFERWEDTDTELEELPTYMKTCVHALKDVTNEIAYEIGGEDNFHLVLHYLKKAWIEFFKALYEEAKWYNQGYIPSLQEYLSNAWISSSGPVILLHSYLGTVYQVNDSMEHFLHTYEDLVYNVSLVIRLCNDLGTMVAERERGDAASSIVCYMNEKGVSEEKARKHIGDKIFEAWKKINEKCFASSSSCSWMEPFVTQAINAARVGHTLYQNGDGFGIQDQDIKKHILSLVVEPL >Vigun11g213200.1.v1.2 pep primary_assembly:ASM411807v1:11:40808459:40812763:1 gene:Vigun11g213200.v1.2 transcript:Vigun11g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSHFNVSSQNFVSVTHNSRRSANYKPNIWKHHFLQSLHTKYHKEEFVMLLNKRVMEVKSVFAQETDILKQLELVDWIQKLGLANHFQKEINGFLESILAYVKTSNVNLNTQQDLHVSALCFRLLRNHGYAVLPDTLGKFLDKNGKVKRRMSADGGDVKDVVELLEASHLGLEGEKILDKAKTCAINSLKDSFCSINKDQLSDTVDDERMDHSLELPSHWRVQWFEVKWHVKQYSKEKNMNPVLLELSKLNFNMIQATLQLELKELSRWWENLGIKEELSFARNRLVESFMCAAGVASEPKYRSIRKWLTKVIVFVLVIDDAYDIHASFQELKPFTMAFERWEDTDTELEELPTYMKTCVHALKDVTNEIAYEIGGEDNFHLVLHYLKKAWIEFFKALYEEAKWYNQGYIPSLQEYLSNAWISSSGPVILLHSYLGTVYQVNDSMEHFLHTYEDLVYNVSLVIRLCNDLGTMVAERERGDAASSIVCYMNEKGVSEEKARKHIGDKIFEAWKKINEKCFASSSSCSWMEPFVTQAINAARVGHTLYQNGDGFGIQDQDIKKHILSLVVEPL >Vigun06g067500.1.v1.2 pep primary_assembly:ASM411807v1:6:19627592:19629671:-1 gene:Vigun06g067500.v1.2 transcript:Vigun06g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKMALGGTAPPRGSAAATANMRRRRTAGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMG >Vigun09g220000.1.v1.2 pep primary_assembly:ASM411807v1:9:39364799:39367906:1 gene:Vigun09g220000.v1.2 transcript:Vigun09g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRQVYLAIIIAWLTLFEGNMMLVNGDLNYKEALTKSLIFLEAQRSGKLPSSNRVPWRGDSGLDDGKLVNVDLVGGYYDAGDNVKYGLPMAFTVTTLAWAAIFYKEEFKEAKEHDHLLAAIRWGTDYFLKCSSRRKRLYVEVGDAEEDHKCWMPPEYMKTKRTVKKIGDGTAGSEIAAETAAAMAASSIVFRPKNRKYARTLLNKAKTLFHMAKSHKGTYDGECPFYCSYSGYNDEMMWAATWLYMATRKSTYLKYILEDSKSASVAEFSWDLKYAGAQVLLSQLYFEGEKKFEKFKNHAESFMCSVLPESPYNQITMSPGGYIHLRNGANSQYATSSAYLFIVYSDLLAKYKGKIVCGEKSFDSSHLLSFAKKQMDYILGKNPLGRSYMVGFGKNPPTQPHHRGASVPTLKKGEENVPCGLTFTKWFLIDAPNPHELTGAIVGGADREDKFKDKRWESPYTEPCTYVNSLAAGVLARLASLY >Vigun09g081400.1.v1.2 pep primary_assembly:ASM411807v1:9:9711125:9715232:-1 gene:Vigun09g081400.v1.2 transcript:Vigun09g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVPSRQLFIDGEWKVPLHNNRIPIINPATQDIIGDIPAGTEEDVDLAVDAAKRALSRNKGRDWSSASGSVRAGYLRAIASKITEKKDELGKLEAIDSGKPLDEALADVDDVIACFNYYAELAEGLDAKQKAPVSLPMETFKSYILKEPIGVVALITPWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELAEICREVNLPPGVLNVVTGLGNDAGAPLASHPDVDKVAFTGSSLTGSKIMSAAAQLTKPVSLELGGKSPIIVFEDVDLDKTAEWTIFGCFFTNGQICSATSRLIVHESIATEFVDKLVKWAKNIKISDPLEEGCRLGPIVSEGQYKKVLNFISTAKSEGATILTGGSRPEHLKRGYFVEPTIITDVTTSMQIWREEVFGPVLSVKTFSTEEEAIELANDTQYGLGSAVMSKDLERCERISQAIKAGIVWINCAQPSFIQAPWGGIKRSGFGRELGEWGLENYLSVKQVTKYISDEPWGWYQSPSKL >Vigun01g015700.2.v1.2 pep primary_assembly:ASM411807v1:1:1682331:1686584:1 gene:Vigun01g015700.v1.2 transcript:Vigun01g015700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKENWKPSNNCTRSSSLTLEIKFPTNSIPNERKKVRGTIFLKCLCWIHENSIIERCESKTEMAEAAISFALSEVFQILKEEKKLLSGINRDFLDIRDELESIQAFLKDADRKAADEANTNEGIRTWVKQVRQVSVRVEDVIDEYLRVIHQVPCHGFGASICKITNLIRTSLSRHRIAVEIQDIKLSLSLIKERSERYKFQVSQEKPSSSSRGWTTEGTGWNDPRMGSLFIEETEMVGFELPRDELLCWLLEGEKERTLISVVGMGGLGKTTLAKHVFDSENVKNHFDCRACITVSQSYTVRRIFRDMIKQFCRETKDPLPEMLEEMDEKTLISELRQYLEHKRYLIFFDDVWHEDFCDQVELAMPRNNRSSRIIITTRMIHVVEFFKKSFPVHIHSLQPLPSDKSWELFCKKAFKFELDGQCPAELKGMSDEIVGKCKGLPLAIVAIGGLLSTKSKTVFEWQKVSQNLNLELHRNAHLTRLTKILSLSYDDLPYYLKPCVLYFGIYPEDSSINHKRLTRQWIAEGFVKSDGRALEQVADEYLSELIYRSLVQVSWVGFEGKVKNCQVHDLLHEVIVRKMKDLSFCHFVHEGDDESATSASTRRLSIDTSSSSINNVSKSTNFTHIRAIHAFGKGGAVELFSGPLASESRVLKVLDLESTSLNYVPRNLGNIFHLKYLNLKNTKIRSIPKSVGRLQNLETLDIRETLVHELPSEINKLKKLRHLLAFHRNYEAEYSLLGFTTGVVMKKGIKNLTSLQNLCYVEVEHGGIDLIQELRFLRQLRKLGLRRVRREHGREICASVTEMTHLESLNITAIGEDEIIDLNSISSIPQLRRLHLKARLEKMPNWISKLEFLVKMRLALSNLKDDPLRSLEKLPNLLKLTIWDNAYAGEILHFQSGGFRKLKELNLARLNTASKPWITSKLLTSVICQLNLLRALIHKTAKIIGSSIMFHLYSFVVGWDQNSMILKFALFTLLLRNY >Vigun01g015700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1682331:1686584:1 gene:Vigun01g015700.v1.2 transcript:Vigun01g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKENWKPSNNCTRSSSLTLEIKFPTNSIPNERKKVRGTIFLKCLCWIHENSIIERCESKTEMAEAAISFALSEVFQILKEEKKLLSGINRDFLDIRDELESIQAFLKDADRKAADEANTNEGIRTWVKQVRQVSVRVEDVIDEYLRVIHQVPCHGFGASICKITNLIRTSLSRHRIAVEIQDIKLSLSLIKERSERYKFQVSQEKPSSSSRGWTTEGTGWNDPRMGSLFIEETEMVGFELPRDELLCWLLEGEKERTLISVVGMGGLGKTTLAKHVFDSENVKNHFDCRACITVSQSYTVRRIFRDMIKQFCRETKDPLPEMLEEMDEKTLISELRQYLEHKRYLIFFDDVWHEDFCDQVELAMPRNNRSSRIIITTRMIHVVEFFKKSFPVHIHSLQPLPSDKSWELFCKKAFKFELDGQCPAELKGMSDEIVGKCKGLPLAIVAIGGLLSTKSKTVFEWQKVSQNLNLELHRNAHLTRLTKILSLSYDDLPYYLKPCVLYFGIYPEDSSINHKRLTRQWIAEGFVKSDGRALEQVADEYLSELIYRSLVQVSWVGFEGKVKNCQVHDLLHEVIVRKMKDLSFCHFVHEGDDESATSASTRRLSIDTSSSSINNVSKSTNFTHIRAIHAFGKGGAVELFSGPLASESRVLKVLDLESTSLNYVPRNLGNIFHLKYLNLKNTKIRSIPKSVGRLQNLETLDIRETLVHELPSEINKLKKLRHLLAFHRNYEAEYSLLGFTTGVVMKKGIKNLTSLQNLCYVEVEHGGIDLIQELRFLRQLRKLGLRRVRREHGREICASVTEMTHLESLNITAIGEDEIIDLNSISSIPQLRRLHLKARLEKMPNWISKLEFLVKMRLALSNLKDDPLRSLEKLPNLLKLTIWDNAYAGEILHFQSGGFRKLKELNLARLNTVSAILIDKGALLSLEYVKITKITRLKTVPSGIKAMDNLKVIDFCDMPTELVESIDPQNGQDYWIINHVPLVFIRRWMGPKLNDFEVRIIHSSTKELLTN >Vigun10g039700.1.v1.2 pep primary_assembly:ASM411807v1:10:5406248:5420032:-1 gene:Vigun10g039700.v1.2 transcript:Vigun10g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSKPQWIYDVFINFRGGDTRRDFVSHLYCALSNAGVNTFFDDENLLKGTPLEELTRAIEASQIAIVVFSETYTESTWCLTELEKIIDCHESYGQIVVPIFHGIEPSILRNPKGRFRDALEAAAQKKYSAEHSEYGLSRWKNVLNKAANFSGWDVKNHRNTAKLVKEIVEDILTKLDYALLSITEFPVGLESRVQEVVGIVENQSAKVCIIGIWGMGGSGKTTMAKAIYNQIHRRFNDKSFIENIREVREIDGRGNVHLQEQLLSDVLKTKVKIHSVGMGTTMIENRLSRKKVFIVLDDVNDFGQLKDLCGNQKWFGKESVIIITTRDLHLLDLLKVDYVYKMEEMDKNESLELFSWHAFREAKPREDFDELARNVVAYCGGLPLALEVLGSYLIERTKKDWESVLLKLERIPNDKVQEKLRISFDGLCDEMEKDIFLDVCCFFIGKDRAYVTEILNGCGLHADIGITVLIERSLLKVEKNNKLGMHQLLRDMGREIICESSRKDPGKRSRLWFHEDVLDVLTKNTGTETIEGLALKLHFIGKDCFKAYAFEEMKRLRLLQLDHVQLTGNYGYLSKQLRWICWQGFPSKYIPKSFYLDGAVVIDLKHSNLQLFWKEPQVLGWLKILNLSHSKYLTVTPDFSKLPKLEKLILKDCPRLCKVHKSIGGLRNLLLINLKDCKSLENLPKGVYKLKSVKTLILSGCSKIDKLEEDIVQMESLITLIAENTAMKQVPFSIVKSKSIGYISLCGFEGFSRNVFPSIIRSWMSPTMNPLSYVRPFCSTSSYLVSMDMRSYNLGDLEPMLSGLSNLRSVLVQCDRESQVSMQVRTILDDSVNFTQFEITSQISNHYLRSYLIGIGSYQEVFNILSASISEGLATSDSCDAFLPGDNDPFWLAHMGEGNSVYFTVPEDCRMKGMTLCVVYLSAPEITATEYLISVLMVNYTRYTIQVFKRETVFSFNDVDWQGIISHWSPGDKVEIFVNFGNGLEVKKTAIYLMCDESIDKEHNPSPEPKKEPKKNVFERFIKKILI >Vigun10g105300.1.v1.2 pep primary_assembly:ASM411807v1:10:30263928:30266258:-1 gene:Vigun10g105300.v1.2 transcript:Vigun10g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKENLYAPQGGPIILSQAQDRMYEMLDSTILRRSDQDFQHDFLGSLVMKHNKDREEDENKFTDKQLKDNILTMLVADHDTTIVALTWLIKLLAQNLIFLESLRVLKTPYNLLRSDCYI >Vigun03g167400.7.v1.2 pep primary_assembly:ASM411807v1:3:19403722:19413549:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.4.v1.2 pep primary_assembly:ASM411807v1:3:19403635:19413549:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.9.v1.2 pep primary_assembly:ASM411807v1:3:19409089:19413464:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.2.v1.2 pep primary_assembly:ASM411807v1:3:19401772:19413527:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.5.v1.2 pep primary_assembly:ASM411807v1:3:19408772:19413550:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.8.v1.2 pep primary_assembly:ASM411807v1:3:19403818:19413523:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun03g167400.6.v1.2 pep primary_assembly:ASM411807v1:3:19401772:19413523:-1 gene:Vigun03g167400.v1.2 transcript:Vigun03g167400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMLRSGTLIEPILLRTFNFNPHKHHITSRTKCNNLTAIRSRRFHPPKPPLSCSLNQTPSSHHQEDPPPDTVFKAISEVSKVEESVGQTTNVVIGTTVTDDSNKEWLALDEKVNSYPTVRGFTAIGTGGEDFVHAMVVAVESVIQQPISQGYVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYSAMRRDNRVKYFL >Vigun08g184600.2.v1.2 pep primary_assembly:ASM411807v1:8:35380957:35387561:1 gene:Vigun08g184600.v1.2 transcript:Vigun08g184600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSVPSSWDRAHRLYEKNLELENKRRRSAQAQVPSDPNAWQQIRENYEAIILEDHAFSEKHNIEYALWQLHYKRIEELRAYFNAAHTSVSSKSSPGGKGSVRPDRITKIRLQFKTFLSEATGFYHDLIMNIRAKYGLPLGYFEDSENKIVMEKDEKKSSQMKKGLISCHRCLIYLGDLARYKGLYGGSDSKKREYAAASSYYLQAATIWPSSGNPYHQLALLASYNEDELTTVYCYFRSLAVDSPFSTARDNLVLAFEKNRQSYSKLSGDVKAHAITGIGKGEAKLVTRDTGVETCSTKEGASNIRETYKSFCTCLVRLNGILFTRTSLETFAEVLSRVSAGLHELLSSGQDEELKFGIDTLVNKLVIVRIVSMIIFTVHNVKKESEGQTYAEILQRAALLQNAFTAAFELMSLVVEKCMQLQDPPSSYLLPGILVFVEWLACYPDIAAGKDEDNATTIRSKFWNHCLSFLNKLLSLLPMSEDDGEETCFNNMSWYKEGETENRLALWEDFELRGFVPLLPAQTILDFSRKNSLGSDSEKERKARIQRILAAGKALANVIRVDQKMIYFDSKGKKFVVGVQPQISDDFVISSLSDTPSADYHHYIEGEDDDEVIVFNPIVPEKQADMVVASSWAPHEGLKSVPTASVGDMKFNENSTSNPLNDPNHQNSLPASVNAMVPQRLLPVQPHSLRWLEEEISLANSLKGLRFLENGHMMKPDLPFKEVVPISDRPALAVPTQLSVRAGASMLYAHDLSKAGDFGNSFKVDANASAETLTDNSVVRTPSTMQAGFKKSPISRPSRHLGPPPGFSHVPLKHGIEPTGPDSIGGNSIMDDYSWLDGYQLPVSTKGLGPNGPLSYSQSNSHQVGNNGWHDHRTLELLKAHQNQQLQPQVLTNGNQHLTPLPEQFQGQSIWTGQHFV >Vigun08g184600.1.v1.2 pep primary_assembly:ASM411807v1:8:35380673:35387561:1 gene:Vigun08g184600.v1.2 transcript:Vigun08g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSVPSSWDRAHRLYEKNLELENKRRRSAQAQVPSDPNAWQQIRENYEAIILEDHAFSEKHNIEYALWQLHYKRIEELRAYFNAAHTSVSSKSSPGGKGSVRPDRITKIRLQFKTFLSEATGFYHDLIMNIRAKYGLPLGYFEDSENKIVMEKDEKKSSQMKKGLISCHRCLIYLGDLARYKGLYGGSDSKKREYAAASSYYLQAATIWPSSGNPYHQLALLASYNEDELTTVYCYFRSLAVDSPFSTARDNLVLAFEKNRQSYSKLSGDVKAHAITGIGKGEAKLVTRDTGVETCSTKEGASNIRETYKSFCTCLVRLNGILFTRTSLETFAEVLSRVSAGLHELLSSGQDEELKFGIDTLVNKLVIVRIVSMIIFTVHNVKKESEGQTYAEILQRAALLQNAFTAAFELMSLVVEKCMQLQDPPSSYLLPGILVFVEWLACYPDIAAGKDEDNATTIRSKFWNHCLSFLNKLLSLLPMSEDDGEETCFNNMSWYKEGETENRLALWEDFELRGFVPLLPAQTILDFSRKNSLGSDSEKERKARIQRILAAGKALANVIRVDQKMIYFDSKGKKFVVGVQPQISDDFVISSLSDTPSADYHHYIEGEDDDEVIVFNPIVPEKQADMVVASSWAPHEGLKSVPTASVGDMKFNENSTSNPLNDPNHQNSLPASVNAMVPQRLLPVQPHSLRWLEEEISLANSLKGLRFLENGHMMKPDLPFKEVVPISDRPALAVPTQLSVRAGASMLYAHDLSKAGDFGNSFKVDANASAETLTDNSVVRTPSTMQAGFKKSPISRPSRHLGPPPGFSHVPLKHGIEPTGPDSIGGNSIMDDYSWLDGYQLPVSTKGLGPNGPLSYSQSNSHQVGNNGWHDHRTLELLKAHQNQQLQPQVLTNGNQHLTPLPEQFQGQSIWTGQHFV >Vigun01g174100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35583657:35584737:1 gene:Vigun01g174100.v1.2 transcript:Vigun01g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKKIISFLTEHARSMGCYKVILDCSLENKAFYEKCGFYHKSLQMAMYFAHH >Vigun01g002400.1.v1.2 pep primary_assembly:ASM411807v1:1:305227:308921:-1 gene:Vigun01g002400.v1.2 transcript:Vigun01g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVVAPNWFQKKVTDPLLAIVRRGAEPKQLAFSAALGLTLGVFPICGVTVFLCGVAIALLGRLCHAPTVMLANFIATPIELSLVVPFLRFGEIITGGSHFPLTSDALKKVLYRRSFT >Vigun01g002900.1.v1.2 pep primary_assembly:ASM411807v1:1:339536:343457:-1 gene:Vigun01g002900.v1.2 transcript:Vigun01g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLERRAVEKKATSGRNYLYKISLSLVFVLWGLVFLFSLWISCGYGYGDGCREVPVGVSNWHEDEHKQCKNSNSADEYLTKETDDTYTPSGTFSSDVAKSNGFIVESLSSGESINNVVLGDKENHISPKIEEHEVERSESSVKLQNDVHKNHHLSQAMPLVKSGTSPHGNVIHRLEPGGAEYNYASAAKGAKVLSSNKEARGASDILSRNKDKYLRNPCSSEEKFVVIELSEETLVKTIKIANFEHHSSNFKDFELHGSLVYPTDSWIFLGNFTASNVKQAQRFVLQEPKWMRYLKLNLQSHYGSEFYCTLSIVEVYGVDAIERMLEDLIYAQDNSFVSGDGNGEKRVASSLLANADDAGDVRQNTMRGINSDPTSEISSENKESVIVNSNVPDPVEEIRQQVGRMPGDTVLKILMQKVRYLDLNLSVLEQYMEDLNSRYVSIFKEYGKDMGEKDLLLEKIKEEIRRFLEQQDVMMKELSDLDSWKSHISVQLDHVLRDNAVLRSEVEKVRENQVSMENKSVVVFSVCVIFSFLAILRLSLDMIMSIYRAFFSFERTVTSRKFFSSWFLILLSCSIIIFTLTL >Vigun06g069400.1.v1.2 pep primary_assembly:ASM411807v1:6:19909295:19910324:-1 gene:Vigun06g069400.v1.2 transcript:Vigun06g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMQLLCFYQQPPSSSLFVLHTNGAIFKNKRFITNPSSSSSSFSSCSGIVHASLKEDSQSQQYEVEPEKAREALKKLDEQMQSLSSKKLPSNNAPKLKIPKVKLPTEQRIDKDKLEISDSFLGSVAGVLILFTIFYNVLFYTVIKPSIDGS >Vigun10g161300.1.v1.2 pep primary_assembly:ASM411807v1:10:38009448:38012997:1 gene:Vigun10g161300.v1.2 transcript:Vigun10g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDSPMELIEISTKSEGSDSDSEWEDSGEDSDYDALEETHSKFSNLSLKQKEKARSIEEKGRGEEVLATTASVNDGENFGKVQKLIEEGLLEKLKVDECKLYLRKNGLRLTGNKDTLIERIREHLKILNGGEKKYPPSSFVLNCKGDACTGDVVLFEQNVYEMFSIASRSATGPSCGKRIVAGRIVKESYGAAKQQHTFTIEVLWSKGEKPLPPLYPLLIKGRNLYRLKTLRQKWEDEAKRQRILMEKHSRGSLARADREARVQEKEKRKSTKENRVSKKEARNQRQSNSHITRPQYQPQGTNVVINTENPEFPSRNSVLPFNIMEERSSILNNPAAIFGGTSFAVHSMHNQQAWNYTSDFRNTFDIGRVRGADIGANYNYADPHFRENLHSFVNCHPNMAQKTTGFPMGTHHRIPLANANHSHPFMAPNRESFKVNQVCRHYSRGRCYFGDNCKFLHDLRDRGNA >Vigun10g161300.2.v1.2 pep primary_assembly:ASM411807v1:10:38009448:38012997:1 gene:Vigun10g161300.v1.2 transcript:Vigun10g161300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDSPMELIEISTKSEGSDSDSEWEDSGEDSDYDALEETHSKFSNLSLKQKEKARSIEEKGRGEEVLATTASVNDGENFGKVQKLIEGDACTGDVVLFEQNVYEMFSIASRSATGPSCGKRIVAGRIVKESYGAAKQQHTFTIEVLWSKGEKPLPPLYPLLIKGRNLYRLKTLRQKWEDEAKRQRILMEKHSRGSLARADREARVQEKEKRKSTKENRVSKKEARNQRQSNSHITRPQYQPQGTNVVINTENPEFPSRNSVLPFNIMEERSSILNNPAAIFGGTSFAVHSMHNQQAWNYTSDFRNTFDIGRVRGADIGANYNYADPHFRENLHSFVNCHPNMAQKTTGFPMGTHHRIPLANANHSHPFMAPNRESFKVNQVCRHYSRGRCYFGDNCKFLHDLRDRGNA >Vigun09g265200.1.v1.2 pep primary_assembly:ASM411807v1:9:42927384:42931139:-1 gene:Vigun09g265200.v1.2 transcript:Vigun09g265200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATVSGGALPDLTHRTPFLGLKLYALILVLVIMLVAAVLLILLCFRRSSKKRKMRVKHSSGAIPLVSKEIVEVNTLELKIEEVDDEDPKKKESKLEDSPSVESPNIGWGRWYSLRELETATQGFAEGNVIGEGGYGIVFRGNLIDGSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLVGYCAEGAQRMLVYEYVDNGTLEQWLHGDVGLVSPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNAKVSDFGLAKLLGPEKSYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGILLMELITGRSPIDYSRPPGEMNLVDWFKGMVANRRGDELVDPLIDVQPSQRSLKRALLVCLRCIDLDVAKRPKMGQIVHMLEADDFPFRSEHRTNREKDPVDSKEAGSSKISYSTRHLEAVDKLSWR >Vigun01g141300.1.v1.2 pep primary_assembly:ASM411807v1:1:32218555:32220503:1 gene:Vigun01g141300.v1.2 transcript:Vigun01g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGNGGSNGSGSPCGACKFLRRKCAADCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVSHIFALQQQVACLQAQLMQVKAQLAQNLMENNNQQWGGNQSVAGEAMNHHPFCPTYMNPISPQSSLESIDHSSMNDGMSMQDIQSRDKNNELGELQELALRMMRN >Vigun05g264000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45632900:45633735:1 gene:Vigun05g264000.v1.2 transcript:Vigun05g264000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun01g091300.1.v1.2 pep primary_assembly:ASM411807v1:1:25221726:25224173:1 gene:Vigun01g091300.v1.2 transcript:Vigun01g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVDSGRERVERGNKVGYVKRGIGKKKKQLHRRLRKPDMTASNTLQRLFHSCTQAFKGAGTVPSPQDVQRLRQILDNMKAEDVGLNKDLQFFKSGNVGKENQRVTYTTVYKCDKFSLCIFFIPEGGIIPLHNHPGMTVFSKLLLGLMHIKSYDWVQPQISNDNLIQQPSQLRLAKLKADKVFTSSCDTSVLYPTSGGNIHEFKAITPCAVLDVIGPPYSKQDGRDCSYYKDHPSTVFPKERIGEGKEENDKDYSYAWLEEIEMPENSEMDGIEYLGPTPF >Vigun01g091300.2.v1.2 pep primary_assembly:ASM411807v1:1:25221726:25224173:1 gene:Vigun01g091300.v1.2 transcript:Vigun01g091300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVDSGRERVERGNKVGYVKRGIGKKKKQLHRRLRKPDMTASNTLQRLFHSCTQAFKGAGTVPSPQDVQRLRQILDNMKAEDVGLNKDLQFFKSGNVGKENQRVTYTTVYKCDKFSLCIFFIPEGGIIPLHNHPGMTVFSKLLLGLMHIKSYDWVQPQISNDNLIQQPSQLRLAKLKADKVFTSSCDTSVLYPTSGGNIHEFKAITPCAVLDVIGPPYSKQDGRDCSYYKDHPSTVFPKSEHVE >Vigun01g205500.1.v1.2 pep primary_assembly:ASM411807v1:1:38123633:38129571:1 gene:Vigun01g205500.v1.2 transcript:Vigun01g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPSQSSSNNSGRSKSSRRSARVLAQTTLDAKLHATFEESGSSFNYSNSVRLSPGTGTASGDHQSRSDEVTTAYLHQLQKSKLIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGEHPALGIGTDIRTIFTAPSSTSIQKALGFGEVSLLNPILVHCKSSGKPFYAIIHRVTGSVIIDFEPVKPHEVPMTAAGALQSYKFAAKAITRLQSLPSGNMDTLCDTMVREVFELTGYDRVMAYKFHEDDHGEVIAEVKRPDMEPYLGLHYPATDIPQATRFLFMKNKVRMIVDCRAKHVKVLQDKRIPFELTLCGSTLRAAHSCHLQYMQNMNASASLVMAVVVNDNDEDGDSSDAVQPQKRKRLWGLVVCHHSTPRFVPFPLRYACEFLAQVFAIHVNKELEIEYQIIEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKLWRLGVTPSESQIREIALWLSECHRDSTGLSTDSLSEAGFPGAATLGDITCGMAAVRISSKDIVFWFRSHTAAEIRWGGAKHEPGERDDGSRMHPRSSFKAFLEVVKTRSLPWKDYEMDVIHSLQLILRNAFKDNESMEISTYAINTRLGDLKIEGMQELEAVTSEMVRLIETATVPILAVDVNGMVNGWNTKIAELTCLPVEQAIGKHLLTLVEDFSVDRVKKMLDMALQGEEEKNVQFEIKTHDLKIDSGPISLVVNACASRDLQDNVVGVCFVAQDITAQKTVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCCEWNSAMTKLTGWKREEVMDKMLLGEVFGTHIACCRLRNQEAVVNFSIVLNKAMAGLETEKVPLSFITRDGKHVECLLSVSKKLDAEGVVTGVFCFLQLASPELQQALHIQHLSEQTASKRLKALTYLKRQIRSPLYGIVFSRKLLEGTELGAEQKQFLQTGTRCQRQLSKVLDDSDLDSIIDGYLDLEMVEFTLHEVLVSSLSQALTKGNAKGVRVVNDVEEQITTETLYGDGLRLQQVLADFLLVSINSTPTGGQVVVAASLTKQQLGKLVHLANLELSITHDGFGVPETLLNQMFGRDGDESEEGISMLISRKLLKLMNGEVRYIREAGNSSFILSVELAAAHKSNTSNFGNN >Vigun04g162600.1.v1.2 pep primary_assembly:ASM411807v1:4:38613965:38617913:-1 gene:Vigun04g162600.v1.2 transcript:Vigun04g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSIGDNGEDKEENMAAWLLGINNLKIQPFKLPVLGPHDVRVRMKAVGICGSDVHYLKTLRCAHFIVKEPMVIGHECAGIIEEVGNQVTNLVPGDRVALEPGISCWRCGHCKQGRYNLCDEMKFFATPPVHGSLANQIVHPADLCFKLPDNVSLEEGAMCEPLSVGLHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRTVIVDVDDYRLSVAKSLGADDIVKVSTNIQDVAEEIELIQKVMGAAINVTFDCAGFDKTMSTALGATQPGGKVCLVGMGHSEMTVPLTPAAAREVDVIGVFRYMNTWPLCLEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGTAIKVMFNL >Vigun07g008200.1.v1.2 pep primary_assembly:ASM411807v1:7:696365:698858:-1 gene:Vigun07g008200.v1.2 transcript:Vigun07g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTQDEDQLLTSYIQKHGHGNWRALPKLAGLLRCGKSCRLRWINYLKPDIKRGNFSSEEEEIIIKMHELLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKLLNSDTKRVVSNQKIKRSDSNSSTLTSSSSASACTSSSDFSSFKNMNNNNMIKSEDTESEETTMPPIDESFWSEATVEDESSTMIMPSNSWTISNELQFNSVETFQQHSFGSNFDDGMDFWYDIFIRSEDSIELPQF >Vigun05g192600.1.v1.2 pep primary_assembly:ASM411807v1:5:37424030:37426850:-1 gene:Vigun05g192600.v1.2 transcript:Vigun05g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSRGFVSRLQRFAFDSPSPLRFLSSDAAIQTRIIDQKPCVMTPYSKRTGLIAVKCGMSALWDKWGARIPITVLWVDDNIVSQVKTPEKEGFCSLQIGCGQKKEKHLTKPEVGHLRAQGVPLKRKLKEFPVTEDALLPVGTSLNVRHFVPGQYVDVTGITKGKGFQGVMKRHGFKGMPETHGTSLSHRSAGSIGHITGPGRVFKGKKMAGRMGGDQRTVKNVWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDISLLPFPTYFAPEDEDTDNLKPLVADLGDVDPFIVTD >Vigun03g158800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17450355:17461229:-1 gene:Vigun03g158800.v1.2 transcript:Vigun03g158800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCDEKEKEGMDPRAMHARAIRSESKDRAVWNKLITNYSKSNLSSYARSLFHHLPRPPNVVSWSALISGHSNTLFSLRHFLAMLRYPTLPNHRILASLFESCATVSALSFALSLHSLAFKLALARNPFTASSLLSLYSKFRMPFSARKVFDDIPHPDNVCFSALVIALAQNSRSLDALSVFSDMARRGFASTIHSVSGGLRAAGQLASLEQCKMMHAHVIVAGFDTSVVVGSALVNGYGKAGVVSDARRVFEDSLSDMNIVAWNALMAAYAQQGDYKSAFELFESMEGCRLVPDGYSFLAILTALCNAGMFRQIDQWFTRMIVNYGLEPSLEHCTCLVGAIARTGEFDRAERVVLNMPFEPDAAVWRALLSACSYLGEADRAWYMAKRVLELEPHDPFAYIVASNVLSSVGRWDDVAELRKMIKDRRAKKVIGRSWIEVQGEVHVFVAADWKHKRSKEIYQKLQELMGDIEKLGYVPVLDEVLHNVEEEKRKEALWYHSEKLAVAFGVLCGSALPGKPLRIVKNLRICKDCHEAFKYMTRVLEREIIVRDVNRYHRFVNGNCTCRDTW >Vigun03g158800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17458180:17461359:-1 gene:Vigun03g158800.v1.2 transcript:Vigun03g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCDEKEKEGMDPRAMHARAIRSESKDRAVWNKLITNYSKSNLSSYARSLFHHLPRPPNVVSWSALISGHSNTLFSLRHFLAMLRYPTLPNHRILASLFESCATVSALSFALSLHSLAFKLALARNPFTASSLLSLYSKFRMPFSARKVFDDIPHPDNVCFSALVIALAQNSRSLDALSVFSDMARRGFASTIHSVSGGLRAAGQLASLEQCKMMHAHVIVAGFDTSVVVGSALVNGYGKAGVVSDARRVFEDSLSDMNIVAWNALMAAYAQQGDYKSAFELFESMEGCRLVPDGYSFLAILTALCNAGMFRQIDQWFTRMIVNYGLEPSLEHCTCLVGAIARTGEFDRAERVVLNMPFEPDAAVWRALLSACSYLGEADRAWYMAKRVLELEPHDPFAYIVASNVLSSVGRWDDVAELRKMIKDRRAKKVIGRSWIEVQGEVHVFVAADWKHKRSKEIYQKLQELMGDIEKLGYVPVLDEVLHNVEEEKRKEALWYHSEKLAVAFGVLCGSALPGKPLRIVKNLRICKDCHEAFKYMTRVLEREIIVRDVNRYHRFVNGNCTCRDTW >Vigun03g158800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17458182:17461313:-1 gene:Vigun03g158800.v1.2 transcript:Vigun03g158800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCDEKEKEGMDPRAMHARAIRSESKDRAVWNKLITNYSKSNLSSYARSLFHHLPRPPNVVSWSALISGHSNTLFSLRHFLAMLRYPTLPNHRILASLFESCATVSALSFALSLHSLAFKLALARNPFTASSLLSLYSKFRMPFSARKVFDDIPHPDNVCFSALVIALAQNSRSLDALSVFSDMARRGFASTIHSVSGGLRAAGQLASLEQCKMMHAHVIVAGFDTSVVVGSALVNGYGKAGVVSDARRVFEDSLSDMNIVAWNALMAAYAQQGDYKSAFELFESMEGCRLVPDGYSFLAILTALCNAGMFRQIDQWFTRMIVNYGLEPSLEHCTCLVGAIARTGEFDRAERVVLNMPFEPDAAVWRALLSACSYLGEADRAWYMAKRVLELEPHDPFAYIVASNVLSSVGRWDDVAELRKMIKDRRAKKVIGRSWIEVQGEVHVFVAADWKHKRSKEIYQKLQELMGDIEKLGYVPVLDEVLHNVEEEKRKEALWYHSEKLAVAFGVLCGSALPGKPLRIVKNLRICKDCHEAFKYMTRVLEREIIVRDVNRYHRFVNGNCTCRDTW >Vigun03g158800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17450354:17461230:-1 gene:Vigun03g158800.v1.2 transcript:Vigun03g158800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCDEKEKEGMDPRAMHARAIRSESKDRAVWNKLITNYSKSNLSSYARSLFHHLPRPPNVVSWSALISGHSNTLFSLRHFLAMLRYPTLPNHRILASLFESCATVSALSFALSLHSLAFKLALARNPFTASSLLSLYSKFRMPFSARKVFDDIPHPDNVCFSALVIALAQNSRSLDALSVFSDMARRGFASTIHSVSGGLRAAGQLASLEQCKMMHAHVIVAGFDTSVVVGSALVNGYGKAGVVSDARRVFEDSLSDMNIVAWNALMAAYAQQGDYKSAFELFESMEGCRLVPDGYSFLAILTALCNAGMFRQIDQWFTRMIVNYGLEPSLEHCTCLVGAIARTGEFDRAERVVLNMPFEPDAAVWRALLSACSYLGEADRAWYMAKRVLELEPHDPFAYIVASNVLSSVGRWDDVAELRKMIKDRRAKKVIGRSWIEVQGEVHVFVAADWKHKRSKEIYQKLQELMGDIEKLGYVPVLDEVLHNVEEEKRKEALWYHSEKLAVAFGVLCGSALPGKPLRIVKNLRICKDCHEAFKYMTRVLEREIIVRDVNRYHRFVNGNCTCRDTW >Vigun10g100450.1.v1.2 pep primary_assembly:ASM411807v1:10:29304899:29305234:-1 gene:Vigun10g100450.v1.2 transcript:Vigun10g100450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLRHRGDETINHLLFTCKVATKIWNMCNKWTGNLGVSYNQIHAHFKHFHLLELNLKDNMLWKGVWIAIVWTLWNHRNNIVFRNGVPEPEEIFSLT >Vigun04g027600.1.v1.2 pep primary_assembly:ASM411807v1:4:2128121:2128731:1 gene:Vigun04g027600.v1.2 transcript:Vigun04g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLSSLSLFFFILFIITFTFFMQPIPVTADPRMRKLGLLASPPPPPSSGGQILPGDPPSRNS >Vigun03g324200.1.v1.2 pep primary_assembly:ASM411807v1:3:52000013:52002238:-1 gene:Vigun03g324200.v1.2 transcript:Vigun03g324200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSLHVCMNSSDQWLQGTIHEECGVDSSSPSADMLPCSRPMTERRLRPSQEQALKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSTKKHNDHQPAINENQTQPAGPSYIHNHKDLQHSFLDVQFSHLNNILGTNAGVLGNPSFMESKYSMGMLENPGHIDFMESGLDGMNMSVGGGVGLGDVSGYNGLPLNYQGLSSAAFGGMSLDGGISNVGSYIMDSCQRLMLPYDGGYNILNGSVDLKPNPKLLSLEWQDQGCSEAEKVSLGYLNGSGSWSGYGSSTTNPLV >Vigun04g188300.2.v1.2 pep primary_assembly:ASM411807v1:4:41262110:41265987:1 gene:Vigun04g188300.v1.2 transcript:Vigun04g188300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFAMDEFNVNSAAEEPSGSYTPRKDNFAQQASPRSTLSPRSIQSDSIDLAIDGVVDTSIEQLYHNVCEMRSSDHSPSRASFYSYDGESRIDSELGHLVGDIVDLEITKEVVVTENKEDFNGNANASGSTPEKDVVSRGKESSNKDNNSQSSPTTRVIEGSSKPTPRRNEKGVRKANGVYHIRKHRRNLGMKEIEERIAAGLDNPDLGPFLLKQTRDMISSGENPRKARDLALRALKSFEICSDGKPSLDMVMCLHVLATIYCNLGQYNEAIPILERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMMGQIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDDAEKLCQMALDIHRGNGAPASIEEAADRRLMGLICDSKGDYEAALEHYVLASMAMAANGHEADVASVDCSIGDAYLALARYDEAVFSYQKALTVFKSTKGENHPTVASVYVRLADLYNKIGKFKEAKSYCENALRIFGKIKPGISSEEIASGLIDVAAIYQSINDLEKGLKLLKKALKIYANAPGQQSTVAGIEAQMGVMYYMLGNFSDSYNIFKSAIAKFRATGEKKTALFGIALNQMGLACVQCYAINEAADLFEEARSILEKEYGPYHPDTLGVCSNLAGTYDAMGRVDDAIEILEYVVGMREEKLGTANPDVDDEKRRLEELLKEAGRARNRRSRRSLETLLDSNSQLMKNNGIRVL >Vigun04g188300.4.v1.2 pep primary_assembly:ASM411807v1:4:41262642:41265989:1 gene:Vigun04g188300.v1.2 transcript:Vigun04g188300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFAMDEFNVNSAAEEPSGSYTPRKDNFAQQASPRSTLSPRSIQSDSIDLAIDGVVDTSIEQLYHNVCEMRSSDHSPSRASFYSYDGESRIDSELGHLVGDIVDLEITKEVVVTENKEDFNGNANASGSTPEKDVVSRGKESSNKDNNSQSSPTTRVIEGSSKPTPRRNEKGVRKANGVYHIRKHRRNLGMKEIEERIAAGLDNPDLGPFLLKQTRDMISSGENPRKARDLALRALKSFEICSDGKPSLDMVMCLHVLATIYCNLGQYNEAIPILERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMMGQIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDDAEKLCQMALDIHRGNGAPASIEEAADRRLMGLICDSKGDYEAALEHYVLASMAMAANGHEADVASVDCSIGDAYLALARYDEAVFSYQKALTVFKSTKGENHPTVASVYVRLADLYNKIGKFKEAKSYCENALRIFGKIKPGISSEEIASGLIDVAAIYQSINDLEKGLKLLKKALKIYANAPGQQSTVAGIEAQMGVMYYMLGNFSDSYNIFKSAIAKFRATGEKKTALFGIALNQMGLACVQCYAINEAADLFEEARSILEKEYGPYHPDTLGVCSNLAGTYDAMGRVDDAIEILEYVVGMREEKLGTANPDVDDEKRRLEELLKEAGRARNRRSRRSLETLLDSNSQLMKNNGIRVL >Vigun04g188300.3.v1.2 pep primary_assembly:ASM411807v1:4:41262440:41265957:1 gene:Vigun04g188300.v1.2 transcript:Vigun04g188300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFAMDEFNVNSAAEEPSGSYTPRKDNFAQQASPRSTLSPRSIQSDSIDLAIDGVVDTSIEQLYHNVCEMRSSDHSPSRASFYSYDGESRIDSELGHLVGDIVDLEITKEVVVTENKEDFNGNANASGSTPEKDVVSRGKESSNKDNNSQSSPTTRVIEGSSKPTPRRNEKGVRKANGVYHIRKHRRNLGMKEIEERIAAGLDNPDLGPFLLKQTRDMISSGENPRKARDLALRALKSFEICSDGKPSLDMVMCLHVLATIYCNLGQYNEAIPILERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMMGQIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDDAEKLCQMALDIHRGNGAPASIEEAADRRLMGLICDSKGDYEAALEHYVLASMAMAANGHEADVASVDCSIGDAYLALARYDEAVFSYQKALTVFKSTKGENHPTVASVYVRLADLYNKIGKFKEAKSYCENALRIFGKIKPGISSEEIASGLIDVAAIYQSINDLEKGLKLLKKALKIYANAPGQQSTVAGIEAQMGVMYYMLGNFSDSYNIFKSAIAKFRATGEKKTALFGIALNQMGLACVQCYAINEAADLFEEARSILEKEYGPYHPDTLGVCSNLAGTYDAMGRVDDAIEILEYVVGMREEKLGTANPDVDDEKRRLEELLKEAGRARNRRSRRSLETLLDSNSQLMKNNGIRVL >Vigun04g188300.1.v1.2 pep primary_assembly:ASM411807v1:4:41262111:41265987:1 gene:Vigun04g188300.v1.2 transcript:Vigun04g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFAMDEFNVNSAAEEPSGSYTPRKDNFAQQASPRSTLSPRSIQSDSIDLAIDGVVDTSIEQLYHNVCEMRSSDHSPSRASFYSYDGESRIDSELGHLVGDIVDLEITKEVVVTENKEDFNGNANASGSTPEKDVVSRGKESSNKDNNSQSSPTTRVIEGSSKPTPRRNEKGVRKANGVYHIRKHRRNLGMKEIEERIAAGLDNPDLGPFLLKQTRDMISSGENPRKARDLALRALKSFEICSDGKPSLDMVMCLHVLATIYCNLGQYNEAIPILERSIDIPVLEDGQDHALAKFAGCMQLGDTYAMMGQIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDDAEKLCQMALDIHRGNGAPASIEEAADRRLMGLICDSKGDYEAALEHYVLASMAMAANGHEADVASVDCSIGDAYLALARYDEAVFSYQKALTVFKSTKGENHPTVASVYVRLADLYNKIGKFKEAKSYCENALRIFGKIKPGISSEEIASGLIDVAAIYQSINDLEKGLKLLKKALKIYANAPGQQSTVAGIEAQMGVMYYMLGNFSDSYNIFKSAIAKFRATGEKKTALFGIALNQMGLACVQCYAINEAADLFEEARSILEKEYGPYHPDTLGVCSNLAGTYDAMGRVDDAIEILEYVVGMREEKLGTANPDVDDEKRRLEELLKEAGRARNRRSRRSLETLLDSNSQLMKNNGIRVL >Vigun05g120500.2.v1.2 pep primary_assembly:ASM411807v1:5:13211204:13212284:1 gene:Vigun05g120500.v1.2 transcript:Vigun05g120500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEGCSCSSWGSQKQSVSSSGGSYRVLGESPLCFCGENAVLRVAKTVRNAGKQFWGCPNYKRSGGNEVFKGCNYFKWLNEDNGDEKDATIGRQRRKIYTLEKALVISDRWVKIGDFAADLLLLAQLVQMQ >Vigun06g162100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28436928:28439431:-1 gene:Vigun06g162100.v1.2 transcript:Vigun06g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENPNPTQHKTTHSHKERIRPLSLTLTETKTRRKKSQNKATKPTHQHPPQSPQTQDQRTIMSCSNLTMLVSSKPSLSDPSNLSFRSVLNPFQLPSQNPTSCTPSRSSSTQVQCGLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNARPFSETLVEVLYNINEQLQTEDVDIPLTKVRPVKKVALVVCTGDRGLCGGFNNAIIKKAESRIKELKELGLEYTVISVGKKGNTYFIRRPYIPVDRFLEGGSLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDVVRTKTTDYSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNASDLKKKLSIVYNRERQAKITGEILEIVAGANALQ >Vigun06g162100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28436928:28439431:-1 gene:Vigun06g162100.v1.2 transcript:Vigun06g162100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENPNPTQHKTTHSHKERIRPLSLTLTETKTRRKKSQNKATKPTHQHPPQSPQTQDQRTIMSCSNLTMLVSSKPSLSDPSNLSFRSVLNPFQLPSQNPTSCTPSRSSSTQVQCGLRELRTRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNARPFSETLVEVLYNINEQLQTEDVDIPLTKVRPVKKVALVVCTGDRGLCGGFNNAIIKKAESRIKELKELGLEYTVISVGKKGNTYFIRRPYIPVDRFLEGGSLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDVVRTKTTDYSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNASDLKKKLSIVYNRERQAKITGEILEIVAGANALQ >Vigun01g084200.1.v1.2 pep primary_assembly:ASM411807v1:1:23880523:23884366:1 gene:Vigun01g084200.v1.2 transcript:Vigun01g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIKAAIGDGVLTFMWVFCSSVLGIASGYVTKALNLQDISYNGFPYPSFIVTITLVFLLVFLFTLIGNALGGASFNPTGTASFYAVGLGSDTLFSMALRFPAQAVGAAGGAMAIMEVIPEKYRHMIGGPSLKVDLHTGAVVEGLLTFFITFIVLFIFLKGPRSDLLKTWFLATATVVLVMVGSAYTGPAMNPANAFGWAYLNNWHNTWDQLYVYWICPFAGAILAAWLFRAVFPPPPPPELKQKKA >Vigun05g178850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:33717214:33717717:1 gene:Vigun05g178850.v1.2 transcript:Vigun05g178850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPATESSKGKRRRRASSPSPERPNNNLFREPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDLEIVIEFYANAYSEDNLGEKRSKVRGRWVNYDQAAISEFLGNPLPLEPRQRCDFTRRRRSHEPYDENEVALLICAAN >Vigun01g103700.1.v1.2 pep primary_assembly:ASM411807v1:1:27294190:27303962:-1 gene:Vigun01g103700.v1.2 transcript:Vigun01g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSNSKQKKKQSKAQNSPLSSNATTTPSKTPHQQGQEHASLCEEASRKFPSLIAKSAFVAEIIHVDDTVPLFKGFRIWLSEPSMLSSSLSPGSIVSVSIPSSDEKSSQLHSFPLLSLANECAKCHGLELGKAVDDDVAGNYFVLATVFPASKVLKNGVRLSSNLYYTMGCPPMGTSVFVCALQKQLLPTPASGSNQQHYMENNCLPISNCKELYLQLVPSKSGLPKFNNFPSLDVSKVKSHVQFENDIVASPATPSYVSKFSNASGLSSPQFDDSASSVPNQKSQSLISSDVILALSDESSKQPLETWATSWLYSRSLLLGNLVRFPMFSECFFQVIGAKKQRVAKSGHYPSNGSSDFYPEDSDVADSVNQAFTVNYETKVFLSLPSNAASEEPIQKDIPCVKQEYKVANASLPDKISKLGGLSKEYTLLKDIISSSVNDALSSFGLRTTRGVLLHGPTGTGKTSLAQLCTHDVGVKFFPINGPEIVTQYYGESEQALHKVFDSAIEAAPAVVFIDELDAIAPARKEGGEELSQRLVATLLNMMDGISRTEGLLVIGATNRPDHIEPALRRPGRFDKEIEISVPSPKQRSDILFTLLNEMDHCLSELNIEQLAAVTHGFVGADLAALCNEAALICLRRYACFKKTNDSSSDHIAEKSVLMNGATNSIDHLDEATSSTSDMWATRRTYETMDLIHSGKEDQILKVSFEDFQEARMKIRPSAMREVILEVPKVNWEDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRTLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNEVDREEIFRIHLRKSPCGSDVSLRELALLTDGCTGADISFICREAAVAAIEESVDGSVISMEHLNMAIKQIQPSDVHSYQKLSTKFQRAVTPVI >Vigun01g103700.5.v1.2 pep primary_assembly:ASM411807v1:1:27294190:27303962:-1 gene:Vigun01g103700.v1.2 transcript:Vigun01g103700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYACLQTFIIPWDVLLWAQVCLFVHYRNNSCLLLPVGAINSIIWKIIVYLLVIARNCIFSWFLLKVDFRSLITFPHWTCLSGLSSPQFDDSASSVPNQKSQSLISSDVILALSDESSKQPLETWATSWLYSRSLLLGNLVRFPMFSECFFQVIGAKKQRVAKSGHYPSNGSSDFYPEDSDVADSVNQAFTVNYETKVFLSLPSNAASEEPIQKDIPCVKQEYKVANASLPDKISKLGGLSKEYTLLKDIISSSVNDALSSFGLRTTRGVLLHGPTGTGKTSLAQLCTHDVGVKFFPINGPEIVTQYYGESEQALHKVFDSAIEAAPAVVFIDELDAIAPARKEGGEELSQRLVATLLNMMDGISRTEGLLVIGATNRPDHIEPALRRPGRFDKEIEISVPSPKQRSDILFTLLNEMDHCLSELNIEQLAAVTHGFVGADLAALCNEAALICLRRYACFKKTNDSSSDHIAEKSVLMNGATNSIDHLDEATSSTSDMWATRRTYETMDLIHSGKEDQILKVSFEDFQEARMKIRPSAMREVILEVPKVNWEDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRTLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNEVDREEIFRIHLRKSPCGSDVSLRELALLTDGCTGADISFICREAAVAAIEESVDGSVISMEHLNMAIKQIQPSDVHSYQKLSTKFQRAVTPVI >Vigun01g103700.3.v1.2 pep primary_assembly:ASM411807v1:1:27294190:27303962:-1 gene:Vigun01g103700.v1.2 transcript:Vigun01g103700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSNSKQKKKQSKAQNSPLSSNATTTPSKTPHQQGQEHASLCEEASRKFPSLIAKSAFVAEIIHVDDTVPLFKGFRIWLSEPSMLSSSLSPGSIVSVSIPSSDEKSSQLHSFPLLSLANECAKCHGLELGKAVDDDVAGNYFVLATVFPASKVLKNGVRLSSNLYYTMGCPPMGTSVFVCALQKQLLPTPASGSNQQHYMENNCLPISNCKELYLQLVPSKSGLPKFNNFPSLDVSKVKSHVQFENDIVASPATPSYVSKFSNASGLSSPQFDDSASSVPNQKSQSLISSDVILALSDESSKQPLETWATSWLYSRSLLLGNLVRFPMFSECFFQVIGAKKQRVAKSGHYPSNGSSDFYPEDSDVADSVNQAFTVNYETKVFLSLPSNAASEEPIQKDIPCVKQEYKVANASLPDKISKLGGLSKEYTLLKDIISSSVNDALSSFGLRTTRGVLLHGPTGTGKTSLAQLCTHDVGVKFFPINGPEIVTQYYGESEQALHKVFDSAIEAAPAVVFIDELDAIAPARKEGGEELSQRLVATLLNMMDGISRTEGLLVIGATNRPDHIEPALRRPGRFDKEIEISVPSPKQRSDILFTLLNEMDHCLSELNIEQLAAVTHGFVGADLAALCNEAALICLRRYACFKKTNDSSSDHIAEKSVLMNGATNSIDHLDEATSSTSDMWATRRTYETMDLIHSGKEDQILKVSFEDFQEARMKIRPSAMREVILEVPKVNWEDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRTLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNEVDREEIFRIHLRKSPCGSDVSLRELALLTDGCTGADISFICREAAVAAIEESVDGSVISMEHLNMAIKQIQPSDVHSYQKLSTKFQRAVTPVI >Vigun01g103700.4.v1.2 pep primary_assembly:ASM411807v1:1:27293897:27303969:-1 gene:Vigun01g103700.v1.2 transcript:Vigun01g103700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSNSKQKKKQSKAQNSPLSSNATTTPSKTPHQQGQEHASLCEEASRKFPSLIAKSAFVAEIIHVDDTVPLFKGFRIWLSEPSMLSSSLSPGSIVSVSIPSSDEKSSQLHSFPLLSLANECAKCHGLELGKAVDDDVAGNYFVLATVFPASKVLKNGVRLSSNLYYTMGCPPMGTSVFVCALQKQLLPTPASGSNQQHYMENNCLPISNCKELYLQLVPSKSGLPKFNNFPSLDVSKVKSHVQFENDIVASPATPSYVSKFSNASGLSSPQFDDSASSVPNQKSQSLISSDVILALSDESSKQPLETWATSWLYSRSLLLGNLVRFPMFSECFFQVIGAKKQRVAKSGHYPSNGSSDFYPEDSDVADSVNQAFTVNYETKVFLSLPSNAASEEPIQKDIPCVKQEYKVANASLPDKISKLGGLSKEYTLLKDIISSSVNDALSSFGLRTTRGVLLHGPTGTGKTSLAQLCTHDVGVKFFPINGPEIVTQYYGESEQALHKVFDSAIEAAPAVVFIDELDAIAPARKEGGEELSQRLVATLLNMMDGISRTEGLLVIGATNRPDHIEPALRRPGRFDKEIEISVPSPKQRSDILFTLLNEMDHCLSELNIEQLAAVTHGFVGADLAALCNEAALICLRRYACFKKTNDSSSDHIAEKSVLMNGATNSIDHLDEATSSTSDMWATRRTYETMDLIHSGKEDQILKVSFEDFQEARMKIRPSAMREVILEVPKVNWEDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRTLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNEVDREEIFRIHLRKSPCGSDVSLRELALLTDGCTGADISFICREAAVAAIEESVDGSVISMEHLNMAIKQIQPSDVHSYQKLSTKFQRAVTPVI >Vigun01g103700.6.v1.2 pep primary_assembly:ASM411807v1:1:27294190:27303962:-1 gene:Vigun01g103700.v1.2 transcript:Vigun01g103700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYACLQTFIIPWDVLLWAQVCLFVHYRNNSCLLLPVGAINSIIWKIIVYLLVIARNCIFSWFLLKVDFRSLITFPHWTCLSGLSSPQFDDSASSVPNQKSQSLISSDVILALSDESSKQPLETWATSWLYSRSLLLGNLVRFPMFSECFFQVIGAKKQRVAKSGHYPSNGSSDFYPEDSDVADSVNQAFTVNYETKVFLSLPSNAASEEPIQKDIPCVKQEYKVANASLPDKISKLGGLSKEYTLLKDIISSSVNDALSSFGLRTTRGVLLHGPTGTGKTSLAQLCTHDVGVKFFPINGPEIVTQYYGESEQALHKVFDSAIEAAPAVVFIDELDAIAPARKEGGEELSQRLVATLLNMMDGISRTEGLLVIGATNRPDHIEPALRRPGRFDKEIEISVPSPKQRSDILFTLLNEMDHCLSELNIEQLAAVTHGFVGADLAALCNEAALICLRRYACFKKTNDSSSDHIAEKSVLMNGATNSIDHLDEATSSTSDMWATRRTYETMDLIHSGKEDQILKVSFEDFQEARMKIRPSAMREVILEVPKVNWEDVGGQKEVKAQLMEAVEWPQKHHDAFDRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRTLFAKARANAPSIVFFDEIDSLAVTRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNEVDREEIFRIHLRKSPCGSDVSLRELALLTDGCTGADISFICREAAVAAIEESVDGSVISMEHLNMAIKQIQPSDVHSYQKLSTKFQRAVTPVI >Vigun07g143700.1.v1.2 pep primary_assembly:ASM411807v1:7:25441610:25445205:-1 gene:Vigun07g143700.v1.2 transcript:Vigun07g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQYRLGPKNMDYSFLLLLLLFHGSLPHSSGKSSGVCVSQGGRFPPFKSEGSVPKKGPKDLTLCRIFRKKTCCDVTHTHPALMSVRKLATTGEASAECLHLWELLECSICDPRVGTQPGPPLICASLCERIYEACSNAYFSMDVKTQILAPCGVNDFVCGRAAEWVSNGTDLCVAAGFRVRSSDIEFVASEEASCYGDKSSLGSVADSWKTSQFELTKKAESLRMLDDFHQWVREMPFGERVSWAIGGMVLTAGLLFVSKRKSHSQRQKLAAIKRAARKLEGKMVEKPPSNAQEYRRRMSR >Vigun01g052200.1.v1.2 pep primary_assembly:ASM411807v1:1:8706002:8717846:-1 gene:Vigun01g052200.v1.2 transcript:Vigun01g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKTDTLRTYGYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFSLTKILKVMKVDQGMTPEVYLTSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFVLGVAVGLEVMSCRMLLIMSVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSAICLFLPWIFLEKSKMDEHGPWNFPPILLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLVSAILFADTKLTMINLVGYAIAIAGVAAYNNYKLKNEASRATSNDSDPESSQSQESQPLTSR >Vigun01g052200.4.v1.2 pep primary_assembly:ASM411807v1:1:8706002:8717846:-1 gene:Vigun01g052200.v1.2 transcript:Vigun01g052200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKTDTLRTYGYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFSLTKILKVMKVDQGMTPEVYLTSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFVLGVAVGLEVMSCRMLLIMSVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSAICLFLPWIFLEKSKMDEHGPWNFPPILLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLVSAILFADTKLTMINLVGYAIG >Vigun01g052200.2.v1.2 pep primary_assembly:ASM411807v1:1:8706002:8717846:-1 gene:Vigun01g052200.v1.2 transcript:Vigun01g052200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFVLGVAVGLEVMSCRMLLIMSVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSAICLFLPWIFLEKSKMDEHGPWNFPPILLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLVSAILFADTKLTMINLVGYAIAIAGVAAYNNYKLKNEASRATSNDSDPESSQSQESQPLTSR >Vigun01g052200.3.v1.2 pep primary_assembly:ASM411807v1:1:8706002:8717846:-1 gene:Vigun01g052200.v1.2 transcript:Vigun01g052200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKTDTLRTYGYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFSLTKILKVMKVDQGMTPEVYLTSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAVMPVAVFVLGVAVGLEVMSCRMLLIMSVISFGVLVASYGEININWVGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSAICLFLPWIFLEKSKMDEHGPWNFPPILLILNCLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLVSAILFADTKLTMINLVGYAIGAEISLLH >Vigun04g069300.1.v1.2 pep primary_assembly:ASM411807v1:4:8034048:8035851:-1 gene:Vigun04g069300.v1.2 transcript:Vigun04g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSETTEWIMEYHQSRPGLEVLQHQIDDFIIAHEEKLEEERKEKEALAAEGGWTVVVHHKGRKKTTDSETGIAVGSVAQAAMENKMTKKKHKEVGLDFYRFQKRETQRNESLRSCFEVLQKALRESSLVALHCFAVVLPAKTQPLLPFNCLLWTFSSLSQFDLIIHDERSSH >Vigun04g069300.2.v1.2 pep primary_assembly:ASM411807v1:4:8035240:8036987:-1 gene:Vigun04g069300.v1.2 transcript:Vigun04g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSETTEWIMEYHQSRPGLEVLQHQIDDFIIAHEEKLEEERKEKEALAAEGGWTVVVHHKGRKKTTDSETGIAVGSVAQAAMENKMTKKKHKEVGLDFYRFQKRETQRNGKICICF >Vigun06g168350.1.v1.2 pep primary_assembly:ASM411807v1:6:29014424:29014747:1 gene:Vigun06g168350.v1.2 transcript:Vigun06g168350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLLSLKPGTLNSVRQFLRKWLIDHPTFLSNEVYIGGDSYSGIPIPVIVQEISQGKKKLYALQIGSTNHR >Vigun08g216600.1.v1.2 pep primary_assembly:ASM411807v1:8:37696289:37702004:1 gene:Vigun08g216600.v1.2 transcript:Vigun08g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSTTARSSSVSALLRYGGALRRDVLAPISSSHLTKVGEKDSQARWFSILGSDKSSTIESANHPNLRKDLFLGRRCESTAAESSASSSPPAERYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPGLLKEEVDFDIRIQTDKDNGIISITDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGGDNNLIGQFGVGFYSAFLVSDRVVVSTKSPKSDKQYVWEGEANASSYTITEETDPEKMIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDTDEAKKDGQDEKTEKKKKTKTVVEKYWDWELENETQPIWLRNPKEVTKEEYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILFVPAFAPSGKDDIINPKTKNIRLFVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENREDYEKFWENFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEEELIGLDEYVENMKPDQKDIYYIASDSVTSAKNTPFLEKLAEKDLEVLFLIDPIDEVAIQNLKSYKEKNFVDISKEDLDLGDKNEEKEKEMKQEFGQTCDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQSMGDASSLEFMRSRRVFEINPDHAIIRNLDAAYKTNPDDEDALRAIDLLYDAALVSSGFTPDNPAQLGGKIYEMMGMALTGKWSTNDQFQSTVTQPHIPETVEAEVVEPTEAGGQK >Vigun11g012600.12.v1.2 pep primary_assembly:ASM411807v1:11:1503602:1514885:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVELPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.8.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.2.v1.2 pep primary_assembly:ASM411807v1:11:1503183:1514924:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.11.v1.2 pep primary_assembly:ASM411807v1:11:1503602:1514885:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.4.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514910:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.26.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELKEECRASILSYPFPILDPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.30.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVELPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.20.v1.2 pep primary_assembly:ASM411807v1:11:1503792:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.22.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.6.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.3.v1.2 pep primary_assembly:ASM411807v1:11:1503183:1514924:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVELPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.16.v1.2 pep primary_assembly:ASM411807v1:11:1503685:1514910:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.28.v1.2 pep primary_assembly:ASM411807v1:11:1503787:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELKEECRASILSYPFPILDPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.27.v1.2 pep primary_assembly:ASM411807v1:11:1503724:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELKEECRASILSYPFPILDPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.19.v1.2 pep primary_assembly:ASM411807v1:11:1503787:1514911:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.24.v1.2 pep primary_assembly:ASM411807v1:11:1503724:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.5.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.23.v1.2 pep primary_assembly:ASM411807v1:11:1503519:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.25.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELKEECRASILSYPFPILDPIYNSLKVSSQTISPGSVPISSLGQLPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.9.v1.2 pep primary_assembly:ASM411807v1:11:1503269:1514882:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVELPTSLDKSPLTNQTEPHRLRLQKVQSSNPDTILVGNTDNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g012600.29.v1.2 pep primary_assembly:ASM411807v1:11:1503602:1514910:1 gene:Vigun11g012600.v1.2 transcript:Vigun11g012600.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTGGFGVEDDKGAERGMPSFNSELPISNSSYAEGSTIDSFRVSDFGAFDQPYRVEDAVGLSGNNQEESAMADASPRTDISTDVDTDDKNQPFDRNQSLAIVSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQAHTLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGILAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPASSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >Vigun11g008766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1012574:1013453:-1 gene:Vigun11g008766.v1.2 transcript:Vigun11g008766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSIMALVHYNGHITKDQNMCSIYVSEISSYVRLNNYMTLAFLKRTILNLFTVSDGKSYTVDLCYRYPVTMNEFKICYRSMRIEDDNDVRSVIAYAKKYEADVQFEIMAFIREYNNDAPTNMIWELMEKELNDSLNIE >Vigun05g085600.1.v1.2 pep primary_assembly:ASM411807v1:5:8120886:8121823:-1 gene:Vigun05g085600.v1.2 transcript:Vigun05g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALYLDTIFIPLSLFITVSYHVYLCHTIKNNPSRTTYGIDKLKRTTWSLNLNQGDASKAMLTVQSLRNTLMSTILTASITILINLGLAALSNNTYNASHLLSSGFFGSKSDKTFLLKYGSTSICLVMSFMFSSMAIGFLIDANFLMNAYGEFLSGGYTHTILERGFTLALVGSRVLCVAVPLMLWMLGPVAVLMASLVLVFVLHELDFVCKFPHKHNQCIIAK >Vigun08g130300.1.v1.2 pep primary_assembly:ASM411807v1:8:30063613:30064754:1 gene:Vigun08g130300.v1.2 transcript:Vigun08g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDNGQFLKSFTASLNFSRFINQTKGAALQKSSYVYPKFQSSRTEKAMQISGVYVLCDWTNLLCVEFLFQPCIWRVELLQDHPLHHDLLNFFLGCLTVQLMEINLILFIVAAIFCYCFGILRSKDSNSEIGTVPMEDQATIELDTADGGEREAEDNIGQQQVPLLRMVGDGYNWKKYEDKVGKGNENQVSYYKCTHPNCYVKKKVGRTLEGKIVEINYQGTHTHHKLMNNMKRNSSSEYLYSVVTSESVTSDLPDQSLDQYPNEI >Vigun05g178200.2.v1.2 pep primary_assembly:ASM411807v1:5:33344103:33347964:1 gene:Vigun05g178200.v1.2 transcript:Vigun05g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTPKNILITGAAGFIASHVANRLIRSYPQYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICRLFKMDSETSIKFVENRPFNDQRYFLDDEKLKVLGWSERTTWEEGLKKTMDWYINNPNWWGDVSGALLPHPRMLMMPGGLERHLDGTDEEKPASYVSTNTHMVVPSSKNVSSSQKPPLKFLIYGRTGWIGGLLGKLCEKQGISYEYGRGRLEDRTSLVADIQNVKPTHIFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVSKEHGILMINYATGCIFEYDEAHPEGSGIGFKEEDKPNFMGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYIDPSFKWANFNLEEQAKVIVAQRSNNEMDGTKLKTEFPELLSIKESLMKYVFEPNKKTA >Vigun05g178200.1.v1.2 pep primary_assembly:ASM411807v1:5:33344080:33347964:1 gene:Vigun05g178200.v1.2 transcript:Vigun05g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTPKNILITGAAGFIASHVANRLIRSYPQYKIVVLDKLDYCSNLKNLIPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICRLFKMDSETSIKFVENRPFNDQRYFLDDEKLKVLGWSERTTWEEGLKKTMDWYINNPNWWGDVSGALLPHPRMLMMPGGLERHLDGTDEEKPASYVSTNTHMVVPSSKNVSSSQKPPLKFLIYGRTGWIGGLLGKLCEKQGISYEYGRGRLEDRTSLVADIQNVKPTHIFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVSKEHGILMINYATGCIFEYDEAHPEGSGIGFKEEDKPNFMGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYIDPSFKWANFNLEEQAKVIVAQRSNNEMDGTKLKTEFPELLSIKESLMKYVFEPNKKTA >Vigun10g075700.2.v1.2 pep primary_assembly:ASM411807v1:10:20508330:20510322:1 gene:Vigun10g075700.v1.2 transcript:Vigun10g075700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIISKGAIILFSIMMLLFDTTYSVVLESNENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFNEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGMLICTLVLSMLLYMDRMEGFYALQVQNTELEKKREMKRVT >Vigun07g139700.1.v1.2 pep primary_assembly:ASM411807v1:7:24973335:24975554:-1 gene:Vigun07g139700.v1.2 transcript:Vigun07g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESRRLHLLISVLAIVALSFTAEKCRELVGEDGSSQSGKFTILNCFDMGSGSVACAVKEGVKLYFYNMRSSHAERARTLAIESALVDAVGQGMSPKDSAKHAQKEGKKAAKLASRQAKRIIGPIISSGWDFFEAIYYGGTLTEGFLRGTGTLFGAYGGGFLGEQRLGRFGYLVGSHMGSWVGGRIGLMVYDVVNGVHLLVQFVQTGEIVVREKSEPSESSFFGGETPVFDTSEGSGFYEAPPSEESYAYESPPSEESYAYESYRDSEL >Vigun08g014900.2.v1.2 pep primary_assembly:ASM411807v1:8:1268854:1271204:-1 gene:Vigun08g014900.v1.2 transcript:Vigun08g014900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSATVLEIPIASNCKDKVHNSLCSELHRLIDRISLVILDIESARPNCKLAIEALCSLHLTLAKAKSVIKDCSKCSKLYLAITSRRILSRCQKLRNAFELYLTEIQGAVTIPLADKISAILHDLRSAKFCLEFAEEARKVLLSLFEKNFPDEDSMEKEELEAIQIATSRLEIKSAFSVLVEKASIKNQLDEVNETNPKEKELLEYLLYLLIKYRKSICEFEDGDHSPKHDFHDQSFEVVEEALCENQVNDEVGDL >Vigun08g014900.3.v1.2 pep primary_assembly:ASM411807v1:8:1268846:1270881:-1 gene:Vigun08g014900.v1.2 transcript:Vigun08g014900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSATVLEIPIASNCKDKVHNSLCSELHRLIDRISLVILDIESARPNCKLAIEALCSLHLTLAKAKSVIKDCSKCSKLYLAITSRRILSRCQKLRNAFELYLTEIQGAVTIPLADKISAILHDLRSAKFCLEFAEEARKVLLSLFEKNFPDEDSMEKEELEAIQIATSRLEIKSAFSVLVEKASIKNQLDEVNETNPKEKELLEYLLYLLIKYRKSICEFEDGDHSPKHDFHDQSFEVVEEALCENQVNDEVGDL >Vigun08g014900.1.v1.2 pep primary_assembly:ASM411807v1:8:1268844:1271204:-1 gene:Vigun08g014900.v1.2 transcript:Vigun08g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSATVLEIPIASNCKDKVHNSLCSELHRLIDRISLVILDIESARPNCKLAIEALCSLHLTLAKAKSVIKDCSKCSKLYLAITSRRILSRCQKLRNAFELYLTEIQGAVTIPLADKISAILHDLRSAKFCLEFAEEARKVLLSLFEKNFPDEDSMEKEELEAIQIATSRLEIKSAFSVLVEKASIKNQLDEVNETNPKEKELLEYLLYLLIKYRKSICEFEDGDHSPKHDFHDQSFEVVEEALCENQVNDEVGDL >Vigun04g112900.6.v1.2 pep primary_assembly:ASM411807v1:4:28394799:28399032:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGY >Vigun04g112900.5.v1.2 pep primary_assembly:ASM411807v1:4:28396093:28400576:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGSESVKQEGNQRTHV >Vigun04g112900.1.v1.2 pep primary_assembly:ASM411807v1:4:28394800:28401084:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDGSTETHDAFNTFFSEIGSGQYVPRALFVDLEPTVIDEVRCGPYRQLFHPEQLMSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGY >Vigun04g112900.4.v1.2 pep primary_assembly:ASM411807v1:4:28394844:28400576:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDGSTETHDAFNTFFSEIGSGQYVPRALFVDLEPTVIDEVRCGPYRQLFHPEQLMSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGSESVKQEGNQRTHV >Vigun04g112900.3.v1.2 pep primary_assembly:ASM411807v1:4:28396146:28399069:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGY >Vigun04g112900.2.v1.2 pep primary_assembly:ASM411807v1:4:28395860:28399036:1 gene:Vigun04g112900.v1.2 transcript:Vigun04g112900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDAANNFARGHYTVGKEIEELCLERIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHALIEHSDVVVLLDNEAIYDICRRSLELERPTYTNLNRLISQIISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVPEITRAVFEPTSMMVKCDPRHGKYMACCLMYRGDVVPKDVNLAVSNIKTKRQVQFVDWCPTGFKCGINYQAPTVVPDGDLADVKRAVCMISNNTAVAEVFSRIDHKFDLMFAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGDEGVDDEAEGY >Vigun08g093200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21850157:21853316:-1 gene:Vigun08g093200.v1.2 transcript:Vigun08g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSLYNGCNFSNFIPKLGVTIRTNKLYNHGRSYSHNLHHDNHHKSKSSINSWSLSFQIFRQSQSTTPHLSLIVNMAQVASLSAEMETLNHVLSLVEAFRAFDADNDGRITKAELGGILGSLGYNASEQEVGAMMQEGDKNRDGFLSIQEFLEMNTRELEGGSLGSTLSTAFEALDEDGNEILTGEDLHGVMQNLGLDLSLDNCVHLVASLDADGDGAVSLDEFRLIVDSLL >Vigun08g182500.2.v1.2 pep primary_assembly:ASM411807v1:8:35202434:35208395:-1 gene:Vigun08g182500.v1.2 transcript:Vigun08g182500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVARDFGDTMQKEAVPAVSSDVVFASSRFPNYRIGVNNQIMETKDDPKVLSMKEVVARETAQLLEQHNRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKIVDEERAFARAEIEDARAAVQRVEEALQEHERMSQASGKQDMEQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKTRQYLRLQKELTRTKKGGENIPHLYELEGNETLGSYLQIQPCSDNAPEVSKCSIQWYRVSSDGAKKELISGATKSVYAPEPFDVGRVLQADVISESEHVTLSTAGPIDPAAGLGTYVEALVRKHDTEFNVVVTQMNGSPPTESIHVLHVGKMRIKLCKGKTTIAKEYYSSSMQLCGVRGGGNAAAQGVFWQPKQGHSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAHLGTS >Vigun08g182500.1.v1.2 pep primary_assembly:ASM411807v1:8:35202358:35208422:-1 gene:Vigun08g182500.v1.2 transcript:Vigun08g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVARDFGDTMQKEAVPAVSSDVVFASSRFPNYRIGVNNQIMETKDDPKVLSMKEVVARETAQLLEQHNRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKIVDEERAFARAEIEDARAAVQRVEEALQEHERMSQASGKQDMEQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKTRQYLRLQKELTRTKKGGENIPHLYELEGNETLGSYLQIQPCSDNAPEVSKCSIQWYRVSSDGAKKELISGATKSVYAPEPFDVGRVLQADVISESEHVTLSTAGPIDPAAGLGTYVEALVRKHDTEFNVVVTQMNGSPPTESIHVLHVGKMRIKLCKGKTTIAKEYYSSSMQLCGVRGGGNAAAQGVFWQPKQGHSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAHLGTS >Vigun08g182500.3.v1.2 pep primary_assembly:ASM411807v1:8:35202440:35208395:-1 gene:Vigun08g182500.v1.2 transcript:Vigun08g182500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVARDFGDTMQKEAVPAVSSDVVFASSRFPNYRIGVNNQIMETKDDPKVLSMKEVVARETAQLLEQHNRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKIVDEERAFARAEIEDARAAVQRVEEALQEHERMSQASGKQDMEQLMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLAEKTRQYLRLQKELTRTKKGGENIPHLYELEGNETLGSYLQIQPCSDNAPEVSKCSIQWYRVSSDGAKKELISGATKSVYAPEPFDVGRVLQADVISESEHVTLSTAGPIDPAAGLGTYVEALVRKHDTEFNVVVTQMNGSPPTESIHVLHVGKMRIKLCKGKTTIAKEYYSSSMQLCGVRGGGNAAAQGVFWQPKQGHSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAHLGTS >Vigun09g171900.2.v1.2 pep primary_assembly:ASM411807v1:9:34165962:34169140:-1 gene:Vigun09g171900.v1.2 transcript:Vigun09g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRTLCRPHTVFSFISCRHQFRSISFPNPNWKPRLPTPFLYSTIGSWGSNPWFRVNQRRTVAKASNWTEQKSPYETLELEGDADEEQIKIAYRRLAKFYHPDVYDGRGNLEEGETSEARFIKIQAAYELLIDGERRRQYDIDNRVNPMKASQAWMEWLIKKRKAFDQRGDMAIAAWAEQQQRELNLRVRQLSRSKVLWYA >Vigun09g171900.1.v1.2 pep primary_assembly:ASM411807v1:9:34165962:34169140:-1 gene:Vigun09g171900.v1.2 transcript:Vigun09g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRTLCRPHTVFSFISCRHQFRSISFPNPNWKPRLPTPFLYSTIGSWGSNPWFRVNQRRTVAKASNWTEQKSPYETLELEGDADEEQIKIAYRRLAKFYHPDVYDGRGNLEEGETSEARFIKIQAAYELLIDGERRRQYDIDNRVNPMKASQAWMEWLIKKRKAFDQRGDMAIAAWAEQQQRELNLRVRQLSRSKTDPDEARRILAREKKASADYYSNTLKRHTLILKKRDLMRRKAEEEKKKTISRLLAAEGLELDDSDSDEAL >Vigun11g147800.8.v1.2 pep primary_assembly:ASM411807v1:11:35703009:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.5.v1.2 pep primary_assembly:ASM411807v1:11:35703009:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.1.v1.2 pep primary_assembly:ASM411807v1:11:35703009:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.6.v1.2 pep primary_assembly:ASM411807v1:11:35703009:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.4.v1.2 pep primary_assembly:ASM411807v1:11:35702339:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.9.v1.2 pep primary_assembly:ASM411807v1:11:35703009:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.3.v1.2 pep primary_assembly:ASM411807v1:11:35702285:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.7.v1.2 pep primary_assembly:ASM411807v1:11:35702584:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun11g147800.2.v1.2 pep primary_assembly:ASM411807v1:11:35702285:35708168:1 gene:Vigun11g147800.v1.2 transcript:Vigun11g147800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKTRSGSSEVPQKVSPRAVRQLRPTTVDTDSVSSSSQANKSSKERSPKVTDRRSPRSPVVERKRPSKISELESQISQLQNDLKKVMDQLVLSESSKRQAQQDALESKEQLLALSAKFEESQKHVLDLCAAGEGRVLELQKIIDERDMACQSELEASKKQLSVESSALASAMNEIQMLKTELELVANCESAQSQQAKSADTELLNMKQNLSEALSLVETMKNQLRDYKESEAQAQALVSETMLQHEAAKNAIEILRADVAKAVDGYNTIALELDQSKARVNSLEALVSMLQKDPISNKFEHQPEISIEGEGSYDVEAEVVSLKSEVRRLQSAVETAETKYQEEKIQSTVQIRNAYELVEQIKSETGKREGELEAELKRKKADVEELKANLMDKETELQGIVEENENLNTKLQESMASKNEHELKMETKRLEECVAELKSEMMDKETTLQSISEENEMLKMEIKKLSDGGGKVSEEVAAEVEGAKVAEKEALMKLGIVMEEADRSNKKAARVTEQLEAAQAANLEMEAELRRLKVQSDQWRKAAEAAAAMLSSGNNGKITERTVSLDNNNYKCSPYAEDMDDDFQRKKNGNMLKKFGVLWKKPQK >Vigun09g157800.1.v1.2 pep primary_assembly:ASM411807v1:9:32398409:32403482:1 gene:Vigun09g157800.v1.2 transcript:Vigun09g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKDPNSNPGRLDDSDPELELYTIPSSSRWFAWDEIHETERTAFKEFFDASSISRTPKIYKEYRDFIINKYREEPSRRLTFTEVRKSLVGDVTFLHKAFLFLQNWGLINYGAPSAADAEKEEEEEEPCKVRLEEGTPNGIRVAATPNSLKPMLVPRGAKTGGNATAASLKLPPLASYSDIYGDLIRQKEGNCGLCGGKYSSGHYRCTQDNFIICANCFKSGNYGEKRSAEDFVLSESSENSGKHDTVWTEGEILLLLESVLKHGDDWELVAQSVQTKTKLDCISKLIELPFGELMLGPAHRNVNVNSANGIVVNNTKQVQSSSSDYQEISKTKDQPPELTNENEQNGDATKESPSKRQRVTPLSDSSGSLMNQVGLISNVVDPHITAAAADAAVSALCDENLCPREIFDVEEDSARDLEGEGLEVERSSISEIPLPLRVRAATATALGAAAARAKLLADQEVREIEQLVATIIEAQIDKLLHKVKHFDDLELLMEKEHAEVENLKESILTERIDVLRRTFRSGITRWKDYSYVKS >Vigun01g199400.1.v1.2 pep primary_assembly:ASM411807v1:1:37587368:37588885:-1 gene:Vigun01g199400.v1.2 transcript:Vigun01g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLSENGSSGTYGSSEEEMSITKGPWTEEEDSVLFDYITVHGEGHWNSVARYTGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQLMILDLHSRWGNRWSKIAEHLPGRTDNEIKNYWRTRVVKQAKQLKCDVNSKQFRDTLRFEWMPRMLERIQAQASSSSSGQAQTALISNVKAHSDPCGGATATATASNAGLVSEASSAVEVHAPSLSDSGASYSLVGGGSWCSYGAEEGYSRVWEERNYGYSEITGNGFGGVDLWTEENICFLQQQLADDF >Vigun11g166120.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37348077:37348226:-1 gene:Vigun11g166120.v1.2 transcript:Vigun11g166120.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNKENQSAIREFLMGQPKVYPFRTCTM >Vigun03g136100.1.v1.2 pep primary_assembly:ASM411807v1:3:13327957:13331272:1 gene:Vigun03g136100.v1.2 transcript:Vigun03g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDVLAPDSSINGTTKSLSEVFNSKAFISDHVPLVAGENGIGKGEAQNGTENGERKIVLGKNIHTMCLEISEPDIDDEVTGEREAYMASVLAKYKKSLTERTKYHLGYPYNLDFDYEALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKIDTLCSGEIDCDDFKAKLLCHKDKPAIVNVNIGTTVKGAVDDLDLVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRSEHVKALSRNVEYLSSRDATIMGSRNGHAPIFLWYNLNMKGYRGFQKEVQKCLRNAHYFKGRLVDAGIGAMLNELSSTVVFERPHDEEFVHRWQLACEGNIAHVVVMPNVTIEKLDDFLNELVQKREEWFRDGKCQPYCIASAVGHKNCLCAIHR >Vigun03g136100.2.v1.2 pep primary_assembly:ASM411807v1:3:13327475:13331393:1 gene:Vigun03g136100.v1.2 transcript:Vigun03g136100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDVLAPDSSINGTTKSLSEVFNSKAFISDHVPLVAGENGIGKGEAQNGTENGERKIVLGKNIHTMCLEISEPDIDDEVTGEREAYMASVLAKYKKSLTERTKYHLGYPYNLDFDYEALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKIDTLCSGEIDCDDFKAKLLCHKDKPAIVNVNIGTTVKGAVDDLDLVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRSEHVKALSRNVEYLSSRDATIMGSRNGHAPIFLWYNLNMKGYRGFQKEVQKCLRNAHYFKGRLVDAGIGAMLNELSSTVVFERPHDEEFVHRWQLACEGNIAHVVVMPNVTIEKLDDFLNELVQKREEWFRDGKCQPYCIASAVGHKNCLCAIHR >Vigun03g136100.3.v1.2 pep primary_assembly:ASM411807v1:3:13327582:13331282:1 gene:Vigun03g136100.v1.2 transcript:Vigun03g136100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDVLAPDSSINGTTKSLSEVFNSKAFISDHVPLVAGENGIGKGEAQNGTENGERKIVLGKNIHTMCLEISEPDIDDEVTGEREAYMASVLAKYKKSLTERTKYHLGYPYNLDFDYEALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKIDTLCSGEIDCDDFKAKLLCHKDKPAIVNVNIGTTVKGAVDDLDLVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRSEHVKALSRNVEYLSSRDATIMGSRNGHAPIFLWYNLNMKGYRGFQKEVQKCLRNAHYFKGRLVDAGIGAMLNELSSTVVFERPHDEEFVHRWQLACEGNIAHVVVMPNVTIEKLDDFLNELVQKREEWFRDGKCQPYCIASAVGHKNCLCAIHR >Vigun03g136100.4.v1.2 pep primary_assembly:ASM411807v1:3:13328057:13331393:1 gene:Vigun03g136100.v1.2 transcript:Vigun03g136100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDVLAPDSSINGTTKSLSEVFNSKAFISDHVPLVAGENGIGKGEAQNGTENGERKIVLGKNIHTMCLEISEPDIDDEVTGEREAYMASVLAKYKKSLTERTKYHLGYPYNLDFDYEALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKIDTLCSGEIDCDDFKAKLLCHKDKPAIVNVNIGTTVKGAVDDLDLVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRSEHVKALSRNVEYLSSRDATIMGSRNGHAPIFLWYNLNMKGYRGFQKEVQKCLRNAHYFKGRLVDAGIGAMLNELSSTVVFERPHDEEFVHRWQLACEGNIAHVVVMPNVTIEKLDDFLNELVQKREEWFRDGKCQPYCIASAVGHKNCLCAIHR >Vigun03g136100.5.v1.2 pep primary_assembly:ASM411807v1:3:13327740:13331272:1 gene:Vigun03g136100.v1.2 transcript:Vigun03g136100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDVLAPDSSINGTTKSLSEVFNSKAFISDHVPLVAGENGIGKGEAQNGTENGERKIVLGKNIHTMCLEISEPDIDDEVTGEREAYMASVLAKYKKSLTERTKYHLGYPYNLDFDYEALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASQESHYSVFKAARMYRMECVKIDTLCSGEIDCDDFKAKLLCHKDKPAIVNVNIGTTVKGAVDDLDLVIKKLEEAGFSHDRFYIHCDGALFGLMLPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRSEHVKALSRNVEYLSSRDATIMGSRNGHAPIFLWYNLNMKGYRGFQKEVQKCLRNAHYFKGRLVDAGIGAMLNELSSTVVFERPHDEEFVHRWQLACEGNIAHVVVMPNVTIEKLDDFLNELVQKREEWFRDGKCQPYCIASAVGHKNCLCAIHR >Vigun03g285100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46630032:46633107:-1 gene:Vigun03g285100.v1.2 transcript:Vigun03g285100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCLIPQDTVEQKLHIERKLGSVKARKYFDLLQRFLSLRISKSEFDRTCIATIGRENIPLHNHLLKSILRRACISKTIGLRESKIESSLTVKTSNGCSNLQFLCKDFPQSPRKGRTPNLRDRRYRDRPSPLGPHGKNNIGCEDSAPKTHEQQSIVDLQSPGSRLALSVEDEEEVDQDSEIMNLYKRGPIEAPLGIPAYNRRPQKYLSQGLSLGTLSDTCQSIGQLPDTSSLTKRLEQKLETEGCKISIDASNLLNNALDLYLKRLIKPCLDLAASKSVNKFSASIQPGLKELPMRRGVQKPFGSASVSLSDFRTAVELNPTLLGKDWPLNLEKICLRESEE >Vigun03g285100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46629021:46633107:-1 gene:Vigun03g285100.v1.2 transcript:Vigun03g285100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCLIPQDTVEQKLHIERKLGSVKARKYFDLLQRFLSLRISKSEFDRTCIATIGRENIPLHNHLLKSILRRACISKTIGLRESKIESSLTVKTSNGCSNLQFLCKDFPQSPRKGRTPNLRDRRYRDRPSPLGPHGKNNIGCEDSAPKTHEQQSIVDLQSPGSRLALSVEDEEEVDQDSEIMNLYKRGPIEAPLGIPAYNRRPQKYLSQGLSLGTLSDTCQSIGQLPDTSSLTKRLEQKLETEGCKISIDASNLLNNALDLYLKRLIKPCLDLAASKSVNKFSASIQPGLKELPMRRGVQKPFGSASVSLSDFRTAVELNPTLLGKDWPLNLEKICLRESEE >Vigun03g285100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46630073:46633107:-1 gene:Vigun03g285100.v1.2 transcript:Vigun03g285100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCLIPQDTVEQKLHIERKLGSVKARKYFDLLQRFLSLRISKSEFDRTCIATIGRENIPLHNHLLKSILRRACISKTIGLRESKIESSLTVKTSNGCSNLQFLCKDFPQSPRKGRTPNLRDRRYRDRPSPLGPHGKNNIGCEDSAPKTHEQQSIVDLQSPGSRLALSVEDEEEVDQDSEIMNLYKRGPIEAPLGIPAYNRRPQKYLSQGLSLGTLSDTCQSIGQLPDTSSLTKRLEQKLETEGCKISIDASNLLNNALDLYLKRLIKPCLDLAASKSVNKFSASIQPGLKELPMRRGVQKPFGSASVSLSDFRTAVELNPTLLGKDWPLNLEKICLRESEE >Vigun03g285100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46629089:46633107:-1 gene:Vigun03g285100.v1.2 transcript:Vigun03g285100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCLIPQDTVEQKLHIERKLGSVKARKYFDLLQRFLSLRISKSEFDRTCIATIGRENIPLHNHLLKSILRRACISKTIGLRESKIESSLTVKTSNGCSNLQFLCKDFPQSPRKGRTPNLRDRRYRDRPSPLGPHGKNNIGCEDSAPKTHEQQSIVDLQSPGSRLALSVEDEEEVDQDSEIMNLYKRGPIEAPLGIPAYNRRPQKYLSQGLSLGTLSDTCQSIGQLPDTSSLTKRLEQKLETEGCKISIDASNLLNNALDLYLKRLIKPCLDLAASKSVNKFSASIQPGLKELPMRRGVQKPFGSASVSLSDFRTAVELNPTLLGKDWPLNLEKICLRESEE >Vigun03g285100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46629021:46633107:-1 gene:Vigun03g285100.v1.2 transcript:Vigun03g285100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRCLIPQDTVEQKLHIERKLGSVKARKYFDLLQRFLSLRISKSEFDRTCIATIGRENIPLHNHLLKSILRRACISKTIGLRESKIESSLTVKTSNGCSNLQFLCKDFPQSPRKGRTPNLRDRRYRDRPSPLGPHGKNNIGCEDSAPKTHEQQSIVDLQSPGSRLALSVEDEEEVDQDSEIMNLYKRGPIEAPLGIPAYNRRPQKYLSQGLSLGTLSDTCQSIGQLPDTSSLTKRLEQKLETEGCKISIDASNLLNNALDLYLKRLIKPCLDLAASKSVNKFSASIQPGLKELPMRRGVQKPFGSASVSLSDFRTAVELNPTLLGKDWPLNLEKICLRESEE >VigunL059406.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:1212273:1216506:1 gene:VigunL059406.v1.2 transcript:VigunL059406.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLLHRYETGDHVGVYCENLSEIVEEALRLLGLSPDTYFSIHVDGEDGKPLSGSSLPPPFPPCTLRTAIARYADVLSSPKKYVLLALAAHASDPSEADRLRHLASPAGKDEYSEWVTAFQRSLLEVMVEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPRMAPN >Vigun05g210100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40066283:40066567:-1 gene:Vigun05g210100.v1.2 transcript:Vigun05g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMARVLMLVILCTLFITLQARNLHGHPFIPQNNVAEKHHQFHHKYLGLDLSEQHMHLQDAAADVPHKDGNTHRLAPEGPDPHHNFAAPPN >Vigun07g124566.1.v1.2 pep primary_assembly:ASM411807v1:7:23071531:23072299:1 gene:Vigun07g124566.v1.2 transcript:Vigun07g124566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIYVDTDENLRMVLFGFSRYIPYYGLTGPCYLHLNYVGNNVFLHRIFSAEGVEMDYNRNSGSAANTQVPVAAPNFEKELSNYDVKASSLYLDSKFAKECLIKGRKSYKLTNDQAQFWDCKIRWTARSSYECYLTCGWKKFCKENGLAAGDRIRFVVEDEEKGVIHILKN >Vigun06g180400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30030103:30032126:1 gene:Vigun06g180400.v1.2 transcript:Vigun06g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPKRLCTLRVAAYSLSPFTRPQTFLPLTRLLLHARPSSTQPSRTPSEKQFETWVQALKSGFTPSDVAQALQAQSDPDLALDIFRWTAQQRNYKHTSETYLLIIKHLIAGRRYRHAETLIEEVIAGACHDVSVPLYNSIIRFCCGRKFLFNRAFDVYKKMLNSSDCKPDLETYTLLFNSLLRRFNKLHVCYVYLHAVRSLTKQMKASGVIPDTFVLNMIIKAYAMCLEVDEAVRVFREMGLYGCEPNAYSYGYIAKGLCEKGRVSQGLGFYREMRGKGLVPSTSSYVIIVCSLAMERRLEDAIEVVFDMLGQSRSPDHLTYKTVLEGLCREGRVDDAFELLDEWKKRDASMGEKIYTSLLNELHFSCRK >Vigun10g027200.1.v1.2 pep primary_assembly:ASM411807v1:10:3289826:3296293:-1 gene:Vigun10g027200.v1.2 transcript:Vigun10g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGEEGNNLEFTPTWVVAVVCSVIVAASFAAERFLHYGGKFLKKKNQKPLYEALLKIKEELMLLGFISLLLTVTQNGIIKICVPESWTLHMLPCSLKDKEEKKSAKLTEHFQTFFSFTHIRHLLADNEGEGHQPTAAEKLGHCARKGKVPLLSVEALHHLHIFIFVLAIVHVTFCVLTVVFGGLKIRQWKHWENSIGDGNNGTPDLESTVTHVQDHAFIQNRFTGFGKDSTFLGWLKSFFKQFYGSVTKLDYVTLRLGFIMTHCRGNPKFNFHKYMIRALEDDFKKVVGISWYLWIFVVIFMLLNVHGWHTYFWISFVPLILLLAVGTKLEHVIIQLAHEVAEKHSAIEGELVVQPRDDHFWFHRPHIVLFLIHFILFQNAFEIAFFFWILFTYGFDSCIMGRVRYIIPRLVIGVFIQVLCSYSTLPLYAIVTQMGTHFKKAIFDEQVQARLVGWAQKAKKKGLRGDNNGQSVQGNAQVGAGIQLGQVFKRPSAQEDNVIVPRDEGHE >Vigun04g125300.1.v1.2 pep primary_assembly:ASM411807v1:4:31719519:31723366:-1 gene:Vigun04g125300.v1.2 transcript:Vigun04g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISLSLSSSTHTWIYDVFLSFRGEDTRFQFTHNLYHSLCEAGIHTFIDQEGLRIGEEITPVLFHAIQNSRISIIVFSKSYASSTYCLNELVRILECAKEEGPSIYPIFYDVDPSEVRHQTGTYAEALSKLETRFHNDADNEKVQKWRKALHEAANLSGWHYQHGSQPEYEFIRKIVQAISRKINYIPLYVADNPIGLEYALEGVKSLLEDGSEINMIGIYGIGGIGKTTIARAVYNNIFWGFQGSCFLPDIREKAINKHGIVQLQELVLSEILKEKDIKVGDVNRGIPLIKRRLQQKKVLLVLDNVDKLEQLKALAGGYDWFGSGSIIIITTRDKHLLDAHGVVNLYEVKPLHVEKALELFNWHAFRSDKVGPPYMSISNRAVSYACGLPLALEVIGSHLFGKSLDECHSALDKYESIPHRKIHEILKVSYDGLEENEKGIFLDIACFFNNCELGNVTPMLKAHGFYAEDGLRVLADRSLIKINSSDFVRMHDLIRDTGREIVRQESTLEPGRRSRLWFNQDIVHVLEGNTGSDKIEFIKLEGYNNIQVQWNGKALKKMKNLRILIVEDATFSTSPEHLPNSLRVLDWSCYPSPSLPSDFNPKRFEIILMPESSLLMFEPQKMLESLSIINLEDCKFLTNLPSLREASLLTTLRLDRCCNLVNIDESIGFLDKLRLLSAKGCTKLKTLAPRIMLTSLETLDLAMCYNLESFPEVLGKMEKIKTIYLDDTDIEKLPFSIGNFVGLELLSLKGCERLHQLPGSICMMPKVRVVIGYGHETYNFFEKELSSDVSPMAMLIGGSNLYLDVYYPYMNPNNGIQVCSPNPLMHSDFNLLFSKLRREEDWYRRCRVSVMHFSFRKKFPKIALCCSLFFPAMKRVMIMTFNFRVYINDTLQFSGMCNFMFRGYEKILWCDLEGKVERVFSEQEWNRAEIAFELDFPMRRNTRNGITTNSIGRGNLSWSLIGVYEEGNNKEDIEFEDPMSIFPLSNTQPLSSLSSSLHYVVSLGFRQGWVDMD >Vigun09g273200.1.v1.2 pep primary_assembly:ASM411807v1:9:43422685:43425724:1 gene:Vigun09g273200.v1.2 transcript:Vigun09g273200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAENSKGLVLAVASGVFIGASFILKKKGLKQAATHGTRAGIGGYSYLLQPFWWAGMVTMFIGEVANFVAYIYAPALLVTPLGALSIIVSAVLAHFLLKEKLQQMGILGCVFCIVGSVLIVIHAPQEHALNSVQEIWDLATQPLFLVYVTVTVSVILALILHFEPRYGQKNMLVYLGICSLMGSLTVMSTKAIGIAIKLTLEGISQLTYPQTWFFLAVAIICIITQLNYLNKALDTFNTAIVSPVHYVMFTTLTIIASVIMFKDWSDQSASSIASEICGFIIVLSGTILLHATREQEQPNMRGSLTWYIGEDLVKGIEDERLNLIIHSSDYVEQ >Vigun05g019400.1.v1.2 pep primary_assembly:ASM411807v1:5:1576218:1579900:1 gene:Vigun05g019400.v1.2 transcript:Vigun05g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKRNAVLAIALVVLAVAAARVEAQENSDVKTLVKYKRGKKYCDKGWECKGWSIYCCNLTITDYFQTYQFENLFSKRNTPVAHAVGFWDYHSFIAAAALFEPQGFGTTGNKTMQMMEIAAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPMQSYCDEYFKLTYPCTPGAEYYGRGAIPIFWNYNYGAVGEALKVDLLSHPEYIEQNATLAFQAAIWRWMTPIKKYQPSAHDAFVGNWKPTKNDTMENRVPGFGTTMNILYGDGVCGQGDVDTMNNIVSHYMYYLDLLGVGREEAGPHELLTCAEQVPFNPSSKAASST >Vigun11g177900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38223983:38224108:1 gene:Vigun11g177900.v1.2 transcript:Vigun11g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILSELFFSGCMINSTVRRRTHLVQSFSVAFLYWLYYVS >Vigun07g104900.1.v1.2 pep primary_assembly:ASM411807v1:7:19206586:19212201:1 gene:Vigun07g104900.v1.2 transcript:Vigun07g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRLVRVKRDAIAACMTCPLCNKFLKEATAISLCLHTFCRKCIYDKITDEELENCPVCNIDLGIVPLEKMRPDNSLQDLRNKIFPFNKRKQKAAVAIPSVLLPARRKERSLSSLVVSTPRVSTQSTMTGRRTKPTRKALGLQGSGFSVEKLIKKEEELLEDRQDSSCSPDTSNKSAKSAGQSLSPCKNSQSICNRGLQNGAETQEAKWDLWKTLNYLAEAASRSKSFKSNVQASNAKPESVKVNDTDTKVLKAKIKENKRKAKVADEKISTDHVSPDTAKPNKLRRVRPKKEHAFGESRISPQAVLDANDSNLLWNDSIWFSLAASENQEGDAPLPQIPSSYVRIKDGSIPVSFIQKLLMKKLGLKSEDEVEIKCMGHPVLPSLQVQNLVELWLDTASTGHRIPATIGSSGKDFVMILTYGRKVQQPSS >Vigun05g198200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38373558:38376234:1 gene:Vigun05g198200.v1.2 transcript:Vigun05g198200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFREYAINVTSDTLTVTFIPSNGSAVAFINAIEVVSMPNDLFVDQALALNPTAPFNGLSELAFETVYRLNVGGPLLTPQNDTLGRTWENDQKYLHVNSSVTNVSVNPSSIKYHPGVTPETAPNWVYATSEAMGDANVANSNFNITWVFTVDPNFSYFIRVHFCDIFSKSLNTLVFNLFINTDIALGSLDLSSITNDLSVPYYKDFVSNASADSNILTVSVGPDTMADITNATMNGLEVIKISNAFKSLDGVSSVESLLPSSSSSKSKKGIIIGSSIGVLAVIALLGLCYCCMVRYKSKSTQQGHSWLPLPLYGNSQTMTKMSTTSQKSATASCISLASTNLGRFFTVQEILDATNKFDEKLLLGVGGFGRVYKGTLEDGTYVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMVLVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASLSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDQNLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETSSALMEPEDNSTNHITGIQLTRLEPFDNSVNIIDGGNSFTDDDAEDAATSAVFSQLVNPRGR >Vigun05g198200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38373558:38376414:1 gene:Vigun05g198200.v1.2 transcript:Vigun05g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMKLVKWVSFVFVVYYLFLVNGSSATFTPNDNYLITCGSSQSITFQDRTFVPDSQHSSPELKTSNSVVASSNSSVPFPIYQSARIFTEKASYRFRVDEGRHWLRLYFYPLTNSAHNLTEAVITVVTDDFVLLCNFSFRNYNGSYMFREYAINVTSDTLTVTFIPSNGSAVAFINAIEVVSMPNDLFVDQALALNPTAPFNGLSELAFETVYRLNVGGPLLTPQNDTLGRTWENDQKYLHVNSSVTNVSVNPSSIKYHPGVTPETAPNWVYATSEAMGDANVANSNFNITWVFTVDPNFSYFIRVHFCDIFSKSLNTLVFNLFINTDIALGSLDLSSITNDLSVPYYKDFVSNASADSNILTVSVGPDTMADITNATMNGLEVIKISNAFKSLDGVSSVESLLPSSSSSKSKKGIIIGSSIGVLAVIALLGLCYCCMVRYKSKSTQQGHSWLPLPLYGNSQTMTKMSTTSQKSATASCISLASTNLGRFFTVQEILDATNKFDEKLLLGVGGFGRVYKGTLEDGTYVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMVLVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGASLSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDQNLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETSSALMEPEDNSTNHITGIQLTRLEPFDNSVNIIDGGNSFTDDDAEDAATSAVFSQLVNPRGR >Vigun05g048500.1.v1.2 pep primary_assembly:ASM411807v1:5:4172016:4173264:-1 gene:Vigun05g048500.v1.2 transcript:Vigun05g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGNGASSFTSAVSLDQLGVSSIPQRYVLPPSQRPSPHLPISTTLPIIDLSTLRDQSLVSKSTINEIRIACKEIGCFQVINHGIDQSVMDEALKVSTEFFNLPSDEKMRLFSEDVHKPVRYGTSLNQARDEVYCWRDFIKHYSHPISDWIHMWPSNPSNYRESMGKYVKAVQILQNQLLEMIFESLGLNRSYLHEEINGGSQTLAVNCYPACPEPGLTLGIHPHSDYGSITVLLQTRSGLEIKDKNNNWMAVPFVEGALVVQLGDQMEVMSNGQYKSVIHRATVNGDEKRFSIVSLHSFAMDTKMGPAMELVDDQHPKSYKEFCFREFLDFISCNDITKGRFVDTLKLNKS >Vigun07g081700.2.v1.2 pep primary_assembly:ASM411807v1:7:11601055:11603539:1 gene:Vigun07g081700.v1.2 transcript:Vigun07g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWCGESVGEKQVVAADKDHIWSKKVEEGGLNLNTVECLRGRLLAERHASRVAKMEEESMGNKLVELEKLLREETKLRDKAERRLKFLKNKVGSFGIPSKSGQLERSDSSEKCENSRGSSWISSLSKHSEENEKKHGAKIPALPENVDHSDNVSEASTLIQNHNGPSSTRDCDSQNTDDFSSNSYPQSLGENPNLSYGNLQKDENRFSSLSSRSSSVTENESNDGYVCDNSLALVPVTVTPTSQATSNQKPITVSVIEALEALRHARERLQSSMRTRQMIHVGPI >Vigun07g081700.1.v1.2 pep primary_assembly:ASM411807v1:7:11601055:11603539:1 gene:Vigun07g081700.v1.2 transcript:Vigun07g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWCGESVGEKQVVAADKDHIWSSKKVEEGGLNLNTVECLRGRLLAERHASRVAKMEEESMGNKLVELEKLLREETKLRDKAERRLKFLKNKVGSFGIPSKSGQLERSDSSEKCENSRGSSWISSLSKHSEENEKKHGAKIPALPENVDHSDNVSEASTLIQNHNGPSSTRDCDSQNTDDFSSNSYPQSLGENPNLSYGNLQKDENRFSSLSSRSSSVTENESNDGYVCDNSLALVPVTVTPTSQATSNQKPITVSVIEALEALRHARERLQSSMRTRQMIHVGPI >Vigun02g008700.1.v1.2 pep primary_assembly:ASM411807v1:2:3627438:3633859:-1 gene:Vigun02g008700.v1.2 transcript:Vigun02g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGVHGTSIRTSTTCTALLRELEQIWNDIGESEVDKDRMLMELERECLEVYRRKVDEAANTKARFHQTVAAKEAELATLMASLGEHDIHSPIKIDKRSSSLKEKLASITPLVEELKKRKDERLKQFDDVKNQIEKISGEIFGFHSVSNSLSITTVEDEQDLSHRRLNEYQTHLRTLQKEKSDRLQKVLQCVNEVHSLCSVLGLDFGQTVGDVHPSLHGTQVEQSTNISNSTLEGLEQTILKLKIERKTRIQKLKDVVAKLFELWNLMDSSKEDRNCFMGITSIVGMSESEITDRGVLSTEMIEKASAEVDRLTKLKASRMKELVFKKRSELEEICRLTHIEPDTSTAAEKAGALIDSGLVDPSELLANIEAQIVKVKDEALSRKEVTDRIDKWLSACEEENWLDEYNQDDNRYSAGRGAHINLKRAERARITVAKIPAMVDNLINKTLSWEDEKKTHFLYDGVRLVSILDDYKLARQQKEEEKRRHRDHKKMQDLLLNQKEAIYGSKPSPRKNNSFRKTNSYRANGNGSMPPTPRRNSLSGGTTSELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTISYASLCGSEPDSPPQV >Vigun03g223200.1.v1.2 pep primary_assembly:ASM411807v1:3:37105661:37110011:-1 gene:Vigun03g223200.v1.2 transcript:Vigun03g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIEAGRPFGSRRGLANGKQDPTQAVAAGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASDIDHHAEVNASKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQAVLPSSYTAGELGVGSDKSPEERALLVESRRQEVLFLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKSQLLKASKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >Vigun02g121400.2.v1.2 pep primary_assembly:ASM411807v1:2:27370298:27379358:-1 gene:Vigun02g121400.v1.2 transcript:Vigun02g121400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKMTIVSECFCVILLLFLCSSVVCVKYNTGAGIVPGKLNVHLVAHSHDDVGWLKTVDQYYVGSNHSIQAACVENVLDSVVMSLQRDQNRKFVFAEMAFFHRWWVEQSPETQAQVTKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHRFIKNQFNKVPRAGWQIDPFGHSAVQAYLLGAQLGFDSVHFARIDYQDREKRIADKALEVVWRGSNTFGSSEQIFSNTFPVHYGPPKGFHFEVNDDFVPIQDDPLLFDYYVEQRVQDFIAAAITQANMTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHHVNKDGRVNALYSTPSIYTDAKNAANQQWPLKIDDYFPYADNPHDYWTGYYTSRPAFKRYVRILSGYYLAARQLEFFAGKKFTVSTLDLGDALAIAQHHDAVSGTAKQHTTDDYAKRLAIGASRAEDVVSSSLYCLTSKKCTTPTPAFSQCQLLNISYCPPTEDSIPQAKSLVLVVYNPLGWNRTDIVRIPVNDANLVVKDSLGNNVQTQYIEVDSVTANLREIYVKAYLGVSPKQAPKYWLLFQASVPPLGWSTYFISKPEKKVESRKGFLSHLTTRQRNDTVEVGPGNLKLSFSSESGQLIRMHNSRTGVDVPIQQSYLWYNSSDSGAYTFQPESPPIIVSKSVPFKIIRGPLVDEVHQNFSSWIYQITRVYKDKEHAEIEYTIGSIPTEDGVGKEVITQLTTNMLTNKEFYTDSNGRDFLKRVRDYRADWPLKVTEPVAGNYYPLNLGIYIKDNKSELSILVDRATGGGSIKDGQVELMLHRRLLSDDGKGVDENLAEQVCQNNNCQGLKVRGNYHVGIHNLRAGSQWRRTTGQEIYSPLLLAFSQENMGNWKAFHETKGTLIDPNYSLPPNVALITLEELDDGMVLLRLAHLYESGEDAQLSTLTKVELKKMFITKTVQKTLASLNIH >Vigun02g121400.1.v1.2 pep primary_assembly:ASM411807v1:2:27370298:27379358:-1 gene:Vigun02g121400.v1.2 transcript:Vigun02g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKMTIVSECFCVILLLFLCSSVVCVKYNTGAGIVPGKLNVHLVAHSHDDVGWLKTVDQYYVGSNHSIQAACVENVLDSVVMSLQRDQNRKFVFAEMAFFHRWWVEQSPETQAQVTKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHRFIKNQFNKVPRAGWQIDPFGHSAVQAYLLGAQLGFDSVHFARIDYQDREKRIADKALEVVWRGSNTFGSSEQIFSNTFPVHYGPPKGFHFEVNDDFVPIQDDPLLFDYYVEQRVQDFIAAAITQANMTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHHVNKDGRVNALYSTPSIYTDAKNAANQQWPLKIDDYFPYADNPHDYWTGYYTSRPAFKRYVRILSGYYLAARQLEFFAGKKFTVSTLDLGDALAIAQHHDAVSGTAKQHTTDDYAKRLAIGASRAEDVVSSSLYCLTSKKCTTPTPAFSQCQLLNISYCPPTEDSIPQAKSLVLVVYNPLGWNRTDIVRIPVNDANLVVKDSLGNNVQTQYIEVDSVTANLREIYVKAYLGVSPKQAPKYWLLFQASVPPLGWSTYFISKPEKKVESRKGFLSHLTTRQRNDTVEVGPGNLKLSFSSESGQLIRMHNSRTGVDVPIQQSYLWYNSSDSGAYTFQPESPPIIVSKSVPFKIIRGPLVDEVHQNFSSWIYQITRVYKDKEHAEIEYTIGSIPTEDGVGKEVITQLTTNMLTNKEFYTDSNGRDFLKRVRDYRADWPLKVTEPVAGNYYPLNLGIYIKDNKSELSILVDRATGGGSIKDGQVELMLHRRLLSDDGKGVDENLAEQVCQNNNCQGLKVRGNYHVGIHNLRAGSQWRRTTGQEIYSPLLLAFSQENMGNWKAFHETKGTLIDPNYSLPPNVALITLEELDDGMVLLRLAHLYESGEDAQLSTLTKVELKKMFITKTIKQLTEVSLSANQERSTMKKKTWKVEGDKGQESKAVRGGPVSQITLVVELGPMEIRTFLLKF >Vigun11g110200.1.v1.2 pep primary_assembly:ASM411807v1:11:31151953:31156165:-1 gene:Vigun11g110200.v1.2 transcript:Vigun11g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESVKTGSDGKVWSFCSMPFWQTTHPSSSSSTSSMHNSVHHQSQILQSLDRSTHQPSTNVSSVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAIAIKNTCKSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFKFVEPPENNEKPIDQKSRVKFKIMSLKVKGEMDYVPELFDEQRDHVAVEQILRVIFLDPERPSPALDKLKRQLAEAEAALEARKKPQEETGPRVAGEGLVIDEWKERRERYLAKQQVEVVDSVCMIRSRTLSCFYGIKDEARKCFRFENAFSQMAFHSKLAVFFMNRMIDLVFLVNFGMDLILA >Vigun11g110200.2.v1.2 pep primary_assembly:ASM411807v1:11:31153493:31156165:-1 gene:Vigun11g110200.v1.2 transcript:Vigun11g110200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESVKTGSDGKVWSFCSMPFWQTTHPSSSSSTSSMHNSVHHQSQILQSLDRSTHQPSTNVSSVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAIAIKNTCKSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFKFVEPPENNEKPIDQKSRVKFKIMSLKVKGEMDYVPELFDEQRDHVAVEQILRVIFLDPERPSPALDKLKRQLAEAEAALEARKKPQEETGPRVAGEGLVIDEWKERRERYLAKQQVEVVDSV >Vigun11g168000.2.v1.2 pep primary_assembly:ASM411807v1:11:37486656:37492368:1 gene:Vigun11g168000.v1.2 transcript:Vigun11g168000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHFSPKGNETGYCTSPCAQLEESTSVDVGMKKSASEDMFSNFSELMNFDTYAGWSNSSSMTYQSLANVFSSFSSASYPPSDGLNLVEHGNVPCFMTEVSEIHNGMESSPSCEERGIFQQMDIQLGFLDEANDSNSFDSKQNRNGSYQQLNTSDMCNYLISRSSGRPLDDRMLRALSFFMESVDEGMLAQVWVPIKHGDEFILSTSEQPYLLDPKLAGYREVSRAFTFSAEGKKGSCPGLPARVFASHVPEWTSNVGYYNKNEYLRLDHAMSHEIRGSIALPVSDMHSQEPCAVLELVTTKEKPNFDRELEIVTHALQLVNLKTTMPPRLLPQCLSSNKRAALTEIVDVLRAVCHAHRLPLALTWIPCCYSEGIGDEAERIRIKEGHTSSDEKCVLCVEESASYINDGAIGGFVHACVEHHLEEGQGIAGKALQSNHPFFYTDVKTYDISEYPLVHHARKYNLNAAVAIRLRSTFTNDDDYILEFFLPVNMTGSSEQQLLLDNLSGTMRRICRSLRTVSDAEITGIEGSVAGFPKEKITYFFPMSRRNSQIAFINDDHDSVQKMSLKASNNGIEDAHGQVMNGPRKQIEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPYTGGFIAGGSLMQEIDTHKYILFPEKSAVKDPKQATQKPVSVVSAPGSTSENSTIKLDDDDGVCLVGNKIVDSRSVLLSHTSEGGLKKDNASSGDCCEDSKSVAKAKNQDCPEQTCSISLVTDEAEVGVDGGEGIEEHNHLNSSSTTNSSNGSGSMMHGSSSCSQSFENQKHSKVKSTCVDSESKMIVKASYRGDTIRFKFDPSAGCLQLYEEVATRFKLQNGSFKLKYLDDEEEWVMLENESDLQECVEILHDIGTRCVKFLVRDVACVLSSCGSNNCFLSESS >Vigun11g168000.1.v1.2 pep primary_assembly:ASM411807v1:11:37487166:37492369:1 gene:Vigun11g168000.v1.2 transcript:Vigun11g168000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHFSPKGNETGYCTSPCAQLEESTSVDVGMKKSASEDMFSNFSELMNFDTYAGWSNSSSMTYQSLANVFSSFSSASYPPSDGLNLVEHGNVPCFMTEVSEIHNGMESSPSCEERGIFQQMDIQLGFLDEANDSNSFDSKQNRNGSYQQLNTSDMCNYLISRSSGRPLDDRMLRALSFFMESVDEGMLAQVWVPIKHGDEFILSTSEQPYLLDPKLAGYREVSRAFTFSAEGKKGSCPGLPARVFASHVPEWTSNVGYYNKNEYLRLDHAMSHEIRGSIALPVSDMHSQEPCAVLELVTTKEKPNFDRELEIVTHALQLVNLKTTMPPRLLPQCLSSNKRAALTEIVDVLRAVCHAHRLPLALTWIPCCYSEGIGDEAERIRIKEGHTSSDEKCVLCVEESASYINDGAIGGFVHACVEHHLEEGQGIAGKALQSNHPFFYTDVKTYDISEYPLVHHARKYNLNAAVAIRLRSTFTNDDDYILEFFLPVNMTGSSEQQLLLDNLSGTMRRICRSLRTVSDAEITGIEGSVAGFPKEKITYFFPMSRRNSQIAFINDDHDSVQKMSLKASNNGIEDAHGQVMNGPRKQIEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPYTGGFIAGGSLMQEIDTHKYILFPEKSAVKDPKQATQKPVSVVSAPGSTSENSTIKLDDDDGVCLVGNKIVDSRSVLLSHTSEGGLKKDNASSGDCCEDSKSVAKAKNQDCPEQTCSISLVTDEAEVGVDGGEGIEEHNHLNSSSTTNSSNGSGSMMHGSSSCSQSFENQKHSKVKSTCVDSESKMIVKASYRGDTIRFKFDPSAGCLQLYEEVATRFKLQNGSFKLKYLDDEEEWVMLENESDLQECVEILHDIGTRCVKFLVRDVACVLSSCGSNNCFLSESS >Vigun11g168000.4.v1.2 pep primary_assembly:ASM411807v1:11:37487166:37492341:1 gene:Vigun11g168000.v1.2 transcript:Vigun11g168000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALSFFMESVDEGMLAQVWVPIKHGDEFILSTSEQPYLLDPKLAGYREVSRAFTFSAEGKKGSCPGLPARVFASHVPEWTSNVGYYNKNEYLRLDHAMSHEIRGSIALPVSDMHSQEPCAVLELVTTKEKPNFDRELEIVTHALQLVNLKTTMPPRLLPQCLSSNKRAALTEIVDVLRAVCHAHRLPLALTWIPCCYSEGIGDEAERIRIKEGHTSSDEKCVLCVEESASYINDGAIGGFVHACVEHHLEEGQGIAGKALQSNHPFFYTDVKTYDISEYPLVHHARKYNLNAAVAIRLRSTFTNDDDYILEFFLPVNMTGSSEQQLLLDNLSGTMRRICRSLRTVSDAEITGIEGSVAGFPKEKITYFFPMSRRNSQIAFINDDHDSVQKMSLKASNNGIEDAHGQVMNGPRKQIEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPYTGGFIAGGSLMQEIDTHKYILFPEKSAVKDPKQATQKPVSVVSAPGSTSENSTIKLDDDDGVCLVGNKIVDSRSVLLSHTSEGGLKKDNASSGDCCEDSKSVAKAKNQDCPEQTCSISLVTDEAEVGVDGGEGIEEHNHLNSSSTTNSSNGSGSMMHGSSSCSQSFENQKHSKVKSTCVDSESKMIVKASYRGDTIRFKFDPSAGCLQLYEEVATRFKLQNGSFKLKYLDDEEEWVMLENESDLQECVEILHDIGTRCVKFLVRDVACVLSSCGSNNCFLSESS >Vigun11g168000.3.v1.2 pep primary_assembly:ASM411807v1:11:37487166:37492341:1 gene:Vigun11g168000.v1.2 transcript:Vigun11g168000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHFSPKGNETGYCTSPCAQLEESTSVDVGMKKSASEDMFSNFSELMNFDTYAGWSNSSSMTYQSLANVFSSFSSASYPPSDGLNLVEHGNVPCFMTEVSEIHNGMESSPSCEERGIFQQMDIQLGFLDEANDSNSFDSKQNRNGSYQQLNTSDMCNYLISRSSGRPLDDRMLRALSFFMESVDEGMLAQVWVPIKHGDEFILSTSEQPYLLDPKLAGYREVSRAFTFSAEGKKGSCPGLPARVFASHVPEWTSNVGYYNKNEYLRLDHAMSHEIRGSIALPVSDMHSQEPCAVLELVTTKEKPNFDRELEIVTHALQLVNLKTTMPPRLLPQCLSSNKRAALTEIVDVLRAVCHAHRLPLALTWIPCCYSEGIGDEAERIRIKEGHTSSDEKCVLCVEESASYINDGAIGGFVHACVEHHLEEGQGIAGKALQSNHPFFYTDVKTYDISEYPLVHHARKYNLNAAVAIRLRSTFTNDDDYILEFFLPVNMTGSSEQQLLLDNLSGTMRRICRSLRTVSDAEITGIEGSVAGFPKEKITYFFPMSRRNSQIAFINDDHDSVQKMSLKASNNGIEDAHGQVMNGPRKQIEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPYTGGFIAGGSLMQEIDTHKYILFPEKSAVKDPKQATQKPVSVVSAPGSTSENSTIKLDDDDGVCLVGNKIVDSRSVLLSHTSEGGLKKDNASSGDCCEDSKSVAKAKNQDCPEQTCSISLVTDEAEVGVDGGEGIEEHNHLNSSSTTNSSNGSGSMMHGSSSCSQSFENQKHSKVKSTCVDSESKMIVKASYRGDTIRFKFDPSAGCLQLYEEVATRFKLQNGSFKLKYLDDEEEWVMLENESDLQECVEILHDIGTRCVKFLVRDVACVLSSCGSNNCFLSESS >Vigun11g168000.5.v1.2 pep primary_assembly:ASM411807v1:11:37487166:37492341:1 gene:Vigun11g168000.v1.2 transcript:Vigun11g168000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALSFFMESVDEGMLAQVWVPIKHGDEFILSTSEQPYLLDPKLAGYREVSRAFTFSAEGKKGSCPGLPARVFASHVPEWTSNVGYYNKNEYLRLDHAMSHEIRGSIALPVSDMHSQEPCAVLELVTTKEKPNFDRELEIVTHALQLVNLKTTMPPRLLPQCLSSNKRAALTEIVDVLRAVCHAHRLPLALTWIPCCYSEGIGDEAERIRIKEGHTSSDEKCVLCVEESASYINDGAIGGFVHACVEHHLEEGQGIAGKALQSNHPFFYTDVKTYDISEYPLVHHARKYNLNAAVAIRLRSTFTNDDDYILEFFLPVNMTGSSEQQLLLDNLSGTMRRICRSLRTVSDAEITGIEGSVAGFPKEKITYFFPMSRRNSQIAFINDDHDSVQKMSLKASNNGIEDAHGQVMNGPRKQIEKKRSTVEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPYTGGFIAGGSLMQEIDTHKYILFPEKSAVKDPKQATQKPVSVVSAPGSTSENSTIKLDDDDGVCLVGNKIVDSRSVLLSHTSEGGLKKDNASSGDCCEDSKSVAKAKNQDCPEQTCSISLVTDEAEVGVDGGEGIEEHNHLNSSSTTNSSNGSGSMMHGSSSCSQSFENQKHSKVKSTCVDSESKMIVKASYRGDTIRFKFDPSAGCLQLYEEVATRFKLQNGSFKLKYLDDEEEWVMLENESDLQECVEILHDIGTRCVKFLVRDVACVLSSCGSNNCFLSESS >Vigun07g148600.1.v1.2 pep primary_assembly:ASM411807v1:7:25927463:25931903:1 gene:Vigun07g148600.v1.2 transcript:Vigun07g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTTATVPAGPPAKNHSFTQHRSHSERHRHSHGHFPTKTILITISLVTLVTVLFVIFVVLFLIRRQKSSTKSGSCKEDSTELHDTSSRLITSSTLNSSPEVKSGCLHGGNLSRTPASTFRGVQVFTYRELEIATDGFSERNVIGGNGGHGLMYRGVLSDGTLAAIKLLRSEGKQGERAFRIEVDLLSRLHSPYLVELLGYCADQHHRLLIFEYMPDGTLHHHLHSPNDQTQPLDWWARMRIALDCARALEFLHEHAVSPVIHRDFKTNNVLLDQNFRAKVSDFGLAKMGSEKRNGQVSTRVLGTTGYLAPEYATGKLTTKSDVYSYGVVLLELLTGRVPVDIKRAPGEHVLVSWALPRLTNREKVIEMVDPALRGQYSKKDLIQIAAIAAMCIQPEADYRPLMTDVVQSLIPLVKNPSSLSSSSLRFQKQTTKSRSSLEIN >Vigun06g080500.1.v1.2 pep primary_assembly:ASM411807v1:6:21252706:21254767:-1 gene:Vigun06g080500.v1.2 transcript:Vigun06g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSTTLFLSLLLSFFAFFSQSESKSHPPKVSIDLYYETLCPDSSDFIVNHLPKIFTTDLIPIVHLKFVPWGNAKIRPNTTTFDCQHGPNECFHNTVQACAIDIWPELDKHFRFIYCVEDLVNQRRVYEWESCYKKLHLDSEPIKQCYNSERGKQLELHYAAETNALKPPHKYVPWVVVDGEPLYEDYENLLSYICKAYKGTETPKSCTKVSYLEEVKAKNEHSDCDKEREDDTWRKMSSTLSAWFHKMILGDAF >Vigun02g000077.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17146:23530:1 gene:Vigun02g000077.v1.2 transcript:Vigun02g000077.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun06g148000.1.v1.2 pep primary_assembly:ASM411807v1:6:27286272:27289929:-1 gene:Vigun06g148000.v1.2 transcript:Vigun06g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGKGGSLLSKGGLGSMKGKDDSATKSVKGRRVQFSKDGPYESGISSSSPISLKSSGKGGKGDKVANGGKSSQSKDSQSSEHRVDQKLPENIKCLMDCEAADVLQGIQDQMVMLSRDSSIKMPTSFDKGLQYARSTSKYTNAQSVRLVLEPLANNGLNDCEICVIGNVCPETADEVFALLPTLKGKKNVDKEVLKDSLIELAKLRQSM >Vigun06g148000.2.v1.2 pep primary_assembly:ASM411807v1:6:27286272:27289929:-1 gene:Vigun06g148000.v1.2 transcript:Vigun06g148000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGKGGSLLSKGGGKGDKVANGGKSSQSKDSQSSEHRVDQKLPENIKCLMDCEAADVLQGIQDQMVMLSRDSSIKMPTSFDKGLQYARSTSKYTNAQSVRLVLEPLANNGLNDCEICVIGNVCPETADEVFALLPTLKGKKNVDKEVLKDSLIELAKLRQSM >Vigun03g315400.1.v1.2 pep primary_assembly:ASM411807v1:3:50939034:50940847:-1 gene:Vigun03g315400.v1.2 transcript:Vigun03g315400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGNESGRTARMAKEVERKNINDWLPITKSRNAKWWYSAFHNVTAVVGAGVLGFPYAMSELGWGPGVTLLILSWICTLYTAWQMIEMHEPEPGKRLDRYHELGQYAFGEKLGLWIVVPQQLMVQIGVNVVYMITGGNSLMKIHDIVCDGCTPIRRTYFIMIFAAVQFVLSHFPSFNSVSVVSFAAAVMSLSYSTIAWATSLHRGVQPGVQYGSRFSTDAGTIFGIFGALGTIAFGYAGHNVVLEIQATIPSTPEKPSKKAMWKGMIVAYVVVALCYFPVATLGYWAFGNAVDDNILLSLEKPRWLIVAANLFVFVHVTGSYQVFGVPVFDTLESFLVKQMKFQPTWYLRFITRNCYVLLTFFLGVTFPFFGGLLGFFGGFVFAPTTYFLPCVMWLVIYKPKRFSLSWWANWFCIVFGLLLMVLAPIGALRQIILEAKDYKFYS >Vigun11g149100.1.v1.2 pep primary_assembly:ASM411807v1:11:35893283:35922617:1 gene:Vigun11g149100.v1.2 transcript:Vigun11g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNVGKGKRGLAEHNHMIFDKKSLNCGVANGGVSSGSSTEDNPRFNKLSQDGNNRLRGLIQINGSLQVSQQPPQGPVICWERFLPVRSIKVLLVEDDDSTRHVVRALLRNCSYEVTAVSNGLQAWKVLEDPENCIGLVLTEVAMPILSGIGLLCKIMNHKTLKNIPVIMMSSHDSMGIVFKCLSKGAVDFLVKPIRRNELKNLWQHVWRRCHSSSGSGSESATNTRKSTKSRSNDASENNSDSNEENDYGSKGLSIRDGSDNGSGTQSSWTKCLAQVGSPHPLSPHKQLVDAPDSTCAQVMQTKTEKVSSRWVHATEKECLELIDHLDDVAMGKDLAVGISLSMQLEHRLEGLSSHPMGKGANKMSDDDDMQIVKGQSNACEKGELECNGGKTRTQENQTMNVIDVTDSNSPQAESRDLNTPNGFSGFSLTKENCCPKEHPSLELSLKRLGEVRDPKNVTGEECNVLRHSDQSAFSKYNTASSANQAQTRNVGSCSPLANGSAAPNAEVIHNFPSHSNVTPPNQQSNGSNNINDMASTNTYLGTKPCTFDKMPESVRGLGSFNSSERRTLPNNSKKTYAREEYMEIVRGQLGSSEHEFQAEHTHYEHHHYNHISRKAAVDLQSEHDLLLKSSTNTAQQCVSSNAFGGPAESNAANYTIDGNAGESDHGSNGHGSNGQDGTLTMRTVNMENGNVASGSFGVGGIDRKNIGNGADEGRLSLREAALTKFRQKRKERCFEKRVRYHSRKKLAEQRPRIRGQFVRRIVSETKEEKDHQSDNLVPGDHTDIPQ >Vigun05g000800.1.v1.2 pep primary_assembly:ASM411807v1:5:90813:93196:-1 gene:Vigun05g000800.v1.2 transcript:Vigun05g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFVKNGELRLPPGFRFHPTDEELVVQYLKRKVFSCPLPASVIPEFDVCKSDPWDLPGDLEKERYFFSTKEPKYPNGNRSNRATSSGYWKATGLDKQILTSKGNQVVGMKKTLVFYRGKPPHGSRTDWIMHEYRLLNSPSQAPMENWVLCRIFLKRRSGGRNGEEKEMESLRGKVDSRKVRKLKMVFYDFLAQSKTDCSSSAGSGITHESDENEESSSSNTFPYVRRKP >Vigun01g106850.1.v1.2 pep primary_assembly:ASM411807v1:1:27842960:27843582:1 gene:Vigun01g106850.v1.2 transcript:Vigun01g106850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSDECSKVPVSKVFLVRVPDKGSSFRWRGSVPMWLKGLTLEGENVRNPSVSLSPTLTSIEKVEHHIRIKAHKPIALRFWVESGVNVLCGWAQVSLVLFSPVKPPL >Vigun10g108200.1.v1.2 pep primary_assembly:ASM411807v1:10:30741623:30743255:-1 gene:Vigun10g108200.v1.2 transcript:Vigun10g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNLFITLLVFFLLSSSFVSSYSGNDVQLWCNQTPNPQPCEYFLTNSPTQQNKKINQKSDFLQLSLQLVQERALIAHANTLSLASKCRNPRETAAWTDCVELYEQTILKLNKTLDPNTKSSQVDTQTWLSTALTNLETCKAGFYELGVQDYVLSFMSNNVTQLLSNTLALNKVEYQEPSYRDWFPTWVKPGDRKLLQASSPASKANVVVAKDGSGKYTTVSAAINAAPVSSGGRYVIYVKSGVYNEQVEIKAKNIMLVGDGIGKTIITGSKSVGGGTTTFSSATVAVVGDGFIAQDITFRNTAGAANHQAVALRSGSDLSVFYRCSFEGYQDTLYVYSDRQFYRECDIYGTVDFIFGNAAVVFQNCNLYARNPPNRINTITAQGRSDPNQNTGISIHNSRVTAASDLSPVQSSVKTYLGRPWKQYSRTVFMKSYLDSLINGEGWLEWSGNFALSSLYYGEYMNTGPGSSTTNRVKWLGYHAITSASEASQFSVGNFIAGNSWLPETTVPFTSGL >Vigun08g059350.1.v1.2 pep primary_assembly:ASM411807v1:8:7681291:7681676:-1 gene:Vigun08g059350.v1.2 transcript:Vigun08g059350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun02g028321.1.v1.2 pep primary_assembly:ASM411807v1:2:10384071:10385121:-1 gene:Vigun02g028321.v1.2 transcript:Vigun02g028321.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVEVVMVGLVVVAMALVEVVVVAVVGVVVVLVVVAVEVVGLVVMVGVMVVGVVVAVGVVVVAVVGVAVVVVAVVVVGVVDYSLVSVQGLGFMVVVVVAVVVVKLVALVVVMVVVVVVVELVVVVVVIVVVVVMMVVVGVVVVAVEVVVGVVAVGVVVVAWVVVAVVMVVVVVVVAVAVVVVVVSIAVVVLALVIMVVVVIVVVVVVVVMVVVVVVVMVVLGVVVVALVEVVVVAVVGVAVVAVVVVMVVVVVVVVVVAMEVVGLVVMVGVMVVGVVVAMGVVMAIVGVAVVVVAVVVDGVVDYSLVSYKQV >Vigun04g147100.4.v1.2 pep primary_assembly:ASM411807v1:4:36260529:36262810:1 gene:Vigun04g147100.v1.2 transcript:Vigun04g147100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKAMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIGAGKSDKEIYKKLEDEFGETVLYTPKFDMQTAALWLSPLQEDGLTRSINKRLMSISWLWTLLEVFH >Vigun04g147100.2.v1.2 pep primary_assembly:ASM411807v1:4:36260124:36263440:1 gene:Vigun04g147100.v1.2 transcript:Vigun04g147100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKAMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIGAGKSDKEIYKKLEDEFGETVLYTPKFDMQTAALWLSPLQEDGLTRSINKRLMSISWLWTLLEVFH >Vigun04g147100.1.v1.2 pep primary_assembly:ASM411807v1:4:36260124:36263440:1 gene:Vigun04g147100.v1.2 transcript:Vigun04g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKAMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIGAGKSDKEIYKKLEDEFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun04g147100.3.v1.2 pep primary_assembly:ASM411807v1:4:36260529:36262810:1 gene:Vigun04g147100.v1.2 transcript:Vigun04g147100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDDAVKKAMIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIGAGKSDKEIYKKLEDEFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun04g147100.5.v1.2 pep primary_assembly:ASM411807v1:4:36261979:36263012:1 gene:Vigun04g147100.v1.2 transcript:Vigun04g147100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIRDEIGAGKSDKEIYKKLEDEFGETVLYTPKFDMQTAALWLSPVLIAAAAAGGWAYKKHKQKTNVHIMALDLVRGVSLTPKEKETMLDILTPPPSQGARTPFWWRR >Vigun03g252300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41873376:41875193:-1 gene:Vigun03g252300.v1.2 transcript:Vigun03g252300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATFSPKHSSSKYGVRSISLPTRSHPSTARSEEELSKLKSLEASSSSSSSSSTSKVETICCGLSGLSELYKCIEDLLRLPLTQQALGQHQNEKWVNEMLDCPVRFLDLLGITRDAILLMKGSVEELQSAIRRRVGDCDMENHLSTYWKLRRNMRKECTKSLLLLKQMDDELSGASLPLDLNDHLSAVVRVLREANWITSSIFQTLVVFLSSPILKLKANKWAFVSRLMQKGVFAYNNHEENINELEKVDLIVDNLSRDAEAEKIQSAHGRLEALVIAIEGIENGLECLFKRLINTRVSFLNIFSP >Vigun05g008700.1.v1.2 pep primary_assembly:ASM411807v1:5:730099:732453:-1 gene:Vigun05g008700.v1.2 transcript:Vigun05g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLSVILPRVLIVSRRSVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHMLLDSFEPIHGVLLCEGEDIDPSWYEEDTSGLSQEELDEIRRLHVSDTAIDKEKDSIELSLAKLCLERNIPYLGICRGSQILNVACGGTLYQDIGKELSVKCAENKRVTHINYDNYDGHRHDVEVVENTPLHHWFKDSLEDGKMDIWVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRKPDSEEFDYPGCPFAYKEFVKAVVAYQKKLNNLTTVQKPVKLNKEMENKRKIIVRSFSHAKNLYNAGRGTTSPRDSELEIGAEFLESNTALSVQQENRLKQMGATVRNARSYKERVKLNEEREKMARGVMRKMSVEQLSELLSFYHTMGQICSEVLDLKIHGLVTPQ >Vigun02g132200.1.v1.2 pep primary_assembly:ASM411807v1:2:28310505:28316935:-1 gene:Vigun02g132200.v1.2 transcript:Vigun02g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKDKMNNEELSVESPTSVLEDEDVCAPKDELKLEEELIADVIDDGSSLVSKTMAEEEVKLLNARIKEEEMQCEEAPDLNDRQFNKLDELLTQTKLYSEFLLEKMDDIALNVGEQVKEEEEESKPSAKRGRGSKRKAASQCNTRRAKRAVAAMLTRSKEGEGTIDMKMTEEERVQKEQKELMPLLTGGELKPYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLSHLKAKGLDGPYMIIAPLSTLSNWVNEISRFAPSLPTIIYHGNKKERDEIRKKYMPRTIGPKFPIVITSYEIALYDAKKYLRHYSWKYLVVDEGHRLKNSQCKLVKELKYITVENKILLTGTPLQNNLAELWSLLNFILPDIFASLEEFESWFNLSVKGNNEATREELEEKRRFQVVAKLHAILRPFLLRRMKSDVEIMLPKKKEIILYANMTEHQKNLQDHLVNKTLGNYLKEKMSSGRPLPGSLVRNLAIQLRKVCNHPDLLDSACDDTSFYPPVNEIVEQCGKFHLLDRLVQRLFARNHKVLVFSQWTKVLDIMDYYFSEKGFEVCRIDGSVKLDERKRQLQDFNDENSNCRVFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLSTAQSIEDRMLRRAFSKLKLEHVVIGKGQFHQERKAVNTDEIEEEDVLALLRDDETAEDKMIRTDISDEDLEKVLDRSDLIVNNKGEAPCSSFPLKGPGWEVVIPTASGGVLSTLNS >Vigun07g137700.8.v1.2 pep primary_assembly:ASM411807v1:7:24761030:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCSIRVFVFGLGSLIKFFLLCLLMDNLSL >Vigun07g137700.5.v1.2 pep primary_assembly:ASM411807v1:7:24761030:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKYDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLISDGGTCRLSVMVGIRNDPGKTIDSVTVQFQLPPFILSADLTSNHGTVTILAKKVLQISLPSSVFHIDN >Vigun07g137700.3.v1.2 pep primary_assembly:ASM411807v1:7:24761524:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCEIYGEVQVNSRISGFPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLISDGGTCRLSVMVGIRNDPGKTIDSVTVQFQLPPFILSADLTSNHGTVTILAKKTCIWSIGRIPKDKAPSMSGLLVIESGLERLHVFPSFQVGFRIMGVALSGLQIDKLDLKNAAAYRFYKGFRALTRAGQFDVRS >Vigun07g137700.1.v1.2 pep primary_assembly:ASM411807v1:7:24761030:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCEIYGEVQVNSRISGFPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLISDGGTCRLSVMVGIRNDPGKTIDSVTVQFQLPPFILSADLTSNHGTVTILAKKTCIWSIGRIPKDKAPSMSGLLVIESGLERLHVFPSFQVGFRIMGVALSGLQIDKLDLKNAAAYRFYKGFRALTRAGQFDVRS >Vigun07g137700.7.v1.2 pep primary_assembly:ASM411807v1:7:24761030:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCSIRVFVFGLGSLIKFFLLCLLMDNLSL >Vigun07g137700.6.v1.2 pep primary_assembly:ASM411807v1:7:24761017:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCEIYGEVQVNSRISGFPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRYKILLSVAWIKL >Vigun07g137700.2.v1.2 pep primary_assembly:ASM411807v1:7:24761030:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDAGEVVLEKQLSGHRVDRSICAWFWEQAVSLGDSFKQQPVITSHSHYIFQVFRDGITFLGCTQIEMPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCEIYGEVQVNSRISGFPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLISDGGTCRLSVMVGIRNDPGKTIDSVTVQFQLPPFILSADLTSNHGTVTILAKKVLQISLPSSVFHIDN >Vigun07g137700.4.v1.2 pep primary_assembly:ASM411807v1:7:24761017:24763517:1 gene:Vigun07g137700.v1.2 transcript:Vigun07g137700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMAIEFLCRVADVLNDYFGALNEDIIKDNFVIVYELLDEMIDNGFPLTTEPSILREIINPPNVVNKALSIVTGSNSNVSDALPRATASCIPWRTAEPKYSNNEVYVDLVEEMDAIINRDGGLLKCEIYGEVQVNSRISGFPDLTLSFTNPSILDDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVRKLKSTPIYVKPQLISDGGTCRLSVMVGIRNDPGKTIDSVTVQFQLPPFILSADLTSNHGTVTILAKKTCIWSIGRIPKDKAPSMSGLLVIESGLERLHVFPSFQVGFRIMGVALSGLQIDKLDLKNAAAYRFYKGFRALTRAGQFDVRS >Vigun06g062400.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19048220:19051494:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.3.v1.2 pep primary_assembly:ASM411807v1:6:19048220:19051494:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYTCTLYDSFAFSNHPPFNSISFSLKTYFSFPMGETQEVQHPHETSFTIHMGESQEVQLSKIANGVQETNSLEGNKFGGPMNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.1.v1.2 pep primary_assembly:ASM411807v1:6:19048220:19051494:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYTCTLYDSFAFSNHPPFNSISFSLKTYFSFPMGETQEVQHPHETSFTIHMGESQEVQLSKIEANGVQETNSLEGNKFGGPMNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19048220:19051495:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.2.v1.2 pep primary_assembly:ASM411807v1:6:19048220:19051494:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEVQHPHETSFTIHMGESQEVQLSKIEANGVQETNSLEGNKFGGPMNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19048220:19051495:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun06g062400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19048220:19049954:-1 gene:Vigun06g062400.v1.2 transcript:Vigun06g062400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESMTMSKKKVYYRWFRIAIHSALMLVSSSAAILLGRLYYEKGGKSKWMGTLVQLCGFPIMLPYYFITAPKNLTTNSSIHPNQPSASMLAFVYVSIGLLLAFDCYLYAIGLWYLPVSTYSLICSSQLAFNAFFSYFLNSLKFTPYIVNSLVLLTISATLLVFQNESSSDNSDSTQTSKKKYVIGFICTVGASAGYGLWLSLTQLVFKKVMKRETFKVVIDMIMYTSLVATCVTVVGLFASGEWNGLRNEMNEYELGRVSYVLNLTFTATLWQLFTIGCFGLITEVSSLFSNAISALGVPIVPMLAVVVFHDKMHGIKAISMVLAIWGIISYVYQQYIDDTTSENTVTSHVPIASVPQEEVHR >Vigun01g087300.1.v1.2 pep primary_assembly:ASM411807v1:1:24546012:24550408:1 gene:Vigun01g087300.v1.2 transcript:Vigun01g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNSTNIFWQDCQIGRAERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELYSRGKLSYVLDGDNLRHGLNKDLGFKAEERTENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRSMLPDANFIEVFMNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKQDGGLCPTPTVMAGQVVTYLEKEGFLEH >Vigun01g087300.2.v1.2 pep primary_assembly:ASM411807v1:1:24544900:24550408:1 gene:Vigun01g087300.v1.2 transcript:Vigun01g087300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNSTNIFWQDCQIGRAERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELYSRGKLSYVLDGDNLRHGLNKDLGFKAEERTENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRSMLPDANFIEVFMNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKQDGGLCPTPTVMAGQVVTYLEKEGFLEH >Vigun10g075300.1.v1.2 pep primary_assembly:ASM411807v1:10:20358965:20368211:-1 gene:Vigun10g075300.v1.2 transcript:Vigun10g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQENSSTFEKKILSLKARIRYLIHRYEALTFIAALVVSYTFMEIAVLAIMMDYLTNALEVQNQRIAAIVTNLQDALSSLFFVVVSLISQTYTGSFTMITFCAAASIEGLMLLWTSTSPSAFGAVFAAIVFLGIGKSGQKLSENFLEYQLEEKMKTKKQGEEDGSTQDRNERSLGHTIFINIWLFAPLVVGYIITICLVFIFYDVTLEDLFRFAALLMGATNLLFLIGSLGYSRQELPVESDLSKIHRIFSTALGKLSSKYPTSPNSYYWKGYKQQHTYSRGEGVRLLPRVPRLFRWLDKAAIIKEEEEEKEDSRHDRESLETQERKKKICTVKDVRDVKSLVHMIYLGLTIFPYSLLMASGNTFFVAQASALTPVMNKKGNDISILFLIKAVATDMSNFTCFLISLAFRNKQKPRVTYVMFERKAATITRIGFGITCAVICGFIAWQVEIRRLSSKVDTTVALVPQFLLLGVTQGLVDGGLERLFDDHVAKSLSSFEDSFSELVVGGGKLLIIPSVLIFSGWIKETIDTSHLDRYYLMLAIMNAVLLLAFAYYSVTYAYKEVCPEDEKVTTEQRLDHAHQPDSENTYQENTPPFP >Vigun07g126800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23355667:23357883:1 gene:Vigun07g126800.v1.2 transcript:Vigun07g126800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKINIYRSNYAVKLDLVWKTKGLVAAENFFSGLPLPAKNKYTYGALLNCYCKELMKDKALNHFDKMDELGYVTSLAFSNLMNLYMRSGEPQKVPHLVELMKRRSLPLCSVTYQLWMNSCASSGDLDGVERIYEEMKKTEDQIDWQTYSNLAAIYVKVKDFEKAEMMLKELEKQAKPGQRDAYYCLLGLYARTGNLEEVHRVWNSLKSVSPVTNLSYLVMLCTLRRLNDIEGLTKCFNEWEASCVSYDVRLAGVCVSAYLGHNMVEEAALVFEGASRKSKRPLFKIEEMFMLYFLEKRQLDDAVRYLEAALSEEVNGHKWHPPRQVVVAFLKCYEEETDMDGADELCKILKAKNFDDSRIKTLLMRPKQIVGNL >Vigun07g126800.1.v1.2 pep primary_assembly:ASM411807v1:7:23355667:23357883:1 gene:Vigun07g126800.v1.2 transcript:Vigun07g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATFHGAESPEKRPNLYRMLSESLYMTGGSVSKILDNYIKQGKAITEIELKICVEQLRRNLRFQHALQIIEWMERKKINIYRSNYAVKLDLVWKTKGLVAAENFFSGLPLPAKNKYTYGALLNCYCKELMKDKALNHFDKMDELGYVTSLAFSNLMNLYMRSGEPQKVPHLVELMKRRSLPLCSVTYQLWMNSCASSGDLDGVERIYEEMKKTEDQIDWQTYSNLAAIYVKVKDFEKAEMMLKELEKQAKPGQRDAYYCLLGLYARTGNLEEVHRVWNSLKSVSPVTNLSYLVMLCTLRRLNDIEGLTKCFNEWEASCVSYDVRLAGVCVSAYLGHNMVEEAALVFEGASRKSKRPLFKIEEMFMLYFLEKRQLDDAVRYLEAALSEEVNGHKWHPPRQVVVAFLKCYEEETDMDGADELCKILKAKNFDDSRIKTLLMRPKQIVGNL >Vigun01g173500.1.v1.2 pep primary_assembly:ASM411807v1:1:35542954:35549661:1 gene:Vigun01g173500.v1.2 transcript:Vigun01g173500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYAPSNARNSAGVLAVVGGRTISENHGGRLFSLKIQESKYVFGSSRKCGSIQVKCSTNSHSISRKDPFLDLHPEVSMLRGEGGSALNNPRPRKDVSGGKVAESLEATMNPSNYNEAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDIQAMRMSPVIPQNRLQIGEELTRGLGAGGNPEIGMNAAKESKESIQEAVYGADMVFVTAGMGGGTGTGGAPVIAGITKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPTQGSQLIQGETIGINRRSSSFTDGGFVEIPEFLKKKGRSRYPRV >Vigun01g173500.2.v1.2 pep primary_assembly:ASM411807v1:1:35542917:35549661:1 gene:Vigun01g173500.v1.2 transcript:Vigun01g173500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYAPSNARNSAGVLAVVGGRTISENHGGRLFSLKIQESKYVFGSSRKCGSIQVKCSTNSHSISRKDPFLDLHPEVSMLRGEGGSALNNPRPRKDVSGGKVAESLEATMNPSNYNEAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDIQAMRMSPVIPQNRLQIGEELTRGLGAGGNPEIGMNAAKESKESIQEAVYGADMVFVTAGMGGGTGTGGAPVIAGITKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPTQGSQLIQGETIGINRRSSSFTDGGFVEIPEFLKKKGRSRYPRV >Vigun02g123100.1.v1.2 pep primary_assembly:ASM411807v1:2:27537983:27539451:1 gene:Vigun02g123100.v1.2 transcript:Vigun02g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMERTEIDTRAPFKSVKEAVMLFGEKVLVGEIYANKLKEMQVEGSAQTRGGAMAAELEETKQSLEGAREEASMLVQRIKTLKKELEQTKKELEDTKAREKRLLQGRDDPEIEDLKFIANATNVETKTPSNEESVEFQKRRYVKFASPHALAHVIPNRGELLGRPPSVKKTKRKPLMPLIGWLFSKKKGSHEIDSPRP >Vigun07g143000.1.v1.2 pep primary_assembly:ASM411807v1:7:25374299:25376022:1 gene:Vigun07g143000.v1.2 transcript:Vigun07g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPEDCVSKILSYTSPPDACRFSMVSSTLHSAADSDLLWKSFCPSDYHRILARVLHPHTLDSSSYKNLFFSLCHPLLLDGGNMSFKLEKCSGKKSYILSARQLSIAWSSDPLYWCWRTVPESRFKEVIELRMVSWLEIRGGIWTRVLTANTWYAVYLIMKISHRKYGLDRVACEVSVAVGEKVQSGKVYLCEKDEKKGKKGSVLKMEEEEVMGVAEKREDGWREIKVGEFFSGENDEQVTMSLMELGYKLKGGLVVEGMEIRPKHHRS >Vigun03g280300.1.v1.2 pep primary_assembly:ASM411807v1:3:45848134:45861158:1 gene:Vigun03g280300.v1.2 transcript:Vigun03g280300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASENCSVKVALHIRPLIADERQQGCIECVSVTPGKPQIQIGSHSFTFDNVYGNGGSPSVDMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGYNDNCRIGLIPQVMNALFNKVETLKHQTEFQLRVSFIEILKEEVRDLLDTVSLGKSDTSNSNGHSGKITVPGKSPIQIRETANGIITLAGITEISVSTLHEMSAYLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLHSVSTTNDSSDEDMGEEYLSAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADVNAEETLNTLKYANRARNIQNKPVVNRDLISNEMQQMRQQLKYLQAELCSRGAAPSDEVRVLKERIAWLEATNEYLYRELHEYRSRCAFVERCEIDEPADRNIYLMKADGLERRFQSLDSSDHPMVGSISGEDSRETDEEEKELEHVLLQNTMDKEMNELNKRLEQKESEMKLIGVDTEALKQHFGKKIVELEEEKRKVQEERDRLWHEVENLATNSDGLAHKTQDVRGQKLKALEAQILDLKKKQESQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKMKQEAEQFRQWKASREKELLQLKKEGRKNEYERHKLEALNHRQKMVLQRKTEEATMATKRLKELLEARKSSPRDNSVYSNGHLHPGLVNEKSLQKWLDQEVEVMVHVHEVRAEFDKQNQVQAALEEELALLKQDQFSDELSVPKGKSKYLRLLSMSPDAKVERIASLENMLCMSSVALKAMASQLTEAEERERTLNNRGRWNQLRSMGDAKNVLQYLFSATAEARCQLLAKNMELNDLKEQLNELVALLQQSEARRKELVKEEKIREQAVAITLNTPALENSRSLKHLADEMSDPLSPMSLPAPKQLKFTPGVVNWSVTESATFLNEARKMIPIGELSTKRLAAMGQAGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQALINVM >Vigun03g280300.3.v1.2 pep primary_assembly:ASM411807v1:3:45850625:45861158:1 gene:Vigun03g280300.v1.2 transcript:Vigun03g280300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILKILKEEVRDLLDTVSLGKSDTSNSNGHSGKITVPGKSPIQIRETANGIITLAGITEISVSTLHEMSAYLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLHSVSTTNDSSDEDMGEEYLSAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADVNAEETLNTLKYANRARNIQNKPVVNRDLISNEMQQMRQQLKYLQAELCSRGAAPSDEVRVLKERIAWLEATNEYLYRELHEYRSRCAFVERCEIDEPADRNIYLMKADGLERRFQSLDSSDHPMVGSISGEDSRETDEEEKELEHVLLQNTMDKEMNELNKRLEQKESEMKLIGVDTEALKQHFGKKIVELEEEKRKVQEERDRLWHEVENLATNSDGLAHKTQDVRGQKLKALEAQILDLKKKQESQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKMKQEAEQFRQWKASREKELLQLKKEGRKNEYERHKLEALNHRQKMVLQRKTEEATMATKRLKELLEARKSSPRDNSVYSNGHLHPGLVNEKSLQKWLDQEVEVMVHVHEVRAEFDKQNQVQAALEEELALLKQDQFSDELSVPKGKSKYLRLLSMSPDAKVERIASLENMLCMSSVALKAMASQLTEAEERERTLNNRGRWNQLRSMGDAKNVLQYLFSATAEARCQLLAKNMELNDLKEQLNELVALLQQSEARRKELVKEEKIREQAVAITLNTPALENSRSLKHLADEMSDPLSPMSLPAPKQLKFTPGVVNWSVTESATFLNEARKMIPIGELSTKRLAAMGQAGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQALINVM >Vigun03g280300.4.v1.2 pep primary_assembly:ASM411807v1:3:45852513:45861158:1 gene:Vigun03g280300.v1.2 transcript:Vigun03g280300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIACISPADVNAEETLNTLKYANRARNIQNKPVVNRDLISNEMQQMRQQLKYLQAELCSRGAAPSDEVRVLKERIAWLEATNEYLYRELHEYRSRCAFVERCEIDEPADRNIYLMKADGLERRFQSLDSSDHPMVGSISGEDSRETDEEEKELEHVLLQNTMDKEMNELNKRLEQKESEMKLIGVDTEALKQHFGKKIVELEEEKRKVQEERDRLWHEVENLATNSDGLAHKTQDVRGQKLKALEAQILDLKKKQESQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKMKQEAEQFRQWKASREKELLQLKKEGRKNEYERHKLEALNHRQKMVLQRKTEEATMATKRLKELLEARKSSPRDNSVYSNGHLHPGLVNEKSLQKWLDQEVEVMVHVHEVRAEFDKQNQVQAALEEELALLKQDQFSDELSVPKGKSKYLRLLSMSPDAKVERIASLENMLCMSSVALKAMASQLTEAEERERTLNNRGRWNQLRSMGDAKNVLQYLFSATAEARCQLLAKNMELNDLKEQLNELVALLQQSEARRKELVKEEKIREQAVAITLNTPALENSRSLKHLADEMSDPLSPMSLPAPKQLKFTPGVVNWSVTESATFLNEARKMIPIGELSTKRLAAMGQAGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQALINVM >Vigun03g280300.2.v1.2 pep primary_assembly:ASM411807v1:3:45848607:45861158:1 gene:Vigun03g280300.v1.2 transcript:Vigun03g280300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGYNDNCRIGLIPQVMNALFNKVETLKHQTEFQLRVSFIEILKEEVRDLLDTVSLGKSDTSNSNGHSGKITVPGKSPIQIRETANGIITLAGITEISVSTLHEMSAYLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLHSVSTTNDSSDEDMGEEYLSAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADVNAEETLNTLKYANRARNIQNKPVVNRDLISNEMQQMRQQLKYLQAELCSRGAAPSDEVRVLKERIAWLEATNEYLYRELHEYRSRCAFVERCEIDEPADRNIYLMKADGLERRFQSLDSSDHPMVGSISGEDSRETDEEEKELEHVLLQNTMDKEMNELNKRLEQKESEMKLIGVDTEALKQHFGKKIVELEEEKRKVQEERDRLWHEVENLATNSDGLAHKTQDVRGQKLKALEAQILDLKKKQESQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKMKQEAEQFRQWKASREKELLQLKKEGRKNEYERHKLEALNHRQKMVLQRKTEEATMATKRLKELLEARKSSPRDNSVYSNGHLHPGLVNEKSLQKWLDQEVEVMVHVHEVRAEFDKQNQVQAALEEELALLKQDQFSDELSVPKGKSKYLRLLSMSPDAKVERIASLENMLCMSSVALKAMASQLTEAEERERTLNNRGRWNQLRSMGDAKNVLQYLFSATAEARCQLLAKNMELNDLKEQLNELVALLQQSEARRKELVKEEKIREQAVAITLNTPALENSRSLKHLADEMSDPLSPMSLPAPKQLKFTPGVVNWSVTESATFLNEARKMIPIGELSTKRLAAMGQAGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQALINVM >Vigun04g145100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35988417:35991089:1 gene:Vigun04g145100.v1.2 transcript:Vigun04g145100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNRLSGEFALIFQNLSGCAKNVLQDLKLGGNNINGTFSDLSGFSTLKILDLSENQLSGEIPEGNQLPSQLESLSVESNTLEGGIPKSFGNACALRLLDLSNNSLSEEFPVIVHHLSGCTRNSLEILSLAMNQINGTLPDLSIFSSLKDLNLAGNKLNGEFSNDIQFPHHLEELYLRSNFLKGVLSDYPFSNMSKLNSLDLSGNSLLALTFSPNWVPPFQLWNVRLQSCILGPTFPNWLHTQNEFRGLDISNAGISNKVPKWFWTKLALRKWISLNISSNSLYGTIPYFPTKNPYSSLILGSNQFEGPIPPFLRSSTLLDLSKNKFSDFLSFLCVGNPIGILYQLDLSNNSLSGQIPDCWSHFKSLAFLDLSQNQLSGKIPTSMGSLFDLQALILRNNNLTGDIPFFLSSCTNLVMIDVAENNLSGSIPTWIGSKLGNLQYLSLRRNHFQGNLPLQICYLRNIQLLDLSLNNLSGQIPKCIKNFTSMAQKASSKSDHWYEVETTRFVGPQNYDLNTFLTWKGSEHMFKNNGLLLLKSIDLSSNYFLGEIPKEFEILIELISLNLSRNNLSGKIPPNIGKLTSLEFFDISRNQLIGSIPISLTQIDRLSMLDLSHNHLVGKIPKSTQLQSFNASCYEDNLNLCGPPLDKVCISILSSDEKRKENQEDDYSFFNREFYISMPFGFIISFWMVIGLMIFRSSNS >Vigun07g192100.1.v1.2 pep primary_assembly:ASM411807v1:7:31064206:31068500:-1 gene:Vigun07g192100.v1.2 transcript:Vigun07g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLTTLSKKIEVDTIIRDTFDKVVVLRFGRASDLTCLQQDHILSKAARDVSKFATVALVDVDSEDIQVYVKYFDITLIPSTVFFFNAHHMKMDYGTADHTKWVGAFLSKQDFIDVVEAIFRGAMKGKLIVNSPLPPERIPKFQILYKDV >Vigun07g192100.2.v1.2 pep primary_assembly:ASM411807v1:7:31064206:31068500:-1 gene:Vigun07g192100.v1.2 transcript:Vigun07g192100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLTTLSKKIEVDTIIRDTFDKVVVLRFGRASDLTCLQQDHILSKAARDVSKFATVALVDVDSEDIQVYVKYFDITLIPSTVFFFNAHHMKMDYGTADHTKWVGAFLSKQDFIDVVEKLILSG >Vigun03g358200.1.v1.2 pep primary_assembly:ASM411807v1:3:56025842:56027647:-1 gene:Vigun03g358200.v1.2 transcript:Vigun03g358200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIRKAIGVVKDQTSISIAKVAGNLAPDLEVLVVKATSHEEVPADDKYIREILTLTSHSRGYISASLITISKRLTKTRDWIVAVKALMLVHRLLVDGHPAFEEEIVHATRRGTRILNMSDFRDEAHSSSWDHAGFVRLYALYLDEKVEFVAYRRKLRGGVESEEFREEREEESNKRSEVTPVREMRAERVLERLKHLLRMLDRVLGCRPSGAAKNNRLVLVALYQVVRDSFKLYIEVCDVLGVLLDRFTEMEYVHCVKAFDSYVGAAKMMDELVGFYGWCKDMGIARSSEYPEVQKITDKLLGTLEGFLKEMSNRQKSPERKLEMKVIITVNESEAEAEANMNEVKALPAPESESFRPGEAPSVAQPNKVVGSEKETSDLVDLRDDGVSAEEQGNKLALALFSGGATVRGEGSWEAFPSNEESEVKSAWETAAAEVGKADWEVALVENGSMLSKQKGELGGGAVRQHVSTSELSGGSASSVALPGLGKRGTPILALPAPDGTVQVVGAQDPFAASLSVPPPSYVQIADMERKQQLFVQEQQVWKQYGVDGMQGQVGLTRVGAQPMMPYGMPQFGG >Vigun03g051900.1.v1.2 pep primary_assembly:ASM411807v1:3:4207105:4210822:-1 gene:Vigun03g051900.v1.2 transcript:Vigun03g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMAGMRMESLVPRITSCKLRSTFRRVAQGTLETRVRSDFLRSEFEDLLCAVRPCLISLVKTFGFPFSSSHGSCWNYNYGVVGEALKVDLLSHPEYIEQNATLAFQAAIWRWMTPIKKYQPYAHDAFVGNWKPTKNDTMENCVPGFGTTMNILYGDGVCGQGDVDTMNNIVSHYMYYLDLLGVGREEAGPHELLTYVEQVPFNPSSKAVSST >Vigun06g177700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29786330:29786728:-1 gene:Vigun06g177700.v1.2 transcript:Vigun06g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHPFFAFFLLFLSYFLTTSTLARPQNHCPNTVVDIRNDLPNNTKVQLELGCDKSPFFYLKLGHHRNSTITEDQHSKCTAEWLPWFTTWDVYHAKRDKGHQTVYWSVRKDGFYHSWDGSNWKLLEKWYTE >Vigun05g249900.10.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374133:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPDPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun05g249900.9.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374479:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPDPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun05g249900.7.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374133:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPADPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun05g249900.8.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374479:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPDPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun05g249900.6.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374479:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPADPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun05g249900.5.v1.2 pep primary_assembly:ASM411807v1:5:44371394:44374479:-1 gene:Vigun05g249900.v1.2 transcript:Vigun05g249900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEAITTVPSNLRDSSVQVQVHHPNSNPNLNPNPNSSSAKRKRSLPGTPADPNAEVIALSPKSLMATNRFICEVCNKGFQRDQNLQLHRRGHNLPWKLRQRSKEEVVRKKVYVCPEKSCVHHDPCRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHNKICGTRQYKCDCGTIFSRKDSFVTHRAFCDAMVEQSGRLPAILSNLGNDILMNAQGPRLMPQGLQLHGFHSEFGGPGSESYMGNFGDAAEHVEHKLRLPIWLDQTNLQLNHPLGLVPGNSSVFSPGTTLPETNNMFGTASSQAQWTNYRYPEASFTSASVSVPHHGLKLEQEENKGELSHSVSSLYPCHMESTRMSGNGNGNDNLPFDSSSFGLLDPNMTSSNNVVEIQKIFKQGNESENFNLMVNSQASSNMGSGFSLSCRKSLEHMVMPRIEEWESGEAEIMEKQVDSSSKTETKDFMGVGDGSVMKVQRQFRGHY >Vigun11g198600.1.v1.2 pep primary_assembly:ASM411807v1:11:39687835:39691578:-1 gene:Vigun11g198600.v1.2 transcript:Vigun11g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEDGSDQQSDRCGSYSLSADVSESESCGSFSARRFDAEGASSSANLSPRPVASHFNFPPAQVMLPVIGGKDVVVWDHKRDLDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSDSIVELVPSVQQFPGGGTYEVMATRPRSDLYINLPALKKLDGMLLGMLDGFHDTQFWYVDRGIILGEAKDCDAYGRPSVRQEEKWWLPSPKLPPTGLSEENRKRLQQCRDCTNQILKAAVAINTSVIAEMEIPGAYVESLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSSEHHTLDIANRIEAAIHVWRLKDHKKHLSSAKARRSWGGKVKGLVADSEKNKNHFLAQRAETLLESLKHRFPGLPQTALDMAKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDSIKRCAAADSLSLFSRGGFGGMPIQKRFSPSPFSIQHTPYASPFATPTFCSSSPVTGSPCSPARIHDMKRTAPKEGADKLAKSEFERVWSYAGNLSARRASGDAPERD >Vigun06g059066.1.v1.2 pep primary_assembly:ASM411807v1:6:18590762:18595609:1 gene:Vigun06g059066.v1.2 transcript:Vigun06g059066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQETAVSFASQHVLPKFLEAIKMVRDLRKEVAEVTDELENIQDFIHEASKVAEAGEDNSRRDRIRKRYPRCGALLCKAVEFIKSQIHRLQIAYEIQDVKSLVREERDGFKNHFPIEPRSDSYRGKENLTWHKFRMDPLFIKEHEVVGLESPIKTLKKWLTEGRKERTVISIVGMAGLGKTTLSKQVFDRVRKDFKCHALITVSRSYTVEELLRTMTNELCKERKEDPPRDVSTMNQLSLIKEVRNRLCNKRYILLFDDVWNETFWGDIELALIDNKNRSRILITTRDEKVVEFCKKCLFFELLCKKAFGYGFDGCCPKDYEEVGLDIVRKCGCLPLAIVAIGSLLYRKCKSPYDWGLFSQNLSLELERNSELDSNLGSCLLYFGINLEEVAQQQLMELISRSLVLVSSFTTDDRAKACRNTGFCHYIDEHNHLESSGAIRRLTIATSSNGLSESMEESQHVQSILIFMNEVSSEDFTSRWLAKYRRLKELDFEFAPLNYVPENLGSLIHLKYLSFRNTSITSLPESIGKLQNLETLNVRVRTYMEIEVPKEITKLRKLRCLLGNRMSAIAVKESLGSMASLEKMYILIVDPDGVVIREIGKLKQLRNLRLSNMQLLERLDILTQYEGEVIDLHITSSLSKLRKLQLHGNLKEFPSWISWLKNLVKLSLVESRLTNSPLMSLGNMPNLCILSFRSNSYEGETLHFENGGFQKLKELELQRLHQLSFIFIDRGALQPLENLKLIHIPQLKALPSGLQHLKKLKFIDIFNPSSELRQKFHPTERDHPIERKDRWIIIAECADKWGVEYHFFTQDVVMQIDCHESLCAPPIPQ >Vigun06g111500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24037165:24038243:-1 gene:Vigun06g111500.v1.2 transcript:Vigun06g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSSPPSLSSVHHTASTRLILRTPTSLKLRTRPHCFPAVRAITLTQDDLKRLAADKAVEAVKSGMVLGLGTGSTAAFVVSKLGELLASGELTNIVGIPTSKRTEEQARSLGIPLSVLDDNPRLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDDTKLVDGLGGSGLAMPVEVVQFCWKYNLDRLQQLFKEEGCDAKLRLDESGKPYVTDNSNYIVDLYFKTPIRDSLAAGAEISALEGVVEHGLFLNMATSVIIAGKSGVEVKNK >Vigun07g272200.1.v1.2 pep primary_assembly:ASM411807v1:7:38777520:38781917:-1 gene:Vigun07g272200.v1.2 transcript:Vigun07g272200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSPSSSSSSSEFVSIATANQSAARSSGEGVATEQSRRWHDVFWLGIFLIHLIGLGFLLGVLGLNRFERENRLNIDKFTSRFSENQSGLTETYWPLYAAAGGVGTVLGWGWLLLLGSQATQMMKVSVHILTTYLAVVSVLCFWTGQIFWGVAFAIGALLQFLYVISVIDRLPFTMLILQKAVKMIWNLPEVMRVAYVFMFVVLLWMALWSFGAAGVVASSMGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLFHGGRDAASIPANSLVKSLQYALTTSFGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLLCLVDFLFHLVETLVRFFNKYAYVQIAVYGKSFNRSARDAWELLQSTGVEALVAYDCSGAVLLMGTIFGGLITGTCSGIWAWVKWSDRIIMIGSTSMLMGMVLVGLAMVVVESAVTSIYICYAEDPSLIQRWDAEFFNQMSETLHQRLQYRSARAREVLTHDRFDDLVPQNARI >Vigun11g056700.1.v1.2 pep primary_assembly:ASM411807v1:11:11256490:11263335:-1 gene:Vigun11g056700.v1.2 transcript:Vigun11g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKSYALPYGRHPSPFTARRSTLSLLLISLLLILFLFAVVPYLTQIRHSGCADVHPRSVRVVWEHAGNANAAVGVGDGERHKVMGFVGVQTGFGSGGRREALRKTWFPSDRQGLQRLEEATGLAFRFIIGRTSDRAKMSALHKEVAEYDDFILLDIQEEYSKLPYKTLAFFKAAYALFDAEFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPLSNLLGKEYFLHAYGPIYALSADVVSSLVALRNDSFRMFSNEDVTIGAWMLAMNVNHENNHELCATDCTATSVAVWDIPKCSGLCNPEKKMLELHQKETCSKTPTLESDDK >Vigun09g165900.1.v1.2 pep primary_assembly:ASM411807v1:9:33371703:33373389:1 gene:Vigun09g165900.v1.2 transcript:Vigun09g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMLLMSSVSSSHSLGLKKDLFLQLRPKFSQLSFNPLPSSTPFPSSPRTFTTLALFKSKTKAPPAKTKVVKPKQKVEDGIFGTSGGFGFTKQNELFVGRVAMLGFAASLLGEGVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGGLGDRGKFVDDEEPNTGGVIPGGKGFREALGLGSGPLFGFTKANELFVGRLAQLGFVFSLIGEIVTGKGALAQLNIETGVPINEIEPLVLFNVLFFFVAALNPGTGKFVTDEGDDE >Vigun09g165900.2.v1.2 pep primary_assembly:ASM411807v1:9:33371703:33373389:1 gene:Vigun09g165900.v1.2 transcript:Vigun09g165900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMLLMSSVSSSHSLGLKKDLFLQLRPKFSQLSFNPLPSSTPFPSSPRTFTTLALFKSKTKAPPAKTKPKQKVEDGIFGTSGGFGFTKQNELFVGRVAMLGFAASLLGEGVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGGLGDRGKFVDDEEPNTGGVIPGGKGFREALGLGSGPLFGFTKANELFVGRLAQLGFVFSLIGEIVTGKGALAQLNIETGVPINEIEPLVLFNVLFFFVAALNPGTGKFVTDEGDDE >Vigun07g105600.2.v1.2 pep primary_assembly:ASM411807v1:7:19336125:19344617:1 gene:Vigun07g105600.v1.2 transcript:Vigun07g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLVAQCRSPTLITSPHGKPKYPCVVAVLARPADDISAQPTKTNVYKDSFFDHMATNHMSKCLQETTGLRNSKSGYESLVEAATMASQNFSPSQQRQVVTQALHRAFPKPMFLLVRESDMDEGRREKNVVHIKKCRFLEEANCVGMCINLCKLPTQSFIKDSLGMSVNMVPNFDDMSCEMIFGEEPPESTNDPALKQPCYKLCKAKKNHGTNCLVNAAGFEVSKEDPHG >Vigun07g105600.1.v1.2 pep primary_assembly:ASM411807v1:7:19336125:19344617:1 gene:Vigun07g105600.v1.2 transcript:Vigun07g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLVAQCRSPTLITSPHGKPKYPCVVAVLARPADDISAQPTKTNVYKDSFFDHMATNHMSKCLQETTGLRNSKSGYESLVEAATMASQNFSPSQQRQVVTQALHRAFPKPMFLLIKKMLPPSKFTRTLFAIFTTLFFAWLVGPSEVRESDMDEGRREKNVVHIKKCRFLEEANCVGMCINLCKLPTQSFIKDSLGMSVNMVPNFDDMSCEMIFGEEPPESTNDPALKQPCYKLCKAKKNHGTNCLVNAAGFEVSKEDPHG >Vigun10g091300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26304710:26308968:-1 gene:Vigun10g091300.v1.2 transcript:Vigun10g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFIDVLFDRLASPEFVNFIRGKKPDKLLQKMKSQLLVVKVVLADAEKRQISNSDVKDWLDLLRDLVYEVDDLLDEVSTKAATQKEVSNSFSRLFIKKKIVSISKLEEMVEKLDDLLKQKECLDLKDIPVECYQPWKAHQTSLEDGYGMYGRDKDKEAILKMVLEDSTDGEPVSVIPIVGMGGVGKTTLARSVFNDDKLKQQIFDLKAWVCVSDLFDIVKVTRTMIEEITRKACKLSDLNALQLELTEKLKGKRFLIVLDDVWIEDCDNWSFLTKPFLSGSKGSKVVVTTRNENVAAAVPFHRVEVYRLNKLTNEDCWLVFANHAFPPSEACEDRETLEKIGKEIVKKCNGLPLAAQSLGGMLRRKNAVRDWNNVLESDIWELPESQCKIIPALRISYHYLPPHLKRCFVYCSLYPKDYAFDKDELIQLWMAEDLVKAPKKGKTLEEVGHEYFYDLVSRSFFQCGSGSNGDDCFVMHDLMHDLATFIGGEFYFRADEIGKETKINRKTRHLSFTRFSEPVSDIEGFDTVKFTRTFLVINYKDSPLNNEKAPYSVVSMLKYLRVLSFCVFKSLFVLPDSIGELIHLRYLNLSHTSIETLPDSLCSLCNLQTLKLVYCPKLIQLPIAMQNLVNLRHLEIHQSPIKEMPKRMGKLNQLQKLDLYIVGKRKENSIKELGGLPNLCGSFCIKALENVTKGEEAIEASIMDKKHINHLSLKWSIGNDNSIDFQIELDVLGKLQPHRDLQTLLIIGYKGTIFPEWVGNFSYRYMTSVSLYNCNNCCMLPSMGQLPSLKRLCISDMNSVKTIDAGFYKTEDCSSAIPFPSLESLHIFRMPSWEVWTAFASEAFPVLKDIFIFDCPKLRGAFPNHLPALQRLIIRNCELLVSSVPRAPSLRTIEICNTNKVTFHEFPLLVKFIDVEGGPTVESMMEAMTNSQPTCLKYLTLRKCSSAISFPGDRLPASLKALDVRDLNKLKFPMQHKHELLESLKVKNSCDSLMSLPLAIFPSLTHLDIRSCENMESVLVSGSESLKSLKSLMIQHCPSFVSFLGEGLSAPNLRGFSVFDCEKLKSLPNQMGSLLPNLEYLNISNCQLIESFPEGGMPPNITVWIENCEKLLSSKAWVCMDMVTSLGVCGPCDGINSFPEEALLPPSLTSLSLHNFTSLETLECKGFLHLTSLRELDIQNCEKLKNIGGESLPVSLMKLSINGCPLLHERCHKKDCEIWPKICHVRVLEIDGR >Vigun07g218200.1.v1.2 pep primary_assembly:ASM411807v1:7:34030884:34034651:-1 gene:Vigun07g218200.v1.2 transcript:Vigun07g218200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLVDSQTQYMENSMEKNVAMFFIPTTRATELLSTEVSRDNVSGGKEKLQIDIEDKLAITEFNGETQPNLDSVISHYIQSLNQTNLRFLGYPTNQNFNYDTLAPLLHFHLNNVGDPFRGSSFSMNSTSFEVCVLDWFANLWEIEKSKYWGYVTTGGTEGNLHGILVGREKFPNGILYASQDSHYSIFKIARMYRMQCMKVSTLVSGEIDCDELKTLLLAHKDKPAIINLNIGTTMKGGVDDLDLVIEILKECGFTQDRFYIHCDGALFGIMLPFIKQAPKITFKKPIGSITISGHKFLGCPIPCGTLITRQQYINVLTDVEYIASRDVTITGSRSGHASIFLWYAIKRKGFMGLQSEVHKCIANACHLQHRLRSAGIGAMLNKFSNIVVFERPPDEDFARKWSLACEGNIAHVVVMQHVTTEMLDSFVDEFLQKRSIWFEDGRFLPLCIASDVGSRHCACSIHNSMR >Vigun06g178400.2.v1.2 pep primary_assembly:ASM411807v1:6:29850756:29858745:1 gene:Vigun06g178400.v1.2 transcript:Vigun06g178400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLYQRIEKECESHISAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTANVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIESERKGEAVDRTLLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGVKYMQQSDVPDYLKHVEIRLQEEHERCLIYLDASTRKPLIATAEKQLLERHIPAILDKGFAMLMDGNRIEDLQRMYSLFLRVNALESLRQAISSYIRRTGQGIVLDEEKDKDMVSSLLEFKASLDTTWEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFVFNDGFTAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Vigun06g178400.1.v1.2 pep primary_assembly:ASM411807v1:6:29849710:29858745:1 gene:Vigun06g178400.v1.2 transcript:Vigun06g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSGTAGSSPSPPPPMKKAKSLLLRAPSDDAVLDSSPMPLDDDLPNARAANLSRKKATPPQPAKKLLIKLHKAKPTLPTNFEEDTWAKLKSAICAIFLKQPNSCDLEKLYQAVNDLCLYKMGGNLYQRIEKECESHISAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTANVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIESERKGEAVDRTLLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGVKYMQQSDVPDYLKHVEIRLQEEHERCLIYLDASTRKPLIATAEKQLLERHIPAILDKGFAMLMDGNRIEDLQRMYSLFLRVNALESLRQAISSYIRRTGQGIVLDEEKDKDMVSSLLEFKASLDTTWEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFVFNDGFTAPLYRIKVNAIQLKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Vigun04g117500.3.v1.2 pep primary_assembly:ASM411807v1:4:29838942:29841090:-1 gene:Vigun04g117500.v1.2 transcript:Vigun04g117500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAYGILQAFSTTEGVRINLKAKHSIDFKSSPIIKTHQRVFLTPKTLILENKKIPFLISPSYLKTINKKNLVLSLCRSCLYIYTPCHNAKFVSCFPGGERKKKGRTKDDTNSLVIPMKSSEGYEGKIFRLLQMRELMLREDHRRKGVVEDGNNNGLPLIHLLLSTATSIDERNYCATLENLIELYQTVSLIGDSVQRVVAYFADGLAARLLTRKSPFYDMVMEEPTSEEEFLAFTDLYRVSPYYQFAHFTANQAILEAYEEEEERNNKALHVIDFDVSYGFQWPSLIQSLSEKATSGNRISLRITGFGNNLKELQETEARLVSFSKGFSNHLVFEFQGLLRGSSRLINLRRKKNETLAVNLVSYLNTLSSFMKVSDTLGLVHSLSPSIVVLVKQEGSRSLKTFLSRFTESLHYFAAMFDSLDDCLPLESAERLRIEKKLLGKEIKSMLNYDMDGVDCPKYERMETWKTRMEHHGFVGRKISSKCVIQAKLLLKMRTHYYPLQFEEEGGSGGFRVSERDEGRVISLGWQNRFLLTVSAWQPI >Vigun04g117500.2.v1.2 pep primary_assembly:ASM411807v1:4:29838811:29841090:-1 gene:Vigun04g117500.v1.2 transcript:Vigun04g117500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAYGILQAFSTTEGVRINLKAKHSIDFKSSPIIKTHQRVFLTPKTLILENKKIPFLISPSYLKTINKKNLVLSLCRSCLYIYTPCHNAKFVSCFPGKANKHGEHSTTLCGERKKKGRTKDDTNSLVIPMKSSEGYEGKIFRLLQMRELMLREDHRRKGVVEDGNNNGLPLIHLLLSTATSIDERNYCATLENLIELYQTVSLIGDSVQRVVAYFADGLAARLLTRKSPFYDMVMEEPTSEEEFLAFTDLYRVSPYYQFAHFTANQAILEAYEEEEERNNKALHVIDFDVSYGFQWPSLIQSLSEKATSGNRISLRITGFGNNLKELQETEARLVSFSKGFSNHLVFEFQGLLRGSSRLINLRRKKNETLAVNLVSYLNTLSSFMKVSDTLGLVHSLSPSIVVLVKQEGSRSLKTFLSRFTESLHYFAAMFDSLDDCLPLESAERLRIEKKLLGKEIKSMLNYDMDGVDCPKYERMETWKTRMEHHGFVGRKISSKCVIQAKLLLKMRTHYYPLQFEEEGGSGGFRVSERDEGRVISLGWQNRFLLTVSAWQPI >Vigun08g033500.2.v1.2 pep primary_assembly:ASM411807v1:8:3127480:3132132:1 gene:Vigun08g033500.v1.2 transcript:Vigun08g033500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQENAMGFQHGNENILTCPSSALSGANVNVSDMAISSVSMAKPSDVANPFLASSAWDPLVSLSQAQTFGGSSMVSHAEFANANSSYPLVLENQGMSNTSHLVQYMSDSNLGGMVPKVHSYASRGFSEMVGAAAPFGQHGSGDVANSGYPPHYNPIKEAQINGEQSQVEDSIPEEEAPGSGPSGNRRKRGLDHNSTFSPNKNAESETVKDSPGRACDGPKEHEKKPKVEQNNSADLRGKQSAKQAKDNSSQSGEAPKENFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVDRILSKDILQSRIGHGISAYGPGINSSHTFPSGNFHGTLAGMPSTSSQFPPLPQNVLDHEFQSFYGIGYDSNTALDNLGPNGRLKTEL >Vigun08g033500.3.v1.2 pep primary_assembly:ASM411807v1:8:3127480:3132132:1 gene:Vigun08g033500.v1.2 transcript:Vigun08g033500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQENAMGFQHGNENILTCPSSALSGANVNVSDMAISSVSMAKPSDVANPFLASSAWDPLVSLSQAQTFGGSSMVSHAEFANANSSYPLVLENQGMSNTSHLVQYMSDSNLGGMVPKVHSYASRGFSEMVGAAAPFGQHGSGDVANSGYPPHYNPIKEAQINGEQSQVEDSIPEEEAPGSGPSGNRRKRGLDHNSTFSPNKNAESETVKDSPGRACDGPKEHEKKPKVEQNNSADLRGKQSAKQAKDNSSQSGEAPKENFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVDRILSKDILQSRIGHGISAYGPGINSSHTFPSGNFHGTLAGMPSTSSQFPPLPQNVLDHEFQSFYGIGYDSNTALDNLGPNGRLKTEL >Vigun08g033500.1.v1.2 pep primary_assembly:ASM411807v1:8:3127478:3132132:1 gene:Vigun08g033500.v1.2 transcript:Vigun08g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQENAMGFQHGNENILTCPSSALSGANVNVSDMAISSVSMAKPSDVANPFLASSAWDPLVSLSQAQTFGGSSMVSHAEFANANSSYPLVLENQGMSNTSHLVQYMSDSNLGGMVPKVHSYASRGFSEMVGAAAPFGQHGSGDVANSGYPPHYNPIKEAQINGEQSQVEDSIPEEEAPGSGPSGNRRKRGLDHNSTFSPNKNAESETVKDSPGRACDGPKEHEKKPKVEQNNSADLRGKQSAKQAKDNSSQSGEAPKENFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVDRILSKDILQSRIGHGISAYGPGINSSHTFPSGNFHGTLAGMPSTSSQFPPLPQNVLDHEFQSFYGIGYDSNTALDNLGPNGRLKTEL >VigunL015628.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:830237:830660:1 gene:VigunL015628.v1.2 transcript:VigunL015628.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYGLVRINMELLSHAHSIFCPWLIYDRLRLLYLDEMGGMAIPMPKMFTIFTTLSMASLALPGMSGFVAEFNVLLGII >Vigun03g249100.3.v1.2 pep primary_assembly:ASM411807v1:3:41435355:41439266:-1 gene:Vigun03g249100.v1.2 transcript:Vigun03g249100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGTTKDISCCFFYFLCFSYRLDEQILKCYKDFCFLFPVMFPVYYNMSRVWLLALLILYSAFPSKGTGNVSTRPSAINIGAILSFNSTVGRVAKVAIQAAVNDVNSNATILSGTKLNISMLDTKLSTGFLGIIASLRLMASDTVAIIGPQYSVMAHVISHIANEMQVPLLSFAAIDPTLTSMQFPYFVRTTQSDLRQMAAVAEIVDHFQWRDVIAIFVDDDHGRNGVAALGDKLAEKQGQMMFDSDGNLMHQSYEIINVIGSGIRRIGYWSENYGLHTEEAPIHSNSSEGLYDVIWPGQTTQTPRGWSFASNGRQLRVGVTMGLTFREIVSRIEGTDTFSGYCIDVFTAALNLIPYPIPFKFIPFGDGKTKPSNSEFLHMVTIGAFDAVVGDITISANRAKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLRPFTPMMWFVTGMFFLVVGAVVWTLERGTNDEFRGPARRQFVTIIWFSFSTLFFTQKENTVSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSQVKGIESLIASKDRIGFPRDTYTENYLTEELHMDRSRLVALNSMSEFEKALKDGPARGGVAAIIDERAYMELFLATRCEFSIVGPEFTRSGWGFGFPRESPLAVDMSTAILKLSESGDLQRIRDKWLTRRACSSEGAKESIDRLELKSFWGLYLLIGMACFIALLCYVIRLIYRFSRHSNSNLEGSSFSSHLRSFLSFVNKKEMEDKYKPKGEVPL >Vigun03g249100.2.v1.2 pep primary_assembly:ASM411807v1:3:41435355:41439266:-1 gene:Vigun03g249100.v1.2 transcript:Vigun03g249100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSGYVWIATDWLTTLIDSDPSLFTSPAINNIQGVVTLRMHTPDSDIKDKFISRWTKLSQKENPNHDPLGLNIFGLYAYDTVWALAYALDALLKSGGTLSFSNDSSVINMLTGDILHLDAMRVFVNGSMLLKKILDSNTSGLTGQMMFDSDGNLMHQSYEIINVIGSGIRRIGYWSENYGLHTEEAPIHSNSSEGLYDVIWPGQTTQTPRGWSFASNGRQLRVGVTMGLTFREIVSRIEGTDTFSGYCIDVFTAALNLIPYPIPFKFIPFGDGKTKPSNSEFLHMVTIGAFDAVVGDITISANRAKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLRPFTPMMWFVTGMFFLVVGAVVWTLERGTNDEFRGPARRQFVTIIWFSFSTLFFTQKENTVSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSQVKGIESLIASKDRIGFPRDTYTENYLTEELHMDRSRLVALNSMSEFEKALKDGPARGGVAAIIDERAYMELFLATRCEFSIVGPEFTRSGWGFGFPRESPLAVDMSTAILKLSESGDLQRIRDKWLTRRACSSEGAKESIDRLELKSFWGLYLLIGMACFIALLCYVIRLIYRFSRHSNSNLEGSSFSSHLRSFLSFVNKKEMEDKYKPKGEVPL >Vigun03g249100.1.v1.2 pep primary_assembly:ASM411807v1:3:41435355:41439266:-1 gene:Vigun03g249100.v1.2 transcript:Vigun03g249100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLGTTKDISCCFFYFLCFSYRLDEQILKCYKDFCFLFPVMFPVYYNMSRVWLLALLILYSAFPSKGTGNVSTRPSAINIGAILSFNSTVGRVAKVAIQAAVNDVNSNATILSGTKLNISMLDTKLSTGFLGIIASLRLMASDTVAIIGPQYSVMAHVISHIANEMQVPLLSFAAIDPTLTSMQFPYFVRTTQSDLRQMAAVAEIVDHFQWRDVIAIFVDDDHGRNGVAALGDKLAEKQEVLHVAQSLGMMQSGYVWIATDWLTTLIDSDPSLFTSPAINNIQGVVTLRMHTPDSDIKDKFISRWTKLSQKENPNHDPLGLNIFGLYAYDTVWALAYALDALLKSGGTLSFSNDSSVINMLTGDILHLDAMRVFVNGSMLLKKILDSNTSGLTGQMMFDSDGNLMHQSYEIINVIGSGIRRIGYWSENYGLHTEEAPIHSNSSEGLYDVIWPGQTTQTPRGWSFASNGRQLRVGVTMGLTFREIVSRIEGTDTFSGYCIDVFTAALNLIPYPIPFKFIPFGDGKTKPSNSEFLHMVTIGAFDAVVGDITISANRAKIVDFTQPYIESGLVVVAPIKKLKSSAWAFLRPFTPMMWFVTGMFFLVVGAVVWTLERGTNDEFRGPARRQFVTIIWFSFSTLFFTQKENTVSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSQVKGIESLIASKDRIGFPRDTYTENYLTEELHMDRSRLVALNSMSEFEKALKDGPARGGVAAIIDERAYMELFLATRCEFSIVGPEFTRSGWGFGFPRESPLAVDMSTAILKLSESGDLQRIRDKWLTRRACSSEGAKESIDRLELKSFWGLYLLIGMACFIALLCYVIRLIYRFSRHSNSNLEGSSFSSHLRSFLSFVNKKEMEDKYKPKGEVPL >Vigun03g213300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:35472195:35474042:-1 gene:Vigun03g213300.v1.2 transcript:Vigun03g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKRIAKHPTISLLISCTTLKEVKQIHAQLVVKGTLKNPHFHGQFVATIALHNTTNLLQYANKIFHSQQTHPTLFTLNSMIRAYSKSSTPSKSFHFYTYILHSNTLSPDNYTFTFLVRTCAQLHLHVTGLCVHAAVIKHGFEHDPHVQTGLVFMYAELGCLSSCYGVFEGVTEPDLVSQTAMLHACAKCGDVDFARKLFDEMPERDYVAWNAMIAGYAQSGRPREALDMFRLMQLEGVKLNEVSMVLVLFACTQLGALDQGRWVHAYVGRYKVGMTVTLGTALVDMYAKCGNIDKAMEVFWGMKERNVYTWSSAIGGLAMNGLGEESLDLFYTMKREGVQPNGVTFISVLKGCSVVGMVEEGRKHFDAMRSVYGIDPQLEHYGLMVDIYGRAGRVEEALNFINSMPMKPHVGAWSALLHACRVCKNKELGELALKKIVEQEDKNDGAYVLLSNIHAEYKNWERVSSLRQTMKAKGVRKLPGCSVIEVDGEVHEFIVGDKSHPRYGEIELKLEEISRCLRLAGYVADTNPVLFDIEEEEKEDALSKHSEKIAIAFGLISLKGVPVRVVMNLRICWDCHNVAKMISKIYNREIIVRDRNRFHHFKHGECSCKDYW >Vigun09g225800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39832523:39832861:1 gene:Vigun09g225800.v1.2 transcript:Vigun09g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLPAMNRSLNRENPDILIMMIAGQQIIRGVEEDKRDQRESQDMGPVKPATQMSATAPNTNVFTLLLPLPPSFLVLLFSASIPFPLNLCLLFYFHSSPASPLPFSFSFRL >Vigun04g151700.1.v1.2 pep primary_assembly:ASM411807v1:4:36839123:36841764:1 gene:Vigun04g151700.v1.2 transcript:Vigun04g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTIPACYNVSIVNPNQFWKTDKVLKTELPIMAIQIAFVAVLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMNLNTILNVNKKAASIAIAGIVFPMLVAPCLYALFRNVYGHVMMFPLEESTSNAYTLWTLILTVTGFPVVAHTLSELKLLYTGLGKAALTAAMIGDTYGWILFTLFVPFSINGKGAIYTVLSTITFVVVCIFVVRPLIQWFIDRKEDKDEWNDNQLLFVFMGVLACSCISDFLGAHAIVGAFVFGLILPHGKFAELVMSISDDFVGGFLVPLFFTGIGMRLMLIAIFSQESWPFTIVIILLLCALKILSTLFVTFFFGMRIRDGLSLGLILNNKGTMALIMLNIAWDRAIFSIPTYAVITSAVLLMTIVVSPVINIVYKPRQIFEQNKLKTIQKLRVDAELRFIACVHNTRQAASMISIIECFNATRVSPVHVFALYLVELTGRAAALVAAHIGKPRSQLGEQNLTRSQEELESIHNTFDALGEAYDVVRVETLNVVSAYTTIHEDIYHSADENRTSLILLPFHKQLSLEGTLEVTSVAYKDINQNVMQGAPCSVGIFVDRDFGLVPKMNLHIRVVFVGGPDDREALAIAWRMAGRSGTQLSVVRIICLGEAAKVNASIHDEAQGILSAVIDIDRQNELDEEYISTFRLTAVNNNDSISYSEIDVHSGEDIPVVLNEIEKFGCDIYIVGQGNCRNSKVFSNLMEWCECLELGVIGDILVSDNFGSRSSVLVVQQYGYGGMVFGNDLNQKATNKGTFESVV >Vigun03g250400.1.v1.2 pep primary_assembly:ASM411807v1:3:41646193:41649780:1 gene:Vigun03g250400.v1.2 transcript:Vigun03g250400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSGAPSLHHSSLNRPHNSGSSDIHGSNVEFSVTTTDTMITIRKSQFFVRESIGRKCSPPPLPFPGGKILKWPELNVFSFEELKSATSNFSTDRLLADGGFGRVYKGWLDENTLTPAQPGSGVEVAIKILNPESSQGFPEWQSEVNILGRLSHPQLGEVIGILLGRESVSSRV >Vigun03g339600.3.v1.2 pep primary_assembly:ASM411807v1:3:53791984:53795878:1 gene:Vigun03g339600.v1.2 transcript:Vigun03g339600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLVLFLFLASLRLALSQDVEHGLILVEGTQAIAETDDNFICATIDWWPHDKCDYNNCPWGNSSAANLDLSHPFLVKAIQALKPLRIRVGGSLQDQLLYEVGSLKSPCHPFQKMKGGLFGFSRGCLQMKRWDELNHFFNNTGAMVTFGLNALQGRHPSGHSVWRGDWDSSNAYDLINYTVSKGYKIDSWEFGNELSGKGIAASVSAVQYGKDLIKLKEVLNSLYNNSDLKPLLVAPGGFYYKDWYDKLLQVTGPGIVNALTHHVYNLGPGSDEHLDRKILDPEHLSKVEAIFRSLSETIQKYGHWSSAWVGEAGGAFNSGGRQISNTFVDSFWYLDQLGMASRYNTKVYCRQTLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGKTVLAASSDVFSPSLRTYAHCSKGRDGITLLLINLSNETRFTLMVRDRVPVSSGGHENATKIHVENSFLSHLKRAFSWIGRKGSDVTFREEYHLNAKDNYLRSQTMLLNGVPLEFTNDGEIPTLDPLLISVHSPIHLAPLSIAFVVFPYFDAPACAAQTKL >Vigun03g339600.2.v1.2 pep primary_assembly:ASM411807v1:3:53791796:53795888:1 gene:Vigun03g339600.v1.2 transcript:Vigun03g339600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLVLFLFLASLRLALSQDVEHGLILVEGTQAIAETDDNFICATIDWWPHDKCDYNNCPWGNSSAANLDLSHPFLVKAIQALKPLRIRVGGSLQDQLLYEVGSLKSPCHPFQKMKGGLFGFSRGCLQMKRWDELNHFFNNTGAMVTFGLNALQGRHPSGHSVWRGDWDSSNAYDLINYTVSKGYKIDSWEFGNELSGKGIAASVSAVQYGKDLIKLKEVLNSLYNNSDLKPLLVAPGGFYYKDWYDKLLQVTGPGIVNALTHHVYNLGPGSDEHLDRKILDPEHLSKVEAIFRSLSETIQKYGHWSSAWVGEAGGAFNSGGRQISNTFVDSFWYLDQLGMASRYNTKVYCRQTLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGKTVLAASSDVFSPSLRTYAHCSKGRDGITLLLINLSNETRFTLMVRDRVPVSSGGHENATKIHVENSFLSHLKRAFSWIGRKGSDVTFREEYHLNAKDNYLRSQTMLLNGVPLEFTNDGEIPTLDPLLISVHSPIHLAPLSIAFVVFPYFDAPACAAQTKL >Vigun03g339600.4.v1.2 pep primary_assembly:ASM411807v1:3:53791984:53795952:1 gene:Vigun03g339600.v1.2 transcript:Vigun03g339600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLVLFLFLASLRLALSQDVEHGLILVEGTQAIAETDDNFICATIDWWPHDKCDYNNCPWGNSSAANLDLSHPFLVKAIQALKPLRIRVGGSLQDQLLYEVGSLKSPCHPFQKMKGGLFGFSRGCLQMKRWDELNHFFNNTGAMVTFGLNALQGRHPSGHSVWRGDWDSSNAYDLINYTVSKGYKIDSWEFGNELSGKGIAASVSAVQYGKDLIKLKEVLNSLYNNSDLKPLLVAPGGFYYKDWYDKLLQVTGPGIVNALTHHVYNLGPGSDEHLDRKILDPEHLSKVEAIFRSLSETIQKYGHWSSAWVGEAGGAFNSGGRQISNTFVDSFWYLDQLGMASRYNTKVYCRQTLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGKTVLAASSDVFSPSLRTYAHCSKGRDGITLLLINLSNETRFTLMVRDRVPVSSGGHENATKIHVENSFLSHLKRAFSWIGRKGSDVTFREEYHLNAKDNYLRSQTMLLNGVPLEFTNDGEIPTLDPLLISVHSPIHLAPLSIAFVVFPYFDAPACAAQTKL >Vigun03g339600.1.v1.2 pep primary_assembly:ASM411807v1:3:53791982:53795898:1 gene:Vigun03g339600.v1.2 transcript:Vigun03g339600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLVLFLFLASLRLALSQDVEHGLILVEGTQAIAETDDNFICATIDWWPHDKCDYNNCPWGNSSAANLDLSHPFLVKAIQALKPLRIRVGGSLQDQLLYEVGSLKSPCHPFQKMKGGLFGFSRGCLQMKRWDELNHFFNNTGAMVTFGLNALQGRHPSGHSVWRGDWDSSNAYDLINYTVSKGYKIDSWEFGNELSGKGIAASVSAVQYGKDLIKLKEVLNSLYNNSDLKPLLVAPGGFYYKDWYDKLLQVTGPGIVNALTHHVYNLGPGSDEHLDRKILDPEHLSKVEAIFRSLSETIQKYGHWSSAWVGEAGGAFNSGGRQISNTFVDSFWYLDQLGMASRYNTKVYCRQTLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGKTVLAASSDVFSPSLRTYAHCSKGRDGITLLLINLSNETRFTLMVRDRVPVSSGGHENATKIHVENSFLSHLKRAFSWIGRKGSDVTFREEYHLNAKDNYLRSQTMLLNGVPLEFTNDGEIPTLDPLLISVHSPIHLAPLSIAFVVFPYFDAPACAAQTKL >Vigun03g339600.5.v1.2 pep primary_assembly:ASM411807v1:3:53793529:53795878:1 gene:Vigun03g339600.v1.2 transcript:Vigun03g339600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLFGFSRGCLQMKRWDELNHFFNNTGAMVTFGLNALQGRHPSGHSVWRGDWDSSNAYDLINYTVSKGYKIDSWEFGNELSGKGIAASVSAVQYGKDLIKLKEVLNSLYNNSDLKPLLVAPGGFYYKDWYDKLLQVTGPGIVNALTHHVYNLGPGSDEHLDRKILDPEHLSKVEAIFRSLSETIQKYGHWSSAWVGEAGGAFNSGGRQISNTFVDSFWYLDQLGMASRYNTKVYCRQTLIGGNYGLLDTTTFVPNPDYYSALLWHQLMGKTVLAASSDVFSPSLRTYAHCSKGRDGITLLLINLSNETRFTLMVRDRVPVSSGGHENATKIHVENSFLSHLKRAFSWIGRKGSDVTFREEYHLNAKDNYLRSQTMLLNGVPLEFTNDGEIPTLDPLLISVHSPIHLAPLSIAFVVFPYFDAPACAAQTKL >Vigun05g080900.4.v1.2 pep primary_assembly:ASM411807v1:5:7623729:7626299:1 gene:Vigun05g080900.v1.2 transcript:Vigun05g080900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPGKGRKVCLIVTGVVIALVLLIVILALTVFKAKHPTIIVDSTKLEDFHMSLDIARLRVDLNVTLSTDVSVKNPNKVGFKYSDSIAHLNYRGQLIGEVPIPAGDISSGETKGFNLTLTVMADRLLSNSQLFSDVTSVLVMVNWFQHVFGGDRVSHRFLQLDWWKELC >Vigun05g080900.3.v1.2 pep primary_assembly:ASM411807v1:5:7623729:7626299:1 gene:Vigun05g080900.v1.2 transcript:Vigun05g080900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPGKGRKVCLIVTGVVIALVLLIVILALTVFKAKHPTIIVDSTKLEDFHMSLDIARLRVDLNVTLSTDVSVKNPNKVGFKYSDSIAHLNYRGQLIGEVPIPAGDISSGETKGFNLTLTVMADRLLSNSQLFSDVTSVLVMVNWFQHVFGGDRVSHRFLQLDWWKELC >Vigun05g080900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7623729:7626299:1 gene:Vigun05g080900.v1.2 transcript:Vigun05g080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPGKGRKVCLIVTGVVIALVLLIVILALTVFKAKHPTIIVDSTKLEDFHMSLDIARLRVDLNVTLSTDVSVKNPNKVGFKYSDSIAHLNYRGQLIGEVPIPAGDISSGETKGFNLTLTVMADRLLSNSQLFSDVTSGTLPLNTFVRISGKVNILGFIKVHVVSSTSCDVAINLSNRTVGNQECQYKTKL >Vigun05g080900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7623729:7626299:1 gene:Vigun05g080900.v1.2 transcript:Vigun05g080900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPGKGRKVCLIVTGVVIALVLLIVILALTVFKAKHPTIIVDSTKLEDFHMSLDIARLRVDLNVTLSTDVSVKNPNKVGFKYSDSIAHLNYRGQLIGEVPIPAGDISSGETKGFNLTLTVMADRLLSNSQLFSDVTSGTLPLNTFVRISGKVNILGFIKVHVVSSTSCDVAINLSNRTVGNQECQYKTKL >Vigun03g054900.1.v1.2 pep primary_assembly:ASM411807v1:3:4474549:4479795:-1 gene:Vigun03g054900.v1.2 transcript:Vigun03g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASADLHHHESDIELTDAERSETNNNAGGSESKHGTDVELDSGRKSEAEAGEGHYVEVTMDIHGDSVALHSVKDFDGVEEEDEKLGLTGKRLEKKKSFGASVVQSAAIRMKQLKRLASFSKPAPKHFERTKSAVAHALTGLKFISMTDGGAGWDEVENRFQKLTANSDGYLPRTLFAQCLGLNKESEAYAEKLFDTLARQRGIQGGSINKIQLREFWDSISDQSFDTRLKTFFDMVDKDADGRITEEEIKEIICLSATANKLSNIQKQAEEYAALIMEELDPDDSGYIMIDSLETLLLHAPEETTRGESKNLSQMLSQKLKPTYVDSVILRWYRDAKYFLLDNWRRSWVLALWIGVMLGLFAYKFVQYRRRAVYEVMGHCVCMAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGAVVPFDDNINFHKVIAVAIAIGVGVHAIYHLTCDFPRLLHASDEKYKLMEPFFGERPSDYWYFVKSWEGVTGIVMIVLMAIAFTLANPAFRRGRTKLPKPFNKLTGFNAFWYSHHLFVFVYALLVIHGIKLYLTKKWYKKTTWMYLAVPITIYALERLIRALRSSIKSVKILKVTLYPGNVLSLQMSKPQGFTYKSGQYMFVNCAAVSPFEWHPFSITSAPDDDYLSVHIKILGDWTRSLKTKFSQACQQPLNGQSGLLRAECLKGDNSSINFPKVLVDGPYGAPAQDYKEYEVVLLVGLGIGATPMISILKDMVKRLKGIEEEEVEEGAVAKSGDEFKTRRAYFYWVTREQGSFDWFKGVMNEVAEEDRRKVIELHSYCTSVYEEGDARSALIAMLQSINHAKNGLDIVSGTRVMSHFAKPNWRTVYKRIALNHPHARVGVFYCGPSALTHELRQLALDFSHNTSTKYDFHKENF >Vigun01g125800.1.v1.2 pep primary_assembly:ASM411807v1:1:30296647:30299814:-1 gene:Vigun01g125800.v1.2 transcript:Vigun01g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKYVPIGGANLSTDLKSLSTILKTRKTVAFAYAFAIAFVAFTVFLAFSPSPNASSPWFTNIFSSTSSPDGSYKPQIPSIFSLLFPNSSSPSNSSDPLHNNTNTSRSASNATYLSPHLNTTSQTQTLKATSFVNTTQSSVVSSQDAVPFPTTNHTNSQAPVPEVKSPPPVVAKETSGGVKNHTFNTPEAKAITTNQTTNATAPATPATNVPVSFPVQKVPHQNSSSNSSVKSDSVKGVAPNGNYTASLARKQINGKNHTEGNDESMESLMKCDFFDGKWVKDDSYPLYKPDSCSLIDEQFNCIRNGRPDKEYQTYKWKPKGCSLPRLDAHRMLELLRGKRLVFVGDSLNRNMWESLICILRSAVKDKKKVYEANGRVHFRGEASYSFIFKDYHFSVELFVSPFLVQEEEMTDKNGTKKETLRLDLVGQSSSQYKDADIIIFNTGHWWTHDKTSKGQDYYQEGSHVYSELNVLEAFRRALTTWSRWVDANINPSKTMVFFRGYSASHFSGGQWNSGGQCDSESDPIENEKYLTEYPDKMIVLEKVLKNMKTSVTYQNVTRMTDFRKDGHPSIYRKQNLTPEERKSPLRYQDCSHWCLPGVPDIWNEILYAELLMREYRNQHKQKRT >Vigun08g082950.1.v1.2 pep primary_assembly:ASM411807v1:8:17556194:17561832:1 gene:Vigun08g082950.v1.2 transcript:Vigun08g082950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKYRKILGMDECESVSCCCLVMASHIQLARFLIKEVCQLIQQVLNMRKIICDS >Vigun10g109200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30841163:30848722:1 gene:Vigun10g109200.v1.2 transcript:Vigun10g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKHGIRQIGEAGNHVISRNMQIIRLGKLGKVEDAIRIFSNMTDKNLVTYNSMVSILAKNARISDARQLFDKMSLKNIVSWNSMIAGYLHNNMVEKASELFDAMPEKDNFSWALMITCHTRKGDLDKARELLELVPDKLNTACWNAMIAGYAKKGKFNDAEKVFDQMPTKDLVSYNSMLAGYTQNGKMILALQFFEKMAKRNVVSWNLMVAGYVNSGDLSSAWQLFENIPNPNVVSWVTMLCGFARYGKIIEARRLFDKIPIKNVVSWNAMIAAYVQELQIDEAVQLFKKMPHKDNVSWTTIINGYIRVGKLEEARKVYNQMPCKDIAAKTALMTGLIQNGRIDEANKMFSQILARDAICWNNMIAGYSQSGRMDEALNLFRQMPIKNVVSWNTMISGYAQAGQMDRATEIFQAMREKNLVSWNSLIAGFLQNNLYTDALKSLVMMGQEGKKPDQSTLPCGLSACANLAALQVGKQLHDYILKSGYMNDLSVNNALITMYAKCGRVQNAEQVFRDIECIDLISWNSLISGYALNGCANKAFEAFGRMLSQRVVPDEVTFIGMLSACSHVGLVTEGLDIFKCMIEDFAIEPLAEHYSCLVDLFGRVGRLEEAFNIVSEMKVEANAGLWGSLLGACRVHKNLELGIFASRRLFELEPDNASNYITLSNMHAEAGRWKEVERLRMMMRDKRAGKQPGCSWIEVQNQIQHFLSHDVAKLRHENIQLILNTLAAHMRDKCNISDMESACDIP >Vigun02g073800.1.v1.2 pep primary_assembly:ASM411807v1:2:22553869:22556600:1 gene:Vigun02g073800.v1.2 transcript:Vigun02g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLLLKDKLVSFIKRFAFSIFYLMLPATLLCTYLYLLSLTHHSTTTTAAAATTYHPSFSSLHPSLPPSSSSFSSEKDKVYRDACDYSNGEWVDDRSGPLYNVTTCGTIKESEKCISNGRPDTGYLYWRWQPKECNLPRFEPLTFLQLVENKHIAFVGDSLARNQLESLLCMLATVSTPNLVYKSPNDNKFRRWHFPSHNANFSLYWSPFLVQGVERSNEGPYYNTMHLDHVNERWARDLEWFDMIVVSFGHWFLLPSVYYEGGSVIGSLNCPDLNHTQMDFYVPLRKVLRTILSSIIERKCEIDVIVKTFSPAHFEGDWDKAGTCLKTEPYKKGEKEVEGMDAEIRKIEIEEVENAKAKASGFGGFRLDIVDVTRLALLRPDGHPGPYMNPFPFANGVPERVQNDCVHWCLPGPIDTWNEIFLQIIKKWDKR >Vigun02g073800.2.v1.2 pep primary_assembly:ASM411807v1:2:22553958:22556513:1 gene:Vigun02g073800.v1.2 transcript:Vigun02g073800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLLLKDKLVSFIKRFAFSIFYLMLPATLLCTYLYLLSLTHHSTTTTAAAATTYHPSFSSLHPSLPPSSSSFSSEKDKVYRDACDYSNGEWVDDRSGPLYNVTTCGTIKESEKCISNGRPDTGYLYWRWQPKECNLPRFEPLTFLQLVENKHIAFVGDSLARNQLESLLCMLATVSTPNLVYKSPNDNKFRRWHFPSHNANFSLYWSPFLVQGVERSNEGPYYNTMHLDHVNERWARDLEWFDMIVVSFGHWFLLPSVYYEGGSVIGSLNCPDLNHTQMDFYVPLRKVLRTILSSIIERKCEIDVIVKTFSPAHFEGDWDKAGTCLKTEPYKKGEKEVEGMDAEIRKIEIEEVENAKAKASGFGGFRLDIVDVTRLALLRPDGHPGPYMNPFPFANGVPERVQNDCVHWCLPGPIDTWNEIFLQIIKKWDKR >Vigun09g131600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29018735:29018869:1 gene:Vigun09g131600.v1.2 transcript:Vigun09g131600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKNEHISFSFYMPTITSYFGFLLSVLIITSSLFIGLSKIRLI >Vigun04g135700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33981167:33982631:-1 gene:Vigun04g135700.v1.2 transcript:Vigun04g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMASLTLTTLALTLISLTFSSQTLADNYIYSSPPPPSPKPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHSPPPPYYYHSPPPPKHPYKYSSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPYKYPSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPYKYSSPPPPPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVHSPPPPHYIYASPPPPYHY >Vigun07g198100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun07g198100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun07g198100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun07g198100.1.v1.2 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPPAVANASSHLGLTASTAGKMRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun07g198100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun07g198100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31826591:31829618:1 gene:Vigun07g198100.v1.2 transcript:Vigun07g198100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSIIDGFVEISECMAEMTKYVANEPSVGLFFIQQHVQNAVPNVIKVKNNVVEKSHETTLQTEDLEDSVTVVRAMKEHGFPIADKMIGEIKKSLKILETKQPKRGLINPLSRSHSERASFNTQEGNKKGNNYFSNVLMSAKQKASSFKWRQHDASGSIDSMDEKPQIYPNLPLSASSASISSSFWAAKTEELPVASHGEDESQHEDHDASDISINLLSVSSDRYEDFKACKEAKLEEWLDGTGTLDEKRS >Vigun02g139800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28889553:28891670:-1 gene:Vigun02g139800.v1.2 transcript:Vigun02g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVCFCAAEGHALSLLQKCTTVTSIREARQLHALILTTTTVFNSQSPFMYNNILSMYARCGSLTDSHLMFDKMPRRTVVSYNALLAAYSRASSNHAISALELYIQMENKGLRPSSMTFTSLLQASSLLQHWWFGSSLHAKGFKLGLNDICVQTSLLNMYSTCGDLSSAELVFGDMVDRDDVVWNSLIVGYLKNNKMEEGFWLFITMMRVGFVPTQFTYCMVLNACSHLKDYRSGRLIHAHVIVRNVPVDLHLQNALVDMYCNVGNTRTAYEILSRMECPDLVSWNSMIAGYSENEDGENAMNLFVQLREMCFPKPDDYTYASIISATGAFPSSSYGKSLHAEVIKMGFERSMFVGSTLVSMYFKNHESEAARRVFYAISVKDAILWTEMITGYSKTTDGIKAIRCFFEMVHEGHGVDDYVLSGVLGACADLALSRQGEIIHCYAVKVGYEVEMSVSGSLIDMYAKNGSLEAAYLVFSQVSDPDLKCWNSMLGGYSHHGMVEEALKLFEEILKQGLVPDQVTFLSLLSGCSHRRLVEQGKFLWNYMNRIGLIPGPKHYSCMVNLLSRAALLEEAEEIINKSPYMEDNLELWRTLLSACVINKNFKVGIHAAEEVLRLKAEDGPTLVLLSNLYAAARRWDKVAEIRRNMRGSMLEKDPGLSWIEAKNDIHVFSSGDHSHPEADEVQAELHRLKRNMIRTGNDGRET >Vigun01g031825.1.v1.2 pep primary_assembly:ASM411807v1:1:3998007:3998330:1 gene:Vigun01g031825.v1.2 transcript:Vigun01g031825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQAVLFAASTMMYCERRLFGHVKRIAFNPLYASHVLHDSRKIKVNKRQWTLKDYQTYYRPGLFALQDILTADFVRLMELDFF >Vigun01g059000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12288237:12291958:-1 gene:Vigun01g059000.v1.2 transcript:Vigun01g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVGSVVKAVAEYQYPWREKLVKYKDELAKGVWGYWDLGAWKPLSISARRRARLRKEVLLAGEDWPYDPERKEMKTRRKGHKCDRISAEKRENTAKLMEKMPQMLQDYKKRRWQKKMKEEDKGKL >Vigun01g059000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12288237:12291958:-1 gene:Vigun01g059000.v1.2 transcript:Vigun01g059000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVGSVVKAVAEYQYPWREKLVKYKDELAKGVWGYWDLGAWKPLSISARRRARLRKEVLLAGEDWPYDPERKEMKTRRKGHKCDRISAEKRENTAKLMEKMPQMLQDYKKRRWQKKMKEEDKGKL >Vigun01g059000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12288237:12291958:-1 gene:Vigun01g059000.v1.2 transcript:Vigun01g059000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGVGSVVKAVAEYQYPWREKLVKYKDELAKGVWGYWDLGAWKPLSISARRRARLRKEVLLAGEDWPYDPERKEMKTRRKGHKCDRISAEKRENTAKLMEKMPQMLQDYKKRRWQKKMKEEDKGKL >Vigun05g234600.1.v1.2 pep primary_assembly:ASM411807v1:5:42822043:42826732:-1 gene:Vigun05g234600.v1.2 transcript:Vigun05g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRLPEPPSPTAARGTPDIFESGVHTIVRRAVVISNGFPSSENNSIGLLRALGFSRNHLLYRVTRPKGGIDDWLQWLPLSLHQKFHYLFSLFRAFSSKSQYLPITSHPNGLSSILEADAKHIVNLVRETYEKEGPLLVVASGRDTISVASSIKRLAPDLVFVVQIQHPRSHLNRFDMVITPHHDYYPLTPEGQNQVPRFLRSWITPCEPPDSHVVLTLGALHRIDFASLRSAAITWQDVFAHVPKPLLVVNIGGPTKNCPYGVDLAKQLATSLLSVLGSCGSVRISFSERTPQKVSNIIVKELGNNPKVYVWDGQEPNPHMGHLAWADAFVVTADSISMISEACSTGKPVYIMGAERCKWKFTEFHKSLRERGVVRPFTGSEDISENWSYPPLEDTADAAKRVHEALAARGWKLKI >Vigun03g062600.1.v1.2 pep primary_assembly:ASM411807v1:3:5143069:5145481:1 gene:Vigun03g062600.v1.2 transcript:Vigun03g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVFLVLALASLTNPLPTIIAQTPTPSKFQPSTLPNTTPSAPSVAKQQEASSPTSTSKPTPATTSSPSKLPNAASPFSATKPPIVIATPLPSRKPPPATTSPTSSKSPLPKVSSPTSSAPVKSPLPKVSSPKSSVPVRSPVPKATSPTSAPVKLPDPKPLPPISSPVKPPVPKTTPPKIAPLKPPVPKTTPPKIAPVKPPVPKITPASSPKPPSPKIPPPQPPKKAPISPPQPPKKAPISPPPLPLLPTPKVSPTPAEAPTAPAPAKKAPAPAPAHKKKAPKPSPVPSPLSKTPTPAPTPSIETPTPAPAREDDTPEPPPHRHGRRRHKHKTHHSQSLAPAPTIIRRSPPAPLVQDITTTQPEETPAPAPNPNANGAQAYNRQGNTWPSVGVAIAILLSVIT >Vigun03g369300.1.v1.2 pep primary_assembly:ASM411807v1:3:57216667:57219945:1 gene:Vigun03g369300.v1.2 transcript:Vigun03g369300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTGTVAAKFAFFPPEPPTYDVFREGDGRVVLSGVAADKNVDIHILDTKSGNKIVATFWKHPFARFTFLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASTGKPSELNTYYDIEAVYHCLKREYGIKQEELILYGQSVGSGPTLHLASKLQKLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRHVNCPVFVIHGTNDDIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETFPEYIKYLRKFINAMEKLALTKQSSKQLSQNPSITESRHNKCLRFV >Vigun07g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31997886:31998200:-1 gene:Vigun07g199500.v1.2 transcript:Vigun07g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFVSKFLLLLLLLLNPHGCSRRGCSTLVLVNGARALSEHNGPKYINLKAQKGNGKGGFRGGSVEACLPKGFRRSSAPSRYINYQPLGSTCSSGKLVNGPRA >Vigun04g146300.1.v1.2 pep primary_assembly:ASM411807v1:4:36173966:36174687:-1 gene:Vigun04g146300.v1.2 transcript:Vigun04g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLLGNMSDPEAVIKVTTSDGGIMEFYAPITVSFITNEFPGHGIFLSHELFCKPLGQFDELMAGKSYHLLPLNNQPEHPPATTGYGAAAIRQGHVRSLRVRFLNKTSIEPFSCRTSTSNNNNTGKCSVVTSGSSKSSRFWKVKLVITPQQLMDILSQEARTKELIESVRIVAKCGVSAGGILPASAAASIVSDQWSLSSSGRSACDSSKIDALVVDV >Vigun04g009000.2.v1.2 pep primary_assembly:ASM411807v1:4:661353:670353:1 gene:Vigun04g009000.v1.2 transcript:Vigun04g009000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDAQNGVSSVRHAFGNVLAFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWILNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAILLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVALVVSVGLAVCFAMVAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPHLSDHSQAGEGSSENVVPNDSVKADKTEDTSKERTSKKSKKKEKEPVEKPLSKSQIKKRLSVLPLETSVVAIILLVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSMATPTMLNCLMYKLSYYRFVETDGKAFDRVRRTEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKSKTSSKTASKRKGLKRNPF >Vigun04g009000.1.v1.2 pep primary_assembly:ASM411807v1:4:661353:670353:1 gene:Vigun04g009000.v1.2 transcript:Vigun04g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDAQNGVSSVRHAFGNVLAFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWILNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAILLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVALVVSVGLAVCFAMVAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKFQLPHLSDHSQVDAGEGSSENVVPNDSVKADKTEDTSKERTSKKSKKKEKEPVEKPLSKSQIKKRLSVLPLETSVVAIILLVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSMATPTMLNCLMYKLSYYRFVETDGKAFDRVRRTEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKSKTSSKTASKRKGLKRNPF >Vigun09g063500.3.v1.2 pep primary_assembly:ASM411807v1:9:6665975:6670268:1 gene:Vigun09g063500.v1.2 transcript:Vigun09g063500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLSHQTSRPFPTACASSSPPSTAVSLRRHLPPPPKPRKLSLSVKNSNRFKWVIQSSLAEQSPPKTFDIQELVRFLYDDLTHLFDDQGIDKTAYDERVFFRDPITKHDTLSGYLFNIALLKTIFNPQFQLHWVKPTGPYEITTRWTMVMKFALLPWKPELIFTGTSVMGINPENGKFCTHVDFWDSIQKNDYFSFEGLLDVIRQLRIYKTPELETPKYQVLKRTANYEVRQYEPFIVVETNGDKLSGSTGFNDVAGYIFGKNSTMQKIPMTTPVFTQSNDADLSKVSIQIVLPSDKETESLPSPNQETVRLRKNEGGIAAVMKFSGKPTEDVVCEKEKILRSNIIKDGLKPQIGCLLARYNDPGRTWTFTME >Vigun09g063500.2.v1.2 pep primary_assembly:ASM411807v1:9:6665974:6670268:1 gene:Vigun09g063500.v1.2 transcript:Vigun09g063500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLSHQTSRPFPTACASSSPPSTAVSLRRHLPPPPKPRKLSLSVKNSNRFKWVIQSSLAEQSPPKTFDIQELVRFLYDDLTHLFDDQGIDKTAYDERVFFRDPITKHDTLSGYLFNIALLKTIFNPQFQLHWVKPTGPYEITTRWTMVMKFALLPWKPELIFTGTSVMGINPENGKFCTHVDFWDSIQKNDYFSFEGLLDVIRQLRIYKTPELETPKYQVLKRTANYEVRQYEPFIVVETNGDKLSGSTGFNDVAGYIFGKNSTMQKIPMTTPVFTQSNDADLSKVSIQIVLPSDKETERFVLPV >Vigun09g063500.1.v1.2 pep primary_assembly:ASM411807v1:9:6665975:6670268:1 gene:Vigun09g063500.v1.2 transcript:Vigun09g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLSHQTSRPFPTACASSSPPSTAVSLRRHLPPPPKPRKLSLSVKNSNRFKWVIQSSLAEQSPPKTFDIQELVRFLYDDLTHLFDDQGIDKTAYDERVFFRDPITKHDTLSGYLFNIALLKTIFNPQFQLHWVKPTGPYEITTRWTMVMKFALLPWKPELIFTGTSVMGINPENGKFCTHVDFWDSIQKNDYFSFEGLLDVIRQLRIYKTPELETPKYQVLKRTANYEVRQYEPFIVVETNGDKLSGSTGFNDVAGYIFGKNSTMQKIPMTTPVFTQSNDADLSKVSIQIVLPSDKETESLPSPNQETVRLRKNEGGIAAVMKFSGKPTEDVVCEKEKILRSNIIKDGLKPQIGCLLARYNDPGRTWTFTMRNEVLIWLNDFSLD >Vigun10g017900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2019950:2021584:1 gene:Vigun10g017900.v1.2 transcript:Vigun10g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSHQHKLRTKPKISMEKTTHIAVIPGIGFTHLAPILNFSKRIVQLHPHFHVTCIIPSIGSLPNASKAILQTLPPNINPILLPPVNLNDQPQGTRPLIKMHLAMTRSMQSIHHTLKSITSNAPIVAMIIDSFAGEALDFAREFNILCYMYFPCSVTTLSTYLYMPKLDEETTCEYKDLPHPVQVPGCVPFHGRDLCCATQDRSALPYKLFLQRVKCIPLVDGVFVNSFLEMEKGPLRALEEEGKGYPPVYPVGPIVETGTTCTTGSECLTWLDKQQDGSVLYVCFGSGGTLSQEQMNELAHGLELSKHKFLWVVRAPSDEASAGYLVGDKDADPLEFLPSGFLERTKEQGMVVPSWVPQIEILRHSSVGGFLSHCGWNSTLESMVHGVPLITWPLFAEQRMNAVVMSEGVKVGVRPRVSENGLVERVEIVKVIKCLMEEEEGREMRKRMKELKEDAANAIKEDGSSTKTLSQIAFKWKSLACENRFC >Vigun09g112200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24464486:24465425:1 gene:Vigun09g112200.v1.2 transcript:Vigun09g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTTTTRPVVMSSSSITGTTTITIQNSEPSTSSSQHQQQQPEEVLFLPLNRKKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDDHHSDERPHDSRFCCKNHDEAGPRS >Vigun10g000200.2.v1.2 pep primary_assembly:ASM411807v1:10:71483:72250:1 gene:Vigun10g000200.v1.2 transcript:Vigun10g000200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYNPNEPHSSSSKLLSATLTAKLHKCHMASLSLQMAKQKSPQNKEPHPSEGSNPFASANVIVGSSAETGFRWTENRHDELMWLHGNWVGVAGQVEVSHQQFQPVLEDDHIEQMIQELLDYGSIELCSVCST >Vigun10g000200.1.v1.2 pep primary_assembly:ASM411807v1:10:71273:72249:1 gene:Vigun10g000200.v1.2 transcript:Vigun10g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYNPNEPHSSSSKLLSATLTAKLHKCHMASLSLQMAKQKSPQNKEPHPSEGSNPFASANVIVGSSAETGFRWTENRHDELMWLHGNWVGVAGQVEVSHQQFQPVLEDDHIEQMIQELLDYGSIELCSVCST >Vigun07g226900.1.v1.2 pep primary_assembly:ASM411807v1:7:34897807:34900758:-1 gene:Vigun07g226900.v1.2 transcript:Vigun07g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVRSEVHENDENYPHIVPSEQVTHGEEEQHFDHEKKSVLNKVKVKAKKIKDTIKKHGHQVLDRGREYNNEDQHNLDDDDLDEDEDTDKDTQVPETPIHESEGVKIVTPTSEQLKNLGKPGIDFGDTTVMADESHYNSLPFSTTEIDQKIGSEPAEAFSVEEKAGLPKDNLERSIGLEEEHYSPGSRPDAYTPPNYQTKDTYPTEEALKEEVEIAQVEESFARMNVQEEPKSISELNLQPAIVDSEYPRLVNHDQSVTNLSDAMQTQDPSSHEQFTQETISPDINKNLENVTDSGQAFDTITTAVDEHSRNEANTYKVFSPRDVTASEVGAVEKDERKDNVVTNEEQPKNGDASNMSGSTAQYGRDIAHSLTQKLGPVYDKVAGVGSAVKSRVYGTETKNEVKEQDKGVSVKDYLAEKLRPGEEDKALSEVISETLYKRKEEPVKSEHDLDGGDEKMREESCVHDPGKGVVDKLKGVVGSWFGKSEGKGDEHLSKNTNSGAELEQVNPVVGESKSSPIGEPETR >Vigun07g226900.2.v1.2 pep primary_assembly:ASM411807v1:7:34897807:34900758:-1 gene:Vigun07g226900.v1.2 transcript:Vigun07g226900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVRSEVHENDENYPHIVPSEQEQHFDHEKKSVLNKVKVKAKKIKDTIKKHGHQVLDRGREYNNEDQHNLDDDDLDEDEDTDKDTQVPETPIHESEGVKIVTPTSEQLKNLGKPGIDFGDTTVMADESHYNSLPFSTTEIDQKIGSEPAEAFSVEEKAGLPKDNLERSIGLEEEHYSPGSRPDAYTPPNYQTKDTYPTEEALKEEVEIAQVEESFARMNVQEEPKSISELNLQPAIVDSEYPRLVNHDQSVTNLSDAMQTQDPSSHEQFTQETISPDINKNLENVTDSGQAFDTITTAVDEHSRNEANTYKVFSPRDVTASEVGAVEKDERKDNVVTNEEQPKNGDASNMSGSTAQYGRDIAHSLTQKLGPVYDKVAGVGSAVKSRVYGTETKNEVKEQDKGVSVKDYLAEKLRPGEEDKALSEVISETLYKRKEEPVKSEHDLDGGDEKMREESCVHDPGKGVVDKLKGVVGSWFGKSEGKGDEHLSKNTNSGAELEQVNPVVGESKSSPIGEPETR >Vigun07g289500.1.v1.2 pep primary_assembly:ASM411807v1:7:40179751:40180891:-1 gene:Vigun07g289500.v1.2 transcript:Vigun07g289500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSSTMPTHFIDNLRRHRLGQRLGSAPDGTDHATHLHRHSFEEVKKEFDLVPILPEASLVRQKYTNEYEVVVNEQIKFFKESSEEEREHVDKLMEYQQGNLLCLLEKLTNEKLLNLHTVASKNNDV >Vigun10g001400.1.v1.2 pep primary_assembly:ASM411807v1:10:162047:166457:-1 gene:Vigun10g001400.v1.2 transcript:Vigun10g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRPKLVVPLFMLLLILLSFGCSNAELEQEFGAAPHKKVIVGTGVENSLKLEDINGKKGGGSSRVSVSTVALFTLAMAAATGLGALPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQYYGAGNWVVIGILAGGIFIWLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQKSMLWSVITSLPQPIVAVPSFICADAFSKLLPFCTGFAAGCMIWMVVAEVLPDAFKEASASQVASAATLSVAFMEALSTLFQNFNRDNNSEDASGFFVSLLFGLGSLLGGIILVVFALALRLRHTILMGIACGIAFVLGAWRPVQLILSSKLGFVPVVLLLAMGAALVHFSSSGVLKVAARKKTSVNDLPSLTGFSVSVQTLQSFVSCGAVALHALAEGLALGVAAPKAYGFGRHMVVPVSLHGLPRGAAVASCIYGATDSWHGSLATAAIIGFMGPISAIASILAGIDYSGLDHIMVLACGGIILSFGNVVKRALSLDKKKSSCGLVMGIAFATLCLTFTKLVCLHTPYCNSAPEAVR >Vigun01g112700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28715852:28718828:1 gene:Vigun01g112700.v1.2 transcript:Vigun01g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESLLAKLASRAFEEASRVVGLYDDLQDLTNTLSLVKAVLLDAQQKQEHNHELRQWLTQLKTVFSDAEDLLDEFECQTLRKTVVKAHGSTKDKVSHFFSTSNPLVFRYKMAQQIKDISIRLDKVANNRNKFTLQRIDVDTRVVHRRDMTHSRVSDSDVIGRKDDKEKVIKLLMQQNPNDDDTSLSVIPIVGIGGLGKTTLAKFVFNDSRIQECFPLKMWVCVSDDFDIKQLIIKIIYSVKDSISDDAPSHQPNWNMLDLEQLQNELKNKLSGQKFLLVLDDVWNEDRVKWVELRDLIQVSAAGSKILVTTRSNSIASMMGTIPPHILGGLSEGDSLSLLVKWAFKEGEEEKHPHLVDIIGREIVRKCGGVPLAVRTLGSLLFSKCEASEWEYVRDNEIWNLPQKKDDILPALKLSYDLMPSYLRQCFALFSLYPKDYQFVSNSITSLWGALGLIALPKTNRTHEEVANQYLYELLSRSFLQDFDNFGTMYGFRIHDLVHDLALFVAKDECLHMNSNVQIIPDNVRHLSFAESSLFSNLVPQKSAAVRTVLFPNGVAAANCEAILKTCLEKFKRLRVLDVVQHLRLCLVTLPS >Vigun07g195200.3.v1.2 pep primary_assembly:ASM411807v1:7:31459433:31464124:-1 gene:Vigun07g195200.v1.2 transcript:Vigun07g195200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFYISFFFFIITITTSAPPPPPPPPPYPYGLSYHINCGTSANSTDSFNTTWLSDRFFSAGSSALVSQPLNFPLPSEKTLRFFPPSSYGKKNCYTFPSLPSSSRYLIRTFTVYDNYDAKSRPPSFDVALSSTVLFSWRSPWPESTAAKGAYSDLFASLSNTSSSLDLCFYGFATDAPLVSSIELIQVHPAAYDAPDNIILVNYGRISCGASQHWGAAFSNDTDRFARSWQPDSAFRKSPQDSNDVRFLSTSNSISGADEDPNYFPMKVYQSAVTTEGTLEYELEVDAKMDYTVWLHFAEIDSSVKKAGERVFDVFINGDNKTRVDIYKQVGGFSALTLHYTLKNLSSNVFTLKLVPAVGAPLISGVENYALVPIDPATLPLQASAMKALKESLRVPDRMGWNGDPCAPTTWDAWEGVTCRMTTDKTALVISEIDLATQGLKGYISDQISLLSNLVSLNLSSNSLAGEIPAGLGQKSLIQVDLSNNQLTGSIPDGLASSNLKLVLLNGNLLEGRVPEQLYSVGVRGGAIDISCQEKPLSEAEIVDAS >Vigun07g195200.2.v1.2 pep primary_assembly:ASM411807v1:7:31459433:31464124:-1 gene:Vigun07g195200.v1.2 transcript:Vigun07g195200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFYISFFFFIITITTSAPPPPPPPPPYPYGLSYHINCGTSANSTDSFNTTWLSDRFFSAGSSALVSQPLNFPLPSEKTLRFFPPSSYGKKNCYTFPSLPSSSRYLIRTFTVYDNYDAKSRPPSFDVALSSTVLFSWRSPWPESTAAKGAYSDLFASLSNTSSSLDLCFYGFATDAPLVSSIELIQVHPAAYDAPDNIILVNYGRISCGASQHWGAAFSNDTDRFARSWQPDSAFRKSPQDSNDVRFLSTSNSISGADEDPNYFPMKVYQSAVTTEGTLEYELEVDAKMDYTVWLHFAEIDSSVKKAGERVFDVFINGDNKTRVDIYKQVGGFSALTLHYTLKNLSSNVFTLKLVPAVGAPLISGVENYALVPIDPATLPLQASAMKALKESLRVPDRMGWNGDPCAPTTWDAWEGVTCRMTTDKTALVISEIDLATQGLKGYISDQISLLSNLVSLNLSSNSLAGEIPAGLGQKSLIQVDLSNNQLTGSIPDGLASSNLKLVLLNGNLLEGRVPEQLYSVGVRGGAIDLSGNKGLCGVPSLPSCPMFWEHGRLSSRGKLAIGLSCLFVLCVVLLLAYIYIRRKKNDYDFGLPHELISAKRNRYQRQKSLMLLELESQHAKGLPSPFTPL >Vigun07g195200.1.v1.2 pep primary_assembly:ASM411807v1:7:31459433:31464124:-1 gene:Vigun07g195200.v1.2 transcript:Vigun07g195200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFYISFFFFIITITTSAPPPPPPPPPYPYGLSYHINCGTSANSTDSFNTTWLSDRFFSAGSSALVSQPLNFPLPSEKTLRFFPPSSYGKKNCYTFPSLPSSSRYLIRTFTVYDNYDAKSRPPSFDVALSSTVLFSWRSPWPESTAAKGAYSDLFASLSNTSSSLDLCFYGFATDAPLVSSIELIQVHPAAYDAPDNIILVNYGRISCGASQHWGAAFSNDTDRFARSWQPDSAFRKSPQDSNDVRFLSTSNSISGADEDPNYFPMKVYQSAVTTEGTLEYELEVDAKMDYTVWLHFAEIDSSVKKAGERVFDVFINGDNKTRVDIYKQVGGFSALTLHYTLKNLSSNVFTLKLVPAVGAPLISGVENYALVPIDPATLPLQASAMKALKESLRVPDRMGWNGDPCAPTTWDAWEGVTCRMTTDKTALVISEIDLATQGLKGYISDQISLLSNLVSLNLSSNSLAGEIPAGLGQKSLIQVDLSNNQLTGSIPDGLASSNLKLVLLNGNLLEGRVPEQLYSVGVRGGAIDLSGNKGLCGVPSLPSCPMFWEHGRLSSRGKLAIGLSCLFVLCVVLLLAYIYIRRKKNDYDFGLPHELISLAAKRNRYQRQKSLMLLELESQHAKGLPSPFTPL >Vigun02g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25808248:25809992:-1 gene:Vigun02g103600.v1.2 transcript:Vigun02g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVDSLNNAYQDFVTAAANVLEAKENAGSVKTTATDTALENFRQKWELFRVACDQAEEFVESVKQRIGSECLVDEATRPVAGKPGQATMTGLPPISAVRLEQMSKAVRWLVIELQHGSGATSANSALSHPSAPFDARFSEDATQ >Vigun06g131800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25799073:25799786:-1 gene:Vigun06g131800.v1.2 transcript:Vigun06g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWYTSSFRWPELSLSVPWSIFRWPELSLSVPWSTFRWPALDLSYWNVDSVIQWNLSLVDDVVWALITGLESIALVALLCYFFICCGCTL >Vigun06g180700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30051099:30051721:1 gene:Vigun06g180700.v1.2 transcript:Vigun06g180700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >Vigun06g180700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30051099:30051320:1 gene:Vigun06g180700.v1.2 transcript:Vigun06g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >Vigun06g153400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27741198:27745034:1 gene:Vigun06g153400.v1.2 transcript:Vigun06g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHPTSSGIHLYHQPVQNIDPYTRYQVLQSNSCHEIHDSNSQGTTISFEPCKEQYFTLESSPPLNDLIGCDSPSYASASSSSNRSPFSPHVSHSYHSDQHQSSDNNYGSPTSAHSSADDSYELKHKLRELEISLLGPDSGIVDSCQCCYEGGHHGASSMAKYNWDQVVEMIPKLDLKEVLNRCAQAVSDGDIETAVGFMNNVLPKMVSVGGDPIQRLGAYMLEGLRARLESSGSLIYKALKCEQPAGKDLMSYMHILYQICPYFKFAYVSANAVIGEAMVNESRIHIIDFQIAQGTQWMLLIHALASRPGGPPFIRVTGVDDSESFHARGGGLDIVGKRLSDYAKSRGVPFEFHSAAMSGCEVELENLVVVPGEALAVNFPYVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFQRFVETLSYYSAMFESIDVALPREDKQRISAEQHCVARDIVNMIACEGPERVERHELLGKWRSRFSMAGFAAWPLSSSVTNAVRNMLREFNGNYRLEHRDGALYLGWKRRALSTSSAWRCY >Vigun08g200300.1.v1.2 pep primary_assembly:ASM411807v1:8:36504817:36506962:1 gene:Vigun08g200300.v1.2 transcript:Vigun08g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >Vigun06g018600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8562415:8564179:1 gene:Vigun06g018600.v1.2 transcript:Vigun06g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPPYSAPQQHGLQIRIRSGGHDYEGLSYVAEVPFVILDLLYLRNITVDIENRSAWVQAGATLGELYYMISRKSKTLGFPAGVCVTVCTGGHFSGGGYGFLMRKYGLASDNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKVKLVPVPSTVTVFNVKRTLEENATEMIQKWQLVANKLDNRIFIRMDIARVKSSEKYGKLTIEASFVSMFLGGVEELLPLMQESFPELGLDRKDCSETSWVGSTVFTNGVLIGSTGHEDPEVALLNRTQIRAGKYKGKSDYVSKPIPLDGLEGLWRLLSDEEIEYVQLQFAPYGGIMDEISESETPFSHRSGYIFHIHYLVCWHEKGEEAEQRHMNWTRKLYKYMEPYASKSPRAAYLNYRDLDIGVNNEGYTNYTQASTWGVKYFKNNFKRLAMVKTKVDPHNFFRNEQSIPTLSDEEQYYLETI >Vigun05g132800.1.v1.2 pep primary_assembly:ASM411807v1:5:15611720:15613872:-1 gene:Vigun05g132800.v1.2 transcript:Vigun05g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSANILKQTLEETLDGESDNIDRLSALPESVLLSILSCLELKEAAATSVLSTTWRDIFLQLPNICLNFNINRNPPEQARLFHIFTLFAGRVFRERNPEAPVWFLKVSVSNFTQMMEEDYRSLLMSAAAAVSTQKVYQFDLRLTCSLLIESLNIVLPPAMFTSETLTILRLTLYADWDVPKNVWLPNLKYAHFIPYRLMHENSTQRFLDGCPRLQGLILMIGYITDSYETNVKTLRISSSSLKNLRLGWDQMDESEIMNIIVKSESLERLTLSLTGGHRVNVDTPNLNFFSITGYVLELNMIQSLPLIDEVVLDVQYIIQSSTYPQTQDASTFLRALENVRLLDISEQSMKALYESTSVVPIFRNMYKISLNYCNLFPHSRIQQVLFNLFENCPNLQVLFFEKVKVFHHYYYFHHVDFESVFPISMVQNLKRLEIFDFKGREMEYKLVEFFMNNGPCLETVFLRKDHLRGIWKPEQEQRIVSMMCSEECNIILSQNLESKIISIKKLDSP >Vigun03g050900.2.v1.2 pep primary_assembly:ASM411807v1:3:4101540:4106021:1 gene:Vigun03g050900.v1.2 transcript:Vigun03g050900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRQNENDRHKIEYYRGPHSMWNTDSQHQVKEPNALVMNKKIRSIMAERQAAILELELEAAISEKNEALAARDAAIRQRDEALVQRDNAILERDNALAALQSRNSSVNFPFGSGIQCGSKRMHHSSNHLSIMSETVYKTNDASPIKVIPSEVVKSHQTKRTKENKVTGSKTSNPPYKVKKMGEDLNRKASFEGTKIRSEWHRQDIGLNLVTFDETTMPVPVCTCTGIPRQCYKWGNGGWQSSCCTTTLSMHPLPQLPNKRHARIGGRKMSGSVFTRLLSRLVLEGHDLSIPLDLKEYWARHGTNRYITIK >Vigun03g050900.1.v1.2 pep primary_assembly:ASM411807v1:3:4101856:4106021:1 gene:Vigun03g050900.v1.2 transcript:Vigun03g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRQNENDRHKIEYYRGPHSMWNTDSQHQVKEPNALVMNKKIRSIMAERQAAILELELEAAISEKNEALAARDAAIRQRDEALVQRDNAILERDNALAALQSRNSSVNFPFGSGIQCGSKRMHHSSNHLSIMSETVYKTNDASPIKVIPSEVVKSHQTKRTKENKVTGSKTSNPPYKVKKMGEDLNRKASFEGTKIRSEWHRQDIGLNLVTFDETTMPVPVCTCTGIPRQCYKWGNGGWQSSCCTTTLSMHPLPQLPNKRHARIGGRKMSGSVFTRLLSRLVLEGHDLSIPLDLKEYWARHGTNRYITIK >Vigun03g050900.3.v1.2 pep primary_assembly:ASM411807v1:3:4101855:4106021:1 gene:Vigun03g050900.v1.2 transcript:Vigun03g050900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRQNENDRHKIEYYRGPHSMWNTDSQHQVKEPNALVMNKKIRSIMAERQAAILELELEAAISEKNEALAARDAAIRQRDEALVQRDNAILERDNALAALQSRNSSVNFPFGSGIQCGSKRMHHSSNHLSIMSETVYKTNDASPIKVIPSEVVKSHQTKRTKENKVTGSKTSNPPYKVKKMGEDLNRKASFEGTKIRSEWHRQDIGLNLVTFDETTMPVPVCTCTGIPRQCYKWGNGGWQSSCCTTTLSMHPLPQLPNKRHARIGGRKMSGSVFTRLLSRLVLEGHDLSIPLDLKEYWARHGTNRYITIK >Vigun03g050900.4.v1.2 pep primary_assembly:ASM411807v1:3:4101984:4106021:1 gene:Vigun03g050900.v1.2 transcript:Vigun03g050900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRQNENDRHKIEYYRGPHSMWNTDSQHQVKEPNALVMNKKIRSIMAERQAAILELELEAAISEKNEALAARDAAIRQRDEALVQRDNAILERDNALAALQSRNSSVNFPFGSGIQCGSKRMHHSSNHLSIMSETVYKTNDASPIKVIPSEVVKSHQTKRTKENKVTGSKTSNPPYKVKKMGEDLNRKASFEGTKIRSEWHRQDIGLNLVTFDETTMPVPVCTCTGIPRQCYKWGNGGWQSSCCTTTLSMHPLPQLPNKRHARIGGRKMSGSVFTRLLSRLVLEGHDLSIPLDLKEYWARHGTNRYITIK >Vigun09g004700.1.v1.2 pep primary_assembly:ASM411807v1:9:350746:355422:-1 gene:Vigun09g004700.v1.2 transcript:Vigun09g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAGGKGVMQTQEEQSNNASMVRWERFLPRMVLRVLLVEADDSTRQIIAALLRKCSYKVATVCDGLKAWETLKNKASDIDLILTEVDLPSISGFSLLSLIMEHDVCKNIPVIMMSSHDSVSMVFRCMLKGAVDFLIKPVRKNELRNLWQHVWRRHVIELGFAAENHAASNDSSGSVASTPKNNECSEKTSEVQDISQLKSSSNLSDIGTIKHENSTKCERESDKHNDKAGAKSIFISEDEVCNKTFKPTGLRPGQGYDFGEMRNQDEVLRIELTKTNPEINADIHGCSDELESPSTGAIDLIGTFKTLPKSTDEKCCFSSGNVSKFDFDTQLELSIRYFPGGSYKAVVEERQIWNHSNASAFSRYGSSKLLQPFCPTPSTISAQLTNVSHDSQKSRELFENASTSHQYGAKDQIKEKITTPDIGQSGQVDPKLPNSQLGFFPATGVTYDHHKSTGNENVFPSMLYSESGVHPIWAPKSVFQKESSPLPTSTSSQSKPQSRNSKHRHCSDDATHASDKNVNDQSHLDFETGDSPAASQTADTALYHDTTNHNCSGVYRSIGCTSDGNATSAKVTQDNHESFIDIGHLSHDGFIGTDSHRTSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVDSGLPVSDLGGDS >Vigun03g231700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38613519:38614845:-1 gene:Vigun03g231700.v1.2 transcript:Vigun03g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVVHSLGRVEHRDKGSRRKNHQSQRVPSPELYSQSRDFVVRITHAGGHQELYRHAVPASKLMTKYPGMCVARPEVFRVPHQSVLWREEILVPGHKYILISFKDVEKLKRKISEEEKSKEGDGVVVETKTRSPKERNGVAGHETLDTIINGGNSHRENGKMKEANGVAGQGLEKRTSVSPRGEGSNSVAKEGMGLGVEKVESNVDGCLGEGGVEDCFYSAKDFYVRREKSITPRPSRRKGIKGKKPFVAPLPKPRPYRSLAWQPSLPTVRELSP >Vigun03g387900.1.v1.2 pep primary_assembly:ASM411807v1:3:59396528:59398170:1 gene:Vigun03g387900.v1.2 transcript:Vigun03g387900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNVPVDTVVASDILRDATKAVAKIIGKPESYVMILLNGGVPIEFAGTEEPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLYIDSSRFYIKFYDVQRSFFGFNGSTF >Vigun01g031400.1.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946787:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLANNLAEGRLDGTTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRGICLLLDPPLSTAEMSNQARWSVLQSYNLLKWHRAAHRAAVKALESGGSMSVIIRSIEETLYCKI >Vigun01g031400.8.v1.2 pep primary_assembly:ASM411807v1:1:3944438:3946677:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQVFFQGLFIFPLNPSLQCTIICVLNMIEYFSFIILC >Vigun01g031400.6.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946708:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLANNLAEGRLDGTTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRGICLLLDPPLSTAEMSNQARWSVLQSYNLLKWHRAAHRAAVKALESGGSMSVIIRSIEETLYCKI >Vigun01g031400.3.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946708:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQGLNFGMKNLPITLLKADSMVQLLIGTAWYFLLVLQLKLLYTVRQRVEKMMKIYLEASVFFLILRCQQLRCPTKQDGQFCSHIICSSGIELRTELLLKHWKAVAA >Vigun01g031400.7.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946677:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLANNLAEGRLDGTTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRGICLLLDPPLSTAEMSNQARWSVLQSYNLLKWHRAAHRAAVKALESGGSMSVIIRSIEETLYCKI >Vigun01g031400.5.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946787:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLANNLAEGRLDGTTFDS >Vigun01g031400.2.v1.2 pep primary_assembly:ASM411807v1:1:3938538:3946708:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLANNLAEGRLDGTTFDRF >Vigun01g031400.4.v1.2 pep primary_assembly:ASM411807v1:1:3944438:3946677:-1 gene:Vigun01g031400.v1.2 transcript:Vigun01g031400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGVSPATELTRLRFLESERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGGVSFLISQGIDLRPNLAVVLGLAAADAIFLGGTCLAQISSYWPPFRRRILVHEAGHLVTGP >Vigun06g172000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29315369:29316739:1 gene:Vigun06g172000.v1.2 transcript:Vigun06g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYSSNISRVEVSKSPNFKDQSVVYSTPDSRTCTLHASVGVNTASVSLRDYTFDREGTRVDIRVRDIETNKEGRKGVGAIDLKYGGSALDVRKDAGQFSIGYGVPHVRCGYLVVTKHDTTAHGGSLFVTHVCGTAEQRLSLVVVQVWYDAAKGSFVCNITGPSRHASFIEVVAAMKKAYGDCVDSPSSTAHGAIPNTRIINNSGEFHGHLNGSMVSDSMINFFNVYMISSK >Vigun10g155200.1.v1.2 pep primary_assembly:ASM411807v1:10:37519035:37521347:1 gene:Vigun10g155200.v1.2 transcript:Vigun10g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCASSAIAAVAISTPSSKNGSLLGTAKASFLSGRKLKMNSFTAPVGARSSTTVCAVADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGELEYFTDTTTLFIVELFFIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >Vigun10g063900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:13743988:13745012:-1 gene:Vigun10g063900.v1.2 transcript:Vigun10g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVYVMYNLKLKSKQIRKTVALPFDDIESDDEWIMEDGDNVGFELVQGEGDEGNVDIVGPSSADPTLEAFDLDNIVFDANVDDAHLSYEEELDGGGEDDEEDDVGDDIIRGLEPEI >Vigun10g049900.3.v1.2 pep primary_assembly:ASM411807v1:10:7753507:7755339:-1 gene:Vigun10g049900.v1.2 transcript:Vigun10g049900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTQGFNPENLVQGNMPNTWKAMEALFDSGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQDKLRAFCTSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNTTAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGWSIPEDLLAKFSEIQQARLLRGTTFVHETCGAYKTVEELWDGEI >Vigun10g049900.4.v1.2 pep primary_assembly:ASM411807v1:10:7753507:7754988:-1 gene:Vigun10g049900.v1.2 transcript:Vigun10g049900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTQGFNPENLVQGNMPNTWKAMEALFDSGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQDKLRAFCTSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNTTAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGWSIPEDLLAKFSEIQQARLLRGTTFVHETCGAYKTVEELWDGEI >Vigun10g049900.5.v1.2 pep primary_assembly:ASM411807v1:10:7753507:7758072:-1 gene:Vigun10g049900.v1.2 transcript:Vigun10g049900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDIRFFDLNTGAKIPSIALGTWQSDPGVVAQAVAAAIKIGYRHIDCAHIYENEKEIGSMLKKLFEEGVVKREDLWITSKLWNTDHAPEDVPLALDRTLKDLQLDYLDLYLIHWPTPMKKGTQGFNPENLVQGNMPNTWKAMEALFDSGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQDKLRAFCTSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNTTAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGWSIPEDLLAKFSEIQQARLLRGTTFVHETCGAYKTVEELWDGEI >Vigun10g049900.1.v1.2 pep primary_assembly:ASM411807v1:10:7753507:7756589:-1 gene:Vigun10g049900.v1.2 transcript:Vigun10g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLFEEGVVKREDLWITSKLWNTDHAPEDVPLALDRTLKDLQLDYLDLYLIHWPTPMKKGTQGFNPENLVQGNMPNTWKAMEALFDSGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQDKLRAFCTSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNTTAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGWSIPEDLLAKFSEIQQARLLRGTTFVHETCGAYKTVEELWDGEI >Vigun10g049900.2.v1.2 pep primary_assembly:ASM411807v1:10:7753507:7755342:-1 gene:Vigun10g049900.v1.2 transcript:Vigun10g049900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIFQEIHWPTPMKKGTQGFNPENLVQGNMPNTWKAMEALFDSGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQDKLRAFCTSKGVHLSGYSPLGSPGTTWLKSDVLKHPVLNTTAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGWSIPEDLLAKFSEIQQARLLRGTTFVHETCGAYKTVEELWDGEI >Vigun03g085700.1.v1.2 pep primary_assembly:ASM411807v1:3:7103971:7109688:1 gene:Vigun03g085700.v1.2 transcript:Vigun03g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNYEYPRLQRPEIVTILGQFQIANVTEQELAKPNPDLISDLYTRVLFHLDVFLEEDNEQLDFDALEHLENPDLHVESARAVKLFNRIKEVLTDIECPRKFTFADLLVPDPHRTDLFLGALLNFYLYRDTKMNIVSEIVNEFNALEVQQEELQNTMLQLEREIAECNEAREREMPLVQEEEAKVNELKQSIVALNKHQSSLRSTCRKLKDRTAEMDEKISNAEFTLVQSVQENANLRSQIAQSPDKVQRALEEKKLAREEARNAERLARQAFHEKTTVVEVVSKVYKKMSKHYKLMQDIQEQVNSGKSTEKELKTLKAKISDDEMLEKSLEARLVETKSKVEQMEELMKQTEKECNTLGEEATKYLSNTKSEVESNSSTIETRQRNVEAVLSEVDAVNSEIASVKESAAVEVERLRRKCEELVEAFHKYANPIADVIESGQKRLEATQGVGSDI >Vigun06g230700.1.v1.2 pep primary_assembly:ASM411807v1:6:33731357:33732408:1 gene:Vigun06g230700.v1.2 transcript:Vigun06g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRGISATMVVLCMLGLFSEMTHAATYVVGGHIGGWAFNITSWPDGKNFKAGDTLVFNYNPQYHNVVIVDESGYNSCSAPAGSKTYQSGHDSITIASGTTYFICTNAGHCGAKMKMAVTAP >Vigun01g180700.1.v1.2 pep primary_assembly:ASM411807v1:1:36174543:36176199:1 gene:Vigun01g180700.v1.2 transcript:Vigun01g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLLSTTSSCPSNRFPPSSGHSVIEMAGGDDDDDGATSLVTYFGNVEAITEELNEVERLKQNLKRSHEKGKTLHNGKAVKDLRFAMEADVALALQTAKLIRFKLETLERANANHRSLPGCGPGSSSDRSRTSVVISLRKKLKNSLDGFNELRQQINAEHRETVQRQYFTVTGKNPDDETLDLLISSGESENLVEIAIEQGRGRIEECINEIKERHNGMKELEMNLKELHQVFLDMAVLIQSQGEQLDDIENQVARANSFVRNGTRNLESARKEQKNHRKWGCCAIILLLAIVLFVVLFFVRPWQK >Vigun11g093600.4.v1.2 pep primary_assembly:ASM411807v1:11:27620567:27622270:1 gene:Vigun11g093600.v1.2 transcript:Vigun11g093600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSVSDSSPNSSNFQGNIVPRGQKTMNCSSWGTSESRSLSLEVDFLPQQCHKSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLAHYADPGYNI >Vigun11g093600.2.v1.2 pep primary_assembly:ASM411807v1:11:27620387:27622270:1 gene:Vigun11g093600.v1.2 transcript:Vigun11g093600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSWGTSESRSLSLEVDFLPQQCHKSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLAHYADPGYNI >Vigun11g093600.3.v1.2 pep primary_assembly:ASM411807v1:11:27620478:27622270:1 gene:Vigun11g093600.v1.2 transcript:Vigun11g093600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSWGTSESRSLSLEVDFLPQQCHKSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLVGRYILKFLWMEDICICFPKICVSGSLC >Vigun11g093600.5.v1.2 pep primary_assembly:ASM411807v1:11:27620421:27622270:1 gene:Vigun11g093600.v1.2 transcript:Vigun11g093600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSWGTSESRSLSLEVDFLPQQCHKSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLVGSLC >Vigun11g093600.1.v1.2 pep primary_assembly:ASM411807v1:11:27620884:27622270:1 gene:Vigun11g093600.v1.2 transcript:Vigun11g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFYLSFCFLFRSQGNIVPRGQKTMNCSSWGTSESRSLSLEVDFLPQQCHKSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLAHYADPGYNI >Vigun06g179450.1.v1.2 pep primary_assembly:ASM411807v1:6:29916543:29917799:-1 gene:Vigun06g179450.v1.2 transcript:Vigun06g179450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSVNSPRRTLSLSKKRRATVSFFDPEDKTSGFGLSGDHGPKPSEVYGFVGSITTVVATVIFFIWAYVPESWLQSAGISYYPSRYWALAVPTYVMVTIVLMLGFYIGLNFISTPSPSSLNTVFDEFSRDPSSNECSLEDEKPIDPISDIGLDRINDIMFNNAT >Vigun02g030933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:13004516:13004665:1 gene:Vigun02g030933.v1.2 transcript:Vigun02g030933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILVVCGVVFGFWLGSLAGEGDEGKMMVAREIEIDGEVIFQHSLGQQ >Vigun01g242800.1.v1.2 pep primary_assembly:ASM411807v1:1:41195822:41201478:-1 gene:Vigun01g242800.v1.2 transcript:Vigun01g242800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNTNVVYIDTNLDTRFALLVAYDDTVADLKRSILLEHPSCFPQIGQIQINGIKVEREGHFYHLVDSMPVRSAFTGTLKSWLVSVDATVVRECSRNYQVATLGIVSNALTGRGDNGICSPSKGVSTFGNFNLQQLEKKPVENAEVPVRSPCVSQHSGKGAAEKLDTGVKSSVENNDLPGSAMEYEVDGTNKSIEGVCVVRKERNSKSVSDLSRKRKGKRKKEDAFRDDTLNVGDASVVGLGDCAIQQDIEVVAKTLENANKEVIMEIDVLKEHMHTDCNNSNTKNDINTAVSLQEASEPELSADKKHKKRKRSLSDDSKEIFKEETAAQKDEAHKSDEAHEERKELKDQFELKNENYRYGVEYKDDKVTGDILDAETPTKKKRKSSKEKSRDKSLPRAKLINDFNVDISSHHDLEDLQKIKNSNDDQSSAEKFSDNDTLRTILGRRRKGKKNSSNPHETPLITSSRNVEEEDHSSIQRGDQEEISLSKDMTMGKTTIDNMETGTDACKEDIQTTEKTTGNYNNHSDIEIIEHPANVVDHMELTEDNDKNEAGQIKGAEEGRELSPQNNPKLMVLDKSTPSNQDNSDAKVGELNVTSKVVDVNGTTESRKSKKEKKKKKKDKNSGGDSPFKEGIQHVDASESKTVIMKSLGATNCDPKSGNTETEENPLNQIGGKIQQEEMHGTSDKEIDFNIESAEHTGKRQRKKSNDKQSSISKSMSNMLLKDQGDSKNSPSSSDRETQAKSSVAVTKKLNSASTKSSKKSCKTNTEPEKDSVQLEPSDAVQLSTQSTGEKDDDNLEAPSKTLKVNADEQFLSRKQPGEANLSDVMLVDKLNETEMKAIETMTRNKIHQIESTNGQTHAKDLSSSLKLSSKEELDVRIHPGEKVPNAHRSGQDSKVSGNRTVIEENKKTRVKASEKKMDLEKQRKHVPVSNSKLEGSTKRIQSKAGKASGNNVRGVVGKTPQKKSLLSGAIFKDDSSSSSSSDNEVGNSGASTRTPSDNPLLSDGDSSSGSYGGQSPENGGRSSSKASLTETKGMSIDDVLRSSSWFKKAKIIASESQSQEFVPDSLAD >Vigun02g025600.2.v1.2 pep primary_assembly:ASM411807v1:2:8486095:8494439:-1 gene:Vigun02g025600.v1.2 transcript:Vigun02g025600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGRRRMRGERRRKLRFSKIYSFACGKQSLKEDHSQIGGIGYSRVVFCNEPETFEAGIRSYADNSVSSTKYNLATFLPKSLFEQFRRVANFYFLVTGILAFTKLAPYTAVSAILPLIIIIGATMIKEGIEDWRRKQQDMEVNNRRVKVHTGQGIFEYTEWKNLKVGHIVKIMKDEFFPADLLLLSSSYEDAFCYVETMNLDGETNLKLKQGLEVTSSLHEDIQLGDFKATIKCEDPNANLYSFIGSMEYEEQHYPLSPLQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTDAPSKRTKVEKKMDRVIYFMFCIVFLMAFVGSIFFGIATRDDLDNGVMKRWYLRPDDSTIFFDPERAPAAAIFHCFTALMLYGFFIPISLYVSIEIVKVLQSIFINQDIHMYYEDADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVEKAMDRKNGFPLIDNSRDSPVRNAPIKGFNFTDERIMNGKWFNEPNANVIKKFFLLLSICHTALPEVDEDTGNVSYETESPDESAFVIAAREIGFEFYKRTQTSLSIYESDPVSGDKIERTYKLLNVLEFNSSRKRMSVIVKDEEGRILLLCKGADSVMFERLAKDGREFEEKTLEHVNEYADAGLRTLILAYRELDENQYKEFNNKFSQAKNSVSEDRETLIEEISDKIERNLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLETPEIQALEKVGDKVSIVKACRENVRHQISEAAQQLTASRGTSQQAFALIIDGKSLTYALEDNMKNMFLDLAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMFPILYQEGVQNFLFSWQRIFSWMLNGFVSAIIIFFFCTKAMEIQAFDEKGRTAGRDILGATMYTCVVWVVNLQMAVTINYFTLIQHIFIWGSIAIWYLFLLAYGAMSPSISGNAYKVFIETLAPAPSFWIVTLVVVISTLIPYFSYSAIQMRFFPMYHDMVQWIRHEGKTNDPEFVAMVRQRSLRPTTVGSTARLVAKDNDFRDSSTNHR >Vigun02g025600.1.v1.2 pep primary_assembly:ASM411807v1:2:8486095:8494439:-1 gene:Vigun02g025600.v1.2 transcript:Vigun02g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGRRRMRGERRRKLRFSKIYSFACGKQSLKEDHSQIGGIGYSRVVFCNEPETFEAGIRSYADNSVSSTKYNLATFLPKSLFEQFRRVANFYFLVTGILAFTKLAPYTAVSAILPLIIIIGATMIKEGIEDWRRKQQDMEVNNRRVKVHTGQGIFEYTEWKNLKVGHIVKIMKDEFFPADLLLLSSSYEDAFCYVETMNLDGETNLKLKQGLEVTSSLHEDIQLGDFKATIKCEDPNANLYSFIGSMEYEEQHYPLSPLQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTDAPSKRTKVEKKMDRVIYFMFCIVFLMAFVGSIFFGIATRDDLDNGVMKRWYLRPDDSTIFFDPERAPAAAIFHCFTALMLYGFFIPISLYVSIEIVKVLQSIFINQDIHMYYEDADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVEKAMDRKNGFPLIDNSRDSPVRNAPIKGFNFTDERIMNGKWFNEPNANVIKKFFLLLSICHTALPEVDEDTGNVSYETESPDESAFVIAAREIGFEFYKRTQTSLSIYESDPVSGDKIERTYKLLNVLEFNSSRKRMSVIVKDEEGRILLLCKGADSVMFERLAKDGREFEEKTLEHVNEYADAGLRTLILAYRELDENQYKEFNNKFSQAKNSVSEDRETLIEEISDKIERNLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLETPEIQALEKVGDKVSIVKACRENVRHQISEAAQQLTASRGTSQQAFALIIDGKSLTYALEDNMKNMFLDLAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFLYEVYASFSGQPAYNDWFLSVYNVFFSSLPVIALGVFDQDVSARYCLRFPILYQEGVQNFLFSWQRIFSWMLNGFVSAIIIFFFCTKAMEIQAFDEKGRTAGRDILGATMYTCVVWVVNLQMAVTINYFTLIQHIFIWGSIAIWYLFLLAYGAMSPSISGNAYKVFIETLAPAPSFWIVTLVVVISTLIPYFSYSAIQMRFFPMYHDMVQWIRHEGKTNDPEFVAMVRQRSLRPTTVGSTARLVAKDNDFRDSSTNHR >Vigun03g187400.1.v1.2 pep primary_assembly:ASM411807v1:3:25109836:25113964:-1 gene:Vigun03g187400.v1.2 transcript:Vigun03g187400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLPQPSTTLSPVTASAVAARIPFATVTAPRQRMFTPKATLSISPPETAPNAIPPKKVLVPIGLGTEEMEAVIMIHVLRRAGADVTVASVEPQLQVEAAGGTKLVADTDISTCSDEIFDLVALPGGMPGSARLRDCEVLRKITSKQAEENRLYGAICAAPAVTLLPWGLLKRKKITCHPAFFDSLPTFWAIKSNLQVSRGLTTSPGPGTTYQFALSLAEQLFGESVAREVAELLLMRTDDDNATKKEFNKVEWSVGHHAPSVLIPIAHGSEEIEVVTVIDILRRAKANVIVASVEKSLEILASQGTKIVADILIKDAQESAHDLIILPGGTAGTQRLSKSRILKKLLKEQNSAGRIYGAICSSLSILHKQGLLKDKMATAHPSVLDKVNDEAINGAKVVIDGNLITSEGLATVTDFALAIVSKLFGNGRAKSVAKGLVFEYPE >Vigun09g066600.1.v1.2 pep primary_assembly:ASM411807v1:9:7024810:7027075:-1 gene:Vigun09g066600.v1.2 transcript:Vigun09g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGSRLGRASSRYGSATVFTGPVRKWKKKWVHITPSSSTSNTTNSNNNNAHAPANSNASSLLLLRRWTPTTDDDAAATDDEPPRRKFRYTPIAVLEEQKKNMVKEENEPAATESDQSEVKETNVTHEMQGKLNMNEMSEETKDSNMVKKDDGLVELKSHNDETSKKSDTELENNV >Vigun01g242900.1.v1.2 pep primary_assembly:ASM411807v1:1:41203135:41206095:-1 gene:Vigun01g242900.v1.2 transcript:Vigun01g242900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECCIISRLRVQPLWFALLFLVGLFTILKLAFVVVRWVYVNFLRPPKNLRKYGSWALVTGPTDGIGKSFAFELARKGLNLVLVGRNPDKLKDVSDSIVAKFGKTEVKTVVVDFSGDLDEGVAKIGEAIEGLDVGVLVNNVGVSYPYARFFHEVDEGLLNNLIKVNVVGTTKVTQAVLPGMLKRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRKSSFFVPSTDGYAKAGVRWIGYEPRCTPYWPHTLLWAVACSLPEFVVDAWRLWFCLGIRKRGQRKESTKKE >VigunL066830.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:7988:8188:1 gene:VigunL066830.v1.2 transcript:VigunL066830.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun03g148100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15212004:15213282:-1 gene:Vigun03g148100.v1.2 transcript:Vigun03g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQEYFLPEKMVLPLADDSIDANAHMHAPLASAFDAFLPSGVGQITPFERFKLQPSEACPKNFVIFDQTDQRSRIMFHPAMTYKFNSPGLNVHANFSQDFEKNKVNQIERELSSPFEEDPNDIDALLSIGADDLEDFDEEEVSTARTHENYENLSDTCSSYCSKSRKKRLSSSSVHKSSEANDGNNREIKRMVRILRKIVPGGGNQMDSVAVLDEAVKYLKSLKVEVEQFGVEP >Vigun11g112100.1.v1.2 pep primary_assembly:ASM411807v1:11:31453644:31455340:1 gene:Vigun11g112100.v1.2 transcript:Vigun11g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKNKFLCFRPVVDIDCMLNSEVAPHRSSPIHFSRHPTSEKQQMVKNSQPKSVYFHHVSPKRTISRVIKAVFYETILNKRDHHKNRYIHDSFGYKHKNLKYGETKTLQSPLSTSSSASHASSESTTVSTQYSTMEKGKEGGHGSPLEKQKRFECYGIYLVLLSLAFTVFWGKLFGILLTSTLLYFFAVWDSSSPCKKRSPKIPRLRENPQDKDTRNYHDIVQDYRMAIVPFSFHDRDLQILRKN >Vigun02g087800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24260350:24261171:1 gene:Vigun02g087800.v1.2 transcript:Vigun02g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSTQNPFTVSLMLLVFCLSLITTAKSDSFSFNLPSFETGLRSILVGDDAKTVDGVLQLTNKDTSGNPTQQSVGLAAYFGPLHLSDAKTGKLADFDTEFSFVVNTHGASLHGDGFTFFLASVDFEFPDNSTGGFLGLFNQETAFNTSLNQVVAVEFDSFANEWDPNFPQSDSPHIGIDINSIRSVATAPWPLDIQQGSIGKARISYQSSAKILSVSVAYPDSSTSPNTAVLSYPVNLGSVLSEWVLIGFSGTTGDLVETHDILSWSFHSFL >Vigun11g049100.7.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7843612:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.6.v1.2 pep primary_assembly:ASM411807v1:11:7841087:7849081:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.3.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7849098:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.4.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7849081:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.5.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7849081:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.8.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7849172:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun11g049100.1.v1.2 pep primary_assembly:ASM411807v1:11:7840273:7849098:-1 gene:Vigun11g049100.v1.2 transcript:Vigun11g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELWAIFGPGVAGTVFGVGWWIWLDAVVCSSITVPFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSAPSVWTGVAGVLQCVCVLISGLVYWTSHPE >Vigun01g139600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31997545:31999141:-1 gene:Vigun01g139600.v1.2 transcript:Vigun01g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSAPHYSSFPSPSSSATTGPYFITRALTSTRAAFATRRPWEEVFALYSFTPPHSITEATMRVKRNVNHFRVNYFMMVLFVLFLSLLWHPLSIIVFLVALVAWFFLYFFRDEPVVVFGSAVDDRTVAAVLATATVVALVFTGVWVNVVASIVVGIALVVLHAAFRSSEDLYMDEHEGYDGGLLSVVAGTPTKRTGGYT >Vigun04g125800.9.v1.2 pep primary_assembly:ASM411807v1:4:31833032:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRRYHTSPNSFHH >Vigun04g125800.6.v1.2 pep primary_assembly:ASM411807v1:4:31833034:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRSGLLHVSDITRARIPSITDILSVGEKVKVLVLKSHSPDKISLREYFWRLI >Vigun04g125800.2.v1.2 pep primary_assembly:ASM411807v1:4:31833034:31842385:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRSGLLHVSDITRARIPSITDILSVGEKVKVLVLKSHSPDKISLREYFWRLI >Vigun04g125800.4.v1.2 pep primary_assembly:ASM411807v1:4:31833035:31842385:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRRYHTSPNSFHH >Vigun04g125800.11.v1.2 pep primary_assembly:ASM411807v1:4:31833035:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRR >Vigun04g125800.1.v1.2 pep primary_assembly:ASM411807v1:4:31833032:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRSGLLHVSDITRARIPSITDILSVGEKVKVLVLKSHSPDKISLSIADLESEPGQFLSNKERVFLEADMMAKKYREKLPPAFISQQPESLQNSALPFENEALYANWKWFKFER >Vigun04g125800.10.v1.2 pep primary_assembly:ASM411807v1:4:31833035:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRR >Vigun04g125800.8.v1.2 pep primary_assembly:ASM411807v1:4:31833032:31840220:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRRYHTSPNSFHH >Vigun04g125800.3.v1.2 pep primary_assembly:ASM411807v1:4:31833035:31842385:1 gene:Vigun04g125800.v1.2 transcript:Vigun04g125800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILWSCESLLLLNSSLPLNHFRNPLRNKQHACPVKLPSPKFCPFTNKFTISGATHVPLCSKNEELVVDDRIQEFETLELLDKPSMVSGRNGSSSEIDVHRERDSTEEEALALAPFVKFLKGGDDSVVEKEDGGVSEGCKGRDGVGDDSGEDEKGCAEYYEPKPGDFVVGVVVSGNENKLNVNVGSDLLGTMLTKEVLPLYSKEMEHLLCDVSKAEESVMVEGRMGIVKNDDAMIGGPVPGGTVVEIGTILFAEVLGRTLAGRPLLSTRRLFRRVAWHRLRQIKQLGEPVKVRITEWNTKGLLTRIEGLRAFLPKAELMKRVNSFSELKENVGRCMHVQIIQVDEADNSLIISEREAWEKLYLREGTLLEGTVKKILPYGAQIRIGETNRSGLLHVSDITRARIPSITDILSVGEKVKVLVLKSHSPDKISLSIADLESEPGQFLSNKERVFLEADMMAKKYREKLPPAFISQQPESLQNSALPFENEALYANWKWFKFER >Vigun02g192700.2.v1.2 pep primary_assembly:ASM411807v1:2:32951659:32957946:1 gene:Vigun02g192700.v1.2 transcript:Vigun02g192700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSDRVLTALMLGWCLEVEEAESEVRRLCGQREQRFGSIHRHTLFSSSILIPFHPFSIQLHIHTLFPTMASFLLRRNRNLFARSLFGNKEPLRLYFQFKFQTLGGAANMVHSYSSSSGPIRNDFTDLTCPHSWYPQARKKHRRVILHVGPTNSGKTHHALKQLESSASGIYCGPLRLLAWEIAKRLNKAQVPCDLITGQERDEVDGANHKAVTVEMADVSADYQCAVIDEIQMIGCTTRGYSFTRALLGIAADELHLCGDPAAVPLIQEILKITGDEVEVQFYERLSPLIPLNVPLGSFSNVRNGDCIVTFSRMEIYRLKKRIEKEGKHLCSVVYGSLPPETRTRQASMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTTKKFDGFEMRDLTVPEIKQIAGRAGRYGSNFPEGEVTCLDEEDLPLLHSSLNSPSPILERAGLFPTFELMYMYSRLHPRYGFYQILAHFLGNAKLSENYFIVNCDQLLKVAAVIDELPLGLHEKYLFCISPVEMDDEISSQGLTQFAENYAKKGLVRLREIFTPGSLKVPTTAAALKELESIHKVLDLYVWLSFRLEESFPDRELAASQKAICSMLIEEFLLRWQRPVARRRLPSHKISSSLLSKHVRPYL >Vigun11g094600.1.v1.2 pep primary_assembly:ASM411807v1:11:27702292:27704229:-1 gene:Vigun11g094600.v1.2 transcript:Vigun11g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSSDQISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDDPVSSHMEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSEQLQRLDFQELVMFLQHLPTKNWTHQELEMVLSRAFMWHSMFNSCPSHLAN >Vigun10g197500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41038288:41042190:1 gene:Vigun10g197500.v1.2 transcript:Vigun10g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMQLPPPSASGSASAPAPTNNKDHRPQRRRRDLTLPLPQRDTNLAVPLPLPPSTAPPAASGGASQQQQPQQQVIPFAELERLNRIGSGSGGTVYKVVHRTSGRVYALKVIYGHHEESVRRQIHREIQILRDVDDPNVVKCHEMYDQNSEIQVLLEFMDGGSLEGKHIPHEQQLADLSRQILRGLAYLHRRHIVHRDIKPSNLLINSRKQVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGQYDAYAGDIWSFGVSILEFYMGRFPFAVGRQGDWASLMCAICMSQPPEAPPSASLHFRDFISRCLQRDPSRRWSASRLLEHPFIAPPHNNHNQLPPNLHQLLPPPPPRPLPS >Vigun10g197500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:41038297:41042119:1 gene:Vigun10g197500.v1.2 transcript:Vigun10g197500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMQLPPPSASGSASAPAPTNNKDHRPQRRRRDLTLPLPQRDTNLAVPLPLPPSTAPPAASGGASQQQQPQQQVIPFAELERLNRIGSGSGGTVYKVVHRTSGRVYALKVIYGHHEESVRRQIHREIQILRDVDDPNVVKCHEMYDQNSEIQVLLEFMDGGSLEGKHIPHEQQLADLSRQILRGLAYLHRRHIVHRDIKPSNLLINSRKQVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGQYDAYAGDIWSFGVSILEFYMGRFPFAVGRQGDWASLMCAICMSQPPEAPPSASLHFRDFISRCLQRDPSRRWSASRLLEHPFIAPPHNNHNQLPPNLHQLLPPPPPRPLPS >Vigun09g170000.2.v1.2 pep primary_assembly:ASM411807v1:9:33901296:33905047:1 gene:Vigun09g170000.v1.2 transcript:Vigun09g170000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPNPDSSSPDFLRHVQAALKRHRPLGSMQSNSIRPRRTLLPQRNLSNTPSDPTNSQEQASSKVSLNTVVPSQNLDVQKKVQFSVHTNSTAQEMEWVEGNQIEASSSSSSLLGCNQKEDVQQAGFDASLRCDSGVSSLLPKRSVVTQDHLQQFSSFLSQPATQSSVLGPSFATTTSVHSASAPMLNSTTRYSHSHLDGGSNLTAEPFGEVNANPRPVTEGGVVKSENVFQKETNRMLVDRGAEAEVQASCLGVGDADLTSKESHRSTEQQGGVLKESGNSKYTWDDGKGKEAVDVATIQPQALLPTSTITSSDVKLESSKVEKREKIASSKGASAPRKRTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCRIYALKKIKLKGRDYATAFGFCQEIEYLNRLKGKDNIIQLIDYEVTDKALLEEVMKGSLSNKDGRVKDDGCIYMVLEYGEIDLAHMLSQKWKELDRSHRTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLSYMSPEAFMCNETDGNGNIIKCGRSSDIWSLGCILYQMVYGRTPFSDYKTFWAKFKVITDPNHEITYEPVSNPWLLDLMMRCLTWDRNQRWRIPQLLEHPFLVPPIPSHPSLPQDNNSCKLLQHISETCTYDPEVSQLCSQLQQLLSDPVDKTTYSQNSRDQQLKLLSQMSDLCIQLHERLKNTGNK >Vigun09g170000.1.v1.2 pep primary_assembly:ASM411807v1:9:33901296:33905047:1 gene:Vigun09g170000.v1.2 transcript:Vigun09g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPNPDSSSPDFLRHVQAALKRHRPLGSMQSNSIRPRRTLLPQRNLSNTPSDPTNSQEQASSKVSLNTVVPSQNLDVQKKVQFSVHTNSTAQDAKATTELENLSSHMGSLGFAEMEWVEGNQIEASSSSSSLLGCNQKEDVQQAGFDASLRCDSGVSSLLPKRSVVTQDHLQQFSSFLSQPATQSSVLGPSFATTTSVHSASAPMLNSTTRYSHSHLDGGSNLTAEPFGEVNANPRPVTEGGVVKSENVFQKETNRMLVDRGAEAEVQASCLGVGDADLTSKESHRSTEQQGGVLKESGNSKYTWDDGKGKEAVDVATIQPQALLPTSTITSSDVKLESSKVEKREKIASSKGASAPRKRTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCRIYALKKIKLKGRDYATAFGFCQEIEYLNRLKGKDNIIQLIDYEVTDKALLEEVMKGSLSNKDGRVKDDGCIYMVLEYGEIDLAHMLSQKWKELDRSHRTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLSYMSPEAFMCNETDGNGNIIKCGRSSDIWSLGCILYQMVYGRTPFSDYKTFWAKFKVITDPNHEITYEPVSNPWLLDLMMRCLTWDRNQRWRIPQLLEHPFLVPPIPSHPSLPQDNNSCKLLQHISETCTYDPEVSQLCSQLQQLLSDPVDKTTYSQNSRDQQLKLLSQMSDLCIQLHERLKNTGNK >VigunL028202.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000424.1:19321:19492:-1 gene:VigunL028202.v1.2 transcript:VigunL028202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQARFEFIKSAFIFSSPSKKGPSSNWRFESSF >Vigun07g133200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24303660:24304532:1 gene:Vigun07g133200.v1.2 transcript:Vigun07g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCGRGTLTAIRRTLIFTSNSSINPNSFFTTSLPHAFSSLLLPRTVHRHLCSTKTPVDTKLNFSLSDSDSESDDQNNNTHKENRVLPPPYDPFSKKPAIEDPKDPKDLQQIFHDMRSGDGLLNHAVKMFDALSKEGLTHEALELFAQIKDKGHMPDVVAHTAILEAYANAAQPKEAHKVYMRMLASGVSPNAYTYAVLVTALAADAKFVKDASKYLLEMMDKGMKPNAKTYTSVFEGLLKEERLDEATRLLEQMKAKGFVPDEKAVREVVSNKRGQVFRNLINLLFGR >Vigun08g029900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2733493:2734287:-1 gene:Vigun08g029900.v1.2 transcript:Vigun08g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGSMMKRWSFKRLRKESMRKMKLLRSAFKFKNLYLPLSFMDCVIFKIVFAFETLMLLFMLSSFYLFCGCTF >Vigun10g002800.1.v1.2 pep primary_assembly:ASM411807v1:10:257923:261463:1 gene:Vigun10g002800.v1.2 transcript:Vigun10g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFESHALPLLLLLLLTPINASIHDYSNEPFTHRSDAFFFHGGSEALLAKSFIRFETVTFTRPRESAIVQGRMQQNTGLVEAILLEVRDRNRIGGSYLKSDLICCDPKLAKERICNLGEVIIQKNPDNLELPKRIKTFFQGTDEQVQMDTTTLDINATGMYYLYFMFCDPSLKGTTIQGRTVWRNPKGYLPGKMAPLMTLYGFMSLAYLLLGLCWFLRFVQFWKDIIHLHYHITAVIALGMCEMAVWYFEYANFNSTGTRPMGITLWAVTFTSVKKTLSRLLLLVVSMGYGVVRPTLGGNRIAYRVLLLGLLYFVASEALELVEHLGNINDFSGKTKLLLVLPVVCLDSCFILWIFSSLSKTLEKLQTRRNLAKLELYRKFTNTLAVSVLLSIAWIGFELYFNATDPLNELWQIAWIIPAFWCLLSYALLLVICVLWAPSRNPTRYAYLEETGDDFDEEGISLTSSVAKITGDVAAKLDRKTDLAFGEDLEEDKRE >Vigun03g089600.2.v1.2 pep primary_assembly:ASM411807v1:3:7535741:7541833:-1 gene:Vigun03g089600.v1.2 transcript:Vigun03g089600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHNLTDNEDEGQHQSESQVQSPSANGISDSGISTQNVNVQYATPGQLGAGHAMVPPVYPYPDPYYRSIFAPYDTQPYPPQAYGAQPMVHLQLMGIQQAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIRNRKPYLHESRHMHALRRPRGCGGRFLNSKKEEENQHNDVASADKSQSNINLNSDKNDQTSSDRTS >Vigun03g089600.1.v1.2 pep primary_assembly:ASM411807v1:3:7536715:7541839:-1 gene:Vigun03g089600.v1.2 transcript:Vigun03g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHNLTDNEDEGQHQSESQVQSPSANGISDSGISTQNVNVQYATPGQLGAGHAMVPPVYPYPDPYYRSIFAPYDTQPYPPQAYGAQPMVHLQLMGIQQAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIRNRKPYLHESRHMHALRRPRGCGGRFLNSKKEEENQHNDVASADKSQSNINLNSDKNDQTSSDRTS >Vigun08g189200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35730382:35730510:-1 gene:Vigun08g189200.v1.2 transcript:Vigun08g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFVSNEYGKALSNDWPATHDFHNEGDDDDDNGVIDVAPAA >Vigun09g253900.1.v1.2 pep primary_assembly:ASM411807v1:9:42055924:42060957:1 gene:Vigun09g253900.v1.2 transcript:Vigun09g253900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSAGMVERNAELGGKSFEFSGKLKKENSFVNRGDAISDSRSDTGQGRKQKRHDSGLSFELGLSTPTSTGGKQVSQRGSFLGKAGERAVGVLDTIGSGMPKLNTSSGFVSGVTSRGKKISILAFEVANTITKGAILFQSLSEENIQILKKDVLQSEGVQLLVSTDMKELITLAEADKRGELNVFSREVARFGNMCKDPQWHNLDRYFSRLDLDVLDDKQYQAEAEKTMQEFTNLVRNTAELYHELNAYERFEQDYLQKIKEMESLNLPLKGESITMFQSELKHQRKLVRSLKKKSLWSRTLEEIVEKLVDIVTYINQAIYELLGNHGTITTNYCEGHERLGGAGLALHYANIINQINMIASRPTALPPNMRDTLYHGLPNNIKTALPSQLQTIADMKELSITQIKAEMEKILQWLVPAATNTVKAHQGFGWVGEWANTSNDFDDNTSRESNLIRLQTLYYADKRKIDVYIIELLAWLHHLISFIKSKQNTLRPMPMRSPPKVLELQSKMRQFLILSVDSGNKQLGSQISQEDRRLLEEVIARRNNSGLSKSEDLGLAKKRQMKDLHGTKSAGSSPAKEIFGTRVVINHQNYNVLDIMDGLMG >Vigun10g195700.3.v1.2 pep primary_assembly:ASM411807v1:10:40926083:40927913:1 gene:Vigun10g195700.v1.2 transcript:Vigun10g195700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFNVEECWESKRPVKEESSDLEEELKRVTAENKKLAEMLSVVCENYNTLRSHLMEYTRKNGEKETSPTSKKRKSESSNNNSICVMGTNNGNSESSSTDEESCKKPREETMKPKVSRVYVRTEASDTTLIVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHPQASSQMEATSGSGRSVTIASVPCAAATQTLVTLDLKNSKGSNDSKSTKTKVDTPKMQQVLVEQMATSLTTDPNFRAALVAAISGRLLHNN >Vigun10g195700.1.v1.2 pep primary_assembly:ASM411807v1:10:40925709:40927913:1 gene:Vigun10g195700.v1.2 transcript:Vigun10g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSWINTSLDLNINPLRVHEEVPKEVESKLFSLGMPKFNVEECWESKRPVKEESSDLEEELKRVTAENKKLAEMLSVVCENYNTLRSHLMEYTRKNGEKETSPTSKKRKSESSNNNSICVMGTNNGNSESSSTDEESCKKPREETMKPKVSRVYVRTEASDTTLIVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHPQASSQMEATSGSGRSVTIASVPCAAATQTLVTLDLKNSKGSNDSKSTKTKVDTPKMQQVLVEQMATSLTTDPNFRAALVAAISGRLLHNN >Vigun10g195700.2.v1.2 pep primary_assembly:ASM411807v1:10:40925709:40927913:1 gene:Vigun10g195700.v1.2 transcript:Vigun10g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSWINTSLDLNINPLRVHEEVPEVESKLFSLGMPKFNVEECWESKRPVKEESSDLEEELKRVTAENKKLAEMLSVVCENYNTLRSHLMEYTRKNGEKETSPTSKKRKSESSNNNSICVMGTNNGNSESSSTDEESCKKPREETMKPKVSRVYVRTEASDTTLIVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHPQASSQMEATSGSGRSVTIASVPCAAATQTLVTLDLKNSKGSNDSKSTKTKVDTPKMQQVLVEQMATSLTTDPNFRAALVAAISGRLLHNN >Vigun07g021800.2.v1.2 pep primary_assembly:ASM411807v1:7:1838909:1844215:-1 gene:Vigun07g021800.v1.2 transcript:Vigun07g021800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGSVELETMFSLRSPKADLEKDFGKPESTKSRDSSGNWLEKNTYMPLRGAHSIWDQAALRLQKVYKSFRTRRQLADCAVLAEQRWWKALDFAELKRSSISFFDIEKPETAVSRWSRARKRAAKVGKGLSKDVRARKLALQHWLEAIDPRHRYGHNLQFYYVKWLRCDSCEPFFYWLDVGEGKEVLSERCSRLKLQQQCIKYLGPVERMDYEVVIENGRLMFKKSGKPVDTTGKAKWIFVLSTSKTLYVGQKTKGTFQHSSFLAGGATLSAGRLVAEDGVLRAVWPHSGHYLPTEENFEELMSFLVENNVDLTDVKKNPDEEEEHTAENNQDIFQHNILEAMKPPNIETESHNTSPEKVLDLTNEDSNAHSTSQPPLSKLSLRLGSKIARIEIPKRVIVPEFFGEQESGPRTQFYSPIESDSSGYETAEESFINEEEFMVSKSNMFVEHQNEDGNTITKEQILKRIDSHKGRKSYQLANHLSTKWTTGAGPRIGCMRDYPLELQNLILEQQNLSPRIRTTHPSPRLPSLSRFSPHVAFPPSLPDAPSALSS >Vigun07g021800.1.v1.2 pep primary_assembly:ASM411807v1:7:1838909:1844219:-1 gene:Vigun07g021800.v1.2 transcript:Vigun07g021800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGSVELETMFSLRSPKADLEKDFGKPESTKSRDSSGNWLEKNTYMPLRGAHSIWDQAALRLQKVYKSFRTRRQLADCAVLAEQRWLVSTHVPGWKALDFAELKRSSISFFDIEKPETAVSRWSRARKRAAKVGKGLSKDVRARKLALQHWLEAIDPRHRYGHNLQFYYVKWLRCDSCEPFFYWLDVGEGKEVLSERCSRLKLQQQCIKYLGPVERMDYEVVIENGRLMFKKSGKPVDTTGKAKWIFVLSTSKTLYVGQKTKGTFQHSSFLAGGATLSAGRLVAEDGVLRAVWPHSGHYLPTEENFEELMSFLVENNVDLTDVKKNPDEEEEHTAENNQDIFQHNILEAMKPPNIETESHNTSPEKVLDLTNEDSNAHSTSQPPLSKLSLRLGSKIARIEIPKRVIVPEFFGEQESGPRTQFYSPIESDSSGYETAEESFINEEEFMVSKSNMFVEHQNEDGNTITKEQILKRIDSHKGRKSYQLANHLSTKWTTGAGPRIGCMRDYPLELQNLILEQQNLSPRIRTTHPSPRLPSLSRFSPHVAFPPSLPDAPSALSS >Vigun01g237266.1.v1.2 pep primary_assembly:ASM411807v1:1:40852526:40852855:1 gene:Vigun01g237266.v1.2 transcript:Vigun01g237266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAFAMAGMDYMENTINVGALEQSLKQLQAPQGEKGHCSSCLGLDSEMAMEWVKMKMREWAIAVASDNHTKAELRVSEILLIMDSHHLMHASN >Vigun07g014200.1.v1.2 pep primary_assembly:ASM411807v1:7:1277966:1281813:-1 gene:Vigun07g014200.v1.2 transcript:Vigun07g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSYSLPLLLFSLWTVVLTETDPNDVGILNSFRSGLKNKELLPWPEEGGDPCGNPPWKYIFCNGNRVSQIQTKDLGLVGPLPSDFNKLSMLENLGLQNNNLTGPLPSFNGLSNLKYAFLNRNGFESIPGDFFRGLRSLQVLALDYNNLNASSGGWVLPQTLEDSAQLRNFSCMSCNLVGPIPAFLGSLASLEVLQLSGNSLTGEIPAALNAVPALQILWLNNQRGDGLTGKIEVVASMISLTSLWLHGNKFSGSIPMNIGDLVSLRDLDLNGNEFVGLIPRGLSGMKLERLDLNNNHFMGPIPDFAADKVSFESNNFCLSKPGAMCAFEVMALLDFLGGLGYPQILVDSWSGNNPCDGPWLGIKCNGDGKVEMIILNNFNLSGTLSPSIAKLDSLVGIRLGGNDISGRIPSNWTSLRSLTLLDLSGNNISLPLPSFAKGVKLVIDGNPQLHGAPAPGSVSGSSPGSGSGEVEPPSSDKHNPNPSKDTGPNPKSGSVSPESNNSRRKGLVTIVAPIAGVAAAAFVLIPLSVYCFRKRKGVSEKAGSLVIHPRESSDSNNLLKVVIANDGNGSVSTVTGSGTLNGSADSHVIESGNLVISVQVLRNVTKNFARENELGRGGFGVVYKGELDDGTKIAVKRMESGVITSKALDEFQSEIAVLSKVRHRHLVSLLGYSVEGNERILVYEYMPQGALSMHLFHWKSSQLEPLSWKRRLNIALDVARGMEYLHSLAHQIFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGKKSVVTRLAGTFGYLAPEYAVTGKVTTKADVFSFGVVLMELVTGLMALDEDRPEESQYLASWFWHVKSDKEKLMAAVDPALDIKEEMFDIVSIVAELAGHCTAREPNQRPDMSHVVNVLGPLVEKWKPFDDETEEYSGIDYSLPLNQMVKDWQETEGKELSYVDLQDSKSSIPARPTGFAESFTSVDGR >Vigun09g092850.1.v1.2 pep primary_assembly:ASM411807v1:9:13322152:13323269:-1 gene:Vigun09g092850.v1.2 transcript:Vigun09g092850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSDNLLEIFSRLPAKVIYKFTSTCVSLSKLPKEAYFALNQAQHALLRDDTCFFIQPEIPIAATQRCNLSVEFHPLPGEESSSGVSKNALAYFSKSVKILCSSNGLVLCSVASENEVKFFISNPATQSSSPIPTSEHLQNSNNFYWSSYFDCKVYSSKEGVWKEKERFSTGSRNLRFESPVHYRGVVHFISDCSTYLTRDNPYFRPYIMSYRFEDGKSRMLRVPKVARKSTGSYQSICLVRLQKKVFTIWVLREYESKLLVFATQNKVYAYGLRDRRIHKSWDHECDFNVVRITSYMDTLRTCDI >Vigun03g291300.1.v1.2 pep primary_assembly:ASM411807v1:3:47510018:47513492:-1 gene:Vigun03g291300.v1.2 transcript:Vigun03g291300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRKNVVVLVSTSIAVVVVGALIRKWKQWKEQQLRHVKQIIRKFARESATPLSKLWQVADDMVSSMKISLASSDESPTLNMVVSNVASLPLGDEEGFFYGVSLYGRNLLMLCARLGGKKKPISALQRQDISIPDAVLAGPSLEITDYIATEIAKFVSMHPEIEIEDSEPVENKKFGFTLSYPVHQAMPFSDTASQHKNANNPVRQGMVKDLNRALATHGMTMPVVSLVDETIGGLAGGRYYNRESVAAVTLGTTTNAAYVEPAEEVASDSAQFPNSSELVISMEWGNFNSLRLPLTSYDASVDAESSNPGSQVFEKLISGKYLGEVVRHVLLKLAKETALFGSTVPPKLMIPYLIRPSDMAAMHQDTSEDREIVGEKLGEIFEIHNCCPMAREAVAEVCDIVAERGARLAGAGIVGIIKKLGRIKDRKSVVTVEGELYEHYRIFRNYLHSSVWQMLGKDFSDNVIIEHSHGGSGTGALFLAAAQTQAHHSDY >Vigun03g291300.3.v1.2 pep primary_assembly:ASM411807v1:3:47510018:47513492:-1 gene:Vigun03g291300.v1.2 transcript:Vigun03g291300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRKNVVVLVSTSIAVVVVGALIRKWKQWKEQQLRHVKQIIRKFARESATPLSKLWQVADDMVSSMKISLASSDESPTLNMVVSNVASLPLGDEEGFFYGVSLYGRNLLMLCARLGGKKKPISALQRQDISIPDAVLAGPSLEITDYIATEIAKFVSMHPEIEIEDSEPVENKKFGFTLSYPVHQAMPFSDTASQHKNANNPVRQGMVKDLNRALATHGMTMPVVSLVDETIGGLAGGRYYNRESVAAVTLGTTTNAAYVEPAEEVASDSAQFPNSSELVISMEWGNFNSLRLPLTSYDASVDAESSNPGSQVFEKLISGKYLGEVVRHVLLKLAKETALFGSTVPPKLMIPYLIRPSDMAAMHQDTSEDREIVGEKLGEIFEHRSIIVVQWHGKRWLRCVT >Vigun03g291300.2.v1.2 pep primary_assembly:ASM411807v1:3:47510018:47513492:-1 gene:Vigun03g291300.v1.2 transcript:Vigun03g291300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPLSTWSFPTLHRCHLGTCFSFSSSDEEGFFYGVSLYGRNLLMLCARLGGKKKPISALQRQDISIPDAVLAGPSLEITDYIATEIAKFVSMHPEIEIEDSEPVENKKFGFTLSYPVHQAMPFSDTASQHKNANNPVRQGMVKDLNRALATHGMTMPVVSLVDETIGGLAGGRYYNRESVAAVTLGTTTNAAYVEPAEEVASDSAQFPNSSELVISMEWGNFNSLRLPLTSYDASVDAESSNPGSQVFEKLISGKYLGEVVRHVLLKLAKETALFGSTVPPKLMIPYLIRPSDMAAMHQDTSEDREIVGEKLGEIFEIHNCCPMAREAVAEVCDIVAERGARLAGAGIVGIIKKLGRIKDRKSVVTVEGELYEHYRIFRNYLHSSVWQMLGKDFSDNVIIEHSHGGSGTGALFLAAAQTQAHHSDY >Vigun04g198200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42289575:42291284:-1 gene:Vigun04g198200.v1.2 transcript:Vigun04g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLVGYAAATIAALFCIYLRRCCTHPIFTEYPFVGMLPQVLCNLWRAHDYSTQVLKQHGGTGEFTGPWFTSMNYMLTCDPFNVHHMLSRNFHNYVKGPEFREIFQAFGDGIFTADAEAWKYDRDLFHSLFKHKSFEVFLEKTIQKKVKNSLLPLLNHHAQGRVVVDLQDVFNRFTFDNICSTVLGRDPECLSIDFPEVAIEKAFNQAEECIFYRHIVPKFVWKMQQWLQIGQEKKMTEACKTLDEFIYSCIASKREDLRRYEENENEETYVDLLTSLMREGKEQHEDIFLRDAVFNLFVAGRDTLTSALTWFFWLVATNPLVEEKILEEINQNFGSNEENAVLSAEEVKKLVYLHGAICETLRLFPPIPFERKQALKSDVLPSGHCVNPRTKILLFLYAMGRVEEIWGKDCMELKPERWISEKGGNVYVPSYKFIAFNAGPRSCLGKDLSFIQLKMVAAAILRRYHVEVVEGYVATPSLSIVLLMKDGLKVNIRKRKF >Vigun05g057100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4897420:4900711:1 gene:Vigun05g057100.v1.2 transcript:Vigun05g057100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRHLTELVLSGNPALGGPLPPWIGNFSTNLERLHLGVCSFSGGIPESLLYLKSLKYLDLGNNLLSGNLVGFHQPLVVLNLASNQFAGTLPCFSASVQSLTVLNLSNNSIVGGLPACIASLQALTHLNLSGNHLKYRIYPRLVFSEKLFVLDLSYNDFSGPIPSKIAETTEKLGLVLLDLSHNQFSGEIPVKITELKSLQALFLSHNLLSGEIPARIGNLTYLQVIDLSHNSLSGTIPFSIVGCFQLYALILNNNNLSGVIQPEFDALDILRILDISNNRFSGAIPLTLAGCKSLEIVDFSSNELSGSLNDAITKWSNLRYLSLAQNKFSGNLPSWLFTFDAIETMDFSHNKFSGFIPDINFKGSLIFNTRNVTVKEPLVAARTVQLRVSAVVSDSNQLSFTYHLSSMVGIDLSSNLLHGEIPRGLFGLAGLEYLNLSCNFLYGQLPGLQKMQSLKALDLSHNSLSGHIPGNISSLQDLSILNLSYNCFSGYVPQMQGYGRFPGAFAGNPDLCMESSSGVCDDGRTRTVQGSSFREDRMEGPISLGIFFISAFVSFDFGVVVLFCSARARNYILQTKV >Vigun05g057100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4897425:4900720:1 gene:Vigun05g057100.v1.2 transcript:Vigun05g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAHTTPLHRLWHPFTLLCVILLRATPSLSIDAHPQDKASLFLFGSRLQDPYQSLSSWAGSNCTLWSGITCDNTTGRVLSINLTSMNLSGQIHPSLCQLPYLSKVGLSRNNFTSLLPECFGNLLNLRVIDLSYNRFHGGIPDSFMRLRHLTELVLSGNPALGGPLPPWIGNFSTNLERLHLGVCSFSGGIPESLLYLKSLKYLDLGNNLLSGNLVGFHQPLVVLNLASNQFAGTLPCFSASVQSLTVLNLSNNSIVGGLPACIASLQALTHLNLSGNHLKYRIYPRLVFSEKLFVLDLSYNDFSGPIPSKIAETTEKLGLVLLDLSHNQFSGEIPVKITELKSLQALFLSHNLLSGEIPARIGNLTYLQVIDLSHNSLSGTIPFSIVGCFQLYALILNNNNLSGVIQPEFDALDILRILDISNNRFSGAIPLTLAGCKSLEIVDFSSNELSGSLNDAITKWSNLRYLSLAQNKFSGNLPSWLFTFDAIETMDFSHNKFSGFIPDINFKGSLIFNTRNVTVKEPLVAARTVQLRVSAVVSDSNQLSFTYHLSSMVGIDLSSNLLHGEIPRGLFGLAGLEYLNLSCNFLYGQLPGLQKMQSLKALDLSHNSLSGHIPGNISSLQDLSILNLSYNCFSGYVPQMQGYGRFPGAFAGNPDLCMESSSGVCDDGRTRTVQGSSFREDRMEGPISLGIFFISAFVSFDFGVVVLFCSARARNYILQTKV >Vigun08g092000.1.v1.2 pep primary_assembly:ASM411807v1:8:21478363:21481147:1 gene:Vigun08g092000.v1.2 transcript:Vigun08g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVQTIASQGNNDGAIPAMFVRAETEQPGMTTVKGVNLEVPIIDFSNPNQEKVLHEIVEASREWGMFQIVNHEIPSDVIRKLQSVGKEFFELPQEEKELIAKPAGSDSLEGYGTKLQKEANGKKGWVDHLFHIVWPPSSINYRFWPKNPPSYREVNEEYCKYLRGVVDKLFKSMSKGLGLEEDELKEVANEEEMHYLLKINYYPPCPCPDLVLGVPPHTDMSYLTILVPNEVQGLQASRDGRWYDVKYVPNALVVHVGDQMEILSNGKYKAVFHRTTVNKDKTRMSWPVFIEPKAEREVGPHPKLVNQDNPPKYKTKKYKDYAYCKLNKIPQ >Vigun08g092000.2.v1.2 pep primary_assembly:ASM411807v1:8:21478363:21481147:1 gene:Vigun08g092000.v1.2 transcript:Vigun08g092000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVQTIASQGNNDGAIPAMFVRAETEQPGMTTVKGVNLEVPIIDFSNPNQEKVLHEIVEASREWGMFQIVNHEIPSDVIRKLQSVGKEFFELPQEEKELIAKPAGSDSLEGYGTKLQKEANGKKGWVDHLFHIVWPPSSINYRFWPKNPPSYREVNEEYCKYLRGVVDKLFKSMSKGLGLEEDELKEVANEEEMHYLLKINYYPPCPCPDLVLGVPPHTDMSYLTILVPNEVQGLQASRDGRWYDVKYVPNALVVHVGDQMEVNYLTL >Vigun03g097500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8270138:8272774:-1 gene:Vigun03g097500.v1.2 transcript:Vigun03g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSVAPLNTYPTYHNPRNKSNYAKSPNYFIFFRQSWTTCLPPPKFVLSCSSSVVVSATLSKPTYNVAMDKNAQIRKFCQMGDLRKAMELLKISKMSELELQTYCSVLQLCAELKSLEDGKRVHSIIISNGMEIDEILGAKLVFMYLHCGDLVSGRQILDGILNDKIYLWNFLMSKYAKIGNYEEAVSLIRKMQKLGMRGDPFTFTCILKCYTALAKIRACKSVHGYAFKLGFGSDNTVINSLIAAYFKCRDVESAHNMFDELSDPDVVSWNSMISGCVLNGFSWDGLEIFIQMLNFGFGMDLVTLVNVLVACANVGKLSFGRALHACGVKTGCSGDVVFDNTLLDMYSKCGYLKGATDVFAKMSKTSVVSWTSMISAYVRKGLYDEAIILFEEMQSKGLKPDAYTVSSVVHACACSNSLDKGRNIHNFIKKNNMGLNLPVFNALMYMYAKCGVMEEARLIFSQIPVKDIVSWNTMIGGYSQNSLPYEALELFVDMQKQLKPNSITMACVLPACAGLAAVEKGRELHGHILRKGYFSDLQVACALVDMYVKCGLVVLSQQLFDMIPKKDIILWTVMIAGYGMHGFGKEAVSTFEKMRIAGIQPEDSCFTSILYACSHSGLLKEGWKFFNSMRSEFNIEPKLEHYACMVDLLVRSGNLSKAYKFIETMPIKPDAAIWGALLSGCGVHHDVELAEKVAEHIFELEPENTKYYVLLANVYAEAEKLEEVKKLQRKIGKRGFKKDQGCSWIEIQGKFNIFVAGDTSHPQAKRIDSLLRKLRMKMNRVDYSNKMRYALINADDMNKEVLLCGHSEKLAMAFGVLNLPPGKTVRVTKNLRVCKDCHDMGKLMSKTTGRDIVLRDSNRFHHFKDGVCSCRGFW >Vigun03g270050.1.v1.2 pep primary_assembly:ASM411807v1:3:44315023:44315237:1 gene:Vigun03g270050.v1.2 transcript:Vigun03g270050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDINSEIERAGISTEAIRHGNFANLLPLPVTHFLSHINWLHFSQLHNGDHK >Vigun04g036500.2.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSERRGRNAARYLPRRKQLKNSSKQRVLKKTTSLLFLRLAISTQRLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLRYIISATSPSKVNPMMKKSYEILCKVFNDEAKTIFGDREDE >Vigun04g036500.5.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESETLQRRRSSSATCNNAPIREKRPKRSEVLAKKKTVEELIKTARAQKDHLAPFPAFGHFHAKGLSLCLKSGHGNKLSSTVKTYIQSLLKLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLR >Vigun04g036500.3.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSERRGRNAARYLPRRKQLKNSSKQRVLKKTTSLLFLRLAISTQRSGHGNKLSSTVKTYIQSLLKLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLRYIISATSPSKVNPMMKKSYEILCKVFNDEAKTIFGDREDE >Vigun04g036500.7.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSERRGRNAARYLPRRKQLKNSSKQRVLKKTTSLLFLRLAISTQRLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLR >Vigun04g036500.6.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSERRGRNAARYLPRRKQLKNSSKQRVLKKTTSLLFLRLAISTQRLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLR >Vigun04g036500.1.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESETLQRRRSSSATCNNAPIREKRPKRSEVLAKKKTVEELIKTARAQKDHLAPFPAFGHFHAKGLSLCLKSGHGNKLSSTVKTYIQSLLKLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLRYIISATSPSKVNPMMKKSYEILCKVFNDEAKTIFGDREDE >Vigun04g036500.4.v1.2 pep primary_assembly:ASM411807v1:4:3036645:3041748:-1 gene:Vigun04g036500.v1.2 transcript:Vigun04g036500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESETLQRRRSSSATCNNAPIREKRPKRSEVLAKKKTVEELIKTARAQKDHLAPFPAFGHFHAKGLSLCLKSGHGNKLSSTVKTYIQSLLKLNMEGPYGSEWPEEEKVKRREMVDHEAHYVFVHEVANSNADGMTTMLTAADSSTCCLKNSGPLVGFVQFRFVLEEEIPVLYVYELQLEPRVQGKGLGKFLMQLLEFMAQKNCMGAVMLTVQKANVLAVDFYINKLR >Vigun09g123533.1.v1.2 pep primary_assembly:ASM411807v1:9:27545947:27546532:1 gene:Vigun09g123533.v1.2 transcript:Vigun09g123533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNDLRYLNMVELQARDPNADLAHFFDVIGGSGTSALITALLATPSPHDPTRAAFTPAQIVDFYKQNGPHVFNSSRYAI >Vigun10g052100.1.v1.2 pep primary_assembly:ASM411807v1:10:8340265:8349423:-1 gene:Vigun10g052100.v1.2 transcript:Vigun10g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVTAQPFIKSHFLKHTLPLLLMANQTSLSFSSGSPVHAPLKRVGTHNGSFHCDEALGCFMIRLTHKFNNAEIVRTRDPQVLEGLDAVLDVGGVYDPARDRYDHHQKGFEEVFGHGFSTKLSSAGLVYKHFGKEIIAKELKVDEENQDVHQIYLAVYKSFMEAIDAIDNGINRYDTDQPPKYVNNTHLSSRVGRLNLDWTDPDQSAEKENEAFQRAMALAGSEFLDSVRFHVKSWLPARSIVMETLAARQTVDPSGEILVLTKFCPWKLHLFELEGELKTDPPIKYVLYQDERSKQWRVQAVAVSPDSFDSRKALPLQWRGLRDEELSKEAGIPGCVFVHMSGFIGGNQNFDGALAMARAALKM >Vigun05g167525.1.v1.2 pep primary_assembly:ASM411807v1:5:28170098:28170665:1 gene:Vigun05g167525.v1.2 transcript:Vigun05g167525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRIEEVLPNTTFTFYYLHNFLFPINYFFHNLKILSSSKRFVDYILSKSGSSPDRVRNSLTSSPFFFSPLPIRLNTTPLCKRGSDP >Vigun04g163300.1.v1.2 pep primary_assembly:ASM411807v1:4:38722695:38728030:-1 gene:Vigun04g163300.v1.2 transcript:Vigun04g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPAFVLEIGPDGIPKESPVISYTEQIIEAEQLQLKKYIEENYSKIRDVERELANLGLEMKLTSGPKKAALEHMRTKIEASTEKIRVAKLKEEQAHKVWESASKAVRDEEQIKQKLCEDLSKLVEESNNSQLSRLEELKRRLEAMNPNRQSSSPHSAGKSSSLDSAIQDASSLPSTRESNGDSAENVQYQNSGQKVPAANEHKPHPPSEGEQRNKKKVNLRGKGIGAVHKTRSSTPDWTGSGFDVDGRT >Vigun04g163300.7.v1.2 pep primary_assembly:ASM411807v1:4:38722695:38728026:-1 gene:Vigun04g163300.v1.2 transcript:Vigun04g163300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPAFVLEIGPDGIPKESPVISYTEQIIEAEQLQLKKYIEENYSKIRDVERELANLGLEMKLTSGPKKAALEHMRTKIEASTEKIRVAKLKEEQAHKVWESASKAVRDEEQIKQKLCEDLSKLVEESNNSQLSRLEELKRRLEAMNPNRQSSSPHSAGKSSSLDSAIQDASSLPSTRESNGDSAENVQYQNSGQKVPAANEHKPHPPSEGEQRNKKKVNLRGKGIGAVHKTRSSTPDWTGSGFDVDGRT >Vigun04g163300.3.v1.2 pep primary_assembly:ASM411807v1:4:38722695:38728191:-1 gene:Vigun04g163300.v1.2 transcript:Vigun04g163300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPAFVLEIGPDGIPKESPVISYTEQIIEAEQLQLKKYIEENYSKIRDVERELANLGLEMKLTSGPKKAALEHMRTKIEASTEKIRVAKLKEEQAHKVWESASKAVRDEEQIKQKLCEDLSKLVEESNNSQLSRLEELKRRLEAMNPNRQSSSPHSAGKSSSLDSAIQDASSLPSTRESNGDSAENVQYQNSGQKVPAANEHKPHPPSEGEQRNKKKVNLRDGRT >Vigun04g163300.2.v1.2 pep primary_assembly:ASM411807v1:4:38722695:38728077:-1 gene:Vigun04g163300.v1.2 transcript:Vigun04g163300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPAFVLEIGPDGIPKESPVISYTEQIIEAEQLQLKKYIEENYSKIRDVERELANLGLEMKLTSGPKKAALEHMRTKIEASTEKIRVAKLKEEQAHKVWESASKAVRDEEQIKQKLCEDLSKLVEESNNSQLSRLEELKRRLEAMNPNRQSSSPHSAGKSSSLDSAIQDASSLPSTRESNGDSAENVQYQNSGQKVPAANEHKPHPPSEGEQRNKKKVNLRDGRT >Vigun04g163300.8.v1.2 pep primary_assembly:ASM411807v1:4:38722695:38728026:-1 gene:Vigun04g163300.v1.2 transcript:Vigun04g163300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPAFVLEIGPDGIPKESPVISYTEQIIEAEQLQLKKYIEENYSKIRDVERELANLGLEMKLTSGPKKAALEHMRTKIEASTEKIRVAKLKEEQAHKVWESASKAVRDEEQIKQKLCEDLSKLVEESNNSQLSRLEELKRRLEAMNPNRQSSSPHSAGKSSSLDSAIQDASSLPSTRESNGDSAENVQYQNSGQKVPAANEHKPHPPSEGEQRNKKKVNLRGKGIGAVHKTRSSTPDWTGSGFDVDGRT >VigunL059038.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:10:758:1 gene:VigunL059038.v1.2 transcript:VigunL059038.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun03g056000.1.v1.2 pep primary_assembly:ASM411807v1:3:4576138:4576712:-1 gene:Vigun03g056000.v1.2 transcript:Vigun03g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLRFTMFLLFLVLAADVAVKRTEARECWTSSRTFKGLCFSSSNCEAVCFTEGFSGGKCKGVRHKCYCSEICRN >Vigun08g051700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6042661:6043800:-1 gene:Vigun08g051700.v1.2 transcript:Vigun08g051700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding YNISNFCSDVVLLASAYKLLFGLPFLIVILICKWRKRHASMYENIENYLQQNNMAPIRYSYKEIKKIAGGFKEKLGQGGFGSVFKAKLFSGPFVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVRLIGFCVHGSKRALVYEFMPNGSLDKFIFSKDSAPLSCEKMYNISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVTMTAARGTIGYMAPELFYNNIGGISHKADVYSFGMLLMEITSKRKNLNPHADRSSQLYFPLWIYDHIREEEDVDIEDVTEEEKKIAKKMIIVALWCIQLKPNDRPSMNKVVEMLEGDIKDLKISPKPALFPDEMIVEDQTINSIQTIRVNSFSSD >Vigun08g019000.1.v1.2 pep primary_assembly:ASM411807v1:8:1611006:1616187:1 gene:Vigun08g019000.v1.2 transcript:Vigun08g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDTPLLENTQPSDTAEEKTLKFVVKSFGFESKKLWRLAGPAILTSICQYSLGALTQTFAGQVGDLALAAVSVENSVIAGLAFGVMLGMGSALETLCGQAFGAGQMRMLGVYMQRSWVILFITALLMLPIYIWSPPILVLLGQTSQISHASGKFAVWMIPQLFAYAINFPIQKFLQAQGKVLVMLWVSVGVLVLHTVFSWLLILKLGWGLVGAAITLNTSWWLIVIAQLLYIFITKSDGAWSGFTWLAFVDLFGFVKLSLASAVMLCLEFWYLMILVVITGRLENPLVPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGAGDFKAARFSVWVVSITSVAIGVVVMIVVLSTKDYFPYLFTTSSAVAHETTKLAALLGVTVLLNSLQPVLSGVAVGAGWQSLVAYINIGCYYVFGLPAGIVLGFTLNFGVVGIWSGMIAGIVLQTTILIIVTSITNWKKEAEEAESRVRKWGGAIAYDQ >Vigun04g139800.1.v1.2 pep primary_assembly:ASM411807v1:4:34707976:34712075:1 gene:Vigun04g139800.v1.2 transcript:Vigun04g139800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFPKIFYIVLLLSLLASGSTVTLKNSSESGEAKCIERESQALLSFKDSLIDVYGMLSTWTNNTDCCKWKRILCNHQTGHVQLLDLHGDIDYNIQYLRGAINVTSLIHLQYIQHLDLSHNDFEWIHIPDFMGFTNLRYLSLSNCFFAGRIPSELGDLSQLRYLNLRDNYLWGEIPVQIGNLKLLQYLDLGGYYLSGKIPSQIGNLKKLQYLSIGCNYGEITSSNSLSGAIPFQVGNLSLLHTLRLGGNFDIKAKDAQWLSSLHSLTVLELTSLHNLGSSRQWLQTISKIIPNLTELRLAECNLLDVHIQSLFHSRSSNNSISLTILDLSSNMLTSSTLQLLFNFGLHLQELYLPHNSISLSPSLCPNFPSLKILDLSYSNLASSMSLGNSNISSKLQELHLRSSSLIDRNFLISSTSTTNSLSSLLHIDLSNNLLRLYSIFLWLSNVTTNLRTLHLDYNFLEGSIPDEFGKSMNSLEYLYISNNKLQGKVPSFFGSMCRLQGLNLSNNKLNGEFPSFIQNSSWCSRHIFRELDLSYNQLTGKIPESIKLLSGLEVLSLEGNSLEGDVTESHLSNFSKLYVLSLSYNSLSLKFVSTWIPPFQLTYLALASCKLGKTFPSWLQTQNSLMVLDISDSSISEYVPEWFWNKLQTMYVLNMAQNNLIGSIPNIQLKLPFRPSIILNSNKFEGKVPLFLLQASELLLSQNKFSDFLCENVTAANLATLDLSYNQIKGQLPDCWKSVDQLLFLDLSSNELTGNIPISMGTLVKLESLVLRNNCLMGELPSSLKNCNNLIMLDVSENMLSGPIPSWVGESMQQLIILIMRGNHFSGNPPRSLCYLKRIQLFDLSRNNLSGGIPTCLNNFTAFTYYEIYSISSGSYALHITWMWKGMERNFKHPELTLRSIDLSCNNLTGTMPREITYMLGLVSLNLSRNNLSGEIPSEIGNLCSLESLDLSRNQFHGRIPSSISQMDFLTTLDLSHNSLSGRIPLGRHLDTFDGSCFEGNIDLCGEQLNKRCVGDQTSVKPEEATIHGEDSVFYEALYMSLGIGFFTGFWGLLGPFLLWQPWRIAYLRFLNRLIDYLLVMVELKIAKCQRWLTD >Vigun04g139800.2.v1.2 pep primary_assembly:ASM411807v1:4:34707976:34712075:1 gene:Vigun04g139800.v1.2 transcript:Vigun04g139800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFPKIFYIVLLLSLLASGSTVTLKNSSESGEAKCIERESQALLSFKDSLIDVYGMLSTWTNNTDCCKWKRILCNHQTGHVQLLDLHGDIDYNIQYLRGAINVTSLIHLQYIQHLDLSHNDFEWIHIPDFMGFTNLRYLSLSNCFFAGRIPSELGDLSQLRYLNLRDNYLWGEIPVQIGNLKLLQYLDLGGYYLSGKIPSQIGNLKKLQYLSIGCNYGEITSSNSLSGAIPFQVGNLSLLHTLRLGGNFDIKAKDAQWLSSLHSLTVLELTSLHNLGSSRQWLQTISKIIPNLTELRLAECNLLDVHIQSLFHSRSSNNSISLTILDLSSNMLTSSTLQLLFNFGLHLQELYLPHNSISLSPSLCPNFPSLKILDLSYSNLASSMSLGNSNISSKLQELHLRSSSLIDRNFLISSTSTTNSLSSLLHIDLSNNLLRLYSIFLWLSNVTTNLRTLHLDYNFLEGSIPDEFGKSMNSLEYLYISNNKLQGKVPSFFGSMCRLQGLNLSNNKLNGEFPSFIQNSSWCSRHIFRELDLSYNQLTGKIPESIKLLSGLEVLSLEGNSLEGDVTESHLSNFSKLYVLSLSYNSLSLKFVSTWIPPFQLTYLALASCKLGKTFPSWLQTQNSLMVLDISDSSISEYVPEWFWNKLQTMYVLNMAQNNLIGSIPNIQLKLPFRPSIILNSNKFEGKVPLFLLQASELLLSQNKFSDFLCENVTAANLATLDLSYNQIKGQLPDCWKSVDQLLFLDLSSNELTGNIPISMGTLVKLESLVLRNNCLMGELPSSLKNCNNLIMLDVSENMLSGPIPSWVGESMQQLIILIMRGNHFSGNPPRSLCYLKRIQLFDLSRNNLSGGIPTCLNNFTAFTYYEIYSISSGSYALHITWMWKGMERNFKHPELTLRSIDLSCNNLTGTMPREITYMLGLVSLNLSRNNLSGEIPSEIGNLCSLESLDLSRNQFHGRIPSSISQMDFLTTLDLSHNSLSGRIPLGRHLDTFDGSCFEGNIDLCGEQLNKRCVGDQTSVKPEEATIHGEDSVFYEALYMSLGIGFFTGFWGLLGPFLLWQPWRIAYLRFLNRLIDYLLVMVELKIAKCQRWLTD >Vigun07g252900.2.v1.2 pep primary_assembly:ASM411807v1:7:37182229:37188693:1 gene:Vigun07g252900.v1.2 transcript:Vigun07g252900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDTFQTDGNNVRYVASELASDIVVSVGDIKFYLHKFPLLSKSSHLQTLISLNNEENLDEVQISDIPGGATTFEICAKFCYGMTVTLNAYNVIATRCAAEYLGMHETIEKGNLVYKIDVFLSSSIFRSWKDSIILLQTSKSMLPLVEDLKVVSHCVDSIANKACVDVSKVDWSYTYNRRKLPEENGIESNQNGLRTRLVPKDWWVEDLCELEVDLYKSVITNIKSKAVQSNEVIGEALKAYAYRRLPNFSKGMIQCGDVSKHRVIVETIVWLLPTEKGSVPCRFLLKLLKAAIFVESGDKTKEELVKRIGQQLEEASVSDILIQAPEGDATMYDVSIVKNIVTEFFTKDYNAEIESVAGGELEGIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLSDFVNLAELVSSVSRPAHDGLYRAIDTYLKEHPGISKGEKKRICKLMDCRKLSVDACLHAVQNERLPLRVVVQVLYFEQLRTAASSGTSTPDIPRGIKDLNNESNGSSRSGTTNPEDELDAVATAEELKALRKELASLRLSNGVANNDKDGDIKPSMDKAVIGKVKGLLKSKKSFIKLWASKGGQGENSGSDSSESISSANPEEAKSTPSRNRRHSVS >Vigun07g252900.1.v1.2 pep primary_assembly:ASM411807v1:7:37182118:37188708:1 gene:Vigun07g252900.v1.2 transcript:Vigun07g252900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDTFQTDGNNVRYVASELASDIVVSVGDIKFYLHKFPLLSKSSHLQTLISLNNEENLDEVQISDIPGGATTFEICAKFCYGMTVTLNAYNVIATRCAAEYLGMHETIEKGNLVYKIDVFLSSSIFRSWKDSIILLQTSKSMLPLVEDLKVVSHCVDSIANKACVDVSKVDWSYTYNRRKLPEENGIESNQNGLRTRLVPKDWWVEDLCELEVDLYKSVITNIKSKAVQSNEVIGEALKAYAYRRLPNFSKGMIQCGDVSKHRVIVETIVWLLPTEKGSVPCRFLLKLLKAAIFVESGDKTKEELVKRIGQQLEEASVSDILIQAPEGDATMYDVSIVKNIVTEFFTKDYNAEIESVAGGELEGIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLSDFVNLAELVSSVSRPAHDGLYRAIDTYLKEHPGISKGEKKRICKLMDCRKLSVDACLHAVQNERLPLRVVVQVLYFEQLRTAASSGTSTPDIPRGIKDLNNESNGSSRSGTTNPEDELDAVATAEELKALRKELASLRLSNGVANNDKDGDIKPSMDKAVIGKVKGLLKSKKSFIKLWASKGGQGENSGSDSSESISSANPEEAKSTPSRNRRHSVS >Vigun07g105800.1.v1.2 pep primary_assembly:ASM411807v1:7:19377702:19381296:-1 gene:Vigun07g105800.v1.2 transcript:Vigun07g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGIVRDQNLEKHIHKQMGCMAGFLQIFDRHQILTGKRIYSPKRLPPASPEPDKATASPARSVKSPAREVVQSEPKASSPALPVLEFKEGTRSSWKFSREAPRLSLDSRAMVDAKGTLHLHGREIPSENDGDKQRRSTSVIAKLMGLEPLPDSEPGPGPIAKLQRSASESRVSRDLSLPLPLPQCRFLDTNNFPSAVQVTNVVLENNNAAMDARLSNGRAADPPRQRAKKSFYDSADFFPEPKHTASVYGEIERRLRMRGINEPSKDLHTLKHILEALQLKGLLHSNDKSNQSPIVVMKPVRSVNRTGNDSPPLRSSPRRSPRVGNETRRIEQNERGSMSQSSRALSSSPNRRKQQNVEAQRRVGVDSRRGSVSVSPVHSPKASPRRNVGNQQVPCGSPRMRRNVERKLKMLGGAEDELSTVSENSFSTSSYPDTERYRLEEYKEGKDLLDRCDKLLNSIAEITAANELQPSPVSVLDSSFDKDDWCSPSPITKRCIDYKDQAAESEDDMWSAALCSSEEAASEDCDFVYVSEILRACSYLPEESDIFLLLEKQQCLKGKDTSKASTLQRRLIFDTLQEILERNQQLPPWKAVSYGEQRQKIWSEFRRIREREESESEDLFKVICGVLKKDMAEEMRGWGEWAVEMGDVVLDIERLVFKDLVGETIRELASFSPHCNSDKLPALRRKLLF >Vigun01g242500.2.v1.2 pep primary_assembly:ASM411807v1:1:41181182:41183576:-1 gene:Vigun01g242500.v1.2 transcript:Vigun01g242500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIPLSFMLLFLLLVPSCICSSPLQDPELVVEDVQKSINASRRNLAFLSCGTGNPIDDCWRCDPNWEKNRKNLAECSIGFGKHAIGGRDGKIYVVTDPGDHPVNPKPGTLRYGVIQEEPLWIIFKRDMVIQLKQELMMNSFKTIDGRGASVHIAGGPCITIQYVSNIIIHGINIHDCRQGGNAYVRDSPTHYGWRTLSDGDGISIFGGSHVWVDHCSLSNCRDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTFTRDKNMQVTIAFNHFGEGLVQRMPRYGYFHVVNNDYTQWEMYAIGGSAAPTINSQGNRFFAPNDHTFKEVTKRENAAQSKWKNWNWRSTGDLMLNGAFFTASGAGSSSSYARASSLAAKPSSVVSSLTASAGSLRCRKGSRC >Vigun01g242500.1.v1.2 pep primary_assembly:ASM411807v1:1:41181182:41183576:-1 gene:Vigun01g242500.v1.2 transcript:Vigun01g242500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIPLSFMLLFLLLVPSCICSSPLQDPELVVEDVQKSINASRRNLAFLSCGTGNPIDDCWRCDPNWEKNRKNLAECSIGFGKHAIGGRDGKIYVVTDPGDHPVNPKPGTLRYGVIQEEPLWIIFKRDMVIQLKQELMMNSFKTIDGRGASVHIAGGPCITIQYVSNIIIHGINIHDCRQGGNAYVRDSPTHYGWRTLSDGDGISIFGGSHVWVDHCSLSNCRDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTFTRDKNMQVTIAFNHFGEGLVQRMPRCRYGYFHVVNNDYTQWEMYAIGGSAAPTINSQGNRFFAPNDHTFKEVTKRENAAQSKWKNWNWRSTGDLMLNGAFFTASGAGSSSSYARASSLAAKPSSVVSSLTASAGSLRCRKGSRC >Vigun06g019700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:9420047:9420319:-1 gene:Vigun06g019700.v1.2 transcript:Vigun06g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLCSETMRLVGLACFFLLMLLLLLLQSFSSATEESGKFQHFKTGYMSKFNSVQDKQGFRQNSGEGGSEAILGDEKRKIYTGPNPLHNR >Vigun03g447400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64867896:64868506:1 gene:Vigun03g447400.v1.2 transcript:Vigun03g447400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCKAASSSKVLDEGDGLCSEKVQKKKMMETLGVSPDPNGKVKIKISKKELAELFDKKELGRASAEQLLLRFITKGRENDGGHRSWRPVLETISEV >Vigun08g103500.4.v1.2 pep primary_assembly:ASM411807v1:8:25669610:25673281:-1 gene:Vigun08g103500.v1.2 transcript:Vigun08g103500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAADLIERRQRSEFQSQVKGTLFNAIKGNPDIIPSLLTLALNDALTYDKATKSGGPNGSIRFSSEISRPENTGLSPALNLLEEVKKEIDSYSKGGPISYADLINYAAQSAVKATFLASAIRKCGGNEEKGNILYNAYGSSGQWGLFDRQFGRADAQDPDPEGRVPIWEKASVQEMKDKFSAVGFGPRQLAVLSAFLGPDQNATETLLASDPDVAPWVQKYQRSRETVSQTDYEVDLITTFTKLSTLGQQINYEAYTYPRQKIDITKLKL >Vigun08g103500.2.v1.2 pep primary_assembly:ASM411807v1:8:25669610:25675669:-1 gene:Vigun08g103500.v1.2 transcript:Vigun08g103500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFLSTCPSLLSLVANDTPPSTRYHPRSVSVCCNKTISDVAGEEPFHWRRREVLKCVGATVGLEIIGSSGSLVGMANAADLIERRQRSEFQSQVKGTLFNAIKGNPDIIPSLLTLALNDALTYDKATKSGGPNGSIRFSSEISRPENTGLSPALNLLEEVKKEIDSYSKGGPISYADLINYAAQSAVKATFLASAIRKCGGNEEKGNILYNAYGSSGQWGLFDRQFGRADAQDPDPEGRVPIWEKASVQEMKDKFSAVGFGPRQLAVLSAFLGPDQNATETLLASDPDVAPWVQKYQRSRETVSQTDYEVSSSMNSSIHGQQ >Vigun08g103500.5.v1.2 pep primary_assembly:ASM411807v1:8:25669610:25673281:-1 gene:Vigun08g103500.v1.2 transcript:Vigun08g103500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQQNRVVQMALYGSEISRPENTGLSPALNLLEEVKKEIDSYSKGGPISYADLINYAAQSAVKATFLASAIRKCGGNEEKGNILYNAYGSSGQWGLFDRQFGRADAQDPDPEGRVPIWEKASVQEMKDKFSAVGFGPRQLAVLSAFLGPDQNATETLLASDPDVAPWVQKYQRSRETVSQTDYEVDLITTFTKLSTLGQQINYEAYTYPRQKIDITKLKL >Vigun08g103500.3.v1.2 pep primary_assembly:ASM411807v1:8:25669610:25675669:-1 gene:Vigun08g103500.v1.2 transcript:Vigun08g103500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAADLIERRQRSEFQSQVKGTLFNAIKGNPDIIPSLLTLALNDALTYDKATKSGGPNGSIRFSSEISRPENTGLSPALNLLEEVKKEIDSYSKGGPISYADLINYAAQSAVKATFLASAIRKCGGNEEKGNILYNAYGSSGQWGLFDRQFGRADAQDPDPEGRVPIWEKASVQEMKDKFSAVGFGPRQLAVLSAFLGPDQNATETLLASDPDVAPWVQKYQRSRETVSQTDYEVDLITTFTKLSTLGQQINYEAYTYPRQKIDITKLKL >Vigun08g103500.1.v1.2 pep primary_assembly:ASM411807v1:8:25669610:25675669:-1 gene:Vigun08g103500.v1.2 transcript:Vigun08g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFLSTCPSLLSLVANDTPPSTRYHPRSVSVCCNKTISDVAGEEPFHWRRREVLKCVGATVGLEIIGSSGSLVGMANAADLIERRQRSEFQSQVKGTLFNAIKGNPDIIPSLLTLALNDALTYDKATKSGGPNGSIRFSSEISRPENTGLSPALNLLEEVKKEIDSYSKGGPISYADLINYAAQSAVKATFLASAIRKCGGNEEKGNILYNAYGSSGQWGLFDRQFGRADAQDPDPEGRVPIWEKASVQEMKDKFSAVGFGPRQLAVLSAFLGPDQNATETLLASDPDVAPWVQKYQRSRETVSQTDYEVDLITTFTKLSTLGQQINYEAYTYPRQKIDITKLKL >Vigun05g295000.1.v1.2 pep primary_assembly:ASM411807v1:5:48037588:48040235:1 gene:Vigun05g295000.v1.2 transcript:Vigun05g295000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHIMFSSFFIRLQLFFFLLFSLVLGDKAFTGTYGVNYGRIADNLPPPESVVTLLKAAKIKNIRIYDADHHVLRAFKGSGIEIVVGLGNEFLTEMSVGEDRAMSWVKENVQQFLPGTKIRGIAVGNEILGGTDIQLWEVLLPAAKNVYNALSKLGLEKNVQVSSPHSEAVFANSFPPSSCTFKEDVVPYMKPLLQFFSQIGTPFFINAYPFLAYKNDPEHIDLNYALMEKSDGIYDAKTKLHYGNMFEAQVDAAYAALEKVGFGKMEVIVSETGWASKGDENEAGATVKNARTYNLNLRKRLLKKKGTPYRPKKVVRAYIFALFNENSKPGPTSERNFGLFKADGSIAYDIGFTGLVPSSSASSLLSFKGIGTWFVLAFTSLVAFFL >Vigun05g295000.2.v1.2 pep primary_assembly:ASM411807v1:5:48037588:48040235:1 gene:Vigun05g295000.v1.2 transcript:Vigun05g295000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEDRAMSWVKENVQQFLPGTKIRGIAVGNEILGGTDIQLWEVLLPAAKNVYNALSKLGLEKNVQVSSPHSEAVFANSFPPSSCTFKEDVVPYMKPLLQFFSQIGTPFFINAYPFLAYKNDPEHIDLNYALMEKSDGIYDAKTKLHYGNMFEAQVDAAYAALEKVGFGKMEVIVSETGWASKGDENEAGATVKNARTYNLNLRKRLLKKKGTPYRPKKVVRAYIFALFNENSKPGPTSERNFGLFKADGSIAYDIGFTGLVPSSSASSLLSFKGIGTWFVLAFTSLVAFFL >Vigun01g121800.1.v1.2 pep primary_assembly:ASM411807v1:1:29853957:29857905:1 gene:Vigun01g121800.v1.2 transcript:Vigun01g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNLGMLHYVLDHIYGAFMHRTKMSTPFFSRGWGGTKLEMLERMLNQLFPEVAGQNWPPRLIEPVWRTIWETKNASLREGVFRTPCDDQLLGALPPESHTARVAFLMPKSVSPNRMACVVHLAGTGDHTFERRLRLGGPLMKENIATMVLESPFYGQRRPVLQRGAKLLCVSDLLLLGRATIEEARSLLHWLDCEAGFGKMGICGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHGTAWEALREDLATQKVAMTLEDVRERMRNVLSLTDVTRFPVPKSPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFILHNDEFRRAIKDGLDRLPWKESQL >Vigun06g204533.1.v1.2 pep primary_assembly:ASM411807v1:6:31868896:31870466:-1 gene:Vigun06g204533.v1.2 transcript:Vigun06g204533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSHTHKAPYKLSLTWPICHIVFVVNIHIKFHTQLPLKLNPFYH >Vigun03g165900.1.v1.2 pep primary_assembly:ASM411807v1:3:19048836:19051569:1 gene:Vigun03g165900.v1.2 transcript:Vigun03g165900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMRGPEDQQSRVFCELSALVFNLLRSPPLMPESPARRSTAGAQITPAGFASLLLGISVALMLCGSVTFFIGFMLMPWVLGLMMVFYVAAIVSALSVLGRSILCFASPRKDVPVLFSLSHLGAPGRVKL >Vigun03g165900.4.v1.2 pep primary_assembly:ASM411807v1:3:19048836:19051569:1 gene:Vigun03g165900.v1.2 transcript:Vigun03g165900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMRGPEDQQSRVFCELSALVFNLLRSPPLMPESPARRSTAGAQITPAGFASLLLGISVALMLCGSVTFFIGFMLMPWVLGLMMVFYVAAIVSALSVLGRSILCFASPRKDVPVLFSLSHLGAPGRVKL >Vigun03g165900.3.v1.2 pep primary_assembly:ASM411807v1:3:19048836:19051569:1 gene:Vigun03g165900.v1.2 transcript:Vigun03g165900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMRGPEDQQSRVFCELSALVFNLLRSPPLMPESPARRSTAGAQITPAGFASLLLGISVALMLCGSVTFFIGFMLMPWVLGLMMVFYVAAIVSALSVLGRSILCFASPRKDVPAQLIS >Vigun03g165900.2.v1.2 pep primary_assembly:ASM411807v1:3:19048829:19051569:1 gene:Vigun03g165900.v1.2 transcript:Vigun03g165900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMRGPEDQQSRVFCELSALVFNLLRSPPLMPESPARRSTAGAQITPAGFASLLLGISVALMLCGSVTFFIGFMLMPWVLGLMMVFYVAAIVSALSVLGRSILCFASPRKDVPEWKLM >Vigun02g153900.1.v1.2 pep primary_assembly:ASM411807v1:2:30042779:30052096:-1 gene:Vigun02g153900.v1.2 transcript:Vigun02g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVSARIRLTYPICPSRHFPSHQSPFLFPSSHSLSLKLSQNHFPLTSFNLLEAPSPLKPSATLSDSYRETCDLADIDWDNLGFGLQPTDYMYIMKCARGGTFSKGQLQRFGNIELNPSAGILNYGQGLFEGLKAYRKEDGNILLFRPEENGLRMQIGAERMCMPSPTVEQFVEAVKDTVLANKRWVPPPGKGSLYIRPLLMGSGPVLGLAPSPEYTFLIYVSPVGNYFKEGLAPINLIVENELHRATPGGTGGVKTIGNYAAVLKAQSEAKAKGYSDVLYLDCVHKRYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVSVDELLDADEVFCTGTAVVVSPVGSITYLGKRVSYGDGIGVVSQQLYTVLTRLQMGLSADEMNWTVELR >Vigun07g111600.4.v1.2 pep primary_assembly:ASM411807v1:7:20699796:20702650:1 gene:Vigun07g111600.v1.2 transcript:Vigun07g111600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGISDVIRKFFLQTTHWRNILLVMSQCGWKNSTGEMVGCLGLQSVRGFEDRILPHFPKNAQTPAARGFIANSFSSGLRGTEFFLHCQANRFRLLDD >Vigun07g111600.1.v1.2 pep primary_assembly:ASM411807v1:7:20699109:20702650:1 gene:Vigun07g111600.v1.2 transcript:Vigun07g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMSDFFKNLDDFTTPREMLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGISDVIRKFFLQTTHWRNILLVMSQCGWKNSTGEMVGCLGLQSVRGFEDRILPHFPKNAQTPAARGFIANSFSSGLRGTEFFLHCQANRFRLLDD >Vigun07g111600.3.v1.2 pep primary_assembly:ASM411807v1:7:20700020:20702650:1 gene:Vigun07g111600.v1.2 transcript:Vigun07g111600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGISDVIRKFFLQTTHWRNILLVMSQCGWKNSTGEMVGCLGLQSVRGFEDRILPHFPKNAQTPAARGFIANSFSSGLRGTEFFLHCQANRFRLLDD >Vigun07g111600.2.v1.2 pep primary_assembly:ASM411807v1:7:20699268:20702650:1 gene:Vigun07g111600.v1.2 transcript:Vigun07g111600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMSDFFKNLDDFTTPREMLNDFYMSSFVMTRKDNFYDRSALFSCLCLLDDADLVDLPTPAIVKPVELWSGKQLFNILLRPHANVKVCVDLTVEEKIYTKVDEEKRELKTLCPNDGFVYFRNSELISGQFGKVTLGNGNTEGLLSLILRECEAEAAASCLNRLAKVRCRQPWILDFNGATGMNDITPNEMVIKKKEEILSEAYRKCDEYIEAFNKGKLELIPGCDAAQTLETRITQVLNGISDVIRKFFLQTTHWRNILLVMSQCGWKNSTGEMVGCLGLQSVRGFEDRILPHFPKNAQTPAARGFIANSFSSGLRGTEFFLHCQANRFRLLDD >Vigun04g080866.1.v1.2 pep primary_assembly:ASM411807v1:4:12064457:12065167:1 gene:Vigun04g080866.v1.2 transcript:Vigun04g080866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVRTSSRSSLEFISSPQRTNVTLTRARHCLWILGNERAITNNENVWKATVLDAKNRKCFFNADQDVEMAKAILDSKKKAYQFDDLLDTNSVLFRSKLWKVYFSDS >Vigun11g136100.1.v1.2 pep primary_assembly:ASM411807v1:11:34544202:34547197:1 gene:Vigun11g136100.v1.2 transcript:Vigun11g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLPFSKLSLLLLFASLSFSYATSRHPAFPPQSSKAERLIRSFNLFPKEPFNTIQELHHLHTFVPGQIVETNFSFLGASGPPVEDLGHHAGYYSLPHSKAARMFYFFFESRKNKNDPVVIWLTGGPGCGSELALFYENGPFHIINNLSLTWNDYGWDQASNIIFVDQPTGTGFSYSSDKSDIRPDETGVSNDLYDFLQAFFKAHPEFVKNDFYITGESYAGHYIPAIASLINQNNKKKQGIHINLKGFAIGNGLTNSPIQYEVYPEFALQNGLITKKEADDISKLIPDCDKTAKACESRGGKVCEDALDACEAIFDKLLSIVGDLNYYDIRKKCLGPLCYDYSNVEKLLNEEKVKSALGVGKGLKYVSCSRTVYDAMLQDTMKNLEVGIPGLLEDGIRFLAYAGEKDLICNWLGNLRWINAMNWSGQKGFEASPTVKFVVDGAESGTLNSHGPLSFLKVHDAGHMVPMDQPKVALEMLKRWMGGKLMNPTPDN >Vigun01g032432.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4121504:4121860:-1 gene:Vigun01g032432.v1.2 transcript:Vigun01g032432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEPAATRSPSAKVVAGHVVHHRSRHHRRRKPTLLSFSLARSMPVLGAAGVRLLLTQKPRTAIPVATASSHCRRRHHRRNPILRGVVVENPGMLGLCFLFAYRLEQPLCDTQGRCR >Vigun02g150400.1.v1.2 pep primary_assembly:ASM411807v1:2:29728885:29730641:1 gene:Vigun02g150400.v1.2 transcript:Vigun02g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFNLILVCLLFSTLCLHSCPSSAQLSRHHYAKTCPNVENIVREAVKKKFHQTFVTVPATLRLFFHDCFVQGCDASVLVASTGNNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPLCRNKVSCADILAMATRDVIALAGGPFYEVELGRFDGLRSRASDVNGRLPQPEFTLNQLNSLFAANGLTQTEMIALSGAHTVGFSHCNKFSKRVYNFKSKSGVDPALNEKYATQLKSMCPRNVDPRIAIDMDPTTPRAFDNVYYRNLQQGKGLFSSDQVLFTDSRSKPTVNAFASSSKIFHANFAAAMTKLGRVGVKNAQNGNIRTDCSVI >Vigun06g062800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19129073:19130747:1 gene:Vigun06g062800.v1.2 transcript:Vigun06g062800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDKAYKHQICSNLVTFQSVTMVLVLVTSYFFFSASKSFPNLLTIPIALLSTMYFLVTLIRKKRDKEENLVQNGLHLLQDVTHSEVNQQSETAENHEDGESAAHSDYLFPSDCESSNFSVMDGTFELNIEEHRLQDDLVSDYSLPSDSESSDGSILEESFERHQKGNKNEDISDSLASDDDYEGEDEEDSLIEINLPHFPEFDEDPKQKLQSNLADSIFKQQGLMELLAEFNDMNEDENLIEIDISMGMQISD >Vigun06g062800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19129073:19130742:1 gene:Vigun06g062800.v1.2 transcript:Vigun06g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDKAYKHQICSNLVTFQSVTMVLVLVTSYFFFSASKSFPNLLTIPIALLSTMYFLVTLIRKKRDKEENLVQNGLHLLQDVTHSEVNQQSETAENHEDGESAAHSDYLFPSDCESSNFSVMDGTFELNIEEHRLQDDLVSDYSLPSDSESSDGSILEESFERHQKGNKNEDISDSLASDDDYEGEDEEDSLIEINLPHFPEFDEDPKQKLQSNLADSIFKQQGLMELLAEFNDMNEDENLIEIDISMGMQISD >Vigun05g223600.1.v1.2 pep primary_assembly:ASM411807v1:5:41589218:41593292:-1 gene:Vigun05g223600.v1.2 transcript:Vigun05g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEARVVSLTTSLSSHTSRSFIHHPPCILSLQTSPPHIMKQNKLSIKSVAFRDKVSTETDPGTKKLEQVKRTCQETLLKSSDPTKTLKIIDMIQRLGIGHHFEEEIDVKLGKVGDWDFSQDLFSTALQFRLLRHNGRHASSDVFKKFLDNNGNFKESITNDIWGMLSMYEASYLGAEDEQVLQQAMDFSSAHLQQSLPLLSPDVGNHVARALTLPRHLRMSRLEARNYIEEYSQVRSQMPYLLEMAKIDNAMVQSMHQKELADISRWWNDLGLVERLGFARDKPAECFLWTVGVFPEPCYSNCRIELAKTICILLVMDDIFDTYGTLDELVLFTEAIKRWDLDAMEQLPEYMKISYLALYNTTQEIACRIQMEHGQTVLSCLKRTWIDIFEAFLKEAKWFSNKYVPTFQEYLANGVISSGSYMALVHATFLIGDGISNKTISMMNPYPRLFSCSGEILRLWDDLGTSREEQERGDNACSLQCLMKENNISDESVARKHIRGFIRNLWPELNSLALTTTSLPFSVIKASLNMARTAQVIYQHGDDQNTFTVDDYVQTLLFTPTLNN >Vigun07g025800.1.v1.2 pep primary_assembly:ASM411807v1:7:2314524:2315624:1 gene:Vigun07g025800.v1.2 transcript:Vigun07g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKKAVESVKETAANIGASAKSGLEKTKATLQEKSEKISAHDEREKERATKKKQEKINQAEMEKRQARQHNAAAKQSAIAGQAHGPQTDTTGPESDNAANTGPASETSTFTTTGPGPDAIPPSTGPGLGSESSMYPTGEFGQMESNETARMSEPDDRYVLDHGPEAGHEALPPIETKTVVDTTTQTSIGGESAPTSGPTFS >Vigun10g198300.1.v1.2 pep primary_assembly:ASM411807v1:10:41105715:41107284:1 gene:Vigun10g198300.v1.2 transcript:Vigun10g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDNVVGGKLKLKGKALDVAGGVKKKKKKNKRNQDQLLQVAEDDISAGGSTEEGKDGNEEDTNTARELSSGKGKVAHYDDHLTPAERRYIEQREQLDVHRLAKISNKSHRDRIQDFNQYLANMSEHYDIPKVGPG >Vigun10g198300.2.v1.2 pep primary_assembly:ASM411807v1:10:41105715:41107285:1 gene:Vigun10g198300.v1.2 transcript:Vigun10g198300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDNVVGGKLKLKGKALDVAGGVKKKKKKNKRNQDQLLQVAEDDISAEEGKDGNEEDTNTARELSSGKGKVAHYDDHLTPAERRYIEQREQLDVHRLAKISNKSHRDRIQDFNQYLANMSEHYDIPKVGPG >Vigun07g153600.1.v1.2 pep primary_assembly:ASM411807v1:7:26462672:26464529:-1 gene:Vigun07g153600.v1.2 transcript:Vigun07g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVVDVLHPGRANVSKAELKEKLARMYDVKDPNTVFVFKFRTNFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKAGKKK >Vigun08g198200.1.v1.2 pep primary_assembly:ASM411807v1:8:36369672:36374753:1 gene:Vigun08g198200.v1.2 transcript:Vigun08g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRTVPWHLNPNGGWWLSTICVMEGVIVRRVIPSDNSCLFNAVGYVMDHDKKKAPELRQVIAATVGSDPQKYCEAFLGKPNAEYCNWILDSEKWGGAIELAILADYYGREIAAYDIQTTRCDLYGQERNYSERVMLIYDGLHYDALVMSPVEDAPEEFDQTIFAVQPNRSIGPVERLALNFVKDQQRKRSYTDTSKFTLRCGVCQIGVVGQKEAMEHAQATGHVNFQEYR >Vigun08g198200.2.v1.2 pep primary_assembly:ASM411807v1:8:36369672:36374753:1 gene:Vigun08g198200.v1.2 transcript:Vigun08g198200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIVRRVIPSDNSCLFNAVGYVMDHDKKKAPELRQVIAATVGSDPQKYCEAFLGKPNAEYCNWILDSEKWGGAIELAILADYYGREIAAYDIQTTRCDLYGQERNYSERVMLIYDGLHYDALVMSPVEDAPEEFDQTIFAVQPNRSIGPVERLALNFVKDQQRKRSYTDTSKFTLRCGVCQIGVVGQKEAMEHAQATGHVNFQEYR >Vigun11g104600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:30279096:30279716:-1 gene:Vigun11g104600.v1.2 transcript:Vigun11g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHFVDSLAMFARRNAEEKFKLGDIKGAITCATMAKTLDPKVDGIDETILAYKIHRATMKKRGNGTTNWYKVLGIRKGFEDDIENIKMQRNKLVVMLNPTKNASVATWGAFRLIYKAWAHLSDSNHQNVNLRRKVTPRCNKPTKSACVRCRKWCKYEVLKVSSEHKHGDRTKRVLLIECPCCKCKFDPISRQISKSKHSNLQNHA >Vigun11g150600.1.v1.2 pep primary_assembly:ASM411807v1:11:36090374:36095089:-1 gene:Vigun11g150600.v1.2 transcript:Vigun11g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSRPYSLCRSRGRSSKFGKVIVDVAMEKDATTVNPSSFTLLASTPSGLSPSQVSVDFSDAYDRIPHSDVSLENTISEIWEQRSLKNNSLFNGKKFRYGGCFLDAGDGSNREPRLCLHLGLTDYRTFVGTNLSPLWERFLVPSEDDSVLCQHTSSPLGNGAVVETKDNKILVLQRSNNVGEFPGHFVFPGGHPEPQEIGITSHQYVKELAESINIKVSQEMFDSIVREVVEEIGVPASSLSIPTFIGISRRNLNVRPTAFFFIKCSLDSKEVQQFYSSAQDGYESTQLYAVPMVEVENMTSRMPGCHRGGFSLYKLMVDNRKIT >Vigun09g080200.1.v1.2 pep primary_assembly:ASM411807v1:9:9474285:9478327:-1 gene:Vigun09g080200.v1.2 transcript:Vigun09g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKMIIAQCCSRAAFGQLRMSSTTTPTHDDLDAVFKQKRLIRTQVRKTLKSIQPSLRSHQDNAVQDIVLEAPWFKSSRRLCAYISCAALREVDTFKILSQILQYNGGKKLYVPRVEDKNSHMRMLHISRIDDLVANSMDILEPAPVDADGNAREDVMQANDPVDLFLLPGLAFDRAGRRLGRGGGYYDTFLKNYQDLAKTRNWRQPLLVALSYSEQILDEGVIPITPSDLPVDALVSPEGVIPISSAALNRMDL >VigunL059926.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:24134:24256:1 gene:VigunL059926.v1.2 transcript:VigunL059926.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun06g239200.1.v1.2 pep primary_assembly:ASM411807v1:6:34397447:34405026:-1 gene:Vigun06g239200.v1.2 transcript:Vigun06g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWETVDEFLVQCKPSSDAAYASLRSLLERLDNPETRSQARIFLSHLQKRFPTKDSCDQCFQTYHFRIEDVSLGQYEGHQGRNKLTTMVIPSIFLPEDWSFTFYEGINRHPDSIFKDRTVAELGCGNGWISIAIAEKWLPSKVYGLDINPRAVKISWINLYLNALDENGQPIYDREKKTLLDRVEFHESDLLSYCRENDIQLERIVGCIPQILNPNPDAMSKVITENASEEFLHSLSNYCALQGFMEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGHGVCERLFERRGFRITKLWQTKIIQAGDTDIAALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKSGGRISHALSVYSCELHRPNQVKVIFDFLKHGFQEISSSLDLSFGEDSVADEKIPFLAYLASTLKSNSYFPYEPPAGSIHFRNLIAGFLKTYHHIPLTAENVVIFPSRTAAIENALRLFSPRLAVVDEHLTRHLPRLWLTSSALESTGAMDSSDDTIMVIEAPRQSDLMIELIKKLKPKVVVTGIAHFEAVTSSAFVHLLDTTRDIGSRLFLDISDHFELSSIPGSNGVLKYLSGTPLPSHAAIICGLVKNKVYPDLEVAFVISEEESLFNALSKTVELLEGNTALISQYYYGCIFHELLAFQLAGRHAPAERNCENVKSVDMIGFARSASLVLKNDELSIDGVENGSLIHMDVDQIFLPVPSPVKAAIFESFARQNMSESEIDVTSSIKRFVKSSYGFPTDNGTEFIYADSSKALFNKLVLCCINEGGTLCFPAGSNGNYVSSARFLKADIVTAPTDVKVGFKFTEKTLTGVLGTVKNPWVYISGPTVNPTGLIYSNNEMVEILSTCARFGARVIIDTAASGLEFDCEGWGGWDIEGCLSKLDSSIKPSFCVSLLGGLSLKMLNGVLRFGFLILNQPVLVDTFYSYPGLSKPHTTVRYATKKLLELREQKPSNLSDAVVEHTEILRTRSKLLKQVLEESGWDVLESCAGVSVVAKPSAYLNKNIKLKLSPKGEADHGSATEEVKLDDCNIRTVILKATGLCINSGSWTGIPGYCRFNIALEENDFKKALDCILKFREVALG >Vigun08g215100.1.v1.2 pep primary_assembly:ASM411807v1:8:37557348:37562984:-1 gene:Vigun08g215100.v1.2 transcript:Vigun08g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIGGSSSSTFSLRRSLLPPLKTVTTFTREWGRRRRRSTCIQSLSVSYHKFVEFALDETRRHTHLTPSPLQDKFSSMNSKDGKGRLSMLSFEAAKIRLLRSLIIETETMQVFDFTVFPEAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQHEYKDKYFKSLIPLGLKYTQLFPWGGKLTSESIKFFSPIVIWTKFTSNPQKYDILYSAFKDYYKVWLELICKSVKETDESQVVLNLEAQHRYLTWRVEKDPGQGVLKKLIGDTLAKDLLKNFLFNGVDELGSKTFYDYFPHYCTQEGTLNKKGNIIGKSFENRPWDSRGEFIGNWFFNWILFI >Vigun08g215100.5.v1.2 pep primary_assembly:ASM411807v1:8:37556772:37563019:-1 gene:Vigun08g215100.v1.2 transcript:Vigun08g215100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIGGSSSSTFSLRRSLLPPLKTVTTFTREWGRRRRRSTCIQSLSVSYHKFVEFALDETRRHTHLTPSPLQDKFSSMNSKDGKGRLSMLSFEAAKIRLLRSLIIETETMQVFDFTVFPEAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQHEYKDKYFKSLIPLGLKYTQDPGQGVLKKLIGDTLAKDLLKNFLFNGVDELGSKTFYDYFPHYCTQEGTLNKKGNIIGKSFENRPWDSRGEFIGE >Vigun08g215100.3.v1.2 pep primary_assembly:ASM411807v1:8:37556765:37563007:-1 gene:Vigun08g215100.v1.2 transcript:Vigun08g215100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIGGSSSSTFSLRRSLLPPLKTVTTFTREWGRRRRRSTCIQSLSDKFSSMNSKDGKGRLSMLSFEAAKIRLLRSLIIETETMQVFDFTVFPEAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQHEYKDKYFKSLIPLGLKYTQLFPWGGKLTSESIKFFSPIVIWTKFTSNPQKYDILYSAFKDYYKVWLELICKSVKETDESQVVLNLEAQHRYLTWRVEKDPGQGVLKKLIGDTLAKDLLKNFLFNGVDELGSKTFYDYFPHYCTQEGTLNKKGNIIGKSFENRPWDSRGEFIGE >Vigun08g215100.2.v1.2 pep primary_assembly:ASM411807v1:8:37556701:37563193:-1 gene:Vigun08g215100.v1.2 transcript:Vigun08g215100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIGGSSSSTFSLRRSLLPPLKTVTTFTREWGRRRRRSTCIQSLSVSYHKFVEFALDETRRHTHLTPSPLQDKFSSMNSKDGKGRLSMLSFEAAKIRLLRSLIIETETMQVFDFTVFPEAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQHEYKDKYFKSLIPLGLKYTQLFPWGGKLTSESIKFFSPIVIWTKFTSNPQKYDILYSAFKDYYKVWLELICKSVKETDESQVVLNLEAQHRYLTWRVEKDPGQGVLKKLIGDTLAKDLLKNFLFNGVDELGSKTFYDYFPHYCTQEGTLNKKGNIIGKSFENRPWDSRGEFIGE >Vigun08g215100.4.v1.2 pep primary_assembly:ASM411807v1:8:37556784:37563019:-1 gene:Vigun08g215100.v1.2 transcript:Vigun08g215100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRIGGSSSSTFSLRRSLLPPLKTVTTFTREWGRRRRRSTCIQSLSVSYHKFVEFALDETRRHTHLTPSPLQDKFSSMNSKDGKGRLSMLSFEAAKIRLLRSLIIETETMQVFDFTVFPEAEYDVPIFCANFFTSAKTNIVVLDLNPLHDIINQHEYKDKYFKSLIPLGLKYTQVWLELICKSVKETDESQVVLNLEAQHRYLTWRVEKDPGQGVLKKLIGDTLAKDLLKNFLFNGVDELGSKTFYDYFPHYCTQEGTLNKKGNIIGKSFENRPWDSRGEFIGE >VigunL059132.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000252.1:16627:19338:-1 gene:VigunL059132.v1.2 transcript:VigunL059132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTMCRPSQAPHLTMSSARIDRPKSTLPPTYPTPLKSFHKVGLESSSTGSSFPADSAKPVPLAVVSLDSRQGQWQSLGFPLSVPVLSRLFDAQEEAPKGPFPIRPRPARDDQLSSRKQLEQSTDSRRVRDWDPQAVHLGDLMRLWVRPGVGGTRSSGSSRAAGGASDTTRRAVFFQPLDPTSGCAVSRDRLTHVHVSFTWNLFPLRPSKFSFEYLLLPPRSAPTTASPRLTPWVLQRPPRPPTHRGLVSTQFGTVTQLPVHPSSLVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNNLHVSIAAGLRQSFLWLRPAQAQFTIFRVPTGMLSLEPFTYDQSRSAVQPTRGSHQSASLRPYEFTHPLTRTHVRLLGPCFKTGRMGSSQADARSTHVPRHVMRRALPSTIATMTSPRAFQQHGLGPPSQSASINASSRSVDRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSWYLFAIGLGRNLRPDWGCIPKQPDLPTSPRGATGSGHNGALTLSGAPLQRTWARSATEDASPDYNSNTEGDRFS >Vigun05g142675.1.v1.2 pep primary_assembly:ASM411807v1:5:18586175:18588938:1 gene:Vigun05g142675.v1.2 transcript:Vigun05g142675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPHVPPLMAPTPHPHIPPPMAPTSHPHVPPLVDTTQQPHAGRESSRVWTVHLTKPGVFEMRHGERIIVPFDRQLRAYGEATSLLSSTCGRITTNSNNVLINLDSWPKVPKSYKDDCFNILKFFYDFYQLQFSLKQFARIRMSAERYCSLCMSNKYRNEKMNLWNRVYDTSLSREQLIANVPDGIQKDQWSSFVDYHLSEEYKKLSKRNIEVRKAQKIPHTGGAKLLSTKQHEMLYIETHKKRNESYVNEEARSIAEKMTQEMSQSVNSNEISVDDCVSKVLGKDHSGRVCCLGLGGLHSVAFQSKTRFSNAGHNFSNSGSVESSQLKEEVICLKEKLLTSEENLKTLKSVTVAYIQMKEGHIPHELGVMFGNESNVISGQELPTSRGGSSLDSNFHGV >Vigun09g103200.1.v1.2 pep primary_assembly:ASM411807v1:9:17939029:17941094:-1 gene:Vigun09g103200.v1.2 transcript:Vigun09g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFYAYVKTGETLLITINSFGCVIETIYLAIFITYCPKKARMSTLRMIVLFNFGGFCTIVLLTHLLAKGAGRIKLLGWICVVFATSVFAAPLSIIRVVIRTKSVEFLPFPLSMLLLLSAIMWLLYGISLKDIYVTLPNVVGLTFGVIQIVLYAMYRNNKSVKDEKLPEHKGDIGNNENAAPTVSGENQEQEVNPNAGDIEIGEKKEDTLSEEKPDKTYLKNKNNNKTEEKESCEV >Vigun03g024500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1824496:1828121:-1 gene:Vigun03g024500.v1.2 transcript:Vigun03g024500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAFGRAQRSKVAITKQTLKVMLVLAVGSWMLYQIKQSRNDREKYSDERKLVGGHGARLLGRKGILPRVYEIDFPDSGNVDSAGEAKESSSGSDDGSESSDGAKEDKAEAGLVHINEKFSTRERKEVGFEPQTQPEVLSENQCRDSSKTTAGKVGIESRSESGHKEHGIEKYPKGSKVNGSKGNGIEKEVQLRKQLNDEHVRQNATLDFSEKENDVDEGPIIREKETGIQKNVVEGATNAELTEEIDEVQSFHDENGAPPDEKWHL >Vigun03g024500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1824496:1828170:-1 gene:Vigun03g024500.v1.2 transcript:Vigun03g024500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAFGRAQRSKVAITKQTLKVMLVLAVGSWMLYQIKQSRNDREKYSDERKLVGGHGARLLGRKGILPRVYEIDFPDSGNVDSAGEAKESSSGSDDGSESSDGAKEDKAEAGLVHINEKFSTRERKEVGFEPQTQPEVLSENQCRDSSKTTAGKVGIESRSESGHKEHGIEKYPKGSKVNGSKGNGIEKEVQLRKQLNDEHVRQNATLDFSEKENDVDEGPIIREKETGIQKNVVEGATNAELTEEIDEVQSFHDENGAPPDEKWHL >Vigun02g161600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30715017:30715780:1 gene:Vigun02g161600.v1.2 transcript:Vigun02g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRAMLVLAISFTLVAISTSQAPSPAPTSFTLPPQMSPTTSPTPTPSQSPSPGPGPSSGPSTTSSPPSPFAPSPSSPSPTPFTSSASADSVPSSPNNAFLGGTSFLMLPLPFFAAATMLLV >Vigun10g200200.1.v1.2 pep primary_assembly:ASM411807v1:10:41239543:41243207:1 gene:Vigun10g200200.v1.2 transcript:Vigun10g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVWNWYQNWLSVHPVKTQAVSSAILWGVGDLTAQYITHASTKKRLQLSDCDAKFTVNWSRLVVTSMFGFGFVGPVGHFWYEGLDKFIRFKLQLTPKSVRSVATKVAMDGIIFGPFHLFVFFTYMGLCAGKNLPQVKEDLKRNYVPALILEGGVWPVVQIFNFRYVPVKYQLLYVNFFCLLDSAFLSWLEQQKDAPWKKWFPLFHSTNEKGGQC >Vigun01g232900.1.v1.2 pep primary_assembly:ASM411807v1:1:40484890:40487745:1 gene:Vigun01g232900.v1.2 transcript:Vigun01g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNYRGREEVDKHAGLLKLAQILTYLVVFAGGVVIGLTISSHIHNTNFISQPYRNPYHPNSYIPLPNCSSAAASSSPPPPPPSPPPPPPLDMGDFLHPPNLTHTLSDDELFWRASLMPKKEEYPFRRIPKVAFMFLTRGPLPMLPLWERFFNGHAPLFSIYVHAPPRFHLNVSESSPFYRRQIPSQDVSWGTVTLAEAERRLLANALLDFSNERFVLLSESCIPIYNFPTVYRYLTDSAHSFVESYDDPTRYGRGRYSRSMLPHIQLRHWRKGSQWFELNRLLAVYIISDTQYFSLFRKYCKPACYPDEHYIPTFLNMFHGPLNANRTITWVDWSMLGPHPASFGRANITADFIQALRNNGSLCRYNSEMTSICYLFARKFDPSALEPLLNLSSQVRVILERLKRWFVK >Vigun03g393400.2.v1.2 pep primary_assembly:ASM411807v1:3:59959699:59963217:1 gene:Vigun03g393400.v1.2 transcript:Vigun03g393400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLKSALEEMSRQLFFDMPFNVSFASKDGTLILRAPSFKKGESKTTTVLPNGSRSSNRLRDHRPQCVILERKFSFVQDEENMDWNNLPSKDQLKHKPVSLLSLPKATVFSPSRPPNELDAAATKLQKVYKGYRTRRNLADCAVVVEELWWKALDVAALKRSSVSFFDVQKPETAVSRWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLKLYYDTWFESQSTQPFFYWLDVGDGKEINLKNCPRNTLQGQCIRYLGPKEREEYEVIVKNGKLVYKKDGKLVNTDERSKWIFVLSTTRALYVGRKQKGRFQHSSFLSGGATTAAGRLVAHEGDLEAIWPYSGHYHPTEENFKEFLSFLEEHNVDLTNVKRCAIDDDTPSLAATNSFTAAIDDSQQTMGPTLISLTGPSSTINANKIIVDKKDDAPTFNLSKRLSCKWTNGTGPRIGCVRDYPGHLQGGFSLHLQ >Vigun07g269500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38491837:38492421:-1 gene:Vigun07g269500.v1.2 transcript:Vigun07g269500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAELYCFHEQPRKVINGPRPSPLMINKDSHMIRKPSRCVDAETTLQRPQRIKPIIIYTQSPKVIHTKAKDFMALVQRLTGRSSPKHNVSTASLPQDTSENLGSYLSDASNNNSNETSSTLRRGAENLIENGAIVPCSPSHLDFSDMPLFTPNPSDLFCSSRSFKYSDSPYGVLGSLISPSALEFMKELSEY >Vigun04g018800.1.v1.2 pep primary_assembly:ASM411807v1:4:1398970:1404839:-1 gene:Vigun04g018800.v1.2 transcript:Vigun04g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLVDHVLAVTKESVKAFTYESLNNIVRLINGISALLLAILPGKANILEGIHGWELRPTFRGPRFPRWMENGVSSFNQFVYDLSVDSDNSSFEYSSEDDDSEIYSDGLEYPPTPASQSSRTSEASNTKFSRHRKDWIQFIKLSILFPFKLLLWIPLNLFRLVYYGVSKAMSFSRNKRPPPLRAHNRVLSLKDHIIHRATDRRRGLVEDLHLGIELSIEAVFDVVHKAAHLLLSPSEAFGALHRLFSSHESAVKEDPDGEEDGSIYTDTLGEKDPKPTERTVKYQPLNTDARTCQDVITDLGYPYEAISVITSDGYILLLERIPRRDSRKAVYLQHGVLDSSMGWVSNGIVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTFELRFTKPDIEEETDDDQPYKLCAICHSLGGAAVLMYVITRRIEGKPHRLSRLVLLSPAGFHDDSNMVFSVAELLLVLLAPVLSLLVPAFYIPTRFFRMLFNKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWIGVLGLPHYNMNDMPGVSFRVALHLSQMKRTGKFIMFDYGKASANMEAYGSPVPLDLGERYGLIDIPVNLVAGQKDKVIRPSMVKRHYKLMKGAGVDVSYNEFEYAHLDFTFSHHEELLSFVMSCLLLVDSNAKHQVNQRGLRSRRKGQVASSG >Vigun04g018800.2.v1.2 pep primary_assembly:ASM411807v1:4:1398970:1404839:-1 gene:Vigun04g018800.v1.2 transcript:Vigun04g018800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNQFVYDLSVDSDNSSFEYSSEDDDSEIYSDGLEYPPTPASQSSRTSEASNTKFSRHRKDWIQFIKLSILFPFKLLLWIPLNLFRLVYYGVSKAMSFSRNKRPPPLRAHNRVLSLKDHIIHRATDRRRGLVEDLHLGIELSIEAVFDVVHKAAHLLLSPSEAFGALHRLFSSHESAVKEDPDGEEDGSIYTDTLGEKDPKPTERTVKYQPLNTDARTCQDVITDLGYPYEAISVITSDGYILLLERIPRRDSRKAVYLQHGVLDSSMGWVSNGIVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTFELRFTKPDIEEETDDDQPYKLCAICHSLGGAAVLMYVITRRIEGKPHRLSRLVLLSPAGFHDDSNMVFSVAELLLVLLAPVLSLLVPAFYIPTRFFRMLFNKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWIGVLGLPHYNMNDMPGVSFRVALHLSQMKRTGKFIMFDYGKASANMEAYGSPVPLDLGERYGLIDIPVNLVAGQKDKVIRPSMVKRHYKLMKGAGVDVSYNEFEYAHLDFTFSHHEELLSFVMSCLLLVDSNAKHQVNQRGLRSRRKGQVASSG >Vigun04g142000.1.v1.2 pep primary_assembly:ASM411807v1:4:35263790:35264700:1 gene:Vigun04g142000.v1.2 transcript:Vigun04g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEKDEEAEDENIMFSKRVMIFNSKSNQGSIPKSSVIDAERESSREFGPIWFFLIGAEENKGVAPLPQSYS >Vigun06g077700.1.v1.2 pep primary_assembly:ASM411807v1:6:20869461:20873887:-1 gene:Vigun06g077700.v1.2 transcript:Vigun06g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuASN1 MCGILAVLGCSDSSQAKRVRVLELSRRLKHRGPDWSGLHQYGDCYLAHQRLAIVDPASGDQPLFNEDKTIAVTVNGEIYNHEELRKQLPNHTFRTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKEREFRRWYNPPWFSEAIPSAPYDPLALRHAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTARYLEGTNAAKQWGTKLHSFCVGLEGAPDLKAAKEVADYIGTVHHEFHYTVQDGIDAIEDVIYHIETYDVTTIRASIPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCLRANKSTFAWGLEARVPFLDKEFIKVAMNIDPEYKMIKKEEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYGWIDGLKAHAEKHVTDRMMLNAANIFPFNTPTTKEAYYYRMIFERFFPQNSARLTVPGGPSVACSTAKAVEWDAAWSNNLDPSGRAALGVHSSAYGNQVKAVEPEKIIPKMEVSPLGVVI >Vigun08g192900.1.v1.2 pep primary_assembly:ASM411807v1:8:36006271:36009266:-1 gene:Vigun08g192900.v1.2 transcript:Vigun08g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKDRFFDPFHYNHHEHQLNHSSNFPFFRDNNSAPISSSQNFQAFDHHQHDPSHQRTTFTDCLHGSMDYNTLSRAFDMSCSSSEVISSIDENPKKPSAGDSAGISGNHSTPNSSVSSSSNEAEALIEEDSTKSQKKDKQPKGCEDGEEKPKKENKPKKKEKKPREPRFAFLTKSEIDNLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCSVKKRVERSFQDPSIVITTYEGQHNHHCPATLRGSAATMLSAPPFFGSSYMGSSLPQDFLAQLLPSYSQNDHQNPMFNQNLSNNLHLQQQHQQQQHQFQLPRDYGLLQDLLPSSFPGKQEP >Vigun07g108275.1.v1.2 pep primary_assembly:ASM411807v1:7:20035281:20038709:-1 gene:Vigun07g108275.v1.2 transcript:Vigun07g108275.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFQLPTPTTCPHCHARLFYHESRDMCCSGGKVLLPHVPPPHELLQIFSDQTSESRHFRQHIRSYNHVFSFTYLGVHMDETIVANGCGIYSFRAQGAIYHRIGGFYPNDGSRPRFLQLYIYDTEHELRNRMLENLQLHQTIRPANQPQYNLPTTSQVAAIIVTADTESMARGRDIKVVGHDGNLINIQETVGYYDLLQYPLLFPFETYGWDTNTKNHNGQNTVGKRTILPSSSIGSRRDLTQRYQDGMAIVAYNGKPDIFLTMTCNPSWSEISSELQNQQTPQDCTDLLTRIFRAKFEQYKEDVVNKGVLGKVIGYMYVTEFQKYNNDKLHDPQDYDSIVRAEIPNKAEEPQLHEAVIIKSIKHLYKYVYKGPDQVAMEIHRGPIIDEVQQYLDARWICAPEALWKIFIFTIYRMNPAVERLQIHLLNQQQVRFYKHQTINDVLNDDNNSKTMLTQFLPSIKEIPNLEHFCIGKFQSIIVGIIDIRNGDKFFLRVLLSHIRGPTSWEYLLSPNETYCHTFKKAAEKWGFLESDNSIHECLVEASTLQMPYALRRLFVTILLFCEPTDVRSLWNQFDTYMLEDYTSTNTFVNENLIPMLLRDLNDLLIQHGKTIKDFDLPPLSYDALATTSVPRIIQEELSIQILNEDVDNVHRLNHDQLIAFNTILDVINRNQSQAFFMDGPGRTDKTFLYRTLIAHCRSNGQIILATASFGIAATLLLGGRTAHSRFKIPINVEVGSFCSISKQSDLAKLIKIANAIIWDEAPMINIYVLEALDRTLKDILDSDAPFGEKVIILGGDFRQVLPVVQKGTKAQMISACIINSHLWSNTKILHLQQNMRSLQDHNFAEYLMRIGNDIEPTQVDDMVKIP >Vigun02g071700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22298644:22298886:-1 gene:Vigun02g071700.v1.2 transcript:Vigun02g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKFSLFSACVLVVCMVVAAQYGDDDGSSNSDMPNMPNMAKGPSPQTSASPRNLTYSAILTVIFHLVLTFLAAKKTI >VigunL059417.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:43653:44012:1 gene:VigunL059417.v1.2 transcript:VigunL059417.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun08g108000.2.v1.2 pep primary_assembly:ASM411807v1:8:26891517:26896703:-1 gene:Vigun08g108000.v1.2 transcript:Vigun08g108000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAEIFFRKVKFWEDGDLQEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEMLKAYVFNEPLVVDAARLSPLGPGSIFTQGTKRMPGIAVTKSVVATEPGGRRREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNDDLSIGRSLGAAYGYRSSSGSGTVVLDDCNFHESVRLDSFDIDRTLSLIPPDGEFPVMNYRMTQEFRPPFRINALIEEAGSLKAEVILKISAEFASSVTANTIKLQMPLPKYTSSLQQFRAYMCEI >Vigun08g108000.1.v1.2 pep primary_assembly:ASM411807v1:8:26889916:26896703:-1 gene:Vigun08g108000.v1.2 transcript:Vigun08g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVQKGSAEIFFRKVKFWEDGDLQEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEMLKAYVFNEPLVVDAARLSPLGPGSIFTQGTKRMPGIAVTKSVVATEPGGRRREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNDDLSIGRSLGAAYGYRSSSGSGTVVLDDCNFHESVRLDSFDIDRTLSLIPPDGEFPVMNYRMTQEFRPPFRINALIEEAGSLKAEVILKISAEFASSVTANTIKLQMPLPKYTSRVSFELEPGAVGQTTDFKEGNKRLEWSLKKIVGGSEHTLRAKLTFAQESHVNLTKESGPVSVTFTIPMYNASRLQVKYLQIAKKSATHEPYRWVRYVTQANSYVARI >Vigun09g233800.1.v1.2 pep primary_assembly:ASM411807v1:9:40469301:40472050:1 gene:Vigun09g233800.v1.2 transcript:Vigun09g233800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRAAAKRKATAAAPMVVVEKQHPKRQRVVLGELSNLPTATQNQRKQKLQCRKNPNLKKPSPTESIPSSSQIDQPYVSDIYEYLHDMEMQRKRRPMVDYIEKVQREVTVIMRAILVDWLVEVAEEYKLHSDTLHLSVSYIDRFLSVNPVSKSKLQLLGVSSMLIAAKYEEVDPPRVVAFCNITDNTYHKKEVVKMEADILKSLKFEMGNPTVNTFLRRFINVACDNQKASNLQFEFLSCYLADLSLLDYDCLKILPSIVAASAVFLARFIIAPEVHPWSSSLSGCSGYQPVELKECVLILHDLYFSRKAASFKAVREKYKHHKFKFVARLPSPPHIPRHYFETQ >Vigun03g354100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55568012:55569072:1 gene:Vigun03g354100.v1.2 transcript:Vigun03g354100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFQRSVTSFRRQGSSGLVWDDKFIAGLENQNPNQEAKASNNQNQQGQREVQGVESSVRLERSRSDGARPYRTVNVAPASMDPPSPKVATCGFCSVFRKPGPAKSTKAKRRR >Vigun03g354100.2.v1.2 pep primary_assembly:ASM411807v1:3:55568039:55570956:1 gene:Vigun03g354100.v1.2 transcript:Vigun03g354100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFQRSVTSFRRQGSSGLVWDDKFIAGLENQNPNQEAKASNNQNQQGQREVQGVESSVRLERSRSDGARPYRTVNVAPASMDPPSPKENERLKKNRVVCQNLSTNHSIAHTMRSKTLIE >VigunL026600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:101876:102016:1 gene:VigunL026600.v1.2 transcript:VigunL026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun11g174600.3.v1.2 pep primary_assembly:ASM411807v1:11:37980174:37987306:1 gene:Vigun11g174600.v1.2 transcript:Vigun11g174600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRREPAPSAADSPASEPASSRRRAGANKRKSAALNASGSSSAPSKRAARDKASPLHPPPLHNGPLTRARQTPNSLAAASSSAAASAPAAVKHSERTHPSAADSAALAEQLRKESEWETLEAAIEAEFEALRSRGANAHVVPTHCGWFSWLYIHEIEKQMLPSFFNGKTENRTPDVYMEIRNWIMKKFHSNPNVQIEMKDMSQLNVGDMDARQEVMEFLDYWGLINFHPFPSMDSAVATASDDGEAEKSSLLEKLYHFETLQLCRPVQRSSQMTPATASGLFPESTIAEELVKQEGPAVEMLEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNRRFGSGMSSLDFILMEPAEVAGVNGGKWTDQETLLLLEALELYKENWNEIAEHVGTKTKAQCISYFVQMPIEDTFAEFDDNIDAGCKEPTDPVATNNDSSMDKDASECIENDTSDGIKDQDKNSKAEDVEVKVNQEENLKLQEGSDEKASEGTSKSEDAVKVKSDQEAGNECAINALKEAFAAVGYSLGPDGPSSFAEVGNPVMALATFLAHLVGTDVAVASAHSSIKSMSRNSPGTDLAARCCFLLEDPPDHKNEPTSSEKDSKSEGDQDEVNVKKDKPMLDDKDLPNDHSNMKVVSDTLEDKGQAASTDGAASAEKPISPKEQPMVNHESGLDNCNVPISAKLSNDQAPDTLHDSGGSTSKAETKSNSDQVQEGSLIEEPCPAKVISVSDSLPSEKKELQPLKSNLPEESPKLVETSKCEIVSDSIPPTKNKSQNPQSTNPVCESVETTDSAMDVEGVSNSLPPEKVDSQALLTTKSSQCNGTEKDVDMSPSDPVRQNSAAENCANTGTGKDHADNGAKVEDNDTRIKQDSNFEKMKRAAVSTLAAAAVKAKVLANQEEDQIRQLTSLLIEKQLLKLETKLAFFNDVENVVMRAREHVERSRHKLYHERALIIASRLGIPASSSRGIPPTISTNRIPTNIANSLPRPQMMMSPQRPLLSRPAATAATTLQNPLASSTAVGNSVRPSNQEKLSSVGTK >Vigun11g174600.2.v1.2 pep primary_assembly:ASM411807v1:11:37980102:37987306:1 gene:Vigun11g174600.v1.2 transcript:Vigun11g174600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRREPAPSAADSPASEPASSRRRAGANKRKSAALNASGSSSAPSKRAARDKASPLHPPPLHNGPLTRARQTPNSLAAASSSAAASAPAAVKHSERTHPSAADSAALAEQLRKESEWETLEAAIEAEFEALRSRGANAHVVPTHCGWFSWLYIHEIEKQMLPSFFNGKTENRTPDVYMEIRNWIMKKFHSNPNVQIEMKDMSQLNVGDMDARQEVMEFLDYWGLINFHPFPSMDSAVATASDDGEAEKSSLLEKLYHFETLQLCRPVQRSSQMTPATASGLFPESTIAEELVKQEGPAVEMLEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNRRFGSGMSSLDFILMEPAEVAGVNGGKWTDQETLLLLEALELYKENWNEIAEHVGTKTKAQCISYFVQMPIEDTFAEFDDNIDAGCKEPTDPVATNNDSSMDKDASECIENDTSDGIKDQDKNSKAEDVEVKVNQEENLKLQEGSDEKASEGTSKSEDAVKVKSDQEAGNECAINALKEAFAAVGYSLGPDGPSSFAEVGNPVMALATFLAHLVGTDVAVASAHSSIKSMSRNSPGTDLAARCCFLLEDPPDHKNEPTSSEKDSKSEGDQDEVNVKKDKPMLDDKDLPNDHSNMKVVSDTLEDKGQAASTDGAASAEKPISPKEQPMVNHESGLDNCNVPISAKLSNDQAPDTLHDSGGSTSKAETKSNSDQVQEGSLIEEPCPAKVISVSDSLPSEKKELQPLKSNLPEESPKLVETSKCEIVSDSIPPTKNKSQNPQSTNPVCESVETTDSAMDVEGVSNSLPPEKVDSQALLTTKSSQCNGTEKDVDMSPSDPVRQNSAAENCANTGTGKDHADNGAKVEDNDTRIKQDSNFEKMKRAAVSTLAAAAVKAKVLANQEEDQIRQLTSLLIEKQLLKLETKLAFFNDVENVVMRAREHVERSRHKLYHERALIIASRLGIPASSSRGIPPTISTNRIPTNIANSLPRPQMMMSPQRPLLSRPAATAATTLQNPLASSTAVGNSVRPSNQEKLSSVGTK >Vigun11g174600.1.v1.2 pep primary_assembly:ASM411807v1:11:37980102:37987393:1 gene:Vigun11g174600.v1.2 transcript:Vigun11g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRREPAPSAADSPASEPASSRRRAGANKRKSAALNASGSSSAPSKRAARDKASPLHPPPLHNGPLTRARQTPNSLAAASSSAAASAPAAVKHSERTHPSAADSAALAEQLRKESEWETLEAAIEAEFEALRSRGANAHVVPTHCGWFSWLYIHEIEKQMLPSFFNGKTENRTPDVYMEIRNWIMKKFHSNPNVQIEMKDMSQLNVGDMDARQEVMEFLDYWGLINFHPFPSMDSAVATASDDGEAEKSSLLEKLYHFETLQLCRPVQRSSQMTPATASGLFPESTIAEELVKQEGPAVEMLEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNRRFGSGMSSLDFILMEPAEVAGVNGGKWTDQETLLLLEALELYKENWNEIAEHVGTKTKAQCISYFVQMPIEDTFAEFDDNIDAGCKEPTDPVATNNDSSMDKDASECIENDTSDGIKDQDKNSKAEDVEVKVNQEENLKLQEGSDEKASEGTSKSEDAVKVKSDQEAGNECAINALKEAFAAVGYSLGPDGPSSFAEVGNPVMALATFLAHLVGTDVAVASAHSSIKSMSRNSPGTDLAARCCFLLEDPPDHKNEPTSSEKDSKSEGDQDEVNVKKDKPMLDDKDLPNDHSNMKVVSDTLEDKGQAASTDGAASAEKPISPKEQPMVNHESGLDNCNVPISAKLSNDQAPDTLHDSGGSTSKAETKSNSDQVQEGSLIEEPCPAKVISVSDSLPSEKKELQPLKSNLPEESPKLVETSKCEIVSDSIPPTKNKSQNPQSTNPVCESVETTDSAMDVEGVSNSLPPEKVDSQALLTTKSSQCNGTEKDVDMSPSDPVRQNSAAENCANTGTGKDHADNGAKVEDNDTRIKQDSNFEKMKRAAVSTLAAAAVKAKVLANQEEDQIRQLTSLLIEKQLLKLETKLAFFNDVENVVMRAREHVERSRHKLYHERALIIASRLGIPASSSRGIPPTISTNRIPTNIANSLPRPQMMMSPQRPLLSRPAATAATTLQNPLASSTAVGNSVRPSNQEKLSSVGTK >Vigun11g174600.4.v1.2 pep primary_assembly:ASM411807v1:11:37980174:37986150:1 gene:Vigun11g174600.v1.2 transcript:Vigun11g174600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRREPAPSAADSPASEPASSRRRAGANKRKSAALNASGSSSAPSKRAARDKASPLHPPPLHNGPLTRARQTPNSLAAASSSAAASAPAAVKHSERTHPSAADSAALAEQLRKESEWETLEAAIEAEFEALRSRGANAHVVPTHCGWFSWLYIHEIEKQMLPSFFNGKTENRTPDVYMEIRNWIMKKFHSNPNVQIEMKDMSQLNVGDMDARQEVMEFLDYWGLINFHPFPSMDSAVATASDDGEAEKSSLLEKLYHFETLQLCRPVQRSSQMTPATASGLFPESTIAEELVKQEGPAVEMLEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNRRFGSGMSSLDFILMEPAEVAGVNGGKWTDQETLLLLEALELYKENWNEIAEHVGTKTKAQCISYFVQMPIEDTFAEFDDNIDAGCKEPTDPVATNNDSSMDKDASECIENDTSDGIKDQDKNSKAEDVEVKVNQEENLKLQEGSDEKASEGTSKSEDAVKVKSDQEAGNECAINALKEAFAAVGYSLGPDGPSSFAEVGNPVMALATFLAHLVGTDVAVASAHSSIKSMSRNSPGTDLAARCCFLLEDPPDHKNEPTSSEKDSKSEGDQDEVNVKKDKPMLDDKDLPNDHSNMKVVSDTLEDKGQAASTDGAASAEKPISPKEQPMVNHESGLDNCNVPISAKLSNDQAPDTLHDSGGSTSKAETKSNSDQVQEGSLIEEPCPAKVISVSDSLPSEKKELQPLKSNLPEESPKLVETSKCEIVSDSIPPTKNKSQNPQSTNPVCESVETTDSAMDVEGVSNSLPPEKVDSQALLTTKSSQCNGTEKDVDMSPSDPVRQNSAAENCANTGTGKDHADNGAKVEDNDTRIKQDSNFEKMKRAAVSTLAAAAVKAKVLANQEEDQIRQLTSLLIEKQLLKLETKLAFFNDVENVVMRAREHVERSRHKLYHERALIIASRLGIPASSSRGIPPTISTNRIPTNIANSLPRPQMMMSPQRPLLSRPAATAATTLQNPLASSTAVGNSVRPSNQEKLSSVGTK >Vigun04g190100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41415499:41418378:-1 gene:Vigun04g190100.v1.2 transcript:Vigun04g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSSSLMVESVIYGRDADKKIILDWLTSEIDNHNHPSILSIVGMGGLGKTTLAQHVYNDPKIDDAKFDIKAWVCVSDHFDVLTVTKTILESIADKKDDSGNLNMVHKNLKEKLSGKKFLLVLDDVWNEKREEWEVVQTPLNYGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHALKDDDLELNDEKKKIGKSIVEKCKGLPLALKTIGCLLYTKASISYWKSVVESDIWNLPKELGIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLRHSQHIENVEEVGEQYFDDLLTRSFFLQSSIKMRFVMHDLLNDLAKYVGGNFCFMFKFDKGVRIPKTTRHFSFSIDNEVYDDGMGSLIDAKRLRSFIPMTNNIDGLPCELILIDELFSKFKFLRVLSLSGFGDLITEVPDSVGALKHLRSLDLSYTNIQKLPDSICLLFNLLLLKLNRCLYLEELPSNIHKLTKLHCLEFEHTKVTKMPMHFGELKNLHLLDAFRVHSESSIKQLGGLNLHGSLSIYQVQNIVNPLDALEANLKDKQLVELGLIWNSNHVPNDAREEKEVLENLQPSIHLEHLSIWSYHGIEFPSWLFDNSLSNLVFLRLNNCKNCLCLPSLGELSCLKNLEIEGLDGIVSIGVSDGFYGSNSSSFASKERLSFRNMKEWEEWECKTTSFPRLQYLFVDQCCKLKGLPEQLIHLKNIFIGGCDKLTISVNNMDTWSLQFLNINSCPRVNIPITAFNSLEVMRITHGCPSLIIFPLDFFPKLRTLLLFHCQNLQRISQEETHNHLKELQILCCPQFESFPSEGLSARWLQKIEIKAAPNLKLLPKRMHTLLPSLSSLEITYCPQVEMFEEGSLPSNLKEVSLSSFRLITSLREALDAEPCLERLYVENVDTEYFPDEGLLPPSLTFLRIFNCPNLKKLDYKGLSHLSSLIIIR >Vigun03g215500.1.v1.2 pep primary_assembly:ASM411807v1:3:35799386:35802138:1 gene:Vigun03g215500.v1.2 transcript:Vigun03g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGMMNEGSFANNGSGNTAPYSLAEIWQFPQAINGGGGLGLRSPQFGHGPGQFGDFPPGPNNGLGTSNTRKRRDSEDDSAKAVSTSNAVNEGDGKRVKATANGDGNGKGEGEVSSGKPAEQSAKPPSEAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRLNSGIEAFPPKDFGQHAFDPAGMPFGSQAPREYSRGSSPEWLHMQVGGGFERTT >Vigun07g251450.1.v1.2 pep primary_assembly:ASM411807v1:7:37072714:37074526:-1 gene:Vigun07g251450.v1.2 transcript:Vigun07g251450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWGFPCAYSMTRAHPNNSAKKKGGIPRIELGTSRTLSENHTTRPNAHIERNGAILRILAGKDMQFWQPIFLVKVSE >Vigun11g102000.1.v1.2 pep primary_assembly:ASM411807v1:11:29868290:29869743:1 gene:Vigun11g102000.v1.2 transcript:Vigun11g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGLLFSMIPTLLLLASSSPRFQAEARQYDVGGRDGWVAKPSEDYNHWAQRNRFQVNDTLHFKYNKGIDSVVVVKKEDFDSCNINNPIQKMDDGDSIFPLSHSGLFYFVSGNLDNCKIGQKLIVLVMAVRQPHPVTAPPPTTTLPPQTVPATGLTSPAPPPSEKSGSGRVGVGFGVLVGFVLGGFIGLA >Vigun05g104700.1.v1.2 pep primary_assembly:ASM411807v1:5:10503691:10507485:1 gene:Vigun05g104700.v1.2 transcript:Vigun05g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAFRHFQPISKCTTQDRSHQTVASDFDGTLLLSPSAFPYYMLVAIEAGSFLRALLLLISVPFVYFTYIFVSEVAAIKFLIIMAFAGLKVKDVEMVATSVLPKFYAEDVHPDTWRVFNSFGKRYIVTASPRVMVEPFVKTFLGADKVLGTELEATKSGRFTGFVKEPGVLVGEHKKVAVLKEFQGNPPDLGLGDSKSDHQFMSICKEAYMVPRTKCEPLPRNKLLSPIIFHEGRFVQRPTPLAALLTFLWLPIGIILSILRVYLNIPLPERIAWYNYKLLGIRVIVKGTPPPPPKKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPKELTCSGGKSAIEVANYIQRVLAGTLGFECTNLTRKSKYAMLAGTDGTVPSKEKA >Vigun01g160800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34269522:34269899:-1 gene:Vigun01g160800.v1.2 transcript:Vigun01g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKFLRGCLNKWKKVGSRVIQCGAYGYCCEWEVWPSMQNEGESDIPNDVPKGHLVVYVGEQHKRYVIKITLLHHPLFKTLLDQAQDEYDFIADSKLYIPCTEHLFLTVLRCATSPHNQRLSLCV >Vigun08g028400.1.v1.2 pep primary_assembly:ASM411807v1:8:2570906:2574775:-1 gene:Vigun08g028400.v1.2 transcript:Vigun08g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASENNTNSTRPQREAKKRAAAAICEMQGNAKKKRVVLGDLTNVSDAATAAVAVSETQKRKKIKLQKPVPTVDTPEKVDGRSDPQLGGPYVSDIYEYLCGMEVLPSKRPLPDYVQKVQKDVNANMRAVLVDWLVEVAEEYKLVSDTLYFCVAYIDRFLSLNVLSRHRLQLLGVAAMLIASKYEEIKPPEVEDFCYITDNTYSKEEVVNMEADILKALRFELGGPTVKTFLRLCCGCRRFCRVGQEGIDTSDLQFDFLSCYLAELSLLDYNCVKFVPSLVAASVVFLARFMLSPKKHPWNSALHQLTRYKPADFKECVLNVHDLYLSRKGPSLQAVREKYQQHKFKCVARTPSPPEIPLSFLNFQDQILRR >Vigun08g028400.2.v1.2 pep primary_assembly:ASM411807v1:8:2570906:2574769:-1 gene:Vigun08g028400.v1.2 transcript:Vigun08g028400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASENNTNSTRPQREAKKRAAAAICEMQGNAKKKRVVLGDLTNVSDAATAAVAVSETQKRKKIKLQKPVPTVDTPEKVDGRSDPQLGGPYVSDIYEYLCGMEVLPSKRPLPDYVQKVQKDVNANMRAVLVDWLVEVAEEYKLVSDTLYFCVAYIDRFLSLNVLSRHRLQLLGVAAMLIASKYEEIKPPEVEDFCYITDNTYSKEEVVNMEADILKALRFELGGPTVKTFLRRFCRVGQEGIDTSDLQFDFLSCYLAELSLLDYNCVKFVPSLVAASVVFLARFMLSPKKHPWNSALHQLTRYKPADFKECVLNVHDLYLSRKGPSLQAVREKYQQHKFKCVARTPSPPEIPLSFLNFQDQILRR >Vigun09g094700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13910790:13912614:1 gene:Vigun09g094700.v1.2 transcript:Vigun09g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAIGIPACFSSALKSSDDHTTVTRSGQSVHMSVYRTKIADHCRLITITWCKNLMLHGLSVSVEGPEGEAQYSCKVELKPWYFWRKQGSKRFLVHGNKAVDVFWDLKAAKFNGETEPSSEYYVAVVCEQEVVLLVGDLRKEAYRRTGCRPALIDPILVSKKEHIFGKRKFSTRAKFEEKGRWHEISIECKNKSNIGGESASSGVQVQPEMEIRLDGHVMIHVKHLQWKFRGNESIHLKKMRVEVYWDVHDWLFSPGLKHALFIFKPVLSSMSTMSVSSSSPPLSLSSTSTPLSTQTGSSGSLEGFSVNESSEFCLFLYAWKVE >Vigun01g204400.1.v1.2 pep primary_assembly:ASM411807v1:1:38019129:38032037:-1 gene:Vigun01g204400.v1.2 transcript:Vigun01g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIPKEPEQVMKMRGGGVLGKRTILKSDHFPGCQNKRLSPQIEGAPNYRQASDSLHVHGVAIPTIDGIRNVLNHIGAGLKVLWISLREEPLAYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEARLKEYILVEAARYGNKILVTDELPDGQMVDQWEPVSCDSVKTPLEVYEELQVAGYLVDYERVPITDEKSPKEMDFDILVNKISQADVDTEIIFNCQMGRGRTTTGMVIATLVYLNRIGASGFPRSNSIGRIFQSMTNVADHLPDTEEAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSNTTDNCSFADWMRARPELYSIIRRLLRRDPMGALGYSSLKPSLKMIAESTDGRPSEMGVVAALRNGEVLGSQTVLKSDHCPGSQNLSLLESVDGAPNFREVPGFPVYGVANPTIDGIRSVIRRIGSSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVEKMEARLKEDILREAKHYGGAIMVIHETDDKHIFDAWELVTPDVIQTPLEVFKSLEAEGFPVKYARVPITDGKAPKSSDFDTLAINISSAAKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKILGDDVICEESDCGSSSGDEAGAYTTSLTSSTLSRKTDEKQNRAFGINDILLLWKITTLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKVWLHQRPEVQAMKWSIRLRPGRFFTVPEELREPQESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDEYPVYCMATPTISGAKEMLDYLGAKPKPSFIAQKVVLTDLREEAVVYINYTPFVLRELNKPVNTLKYVGITGPVVEHMEARLKEDILAEIRQSGGRMLLHREEYNPSTNQSGVVGYWENIRADDVKTPAEVYSALKGDGYDIVYQRIPLTRERDALASDIDAIQYCQDDSAGSYLFVSHTGFGGVAYAMAIICIRLDAGSKVSQPLFGPHIYAVTEENLPSQASNETALSMGDYSDILNLTRVLIHGPQSKADVDLVIERCSGAGHIREDILYYKREFEKFTDDDDEERACLMDMGIKALRRYFYLITFRSYLYCTSPANVKFAAWMDARPELGHLCNNLRIDK >Vigun01g204400.2.v1.2 pep primary_assembly:ASM411807v1:1:38019129:38032037:-1 gene:Vigun01g204400.v1.2 transcript:Vigun01g204400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIPKEPEQVMKMRGGGVLGKRTILKSDHFPGCQNKRLSPQIEGAPNYRQASDSLHVHGVAIPTIDGIRNVLNHIGAGLKVLWISLREEPLAYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEARLKEYILVEAARYGNKILVTDELPDGQMVDQWEPVSCDSVKTPLEVYEELQVAGYLVDYERVPITDEKSPKEMDFDILVNKISQADVDTEIIFNCQMGRGRTTTGMVIATLVYLNRIGASGFPRSNSIGRIFQSMTNVADHLPDTEEAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSNTTDNCSFADWMRARPELYSIIRRLLRRDPMGALGYSSLKPSLKMIAESTDGRPSEMGVVAALRNGEVLGSQTVLKSDHCPGSQNLSLLESVDGAPNFREVPGFPVYGVANPTIDGIRSVIRRIGSSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVEKMEARLKEDILREAKHYGGAIMVIHETDDKHIFDAWELVTPDVIQTPLEVFKSLEAEGFPVKYARVPITDGKAPKSSDFDTLAINISSAAKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKILGDDVICEESDCGSSSGDEAGAYTTSLTSSTLSRKTDEKQNRAFGINDILLLWKITTLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKVWLHQRPEVQAMKWSIRLRPGRFFTVPEELREPQESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDEYPVYCMATPTISGAKEMLDYLGAKPKPSFIAQKVVLTDLREEAVVYINYTPFVLRELNKPVNTLKYVGITGPVVEHMEARLKEDILAEIRQSGGRMLLHREEYNPSTNQSGVVGYWENIRADDVKTPAEVYSALKGDGYDIVYQRIPLTRERDALASDIDAIQYCQDE >Vigun03g086200.1.v1.2 pep primary_assembly:ASM411807v1:3:7143828:7145693:1 gene:Vigun03g086200.v1.2 transcript:Vigun03g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSSSSCTNNSSVNGFYNFLTKGLNDLHQSFLSHHFMSIQFISQVLSSLQSFHSKLTLLIQRLCLPVGGKWLDEYMDESSRLWDICHVLKSAIYGIENYSSTASNITSLVHGYHHFTPELSHQMVRAINVCQRESLGLVEENKSMMETRIEALSECLNQKMCVESKLNEFNGFRGVLCAMRSVSSLLLMILLCGVAYCWSSSCFEQEGYEGHVVFGSGVVVSMARLQQKVAEEIEQCNGQPGILLLEFQEAKIAMEELKMELERMVGYDAECEIQSKVDNFKRCFGLLRCGVETITGQLDDFFDEIVEGRKKILDMCTHK >Vigun10g045300.1.v1.2 pep primary_assembly:ASM411807v1:10:6639241:6641754:1 gene:Vigun10g045300.v1.2 transcript:Vigun10g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVELDLALSIGGSFGRHTVHTPPPDSKPDSFTRLPVDPHDKREIQALRRMEAKKKREQKRERVRETEPEWEQAFKKEKTECHNAVTAFAPWRTAEPFRVHQFAPVQYLPLNNGFPLPCWVGSQKNAGGVDGGNGCDKKTAKSNGSSKCSSSAVSDYQSSSREDGGSSDSHSHSVHSLAEQPHLNSSKETSIGTQPEESASASSHPMKAKQGNNNNNTTQERKYIAKETQRKPNPSSPEHMKIKQEAPTSHEVPTMAVENKCLSNENSGPLMEAKGELGKPPKPLSHTSLLPQMPYVSAKGNNGKTVHGFLYRYNKSEVSIVCVCHGSTFSPAEFVQHAGGTDITHPLRHITVIPSALG >Vigun09g134300.1.v1.2 pep primary_assembly:ASM411807v1:9:29383524:29385125:1 gene:Vigun09g134300.v1.2 transcript:Vigun09g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGRKNLKRATEEKHVTLQDGQSIMQVVSLRGSNIIEVKDAFGNKSLALFPAKFRKSVWIKLGSFVVVDVTGKEKALESGSKIACLVSQVLFYEQVRELQKTPEWPESFKSAMVDESKETSASQQENELEDSDDGLPPLEANTNRLRPFELQADEDSESCSDTDD >Vigun11g046350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7103743:7104585:1 gene:Vigun11g046350.v1.2 transcript:Vigun11g046350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGHHRAPAFMPDFVEQGFQFRPRGRHRLSSWLSRTSALRLQPSAAATVEASSRPPTTRAATCLPFQLRNCNYSRYLKKFNSRFPLSLFCLFLGFIDSVLDLISEVYSFFFTIIC >Vigun04g203400.3.v1.2 pep primary_assembly:ASM411807v1:4:42592697:42604766:-1 gene:Vigun04g203400.v1.2 transcript:Vigun04g203400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHASSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPNEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSLTFSPDGRTLLCGLHESLKVFSWEPIRCHDMVEVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSVNHLNGHSETKSSGGNMTVLNEISAKARLPVSQNPDQLLKETRSLGRLSVSSQDSDPLKEGKCLPSSGSAPSTPQRINLNSGPKTVPGGSTTVVNTTAQKRSSLKSHTTSNVPIVNKSDIIPVIVPRTSLRSSEPVADSRKEVGVAGRTMPFPLQSKATDMRKFPNNRDDMDKPLPSPLTESAASKSSELSGFADKSNFPASVSSTQDESRSLKVNRDVCSVEVQKGGRMRSLLNLEKRERPLNYEGPRQGISHGRISSVHVLPFSGRHSTEKATVSATDEDSIADVMEQHDEFLSSMMARSAKLQMVFRCWERNDVNEVIGIMTKMDDHAVIADVVSIIMEKIDIITLDICTGLLPLLADLLQSEMERHQGISLEMLLKLVRIFGSVIYSTVSAPLPVGVDIEAEKRLERCNLCFPELEKVKSFLPSLSRRGGSVAKSAHELNLALQDVS >Vigun04g203400.4.v1.2 pep primary_assembly:ASM411807v1:4:42592697:42604766:-1 gene:Vigun04g203400.v1.2 transcript:Vigun04g203400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHASSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPNEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSLTFSPDGRTLLCGLHESLKVFSWEPIRCHDMVEVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSVNHLNGHSETKSSGGNMTVLNEISAKARLPVSQNPDQLLKETRSLGRLSVSSQDSDPLKEGKCLPSSGSAPSTPQRINLNSGPKTVPGGSTTVVNTTAQKRSSLKSHTTSNVPIVNKSDIIPVIVPRTSLRSSEPVADSRKEVGVAGRTMPFPLQSKATDMRKFPNNRDDMDKPLPSPLTESAASKSSELSGFADKSNFPASVSSTQDESRSLKVNRDVCSVEVQKGGRMRSLLNLEKRERPLNYEGPRQGISHGRISSVHVLPFSGRHSTEKATVSATDEDSIADVMEQHDEFLSSMMARSAKLQMVFRCWERNDVNEVIGIMTKMDDHAVIADVVSIIMEKIDIITLDICTGLLPLLADLLQSEMERHQGISLEMLLKLVRIFGSVIYSTVSAPLPVGVDIEAEKRLERCNLCFPELEKVKSFLPSLSRRGGSVAKSAHELNLALQDVS >Vigun04g203400.1.v1.2 pep primary_assembly:ASM411807v1:4:42592697:42604766:-1 gene:Vigun04g203400.v1.2 transcript:Vigun04g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHASSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPNEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSLTFSPDGRTLLCGLHESLKVFSWEPIRCHDMVEVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSVNHLNGHSETKSSGGNMTVLNEISAKARLPVSQNPDQLLKETRSLGRLSVSSQDSDPLKEGKCLPSSGSAPSTPQRINLNSGPKTVPGGSTTVVNTTAQKRSSLKSHTTSNVPIVNKSDIIPVIVPRTSLRSSEPVADSRKEVGVAGRTMPFPLQSKATDMRKFPNNRDDMDKPLPSPLTESAASKSSELSGFADKSNFPASVSSTQDESRSLKVNRDVCSVEVQKGGRMRSLLNLEKRERPLNYEGPRQGISHGRISSVHVLPFSGRHSTEKATVSATDEDSIADVMEQHDEFLSSMMARSAKLQMVFRCWERNDVNEVIGIMTKMDDHAVIADVVSIIMEKIDIITLDICTGLLPLLADLLQSEMERHQGISLEMLLKLVRIFGSVIYSTVSAPLPVGVDIEAEKRLERCNLCFPELEKVKSFLPSLSRRGGSVAKSAHELNLALQDVS >Vigun04g203400.2.v1.2 pep primary_assembly:ASM411807v1:4:42592697:42604766:-1 gene:Vigun04g203400.v1.2 transcript:Vigun04g203400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHASSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPNEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSLTFSPDGRTLLCGLHESLKVFSWEPIRCHDMVEVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSVNHLNGHSETKSSGGNMTVLNEISAKARLPVSQNPDQLLKETRSLGRLSVSSQDSDPLKEGKCLPSSGSAPSTPQRINLNSGPKTVPGGSTTVVNTTAQKRSSLKSHTTSNVPIVNKSDIIPVIVPRTSLRSSEPVADSRKEVGVAGRTMPFPLQSKATDMRKFPNNRDDMDKPLPSPLTESAASKSSELSGFADKSNFPASVSSTQDESRSLKVNRDVCSVEVQKGGRMRSLLNLEKRERPLNYEGPRQGISHGRISSVHVLPFSGRHSTEKATVSATDEDSIADVMEQHDEFLSSMMARSAKLQMVFRCWERNDVNEVIGIMTKMDDHAVIADVVSIIMEKIDIITLDICTGLLPLLADLLQSEMERHQGISLEMLLKLVRIFGSVIYSTVSAPLPVGVDIEAEKRLERCNLCFPELEKVKSFLPSLSRRGGSVAKSAHELNLALQDVS >Vigun04g000650.1.v1.2 pep primary_assembly:ASM411807v1:4:79408:81256:1 gene:Vigun04g000650.v1.2 transcript:Vigun04g000650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTHKRMKSIQFVEQNVSRTKPGLFFLFMWVRKPQRLSSSWVVCVIRWISNLLLWGDCSVEGGKNNKEWGKTILGLP >Vigun01g093800.1.v1.2 pep primary_assembly:ASM411807v1:1:25655682:25656755:-1 gene:Vigun01g093800.v1.2 transcript:Vigun01g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLMKLLLLLIIFFYFSSLLSASAVPVTRTQNLKGDEEEEQEFSAIPSLTRLEHGLGNGDEVVVDMKEGEFTERRVYLETQDYEGTGANRDHDPKSPGGV >Vigun05g193100.7.v1.2 pep primary_assembly:ASM411807v1:5:37472891:37478410:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSVGTIKTKANVCCVQFPLDSSRFLAFGSADHRIYYYDLRNLKMPLCTLVGHNKTVSYIKFVDTVNLVSASTDNTLKLWDLSACASRVIDTPIQSFTGHVNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGNEVDDTAQFVSSVCWRGQSSTLLAANSTGNVKILEMV >Vigun05g193100.4.v1.2 pep primary_assembly:ASM411807v1:5:37472821:37478748:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIPFLHLVDVSFETKRS >Vigun05g193100.10.v1.2 pep primary_assembly:ASM411807v1:5:37472831:37478738:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIPFLHLVDVSFETKRS >Vigun05g193100.6.v1.2 pep primary_assembly:ASM411807v1:5:37472891:37478659:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIPFLHLVDVSFETKRS >Vigun05g193100.5.v1.2 pep primary_assembly:ASM411807v1:5:37472891:37478659:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSVGTIKTKANVCCVQFPLDSSRFLAFGSADHRIYYYDLRNLKMPLCTLVGHNKTVSYIKFVDTVNLVSASTDNTLKLWDLSACASRVIDTPIQSFTGHVNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGNEVDDTAQFVSSVCWRGQSSTLLAANSTGNVKILEMV >Vigun05g193100.2.v1.2 pep primary_assembly:ASM411807v1:5:37472830:37478748:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSVGTIKTKANVCCVQFPLDSSRFLAFGSADHRIYYYDLRNLKMPLCTLVGHNKTVSYIKFVDTVNLVSASTDNTLKLWDLSACASRVIDTPIQSFTGHVNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGNEVDDTAQFVSSVCWRGQSSTLLAANSTGNVKILEMV >Vigun05g193100.8.v1.2 pep primary_assembly:ASM411807v1:5:37472891:37478410:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIPFLHLVDVSFETKRS >Vigun05g193100.9.v1.2 pep primary_assembly:ASM411807v1:5:37472831:37478738:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSVGTIKTKANVCCVQFPLDSSRFLAFGSADHRIYYYDLRNLKMPLCTLVGHNKTVSYIKFVDTVNLVSASTDNTLKLWDLSACASRVIDTPIQSFTGHVNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGNEVDDTAQFVSSVCWRGQSSTLLAANSTGNVKILEMV >Vigun05g193100.3.v1.2 pep primary_assembly:ASM411807v1:5:37472830:37478748:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAIPFLHLVDVSFETKRS >Vigun05g193100.1.v1.2 pep primary_assembly:ASM411807v1:5:37472821:37478748:-1 gene:Vigun05g193100.v1.2 transcript:Vigun05g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWTWPTCNSNWMKMEGSSGSAFQNSGSSRALNSSGVSDRNQRVHYPETKGDQAKNLGGGFSGLCEDEVDVDPFYGAVEWGDISLRQWLDKPERSVEAFECLHIFRQIVEIVSVAHSQGVVVHNVRPSCFVMSSFNHISFIESASCSDTGSDSLGEGLNDQGGEIKTPTSLCPHDMHQQSLGSEDFVPVKTSTTTARSDSSCMLSSAVYAARASLIEETEEHKMKDRRKDEEVEGKKQSFPMKQILLMEMSWYSSPEEVAGDSSSCASDVYRLGVLLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQRIEDKELLLEFLLLLQQRKQEVAEKLQHTISFLCSDIEEVTKQQVRFKEITGTELGSDDRSASSFPSMTVVDSDDSAYVGTRKRVRLGTHAKNNEECDDDDDGGDDQKSNGSFLSKSSRLMKNFKKLESAYFLTRCRPAYPSGKLVSRHPPITSDGRGSVVLTERSCINDMKSKEQCREGASAWINPFLEGLCKYLSFSKIKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDSIINEDRDIHYPVVEMASRSKLSSLCWNAYIKSQIASSNFEGVVQLWDVTRSQVLSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSVGTIKTKANVCCVQFPLDSSRFLAFGSADHRIYYYDLRNLKMPLCTLVGHNKTVSYIKFVDTVNLVSASTDNTLKLWDLSACASRVIDTPIQSFTGHVNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFQNTDPLSGNEVDDTAQFVSSVCWRGQSSTLLAANSTGNVKILEMV >Vigun11g180000.2.v1.2 pep primary_assembly:ASM411807v1:11:38403277:38405701:1 gene:Vigun11g180000.v1.2 transcript:Vigun11g180000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNPEAPEFFPTHTFKLQQKEEPFSCPNFITSTFTPHSHYYYVVSSFPSRELHPHYVYYPATTFSPPSISTPLAAPQSEPPRIHRTEKEPGPVDSHEVNQKKDEVVVAEDVKCGAKGLVQKQVFKAFISYGTMNDQRSEGTRMMKAYVPRRKGEEKGQRRSFDFSKSGKVTRHPDEQDCWRGYTKKKRCFPLLPVRVDKNETTVMIRNIPSKYTRDMLVEFLDSHCMKVNLRDKEKDEESCCLAFDFVYLPIDFKTGMNKGYAFVNFTKPQAAWKFLLTASNMKWDLFQSHKIREVVSARLQFVAAKVKILGVSFCDISCLGFSQRLETCMKSKDTTNVVLSGVSVKFCMVDLLLRLCSLGQKILTRFEAIRIDGSVKRGVLCSFEVVKNGEDWGV >Vigun09g072200.1.v1.2 pep primary_assembly:ASM411807v1:9:7952955:7954949:1 gene:Vigun09g072200.v1.2 transcript:Vigun09g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVYLTFSFLLTALLNAQAHGKEKEIGFYQLKRGNLKLNLTNYGATIISVIVPDKHGNLDDIALGYDDIEQYKNDTCYFGALVGRVANRIGHAEFTLDNHTYKLPANDHGNTLHGGFTGFGDVIWTVKAHKEDSYITFTYDSQDNEEGFPGRVEVEVTYMLIGRNKYVVKMIAKPIDKATPVNLAQHTYWNLGGQKSGDILSHEVQIFGSKITAVDNNLIPTGKLESVKGTPYDFLEPRTVGSRVNEVPGLYDINYVIDGKRSGHLQKVVTVRENVSGRKLELWSNQVGLQFYTSGMLKATKGKNGVIYDKYGGIALETQGLPDSVNHPNFPSQIVHSGQTYKHYMVYRFTACC >Vigun05g273900.1.v1.2 pep primary_assembly:ASM411807v1:5:46431890:46433067:1 gene:Vigun05g273900.v1.2 transcript:Vigun05g273900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLCCFLLLALQITSWESAARRSFPPSHTMSNAGPKEAPGPTSSSPQNTADSEKGLMDKEVMSDTMKKTYNIGMRKIGSVPPSCEHKCYGCTPCEAVQVPSTSSRNSNLGLQYSNYEPESWKCKCGPSLYSP >Vigun07g037400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3595371:3596111:-1 gene:Vigun07g037400.v1.2 transcript:Vigun07g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPWEALILVATYLDPKTLTIASCVSKSWFSSMSSDHIWKPVLTAHFPSLATLSSAVAYRRLFAMGHAAAARRRQRPPKPSLSLEDLVFAVSVSTSHGVVASGVRAGDKLRREVAGVFRFGVECDGGNVLKEGEEEVMRVTWSVVLKGWGGVFTMVEREGKVRLVGGGEGWFWEELPVPGCCSGAVASSLVGDLKVGMCEEKGGSGVRVEEVSVGILRVLDWRYVSVEDGLRYLQHFLLINNVT >Vigun04g073550.1.v1.2 pep primary_assembly:ASM411807v1:4:8716549:8717192:-1 gene:Vigun04g073550.v1.2 transcript:Vigun04g073550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVLHDVVVSEEELKHVVVQKGFHEYGYGFGKVQMIDEGGGFLQENEELMHEILQQEATIKELKQSIHNRKAKMKERSKQLPNEYFPCYEENGSLAHTHHNSFDLGGNSVVEDHEVHKSNEICVQMEESNVYARMKHQPRTRYKSRSIRTPYASYGIRRLMK >Vigun01g028600.1.v1.2 pep primary_assembly:ASM411807v1:1:3423064:3423848:-1 gene:Vigun01g028600.v1.2 transcript:Vigun01g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKKLILNMVMVSIFAILLSMNLSAARVPQSHHKPYGRFLLKADDPRDHNYYAHHP >Vigun11g018200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2276497:2277703:-1 gene:Vigun11g018200.v1.2 transcript:Vigun11g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPCAACKFLRRKCQPECAFAPYFPPDQPQKFANVHRIFGASNVTKLLNDLHPHQREDAVNSLAYEAEMRLRDPVYGCVGVISLLQHQLRQLQMDLYCAKSELSRYQNLSTITTNHTLMASESAAAFHHHHHQNNNTTTTTNTFGRDHHYHFHHHQFFPRDHQHQQVARTFDGGNNYDASLLAMNISASLGQLNQLQHQSAAGGGDDRHTANRS >VigunL012101.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:40383:42045:-1 gene:VigunL012101.v1.2 transcript:VigunL012101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYY >Vigun01g236301.1.v1.2 pep primary_assembly:ASM411807v1:1:40775115:40775407:-1 gene:Vigun01g236301.v1.2 transcript:Vigun01g236301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAFGYRKPFLKIIHQIFKAAKSIKDNMA >Vigun09g264200.1.v1.2 pep primary_assembly:ASM411807v1:9:42821477:42838822:-1 gene:Vigun09g264200.v1.2 transcript:Vigun09g264200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIELCDLIAQNPSQFSEKLSWICGKCPPPEYLSAGSPRVSRSQLNAVLAVSRFLSKCPDSSDLRPKSVVVEFLRAVPHSFTQSFWPHPFNVDSVASFFVDFTGYVSKAAKASLDFAEELTLFAGEVIISAIGEPRSSIARAFLAGVSQNYIPISSSDANRLVTCLIDQFSAHTVAVQCTPRELLIAENASSQSSPISVNHQPLTNYNGSPGNDNTSGSSSSVASKAADDGSTASSRGGVNGPHHVYRSSADLLALNLGLNDGNLGPISSTQQVISFEEESVEFLERQEIAFNLIAHVLENVHIESGLLEQVRLIGKKQIQSLSVFLKIRKRDWHEQGSLLKARINTKLSVYKAAVNLKIKSLSALDSDSRSVKRLVYEAVAILIDAAEACLLSGWRKLRSCEELFDSLLLGVGQIAVARGGQPLRILLIRLKPIVLNVCAQPDTWSNNQGTMFESVAKASCKIIESCWEKERAPVDTYIMGLATSIRERNDYEEQENQEKPPVPFVQLNVIRLFAELSVAVNKSELVDVILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFDKSYRETVVLMTRSYLNKLSNVGSAESKTEAAEATTERVETLPAGFLVIAGGLTGDRLRSDFRHRLLSLCSDVGLAAEAKSGSSGADFLGPLLPAVAAICSDFDPTLNAEPSLLKLFRNLWFYIALFGLAPPIQKNPVTTKSVSTTLNSVGNTGAISLQAVNGPYMWNVEWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAALSQRSALSAALGGRVDVTAMTTISGVKATYLLAVAFLEIIRFSSNGGILNGGTTIDAARSAFTCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEERVSEIGHDAETRDSVLTMHTCFLVKSLSQREDHIRDIAENLLTQLRDKFPQVLWYSSCIDSMLFSFTDDSSTTVINDPAWTATVRTLYQRIVRDWIIKSLSSAPCTSQGLLQDKLCKANTWQRAQPTIDVVLLLSEIKIGTGKNEWPIQTANIPAVMAAAAAASGANLKVSESFNLDVISSGKCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSGAAPPGGLGLGAGLQRIISGAFPQHPQAEDDSFNGMLLNKFVRLLQQFVNVAEKGGEVVRSEFRDTCSQATVLLLSHLSSGSKSNMEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPELGALVLAELVDAWLWTLDTKRGLFASEARFSGPAAKLRPHLSPGEPEPQPDINPVEQIIAHRLWLGFLIDRFEVIRHQSVEQLLLLGRLLQGTTKLPWNFSHHPAATGTFFTLMLLGLKYCSCQFQGNLQKFHLGLQLLEDRIYRAALGWFAFELEWYDTNYSNFAQCEAQSVSLFVQYLSNMKVDTVQVGSKGNGQENGNSLTDTSDLYHPVWGQMENYASGREKRRQLLLMLCQYEADRLDVWAQPTNTKEMGSRPKISADKWVEYTRTAFSVDPRIALSLASRFPTNTFIKTEVTQLVQENIVDVRNIPEALPFFITPKAVDDNSVLLQQLPHWAPCSITQALEFLTPAYKGHPRVMAYVLRVLESYPPGPVTFFMPQLVQSLRHDDQKLVEGYLLRAAQRSDIFAHILIWHLQGETVPEPGKDPNSGKSGAFLELLPAVRQRIIDGFRGKALDIFRREFDFFDKVTSISGVLYPLPKEERRAGIRRELEKIELDGEDLYLPTAPNKLVTGIRTDSGIPLQSAAKVPIMITFNVVDRDGDRSDEKPQACIFKVGDDCRQDVLALQVISLLSDIFEAVGINLYLYPYGVLPTGPERGIIEVVPNSRSRSQMGETTDGGLFEIFQQDFGPVGSASFEAARQNFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSDTWSQFLSLCVKGYLAARRRMDGIITTVALMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWTTAGYDLIQYLQQGIEK >Vigun09g264200.2.v1.2 pep primary_assembly:ASM411807v1:9:42821477:42838822:-1 gene:Vigun09g264200.v1.2 transcript:Vigun09g264200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIELCDLIAQNPSQFSEKLSWICGKCPPPEYLSAGSPRVSRSQLNAVLAVSRFLSKCPDSSDLRPKSVVVEFLRAVPHSFTQSFWPHPFNVDSVASFFVDFTGYVSKAAKASLDFAEELTLFAGEVIISAIGEPRSSIARAFLAGVSQNYIPISSSDANRLVTCLIDQFSAHTVAVQCTPRELLIAENASSQSSPISVNHQPLTNYNGSPGNDNTSGSSSSVASKAADDGSTASSRGGVNGPHHVYRSSADLLALNLGLNDGNLGPISSTQQVISFEEESVEFLERQEIAFNLIAHVLENVHIESGLLEQVRLIGKKQIQSLSVFLKIRKRDWHEQGSLLKARINTKLSVYKAAVNLKIKSLSALDSDSRSVKRLVYEAVAILIDAAEACLLSGWRKLRSCEELFDSLLLGVGQIAVARGGQPLRILLIRLKPIVLNVCAQPDTWSNNQGTMFESVAKASCKIIESCWEKERAPVDTYIMGLATSIRERNDYEEQENQEKPPVPFVQLNVIRLFAELSVAVNKSELVDVILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFDKSYRETVVLMTRSYLNKLSNVGSAESKTEAAEATTERVETLPAGFLVIAGGLTGDRLRSDFRHRLLSLCSDVGLAAEAKSGSGADFLGPLLPAVAAICSDFDPTLNAEPSLLKLFRNLWFYIALFGLAPPIQKNPVTTKSVSTTLNSVGNTGAISLQAVNGPYMWNVEWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAALSQRSALSAALGGRVDVTAMTTISGVKATYLLAVAFLEIIRFSSNGGILNGGTTIDAARSAFTCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEERVSEIGHDAETRDSVLTMHTCFLVKSLSQREDHIRDIAENLLTQLRDKFPQVLWYSSCIDSMLFSFTDDSSTTVINDPAWTATVRTLYQRIVRDWIIKSLSSAPCTSQGLLQDKLCKANTWQRAQPTIDVVLLLSEIKIGTGKNEWPIQTANIPAVMAAAAAASGANLKVSESFNLDVISSGKCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSGAAPPGGLGLGAGLQRIISGAFPQHPQAEDDSFNGMLLNKFVRLLQQFVNVAEKGGEVVRSEFRDTCSQATVLLLSHLSSGSKSNMEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPELGALVLAELVDAWLWTLDTKRGLFASEARFSGPAAKLRPHLSPGEPEPQPDINPVEQIIAHRLWLGFLIDRFEVIRHQSVEQLLLLGRLLQGTTKLPWNFSHHPAATGTFFTLMLLGLKYCSCQFQGNLQKFHLGLQLLEDRIYRAALGWFAFELEWYDTNYSNFAQCEAQSVSLFVQYLSNMKVDTVQVGSKGNGQENGNSLTDTSDLYHPVWGQMENYASGREKRRQLLLMLCQYEADRLDVWAQPTNTKEMGSRPKISADKWVEYTRTAFSVDPRIALSLASRFPTNTFIKTEVTQLVQENIVDVRNIPEALPFFITPKAVDDNSVLLQQLPHWAPCSITQALEFLTPAYKGHPRVMAYVLRVLESYPPGPVTFFMPQLVQSLRHDDQKLVEGYLLRAAQRSDIFAHILIWHLQGETVPEPGKDPNSGKSGAFLELLPAVRQRIIDGFRGKALDIFRREFDFFDKVTSISGVLYPLPKEERRAGIRRELEKIELDGEDLYLPTAPNKLVTGIRTDSGIPLQSAAKVPIMITFNVVDRDGDRSDEKPQACIFKVGDDCRQDVLALQVISLLSDIFEAVGINLYLYPYGVLPTGPERGIIEVVPNSRSRSQMGETTDGGLFEIFQQDFGPVGSASFEAARQNFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSDTWSQFLSLCVKGYLAARRRMDGIITTVALMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWTTAGYDLIQYLQQGIEK >Vigun01g228001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40048473:40049597:-1 gene:Vigun01g228001.v1.2 transcript:Vigun01g228001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLMTSFCWAVDSAIRSETASNLGASPAHSFASVVDYALRSSSASEPDLGTSRVPSFGSGVFYVRAEGSSPVGSNVTEDVIKGLQLFSKAELVAATNNFSLDNKIGGGAVYIGKLLDGREVAIKTCEKTSIKSKLAFLSRLHHKNLVGLVGFCEEKDERLLVYEYMKNGSLYYHLHEKKNAEKGSSVLNDWKLRIKIALDVAQGIRYLHNHAIPSIIHRNIKSYNILMDATWTARVSVSDSGLCLMSSEVDRDHPLGYGLNVLTGKSDVYGFGVVLLELLTGKRAIFKYGEDGGIFGTFMSVVDFAVPRILAGELVEILDPRVGPPDVDEVEAVELLAHLAIDCVNWKGKDRPTMAHISFQLEKRVSYFESIL >Vigun07g130700.1.v1.2 pep primary_assembly:ASM411807v1:7:24027760:24029401:-1 gene:Vigun07g130700.v1.2 transcript:Vigun07g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNFKTPKKDGNTSNSSFPSLENNYEGERLTNLLQSIRREIRTARDSDGTSLSEKIWLKQQFSIGVNDVTRVLERMKPCTELESSAQLVPLRSNNNKTPSVKLQAVLVASDCNPRWLTKHLQSLASSRSVPLIFVGDNKHGSFRLGELVQLKTAIAIGIKIKDSSINKIIEEVVQGYRFEPPPDDQN >Vigun11g053600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9616879:9617190:-1 gene:Vigun11g053600.v1.2 transcript:Vigun11g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRVPITTSRTLNRNPRSASKSELFPSDCPPTATISGIDIVSLNATAAACSRLYASNPDFDYAWLCRLFTFDDGVEVSEGGVSESATVKNDIMETATVVWR >Vigun07g057100.1.v1.2 pep primary_assembly:ASM411807v1:7:6264850:6273156:-1 gene:Vigun07g057100.v1.2 transcript:Vigun07g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSPSFTSFLRTKKDLSLYAFSSQRCFKAVPKGIRCSVAAPQRQPSTTGSVRTAMTMTEKILARASEKAQLSPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGEDAKVWDREKLVIIPDHYIFTSDERANRNVDILRDFCHEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLSKDLILQIIGEISVAGATYKAMEFVGTTVESLTMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEGKTSLPYEPVYSDQKAGFLSEYRFDVSKLEPVVAKPHSPDNRALARECKDVKINRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >Vigun03g003900.1.v1.2 pep primary_assembly:ASM411807v1:3:264863:268582:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun03g003900.3.v1.2 pep primary_assembly:ASM411807v1:3:264863:268582:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun03g003900.4.v1.2 pep primary_assembly:ASM411807v1:3:264863:268582:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun03g003900.2.v1.2 pep primary_assembly:ASM411807v1:3:264863:268588:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun03g003900.6.v1.2 pep primary_assembly:ASM411807v1:3:264863:268588:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun03g003900.5.v1.2 pep primary_assembly:ASM411807v1:3:264863:268582:1 gene:Vigun03g003900.v1.2 transcript:Vigun03g003900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTYETFSKDFVMGGAAAIISKTAAAPIERVKLLLQNQGEMIKRGQLKRPYLGVSDGFKRVFMEEGLIAFWRGHQANVIRYFPTQAFNFAFKGYFKSIFGCSKERDGYIKWFTGNVASGSAAGATTSLLLYHLDYARTRLGTDAIECRDTSQRQFKGLIDVYRKTLSSDGFAGLYRGFGISIWGIAMYRGMYFGIYDTMKPIVLVGPFEGNFLASFFLGWSITTFSGVCAYPFDTLRRRMMLTSGHPNKYRNSIHAFRNIVGQEGFSALFRGVTANMLLGIAGAGVLAGYDQLNSISSRHSHYNETNQRVLK >Vigun06g225100.2.v1.2 pep primary_assembly:ASM411807v1:6:33331140:33335815:-1 gene:Vigun06g225100.v1.2 transcript:Vigun06g225100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVGDEAVTKAMGEIRSGASSRRHGDTLPHIHKVGSPPKQTLFQEIKDSVAETFFHDKPLHKFKDQSGFRIFVLALQSLFPIFEWGKDYTFKKFRGDFISGLTIASLCIPQDIAYAKLAYLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTTLSDEISDIHSHDYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKDFTKKTDIVSVMRSVFQSAHHGWNWETIVIGISFLIFLLVTKYIAKRNKKLFWVAAISPMISVIVSTLFVYITRADKKGVAIVKHVKKGVNPSSASEIFFSGKYLGAGIRIGVVAGMVALTEAVAIGRTFAAMKDYALDGNKEMMAMGAMNMVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACIGALFGVIFKSVEIGLLIAVAISFAKILLQVTRPRVAVLGKLSGTSVYRNVQQYPKAAQINGMLIVRVDSAIYFSNSNYIKERILRWLSDEEAQRTASGLSRIEYVTVEMSPVTDIDTSGIHAFEELYKSLQKRKVQLILANPGPIVIEKLHASKLTDLIGEDKIFLTVADAVSTFGPKGEGEV >Vigun06g225100.3.v1.2 pep primary_assembly:ASM411807v1:6:33331140:33335815:-1 gene:Vigun06g225100.v1.2 transcript:Vigun06g225100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVGDEAVTKAMGEIRSGASSRRHGDTLPHIHKVGSPPKQTLFQEIKDSVAETFFHDKPLHKFKDQSGFRIFVLALQSLFPIFEWGKDYTFKKFRGDFISGLTIASLCIPQDIAYAKLAYLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTTLSDEISDIHSHDYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKDFTKKTDIVSVMRSVFQSAHHGWNWETIVIGISFLIFLLVTKYIAKRNKKLFWVAAISPMISVIVSTLFVYITRADKKGVAIVKHVKKGVNPSSASEIFFSGKYLGAGIRIGVVAGMVALTEAVAIGRTFAAMKDYALDGNKEMMAMGAMNMVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACIGALFGVIFKSVEIGLLIAVAISFAKILLQVTRPRVAVLGKLSGTSVYRNVQQYPKAAQINGMLIVRVDSAIYFSNSNYIKERILRWLSDEEAQRTASGLSRIEYVTVEMSPVTDIDTSGIHAFEELYKSLQKRKVQLILANPGPIVIEKLHASKLTDLIGEDKIFLTVADAVSTFGPKGEGEV >Vigun06g225100.1.v1.2 pep primary_assembly:ASM411807v1:6:33331118:33335114:-1 gene:Vigun06g225100.v1.2 transcript:Vigun06g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVGDEAVTKAMGEIRSGASSRRHGDTLPHIHKVGSPPKQTLFQEIKDSVAETFFHDKPLHKFKDQSGFRIFVLALQSLFPIFEWGKDYTFKKFRGDFISGLTIASLCIPQDIAYAKLAYLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTTLSDEISDIHSHDYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKDFTKKTDIVSVMRSVFQSAHHGWNWETIVIGISFLIFLLVTKYIAKRNKKLFWVAAISPMISVIVSTLFVYITRADKKGVAIVKHVKKGVNPSSASEIFFSGKYLGAGIRIGVVAGMVALTEAVAIGRTFAAMKDYALDGNKEMMAMGAMNMVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACIGALFGVIFKSVEIGLLIAVAISFAKILLQVTRPRVAVLGKLSGTSVYRNVQQYPKAAQINGMLIVRVDSAIYFSNSNYIKERILRWLSDEEAQRTASGLSRIEYVTVEMSPVTDIDTSGIHAFEELYKSLQKRKVQLILANPGPIVIEKLHASKLTDLIGEDKIFLTVADAVSTFGPKGEGEV >Vigun06g225100.5.v1.2 pep primary_assembly:ASM411807v1:6:33331118:33334762:-1 gene:Vigun06g225100.v1.2 transcript:Vigun06g225100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVGDEAVTKAMGEIRSGASSRRHGDTLPHIHKVGSPPKQTLFQEIKDSVAETFFHDKPLHKFKDQSGFRIFVLALQSLFPIFEWGKDYTFKKFRGDFISGLTIASLCIPQDIAYAKLAYLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTTLSDEISDIHSHDYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKDFTKKTDIVSVMRSVFQSAHHGWNWETIVIGISFLIFLLVTKYIAKRNKKLFWVAAISPMISVIVSTLFVYITRADKKGVAIVKHVKKGVNPSSASEIFFSGKYLGAGIRIGVVAGMVALTEAVAIGRTFAAMKDYALDGNKEMMAMGAMNMVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACIGALFGVIFKSVEIGLLIAVAISFAKILLQVTRPRVAVLGKLSGTSVYRNVQQYPKAAQINGMLIVRVDSAIYFSNSNYIKERILRWLSDEEAQRTASGLSRIEYVTVEMSPVTDIDTSGIHAFEELYKSLQKRKVQLILANPGPIVIEKLHASKLTDLIGEDKIFLTVADAVSTFGPKGEGEV >Vigun06g225100.4.v1.2 pep primary_assembly:ASM411807v1:6:33331140:33335035:-1 gene:Vigun06g225100.v1.2 transcript:Vigun06g225100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVGDEAVTKAMGEIRSGASSRRHGDTLPHIHKVGSPPKQTLFQEIKDSVAETFFHDKPLHKFKDQSGFRIFVLALQSLFPIFEWGKDYTFKKFRGDFISGLTIASLCIPQDIAYAKLAYLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGTTLSDEISDIHSHDYLRLAFTATFFAGVTQMALGVLRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGFLGIKDFTKKTDIVSVMRSVFQSAHHGWNWETIVIGISFLIFLLVTKYIAKRNKKLFWVAAISPMISVIVSTLFVYITRADKKGVAIVKHVKKGVNPSSASEIFFSGKYLGAGIRIGVVAGMVALTEAVAIGRTFAAMKDYALDGNKEMMAMGAMNMVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMSMVVLLTLLLITPLFKYTPNAVLASIIIAAVLGLVNIEAIILLWKIDKFDFVACIGALFGVIFKSVEIGLLIAVAISFAKILLQVTRPRVAVLGKLSGTSVYRNVQQYPKAAQINGMLIVRVDSAIYFSNSNYIKERILRWLSDEEAQRTASGLSRIEYVTVEMSPVTDIDTSGIHAFEELYKSLQKRKVQLILANPGPIVIEKLHASKLTDLIGEDKIFLTVADAVSTFGPKGEGEV >Vigun01g166800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34873985:34875644:1 gene:Vigun01g166800.v1.2 transcript:Vigun01g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTKVEACPNSRKNGKVSSSVFFRRVLAALVKRVDFKVLRGVVVTSEEFRAFAISEARKMGIRWIEDNKTSVAVVEDGDSLEKVLSNPAVTVLVKDGRVRAFRELWEMVCNDSGRACYGSEEVERAREMKAIEILLITDDLYRNEEVGTRQRYDGLVKSVKDGGGKALVYSPMHVSAAQLSQLTGVAAILRFPLPDLELQD >Vigun04g062201.2.v1.2 pep primary_assembly:ASM411807v1:4:6480212:6481341:1 gene:Vigun04g062201.v1.2 transcript:Vigun04g062201.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQALDRGNACHSTSDRVFASHGHDLIVDFELELNYGSFVGLLTLFEKSWLMVLG >Vigun04g062201.1.v1.2 pep primary_assembly:ASM411807v1:4:6480212:6481391:1 gene:Vigun04g062201.v1.2 transcript:Vigun04g062201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQALDRGNACHSTSDRVFASHGHDLIVDFELELNYGRMMEVMSSWNMFMSDWMP >Vigun09g209500.2.v1.2 pep primary_assembly:ASM411807v1:9:38403758:38407237:1 gene:Vigun09g209500.v1.2 transcript:Vigun09g209500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFSFSGNDDFYPGGRIYPNPKEPCLFLSLGRSVDYFPLQKRSRVSVPFDINREWFDEPKQKTSIESLPDECLFEILRRLPVGQDRSVCASVSKRWLMLLSSICENEICSNGSTQDDNVVVDDAEGLSNEGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLTIRGSNSDRGVTNVGLKAIAHGCPSLKVFSVFDVATIDDEGLIEIASGCHKLEKLDLCKCPNISDKTLIAISKKCPNLAELSIESCPNIGNEGLQAIGKLCPNLRSVSIKGCSGVGDQGVCGLMSSASYVLSKVKLESLMVSDLSLATIGHYGFQVTDLVLSGLPNVSEKGFWVMGNGRGLQKLNSITIECCQGLTDTAIEAVGKGCPNVKNFQLRKCAYLSDKGLVSFTRAALSIESLKLQECHRITQIGLFGVFFHCAAKLKVLTLISCYGIKDLDLDLPAISPSESFWSLTIRDCPGFGDANLALLGKLCPRLKHVELSGLQRVTDVGFLPLLECSETGLTTVNLSGCLNVTDRVVLSVVNSYGWTLQVLCLDGCTRVTDASMMAIAGNCPMLSDLDVSKSAITDTGIAALARGNQISLEILSFSGCVSVSDKSIPAFKKLGQSLAGLNIKRCSAISNRGVSKLQEHLWTCDILY >Vigun08g035600.2.v1.2 pep primary_assembly:ASM411807v1:8:3423810:3427352:1 gene:Vigun08g035600.v1.2 transcript:Vigun08g035600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQNTALGGNGGSGGNGTLISQTNDMTASAAGADDSMQKLNQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINSCIAKNQITKGKTDVLKSLRKHLLEELEQNFPDEVETFRESRAAAAAELKRQAQAQSALSNGDVRVKSEH >Vigun08g035600.1.v1.2 pep primary_assembly:ASM411807v1:8:3423810:3427352:1 gene:Vigun08g035600.v1.2 transcript:Vigun08g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQNTALGGNGGSGGNGTLISQTNDMTASAAGADDSMQKLNQVSNSIQKTLGLIHQLYLTVSTFNAAFQMPLLQRINGLVVELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINSCIAKNQITKGKTDVLKSLRKHLLEELEQNFPDEVETFRESRAAAAAELKRQAQAQSALSNGDVRVKSEH >Vigun07g147900.1.v1.2 pep primary_assembly:ASM411807v1:7:25876126:25878785:-1 gene:Vigun07g147900.v1.2 transcript:Vigun07g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYEMAGKLGLETMEDDAAIGASDHVSSDSKSEDSSCCCPICLGPFLQLSYLDKCFHKFCFNCILRWTKVVAGKHRSLPSSVKCPLCKTENLSIVYEVEGSCFQRHYVNKDFEDSFILSRAHRYRLQCYYTDQGLLDDIFNIPQYWRSRKYSQPNFWLESWLRREIQALIQEEDVDIIVHHIIGVVKAALYTRREQKSLVIAPEKKQEEFKMSVSEAAKRFLAARTDRFVYEIQLFLASGMNIEAYDAVYVQRLGWSSPGINTEVSHSALVDRTTAIPYLYIFDGDFDENE >Vigun09g166400.1.v1.2 pep primary_assembly:ASM411807v1:9:33417080:33418800:-1 gene:Vigun09g166400.v1.2 transcript:Vigun09g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNIQGNEESGVMGKKRVMVLVDGTSHSKHAMMWALTHVTNKGDSLTLLHVVPPHKGPESSSSTYLVNYLGSLCKDCKPGVEVEALVIQGPKLATVMSQVKKLEVSVLVLGQKKSSSLLSCICGSSGSSYTEEFVKHCINKAECLTIGVRKRSQGTNGYFISTRWQKNFWLLA >Vigun03g236900.1.v1.2 pep primary_assembly:ASM411807v1:3:39436154:39438705:1 gene:Vigun03g236900.v1.2 transcript:Vigun03g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFLVLLLSLLTVGSGGRHIADKNPFTPKASVARYWDKHVRNTLPRPSFLLSKASPMTAAQAASFTKLADTNTLSTRLPEFCSAAHLLCFPEVRPSLQKHTKDENFQTYIDGQNFTNYGDGRVNGIDTFKNYSNDIFSTPVNAFRGYSRGSIDHKESFTGYASGTNVADQSFNTYGSKSGGEGSGDFKNYSSNSNVAELRFATYSDNTVNRKQSFSSYNEDSNAGDQSFQSYGKNSFAAKNEFTGYGTESNVASSGFTNYGKKGTGGNSTFTNYGVNMNDPQEKFQSYGDGTVGATHSFANYRDQANVGDDSFQSYEKNTFGSTVNFKNYGNSANPGSDTFKGYAKGAERNTVGFTGYSANTNATFKDYAKEGVSFASYNTSSSSSTVGVSLVKRWVEPGKFFRESMLKEGSVMAMPDIRDKMPQRSFLPRSILVKLPFSSSKIEELKSVFKVSDNSSMEKMMMDSLGECERAPSVGEIKRCVGSVEDMIDFATSVLGRNVGVWTTENVNGFSKNVIVGRVKGMNGGKVTKSVSCHQSWFPYLLYYCHSVPKVRVYEADLLDSETKAKINHGVAICHLDTSAWSPTHGAFVALGSGPGRIEVCHWIFENDMTWTVAD >Vigun08g186900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35610342:35610743:1 gene:Vigun08g186900.v1.2 transcript:Vigun08g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAFVALLVLVFTVTGSEPITPKASGASGETACPPKASGSPKPSITILNSGTDRTSPLRPPKKSIGRTSRVL >Vigun04g147800.1.v1.2 pep primary_assembly:ASM411807v1:4:36322624:36325835:-1 gene:Vigun04g147800.v1.2 transcript:Vigun04g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSKPRIITWLILIMIVLYILYSSNFLLLTRSKKDCSNSIRLEASSEEQPNNVSSTTNTVERESDEDEKPGEEKNEKEDEDEEEGIAKKKKEEEEEEKIPYDELSQRQDTNVEHIVFGIAASSNLWETRKEYIKVWWKPNKTRGVVWLDSKVKVKANEGLPQLRISGDTSKLKYTNSQGQRSALRISRVVTETLRLGLKNVRWFMMGDDDTVFIVDNVVRVLSKYDHTQFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLAKELAKMQDRCIQRYPALYGSDDRMQACMAELGVPITREQGFHQYDVYGDLLGLLGAHPVTPLLTLHHIDLVQPIFPMMNRVESLKHLMKSVKQDSGSIMQQSICYDEKRFWSISISWGFVVQVLRGVLSPREFEMPTRTFLNWYKKADYTAYSFNTRPVTKNPCQKAFLFYMNRTRYDPVRKKIFGTYSRFKTRSPECSWEIQSPETIDNIIVSKKPDPLRWQRSTRRDCCRVLPTQENSTMSLWVGQCEEGEVSELDLDEKEMPDFD >Vigun02g168800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31219036:31219650:-1 gene:Vigun02g168800.v1.2 transcript:Vigun02g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKLVPLFLINLVIYMSGTAQCSITKHSHPNPAEFVKSSCRATRYPVLCVHSLLAYAAVIHRSDRQLAMTALSVSISRTRSSASFLKKMSKARGMKGREWRAVQDCVETVGDSVERLKQSVRELGRTGLGEDFAWHMSNVQTWVSAALTDDNTCLDGFAGSAMNGNVKSDITERVVHVAQVTSNALALVNRFASNHATHSP >Vigun03g230000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38305320:38309231:1 gene:Vigun03g230000.v1.2 transcript:Vigun03g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVYEELDEAKAEIEELKAELRTKTDLLENLKKSHNAQIKQIQEAKCKAEKLDQKLLQQEDEISETKLECEDLKGNLNRKETIIKHLSAANDKLRADCDDKLKKLEEEKRGLVLALEEANEKTQNQEQQIYMCKQDIERLKGCLLVSKEKCLESEKKNRVSKELRERDDMLQKLEEESRKVEDQLKWKKEQFKHLEEAHDRLRLQFKSCKKEWEMEQCTLIDEISSLQTRLDSQTRISEDLQHQIHTCHQALAHVESQKKRLEVEVSNLKVQLDDASNEYQDSRLQLDCLNTDRDKDIADLRYLLKTKEAYNKESKYRIDKLEQENQELRMSLKELQEAQIQEAGASYSQSKLRSRLRSLEHTHRECSSNLKAKEAEWNLMLKQLTEDLNRCQSELETKIEAVEGLQMELERSHSLSIEMKLLNEEMSVMLLVLKQGISEAHLKHVSGKDEMDLINEAREEEIFQLMKQLEMKDAALMSAQKSLNEEREIAACFREGECNGFNNELLQTELDRHKVLQNELQTELDRHKVLQNELQNELEESTTSQLILKEKVLHMECNFKEQLKEVHDALDSVIIELDETICERNEVEFELQIWKPIVERLKNELEENHVVRRELETSLLVQVDFGESLKQEKDNLVYMLEEKERSLNYLQQQVELLEHELRAREESAGSFESDNVRYLQMIAEKDKILEELQKEFEKTVIEKGTIERTFEDEKNNLVLLMKGKDKRMDELMQQVEQLSAKQAKVMELNDEMVTKLRNSDSLLQKLKMENGKLLENATGLSSERESLLAFIQGFSDKINELSAEDTLLMDMLRSMVQSFENGCPVMNLKTDGAFHVKENIFIQSPTRMKKLEANSDTRSPFKELNLLEE >Vigun08g034800.1.v1.2 pep primary_assembly:ASM411807v1:8:3338658:3343984:-1 gene:Vigun08g034800.v1.2 transcript:Vigun08g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYCKAALKLSIQMLNHVSNNNNSCTWLLFVVRHYSRIQPPRPVSVEPVIRVSNNIARLDAPKEGPKPRQLLSLPPFPGHPLPAKSSNSGPGQRDYVTAVNWIKYYFKGTWGSVIESHFREGLVQMEGVVGADSYTQKETLMKPMRKIRPNEVMEHGARVHVPVSIAETRISKRYDAIPCGTLYPNADEIKYLQRLVIYKDSAIIVLNKPPKLPVKGSLPVHNSMDALAAAALSYDYDEGPKLVHRLDRETSGILLLGRTKDSASHLQWLFTNINNAKSSCKAWNDACEATYQRYWALVIGTPKEKEGVIHAPLSKVLLNDGKTERIILAHHSSVEPRQEAVTEYRVLGPKINGCSWVELRPLTYRKHQLRVHCAEALGTPIVGDYKYGWFVHSRWKQMPRIDIEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLQVLNNSSEELHGSLSQQPDVLRFVATMPSHMRISWNLMSSYLV >Vigun02g074000.1.v1.2 pep primary_assembly:ASM411807v1:2:22568860:22571278:1 gene:Vigun02g074000.v1.2 transcript:Vigun02g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITATNKNYTVFLRSSLLKTPEGEGEKHKARRKGLNMGTIDLFNRDKSLFLMKKLLPWTLCVLLPVSFFYPLPFSPSPNPHLPLSTNNTITYPSSLSASASASPPSSAEREKANETRCDYFNGEWVSDSRGPLYNDTTCGTIKEGRNCITHGRTDMEYLKWRWKPVECNLPRFEPQTFLQLIKNKHVAFVGDSMARNQLESLLCMLATASIPKLVYSNKTGKENQFSRWHFPSFKATISLYWSPFLVHGIEKSSTDPNNNLYLDHVDERWARDMDEMDLIVLSFGHWFLIPAVYHEGDSVLGCHYCPGLNHTEIGFYDVLRKALRTTFNSIVDRGTRGRGIDVIVTTFTPHHFEGEWDKAGACPKTKPYRNEEKQLEGMNAEMRKIEIEEVEEAKAKARKRLRLEALDVTKLAFLRPDGHPGPYMNPSPFVNGNARHVQNDCVHWCLPGPIDTWNEILLEMMKNWENQLRSQD >Vigun02g074000.2.v1.2 pep primary_assembly:ASM411807v1:2:22568860:22571278:1 gene:Vigun02g074000.v1.2 transcript:Vigun02g074000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITATNKNYTVFLRSSLLKTPEGEGEKHKARRKGLNMGTIDLFNRDKSLFLMKKLLPWTLCVLLPVSFFYPLPFSPSPNPHLPLSTNNTITYPSSLSASASASPPSSAEREKANETRCDYFNGEWVSDSRGPLYNDTTCGTIKEGRNCITHGRTDMEYLKWRWKPVECNLPSNKTGKENQFSRWHFPSFKATISLYWSPFLVHGIEKSSTDPNNNLYLDHVDERWARDMDEMDLIVLSFGHWFLIPAVYHEGDSVLGCHYCPGLNHTEIGFYDVLRKALRTTFNSIVDRGTRGRGIDVIVTTFTPHHFEGEWDKAGACPKTKPYRNEEKQLEGMNAEMRKIEIEEVEEAKAKARKRLRLEALDVTKLAFLRPDGHPGPYMNPSPFVNGNARHVQNDCVHWCLPGPIDTWNEILLEMMKNWENQLRSQD >Vigun05g101600.1.v1.2 pep primary_assembly:ASM411807v1:5:10086129:10088990:1 gene:Vigun05g101600.v1.2 transcript:Vigun05g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPSRPQFVLFGSSIVQYSYYEGWGATISHVYARKADIVLRGYAAWNSRRALEVLDTIFPKDAIEQPSLVIVYFGGNDSSIPNPNGIGPHVPLDEYKENMRKIATHVKNLSEKTRVIFLTTPPINEAQIPHNIVLGELERTNEACRIYAEACMEVSREMNIKGIDLWSAIQKIDNWQDVCFIDGIHLTNVGSKVVSKEILDVLKEANWEPSLYWRAMPSEFGEDSPYDVVEPDGKTTFNMSDLIFPDNDQWD >Vigun07g245500.1.v1.2 pep primary_assembly:ASM411807v1:7:36628301:36633135:1 gene:Vigun07g245500.v1.2 transcript:Vigun07g245500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNLVHFFTFLSFIINFVPTEAQFDRSIFGPSCQYFNRTTPKSALALNLRAILSDLSSNATAKNKPFYNTTVATKNHPESTVYGMFFCWGDVPPEHCSQCVASATKAVFSDPDQELFCSLAPYAYITYRDCMIRYSNISFFSTPDLTSGSSSCFSVDVSNKTNLISLYSKTINQVVEKASNSGVGEKKYATKEARIAGFKTLYCQAQCTPDLSPQDCRKCLNFSVAETRRTCLSDLPLWDRNPSCSMRCDVYPFYRPSTSPPPSGFLPVTHSSNTDSQAPAYLSQKCSRNQTNITGDTTFRSNLNTLFTSLSSQSTTNSGFFNDTVDTISGFFMCFADLSPTLCELCIQNATQTISSECPSSREAAIWYNHCMLHYSDHPFLPTLDTTPAYRHFNIVDTFKPNPQQSFFTWTLAESVSQLRLDIAAERTNTKNYGIKQEKLNDKQTLHTLAQCRPDLSPSDCSTCLDNIMRNEIPWCCLASPEGKVLYPSCYIMFGLSPFHTEASQVEPRKPDTSSPATESDNGSKTIILVVVPVGVSAILLSLCYYLLRRNTRKINYNTLLRENFGQESITLEGLQFDLTTIKAATNNFSPMQKIGKGGFGEVYKGILVDGRHIAVKRLSTRSKQGSVEFKNEVLLIANLQHRNLVSLIGFCLEEEEKILIYEYMSNGSLDYLLFGTQQQKLDWPKRYNIIQGTALGILYLHEYSRRKVIHRDLKPSNVLLDENMNPKISDFGMARIVEIDQDGGNTHKIAGTYGYISPEYAMFGQFSEKSDTFSFGIMILEIITGKKNIKFYESYDDGKGIIGYVWKQWKNHEPLSILDSYIKESYSAEEVLKCIHISLLCVQENPNERPSMATIISYLNNHSLDLPSPDEPTFFFHRCGMDSEIVIQNQSKSNPAAGNSESVNDMSMSIFHPR >Vigun06g144150.1.v1.2 pep primary_assembly:ASM411807v1:6:26999241:26999667:1 gene:Vigun06g144150.v1.2 transcript:Vigun06g144150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRDKYRCMKKQAETARQQLWLAHAERCGGTKITRDNFLIHYNRSTNNPLLNTRYYRLFELSRLQILLLLSSLSN >Vigun04g005100.1.v1.2 pep primary_assembly:ASM411807v1:4:344070:345968:1 gene:Vigun04g005100.v1.2 transcript:Vigun04g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFQKEERVSTKLHVLMLIHSHAKSQHLYRKRCTKLKMENSCILVFLIFILHSDTTKAVFSVGGGVGVGVGVGVGVGNGGGNGGMVWVGGGINSPEPPGSSVPKPEGAYTALQAWKSAITEDPLNILESWVGPNVCSYKGVFCANPQDEMVASAFPVVAGIDLNHANLKGTLVKELSLLSDLSLLHLNTNRFTGSVPDTFRDLVFLEELDLSNNQLSGPFPAATLYMPGLIYLDLRFNYFSGALPEELFSKNLDAIFLNNNQFEGEIPQNLGSSPASVINLANNKLSGNIPASLGFMGSKIKEILFLNNQLTGCIPEGVGLFTEMQVLDVSFNSLMGHLPDTLSCLQDIEVLNLAHNKLSGELSDVVCSLRSLANLTVAYNFFSGFSQQCSRLFFRNVGFDFSLNCIPGRDMQRPQPECSIIPGGSLSCLRIPTPRPLVCGSMGVSKSKHIDPISPSP >Vigun04g010300.1.v1.2 pep primary_assembly:ASM411807v1:4:765391:767930:-1 gene:Vigun04g010300.v1.2 transcript:Vigun04g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTMTRFYKVLLFLTVLFLVVNANEDKNRDREKPNKVFHKIPGHPQYTKRFTQPGTAQSAVMDGGGLPNYETNFLGSWELLSPDSGVSAMQIQLMPDNTINVYDATVYRVSRLRYPPGMPCVQFHDANLKRNVDDCFAHAMEYNILTNQVRALTVKTDPWCSCGGLTADGTLLVAGGFADGGKTSRYYFGCKNCDWKEYPETLREARWYATQTLLSNGEYIVMGGRRSFSYEFFPKEGQVTEKPYFFPFLYETSDIDENNLYPFVHLSSDGNLFVFANNRSLLLDPMKNKVLRIFPVLPGGSRNYPASGMSAILPIIIDPAETISARVHVEVMVCGGNTHDSFNIAERTKQFLPALRDCNRMVITKRSAKWVREEMPSGRTMGDLLILPNGQLLFINGAQKGTSAWWDADDPNFTPVLYTPEKPLGQRFQVLNPTQIARMYHSTSAVLPSGKIWVAGSNTHDTYREKDKFPTETRVEAFSPPYLDPNLAAVRPKIIVESSVKWLSYGNNFDTVFKMPDVNLKLGPKDVKLTMYFPPFTTHGYSMNQRLLVLAPTIVQNADGTYKATVKAPTFPQVSPPGYYVLFVVHRGVPSEGMWVNILP >Vigun11g129100.2.v1.2 pep primary_assembly:ASM411807v1:11:33680100:33695871:1 gene:Vigun11g129100.v1.2 transcript:Vigun11g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPALTFQIHNFIRSMCDDGLVNEQFLYLETARLHPLRRDALLRTVTAYSLSSKGLFSAITSQLEQQQVDFERVSDLARDLYARSSGIGAESVKCACAELIQASERKDKDYCSLALYWTKNRFSCLRDKFETLVKMERSILNLENGQ >Vigun11g129100.3.v1.2 pep primary_assembly:ASM411807v1:11:33680366:33684653:1 gene:Vigun11g129100.v1.2 transcript:Vigun11g129100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPALTFQIHNFIRSMCDDGLVNEQFLYLETARLHPLRRDALLRTVTAYSLSSKGLFSAITSQLEQQQVDFERVSDLARDLYARSSGIGAESVKCACAELIQASERKDKDYCSLALYWTKNRFSCLRDKFETLVKMERSILNLENGQ >Vigun09g160900.1.v1.2 pep primary_assembly:ASM411807v1:9:32833066:32836115:1 gene:Vigun09g160900.v1.2 transcript:Vigun09g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPFDLDSQKVRLALEEKSIDYTSHHVNPVTGKNLDSSFFKMNPGGRLPVFQNGSHILYKTIDIIQYIERIAVFSSGSENISSSNKEVIEWMQKVQEWNPKYFSLSNVPEKYRIYVSKFLRRVVIARMSECPELAGAYHRKLKEAYQTEERVKDSDVLIRSKEHLVRLLDEAEKQLSETPYLAGQEFTMADVMLIPVLSRLVLLDLEKEYITGRPNIAEYWVLVQQRPSYRKVIGRYFDGWKKHKTLVKTWCFVRIRSLLKRY >Vigun09g160900.3.v1.2 pep primary_assembly:ASM411807v1:9:32832710:32836115:1 gene:Vigun09g160900.v1.2 transcript:Vigun09g160900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPFDLDSQKVRLALEEKSIDYTSHHVNPVTGKNLDSSFFKMNPGGRLPVFQNGSHILYKTIDIIQYIERIAVFSSGSENISSSNKEVIEWMQKVQEWNPKYFSLSNVPEKYRIYVSKFLRRVVIARMSECPELAGAYHRKLKEAYQTEERVKDSDVLIRSKEHLVRLLDEAEKQLSETPYLAGQEFTMADVMLIPVLSRLVLLDLEKEYITGRPNIAEYWVLVQQRPSYRKVIGRYFDGWKKHKTLVKTWCFVRIRSLLKRY >Vigun09g160900.2.v1.2 pep primary_assembly:ASM411807v1:9:32832710:32836115:1 gene:Vigun09g160900.v1.2 transcript:Vigun09g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPFDLDSQKVRLALEEKSIDYTSHHVNPVTGKNLDSSFFKMNPGGRLPVFQNGSHILYKTIDIIQYIERIAVFSSGSENISSSNKEVIEWMQKVQEWNPKYFSLSNVPEKYRIYVSKFLRRVVIARMSECPELAGAYHRKLKEAYQTEERVKDSDVLIRSKEHLVRLLDEAEKQLSETPYLAGQEFTMADVMLIPVLSRLVLLDLEKEYITGRPNIAEYWVLVQQRPSYRKVIGRYFDGWKKHKTLVKTWCFVRIRSLLKRY >Vigun03g137000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13411465:13412540:-1 gene:Vigun03g137000.v1.2 transcript:Vigun03g137000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRKKVNFRSGDKVEVCSNEEGFFGSYYLATVVSPLDNGLYVVRYDTLLEDDESKPLTLTVFPRELRPKPPRVATASSFALYQRVDAFDNDGWWVGEITGKVDVDRYYVYFSTTHEEIVYPASAIRVHQEWINGQWIRSN >Vigun05g269100.1.v1.2 pep primary_assembly:ASM411807v1:5:46053979:46056089:1 gene:Vigun05g269100.v1.2 transcript:Vigun05g269100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKLKLLLKDETFLQHPFVLTLVLLFGLIMMDPFHLGPLSEHEFRPVKHDIAPYHQVMKNWPRDNMSKLALYAKSEFNNQVFGPESLEFDNMGRGPYTGLADGRVVRWMGEELGWETFAVVTSNWTEKLCFRGNDSTTAKQWKHEKTCGRPLGLRFDKESGDLYIADAYYGLLVVGPNGGLATSLATHVEGKPILFANDLDIHRNGSIFFTDTSKRYNRVAHFFILLEGEATGRLLRYDPPTKTTHVVLDGLAFPNGVQFSEDQSFLLFTETTNCRLMKFWLEGPRSGSVELLADLPGFPDNVRMNRKGQFWVAIDCCRTPAQEVLSNNPWLRNIYFRLPIRMSLLARAMGMRMYTVISRLNDKGEVLEVLEDREGEVMQLVSEVREEQGKLWIGTVAHNHIATLSYP >Vigun03g263300.2.v1.2 pep primary_assembly:ASM411807v1:3:43229592:43237078:-1 gene:Vigun03g263300.v1.2 transcript:Vigun03g263300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEASKRHQWQWENATAGAAAGFATVAVMHPLDVVRTRFQVNDGRVAHLPSYKNTAHAIYTIARYEGLRGLYAGFLPGVLGSTISWGLYFFFYDKAKQRYARNREGKLSPGLHLASAAEAGGLVSLCTNPVWLVKTRLQLQTPLHQTRPYSGIYDAFRTILREEGFSAFYKGIVPSLFLVSHGAIQFTAYEELRKVIVDFKSKRSTVHNQNPDKLLNSVDYAVLGATSKLAAILLTYPFQVMRARLQQRPSGDGVPRYMDTWHVVKETARFEGIRGYYRGITANLLKNAPASSITFIVYENVLKLLKPTIRND >Vigun03g130600.1.v1.2 pep primary_assembly:ASM411807v1:3:12702540:12704312:-1 gene:Vigun03g130600.v1.2 transcript:Vigun03g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYKALVKDADDIVPKAVDSFKSVEIVEGNGGVGTIKKISFLEDGETKFVLHKIEGIDEAKLGYSYSIVGGAALPDTAEKITIDSILSDGSNGGSVVKLRINYHSKGEAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun05g208200.1.v1.2 pep primary_assembly:ASM411807v1:5:39851122:39854935:1 gene:Vigun05g208200.v1.2 transcript:Vigun05g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAGAPPHTATPPSSRPSPFPGREDCWSEEATFTLIEAWGERHLELNRGNLRQRHWQEVADTVNARHGHAGARARRTDVQCKNRIDTLKKKYKIEKARVSDSGDSATAWPFFRRLEFLIGDNFPAKKPSPPESGSADRRSTPPAKSPAWALIPVGPRSRSQKRPTPAAPAAESVADSYFRRNFSVFAAAAAAAAEADSDNSNGSKWSSGSEKREKKKRGRDWEFGYREVAEALERFGEIYERVEEAKQRQMVELEKQRMQFAKDLETQRMKLFMETQLHLQKMNRSNSKRSSATDSVS >Vigun03g021100.1.v1.2 pep primary_assembly:ASM411807v1:3:1549176:1551258:-1 gene:Vigun03g021100.v1.2 transcript:Vigun03g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAVKQTPPARLNTFTLLSALLASATSILLGYDIGVMSGAALLIRENLKISAVQEEVLVGTLNVFSLIGSLASGKTSDYIGRRYTIVLASFTFLVGAILMGLGPSFAFILCGRMVAGIGVGYALMIAPLYTAELSPEMTRGFLTSLPEVFITLGILLGYIVNFFLSSLPQHISWRLMLGLAALPAIAIALGVIAMPESPRWLVMKGRIPHAKRVLLRISTTPEEAELRLHQITKGAEPGPENWRGQGVWKDLLLRPTRPIRRMLVTAVGINFFMQASGNDAVIYYCPEVFRAAGIHSKKHLFGVNVIMGIAKSSFVLLSALYLDKFGRRPLLLLGTTGMAVSLSALGLGSTILQRSSTKPLWAVVVSIVAVCADVSFFSIGLGPITWVYSSEIFPSRLRAQGSSLAISVNRLVSGVVSMTFLSISEKITFGGMFFVLAGIMVLATAFFYVFMPETKGKTLEEMETLFEYIKTDRNIVKETETEMEVA >Vigun03g164033.1.v1.2 pep primary_assembly:ASM411807v1:3:18532440:18533999:-1 gene:Vigun03g164033.v1.2 transcript:Vigun03g164033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTKKKLSTNKSSTWGHVVTPAAHHQSHSGDLKMNFSTSSKSKSKLEVSTHS >VigunL022900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:14153:14374:1 gene:VigunL022900.v1.2 transcript:VigunL022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDNSRSGPRRTVVGNLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISIN >Vigun03g131600.4.v1.2 pep primary_assembly:ASM411807v1:3:12814459:12816286:1 gene:Vigun03g131600.v1.2 transcript:Vigun03g131600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFSVTTTAHMALFRALHRHFAGAPQRAFVRRNLRCLVASYNNRCRIPCSFTSAPSPSSLRCFRGLLPRTPCRLRCISSSAASCASSTGGGNDGGGIGDSGGSGGSGGESGDANLQLVGDASQELSALSPDVIILDVSGMVCGGCAATVKRILENRPQVSSASVNLTTETAIVWPISEAKNVPNWQKQLGEALAEHLTSCGYNSSLRGEEASN >Vigun03g131600.1.v1.2 pep primary_assembly:ASM411807v1:3:12814308:12842681:1 gene:Vigun03g131600.v1.2 transcript:Vigun03g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFSVTTTAHMALFRALHRHFAGAPQRAFVRRNLRCLVASYNNRCRIPCSFTSAPSPSSLRCFRGLLPRTPCRLRCISSSAASCASSTGGGNDGGGIGDSGGSGGSGGESGDANLQLVGDASQELSALSPDVIILDVSGMVCGGCAATVKRILENRPQVSSASVNLTTETAIVWPISEAKNVPNWQKQLGEALAEHLTSCGYNSSLRDSTRDNFLQIFERKMEERHRQLRESGRELAVSWALCAVCLVGHFSHFFAAKAPWIHVFHSIGFHLSLSLFTLLGPGRQLILDGLKSLLKTTPNMNTLVGLGALSSFTVSSFAALVPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMAGLLSLLPPKARLLVNNGETDAGSVVEVPSESLSVGDQIIVLPGDRIPADGVVRAGRSTVDESSFTGEPLPVTKLPGSEVAAGSINLNGTLTMEVQRPGGETSMANIVRLVEEAQSREAPVQRLADKVAGHFTYGVMAASAATFIFWSLYGAHILPPALYQGSSVSLALQLACSVLVVACPCALGLATPTAVLVGTSLGAKRGLLLRGGNILEKFAMVNTVVFDKTGTLTVGRPVVTNIVTSPCMKNAISSQTEENVLSDIEVLRLAAAVESNSIHPVGKAIVDAAVAVNCHNAKVIDGTFLEEPGSGAVATIDNKTVSVGTLEWITRHGVIDSLDQEVEKCNNQSFVYVGIDDTLAGLIYFEDEIREDARDVVDRLTKQNLGVYMLSGDKRNAAEHVASLVGIPKDKVLSEVKPDEKKKFINELQKDKNIVAMVGDGINDAAALASSNVAIALGGGVGAASEVSSIVLMRNQLSQLLDALELSRLTMNTVKQNLWWAFVYNIVGIPIAAGALFPINGTILTPSIAGALMGLSSIGVMTNSLLLRFKFSSKQSQIYSTSPNTKIHVPQQNQKTRRPY >Vigun03g131600.3.v1.2 pep primary_assembly:ASM411807v1:3:12814459:12816286:1 gene:Vigun03g131600.v1.2 transcript:Vigun03g131600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFSVTTTAHMALFRALHRHFAGAPQRAFVRRNLRCLVASYNNRCRIPCSFTSAPSPSSLRCFRGLLPRTPCRLRCISSSAASCASSTGGGNDGGGIGDSGGSGGSGGESGDANLQLVGDASQELSALSPDVIILDVSGMVCGGCAATVKRILENRPQVSSASVNLTTETAIVWPISEAKNVPNWQKQLGEALAEHLTSCGYNSSLRVAGEEASN >Vigun06g167200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28913460:28915302:-1 gene:Vigun06g167200.v1.2 transcript:Vigun06g167200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGLGFLLIGLWHLFSHIKLHALNPTSYTAPTWFPTSKFKYLELLLIMAASMASISMELFIGPDRHQPFDPDGTIPSNHLHNFEHSSISLTFLLYAAFALLLDRIPTPSKRALTQLLGSIAFAQQLLLFHLHSADHTGPEGQYHFMLQLIIFVSFSTALMGIPMPKSFVISFVRSLSIFFQGLWLIVMGFMLWTPGLIPKGCFINREEGHQVVRCHDHESLHRAVALVNILFSWFIVGVTAFGIAFYLGLVKFYGEKVRYFALGNEEEDMDKESDDVESQKRSVVGKPMSFIRVGKNFSPLDIER >Vigun11g037200.2.v1.2 pep primary_assembly:ASM411807v1:11:5026543:5047413:1 gene:Vigun11g037200.v1.2 transcript:Vigun11g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFCVLKREMRLAGARRTKGDDISEDDAPSTSGRQFPEGADGDLLHADTPKHIGQSPLPAYEPAFDWENERALIFGQRIPETPISHGMKISVKVQSLQFQAGLVEPFYGTICLYNRERREKLSEDFYFHILPTEMQDAKITYEPRAVFYLDAPSASVCLLIQLEKHATEDGGVTASVYSRKDPVHLTEREKQKLQVWSKIMPYKESFAWTIVSLFDSSIGAASVGPASPSSPLAPSVSGSSTHEGVFETSAKMSLDGKLSYSNGNSVVVEVSTLNKVKECYTEESLQDPKRKVHKPVKGVLRLEIEKHQISHADLENVSESGSITNDSVDPGDRVADSLSGKYTSNGGDDPQGSIPRVISPASGNGATQHGNSDFNADDFHAFDFRTTTRNEPFLQLFHCLYVYPLTLSLGRKRNLFIRVELREDDGDIRRQPLEAIYPRDPGLDASLQKWSHTQIAVGARVACYHDEIKLSLPAMWTPTHHLLFTLFHVDLQTKLESPKPVVIGYAALPLSSHAQLRSEINLPIMRELVPHYLQDSGRERLDYLEDGKSVFRLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDAERNHFLVNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPIGEDIPPMQLKDGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIICDQDLFVEMPGRDPSDRNYLSSVLIQELFVTWDHEDVSLRAKAARILVVLLCKHEFDVRYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVSIVILQIVRNLDDPSLVKAWQQSIARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGEAPASPKYSDRLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPLLRQKLELWEENLSASVSLQVLEVTEKFSMMAESHSIATDYGKLDCITVVFMSFLSRNQPLTFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNENIRQRAVVGLQILVRSSFHYFMQTARLRVMLIITLSELMSDVQVTQMRSDGSLEESGEARRLRRSLDEMKDETKSSYLLKECGLSENALVAVPEKITENRWSWCEVKYLSDSLLLALDGSLEHALLAPMMTMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVAALRKICPMVSNEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Vigun11g037200.1.v1.2 pep primary_assembly:ASM411807v1:11:5024631:5047413:1 gene:Vigun11g037200.v1.2 transcript:Vigun11g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQRRDATPATTRWRNTFEENLEQWPHLNELVHCYTTDWVKDENKYGHYDSVGTPSFQNQIYEGPDTDIETEMRLAGARRTKGDDISEDDAPSTSGRQFPEGADGDLLHADTPKHIGQSPLPAYEPAFDWENERALIFGQRIPETPISHGMKISVKVQSLQFQAGLVEPFYGTICLYNRERREKLSEDFYFHILPTEMQDAKITYEPRAVFYLDAPSASVCLLIQLEKHATEDGGVTASVYSRKDPVHLTEREKQKLQVWSKIMPYKESFAWTIVSLFDSSIGAASVGPASPSSPLAPSVSGSSTHEGVFETSAKMSLDGKLSYSNGNSVVVEVSTLNKVKECYTEESLQDPKRKVHKPVKGVLRLEIEKHQISHADLENVSESGSITNDSVDPGDRVADSLSGKYTSNGGDDPQGSIPRVISPASGNGATQHGNSDFNADDFHAFDFRTTTRNEPFLQLFHCLYVYPLTLSLGRKRNLFIRVELREDDGDIRRQPLEAIYPRDPGLDASLQKWSHTQIAVGARVACYHDEIKLSLPAMWTPTHHLLFTLFHVDLQTKLESPKPVVIGYAALPLSSHAQLRSEINLPIMRELVPHYLQDSGRERLDYLEDGKSVFRLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDAERNHFLVNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPIGEDIPPMQLKDGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIICDQDLFVEMPGRDPSDRNYLSSVLIQELFVTWDHEDVSLRAKAARILVVLLCKHEFDVRYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVSIVILQIVRNLDDPSLVKAWQQSIARTRLFFKLMEECLLLFEHKKPADGMLLGSSSRNPVGEAPASPKYSDRLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPLLRQKLELWEENLSASVSLQVLEVTEKFSMMAESHSIATDYGKLDCITVVFMSFLSRNQPLTFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNENIRQRAVVGLQILVRSSFHYFMQTARLRVMLIITLSELMSDVQVTQMRSDGSLEESGEARRLRRSLDEMKDETKSSYLLKECGLSENALVAVPEKITENRWSWCEVKYLSDSLLLALDGSLEHALLAPMMTMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVAALRKICPMVSNEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Vigun06g181100.1.v1.2 pep primary_assembly:ASM411807v1:6:30094835:30096176:1 gene:Vigun06g181100.v1.2 transcript:Vigun06g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDL >Vigun04g036600.2.v1.2 pep primary_assembly:ASM411807v1:4:3044713:3050860:1 gene:Vigun04g036600.v1.2 transcript:Vigun04g036600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALSVCTIPGQVTSDRLGPGKMELGLGIHGEPGAAVVDIQPVNVVVSHVLQQILSTETNFVPITRGERVVLMVNGLGGTPTMELMITAGKTVPKLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKADPAILQRLDAPTKAPYWPVAADGNHPPAKIPVPISGSRSAKTDEPPSQPQQLNEQGQILQIAIEAAANAILNLKDNLNEWDGKVGDGDCGSTMSRGAKAILEDIKHYPLNDAAETLGEIGSSIGRSMGGTSGIIYTIFFKAAHSHLKASSHSGVTSKQWAEALKASIAAVSKYGGASAGYRTLLDALIPASLVLEEKLNSGDDPCTAFILSSEVALAGAQSTVDMQAQAGRSTYISGEILSTVPDPGAMAAATWFRAAALAVKAKHES >Vigun04g036600.1.v1.2 pep primary_assembly:ASM411807v1:4:3043110:3050860:1 gene:Vigun04g036600.v1.2 transcript:Vigun04g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAICGDIFSSPPVDSILAGIRAVTGPKGCLLIVKNYTGDRLNFGLAAELAKSEGYKVETVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAAAAGLSLNDVAAEAKHASEIVGTMGVALSVCTIPGQVTSDRLGPGKMELGLGIHGEPGAAVVDIQPVNVVVSHVLQQILSTETNFVPITRGERVVLMVNGLGGTPTMELMITAGKTVPKLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKADPAILQRLDAPTKAPYWPVAADGNHPPAKIPVPISGSRSAKTDEPPSQPQQLNEQGQILQIAIEAAANAILNLKDNLNEWDGKVGDGDCGSTMSRGAKAILEDIKHYPLNDAAETLGEIGSSIGRSMGGTSGIIYTIFFKAAHSHLKASSHSGVTSKQWAEALKASIAAVSKYGGASAGYRTLLDALIPASLVLEEKLNSGDDPCTAFILSSEVALAGAQSTVDMQAQAGRSTYISGEILSTVPDPGAMAAATWFRAAALAVKAKHES >Vigun10g120200.1.v1.2 pep primary_assembly:ASM411807v1:10:32682572:32683941:-1 gene:Vigun10g120200.v1.2 transcript:Vigun10g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTKIRRTQDDTHPDTWRAALSEFISTLIFVFAASGSTVAVKNLTVDAPTALLTVAVANAFALVVAVSVSTNVSGGYVNPAVTFAAFVSGNLTWLRCIIFWIAQILGSVIACLLLKFTSDGQRIPVYGLSSGMKVGNAVVLEMVMTFGLVYAVYATTVDPRSRGKSLGAIAPIVIGFIVGANTLVGGPFDGASMNPAASFGPALVGWSWENHWVYWVGPLVGGGLAGFLYELVFVRYNRHTP >Vigun11g010000.1.v1.2 pep primary_assembly:ASM411807v1:11:1206602:1226166:1 gene:Vigun11g010000.v1.2 transcript:Vigun11g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPPSSLLDGVVIGVKFGMATRQEISTASISDSSISHASQLSNPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPIPIYHPSHITELKRMLSLVCLNCLKMRKSKPSASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMQPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSDPSITILRKLLRKVEIIKSSRSGEPNFESHHVEANDLQSVVDQYFQIRGTSKAARAIETRFGVNKELNESSTQAWLEKMRTLFIRKGSGFSSRNVITGDCYKRINEVGIPVEVAQRVTFEERVNIHNIGYLQKLVDENLCLTYKEGMSTFSLREGSKGHIYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALFVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVVELFSVENQLLSSHSGNLNLQLSSDSLLSLKMLVKRCFFDRVAANQLAMFLVPLPQAGLMKANSGDSYWTSIQILQCALPLCFDCSGGRYLIRQSEILEFDFNRDALPATVNEIAASIFFSKGPKEALKFFDVLQPFLMESIFADGFSVSLQDFSISRAIKRIIGRSIGKVSSLLYQLRSVYNELVAQQLDKLIRDIELPVINFSLKSTKLGDLIDSKSKSAIDKVVQQIGFLGQQLFDRGRFYSRGLVEDLTSHFHVKCCYDGDGYPSAEYGLLKGSFFNGLDPYEEMVHSISTREIMVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQFEYGLEKTEHLFPAGEPVGVLAATAMSNPAYKAVLDASPSSNSSWELMKEILQCKVNFKNEPGDRRVILYLNDCDCGGNYCRENAAYKVKDQLRKVNLKDVAVEFIIEYQEQRMRKGNSETDAGLVGHIYLDEMMLEELKISMANIFQKCSDRLKSFSRRKKANEFLNRTELSFSESCLSSHPAAPCLTFIWLDDRSNEFDRIVKTLSEEICPVLLDTIIQGDPRISSASIIWVCPDTNTWVRNPYKSSNGELALDIILEKEVVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRVAASVKMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKALCRQLNIQVPFTDATLFTPKRCFERAAEKCHTDSLSSIVASCSWGKPVAVGTGSKFDVVWDANKIRSSEIEGMDVYSFLHMVKGHTDGEEEADACLGEDIDDLLEEEKMDLEMSPPHNSGFEAVFEDNPEVLNDSTSNGWAVHSNQTESKTNGWSGWVSNKAGTNEGRSENAQVSSWGNTVTQEDSSKSSGWNSNTTDHTNTKSNEWSAWGSKKSEIQTGGSENVQGSWGMGKTKDDTQKDNSGSGAWGANKTVQANTKSNEWSGWGNNNSEIPAGGSKNMQGSWGSGKRKEVTQEDNFASGAWDANRTDHTKTKSNEWSDWGRNNKSEILAGGSQENSWGSSKLKDDVAHKNNPGSSAWDAGSTNHTKAKSNEWSGWGKNRSEIPAGGSENLQDSWGSDKGKDDVTQVDNSVHGSWGGNRSDQTKAKSNEWSGWGMNKSEMQPGGSENVIEDSWGSGKSKDDVTKNDNSESGAWGASSTGQMKSKSNEWSSWGKNKSERPAAESENVHNNSWGSGKRKDVTQVDNSVSGSWGGSRGDQINTKSNEWSGWERNKSAIPASGTDNAQGGSWGSSILKDDVTQKDNSGSGSWGANKSGFAKTEVDEWARNKVEAIDGGSEKPQEDSWNSGNWKADSKVGNTSWGKAKSSGSQSWDSHNQSNQNSTSRGWESHIASANSDTEKGFQWGKQGRESFKKNRFEGSQGRGSNSGDWKNRNRPPRAPGQRFDLYSSEEQDVLKEIEPIMQSVRRIMQQQGYNDGDPLAAEDQQFVLENVFEHHPDKETKMGAGIDYVMVNRHSSFQDSRCFYVVLKDGQREDFSYLKCLGNWIRKKYPDLAEAFLGKYFRKPRRRQDQTANPRGDQTAMPVQDEASTPADQTSTPGPVETNE >Vigun11g010000.7.v1.2 pep primary_assembly:ASM411807v1:11:1206597:1226176:1 gene:Vigun11g010000.v1.2 transcript:Vigun11g010000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPPSSLLDGVVIGVKFGMATRQEISTASISDSSISHASQLSNPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPIPIYHPSHITELKRMLSLVCLNCLKMRKSKPSASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMQPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSDPSITILRKLLRKVEIIKSSRSGEPNFESHHVEANDLQSVVDQYFQIRGTSKAARAIETRFGVNKELNESSTQAWLEKMRTLFIRKGSGFSSRNVITGDCYKRINEVGIPVEVAQRVTFEERVNIHNIGYLQKLVDENLCLTYKEGMSTFSLREGSKGHIYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALFVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVVELFSVENQLLSSHSGNLNLQLSSDSLLSLKMLVKRCFFDRVAANQLAMFLVPLPQAGLMKANSGDSYWTSIQILQCALPLCFDCSGGRYLIRQSEILEFDFNRDALPATVNEIAASIFFSKGPKEALKFFDVLQPFLMESIFADGFSVSLQDFSISRAIKRIIGRSIGKVSSLLYQLRSVYNELVAQQLDKLIRDIELPVINFSLKSTKLGDLIDSKSKSAIDKVVQQIGFLGQQLFDRGRFYSRGLVEDLTSHFHVKCCYDGDGYPSAEYGLLKGSFFNGLDPYEEMVHSISTREIMVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQFEYGLEKTEHLFPAGEPVGVLAATAMSNPAYKAVLDASPSSNSSWELMKEILQCKVNFKNEPGDRRVILYLNDCDCGGNYCRENAAYKVKDQLRKVNLKDVAVEFIIEYQEQRMRKGNSETDAGLVGHIYLDEMMLEELKISMANIFQKCSDRLKSFSRRKKANEFLNRTELSFSESCLSSHPAAPCLTFIWLDDRSNEFDRIVKTLSEEICPVLLDTIIQGDPRISSASIIWVCPDTNTWVRNPYKSSNGELALDIILEKEVVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRVAASVKMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKALCRQLNIQVPFTDATLFTPKRCFERAAEKCHTDSLSSIVASCSWGKPVAVGTGSKFDVVWDANKIRSSEIEGMDVYSFLHMVKGHTDGEEEADACLGEDIDDLLEEEKMDLEMSPPHNSGFEAVFEDNPEVLNDSTSNGWAVHSNQTESKTNGWSGWVSNKAGTNEGRSENAQVSSWGNTVTQEDSSKSSGWNSNTTDHTNTKSNEWSAWGSKKSEIQTGGSENVQGSWGMGKTKDDTQKDNSGSGAWGANKTVQANTKSNEWSGWGNNNSEIPAGGSKNMQGSWGSGKRKEVTQEDNFASGAWDANRTDHTKTKSNEWSDWGRNNKSEILAGGSQENSWGSSKLKDDVAHKNNPGSSAWDAGSTNHTKAKSNEWSGWGKNRSEIPAGGSENLQDSWGSDKGKDDVTQVDNSVHGSWGGNRSDQTKAKSNEWSGWGMNKSEMQPGGSENVIEDSWGSGKSKDDVTKNDNSESGAWGASSTGQMKSKSNEWSSWGKNKSERPAAESENVHNNSWGSGKRKDVTQVDNSVSGSWGGSRGDQINTKSNEWSGWERNKSAIPASGTDNAQGGSWGSSILKDDVTQKDNSGSGSWGANKSGFAKTEVDEWARNKVEAIDGGSEKPQEDSWNSGNWKADSKVGNTSWGKAKSSGSQSWDSHNQSNQNSTSRGWESHIASANSDTEKGFQWGKQGRESFKKNRFEGSQGRGSNSGDWKNRNRPPRAPGQRFDLYSSEEQDVLKEIEPIMQSVRRIMQQQGYNDGDPLAAEDQQFVLENVFEHHPDKETKMGAGIDYVMVNRHSSFQDSRCFYVVLKDGQREDFSYLKCLGNWIRKKYPDLAEAFLGKYFRKPRRRQDQTANPRGDQTAMPVQDEASTPADQTSTPGPVETNE >Vigun11g010000.4.v1.2 pep primary_assembly:ASM411807v1:11:1206547:1226192:1 gene:Vigun11g010000.v1.2 transcript:Vigun11g010000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPPSSLLDGVVIGVKFGMATRQEISTASISDSSISHASQLSNPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPIPIYHPSHITELKRMLSLVCLNCLKMRKSKPSASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMQPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSDPSITILRKLLRKVEIIKSSRSGEPNFESHHVEANDLQSVVDQYFQIRGTSKAARAIETRFGVNKELNESSTQAWLEKMRTLFIRKGSGFSSRNVITGDCYKRINEVGIPVEVAQRVTFEERVNIHNIGYLQKLVDENLCLTYKEGMSTFSLREGSKGHIYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALFVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVVELFSVENQLLSSHSGNLNLQLSSDSLLSLKMLVKRCFFDRVAANQLAMFLVPLPQAGLMKANSGDSYWTSIQILQCALPLCFDCSGGRYLIRQSEILEFDFNRDALPATVNEIAASIFFSKGPKEALKFFDVLQPFLMESIFADGFSVSLQDFSISRAIKRIIGRSIGKVSSLLYQLRSVYNELVAQQLDKLIRDIELPVINFSLKSTKLGDLIDSKSKSAIDKVVQQIGFLGQQLFDRGRFYSRGLVEDLTSHFHVKCCYDGDGYPSAEYGLLKGSFFNGLDPYEEMVHSISTREIMVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQFEYGLEKTEHLFPAGEPVGVLAATAMSNPAYKAVLDASPSSNSSWELMKEILQCKVNFKNEPGDRRVILYLNDCDCGGNYCRENAAYKVKDQLRKVNLKDVAVEFIIEYQEQRMRKGNSETDAGLVGHIYLDEMMLEELKISMANIFQKCSDRLKSFSRRKKANEFLNRTELSFSESCLSSHPAAPCLTFIWLDDRSNEFDRIVKTLSEEICPVLLDTIIQGDPRISSASIIWVCPDTNTWVRNPYKSSNGELALDIILEKEVVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRVAASVKMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKALCRQLNIQVPFTDATLFTPKRCFERAAEKCHTDSLSSIVASCSWGKPVAVGTGSKFDVVWDANKIRSSEIEGMDVYSFLHMVKGHTDGEEEADACLGEDIDDLLEEEKMDLEMSPPHNSGFEAVFEDNPEVLNDSTSNGWAVHSNQTESKTNGWSGWVSNKAGTNEGRSENAQVSSWGNTVTQEDSSKSSGWNSNTTDHTNTKSNEWSAWGSKKSEIQTGGSENVQGSWGMGKTKDDTQKDNSGSGAWGANKTVQANTKSNEWSGWGNNNSEIPAGGSKNMQGSWGSGKRKEVTQEDNFASGAWDANRTDHTKTKSNEWSDWGRNNKSEILAGGSQENSWGSSKLKDDVAHKNNPGSSAWDAGSTNHTKAKSNEWSGWGKNRSEIPAGGSENLQDSWGSDKGKDDVTQVDNSVHGSWGGNRSDQTKAKSNEWSGWGMNKSEMQPGGSENVIEDSWGSGKSKDDVTKNDNSESGAWGASSTGQMKSKSNEWSSWGKNKSERPAAESENVHNNSWGSGKRKDVTQVDNSVSGSWGGSRGDQINTKSNEWSGWERNKSAIPASGTDNAQGGSWGSSILKDDVTQKDNSGSGSWGANKSGFAKTEVDEWARNKVEAIDGGSEKPQEDSWNSGNWKADSKVGNTSWGKAKSSGSQSWDSHNQSNQNSTSRGWESHIASANSDTEKGFQWGKQGRESFKKNRFEGSQGRGSNSGDWKNRNRPPRAPGQRFDLYSSEEQDVLKEIEPIMQSVRRIMQQQGYNDGDPLAAEDQQFVLENVFEHHPDKETKMGAGIDYVMVNRHSSFQDSRCFYVVLKDGQREDFSYLKCLGNWIRKKYPDLAEAFLGKYFRKPRRRQDQTANPRGDQTAMPVQDEASTPADQTSTPGPVETNE >Vigun11g010000.8.v1.2 pep primary_assembly:ASM411807v1:11:1206602:1226166:1 gene:Vigun11g010000.v1.2 transcript:Vigun11g010000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPPSSLLDGVVIGVKFGMATRQEISTASISDSSISHASQLSNPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPIPIYHPSHITELKRMLSLVCLNCLKMRKSKPSASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMQPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSDPSITILRKLLRKVEIIKSSRSGEPNFESHHVEANDLQSVVDQYFQIRGTSKAARAIETRFGVNKELNESSTQAWLEKMRTLFIRKGSGFSSRNVITGDCYKRINEVGIPVEVAQRVTFEERVNIHNIGYLQKLVDENLCLTYKEGMSTFSLREGSKGHIYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALFVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVVELFSVENQLLSSHSGNLNLQLSSDSLLSLKMLVKRCFFDRVAANQLAMFLVPLPQAGLMKANSGDSYWTSIQILQCALPLCFDCSGGRYLIRQSEILEFDFNRDALPATVNEIAASIFFSKGPKEALKFFDVLQPFLMESIFADGFSVSLQDFSISRAIKRIIGRSIGKVSSLLYQLRSVYNELVAQQLDKLIRDIELPVINFSLKSTKLGDLIDSKSKSAIDKVVQQIGFLGQQLFDRGRFYSRGLVEDLTSHFHVKCCYDGDGYPSAEYGLLKGSFFNGLDPYEEMVHSISTREIMVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQFEYGLEKTEHLFPAGEPVGVLAATAMSNPAYKAVLDASPSSNSSWELMKEILQCKVNFKNEPGDRRVILYLNDCDCGGNYCRENAAYKVKDQLRKVNLKDVAVEFIIEYQEQRMRKGNSETDAGLVGHIYLDEMMLEELKISMANIFQKCSDRLKSFSRRKKANEFLNRTELSFSESCLSSHPAAPCLTFIWLDDRSNEFDRIVKTLSEEICPVLLDTIIQGDPRISSASIIWVCPDTNTWVRNPYKSSNGELALDIILEKEVVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRVAASVKMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKALCRQLNIQVPFTDATLFTPKRCFERAAEKCHTDSLSSIVASCSWGKPVAVGTGSKFDVVWDANKIRSSEIEGMDVYSFLHMVKGHTDGEEEADACLGEDIDDLLEEEKMDLEMSPPHNSGFEAVFEDNPEVLNDSTSNGWAVHSNQTESKTNGWSGWVSNKAGTNEGRSENAQVSSWGNTVTQEDSSKSSGWNSNTTDHTNTKSNEWSAWGSKKSEIQTGGSENVQGSWGMGKTKDDTQKDNSGSGAWGANKTVQANTKSNEWSGWGNNNSEIPAGGSKNMQGSWGSGKRKEVTQEDNFASGAWDANRTDHTKTKSNEWSDWGRNNKSEILAGGSQENSWGSSKLKDDVAHKNNPGSSAWDAGSTNHTKAKSNEWSGWGKNRSEIPAGGSENLQDSWGSDKGKDDVTQVDNSVHGSWGGNRSDQTKAKSNEWSGWGMNKSEMQPGGSENVIEDSWGSGKSKDDVTKNDNSESGAWGASSTGQMKSKSNEWSSWGKNKSERPAAESENVHNNSWGSGKRKDVTQVDNSVSGSWGGSRGDQINTKSNEWSGWERNKSAIPASGTDNAQGGSWGSSILKDDVTQKDNSGSGSWGANKSGFAKTEVDEWARNKVEAIDGGSEKPQEDSWNSGNWKADSKVGNTSWGKAKSSGSQSWDSHNQSNQNSTSRGWESHIASANSDTEKGFQWGKQGRESFKKNRFEGSQGRGSNSGDWKNRNRPPRAPGQRFDLYSSEEQDVLKEIEPIMQSVRRIMQQQGYNDGDPLAAEDQQFVLENVFEHHPDKETKMGAGIDYVMVNRHSSFQDSRCFYVVLKDGQREDFSYLKCLGNWIRKKYPDLAEAFLGKYFRKPRRRQDQTANPRGDQTAMPVQDEASTPADQTSTPGPVETNE >Vigun11g010000.6.v1.2 pep primary_assembly:ASM411807v1:11:1206580:1226210:1 gene:Vigun11g010000.v1.2 transcript:Vigun11g010000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPPSSLLDGVVIGVKFGMATRQEISTASISDSSISHASQLSNPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPIPIYHPSHITELKRMLSLVCLNCLKMRKSKPSASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMQPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSDPSITILRKLLRKVEIIKSSRSGEPNFESHHVEANDLQSVVDQYFQIRGTSKAARAIETRFGVNKELNESSTQAWLEKMRTLFIRKGSGFSSRNVITGDCYKRINEVGIPVEVAQRVTFEERVNIHNIGYLQKLVDENLCLTYKEGMSTFSLREGSKGHIYLKPGQIVHRRIMDGDIVFINRPPTTHKHSLQALFVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVVELFSVENQLLSSHSGNLNLQLSSDSLLSLKMLVKRCFFDRVAANQLAMFLVPLPQAGLMKANSGDSYWTSIQILQCALPLCFDCSGGRYLIRQSEILEFDFNRDALPATVNEIAASIFFSKGPKEALKFFDVLQPFLMESIFADGFSVSLQDFSISRAIKRIIGRSIGKVSSLLYQLRSVYNELVAQQLDKLIRDIELPVINFSLKSTKLGDLIDSKSKSAIDKVVQQIGFLGQQLFDRGRFYSRGLVEDLTSHFHVKCCYDGDGYPSAEYGLLKGSFFNGLDPYEEMVHSISTREIMVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNICSNSIIQFEYGLEKTEHLFPAGEPVGVLAATAMSNPAYKAVLDASPSSNSSWELMKEILQCKVNFKNEPGDRRVILYLNDCDCGGNYCRENAAYKVKDQLRKVNLKDVAVEFIIEYQEQRMRKGNSETDAGLVGHIYLDEMMLEELKISMANIFQKCSDRLKSFSRRKKANEFLNRTELSFSESCLSSHPAAPCLTFIWLDDRSNEFDRIVKTLSEEICPVLLDTIIQGDPRISSASIIWVCPDTNTWVRNPYKSSNGELALDIILEKEVVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRVAASVKMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKALCRQLNIQVPFTDATLFTPKRCFERAAEKCHTDSLSSIVASCSWGKPVAVGTGSKFDVVWDANKIRSSEIEGMDVYSFLHMVKGHTDGEEEADACLGEDIDDLLEEEKMDLEMSPPHNSGFEAVFEDNPEVLNDSTSNGWAVHSNQTESKTNGWSGWVSNKAGTNEGRSENAQVSSWGNTVTQEDSSKSSGWNSNTTDHTNTKSNEWSAWGSKKSEIQTGGSENVQGSWGMGKTKDDTQKDNSGSGAWGANKTVQANTKSNEWSGWGNNNSEIPAGGSKNMQGSWGSGKRKEVTQEDNFASGAWDANRTDHTKTKSNEWSDWGRNNKSEILAGGSQENSWGSSKLKDDVAHKNNPGSSAWDAGSTNHTKAKSNEWSGWGKNRSEIPAGGSENLQDSWGSDKGKDDVTQVDNSVHGSWGGNRSDQTKAKSNEWSGWGMNKSEMQPGGSENVIEDSWGSGKSKDDVTKNDNSESGAWGASSTGQMKSKSNEWSSWGKNKSERPAAESENVHNNSWGSGKRKDVTQVDNSVSGSWGGSRGDQINTKSNEWSGWERNKSAIPASGTDNAQGGSWGSSILKDDVTQKDNSGSGSWGANKSGFAKTEVDEWARNKVEAIDGGSEKPQEDSWNSGNWKADSKVGNTSWGKAKSSGSQSWDSHNQSNQNSTSRGWESHIASANSDTEKGFQWGKQGRESFKKNRFEGSQGRGSNSGDWKNRNRPPRAPGQRFDLYSSEEQDVLKEIEPIMQSVRRIMQQQGYNDGDPLAAEDQQFVLENVFEHHPDKETKMGAGIDYVMVNRHSSFQDSRCFYVVLKDGQREDFSYLKCLGNWIRKKYPDLAEAFLGKYFRKPRRRQDQTANPRGDQTAMPVQDEASTPADQTSTPGPVETNE >Vigun01g068201.1.v1.2 pep primary_assembly:ASM411807v1:1:18289849:18291439:1 gene:Vigun01g068201.v1.2 transcript:Vigun01g068201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEPEKQISLKLMVNKERNKVVFAEAGKDFVDVLFSFFTLPLGTIVRLVREESNMLPIEVGSLTSLYQSAENLDKEFLCTDSCKQIILRPKNSLERYCMNLKLKIDDTEPAEYFVCNNLLSCRFHDPVLISMFKNRRCRCGKMLDKPISPSTSYDGFVKDSATFIITDDLTVEPNSLNAFFDMFKNCGIESMSSVNEMMVTITKTQVSFYVIMVMDLLKSCLISTATLTSLFLEKPYIEKCRKVEFPPFDVNVEGDFADLLFGFLTISLGGVGHLMEGFSCMYQSIVNLDENYWTTKDFLLSNQLLPLYDDFEYFCLTHKYANNYSASDLYLSAYNLTNIFQLENSDFRSITAVDFVDTILTRGNSKGYVKGPTMYMATDDLVVTPMSTTSVISLLSTLSIPFSDLEEKEVTIGIKEGVGILQASLTSKSVLTTALSHLLTEVKQE >Vigun06g092600.1.v1.2 pep primary_assembly:ASM411807v1:6:22489112:22497270:1 gene:Vigun06g092600.v1.2 transcript:Vigun06g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNLALVSSSKPLMLGRVPARDAADRDVLRRKPFSFGRVLIAPHRCRYRVSALSSSHHGPKSVQEKVVVNHFASVSSSKTQETTSVGVNPQLSPPPSSTIGSPLFWIGVGVGFSALFSMVASRLKKYAMQQAFKTMMGQMNSQNNQFGNAAFSPGSPFPFSMPSAAGPTVPAGSATAQSRAPSTSSRSQSTITVDIPATKVEATGTADIKDQVEVQNEPKKIAFVDVTPEETVQKSPFESVKDDESSSVKEKARVPDEVSQNGVPFNQGFGGFPGSQSTKKSVLSVDALEKMMEDPTVQKMVYPYLPEEMRNPDTFKWMLQNPQYRQQLEEMLSNMGGTEWDSQMMDTLKNFDLNSPQVKQQFDQIGLSPEEVVSKIMANPDVAMAFQNPRVQAAIMDCSQNPMNITKYQNDKEIMDVFNKISELFPGVGSP >Vigun08g123950.1.v1.2 pep primary_assembly:ASM411807v1:8:29407852:29408832:1 gene:Vigun08g123950.v1.2 transcript:Vigun08g123950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMLPWLHIKFKFRKPRALASYRLVNIDSWILNNSSTRASCKLLRRSCSQDCIFAPYFPSDDFWEKVFGCSNITKIPKLRGDAVKSLVYEANARIINLVYGCVGIISNLEAQVSELEMQLAVVEEEILSITMEQEFTFTNGGT >Vigun03g106900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9535505:9536951:-1 gene:Vigun03g106900.v1.2 transcript:Vigun03g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPGRREENTKIHHLAVPPKPQQKCPRCNSTNTKFCYFNNYSLSQPRHFCKTCKRYWTQGGTFRNIPVGGASRKAKRGKTDSSSLSNSLTLPHSNFMNPSASLTMAHSTSPYYQLAAAAAASAGGGYLPSMATLHSLTTSQPFNQYLNVAGSSSSSSILPLLSGFNAAASFSPRFHHVGIRERVESLYPAPQRLLPSNMSGGSAAAAQSLINDVSSSNLAGSDVSLWSATINATSITGNSDRNNVKGGSSSLIPNHWLHPPGYRPPQ >Vigun11g062600.1.v1.2 pep primary_assembly:ASM411807v1:11:14168717:14170577:-1 gene:Vigun11g062600.v1.2 transcript:Vigun11g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKIIIALSLFVSCLSVQANAYYYRQCSTKGSRCYGKYIRCPNECPSSESTDPKAKVCHIDCDKPICRAVCRSRKPNCNAPGSGCFDPRFIGGDGRVFYFHGKSNEHFSLVSDSSLQINARFIGHRPEGRARDYTWIQALGILFNSKSLSLEAPKTPQWNEDVDHLKFTYNGDHLVLPEGPLSSWHSPEKDVKVERVAARNSVIVTIEDVAEILVNVVPVTKEDDAVHNYQVPEDDCFAHLEVQFRFFGLSPKVDGVLGRTYREDFENPAKVGVPMPVVGGEDKYRTTSLLSPNCASCVFSPLTSHHTEPTQLTPEFMATLDCSKFSYGLGIVCKK >Vigun06g183700.2.v1.2 pep primary_assembly:ASM411807v1:6:30321424:30325845:-1 gene:Vigun06g183700.v1.2 transcript:Vigun06g183700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIHFCVGCKLHAPVCPWKTCMFIFGDAFSENGNNNNRNTTAKGNYTPYGIEYKQGNNPTGRFTNDRTEADFVAERQGLPDIPPYANIGVSDILKGVNYASAAAGIRPETGSQRGDIISLERQIQNHRNIYNRVVKKLGGSNKAKKYLSKCLYYMKIGTNDYYDNYFFPQVSSTVPDLTTDRYAADLVRRYSSYMKRLHDEHGAKKFLVINVGRIGCSPYSRARSATARGGGCAEEVNDATLLFDRELKSEMERLNRNKLYAKANFMFVNTTTNIDTDPTSLGFTVADTPCCPTVQNATCIPNGTPCSNRHEYAFYDSLNPTSSLNNITAAIADNAIMMGLFP >Vigun06g183700.1.v1.2 pep primary_assembly:ASM411807v1:6:30321424:30325845:-1 gene:Vigun06g183700.v1.2 transcript:Vigun06g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIHFCVGCKLHAPVCPWKTCMFIFGDAFSENGNNNNRNTTAKGNYTPYGIEYKQGNNPTGRFTNDRTEADFVAERQGLPDIPPYANIGVSDILKGVNYASAAAGIRPETGSQRGDIISLERQIQNHRNIYNRVVKKLGGSNKAKKYLSKCLYYMKIGTNDYYDNYFFPQVSSTVPDLTTDRYAADLVRRYSSYMKRLHDEHGAKKFLVINVGRIGCSPYSRARSATARGGGCAEEVNDATLLFDRELKSEMERLNRNKLYAKANFMFVNTTTNIDTDPTSLGFTVADTPCCPTVQNATCIPNGTPCSNRHEYAFYDSLNPTSSLNNITAAIADNAIMMGLFP >Vigun04g138900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34509027:34512365:-1 gene:Vigun04g138900.v1.2 transcript:Vigun04g138900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKTSYVLLLLSLHTSGISLTLKNSSKSGEGKCIEREKQALLSFKEGLIDDFGMLSTWTDNTDCCKWKRVLCNHETGHVQLLDLHGNYDTSLIQLPYIQHLDLSHNHFVPGLIPDFMGSVTNLRYLDLSDSYLSGRIPSTLGNLSELRYLDLRDNYFWGEIPMQFGNLKHLHYLDLGVFYLTVKIPCQIGNLRKLQYLSLGSNTAPYKPNYISSSLYGAIPFSIGNLPLLRTLRLVGNFEIKSKDAQWLCTLHSLKILELSSFYSLGSSHQWLQTISKIIPNLRELRLVDSNLLDNDIQFLFHSHSSNNSTSLTVLDLSSNMLTSSTPQLLFNFTLHLQERYLSHNNIDFSPSPGPNFPSIKILDLSYNILTSSMFLGNFNISSKMQELHLVNCSIIDPSFSVSSGSAMNSLSSLLYFDLYDNLLKSCSIFHWLSNFTTNLHTLHIDYNFLEGTIPDEFGKAMNSLEYLSLSNNKLQGKVPSFFAIMCRLQYLDLSKNRLEGEFLSFIQNSSWCTRHTLGKLNLSYNQITGNIPESIQLLSRFEILSLEGNSLEGDVTESHLSNFSKLYYLDLSHNSLSLKFGSNWVPPFQLTFLGLASCKLGPNFPSWIQTQNSLVTLDISHNGMNDLVPEWFWNKLQIMYVLNMSHNNLIGSIPNMQLKLPFKPSIFLNSNKFEGKVPLFLLEASELLLSANKFSDFLCGNRVSANLATLDLSYNQINGKLPDCWEFVDRLLFLDLSNNKLSGRIPVSMGNLVKLEALVLRNNSLMGELPSSLKNCKNLIMLDVSKNMLSGPIPSWVGESMQRLIILIMRGNHFSGDLALHLCYLKRIHLLDLSRNKLSEGIPTCLNNFTALSEKTINKTETQSRVHWYNASYSEIYSFFGDTAYVLHITWMWKGVERNFRHPELILQSIDLSCNNLTGEMPKAITYMFGLVSLNLSRNNLSGEIPFEIGNLSLLDSLDLSRNHFSGKIPPSLSNIDRLAVLDLSNNHLTGRIPLGRQLQTFDASSFEGNLDLCGKPLEKTCPEDETMIKSEGPEEHDEDDDSIFYGALYMSLGVGFFTGFWGLLGPLLLCQPWRIAYLRFLNRLIDYLLVMAEVNIAKCQRWLKD >Vigun04g183900.1.v1.2 pep primary_assembly:ASM411807v1:4:40858847:40865374:-1 gene:Vigun04g183900.v1.2 transcript:Vigun04g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVIHRNKIKEESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIYGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVSLLPNTQLADEDLTEYTIDNGTVPINKKNVGLGLKSLLEKHRVLQRVLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHKYVEVPVACVILVFLFALQHYGTHRVGSLFAPVVLTWLLCISAIGIYNIFHWNPHVYEALSPYYMFKFLKKTQKGGWMSLGGILLCITGSEAMYADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHSLETDYRIGFYVSVPVKLRWPVLAIAILQAVVGSQAVITGTFSIIKQCSALGCFPKVKIIHTSSKTHGQIYIPEINWSLMLLCLAITVGFRDTKRMGNAAGLAVITVMLVTTCLMSLVIVLCWHKNILLAICFIVFFGSIEALYFSASLIKFLEGAWVPIALSLIFLISMYVWHYGTIKKYEFDVQNKVPINWLLSLGPTLGIVRVKGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCIKSVQVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDIHKDDMEFEKDLVCSIAEFIRSDTSEYGLGFGSFEEDTKMTVVGTSASNIDGSIRMSEEDSQMEGPSELMEVKPSPEKVRKRVRFVVPDSPQIDFETREELLELMEAKEAGMAFILSHSYVRAKSGSSWLKKVVINYGYDFLRRNSRGPTYALSIPHASTLEVGMIYHV >Vigun07g200700.4.v1.2 pep primary_assembly:ASM411807v1:7:32138042:32145858:-1 gene:Vigun07g200700.v1.2 transcript:Vigun07g200700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNGSHSNGNGSFNLCNGASDPLNWGMAAEALKGSHLDEVKRMVEDYRNPLVTLGGKTLTVSQVAAVATCHDRRVTVELAGESRRGVKASSDWVIDSMSRGTDSYGVTTGFGATSHRRTKQGGALQNELIRFLNAGIFGNGTEASHTLPYSSTRAAMLVRVNTLLQGYSGIRFEIMEAITKFLNHNITPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPNGEVLNAKDAFKLAEIDGGFFELQPKEGLALVNGTAVGSGLASIVLFEANLLVVLTEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSSRKTAEAVEILKLMSSTFLVALCQAIDLRHLEENMKNAVKNAVSQVAKRVLTTGINES >Vigun07g200700.2.v1.2 pep primary_assembly:ASM411807v1:7:32138042:32145858:-1 gene:Vigun07g200700.v1.2 transcript:Vigun07g200700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNGSHSNGNGSFNLCNGASDPLNWGMAAEALKGSHLDEVKRMVEDYRNPLVTLGGKTLTVSQVAAVATCHDRRVTVELAGESRRGVKASSDWVIDSMSRGTDSYGVTTGFGATSHRRTKQGGALQNELIRFLNAGIFGNGTEASHTLPYSSTRAAMLVRVNTLLQGYSGIRFEIMEAITKFLNHNITPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPNGEVLNAKDAFKLAEIDGGFFELQPKEGLALVNGTAVGSGLASIVLFEANLLVVLTEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSSRKTAEAVEILKLMSSTFLVALCQAIDLRHLEENMKNAVKNAVSQVAKRVLTTGINGELHPSRFCEKDLLKIVDHEYVFAYIDDPCSVTYPLMQKLRSVLVDHALQNGEKEASSSTSIFHKIRAFEEELITLLPKEVENARVEVENGNSSIPNRIKECRSYPLYKFVRESLGTSLLTGEKVKSPGEECDKVFTALCEGKFIDPMMDCLKKWNGSPLPIC >Vigun07g200700.3.v1.2 pep primary_assembly:ASM411807v1:7:32138042:32145858:-1 gene:Vigun07g200700.v1.2 transcript:Vigun07g200700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNGSHSNGNGSFNLCNGASDPLNWGMAAEALKGSHLDEVKRMVEDYRNPLVTLGGKTLTVSQVAAVATCHDRRVTVELAGESRRGVKASSDWVIDSMSRGTDSYGVTTGFGATSHRRTKQGGALQNELIRFLNAGIFGNGTEASHTLPYSSTRAAMLVRVNTLLQGYSGIRFEIMEAITKFLNHNITPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPNGEVLNAKDAFKLAEIDGGFFELQPKEGLALVNGTAVGSGLASIVLFEANLLVVLTEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSSRKTAEAVEILKLMSSTFLVALCQAIDLRHLEENMKNAVKNAVSQVAKRVLTTGINGELHPSRFCEKDLLKIVDHEYVFAYIDDPCSVTYPLMQKLRSVLVDHALQNGEKEASSSTSIFHKIRAFEEELITLLPKEVENARVEVENGNSSIPNRIKECRSYPLYKFVRESLGTSLLTGEKVKSPGEECDKVFTALCEGKFIDPMMDCLKKWNGSPLPIC >Vigun07g200700.5.v1.2 pep primary_assembly:ASM411807v1:7:32138042:32142994:-1 gene:Vigun07g200700.v1.2 transcript:Vigun07g200700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRVNTLLQGYSGIRFEIMEAITKFLNHNITPCLPLRGTITASGDLVPLSYVAGLLIGRPNSKSIGPNGEVLNAKDAFKLAEIDGGFFELQPKEGLALVNGTAVGSGLASIVLFEANLLVVLTEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSSRKTAEAVEILKLMSSTFLVALCQAIDLRHLEENMKNAVKNAVSQVAKRVLTTGINES >Vigun01g122000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29862932:29864305:-1 gene:Vigun01g122000.v1.2 transcript:Vigun01g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVYRLWFLTLLLFSPLALSELCNPQDKKVLLQIKKDFNNPYLLASWNPDTDCCQWYCVECHPKTHRITSLVILSSVPETNFSGQIPPSVGDLPYLETLEFHKLPKLTGPIQPTIAKLTKLKELYISWTNISGPVPDFLAQLTNLQFLDLSFNNLSGPIPSSLSRLPNLLSLRLDRNRLTGPIPDSFGSFKRPGPSIVLSHNQLSGPIPASLANIDPQRIDFSRNKLEGDASMLFGSNKTTQMVDVSRNLLAFDLSKVEFPSSLISLDLNHNKITGKIPVGLTAVDFLQGFNVSYNRLCGEIPQGGRLQKFEVDSYFHNKCLCGSPLPTCN >Vigun03g239700.1.v1.2 pep primary_assembly:ASM411807v1:3:39993126:39995787:1 gene:Vigun03g239700.v1.2 transcript:Vigun03g239700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGKIERLYWPVSASEVMRTNPGYYVSLIIPLAVPEGQNQDQKTVLFTRVKLLRPNETLTLGHAYRLVTTQEVVKALKAKKHAKTKKPHGKTVDSMQIMQLEKASSDGETGGMLDTGNTYQGMKADRYRLMNSTHGALKLKSWRPSLQSISECSS >Vigun05g171300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30647147:30647910:-1 gene:Vigun05g171300.v1.2 transcript:Vigun05g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVCEGLAFMKGDDGLQINGAFLMSLMEEPSASDEGDDERLDSLIRAFEAEISGNNKMEDDDNDNDDSASSTGSELMFERNECGSESWKMEEIDWSNSSGEFGEGWVDMDLVPSSPFEDRSWCVEPCGEEYYAFPNNYLGDHGYNNSLWQDMPCN >Vigun09g133500.1.v1.2 pep primary_assembly:ASM411807v1:9:29277468:29279936:1 gene:Vigun09g133500.v1.2 transcript:Vigun09g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSNWVMETAHDVPHVLAVDDNLIDRKLVEKLLRNSSCKVTTAENGPRALELLGLTSGGQNSMNGRSKVNLVITDYCMPGMTGYELLKKIKESSVMKEVPVVIMSSENIPTRINKCLEEGAQMFILKPLKQSDVKKLTCQLMN >Vigun08g093900.2.v1.2 pep primary_assembly:ASM411807v1:8:22152454:22155848:-1 gene:Vigun08g093900.v1.2 transcript:Vigun08g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGSAKISAKWVPVFSVFSFILGMIITTRMWEAPESNGVHLSNHRRDQELQVVSEDCATKKDKDVMSEVYKTHEAIQSLDKQISVLQMELAMARSSRESEISNTLATRSPTEGPLRKKVFLVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEQEKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLEHVEGYHELSAKTRTFFSTAVAKWDADFYVKVDDDVHVNLGVLATTLTRHRSKPRVYIGCMKSGPVLSRTDVKYHEPEFWKFGEEGNKYFRHATGQIYAISSDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDERSMCCGTPPDCEWKAEAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALV >Vigun08g093900.1.v1.2 pep primary_assembly:ASM411807v1:8:22152485:22155770:-1 gene:Vigun08g093900.v1.2 transcript:Vigun08g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGSAKISAKWVPVFSVFSFILGMIITTRMWEAPESNGVHLSNHRRDQELQVVSEDCATKKDKDVMSEVYKTHEAIQGVRSLDKQISVLQMELAMARSSRESEISNTLATRSPTEGPLRKKVFLVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEQEKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLEHVEGYHELSAKTRTFFSTAVAKWDADFYVKVDDDVHVNLGVLATTLTRHRSKPRVYIGCMKSGPVLSRTDVKYHEPEFWKFGEEGNKYFRHATGQIYAISSDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDERSMCCGTPPDCEWKAEAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALV >Vigun08g093900.3.v1.2 pep primary_assembly:ASM411807v1:8:22152485:22155770:-1 gene:Vigun08g093900.v1.2 transcript:Vigun08g093900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSVRSLDKQISVLQMELAMARSSRESEISNTLATRSPTEGPLRKKVFLVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEQEKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLEHVEGYHELSAKTRTFFSTAVAKWDADFYVKVDDDVHVNLGVLATTLTRHRSKPRVYIGCMKSGPVLSRTDVKYHEPEFWKFGEEGNKYFRHATGQIYAISSDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDERSMCCGTPPDCEWKAEAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALV >Vigun05g292776.1.v1.2 pep primary_assembly:ASM411807v1:5:47882892:47884604:-1 gene:Vigun05g292776.v1.2 transcript:Vigun05g292776.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFFDCKLPRVFFLLLLLPFCYIYSRFQWLQFFYMSTSRELLRLDSVLRSPVYSSFTETFDGSSTIRAFKSESAVNKIPF >Vigun11g166700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37389990:37390805:1 gene:Vigun11g166700.v1.2 transcript:Vigun11g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFYEILEKPATSCIIGLCSMIWFYIQKKNIGYSQVGLSYETAVEGHYWRIITSAFSHISVIHLVFNMSALWSLGVVEQLGHLGLGVEYYLQYTLVLVIVSGVLVLAMYHLLIQRFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYAIAWGLIHGMSNYWALSLLGWIMLVFVFSLKRSGALDLSFLEIESVTDPSLPSVRFLASSTGRTLQMSALPNGNVDIV >Vigun11g062800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14202879:14203037:1 gene:Vigun11g062800.v1.2 transcript:Vigun11g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSLNPLFAIGVCKSAIGEEIRCLRRCDWRRKPFSFSRSTNRVVELLTHN >Vigun05g160201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25602563:25602676:-1 gene:Vigun05g160201.v1.2 transcript:Vigun05g160201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl36 MKINASVRKICEKCRLIRRRGRIIVICFNPKHKQRQG >Vigun01g151800.1.v1.2 pep primary_assembly:ASM411807v1:1:33474128:33479026:1 gene:Vigun01g151800.v1.2 transcript:Vigun01g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVISLVTGMVGPSGFGSASTAEQVTEGIDGANLTAIITGGASGIGLETTRVLALRKVHVIIAVRNMVSAKEAKQRILEENESARVDIMKLDLCSLTSVTSFVDNFIALDLPLNILINNAGVMFCPFKLSEDGIEMQFATNHLGHFLLTNLLLEKMKQTAQATGIEGRIINLSSIAHNYTYIKGIRFNKINERKGYGNKKAYGQSKLANILHTNELSHRLQEEGVNITANSVHPGVIMTPLMRHSSYLMHFLKIFTFYIWKNVPQGAATTCYVALHPNVKGVTGKYFVDCNLCKPSAHAKNKHLAKKLWDFSNELIKSILRV >Vigun11g067800.4.v1.2 pep primary_assembly:ASM411807v1:11:19603015:19612883:-1 gene:Vigun11g067800.v1.2 transcript:Vigun11g067800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLFILIVACTLVPSLKASTAISSIQVSQIMRDGETLVSNGGRYELGFFSPGNSQKRYLGLWYKNIPVKKILWVANRANPINDFSGILTLNTTGNLLLTQNGSLVWCTNSHKQAQNPVAELLESGNLMIRDKGETNKEYLWQSFDYPSDTQLAGMKYGWDLRKGFEWKYTCWKSPDDPSPGDFSRVLKLYNYPEFYIMKGTQKWFRFGPWNGLRFTGSPSVYNNTIFYINIVSNMNETYYTYSLANSAVITFSVINETGKLYRYVWAEDDQNWSTFRYFPSEFCDTYGLCGPNGNCVSTQTQACQCLKGFKPKAPQKWNSSDWRGGCIRNEPLSCKGKDEDGFNKFERLKIPDTTYTWLDESIDLEECRVQCLSNCSCMAYTNSDIRNGGSGCVMWFGDLIDIKQYESEGQDLYIRMPASELEPVYRHKRNTAAVVASIVAAICGVLLLSACYIYRFRRNSTDDRKSNLQWAQRFQIICGVARGLMYLHQDSRLRIIHRDLKASNVLLDENLCPKISDFGLARIFGRDQIEGNTMGTWHRSMLLMVSSL >Vigun11g067800.2.v1.2 pep primary_assembly:ASM411807v1:11:19603015:19612883:-1 gene:Vigun11g067800.v1.2 transcript:Vigun11g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLFILIVACTLVPSLKASTAISSIQVSQIMRDGETLVSNGGRYELGFFSPGNSQKRYLGLWYKNIPVKKILWVANRANPINDFSGILTLNTTGNLLLTQNGSLVWCTNSHKQAQNPVAELLESGNLMIRDKGETNKEYLWQSFDYPSDTQLAGMKYGWDLRKGFEWKYTCWKSPDDPSPGDFSRVLKLYNYPEFYIMKGTQKWFRFGPWNGLRFTGSPSVYNNTIFYINIVSNMNETYYTYSLANSAVITFSVINETGKLYRYVWAEDDQNWSTFRYFPSEFCDTYGLCGPNGNCVSTQTQACQCLKGFKPKAPQKWNSSDWRGGCIRNEPLSCKGKDEDGFNKFERLKIPDTTYTWLDESIDLEECRVQCLSNCSCMAYTNSDIRNGGSGCVMWFGDLIDIKQYESEGQDLYIRMPASELEPVYRHKRNTAAVVASIVAAICGVLLLSACYIYRFRRNSTDDRKSNLQWAQRFQIICGVARGLMYLHQDSRLRIIHRDLKASNVLLDENLCPKISDFGLARIFGRDQIEGNTSRIVGTYGYMAPEYAVDGFFSVKSDVFSFGILMLEIICGKKNRRLYSTDKNLNLVDHAQRIWKKGRALELVDSKIKESCIESEVLRCLHICLLCVQQNPEDRPTMTSVILMLESHMELIEPKLHGFITKDVSHEEDLYPNQKHTSTTNYVTMSTLEAR >Vigun11g067800.1.v1.2 pep primary_assembly:ASM411807v1:11:19603015:19612883:-1 gene:Vigun11g067800.v1.2 transcript:Vigun11g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLFILIVACTLVPSLKASTAISSIQVSQIMRDGETLVSNGGRYELGFFSPGNSQKRYLGLWYKNIPVKKILWVANRANPINDFSGILTLNTTGNLLLTQNGSLVWCTNSHKQAQNPVAELLESGNLMIRDKGETNKEYLWQSFDYPSDTQLAGMKYGWDLRKGFEWKYTCWKSPDDPSPGDFSRVLKLYNYPEFYIMKGTQKWFRFGPWNGLRFTGSPSVYNNTIFYINIVSNMNETYYTYSLANSAVITFSVINETGKLYRYVWAEDDQNWSTFRYFPSEFCDTYGLCGPNGNCVSTQTQACQCLKGFKPKAPQKWNSSDWRGGCIRNEPLSCKGKDEDGFNKFERLKIPDTTYTWLDESIDLEECRVQCLSNCSCMAYTNSDIRNGGSGCVMWFGDLIDIKQYESEGQDLYIRMPASELEPVYRHKRNTAAVVASIVAAICGVLLLSACYIYRFRRNSTEQSLIEQCEDNLDVQMFDLFTIATATDNFFNANKIGEGGFGLVYKGILVDGQEIAVKTLSRTSWQGVTEFINEVKLIAKLQHRNLVKLLGCCIEGQEKMLVYEYMANGSLDYFIFDDRKSNLQWAQRFQIICGVARGLMYLHQDSRLRIIHRDLKASNVLLDENLCPKISDFGLARIFGRDQIEGNTSRIVGTYGYMAPEYAVDGFFSVKSDVFSFGILMLEIICGKKNRRLYSTDKNLNLVDHAQRIWKKGRALELVDSKIKESCIESEVLRCLHICLLCVQQNPEDRPTMTSVILMLESHMELIEPKLHGFITKDVSHEEDLYPNQKHTSTTNYVTMSTLEAR >Vigun11g067800.3.v1.2 pep primary_assembly:ASM411807v1:11:19603015:19612883:-1 gene:Vigun11g067800.v1.2 transcript:Vigun11g067800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLFILIVACTLVPSLKASTAISSIQVSQIMRDGETLVSNGGRYELGFFSPGNSQKRYLGLWYKNIPVKKILWVANRANPINDFSGILTLNTTGNLLLTQNGSLVWCTNSHKQAQNPVAELLESGNLMIRDKGETNKEYLWQSFDYPSDTQLAGMKYGWDLRKGFEWKYTCWKSPDDPSPGDFSRVLKLYNYPEFYIMKGTQKWFRFGPWNGLRFTGSPSVYNNTIFYINIVSNMNETYYTYSLANSAVITFSVINETGKLYRYVWAEDDQNWSTFRYFPSEFCDTYGLCGPNGNCVSTQTQACQCLKGFKPKAPQKWNSSDWRGGCIRNEPLSCKGKDEDGFNKFERLKIPDTTYTWLDESIDLEECRVQCLSNCSCMAYTNSDIRNGGSGCVMWFGDLIDIKQYESEGQDLYIRMPASELEPVYRHKRNTAAVVASIVAAICGVLLLSACYIYRFRRNSTEQSLIEQCEDNLDVQMFDLFTIATATDNFFNANKIGEGGFGLVYKGILVDGQEIAVKTLSRTSWQGVTEFINEVKLIAKLQHRNLVKLLGCCIEGQEKMLVYEYMANGSLDYFIFDDRKSNLQWAQRFQIICGVARGLMYLHQDSRLRIIHRDLKASNVLLDENLCPKISDFGLARIFGRDQIEGNTMGTWHRSMLLMVSSL >Vigun03g245900.1.v1.2 pep primary_assembly:ASM411807v1:3:40913619:40916351:-1 gene:Vigun03g245900.v1.2 transcript:Vigun03g245900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Vigun04g015700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1169611:1171070:1 gene:Vigun04g015700.v1.2 transcript:Vigun04g015700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNTKPYTTNLHNKMKPTSKFIMAATLVMVVTLAIVLGLILVLLAELYCSLLLHRRHLRKKTTIPISAAPAATTISKPPPLLTPHSPPSPPPPPPPPPPFTTVYSQGVLQAPRSFLFPCKDEPNPTPRGIGLVSVSSSSPLASFLARAPPPPQSLQPCGGGMEHLVYISNPIYENESEVKASRVNTPFETPNTSPSRGSSGEDHDDDDDRNGGADCSHSPPSLTPPLTPMKKLPAEGSSVSLRDATSLGTSGSDTQSINGPSSSSSDTPSTSPSW >VigunL024400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:51360:52868:-1 gene:VigunL024400.v1.2 transcript:VigunL024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun01g164900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34718449:34720032:1 gene:Vigun01g164900.v1.2 transcript:Vigun01g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQITDLHFVLFPLMAQGHMIPMMDIARLLAQRGVVVTIFTTPKNASRFDSVLSRAVSSGLQIRLLQLHFPAKEAGLPEGCENLDMVTSMDMINKMFCTITMLQKSAEELFEALTPKPSCIISDFCIPWTAQIAEKYRIPRISFQGISSFCLHCMHQLQTSKIRESIPSKSEYFTIPGIPDEIKVTKEQLPGSLSSYDSKDFGDQVIAAERKSYGVIINSFEELEKSYVRDYKKVRNDKAWFIGPVSLCNKDGLDKAQRGNQASINEHHCLKWLDLHQPKSVVYVCFGSLCNLIPSQLVELALALEDTKRPFVWVIREGSHFHELEKWISEEGFEERTKGRGLIIRGWAPQVMILSHSSIGGFLTHCGWNSTLEGISAGVPLVTWPMFGDQFLNEKLVTDVLKIGVSVGAEVPLKWGEEEKMGVMVKKDNIKRAICMVMDEDEEEGKERRERVSKLSEMGNIAVEEGGSSHLDVTSLIQDIMQQSG >Vigun03g046000.3.v1.2 pep primary_assembly:ASM411807v1:3:3684924:3686592:1 gene:Vigun03g046000.v1.2 transcript:Vigun03g046000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKVAPLVVQNKRLFVRYFLCILVIGSIVLIFQSPLLKSLSFHSHFAGRFFLIAGKMANAASGMAVHDDCKLKFQELKSKRNYRYVVFKIEEQQVVVEKLGGPTASYEDFMACFPPNECRYAVYDFDFTTNENCQKSKIFFIAWSPDSSAVRMKMVYASSKDRFKRELDGIQVDMQATDPSEMSLDLVKARAM >Vigun03g046000.2.v1.2 pep primary_assembly:ASM411807v1:3:3684853:3686720:1 gene:Vigun03g046000.v1.2 transcript:Vigun03g046000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKVAPLVVQNKRLFVRYFLCILVIGSIVLIFQSPLLKSLSFHSHFAGRFFLIAGKMANAASGMAVHDDCKLKFQELKSKRNYRYVVFKIEEQQVVVEKLGGPTASYEDFMACFPPNECRYAVYDFDFTTNENCQKSKIFFIAWSPDSSAVRMKMVYASSKDRFKRELDGIQVDMQATDPSEMSLDLVKARAM >Vigun11g156400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36542696:36545173:1 gene:Vigun11g156400.v1.2 transcript:Vigun11g156400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPNFFGGEEEEDGMTHLTDPLAFPTMDPSSRDPSLEDNDFSETAKFISQILMEENVEHKPFYDSLTLQVTEKSFYDALAGNLPPSPNQHPLAFSPEAETTTTTTTASTSTSSNSSNNNFSDENSSELKLPSPDSVFKFNSRALSQPSPSITANHGLQDLDFSIAKLLSQNIFNDVDSVSQFRRGLEEASKFLPPGPNLVTGLGSNGEQALNKFVDTSYGLSGKNHKRDERYAIEEEEEEEGRSNKQTALSHADESDLSDAFDRVLLHEGNMCSQISSLPGGAVKVDEADGGKSRSRRKGRNKETVDLRNLLLMCSQSVYANDNRTANELLKQIRQHSSPFGDASQRLAHYFANGLEARLVGDGTVAQGMYSFLTSKRSSAAEFLKAYQVFLSASPYKKFIYFFANKMIMKAAAKAETIHIIDFGILYGFHWPILIKFLSDREGGPPKLRITGIEFPQPGFRPSERIEETGHRLSNYCKRYKVPFEYHAIASRNWDTIQVEALKIESNEFVAVNCHMRFENLLDESIEVDSPRNAVLHLIRKINPDIFTHSITNGSYNAPFFATRFREALFHYSAIYDMCDTVIPRENEWRLMIEREFLGREAVNVIACEGSERLERPETYKQWQARNTRAGFKQLPLNPELMTKFRTKLKEWYHRDFVFDEDNNWMLQGWKGRILYASTCWVPA >Vigun02g104800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25947661:25947774:-1 gene:Vigun02g104800.v1.2 transcript:Vigun02g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIMNSIFAAHNLRVFGPGLNPFAPYCIANHSLRSR >Vigun03g404800.1.v1.2 pep primary_assembly:ASM411807v1:3:61199817:61201058:-1 gene:Vigun03g404800.v1.2 transcript:Vigun03g404800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKDSFFIPPHLTDLAFSLSLSLSLTLSASSSSYITSTQKHHFFIQMASWKKTITTPFKKACTFFNNNPPRDQKKSQPEQERRVMDLHGEVMACGYEDVQVMWSILDKSKSTNCNIPSST >Vigun07g046700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4815783:4816294:-1 gene:Vigun07g046700.v1.2 transcript:Vigun07g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIISFRSFFIFLVFSNVFIANAILNLEGLNMEEIVKVGTGANFHLLPKSSSSSSSGTQHGLNPSNEARPRKNIHFHALPKGPVPPSAPSHGCSTPPCENEVN >Vigun03g098400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8388767:8390921:-1 gene:Vigun03g098400.v1.2 transcript:Vigun03g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTPKKENPNLLLGRFELGKLLGHGTFAKVHHARNIKTGEGVAIKIINKEKILKGGLVSHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEFVRGGELFNKVAKGRLKEEVARKYFQQLVSAVEFCHARGVFHRDIKPENLLLDEDGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDGAKVDIWSCGVVLFVLMAGYLPFHDRNVMAMYKKIYRGEFRCPRWFSPELTRLLCGLLDTNPQTRISIPEIMENRWFKKGFKQIKFYVEDDRVCSFDDKLQFHDGGGDDDLATSDSEVEIRRKNSHNHNASLPRPASLNAFDIISFSQGFDLSGLFEEKGDEARFVSSAPVSKIISKLEEVAQLVSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDKAEYDRFCNSELRPALENLVKEESASSSHHSTHIDSELHQRTFSDSALNILSDSESLYRRQDLPEVEKTSIRKHGESIFEIS >Vigun01g227600.1.v1.2 pep primary_assembly:ASM411807v1:1:40023478:40026711:1 gene:Vigun01g227600.v1.2 transcript:Vigun01g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYNFCFCFRRRFRLPVSEAPPEIRTLFDHYSDENGVMTASHVRSFLVEVQKEESATEEEAQAIIDGHKHLSIFHRRGLNLESFFNYLFSHNNNPPLSPSLGVHQDMSSPLSHYFIYTGHNSYLTGNQLSSDCSDLPIINALQKGVRVIELDIWPNESKDDVHVLHGRTLTSPVALIKCLRSIKQYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGSESLKEFPSPESLKGRIIISTKPPKEYIEAKEVQEKGEGKPVDDEEAWGKEVPSLRGGTISDHKNIEDDDDLDNEDDSDEAENSRQNASDEYRRLIAIHAGKPKGGLTECLKVDPDTVRRLSLSELQLEKAAETHGKEIIRFTQRNILRVYPKGTRITSTNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFKANGGCGYVKKPDFLLKTGHNNEVFDPKAHLPVKKTLKVTVYIGEGWFHDFKHTHFDQFSPPDFYARVGIAGVPYDTVMKKTKSVEDNWSPSWNEVFEFPLSVPELALLRVEVHEYDMSEKDDFGGQTCLPVWELRSGIRAVPLYSRKGEKYHNVKLLMRFEFI >Vigun10g138700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35496447:35497013:1 gene:Vigun10g138700.v1.2 transcript:Vigun10g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSFSPPPPTPYFTNLGFGYSIAIALGVLFLISTLILSSYLCCRTLRHRNNNHRRRRHNPHAPDGIVLPRVIFVAEDDDDGARQNDAVSGLEQAVINSYPKFPFVKDGGYDTTCSICLCEYKDSEMLRMMPECRHYFHLCCLDPWLKLNGSCPVCRNSPMPTPLSTPLQEVVPLSQYAADARGRR >Vigun06g162600.1.v1.2 pep primary_assembly:ASM411807v1:6:28509426:28514628:1 gene:Vigun06g162600.v1.2 transcript:Vigun06g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEELHEAFLSSSHDDESSHVTESRLEEVLSDTTIPFSKRILSATWIEFNLLFPLAGPAIIVYVINNLMSFVTRAFAGHLGNLELAAANLGNSGIQLFAYGLMLGMGSAVETLCGQAYGANKHEMLGVYMQRAIIVLVVTGIPLTVAYIFCEPILLLLGEPPELASVAAVFVYGLIPQIFGYAVNFPIQKFLQAQSVVAPSTYISGATLLLHVFLSWAAVYWFDTGLIGTSLTLSLSWWIIVVAQFVYIVLAPRFKRTWTGLSIEALSGLGAFVKLSAASAVMLCLETWYFQVLVLITGLLDNPQLSLDSISVCMSISGLLFNIGIGFNAAISVRVGNELGAGHPKSAAFSVIMVNMVSFIISVIEAVVVLALRRVLSYAFTDGEIVANAVSDLCPYLAVTLILNGVQPVLSGVAVGCGWQATVAYINVGCYYLIGIPLGCILGFKFGLGVQGIWSGMIGGTMLQTLILLWITLRTDWDKEVKITKERLEKWENQKKQAKVES >Vigun06g162600.2.v1.2 pep primary_assembly:ASM411807v1:6:28510038:28514628:1 gene:Vigun06g162600.v1.2 transcript:Vigun06g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEELHEAFLSSSHDDESSHVTESRLEEVLSDTTIPFSKRILSATWIEFNLLFPLAGPAIIVYVINNLMSFVTRAFAGHLGNLELAAANLGNSGIQLFAYGLMLGMGSAVETLCGQAYGANKHEMLGVYMQRAIIVLVVTGIPLTVAYIFCEPILLLLGEPPELASVAAVFVYGLIPQIFGYAVNFPIQKFLQAQSVVAPSTYISGATLLLHVFLSWAAVYWFDTGLIGTSLTLSLSWWIIVVAQFVYIVLAPRFKRTWTGLSIEALSGLGAFVKLSAASAVMLCLETWYFQVLVLITGLLDNPQLSLDSISVCMSISGLLFNIGIGFNAAISVRVGNELGAGHPKSAAFSVIMVNMVSFIISVIEAVVVLALRRVLSYAFTDGEIVANAVSDLCPYLAVTLILNGVQPVLSGVAVGCGWQATVAYINVGCYYLIGIPLGCILGFKFGLGVQGIWSGMIGGTMLQTLILLWITLRTDWDKEVKITKERLEKWENQKKQAKVES >Vigun11g147400.2.v1.2 pep primary_assembly:ASM411807v1:11:35604083:35626592:-1 gene:Vigun11g147400.v1.2 transcript:Vigun11g147400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQRFLCTLSHGFPRSSSLCTFKSRTFLFLPFVRSYLTLTPLLSPMPRNQRTGAHMERRWKEKAKTEAQLSATGDVAAVTAAETVTNKIAGLSIGENGGKTGAHGSVWKPKSYGTASGGAVTEVENGAGVEASVASAQKNGGSGLSKIFRGNLLEKFSVNNSTYTRAQIRATFYPKFENEKSDQEVRTRMIELVAKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTEASKKQAEFNNFLERNHMCISMELVTAVLGDHGQRPQEDYAVVTAVTELGNGKPKFYSTPEIIAFCRKWRLPTNHVWLFSTRKSATSFFAAFDALCEEGTATSVCKALDEIADISVPGSKDHVKAQGEILEGLVARLVSHDSSNHIEKTLKEFPPPPADGVALDFGPSLREICAANRYNEKQQIKALLESVGSSFCPSHLDWFGTDGADYHSRNVDRSVLSKFLQAHPADYSTKKMQEVVRLMREKRYPAAFKCYHNFHKVDGMSSDNVFYKMVIHVHSDSGFRRYQKDMRLKPGLWPLYRGFFVDINLFNANKETAAEISSNSVNETGSNSAGEDDFADEDANLMVKLKFLTYKLRTFLIRNGLSILFKEGPAAYKSYYLRQMKIWGTSPAKQRELSKMLDEWAVYIRRKCGNKQLSSSTYLSEAEPFLEQFAKRSPQNQVLIGSAGNLVRTEDFLAIVEGGQDEEGDLVEEREIALPGPNISVKDTVPKYEGLIVFFPGIPGCAKSSLCKELLKAEGGLGDARPLHSLMGDLIKGKYWQKVAEERRKKPNSIMLADKNAPNEEVWKLIEDMCHKTRASAVPVVAESEGTYSNPFSLDALAIFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYEGRSRKEFEGELIERFGSLVKMPLLKSDRNPLPNPVQSILEEGIDLYKLHTKRHGRLESTKGSYAKEWIKWEKQLRDILCGNAEYFNSIQVPFEFAVKQVLEQLRNVAKGDYTPPDTEKRKFGTIVFAALTVPVTEIKGALNKLAESNPKIDAWLKDKNLENLNRAHVTLAHKKSHGIKAVADYGIYLNKKVPVELAALLFSDKIAALEAFPGSVEGEKIISKNPWAHITLWTAEGVAPKEANMLPQLFAAGKATRIDFNPPIILSGTVDFY >Vigun11g147400.3.v1.2 pep primary_assembly:ASM411807v1:11:35604083:35626592:-1 gene:Vigun11g147400.v1.2 transcript:Vigun11g147400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQRFLCTLSHGFPRSSSLCTFKSRTFLFLPFVRSYLTLTPLLSPMPRNQRTGAHMERRWKEKAKTEAQLSATGDVAAVTAAETVTNKIAGLSIGENGGKTGAHGSVWKPKSYGTASGGAVTEVENGAGVEASVASAQKNGGSGLSKIFRGNLLEKFSVNNSTYTRAQIRATFYPKFENEKSDQEVRTRMIELVAKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTEASKKQAEFNNFLERNHMCISMELVTAVLGDHGQRPQEDYAVVTAVTELGNGKPKFYSTPEIIAFCRKWRLPTNHVWLFSTRKSATSFFAAFDALCEEGTATSVCKALDEIADISVPGSKDHVKAQGEILEGLVARLVSHDSSNHIEKTLKEFPPPPADGVALDFGPSLREICAANRYNEKQQIKALLESVGSSFCPSHLDWFGTDGADYHSRNVDRSVLSKFLQAHPADYSTKKMQEVVRLMREKRYPAAFKCYHNFHKVDGMSSDNVFYKMVIHVHSDSGFRRYQKDMRLKPGLWPLYRGFFVDINLFNANKETAAEISSNSVNETGSNSAGEDDFADEDANLMVKLKFLTYKLRTFLIRNGLSILFKEGPAAYKSYYLRQMKIWGTSPAKQRELSKMLDEWAVYIRRKCGNKQLSSSTYLSEAEPFLEQFAKRSPQNQVLIGSAGNLVRTEDFLAIVEGGQDEEGDLVEEREIALPGPNISVKDTVPKYEGLIVFFPGIPGCAKSSLCKELLKAEGGLGDARPLHSLMGDLIKGKYWQKVAEERRKKPNSIMLADKNAPNEEVWKLIEDMCHKTRASAVPVVAESEGTYSNPFSLDALAIFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYEGRSRKEFEGELIERFGSLVKMPLLKSDRNPLPNPVQSILEEGIDLYKLHTKRHGRLESTKGSYAKEWIKWEKQLRDILCGNAEYFNSIQVPFEFAVKQVLEQLRNVAKGDYTPPDTEKRKFGTIVFAALTVPVTEIKGALNKLAESNPKIDAWLKDKNLENLNRAHVTLAHKKSHGIKAVADYGIYLNKKVPVELAALLFSDKIAALEAFPGSVEGEKIISKNPWAHITLWTAEGVAPKEANMLPQLFAAGKATRIDFNPPIILSGTVDFY >Vigun11g147400.1.v1.2 pep primary_assembly:ASM411807v1:11:35604083:35626592:-1 gene:Vigun11g147400.v1.2 transcript:Vigun11g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQRFLCTLSHGFPRSSSLCTFKSRTFLFLPFVRSYLTLTPLLSPMPRNQRTGAHMERRWKEKAKTEAQLSATGDVAAVTAAETVTNKIAGLSIGENGGKTGAHGSVWKPKSYGTASGGAVTEVENGAGVEASVASAQKNGGSGLSKIFRGNLLEKFSVNNSTYTRAQIRATFYPKFENEKSDQEVRTRMIELVAKGLATLEVSLKHSGSLFMYAGHEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTEASKKQAEFNNFLERNHMCISMELVTAVLGDHGQRPQEDYAVVTAVTELGNGKPKFYSTPEIIAFCRKWRLPTNHVWLFSTRKSATSFFAAFDALCEEGTATSVCKALDEIADISVPGSKDHVKAQGEILEGLVARLVSHDSSNHIEKTLKEFPPPPADGVALDFGPSLREICAANRYNEKQQIKALLESVGSSFCPSHLDWFGTDGADYHSRNVDRSVLSKFLQAHPADYSTKKMQEVVRLMREKRYPAAFKCYHNFHKVDGMSSDNVFYKMVIHVHSDSGFRRYQKDMRLKPGLWPLYRGFFVDINLFNANKETAAEISSNSVNETGSNSAGEDDFADEDANLMVKLKFLTYKLRTFLIRNGLSILFKEGPAAYKSYYLRQMKIWGTSPAKQRELSKMLDEWAVYIRRKCGNKQLSSSTYLSEAEPFLEQFAKRSPQNQVLIGSAGNLVRTEDFLAIVEGGQDEEGDLVEEREIALPGPNISVKDTVPKYEGLIVFFPGIPGCAKSSLCKELLKAEGGLGDARPLHSLMGDLIKGKYWQKVAEERRKKPNSIMLADKNAPNEEVWKLIEDMCHKTRASAVPVVAESEGTYSNPFSLDALAIFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYEGRSRKEFEGELIERFGSLVKMPLLKSDRNPLPNPVQSILEEGIDLYKLHTKRHGRLESTKGSYAKEWIKWEKQLRDILCGNAEYFNSIQVPFEFAVKQVLEQLRNVAKGDYTPPDTEKRKFGTIVFAALTVPVTEIKGALNKLAESNPKIDAWLKDKNLENLNRAHVTLAHKKSHGIKAVADYGIYLNKKVPVELAALLFSDKIAALEAFPGSVEGEKIISKNPWAHITLWTAEGVAPKEANMLPQLFAAGKATRIDFNPPIILSGTVDFY >Vigun06g060300.1.v1.2 pep primary_assembly:ASM411807v1:6:18805800:18810715:1 gene:Vigun06g060300.v1.2 transcript:Vigun06g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDESMAEQSDNESGLDFILSLEDVPSKMPPHLELFKTRVICNSDAPIHTDTIHYSGAYAILGVDNSQQFDSFRKNFKVEVKRLTDSEMEFDMIGIDPAIANAFRRILISEVPTMAIERVYIANNTSVVQDEVLSHRLGLVPIRIDPRLFEYPDTAGDDNNERNTIVFGLHARCQVGQPRITVKSDKLKWLPNGSELPCEDVKPNTSSKPKTFTSFTCSQNSLPGFPSNSIDLTDSDIILAKLGPGQEIELEAHAVKGIGRTHAKWSPVATAWYRMLPEVVLLEDFVNEQAEELVNKCQPNVFDIEDIGKGKRRATVARPRNCTLCRECIRGGKEWEDRISIRRVKHHFIFSIESTGALPPEVLFTEAVKILEDKCERVITEFS >Vigun04g106300.1.v1.2 pep primary_assembly:ASM411807v1:4:25572464:25573985:1 gene:Vigun04g106300.v1.2 transcript:Vigun04g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLFNATHSVILEPDENHIKSATFFSKEIEVGPGKVTVKTLLDIDFPKGHIGIKSFDVEVVDEDGNSVPLYEAYLHHWFAVKYIENITMSHYIKETQDLHDGIEYERNDGACQGFLLPHYWGLGGESRGTSSNLPNPFAVKVGNPTKIKNGFKEKWLFSIMVIDTRGTHDRKGCTECRCKLLNIPKDFYNVTTGINGQLLSRNYKGGLFCCQDNLQCKLRNGFRGPTRKLSLRYKIRWVDWDEHQVPLKFYILDSTDRVTLNGSTLTHDCQAEYTIPRNHYIDSPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSIPKYGTGKEAGNENGYLVGMSVCYPKPGSIKIKDGEILTLESIYENKFRTGAMGHFYIYLAEQIPNKDLKF >Vigun09g043400.1.v1.2 pep primary_assembly:ASM411807v1:9:4056595:4060117:-1 gene:Vigun09g043400.v1.2 transcript:Vigun09g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPERVPTQHNTMKLSSPKHLSPTLFFFFFLLFLPTVIGASDGSSVGFEYLNVSPSEFAGTVKTVVDVLQDVTSILSEFGSGFGDSLLSNAVSDCLDLLDLSSDELDWSVSATQNPQGKHNSTGNQSSDLRTWLSAALANQDTCMDGFDGTDGIVKGLVSTGLGQVMSLLQELLTQVNPISNQSPFSSTEGQFPSWVKPGDRKLLQTNGVVADAVVSADGTGDFTKIMDAVLAAPNYSMKRYVIFLKRGVYHENVEIKKKKWNLMMIGEGMNVTVITGNRSFVDGWTTFRSATFAVSGRGFIARDITFQNTAGAVKHQAVALRSDSDLSVFYRCGISGYQDSLYTHTMRQFYRECTITGTVDFIFGDATAIFQNCLIEVKQGLPNQKNTITAQGRKTPDEPTGFSIQFCNISADSDLLNSVNSTRTYLGRPWKRYSRTVFMQSYISDVLSPEGWLAWDGDFALDTLYYAEYLNYGAGAGVGNRVKWPGYHVMNDSSQASNFTVSQFIEGNLWLPSTGVAFTAGLEE >Vigun07g062400.2.v1.2 pep primary_assembly:ASM411807v1:7:7138851:7143260:-1 gene:Vigun07g062400.v1.2 transcript:Vigun07g062400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPICVTIILISSLFVISNHGVEAFHNIYPDLQSISVPSVTKLHRTAYHFQPRRNWINDPNGPMYYNGVYHIFYQYNPKGAVWGNIIWGHAVSKDLINWKELEPALYPSNPYDKYGCWSGSATILPGKGPVMLYTGVIDKHSSEVQLYAVPENKSDPFLRKWVKPKTFNPIIKAEGGINASVFRDPTTAWWSRDGHWRILIGGGRKNRGMAYTYRSKDFLRWVRVKHPIHSAASTGTWECPDFYPVSLKGKNGLDLSTVGNSVKHVLKNSLDRTRYEYYTVGTYLRKRDKYIPDNTSEDGWGGLRYDYGNFYASKSFFDPSKNRRVVWAWANESDSKEDDVKKGWAGIQAIPRTIWLDSGGRQLVQWPVEELNSLRGKEVKLSNHKLKKGEYLKVSGITAAQVKNFTMEILGVIW >Vigun07g062400.1.v1.2 pep primary_assembly:ASM411807v1:7:7137644:7143260:-1 gene:Vigun07g062400.v1.2 transcript:Vigun07g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPICVTIILISSLFVISNHGVEAFHNIYPDLQSISVPSVTKLHRTAYHFQPRRNWINDPNGPMYYNGVYHIFYQYNPKGAVWGNIIWGHAVSKDLINWKELEPALYPSNPYDKYGCWSGSATILPGKGPVMLYTGVIDKHSSEVQLYAVPENKSDPFLRKWVKPKTFNPIIKAEGGINASVFRDPTTAWWSRDGHWRILIGGGRKNRGMAYTYRSKDFLRWVRVKHPIHSAASTGTWECPDFYPVSLKGKNGLDLSTVGNSVKHVLKNSLDRTRYEYYTVGTYLRKRDKYIPDNTSEDGWGGLRYDYGNFYASKSFFDPSKNRRVVWAWANESDSKEDDVKKGWAGIQAIPRTIWLDSGGRQLVQWPVEELNSLRGKEVKLSNHKLKKGEYLKVSGITAAQADVEITFSFSSLNKAEAFDSRWVKPESVCEEKGSRDEGGVGPFGLLTLASEKLEEFTPVFFRVFKASNKHVILMCSDARSSSLKRELYKPSFAGFVDVDLSHNKKLSLRSLIDHSVVESFGVGGKTNILSRVYPTLAVNKKAHLFVFNNGTEHITVENMRAWSMKSARRN >Vigun05g043800.1.v1.2 pep primary_assembly:ASM411807v1:5:3627025:3630412:-1 gene:Vigun05g043800.v1.2 transcript:Vigun05g043800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFFFLLSLFLGASGSVNGSVVEKENIKIFELKKGELSLKVTNWGASIVSLLLPDKNGKLGDVVLGYDSVKDYTNDTTYFGATVGRVANRIGGAQFTLHGTHYKLVANEGNNTLHGGSRGFGDVLWKVERYQKEGPSPRITFSYHSVDGEEGFPGDVIVSVSYILTGKNQLVILMKGKALNKATPLNLANHVYWNLGGQNSGNILNEVVQIFGSQITVVDSKLIPTGKFASVKGTAYDFLKPQSIGSRINQLAETKGYDINYVLDAEKGKKRKLAAIVQDKKSGRVFELYTNAPGLQFYSGNYIKNVKGKGGYVYNAHAGLCLETQAFPDSVNRPNFPSTIVTPEKPYKHYMLFKFSTKTHY >Vigun08g070200.4.v1.2 pep primary_assembly:ASM411807v1:8:11163940:11174002:1 gene:Vigun08g070200.v1.2 transcript:Vigun08g070200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHSGTQLREDSVWKPFNKHDFFTTHSILFSSKSITKALETLSKNCGDSVFQIIERDILHDLVKIVKKKPDLSVREKILTLIDTWQEAFGGPNGRYPQYHAAYNELKSAGVQFPPREENSVPFFTPPQSQSVAHIAAAEYDAAAIQASMQSDASGLSLPEIQNAQGLADVLSEMINALDPKSSEVVKEEVIVDLVDQCRSYQKRVLHLVNETMDEQLLCQGLALNDSLQRVLRQHDNIVKATPVTVTRVLETPVLPPVNVKNEEEEESDDDFAQLAHRSSRGTNAQRRKQVNNNVQPVRLNPILPPPPPPMKPVYSDIGMVDFLSGDVYTIEGSHELSEPTSHVEPSHSSSNNPASATTTLSSFPPCSSSPILSKQPVSEETSVINKSSEILTPTPRETESSGFRPQPHSMFYPRHQFSEQQGMSLSSFGSSSSNDNLLEKTQNLSLYSSTPTKQDKPEDVLFKDLLDFAKSKTPSKPSSRRF >Vigun08g070200.5.v1.2 pep primary_assembly:ASM411807v1:8:11163940:11174003:1 gene:Vigun08g070200.v1.2 transcript:Vigun08g070200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHSGTQLREDSVWKPFNKHDFFTTHSILFSSKSITKPDLSVREKILTLIDTWQEAFGGPNGRYPQYHAAYNELKSAGVQFPPREENSVPFFTPPQSQSVAHIAAAEYDAAAIQASMQSDASGLSLPEIQNAQGLADVLSEMINALDPKSSEVVKEEVIVDLVDQCRSYQKRVLHLVNETMDEQLLCQGLALNDSLQRVLRQHDNIVKATPVTVTRVLETPVLPPVNVKNEEEEESDDDFAQLAHRSSRGTNAQRRKQVNNNVQPVRLNPILPPPPPPMKPVYSDIGMVDFLSGDVYTIEGSHELSEPTSHVEPSHSSSNNPASATTTLSSFPPCSSSPILSKQPVSEETSVINKSSEILTPTPRETESSGFRPQPHSMFYPRHQFSEQQGMSLSSFGSSSSNDNLLEKTQNLSLYSSTPTKQDKPEDVLFKDLLDFAKSKTPSKPSSRRF >Vigun08g070200.2.v1.2 pep primary_assembly:ASM411807v1:8:11161137:11174002:1 gene:Vigun08g070200.v1.2 transcript:Vigun08g070200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNASACAERATSDMLIGPDWAINIELCDIINMEPSVFQIIERDILHDLVKIVKKKPDLSVREKILTLIDTWQEAFGGPNGRYPQYHAAYNELKSAGVQFPPREENSVPFFTPPQSQSVAHIAAAEYDAAAIQASMQSDASGLSLPEIQNAQGLADVLSEMINALDPKSSEVVKEEVIVDLVDQCRSYQKRVLHLVNETMDEQLLCQGLALNDSLQRVLRQHDNIVKATPVTVTRVLETPVLPPVNVKNEEEEESDDDFAQLAHRSSRGTNAQRRKQVNNNVQPVRLNPILPPPPPPMKPVYSDIGMVDFLSGDVYTIEGSHELSEPTSHVEPSHSSSNNPASATTTLSSFPPCSSSPILSKQPVSEETSVINKSSEILTPTPRETESSGFRPQPHSMFYPRHQFSEQQGMSLSSFGSSSSNDNLLEKTQNLSLYSSTPTKQDKPEDVLFKDLLDFAKSKTPSKPSSRRF >Vigun08g070200.1.v1.2 pep primary_assembly:ASM411807v1:8:11161137:11174002:1 gene:Vigun08g070200.v1.2 transcript:Vigun08g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNASACAERATSDMLIGPDWAINIELCDIINMEPRQAKDAIKILKKRMSSKNPKIQLLALFALETLSKNCGDSVFQIIERDILHDLVKIVKKKPDLSVREKILTLIDTWQEAFGGPNGRYPQYHAAYNELKSAGVQFPPREENSVPFFTPPQSQSVAHIAAAEYDAAAIQASMQSDASGLSLPEIQNAQGLADVLSEMINALDPKSSEVVKEEVIVDLVDQCRSYQKRVLHLVNETMDEQLLCQGLALNDSLQRVLRQHDNIVKATPVTVTRVLETPVLPPVNVKNEEEEESDDDFAQLAHRSSRGTNAQRRKQVNNNVQPVRLNPILPPPPPPMKPVYSDIGMVDFLSGDVYTIEGSHELSEPTSHVEPSHSSSNNPASATTTLSSFPPCSSSPILSKQPVSEETSVINKSSEILTPTPRETESSGFRPQPHSMFYPRHQFSEQQGMSLSSFGSSSSNDNLLEKTQNLSLYSSTPTKQDKPEDVLFKDLLDFAKSKTPSKPSSRRF >Vigun08g070200.3.v1.2 pep primary_assembly:ASM411807v1:8:11169597:11174002:1 gene:Vigun08g070200.v1.2 transcript:Vigun08g070200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDASGLSLPEIQNAQGLADVLSEMINALDPKSSEVVKEEVIVDLVDQCRSYQKRVLHLVNETMDEQLLCQGLALNDSLQRVLRQHDNIVKATPVTVTRVLETPVLPPVNVKNEEEEESDDDFAQLAHRSSRGTNAQRRKQVNNNVQPVRLNPILPPPPPPMKPVYSDIGMVDFLSGDVYTIEGSHELSEPTSHVEPSHSSSNNPASATTTLSSFPPCSSSPILSKQPVSEETSVINKSSEILTPTPRETESSGFRPQPHSMFYPRHQFSEQQGMSLSSFGSSSSNDNLLEKTQNLSLYSSTPTKQDKPEDVLFKDLLDFAKSKTPSKPSSRRF >Vigun10g140200.1.v1.2 pep primary_assembly:ASM411807v1:10:35698219:35702040:-1 gene:Vigun10g140200.v1.2 transcript:Vigun10g140200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNSDLFDPVVAMESEWSRGGSTSDADFAFAFNDSNFSDRVLRIEIMPDPVDARPDSDGCTTIADWARHRKRRREDIKKDNGADIASVPDEQILNGNQPDLDECENQDEDAVAMVEEPNSGDEATNSIDSDWSMDCSAGAVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNTLTTTTAPALLDVLMAADKFEVASCMRCCSRLLRNMPMTPDSALLYLDLPSSVLMADAVQPLTDAAKQYLAGRYKDITKFQEEVLALPLAGVEAILSSDDLQVASEDAVYDFVLKWSRQQYPKLEERREVLGSRLARLIRFPYMTCRKLKKVLTCTDFDHDVASKLVLEGLFFKAEAPHRQRALAAEDSASSNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSRPTEEFVSKYKGNYVFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >Vigun02g169300.1.v1.2 pep primary_assembly:ASM411807v1:2:31252571:31256221:1 gene:Vigun02g169300.v1.2 transcript:Vigun02g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRFLSLFLLISFVILRVVAGTAELRALMELKSSLDPDGKILASWISDGNPCSGLFEGVACNQNQKVANISLQGKGLSGWLSPALAELKCLSGLYLHYNNLSGEIPPHISNLTDLVDLYLDVNSLSGTIPPELGNMASLQVLQLGDNQLVGNIPTQMGSLKQLSTLALQYNKLTGQIPLSLGTLEKLRRLNLSFNNFNGTVPATLAHLEHLEVLDVQNNSLSGIVPSALKRLGEGFQGANNPGLCGVEFSTLRACNKDQDLNVNHIDTLDRDQHKSRNSSKALPEPANVQLHCDHTHCSKSRFPQIVITGGVIAVSLTFICAGFLTFFRYRRQKQRISNTSSSSSQGKLSPYQPKELYTKSPSALVNIEYYSGWDHLSNGQNADAGGLLNDYLSQFRFNVDEVESATQYFSEASLLGKSKFSAVYKGVIRDGSLVAIRSISVTCCKTEEAEFVKGLNLLTSLRHENLVRLRGFCCSRSRGECFLIYDFATMGNLSQYLDLEDGSDHVLEWSKRVSIINGIAKGIGYLHSNDASKPTIVHQNISVENILLDHQFNPLIMDAGLPKLLADDVVFSALKVSAAMGYLAPEYITTGRFTEKSDIYAFGVIILQVLSGKTTIGSSIRTAVESFRFDDSVDANLRGRYSKSEAATLSKLAIQCTHESPDQRPTVVDVIQELSVSSAHL >Vigun07g178900.1.v1.2 pep primary_assembly:ASM411807v1:7:29555362:29557990:1 gene:Vigun07g178900.v1.2 transcript:Vigun07g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSFLGRVLFASLFILSAWQMFNEFDDTGGPVAKELIPKLALVRRNLSSKLGVAVPDINVRPVIASTIFLKGVGGILFVLGSTFGSYLLLFYLGLSTPILCDFYNYRPNNPEYSLLLSDFIQNTALCGALLFFIEMKYLISRKQIRRKTPKAKTV >Vigun11g165500.3.v1.2 pep primary_assembly:ASM411807v1:11:37266872:37277117:-1 gene:Vigun11g165500.v1.2 transcript:Vigun11g165500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPVCGNLCCVCPSLRASSRQPVKRYKKLLADIFPRNQEAELNDRKIGKLCDYASKNPLRIPKITDYLEQICYKDLRYETFGSVKVVLFIYKKFLSSCKEQMPLFAGSLLEIIRTLLEQTRTDEIRILGCNVLFDFLDCQTDGTYMFNLEGFIPKLCQLAQEVGEDERALRLRSAGLQALSYMVRFMGEHSHLSMVSDEIISVTLENYTSLQSNSKSSEEDKLNSESRDPLVQGFPKVENPLTDITKKDPLLLKSVTGNEMNFALDTAKDPTYWSKVCLYNMVKLAREATTLRRVLEPLFHYFDSENQWSSEKGVAAHVLIYLQSLLAESGDNSCLLLSVLVKHLDHKNVAKQPILQINIINTTTKLAQNVKQQVSVAILGAISELIKHLRKTLQNSAEASSIGNDGFKLNTELQFALEMCILNLSNKVGDVGPILDLMAVVLENISTTTTIARATISAVYQTAKLITSIPNISYYKKAFPDALFHQLLLAMAHSDHETRVGAHRIFSVVLMPSPFSPQLDHISKMSEKVSSESFSIQHESLLGAEYMNGKHAEGKAVVGVSEAIHPYHVRIFSGALMDGKHELSSFRLSSHQVSLLLSSIWIQATSMDGGLANFEAMAHTYSIALLFTRSKTSSYMALVRCFQLAFSLMSLSLDQEGGLQPSRRRSLFTLASYMLIFSARAGNFPELIPKVKASLTNSTVDPFLELVDDVKLCAVYTESEKIVYGSQEDDVSAMKTLSAIKLDEKDLKETVISFFLTKFSELSEDELSTIKKQLVQGFSPDDAYPLGPPLFMETPVQSSPLALIEFPDFDEIVAPAALMDEETRPEPSGSQSDRKSSLSSNNPDILSVNELLQSVLETARQVASFPISSTPVPYDQMKNQCEALVTGKQMKMSVLHSFKHHQETRAIVLSSENEMKVSPLPIKTLDYSEGDLKLVSQEQFQAQYQVRPCSFDFGQQHSLKLPPASPFDKFLRAAGC >Vigun11g165500.5.v1.2 pep primary_assembly:ASM411807v1:11:37266839:37277797:-1 gene:Vigun11g165500.v1.2 transcript:Vigun11g165500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPVCGNLCCVCPSLRASSRQPVKRYKKLLADIFPRNQEAELNDRKIGKLCDYASKNPLRIPKITDYLEQICYKDLRYETFGSVKVVLFIYKKFLSSCKEQMPLFAGSLLEIIRTLLEQTRTDEIRILGCNVLFDFLDCQTDGTYMFNLEGFIPKLCQLAQEVGEDERALRLRSAGLQALSYMVRFMGEHSHLSMVSDEIISVTLENYTSLQSNSKSSEEDKLNSESRDPLVQGFPKVENPLTDITKKDPLLLKSVTGNEMNFALDTAKDPTYWSKVCLYNMVKLAREATTLRRVLEPLFHYFDSENQWSSEKGVAAHVLIYLQSLLAESGDNSCLLLSVLVKHLDHKNVAKQPILQINIINTTTKLAQNVKQQVSVAILGAISELIKHLRKTLQNSAEASSIGNDGFKLNTELQFALEMCILNLSNKVGDVGPILDLMAVVLENISTTTTIARATISAVYQTAKLITSIPNISYYKKAFPDALFHQLLLAMAHSDHETRVGAHRIFSVVLMPSPFSPQLDHISKMSEKVSSESFSIQHESLLGAEYMNGKHAEGKAVVGVSEAIHPYHVRIFSGALMDGKHELSSFRLSSHQVSLLLSSIWIQATSMDGGLANFEAMAHTYSIALLFTRSKTSSYMALVRCFQLAFSLMSLSLDQEGGLQPSRRRSLFTLASYMLIFSARAGNFPELIPKVKASLTNSTVDPFLELVDDVKLCAVYTESEKIVYGSQEDDVSAMKTLSAIKLDEKDLKETVISFFLTKFSELSEDELSTIKKQLVQGFSPDDAYPLGPPLFMETPVQSSPLALIEFPDFDEIVAPAALMDEETRPEPSGSQSDRKSSLSSNNPDILSVNELLQSVLETARQVASFPISSTPVPYDQMKNQCEALVTGKQMKMSVLHSFKHHQETRAIVLSSENEMKVSPLPIKTLDYSEGDLKLVSQEQFQAQYQVRPCSFDFGQQHSLKLPPASPFDKFLRAAGC >Vigun11g165500.2.v1.2 pep primary_assembly:ASM411807v1:11:37266872:37277785:-1 gene:Vigun11g165500.v1.2 transcript:Vigun11g165500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPVCGNLCCVCPSLRASSRQPVKRYKKLLADIFPRNQEAELNDRKIGKLCDYASKNPLRIPKITDYLEQICYKDLRYETFGSVKVVLFIYKKFLSSCKEQMPLFAGSLLEIIRTLLEQTRTDEIRILGCNVLFDFLDCQTDGTYMFNLEGFIPKLCQLAQEVGEDERALRLRSAGLQALSYMVRFMGEHSHLSMVSDEIISVTLENYTSLQSNSKSSEEDKLNSESRDPLVQGFPKVENPLTDITKKDPLLLKSVTGNEMNFALDTAKDPTYWSKVCLYNMVKLAREATTLRRVLEPLFHYFDSENQWSSEKGVAAHVLIYLQSLLAESGDNSCLLLSVLVKHLDHKNVAKQPILQINIINTTTKLAQNVKQQVSVAILGAISELIKHLRKTLQNSAEASSIGNDGFKLNTELQFALEMCILNLSNKVGDVGPILDLMAVVLENISTTTTIARATISAVYQTAKLITSIPNISYYKKAFPDALFHQLLLAMAHSDHETRVGAHRIFSVVLMPSPFSPQLDHISKMSEKVSSESFSIQHESLLGAEYMNGKHAEGKAVVGVSEAIHPYHVRIFSGALMDGKHELSSFRLSSHQVSLLLSSIWIQATSMDGGLANFEAMAHTYSIALLFTRSKTSSYMALVRCFQLAFSLMSLSLDQEGGLQPSRRRSLFTLASYMLIFSARAGNFPELIPKVKASLTNSTVDPFLELVDDVKLCAVYTESEKIVYGSQEDDVSAMKTLSAIKLDEKDLKETVISFFLTKFSELSEDELSTIKKQLVQGFSPDDAYPLGPPLFMETPVQSSPLALIEFPDFDEIVAPAALMDEETRPEPSGSQSDRKSSLSSNNPDILSVNELLQSVLETARQVASFPISSTPVPYDQMKNQCEALVTGKQMKMSVLHSFKHHQETRAIVLSSENEMKVSPLPIKTLDYSEGDLKLVSQEQFQAQYQVRPCSFDFGQQHSLKLPPASPFDKFLRAAGC >Vigun11g165500.4.v1.2 pep primary_assembly:ASM411807v1:11:37266839:37277785:-1 gene:Vigun11g165500.v1.2 transcript:Vigun11g165500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPVCGNLCCVCPSLRASSRQPVKRYKKLLADIFPRNQEAELNDRKIGKLCDYASKNPLRIPKITDYLEQICYKDLRYETFGSVKVVLFIYKKFLSSCKEQMPLFAGSLLEIIRTLLEQTRTDEIRILGCNVLFDFLDCQTDGTYMFNLEGFIPKLCQLAQEVGEDERALRLRSAGLQALSYMVRFMGEHSHLSMVSDEIISVTLENYTSLQSNSKSSEEDKLNSESRDPLVQGFPKVENPLTDITKKDPLLLKSVTGNEMNFALDTAKDPTYWSKVCLYNMVKLAREATTLRRVLEPLFHYFDSENQWSSEKGVAAHVLIYLQSLLAESGDNSCLLLSVLVKHLDHKNVAKQPILQINIINTTTKLAQNVKQQVSVAILGAISELIKHLRKTLQNSAEASSIGNDGFKLNTELQFALEMCILNLSNKVGDVGPILDLMAVVLENISTTTTIARATISAVYQTAKLITSIPNISYYKKAFPDALFHQLLLAMAHSDHETRVGAHRIFSVVLMPSPFSPQLDHISKMSEKVSSESFSIQHESLLGAEYMNGKHAEGKAVVGVSEAIHPYHVRIFSGALMDGKHELSSFRLSSHQVSLLLSSIWIQATSMDGGLANFEAMAHTYSIALLFTRSKTSSYMALVRCFQLAFSLMSLSLDQEGGLQPSRRRSLFTLASYMLIFSARAGNFPELIPKVKASLTNSTVDPFLELVDDVKLCAVYTESEKIVYGSQEDDVSAMKTLSAIKLDEKDLKETVISFFLTKFSELSEDELSTIKKQLVQGFSPDDAYPLGPPLFMETPVQSSPLALIEFPDFDEIVAPAALMDEETRPEPSGSQSDRKSSLSSNNPDILSVNELLQSVLETARQVASFPISSTPVPYDQMKNQCEALVTGKQMKMSVLHSFKHHQETRAIVLSSENEMKVSPLPIKTLDYSEGDLKLVSQEQFQAQYQVRPCSFDFGQQHSLKLPPASPFDKFLRAAGC >Vigun11g216526.1.v1.2 pep primary_assembly:ASM411807v1:11:41021767:41028355:1 gene:Vigun11g216526.v1.2 transcript:Vigun11g216526.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSFMIEIFLSINYFLCGVAWWLEFNTTRQTSTFCTFFLCFHSHYCHICIVFVITGIESKQLWFSTSTYWRYEREKASIQEMSDETSIISWRYEREKASIQEMSDETSIISG >Vigun01g188700.3.v1.2 pep primary_assembly:ASM411807v1:1:36802161:36807887:-1 gene:Vigun01g188700.v1.2 transcript:Vigun01g188700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIVEFDQGWNYMQKGIDKVKRILERLSEISFSAEEYMLLYTTIYNMCIQKPPYDFSQRLYKKYKEIFDEYIKTTVLPSLREKHDEFLLRELIERWLNHKVMVRWLSRFFDYLDRYFIARLSLPGLEEVGITCFRDSVYMDIRANATKVMIALIDKEREGEQIDRSLLKNVLGIFIEIDFGETSKYQQDFEVHLLEDTADYYKSKATKWIEIDSCPDYMLKVEDCLRRERDRVAHYLHCSTEPKLVEKVQHELLVTHANQLLEKENSGCHALLKDNKVDDLSRMYRLYHEIPKGLDPVANVFKQHITGEGTSLIQQTEEAARNQVLVRKFIELHDKYMAYVNDCFMNHALFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEGIEETLEKVIKLLAYISDKDLFAEFYRKKLARRLLFDKSVNDDHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDNQMKFEKYLQDNSHANPGIDLTVTVLTTGCWPSYKSFDLNLPSEMIRCLEVFKGFYETKTKHRKLNWIYSLGTCHVIGKFEVKNIEIVVPTYLAAALLLFNNADRLSYSEIMTQLNLAHEDIVRLLHSLSCAKYKLLIKEPDNKVISQHDVFKFNYNFTDKMRRIKIPLPPADERKKVIEDVDKDRRYAIDASVVRVMKSRKLLGYQQLVSECVEQLSRLFKPDIKAIKKRIEDLITRDYLERDEDNPNTFKYLA >Vigun01g188700.4.v1.2 pep primary_assembly:ASM411807v1:1:36802161:36807883:-1 gene:Vigun01g188700.v1.2 transcript:Vigun01g188700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIVEFDQGWNYMQKGIDKVKRILERLSEISFSAEEYMLLYTTIYNMCIQKPPYDFSQRLYKKYKEIFDEYIKTTVLPSLREKHDEFLLRELIERWLNHKVMVRWLSRFFDYLDRYFIARLSLPGLEEVGITCFRDSVYMDIRANATKVMIALIDKEREGEQIDRSLLKNVLGIFIEIDFGETSKYQQDFEVHLLEDTADYYKSKATKWIEIDSCPDYMLKVEDCLRRERDRVAHYLHCSTEPKLVEKVQHELLVTHANQLLEKENSGCHALLKDNKVDDLSRMYRLYHEIPKGLDPVANVFKQHITGEGTSLIQQTEEAARNQVLVRKFIELHDKYMAYVNDCFMNHALFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEGIEETLEKVIKLLAYISDKDLFAEFYRKKLARRLLFDKSVNDDHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDNQMKFEKYLQDNSHANPGIDLTVTVLTTGCWPSYKSFDLNLPSEMIRCLEVFKGFYETKTKHRKLNWIYSLGTCHVIGKFEVKNIEIVVPTYLAAALLLFNNADRLSYSEIMTQLNLAHEDIVRLLHSLSCAKYKLLIKEPDNKVISQHDVFKFNYNFTDKMRRIKIPLPPADERKKVIEDVDKDRRYAIDASVVRVMKSRKLLGYQQLVSECVEQLSRLFKPDIKAIKKRIEDLITRDYLERDEDNPNTFKYLA >Vigun01g188700.5.v1.2 pep primary_assembly:ASM411807v1:1:36802161:36807883:-1 gene:Vigun01g188700.v1.2 transcript:Vigun01g188700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIVEFDQGWNYMQKGIDKVKRILERLSEISFSAEEYMLLYTTIYNMCIQKPPYDFSQRLYKKYKEIFDEYIKTTVLPSLREKHDEFLLRELIERWLNHKVMVRWLSRFFDYLDRYFIARLSLPGLEEVGITCFRDSVYMDIRANATKVMIALIDKEREGEQIDRSLLKNVLGIFIEIDFGETSKYQQDFEVHLLEDTADYYKSKATKWIEIDSCPDYMLKVEDCLRRERDRVAHYLHCSTEPKLVEKVQHELLVTHANQLLEKENSGCHALLKDNKVDDLSRMYRLYHEIPKGLDPVANVFKQHITGEGTSLIQQTEEAARNQVLVRKFIELHDKYMAYVNDCFMNHALFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEGIEETLEKVIKLLAYISDKDLFAEFYRKKLARRLLFDKSVNDDHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDNQMKFEKYLQDNSHANPGIDLTVTVLTTGCWPSYKSFDLNLPSEMIRCLEVFKGFYETKTKHRKLNWIYSLGTCHVIGKFEVKNIEIVVPTYLAAALLLFNNADRLSYSEIMTQLNLAHEDIVRLLHSLSCAKYKLLIKEPDNKVISQHDVFKFNYNFTDKMRRIKIPLPPADERKKVIEDVDKDRRYAIDASVVRVMKSRKLLGYQQLVSECVEQLSRLFKPDIKAIKKRIEDLITRDYLERDEDNPNTFKYLA >Vigun01g188700.1.v1.2 pep primary_assembly:ASM411807v1:1:36802161:36807883:-1 gene:Vigun01g188700.v1.2 transcript:Vigun01g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIVEFDQGWNYMQKGIDKVKRILERLSEISFSAEEYMLLYTTIYNMCIQKPPYDFSQRLYKKYKEIFDEYIKTTVLPSLREKHDEFLLRELIERWLNHKVMVRWLSRFFDYLDRYFIARLSLPGLEEVGITCFRDSVYMDIRANATKVMIALIDKEREGEQIDRSLLKNVLGIFIEIDFGETSKYQQDFEVHLLEDTADYYKSKATKWIEIDSCPDYMLKVEDCLRRERDRVAHYLHCSTEPKLVEKVQHELLVTHANQLLEKENSGCHALLKDNKVDDLSRMYRLYHEIPKGLDPVANVFKQHITGEGTSLIQQTEEAARNQVLVRKFIELHDKYMAYVNDCFMNHALFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEGIEETLEKVIKLLAYISDKDLFAEFYRKKLARRLLFDKSVNDDHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDNQMKFEKYLQDNSHANPGIDLTVTVLTTGCWPSYKSFDLNLPSEMIRCLEVFKGFYETKTKHRKLNWIYSLGTCHVIGKFEVKNIEIVVPTYLAAALLLFNNADRLSYSEIMTQLNLAHEDIVRLLHSLSCAKYKLLIKEPDNKVISQHDVFKFNYNFTDKMRRIKIPLPPADERKKVIEDVDKDRRYAIDASVVRVMKSRKLLGYQQLVSECVEQLSRLFKPDIKAIKKRIEDLITRDYLERDEDNPNTFKYLA >Vigun09g053300.1.v1.2 pep primary_assembly:ASM411807v1:9:5312468:5313898:1 gene:Vigun09g053300.v1.2 transcript:Vigun09g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFVIYLLLLSLFLIQARGIRLEKGSQQHKQHDEENNLLKRIDSAAKKEATLCEDEQYSAGKRKCRKLVTSSISTNQDISKNVKNEGNETEAPETYKSRNYKVNWEGKEIFVNKQEEVSDEKYMELVEIAGMDYSPAKKNPPIHN >Vigun01g119000.1.v1.2 pep primary_assembly:ASM411807v1:1:29507357:29518445:1 gene:Vigun01g119000.v1.2 transcript:Vigun01g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAVVVPLGLLFFASGLLVNLIQAICYVVLRPVSKNLYRRINRVVAELLWLELVWLIDWWAGVKVQIFTDHETFRLMGKEHALVISNHRSDIDWLVGWVSAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDESTLRLGIQRLSDYPLPFWLALFVEGTRFTQAKLLAAQEYATSTGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDVTVAVPKNSPAPTMLRLFKGQPSVVHVHIKRHLMKEMPETDEAVAQWCRDIFVAKDALLDKHMAEDTFSDKELQDAGRPIKSLVVVISWACLVVAGFLKFLQWSSLLSSWKGVAFSAFGLTVVTALMQILIQFSQSERSNPTKIAPAQSKNKGELEAMNEKKQ >Vigun07g112300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20842497:20843318:-1 gene:Vigun07g112300.v1.2 transcript:Vigun07g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKVLNLTAMAMTFLSIVAKMMSQTLPTPFLHPLCLSQLALANYACAMLPLTAAPPSLPPPSPGHGGNQQSTLQEEDCCRWAKEIDNQCVCEALVLLPPFLTKPSHQYAISIGQSCNVTYSCGDPI >Vigun05g255300.1.v1.2 pep primary_assembly:ASM411807v1:5:44959688:44962131:-1 gene:Vigun05g255300.v1.2 transcript:Vigun05g255300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPFASILNLHESCDGLRQNLLATTLELETMKNANRELMNHLKMACKERDEAREELQKLLKKLTPPTLVEIPSSMMIPTPTKSSITESNSPSHVSSPVDSLLEAASPREFSNIIVDSHNNNMAYHLKQQPLLTRVSQKRTCDVGDEVIEHLAKRKTLPQKGMLLKAVVDAGPLLKTLLVAGPLPTWRNPPSSKTIETPCLNVQDFASNNVNTFNSLQKPTLAFASSRSFQPSVFSVSAVNNNASCKNLAPSRIPQSHQYLL >Vigun05g255300.2.v1.2 pep primary_assembly:ASM411807v1:5:44959689:44961970:-1 gene:Vigun05g255300.v1.2 transcript:Vigun05g255300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPFASILNLHESIISVCMRHACDNRTLKSCDGLRQNLLATTLELETMKNANRELMNHLKMACKERDEAREELQKLLKKLTPPTLVEIPSSMMIPTPTKSSITESNSPSHVSSPVDSLLEAASPREFSNIIVDSHNNNMAYHLKQQPLLTRVSQKRTCDVGDEVIEHLAKRKTLPQKGMLLKAVVDAGPLLKTLLVAGPLPTWRNPPSSKTIETPCLNVQDFASNNVNTFNSLQKPTLAFASSRSFQPSVFSVSAVNNNASCKNLAPSRIPQSHQYLL >Vigun04g134151.1.v1.2 pep primary_assembly:ASM411807v1:4:33720477:33721631:-1 gene:Vigun04g134151.v1.2 transcript:Vigun04g134151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGKEIKEKRVVEKILITLTEKYDSIVASIETSSDPSSLSISKLVGLLGAHEARLNNRADTNSVENAFQSKLKLQPKRREEGEKKNIEEYSRSKDSRNFSGSKKDKYPPCGICNKSSHAEKDCWHRGKPICHYCKKPGHVEKYCRNKNKHQANFAEEHNQEQRLFYANQESHSGEGNWYLDMESQGKGTVTVETKKSTKFIKDVLLVPNLKENLLSIGQMMENGYSLHFEKDTCKIYDNRRIEIGEVKMEKRNRSFPISFKPGTNIAMKAEVDDSWLWHRRFGHFNTHALKLLYQKNMMRDLPYLKENNKSCEGCLLGKQH >Vigun05g069600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999251:6005043:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFHERGRDESFDGKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYDHLRKLDCRKLDQDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999251:6005043:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFHERGRDESFDGKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYDHLRKLDCRKLDQDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999292:6005044:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999253:6004930:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFHERGRDESFDGKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYDHLRKLDCRKLDQDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999312:6004930:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFHERGRDESFDGKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYDHLRKLDCRKLDQDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999292:6005044:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun05g069600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5999251:6005044:1 gene:Vigun05g069600.v1.2 transcript:Vigun05g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFHERGRDESFDGKLEKLRLDLNKIKDVFVRVKKKEEELLDTLAEVYDHLRKLDCRKLDQDMNGICQRIRDSAHNLLPTLVFDDSSKEEDDKIFHSSKDLVQPHQDICTKEDYDQLSLPSRNCLLSLFIFPENAVIKKRNAINLWIGEGLITNNKKKTAEEMGEDVIDDLLKFNVIVRYGNWKSPVVNKFQILPGVRDLIEGHVSKQYVEHHGTYFSISLLRLLRMEGLELDQKRVTLGGRYYIDRTIPTVFNIGSNYLNFRPQWVSELKNLVVFQLGRWQDSALHHIEVGSQEFLKELRYLKKLKYVSLRGISRIFELPSSITELENLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIHIGSEAEMRDGEFENLKDFLALKHLKISWSVSDPKCANIHGLLPYGLRKLHLECFPGKSFKECFMLKHSYAHYFTLLDIKITGGKLESMKEDLEWRMGSLRLKYLKQLNVDIDDLKAYFPDLRYVEVKQVSNISYLQHQWAD >Vigun11g128800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33626580:33628819:-1 gene:Vigun11g128800.v1.2 transcript:Vigun11g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMQVPVGGRVPTWFSRRRLLEEKLCYLHKCTNLNHVNQILAQILKANLHRDLFVAPKLIAAFSLCRHLTAAVNVFNQLPHPNVHLYNCIIRAYTNNHSHPSLPFNAFLQMQKNGLFPDNFTYSSLLKACIDSSSLPLVRMIHAHVEKIGLYGDIFVPNSLIDSYSRCGSSGLDAAMSLFLVMEERDVVTWNSMIGGLVRCGELECACKLFDEMPERDMVSWNTMLDGYTKAGEMEKAFELFERMPERNVVSWSTMVCGYSKAGDMDMARVLFDRCPGKNVVLWTTIIAGYAEKGNAREATELYGKMEEAELRPDDGFLFSILAACAESGMLELGRRIHTSVKKWRFRCSSKVLNAFIDMYAKCGCLDAASEVFIGMMAKRDVVSWNSMIQGFAMHGHGKKALELFSRMVDEGFEPDRCTFIGLLCACTHAGLVNEGRKCFYSMEKVYGIVPEIEHYGCMVDLLGRGGHLEEAFTLVRSMPMKPNAIILGTLLNACRMHNDVDLARAVCEQLFKLEPSDAGNYSLLSNIYAQAGDWMNMANVRLQMKNTGRQKPSGASFIEVEEEVHEFTVFDQSHPKSDDIYRMIDRLVEDLQQVGFVPMIHQ >Vigun04g075600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9453468:9455110:1 gene:Vigun04g075600.v1.2 transcript:Vigun04g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAVTIDGAVDARVTVSTSFFPLRERYFVLVFCVRSQPRLAATVLTTAIGTREHHGNLTTDLRDPNHLCCAPSFSFFFLRESPRFGNRITEPHHNAPNHTRSYHHVHLGTKPTQIMKAQTIPLEAPGVPIPRVCFPFLPLYICFFQLFVFSLVRMFRARKIVFAPL >Vigun06g101300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23145513:23148807:-1 gene:Vigun06g101300.v1.2 transcript:Vigun06g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDYEEYVPVAKRRAIEAQKILQRKGKAPLATDDDLEKLRVAESKPSLLVKASQMKREQPEISVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYSEPLPTGWKPPLHVRRMSKKECDLIRKQWHIIVDGGDIPPPIKNFKDMRFPEPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMVAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEQFLIPLKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISAFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNDEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANNRKDYFGSGGYRGEI >Vigun06g101300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23145513:23148807:-1 gene:Vigun06g101300.v1.2 transcript:Vigun06g101300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDYEEYVPVAKRRAIEAQKILQRKGKAPLATDDDLEKLRVAESKPSLLVKASQMKREQPEISVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYSEPLPTGWKPPLHVRRMSKKECDLIRKQWHIIVDGGDIPPPIKNFKDMRFPEPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMVAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEQFLIPLKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISAFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNDEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANNRKDYFGSGGYRGEI >Vigun06g101300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23145987:23148807:-1 gene:Vigun06g101300.v1.2 transcript:Vigun06g101300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDYEEYVPVAKRRAIEAQKILQRKGKAPLATDDDLEKLRVAESKPSLLVKASQMKREQPEISVTEQIVQQEKEMIENLSDRKTLMSVRELAKGITYSEPLPTGWKPPLHVRRMSKKECDLIRKQWHIIVDGGDIPPPIKNFKDMRFPEPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMVAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEQFLIPLKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISAFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDNDEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANNRKDYFGSGGYRGEI >Vigun01g217100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39082088:39084204:1 gene:Vigun01g217100.v1.2 transcript:Vigun01g217100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGVPICVECGTRSNPCRCKVVGPTLGFVAFAAAAVVEWPVGALVYCFRHSKGRKIMGHPASVIYPSVTNAIPI >Vigun01g217100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39081969:39084204:1 gene:Vigun01g217100.v1.2 transcript:Vigun01g217100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGVPICVECGTRSNPCRCKVVGPTLGFVAFAAAAVVEWPVGALVYCFRHSKGRKIMGHPASVIYPSVTNAIPI >Vigun01g217100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39081969:39084204:1 gene:Vigun01g217100.v1.2 transcript:Vigun01g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGVPICVECGTRSNPCRCKVVGPTLGFVAFAAAAVVEWPVGALVYCFRHSKGRKIMGHPASVIYPSVTNAIPI >Vigun08g078620.1.v1.2 pep primary_assembly:ASM411807v1:8:15486371:15487488:-1 gene:Vigun08g078620.v1.2 transcript:Vigun08g078620.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTGCQERRGGRMGRSEKGNHSFLKTSILKSKESGGKKEGGGSSPFPRAQYDESCELCSGGSYCLSLASMFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLCVDPEIPDIGQPFELLLNPRAGKRQPGELKHLSSQRKRKQKRFP >Vigun10g119600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32607876:32608508:-1 gene:Vigun10g119600.v1.2 transcript:Vigun10g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANALPLSHATQPLKQIAIDYTPEACSHCAISDTITLTYDIRGGARWRTPTRFHSGTFSALIQCPGGDTNGLNFNLYLSSLEGDKSQDEIDFEFLGRDRTLVQTNFFCGGAGDNERIHHLGFDASEGFHEYAIAWGSDAIEWRVDGGVVRREERKEGKAFPEKAMFLYASIWDASGIAEGKWCGKYSGADEPYVCVYKNIHVPVGTVDDE >Vigun06g045600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17024758:17026389:1 gene:Vigun06g045600.v1.2 transcript:Vigun06g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNPMKAVPTLLKRLVSVDKQAKLKDLKIELTNIKDLFSKVKKNEQELLDKLTKVDGYLRNNNIPKLMEDEKKICQEIKESTERLLPAYQIQQLEKENIEATESPGKTKLDQIEEVDASPEELKLIEKNYDSLDDHEMRFLKSLLHLPENSVMKRRNIILWWVGVGLGETKAPKENGEYVFGKFMDLNLIVPHKYDFVNKLFKVNPLVYGIHELRKKANDEEKKPFGTYSEMVTSSHQSHTLPACLALNKRKVELTDAFGSKSNDFTGIFNTGASYLIFGSQRMAKMKHLQVLQLGRWLHASPEHHIEVNSEEFLKELRDQEALRYLSLRGISRISQLPDSIFQLQSLETLDLKACHNLETLPGDIASLRNLKHLNLSQCYLLDRMPKGIDKLTKLEVLKGFVIGSSDKTPCKISDLAHLPKLKQLSMHIGSGALIPDIGFERLGEFSELEKLKISWGVFDIRYSNIQVNLPPNLKKLHLEGFPGQDIPEWLKPEKIISSLCELYITGGKLQSVDIQGYAHVSCSLKIIRLKYLQHLNIN >Vigun01g089400.4.v1.2 pep primary_assembly:ASM411807v1:1:24849117:24852640:-1 gene:Vigun01g089400.v1.2 transcript:Vigun01g089400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISILLVGFWLLGGWTGLLDAEYVKYKDPKLSIDARVDDLVSRMTLEEKIGQMLQIERKYASADLVKKYLIGSVMSEGGSIPAPQASAETWINMVNEFQKGALSTRLGIPMFYGIDAVHGHNTVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEIIPGLQGDIPHNLPKGVPFIAGKEKVLASAKHYVGDGGTINGIDENNTVIDRDELMKIHMPAYFNSISKGVATIMVSYSSWNGVKMHTNHELITGFLKNTLHFKGFVISDFEGIDRITSPPHSNFTYSVEAGVSAGIDMFMNPKFYVEFIDDLTMLVKKKFIPMSRIDDAVRRILWVKFMMGIFENPFADFSLVRYLGIQEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKTAPKILVAGSHADNLGYQCGGWTIEWQGVSGNNLLKGTTILTAVKNTVDPETKVVYKEKPDAEFVKSNGFSYGIVVVGEHPYAEMHGDNKNLTIPDPGAEIIRNVCGVTKCVVIVISGRPLVIEPYVGMIDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDHHYDPLFPFGFGLSTKPTKGFYSA >Vigun01g089400.2.v1.2 pep primary_assembly:ASM411807v1:1:24849117:24853113:-1 gene:Vigun01g089400.v1.2 transcript:Vigun01g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISILLVGFWLLGGWTGLLDAEYVKYKDPKLSIDARVDDLVSRMTLEEKIGQMLQIERKYASADLVKKYLIGSVMSEGGSIPAPQASAETWINMVNEFQKGALSTRLGIPMFYGIDAVHGHNTVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEIIPGLQGDIPHNLPKGVPFIAGKEKVLASAKHYVGDGGTINGIDENNTVIDRDELMKIHMPAYFNSISKGVATIMVSYSSWNGVKMHTNHELITGFLKNTLHFKGFVISDFEGIDRITSPPHSNFTYSVEAGVSAGIDMFMNPKFYVEFIDDLTMLVKKKFIPMSRIDDAVRRILWVKFMMGIFENPFADFSLVRYLGIQEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKTAPKILVAGSHADNLGYQCGGWTIEWQGVSGNNLLKGTTILTAVKNTVDPETKVVYKEKPDAEFVKSNGFSYGIVVVGEHPYAEMHGDNKNLTIPDPGAEIIRNVCGVTKCVVIVISGRPLVIEPYVGMIDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDHHYDPLFPFGFGLSTKPTKGFYSA >Vigun01g089400.3.v1.2 pep primary_assembly:ASM411807v1:1:24849117:24852924:-1 gene:Vigun01g089400.v1.2 transcript:Vigun01g089400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISILLVGFWLLGGWTGLLDAEYVKYKDPKLSIDARVDDLVSRMTLEEKIGQMLQIERKYASADLVKKYLIGSVMSEGGSIPAPQASAETWINMVNEFQKGALSTRLGIPMFYGIDAVHGHNTVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEIIPGLQGDIPHNLPKGVPFIAGKEKVLASAKHYVGDGGTINGIDENNTVIDRDELMKIHMPAYFNSISKGVATIMVSYSSWNGVKMHTNHELITGFLKNTLHFKGFVISDFEGIDRITSPPHSNFTYSVEAGVSAGIDMFMNPKFYVEFIDDLTMLVKKKFIPMSRIDDAVRRILWVKFMMGIFENPFADFSLVRYLGIQEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKTAPKILVAGSHADNLGYQCGGWTIEWQGVSGNNLLKGTTILTAVKNTVDPETKVVYKEKPDAEFVKSNGFSYGIVVVGEHPYAEMHGDNKNLTIPDPGAEIIRNVCGVTKCVVIVISGRPLVIEPYVGMIDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDHHYDPLFPFGFGLSTKPTKGFYSA >Vigun01g089400.5.v1.2 pep primary_assembly:ASM411807v1:1:24849117:24852640:-1 gene:Vigun01g089400.v1.2 transcript:Vigun01g089400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISILLVGFWLLGGWTGLLDAEYVKYKDPKLSIDARVDDLVSRMTLEEKIGQMLQIERKYASADLVKKYLIGSVMSEGGSIPAPQASAETWINMVNEFQKGALSTRLGIPMFYGIDAVHGHNTVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEIIPGLQGDIPHNLPKGVPFIAGKEKVLASAKHYVGDGGTINGIDENNTVIDRDELMKIHMPAYFNSISKGVATIMVSYSSWNGVKMHTNHELITGFLKNTLHFKGFVISDFEGIDRITSPPHSNFTYSVEAGVSAGIDMFMNPKFYVEFIDDLTMLVKKKFIPMSRIDDAVRRILWVKFMMGIFENPFADFSLVRYLGIQEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKTAPKILVAGSHADNLGYQCGGWTIEWQGVSGNNLLKGTTILTAVKNTVDPETKVVYKEKPDAEFVKSNGFSYGIVVVGEHPYAEMHGDNKNLTIPDPGAEIIRNVCGVTKCVVIVISGRPLVIEPYVGMIDAVVAAWLPGSEGQGVADVIYGDYGFTGKLPRTWFKSVDQLPMNVGDHHYDPLFPFGFGLSTKPTKGFYSA >Vigun05g171800.2.v1.2 pep primary_assembly:ASM411807v1:5:30717353:30729854:1 gene:Vigun05g171800.v1.2 transcript:Vigun05g171800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLGESATEYVRSQLGYITSYKSNQEKLIIEVQNLKGRKSSVQETVDEAKRNGEKILNNVQIWLKKVDETIAEANNLIFNDFQENYPIHIPNIQFRLQHSKKLQKMTQEIYRVLSEGNFDKISKPPTIKEIQQVLKDPNICKIGLYGIDGVGKTTLMKELAKEVLKDGSFDVVAMAEVTDSPNVENIQGQIADALALKFDEETKEERMQKLRGRISKEKNILVILDDIWGQVDLAELGIPFGDDHKGCKLLLTSERLNVLKRQMGTQNNFRLEVLSDEDSWKLFEKIADQAIKSISTNKSVVELDEINSIVQNVPKCCNGFPLFIVVVAKALRTKDLSTWKDALKQLKGMNEKGHFEKVVCPLELGYRYLESDELRTLFLFIVSLGPGRIHTGELFSCYWGLYGDSHQLTKARNNYYKFIDDLRASSLLLEVEIEYVRMHDSVRDTAKAISSRTHLTYEVPKFTQKEQWDIDQLKKCHYINLPCYSLDEVPKKLDCPELKQMSLKSNLGQLTIPDNFFAGMGEVKVLNLHRMSFAPSPPPSFRLLKNLRSLNLYECVLDDITMVAELTSLEILSLERSKLQELPKEIGQLTRLRMLNLTNCYQLKSVPRYLIYSLVYLEELYMGNCNIQWEAEGVESQSNNPSLGELRKLDRLTTLDLSIHDASVLPTDMDVFKQLQRYNISIGNMWKWSSFWSCDAREISTTLKLVDSLNTEIFLNHGIQMLFTTIKDLSLAKINFSDDVFYELNREAFQHLRHLYVQNSDAFYNLETLILCDLRNMYGPFAKQTLILWNLHNLEDISYGPLAAQCFENLQVFKVQGCCKLKKLLSYSVAKNLAQLQHMEIFDCTAMEEIVCEEKLEDENLHNITDNAIHCFEKLRVIKVHGCHKLKKLLPYSLAKKLSQLQEMEIVNCTVMEEIIYEDENLHNMKDTDTLFFYKLQIIKVHGCHKLKILLPYSLAKNLSQLQEIEIFDCTDMEEIISEKKIEDENLHNVKDIGTHVFEKLQVLKVQGCYKLKILLPYSLAKNLSQLQEMEIFDCTNMEEIISKEKFENENLNHMEDIGTQFFENLQVINVQSCYKLKKLLPYALAKNLSQLQEMKISHCNVLEEIISEEKFEDGKEFPMIVLPKLHSLTLDTLPNLCSFSLPLEIDKDDGSISLPLFNQKVTSPKLDMLVIINMNRLKSIWHNQQAPNSVNNLKTIKITRCHALRNVFPTAMAKELLQLQVLEISMSMIEMIVEDIQGAPDNITFTKLEQLKLEYLPKLTKFCQEGYNFKFPSLQTVEVIGCPNLKSSGNLNLTTIAQLGLRGKNGQKDDELNNLFNEKVAMPNLEDLRLSNIGSHGKIWDEKLRVPFYSKNLKNLIEDDYRNHTESLFSSSTARALTKLKHLEILSCPALVEIFVQQEKATFPNLETLFINGMSGLQSIWNNLQGPNSFHKLNKIQIIGCRALHDVFPFVVAKELRQLKVLEISRSINIENIVVKSHRGGALGKHKNISDSVSMEFEEDDAVFTKLEENKGDDAIEIVFMELKELYLEHLLRLRSFCRESYNFKFPALEKASVIRCPNMQTFCHGNLITPSLSQVRFGWSQEDLRWDGDLNTTLKRRMNLESNIVTS >Vigun05g171800.4.v1.2 pep primary_assembly:ASM411807v1:5:30717353:30729854:1 gene:Vigun05g171800.v1.2 transcript:Vigun05g171800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLGESATEYVRSQLGYITSYKSNQEKLIIEVQNLKGRKSSVQETVDEAKRNGEKILNNVQIWLKKVDETIAEANNLIFNDFQENYPIHIPNIQFRLQHSKKLQKMTQEIYRVLSEGNFDKISKPPTIKEIQQVLKDPNICKIGLYGIDGVGKTTLMKELAKEVLKDGSFDVVAMAEVTDSPNVENIQGQIADALALKFDEETKEERMQKLRGRISKEKNILVILDDIWGQVDLAELGIPFGDDHKGCKLLLTSERLNVLKRQMGTQNNFRLEVLSDEDSWKLFEKIADQAIKSISTNKSVVELDEINSIVQNVPKCCNGFPLFIVVVAKALRTKDLSTWKDALKQLKGMNEKGHFEKVVCPLELGYRYLESDELRTLFLFIVSLGPGRIHTGELFSCYWGLYGDSHQLTKARNNYYKFIDDLRASSLLLEVEIEYVRMHDSVRDTAKAISSRTHLTYEVPKFTQKEQWDIDQLKKCHYINLPCYSLDEVPKKLDCPELKQMSLKSNLGQLTIPDNFFAGMGEVKVLNLHRMSFAPSPPPSFRLLKNLRSLNLYECVLDDITMVAELTSLEILSLERSKLQELPKEIGQLTRLRMLNLTNCYQLKSVPRYLIYSLVYLEELYMGNCNIQWEAEGVESQSNNPSLGELRKLDRLTTLDLSIHDASVLPTDMDVFKQLQRYNISIGNMWKWSSFWSCDAREISTTLKLVDSLNTEIFLNHGIQMLFTTIKDLSLAKINFSDDVFYELNREAFQHLRHLYVQNSDAFYNLETLILCDLRNMYGPFAKQTLILWNLHNLEDISYGPLAAQCFENLQVFKVQGCCKLKKLLSYSVAKNLAQLQHMEIFDCTAMEEIVCEEKLEDENLHNITDNAIHCFEKLRVIKVHGCHKLKKLLPYSLAKKLSQLQEMEIVNCTVMEEIIYEDENLHNMKDTDTLFFYKLQIIKVHGCHKLKILLPYSLAKNLSQLQEIEIFDCTDMEEIISEKKIEDENLHNVKDIGTHVFEKLQVLKVQGCYKLKILLPYSLAKNLSQLQEMEIFDCTNMEEIISKEKFENENLNHMEDIGTQFFENLQVINVQSCYKLKKLLPYALAKNLSQLQEMKISHCNVLEEIISEEKFEDGKEFPMIVLPKLHSLTLDTLPNLCSFSLPLEIDKDDGSISLPLFNQKVTSPKLDMLVIINMNRLKSIWHNQQAPNSVNNLKTIKITRCHALRNVFPTAMAKELLQLQVLEISMSMIEMIVEDIQGAPDNITFTKLEQLKLEYLPKLTKFCQEGYNFKFPSLQTVEVIGCPNLKSSGNLNLTTIAQLGLRGKNGQKDDELNNLFNEKVAMPNLEDLRLSNIGSHGKIWDEKLRVPFYSKNLKNLIEDDYRNHTESLFSSSTARALTKLKHLEILSCPALVEIFVQQEKATFPNLETLFINGMSGLQSIWNNLQGPNSFHKLNKIQIIGCRALHDVFPFVVAKELRQLKVLEISRSINIENIVVKSHRGGALGKHKNISDSVSMEFEEDDAVFTKLEENKGDDAIEIVFMELKELYLEHLLRLRSFCRESYNFKFPALEKASVIRCPNMQTFCHGNLITPSLSQVRFGWSQEDLRWDGDLNTTLKRRMNLESNIVTS >Vigun05g171800.1.v1.2 pep primary_assembly:ASM411807v1:5:30717353:30729854:1 gene:Vigun05g171800.v1.2 transcript:Vigun05g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLGESATEYVRSQLGYITSYKSNQEKLIIEVQNLKGRKSSVQETVDEAKRNGEKILNNVQIWLKKVDETIAEANNLIFNDFQENYPIHIPNIQFRLQHSKKLQKMTQEIYRVLSEGNFDKISKPPTIKEIQQVLKDPNICKIGLYGIDGVGKTTLMKELAKEVLKDGSFDVVAMAEVTDSPNVENIQGQIADALALKFDEETKEERMQKLRGRISKEKNILVILDDIWGQVDLAELGIPFGDDHKGCKLLLTSERLNVLKRQMGTQNNFRLEVLSDEDSWKLFEKIADQAIKSISTNKSVVELDEINSIVQNVPKCCNGFPLFIVVVAKALRTKDLSTWKDALKQLKGMNEKGHFEKVVCPLELGYRYLESDELRTLFLFIVSLGPGRIHTGELFSCYWGLYGDSHQLTKARNNYYKFIDDLRASSLLLEVEIEYVRMHDSVRDTAKAISSRTHLTYEVPKFTQKEQWDIDQLKKCHYINLPCYSLDEVPKKLDCPELKQMSLKSNLGQLTIPDNFFAGMGEVKVLNLHRMSFAPSPPPSFRLLKNLRSLNLYECVLDDITMVAELTSLEILSLERSKLQELPKEIGQLTRLRMLNLTNCYQLKSVPRYLIYSLVYLEELYMGNCNIQWEAEGVESQSNNPSLGELRKLDRLTTLDLSIHDASVLPTDMDVFKQLQRYNISIGNMWKWSSFWSCDAREISTTLKLVDSLNTEIFLNHGIQMLFTTIKDLSLAKINFSDDVFYELNREAFQHLRHLYVQNSDAFYNLETLILCDLRNMYGPFAKQTLILWNLHNLEDISYGPLAAQCFENLQVFKVQGCCKLKKLLSYSVAKNLAQLQHMEIFDCTAMEEIVCEEKLEDENLHNITDNAIHCFEKLRVIKVHGCHKLKKLLPYSLAKKLSQLQEMEIVNCTVMEEIIYEDENLHNMKDTDTLFFYKLQIIKVHGCHKLKILLPYSLAKNLSQLQEIEIFDCTDMEEIISEKKIEDENLHNVKDIGTHVFEKLQVLKVQGCYKLKILLPYSLAKNLSQLQEMEIFDCTNMEEIISKEKFENENLNHMEDIGTQFFENLQVINVQSCYKLKKLLPYALAKNLSQLQEMKISHCNVLEEIISEEKFEDGKEFPMIVLPKLHSLTLDTLPNLCSFSLPLEIDKDDGSISLPLFNQKVTSPKLDMLVIINMNRLKSIWHNQQAPNSVNNLKTIKITRCHALRNVFPTAMAKELLQLQVLEISMSMIEMIVEDIQGAPDNITFTKLEQLKLEYLPKLTKFCQEGYNFKFPSLQTVEVIGCPNLKSSGNLNLTTIAQLGLRGKNGQKDDELNNLFNEKVAMPNLEDLRLSNIGSHGKIWDEKLRVPFYSKNLKNLIEDDYRNHTESLFSSSTARALTKLKHLEILSCPALVEIFVQQEKATFPNLETLFINGMSGLQSIWNNLQGPNSFHKLNKIQIIGCRALHDVFPFVVAKELRQLKVLEISRSINIENIVVKSHRGGALGKHKNISDSVSMEFEEDDAVFTKLEENKGDDAIEIVFMELKELYLEHLLRLRSFCRESYNFKFPALEKASVIRCPNMQTFCHGNLITPSLSQVRFGWSQEDLRWDGDLNTTLKRRMNLESNIVTS >Vigun06g110200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23925242:23926686:-1 gene:Vigun06g110200.v1.2 transcript:Vigun06g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTPPSPFSLHFSTFSLKPKPKLKPHSLPSLFTKPRKLTVNSIDVSKEDTTPSPSPTPTPEPAPEAENLDPRRLEEKFAVLNTGIYECRSCGYKYDEAVGDPSYPIPPGFQFEKLPDDWRCPTCGAAQSFFESKSVQIAGFAQNQQYGLGGNSLTSGQKTVLIYGSLLLFFALFLGGYFLQ >Vigun09g008200.1.v1.2 pep primary_assembly:ASM411807v1:9:637441:639693:1 gene:Vigun09g008200.v1.2 transcript:Vigun09g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGISFKRWILAFVLLYVCVFGKNFGYADEDGSEGEDGGDGEIGGGFDGNGGGIGGIGGAGGADGGFGGGAGGGLGGGFGGGGGLGAGGGVGGGLGGGGDPTQIFSRALQCFNDRYIYSRCEESCRLNEKGTLNVPKEKTDMFCQGPCLSETNLVLNCLNNVFSNFMFYNKATIHDIRNTIEAACGYGSQRGNFNVAEHIQNEGNNAAPKATTKHAVMGLAVIVMGRALLPPNFT >Vigun07g219400.1.v1.2 pep primary_assembly:ASM411807v1:7:34214518:34219206:-1 gene:Vigun07g219400.v1.2 transcript:Vigun07g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVATRSIHSSLTRPTSGSALHRPPTSLKPPTFASKVLPQQSKNRCKVRYPSCRVNARKSAPAEVVPVSPADDSKIEEELQQLRGMQQLGDIAVGMWSKPTFRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQSKDNAIAIMLDTKGPEVRSGDLPQPIVLTPGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLKSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIISICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVALRTEATITGGQLPPNIGNVFKNHTSEMFAYHATMMSNTLGTSTVVFTRSGFMAILLSHYRPSGTIFAFTDQKRTQQRLALYQGVCPIYMKFSEDAEETFTRALDLLQKQGMVKAGEEVALVQSGKQPIWRSQSTHNIQVRTV >Vigun08g152000.1.v1.2 pep primary_assembly:ASM411807v1:8:32454103:32461989:-1 gene:Vigun08g152000.v1.2 transcript:Vigun08g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVDPSRRFMKDVKRVIIKVGTAVVTREEGRLAVGRLGALCEQIKQLNSLGYDIILVSSGAVGIGRQRLRFRKLINSSFADLQKPQLELDGKACAAVGQNSLMALYDTLFTQLDVTSAQLLVTDNDFRDKDFRKQLTETVKSLLALKVIPVFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLVLLSDVEGLYSGPPSDPHSKLIYTYNKEKHQNEITFGDKSRVGRGGMTAKVKAAVHAAEAGIPVVITSGFAAENIINVLQGQRIGTLFHKDAHEWAQVKEVDAREMAVAARECSRKLQALSSEERKQILLKIADALEANEKIIRIENEADVTAAQEAGYEKSLVARLALKPGKIASLANNMRIIANMEDPIGRVLKRTELSDGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVIIEAIPDNVGGKLIGLVTSREEIPELLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSANVEMAKRIVLDAKIDYPAACNAMETLLIHKDLIEKGWLNDIILDLRTEGVILYGGPVASSLLNIPQAHSFHHEYSSLACTVEIVDDVYAAIDHINLYGSAHTDSIVTEDNEVATVFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGRGQVVDGDRGVVYTHKDLAT >Vigun09g226500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39873954:39877332:-1 gene:Vigun09g226500.v1.2 transcript:Vigun09g226500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFKNTIHFVFGHPVFGPLSGRKGPLTFEDMEEVQNACSETCIDGQTERQPIFPPTSDVKKMAKLRRELGYSGVLSVQYGEDLKLLPLKDAHKRWLLIHHGPTSDKYIAIAKQDPKFRRDCLLKLFHKGKPSSNCSRSSIGSQ >Vigun09g226500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39873954:39877332:-1 gene:Vigun09g226500.v1.2 transcript:Vigun09g226500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFKNTIHFVFGHPVFGPLSGRKGPLTFEDMEEVQNACSETCIDGQTERQPIFPPTSDVKKMAKLRRELGYSGVLSVQYGEDLKLLPLKDAHKRWLLIHHGPTSDKYIAIAKQDPKFRRDCLLKLFHKGKPSSNCSRSSIGSQ >Vigun09g226500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39873954:39877332:-1 gene:Vigun09g226500.v1.2 transcript:Vigun09g226500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFKNTIHFVFGHPVFGPLSGRKGPLTFEDMEEVQNACSETCIDGQTERQPIFPPTSDVKKMAKLRRELGYSGVLSVQYGEDLKLLPLKDAHKRWLLIHHGPTSDKYIAIAKQDPKFRRDCLLKLFHKGKPSSNCSRSSIGSQ >Vigun08g035400.1.v1.2 pep primary_assembly:ASM411807v1:8:3408239:3411720:1 gene:Vigun08g035400.v1.2 transcript:Vigun08g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFTLMITAELENLTNLQPQGGCDDPNFPYLFKLKCGRCGELSQKETCVVLNDTVPLPVGKATTHLIQKCKFCGRDGTVTMIEGKGKPLTQEISESGKYAPLMLFDCRGYEPVDFVFGDGWKVESLEGTKFENVDLSSGEYADYDEKGECPVMISNVRATFEVTK >Vigun02g018500.1.v1.2 pep primary_assembly:ASM411807v1:2:6473419:6474324:1 gene:Vigun02g018500.v1.2 transcript:Vigun02g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTTLQMISSWTMLVPSPLPSSLANTFTHNYSNLCFALKDLDLRKRGTTLLCSARRQIRYQDEDEDGDEEEYGHNEEITKLEIYTQSTKGEALLVHTLVDQQEVELLIFKGFSSCLSYSTSPDPTRSIVPARAVIVSIDRVKGPFDPSNIEYLQKGVTWEEFKTKLLSN >Vigun02g139700.2.v1.2 pep primary_assembly:ASM411807v1:2:28885000:28888460:1 gene:Vigun02g139700.v1.2 transcript:Vigun02g139700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKALLQELMSTVKEMLRNTEVAVRSFMLLRPRFLHPSGGSSSATAPSQTPGATIAPGSSGQPINASIVPVFDFYSGLPKKPSPFLQQTILRFEKYLGECHQWIEELEQLLLLDSERNASSNGSSLLQSLPKVMTNVHDFFVHVAAKVESIHQYIESMKSSYLADQRRRGEVNDPFLEADRRETARQEAASKRVHPTLHLPANPQPSTQVAGLFSSSGTQAALPAPQTSAATTSLSSGSGFSLFSTPSSAPSSSALSSLFTTPTPAPGPQTSLFGSATSVPGVASTPSLFGNTTPLFSSTPATNTLFSTPFGSGAATGSGASFGPGSKNPRAKSRTARR >Vigun02g139700.1.v1.2 pep primary_assembly:ASM411807v1:2:28883847:28888460:1 gene:Vigun02g139700.v1.2 transcript:Vigun02g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFATPQQQQQPQQSPFQPQQTSLFLTQQQQHQQHQQQQFQPQQQQPQQPQQQLFLFTNDKTPASYSTNWADLHPDSQKILLQIEERILEYRDESQRLDQCSRLYDSSVSNDGFELDAGHIVQELGGISTGMERQKALLQELMSTVKEMLRNTEVAVRSFMLLRPRFLHPSGGSSSATAPSQTPGATIAPGSSGQPINASIVPVFDFYSGLPKKPSPFLQQTILRFEKYLGECHQWIEELEQLLLLDSERNASSNGSSLLQSLPKVMTNVHDFFVHVAAKVESIHQYIESMKSSYLADQRRRGEVNDPFLEADRRETARQEAASKRVHPTLHLPANPQPSTQVAGLFSSSGTQAALPAPQTSAATTSLSSGSGFSLFSTPSSAPSSSALSSLFTTPTPAPGPQTSLFGSATSVPGVASTPSLFGNTTPLFSSTPATNTLFSTPFGSGAATGSGASFGPGSKNPRAKSRTARR >Vigun08g106800.1.v1.2 pep primary_assembly:ASM411807v1:8:26501356:26501624:-1 gene:Vigun08g106800.v1.2 transcript:Vigun08g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKHENGTAGTATIIVRVMACFQPLIDCQAEYFRHLLKPVT >Vigun04g134600.1.v1.2 pep primary_assembly:ASM411807v1:4:33779480:33785977:-1 gene:Vigun04g134600.v1.2 transcript:Vigun04g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKKKALFRAKLNAQKKEKRIESPLVRYNEFDQPVCRVCDVVLKSESLWDAHQVSRKHREAISNLKANAAGLTQQNNVKPVSDANIPKAKPEHPLGSQSKLPEGSREVSKPQSSSVLPPGFFDDSDSGKKSSDHSADSDLGRKPGVSAQNQVLNFKKEKGHFHENSVAESNVSEASTESKQTSVNSTDTEITQVKGSLPEGFFDNKEADLRARGIKLVKPDVKDEYKEFEKLIQEDLQEVDNRLEEEEIDAAEMIEEAESVEQKIFREKVQMLKKRRLDLKAANAAKRNKSSEAVAKDSTHEESSSDDESEENFAVDWRAQHL >Vigun06g091800.1.v1.2 pep primary_assembly:ASM411807v1:6:22374614:22388705:1 gene:Vigun06g091800.v1.2 transcript:Vigun06g091800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVPSVLKKYFGFSNFRPYQREVIEKIIERRDCLVVMATGSGKSLCYQIPPLVVEKTGIVVSPLISLMQDQVMALKQRGIRAEYLGSAQKDFTVHSKAERGQFDILFMTPEKACTVPTSFWSNLLNAGISLFAVDEAHCISEWGHDFRVEYKHLDKLRKVLVDVPFVGLTATATEKVRFDIISSLKMDNPYVTIGSFDRTNLFYGVKQLNRGQSFIDELVREISKEVATGGSTIIYCTTIKDVEQVFKSFTEAGIAAGMYHGQMNGKAREESHRLFVRDELQVMVATIAFGMGIDKPNIRQVIHYGCPKSLESYYQESGRCGRDGIASVCWLYYTRSDFAKGDFYCGDVKSEKQRKAIMESLLAAERYCVLTTCRRKFLLEYFGEKVSADRCGNCDNCKVSKKERDMSREAFLLMACIHSCNGRWGLNMPIDVLRGSRAKKILEVQFDKLPLHGLGKNYPANWWKSLGHQLISQGYLKETVSDVYRTISVSSKGEQFLASSRPDYQPPLVLTLTADMLGEEDNGNTQDEFKALSTSELDGFSEAERKLHQMLLEERLELARSVGTAPYAICGDQTIRKIALTRPSTKARLANINGVNQHLVTKYGDHFLQVIRKLSQGLNLSLDGEERVPMASLQTNEVKKVSPLTNKSKKLTSAKFEAWKMWHEDGLSVHDIANLEVRSAPIKEQTVAEYLLEAAQEGLPFDWVRFSKMIGLTQEIMSEIQGAISKVGSTDKLKPIKNELPEDISYQHIKTYLSMRNCGISLETNQSGSNQTGKDELTHNASNLSDCTLETCPDRQCEDDISVKSFAEQRDLEMDEVPSLPVNSSEEHKLLNASEGEFTRKRQKVSETKEVNSTRLEATVSSVVEWMNNLDEGATLNNILEHFNGSSEDSVVELLNCLECDFLIYRKGNVYRVL >Vigun11g099000.1.v1.2 pep primary_assembly:ASM411807v1:11:29101474:29105184:1 gene:Vigun11g099000.v1.2 transcript:Vigun11g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKMDEGEIITNAFPHNLTASAASNTNNPPPALKRKRNLPGNPDPEAEVVALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTGKEARKRVYVCPEKSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVNASSDMNTSLGGNIGYNVIGTSLGHNMATHFSSIFKPVSSTEEETNNQTSRGLPLWMGQTSSPQAQETMVNNNLSEIHQLGSATSSYGGNSLVQCSNFAPSNYQLSWVFRTKLSNDSNIQKLTTSTTTTSLPLGNSSVPSLYSSQHQPHQTCSSANMSATALLQKAAQIGSSSSDPSWLGSIGLKCGSSAAAQGQDGDSSNKFSGMYGSSLVLSTLGTEPDNSACDLSQMHPPKRRHVLSEENGGGQFRDFLGVGVQNHLPHFINQQVDLI >Vigun03g392400.2.v1.2 pep primary_assembly:ASM411807v1:3:59877362:59882768:1 gene:Vigun03g392400.v1.2 transcript:Vigun03g392400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKTIPEHELRRLRKIALRMMERFNVGVKGITQELVASVHEKWRDSEVVKFKFGIPLSTHMKKAHQLLESKIGGIVIWRSGSSIVLYRGMTYKLPCVELYKKVNDAKENAVDNSLHVGSGNSGEASVRETVGTESFRDSEECLKDMSETELMEMCDLNHLLDELGPRFIDWTGRQPLPVDADLLPAVVPGYKTPFRLLPYGLRPCLTNREMTNFRRLARTTAPHFALGRNRDLQGLASAVVKLWETSAIAKIAIKRGVPFTNNDRMAEELVKLTGGTLLSRNKEYIVLYRGNDFLPPAVTNTLNERHKETLLQQEEEEKARQIASSIAVSKTKAAQVPLIAGTLAETRAATSNWGHQPNKQEIENMLRDSAMSKLSALIKNHEKRLALAKAKFKKAEKALEKVQRDMDPADIPTDLETLTNEERFLFRKIGMSMKPYLLLGRRDVYAGTIENMHLHWKYREVVKLILKGRNAAQVKHMATSLEAESGGVLVSVDKDNRGHHIIIVYRGKNYQSPYVVRPKNMLTRRQAMARSIELQRREALKHHILDLEEIIGLLKSELEDMKNGKEIDDSKTLHSTLDNPVSSDNDLEEIEWSEICFSEDDSDDEDKKRQNT >Vigun03g392400.1.v1.2 pep primary_assembly:ASM411807v1:3:59877362:59882768:1 gene:Vigun03g392400.v1.2 transcript:Vigun03g392400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPIPLNCSSSTPCRSSSLHSLFFRPQTRVTIKFRVSCSNQAAGVQTQQQVKTVKKKNKNNHRPSFLHQIQDKWSHKLGSQREKFPWQESQGEEEQRRQHEQQQQQQQQQPQKEERHNKLQNTEKPPASNFQFPRRFSPWPQAVNPSNGRFASESYDSEEENDTEGVKGSGGEEREGTVRKTSNVSERVNGERKKRSNTMLAEKTIPEHELRRLRKIALRMMERFNVGVKGITQELVASVHEKWRDSEVVKFKFGIPLSTHMKKAHQLLESKIGGIVIWRSGSSIVLYRGMTYKLPCVELYKKVNDAKENAVDNSLHVGSGNSGEASVRETVGTESFRDSEECLKDMSETELMEMCDLNHLLDELGPRFIDWTGRQPLPVDADLLPAVVPGYKTPFRLLPYGLRPCLTNREMTNFRRLARTTAPHFALGRNRDLQGLASAVVKLWETSAIAKIAIKRGVPFTNNDRMAEELVKLTGGTLLSRNKEYIVLYRGNDFLPPAVTNTLNERHKETLLQQEEEEKARQIASSIAVSKTKAAQVPLIAGTLAETRAATSNWGHQPNKQEIENMLRDSAMSKLSALIKNHEKRLALAKAKFKKAEKALEKVQRDMDPADIPTDLETLTNEERFLFRKIGMSMKPYLLLGRRDVYAGTIENMHLHWKYREVVKLILKGRNAAQVKHMATSLEAESGGVLVSVDKDNRGHHIIIVYRGKNYQSPYVVRPKNMLTRRQAMARSIELQRREALKHHILDLEEIIGLLKSELEDMKNGKEIDDSKTLHSTLDNPVSSDNDLEEIEWSEICFSEDDSDDEDKKRQNT >Vigun01g090700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25110037:25110816:-1 gene:Vigun01g090700.v1.2 transcript:Vigun01g090700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIGETLHVGGHKKEEEHKGEHHGEHSEYKGEHHGEHKGEYKGEHKPEHHGEEHKEGFVEKIKDKLHGEGGEGEKKKKKEKKKKHEDGHGHDSSSSSDSD >Vigun01g090700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25109989:25110832:-1 gene:Vigun01g090700.v1.2 transcript:Vigun01g090700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIGETLHVGGHKKEEEHKGEHHGEHSEYKGEHHGEHKGEYKGEHKPEHHGEEHKEGFVEKIKDKLHGEGGEGEKKKKKEKKKKHEDGHGHDSSSSSDSD >Vigun05g261600.1.v1.2 pep primary_assembly:ASM411807v1:5:45421977:45424990:1 gene:Vigun05g261600.v1.2 transcript:Vigun05g261600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLNRTLRIKTLPSQALGPPSLPLPFIIQLSHFLLFSFKSLSLLLFLSFFFFIFQPTMPLHSLPDDNHHDFVAELTHRMARFLLSDDDTLHSSPDSISGSAEGCSQEPSPSAAPEERSRKNNYGKLQSFNIGLYSHQSLVQEQIRAIELSGVKQDQVAVSASAKQKPAPRRKNLGQTYEPKKGNVRRATRPPRPGPQHQGGAGMRALFLDGPGSRGGTGVFLPRAGAPTPSESTNKQGKGCSTVLIPARVLQALQLHFDQMAATSGPKAGAFHPLHDVVVSDRDGMYSLQNHEQSQKAATSIQNETILPQEWAY >Vigun10g132200.1.v1.2 pep primary_assembly:ASM411807v1:10:34153972:34159065:-1 gene:Vigun10g132200.v1.2 transcript:Vigun10g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETVVKVKSSPSQAKFHRVQFAIRLLVLVLFLGWIFIWIMSSTNTFRQRWLPIYKAKTNNTTYLGSKGAYLLMYTFPMLLIATLGCVYLHIIKKANDSNMESSSNSKKLEVALWKRPVLIKGPLGIVSGTEFAFFLMFIALLVWSYAISLRNKFARITPESAAQDGEKIWEEKLDAAGLMLGVVGNMCLAFMFFPVARGSSLLPLFGLTSESCIKYHIWLGHIAMLLFTAHGFSFIIHWAIINHMSAMLEWKKNDISIVAGEISLLSGLLLWIATIPRIRRTYFELFYYAHHLYILFIVFFVFHVGISYTFIMLPGFYLFMVDRYLRFLQSRRQVRLVSARVLPCEAVELNFSKGHELTYNPTSVMFINVPSISKLQWHPFTVTSNSNLEGDKLSVVIKSEGPWTKKLYQLLSTPSTIDHLSVSVEGPYGPASTNYLRHDSLVMVSGGSGITPFISIIRELIYLNTAFKCKTPKVFLICAFKNSSSLSMLELILPINGTPSDISNLELQIEAYITRDKEPKADDPIHPQIRWFKPNPSDEPVHATLGPNSWLWLGAIISSSFVIFLILIGIITRYYIFPIDHNSNQIFSYPLNAFLNMLVICVSIASVCTAAFLWNKKYYARQGKQIQNMEGSTPTVSPNSMVYNVDRELESLPYQSLIHATNVHYGVRPDLRRILFEHKGSSVGVLASGPKTMRHEVAAICSSSGLAENLHFESISFSW >Vigun10g132200.2.v1.2 pep primary_assembly:ASM411807v1:10:34153972:34159065:-1 gene:Vigun10g132200.v1.2 transcript:Vigun10g132200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETVVKVKSSPSQAKFHRVQFAIRLLVLVLFLGWIFIWIMSSTNTFRQRWLPIYKAKTNNTTYLGSKGAYLLMYTFPMLLIATLGCVYLHIIKKANDSNMESSNSKKLEVALWKRPVLIKGPLGIVSGTEFAFFLMFIALLVWSYAISLRNKFARITPESAAQDGEKIWEEKLDAAGLMLGVVGNMCLAFMFFPVARGSSLLPLFGLTSESCIKYHIWLGHIAMLLFTAHGFSFIIHWAIINHMSAMLEWKKNDISIVAGEISLLSGLLLWIATIPRIRRTYFELFYYAHHLYILFIVFFVFHVGISYTFIMLPGFYLFMVDRYLRFLQSRRQVRLVSARVLPCEAVELNFSKGHELTYNPTSVMFINVPSISKLQWHPFTVTSNSNLEGDKLSVVIKSEGPWTKKLYQLLSTPSTIDHLSVSVEGPYGPASTNYLRHDSLVMVSGGSGITPFISIIRELIYLNTAFKCKTPKVFLICAFKNSSSLSMLELILPINGTPSDISNLELQIEAYITRDKEPKADDPIHPQIRWFKPNPSDEPVHATLGPNSWLWLGAIISSSFVIFLILIGIITRYYIFPIDHNSNQIFSYPLNAFLNMLVICVSIASVCTAAFLWNKKYYARQGKQIQNMEGSTPTVSPNSMVYNVDRELESLPYQSLIHATNVHYGVRPDLRRILFEHKGSSVGVLASGPKTMRHEVAAICSSSGLAENLHFESISFSW >Vigun11g135000.5.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34441153:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVVFLNQWWLVKHPNGLGIGGVSSVGDRERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNERDWGLEK >Vigun11g135000.1.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34439707:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVVFLNQWWLVKHPNGLGIGGVSSVGRDRERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNGMYDSTGPSGKNILKTQTSAKKRKADRQKIGHDANNLVSRRVTRSISKNSESMPKEDGKAPIADVFSPVRRSPRLYSRK >Vigun11g135000.6.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34441153:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVVFLNQWWLVKHPNGLGIGGVSSVGERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNERDWGLEK >Vigun11g135000.3.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34439707:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVFLNQWWLVKHPNGLGIGGVSSVGDRERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNGMYDSTGPSGKNILKTQTSAKKRKADRQKIGHDANNLVSRRVTRSISKNSESMPKEDGKAPIADVFSPVRRSPRLYSRK >Vigun11g135000.2.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34439707:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVVFLNQWWLVKHPNGLGIGGVSSVGDRERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNGMYDSTGPSGKNILKTQTSAKKRKADRQKIGHDANNLVSRRVTRSISKNSESMPKEDGKAPIADVFSPVRRSPRLYSRK >Vigun11g135000.4.v1.2 pep primary_assembly:ASM411807v1:11:34437902:34439707:1 gene:Vigun11g135000.v1.2 transcript:Vigun11g135000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGDGGGRFRTTIVPPNSVVFLNQWWLVKHPNGLGIGGVSSVGERAFASTVITVREEANVVGTHDGVTIVFRGFINTSRSSHFGVPLEVSQRFLVGFPYDWSKYSSIDNMKCESNNSEKGIPVEAQANVERQANVESQANVESQASDESSNGMYDSTGPSGKNILKTQTSAKKRKADRQKIGHDANNLVSRRVTRSISKNSESMPKEDGKAPIADVFSPVRRSPRLYSRK >Vigun07g002100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:174606:176175:-1 gene:Vigun07g002100.v1.2 transcript:Vigun07g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPPNTILGRYQLTRFLGRGNFAKVYQARSLVDGATVAVKVIDKSKTVDAAMEPRIVREIDAMRRLQNHPNILKIHEVLATKTKIYLVVDYAGGGELFSKLSRRGRIPEPLARRYFSQLVSALLFCHRHGIAHRDLKPQNLLLDAAGDLKVSDFGLSALPEHLRDNLLHTACGTPAFTAPEILRRVGYDGSKADAWSCGVILYNLLAGHLPFDDSNIPAMCRRISRRDYQFPAWISKSARSLIHQLLDPNPKSRISLERVFDNRWLKDKKHVWCNVLEESVVESDLYNKCCDGYKLGMNAFDIISMSSGLDLRGLFETTSEKGRRREKRFSSEKSVGTVEAKVKEVAERLGFRVEVGKNGAIGLGKGKVGLVVEVFEIVSELLLVAIKVVDGAMEFDQLHWDDWRLGLEDVVLSWHHNE >Vigun06g180000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30004158:30005993:-1 gene:Vigun06g180000.v1.2 transcript:Vigun06g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCYKLCSLVHSPTSKFHATNLLSSTPENPLPYYLLTKCIALLQSCAYSKKKLRQIHAFSIRHGVSLHNPDMAKHLIFTIVSLSAPMSYAYNVFTMIHNPNVFTWNTMIRGYAESQNPSPALHLYRQMIVSCVQPDTHTYPFLLKAVSKSLNVREGEAIHSVTIRNGFHSLVFVQNSLLHIYAACGCTESAYKVFELMKERDLVAWNSVINGFALNGRPNEALTLFREMTVEGVEPDGFTVVSLLSACAELGALELGRRVHVYLLKVGLRENSYVINSLLDLYAKCGTIREAQQVFSEMSERNAVSWTSLIVGLAVNGFGEEALELFKEMEGQGLVPSEITFVGVLYACSHCGMLDEGFNYFRRMEEEYGIMPRIEHYGCMVDLLSRAGLVKRAYEYIQNMPVQPNAVIWRTLLGACTIHGHLDLGEIARSHIMKLEPKHSGDYVLLSNLYASERRWTDVQVVRRSMLKDGVKKTPGYSLVELGNRVYEFTMGDRSHPQSRDVYALLEKITELLKLEGYVPLTANVLADIEEEEKEQALSYHSEKVAIAFMLLNTAPGTPIRVVKNLRVCADCHVAIKLISKIYDREIVIRDRSRFHHFRGGSCSCKDYW >Vigun02g071100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22217859:22219562:1 gene:Vigun02g071100.v1.2 transcript:Vigun02g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQHPLCCNSQQQQPQNQRSYEHFHSEPSTSSSLHSQPSLPSVPSLSSLTPQQPHNPQQQQPQTTVKIHSSPISSLVLHGKLLYSAASSGEVKTCSRDPFTLQTDINTNTNTNTNVVVVATTNAPIKSLIVYHDTLFTAHQDHKIRVWKADQPTKCIATLPTLHDRVSKLFSSKNYVEVRRHKKRTWVHHVDAVSTLALSHDGSLLYSASWDRTFKIWRTSDFKCLESVQNAHEDAINSLVLSNDGAVYTGSADTKIKMWKKREGEKKHSLVGTLEKHKSAVNALALSEDGSVLYSGACDRSILVWESDDNNMVVVGALRGHTKAILCLVVMADLVCSGSADNSVRVWRKEIGKGYSCLAVLEGHRRPVKCLAMAVDSNTGGGPHEDDRSYLVYSAGLDCDIKVWQIRVPLLSL >Vigun02g027175.1.v1.2 pep primary_assembly:ASM411807v1:2:9368313:9369863:-1 gene:Vigun02g027175.v1.2 transcript:Vigun02g027175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQHQAASGSGLVQRLVSPCCEFNATEVSIRDVKTSDGACYLTLKVSKSKMHPDFWGFLEKYGYRYEGDHTRALLPCEAMEIIKRIPIETKRKLAGKGYFPQDGYVLKYLPVPPNCLSVPEVSDGVSVMSSVRK >Vigun10g104600.1.v1.2 pep primary_assembly:ASM411807v1:10:30059412:30061330:-1 gene:Vigun10g104600.v1.2 transcript:Vigun10g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVTLLCYTLPVLLLFFFQFCRSFKKPSLPPGPRGLPIIGNLHQLNKSDLYLQLWQLSKKYGPIFSLQLGLRPAIVVSSPKLAQELWKDHDHVVSDRPKLVGQQKLSYNGLEMIFAPYGEFWREIRKICVTHVLSPSRVSGFSSIRQFEVKQMIKKISGHVSSSKPTNLNEVLMCLSSTIICRIAYGRSYEDEGTERSRFHGLLNECQAMWGTFFFSDYIPFSGWIDKLRGLHTRLERTFKEFDKFFQEVIDEHVDPNRNTPENEDIIDVLLQLKKQRSFCVDLTNDHIKAVLMDMLVAGTDATAATLVGAMTALLQNPRVMKRVQEEIRTLGGKREFLNEDEVAKFPYLRAVIKETLRLHLPAPLLVQRETNEACMIGGYEIPAKTIVYVNAWAIHRDPEAWKDPHEFIPERFLDSSIDSGGQDFELIPFGAGRRICPGRPTAIASLDLIIANLLNSFDWELPFGMDKEDIDTEVLPGLAQHKKNHLYVLAKFPI >Vigun03g072600.1.v1.2 pep primary_assembly:ASM411807v1:3:5982198:5987516:-1 gene:Vigun03g072600.v1.2 transcript:Vigun03g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFSEILADKLSKLNKTQKCIETLSHWCIFHSSEAKLVVETWNKQFQNSEKVHRVPLLYLANDIVQNSKSKGEDFMTEFLSVLPAALKDVFENGDDEEKLASSRLVSIWKERKVFESHTKNLLNSIFGEEAPPQLEFNKKRSRSVRIVKKDSRSIKTKLSIGGTAEKIVSAFHTVLNEHSNEDLEMSKCKSAVQRVRKMEKNVDIACSIVKDPKRKTLSKELVEEENILKKCIENLKVVEASRAELVTQLKQALHEQESELENVRTQIQVAQAQVEEASNMRKRLDEDSSYQASTATSSVTDVKIKSEPATKKSAAAIAAEVADKLAASSSSQLIMTSVLSSFAAEEAKNVCLTSESMSKPEQSIPISDPNVFMSSQQLIATSNHSYPPVMVPQPTLQNPTATSQSQYQLLGNSSSHHYLQSTGGVISPYGYGSVPPLPLGPPPPHMVGPMVPLTHQTMQISQQQPAPIPPHQPIKLTQQAPAPPSFRPLQPPGMVYYGNHQYSI >Vigun08g136800.2.v1.2 pep primary_assembly:ASM411807v1:8:30880811:30885376:1 gene:Vigun08g136800.v1.2 transcript:Vigun08g136800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYQESLISGAPKKNELECSKEHHSLLLLYASMNCIYIFLEPLTALEFGQRMASLRNCNLTGSIPDFSRIPHLAYIDLSLNQLNESIPTNKLSDNMTTIDLSNNKLNGTIPSYFSGLPRLQKLSIANNAISGTVPSTIWQNRTLNATEQLILNMQNNQLTSISGTTNLPPNVTLMLQGNRVCLNNNSLVQLCGGESDNNMDGGSSVLCPSQGCPPPYEYTVECICAAPLIVHYRLKSPGFSDFREYVTEFESFLTNGLSVHTNQLFIERFTWEEGRLRMNLKLFPEYIDNTSSRQFSKNEVVRIRDMFREWDISDSDLFGPYELLDFVLLDIYSEAITPSSSSGISKGALAGIILGAIACAVTLSAIVSILILRVRMRDYHALSKRRNASRISIRVEGVRSFDYKEMALATNNFSQSAQIGEGGYGKVYKGRLPDGTVVAIKRAQEGSLQGEREFFTEIELLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSAHSKDALSFSLRLKIGLGSAKGLLYLHTEANPPIFHRDVKASNILLDTRYTAKVADFGLSRLAPVPDIEGNVPAHVSTVVKGTPGYLDPEYFLTRNLTDKSDVYSLGVVFLELLTARPPIFHGENIIRQVNMTYQSGGISRVVDKRIESYPSEYAERFLTLALKCCKDSPDERPKMAEVARELEYIYSMLPDSDTKGVEYVTSDSSGTIFSSQPSSSIIKTPFISGDVSGSDLVSGTIPTIKPR >Vigun03g200200.2.v1.2 pep primary_assembly:ASM411807v1:3:29208104:29215415:-1 gene:Vigun03g200200.v1.2 transcript:Vigun03g200200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCCSSCLNLRDRFQPWIRDYDRLQSFAVILIYLQIGCALIGSLGASYNGVSLVNLVVALFALVAIESSSQSLGRTYAFLLFCTILLDVCWFILFAREIWNISTQDYTAFFIFSVKVTLVMQSVGFTVRLSSSLLWIQIYRLGASYVDTISRAADADLRGSFLSPVTPAVARQCSNSNEILGGSIYDPSYFSSLFEDGQENKYPSGECWKVILIVGTTCPHICSFFGLPYFSLHHHLHCARCLVAYQLVI >Vigun03g200200.1.v1.2 pep primary_assembly:ASM411807v1:3:29200698:29215415:-1 gene:Vigun03g200200.v1.2 transcript:Vigun03g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCCSSCLNLRDRFQPWIRDYDRLQSFAVILIYLQIGCALIGSLGASYNGVSLVNLVVALFALVAIESSSQSLGRTYAFLLFCTILLDVCWFILFAREIWNISTQDYTAFFIFSVKVTLVMQSVGFTVRLSSSLLWIQIYRLGASYVDTISRAADADLRGSFLSPVTPAVARQCSNSNEILGGSIYDPSYFSSLFEDGQENKYPSGMFNHDITHNESTSFAEVSEKSPAGRSYQVVDEENGLVKREIV >Vigun03g200200.3.v1.2 pep primary_assembly:ASM411807v1:3:29200698:29215415:-1 gene:Vigun03g200200.v1.2 transcript:Vigun03g200200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCCSSCLNLRDRFQPWIRDYDRLQSFAVILIYLQIGCALIGSLGASYNGVSLVNLVVALFALVAIESSSQSLGRTYAFLLFCTILLDVCWFILFAREIWNISTQDYTAFFIFSVKVTLVMQSVGFTVRLSSSLLWIQIYRLGASYVDTISRAADADLRGSFLSPVTPAVARQCSNSNEILGDVQS >Vigun07g281000.3.v1.2 pep primary_assembly:ASM411807v1:7:39574088:39575729:1 gene:Vigun07g281000.v1.2 transcript:Vigun07g281000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPLFWEAVEFLYILEKTAVISPFFQNFTNNKVQQINFMMLTHCHELCKPVSIMILVNLALAFVNLLLKKVLNEGMDYMAIITYRQAISFIFMAPIAFIYERKHKVEVRIIFLLFLSALLGVTVPQNLFLLGLEYTSATFSCAFLNMVPVFTFIMAVPFGIEKVNMQSKGGKAKIVGTFVCIGGALLLVLYKGMPLIKPESQYIADKVTSVPSTAKLGTWIIGSIILTVSCLLWSSWFIIQAKISKKYPCQYSSTAILSLFAAIQSAMLTLIIKRNNASWILKGKLEIISIIYAVSTFFIIS >Vigun07g281000.1.v1.2 pep primary_assembly:ASM411807v1:7:39574088:39576828:1 gene:Vigun07g281000.v1.2 transcript:Vigun07g281000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPLFWEAVEFLYILEKTAVISPFFQNFTNNKVQQINFMMLTHCHELCKPVSIMILVNLALAFVNLLLKKVLNEGMDYMAIITYRQAISFIFMAPIAFIYERKHKVEVRIIFLLFLSALLGVTVPQNLFLLGLEYTSATFSCAFLNMVPVFTFIMAVPFGIEKVNMQSKGGKAKIVGTFVCIGGALLLVLYKGMPLIKPESQYIADKVTSVPSTAKLGTWIIGSIILTVSCLLWSSWFIIQAKISKKYPCQYSSTAILSLFAAIQSAMLTLIIKRNNASWILKGKLEIISIIYAGLVGSGLCYVAMSWCVKQSGPVFTAAFTPLIQIFVAVLDFSVLKEEIYLGSVAGSALVIAGMYILLWGKSKEQGQDVQKDMQTNQDVERQ >Vigun07g281000.2.v1.2 pep primary_assembly:ASM411807v1:7:39574088:39576828:1 gene:Vigun07g281000.v1.2 transcript:Vigun07g281000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPLFWEAVEFLYILEKTAVISPFFQNFTNNKVQQINFMMLTHCHELCKPVSIMILVNLALAFVNLLLKKVLNEGMDYMAIITYRQAISFIFMAPIAFIYERKHKVEVRIIFLLFLSALLGVTVPQNLFLLGLEYTSATFSCAFLNMVPVFTFIMAVPFGIEKVNMQSKGGKAKIVGTFVCIGGALLLVLYKGMPLIKPESQYIADKVTSVPSTAKLGTWIIGSIILTVSCLLWSSWFIIQAKISKKYPCQYSSTAILSLFAAIQSAMLTLIIKRNNASWILKGKLEIISIIYAGLVGSGLCYVAMSWCVKQSGPVFTAAFTPLIQIFVAVLDFSVLKEEIYLGRLISNLILL >Vigun04g159300.1.v1.2 pep primary_assembly:ASM411807v1:4:38147534:38150162:-1 gene:Vigun04g159300.v1.2 transcript:Vigun04g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTIPACYNVSIVNPNQFWKTDKVWKTELPILAIQISFTVLLSRLFSIIYKPLHQTRLISQISVGFLLTPPLLGRFTTIFEFIFPVNGIVNVEVLSHIGLIYYAFLSGLEMNLNNILNVNKKAASIAIAGIIFPILVAPCLYVLFRKVYGHSTMFPLEESTNNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKEALTAAMISDTYGWILFILFVPFSINGKGVIYTVLCTILFIVVCIFVVRPLIQWFIDRKADKDEWNDNQLLFIIMGVLACSCISDFLGSHAIVGAFVFGLILPHGKFAELFMSISDDFVGGFLVPLFFSGTGMRLMLIAIFSQESWPYIILIIILLCAIKILSTLFVTFFFGMRILDGLTLGLILNNKGAMALIMLNIAWDKMIFSVPTYAVITSAVLLMTIVVSPVINVVYKPRQKFEQNKLKTIQKLRVDAELRIIACVHNTRQAASMISIIECFNATRVSPVHVFALYLVELTGRVAALVATHIGKFCCQFGEQNLTRSQEELESIHNTIDALGEAYDAIRVETLNVVSAYTTIHEDIYHSAEEKRTSLILLPFHKQLTLEVTSVVYKDINQNVMQGAPCSVGIFIDRDFGLVPKINLHICVVFVGGPDDREALAIAWRMARRSGTQLSVVRILLLGEAAEVDALVHDEAQGILSTVIDTDKQKELDEEYISTFRLTVVNNNDSISYSEIDVHSGQDIPTVLNEIEKFGCDIYIVGQGNCRNSKVFSKLLEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMDFGNNLNQKAINKDIFKSVV >Vigun08g010200.1.v1.2 pep primary_assembly:ASM411807v1:8:881728:894294:1 gene:Vigun08g010200.v1.2 transcript:Vigun08g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDIRSGTRKKRSKKEIGFDSDDDEPIGSIFKLKRSKRKGSGSGEAVREKEDLGGMDDNDTLASFRKRLKGPKRDQGSGVGRGASPALHVSDEELVGLGAKGKDEKGVALVPGGEDIQMQDSSDQHMEDSLSTIFHKAQSSSARKSRGVSRQKKGIQKVDSGLSSGGFVEAVDGVVESRSGSASGSKLVGGNAMSDDALPQTSEPVVTSVVDDQKCVNDCFQEGIAKGECDLDIPGGSNQSNDVYREDGKQFSCAVQSEDISCDSEKKVALQESVVTSGDLHNLSSMLNNEIVDTASLSKLGEGERQFTEVRELENRLTDDLVQASNTASERDISTSAGKENVLKSSHTEPLIKSTENALNENNDMVSGKDFQESSSNGVLKLYGGHMEADGAGKSETEFVSDRNFCGYSNLDTKAEVHDFVQGFSPKRNDVTVSGSSMVSNEAELAAQSNYPEKPVEACNIPKDPTASILKCSSVLDPNQSDGSSLQSSIPDENGISAEYHASVTDFVDNDGKISSIPRLVRKTKMRKHGDMTYEGDADWEVLINDQALNESQVMTDVERTLKTRMKHDSSLNTGEDSENVAVVAVSAGLKARKAGPIEKIKFKEILKRKGGLKEYLDCRNQILSLWSRDVTRILPLAECGVNDTDSEDGSPRSSLIREVYAFLDQYGYINVGIASQKENVGSSGRHCYKLVKEKGFEESLAASMVDSEDGVSFLVGQTKMSDASNEINNGLRKDCNDLTIEATEGMGHSNEVKVDLSNISQQEEGKVFDYQENDGFQDGTIGSSVPSSNFADCKLTSLIAKEKSNDSTCIKSVWDGQVGDNLQPDLDPRKRVIVIGAGPAGLTAARHLQRQGFPVTVLEARSRIGGRVFTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDIVTEQKVPADMDEALEAEYNTLIDDMVLVVAQKGEQAMKMSLEDGLEYALKIRRMARTESSEETEQISADRPFDSKRDSSVEKKLDEEILSPQERRVMDWHFAHLEYGCAASLNDVSLPYWNQDDVYGGFGGAHCMIKGGYSSVVESLGEGIRIHLNHVVTNVSYGIKEPGQSYKVKVSTSNGNEFFGDAVLVTVPLGCLKAETIQFSPPLPQWKCSSVQRLGYGVLNKVALEFPSVFWDDAVDYFGATAEERNSRGHCFMFWNVRKTVGAPVLIALVVGKAAIDGVSLSSSDHVKHALKVLRKLFGQDSVPDPVAYVVTDWGRDPFSYGAYSYVAVGASGEDYDILGRPVDNCLFFAGEATCKEHPDTVGGAMMSGLRESVRIIDILSTGNDYIAEVEALEASRGQLDTERDEVRDIIKRLDAVELSNIMYKNSLDGAQILTREALLREMFFNTKTTAGRLHVAKQLLTLPVGNLKSFAGSKEGLAILNSWILDSMGKDGTQLLRHCLRLLVRVSTDLLAVRLSGMGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKEKASNGGLKLTRQTTAVDLSKRKSVKDSASGKPPLGTYHGTNENKGGLLNPTSAGSNSPSHAHVKKLHCKQGRQLPAYDSRHEVSSSRSKGSIDRVVTEEDNHCAISEEEQAAIAAAEAARAKALAAAEAYASAEARSNSLLQLPKIPSFHKFARREQSSQNDECDSRKRWSGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSRMPVDNLSQRSHSNEIASHLNFREHSGESAAGDSTIYTKAWIDTAGGVAIKDHHAIERWQSQAAAADSYFSNPTIHLKDEEDSNACSKLPSWKRDGVANESSISQVTVNKEALKSHSRAADHIKQAVVDYVASLLMPLYKARKLDKDGYKAIMKKSATKVMEQATDAEKAMTVREFLDFRRKNKIRSFVDILIERHMTMKSDVKS >Vigun04g110550.1.v1.2 pep primary_assembly:ASM411807v1:4:27662367:27662678:-1 gene:Vigun04g110550.v1.2 transcript:Vigun04g110550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQTYQAQRGSMVSKSKLSNIKWTPIKCLKHSNDHDCGYYICRYMKEIVTYCKGGTIPIDVSYLNCMCYSFVVGF >Vigun03g048100.1.v1.2 pep primary_assembly:ASM411807v1:3:3828357:3832318:1 gene:Vigun03g048100.v1.2 transcript:Vigun03g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLARFSKRALRSAHSLVRHAQSQPQPQLLAAERAFATEAAKSITPSPDRVKWDYRGQRKIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGASVWPGCVLRGDLNKISIGFCSNVQERSVLHAAWTSPTGLPADTSIERYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETQSILEAGSVVPPGRRIPSGELWAGNPARFVRTLTHEEIVEIPKLAVAINDLSRDHYSEFLPYSTVYLEVEKFKKSLGISV >Vigun05g170800.1.v1.2 pep primary_assembly:ASM411807v1:5:30518822:30520282:1 gene:Vigun05g170800.v1.2 transcript:Vigun05g170800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTIITLKLSSFFSLLLLLSISGVSSHSHQNALRGTIPPTCKRLECPTFDAIEMGDGYEIRHYNNSTVWMSTSSIQDISLVQATRTGFRSLFDYIQGKNNWEQKIEMTAPVITEVSASKSSFVVSFLVPKENQANPPPAKGLHVQRWKNVYVAAREFGGYANDSNVAVETAALRASIAGTDWSGAIDKSHRDGHVYTVAQYNDPLEYDNRVNEIWLLFEMETERHAI >Vigun03g281700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46183424:46184894:1 gene:Vigun03g281700.v1.2 transcript:Vigun03g281700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHNNSTRETLPHSLHQAKQQHSNIMMAVSRKDMDRIKGPWSPEEDEALQKLVERHGPRNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSIMMAEDPAAAAAGFSPQPLKRSVSAGAALPPGSPSGSDVSETSAPGVVSPSHVFRPVPVRPVVETASSCDDGPTTSLSLSLPGVESSEASNRATAMQANPFTIAPVSTVATPAAEVGMGALNLSAEFMAVMHEMIKKEVRSYMEQQQQSAMCFQGVDGFRNVSVKRIGISRVDS >Vigun05g298450.1.v1.2 pep primary_assembly:ASM411807v1:5:48292336:48292953:-1 gene:Vigun05g298450.v1.2 transcript:Vigun05g298450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSALVFFSSCHSRSPLRSLHTTRRCCAEEVPPQRNHRFLVHRYFPNLAAHEGFTLQFLSVFFFFLFPISAISNQSSSLGGSLVF >Vigun09g179900.1.v1.2 pep primary_assembly:ASM411807v1:9:35233387:35235036:1 gene:Vigun09g179900.v1.2 transcript:Vigun09g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEGEKNRTMKRLPLIINCLLLAVGTSGGPLVMRLYFLRGGKRVWLSSFLETAGFPFMLLPLAVSYFRRRAAAAAAGTAKPSPVSMKPALFAASVFIGVLTGLDDYLYAYGVARLPVSTSALIIATQLGFTAFFAFLLVRQKFTSYSVNAVVLLTIGAGILALHASGDRPPGESMKAYVMGFVTTVIAAALYGLVLPMVELVYKKTKQPITYSLVMEIQLVMCFSASVFCFIGMIINNDLKVIPREAKTFKHGEVNYYVVLVGSAILWQAFFLGAIGVIFCASSLFSGILIAVLLPITEVLAVIFYKEKFQAEKGVSLLLSLWGMVSYFYGEIKHEKKMKKKKSSDIEATMMPELGIIER >Vigun09g045000.5.v1.2 pep primary_assembly:ASM411807v1:9:4219144:4223016:-1 gene:Vigun09g045000.v1.2 transcript:Vigun09g045000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSPASPPPPPPSPPQPPPPTKNVIRLQSSLTPSRLRLPSKYREPPRTPPEVVNGVTRRAKSVTPELKHTSRIKRGVVPNKTKPNEEVVGSHRGREAEEAKVVSRFVRPHIVEQFVRPRSVVGDFAMKRDKEDPDGKSKKELMEKLEVSESLIKNLQSEVLALKAELEKVKGLNVELESHNRKLTEDVAAAESKVMMSLGGSEKMKEPNAEHQSPKFKHIQKLIADKLERSIVKKEAITDGCFVKASTPAPTAIPTIPEAATTLRTGRKPALKACLPPPPPPMPPSIPSRPVAKVNNTQRAPAFVKILHSLKNHEEMKNTTGSVKQQKPVAVNVHSSIVGEIQNRSAHLLAIRADIETKGNFINDLIKKVVEAAYTDIEDVLSFVNWLDGELSSLADERAVLKHFNWPERKADAMREAAVEYRELKLLEQEISSFKDDPEIPCGASLRKMATLLDKSESSIQRLIKLRNSVMRSYQDYKIPTAWMLDSGIMEKIKQASMILVKMYMKRVTMELGSARNSDRQSSQESLLLQGVHFAYRAHQFAGGLDAETLCAFEEIRQHVPGHLAGSRELLAGIASS >Vigun09g045000.4.v1.2 pep primary_assembly:ASM411807v1:9:4219078:4222736:-1 gene:Vigun09g045000.v1.2 transcript:Vigun09g045000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSPASPPPPPPSPPQPPPPTKNVIRLQSSLTPSRLRLPSKYREPPRTPPEVVNGVTRRAKSVTPELKHTSRIKRGVVPNKTKPNEEVVGSHRGREAEEAKVVSRFVRPHIVEQFVRPRSVVGDFAMKRDKEDPDGKSKKELMEKLEVSESLIKNLQSEVLALKAELEKVKGLNVELESHNRKLTEDVAAAESKVMMSLGGSEKMKEPNAEHQSPKFKHIQKLIADKLERSIVKKEAITDGCFVKASTPAPTAIPTIPEAATTLRTGRKPALKACLPPPPPPMPPSIPSRPVAKVNNTQRAPAFVKILHSLKNHEEMKNTTGSVKQQKPVAVNVHSSIVGEIQNRSAHLLAIRADIETKGNFINDLIKKVVEAAYTDIEDVLSFVNWLDGELSSLADERAVLKHFNWPERKADAMREAAVEYRELKLLEQEISSFKDDPEIPCGASLRKMATLLDKSESSIQRLIKLRNSVMRSYQDYKIPTAWMLDSGIMEKIKQASMILVKMYMKRVTMELGSARNSDRQSSQESLLLQGVHFAYRAHQFAGGLDAETLCAFEEIRQHVPGHLAGSRELLAGIASS >Vigun09g045000.3.v1.2 pep primary_assembly:ASM411807v1:9:4219086:4225549:-1 gene:Vigun09g045000.v1.2 transcript:Vigun09g045000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSPASPPPPPPSPPQPPPPTKNVIRLQSSLTPSRLRLPSKYREPPRTPPEVVNGVTRRAKSVTPELKHTSRIKRGVVPNKTKPNEEVVGSHRGREAEEAKVVSRFVRPHIVEQFVRPRSVVGDFAMKRDKEDPDGKSKKELMEKLEVSESLIKNLQSEVLALKAELEKVKGLNVELESHNRKLTEDVAAAESKVMMSLGGSEKMKEPNAEHQSPKFKHIQKLIADKLERSIVKKEAITDGCFVKASTPAPTAIPTIPEAATTLRTGRKPALKACLPPPPPPMPPSIPSRPVAKVNNTQRAPAFVKILHSLKNHEEMKNTTGSVKQQKPVAVNVHSSIVGEIQNRSAHLLAIRADIETKGNFINDLIKKVVEAAYTDIEDVLSFVNWLDGELSSLADERAVLKHFNWPERKADAMREAAVEYRELKLLEQEISSFKDDPEIPCGASLRKMATLLDKSESSIQRLIKLRNSVMRSYQDYKIPTAWMLDSGIMEKIKQASMILVKMYMKRVTMELGSARNSDRQSSQESLLLQGVHFAYRAHQFAGGLDAETLCAFEEIRQHVPGHLAGSRELLAGIASS >Vigun09g045000.2.v1.2 pep primary_assembly:ASM411807v1:9:4219086:4225549:-1 gene:Vigun09g045000.v1.2 transcript:Vigun09g045000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSPASPPPPPPSPPQPPPPTKNVIRLQSSLTPSRLRLPSKYREPPRTPPEVVNGVTRRAKSVTPELKHTSRIKRGVVPNKTKPNEEVVGSHRGREAEEAKVVSRFVRPHIVEQFVRPRSVVGDFAMKRDKEDPDGKSKKELMEKLEVSESLIKNLQSEVLALKAELEKVKGLNVELESHNRKLTEDVAAAESKVMMSLGGSEKMKEPNAEHQSPKFKHIQKLIADKLERSIVKKEAITDGCFVKASTPAPTAIPTIPEAATTLRTGRKPALKACLPPPPPPMPPSIPSRPVAKVNNTQRAPAFVKILHSLKNHEEMKNTTGSVKQQKPVAVNVHSSIVGEIQNRSAHLLAIRADIETKGNFINDLIKKVVEAAYTDIEDVLSFVNWLDGELSSLADERAVLKHFNWPERKADAMREAAVEYRELKLLEQEISSFKDDPEIPCGASLRKMATLLDKSESSIQRLIKLRNSVMRSYQDYKIPTAWMLDSGIMEKIKQASMILVKMYMKRVTMELGSARNSDRQSSQESLLLQGVHFAYRAHQFAGGLDAETLCAFEEIRQHVPGHLAGSRELLAGIASS >Vigun09g045000.1.v1.2 pep primary_assembly:ASM411807v1:9:4219086:4222858:-1 gene:Vigun09g045000.v1.2 transcript:Vigun09g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSPASPPPPPPSPPQPPPPTKNVIRLQSSLTPSRLRLPSKYREPPRTPPEVVNGVTRRAKSVTPELKHTSRIKRGVVPNKTKPNEEVVGSHRGREAEEAKVVSRFVRPHIVEQFVRPRSVVGDFAMKRDKEDPDGKSKKELMEKLEVSESLIKNLQSEVLALKAELEKVKGLNVELESHNRKLTEDVAAAESKVMMSLGGSEKMKEPNAEHQSPKFKHIQKLIADKLERSIVKKEAITDGCFVKASTPAPTAIPTIPEAATTLRTGRKPALKACLPPPPPPMPPSIPSRPVAKVNNTQRAPAFVKILHSLKNHEEMKNTTGSVKQQKPVAVNVHSSIVGEIQNRSAHLLAIRADIETKGNFINDLIKKVVEAAYTDIEDVLSFVNWLDGELSSLADERAVLKHFNWPERKADAMREAAVEYRELKLLEQEISSFKDDPEIPCGASLRKMATLLDKSESSIQRLIKLRNSVMRSYQDYKIPTAWMLDSGIMEKIKQASMILVKMYMKRVTMELGSARNSDRQSSQESLLLQGVHFAYRAHQFAGGLDAETLCAFEEIRQHVPGHLAGSRELLAGIASS >Vigun05g272500.1.v1.2 pep primary_assembly:ASM411807v1:5:46345026:46348889:-1 gene:Vigun05g272500.v1.2 transcript:Vigun05g272500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVSGLINRGHKIKGTVVLMRKNVLDVNSVTSVGGVIGQGLDLLGSSVDNLTSFLGRSVSLQLISATKPDANGKGKLGKATFLEGIITSLPTLGAGQSAFKIHFDWDDEMGIPGAFYIKNFMQTEFFLVSLTLEDVPNHGSLHFLCNSWIYNAKHFKNDRIFFVNQTYLPSETPAPLVKYREDELVNLRGDGTGERKEWERIYDYDLYNDLGDPDKGENHARPVLGGSDTLPYPRRGRTGRRPTRKDPKSESRSSDIYLPRDEAFGHLKSSDFLTYGLKSVSQNLLPALESTFDLNFTPNEFDSFDDVHSLYSGGIKLPTDVISKISPLPVLKEILRTDGEQALKFPPPKVIQVSRSAWMTDEEFAREMLAGVNPNMIRVLQDFPPRSKLDSQVYGDHTSQITKEHLECNLEGITVDEAIQSKRLFILDHHDSIMPYLRRINATSSKAYATRTILFLKNDRTLRPLAIELSLPHPGDDKSGVVSQVILPADEGVESSIWLLAKAYVIVNDSSYHQLVSHWLNTHAVIEPFVIATNRHLSVVHPIYKLLHPHYRDTMNINSLARGSLVNDGGIIEKTFLWGRYSMEMSATIYKDWVFTDQALPADLIKRGIATEDPECPHGLRLIIEDYPYAVDGLEIWDAIKTWVHEYVFLYYKSDDTLREDPELRAWWKELVEVGHGDKKNEPWWPKMQSREELVEVCTIVVWTASALHAAVNFGQYPYGGLILNRPTLSRRLMPENGSAEYEELKKSPQKALLRTITPKFQTLIDLSVIEILSRHASDEVYLGERDNPNWTSDTRALEAFKRFGKKLSEIEKKLSERNHDEKLRNRHGPVEMPYTLLFPSSDEGLTFRGIPNSISI >Vigun09g014400.4.v1.2 pep primary_assembly:ASM411807v1:9:1068923:1082258:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEQKRKQREFALASVSEVSSSSSSASPGVGVFAGDRLQIRNESNHILLSVHLTDFQLFRLGPIESVCMVEGSDDKQTSYSSGVAIQFRNEEESEAFHCVFQQWKKDFNVQGNLPNGTNVITSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun09g014400.5.v1.2 pep primary_assembly:ASM411807v1:9:1068922:1082258:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun09g014400.2.v1.2 pep primary_assembly:ASM411807v1:9:1068922:1082267:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEQKRKQREFALASVSEVSSSSSSASPGVGVFAGDRLQIRNESNHILLSVHLTDFQLFRLGPIESVCMVEGSDDKQTSYSSGVAIQFRNEEESEAFHCVFQQWKKDFNVQGNLPNGTNVITSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun09g014400.3.v1.2 pep primary_assembly:ASM411807v1:9:1068923:1082258:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEQKRKQREFALASVSEVSSSSSSASPGVGVFAGDRLQIRNESNHILLSVHLTDFQLFRLGPIESVCMVEGSDDKQTSYSSGVAIQFRNEEESEAFHCVFQQWKKDFNVQAGNLPNGTNVITSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun09g014400.6.v1.2 pep primary_assembly:ASM411807v1:9:1068923:1082258:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun09g014400.1.v1.2 pep primary_assembly:ASM411807v1:9:1068922:1082267:-1 gene:Vigun09g014400.v1.2 transcript:Vigun09g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEQKRKQREFALASVSEVSSSSSSASPGVGVFAGDRLQIRNESNHILLSVHLTDFQLFRLGPIESVCMVEGSDDKQTSYSSGVAIQFRNEEESEAFHCVFQQWKKDFNVQAGNLPNGTNVITSKSKFDEKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFMGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPILGQRITVIKGKVEDVELPERADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAAPMFHVIDFTKIKEEELYEIDIPLKFVATVGARLHGLACWFDVLFNGSTARRWLTTAPGSPTTHWYQLRCVLSQPIYVVAGQEITGRMHLIAHTAQSYTIYLTLSAKTWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLNQDQQPQVLLPSQDINIQSQDLDEVEMMQQPSPKSCAQIDSLMQTA >Vigun07g297700.1.v1.2 pep primary_assembly:ASM411807v1:7:40781433:40782914:1 gene:Vigun07g297700.v1.2 transcript:Vigun07g297700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYKYPSLEILEKTPKSGVWYVILNRPSRRNALSHDFFIHFPKALYDLDHDPDVKVIVLSAAGNHFCSGIDLSILGSTASNSGSGEALRRQIMAMQDAVTALERCRKPVIASILGACIGGGIDIITACDIRMCTKEAFFSVKEVDMALAADLGTLQRLPLIVGFGNAMELALTGRTFSGEEAKELGLVSRVFDSKHDLDQAVMDLAQAIATKSPLAVVGTKMVLLKSRDLTVDQGLDYVATLNSARLFSSDLTEAVTAQKQKRKPVFSKL >Vigun09g084600.4.v1.2 pep primary_assembly:ASM411807v1:9:10703755:10709773:-1 gene:Vigun09g084600.v1.2 transcript:Vigun09g084600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSCLNLLDLVSGDMLNFPQTPRSLPRVMTDPVIISHGDGKQSNDDDSGVNSSEHRRKIIIVSNSLPLNAKRDKVSGRWCFSYDEDSIFWQLKDGLSPDADVVYVGSLKVDVDASEQDKVSLQLLEEFNCLPTFIPPELYKQFHDGFCKQHLWPLFHYMLPMYPGNRRFDRSQWQAYVSANKIFADKVMEVLNPEDDYVWVHDYHLMVLPTFLRKRCSRVKLGFFLHSPFPSSEIYKTLPVRGEILKALLNADLVGFHTFDYARHFLSCCRRMLGLEHESKRGYIGLEYSGRTIFIKILPAGIHMGRLQSALEHPSSSEKVREIHQQFKGKKLILGVDDMDIFKGVSLKFLAIEQLLQQYPEMQGEITLIQILNPPSSADKDVENAKEEAYITARKINQRFGSESYEPITIIDRHVPFYEKAAYYALAECCIVNAVRDGLNLVPYKYTVCRQGNSKVDEALEIASDSPRASALVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNLAITMPDGEKQLRHEKHYRYVSSHDVAYWARSFEQDLVFSCKDHYRNCCWGIGFGLNFRILSLSPSFRRLSIDHIVPAYKRSSCRAIFLDYDGTVVPQASIVKAPSPEVISVLNNLCSDVNNTVFIVSGRGKTSLSEWFDQCENLGIAAEHGYFIRWGKQTSWQMSHADTDFAWKRIAEPVMRSYMEATDGSSVEIKDSALVWHYRDADPDFGSWQAMELLDHLENVLANEPVAVKKGQHIIEVKPQGITKGLVAQEVLSTLTKKGKLPDFVLCIGDDRSDEDMFESILTRCYSATSSSAPEIFACTVGQKPSKAKYYLDDTMDVMSLLEGLGAASVPKLRYSTETPFEKREVCFENIL >Vigun09g084600.3.v1.2 pep primary_assembly:ASM411807v1:9:10703755:10709734:-1 gene:Vigun09g084600.v1.2 transcript:Vigun09g084600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSCLNLLDLVSGDMLNFPQTPRSLPRVMTDPVIISHGDGKQSNDDDSGVNSSEHRRKIIIVSNSLPLNAKRDKVSGRWCFSYDEDSIFWQLKDGLSPDADVVYVGSLKVDVDASEQDKVSLQLLEEFNCLPTFIPPELYKQFHDGFCKQHLWPLFHYMLPMYPGNRRFDRSQWQAYVSANKIFADKVMEVLNPEDDYVWVHDYHLMVLPTFLRKRCSRVKLGFFLHSPFPSSEIYKTLPVRGEILKALLNADLVGFHTFDYARHFLSCCRRMLGLEHESKRGYIGLEYSGRTIFIKILPAGIHMGRLQSALEHPSSSEKVREIHQQFKGKKLILGVDDMDIFKGVSLKFLAIEQLLQQYPEMQGEITLIQILNPPSSADKDVENAKEEAYITARKINQRFGSESYEPITIIDRHVPFYEKAAYYALAECCIVNAVRDGLNLVPYKYTVCRQGNSKVDEALEIASDSPRASALVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNLAITMPDGEKQLRHEKHYRYVSSHDVAYWARSFEQDLVFSCKDHYRNCCWGIGFGLNFRILSLSPSFRRLSIDHIVPAYKRSSCRAIFLDYDGTVVPQASIVKAPSPEVISVLNNLCSDVNNTVFIVSGRGKTSLSEWFDQCENLGIAAEHGYFIRWGKQTSWQMSHADTDFAWKRIAEPVMRSYMEATDGSSVEIKDSALVWHYRDADPDFGSWQAMELLDHLENVLANEPVAVKKGQHIIEVKPQGITKGLVAQEVLSTLTKKGKLPDFVLCIGDDRSDEDMFESILTRCYSATSSSAPEIFACTVGQKPSKAKYYLDDTMDVMSLLEGLGAASVPKLRYSTETPFEKREVCFENIL >Vigun03g160200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17700852:17701595:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun03g160200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17697557:17701997:1 gene:Vigun03g160200.v1.2 transcript:Vigun03g160200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPNLNLEPENHSEGSSQVASNVSLHEASYDLTKGSTTTSSCLTKDETDPGSVTLDLTLNFNSSDSELKGSSDASSEVGAEPPASASATATPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRALRMGMFTERYTSLASLPLHGSPFRSLGLEAHSAMHQRHVSSSLRTPDMRAAARFERNHFGSLVFVEDDDVGLFWPGSFRQVDQGAGVTEGHVQSSNPSLVPIVPPPPQASASPDLTLKL >Vigun07g171400.1.v1.2 pep primary_assembly:ASM411807v1:7:28659353:28664803:-1 gene:Vigun07g171400.v1.2 transcript:Vigun07g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding WSFPFALVLLYLFSVKRINTFVAFHNFLHYFSLLSTQFLSPFSLSAKPIFHLTVPMAFRVGGGGGNASSGMGVAEHSVNTFLELQRKKVHRYVVFKIDEKKQEVVVEKTGGPAESYDDFTASLPESDCRYAVYDFDFVTSENCQKSKIFFVAWSPSVSRIRSKMLYATSKDRFRRELQGIHYEIQATDPTEMDLEVLRERAN >Vigun11g063100.1.v1.2 pep primary_assembly:ASM411807v1:11:14330840:14332560:-1 gene:Vigun11g063100.v1.2 transcript:Vigun11g063100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFNTQTELSVRMEALWAVLSKDFITVVPKVLPHIVKDVQVIEGDGGVGTILIFNFLTDVSPSYQREEITELDESSHEIGLQVIEGGYLSLGLSYYKTTFKLSAMEEYKTLVNIKISYDHESDIEEKVTPTKTSHSTLFYLRRLERYLMDGSA >Vigun11g150000.1.v1.2 pep primary_assembly:ASM411807v1:11:36035960:36038704:1 gene:Vigun11g150000.v1.2 transcript:Vigun11g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVFCSVSQLPHRKILNTHHSTFLMHSLTNSLFTFFSSLLQIIVEFSHNMYSHRNASLSPSAESLAHFLSPQDASTAAPMQYAAAPQPHHHQVALGEFDSEASSNNTYNSCSSGCTSYMGSPSSLASYETRRVQRSVSSHSLQKNSGGPHHPFSPLFAQLLDSQNGPVRRACSTGDLQRFHGMQYYHHSDSPLSSESSLIIEEMSRTSPYSPEEKKVRIERYRSKRNQRNFGKKIKYACRKTLADSRPRIRGRFAKNDEIAMNPPLQWSHMGNGEEEDEEEENWVNFFDSIVPANLAQEPHGNNSSFGTFY >Vigun07g036600.1.v1.2 pep primary_assembly:ASM411807v1:7:3503126:3508500:-1 gene:Vigun07g036600.v1.2 transcript:Vigun07g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGKWKILLPVLFLSLISTVRSASALRFRSVCGAAERQVRMPEGAGFKIGLFADLHFGEDAWTEWGPRQDLNSINVMNSVLHHENPDLVIYLGDVITANNIMIANASLYWDQAISPARNRGIPWASVFGNHDDAAFEWPLEWFSPPGIPPIHCPQTTTSYSGDDECSFKGTGRLELMSNEMKHNGSFSRYGPRNLWPSVSNYVLQVSSPDDPPSPVAFLYFLDSGGGSYPELISNDQVEWFRKKAEEINPDSRVPEIVFWHIPSTAYEVVAPKFDIRKPCVGSINKESVAAQEVETGIMDLLANRTSVKAIFVGHNHGLDWCCPYNKLWLCYARHTGYGGYGDWPRGARILQINQTPFSLHSWIRMEDGQVHSEVILSSKL >Vigun01g227100.5.v1.2 pep primary_assembly:ASM411807v1:1:39990541:39994480:1 gene:Vigun01g227100.v1.2 transcript:Vigun01g227100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYYDVDDIIMEEETVSVIFQKAASGVGIDPSSVMDFIETGSKVELPFWLAHELQMRQAVSVNVPPCFDKKTRLEIQADSAGVDLRSRCPFFYEFGCKIAPIVGDRTIGFLLLSAFKSRYKEILTKAHSVAFAAGSKFWSILTKEEINLYETAQSEMASFKKWRMGGPRFQIASVLGKKRKSAE >Vigun01g227100.1.v1.2 pep primary_assembly:ASM411807v1:1:39990541:39994480:1 gene:Vigun01g227100.v1.2 transcript:Vigun01g227100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYYDVDDIIMEEETVSVIFQKAASGVGIDPSSVMDFIETGSKVELPFWLAHELQMRQAVSVNVPPCFDKKTRLEIQADSAGVDLRSRCPFFYEFGCKIAPIVGDRTIGFLLLSAFKSRYKEILTKAHSVAFAAGSKFWSILTKEEINLYETAQSEMASFKKWRMGGPRFQIASVLGKKRKSAE >Vigun01g227100.3.v1.2 pep primary_assembly:ASM411807v1:1:39990537:39994545:1 gene:Vigun01g227100.v1.2 transcript:Vigun01g227100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYYDVDDIIMEEETVSVIFQKAASGVGIDPSSVMDFIETGSKVELPFWLAHELQMRQAVSVNVPPCFDKKTRLEIQADSAGVDLRSRCPFFYEFGCKIAPIVGDRTIGFLLLSAFKSRYKEILTKAHSVAFAAGSKFWSILTKEEINLYETAQSEMASFKKWRMGGPRFQIASVLGKKRKSAE >Vigun01g227100.4.v1.2 pep primary_assembly:ASM411807v1:1:39990528:39994480:1 gene:Vigun01g227100.v1.2 transcript:Vigun01g227100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYYDVDDIIMEEETVSVIFQKAASGVGIDPSSVMDFIETGSKVELPFWLAHELQMRQAVSVNVPPCFDKKTRLEIQADSAGVDLRSRCPFFYEFGCKIAPIVGDRTIGFLLLSAFKSRYKEILTKAHSVAFAAGSKFWSILTKEEINLYETAQSEMASFKKWRMGGPRFQIASVLGKKRKSAE >Vigun01g227100.2.v1.2 pep primary_assembly:ASM411807v1:1:39990527:39994545:1 gene:Vigun01g227100.v1.2 transcript:Vigun01g227100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYYDVDDIIMEEETVSVIFQKAASGVGIDPSSVMDFIETGSKVELPFWLAHELQMRQAVSVNVPPCFDKKTRLEIQADSAGVDLRSRCPFFYEFGCKIAPIVGDRTIGFLLLSAFKSRYKEILTKAHSVAFAAGSKFWSILTKEEINLYETAQSEMASFKKWRMGGPRFQIASVLGKKRKSAE >Vigun03g449800.1.v1.2 pep primary_assembly:ASM411807v1:3:65082706:65096732:-1 gene:Vigun03g449800.v1.2 transcript:Vigun03g449800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELVQLSDSMRQAAAVLADEDVDNYKRPTTFLNVVALGNVGAGKSASLNSLIGHPVLPTGENGATRAPISIELNRDTSLSSKSIILQIENKTQQVSASALRHSLQDRLSKGSSGRTRDEIYLKLRTSTAPPLKLIDLPGLDQRIVDDKMISEYVEHNDAILLVVVPAAQVPEISSSRALRVAKEYDSESTRTVGVISKIDQASSEPKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSGSGSSENSLETAWRAETESLKSILTGAPHSKLGRIALVESLAGQIRDRMRLRLPTLLTGLQGKSQIVQEELMKLGEQMVSSSEGTRALALQLCREFEDKFLQHLTGGEGNGWKVVASFEGNFPNRIKQLPIDRHFDINNVKRVVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDLVSASANATPGLGRYPPFKKEIVVIATSALETFKDESKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGLDAEQSILNRATSPQTSQQSGGNLKSLKEKSSQQDKDTQEASGLKTAGPEGEITAGYMLKNSGKGGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECIIDDISDDDEVSTKSSKDKKSNGPDSGKASNLVFKITNKVPYKTVMKAESAVLLKAESMADKVEWINKLRSVAQAKGGPVIGEPGFPMRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSSAKIEELLQEDRNAKGKRERIQKQSSLLSKLTRQLGVHDNRAAAASNWSDRGGAAESSPRSSGPSSGDDWRSAFDAAANGPSDLSSRYGAGGHSRRYSDPSQNGDVSSASNSNSRRTPTRLPPAPPPSGSRY >Vigun09g064700.1.v1.2 pep primary_assembly:ASM411807v1:9:6838209:6841879:1 gene:Vigun09g064700.v1.2 transcript:Vigun09g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIAVSQTQLLYIKPRLVSPPHQSRSVFLPTGLPAGVRGLRATMPRKAVIVSAATAETPKKKGESKGFVEEMRFVAMRLHTRDQAREGEKEVKQPEEKAVTKWDPTVEGYLKFLVDSKVVYDTLEDIVREAPHPSYAEFRNTGLERSESLKEDLEWFKEKGYTIPEPSSPGLSYAQYLKELSVNDPQAFICHFYNIYFAHSAGGRMIGRKVAEQLLDNKALKFYKWDGDLPQLLQNVRDKLNKVAEGWTREEKNHCLEETEKSFKLSGEILRLILS >VigunL051501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:51485:51784:1 gene:VigunL051501.v1.2 transcript:VigunL051501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRPLHDRVVVRRIEAEEKTSGGIIIPETAKEKPQQGEVVAVGPGVRDEHGKLVALSVKAGERVLFGKWSGTEVKIDGEDLLIMKEATSWASSKRRRR >VigunL051501.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:51494:51745:1 gene:VigunL051501.v1.2 transcript:VigunL051501.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RPLHDRVVVRRIEAEEKTSGGIIIPETAKEKPQQGEVVAVGPGVRDEHGKLVALSVKAGERVLFGKWSGTEVKIDGEDLLIMKE >Vigun03g270900.1.v1.2 pep primary_assembly:ASM411807v1:3:44494453:44497566:-1 gene:Vigun03g270900.v1.2 transcript:Vigun03g270900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEKPSSKGQAWFCTTGLPSDIVVEVDDMTFHLHKFPLMSKSRKLHHLITQQEAATHSSAPQQQQGTEDEDEIVEEQCHVTFTAFPGGSEAFEMAAKFCYGVKIDLSPSNVAALRCAGEFLEMTEDYSEDNLVSKTERFLSQHVLNSLKDSVKTLKSCDSLIPMAETLGITQRCVDSVVSRASSEDPALFGWPVSDVTTASKQVLWNGIDGAGRRKAGAGHGESWFEDLALLRLPLFKRLILAMKTAELSPEIIETCVMYYAKKYIPGVSRSNRKPLPLPSSSSSTVATEAEQKELLETLVSNLPLQKSSKAATATRFFFGLLRTANILSASEACRDALEKKIGLQLEEATLDDLLVPSYSYLNETLYDVECVERILSYFLESLDARNVTSNEDPAARSPALMLVGKLIDGYLSEIASDANLKPEKFYNFAISLPDEARLFHDGLYRAVDVYLKAHPWVSEEERENICGLLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLMAAEAAAEPGRQSAVLEREAEEDEEGLGLEHVQEGNGTWRVAVRENQVLRLDMDSMRTRVHQLERECSSMKRVIAKFDKSGGSDGRGGGWRASLGRKFGCKFKTQVCDSHESTAVDTRKGRHHQQPQQQHHPHHE >Vigun10g068864.2.v1.2 pep primary_assembly:ASM411807v1:10:16380930:16381303:1 gene:Vigun10g068864.v1.2 transcript:Vigun10g068864.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQ >Vigun10g068864.1.v1.2 pep primary_assembly:ASM411807v1:10:16380930:16383968:1 gene:Vigun10g068864.v1.2 transcript:Vigun10g068864.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFAKK >Vigun01g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32314024:32314789:1 gene:Vigun01g142200.v1.2 transcript:Vigun01g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSSFSHLNSWEALFSFNDNATNESSILDSIAIGSTKKEKRGYRGVRRRPWGKFAAEIRDPTRNGVRVWIGTFDSAEEAALAYDQGAFLTRGGLATLNFSAQVVVESLEDMGFKALRNGVSPLLELKRMHVMRTKSRASRSCGKKVKRGCGKGKLDPTTETVLVLEDLGPEYLEQLLTFTSPGSWC >Vigun03g258100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42409834:42412813:-1 gene:Vigun03g258100.v1.2 transcript:Vigun03g258100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVKQLLAKPIQLADQVTKAAEEASSSFKQECLELKSKTEKLAGLLRQAARASSDLYERPTRRIIADTELVLDKALSLVLKCRANGLMKRVFSIIPTAAFRKMSSQLENSIGDVSWLLRVSAPAEDRGDEYLGLPPIAANEPILGLIWEQVATLHTGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLMKEGKKEGQENAARAIGLLGRDPESVEIMIHAGVCSVFAKVLKEGPMKVQAVVAWAVSELAAKYPKCQDLFAQHNIVRLLVSHLAFETVQEHSKYAIVSYKPTSIHAVVMASNNSNGNSLRKESEDEEKLMQSRMQHPLGDKSTNQMHKVVTSTMAMHAANKQQQQSNEDNGVAQNPKANGNSNGNGNGNGKQNHQSHQQSYTYSGINMKGRELEDPENKAYMKAMAARALRQLLKGNVAICRSITESRALLCFAILLEKGSEDVKYNCALAVKEITAVAEKDAELRRSAFKPNSPACKAAVDQVLKIIEKEDTKLLIPCIKAIGNLARTFRATETRIIGPLVRLLDEREAEVSREAAISLTKFACSENYLHLDHSKAIISAGGAKHLVQLVYLGEQTVQVSALVLLSYIALHVPDSEDLARAEVLGVLEWASKQPSVTQDETIEALLQESKGRLELYQSRGSRGFQKLHQ >Vigun02g035000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:14604266:14605151:-1 gene:Vigun02g035000.v1.2 transcript:Vigun02g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSYQCHWMSTSGSSCSRKGSFQKSRCDRVFFRAFRKLSFDKGLFGLPFGCRHPITMVIRCQVSVVVVPGSGASGSQDPVKDFSDAFWKLSFEKGLFRVPSDCRHPLTIVIRCRVPIVVVPESGASKSQDVVEDLF >Vigun06g099400.1.v1.2 pep primary_assembly:ASM411807v1:6:22987446:22992786:-1 gene:Vigun06g099400.v1.2 transcript:Vigun06g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNDDDDGETKKSFGSVACSICLEFVTDSGDRSWATLQCGHQFHLDCIGSHFNVKGAMQCPNCRKIEKGQWLYANGGRSYPEFSMDEWSQDEDLYDVSYSEMSFGVHWCPFGNLARLPSSFEEGDFSSTAYHDMLGHQAMFAEHTAVSSSSHPCPYIAYFGPIHPSTSNSGGTVSEASNFSPWNGVPGDMPTSYTFPAVDLHYHSWDHNSSHFSSASSRLGTTDQISVSAGSQRPARGVSEVPRSGSFMHPFLVGHSSAARAGNTVASSMIPPYPGSNARARDRVQALQAYYQPQRHHNSASVRTPVASSTRRSSSLSGSIHLPQVPSSPDQSSGYVYIPGSVAHNFPEESLIPNRFHAWDRDHLPSLALNHVGRESSWRAYHQTASVSDPSIRSSSFRLRHESERMPSQNR >Vigun03g048300.1.v1.2 pep primary_assembly:ASM411807v1:3:3853002:3853286:1 gene:Vigun03g048300.v1.2 transcript:Vigun03g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNAILMGVWTRVELSNHDILPDTGLKWIVCGGGEDAERKHVVSRNKKIKAIGSLFCRQVYP >Vigun07g169100.1.v1.2 pep primary_assembly:ASM411807v1:7:28302809:28308377:-1 gene:Vigun07g169100.v1.2 transcript:Vigun07g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQNDPLLQSETTCGTLLYELQIIWDEVGESESDRDRMLFELEQECLEVYRRKVDLANRSRAQLRQAIADCEAELAAICSSMGERPVHIRQTDQNVGSLKEEHARILPQLEEMQKRKSERRNQFIEVQEQIQSISIEIHGPREYIPAVIDETDLSVRKLEELHRQLHALQIEKSSRLKQVQEYLYTLNSLCSVLGLDFKQTINGIHPSLLESEGSKSVSNDTIQQLAVAIEELREVKLQRMQKLQDLATTMLELWNLMDTPVEEQQMFQNVTCNIAASEHEITEPNTLSVEFINLVETEVARLEKLKSSKMKELVLKKRTELEEICRKTHLIPEIDNAVEYAVDAIESGSVDPACVLEQIELQVAQVKEEAFSRKEILERVEKWLAACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKIPAMVDGLTSKTIAWEKEKGIEFTYDGIRLLSMLEEYTILRQEKEQERRRQRDLKKLQGQMIAEQEALYGSKPSPSKPQSVKKGPRMSTGGSTSRRVSLGGAMLQTPKPDSKATHARAMRKTDKGHQIEHLNYLDDGISGLSAARRGLDIAGVPVKKHSFGAGTSIIESPLTRQPFSPISSNNVASKANVANSTDDYKPGEKLQRTLSLNNVPFTTPSKTATSLVDEENRTPKTMPIPFPATPSTVSVPMSMAMTPVPSSIIKNINLNSTLTTTAVPYGNDLVQEIEYSFEEKRLAYMMLA >Vigun11g141800.1.v1.2 pep primary_assembly:ASM411807v1:11:35153393:35159666:-1 gene:Vigun11g141800.v1.2 transcript:Vigun11g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAQHRESSSSGSIDKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTTSAATTDASCDSVVTTPQHTMRDANNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGSGGTIELVYTQTYAPTTLAPARDFWTLRYTTSLENGSLVVCERSLSGSGSGPNPAAAAQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDDGWSVLNCDGAEDVIIAVNSTKNLSGTSNPASSLTFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLAQEDAFVSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLVPSGFRIIPLDSKPGDKKDIVATNRTLDLTSGFEVGPATTTGADASSSQNTRSVLTIAFQFPFDSSLQDNVAVMARQYVRSVISSVQRVAMAISPSGISPAVGAKLSPGSPEAVTLAHWICQSYSYYLGSDLLRSDSLVGDMMLKHLWHHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCTDFAKLMEQGFAYLPAGICMSTMGRHVSYDQAIAWKVLTGEDNTVHCLAFSFINWSFV >Vigun06g059900.1.v1.2 pep primary_assembly:ASM411807v1:6:18748398:18753424:1 gene:Vigun06g059900.v1.2 transcript:Vigun06g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNTISTALNLVDSKKENLKKAFDDLQSLLSPLPLAWPDLDSHFTSLHHSLSHRLHLLQSQILTLTTTPIPDPPAQTPIEAHFSSNPKIPSSNHVESSPGVSPQNDAVPGSVTPGNELVVLCEKMDGVGLRNYVNDNFLDMARVQAELPGAFRHAPDAGMMVLDALEGFHGDGNGLKKWELRNMRKSCVVLLRQFRVAALSLSAEASLRAVKLAQAWKEKLVGDDNNVFEALGLLHLIASFGLVSEFSWDELVDFSVVAPANEDFPELCRAIGLTEKVPDIVQKLIDKDKHIPAVKYILEFNLADQIPPVPVLEAAVDEAKKLARKLSEEGNSSSESTAREIHTLKLVIKIIENYKLESEHLRATVEQRIEQLKRQKTNNKRSTAAPASKPQHKQQQQQQKRNMQKQQQPRIKHPRASAPIVPAAVLKNVNSAMHHYQQPRVHPSGLFPEHPNPYRSSSAMPFGMMASTPKFLPYAGPSAGSYYGHDGVPMGPRGNPNVSGSHLSSSEPHVPTGGYYDRTSTFGGIGLQHHYQASYYPQ >Vigun09g086700.1.v1.2 pep primary_assembly:ASM411807v1:9:11513665:11516837:-1 gene:Vigun09g086700.v1.2 transcript:Vigun09g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAASAKRLLRTPSLEAPPLGIRVLPRLYHERVVDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDEKTGKIIDARFKTFGCGSAIASSSVATEWVKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRASITTEGQGTTAEKTATA >Vigun07g046800.2.v1.2 pep primary_assembly:ASM411807v1:7:4843118:4847129:-1 gene:Vigun07g046800.v1.2 transcript:Vigun07g046800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SNEDNNVVIDSAQQRLVSNIRNKRFHVLPKGPVPPSAPSHGCSTPPCPPSIKNKRFHVLPKGPVPPSAPSHGCSTPPCPPSNVVNNIKSKGFHVLPKGPVPPSAPSHGCSTPPCPPSNAVNNIKSKGFHVLPKGPVPPSAPSHGCSTPPCPPSNVDNNIKNKGFHVLPKGPVPPSGPSRPCTHPPCGNRVN >Vigun02g018600.4.v1.2 pep primary_assembly:ASM411807v1:2:6490515:6506911:-1 gene:Vigun02g018600.v1.2 transcript:Vigun02g018600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGKQEYAEFLHQPRKRFSDFAAVRQEISDETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNAVEVLEGRQYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFETSPEYGHLAHKMGAEYLARLLSQHLELVIRQKIPSIIALIKKAIDELNAELDRIGRPIAVDSGAKLYTILQMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFNRHLSVKNVERVVTEADGYQPHLIAPEQGYRRLIEGSIGYFKGPAEASVDAHSNRNKKLLQKNELPLVHLILKELVRKSISETEELKRFPTLQADIAAAANDALERFREESRRTVIRMVDMESGYLTVEFFRKMHLEPEKDSDPKNPNRSTPKPNVDTHSDSHLSKIGSNVNGYINMVCDSLKHSIPKAVVHCQVREAKRSLLNQFYVQVGKRERNAL >Vigun02g018600.5.v1.2 pep primary_assembly:ASM411807v1:2:6490516:6506910:-1 gene:Vigun02g018600.v1.2 transcript:Vigun02g018600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGKQEYAEFLHQPRKRFSDFAAVRQEISDETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNAVEVLEGRQYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFETSPEYGHLAHKMGAEYLARLLSQHLELVIRQKIPSIIALIKKAIDELNAELDRIGRPIAVDSGAKLYTILQMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFNRHLSVKNVERVVTEADGYQPHLIAPEQGYRRLIEGSIGYFKGPAEASVDAVHLILKELVRKSISETEELKRFPTLQADIAAAANDALERFREESRRTVIRMVDMESGYLTVEFFRKMHLEPEKDSDPKNPNRSTPKPNVDTHSDSHLSKIGSNVNGYINMVCDSLKHSIPKAVVHCQVREAKRSLLNQFYVQVGKRERNAL >Vigun02g018600.3.v1.2 pep primary_assembly:ASM411807v1:2:6490516:6506910:-1 gene:Vigun02g018600.v1.2 transcript:Vigun02g018600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGKQEYAEFLHQPRKRFSDFAAVRQEISDETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNAVEVLEGRQYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFETSPEYGHLAHKMGAEYLARLLSQHLELVIRQKIPSIIALIKKAIDELNAELDRIGRPIAVDSGAKLYTILQMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFNRHLSVKNVERVVTEADGYQPHLIAPEQGYRRLIEGSIGYFKGPAEASVDAVHLILKELVRKSISETEELKRFPTLQADIAAAANDALERFREESRRTVIRMVDMESGYLTVEFFRKMHLEPEKDSDPKNPNRSTPKPNVDTHSDSHLSKIGSNVNGYINMVCDSLKHSIPKAVVHCQVREAKRSLLNQFYVQVGKREKDQLGALLDEDPALMEKRSQLAKRLELYKQAMDDIDSVAWK >Vigun02g018600.2.v1.2 pep primary_assembly:ASM411807v1:2:6490515:6506911:-1 gene:Vigun02g018600.v1.2 transcript:Vigun02g018600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGKQEYAEFLHQPRKRFSDFAAVRQEISDETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVQDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNAVEVLEGRQYRLQHPWVGIVNRSQADINKNVDMIVARKKEREYFETSPEYGHLAHKMGAEYLARLLSQHLELVIRQKIPSIIALIKKAIDELNAELDRIGRPIAVDSGAKLYTILQMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFNRHLSVKNVERVVTEADGYQPHLIAPEQGYRRLIEGSIGYFKGPAEASVDAHSNRNKKLLQKNELPLVHLILKELVRKSISETEELKRFPTLQADIAAAANDALERFREESRRTVIRMVDMESGYLTVEFFRKMHLEPEKDSDPKNPNRSTPKPNVDTHSDSHLSKIGSNVNGYINMVCDSLKHSIPKAVVHCQVREAKRSLLNQFYVQVGKREKDQLGALLDEDPALMEKRSQLAKRLELYKQAMDDIDSVAWK >Vigun10g110601.1.v1.2 pep primary_assembly:ASM411807v1:10:31081090:31083573:1 gene:Vigun10g110601.v1.2 transcript:Vigun10g110601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPSSKELLWIQKLNVTAFPNLEYLYLDGMGLRGTIPTQIATLTNLSYLILSNNHLHGSIPPQLGNLTQLQMLYLSQNSLTGLVPSSLGNLKNLRALLLHANKLQGSIPPQLGNLTQLTNLFLCDNSLSGLIPPELGNLTQLEVLFLCVQYGLPSVRRTKDHRSGRSSFMKTTDRTHDSSVPRSPDQANGRRAELRSEMQDLDVNCGQIREDLDWTTEQQEKELYKLAKEDVRQEEKKGLIPYALGQLINLQYLYLESNKLEGRIPTELGNLTKLQILLLSGNSLTGSIPPAFGQLKNLTDFFLQSNQITGPIPVEFGNLNSLQTLHLSYNSLNGSIPHSLGRLENLVHLYLDSNLLQGHIPEELGNLTKLQVLQLSHNKISGLLPPKLLQMEKMSSLYLSSNQLCSSIPLKTMKCPYHTAVDLSPNLFNGTITSEIGCINDLSLSHNFLVGEIPFLFRRSFILSRLDLSYNNFSGKIHKELASLSYINLAYNSFDFSQDLDIKSNLPDYCYFEEDSLINDHHMPNFTYCHLLHQTNPQIRKSKPVIMLIVLPIIFFILLLLLSILYFSRCKPKKKCEGIATKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHRVESQNPSFEKVLGMR >Vigun11g093200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27569321:27569605:1 gene:Vigun11g093200.v1.2 transcript:Vigun11g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRATPLSMLALIIFSSFFITLHARATLQSHVPSFSHHKALQIQRLLHKSGIDLAKRARDDEDGDLPLAPGDRLAPGGPDPQHNGKQPPRRS >Vigun05g054900.1.v1.2 pep primary_assembly:ASM411807v1:5:4693961:4699326:1 gene:Vigun05g054900.v1.2 transcript:Vigun05g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERLAFFLVGLFLLQLFCVANAKLVFPVERKFKGSVENLAAMKDHDAQRRGRFLSAVDIPLGGNGRANSNGLYYTKIGLGSPAKDYYVQVDTGSDILWVNCVGCTTCPRKSGLGMDLTLYDPAQSTTSNLVGCNDDFCTSTYNGPISGCTKDMACPYSITYGDGSTTSGSYIKDYITFDRANGDHSTVPDNSTVIFGCGAKQSGTLSSGSDESLDGIVGLGQSNASVLSQLAAAGKVKRVFSHCLDSYNGGGVFAIGEVVQPKFNKTSLVPKMAHYNVIMKDLEVGGDIIQLPTDIFDFSSGRGTIIDSGTTLAYLPSSVYEQVLDKVLAAQPGMKLYLVEEQFTCFRFDDNVDNGFPNVKFTFEEGLTLTTYPHDYLFLFKENMWCVGWQKSMAQTKDGQEIILLGDLVLSNKLVVYDLENMTIGWTDYNCSSSIKVKDDETGKVYAVSSHDLSSASTVIIGSVLTLLMMLITILSS >Vigun05g054900.3.v1.2 pep primary_assembly:ASM411807v1:5:4695112:4699326:1 gene:Vigun05g054900.v1.2 transcript:Vigun05g054900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMDLTLYDPAQSTTSNLVGCNDDFCTSTYNGPISGCTKDMACPYSITYGDGSTTSGSYIKDYITFDRANGDHSTVPDNSTVIFGCGAKQSGTLSSGSDESLDGIVGLGQSNASVLSQLAAAGKVKRVFSHCLDSYNGGGVFAIGEVVQPKFNKTSLVPKMAHYNVIMKDLEVGGDIIQLPTDIFDFSSGRGTIIDSGTTLAYLPSSVYEQVLDKVLAAQPGMKLYLVEEQFTCFRFDDNVDNGFPNVKFTFEEGLTLTTYPHDYLFLFKENMWCVGWQKSMAQTKDGQEIILLGDLVLSNKLVVYDLENMTIGWTDYNCSSSIKVKDDETGKVYAVSSHDLSSASTVIIGSVLTLLMMLITILSS >Vigun05g054900.2.v1.2 pep primary_assembly:ASM411807v1:5:4693961:4700429:1 gene:Vigun05g054900.v1.2 transcript:Vigun05g054900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERLAFFLVGLFLLQLFCVANAKLVFPVERKFKGSVENLAAMKDHDAQRRGRFLSAVDIPLGGNGRANSNGLYYTKIGLGSPAKDYYVQVDTGSDILWVNCVGCTTCPRKSGLGMDLTLYDPAQSTTSNLVGCNDDFCTSTYNGPISGCTKDMACPYSITYGDGSTTSGSYIKDYITFDRANGDHSTVPDNSTVIFGCGAKQSGTLSSGSDESLDGIVGLGQSNASVLSQLAAAGKVKRVFSHCLDSYNGGGVFAIGEVVQPKFNKTSLVPKMAHYNVIMKDLEVGGDIIQLPTDIFDFSSGRGTIIDSGTTLAYLPSSVYEQVLDKVLAAQPGMKLYLVEEQFTCFRFDDNVDNGFPNVKFTFEEGLTLTTYPHDYLFLFKENMWCVGWQKSMAQTKDGQEIILLGDLVLSNKLVVYDLENMTIGWTDYNCSSSIKVKDDETGKNNQMVL >Vigun05g006850.1.v1.2 pep primary_assembly:ASM411807v1:5:610859:611936:1 gene:Vigun05g006850.v1.2 transcript:Vigun05g006850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWNFEACSSLFASFAASVIIITSLSIICYPLLALSNIPVIWKRSCKVEGERKWVLADDRKNKRAD >Vigun05g181000.3.v1.2 pep primary_assembly:ASM411807v1:5:34518344:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.2.v1.2 pep primary_assembly:ASM411807v1:5:34518324:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.9.v1.2 pep primary_assembly:ASM411807v1:5:34518362:34520822:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.4.v1.2 pep primary_assembly:ASM411807v1:5:34518362:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.8.v1.2 pep primary_assembly:ASM411807v1:5:34518325:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.7.v1.2 pep primary_assembly:ASM411807v1:5:34518325:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.1.v1.2 pep primary_assembly:ASM411807v1:5:34518351:34520862:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.6.v1.2 pep primary_assembly:ASM411807v1:5:34518324:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun05g181000.5.v1.2 pep primary_assembly:ASM411807v1:5:34518352:34521022:-1 gene:Vigun05g181000.v1.2 transcript:Vigun05g181000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLAAAHPSVLFVPPSSASPCLTRNKDLIPKRCSIINRKKNYAITAFAGNALGKSTIQLTADSSPLFAAKEARLSLRFPRSQRRNSTIRGQAFIYHSSGFRIPANAEKPEWWWRALSCVPYLIALQTSAAGIYLEPILEKFPLFHGLIFYIPGAVNRLPNWFPMLYCYVAIVWVVKNKDLPLIFRFHVMMGMLLELALQIVWISSNFMPLIHFKGTLGTYYWAGVALAYIFVMIRCIRCALLGTFVSFPVLSESAFLHSLFSLGGFQRPF >Vigun01g181300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36229927:36230874:-1 gene:Vigun01g181300.v1.2 transcript:Vigun01g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEKEKARKTTFQKRKNGLMKKVYEFSTLCSVDVGVIIFASKFLDEPETWPQDPRELKRVIQKYLNTTSDRRPKVYDVEEYFSERMKRIEGEISKVHKGNIQLKYPTWDESYNVLEEEQLRMFVGILDAKLDACNQRMNMFKRDSKGKAIAESDYDGSHLSFMQNMSQTQNMSQTQVFPTNDNSQVTSYSCHQSQSSLPTLFQLGQNCTQLIEKSTVVDWSNQVGASDHRMSTQEDGLDKNQNSLPCFYNANIQTMHPYNNVALQTLPSQLQYDATFQTLSNRPGPSQGFTPNGYDHSTILQPHFLDHIHRRK >Vigun10g048100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7213785:7214479:1 gene:Vigun10g048100.v1.2 transcript:Vigun10g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSSDKDSYSPEVSSFVPSSPFVGPSPLKKPNNLGFMVDFLERMTMGIDRIVEVMNESNMLRRKQVEMAERQAIAMEKQNDLISEQTSIMRRSSAMQYLESEIWDMLVQLNLDDEDLLKQYYDYLCDNPKLVRLLFGLPTHLRHNYLLKHMTGGGDSS >Vigun06g180200.1.v1.2 pep primary_assembly:ASM411807v1:6:30017220:30020718:-1 gene:Vigun06g180200.v1.2 transcript:Vigun06g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKKPSARENSSSSSTTTTAGEEETEWEMRPGGMLVQKRTVQTDAPARSLRLRIAYGALRYEICVSSMATFGEVKKVLSGETGLQVDEQRVVYRGKERQNGEFLDACGVKDRSKVVLIQDPSSIERRFIQMRINAKIQNAHRAINTVALQLDQLADQVSAIEKSISNGVKVPEVQITTLIEMLMRQAIKLESITAEGDASAQKHLQGKRVQKCVETLDELKVSNARIKPVVVTTKWETFDHIPSSTTWEIFD >Vigun01g133100.2.v1.2 pep primary_assembly:ASM411807v1:1:31089995:31099655:-1 gene:Vigun01g133100.v1.2 transcript:Vigun01g133100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVKLVSKKESSCYPLMFWTRHVTQITTSYHRYQRETQSQRSKFTARSSSYQRPKHATGGPGMQAVFLVSGQGSCGGTGVFLPQKAGTKSTRKPACAPVLLPARVVQALNLKVHQLGMQISPSQGPKYGQRNGEVYRSESTKKKSYEKEGMKQCSVIISQSQSCSPDIFLPKEWTY >Vigun01g133100.1.v1.2 pep primary_assembly:ASM411807v1:1:31089995:31099637:-1 gene:Vigun01g133100.v1.2 transcript:Vigun01g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHDDGEVGFEEGKLLLPSNVLDEACDSNNNKIHKRSHQKHQQPSYHRYQRETQSQRSKFTARSSSYQRPKHATGGPGMQAVFLVSGQGSCGGTGVFLPQKAGTKSTRKPACAPVLLPARVVQALNLKVHQLGMQISPSQGPKYGQRNGEVYRSESTKKKSYEKEGMKQCSVIISQSQSCSPDIFLPKEWTY >Vigun04g098668.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:22670106:22670642:1 gene:Vigun04g098668.v1.2 transcript:Vigun04g098668.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFESITAWSNHMSIGNKRIWVRCRGILISLWGWECFEKVIGLIGSLIKIDKDTVEEEQLEFARLCIRLPIESIVNVRGKIKINGIIYQISIREEMSSLQPLKCTCRAFENNAKDESSSNSFTGNVLLDSSDSNCASWDNFELSQGNDDVSNVSERKQLVNNNDIQLRVRQTHLFRGQQ >Vigun08g120400.2.v1.2 pep primary_assembly:ASM411807v1:8:28893741:28898437:-1 gene:Vigun08g120400.v1.2 transcript:Vigun08g120400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEPLAYAANGHPKDFDASAPPPFKIAEIRAAIPEHCWVKNPWRSLSYVLRDVVVIAALAAAAIHFDNWFIWLLYWPVQGTMFWAIFVLGHDCGHGSFSNSSFFNNLVGHILHSSILVPYHGWRVSHRTHHQNHGHIEKDESWVPLTEKIYKNLDYMTRLARFTVPFPLFAYPIYLFGRSPGKEGSHFNPYSNLFPPNERKGIAISTLCWLIMFSLLIYLSFIISPLQVIKVYGIPYWIFVMWLDFVTYLHHHGHPRKLPWYRGQEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLVEATKAAKGVLGEYYREPERSAPIPFHLIKYLMQSMREDHFVSDTGDVVYYQTDPHLHQD >Vigun08g120400.1.v1.2 pep primary_assembly:ASM411807v1:8:28893741:28896900:-1 gene:Vigun08g120400.v1.2 transcript:Vigun08g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEPLAYAANGHPKDFDASAPPPFKIAEIRAAIPEHCWVKNPWRSLSYVLRDVVVIAALAAAAIHFDNWFIWLLYWPVQGTMFWAIFVLGHDCGHGSFSNSSFFNNLVGHILHSSILVPYHGWRVSHRTHHQNHGHIEKDESWVPLTEKIYKNLDYMTRLARFTVPFPLFAYPIYLFGRSPGKEGSHFNPYSNLFPPNERKGIAISTLCWLIMFSLLIYLSFIISPLQVIKVYGIPYWIFVMWLDFVTYLHHHGHPRKLPWYRGQEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLVEATKAAKGVLGEYYREPERSAPIPFHLIKYLMQSMREDHFVSDTGDVVYYQTDPHLHQD >Vigun09g227100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39919797:39920400:-1 gene:Vigun09g227100.v1.2 transcript:Vigun09g227100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVLTITTMTVLLAVVSAAYAADAPAPSPTSPAPVISPSFVAGFLAAAVALVFGSSHRI >Vigun02g107900.1.v1.2 pep primary_assembly:ASM411807v1:2:26181368:26193339:1 gene:Vigun02g107900.v1.2 transcript:Vigun02g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTLGRELAMGSHGQSKEFLDLIKSIGEARSKAEEDRIVLREIETLKRRINDADTPKRKMKEYIIRLLYVEMLGHDASFGYIHAVKMTHDDALLLKRTGYLAVTLFLSDDHDLIILIVNTIQKDLASDNYLVVCAALNAVCRLINEETIPAVLPRVVDLLNHPKDAVRKKAVMALHRFYQKSPSSVSHLLTNFRKRLCDNDPGVMGASLCPLFNLVSDDANSYKDLVVSFVNILKQVAEHRLPKTYDYHQMPAPFIQIKMLKILALLGSGDKQASGHMYTVLGDIIRKSDSMTNIGNAVLYECICCVASIYPNPKLLEAAADVIAKFLKSDSHNLKYMGIDALGRLIKLSPQIAEQHQLAVIDCLEDPDDSLKRKTFELLYKMTKSSNVEVIVDRMIDYMISISDDHYKTYIASRCVELAEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDAADSQLRSSAVESYLRIIGEPKLPSVFLQVICWVLGEYGTADGKYSASYISGKLCDIAEAYSNDENVKAYAISALMKIYAFEVAARRKVDILPECQSLIEELLASHSTDLQQRAYELQALIGLGAQAVETIMPRDASCEDIEVDNNLSFLDGYVQQSLERGAQAYIPEDERTGMGNMNDFRSQDHNESLQHGLRFEAYEVPKAPMQLKATPVSYASSADIVPVPEALSSRETHHISSAGSTSEAGSSELKLRLDGVQKKWGRPTYSSSASSASDSYSTSQKPTNGATQVDGATAVNSKVRDSYDSRKTQVEITPEKQKLAASLFGGSTKPEKRLSTSHKVPKSNAAVVPNEVAVEKTIHQPPPPDLLDLGESADTTAPPSVDPFQQLEGLYDPSINSGTGHNAGATTNAPDIMGLYSEGSGGYSIPVSGNNVNLLSELSNPAVKATTGETITTPLPQSTKGPNAKDSLDRDALVRQMGVNPSSQNPNLFSDLLG >Vigun03g208200.1.v1.2 pep primary_assembly:ASM411807v1:3:34163484:34165151:1 gene:Vigun03g208200.v1.2 transcript:Vigun03g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLIYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQALKG >Vigun01g093000.2.v1.2 pep primary_assembly:ASM411807v1:1:25501901:25505813:1 gene:Vigun01g093000.v1.2 transcript:Vigun01g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHQIHYLETEAYCSVLKAFIAQSDLLTWGKEELMTELRKELNVTDFEHGEILAKINSDELIKQIREERKLSSHAKDYIKASAPHCASASMGNAVMKLKTPPSAAIYTQKMSRSQTCLVSIPTPSSKPPKFNGDSLTAEFVHGKVQQSMEMFNYSVQLPPVGGGRVLKGKHLLQKDLHRSHSAKLKKRSDVIQLRPTDRVIRDVEKMLFSKEKPDPVDLEIARRTLREQESDITEALDKVTDVLEKRGDAPNQMQHY >Vigun01g093000.1.v1.2 pep primary_assembly:ASM411807v1:1:25501057:25505813:1 gene:Vigun01g093000.v1.2 transcript:Vigun01g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQVMMEYTYDTNYCTVEPHGIRDVMDLEHQIHYLETEAYCSVLKAFIAQSDLLTWGKEELMTELRKELNVTDFEHGEILAKINSDELIKQIREERKLSSHAKDYIKASAPHCASASMGNAVMKLKTPPSAAIYTQKMSRSQTCLVSIPTPSSKPPKFNGDSLTAEFVHGKVQQSMEMFNYSVQLPPVGGGRVLKGKHLLQKDLHRSHSAKLKKRSDVIQLRPTDRVIRDVEKMLFSKEKPDPVDLEIARRTLREQESDITEALDKVTDVLEKRGDAPNQMQHY >Vigun10g030400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:3886511:3889557:-1 gene:Vigun10g030400.v1.2 transcript:Vigun10g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRTKRRADVEIGDEGEDLVLATLVANGDDISPLVRHAFEMGRPMGLLRQLDFVVKKKEAEIEAMCKTHYEEFILAVDELRGVLVDAEELKSELQSDNFKLQQVGSTLLIKLEELFVSYTVKKNVTEAIKMSKNCMKVLELCVKCNNHISEGEFYPALKTVDLLEKTYIENIPAKALKRVIEKRIPSIKSHIEKKVCSQVNEWMVQIRSSCKIIGQTAIGRAASNRQRDEEMLERKRKSDGLNISGGEDRAYSLVVEEDEDSAMKFDLTPLYRACHIHSCLGILEQFHEYYYKNRLLQLNSDLEISSMQPFIEYYQTFLAQIAGYFIVEDRVLRTGNGLLEPDQVETMWETALAKITSMLEVHLPQMKSATHLLLVKDYVALLGSTLRQYGYDIGQLHDVLDNTRDKYHRLLLEECRNQIVDVLGSDTYEQMVIKKDSDYENHVLSYNLQTSEIMPAFPYVASFSSMVPDACRIVRAFIKGSVDYLSYGVRSGLFDVVRKYLDKFLIEVLNESLLDIINSSSISVSQAMQLAANITVLERACDFFLRHAAHQCCIPVRAAEKPQGSLTAKVLLKTSRDAAYIALLSLVNAKLDEYMNLIDGINWTTEETKTTGNEYMNEVIFYLDSLMSTAQQILPLDATYKVGTSTLEHISNTIVGAFLSDNLKRFNANAVMNINIDLKMLESFADDRFYSSGLCEVYPGGGFKGCLIEARQLINLLSSSQPENFMNPVIRERNYYALDYKRVAYICEKFKDSADGIFGSLSNKNTKQTAKKKSMEVLKKRLKDFN >Vigun01g148000.1.v1.2 pep primary_assembly:ASM411807v1:1:32969282:32977252:-1 gene:Vigun01g148000.v1.2 transcript:Vigun01g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSVKAGSNLRAGSTRSSLRIGSVSVWRNSGVEMFGTSFHQEDDEEALKWAAIQKLPTMARLRTALLTSPQGVANEIDVHQLGLQERRGLLERLVRVAEGDNEKFMLKLRERIDRVGVTIPTIEVRFEGVNVGAEVHVGSRAVPTLTNYMVNKVEGLLNFLHLLPSRKQHMNIIHDVSGIIRPARMTLLLGPPSSGKTTLLLALAGRLDSKLKFNGKVTYNGHGMNEFIPQKTAAYVSQHDLHIGEMTVRETMAFSARVQGVGPRYDMLTEVSRREKEANITPDHDIDVYMKAVATEGQKANFITDYILRVLGLEVCADTVVGNEMLRGISGGQRKRVTTGEILVGPAKALFMDEISTGLDSSTTFQVVNSLKHYIHSLKGTAVVSLLQPAPETYNLFDDIILLSDGQIVYQGPREHVLEFFASVGFKCPERKGVADFLQEVTSRKDQEQYWVLRDQPYRFVTTKEFVEAFQSFHVGRSLANELDTQFDKSKSHPAALATEKYGLGKWELFKACLSRECLLMKRNSFLHIFQLFRSATVAFISMTVLFRTEIHHDSVTDGGIYVGALFYALLITLLDGFTDLTVTVSKLPVFYKQRDFLFFPSWIYVLPGWILKIPVTLGQVGIWVFLTYYVIGFDPYVGRLFRQFLLLLVVNQMASSLFRFIGAVSRELTVGFTIGSYVLSILIAMSGFVLSKDNVKKWWLWGFWSSPMMYGQNALVNNEFLGKRWRHVLPNSTTPLGVEVLKSRGFFTEPKWYWIGVGALFGYAIVFNITYILALSYLNPIVQHQAVKSEESQSNAQDGGSISARSSSRRKETERRGMVLPFESYSITFDDVTYSVDMPQEMKNQGVLEDRLELLKGVSGAFRPGVLTALMGSTGAGKTTLMDVLAGRKTGGYIGGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSAEINSETMKMFIEEVIGLVELNSLKHAMVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVIRAVRNIVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGQEIYVGPLGHHSSHLISYFEEIKGVHTIEDGYNPATWMLEVTTSAAEMELGIDFAEVYKNSELYRKNKEMIKELSTPVTGSKDLHFPTKYSRSFITQCMACLWKQHLSYWRNNQYTAQRFLYTIALALLFGSIYWDLGSKIKKQQDLFNAMGSMYSAVLLLGVKNAYSAQPLVAVERTVFYREKAAGMYSALAYAFAQVVIELPHVLVQSVVYSLIVYSMIGFEWTVAKFFWYLFFMYLTFLYFTYYGMMSAALTANPTIAIIFSSGIYEVWNLFAGFIIPRPRIPVWWRWYYWGNPVAWTLYGLVASQYGDIQGSVDFNGKSTSVEEFLRKYFGFRHDFLGVVSAVLIVFAVTFACIFAIAIKLLNFQRR >Vigun08g195900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36216089:36216691:-1 gene:Vigun08g195900.v1.2 transcript:Vigun08g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPSDVTPLSSTPLSSSDDNTFDDAIDSSSHPKSSSSSSSKRARGRPVGSKNKPKLTLLMNQDNEHVKKPIFIQIPKNSDVIETLIQFSCDYQISITIQSASGSILKVSLRDNESITSTFIVYGPFTLVSLIGTCINNNSYTVSSLSNLDTASFFNISFCSNIGQSFIGVVGGKVIAGDDVVVAATVFNNDENNQSENQ >Vigun08g215550.4.v1.2 pep primary_assembly:ASM411807v1:8:37602436:37614239:1 gene:Vigun08g215550.v1.2 transcript:Vigun08g215550.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTSDILTEKSMNFESRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSSTVEVQRIQEKVASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKSCKILITTRSEEVCTLMDCQKTIYLPILTDEEAWALFQNKALISKDTPKTVRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSTLNKLRSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTELEVSDAIFEKMEKLRVLIVSNQSQDNELQLSTMSFKILQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSGCYIERENFEEIKLIPSLEELYILKNFWNGNVEFFSVLPKTLQRYGIVLGYYQLAYYSPQDEFCSYNATLGLSHFDISNEIIMRMAKSAKELIMRNIEGDAKNIVPDIFQTGASMSELNEFQIYRSEIECLVDTSNHLNEVGNVFSELCSLTIVNMQCLRALWHGCVPVDRSFEKLEKLFIENCPKLKSLLTCDMARGLVQLKLLKISDCDILKHIVTNDDNFTKKSEDEFAIGHFEQSKIFQNLEDLTVIRCGELKDVFPTGIIGGLPQLKRLVITKCNMLEQIIGDVVPSSHQDEKEEKDKIIEENEHQHFESNRLIFSSKSTSTPSPPIVNHNSGSFSLSSLAQLEILSCPMLLGSLFTTCVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLWLADLPRMTHIWVATNNSFILQHLNSFFVTKCQKLKVIFPRSMLRSLPELNHLCVRECEELRQVIEDSSIIAYSPRPCFPKLQSLHIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFVHLSNFNQETIFLNVKYRIVRNCSKFSLTSTITPDELPQKTFSIEGLEDSLSYSWYMKPLMHEIQKLDEVSGSNNSTELPSSQELVDGKSTNGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMLDSRVEMVGEHQTIETKTLVSETQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTQDPRTRLEKYKQFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDFFDAPTKCFDFL >Vigun08g215550.3.v1.2 pep primary_assembly:ASM411807v1:8:37602436:37614239:1 gene:Vigun08g215550.v1.2 transcript:Vigun08g215550.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTSDILTEKSMNFESRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSSTVEVQRIQEKVASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKSCKILITTRSEEVCTLMDCQKTIYLPILTDEEAWALFQNKALISKDTPKTVRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSTLNKLRSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTELEVSDAIFEKMEKLRVLIVSNQSQDNELQLSTMSFKILQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSGCYIERENFEEIKLIPSLEELYILKNFWNGNVEFFSVLPKTLQRYGIVLGYYQLAYYSPQDEFCSYNATLGLSHFDISNEIIMRMAKSAKELIMRNIEGDAKNIVPDIFQTGASMSELNEFQIYRSEIECLVDTSNHLNEVGNVFSELCSLTIVNMQCLRALWHGCVPVDRSFEKLEKLFIENCPKLKSLLTCDMARGLVQLKLLKISDCDILKHIVTNDDNFTKKSEDEFAIGHFEQSKIFQNLEDLTVIRCGELKDVFPTGIIGGLPQLKRLVITKCNMLEQIIGDVVPSSHQDEKEEKDKIIEENEHQHFESNRLIFSSKSTSTPSPPIVNHNSGSFSLSSLAQLEILSCPMLLGSLFTTCVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLWLADLPRMTHIWVATNNSFILQHLNSFFVTKCQKLKVIFPRSMLRSLPELNHLCVRECEELRQVIEDSSIIAYSPRPCFPKLQSLHIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFVHLSNFNQETIFLNVKYRIVRNCSKFSLTSTITPDELPQKTFSIEGLEDSLSYSWYMKPLMHEIQKLDEVSGSNNSTELPSSQELVDGKSTNGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMLDSRVEMVGEHQTIETKTLVSETQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTQASTDPRTRLEKYKQFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDFFDAPTKCFDFL >Vigun08g215550.5.v1.2 pep primary_assembly:ASM411807v1:8:37602436:37614239:1 gene:Vigun08g215550.v1.2 transcript:Vigun08g215550.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTSDILTEKSMNFESRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSSTVEVQRIQEKVASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKSCKILITTRSEEVCTLMDCQKTIYLPILTDEEAWALFQNKALISKDTPKTVRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSTLNKLRSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTELEVSDAIFEKMEKLRVLIVSNQSQDNELQLSTMSFKILQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSGCYIERENFEEIKLIPSLEELYILKNFWNGNVEFFSVLPKTLQRYGIVLGYYQLAYYSPQDEFCSYNATLGLSHFDISNEIIMRMAKSAKELIMRNIEGDAKNIVPDIFQTGASMSELNEFQIYRSEIECLVDTSNHLNEVGNVFSELCSLTIVNMQCLRALWHGCVPVDRSFEKLEKLFIENCPKLKSLLTCDMARGLVQLKLLKISDCDILKHIVTNDDNFTKKSEDEFAIGHFEQSKIFQNLEDLTVIRCGELKDVFPTGIIGGLPQLKRLVITKCNMLEQIIGDVVPSSHQDEKEEKDKIIEENEHQHFESNRLIFSSKSTSTPSPPIVNHNSGSFSLSSLAQLEILSCPMLLGSLFTTCVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLWLADLPRMTHIWVATNNSFILQHLNSFFVTKCQKLKVIFPRSMLRSLPELNHLCVRECEELRQVIEDSSIIAYSPRPCFPKLQSLHIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFVHLSNFNQETIFLNVKYRIVRNCSKFSLTSTITPDELPQKTFSIEGLEDSLSYSWYMKPLMHEIQKLDEVSGSNNSTELPSSQELVDGKSTNGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMLDSRVEMVGEHQTIETKTLVSETQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLELLQILVQDWKSISSSLISMIHKFLC >Vigun08g215550.2.v1.2 pep primary_assembly:ASM411807v1:8:37602436:37614239:1 gene:Vigun08g215550.v1.2 transcript:Vigun08g215550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTSDILTEKSMNFESRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSSTVEVQRIQEKVASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKSCKILITTRSEEVCTLMDCQKTIYLPILTDEEAWALFQNKALISKDTPKTVRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSTLNKLRSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTELEVSDAIFEKMEKLRVLIVSNQSQDNELQLSTMSFKILQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSGCYIERENFEEIKLIPSLEELYILKNFWNGNVEFFSVLPKTLQRYGIVLGYYQLAYYSPQDEFCSYNATLGLSHFDISNEIIMRMAKSAKELIMRNIEGDAKNIVPDIFQTGASMSELNEFQIYRSEIECLVDTSNHLNEVGNVFSELCSLTIVNMQCLRALWHGCVPVDRSFEKLEKLFIENCPKLKSLLTCDMARGLVQLKLLKISDCDILKHIVTNDDNFTKKSEDEFAIGHFEQSKIFQNLEDLTVIRCGELKDVFPTGIIGGLPQLKRLVITKCNMLEQIIGDVVPSSHQDEKEEKDKIIEENEHQHFESNRLIFSSKSTSTPSPPIVNHNSGSFSLSSLAQLEILSCPMLLGSLFTTCVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLWLADLPRMTHIWVATNNSFILQHLNSFFVTKCQKLKVIFPRSMLRSLPELNHLCVRECEELRQVIEDSSIIAYSPRPCFPKLQSLHIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFVHLSNFNQETIFLNVKYRIVRNCSKFSLTSTITPDELPQKTFSIEGLEDSLSYSWYMKPLMHEIQKLDEVSGSNNSTELPSSQELVNGTSINSEVDEKSNRETYSQELVDGKSTNGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMLDSRVEMVGEHQTIETKTLVSETQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTQDPRTRLEKYKQFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDFFDAPTKCFDFL >Vigun08g215550.1.v1.2 pep primary_assembly:ASM411807v1:8:37602436:37614239:1 gene:Vigun08g215550.v1.2 transcript:Vigun08g215550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYGLLADISKDLVCKGLNQLQYSLCFNGFVKELEEEENNLTETRENVERRVTDARRQTSKTSEVIDKWLENAKIDSEYVNRLLREANARKSCFFGYCPNWIWRYRLGKKLANKKAELEKIIQEGRQYIQLERIASIPSNTSDILTEKSMNFESRKYAYDQVMKALKYDGVGTIGLYGMGGCGKTTLAMEVKKIAEAEHLFDKVIFVPVSSTVEVQRIQEKVASSLQYTFPENQEMERAQRLCMRLTQEKNILIILDDVWEKLDFGRIGIPSSEHHKSCKILITTRSEEVCTLMDCQKTIYLPILTDEEAWALFQNKALISKDTPKTVRDLAISISNECKGLPVAIVAVASSLKEKQKDVWRSTLNKLRSSKPINIGKGLQDPYKCLQLSYDNLDTKEAKSLLLLCSAFPEDYEIPIEGLIRCAIGLGVVDGFDTYEEARTEVTATKIKLVSSCLLLDVGDKHVKMHDLVRDVAQWIAKNENKVIKCELEKDVTLEHGSMRYLWCVKFSNDMDCSNLEFLSIQTELEVSDAIFEKMEKLRVLIVSNQSQDNELQLSTMSFKILQNLRCLVLQYWKLSDISFVRDMKKLQSLSLRRCSLPSFLELQTDVGFTQLLNLKLLEFSGCYIERENFEEIKLIPSLEELYILKNFWNGNVEFFSVLPKTLQRYGIVLGYYQLAYYSPQDEFCSYNATLGLSHFDISNEIIMRMAKSAKELIMRNIEGDAKNIVPDIFQTGASMSELNEFQIYRSEIECLVDTSNHLNEVGNVFSELCSLTIVNMQCLRALWHGCVPVDRSFEKLEKLFIENCPKLKSLLTCDMARGLVQLKLLKISDCDILKHIVTNDDNFTKKSEDEFAIGHFEQSKIFQNLEDLTVIRCGELKDVFPTGIIGGLPQLKRLVITKCNMLEQIIGDVVPSSHQDEKEEKDKIIEENEHQHFESNRLIFSSKSTSTPSPPIVNHNSGSFSLSSLAQLEILSCPMLLGSLFTTCVAKTLTSLEELNIDQCDGLKHIVTPARVKRNKKENMVEDDHEFESDISMFSSLKWVTISNCESLEDIFGMAFVGGMMGQQVSLKLEYLWLADLPRMTHIWVATNNSFILQHLNSFFVTKCQKLKVIFPRSMLRSLPELNHLCVRECEELRQVIEDSSIIAYSPRPCFPKLQSLHIQQCHKLKCFTSVFVSNDLSNLKILIINGATQLQEFIGCEYDNTGNTKLQLPQLQLLIFVHLSNFNQETIFLNVKYRIVRNCSKFSLTSTITPDELPQKTFSIEGLEDSLSYSWYMKPLMHEIQKLDEVSGSNNSTELPSSQELVNGTSINSEVDEKSNRETYSQELVDGKSTNGSYLTDQQNPLGETQSTIKMSHEDPPISEIKSSSSQLNDDNQSMLDSRVEMVGEHQTIETKTLVSETQEFQKIHRKSEMTSDPQAMDQNFPLISSPNMTQLTDETEANNIGKTTTSDKLAIPTSVLENIEEIGRQRKPATEGATIKQDSQVVKQNNEMNEEKTEIGIVSNNRVEVTQASTDPRTRLEKYKQFVDLNDSQISLLVEAIAAYPHLWNSCEKFNDRFRAWMLKTLADMLLFLRSESVGSVDPEREKEFLELCDEAVQLGFERSWVDDMRQRVVGRDHKLEHARAQIGELLKRHHHLTQELDSIKVPIGELVKRHDHLTQELHNMKKELRSINDFFDAPTKCFDFL >Vigun09g000500.1.v1.2 pep primary_assembly:ASM411807v1:9:72947:84270:1 gene:Vigun09g000500.v1.2 transcript:Vigun09g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDKAILIMFDESGALDDDLKKQAKLYCNATKEKPSICRLCIEKLCFSNLVQVQFWCLQTLHEVIRTRYLTMTLDERHMIRGSVFSIVCLEDKNLIRVLEGPAFIKNKLAQVLITLIYFDYPLVWSSVFVDFFPHLSKGNVVIDMFCRVLNALDDELISLDYPRTPEELTVASRVKDAMRQQCVSQIVRAWYDIVSMYRNSDQELCTSVLDSMRRYISWIDIGLIVNDAFIPLLFDLILVGHLSDQLRGAAVRCLLAVVSKRMEPQSKLSLLQSLHISRLLRLVTENGDAELVSDIAALLTGYAVEALDCFKRINSEDAKGISLELLSEVLPSIFYVMKNFELDATFNIIQFLSGYVTILKSFTPLREKHLLHLGQILEVILVLVRYDPAYRTNLDVMDKIGKEEEDRMVEFRKDLFVLLRTVGRVAPDVTQMFIRNSLASAVSRSSNSNVEEVEGALSLLYALGESISEEAMKTGSGLLSELVIMLLSTKFPCHSNRLVALVYLETVTRYIKFIQDNTQYIPMVLAAFLDERGIHHSNINVSRRASYLFMRVVKLLKMKLVPFIETILQSLQDTVAQFTIMNYTAEELSGSEDGSHIFEAIGLLIGTEDIQPEKQSDYLSSLLSPLCQQVEALLINAKLLNAEEANAKIAVIQQIIMAINSLSKGFSERLVTASRPAIGLMFKQTLDVLLQVLVIFPKVEPLRNKVTSFIHRMVDTLGASVFPYLPKALEQLLEEIEPKQMVGFLLLLNQLICKFNTLMRDILEEIFPAVVERIFSVIPRNGLPSPGPDAMTEEVRELQELQRTLYTFLHVITTHDLSPVFLFPKCKAYLDPVMQLLLFSSCNHKDILVRKACVQIFIRLIKDWCAQPYEEKVPGFRSFVIEAFAINCCLYSVLDRSFDFHDANTFILFGEIVLAQKVMYEKFGDDFVVHFVSKGLSSAHSPQDLAEQYRQKLQGDLKALKSFYQSVVENLRLQQNGSLVFR >Vigun04g169050.1.v1.2 pep primary_assembly:ASM411807v1:4:39346568:39348544:1 gene:Vigun04g169050.v1.2 transcript:Vigun04g169050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENKRNSNVHELLQELQALSETLYQSHSSDRTRITTTSLALPRSGASPFVSSAEDGNDTAKVNNKQNNKIRSRTNLKVKQVSQTFKPSMARSRGMSLSPWRSRPKLEDAKATLTQPYTKKFDNMENDKIGIWRWNPLRAISHIGMKKLSCLFSVKVVTAQGLPSSMNGLRLSVCVRKKETKDGSVQTMPSRVDQGAADFEEIFFIRCHVYYNQGSGKQLKFEPRPFWIYPIAVDVKELSFGRNCVDLSQLVEESIERSQQGTRVKQWNTSFGLTGKAKGGELVLKLGVHIMEKDGEVEMYKQEENFFKPSRFRKVTSFARKRSKSSLSFTSPRIRSRSDA >Vigun03g190450.1.v1.2 pep primary_assembly:ASM411807v1:3:26100905:26101538:-1 gene:Vigun03g190450.v1.2 transcript:Vigun03g190450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGDENVWKEYVNLYKETRHFLFKEIPNWDDIVDLCAKDRATGHGAKTAMDADEVMSRKVNEVNFVGLEDLNATIDLEEPNSNLKRKAQSTSSSTSTQSQRKNIKEKELMTASMKDVAKSFKRLTHVYGEKVYEKEIKEVLDEVRLLPNLTKEQWAKSIK >Vigun11g078233.1.v1.2 pep primary_assembly:ASM411807v1:11:23085987:23088064:-1 gene:Vigun11g078233.v1.2 transcript:Vigun11g078233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITLKTGTLTSDDMEFSGVVGLNGTTNLESDTSRVPVRTVEILASCHALVFVENKLVGDPLEKVALKGIDWSYKSDDKAVPKRRNDHPVQIVHRYHFSSHLKRMAIIVRIQDDFFSFVKVCRFLIVCMPQILLILVAYWVLYYFGL >Vigun09g124600.1.v1.2 pep primary_assembly:ASM411807v1:9:27737534:27741729:-1 gene:Vigun09g124600.v1.2 transcript:Vigun09g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSPTAQRHVGFSRRTQAPFQLNDSSRQLHDDSAPAKKYRLMSDIMARAQYAVVERETYSDLLCEQCGSGELSEELLLCDKCDRGFHMKCVRPIVVRIPIGSWLCPKCQGGKRVRTFSQKRIIDFFGIRRSYFYADDKSSSQDAKKRRKRSRPLVLHKKKRRLLPFVPTKDPTQRLKQMGSLASALTALSIEFCDHLTYLPGMAPRSANRAELENGDMQILSKEDLETVEHCIAMSKRGEFPPFMVIYDSCQGYTVEADDLIKDMTIVAEYCGDVDYLHNRERDDCDSMMTLLLGRESSQSLVICADKRGNIARFISGINNHTQEGRKKLNCKCVRYNVGGECRVFLVATRDIYKGERLYYDYNGYEYHYPTHHFV >Vigun09g013900.1.v1.2 pep primary_assembly:ASM411807v1:9:1023138:1025264:1 gene:Vigun09g013900.v1.2 transcript:Vigun09g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFRYNEIENGMLWEVEGKWVVKGAVDVDIGANPSAEGGDEEGVDDQAVKVVDIVDTFRLQEQPTFDKKAFVTFMKRFIKNLTAKLEGEQLELFKKHIEGATKYLLPKLKDCQFFVGESMHDDGCLVLAYYKDGATDPTFLYFASALKEIKC >VigunL059211.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:15628:15936:1 gene:VigunL059211.v1.2 transcript:VigunL059211.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun06g235400.3.v1.2 pep primary_assembly:ASM411807v1:6:34089396:34095060:1 gene:Vigun06g235400.v1.2 transcript:Vigun06g235400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGGSFAEALWHSWTYVADSGNHAETEGTGQRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVREGLGGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLFFKDVLISFPDAIPCGVKVAADGGKIIINPDDSYVLRDGDEVLVIAEDDDTYAPGPLPEVCKRLCPRIRDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLVDGGLDVSELENIKLVHREGNAVIRRHLEGLPLETFDSMSQWRTLLLTLTQGL >Vigun06g235400.2.v1.2 pep primary_assembly:ASM411807v1:6:34088585:34095060:1 gene:Vigun06g235400.v1.2 transcript:Vigun06g235400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNEEPSNLSLRKPPLKRSKTIANPRHTTSASVTANNPHFPVSDSDEQWNYPSFLRTTTKKKKPSFKPSNTHNLNLPKPSLLPPPPPPPPIPTPPPPPSSSSPPRSQHTRTQYYNLSPILYLLVITSIVFVPHSAYLQYRLRKLEDEKLHLCCEIDFCPDNRKTSIGKGDGSFSYVLNIDSRTVALYIVVAILILPFVLYKYLDYLPQIINCLRRTNDNKEDVPLKKRVAYMVDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGGSFAEALWHSWTYVADSGNHAETEGTGQRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVREGLGGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLFFKDVLISFPDAIPCGVKVAADGGKIIINPDDSYVLRDGDEVLVIAEDDDTYAPGPLPEVCKRLCPRIRDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLVDGGLDVSELENIKLVHREGNAVIRRHLEGLPLETFDSMSQWRTLLLTLTQGL >Vigun06g235400.1.v1.2 pep primary_assembly:ASM411807v1:6:34088585:34095060:1 gene:Vigun06g235400.v1.2 transcript:Vigun06g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSNEEPSNLSLRKPPLKRSKTIANPRHTTSASVTANNPHFPVSDSDEQWNYPSFLRTTTKKKKPSFKPSNTHNLNLPKPSLLPPPPPPPPIPTPPPPPSSSSPPRSQHTRTQYYNLSPILYLLVITSIVFVPHSAYLQYRLRKLEDEKLHLCCEIDFCPDNRKTSIGKGDGSFSYVLNIDSRTVALYIVVAILILPFVLYKYLDYLPQIINCLRRTNDNKEDVPLKKRVAYMVDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGGSFAEALWHSWTYVADSGNHAETEGTGQRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVREGLGGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLFFKDVLISFPDAIPCGVKVAADGGKIIINPDDSYVLRDGDEVLVIAEDDDTYAPGPLPEVCKRLCPRIRDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLVDGGLDVSELENIKLVHREGNAVIRRHLEGLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYKDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRTRKEIVIGYRLANQDRAIINPSEKSEPRKWSLGDVFVVVAKGD >Vigun06g235400.4.v1.2 pep primary_assembly:ASM411807v1:6:34089396:34095060:1 gene:Vigun06g235400.v1.2 transcript:Vigun06g235400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFFSIYPYAKLLALLFATLFLIGFGGLALYAVTGGSFAEALWHSWTYVADSGNHAETEGTGQRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVREGLGGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLFFKDVLISFPDAIPCGVKVAADGGKIIINPDDSYVLRDGDEVLVIAEDDDTYAPGPLPEVCKRLCPRIRDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLVDGGLDVSELENIKLVHREGNAVIRRHLEGLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYKDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRTRKEIVIGYRLANQDRAIINPSEKSEPRKWSLGDVFVVVAKGD >Vigun10g026600.1.v1.2 pep primary_assembly:ASM411807v1:10:3155944:3157918:1 gene:Vigun10g026600.v1.2 transcript:Vigun10g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYTVHMRTISKGAIILFSIMMLLFDTTYSVVLESDENHIKSATFLSENFEVGPGKIVVKTLLDIDFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSEYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSSPKYGTGKEAGNEKGYLVGMSVCYPKLGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQMPNKYSKEI >Vigun01g070900.1.v1.2 pep primary_assembly:ASM411807v1:1:19191759:19199221:-1 gene:Vigun01g070900.v1.2 transcript:Vigun01g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWAPPLIAAALFWLLSPGMIFQLPGKNAPFEFMNMKTTVASMFVHTAEVEEKGIYYDTGEEKWVWGCYEWDLKTQGSSSNRFSKSCGSFEKRTFEEKWSNS >Vigun04g090100.1.v1.2 pep primary_assembly:ASM411807v1:4:16244776:16245140:-1 gene:Vigun04g090100.v1.2 transcript:Vigun04g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVICGYEVRLGSDCGGLEDKAGHVYVCTGRHGEEGLNALRDIWYAREAKEHSTKEHSTTEHHIRIFNQSHTFQFIFTAMLLTS >Vigun04g133900.1.v1.2 pep primary_assembly:ASM411807v1:4:33650656:33654539:1 gene:Vigun04g133900.v1.2 transcript:Vigun04g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFLKTFYVLLMLSLLASGISVTMKNSSESGEAKCIKSEREALLSFKEGLIDDFGMLSTWTNNTDCCKWKRILCNHQTAHVQLLDLHGNFDYTPYLRGAINITSLIHLSYIQHMDLSHNYFVTTPIQIGNLKHLQHLDLGAFFLSGKIPCQIGNLRQLQYLSLGSNTILYKTNTPNYISNSLSGAIPFRIGNLPLLHTLRLGGNFDIKAKDAKWLSTLDSLTILGLNSLHHLSYSHQWLQSISKLISNLSELSLINCDLLDNDVQSLFHSHLSNFSTSLNVLDLSCNMLTSSTLQLLFNFSFHLQELYLPYNNIVFSSLCPNFPSLKILDRSYNNLTSTMFLANFNISSRLQVLLLRNCSLIDRSFLVSPTSTYASSILYLALSHNLLKSCSVFHWLSNFTTSLHTLRLDYNLLEDPIPDGFGKAMNSLENLYVSDNKLQGKVPSFFGIMCKLQRLDLSRNKFKGEFPSFIQNSSWCGRHIFRELSLSHNQITGKIPESVRLLSELQILSLEENSLEGDVTESHLSNFSKLYLLYLSHNSLSLKFVSNWVPPFQLMFLGLASCNLGPNIPSWLQTQNSLLQLDISDNQLNDSVPEWFWNKLQIMYELNMSHNNFIGSIPNMKLRLPFRPSINLNSNKFEGKVSLFLLQASELLLSANKFSDFSCGNLTTSNLGTLDLSYNQIKGQLPDCWKFVDRLLFLDLSSNQLSGKIPISMGTLVKLEALVLRNNRLMGELPFSLKNCRNLIMLDVSENMLSGPIPAWVGESMQQLIILIMRGNHFSGNLPSRLCYLKHVQLLDLSKNKLSEGIPTCLNNFTALSENSIDRTETESRVHWYNSTYYEIYNLFSGSYYMFRITWMWKGDERNFTQPELILRSIDLSCNNLTGELPKEITYLLGLVSLNLSRNNLRGEIPSEIGNLCLLESLDLSRNKFEGRIPSSLSEMDFLQILDLSHNSLSGRIPLGRHLETFDASCFEGNVDLCGEQVNKSCSGDQTLVKPQKEEAHGEYHVFYEALYMSLGIGFFTGFWGLLGPLLLWQPWRITYLMFLNKLIDYLLVMVAVNFAKCQRYIRD >Vigun10g035300.1.v1.2 pep primary_assembly:ASM411807v1:10:4758013:4761758:-1 gene:Vigun10g035300.v1.2 transcript:Vigun10g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSTSKLSLMYDVLINFTGEDIRRKFVSHLDSVLSAVGLTTFLHHDNGVKPMHEPILNLCRVAIVVFTQTYPNLLGVFINFNKSLNGTKLIPDIVTAYLTFSAQELEHGMSRWSHAITKAANFFGWDESNYRSDAELVDTIVKRILYLPALSATKFPVGLQSYVEDVIRTIENKSTKVCRIGICGMGGSGKTTLAKAIYSQIHGTFVEKTFVEDISEVSRRRGHVHLQRQFLSDVLKTKVEIHDVEMGRSMILERLYRKRVLIVLDDVNEHCPLDLWESRAWFGEGAVIIITTRDEDLLRKHEVRSVFRINLMDENQSLELLSWHAFREAKPKEEFHDLAKTIVTYCGGLPLALEVIGSYLYERTEEEWNRVLLKLENIPRDEVLQKLKISFDGLGNQMEKDLFLDVCCFFVGKGRAYVRKILNGCGVGADSGIREPRNKNRLLLDKDMHHALLENTLFSSREKKVIHRSPMESDLFERYPPLEISEPLTLVKITRDSEFHPKKLKWIRQAFPTEYLPNDLCLRDAIVIDLKYNLLRFFWKEPQVLVSLKVLNLSHSLYLTETPDFSRLPSLEQLILKDCPRLSQVHQSIGCLCNLTLLNLKDCTSLNNLPEEIYRLKSLKTLILSGCSKIGLIEKDIGQMKSLITLIAENTVVKQVPFSIVSSKCIGHISLHHFEGLSYNLFPSIIRCWMWPTLNPLSYIPPFCMDKDHNSGDDIMPLFNTLANLRSVLVQCDTEFPLSKQVKTTLVEYVVNISESRISKHHFRLSLIGFGRHSEFFSTVRDSISQVFASSESSDVSLPGDNDPYWLAHMGEGHSVSFTLSQDRVMKGMALCVVYLSTSKIIEPIVTAVLIVNHTKCTLQMHNHSSVMSSNDEDWDFIMSNLGYGDKVEIFVTFGHGLVIKKTAVYLIYSESNRLEMEPCPEKRKML >Vigun01g078100.1.v1.2 pep primary_assembly:ASM411807v1:1:22010468:22021187:-1 gene:Vigun01g078100.v1.2 transcript:Vigun01g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSPLLLTPFIKLPVHLAMGRVSLCSRPPERVCVQEVLEREIRLSYQNKVKQQSDKCRFHIDSIQTWLLVEELNIFFFLPRTHLKRNFSTPSLCTVVVCLLTGKEWF >Vigun11g004300.1.v1.2 pep primary_assembly:ASM411807v1:11:395987:398762:-1 gene:Vigun11g004300.v1.2 transcript:Vigun11g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSYTHHTNFFLNFSHIKHHSLTMTDPKSNNMFNEWFNFMLFHPHYSSSSSSSSSSFQPYHPLSNYSNRFGIQLQGASSSSSSSFSSSYAYTYASVPPPSPSPSSPPLIEALPLINKISLTTSEELQENEGSPNVVVEEEEREEEKVVENNGGDEETVTVALHIGLPRMDTSSDLGSSRVSSTCMEMGEKEEVNMISEHPLDRLNKGQYWIPTPSQILIGPTQFPCPVCSKTFNRYNNLQMHMWGHGSQYRKGPDSLKGTQPTAMLRLPCFCCAAGCKHNIDHPRARPLKDFRTLQTHYKRKHGIKPYMCRKCSKAFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGYGHGAFGIDCLQEEDEAASEIEHDGEHSI >Vigun02g147500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29520868:29521579:1 gene:Vigun02g147500.v1.2 transcript:Vigun02g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSISLMAVLTLLLVTMPTLVNSRVLAPQQNLTHHKPIKNQPQFTLSVTKAKAIRTRLLIESQVNTMSSGPSTRGSGH >Vigun04g055700.2.v1.2 pep primary_assembly:ASM411807v1:4:5432853:5440420:1 gene:Vigun04g055700.v1.2 transcript:Vigun04g055700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCLLFFTLYSILRRQPGNITVYAPRLVAEGKVKEGGHFNLERLLPTAGWVKQAWQPSEDDFLSSSGLDAFVFMRIFIFSLKVFAVGGIIGMFVLLPINYAGSQLSDDSDFQHKSLDSFSISNVNNGSNRLWVHFCAAYIFTGIVCYLLYCEYLYISSKRIAYFYSSKPQPQQFTLLVRGIPIPPGSTCHDTVERFFLEYHPSTYLSHSVIRRNNKLQSLVNDADKLYKKLTNLKQKNDAPKRQRRDGCLGIFGRKVDIVDHYERRLGDIEDNVRLEQSSLEAKEVQAAFVSFKTRFGAAIASRIQESVNPTEWVTEKAPEPHDVYWPFFTVSFLKRWISKVVVFVACTSITVLFLIPVAIVQGLTHLDQLETWFPFLKGILRLSVVSQVITGYLPSLILQLFLSFVPPTMIMLSSMQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSALYRVNVFLEPKEIPRILAEAVPSQASFFIAYVVTSGWTTIASELFQLTTLLYNFVSKTFCRNSDDGFDPPSIPYHSEIPRIRLFGLLGVTYFILAPLILPFLLIYFCMGYIIFRNQLLKVYVAKFETGGEFWPTVHNSTIFSLVLMHIIGIGIFGLKKLPLASILTIPLPILTLLFNEYCQKRFFPIFKDYPAECLIKKDRADQNQHNMSEFYDELANAYNDPALMPKKYSERSDSHRSPLLNSS >Vigun04g055700.1.v1.2 pep primary_assembly:ASM411807v1:4:5432319:5440420:1 gene:Vigun04g055700.v1.2 transcript:Vigun04g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCLLFFTLYSILRRQPGNITVYAPRLVAEGKVKEGGHFNLERLLPTAGWVKQAWQPSEDDFLSSSGLDAFVFMRIFIFSLKVFAVGGIIGMFVLLPINYAGSQLSDDSDFQHKSLDSFSISNVNNGSNRLWVHFCAAYIFTGIVCYLLYCEYLYISSKRIAYFYSSKPQPQQFTLLVRGIPIPPGSTCHDTVERFFLEYHPSTYLSHSVIRRNNKLQSLVNDADKLYKKLTNLKQKNDAPKRQRRDGCLGIFGRKVDIVDHYERRLGDIEDNVRLEQSSLEAKEVQAAFVSFKTRFGAAIASRIQESVNPTEWVTEKAPEPHDVYWPFFTVSFLKRWISKVVVFVACTSITVLFLIPVAIVQGLTHLDQLETWFPFLKGILRLSVVSQVITGYLPSLILQLFLSFVPPTMIMLSSMQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSALYRVNVFLEPKEIPRILAEAVPSQASFFIAYVVTSGWTTIASELFQLTTLLYNFVSKTFCRNSDDGFDPPSIPYHSEIPRIRLFGLLGVTYFILAPLILPFLLIYFCMGYIIFRNQLLKVYVAKFETGGEFWPTVHNSTIFSLVLMHIIGIGIFGLKKLPLASILTIPLPILTLLFNEYCQKRFFPIFKDYPAECLIKKDRADQNQHNMSEFYDELANAYNDPALMPKKYSERSDSHRSPLLNSS >Vigun02g194800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33071817:33072629:1 gene:Vigun02g194800.v1.2 transcript:Vigun02g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQLATPFTLFRWGHPTLKLSTVSQVKPRQQGLGLRPLSVRSYKVVIEQEGQTIPLEVEADETILSKALESGLPVPHDCKLGVCMTCPARLISGSVDQSEGMLSDDVVERGYTLLCVSYPQSDCHIKVIPEEELLSLQLATAND >Vigun04g065650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7254419:7258901:-1 gene:Vigun04g065650.v1.2 transcript:Vigun04g065650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRSTTGFCIFLGNSLVSWKAKRQKTVSKSSAEAEYRALASVSTKIVWLKNLLTDFHISTPHAVIYCDNQATIQIASNPSHHERAKHLDINLHFVREHVEKGTIKLNHIRKHHQLADCFTKSLPRTSFLSILSKMGIENIFLPS >Vigun08g185300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35472099:35472734:-1 gene:Vigun08g185300.v1.2 transcript:Vigun08g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMKTPCFHPNNGSSKKLVKLVFWEGTSRSLKGKHIAGEIMFEFPEMMVCHAESFFIGHPIPVLSIDDELMQGETYFVLPIDRFACSTLSVASISAFGSCPNKSPIKFGACPFQYLKGSDGRIVIKVMPEFITRLITGGDGAEKESVVGPSSFLCSTPELQKHYEQLVKSKDQVWSPKLETISEYRIRFSPCRFIEWKEKEKPAQILTR >Vigun01g052300.5.v1.2 pep primary_assembly:ASM411807v1:1:8829726:8832911:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMSFRYQLETG >Vigun01g052300.8.v1.2 pep primary_assembly:ASM411807v1:1:8829726:8832911:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMSFRYQLETG >Vigun01g052300.1.v1.2 pep primary_assembly:ASM411807v1:1:8829704:8833078:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g052300.7.v1.2 pep primary_assembly:ASM411807v1:1:8829727:8832910:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g052300.3.v1.2 pep primary_assembly:ASM411807v1:1:8829717:8833078:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g052300.4.v1.2 pep primary_assembly:ASM411807v1:1:8829727:8832910:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g052300.6.v1.2 pep primary_assembly:ASM411807v1:1:8829703:8833074:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g052300.2.v1.2 pep primary_assembly:ASM411807v1:1:8829717:8833078:-1 gene:Vigun01g052300.v1.2 transcript:Vigun01g052300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSINLVLHQGSMLPHELPIAALLGPSCFHSFSSTWAITNGAIHVSPQRGKCSATCYRFSFRKCTCKWKRLVRSCSTSEFVLASDENYGNKQVVSLTPRLYDYVLKNVREPEILRQLRQETASMRGSQMQILGAERCIEVGVYTGYSSLAIALVLPDLGHLVACERDAKSLDVAKKYYQLAGVSHKVDVKLGLAMDSLESLILNGEAGSYDFAFIDAEKRMNEKYFELLLQLVRVGGLIVIDNVLWHGKVADPLVYDPKTISIRNFNQKLMEDERVNISMVPIGDGMTICRKR >Vigun01g121700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29848622:29849530:1 gene:Vigun01g121700.v1.2 transcript:Vigun01g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFANLGRWARLRSKTNTRSLLSPLDTVRREETGFDFKAYMQHKVNTVNRALDAAIELKEPKKIHEAMRYTLLAGGKRIRPVLCIAACELVGGIDNAAVPAACEIE >Vigun03g342800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54150747:54152405:-1 gene:Vigun03g342800.v1.2 transcript:Vigun03g342800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSTPTSLRTPILHHPLPSSPSPFRTNFSPLTLPPFHRALSFLRPPPLLPAVPAISTAAKADKSGEQKWVHEGLIMESLPNGMFRVRLDNEDLILGYISGKIRKNYVRILPGDRVKVEVSRYDSSKGRIVYRLRSSTPS >Vigun03g315600.1.v1.2 pep primary_assembly:ASM411807v1:3:50967846:50971771:1 gene:Vigun03g315600.v1.2 transcript:Vigun03g315600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDDFVPSAFEFIPQNVPDTETNRNMLKNVLRIAGVSDLDSHPRKTILLKTLQYHLSTHSITESLLETLEFLEELFRCEASPVTATMTAAYCAVAVECTTKYLKLNPHHHNPLYRRAVNSIWRVRIASMNSSGSSEGSLLLSGELEQWRKDIEASLLDSEVMKRLASIDTKRDAMVKLKAFLDEACTDLDPSHAGHIREI >Vigun05g016500.1.v1.2 pep primary_assembly:ASM411807v1:5:1340296:1344523:1 gene:Vigun05g016500.v1.2 transcript:Vigun05g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYSKLISELGRGPLATNKLPRDCLFWLFCLVEHAPSIVSSPTKTSYSRSFGPYNHKCMHQRTYRTLRNVSGDPSLFCRSGFLLMQQSNIFGRQGILGSWLPARYISNESVELKTDNDVVRFSLDKSDDINSTEKSQKNKKVKMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYDVPKPLPETFDPEILTEEERHYLKRTGDKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVICKPCRPGQVHEYAEELARLSKGIVIDIKPNNTIIFYRGKNYAQPEVMSPPNALSKAKALEKYRFGQSLEHTSHFIERLEKEIEEYHQHLVKFGKGKEDTTTKDGLEKRDSNFAGHQMSHK >Vigun11g053800.1.v1.2 pep primary_assembly:ASM411807v1:11:9674394:9679144:-1 gene:Vigun11g053800.v1.2 transcript:Vigun11g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALLQVLFDKLDSRRILDYFRQRDLDEKLLKKLKRKLMDINAVIDDAEQKQFTNSLVKEWLDEVRDILYDAEDLLELIHYAYSKTKLEAEFQTSSSKVHSFESKIIALLDDLESLLNQRIVRDFKISSTVRSELGNKVSEKKVESTSLVAEDDVIYGRDEDKEMIISWLTSDSDNHNCPSVLSIVGMGGMGKTTLAQHIKEAPFDEKAWVCVSDEFHVFKLTRAILEAISRSTDDSRNLDMVQGRLKEKLTGRKFLLIMDDVWNEDRDQWKSLQTPLKYGAKGIASTMDSNNIHQLKQLKEDHSWQVFAKHAMQNDSSTLNSELKEIGVRIVKKCKGLPLALETVGSLLHSKSSVSDWECVLRSEIWDLSIEDSKIIPALLLSYFHLPSHLKRCFSYCALFPKDYGFCKKSSILLWMAQNFLHCSQQCKSPEEVGEQYFNDLLSRSFFQQITRYNKTYFVMHDLLNDLAKYVSGELCFRLGVDSAERVPITIRHFSIGKDPVEYHECRSLCDAKRLRTFISLFGNCVMSIQELISNFKFLRVLSLCWCYNIKEVPDTIGDLIHLHFPTQPVHSVTCKCFDLKELPSTLHELTDLRRLELMGTTLTKAPLLLGKMKNLRVWMNSFEFSIQELGDVDLHGELLVKNLENIVNPCDALVADVKNKTHLLRLRLEWNLKRSNDDSIKEREVLENVQPSKHLKNLSISGYSGTQFPRWLSDDSLPNVTSLELKDLTINADFYGNNSCAFPSLKSLIFSDMKEWEEWQCMRGAFQSLECLCVMNCPKLKGHLPEQLSYLKELIINDCEQLVAAIPRAVEIGDVKMEPSSFDMIGPLVFDTPLESLSIYSCPGINIPLNHWYSLLVELDISESCDSLTNFPLDIFPKLCDLCLNECHNLQMISQGHPHTHLKSLTIQKCYKFESFPCEGLFATQLESFFIEELERLKSMPRFMSVLLPSLNYLSIRDCPGVEFSDGCLPSNLKEMRLFNCSKLVASLKGVWGTNPSLKSLYIRKVDVEFFQSEGFLPLSLTNLEIYDCPNLKKLDYETLSPLSSLEKLDIVNCPSLHCLPEEGLPKSILELGIKSCPLLKQRCKKQEGEDWAKIAHIKTLWVDFEQVNIKDEAQVGKY >Vigun05g292701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47875712:47876290:-1 gene:Vigun05g292701.v1.2 transcript:Vigun05g292701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGRCVFSVFLWCVFCVLGCVFYCDLGCVFCVVHVCVMFCFVCVCVVVFGVFLVVLIVSMTCVFFYLFLWLCWVLIVFDLYCFVWCVVFFVCVVYVLCFVLCFVVCVCVCVCFVVCDHCFCVLSCMCFVVIWDVCVFSCCCVKCFCGYVDCFCSCDFFYLFLWFLGYWMFFIYIFFCVWCCVCVFYVFVLC >Vigun01g013500.2.v1.2 pep primary_assembly:ASM411807v1:1:1516159:1521844:-1 gene:Vigun01g013500.v1.2 transcript:Vigun01g013500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETQRVVVIQDASRDVSSKAILGALEKFSVKAGDQLIIVAILDWISSPSMFSFLPRKPMGYMVRVDSSSMMISTNQKIIAKWHTKKKKEYLMNENIKEISNYCKLNEIGFQIEVLVGPTAEVASTAAKDFQATSLILFRQIHKDMKYLMRRHPCGMYRITSDNSIERLKDPKSTSSTKSLVDRQENVSYKEMFPGSEEEERSLQMSRSSSSDMLTSTGASSQWSTEISNYSFGSFRYGHQYQEGNFYSNIEQETAGNRSLFHISENEEMNQLEVNEKEKHGGSNEKSHMEEEFTNPLCSVCNNRRPKVGFQIDFTYAELFAATKGFSPSNFLSEGGFGSVYKGLLNGMKIAVKQHKNAGFQGEKEFKSEVNLLSKARHENVVVLLGSCSEGTNRLLVYEYVCNGSLDQHLSEHSRSPLSWEDRINIAIGAAKGLLYLHKNKMIHRDVRPNNILITHDHRPLIGDFGLARSQNENSIHSTEVVGTLGYLAPEYAEHGKVSSKTDVYSFGVVLLQLITGMRTTDKRLGGRSLVGWARPLLKERNYPDLIDERIIKAHDVHQLFWMVRIAEKCLSREPQRRLNMIQVVDALTDIVEGRTCDSILTDYSPARSDSNYSASDSDESEDEMKESTKFEDESPTQSSESIDSNSISQMMHMNLRQPPSPPIQSISLSSSGSYNSHDESTSDGEAIEISKSNEGCSILRC >Vigun01g013500.3.v1.2 pep primary_assembly:ASM411807v1:1:1516159:1523186:-1 gene:Vigun01g013500.v1.2 transcript:Vigun01g013500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAKTSPESANRRSKVLDAVGYMVRVDSSSMMISTNQKIIAKWHTKKKKEYLMNENIKEISNYCKLNEIGFQIEVLVGPTAEVASTAAKDFQATSLILFRQIHKDMKYLMRRHPCGMYRITSDNSIERLKDPKSTSSTKSLVDRQENVSYKEMFPGSEEEERSLQMSRSSSSDMLTSTGASSQWSTEISNYSFGSFRYGHQYQEGNFYSNIEQETAGNRSLFHISENEEMNQLEVNEKEKHGGSNEKSHMEEEFTNPLCSVCNNRRPKVGFQIDFTYAELFAATKGFSPSNFLSEGGFGSVYKGLLNGMKIAVKQHKNAGFQGEKEFKSEVNLLSKARHENVVVLLGSCSEGTNRLLVYEYVCNGSLDQHLSEHSRSPLSWEDRINIAIGAAKGLLYLHKNKMIHRDVRPNNILITHDHRPLIGDFGLARSQNENSIHSTEVVGTLGYLAPEYAEHGKVSSKTDVYSFGVVLLQLITGMRTTDKRLGGRSLVGWARPLLKERNYPDLIDERIIKAHDVHQLFWMVRIAEKCLSREPQRRLNMIQVVDALTDIVEGRTCDSILTDYSPARSDSNYSASDSDESEDEMKESTKFEDESPTQSSESIDSNSISQMMHMNLRQPPSPPIQSISLSSSGSYNSHDESTSDGEAIEISKSNEGCSILRC >Vigun01g013500.4.v1.2 pep primary_assembly:ASM411807v1:1:1516159:1520354:-1 gene:Vigun01g013500.v1.2 transcript:Vigun01g013500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQAVSRSSSSDMLTSTGASSQWSTEISNYSFGSFRYGHQYQEGNFYSNIEQETAGNRSLFHISENEEMNQLEVNEKEKHGGSNEKSHMEEEFTNPLCSVCNNRRPKVGFQIDFTYAELFAATKGFSPSNFLSEGGFGSVYKGLLNGMKIAVKQHKNAGFQGEKEFKSEVNLLSKARHENVVVLLGSCSEGTNRLLVYEYVCNGSLDQHLSEHSRSPLSWEDRINIAIGAAKGLLYLHKNKMIHRDVRPNNILITHDHRPLIGDFGLARSQNENSIHSTEVVGTLGYLAPEYAEHGKVSSKTDVYSFGVVLLQLITGMRTTDKRLGGRSLVGWARPLLKERNYPDLIDERIIKAHDVHQLFWMVRIAEKCLSREPQRRLNMIQVVDALTDIVEGRTCDSILTDYSPARSDSNYSASDSDESEDEMKESTKFEDESPTQSSESIDSNSISQMMHMNLRQPPSPPIQSISLSSSGSYNSHDESTSDGEAIEISKSNEGCSILRC >Vigun02g003650.1.v1.2 pep primary_assembly:ASM411807v1:2:1966038:1966557:1 gene:Vigun02g003650.v1.2 transcript:Vigun02g003650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRGYGSLQVARWLPWRLTSPLSLFLSITCCSSHPPPPCEALHPPATHPFLRSTNLPPPWPPLRRRPIAPGPPSPQAVAGEKISFYEVVLLTPRSSSSPTQDDDHSPNQENGVPCFTSPVNLTSPSCLRRKKLFAITPTFALPDESQL >Vigun11g194100.1.v1.2 pep primary_assembly:ASM411807v1:11:39309193:39310479:-1 gene:Vigun11g194100.v1.2 transcript:Vigun11g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKTKGLRYPKPKASLYLCCFGSVQNKTPKDHSTIITQHNPPKNIACTCFQCQVAVKKSMAKTMPLHEGLEFADMKSYTTKMHSSSWKWRSKSKSMSMSKSKSLSNTQINSTLNQPLSQSHSMPNQRNKSEYDTRQHAVSLASSKRQHVVHETAGKDWKQKRKQKQKQKHQQDHVIGACMVILSLVSMIVLGRLGSIVCTTSFVYLIPAFVKTTRQFRR >Vigun09g138100.1.v1.2 pep primary_assembly:ASM411807v1:9:29978382:29985918:1 gene:Vigun09g138100.v1.2 transcript:Vigun09g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDEVEVEVEVEAEASVPVKKVPYGDIFEASRAGDVERLRYLLETGVNVNARDQWDSVALYYTCLVGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLEPLQAALRDTFLACISSTQGSICSHFPPDVVFIVQGKRIQTHRVILSARSPFFKKKFTTDWKDRNEVRFSKERLSYPALYSLIHFFYSDRLEIAIDDMEDLVRICKVCRCESLHKVIEKELIHQKYADYKALGNVDNSQKRYILQGLSLPEEDRLPAALHRILLTALSNSTHESGQEDKLISMMDAMQMAKSVDDLADVCVKVDRNIFRCHQVILASRSEYFRARLSHMKNFHEGKHEVSVDTLPCLEEHDLSKEAFEKMIEYMYTDRLQDINPDQAEEMFDVASRYLLFPLKRAVADVLLPHLEMVSLDEHCHWLILADMYGVVKIREYCLDTIACNFETFAESKEFRAMLLTLPPPSGDSSLRTTVPSIPGSSLNTDQGNLLDDLREKWLAIEAAELDKRDESALQFDKRLEMLMLVAEQEKSSHNADSDYAPAFLPNHHSDLN >Vigun08g224000.2.v1.2 pep primary_assembly:ASM411807v1:8:38172499:38184442:1 gene:Vigun08g224000.v1.2 transcript:Vigun08g224000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPNVDLFDAYFRRADLDRDGRISGAEAVSFFQGSGLPKQVLAQIWAFANQSQSGFLGRAEFYNALKLVTVAQSKRELTPEMVKAALYGPAASKIPAPQINFSATVAPPVSAPAPAPAPQAGPVNPLPHQNLGPRGAVPNLSVNQQNLPSLGNQLLRPPASNLRPGVVAPQGMAVGGARPEILNVSGYGSAGKMGEAPEVTSSPIAVRGISLPATQEGFGFATSGSNAVRPPGQYPASSIKPSDQIVKDSKPVSTSVNGNAPDSFFGGDSFSASSFQPKQVISPQGYSSGSSMLSSAIVPVSEGNQPSIRTTTPDSLQGSLVTHPVGAQLQKAQPMSGQHQQAQPVRAQLQQALPVGAQLQQAQPVGSQLQQAQPVVKQDQHVPVQTRNMMNSSGLPGRLQDSASSQPPSPWPKMAQTDVQKYMKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERHREGRVLPAVLPSNIMVDLPPSGQPAAPYSAVPWGNPSGFQQQGMTGSGARQVNPAAGRPPRPAAVSQSDEAPQNKPQKSRIPVLEKHLINQLSSDEQNSINSKFQEATEADKKVEELEKEIAESKEKIDFCRAKMQELVLYKSRCDNRLNEVIERISADKHEVEILAKKYEDKYKQVGDLSSKLTTEEATFRDIQEKKIELYQAIVKMEQDEKGDETLQARVDRIQTDLDELVKSLNERCKKYGLRAKPTTLLELPFGWQPGIQEGAADWDEDWDKLEDKEYVFVKELTLDVQNTIAPPKQKLPKAVNTEAVNTEAANTEAANTKAVNTEAVNIDSPTFAASPRSDDKSEKPQTTNEQVGNGSVYNKSEDGSAKSAPSSPFAGSAIGSPHGDFSDSDFRKTTGEDSSPRDHTIQEPQSDRGGVKSVFSGDKNFDEPNWGTFDANDDIDSVWGFNANSTTKEERDFEGAGDNYFFDSGELGLNPIKTGSPRVGDPFQRNSGFSFDDSVPSTPLFNSSSSPQRPKEWLENAFDFSRFDSFRTHDSVSLPARGEATEHFDSVRNSVDFDHVHGFPAFDDSDPFGSGPFRTSTDSQTPRRESDSWSAF >Vigun08g224000.1.v1.2 pep primary_assembly:ASM411807v1:8:38172499:38184442:1 gene:Vigun08g224000.v1.2 transcript:Vigun08g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPNVDLFDAYFRRADLDRDGRISGAEAVSFFQGSGLPKQVLAQIWAFANQSQSGFLGRAEFYNALKLVTVAQSKRELTPEMVKAALYGPAASKIPAPQINFSATVAPPVSAPAPAPAPQAGPVNPLPHQNLGPRGAVPNLSVNQQNLPSLGNQLLRPPASNLRPGVVAPQGMAVGGARPEILNVSGYGSAGKMGEAPEVTSSPIAVRGISLPATQEGFGFATSGSNAVRPPGQYPASSIKPSDQIVKDSKPVSTSVNGNAPDSFFGGDSFSASSFQPKQVISPQGYSSGSSMLSSAIVPVSEGNQPSIRTTTPDSLQGSLVTHPVGAQLQKAQPMSGQHQQAQPVRAQLQQALPVGAQLQQAQPVGSQLQQAQPVVKQDQHVPVQTRNMMNSSGLPGRLQDSASSQPPSPWPKMAQTDVQKYMKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERHREGRVLPAVLPSNIMVDLPPSGQPAAPYSAVPWGNPSGFQQQGMTGSGARQVNPAAGRPPRPAAVSQSDEAPQNKPQKSRIPVLEKHLINQLSSDEQNSINSKFQEATEADKKVEELEKEIAESKEKIDFCRAKMQELVLYKSRCDNRLNEVIERISADKHEVEILAKKYEDKYKQVGDLSSKLTTEEATFRDIQEKKIELYQAIVKMEQDEKGDETLQARVDRIQTDLDELVKSLNERCKKYGLRAKPTTLLELPFGWQPGIQEGAADWDEDWDKLEDKEYVFVKELTLDVQNTIAPPKQKLPKAVNTEAVNTEAANTEAANTKAVNTEAVNIDSPTFAASPRSDDKSEKPQTTNEQVGNGSVYNKSEDGSAKSAPSSPFAGSAIGSPHGDFSDSDFRKTTGEDSSPRDHTIQEPQSDRGGVKSVFSGDKNFDEPNWGTFDANDDIDSVWGFNANSTTKEERDFEGAGDNYFFDSGELGLNPIKTGSPRVGDPFQRNSGFSFDDSVPSTPLFNSSSSPQRPKEWLENAFDFSRFDSFRTHDSVSLPARGEATEHFDSVRNSVDFDHVHGFPAFDDSDPFGSGPFRTSTDSQTPRRESDSWSAF >Vigun11g218100.1.v1.2 pep primary_assembly:ASM411807v1:11:41125140:41126928:1 gene:Vigun11g218100.v1.2 transcript:Vigun11g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYSSAIQIQETLFQVPSTLTVIAIDTNLTVLEFIKKTCSQYGIQVLAYSESLPAMNALQERKGCVDFILIEVDMPIVNGYEFLSFLNKEQINVPLITMSWDESMVSMTRAFRLGAYDYWIKPLHEIQFKSMRTHFLKKCVSEENTSQKETGCLIKRISDNSELASSMAHRSNNNNFEEDDDVNESINPPSTKKPRVVWEDKLHGAFLNAVPKKILEAMDVPGLERGHVASHLQKYRKYLKQKQQKQQQQQNDMSLVSVTQIEQQQPSQQNDMSWNTEPRMCAVERVHLQPLSATAMTNFHPGFTYNMEEEALTHDNHPLATFGNIAITENCSQEQSSPNTQLGDKFHAEEEALAHDHNLASNQNFQVGTLDDDPTMHHNQMYSMYFQPSSTVISGNHQAFVSQNCNFGMNMDHGSQPIQQGEAIVDEYSTEDSIYRPQFQNASLPSGAFRSQNSHIVDKFC >Vigun08g194300.3.v1.2 pep primary_assembly:ASM411807v1:8:36077711:36080289:-1 gene:Vigun08g194300.v1.2 transcript:Vigun08g194300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKEEAVSANNTKKMEGFVNGTIQDNHKVQPRSLSEDKEVAVEVDDSQDGDIVTTHEEEAPQNQNNKVLTQSSKVEEIPEDVSESVSETFEPDTVKDARVENTVESETVMLEFNEDFESDPDGVKETDWYPSASRNEGLRECEVVETNMKVAEEEKDVVSHDVSKKLTGEGEEVNDVCGDSSLGETSETSVLKSCESDKVAPTIIEKSLDLKQTLEEKIEESSGGVEESSYESAKESFQPSPSVVPDADAESIEIPTTVPMAQREITSWKSCCGLIEIMRGGDR >Vigun08g194300.1.v1.2 pep primary_assembly:ASM411807v1:8:36077711:36080289:-1 gene:Vigun08g194300.v1.2 transcript:Vigun08g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSRRKAKQAKKHKTWTILNHHSSPSHQIQGTHSSLFTLHHPHLLLSTFLLEEAVSANNTKKMEGFVNGTIQDNHKVQPRSLSEDKEVAVEVDDSQDGDIVTTHEEEAPQNQNNKVLTQSSKVEEIPEDVSESVSETFEPDTVKDARVENTVESETVMLEFNEDFESDPDGVKETDWYPSASRNEGLRECEVVETNMKVAEEEKDVVSHDVSKKLTGEGEEVNDVCGDSSLGETSETSVLKSCESDKVAPTIIEKSLDLKQTLEEKIEESSGGVEESSYESAKESFQPSPSVVPDADAESIEIPTTVPMAQREITSWKSCCGLIEIMRGGDR >Vigun08g194300.2.v1.2 pep primary_assembly:ASM411807v1:8:36077648:36080289:-1 gene:Vigun08g194300.v1.2 transcript:Vigun08g194300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSRRKAKQAKKHKTWTILNHHSSPSHQIQEEAVSANNTKKMEGFVNGTIQDNHKVQPRSLSEDKEVAVEVDDSQDGDIVTTHEEEAPQNQNNKVLTQSSKVEEIPEDVSESVSETFEPDTVKDARVENTVESETVMLEFNEDFESDPDGVKETDWYPSASRNEGLRECEVVETNMKVAEEEKDVVSHDVSKKLTGEGEEVNDVCGDSSLGETSETSVLKSCESDKVAPTIIEKSLDLKQTLEEKIEESSGGVEESSYESAKESFQPSPSVVPDADAESIEIPTTVPMAQREITSWKSCCGLIEIMRGGDR >Vigun11g118500.1.v1.2 pep primary_assembly:ASM411807v1:11:32473465:32478651:1 gene:Vigun11g118500.v1.2 transcript:Vigun11g118500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLYTAIFSEMLLILTLVFKTPLRKLVIISLDRVKRGRGPIVVSTVGATLVVVLSSSLYSMAKIQQRTLEAGILNPTDQVLMSKHMLEASLMGFLLFLSLMIDRLHHYIRELRLLRKTMEAIKKQSRSFEDGKNGHTEELKTLTEEIATLKSKIKKLESECEAKGHAAKSLETEVEAHKKQSEGFLMEYDRLLEDNQSLRSQLQSLEQSSLQS >Vigun03g327900.3.v1.2 pep primary_assembly:ASM411807v1:3:52420729:52423915:-1 gene:Vigun03g327900.v1.2 transcript:Vigun03g327900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQLVQGAEETKPKPVRSGPTRTGTVKDPFPSHGRPTPQECVAVRDTLLALHGIPPELAKYRNAVQSESPEPVLDGLVRTVLSQNTTETNSQKAFTSLKTSFPTWEHVFVAESKDLENAIRCGGLAPTKASCIKNVLRCLRERRGQLCLEYLRDLSVDEVKAELSLFKGIGPKTVFFFFFSSSTCLLILQLFT >Vigun03g327900.6.v1.2 pep primary_assembly:ASM411807v1:3:52420729:52423915:-1 gene:Vigun03g327900.v1.2 transcript:Vigun03g327900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQLVQGAEETKPKPVRSGPTRTGTVKDPFPSHGRPTPQECVAVRDTLLALHGIPPELAKYRNAVQSESPEPVLDGLVRTVLSQNTTETNSQKAFTSLKTSFPTWEHVFVAESKDLENAIRCGGLAPTKASCIKNVLRCLRERRGQLCLEYLRDLSVDEVKAELSLFKGIGPKTLASVQRELKYVNVAVKLFFTFMHV >Vigun03g327900.1.v1.2 pep primary_assembly:ASM411807v1:3:52419371:52423915:-1 gene:Vigun03g327900.v1.2 transcript:Vigun03g327900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQLVQGAEETKPKPVRSGPTRTGTVKDPFPSHGRPTPQECVAVRDTLLALHGIPPELAKYRNAVQSESPEPVLDGLVRTVLSQNTTETNSQKAFTSLKTSFPTWEHVFVAESKDLENAIRCGGLAPTKASCIKNVLRCLRERRGQLCLEYLRDLSVDEVKAELSLFKGIGPKTVSCVLMFNLQRDDFPVDTHIFEIAKTMGWVPAVADRNKSYLHLNQRIPNELKFDLNCLMYTHGKLCRKCSSKKGKQQGEKDLLSMFEVRRKMMRLRKVIRIAWMQGSCGRRE >Vigun03g327900.5.v1.2 pep primary_assembly:ASM411807v1:3:52419371:52423916:-1 gene:Vigun03g327900.v1.2 transcript:Vigun03g327900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQLVQGAEETKPKPVRSGPTRTGTVKDPFPSHGRPTPQECVAVRDTLLALHGIPPELAKYRNAVQSESPEPVLDGLVRTVLSQNTTETNSQKAFTSLKTSFPTWEHVFVAESKDLENAIRCGGLAPTKASCIKNVLRCLRERRGQLCLEYLRDLSVDEVKAELSLFKGIGPKTVSCVLMFNLQRDDFPVDTHIFEIAKTMGWVPAVADRNKSYLHLNQRIPNELKFDLNCLMYTHGKLCRKCSSKKDLLSMFEVRRKMMRLRKVIRIAWMQGSCGRRE >Vigun03g327900.2.v1.2 pep primary_assembly:ASM411807v1:3:52420729:52423915:-1 gene:Vigun03g327900.v1.2 transcript:Vigun03g327900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKRKQLVQGAEETKPKPVRSGPTRTGTVKDPFPSHGRPTPQECVAVRDTLLALHGIPPELAKYRNAVQSESPEPVLDGLVRTVLSQNTTETNSQKAFTSLKTSFPTWEHVFVAESKDLENAIRCGGLAPTKASCIKNVLRCLRERRGQLCLEYLRDLSVDEVKAELSLFKGIGPKTVSCVLMFNLQRDDFPVDTHIFEIAKTMGWVPAVADRNKSYLHLNQRIPNELKFDLNCLMYTHGKLCRKCSSKKGKQQGEKGNDESCPLLNYCTE >Vigun07g137800.1.v1.2 pep primary_assembly:ASM411807v1:7:24765724:24768312:-1 gene:Vigun07g137800.v1.2 transcript:Vigun07g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDVIAQITSASIAGDIVLASAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKDKKELDADVHRKYVFGGHVASYMKSLMEDEPEKYQTHFSEYIKRGIEADGLEELYKKVHAAIRADPTFKKSEKEPPKEHKRYNLKKLTYEERKAKLVARLQALNSAADVDEDDDDDE >Vigun06g211800.1.v1.2 pep primary_assembly:ASM411807v1:6:32429583:32433330:-1 gene:Vigun06g211800.v1.2 transcript:Vigun06g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDDIYIEQALVLKEVKHAFQKLVGEDSMESLYVTDIIQRLGIEHHFEHEIEALLRKQHSVFSSHLSDFVNGHKLYELALTFRLLRQRGHHVPPDVFECLKSNKREFIAKYGEDVKSLIALHEATQLSIEGEDSLDDAGYLSCQLLHAWLKRHREHHEAIHVASTLEDPLHYGLSRFRDASVVLSDYYKTKKEWTCLEKLAEINSCIVRMMNQNEIVQVYKWWKDLGMVKEEKFAKYQPLKWYIWPMACFTDPSFSDQRIELTKSISLIYIIDDIFDVYGTLDQLTLFRDAVNRWELADTEQLPDFMKMCLRVLFDSTNDFAEKVYKRHGLNPIDTLKRSWVRLLNAFTEEAHWLRSGDLPRSEEYLNIGIVSTGVPVVLLHAFFLFDHSINLETVAVMDNFPQIVHSVAKILRLSDDLEGFKKQDQKGVDGSYLDCYMNEHQHVSAEDVQSHVCHLISSEWKRLNEQVLTQNELPSSFTNFCLNAARMVPLMYHYTTNNPCLSVLREQIKMVHNVDSGHM >Vigun09g275900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43636891:43638012:1 gene:Vigun09g275900.v1.2 transcript:Vigun09g275900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESTSITTLRLKNKIAIVTGGASGIGEATARLFAEEGARMVVIADVQDKLGKEVAASIGGERCSYFHCDVAEEDEVQSLVQSTVKAYGQLDIMFSNAGIGSLSKQRVAELDMSQLDKLFTVNVRGMAACVKHAARAMVEGRVRGSIVCSGSVVGSHGSPTGSDYVMSKHAVLGLMRSASMQLAEHGIRVNCVSPNGLATPLTCKLIGVNEEEAREVFRNYARLKGVVLTPKEVANAVLFLVSDDSAFVTGLDLVVDGGFSPGH >VigunL007900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000144.1:56016:60015:1 gene:VigunL007900.v1.2 transcript:VigunL007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGRFLNLPFTAYHTAFHAAADLKNVEVLSVMSCMLKFGCC >Vigun09g092900.1.v1.2 pep primary_assembly:ASM411807v1:9:13343188:13344336:-1 gene:Vigun09g092900.v1.2 transcript:Vigun09g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYDNLLEIFSRLPAKVIYKFTSTCVSLSKLPKEAYFALNQAQHALLRDDTCFFIQPEIPIAATQWCNLPVEFHPLPGEESSSGVSKNALAYFSKSVKILCSSNGLVLCSVASENEVKFFISNPATQSSSPIPTSEHLQNSNNFYDHNIGFVCDFDGNFLMYHFIDNLVEWSSYFDCKVYSSKEGVWKEKERFSTGSRNLRFESPVHYRGAVHFISDCSTYLTRDNPYFRPYIMSYRFEDGKSRMWRVPKVARKSIGSYQSICLVRLQKKVFTIWVLREYESSLWRQNLKIRVRAMKGLENDSSNIQVKDFVVLNGELLVFATQNKVYAYGLRDRRIHKSWDHECDFNVVRITSYMDTLRTCDI >Vigun11g061550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:13631813:13633335:-1 gene:Vigun11g061550.v1.2 transcript:Vigun11g061550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNYYLICLVSNVTYSAGIFHPKGITVPDPIQSICTRWGSDPLSYGSYSHVSVHSSGDDYDILAENVGNRLFFAGEATSRQYPATMHGAFLSGLREASRIYRSSRLQNSTKKYGPKNIGPNHDILVELFKRPDFECGKFAFIFYSSSESLPSMGLLQVTFGDTEENHEELLYNYQNSIKWPLQLYTVLSREQAQQLQLVEGGDERRLSFLVKSLGLKLMGPSALFSAGNTLVANIAYSRKGRGRNRLITC >Vigun03g112700.1.v1.2 pep primary_assembly:ASM411807v1:3:10308871:10313144:1 gene:Vigun03g112700.v1.2 transcript:Vigun03g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDHANANGWPPIEAPLNVHRDEHWTNFDSSVNAVSFGFVATAILISMFLVMAIFERFLRPTSPPLSPAARPGPRDVEAQIGFSAKLAHPSPKMSVYASGVSVLMPGDEIPTFIAHPAPCCPERISWPSHQQNTLPCSSSNTLPTSIN >Vigun09g091750.2.v1.2 pep primary_assembly:ASM411807v1:9:12796391:12798586:-1 gene:Vigun09g091750.v1.2 transcript:Vigun09g091750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHQHHLPEVNIVILMFIPFEWLHSELSNICRSLLRVKQLHAFLLKTHLSEDPFYATKILRQYAVNSDINSAHHVFDKTSDRSVYLWNSMIRAFAQSQRFFSAISLFRTMLGADISPDGHTYACVIRACADNFDYGMLRRVHGGAVAAGLGLDPVCCSALVTAYSKLGLVHEARRVFNRITEPDIVLWNSLISGYGSSGLWDVGMQMFSAMKLVGKKPDGYTLAGLLVGIVDSGVLSIGQGLHCLSQKSGLDADSHVSSLLAGVFEKVLYLFRKLNMEDRKADTVLVASVLASIARTANVGLGCEVHGYALRHGLELERNVVSYNSVILAFGLHGYAYEAFKVFEKMLEKDWCFKCKTMYHNVKSEIY >Vigun09g091750.3.v1.2 pep primary_assembly:ASM411807v1:9:12796391:12798586:-1 gene:Vigun09g091750.v1.2 transcript:Vigun09g091750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAFAQSQRFFSAISLFRTMLGADISPDGHTYACVIRACADNFDYGMLRRVHGGAVAAGLGLDPVCCSALVTAYSKLGLVHEARRVFNRITEPDIVLWNSLISGYGSSGLWDVGMQMFSAMKLVGKKPDGYTLAGLLVGIVDSGVLSIGQGLHCLSQKSGLDADSHVSSLLAGVFEKVLYLFRKLNMEDRKADTVLVASVLASIARTANVGLGCEVHGYALRHGLELERNVVSYNSVILAFGLHGYAYEAFKVFEKMLEKDWCFKCKTMYHNVKSEIY >Vigun09g091750.1.v1.2 pep primary_assembly:ASM411807v1:9:12796391:12798586:-1 gene:Vigun09g091750.v1.2 transcript:Vigun09g091750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHQHHLPEVNIVILMFIPFEWLHSELSNICRSLLRVKQLHAFLLKTHLSEDPFYATKILRQYAVNSDINSAHHVFDKTSDRSVYLWNSMIRAFAQSQRFFSAISLFRTMLGADISPDGHTYACVIRACADNFDYGMLRRVHGGAVAAGLGLDPVCCSALVTAYSKLGLVHEARRVFNRITEPDIVLWNSLISGYGSSGLWDVGMQMFSAMKLVGKKPDGYTLAGLLVGIVDSGVLSIGQGLHCLSQKSGLDADSHVSSLLVSFYSRCKCMDYAYRVFCSILNPDLVTWSALIMGYSQAGVFEKVLYLFRKLNMEDRKADTVLVASVLASIARTANVGLGCEVHGYALRHGLELERNVVSYNSVILAFGLHGYAYEAFKVFEKMLEKDWCFKCKTMYHNVKSEIY >Vigun03g209500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34462436:34464944:-1 gene:Vigun03g209500.v1.2 transcript:Vigun03g209500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENKKYITSEELKEHNKPGDLWISIQGKVYNVSNWAKEHPGGEVPLLNLAGQDVTDAFIAYHPGTAWKYLDPFFTGYHLRDFKVSEVSKDYRKLVSEFAKMGLFEKKEHVTLFTLASVSIMLAIVVYGTVVCTSVWAHFASALLLGLLWMQSTYVGHDSGHYEVMTTPGYNKLAQILCGNCMTGISIAWWKWTHNAHHISCNSLDHDPDLQHIPVFAVSTRFFNSIKSCFYGRKLEFDSLSRFLISYQHLTFYPILCVARVNLYLQTLLLLFSRRKVPDRAFNIMGILVFWTWFPLLISCLPNWGERVMFVMTSFAACSVQHLQFCLNHFAADVYEGPPNGNDWFQKQASGTLDISCSSWMDWFFGGLQFQLEHHLFPRLPRAQLRKVSPLVIDLCKKHNLPYRSLTFIEANIRTLKTLRTAALQARTHPTPQNMLWEAFNTHG >Vigun09g263400.2.v1.2 pep primary_assembly:ASM411807v1:9:42772546:42775014:1 gene:Vigun09g263400.v1.2 transcript:Vigun09g263400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISWFCCVHVRPTLCNFTPSQAEKGPSLLASLEHHKTKSCSLSSIEEARGVNRRQLLLHTAVAVPAFAVPNALALSDVSEDVRVYTDDENKFKIEIPQGWQVGNGESNGFKSITAFYPAETSNSNVSVAITGLGPDFTRMESFGKVDEFAQTLVSGLDRSWQRPPGVAAKLIDSRSSNGIYYIEYWLQNPGESRRYLYSAIGMASNGWYNRLYTVTGQVCVLITQLRTYNIHCNICSV >Vigun09g263400.1.v1.2 pep primary_assembly:ASM411807v1:9:42772546:42775014:1 gene:Vigun09g263400.v1.2 transcript:Vigun09g263400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISWFCCVHVRPTLCNFTPSQAEKGPSLLASLEHHKTKSCSLSSIEEARGVNRRQLLLHTAVAVPAFAVPNALALSDVSEDVRVYTDDENKFKIEIPQGWQVGNGESNGFKSITAFYPAETSNSNVSVAITGLGPDFTRMESFGKVDEFAQTLVSGLDRSWQRPPGVAAKLIDSRSSNGIYYIEYWLQNPGESRRYLYSAIGMASNGWYNRLYTVTGQFVEEETDKYASKVQKVVASFRFL >Vigun03g189950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25876312:25876758:1 gene:Vigun03g189950.v1.2 transcript:Vigun03g189950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSYHPESTTHETPFNLVYGTDALLPIELRNCSDGKIAIPINDEQGVRGNLDVLEEGRQLARVTSEATKRRVEKRYKSKVKPRDFQENDLVLRRAHAVEIEDKLSPKWIGPFRIQKVLLGGAYKLKTLDEAIIPRSWNATNLRFYFS >Vigun05g260300.1.v1.2 pep primary_assembly:ASM411807v1:5:45359601:45361635:-1 gene:Vigun05g260300.v1.2 transcript:Vigun05g260300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTITFLVVCLLASLLDPIKAHRGEGESDRESLHSKGLILVKLWCLMIMLVSTFAGGVSPYFFRWNETFLVLGTQFAGGVFLGTSLMHFLGDSNDTFRELTTKTYPFAFMLASSGYLLTMLGDCVVGFVTSNTQTKPKVVELEGGTPPPQEHDPTTDHCAVEATNPILMKTSSTGDTILLILALCFHSVFEGIAVGVAGTKREAWKNLWTISLHKIFAAIAMGIALLRMLPKRPLVTSAVYSLAFAVSSPAGVAIGIAIDATTQGSTADWMFAITMGIACEVFIYVAINHLISKGFKQKGTSRFDTPWFRFLAVLSGVAVIAVVMIWD >VigunL060016.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:55136:55495:-1 gene:VigunL060016.v1.2 transcript:VigunL060016.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun02g134300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28443783:28448040:-1 gene:Vigun02g134300.v1.2 transcript:Vigun02g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKMFVTTAAQCESLLRKFSSLQSFPETRKLHALMLTLGLFSSSDLCSKLATTYAQCHHASYASYLFNKLPQPSLFSWNAMMRMYVQIGRPLDALNLFVEMLDSGQTLPDKFTYPVVIKACGDLSLIDVGVGVHGQACKFGFDSDTFVQNTLLAMYMNAGEKETAQLVFDLMQERTVISWNTMINGYIRNNCAEDALRVYERMMDEGVEPDCATVVSVLPACGLLKNVEVGRKVHRLVQERGFWGNIVVRNALLDMYVKCAKMKEARVLANQMDEKDVVTWTTLINGYILNGDARSALMLCRMMQCEGVKPNSVSIASLLSACGSLVYLKHGKCLHAWAIRQKLESEVIVETSLIDMYAKCNHGNLSYKVFMGTSKKRTAPWNALLSGFIQNKLAGEAIKLFKQMLVKGVQPDNATCNSLLPAYAILADLLQAMNIHCYLIKSGFLYRLEVASILVDIYSKCGSLGYAHQIFNIIPLKDKDIIIWSSIIAAYGKHGHGKMAVKLFNQMVQSGVKPNQVTVTCVLQACSHAGLVDEGFSLFKFILKQQQIIPHVDHYTCIIDLLGRAGRLNDAYSLIRSMPITPNHAVWGALLGACVIHENVELGEVAARWTFELEPENTGNYVLLAKLYASVGRWKDAEKVRDIMANEVGLRKLPAHSLVEVRDM >Vigun02g134300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28443783:28447944:-1 gene:Vigun02g134300.v1.2 transcript:Vigun02g134300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKMFVTTAAQCESLLRKFSSLQSFPETRKLHALMLTLGLFSSSDLCSKLATTYAQCHHASYASYLFNKLPQPSLFSWNAMMRMYVQIGRPLDALNLFVEMLDSGQTLPDKFTYPVVIKACGDLSLIDVGVGVHGQACKFGFDSDTFVQNTLLAMYMNAGEKETAQLVFDLMQERTVISWNTMINGYIRNNCAEDALRVYERMMDEGVEPDCATVVSVLPACGLLKNVEVGRKVHRLVQERGFWGNIVVRNALLDMYVKCAKMKEARVLANQMDEKDVVTWTTLINGYILNGDARSALMLCRMMQCEGVKPNSVSIASLLSACGSLVYLKHGKCLHAWAIRQKLESEVIVETSLIDMYAKCNHGNLSYKVFMGTSKKRTAPWNALLSGFIQNKLAGEAIKLFKQMLVKGVQPDNATCNSLLPAYAILADLLQAMNIHCYLIKSGFLYRLEVASILVDIYSKCGSLGYAHQIFNIIPLKDKDIIIWSSIIAAYGKHGHGKMAVKLFNQMVQSGVKPNQVTVTCVLQACSHAGLVDEGFSLFKFILKQQQIIPHVDHYTCIIDLLGRAGRLNDAYSLIRSMPITPNHAVWGALLGACVIHENVELGEVAARWTFELEPENTGNYVLLAKLYASVGRWKDAEKVRDIMANEVGLRKLPAHSLVEVRDM >Vigun11g089000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26817659:26818090:-1 gene:Vigun11g089000.v1.2 transcript:Vigun11g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRKKVNFRHGDKVEVCSNEEGFIGSYYLATVVSRLDNGLYVVRYDTLLEEDGSQPLTETLFPNELRPKPPPLAAATSFARCQRVDAFDNDGWWVGQVSGKVDDHHYYVYFSTTHEEIAYPSSAIRVHQEWLNGQWIRSDS >Vigun08g060300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:7954440:7954544:1 gene:Vigun08g060300.v1.2 transcript:Vigun08g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSKSD >Vigun04g113300.1.v1.2 pep primary_assembly:ASM411807v1:4:28424424:28426585:-1 gene:Vigun04g113300.v1.2 transcript:Vigun04g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVGGRKNKNKKKAEDGEKVTSKEEEEALRKDAQELKTWIDMIETMDDQQLKGYLEDHAGEIKVPKIQKPKKKVQSTRKPNSSSTGKPKPSGILASVWRFHKN >Vigun03g171700.1.v1.2 pep primary_assembly:ASM411807v1:3:20735544:20740722:1 gene:Vigun03g171700.v1.2 transcript:Vigun03g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSLLLVLTPLLTSFAVSQPQEFVSIDCGGSSNYTDNSTGLAWISDSGIMEHGISVEVQSPNGSMVQYQKRRDFPIDTKKYCYTLSTEERRRYLVRASFQYGSLENGDTYPQFQLYLDATKWATVSVYDASRIYVKEMIIRAPSNSIDVCVCCATTGSPFISTLELRPFNLSMYATDFEDNFFLEVAARINFGAPTEDAVRYPDDPYDRIWDSDLIRRQNYLVGVAPGTERIGTTKNIDVETREYPPVKVMQTAVVGRKGVLSYRLNLEDFPANARAYAYFAEIEDLDKNESRKFKLKQPYIADYSNAVVNIAENANGSYTLYEPSYMNVTLEFVLSFSFVMTPDSTRGPLLNALEISKYVEIASKTDKQDSTVVNAFRLLSAESSQTNEGDPCVPTPWEWVNCSTTTTPRITKIILSRRNVKGEIPPELGNMEALTELWLDGNLLSGPLPDMSKLINLKIVHLENNKLSGRLPSYLGSLPSLQALFIQNNSFSGEIPAGLVSEKIVFRFEGNPGLYRGNKKHFKMVVGISIGVLVILLIFFLVSLVLLLNTRRKASQKKREEKGISGRTNSKPLTGYSFLRGGSLMDENTTCHITLSELKEATDNFSEKIGKGSFGSVYYGKMRDGKEIAVKSMSESSCHGNQQFVNEVSLLSRIHHRNLVPLIGYCEEECQHILVYEYMHNGTLRDHIHESSKKKNLDWLARLRIAEDAAKGLEYLHTGCNPSIIHRDIKTGNILLDINMRAKVSDFGLSRLAEEDLTHVSSIARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKPVSSEDYGAEMNIVQWARSLTRKGDAMSIIDPSLNGNAKSESIWRVVEIAMQCVEHHGSSRPRMQEIILAIQDAIKIEQGTENKFKSLSSSSSFSGSSTSKPHSSRKTLLTNFLEIESPDVSNGCLPSAR >Vigun09g024700.2.v1.2 pep primary_assembly:ASM411807v1:9:1942093:1945578:1 gene:Vigun09g024700.v1.2 transcript:Vigun09g024700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWLNNESNLRHNPFILVHSLIPWKHLFFPFQLESMMQVSSICLAANVRVRLKSLHTNPATINDPASIAAPKWSQKTITLPPLKRGCHLVTSKIVKEVGQELSGFKCGLAHLFLHHTSASLTINENYDYDVRDDTETFLNQIVPEGPSAPWKHTLEGPDDMPAHIKSSMFGCSLTIPITNGKLNMGTWQGIWLCEHRDYPTPRTVVVTLNGI >Vigun07g004900.2.v1.2 pep primary_assembly:ASM411807v1:7:398887:400926:-1 gene:Vigun07g004900.v1.2 transcript:Vigun07g004900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDMVRSQVNFKRLSLTDIKIDIKRVPKKKDLIQAMEAAGWYTFFRTNFYHIESIPFVDEFASLLNRC >Vigun07g004900.1.v1.2 pep primary_assembly:ASM411807v1:7:398887:400926:-1 gene:Vigun07g004900.v1.2 transcript:Vigun07g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVAQINYGKEYGRLVVIVDVIDQNRALVDAPDMVRSQVNFKRLSLTDIKIDIKRVPKKKDLIQAMEAADVKNRWEKSSWGRKLIVQKRRASLNDFDRFKIMLAKIKRAGVVRQELAKLKKTA >Vigun03g369500.1.v1.2 pep primary_assembly:ASM411807v1:3:57233900:57235239:1 gene:Vigun03g369500.v1.2 transcript:Vigun03g369500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDYIHCTRVRSNLIPARNYITPARSRSKSNVGAKLTLKPCTPTLKEPLVVFCSDAVVLVKRNPLASLTLLWMATKVMEVMKGLDLKRYMGRWYEIACFPSRFQPSDGVNTRATYTFGDDGTVRVLNETWSGGKRSFIEGTAHKADPNSDEAKLKVRFWVPPFLPVIPVTGDYWVLFIDPDYQYALIGQPSRNYLWILSRKNDMNDETYKELVERAKDEGYDVSKLHKTPHTNPPPEGEGPEDTKGVWWVKSLLGK >Vigun06g056900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18353019:18359834:-1 gene:Vigun06g056900.v1.2 transcript:Vigun06g056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLWRAALGMSENSTNYDGVEFWSNPERSGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSAVTRASRPRGVIPVATCLTVKGAEDILNKPNAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDNNSAKR >Vigun01g153600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33623774:33625687:1 gene:Vigun01g153600.v1.2 transcript:Vigun01g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLISPSRALPMDSLPKLHHSNITLNHHTSPFPIPLSSSLSFRSRPPPQFSSSSSFRLPSIRASTSSSSNDPVFSSSKNTLSLSPLLQTLNSFLAPLAQTTCIVIAATLFFFMRFHHMPAIAATLSPPAADSETALTEEEAERVLEERLSVNPADIEALRALMECKIKARKIDEAFGVLDRLIELQPEEYEWPLLKANMHIYNDNHAAARELFEEMLKKDPLRVEAFHGLVMATSQLNEPLKALLKRVEQATEACKKQNRDSDVRDFRLLIAQIKVMEGDFPEALKAYQELVKEEPRDFRPYLCQGIIYTLLRKKDEADKQFDKFRRLVPKDHPYMEYFEDNMFATKFFSQKLEREGAGARD >Vigun08g006266.1.v1.2 pep primary_assembly:ASM411807v1:8:548926:553353:1 gene:Vigun08g006266.v1.2 transcript:Vigun08g006266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKRYESNTEVEKKKKKKQKKRSQPQTTRGMQHSSKFESSDLQDISPPRRSRHDSPSQDVLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHSSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMDPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKESGFVVPQDIPNHNWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun08g089100.8.v1.2 pep primary_assembly:ASM411807v1:8:20399598:20431189:-1 gene:Vigun08g089100.v1.2 transcript:Vigun08g089100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSLQFVSEIGRHLAQRTRPSKDFIVKSLRKAANALSQIKQSPQPRTAKELQAAKKQEDALKPLSNAVVCGGLLQHADKEVRLLVAMCVTELFRLMAPEPPFEDEHLRDVFKLIINLFEDLADTASPFFSKRVKVLEIMAQLKCCVIMLEINCLDLVLEMFNIFFSVVRDEQLLISAMTSIMINILYESEEAFQQLLGVVLLNLVKQKGDGNFAAENLAASVIKTCAQEDELNLLACGFLVSCIHDRDAMGSGLKQYYYEIFSKVFECAPEMLLSVIPSLIKELSADEVDVRIKAVNLVGKLFALQHRVAQKYPDLFVEFLKRFSDKSVDVRISALQCAKVFYLANPYDGTESREIMTSVEDRLLDSDEQVRKQAVFVACDIFSSNLKLVSSKLLSHVSERLRDIKITVRKSALQKLVRVYRDYCKKCYEGSMKISNHFEEIPCKIMLLCYDKDCKEFRFQNLEFVLADDLFPEDLPIEERTNHWIHMFSLFNFPHEKAFNTILAQKRRFQNEMKNYLATKKKMKDICLEEMQKKIDSMFTKMAAFFPDSHKAEECLHKLNQIKDNSVFQLLEKLLEEQAFTTIGQTMKDKHLAMIGDSNPTYEFLRPLFSKCSSNIFSSEHVRCILDYLTNNENENRTLEDSSANFLLAIVRYFPSMLKGSEKQFLLLLEQKSPVNDKLIEVMAKAGCHMSFNHSDIYPFLRGICLEGTRGQAKFAGSAIVALCSEQSLFRKLFEELIDSLYSERNVPTILQSLGCITQYVSNFETQVEEITSYIFQKIIQMEHLDDGHCATSFHDTAQCSDFCQLKIYWLKALVKISLHYEGSHVERSINGLMEILSRMLRESDYFVNTTASSCENDKAHIKLAAAKAILRLARKWDLHITPQTFQFCILIAKDSSLFVRGTFLRKIQKLLKEHKLPMRFACAFALAATNGTGDQQYQTYKYMAEFIRDYTISARKRETSSVQGVIIDCPAYVLVFLIHVLSRNNEFPFEVCPDEKIYADICSPLFFILQALVDINIVDGDLDIVDDAILHIVSIFRAIRKVEDGVDAQMTTKLHMLAEIGIFILNELSHGGISKLQTPGQILLPSSLYRVSPVKIDTSSKCPTSCFDENFLSRIFQALKEFTLAHPQAQKTAKKFPEHQKGQHDVKKSNINIGGVLDLASCKPNDLSRREITNAKTVKLDIPSVKRRKCVPVSVGLHECSTIEKQQKIPSKHFGKTKESNLLSSSDSACSKGSLYESHVQTRNSKRAAACSLENAVTNSKHIVEPFKCPRTKRKDTSGSKKQDVLEDVSNKINFIPRVITRNAMEKRIDGLEQQLAELRNLIIACNRSRSGRPHTQRSHRRRKVHDEDNGDVERDDNSRSTIRSSSGESSRDFGGRKLKIPIFHGDDAYGWIVRAERYFKLNWVHEEEKLDAVVIALEDKARSWYQWWEEQSKELNWEEFKGALIRRFQPGLVQNPFGLLLSIKQSSSVMKYREKFERESAPLKKEERIMLKGIFINGLKEEIQAELKLYATGTFDELMDRALLLEEKNNALRKVGLMGSKKVGDKPPMKQAKGFTRWAKGGLLRG >Vigun10g060400.1.v1.2 pep primary_assembly:ASM411807v1:10:12395168:12398607:-1 gene:Vigun10g060400.v1.2 transcript:Vigun10g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYHFVYKDVEGASTQWDDIQRKLGNLPEKPPAFKPPPFTPSSDDQPKDKTWIDSKTSEELEDLEDDLDDDRFLQEYRKKRLAEMQEAAKVLRFGSVIPISGSDFVREVSQAPSDVWVVVILYKDGIPECGLLMQSIEELAIKYPATKFVKIISTDCIPNYPDRNVPTLLVYNNGAVKGNYVGLHSFGRRCTPEGVALVLCQSNPVLNDGHSKNEAVIEGVRKQFIEKVVADHEEEGDDYSSD >Vigun03g006100.2.v1.2 pep primary_assembly:ASM411807v1:3:422997:427031:-1 gene:Vigun03g006100.v1.2 transcript:Vigun03g006100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQLGLFLALACGFAAISIYITGLSDPFVYPGYRLTDEDTEALFSLHDTFQKCVSANGLGLKATRGADYCQTTINFPSDTIPKWKDPKTGELEALSFDFDLCEAVASWEQVRNSTTILTKEFIDSLPNGWEEYAWRRINKGIQLNRCENKTLCMEKLSLVLPETPPYFPRQFGRCAVIGNSGDLLKTKFGNEIDGYEVVIRENGAPIQNYTDYVGRKSTFRLLNRGSAKALDKVVELDEQRKEVLIIKTTIHDIMNKMIREVPIKNPVYLMLGASFGSAAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGNSFSHAS >Vigun03g006100.1.v1.2 pep primary_assembly:ASM411807v1:3:422997:427031:-1 gene:Vigun03g006100.v1.2 transcript:Vigun03g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQLGLFLALACGFAAISIYITGLSDPFVYPGYRLTDEDTEALFSLHDTFQKCVSANGLGLKATRGADYCQTTINFPSDTIPKWKDPKTGELEALSFDFDLCEAVASWEQVRNSTTILTKEFIDSLPNGWEEYAWRRINKGIQLNRCENKTLCMEKLSLVLPETPPYFPRQFGRCAVIGNSGDLLKTKFGNEIDGYEVVIRENGAPIQNYTDYVGRKSTFRLLNRGSAKALDKVVELDEQRKEVLIIKTTIHDIMNKMIREVPIKNPVYLMLGASFGSAAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIRIHSPMRADPNRVVKWVPSHHIIRAARIASEKLLRRVGAGSEDPLSVCSIIGKQVKRNLNAVSKLRKAALDHLRYVKRTTMYPLEHSPGHGSLCTVPTD >Vigun08g134600.1.v1.2 pep primary_assembly:ASM411807v1:8:30590712:30592418:-1 gene:Vigun08g134600.v1.2 transcript:Vigun08g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAYSTALLLLISVFAGTQLQGGATATDGAWEGGHATFYTGSSKTMGGACGYGDVIAEGYGSDTTALSTALFNNGLSCGACFAVRCVNDAKWCKPATVVVTATNLCPPGTWCTPPSLHLNLAQSPFLKIAQYTAGIVPVQFRRVSCVKKGGIRFTINGHSYFNLVLIHNVAGAGDVNAVSIKGSKTGWQPMSRNWGQNWQSNSFLNGQSLSFQVTTSDRRTVTSYNVAPANWQFGQTFEGRQF >Vigun08g006700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:583358:584403:1 gene:Vigun08g006700.v1.2 transcript:Vigun08g006700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLKAHQDEHRVIAPTNQNRMKIQKKKFMSNPCYNVGTYEGTYVGLMNLPPSYPFELSSSFYYHHHQKQQQPPLLPLPAVSPSVVNNGRNRSKEFSNRKPKQTTREELKKKPTMAFRTTPVGYTSSFRSTAMAGKGFGYGAENVDFSSWVFNLSPPPSSLPVPKFCVRRSKLSCNAETN >Vigun05g202000.1.v1.2 pep primary_assembly:ASM411807v1:5:39010423:39012282:1 gene:Vigun05g202000.v1.2 transcript:Vigun05g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFVSCFGGAADPTAATKSAVPPPSRTLRRKPQWKPSLGSISEDAAPPHRERNATADSAGDVKKSENSATTNSHRRHFSESIDYGCRRVTVGAMPAFSPTPFIF >Vigun05g202000.2.v1.2 pep primary_assembly:ASM411807v1:5:39010423:39012282:1 gene:Vigun05g202000.v1.2 transcript:Vigun05g202000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFVSCFGGAADPTAATKSAVPPPSRTLRRKPQWKPSLGSISEDAAPPHRERNATADSAGDVKKSENSATTNSHRRHFSESIDYGRVTVGAMPAFSPTPFIF >Vigun03g192500.2.v1.2 pep primary_assembly:ASM411807v1:3:26761768:26764968:-1 gene:Vigun03g192500.v1.2 transcript:Vigun03g192500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSASSTLELTISVPGFASSPTLLPSSSVKELDINQVPLEEDWMASNMEDEEESSNGDPPRKKLRLTKEQSRLLEESFRQNHTLNPQKESLAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTTADKPPSAAATLSAKVPPHSPQPSAAC >Vigun03g192500.1.v1.2 pep primary_assembly:ASM411807v1:3:26761768:26764968:-1 gene:Vigun03g192500.v1.2 transcript:Vigun03g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSASSTLELTISVPGFASSPTLLPSSSVKELDINQVPLEEDWMASNMEDEEESSNGDPPRKKLRLTKEQSRLLEESFRQNHTLNPKQKESLAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTTADKPPSAAATLSAKVPPHSPQPSAAC >Vigun08g019800.1.v1.2 pep primary_assembly:ASM411807v1:8:1699412:1704046:-1 gene:Vigun08g019800.v1.2 transcript:Vigun08g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSHTLMQTLLPPLPEPDLSLNISPPSISEVKEVAPFGKLIYSDMCSTSDSGSSGGSDLSHEFHNLAHPREPTLKLGFGTTDFNPRRHQAQGDTRSFNHHHHHHLQPHIYGRDFKRTARVVNGVKRSVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGTTGHGQTDISVLNPRPGINMVHLHAPSPNLPDPIIQRTTWQQSSIETKTKNSRQEAEMGLTYCEVKKGSSESMVMNGLDCTSLSRSEGMLDLEFSLGRPIWQKDHAESSRELTLLNC >Vigun09g113000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24721871:24735830:-1 gene:Vigun09g113000.v1.2 transcript:Vigun09g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLKRRQALIANPKYQLRSPHTQNNTHSQHINNHPPTLHNLVRLYAARNQIQLARHVFDQIPNPNVVLWNMMIRAYAWTGPFHQSIHLYHRMLQLGVRPNNFTFPFLLKACSALQAIELGRQIHDHVLTLGLQADLYVSTALLDMYAKCGNFFEARTIFDSMSHRDIVSWNAIIAGFSFHAFHDQTIHLVVQMQLAGITPNSSTIVSLLPTIGQANALCQGKAIHAYSVRKIFSHDVVVATGLLDMYAKCHHLSYAQKIFNALNQKNEICWSAMIGGYVICDSMRDALALYDDMVYMHGLNPTPVILASTLRACAKLTDLNKGKNLHCYMIKSGINSDTTVGNSLISMYAKCGIMDDALGFLDEMVIKDSVSYSAIISGCVQNGYAEKAVLIFRQMQLSGTDPDSATMIGLLPACSHLAAVQHGICCHGYSVVRGFTANTSICNAIIDMYAKCGRIHISRQVFDRMKKRDIVSWNTMIIGYGIHGLYIEAFSLFHELLASSMKPDDVTLIAVLSACSHSGLVTEGKYWFNSMSQDLNISPRMAHYICMVDLLARAGNLDEAYSFIQKMPFEPDVRVWSALLSACRTRNNVEMGEQVSKKIQMLGPEGTGNFVLMSNIYSSVGRWDDAAHIRNIQKHQGYKKSPGCSWIEISGVIHGFIGGDRSHPQSVSINNKLQELLVQMKRMGYQADSGFVFHDVEEEEKEQILLYHSEKIAIAFGILSTSPRNPILVTKNLRICVDCHNAVKFMTLITERRITVRDASRFHHFENGICNCRDFW >Vigun07g234200.1.v1.2 pep primary_assembly:ASM411807v1:7:35611819:35617165:1 gene:Vigun07g234200.v1.2 transcript:Vigun07g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASESSWVGRKPVKRIGGMSDALSIAADLGFSVSTPPSQEGLQSSSPTTGEKGEDLIRVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLDRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQKLRVGHFDSPLPRTPAGDPSQRVPGVSDCLTPPPWKTEANYDDLGIRNQRRQHLDQVEDAR >Vigun03g031900.1.v1.2 pep primary_assembly:ASM411807v1:3:2422223:2428589:1 gene:Vigun03g031900.v1.2 transcript:Vigun03g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSADVFPAIQEVMLEFRAGKMFLEGKKVVPDTRKGLVRIVRAEEGLVHFQWLDRTQNIVEDLTQDQIIFPNEAVFEKVNQTSGRVYILKFNSDDRKFFFWMQESNADGDLQLCSSVNDYINRPLELGEEEPEGSLPLQVSEDMAEDDITSRAANLGIPNLRVDATSDVPSSGRVRLEDLQRILSDIGPADSIVDPDGGLGLGDILKPDLIMPLMETLPLEQRLAPYLPEGKSSPEEILELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSESLESEEARQDDQDLRSQSCNRHDPMDESK >Vigun03g031900.2.v1.2 pep primary_assembly:ASM411807v1:3:2422062:2428610:1 gene:Vigun03g031900.v1.2 transcript:Vigun03g031900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSADVFPAIQEVMLEFRAGKMFLEGKKVVPDTRKGLVRIVRAEEGLVHFQWLDRTQNIVEDDQIIFPNEAVFEKVNQTSGRVYILKFNSDDRKFFFWMQESNADGDLQLCSSVNDYINRPLELGEEEPEGSLPLQVSEDMAEDDITSRAANLGIPNLRVDATSDVPSSGRVRLEDLQRILSDIGPADSIVDPDGGLGLGDILKPDLIMPLMETLPLEQRLAPYLPEGKSSPEEILELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSESLESEEARQDDQDLRSQSCNRHDPMDESK >Vigun11g222750.1.v1.2 pep primary_assembly:ASM411807v1:11:41411325:41413272:-1 gene:Vigun11g222750.v1.2 transcript:Vigun11g222750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAASGKTVFFVCIACFLAGTLFSGQMWTRPSNHENTLQQSTPDCDHKRKLIEGGSGDVMEEVVKTHQAIKSLDKAVSTLEMELTAGRRSQSGGQKMQKRKAFVVIGINTAFSSKRRRDSIRETWLPRGNDLKELEKEKGIVVRGVLDKAIDAEEAEHKDFLRLDHVEGYHELSSKTRLYFSTITSLWDADFYVKVDDDVHLNLGMLVSTLAKYRSRPRVYIGCMKSGPVLYQKGVKYHEAEHWKFGEEGNKYFRHATGQIYAISKDLATYISINWPILHKYANEDVSLGSWLLGLEVEHVDERSMCCGTPPDCDWKARTGNVCVASFDWSCSGICKSVERMKDIHKACGEGHGAVWNIEL >Vigun11g097401.1.v1.2 pep primary_assembly:ASM411807v1:11:28549053:28550351:-1 gene:Vigun11g097401.v1.2 transcript:Vigun11g097401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGEEHASQLLRAQTHIWNHIFKFINSLSLKWAVELSIADIIHNYGQPMPLSQLIASLPLHHSKSSFISRLMKILTHSGFFSEHQGTPNEGEIMYVLTDASKLLLKDHPFSMRYLPQLVFDPIMINPWFQFSTWFTNEDPTPFQTENGMTFWDFARCEPKFNHFFNDAMASDSKWVSSVVIAESFPHLKCTVLDLPHVVADLQGTENIEYVGGDMFQAIPSADSIMLKWILHDWKDEECVKILKNCKEAIASKGRVMIIDMVMENKKEDHELTEMQLFLDM >Vigun08g179100.4.v1.2 pep primary_assembly:ASM411807v1:8:34889474:34897317:-1 gene:Vigun08g179100.v1.2 transcript:Vigun08g179100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAETEIGGDQKGVAAPKSPWKTPAGDGKGGDVSVMMGTESWPALSDAQRPPKNVEIAAASVANVGEIAPRPPSMQVNGSGNANPAQKLPSSRHPKPGAKRNSGGAPPFPGPLPYLQPVPPIFYPMVPPPHIAVPGYGFPPGPGPFPGVESPLGKPVSQPPPGQAFPPPAHAVDPKSVQPPVQGDPNAYAGNFANGRPNIQEQGDHLNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPFYGPPPGYIVGPGFPGSGPYWGVTMPPPGSIRGPHPRQFVPYHVNPTPQPPPPDTVSLRTSIVKQIDYYFSDENLQHDHYLISLMDDQGWVPIFTIADFKRVKRMSTDIPFILDALQSSKNVEIQGHKIRKCDNWSKWIPVSPGSSTAQIQQNRDLDCTVNSLENSDAAGDNTRGTSEEKPKDAVHNSTLAECNQLNEDKLQVSHANQENNTESHYSNGKPIVATGESVKLCDFDTTSNNLHDVQETETKIFDSNETRNMDVLNDMDVQDLTNDFGNTFMLDEEIELEQKMLKKSDLSSSGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKQGYRDGGKESKSISNELASAINDGLYFYEQELKHRRSNRSRKNNSDSRDRNIKSPSHNSGVSNLKAVENIGANSVEESGSNTSRRKQKVFHKQPSSLKQRFFSSNFRNHGTSRNSHGIVSESPPSNSVGFFFASTPPENHGFKPSKLSSSPHGGLSGSPHGGFSGSPHGGFSGSPHGGFSGSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAAANYNYGIECLFRFYSYGLEKEFRDDLYKDFEHLTLDFYHKGNLYGLEKYWAFHHYRKIRDHKEPLNKHPDLDRLLREEYRSLEDFRAREKSVVKEDLH >Vigun08g179100.2.v1.2 pep primary_assembly:ASM411807v1:8:34889474:34897317:-1 gene:Vigun08g179100.v1.2 transcript:Vigun08g179100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAETEIGGDQKGVAAPKSPWKTPAGDGKGGDVSVMMGTESWPALSDAQRPPKNVEIAAASVANVGEIAPRPPSMQKVNGSGNANPAQKLPSSRHPKPGAKRNSGGAPPFPGPLPYLQPVPPIFYPMVPPPHIAVPGYGFPPGPGPFPGVESPLGKPVSQPPPGQAFPPPAHAVDPKSVQPPVQGDPNAYAGNFANGRPNIQEQGDHLNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPFYGPPPGYIVGPGFPGSGPYWGVTMPPPGSIRGPHPRQFVPYHVNPTPQPPPPDTVSLRTSIVKQIDYYFSDENLQHDHYLISLMDDQGWVPIFTIADFKRVKRMSTDIPFILDALQSSKNVEIQGHKIRKCDNWSKWIPVSPGSSTAQIQQNRDLDCTVNSLENSDAAGDNTRGTSEEKPKDAVHNSTLAECNQLNEDKLQVSHANQENNTESHYSNGKPIVATGESVKLCDFDTTSNNLHDVQETETKIFDSNETRNMDVLNDMDVQDLTNDFGNTFMLDEEIELEQKMLKKSDLSSSGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKQGYRDGGKESKSISNELASAINDGLYFYEQELKHRRSNRSRKNNSDSRDRNIKSPSHNSGVSNLKAVENIGANSVEESGSNTSRRKQKVFHKQPSSLKQRFFSSNFRNHGTSRNSHGIVSESPPSNSVGFFFASTPPENHGFKPSKLSSSPHGGLSGSPHGGFSGSPHGGFSGSPHGGFSGSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAAANYNYGIECLFRFYSYGLEKEFRDDLYKDFEHLTLDFYHKGNLYGLEKYWAFHHYRKIRDHKEPLNKHPDLDRLLREEYRSLEDFRAREKSVVKEDLH >Vigun08g179100.5.v1.2 pep primary_assembly:ASM411807v1:8:34889474:34897317:-1 gene:Vigun08g179100.v1.2 transcript:Vigun08g179100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAETEIGGDQKGVAAPKSPWKTPAGDGKGGDVSVMMGTESWPALSDAQRPPKNVEIAAASVANVGEIAPRPPSMQKVNGSGNANPAQKLPSSRHPKPGAKRNSGGAPPFPGPLPYLQPVPPIFYPMVPPPHIAVPGYGFPPGPGPFPGVESPLGKPVSQPPPGQAFPPPAHAVDPKSVQPPVQGDPNAYAGNFANGRPNIQEQGDHLNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPFYGPPPGYIVGPGFPGSGPYWGVTMPPPGSIRGPHPRQFVPYHVNPTPQPPPPDTVSLRTSIVKQIDYYFSDENLQHDHYLISLMDDQGWVPIFTIADFKRVKRMSTDIPFILDALQSSKNVEIQGHKIRKCDNWSKWIPVSPGSSTAQIQQNRDLDCTVNSLENSDAAGDNTRGTSEEKPKDAVHNSTLAECNQLNEDKLQVSHANQENNTESHYSNGKPIVATGESVKLCDFDTTSNNLHDVQETETKIFDSNETRNMDVLNDMDVQDLTNDFGNTFMLDEEIELEQKMLKKSDLSSSGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKQGYRDGGKESKSISNELASAINDGLYFYEQELKHRRSNRSRKNNSDSRDRNIKSPSHNSGVSNLKAVENIGANSVEESGSNTSRRKQKVFHKQPSSLKQRFFSSNFRNHGTSRNSHGIVSESPPSNSVGFFFASTPPENHGFKPSKLSSSPHGGLSGSPHGGFSGSPHGGFSGSPHGGFSGSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAAANYNYGIECLFRFYRYPFQIFVGYSNLLIFKSSEFSSTDKKKFFIFLLSHSRSVLLIFE >Vigun08g179100.3.v1.2 pep primary_assembly:ASM411807v1:8:34889474:34897317:-1 gene:Vigun08g179100.v1.2 transcript:Vigun08g179100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAETEIGGDQKGVAAPKSPWKTPAGDGKGGDVSVMMGTESWPALSDAQRPPKNVEIAAASVANVGEIAPRPPSMQVNGSGNANPAQKLPSSRHPKPGAKRNSGGAPPFPGPLPYLQPVPPIFYPMVPPPHIAVPGYGFPPGPGPFPGVESPLGKPVSQPPPGQAFPPPAHAVDPKSVQPPVQGDPNAYAGNFANGRPNIQEQGDHLNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPFYGPPPGYIVGPGFPGSGPYWGVTMPPPGSIRGPHPRQFVPYHVNPTPQPPPPDTVSLRTSIVKQIDYYFSDENLQHDHYLISLMDDQGWVPIFTIADFKRVKRMSTDIPFILDALQSSKNVEIQGHKIRKCDNWSKWIPVSPGSSTAQIQQNRDLDCTVNSLENSDAAGDNTRGTSEEKPKDAVHNSTLAECNQLNEDKLQVSHANQENNTESHYSNGKPIVATGESVKLCDFDTTSNNLHDVQETETKIFDSNETRNMDVLNDMDVQDLTNDFGNTFMLDEEIELEQKMLKKSDLSSSGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKQGYRDGGKESKSISNELASAINDGLYFYEQELKHRRSNRSRKNNSDSRDRNIKSPSHNSGVSNLKAVENIGANSVEESGSNTSRRKQKVFHKQPSSLKQRFFSSNFRNHGTSRNSHGIVSESPPSNSVGFFFASTPPENHGFKPSKLSSSPHGGLSGSPHGGFSGSPHGGFSGSPHGGFSGSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAAANYNYGIECLFRFYSYGLEKEFRDDLYKDFEHLTLDFYHKGNLYGLEKYWAFHHYRKIRDHKEPLNKHPDLDRLLREEYRSLEDFRAREKSVVKEDLH >Vigun08g179100.1.v1.2 pep primary_assembly:ASM411807v1:8:34889474:34897341:-1 gene:Vigun08g179100.v1.2 transcript:Vigun08g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAETEIGGDQKGVAAPKSPWKTPAGDGKGGDVSVMMGTESWPALSDAQRPPKNVEIAAASVANVGEIAPRPPSMQKVNGSGNANPAQKLPSSRHPKPGAKRNSGGAPPFPGPLPYLQPVPPIFYPMVPPPHIAVPGYGFPPGPGPFPGVESPLGKPVSQPPPGQAFPPPAHAVDPKSVQPPVQGDPNAYAGNFANGRPNIQEQGDHLNHGWHHQRPFPSRPNIPMQHGLGPRPFIRPPFYGPPPGYIVGPGFPGSGPYWGVTMPPPGSIRGPHPRQFVPYHVNPTPQPPPPDTVSLRTSIVKQIDYYFSDENLQHDHYLISLMDDQGWVPIFTIADFKRVKRMSTDIPFILDALQSSKNVEIQGHKIRKCDNWSKWIPVSPGSSTAQIQQNRDLDCTVNSLENSDAAGDNTRGTSEEKPKDAVHNSTLAECNQLNEDKLQVSHANQENNTESHYSNGKPIVATGESVKLCDFDTTSNNLHDVQETETKIFDSNETRNMDVLNDMDVQDLTNDFGNTFMLDEEIELEQKMLKKSDLSSSGRIDDEDDEMAVIEQDVQRLVIVTQNGDPKQGYRDGGKESKSISNELASAINDGLYFYEQELKHRRSNRSRKNNSDSRDRNIKSPSHNSGVSNLKAVENIGANSVEESGSNTSRRKQKVFHKQPSSLKQRFFSSNFRNHGTSRNSHGIVSESPPSNSVGFFFASTPPENHGFKPSKLSSSPHGGLSGSPHGGFSGSPHGGFSGSPHGGFSGSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRDLFVPSMYNEFKKLAKEDAAANYNYGIECLFRFYSYGLEKEFRDDLYKDFEHLTLDFYHKGNLYGLEKYWAFHHYRKIRDHKEPLNKHPDLDRLLREEYRSLEDFRAREKSVVKEDLH >Vigun06g023000.1.v1.2 pep primary_assembly:ASM411807v1:6:10662585:10664963:1 gene:Vigun06g023000.v1.2 transcript:Vigun06g023000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGNRDVAGNQNSLEIDSLARFAVEEHNKKQNALLEFGRVVSAQQQVVSGTLYTITLEAKDGGQKKVYEAKVWEKPWLNFKELQEFKHVGDAPA >Vigun02g052600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19476413:19477039:1 gene:Vigun02g052600.v1.2 transcript:Vigun02g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDRNILEPSTCRHEIRCDGTTIETVVTNEENIVEQWVSSVYVGKQRVVGLDTEWMNVQKASTKKATMKVAILQLCVEDKCLIVQLFCMNNMPSSLQSFLMDSSFEFVGVGVMNDIDMLKKNYGLDCNTGTDLVKFAKKKWPEKITSGSLKYLAKELVGLEMEKSKAVVTSDWKAKELNEAQVQYACIDAYASFKIGKMVLSDEHS >Vigun04g203800.3.v1.2 pep primary_assembly:ASM411807v1:4:42643172:42645089:1 gene:Vigun04g203800.v1.2 transcript:Vigun04g203800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDNHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYEAFAIGSRSQAAKTYLERKFDNFVGSSREDLIKDALIATRESLQGEKLRSSVCTIAVVGVGEPFHILDQETVQQLIDSFEIVREEEPPAEEAQPDAPTDQASGPDQGAAAGDPGASPMEI >Vigun04g203800.1.v1.2 pep primary_assembly:ASM411807v1:4:42643163:42645089:1 gene:Vigun04g203800.v1.2 transcript:Vigun04g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDNHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYEAFAIGSRSQAAKTYLERKFDNFVGSSREDLIKDALIATRESLQGEKLRSSVCTIAVVGVGEPFHILDQETVQQLIDSFEIVREEEPPAEEAQPDAPTDQASGPDQGAAAGDPGASPMEI >Vigun10g086900.1.v1.2 pep primary_assembly:ASM411807v1:10:24845606:24847345:1 gene:Vigun10g086900.v1.2 transcript:Vigun10g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHHRESHTTPLSGALWASGIGASLVTYSCKKSPMKTSLSSSMPATYPCNEKCSLHQICVCLFLEVS >Vigun09g231900.2.v1.2 pep primary_assembly:ASM411807v1:9:40325821:40332736:-1 gene:Vigun09g231900.v1.2 transcript:Vigun09g231900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGDVKAKNSSEEALQRWRKACWLVKNHKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSTEYTVPEEVKTAGFDICADELGSIVEGRDVKKLKIHGGVEGITNKLNTSVDDGISTSEHLLNPRKEIYGVNKFTESPARGFWVFVWEALQDTTLMILAVCALVSLVVGIVMEGWPKGAQDGIGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGCRQKLSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLINESSLTGESEPVNVSELNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFSVLVQGLFSRKLQEGSQWTWSGDDAMEIVEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKAYICGKIKEVNSSKVSSDFSSDIHDSSLAILLESIFNNTGGEVVKNKDEKIEILGSPTETALLEFGLSLGGDFLKERQRSKLVKVEPFNSIKKRMGVVLQLPDGGFRAHCKGASEIVLAACDKVVDSSGEVVPLNEDSINQMNNIIETFAGEALRTLCLAYMDIHDEFFVGSPIPTRGYTCIGIVGIKDPVRPGVRESVAICRSAGIVVRMVTGDNINTAKAIARECGILTDGIAIEGPEFREKTEEELLDIIPKIQVMARSSPMDKHTLVKHLRTTFQEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRPPVGRKGNFISNVMWRNILGQSIYQFVVIWFLQTRGKAAFHLHGPDSDMILNTLIFNSFVFCQVFNEISSRDMERINVFEGILKNYVFVAVLTCTVVFQIIIVELLGTYANTHPLSLKQWLGSVLFGVFGMPIAAALKMIPVGSV >Vigun09g231900.1.v1.2 pep primary_assembly:ASM411807v1:9:40325821:40332736:-1 gene:Vigun09g231900.v1.2 transcript:Vigun09g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGDVKAKNSSEEALQRWRKACWLVKNHKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSTEYTVPEEVKTAGFDICADELGSIVEGRDVKKLKIHGGVEGITNKLNTSVDDGISTSEHLLNPRKEIYGVNKFTESPARGFWVFVWEALQDTTLMILAVCALVSLVVGIVMEGWPKGAQDGIGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGCRQKLSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLINESSLTGESEPVNVSELNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFSVLVQGLFSRKLQEGSQWTWSGDDAMEIVEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKAYICGKIKEVNSSKVSSDFSSDIHDSSLAILLESIFNNTGGEVVKNKDEKIEILGSPTETALLEFGLSLGGDFLKERQRSKLVKVEPFNSIKKRMGVVLQLPDGGFRAHCKGASEIVLAACDKVVDSSGEVVPLNEDSINQMNNIIETFAGEALRTLCLAYMDIHDEFFVGSPIPTRGYTCIGIVGIKDPVRPGVRESVAICRSAGIVVRMVTGDNINTAKAIARECGILTDGIAIEGPEFREKTEEELLDIIPKIQVMARSSPMDKHTLVKHLRTTFQEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRPPVGRKGNFISNVMWRNILGQSIYQFVVIWFLQTRGKAAFHLHGPDSDMILNTLIFNSFVFCQVFNEISSRDMERINVFEGILKNYVFVAVLTCTVVFQIIIVELLGTYANTHPLSLKQWLGSVLFGVFGMPIAAALKMIPVGSV >Vigun11g088550.1.v1.2 pep primary_assembly:ASM411807v1:11:26585242:26585522:1 gene:Vigun11g088550.v1.2 transcript:Vigun11g088550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAAMLKLTWEFRFEKSFWAKFMRERFLNSRGYVLAKYITSSTWSGLKPHLTNISEHTRWLLGDGKTIHF >Vigun05g064900.1.v1.2 pep primary_assembly:ASM411807v1:5:5648187:5649795:-1 gene:Vigun05g064900.v1.2 transcript:Vigun05g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRGQELEMSVTETSKKTGVPQIVKLDKALKLAELWVNNMSKDADDHRTNADLESRPSGLGLGAKVSRQTKFVPSDDPVEKKLYAKLTAEKRKAANIAKESATTARDDLDDDENSEDLDSRTNAFAKRKATAPLTSSMLRNKKQK >Vigun09g025200.1.v1.2 pep primary_assembly:ASM411807v1:9:1973088:1975622:1 gene:Vigun09g025200.v1.2 transcript:Vigun09g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFSSPPVQFYDFQTHPQDDFQTQAQNEFDHFMEWTIIASIASGVVGLVAIIAIVYAIIQCLKKAGEAIPAYAQLPSTENNNHKTSNSFPSTREVEVVITPASTVQNSKTEFATMERFLSNINKEKPIRFSPEELDIVTWNYSTILGSGAFGVVYKGKLCNGDDVAVKVINSLDMGMEEQIKAEIGTLGRTYHVNLVRLYGFCFHRDKRALVYEYVENGSLDKHLFGSQNQDIELRKLLEIAIGTAKGIAYLHEECQKRIIHYDIKPENVLLDMNLEAKVADFGLAKLCSRENNVSVNTHFRGTRGYAAPEMWKLSPVTHKCDVYSFGILLFEMVGRRRHFDDSYRESQQWFPQWTWDMFENNELSVMLSLCGIEEKEKEKGERMLKVALWCVQYSPDERPFMSTVVKMLEGEIEISPPPFPFQNLVSVKPNLTQKESSSEDSDATQSWETTSYPEYASKIKRNAFEIEELA >Vigun04g066850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7513927:7514271:-1 gene:Vigun04g066850.v1.2 transcript:Vigun04g066850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VIFIYLISFKQIRKTVALPFDDIESDDEWITEDRDNVRIELVQGEGDKGNVDIVGPSSIDPTLEAFDLDNIVFDANVDDAHLSYEEEPDGDGEDDDEEDDDVGDDIIRGLEPEI >Vigun06g177200.1.v1.2 pep primary_assembly:ASM411807v1:6:29724189:29729279:1 gene:Vigun06g177200.v1.2 transcript:Vigun06g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTTMKWVSIFTLLTLSHLSLTTFAEDGLVANGDFEATPSNGFPNEAIVEGPSEVPNWKTNGTVELVESGQKQGGMILIVPQGRHAVRLGNDAEMSQEVTVEKGSIYSVTFCAARTCAQLESINVSVASASQTIDLQTLYNVQGWNPYAVSFNAEEDTFRLVFKNPGMEDDPTCGPIIDNIAIKKLFTPKSPKENAVINGDFEEGPWMFTNTSLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSDHYSVPQGRRAVELLSGKEGIISQMVETKPDKPYSLTFSLGHANDKCKEPLAVMAFAGDQAQNIHYTPNSNSTFQTANLNFTAKAERTRIAFYSIYYNTRSDDMSSLCGPVVDDVRVWFSNSNGLRGFALFRLGLAILGLALVLV >Vigun03g008600.2.v1.2 pep primary_assembly:ASM411807v1:3:582949:589889:-1 gene:Vigun03g008600.v1.2 transcript:Vigun03g008600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSSAGGMLAMLNEPHLSLKLHALSNLNNLVDTFWPEISTSLPKIESLHEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESSDESVKVDPRLEAIVERLLDKCIVDGKYQQAMGTAIECRRLDKLEEAITRSDNVQGTLSYCIYVSHSFVNLREYRQEVLRLLVKVFQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDALLAFQIAFDLVENEHQAFLLNVRDRLSPPKSQPSEAAQPKPSDADSTQNASANGQDDVQMTDGDSAPTVDVPEDPIETLYAERLNKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGTGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFPSLFEYPKPTTVPTTTSTVKLPTAVLSTSAKAKARAKKAEEQKANAEISSAPDSSSAPSGGKGKSSGEKDGDSMQVDSPSTEKKSEPESSFEILTNPARVVPAQEKVIKFLQDSRYVPVKLAPSGFVLLKDLRPTEPEVLALTDTPSSTTTSAAGGSATGLQSSSSAMAVDEEPQPPQPFEYSS >Vigun03g008600.1.v1.2 pep primary_assembly:ASM411807v1:3:582949:589889:-1 gene:Vigun03g008600.v1.2 transcript:Vigun03g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSSAGGMLAMLNEPHLSLKLHALSNLNNLVDTFWPEISTSLPKIESLHEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESSDESVKVDPRLEAIVERLLDKCIVDGKYQQAMGTAIECRRLDKLEEAITRSDNVQGTLSYCIYVSHSFVNLREYRQEVLRLLVKVFQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDALLAFQIAFDLVENEHQAFLLNVRDRLSPPKSQPSEAAQPKPSDADSTQNASANGQDDVQMTDGDSAPTVDVPEDPIETLYAERLNKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGTGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFVSLAFSPTAFIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSTVKLPTAVLSTSAKAKARAKKAEEQKANAEISSAPDSSSAPSGGKGKSSGEKDGDSMQVDSPSTEKKSEPESSFEILTNPARVVPAQEKVIKFLQDSRYVPVKLAPSGFVLLKDLRPTEPEVLALTDTPSSTTTSAAGGSATGLQSSSSAMAVDEEPQPPQPFEYSS >VigunL054301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000032.1:23468:24174:-1 gene:VigunL054301.v1.2 transcript:VigunL054301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYERKGKEKGKITEEFQQRLKKLEQDITHKHSLHSNLTIHKSRKYSPKELMFNLQQESPSPLEPLKNVTRIKDVSVREILQERREAIERGKLKGRQLFQSTTSKGESSDREERSMSFRNFDDESNGSEGVHGYCTRDELCSLSSSSSSCLAGDDDNDNQVMDKLIHCMATVKDSVSATYRNRRTRYVVLLGEVTVILLMIVMCMCLAKNLGGNDCNMILVPT >Vigun06g168300.4.v1.2 pep primary_assembly:ASM411807v1:6:29003911:29010136:1 gene:Vigun06g168300.v1.2 transcript:Vigun06g168300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVSEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLVDHPNFLTNEVYIGGDSYSGIPVPAVVQEIFRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168300.3.v1.2 pep primary_assembly:ASM411807v1:6:29003911:29010136:1 gene:Vigun06g168300.v1.2 transcript:Vigun06g168300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVSEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLVDHPNFLTNEVYIGGDSYSGIPVPAVVQEIFRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168300.2.v1.2 pep primary_assembly:ASM411807v1:6:29003911:29010136:1 gene:Vigun06g168300.v1.2 transcript:Vigun06g168300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVSEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLVDHPNFLTNEVYIGGDSYSGIPVPAVVQEIFRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYEAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168300.1.v1.2 pep primary_assembly:ASM411807v1:6:29003911:29010136:1 gene:Vigun06g168300.v1.2 transcript:Vigun06g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVSEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLVDHPNFLTNEVYIGGDSYSGIPVPAVVQEIFRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYSGDHDMKIAFLATQAWIRSLNYSIVDDWRQWYTDGQVAGYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun06g168300.5.v1.2 pep primary_assembly:ASM411807v1:6:29003911:29010136:1 gene:Vigun06g168300.v1.2 transcript:Vigun06g168300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSSCSTGYVLAHYRIPLPLLLLSQFFFQLSWCGSTVKFLPGFEGPLPFVLETGYVGVGESEDVQAFYYFIESENNPKDDPLMLWLTGGPGCSALSGLVSEIGPLGFKHEEYNGSLPNLFLRPHSWTKVSSIIFVDLPVSTGFTYATTKSATQRSDSTLVLQVHQFLRKWLVDHPNFLTNEVYIGGDSYSGIPVPAVVQEIFRGNQKGVQPWINLQGYLLGNALTTRREENYQIPFSHGMALISDELYESLQKHCKGEYINVDTRNALCSRDMASFDAAISGISDTYILEPKCEWLDTDTSQRISLIKKYPANKLSDTHLNLPPLSCGTYVYFLCGFWANDDNVRSALHIRKGSIGKWRRCTLDIPHDMDIPESYGYHVNLSRKGYRSLIYRYTRTYSNRMTFATVKGGSHIAPESNPKECFAMFSRWLSKRPL >Vigun03g450800.1.v1.2 pep primary_assembly:ASM411807v1:3:65222246:65226081:-1 gene:Vigun03g450800.v1.2 transcript:Vigun03g450800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEVENPVFMEIKSEDNKIEFHRNENQGFASEKGEGSSVVFSREAPLMRKESRMSTSYCCNAKKLKSRAVSIDCELGSNEKIGLEKKLCRQDRIELGRLFQGAVSSHDWELAESLILVADPQTLNDALCITLDSIWFLSSELELNGIMGFIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGIHSRLQDDRENVIHSSAVEIQLRLSAFKTFLDLAGNRLTGKDFSEAFDAACFPLTLFSSSFDPGWAFGLSATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVQRGCRDMELCLALTAATSSCQVHIAAYLLPHVPQQVLAALSVEILKAAGERSGGSLDGVAFLLQSDFLGDPAATYAVADIIAKSEDEAVAPELKTFLKEHWSEGAYKEGLRLGQEHHMNIVRIIKWGESPICLRDLPAPLTVAIAYLPLYRECIKAGGCLYSQRLRGQLVEAARRLGDRVLDEVTHGRELVVVLEQHLPHFLLHPTRIA >Vigun03g450800.2.v1.2 pep primary_assembly:ASM411807v1:3:65222307:65225979:-1 gene:Vigun03g450800.v1.2 transcript:Vigun03g450800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEVENPVFMEIKSEDNKIEFHRNENQGFASEKGEGSSVVFSREAPLMRKESRMSTSYCCNAKKLKSRAVSIDCELGSNEKIGLEKKLCRQDRIELGRLFQGAVSSHDWELAESLILVADPQTLNDALCITLDSIWFLSSELELNGIMGFIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGIHSRLQDDRENVIHSSAVEIQLRLSAFKTFLDLAGNRLTGKDFSEAFDAACFPLTLFSSSFDPGWAFGLSATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVQRGCRDMELCLALTAATSSCQVHIAAYLLPHVPQQVLAALSVEILKAAGERSGGSLDGVAFLLQSDFLGDPAATYAVADIIAKSEDEAVAPELKTFLKEHWSEGAYKEGLRLGQEHHMNIVRIIKWGESPICLRDLPAPLTVAIAYLPLYRECIKAGGCLYSQRLRGQLVEAARRLGDRVLDEVTHGRELVVVLEQHLPHFLLHPTRIA >Vigun07g142400.1.v1.2 pep primary_assembly:ASM411807v1:7:25287635:25290711:-1 gene:Vigun07g142400.v1.2 transcript:Vigun07g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPAAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNEDYKYVRILGAFYLRITGSDIDVYRYLEPLYNDYRKLRRKLSDGQFSLTHVDEVIDELLTKDYSCDIALPRVKKRWTLESLNSLEPRRSALEEDFEEEEEKEDNEQPVDEIEDRAYEKDYYRGRSPTRERDRDRRRDSHRYRDRDYDRDYDRDYDREHDRERGRGRDRDRDRDRERDRDRYRLRDEKDYGREREGRERERRERDRDRGRRRSHSRSRSRSRDRKEHDGGDYRKRHARSSVSPRRHGDGLEDGEPKKKKEKKEKKEKKDDGTDHPDPEIAEANKLRAALGLKPLKL >Vigun06g107300.1.v1.2 pep primary_assembly:ASM411807v1:6:23663273:23666228:-1 gene:Vigun06g107300.v1.2 transcript:Vigun06g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQTVISQVSDLRGLISNGSTHGFFDLLFECHGLWHNATLIIPSFLFVLYLILQARHSFLKLSLSRSYIILSYNALLWLVTLLNLAWCLLQAWECTSKRELSWNLLSLFTTSGMLFLEVSLVAFLLQGNNAGGLEAMKRTFGISTLVVGLDILLKAFYLFVFGIPLFVDSNENTNHVKWNLLIVHKLLLTAVYGFLMFMYHSGWRETLPAKIAFYKYVAVMFIFNATVTLACGLTGNGVAFGLWLYRVAVVLYHAFYLPFLYTTFQTDFFQEENFHLENVYYSEMKDAGFFDTDWE >Vigun09g183200.1.v1.2 pep primary_assembly:ASM411807v1:9:35685711:35688052:-1 gene:Vigun09g183200.v1.2 transcript:Vigun09g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEQEDHEEEEEEEDEEEEEMGFSVAAPGYDSFGNMAARSKISAAEGVPATVNSGRKGSSTGTVRYRECQKNHAVSIGGHAVDGCCEFLAAGEEGTLEAVICAACNCHRNFHRKEIDGEISPYQLRSQPQPQPLHPQYHHQFSPYYHRGPPPSSAGYLHHHLLTPPVSQHRPLALPPLASGGVFSREEEDMSNPSSSGGGGGGGFGGGSGSGTKKRFRTKFTQEQKDKMLAFAEKLGWRIQKHDEAAVEQFCAETCVKRHVLKVWMHNNKHTLANSEQRSAGNGSNHLNSSTMIANSELS >Vigun03g143000.1.v1.2 pep primary_assembly:ASM411807v1:3:14421288:14423939:1 gene:Vigun03g143000.v1.2 transcript:Vigun03g143000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSPLPSVLFLFLLLNVTASVAAPTAESVYTSFLRCLTNYTKSQDHVSDIVFAETNSSFSSVLQAYIRNARFNTTSTPKPLLIVTPSEEPHVQGSVICAKNIGIQLKIRSGGHDFEGISYVSDQPFIILDMFQFRNITVDVQNEVAVVQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHLSAGGYGNMLRKHGLSVDHIVDAKIVDVKGRILDKATMGEDLFWAIRGGGGASFGVILSFTVKLVPVPEVVTVYRVPKSLDENENTTEFVLQWQQVAPHTDDRLFMRLLLQPVSSKVVKGQKTIRATVIALFLGGADEVVTLMGKEFPTLGLSKENCTEMSWIDSVIWWANFDNTTKPDALLDRNVNSASFLKRKSDYVQKPISKEGLEGIWKKMVELGKTGFVFNPYGGKMSEVSSDATPFPHRAGNLFKIQYSVNWDEPGIELENNFTRQARMLHSYMTPFVSSNPRSAFLNYRDLDIGTNSFGNNSYEEGAVYGVQYFNDNFDRLVKIKTAVDPENFFRNEQSVPTNTGLNTTGASKSGASKLSHFSLHWKVMVKVGGFLILELFI >Vigun03g143000.2.v1.2 pep primary_assembly:ASM411807v1:3:14421485:14426685:1 gene:Vigun03g143000.v1.2 transcript:Vigun03g143000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSPLPSVLFLFLLLNVTASVAAPTAESVYTSFLRCLTNYTKSQDHVSDIVFAETNSSFSSVLQAYIRNARFNTTSTPKPLLIVTPSEEPHVQGSVICAKNIGIQLKIRSGGHDFEGISYVSDQPFIILDMFQFRNITVDVQNEVAVVQAGATLGEVYYRIWEKSKVHGFPAGVCPTVGVGGHLSAGGYGNMLRKHGLSVDHIVDAKIVDVKGRILDKATMGEDLFWAIRGGGGASFGVILSFTVKLVPVPEVVTVYRVPKSLDENENTTEFVLQWQQVAPHTDDRLFMRLLLQPVSSKVVKGQKTIRATVIALFLGGADEVVTLMGKEFPTLGLSKENCTEMSWIDSVIWWANFDNTTKPDALLDRNVNSASFLKRKSDYVQKPISKEGLEGIWKKMVELGKTGFVFNPYGGKMSEVSSDATPFPHRAGNLFKIQYSVNWDEPGIELENNFTRQARMLHSYMTPFVSSNPRSAFLNYRDLDIGTNSFGNNSYEEGAVYGVQYFNDNFDRLVKIKTAVDPENFFRNEQSVPTNTGLNTTGASKSGASKLSHFSLHWKVMVKQTNQKDQPVLPS >Vigun02g153450.1.v1.2 pep primary_assembly:ASM411807v1:2:29979574:29980814:-1 gene:Vigun02g153450.v1.2 transcript:Vigun02g153450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMASKVASAMPRQTLLSLHFTILFVWGDGEAYLHAFFSPLLPFSNMKKS >Vigun09g133100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29178416:29179117:1 gene:Vigun09g133100.v1.2 transcript:Vigun09g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYRKKSSKSHKDESSNFDTRVLIDFMKLSKNGDATQELLNNKTTNSSISDSKDDDRKNANVKERKTFSCNYCKKEFFTSQALGGHQNAHKQERAMTKRVEGFDVSGLEHFPYYSYYSSLYNSLHPFYGKSYNGTLGVRKDSMIQKLSWTPRYEHSLFKRDHEKSIFDGFTIMKSDYPIVESDHDDKTTLQTLSLFPNDASTSSNQLRKTTMVATTSEETSCNLDLSLNLYM >Vigun05g284400.1.v1.2 pep primary_assembly:ASM411807v1:5:47244173:47245537:1 gene:Vigun05g284400.v1.2 transcript:Vigun05g284400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASLAVVQPSTVKGLAGSSIAGTKLSFKPSQTSFRPKNFRSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSATASGDILPIKKGPQLPPKLGPRGKI >Vigun07g288600.1.v1.2 pep primary_assembly:ASM411807v1:7:40117190:40118957:-1 gene:Vigun07g288600.v1.2 transcript:Vigun07g288600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSVTALDVDNVTFPPTVIPPSSTAAFFLAGAGVRGLQIQDNFVKFTAIGIYLQPNAVPLLSVKWNAKSAHELTESVEFFRDIVTGPFEKFMQVTMILPLTGQQYSEKVAENCVAIWKSLGIYTDAEAEAIDKFVSVFKDETFPPGSSILFTVSPKGSLLISFSKDGSIPEEASTVIENKLLSEAVLESMIGKHGVSPAAKQSLATRLSELFKEEGVPESHN >Vigun04g099700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23347012:23347116:-1 gene:Vigun04g099700.v1.2 transcript:Vigun04g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNILAFIVTALFILVPTTFLLIIYVKTVSKSD >Vigun07g188600.1.v1.2 pep primary_assembly:ASM411807v1:7:30582070:30583997:1 gene:Vigun07g188600.v1.2 transcript:Vigun07g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASSSPCLSLPSVSHLHYHLPSSFPCPSLHLSFPSQATPLRLHTPPPLSCSLNSSSSVEQSASLSQMQNSLLYSRAYWVTESLIAWKVDFANGFSCQLIASKNASLTIANGQIEGLNF >Vigun08g102066.1.v1.2 pep primary_assembly:ASM411807v1:8:25243154:25244722:-1 gene:Vigun08g102066.v1.2 transcript:Vigun08g102066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGCVRVLDDSSDVTNGYLETTIDWIPGIKEIRLKDIPSFIRTTDSNDLMLDFVQGECKRARRASAILLNTFDTLEHDVLEAFSSILPPVYSIGPLNLLVKSVDDKELNAFESNLWKEESECMEWLATKEPNSVLYVNFGSITVMTGEQLVEFSRGLGNSNKSFLWVIRPDLVAGENAVLPQEFVKQTENRGLLSSWCSQEQVLNHPAIGGFLTHSGWNSTLESLCAGVPMICWPFFAEQQTNCRFTCKEWGVGLEIEDVKRDKIESLVKELMDGEKGKEMKEKALQWKELAKSAASAPYGTSFLNLDNMVREVLLGKNVINQF >Vigun02g097500.3.v1.2 pep primary_assembly:ASM411807v1:2:25313509:25317732:-1 gene:Vigun02g097500.v1.2 transcript:Vigun02g097500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGFLLQRLAPVFENKVKLFTGVQAEVICLKGQLELIRAFLRVADALEENDEELKVWVKQVRDVVHEAEDLLDELELVQVHNHNNGFSIYLRIRNMKARYRIAHELKTINSRLKAISSSRKRFRSKLDTSSVASNSVHTGNAWHDQRGDALLLDNTDLVGIDRPKKQVIGWLINGCPGRKVISVTGMGGIGKTTLVKKVYDDPDVKKHFKACAWVTVSQSCKIEELLKDLAKKLFSEIRRPIPEGMESMCSDKLKMIIKDLLQRKRYLVVFDDVWHMYEWEAVKYALPNSNCCSRIMITTRRSDLAFNSTIESSGKVYNLQPLKEDEAWDLFCRNTFQGDSCPSYLIDICKYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMICRSLGAEIQGNGKLDNFKTVLNLSFNDLPYHLKYCFLYLSIFPQDYLIQRMRLIRLWIAEGFVEAKEGKTKEDVAHDYLKELLNRNLIQVAGTTSDGRVKTLRVHDLLREIIILKSKDQNFASIVKEQSAAWPEKIRRLSVHGTLPYRQQHRSVSQLRSFLMFGVGEYVPLGKLFPSGFKLLSVLDYQDAPLKKFPLAVIDLYHLRYLSLRNTKVKTVPGHIIGKLHNLETLDLKNTSVRELPVDILKLQKLRHVLVYQFKFKGYAQFHSKDGLKAPSEIGNLKALQKLCFVEANQDCGMIIRQLGELSQLRRLGILKLREEDGMAFCLSIERLTNLHALSVTSEGESKVIDLTFLCSPPPFLQRLYLSGRLQELPCWIQSLHSLARLFLKWSCLKYDPLVYLQDLPNLAHLELLQAYDGDTLHFRSGKFKKLKVLGLDKFDGLKEVTVGKDAMTRLEKLSIGRCELLKKVPSGIENLTKLKVLEFFDMPDELMKTICPHGPGKDYGKVLHIPDVYSTYWRDGGWDVYALDTFSRDCSPRSGTLIRSHEPRIQWKV >Vigun02g097500.2.v1.2 pep primary_assembly:ASM411807v1:2:25313509:25317732:-1 gene:Vigun02g097500.v1.2 transcript:Vigun02g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGFLLQRLAPVFENKVKLFTGVQAEVICLKGQLELIRAFLRVADALEENDEELKVWVKQVRDVVHEAEDLLDELELVQVHNHNNGFSIYLRIRNMKARYRIAHELKTINSRLKAISSSRKRFRSKLDTSSVASNSVHTGNAWHDQRGDALLLDNTDLVGIDRPKKQVIGWLINGCPGRKVISVTGMGGIGKTTLVKKVYDDPDVKKHFKACAWVTVSQSCKIEELLKDLAKKLFSEIRRPIPEGMESMCSDKLKMIIKDLLQRKRYLVVFDDVWHMYEWEAVKYALPNSNCCSRIMITTRRSDLAFNSTIESSGKVYNLQPLKEDEAWDLFCRNTFQGDSCPSYLIDICKYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMICRSLGAEIQGNGKLDNFKTVLNLSFNDLPYHLKYCFLYLSIFPQDYLIQRMRLIRLWIAEGFVEAKEGKTKEDVAHDYLKELLNRNLIQVAGTTSDGRVKTLRVHDLLREIIILKSKDQNFASIVKEQSAAWPEKIRRLSVHGTLPYRQQHRSVSQLRSFLMFGVGEYVPLGKLFPSGFKLLSVLDYQDAPLKKFPLAVIDLYHLRYLSLRNTKVKTVPGHIIGKLHNLETLDLKNTSVRELPVDILKLQKLRHVLVYQFKFKGYAQFHSKDGLKAPSEIGNLKALQKLCFVEANQDCGMIIRQLGELSQLRRLGILKLREEDGMAFCLSIERLTNLHALSVTSEGESKVIDLTFLCSPPPFLQRLYLSGRLQELPCWIQSLHSLARLFLKWSCLKYDPLVYLQDLPNLAHLELLQAYDGDTLHFRSGKFKKLKVLGLDKFDGLKEVTVGKDAMTRLEKLSIGRCELLKKVPSGIENLTKLKVLEFFDMPDELMKTICPHGPGKDYGKVLHIPDVYSTYWRDGGWDVYALDTFSRDCSPRSGTLIRSHEPRIQWKV >Vigun02g097500.1.v1.2 pep primary_assembly:ASM411807v1:2:25313489:25317053:-1 gene:Vigun02g097500.v1.2 transcript:Vigun02g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGFLLQRLAPVFENKVKLFTGVQAEVICLKGQLELIRAFLRVADALEENDEELKVWVKQVRDVVHEAEDLLDELELVQVHNHNNGFSIYLRIRNMKARYRIAHELKTINSRLKAISSSRKRFRSKLDTSSVASNSVHTGNAWHDQRGDALLLDNTDLVGIDRPKKQVIGWLINGCPGRKVISVTGMGGIGKTTLVKKVYDDPDVKKHFKACAWVTVSQSCKIEELLKDLAKKLFSEIRRPIPEGMESMCSDKLKMIIKDLLQRKRYLVVFDDVWHMYEWEAVKYALPNSNCCSRIMITTRRSDLAFNSTIESSGKVYNLQPLKEDEAWDLFCRNTFQGDSCPSYLIDICKYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMICRSLGAEIQGNGKLDNFKTVLNLSFNDLPYHLKYCFLYLSIFPQDYLIQRMRLIRLWIAEGFVEAKEGKTKEDVAHDYLKELLNRNLIQVAGTTSDGRVKTLRVHDLLREIIILKSKDQNFASIVKEQSAAWPEKIRRLSVHGTLPYRQQHRSVSQLRSFLMFGVGEYVPLGKLFPSGFKLLSVLDYQDAPLKKFPLAVIDLYHLRYLSLRNTKVKTVPGHIIGKLHNLETLDLKNTSVRELPVDILKLQKLRHVLVYQFKFKGYAQFHSKDGLKAPSEIGNLKALQKLCFVEANQDCGMIIRQLGELSQLRRLGILKLREEDGMAFCLSIERLTNLHALSVTSEGESKVIDLTFLCSPPPFLQRLYLSGRLQELPCWIQSLHSLARLFLKWSCLKYDPLVYLQDLPNLAHLELLQAYDGDTLHFRSGKFKKLKVLGLDKFDGLKEVTVGKDAMTRLEKLSIGRCELLKKVPSGIENLTKLKVLEFFDMPDELMKTICPHGPGKDYGKVLHIPDVYSTYWRDGGWDVYALDTFSRDCSPRSGTLIRSHEPRIQWKV >Vigun11g172600.1.v1.2 pep primary_assembly:ASM411807v1:11:37813465:37817060:1 gene:Vigun11g172600.v1.2 transcript:Vigun11g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTPPSSCFGAKKYQALAPLRILRGLVCLLVLLSTAFIMLVFFGFISAVIVRLLSVRYSRRATSFFFGAWLALWPFLFEKINKTKVVFSGDDVPCRERILLIANHRTEVDWMYLWDLALRKGCLGYIKYILKSSLMRLPVFGWSFHILEFIPVERKWEADESIMRHMLSTFKNPQDPLWLALFPEGTDFTDQKCLRSQKYAAEHGLPVLKNVLLPKTKGFCACLQELRESLTAVYDVTIGYKNRCPSFLDNVFGVDPSEVHIHIHRIPIDTIPESETEMSTWLINRFQLKDQLLSKFQVQGQFPDPATQRDLSSVKSILNCMTIVAVTGTCMYYSFSSVWFKLYVSLVCAYLVPATYFNIRPQPILSLLKMRSSCHLSNT >Vigun11g172600.4.v1.2 pep primary_assembly:ASM411807v1:11:37813429:37817199:1 gene:Vigun11g172600.v1.2 transcript:Vigun11g172600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTPPSSCFGAKKYQALAPLRILRGLVCLLVLLSTAFIMLVFFGFISAVIVRLLSVRYSRRATSFFFGAWLALWPFLFEKINKTKVVFSGDDVPCRERILLIANHRTEVDWMYLWDLALRKGCLGYIKYILKSSLMRLPVFGWSFHILEFIPVERKWEADESIMRHMLSTFKNPQDPLWLALFPEGTDFTDQKCLRSQKYAAEHGLPVLKNVLLPKTKGFCACLQELRESLTAVYDVTIGYKNRCPSFLDNVFGVDPSEVHIHIHRIPIDTIPESETEMSTWLINRFQLKDQLLSKFQVQGQFPDPATQRDLSSVKSILNCMTIVAVTGTCMYYSFSSVWFKLYVSLVCAYLVPATYFNIRPQPILSLLKMRSSCHLSNT >Vigun11g172600.5.v1.2 pep primary_assembly:ASM411807v1:11:37813442:37817121:1 gene:Vigun11g172600.v1.2 transcript:Vigun11g172600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTPPSSCFGAKKYQALAPLRILRGLVCLLVLLSTAFIMLVFFGFISAVIVRLLSVRYSRRATSFFFGAWLALWPFLFEKINKTKVVFSGDDVPCRERILLIANHRTEVDWMYLWDLALRKGCLGYIKYILKSSLMRLPVFGWSFHILEFIPVERKWEADESIMRHMLSTFKNPQDPLWLALFPEGTDFTDQKCLRSQKYAAEHGLPVLKNVLLPKTKGFCACLQELRESLTAVYDVTIGYKNRCPSFLDNVFGVDPSEVHIHIHRIPIDTIPESETEMSTWLINRFQLKDQLLSKFQVQGQFPDPATQRDLSSVKSILNCMTIVAVTGTCMYYSFSSVWFKLYVSLVCAYLVPATYFNIRPQPILSLLKMRSSCHLSNT >Vigun11g172600.3.v1.2 pep primary_assembly:ASM411807v1:11:37813428:37817200:1 gene:Vigun11g172600.v1.2 transcript:Vigun11g172600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTPPSSCFGAKKYQALAPLRILRGLVCLLVLLSTAFIMLVFFGFISAVIVRLLSVRYSRRATSFFFGAWLALWPFLFEKINKTKVVFSGDDVPCRERILLIANHRTEVDWMYLWDLALRKGCLGYIKYILKSSLMRLPVFGWSFHILEFIPVERKWEADESIMRHMLSTFKNPQDPLWLALFPEGTDFTDQKCLRSQKYAAEHGLPVLKNVLLPKTKGFCACLQELRESLTAVYDVTIGYKNRCPSFLDNVFGVDPSEVHIHIHRIPIDTIPESETEMSTWLINRFQLKDQLLSKFQVQGQFPDPATQRDLSSVKSILNCMTIVAVTGTCMYYSFSSVWFKLYVSLVCAYLVPATYFNIRPQPILSLLKMRSSCHLSNT >Vigun05g158100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25559456:25559569:1 gene:Vigun05g158100.v1.2 transcript:Vigun05g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLFGIVLGLIPITLAGLFVTAYLQYRQGDQLDL >Vigun06g215500.1.v1.2 pep primary_assembly:ASM411807v1:6:32668316:32670474:1 gene:Vigun06g215500.v1.2 transcript:Vigun06g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKYGYPYPAQGPYQGPPPVAAPPQYYAAPPPRREPGFLEGCLAALCCCCLLDECCCDPTIIFAS >Vigun06g099300.1.v1.2 pep primary_assembly:ASM411807v1:6:22984355:22985958:-1 gene:Vigun06g099300.v1.2 transcript:Vigun06g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSAAGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKTKIIVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >Vigun05g080400.7.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574202:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.6.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574185:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.24.v1.2 pep primary_assembly:ASM411807v1:5:7571227:7574163:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.19.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574202:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.18.v1.2 pep primary_assembly:ASM411807v1:5:7571313:7574143:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.22.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574201:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.1.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574162:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.14.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574143:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.23.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574163:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.8.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574201:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.15.v1.2 pep primary_assembly:ASM411807v1:5:7571271:7574163:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.16.v1.2 pep primary_assembly:ASM411807v1:5:7571271:7574163:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.13.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574143:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.17.v1.2 pep primary_assembly:ASM411807v1:5:7571313:7574143:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.12.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574161:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.21.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574201:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.4.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574158:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.9.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574201:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.10.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574162:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.20.v1.2 pep primary_assembly:ASM411807v1:5:7570760:7574185:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun05g080400.11.v1.2 pep primary_assembly:ASM411807v1:5:7571358:7574162:-1 gene:Vigun05g080400.v1.2 transcript:Vigun05g080400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYCNNNLMCNLYYPHYSITNGGVLSRRNSLCQNPIYLGFHKHRFGSVLAGIGTEEVVKEVNEENERRFRWIEVGKNVTIEQRQAISELPFRMSNRSKALMRQIICFSAEKGTISDLLESWVRIMNPIRADWLSVLKELRIMEHPVYLEVAKHALQEESFEVNIRDYTKIIHYYGKHNRLEDAENFLTLMKQRGFIYDQVILTTMVHMYSKAGRHDRAKEYFEEIKLLGEPLDKRSHGAMIMAYIRAGMPEEGENLLQEMEAHEITAGSEVYKALLRAYSMIGNAEGAQRVFDAIQLAGITPNDKMCSLVVNAYAMAGQSQKALIAFENMRKASIKPTDKCIASVLVAYEKEGKINTALEFLLDLEKDGIMVGEEASAVLAKWFRKLGVVEEVELVLRDFATNHQIS >Vigun06g031300.2.v1.2 pep primary_assembly:ASM411807v1:6:13660293:13664040:-1 gene:Vigun06g031300.v1.2 transcript:Vigun06g031300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKITAENLIESLVDTFVEKKKTVSFFEGEKSDSVGSQINRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTSATVIWVLFEWLNYHFLTLLCFALVLVMLAQFLWSNAAGFFNRQPSDVPRLVLPEELFVNIATVVGGEVNRGLRILQDAACRGNLKQFLIVVGALFAGAVIGSWCNFISVIYIGFVAAHTLPVFYEKYEDEVDNFVYKIFGQMQHHYRNLDASVLSKIPKGKGKKHE >Vigun06g031300.4.v1.2 pep primary_assembly:ASM411807v1:6:13660295:13663871:-1 gene:Vigun06g031300.v1.2 transcript:Vigun06g031300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKITAENLIESLVDTFVEKKKTVSFFEGEKSDSVGSQINRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTSATVIWVLFEWLNYHFLTLLCFALVLVMLAQFLWSNAAGFFNRQPSDVPRLVLPEELFVNIATVVGGEVNRGLRILQDAACRGNLKQFLIVVGALFAGAVIGSWCNFISVIYIGFVAAHTLPVFYEKYEDEVDNFVYKIFGQMQHHYRNLDASVLSKIPKGKGKKHE >Vigun06g031300.5.v1.2 pep primary_assembly:ASM411807v1:6:13660295:13664040:-1 gene:Vigun06g031300.v1.2 transcript:Vigun06g031300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKITAENLIESLVDTFVEKKKTVSFFEGEKSDSVGSQINRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTSATVIWVLFEWLNYHFLTLLCFALVLVMLAQFLWSNAAGFFNRQPSDVPRLVLPEELFVNIATVVGGEVNRGLRILQDAACRGNLKQFLIVVGALFAGAVIGSWCNFISVIYIGFVAAHTLPVFYEKYEDEVDNFVYKIFGQMQHHYRNLDASVLSKIPKGKGKKHE >Vigun06g031300.3.v1.2 pep primary_assembly:ASM411807v1:6:13660294:13664049:-1 gene:Vigun06g031300.v1.2 transcript:Vigun06g031300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKITAENLIESLVDTFVEKKKTVSFFEGEKSDSVGSQINRLFGREKPVHHILGGGKSADVLLWRNKKISASVLTSATVIWVLFEWLNYHFLTLLCFALVLVMLAQFLWSNAAGFFNRQPSDVPRLVLPEELFVNIATVVGGEVNRGLRILQDAACRGNLKQFLIVVGALFAGAVIGSWCNFISVIYIGFVAAHTLPVFYEKYEDEVDNFVYKIFGQMQHHYRNLDASVLSKIPKGKGKKHE >Vigun07g185000.3.v1.2 pep primary_assembly:ASM411807v1:7:30200870:30212713:-1 gene:Vigun07g185000.v1.2 transcript:Vigun07g185000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQVVKRVKYKATVKDPGTPGVLRLTQEKFVFKPNDPTTNNKLDVEFRFIKSHKHTKEGSGKPPWLNLTHAQGSYIFELESFADLHVCRELVGIALSKHVQGEATKVISEEQLSSAEMTLRIKLLQEDSKLQRLHKELVASGKLTESEFWATKKKLLDQDESRKLKQRIGFKNSLIFDTKPMSDGRINQVKFQLTPEIKYQIFALKPAVHQAFLNFVPSKMNEVDFWNKYFKAEYLHSTKNAVAAAAEAAEDEDLAVFLKDDEILEIEARKKVRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKEVSEAQNALYKRTLLQDLNRQGAVVLEGKTLDMEMEHPRTVAEILARRKQKSDEVVGDEERQNRISKMTPIEDLQAQDDHNYAPLCIKDPRDYFDFQQANAVKTLDDSQPGTEQMTCSLGSEEAYGSLMAAISKIQATGLRDPLFSPDVALKVLHGLTRNISSTKNHLGKTSQESVLDILPNTTKEKLLDHWVCSQELLRHFWSSYPVTTQNLVNKTRRLKDSISQIYSKLEEIKVSASSDLRHQVSLVVHPMQQALDAALLHYDADIKKRNARGSKKSNGYV >Vigun07g185000.1.v1.2 pep primary_assembly:ASM411807v1:7:30200870:30212713:-1 gene:Vigun07g185000.v1.2 transcript:Vigun07g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQVVKRVKYKATVKDPGTPGVLRLTQEKFVFKPNDPTTNNKLDVEFRFIKSHKHTKEGSGKPPWLNLTHAQGSYIFELESFADLHVCRELVGIALSKHVQGEATKVISEEQLSSAEMTLRIKLLQEDSKLQRLHKELVASGKLTESEFWATKKKLLDQDESRKLKQRIGFKNSLIFDTKPMSDGRINQVKFQLTPEIKYQIFALKPAVHQAFLNFVPSKMNEVDFWNKYFKAEYLHSTKNAVAAAAEAAEDEDLAVFLKDDEILEIEARKKVRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKEVSEAQNALYKRTLLQDLNRQGAVVLEGKTLDMEMEHPRTVAEILARRKQKSDEVVGDEERQNRISKMTPIEDLQAQDDHNYAPLCIKDPRDYFDFQQANAVKTLDDSQPGTEQMTCSLGSEEAYGSLMAAISKIQATGLRDPLFSPDVALKVLHGLTRNISSTKNHLGKTSQESVLDILPNTTKEKLLDHWVCSQELLRHFWSSYPVTTQNLVNKTRRLKDSISQIYSKLEEIKVSASSDLRHQVSLVVHPMQQALDAALLHYDADIKKRNARGSKKSNGYV >Vigun06g022100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10362387:10363933:-1 gene:Vigun06g022100.v1.2 transcript:Vigun06g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQSRRTHYALTRLNNQHTTVAPGDTFILHIQVLYFPIRPGCLEHHFSPRPISSLDFFQHGQNLLCSTLSHSRFVIDSLEDVCERIVYSVREMFQVGSISDFLSLEFQNRVIPLSLSVLILDDGVHAATEESRQSFQSSRRNHWQPSRRNQRQPSRGNHMSKEAIISTFLKKCTVMVGSEDCCICLEELNINAECYTMPCQHVFHLPCILTWLKTSNVCPLCRYSLPSSGN >Vigun03g348650.1.v1.2 pep primary_assembly:ASM411807v1:3:54884619:54885917:1 gene:Vigun03g348650.v1.2 transcript:Vigun03g348650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISMLKKFTKGRDLIRPGVTRFATAYLTLGCLHELKASLLTMFNSDEWTTSKFGTSQEGKRIERVVLDSRFWKNVSTCLKATAPLMVVLRLVDSDVKPAMGFIYEEMDCAKEKIRNNFNNIQKSYEEVWRIIDARWDSQLHRPLHAATYFLNPHFHYEPNFRCDDGGEVKEGLYECMRRMVPDIAERRKINLQIVQFHFARGLFGMEDAKECRKELNPGEWWEMFGDATPELKRFAIRILSLTCSSSGCERNWSSFEMVHTKRRNRLHQKKMNDLVYVMYNLKLKSRQLRKTVALPFDDIESDDEWITEEANDVVEIEQAEGEIDVQNVPLDGPTTDPALDALDLDNITFDANEDAHVSSGEELDEDEDDDGDDDIIRGLED >Vigun03g142050.1.v1.2 pep primary_assembly:ASM411807v1:3:14315497:14317093:-1 gene:Vigun03g142050.v1.2 transcript:Vigun03g142050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSYFTLATAIALLFSFESPSLDISQKFVECFHNHPNITNSMSNVVYTNSSYSSILGMSIRNHRFFNSSSKPQVIVTARDFSYIQATIICSKRHGMQIRTRSGGHDYEVDVDNRSAWVEAGATIGELYYRIYEKSKTLGFPAGVCATVGTGGLFSGGGYGFLMRKYGLASDNIIDAKIIDVNGNILEKKDMGEDLFWAIRGGGGASFGVIVAWKIKLVPIPSTVTVFNVTRTLAENETDIIQKWQLVANKLDDRIYLRMDLARANPSEHGKLTIQANFVSIFLGGAKEFIHLIQTSFPDWIGSAVFTNALLMGTSGHETPEVLLNRTRFPPGKYKGKSDYVNKPIPLDALRGLMRFLHDDGIENGQLQFAPYGGIMDNISESETPFPYRSGYIFHIHYIAIWQEEGDETAQRHMNWTRRLYEYMKPYVSKFPRAAYLNYRDLDIGVNNNGYTSYDQASIWGLKYFRNNFRRLVEVKTKVDPHNFFRNEQSIPTLS >Vigun11g113300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31607891:31608678:-1 gene:Vigun11g113300.v1.2 transcript:Vigun11g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRVVLLLLSQILALHVIAHDESHQGRPPLPVLNPFEHKTQTQVQLHHQKIENKVEVSGVTQHEPSPEENKSAEAPQIRRLGKHHSTDKSVAGGGVIIGGLVTAIFAAVFCYIRVTRRRDTRY >Vigun03g238900.1.v1.2 pep primary_assembly:ASM411807v1:3:39866827:39872104:1 gene:Vigun03g238900.v1.2 transcript:Vigun03g238900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELQEALDADIQDRIMKDREMQSYIEEREREVAEREAAWKADLSRREAEIARQEARLKMERDNLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Vigun03g238900.2.v1.2 pep primary_assembly:ASM411807v1:3:39866790:39872104:1 gene:Vigun03g238900.v1.2 transcript:Vigun03g238900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELQEALDADIQDRIMKDREMQSYIEEREREVAEREAAWKADLSRREAEIARQEARLKMERDNLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Vigun03g334800.1.v1.2 pep primary_assembly:ASM411807v1:3:53304073:53306122:-1 gene:Vigun03g334800.v1.2 transcript:Vigun03g334800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGNFLKVLLKNFDVLAGPVVSLVYPLYASIRAIETKSPIDDQQWLTYWVLYSLITLFELTFAKLLEWIPIWPYAKLIATCWLVLPYFSGAAYVYEHYVRPIYVNPQTINIWYVPRKKDTFGKRDDILTAAEKYIQENGTDAFENLINRADKSRRGGGYYTAYDETY >Vigun09g017600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1308341:1309046:1 gene:Vigun09g017600.v1.2 transcript:Vigun09g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKSNTRLHKDMHVEKNENVGWMNMSVPSSQHAMELEREKGHHQGITKDSGKRMKNEGFKCSVLCMCLPCFGFGGTKEVKARTGVKKMDDSENHVMSSTFSLEHFELNSGVAHGKGIIIQENNHEDESFSSYFDLPSIILKCRGDDDDA >Vigun03g356600.2.v1.2 pep primary_assembly:ASM411807v1:3:55803985:55807910:1 gene:Vigun03g356600.v1.2 transcript:Vigun03g356600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNYSGDDELYPGGSFCSSPMELGRLYSTIGSNLDLYYPPNKRPRSILEAIEGEQHYQEPGIEVLPDECLFEIFRRLPGGKERSLCACVSKRWLMLMSSICRDEIERTTSFAATVSSDENQDIECDGYLTRCLDGKKATDVRLAAIAVGTSSRGGLGKLSIRGSNSMRGVTDLGLYAVAHGCPSLRSLSLWDVSSIGDEGLSQIAKGCHMLEKLDLSHCSSITNKGLIAIAEGCPNLTTLNMESCPNIGNEGLQALAKLCPKLQSISIKDCPLVGDHGVSNLLSLASNLSRVKLQALNITDFSLAVICHYGRAITNLVLSGLKNVTERGFWVMGAAQGLQKLVSLTVTSCRGVTDKSIEAIGKGCINLKQMYLRRCCFVTDSGLVAFAKAAVSLESLQLEECNRFTQSGIIVALSNIKTKLRSLTLVKCSGVKDIDMEVSMLSPCESLRSLAIQKCPGFGSSSLAMIGN >Vigun03g356600.1.v1.2 pep primary_assembly:ASM411807v1:3:55803985:55807910:1 gene:Vigun03g356600.v1.2 transcript:Vigun03g356600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNYSGDDELYPGGSFCSSPMELGRLYSTIGSNLDLYYPPNKRPRSILEAIEGEQHYQEPGIEVLPDECLFEIFRRLPGGKERSLCACVSKRWLMLMSSICRDEIERTTSFAATVSSDENQDIECDGYLTRCLDGKKATDVRLAAIAVGTSSRGGLGKLSIRGSNSMRGVTDLGLYAVAHGCPSLRSLSLWDVSSIGDEGLSQIAKGCHMLEKLDLSHCSSITNKGLIAIAEGCPNLTTLNMESCPNIGNEGLQALAKLCPKLQSISIKDCPLVGDHGVSNLLSLASNLSRVKLQALNITDFSLAVICHYGRAITNLVLSGLKNVTERGFWVMGAAQGLQKLVSLTVTSCRGVTDKSIEAIGKGCINLKQMYLRRCCFVTDSGLVAFAKAAVSLESLQLEECNRFTQSGIIVALSNIKTKLRSLTLVKCSGVKDIDMEVSMLSPCESLRSLAIQKCPGFGSSSLAMIGKLCPQLRHLNLTGLYGITDAGLLPLLENCEAGLVNVNLAGCWNLTDNIVSALARLHGGTLEVLNLDGCMKITDASLITIANNCLVLNDLDVSKCAITDAGIAVLSRASLLSLQVLSLSGCSDVSNKCLPFLTILGQSLIGLNIQNCNSISSSTMELLVEKLWRCDILI >Vigun08g039700.1.v1.2 pep primary_assembly:ASM411807v1:8:3892520:3895719:1 gene:Vigun08g039700.v1.2 transcript:Vigun08g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGFPKNMFKKLCVFCGSNSGNRQVFSDATIELANELVKRNIDLVYGGGSVGLMGLISQRMYDGGCHVLGVIPKALMPLEISGKPVGEVRIVSDMHERKAAMAQEADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGVLNVDGYYDSLLALFDNGVEEGFIKRGARDILVAASSAKELMMKLEQYSPSHEHVAPHESWKTRQLGNYAGQENTK >Vigun02g011150.1.v1.2 pep primary_assembly:ASM411807v1:2:4369634:4369963:1 gene:Vigun02g011150.v1.2 transcript:Vigun02g011150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASPARFDPARFWPDFYGPGRAFFHMLHFQSKIRFQQNYCNKNNPAVYNITDHKTNNPTIYINDILLSASHQDTVATSITSLN >Vigun08g216800.1.v1.2 pep primary_assembly:ASM411807v1:8:37707496:37710403:1 gene:Vigun08g216800.v1.2 transcript:Vigun08g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRQQSQGGEGLRTSLSLVSSDPRLSLDEPRSNTGNLRESPTESASSREIWPVAAAIKRESDCPDQAVIRRVSGSDKITLHDVARERVDIICEKMVHLPCEFLDELKNELRVILEGGNGSQHREEFFILQKLVQSRSDLTTKTLARTHRVQLEILVAINTGIQGFLHPSINLSQASLIEIFLYKRCRNLACGSQLPAEDCTCETCTNGNGFCNLCMCVICSKFDFEVNTCRWIGCDLCSHWTHTDCAIREQLICMGPSTQNGTGPSEMVFKCQACNRTSELLGWVKDVFQHCALSWDGEALIRELDFVSRIFHGSKDPRGRKLHWKSDDLKENLRSGKVETTAACTAILMFFKELELDSQKTLENVESGSGRLIVPQEACNRISEVVHEAVRNMEMVANEKMRMFKKARLSFDACERELADKAREAGELKMDRQKKKLEIEELERIVRLKSAEADMFQTKANEAKREAERLQRILLAKSDKSEEEYTSNYLKQRLSEAEAEKQYLYEKIKMQESSRILQSSGGGDPLVFSKIHDLLYSVPPSADGPSNDGHPFRTNP >Vigun03g264200.1.v1.2 pep primary_assembly:ASM411807v1:3:43319309:43322033:-1 gene:Vigun03g264200.v1.2 transcript:Vigun03g264200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSSSTPDSNLATAKTVLSTAASVAATAMVIRSVASEILPPEFMSYVRDGLRSALSRFSSETTMVIEEFDGLVSNQIYEAAETYLGSRISPSTQRLKVTKPETDDTFILTMERNECLDDVFNGVKFNWVLVCRQVETRHFHNPRDMNATLKSEVRSLELSFHKKHKDMVLNTYLPFIMKEAKSLKQETKALKIFTVDYHCMYGNPNDAWTGINLDHPATFETVAMERDVKEFVVRDLERFVARKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLRFDVYDLELTELQTNSDLRRLLIAMPNRSILVVEDIDCTIEFHDRRAEPTHFSPFSSANNNDRQVTLSGLLNFVDGLWSSCGDERIIVFTTNHKEKLDPALLRPGRMDVHIHMSYCTPCGFRQLCSNYLGLKEHDLFPQIEEEIQKTQVTPAEVAEQLLKSNDTETCLKQLIDFLRKKKETQEELEAKTKEQEAKDKEERQKKELDDGGKEGVDNDEKKSTT >Vigun04g082200.2.v1.2 pep primary_assembly:ASM411807v1:4:12439715:12445149:-1 gene:Vigun04g082200.v1.2 transcript:Vigun04g082200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHTLTLDDNYFNTTMPDSFDSLSNLNILSVKSNGLKGSFPSSLGKIKTLEVISLSHNELSGDLPGLSSLTGLHVLDLRENHLESELPLLPKSVVTVLLSNNSFSGEIPKQFQELDQLQHLDLSSNFLSKTPPSALFSLPQISYLNLAGNVLSGTLPEKLNCGSKLGFVDISRNKLSGGLPSCLANTSDSRVVRYAGNCLSVESQNQHRGSYCKESTSGWKSFKTWEVAVVMALIVVLVLVLLVSSIFLWKKYHSRKTIGQDNSSIAVPSEILANARFISQTVKLGTQTVPTCRQFSIEELKEATKNFDLSAYIGQGSIGKMYKGKLENGSYVAIRSLALSKKCSIQTLRAKLDLLSKLHHPNLVSLLGHCIDGGGQDDSNSHKLHLVYEYVPNGNYHAHLSEFSVGKALKWSDRLAILIGVAKAVHFLHTGVIPGCFSNLLKTKNVLLDEYRIPKLSDYGMSIIIEELEKFEAKGEKSKPCLRTKADDDVYNFGFILFESLVGPIACDKGETFFLNEKASFGSQDGRRKIVDPIVLTTCCQESLSIAISITTKCISPESSFRPSFEDVLWNLQYAAQVQATADADHKSD >Vigun04g082200.1.v1.2 pep primary_assembly:ASM411807v1:4:12439715:12445149:-1 gene:Vigun04g082200.v1.2 transcript:Vigun04g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGGRMRHFCYLYLVVLSWFFFIPNTHELQAVQTQALLQLRVHLEYPSSLQIWEYYQGDLCSLSPSANLSIKCEDDEVTELKITGAKSLKPPRFNGYAVPNQTLSMNFSIDSFFITLTELTSLRVLSLVSLGIWGPLPDKIHSFTLLQVLDLSSNFLFGVIPPKISKMVTLHTLTLDDNYFNTTMPDSFDSLSNLNILSVKSNGLKGSFPSSLGKIKTLEVISLSHNELSGDLPGLSSLTGLHVLDLRENHLESELPLLPKSVVTVLLSNNSFSGEIPKQFQELDQLQHLDLSSNFLSKTPPSALFSLPQISYLNLAGNVLSGTLPEKLNCGSKLGFVDISRNKLSGGLPSCLANTSDSRVVRYAGNCLSVESQNQHRGSYCKESTSGWKSFKTWEVAVVMALIVVLVLVLLVSSIFLWKKYHSRKTIGQDNSSIAVPSEILANARFISQTVKLGTQTVPTCRQFSIEELKEATKNFDLSAYIGQGSIGKMYKGKLENGSYVAIRSLALSKKCSIQTLRAKLDLLSKLHHPNLVSLLGHCIDGGGQDDSNSHKLHLVYEYVPNGNYHAHLSEFSVGKALKWSDRLAILIGVAKAVHFLHTGVIPGCFSNLLKTKNVLLDEYRIPKLSDYGMSIIIEELEKFEAKGEKSKPCLRTKADDDVYNFGFILFESLVGPIACDKGETFFLNEKASFGSQDGRRKIVDPIVLTTCCQESLSIAISITTKCISPESSFRPSFEDVLWNLQYAAQVQATADADHKSD >Vigun07g208000.1.v1.2 pep primary_assembly:ASM411807v1:7:32927764:32944998:1 gene:Vigun07g208000.v1.2 transcript:Vigun07g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDEDELLQMALKEQSQRDVNYGKTSNSRKPVANYVQQPKKNAPPSKQSNSKGGVADDDDDSEVEMLSISSGDEDNVRDPVAASKTRGAAAGRPARDDDRVWDGEEPSRWKHVDEAELARRVREMRETRTAPVAQKFVPPTFENKASAVGRKGLTYLQSFPRGMECVDPLGLGIIDNRTLRLITESSHSSANVDKDILEGNLREKLLYFSENFDAKLFLARIHLNTSAADLEAGALALKTDFKSRTEQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEDDPEGSGTSHLYNIIQGVSLQANRALKPLFERQAQAEKIRTVQGMLQRFRTLFNLPSTICSSISKGEYDLAVREYKKAKSIALPSHIQVGILKRVLEEVEKVMNDFKTMLFQSMEDPQIDLTSLENTVRLLLDLEPESDPVWHYLNIQNQRIRGLLEKCTLDHETRMENLHNDLRDRALSDARWMQIEDVNDSSDINYSPIGEEVDGLRGRYIRRLTAVIVHHIPAFWKVALSVFSGKFAKSSQVSTDTNTNSSTNKIEEKAGEVKYSSHSLDEVSAMICSTISLYGVKVTNIFHDLEESNVLRSYMSEAIEDISKACVALELKEASPPIAVCAIRTLQSEIIRIYVLRLCSWMRASVDEVSKDVTWVIVSILERNKSPYAISFLPLMFRSVVASAMDQINSMLESIKNEATKSEDIFMQLQEIQESVRIACLNCFLDFAGSLERISFEVGKHRSDEEGSQLPNGYIHESKNAPSDYHGGVTDPHQKLLIVLSNIGYCKDELSYELYNKYKHIWLHSSGKDEGNSDVKDLVNSFSALEGKVLEQYTFAKANLIRSAAMNYLLNSGIHWGAAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISIFRENEATDLSALDTNGFCQLMLELEYFETILNPYFTSDARDSLKALQGLLLEKATESVTDAVENAGHSRRPTRGSEDAVDDKQQGISVSPDELISLAQQYSSEFLQLELERTRINTACFAESIPLDTVPEPAKSAYSPFRNSMDSPSKAYRGAQKTGSTNFPRHRH >Vigun01g213700.1.v1.2 pep primary_assembly:ASM411807v1:1:38757228:38765298:1 gene:Vigun01g213700.v1.2 transcript:Vigun01g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVLSLFLLLFTFQLPFRSSGQSSSMESVPDLQNTMYASIEGYPCVRLLNLSGNIGCSNPGRDKVVAPIVRFGNVDKIAEPSAILVSVEDFPTLFTRISDDSRFASKVSGVLVEPSTDLQNKINGFSPDQKFPQGQFAPYRNTGYEWNPNGSGVMWKSYNFPVFLLTESGSKTLQEFVTKNKDKKKAYTSNVAEFDLVMQTVKSGTLDSESCLKEETCLPLGGYSVWSSLPRINTSSLQQSKPILMTVASMDSASFFRDKSLGADSPISGLIALLAAVDALSHLDSLGDLSKQLVFAVFTGEAWGYLGSRRFLVELDLHSDAVRGLNHGLIEKVIEIGSVGKGLSGGVNNFFAHTEGDSSSTNQTMAALKRAQESLLSENIKIASASSSNPGIPPSSLMSFLEKNPAISGVVLEDFDSVFVNKFYHSHLDDLSNVNSSAVVAAASLVARTLYILASKNEDIHDSTLAAINVNVSLVEQLMGCLLDCDPGLSCELVKKYISPMSTCPSHYVGVILDEPSSTPYTGYINDVPRFIWNFLADRTSIPRKANSSGCQHGCNDRDEVCIKAETDGKGVCVLSTTRYVPAYSTRLKFESGVWNVLPPNSSEKMGVVDPVWTESNWNSIGMRVYTVQNASYDRLVLFGGITLTIFSYLAIATARTLFSKAMKRD >Vigun11g221200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41323910:41325124:-1 gene:Vigun11g221200.v1.2 transcript:Vigun11g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISSKHLLTNLLVIFSCSLVTLCANTGTERPHQQASTVFIRTSCSSTTYPRLCYSSLVRHAELIQTNRVVLTGTALNVSLASAKSTAAVISTMAKRQGLKPREAAAMKDCVEVLNDSVDELRRSIDGMSHLRSSNFEMTMSDVQTWVSAALTDENTCTDGFQEIAAAATTTATVGGGGSNTKTRVRGLVVQVAQLTSNALALINQLANHG >Vigun03g332500.2.v1.2 pep primary_assembly:ASM411807v1:3:53040020:53042576:-1 gene:Vigun03g332500.v1.2 transcript:Vigun03g332500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANLTVYLLSNYNLSGIYVVNVVQIWNGSSNIFSIIGAFISDTYLGRFNTLFFGSISSLLGILTITLTAGIHQLRPHPCDNNSDHCQSPQSWQLAVLFLGLGLLSIGAGGIRPCNIAFGADQFDIKTEKGRAQLESFFNLWYFSFTIALVIALTAVVYIQTSVSWTLGFAIPTCCLALSIAIFLLGRHTYICKKPQGSIFSDMARVIAAAFRKRKVQASGRTLYNPAPTSGEEAETVQTERFKFLDKAAIIVDPNELNDQGMARNSWRLCSLQQVEHLKCLFGVLPVWVAGICCFIVMDQQNTFGVLQAVQCNRSIGPHFKVPPGWMNLTSMIALSFWIFVYECVYIPLGRKLGKKAPRLAMKQRIRIGILLSILCMLVAAIVETKRRDLALKHGLFVSPLSFAWLLPQFALSGLNEAFAAVSIMEFFALVMPESMRTVAGAIFFLSLSIANYMGSLIVNILHKITSSNGKTSWIGGHDLNHNRLDSYYYVIAALGGLNFIYFNLYACRYCDNKNKHNVKTEAQQEHSNVVGESSEPNDEEKALDTTGTTKRAT >Vigun03g332500.1.v1.2 pep primary_assembly:ASM411807v1:3:53040020:53042576:-1 gene:Vigun03g332500.v1.2 transcript:Vigun03g332500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAIPDCAGALELEHEPTPPPSSLSSASPTKAGGWRSIRYIIGNESFEKVASMSLVANLTVYLLSNYNLSGIYVVNVVQIWNGSSNIFSIIGAFISDTYLGRFNTLFFGSISSLLGILTITLTAGIHQLRPHPCDNNSDHCQSPQSWQLAVLFLGLGLLSIGAGGIRPCNIAFGADQFDIKTEKGRAQLESFFNLWYFSFTIALVIALTAVVYIQTSVSWTLGFAIPTCCLALSIAIFLLGRHTYICKKPQGSIFSDMARVIAAAFRKRKVQASGRTLYNPAPTSGEEAETVQTERFKFLDKAAIIVDPNELNDQGMARNSWRLCSLQQVEHLKCLFGVLPVWVAGICCFIVMDQQNTFGVLQAVQCNRSIGPHFKVPPGWMNLTSMIALSFWIFVYECVYIPLGRKLGKKAPRLAMKQRIRIGILLSILCMLVAAIVETKRRDLALKHGLFVSPLSFAWLLPQFALSGLNEAFAAVSIMEFFALVMPESMRTVAGAIFFLSLSIANYMGSLIVNILHKITSSNGKTSWIGGHDLNHNRLDSYYYVIAALGGLNFIYFNLYACRYCDNKNKHNVKTEAQQEHSNVVGESSEPNDEEKALDTTGTTKRAT >Vigun05g125800.1.v1.2 pep primary_assembly:ASM411807v1:5:14141529:14147801:-1 gene:Vigun05g125800.v1.2 transcript:Vigun05g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLRLFFTLTVLLAVLFSAASTAANENEITKHKATESRDVVEEKKLRVQSLKNSSAAAERLEDALNKHAIDNPEEIASMVDESIRNSTARRNLNFFSCGTGNPIDDCWRCDKRWYLRRKQLANCGIGFGRNAIGGRDGRYYVVTDPNDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIAYGACITVQFITNVIIHGLHIHDCKQTGNAMVRSSPSHYGWRTLADGDGISIFGSSHIWIDHNSLSSCSDGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPVNPFAKEVTKRVDTGSSVWKSWNWRSEGDLLLNGAFFTSSGAGAAASYARASSLGAKSSSLVGTLTSGSGVLNCRRGNMC >Vigun01g207200.1.v1.2 pep primary_assembly:ASM411807v1:1:38288643:38293296:-1 gene:Vigun01g207200.v1.2 transcript:Vigun01g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYHSKRLLKDHLKRLASIDLDILSAQVTQSTDFAEFTDLHPWLSSIKLVVKPDMLFGKRGKSGLVALNLDIAEVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIDIEENWDKVKTIFLPTEKQLTPEACAPLIATLPLEIRGTIGDFILGVFAVFQDLDFSFLEMNPFTLVNEKPYPLDMRGELDDTAAFKNFNKWGDIEFPLPFGRVLSPTESFIHSLDDKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALKEKESKLKAARVHIYVRRGGPNYQTGLVKMRALGRNWEYQFKFMDQRPQ >Vigun09g049900.1.v1.2 pep primary_assembly:ASM411807v1:9:4919367:4923567:1 gene:Vigun09g049900.v1.2 transcript:Vigun09g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEKGTEINTNPSTTNLQGNDEVKSQDEKGSDGGEGGSPSHGDHDLAFNEEEEEERDPSAAQPSDAATSKDLEAKIGETEGGKEGVVVVEWDEKSEENSESKDVSAGLVESAKESHHGNGKSDSSSDETGTVTSSKDESHDSINETVAFGELVKSTDSLHAKVSPVTQNVLAETSDSVAEPSNEPVKSVASVPDVQTIHNDNASLEKSTGSQVEATDLAVKKNEDEEHPITDQNVRTLSLEEPKPREFDNEVSASVSHSPIPESTLGAEHVKDSDAPESSENQALVSSSVPPVMQKTSWLSCCGLFDVLSGSNR >Vigun09g049900.3.v1.2 pep primary_assembly:ASM411807v1:9:4919842:4923588:1 gene:Vigun09g049900.v1.2 transcript:Vigun09g049900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNDEVKSQDEKGSDGGEGGSPSHGDHDLAFNEEEEEERDPSAAQPSDAATSKDLEAKIGETEGGKEGVVVVEWDEKSEENSESKDVSAGLVESAKESHHGNGKSDSSSDETGTVTSSKDESHDSINETVAFGELVKSTDSLHAKVSPVTQNVLAETSDSVAEPSNEPVKSVASVPDVQTIHNDNASLEKSTGSQVEATDLAVKKNEDEEHPITDQNVRTLSLEEPKPREFDNEVSASVSHSPIPESTLGAEHVKDSDAPESSENQALVSSSVPPVMQKTSWLSCCGLFDVLSGSNR >Vigun09g049900.2.v1.2 pep primary_assembly:ASM411807v1:9:4919318:4923741:1 gene:Vigun09g049900.v1.2 transcript:Vigun09g049900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEKGTEINTNPSTTNLQGNDEVKSQDEKGSDGGEGGSPSHGDHDLAFNEEEEEERDPSAAQPSDAATSKDLEAKIGETEGGKEGVVVVEWDEKSEENSESKDVSAGLVESAKESHHGNGKSDSSSDETGTVTSSKDESHDSINETVAFGELVKSTDSLHAKVSPVTQNVLAETSDSVAEPSNEPVKSVASVPDVQTIHNDNASLEKSTGSQVEATDLAVKKNEDEEHPITDQNVRTLSLEEPKPREFDNEVSASVSHSPIPESTLGAEHVKDSDAPESSENQALVSSSVPPVMQKTSWLSCCGLFDVLSGSNR >Vigun11g123600.1.v1.2 pep primary_assembly:ASM411807v1:11:33095664:33096883:-1 gene:Vigun11g123600.v1.2 transcript:Vigun11g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSVVSSAVARRLEGKVALITGAASGIGESTARLMAKHGAKVVIADIQDELGHSVSKDIDSSIYVHCDVTKEEHVERAVDTAVSRFGKLDIMHNNAGTIEVWNPSIMHNKKSDFDEVINVNLVGVFLGMKHAARVMAPSRRGSIIATSSVCGRIGGAASHAYTCSKHGVVGLVRNAAVELGPLGIRVNCVSPYGVPTPLSKKFLNTDDEGVAALYSNLKGVTVKPEDVAEAVVYLGSDESRYVTGHDLVVDGGFTVVNAGLCVFESLV >Vigun03g341900.1.v1.2 pep primary_assembly:ASM411807v1:3:54054876:54058856:-1 gene:Vigun03g341900.v1.2 transcript:Vigun03g341900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSFAPFVFLLLVIGCTARPFYPLPNKGDGGSRKPLQTFRPYNIAHRGSNGELPEETSAAYTKAIEEGADFIETDILSSKDGVLICFHDFNLDNTTDIANHEEFADRKRTYEVEGKNSTGFFTVDFTLKELKSLRVKQKFGYRDQQFNGKFQIITFEEFINIALDAPRVVGIYPEIKNPVFINQHVKWSDGKIFEDKFVETLQKYGLKGSYLSKDWLRQPVFIQSFAPTSLVYIANKTDLPKIFLIGDVDIPTQDTNQSYWEIISDEYLNYIKQYVVGIGPGKDTLVPVVNNYMATPTDLVSRAHAHNLQVHPYTYRNENLYLHFNFSQDPYVEYDYWINKIGVDGLFTDFTGSLHRFQEWTSKHQRR >Vigun06g213732.1.v1.2 pep primary_assembly:ASM411807v1:6:32544275:32544668:1 gene:Vigun06g213732.v1.2 transcript:Vigun06g213732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVRDVKVNIDGDKPHHENSLRAKLSHHKWKSFSNSNNSKRGGSKSRKWSKNVYSDILDIDGNSLQKLPEKEEAVGIITMEDVIEELLQEEIFDETDHDFEDL >Vigun07g217100.1.v1.2 pep primary_assembly:ASM411807v1:7:33897508:33901092:1 gene:Vigun07g217100.v1.2 transcript:Vigun07g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDNTAMETSSGEAVAAHDGGEVIMMDATSGEEKGKEGGLEEEEGDKINSSCAGNRWPRQETLALLKIRSDMDAVFRDSSLKGPLWEEVARKLAELGYHRSAKKCKEKFENVYKYHKRTKESRSGKQEGKTYKFFDQLQALENQFIVSYPLKPQPTLATTNTVALLPPPTRPSDTTTISYVTATVPSTNPTAISPSPPPPPPPPTNATTTTTITSPTVQTNPRNPPQPNNNNHDIPYTLPNMNNLFSTTSTSSSTASDEDLEEKYRKKRKWKDYFRRLTRQVLAKQEEMQKRFLEAIDKREREHVAQQEAWRIQEMARINREHELLVQERSTAAAKNAAVIAFLQQLSGQNQNPSTQAGANFLQTPPPSQPPPPPQVSQLKPPSQQAPLVMSNNNNIEIQKMNNGHSAVAATPTTAATAICVVATTPTSLNSLSSSRWPKAEVLALIRLRTSLETKYQENGPKAPLWEDISAGMLRLGYNRSAKRCKEKWENINKYFKKVKESNKHRRENSKTCPYFHELDAIYREKSKSQNPFGASFLNMKAHEMMEPLMVQPEQQWRPPSQYEQGAAKENSESEYERKEREEEEEEDDEEEVEEDENEEGDLESVEDEGGNRYEIATNKLSSVDTVE >Vigun02g158100.4.v1.2 pep primary_assembly:ASM411807v1:2:30396843:30400216:-1 gene:Vigun02g158100.v1.2 transcript:Vigun02g158100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLYLIIPCFPNYVSPSLVKFFPSTKLHLACTKTSLTQTFTKPSSLHCLPSMSSLTGLAPLEAVLFDVDGTLCDSDPLHYEALREMLLKIGFNGGVPITEEFFVEKFSGKNNIDAASVPFPDDPEQGLKFVEDKEAMFQRLAREQLKPVKGLEKVTAWVEKRGLKRAAVTNSPRVNAELMISKLGLSDFFDVLIIGDECERGKPHPDPYLKALEVLKASKDHTFVFEDSFSGITAGVAAGMPVIGIAIRNPEDLLMKAKPDFLIKDYEDPKLWAALEELDKPGAEKLEILDKNIQQGA >Vigun02g158100.2.v1.2 pep primary_assembly:ASM411807v1:2:30396843:30400216:-1 gene:Vigun02g158100.v1.2 transcript:Vigun02g158100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIANSMSSLTGLAPLEAVLFDVDGTLCDSDPLHYEALREMLLKIGFNGGVPITEEFFVEKFSGKNNIDAASVPFPDDPEQGLKFVEDKEAMFQRLAREQLKPVKGLEKVTAWVEKRGLKRAAVTNSPRVNAELMISKLGLSDFFDVLIIGDECERGKPHPDPYLKALEVLKASKDHTFVFEDSFSGITAGVAAGMPVIGIAIRNPEDLLMKAKPDFLIKDYEDPKLWAALEELDKPGAEKLEILDKNIQQGA >Vigun02g158100.1.v1.2 pep primary_assembly:ASM411807v1:2:30396843:30400216:-1 gene:Vigun02g158100.v1.2 transcript:Vigun02g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLYLIIPCFPNYVSPSLVKFFPSTKLHLACTKTSLTQTFTKPSSLHCLPSSMSSLTGLAPLEAVLFDVDGTLCDSDPLHYEALREMLLKIGFNGGVPITEEFFVEKFSGKNNIDAASVPFPDDPEQGLKFVEDKEAMFQRLAREQLKPVKGLEKVTAWVEKRGLKRAAVTNSPRVNAELMISKLGLSDFFDVLIIGDECERGKPHPDPYLKALEVLKASKDHTFVFEDSFSGITAGVAAGMPVIGIAIRNPEDLLMKAKPDFLIKDYEDPKLWAALEELDKPGAEKLEILDKNIQQGA >Vigun02g158100.3.v1.2 pep primary_assembly:ASM411807v1:2:30396843:30400216:-1 gene:Vigun02g158100.v1.2 transcript:Vigun02g158100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTGLAPLEAVLFDVDGTLCDSDPLHYEALREMLLKIGFNGGVPITEEFFVEKFSGKNNIDAASVPFPDDPEQGLKFVEDKEAMFQRLAREQLKPVKGLEKVTAWVEKRGLKRAAVTNSPRVNAELMISKLGLSDFFDVLIIGDECERGKPHPDPYLKALEVLKASKDHTFVFEDSFSGITAGVAAGMPVIGIAIRNPEDLLMKAKPDFLIKDYEDPKLWAALEELDKPGAEKLEILDKNIQQGA >Vigun02g158100.5.v1.2 pep primary_assembly:ASM411807v1:2:30396843:30399697:-1 gene:Vigun02g158100.v1.2 transcript:Vigun02g158100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTGLAPLEAVLFDVDGTLCDSDPLHYEALREMLLKIGFNGGVPITEEFFVEKFSGKNNIDAASVPFPDDPEQGLKFVEDKEAMFQRLAREQLKPVKGLEKVTAWVEKRGLKRAAVTNSPRVNAELMISKLGLSDFFDVLIIGDECERGKPHPDPYLKALEVLKASKDHTFVFEDSFSGITAGVAAGMPVIGIAIRNPEDLLMKAKPDFLIKDYEDPKLWAALEELDKPGAEKLEILDKNIQQGA >Vigun01g176000.1.v1.2 pep primary_assembly:ASM411807v1:1:35724714:35726522:1 gene:Vigun01g176000.v1.2 transcript:Vigun01g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMPPGYRFYPTEEELISFYLHNKLEGEREDMNRVIPVVDIYDYNPSQLPQISGEASLRDTEQWFFFIPRQESEARGGRPKRLTTTGYWKATGSPNHVYSSDNRIIGIKRTMVFYSGRAPNGAKTDWKMNEYTAIKGEQPSQLQLRKEFSLCRVYKKSKCLRAFDRRPPPRRNTVRHSIAENGEEQEKGSTSTCYDYHQHQMLERSATCSPESSSSGDLDHDRPILHGEGGTQMDVDNDNDNDPFLDWERVDLFLGSES >Vigun01g176000.2.v1.2 pep primary_assembly:ASM411807v1:1:35724714:35726522:1 gene:Vigun01g176000.v1.2 transcript:Vigun01g176000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMPPGYRFYPTEEELISFYLHNKLEGEREDMNRVIPVVDIYDYNPSQLPQISGEASLRDTEQWFFFIPRQESEARGGRPKRLTTTGYWKATGSPNHVYSSDNRIIGIKRTMVFYSGRAPNGAKTDWKMNEYTAIKGEQPSQLRKEFSLCRVYKKSKCLRAFDRRPPPRRNTVRHSIAENGEEQEKGSTSTCYDYHQHQMLERSATCSPESSSSGDLDHDRPILHGEGGTQMDVDNDNDNDPFLDWERVDLFLGSES >Vigun06g237000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34211174:34212211:-1 gene:Vigun06g237000.v1.2 transcript:Vigun06g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRRDGMCGVHQWAWPKPSKWVKGKLVGCGSFGTVHLAMNKSTGGLFVVKSSNSRAGREALDEEVKILKIVNSSPYIVQCLGTEEEEGKLNVFMEYMAGGSLADVAHKFGGSLDEEVVRVYTREILHGLNHLHLHGIVHCDLKCKNVLLDPQGNVKLADFGCARRANELRKSFGGTPLWMAPEVLRNESVELSADIWSLGCTVIEMATGRPPWANQVSNHISVVLWIAHGDGIPQFPPHFSDEGLDFLSMCLQRDPRKRPTPQQLLSHPFVTPQHHYASSPASVLEVHDFKDSCDVHHDFSLTDTLPSSFTDYSKGIAAVCKAEDSALGSSGNWITVRSG >Vigun08g097600.1.v1.2 pep primary_assembly:ASM411807v1:8:23618055:23619294:-1 gene:Vigun08g097600.v1.2 transcript:Vigun08g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMKRTTSMSEITLDLNAAASDATDQRRSGAGGGALTPKILRRHSSDFVDTPHFLRACALCKGRLAPGRDIYMYRGDSAFCSLECRQHQMNQDERKEKFVTASKKQVVPPPPSGSQIAATTKGETTVVAL >Vigun09g076500.2.v1.2 pep primary_assembly:ASM411807v1:9:8743729:8744293:1 gene:Vigun09g076500.v1.2 transcript:Vigun09g076500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEYIAVVVSLCLVSVSIVNPQAVPVGVPPPTTAPSCCALLTPLPVVQARICVGNALNRAVPGLPFIVSFVTDTLLNNCP >Vigun04g046200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3987788:3988432:-1 gene:Vigun04g046200.v1.2 transcript:Vigun04g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLLAFVLLFALTTQALLGAADASPEQVVDTSGKKLRVGLSYFIVPASPLIGCTRYGRCVKSGGLSLASIGESCPLDVVFVAGSSGLPLSFSPVDPKKGVVRVSTDLNIMFSTDHTSCAEYSPVWKLDHFDVAKGQWFVTTGGSVGNPGWGTIRNWFKIEKVDAAAYKIVYCPTLFPSSKHLCKDVGVFVDENGYRRLALSDVPFKVKFQLA >VigunL008900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000161.1:27949:28173:1 gene:VigunL008900.v1.2 transcript:VigunL008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >Vigun03g166000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19070890:19071364:-1 gene:Vigun03g166000.v1.2 transcript:Vigun03g166000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWGPVVISVVLFVLLSPGLLFQLPAKGKVVAFGSMQTSGLSILVHTIIFFGLITIFLLAIGVHIYSG >Vigun09g033800.1.v1.2 pep primary_assembly:ASM411807v1:9:2962493:2968638:-1 gene:Vigun09g033800.v1.2 transcript:Vigun09g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLRTANSSLLKCSFFHSDLLTRFCFKPGLLGTSQVLNFSTRKRRSKSDGSDSGEEGMSKKDVALQQAIDQITSAYGKGSIMWLGRSVAPKNIPVVSTGSFALDIALGVGGLPKGRVVEIFGPEASGKTTLALHVIAEAQKLGGYCAFVDAEHALDKTLAESIGVNTKNLLLSQPDCGEQALGLVDTLIRSGSVDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLCHSLSLSQCILVFINQVRSKISTFGGFGGPTEVTCGGNALKFYASVRLNIKRIGFIKKGEETLGSQVLVKVVKNKHAPPFKTAQFELEFGKGISREAEVIELSIKHKLIRKSGSFYEYNGQNFHGKDALKRFLVDSDSVQELATKLREKILNAAPELDPEEQVMIEDVMEQMEEMVSLDSTDETASDAAAAVEA >Vigun03g327200.3.v1.2 pep primary_assembly:ASM411807v1:3:52309172:52312017:1 gene:Vigun03g327200.v1.2 transcript:Vigun03g327200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFMKSMFLIFVHSGLGEGNSVILFERTKLFHDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSHASYENVLKKWVPELQHFAPGIPVVLVGTKLDLREDKHYLAEHPGMVAVTSQQGEELRKLVGATYYIECSSKTQQNVKSVFDAAIKVVIKPPTKQEKKKKPRRGCLLNVMCGRNLVRFK >Vigun03g327200.1.v1.2 pep primary_assembly:ASM411807v1:3:52308544:52312017:1 gene:Vigun03g327200.v1.2 transcript:Vigun03g327200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRFIKCVTVGDGAVGKTSMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSHASYENVLKKWVPELQHFAPGIPVVLVGTKLDLREDKHYLAEHPGMVAVTSQQGEELRKLVGATYYIECSSKTQQNVKSVFDAAIKVVIKPPTKQEKKKKPRRGCLLLVHFFHIIINPARETFLLVKRHSSLSVYHCLQSKFSIHVMQLQKKCDVWKEFSSF >Vigun03g327200.2.v1.2 pep primary_assembly:ASM411807v1:3:52308544:52312017:1 gene:Vigun03g327200.v1.2 transcript:Vigun03g327200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRFIKCVTVGDGAVGKTSMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSHASYENVLKKWVPELQHFAPGIPVVLVGTKLDLREDKHYLAEHPGMVAVTSQQGEELRKLVGATYYIECSSKTQQNVKSVFDAAIKVVIKPPTKQEKKKKPRRGCLLNVMCGRNLVRFK >Vigun06g190800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30834633:30838359:-1 gene:Vigun06g190800.v1.2 transcript:Vigun06g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFKTPFNGYSVKFSPFYENRLAVATAQNFGILGNGRLHVLVLSPDPSLPIAELASYDTADGVYDVAWSESHDSIVIAAVADGSVKLYDLALPPTSNPIRSFQEHTREVHSADYNPVRRDSFLSSSWDDTVKLWTLDRPTSVRTFKEHAYCVYSAVWNPRHADVFASASGDCTVRIWDVREPGSTMILPAHDYEVLACDWNKYDECVIATASVDKSLKVWDVRNYRVPVSVLNGHGYAVRKVKFSPHVRNLLVSCSYDMTVCVWDFMVEDALVSRYDHHTEFAVGVDMSVLVEGLMASTGWDELVYVWPHGTDPRAP >Vigun04g134001.1.v1.2 pep primary_assembly:ASM411807v1:4:33702980:33703925:-1 gene:Vigun04g134001.v1.2 transcript:Vigun04g134001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNNGKMQTQKLKMSTYMMCEILDQIGAIKEICEALLLRVWSVVLLV >Vigun03g132500.1.v1.2 pep primary_assembly:ASM411807v1:3:12937236:12947223:1 gene:Vigun03g132500.v1.2 transcript:Vigun03g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFNRLRNLDAYPKVNEDFYSRTLAGGVVTVVSAAVMLFLFFSELNLYLYTVTESTLLVDTSRGDTLHINFDVTFPAVRCSILSLDAMDISGEQHLDIRHNIVKKRIDGNGSVIEERKDGIGAPKIERPLQKHGGRLGHDEKYCGSCFGAEESDEHCCNSCEEVREAYRKKGWALTNMDLIDQCQREGYVQRVRDEEGEGCNIQGSLEVNKVAGNFHFATGKSFLQSAVFLADLLALQDNHYNISHRINKLSFGHHFPGLVNPLDGVKWVQGPTHGMYQYFIKVVPTIYTDIRGRVIHSNQYSVTEHFKSSELGVAVPGVFFFYDISPIKVNFKEEHIPFLHFLTNICAIIGGVFTVAGIIDSSIYYGQRTIKKKMELGKYR >Vigun03g229200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38208234:38210193:1 gene:Vigun03g229200.v1.2 transcript:Vigun03g229200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKEKVLHIVMFPWLAFGHMIPNLELAKLIAGKGHHVSFVSTPRNIERLPKVSQKLATLINFVKLPLPKVPNLPENAEATTDVPYDAVQYLKKAYDTLEEPFTRFLESSKADWLFYDFAPFWAAYVASKLGIRKAFYSICTPPFLGFLGPPSVLMGHDDSYRQKPEDFIVAPPWVPFPTTVAFRYFEIMRIVDSISSQNNSGVSDTYRFGAGIQNCDVMFIRGCTEFEPEWFHLLESIYQKPVLPVGQLPSTAPVGSEDNDTWRWMKDWLDKQARESVVYVAFGSEAKPTQDEVTEIALGLEKSKLPFLWLLRVQRGPCDPDVLRLPEGFEERIKGRGVVCSSWAPQLKILEHVAVGGFLTHSGWTSVVEAVQNEKPLVLLTFLADQGINARVLEEKKMGYSVPRDERDGSFSSDSVADSLKLVMGEEEGKIYRERIKEMKDLFVNGERQDRYIDNLIHNLKSLSKC >Vigun05g139700.1.v1.2 pep primary_assembly:ASM411807v1:5:17060804:17068787:-1 gene:Vigun05g139700.v1.2 transcript:Vigun05g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSLSAFLPNPNPNLNLLHSHNFTLSSVPSWKNKSTPSKSPFSVSCSSSSHTYDVVIVGAGVIGLTIARHFLLSSDLSVAIVDKAVPCSGATGAGQGHLWMINKTPGSATWDLAWRSHQLWTTLAQSLEEQGLDPAVELGWKKSGSLLIGRSDAESDMLKGRVKQLCETGLKAEYLSSSDLFKEEPDLLVDQDSAAAFLPVDCQLDAHRTVEYIEKANRNFASEGRYAEFYNDPVKCFIRSDVNGEVKAVQTFKNTLYSKKAVIVAAGCWTGGLIQDLFKNWGMELHVPVRPRKGHLLVLQNFNFLALNHGVMEADYLNHPTISGLESSDCEKDLSVSMVASFDTAGNLLLGSSREFVGFNTDLDESVVSYIWRRVGEFFPKLKAISLSDLNASRKVRIGLRPYMPDGKPVIGPVPGLSNVYLAAGHEGSGLSLALGTAEMIVEMVLGYEGKVDTTPFAVQQALG >Vigun05g139700.2.v1.2 pep primary_assembly:ASM411807v1:5:17060804:17068787:-1 gene:Vigun05g139700.v1.2 transcript:Vigun05g139700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINKTPGSATWDLAWRSHQLWTTLAQSLEEQGLDPAVELGWKKSGSLLIGRSDAESDMLKGRVKQLCETGLKAEYLSSSDLFKEEPDLLVDQDSAAAFLPVDCQLDAHRTVEYIEKANRNFASEGRYAEFYNDPVKCFIRSDVNGEVKAVQTFKNTLYSKKAVIVAAGCWTGGLIQDLFKNWGMELHVPVRPRKGHLLVLQNFNFLALNHGVMEADYLNHPTISGLESSDCEKDLSVSMVASFDTAGNLLLGSSREFVGFNTDLDESVVSYIWRRVGEFFPKLKAISLSDLNASRKVRIGLRPYMPDGKPVIGPVPGLSNVYLAAGHEGSGLSLALGTAEMIVEMVLGYEGKVDTTPFAVQQALG >Vigun06g059300.3.v1.2 pep primary_assembly:ASM411807v1:6:18626092:18632086:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGTAIVRFVFHNAPTFIAVVTFGTCVLIGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.2.v1.2 pep primary_assembly:ASM411807v1:6:18626092:18632086:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGTAIVRFVFHNAPTFIAVVTFGTCVLIGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.8.v1.2 pep primary_assembly:ASM411807v1:6:18626093:18631930:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.7.v1.2 pep primary_assembly:ASM411807v1:6:18626246:18631930:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGTAIVRFVFHNAPTFIAVVTFGTCVLIGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.1.v1.2 pep primary_assembly:ASM411807v1:6:18626093:18631930:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGTAIVRFVFHNAPTFIAVVTFGTCVLIGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.9.v1.2 pep primary_assembly:ASM411807v1:6:18626093:18631930:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun06g059300.6.v1.2 pep primary_assembly:ASM411807v1:6:18626246:18631930:-1 gene:Vigun06g059300.v1.2 transcript:Vigun06g059300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPLEETLLNGDSSASSKTKGKENLTRNSNAGFFNTLTFSWITPLITLGNERTLNHEDIPRLGTGDSAYGNFQTFRSKLESECGSVRNVTTLKLAKVLFLSTWHGIFLSGLFAFLNTCASYVGPFLIDILVQYLNGEHMFKYEGYVLTAAFVAAKLLESLSDRHCMFRFQQVGVQVQSKLVAMIYAKGLTLSCQSKEVHSTGEIINLMTVDASRIGEFCWYMHRPWMCVLQVALALLILYRSVGVASIAALAATVIVMLLNHPVASLQEKFQGKVMECKDKRMKATSEILKNMRILKLQAWEMKFLSKIIQLRKTEEIWLKKFLAGTAIVRFVFHNAPTFIAVVTFGTCVLIGIPLESGKVLSALATFRILQMPIYALPDTVTMIAQSRISLERIASFLRLEELQTDVVEKLPWGSSDKAVELVDGNFSWDLSSSDPTLKNINLTVFHGTRVAVCGTVGSGKSSLLSCIIGEVPKISGTLKICGTKAYVSQSPWIQSGKIEENILFGKEMDREKYEKVLEACSLKKDLEILPFGDQTIVGEKGINLSGGQKQRVQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLDLLKSKTVIYVTHQVEFLPDADLILVMREGRITQSGKFNDILRSGTDFMELVDAHRAALSSSIKSLERRPTFKQQSSTKEKKNSLTDFEYEQNEENIHDKNDNIDDTNESKGQLVQEEEREKGRVGFNVYWKYITTAYGGALVPFILLAQTLTVGFQVASNYWMTVATPVSGTTEPHIGSLTLMLVYVALAIGSSICTLARAFIAVIAGYKTATVLFSKMHFCVFRAPISFFDATPSGRILNRASTDQTELDMSIPGLIWAITLNLIQILGNIAVISQAVWQVFIVLIPVMAACIWYQRYYSASARELARLVGTCQAPVIQHFSETISGSTTIKCFEQESRFKDINMKLIDRYSQPKLYSAAAIEWLNFRLDILSTLTFAFCLVFLISFPNSFTAPGIAGLAVTYGLNLNSLQTNIIWFICTLENKIISVERILQYTSLPSEAPLVIKENQPEYSWPSFGEVHIQDLQVRYAPHLPIVLRGLTCTFTAGSKTGIVGRTGSGKTTLVQTIFRLVEPVAGQILIDSINITSIGIHDLRSRLSIIPQEPTMFEGTVRTNLDPLEEYTDEQIWQALEMCQLGDEVRKKEGKLDSIVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKHHFAECTVLTIAHRITSIIDSDMVLFLNQGLIEEYDSPKKLLKNKSSALALLVAEYTRRSDSGFGN >Vigun05g169500.1.v1.2 pep primary_assembly:ASM411807v1:5:29089404:29092011:-1 gene:Vigun05g169500.v1.2 transcript:Vigun05g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCYVIAFVLVFSPFIAISNASAGDADPRYRNCVKECDESGCIGKRCFPNCKISADEVPVIGPPWGMLEPLYARWKKAHCKSNCHYYCMLDREKDRELLNNEPEKYHGRWPFKRIYGIQDPASMVFSSLNLVMHLHGWMSFFTLIYNKLPLKARKRPYYEYAGLWHIYGLLSLNSWFWSAIFHSRYCEIIERLYHFSAVALIGYSLILAILRSFNVKDEATRVMIPAPLISFVATHIMYLNFYKLDHEWNFKVCLAMSVAQLATWAIWGAVSHHPSRWKLRFAVLMSGLAVSLQIYDFPPYEGHVDAQALRHAITVPLTYLWWSFIRDDAAFLTSERLKNSKKSK >Vigun05g169500.2.v1.2 pep primary_assembly:ASM411807v1:5:29089482:29091844:-1 gene:Vigun05g169500.v1.2 transcript:Vigun05g169500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCYVIAFVLVFSPFIAISNASAGDADPRYRNCVKECDESGCIGKRCFPNCKISADEVPVIGPPWGMLEPLYARWKKAHCKSNCHYYCMLDREKDRELLNNEPEKYHGRWPFKRIYGIQDPASMVFSSLNLVMHLHGWMSFFTLIYNKLPLKARKRPYYEYAGLWHIYGLLSLNSWFWSAIFHSRYCEIIERLYHFSAVALIGYSLILAILRSFNVKDEATRVMIPAPLISFVATHIMYLNFYKLDHEWNFKVCLAMSVAQLATWAIWGAVSHHPSRWKLRFAVLMSGLAVSLQIYDFPPYEGHVDAQALRHAITVPLTYLWWSFIRDDAAFLTSERLKNSKKSK >Vigun01g022600.1.v1.2 pep primary_assembly:ASM411807v1:1:2391905:2395099:-1 gene:Vigun01g022600.v1.2 transcript:Vigun01g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQLQKEETEVHIKASADKFYDVFCNKTYVIANIFPELVQSVDIQQGQWGTDKSVITWTYFHEGKTRVAKEIVEDIDKEKYKMSFKVIEGDVMEHYKSFKFIMQATPKEKGGSVVNWAVEYEKQNDSIHDPRTMLQLTVDETKKIDEYFTNNHN >Vigun01g024400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2646750:2657197:-1 gene:Vigun01g024400.v1.2 transcript:Vigun01g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDLPNCRVPLLIIHGEKDTKFRNIAQKMMKTLCSGLGSEHENGNAIHEVVEIPNCGHAAHLENPLAIIAAIARFLTRL >Vigun10g104200.1.v1.2 pep primary_assembly:ASM411807v1:10:29866564:29870432:1 gene:Vigun10g104200.v1.2 transcript:Vigun10g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLFLCLVILTVLFLFFIHNLRTFKNSPTPPGPKRIPIIGNLHQLDNSILYLQLWQLSKKYGPIFSLQLGLRAAIVISSPKLAKEVLKNHDLEFSGRPKLRGQQKLSYNGSEVAFSSYSENWREIRKICVVHLFSSKRVSTFSYIRKFEVKQMIEKICGHASSSGVTNLSELLLSFASTMVCRIGFGRRYEDEGSEKSRFHVLLNELQAMMGTFFVSDYIPLMGWVDKLRGLHARLERNFSEFDRFYQDVIDEHMDPNREYAEEKDMVDVLLQLKNDRSLPIDITFDHIKGVLMNILAAGTDTTAATLVWIMTALVKNPRVMKKVQEEIRNVEGKKDFLDEDDTQKLSYLKATIKETLRLHPPGPLLVPRESTKECVINGYRIPTKTIVFVNAWAIQRDPEAWKNPQDFCPERFLDSDIDFHGQDFELIPFGSGRRICPGIAMSVVTLELVIANLLHSFDWELPLGMVREDIDLEVFPGITQHKKNHLCLCAKTRIII >Vigun09g151300.1.v1.2 pep primary_assembly:ASM411807v1:9:31562516:31567082:1 gene:Vigun09g151300.v1.2 transcript:Vigun09g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNQETLVEAALRVLNTADPFEKARLGDSVATRWLNGAMAEPYDPSAGDHLTLPDRPARLSSVKLVAPSLMPKLGKAGSLQSRIAIVHSLTHTESWAIDLSWDIIARFGKQESMPREFFTDFVKVAQDEGRHFTLLAARLEELGSYYGALPAHDGLWDSATATCKDLLARLAIEHCVHEARGLDVLPTTISRFRNSGDNTTADLLESVVYPEEITHCAAGVKWFKYLCQRSMNSTSEQEEQSESKDEEHEVITKFHAIVRAYFRGPLKPPFNEAARKAAGFGPQWYEPLAVKEVDTIQ >Vigun05g094700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9184090:9185370:1 gene:Vigun05g094700.v1.2 transcript:Vigun05g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDVLCENILLEILSRLRVKSLKRFMCVNKFFQSLILDPCFVTMHLQNSRKNTNFLLKYLDGDKTSRSVIAPPINSLFEDSNPLFLDIHGSTLQRNKYQVIGSCNGLVCLAIWRNRKGPSMFQLWNPATKKYVTYPNSSLKVFKEEKVAMLGFGYDNSTHTYKVVAIVSHMDSKYSRSFRSVICSLNDESNWRDIQNFPVNPAGVEANGIYLNNTINWLGRPNCNDSKYHSYVRFDKVVIASLDLETETYREMLLPHELKGVFIRHLCFPCGQLHCNEVPLIGVLSGCLSLFLRNRETRHLSIWQIKEIENQKSWILLLNISFHDLGVNTIPTKFDHEYDLWTTLRVDQHFFCSDNNLLPLCMVENDRDIVIIRSSFQGHVKQTIIYNLRDETVIVRKMAANLRWIYPFDYVESLVSPIGSTRT >Vigun11g154900.2.v1.2 pep primary_assembly:ASM411807v1:11:36437233:36441253:1 gene:Vigun11g154900.v1.2 transcript:Vigun11g154900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLLFILPLLMARSRCLFPLFPFLHTQFPNSVLSWLLDGSVNPDALNRQKQTPLMLAAMHGKIACVEKLLEAGANVLMFDACYGRTCLHYAAYYGHSSCLKAILSAAQSSPVAASWGFARFVNIRDGRGATPLHLAARQRRPECVHILLYSGALVSASTGRYGCPGSTPLHLAAKGGSLDCIRELLAWGADRLQRDASGRIPYVVALKHRHNACAALLNLSSAEPLVWPSSLKVISELNPDAKELLEQALMNANREREKNILKGSDYSLPSPAHSDGIDDSISEVSETELCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPSTSRIVPPVCPFCRSSIARLVVAKKESPHEIDQDGVDITCSKPSKSRKTRNMNEGGSSSIKGLSSVNSFGKIGGRSSGKIAAENEWEDDKQQ >Vigun11g154900.1.v1.2 pep primary_assembly:ASM411807v1:11:36437233:36441249:1 gene:Vigun11g154900.v1.2 transcript:Vigun11g154900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCRGSHEHGLFRAVQHGDLDTVAALLHTHPSLMNHTTVYDHHSPLHIAAANGQIQVLSWLLDGSVNPDALNRQKQTPLMLAAMHGKIACVEKLLEAGANVLMFDACYGRTCLHYAAYYGHSSCLKAILSAAQSSPVAASWGFARFVNIRDGRGATPLHLAARQRRPECVHILLYSGALVSASTGRYGCPGSTPLHLAAKGGSLDCIRELLAWGADRLQRDASGRIPYVVALKHRHNACAALLNLSSAEPLVWPSSLKVISELNPDAKELLEQALMNANREREKNILKGSDYSLPSPAHSDGIDDSISEVSETELCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPSTSRIVPPVCPFCRSSIARLVVAKKESPHEIDQDGVDITCSKPSKSRKTRNMNEGGSSSIKGLSSVNSFGKIGGRSSGKIAAENEWEDDKQQ >Vigun06g230500.1.v1.2 pep primary_assembly:ASM411807v1:6:33719446:33726142:1 gene:Vigun06g230500.v1.2 transcript:Vigun06g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKPKAVFMAFGTKGDVYPLAAIAAAFACDQNQYDVMLITHSAHESLSIPLAQKHVQFWPVSSPPVLCADQTDAEGKMESSFFLQKKKVTRDHRQECYSLIERIFGDGPSLDGDLIMINFFALEGWSLAESFCVRCIVAAPYVVPYSAPSTFERQFQLELPLLYRYLIDAPSGKVCWKDVIHWMWPLFTENWGSWRNDVLHLSPCPFTDPVTGIPTWHDRPQSPLVMYGFSKEVVECPAYWPSKVLVCGFWFLPIEWQFTCKKCRDISVHDSSGHQYAKDDLCASHLELQNFIKTTPIFIGLSSIGSMGFLKDPYSFICVLQTVLSTTNYRFILFTAGYEPLESIVRGIASEASFEQKNCSEDCVSLCNGRLLCFFGSLPYGWLFPKCAAVIHHGGSGTTAAALQAGTPQVVCPFMLDQFYWAERMHWLGVSPEPLSRNHLLPDKNDETSIQEAARLLSMAIHDALSPSVKARAAEVAERIVLEDGVAEAIKHLKEELGLN >Vigun06g230500.3.v1.2 pep primary_assembly:ASM411807v1:6:33719446:33726142:1 gene:Vigun06g230500.v1.2 transcript:Vigun06g230500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKPKAVFMAFGTKGDVYPLAAIAAAFACDQNQYDVMLITHSAHESLSIPLAQKHVQFWPVSSPPVLCADQTDAEGKMESSFFLQKKKVTRDHRQECYSLIERIFGDGPSLDGDLIMINFFALEGWSLAESFCVRCIVAAPYVVPYSAPSTFERQFQLELPLLYRYLIDAPSGKVCWKDVIHWMWPLFTENWGSWRNDVLHLSPCPFTDPVTGIPTWHDRPQSPLVMYGFSKEVVECPAYWPSKVLVCGFWFLPIEWQFTCKKCRDISVHDSSGHQYAKDDLCASHLELQNFIKTTPIFIGLSSIGSMGFLKDPYSFICVLQTVLSTTNYRFILFTAGYEPLESIVRGIASEASFEQKNCSEDCVSLCNGRLLCFFG >Vigun06g230500.4.v1.2 pep primary_assembly:ASM411807v1:6:33719446:33726142:1 gene:Vigun06g230500.v1.2 transcript:Vigun06g230500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITHSAHESLSIPLAQKHVQFWPVSSPPVLCADQTDAEGKMESSFFLQKKKVTRDHRQECYSLIERIFGDGPSLDGDLIMINFFALEGWSLAESFCVRCIVAAPYVVPYSAPSTFERQFQLELPLLYRYLIDAPSGKVCWKDVIHWMWPLFTENWGSWRNDVLHLSPCPFTDPVTGIPTWHDRPQSPLVMYGFSKEVVECPAYWPSKVLVCGFWFLPIEWQFTCKKCRDISVHDSSGHQYAKDDLCASHLELQNFIKTTPIFIGLSSIGSMGFLKDPYSFICVLQTVLSTTNYRFILFTAGYEPLESIVRGIASEASFEQKNCSEDCVSLCNGRLLCFFGSLPYGWLFPKCAAVIHHGGSGTTAAALQAGTPQVVCPFMLDQFYWAERMHWLGVSPEPLSRNHLLPDKNDETSIQEAARLLSMAIHDALSPSVKARAAEVAERIVLEDGVAEAIKHLKEELGLN >Vigun06g230500.2.v1.2 pep primary_assembly:ASM411807v1:6:33719446:33726142:1 gene:Vigun06g230500.v1.2 transcript:Vigun06g230500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKPKAVFMAFGTKGDVYPLAAIAAAFACDQNQYDVMLITHSAHESLSIPLAQKHVQFWPVSSPPVLCADQTDAEGKMESSFFLQKKKVTRDHRQECYSLIERIFGDGPSLDGDLIMINFFALEGWSLAESFCVRCIVAAPYVVPYSAPSTFERQFQLELPLLYRYLIDAPSGKVCWKDVIHWMWPLFTENWGSWRNDVLHLSPCPFTDPVTGIPTWHDRPQSPLVMYGFSKEVVECPAYWPSKVLVCGFWFLPIEWQFTCKKCRDISVHDSSGHQYAKDDLCASHLELQNFIKTTPIFIGLSSIGSMGFLKDPYSFICVLQTVLSTTNYRFILFTAGYEPLESIVRGIASEASFEQKNCSEDCVSLCNGRLLCFFGGTTAAALQAGTPQVVCPFMLDQFYWAERMHWLGVSPEPLSRNHLLPDKNDETSIQEAARLLSMAIHDALSPSVKARAAEVAERIVLEDGVAEAIKHLKEELGLN >Vigun06g166400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28826694:28829707:-1 gene:Vigun06g166400.v1.2 transcript:Vigun06g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKVAPLLSINWRFGLLTALVLGGMVLVWTVDGFTVRSVVEAWSLRYGQDSFSLMSSHFSNSTLSLDQNLSFSDCSSPCSPLSLDQNQTNVTTLFDSHSDVNITFSEFSKTVSAENSNSSSSVVSDELDKKTPEKPELKELSTWLSSELEPNLTSNLLARWLAPGGEPCKDSKTDKISMPGLDDGKLIELSAGEVHEFLFQALDESGKPRCLGGDYFETDLSGDSWKSRPLVKDFSNGSYSISLQVHPDFVGVYNLTVILLYRHFEGLKLTPWRFVQDRVVLSVAIRFYSSIAQLPELETCKASDFGKDVWCGRWTRHAKNDDCKIGDDGRYRCLAPDFPCKEPWCDGSLGVLESNGWVYSTHCSFKMYSPESAWKCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPEIHSVPRRFDMNFSNPKDPSETVRITSIFNGHWNETQNYLGLDSLRDEGFQNLLKKYFSEGTIPDTVIMNSGLHDGVHWLNIRAFSAGADYAASFWREVMLSVKQRGLAWPKVFYRSTVATGGYARSLAFNPYKMEVFNGVVIEKLKKAGIIYGVIDDFDMTFPWHFDNRCNDGVHYGRAPLKMKWRDDKIGHQYFVDLMLVHVLLNALCAR >Vigun09g170500.1.v1.2 pep primary_assembly:ASM411807v1:9:33990527:33991519:-1 gene:Vigun09g170500.v1.2 transcript:Vigun09g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLAMPVASSLAIISNTRLSNAVSVNILNPHTPKVQGLSIKCARVGGVEIPNNKRIEYSLQYIHGVGRSRARQILCDISMDNKLTKELTEEELITLRDEVSKYMIEGDLRRFNAINIKRLKDIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKVAIAGKKKK >Vigun07g097880.1.v1.2 pep primary_assembly:ASM411807v1:7:17102602:17104636:1 gene:Vigun07g097880.v1.2 transcript:Vigun07g097880.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDILPKQDPYSEKKAKCNYCGDLIKYLVGTSGMRNHLIRCKENPNREAFKRKKMSSSTTEGASVGLSPTISKFDQNASRMKLVKMFVKSELPFRFVKDEDFCDFVRSLQPRFEVPSRTTLRREMWELYEEEKTKLKFFLSKQCGRVCLTIDTWTSIQNLNYMSLTAHFIDEDWKLHKKILNFSQTSGHLGELIAKHVEAYLNNWELKRVLSVTVDNATTNDVGVQYLKRRMLSWNCLVLKGEYVHMRCCAHILSLIVKDGLKVIKDSISKIRSVVKYVKSSPARFARFKACVEQEGISYRGLVCLDVETRWNSFYLMLEASLKYKDAFVLLDMQDKKFGAEIAKSSGVPLEEDWEYARSVLPFLKMFYDSTMRISGSSYVTSHMYMKEVFGIGKKIRQYSESSDVSIRLMAMRRKGKYDKYWGNPNGINILLLIVVVLDPRRVEEGSQSSQQESQQDDEDDDPHGMSFYLRATGRKFDYISELDKYLREDPEPYTKSVELNVLHWWKVNSTRFPILTNMAREVLAILIFTVASECAFSIGGRVVNPYRSCLTPKIVEVLVCTQDWLRGTPFSILFNEDPKELDEFEQDITSQDEVGPSSAINLDD >Vigun07g243700.1.v1.2 pep primary_assembly:ASM411807v1:7:36504170:36507515:1 gene:Vigun07g243700.v1.2 transcript:Vigun07g243700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERQQMQYSECNQFSTSITYSPSNRYQPEILRALIYAYGKEESQECPSSKPLLVEQMDRNCVDNNVWSKPWQNTEEEEFDWEALKSNIVHHSGNKSSLPSTVGFSNEIPVVAASATLSEQNGSKGWPSSGSQLPPLHDSSAMAIKHSLVSSQFHDAMKVSQHRYNSSQHIFSKSYENPNGVRHAGPIMVSGIPSNVKPHSPVVPASSETTHSLNQNVTRSAIMKHAVNSSLIVPAQSIGAFENKDANATHMNQFPNKLPAQVSSDQKHHWETPHPMFFPSQDPSTSQYSHGMSFLNTLPSPLPSITNYPFHPRVLSQMMIPRPDVEDTDLHTSQISHAVISSDKPLPPQNSIGTEFKAGNLKVPLESVVNCLYADLPRQCRSCGLRFRSQEEHRSHMDWHVTKNRRQRLCRQWFVSSRMWLSGAEAVGTKSVPAFFPTETKEETKDEEEWAVPAEDEQIACALCKEPFDKFYSDEMDDWVYRGAAYLYQPTGKLATTLDRSELGPIIHAKCRSQPRMPHSQHLGKDDYEEGYSSKRMRI >Vigun08g218700.1.v1.2 pep primary_assembly:ASM411807v1:8:37822191:37824131:-1 gene:Vigun08g218700.v1.2 transcript:Vigun08g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVPTVVLPNSSSQQRVPVVGMGSAPDFTCKKDTKEAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAVELGLVSRQDLFVTSKLWVTENHPHLVVSALRKSLRTLQLEYLDLYLIHWPLSSQPGKFSFPIEVEDLLPFDVKGVWEAMEECQKLGLTKAIGVSNFSVKKLQNLLSVATILPVVNQVEMNLAWQQKKLREFCSTNGIIITAFSPLRKGASRGPNEVMDNDVLKEIADAHGKSIAQISLRWLYEQGVTFVPKSYDKERMNQNLKIFDWALTEEDHSKISQIHQSRLINGPTKPQLNDLWDDEI >Vigun08g218700.2.v1.2 pep primary_assembly:ASM411807v1:8:37822191:37824131:-1 gene:Vigun08g218700.v1.2 transcript:Vigun08g218700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVPTVVLPNSSSQQRVPVVGMGSAPDFTCKKDTKEAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAVELGLVSRQDLFVTSKLWVTENHPHLVVSALRKSLSSQPGKFSFPIEVEDLLPFDVKGVWEAMEECQKLGLTKAIGVSNFSVKKLQNLLSVATILPVVNQVEMNLAWQQKKLREFCSTNGIIITAFSPLRKGASRGPNEVMDNDVLKEIADAHGKSIAQISLRWLYEQGVTFVPKSYDKERMNQNLKIFDWALTEEDHSKISQIHQSRLINGPTKPQLNDLWDDEI >Vigun05g255600.1.v1.2 pep primary_assembly:ASM411807v1:5:44976445:44978933:-1 gene:Vigun05g255600.v1.2 transcript:Vigun05g255600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQEIRKGPWTEQEDFKLVSFVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTLMRKKAQEKKRGEAASSSTATPSSSSSVHSSISVSSKKHEVDPHASKKAGEESFYDTGGASTQDEGEGEFSMDDIWKDIDMSEENNSFVHGESEQVVSPSASSWEYCCCDPLWVMMDEESLFMSEPYFYALSG >Vigun05g255600.2.v1.2 pep primary_assembly:ASM411807v1:5:44976398:44978933:-1 gene:Vigun05g255600.v1.2 transcript:Vigun05g255600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTLMRKKAQEKKRGEAASSSTATPSSSSSVHSSISVSSKKHEVDPHASKKAGEESFYDTGGASTQDEGEGEFSMDDIWKDIDMSEENNSFVHGESEQVVSPSASSWEYCCCDPLWVMMDEESLFMSEPYFYALSG >Vigun05g255600.3.v1.2 pep primary_assembly:ASM411807v1:5:44976445:44978933:-1 gene:Vigun05g255600.v1.2 transcript:Vigun05g255600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTLMRKKAQEKKRGEAASSSTATPSSSSSVHSSISVSSKKHEVDPHASKKAGEESFYDTGGASTQDEGEGEFSMDDIWKDIDMSEENNSFVHGESEQVVSPSASSWEYCCCDPLWVMMDEESLFMSEPYFYALSG >Vigun02g068700.1.v1.2 pep primary_assembly:ASM411807v1:2:21878556:21881131:-1 gene:Vigun02g068700.v1.2 transcript:Vigun02g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATTPPLINLTHSSKPRRFSANNIPFSYQRFYSGFYLNRSLKPITTKCHSKLNSSGGGEPHEMDEADFYDEYDGIDDDSDDEDAESSVDLLIRFFQSMFKKVSKRAKKASRSVLPTVISPQLVSFAVDGTLLLASLSVVKALLEVMCTLGGTVFAAILVLRVIWAAVSYFQSSGNSFNQGGNSFGAVA >Vigun02g068700.2.v1.2 pep primary_assembly:ASM411807v1:2:21876597:21881131:-1 gene:Vigun02g068700.v1.2 transcript:Vigun02g068700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATTPPLINLTHSSKPRRFSANNIPFSYQRFYSGFYLNRSLKPITTKCHSKLNSSGGGEPHEMDEADFYDEYDGIDDDSDDEDAESSVDLLIRFFQSMFKKVSKRAKKASRSVLPTVISPQLVSFAVDGTLLLASLSVVKALLEVMCTLGGTVFAAILVLRVIWAAVSYFQSSGNSFNQGGNSFGAVA >Vigun11g215400.1.v1.2 pep primary_assembly:ASM411807v1:11:40951005:40957754:-1 gene:Vigun11g215400.v1.2 transcript:Vigun11g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANTSCCVFLLSLFTLSFFVPQTSANRQLQTLNKLQNSKFFRGISQTDKSEFEVEEVVLDGIVDSQKGLKEKDRIGRLPGQPQVSFSQYGGYVTVDKVAGRAFYYYFVEAQRSKHSLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLHTNAFSWNRVANVLFLESPAGVGFSYSNKSTDYGSNGDKKTAADNYLFLVNWLQRFPEYKNRDFFIAGESYAGHYVPQLAHTILYHNKRANKAIINFKGILIGNAVINEDTDSSGLYDYLASHAIISDEAAYLNKACDSSSKIGRNQCDAAELELEKNIEYIDLYNIYAPLCDSTKLTPQPKRYSIVTDPCSEYYVHAYINRKDVQEALHANVTNLKHDWEPCSEVITKWVDSASTVLPLLHELLNNGLRVWIFSGDTDGRVPITSTKYSVKKMELPVETVWHPWFSHGEVGGFAEVYKGGLTLATVREAGHQVPSYQPARALTLIKNFLDGTPLPGPPKRHN >Vigun02g044601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17927962:17930484:-1 gene:Vigun02g044601.v1.2 transcript:Vigun02g044601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIMNAIICSGYIFILYLLHLQQVAVADDGSYVPTENIVLSCGSSTSEFVQYDGRKWNGDIASPYVPSDADTKSQAVRSPNTLQSIPEVLYMTARIFHSEFTYTFNVTPGPKFVRLHFYPASYINPNISSAFLSVSAANFTLLHNFSASLNADYFNLAYFIKEFIVHVSGSVLQLTFSPSYNSSHAFAFVNGIEVVSMPFNLYTMGGDDAPLPLVGHNKVVNIYNDTAMENLHRLNVGGEQIPPKYDTGMFRTWDSDEVYILGANVGIQFSNMSMLVSYSDNAPPYSSPADVYRTSRSMAPFLGGIVNLNYNLTWFFPIDSGFLYLVRLHFCEIDEDITKINQVVFTTFLNNQTADEQFDVIGWGGKPGAAVHKDYVVMVPHVDEGKQDLWLDLHPNKDSKPMYYNSFLNGVEIFKLSNFGDKSLAGINPSENLVKAPHVAHFNKSSKKLKFVLIGCGLLAVVLPILLCLLLFRLKVIKLRRVVSWCDLTVHTPDRIEKAKKSSLCTQFSMQEIKLATNDFHEDLLIGSGGFGNVYKGSFTGGTTYVAIKRANPMSEQGVSEFEAEILLLSQLRHHNLVSLLGYCNEDGEMILVYEFMANGSLHHHLHLTQRDESPLGWIQRLEICIGVARGLHYLHTGTKHRIIHRDIKTTNILLDHNWVPKISDFGLSKAGYPSLVTTNVKGSIGYLDPECYERHKLSEKSDIYSLGVVLLEVLTARPAVSVGEDDDEHVNLAEWTMSCVENGNVEQIVDPKLKEKIIKECFELYLGVGMKCLAERGAERPSIGEVLENLVLAMHLQKNEGRVQNGNERINDNVVLLGNSDLTPGVEFSEIMTPIGR >Vigun07g278400.1.v1.2 pep primary_assembly:ASM411807v1:7:39341873:39343967:-1 gene:Vigun07g278400.v1.2 transcript:Vigun07g278400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVEDIVIVGAGIAGLTTSLGLHRLGISSLVLESSDSLRVTGFALSIWQNAWKALDAVGVGDILRHQHLQLNGIVTTSLVTGQQTAAIPFRATGNQKDIEIRCVKRKSLLEALVNELPRGTIRYSSKVVGIEESGFYKILHLADGTSIKTKVLIGCDGVNSMVAKWLGFKKASFTGRYAIRGCAEVNNSHRLEPNFMQYFGKGFRAGVIPCDEEAVYWFFTWTPTSQVEKELEENPAKLKQYVLKKLENMPSDVRQYIEKTEEDAFLLSPLRYRNPWEVMMGNVSRGNVCVGGDAFHPMTPDLGQGGCCALEDGVVLARCLAEAFSKENEEEEEEEEEEQKKIEESLKKYAKERRWRSINVTATAYVVGSIQQAESNVVSFLRDKILASFLAIQLFKMSGYDCGKLKNS >Vigun07g278400.2.v1.2 pep primary_assembly:ASM411807v1:7:39341851:39344073:-1 gene:Vigun07g278400.v1.2 transcript:Vigun07g278400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVEDIVIVGAGIAGLTTSLGLHRLGISSLVLESSDSLRVTGFALSIWQNAWKALDAVGVGDILRHQHLQLNGIVTTSLVTGQQTAAIPFRATGNQKDIEIRCVKRKSLLEALVNELPRGTIRYSSKVVGIEESGFYKILHLADGTSIKTKVLIGCDGVNSMVAKWLGFKKASFTGRYAIRGCAEVNNSHRLEPNFMQYFGKGFRAGVIPCDEEAVYWFFTWTPTSQEKELEENPAKLKQYVLKKLENMPSDVRQYIEKTEEDAFLLSPLRYRNPWEVMMGNVSRGNVCVGGDAFHPMTPDLGQGGCCALEDGVVLARCLAEAFSKENEEEEEEEEEEQKKIEESLKKYAKERRWRSINVTATAYVVGSIQQAESNVVSFLRDKILASFLAIQLFKMSGYDCGKLKNS >Vigun06g168200.1.v1.2 pep primary_assembly:ASM411807v1:6:28994402:28999632:-1 gene:Vigun06g168200.v1.2 transcript:Vigun06g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNANQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSKMSSRGQAAYAEAGTVVEQTVGAIRTVASFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFQVRWIREHIGLVSQEPILFAASIKENIMYGKEGATDEEIKSAITLANANTFIDKLPQGLETMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEQAMSKRTTVVVAHRLTTIRNADTIAVVHQGKIVEQGTHDELIKDVDGAYSQLIRLQEGATEAEGSHNSEAEKSSNNVIFDSHIARSSTQRQVSLRRDSSSRHSHSFTLSHRSGVHESVEIEDGDVEKSKGDAKKVSLRRLAYLNKPEVPVLVLGSVAAIINGLVFPMFGFLFSSAISMFFEPPEKQRKDSRFWALLYVGLGLITLVVIPVQNYFFGVAGGKLVERIRSLTFEKVVHQEISWFDDPANSSGAVGARLSSDASTVKSLVGDTLALIVQNLSTIIAGLVISFTANWILAFIILAVSPLVLMQGFLQMKFLKGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTNKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPTRPHIQIFKDLCLNIPAGKTVALVGESGSGKSTVISLLERFYNPHTGRILLNGVDIKEFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGVATEEEITAAAKASNANQFICALPEGYDTLVGERGTRFSGGQKQRIAIARAMLKDPKILLLDEATSALDAESEKVVQEALDRVSVNRTTVVVAHRLTTIRGADIIAVVKDGAVAEKGTHNELIKIIDGVYASLVALHTTAS >Vigun07g274000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38987936:38989522:1 gene:Vigun07g274000.v1.2 transcript:Vigun07g274000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGCVTKFEVQQNPLLRVPNTKPPFTIGQLKKAIPPHCFERSLLSSFYYVVSDLSLAFILYTATTFFHLLPHPLSLIAWPIYWVLQGCVLTGVWVIAHECGHHAFSKNQWVDDLVGFTLHSALLVPYFSWKISHRRHHSNTGSLDRDEVFVPKSKSNVAWYHKCFNNPTGRAVSLLITLTLGWPLYLAFNVSGRPYDRFASHYHPYAPIYSDRERLLIYVSDVSLFCVAFFLYRVATVRGLVWLVCVYGVPWLIVNGFLVTITYLQHTHSALPHYDSSEWEWLKGALATMDRDYGILNKVFHHITDTHVTHHLFSTMPHYRAMEATNAIKPILGEYYQFDDTPFYKALWREAKECLYVEPDEGTSQKGVYWYRNKF >Vigun11g092500.1.v1.2 pep primary_assembly:ASM411807v1:11:27407775:27412561:-1 gene:Vigun11g092500.v1.2 transcript:Vigun11g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATASSTSLCATSRTFYAKPVLARTFVALPTRAFSKLAFQFRPLSMAALGARNVAAPAVAPPPSLDFETSVFQKEKINLAGHDEYIVKGGRDLFPLLPAAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIVVKVGLRKGSRSFNEARSAGFSEENGTLGDMWETISGSDLVLLLISDSAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSMGLDFPKHFSVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEMEYRSDIFGERGILLGAVHGVVESLFRRYTEHGMNEDLAYNNTVESITGTISRIISTKGMLAVYNTLSEDGKREFEKAYSASYYPCMDILYECYEDIAVGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRSTRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGAPINQDLISNFLSDQVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSTN >Vigun06g095100.18.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635615:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.12.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635618:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.10.v1.2 pep primary_assembly:ASM411807v1:6:22628829:22635840:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.11.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635654:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.4.v1.2 pep primary_assembly:ASM411807v1:6:22628912:22635660:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.17.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635615:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.22.v1.2 pep primary_assembly:ASM411807v1:6:22628902:22635716:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYDMFPFIIHAICSTCVVIMNVLFLIYTGIVFG >Vigun06g095100.7.v1.2 pep primary_assembly:ASM411807v1:6:22628829:22635840:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.16.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635618:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.15.v1.2 pep primary_assembly:ASM411807v1:6:22628912:22635660:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.21.v1.2 pep primary_assembly:ASM411807v1:6:22628902:22635716:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYDMFPFIIHAICSTCVVIMNVLFLIYTGIVFG >Vigun06g095100.2.v1.2 pep primary_assembly:ASM411807v1:6:22628855:22635715:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.8.v1.2 pep primary_assembly:ASM411807v1:6:22628829:22635840:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.20.v1.2 pep primary_assembly:ASM411807v1:6:22628854:22635674:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGYNSYTNSV >Vigun06g095100.6.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635615:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.14.v1.2 pep primary_assembly:ASM411807v1:6:22629708:22635615:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.13.v1.2 pep primary_assembly:ASM411807v1:6:22628985:22635615:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.9.v1.2 pep primary_assembly:ASM411807v1:6:22628829:22635792:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun06g095100.19.v1.2 pep primary_assembly:ASM411807v1:6:22628855:22635699:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSEGFSRLILSWSLEDVLNNDLYIDKVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGYNSYTNSV >Vigun06g095100.3.v1.2 pep primary_assembly:ASM411807v1:6:22628855:22635715:-1 gene:Vigun06g095100.v1.2 transcript:Vigun06g095100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISISCTLTLPGLIDEVRQIPKTFLSVAHYLKSFTFPLIEETRTDLCSSMKMLSEAPVYEITDIAFSENYKPPQDLLYQIEMKTVVDSDRKGDICEPEIGQLFTLTNTRPECIDDLNKHGNSYLIGLIGKVRKKKDEEDVYEVQILASNPIKLEMYWQEDGRYVYGIYGFAAYLINITTNMRIWNALNSDPEGPDIHVIKQLLQPDPAVGGNCAQCFSSERYTIDVSNIGAVIRSFDLNKAQEEGVLSCLAARECSHKITVKLIWGPPGTGKTKTVGSLLFALLKRKCRTLTCAPTNVAVLEVTSRFLRLVMDSIDYHTYGLGDIVLFGNRKRMSIDDRDDLLDIFLDYRVNILARCFAPLSGWKHHLELVIRLLEIPEEQYHEYLKCDEKRDYEIDDDGCLKEENELRVIASQQTNQEKINMSQDPKICKQNEWMKIINRTLRENRLSFKETNKSKYDKQEKKDLLFRENKIERLTFHEFFTKELNYIWRRMRTFAVDMCTHLPTSFISLRAIKSLFECLDRLEVLLEVLSNNSITDHEFKDAISVSTIDQSRASCCTWQAKLGVTRKECLKMLKSLVNIFVLPDFTDEYSIKNFCLRRSRMLFCTAASSARLHAVEHCRLEMLVIDEAAQLKECESNIPLQLPGLRHVVLIGDEKQLPALVKSEISSKAGFGRSLFERLVLLGHEKQFLNIQYRMHPSISLFPNMEFYDKQILDSPRVKERSYEKHFLCGDMFKFYSFINVAYGQDELDEGNSRKNRVEVAVVSEIVFELYKESVSRTRTVSVGVISPYKAQVIAIQDAIGKRIGGDVDHNFSVKVCTVDGFQGGEEDVIIISTVRYNNMGFVGFISNLQRTNVALTRARYCLWIVGNGETLMNSGSIWERIVLDARSRGCYHNADEDDRLSHAITTSIIDLGQVGDLLHLSSPLFRKARWKVCFNQSFLISMARIKSAEICQKICSLLRQLSSGWRQPKGEINLGVVDDISSQLLELYKVNESLYLVWTVDVIKENSNYVQILKIWDVLPLSEVTNLARNIDISYRSYSVDILRCCKTRCYDGKFDIPGTWLASSNHLTNNSLPDPMQVLCNQFSSLGLRKN >Vigun09g248900.4.v1.2 pep primary_assembly:ASM411807v1:9:41620987:41627853:-1 gene:Vigun09g248900.v1.2 transcript:Vigun09g248900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSGVRVCEKGMYVDVWGGELWIEVLSATLGYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNHGPQISNVYELDVVTGKGELVTCSEDRNSELFHAVLGGLGQFGIITRARIALEPAPTRVRWIRVLYSNFGRFCEDQEYLISLHGKAGRERFDYVEGFVIVDEGLINNWRSSFFSPSNAVNITSLNADGAVLYCLEITKNYDQQNADSVDEEIQGLLKKLHFISTSVFTSDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIRDFDKGVFKGILGNKTSGPILIYPMNKSKWDPRSSVVTPEEDVFYLVAFLRSALDTETLEYLTNQNRQILRFCHGSEIKVKQYLPHYTTQQEWMDHFGDKWTQFNARKMQFDPRRILATGQQIFQFHPTSVLTKEDDM >Vigun09g248900.2.v1.2 pep primary_assembly:ASM411807v1:9:41623193:41627853:-1 gene:Vigun09g248900.v1.2 transcript:Vigun09g248900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNTNKLLLLTFAICRLIVTVGLTVVPEQLDVGLEGRLSVDTWELEAASVDFGRLSRGEPSEVVHPATAQDVARVVKAALESGFAVSARGHGHSINGQALIREKKGVVIEMGKGGSGVRVCEKGMYVDVWGGELWIEVLSATLGYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNHGPQISNVYELDVVTGKGELVTCSEDRNSELFHAVLGGLGQFGIITRARIALEPAPTRVRWIRVLYSNFGRFCEDQEYLISLHGKAGRERFDYVEGFVIVDEGLINNWRSSFFSPSNAVNITSLNADGAVLYCLEITKNYDQQNADSVDEEIQGLLKKLHFISTSVFTSDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIRDFDKGVFKGILGNKTSGPILIYPMNKSK >Vigun09g248900.3.v1.2 pep primary_assembly:ASM411807v1:9:41620987:41627853:-1 gene:Vigun09g248900.v1.2 transcript:Vigun09g248900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNTNKLLLLTFAICRLIVTVGLTVVPEQLDVGLEGRLSVDTWELEAASVDFGRLSRGEPSEVVHPATAQDVARVVKAALESGFAVSARGHGHSINGQALIREKKGVVIEMGKGGSGVRVCEKGMYVDVWGGELWIEVLSATLGYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNHGPQISNVYELDVVTGKGELVTCSEDRNSELFHAVLGGLGQFGIITRARIALEPAPTRVRWIRVLYSNFGRFCEDQEYLISLHGKAGRERFDYVEGFVIVDEGLINNWRSSFFSPSNAVNITSLNADGAVLYCLEITKNYDQQNADSVDEEIQGLLKKLHFISTSVFTSDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIRDFDKGVFKGILGNKTSGPILIYPMNKSKHV >Vigun09g248900.1.v1.2 pep primary_assembly:ASM411807v1:9:41620987:41627853:-1 gene:Vigun09g248900.v1.2 transcript:Vigun09g248900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNTNKLLLLTFAICRLIVTVGLTVVPEQLDVGLEGRLSVDTWELEAASVDFGRLSRGEPSEVVHPATAQDVARVVKAALESGFAVSARGHGHSINGQALIREKKGVVIEMGKGGSGVRVCEKGMYVDVWGGELWIEVLSATLGYGLAPMSWTDYLYLSVGGTLSNAGISGQTFNHGPQISNVYELDVVTGKGELVTCSEDRNSELFHAVLGGLGQFGIITRARIALEPAPTRVRWIRVLYSNFGRFCEDQEYLISLHGKAGRERFDYVEGFVIVDEGLINNWRSSFFSPSNAVNITSLNADGAVLYCLEITKNYDQQNADSVDEEIQGLLKKLHFISTSVFTSDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIRDFDKGVFKGILGNKTSGPILIYPMNKSKWDPRSSVVTPEEDVFYLVAFLRSALDTETLEYLTNQNRQILRFCHGSEIKVKQYLPHYTTQQEWMDHFGDKWTQFNARKMQFDPRRILATGQQIFQFHPTSVLTKEDDM >Vigun03g129200.1.v1.2 pep primary_assembly:ASM411807v1:3:12510252:12513134:-1 gene:Vigun03g129200.v1.2 transcript:Vigun03g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVAVLEQSVMNIEGICLTWKDVWVTASNRKNGSRSILEGLTGYAKPGQLLAIMGPSGCGKSTLLDTLAGRLGSKMRQSGDILINGHKQTLTYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKERADFTIREMGLQDAINTRIGGWGVKGISGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVIKRIASLAQNDHIKRTVIASIHQPSTEVFQLFNNLCLLSSGKTVYFGPASAASEFFASYGYPCPPLMNPSDHLLKTINKDFDQDIEVGLAGTTNLPTEEVIHILVNSYKCSERNQEVQSEVALLSEKKKSSLDVKRGHAGFLNQCFALTKRSFINMFRDLGYYWLRLVIYIALGISLATVFHNLGTSYDSIKDRGSLVAFINGFLTFMTIGGFPSFVEVMKVFQRERENGHYGVTAFVIANTLSSIPFLLLVTLIPGVIAYYLPGLQNGLEHFVYFICVLFSSLMLVESLMMIVASIVPNYLMGIITGAGIQGVMLLLSGFFKLPNDIPKPVWKYPLHYIAFHTFANQGMFKNEYEGLRFDAKNDGGSSHSYITGEEVLRNVWQVDMSYSKWVNLAILMGMIVLYRVLFLVIIKIEEKLKTLVVPLSSKRSTQIMENPNATPSH >Vigun03g129200.2.v1.2 pep primary_assembly:ASM411807v1:3:12510252:12513135:-1 gene:Vigun03g129200.v1.2 transcript:Vigun03g129200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVAVLEQSVMNIEGICLTWKDVWVTASNRKNGSRSILEGLTGYAKPGQLLAIMGPSGCGKSTLLDTLAGRLGSKMRQSGDILINGHKQTLTYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKERADFTIREMGLQDAINTRIGGWGVKGISGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVIKRIASLAQNDHIKRTVIASIHQPSTEVFQLFNNLCLLSSGKTVYFGPASAASEFFASYGYPCPPLMNPSDHLLKTINKDFDQDIEVGLAGTTNLPTEEVIHILVNSYKCSERNQEVQSEVALLSEKKSSLDVKRGHAGFLNQCFALTKRSFINMFRDLGYYWLRLVIYIALGISLATVFHNLGTSYDSIKDRGSLVAFINGFLTFMTIGGFPSFVEVMKVFQRERENGHYGVTAFVIANTLSSIPFLLLVTLIPGVIAYYLPGLQNGLEHFVYFICVLFSSLMLVESLMMIVASIVPNYLMGIITGAGIQGVMLLLSGFFKLPNDIPKPVWKYPLHYIAFHTFANQGMFKNEYEGLRFDAKNDGGSSHSYITGEEVLRNVWQVDMSYSKWVNLAILMGMIVLYRVLFLVIIKIEEKLKTLVVPLSSKRSTQIMENPNATPSH >Vigun05g004900.1.v1.2 pep primary_assembly:ASM411807v1:5:403979:407336:-1 gene:Vigun05g004900.v1.2 transcript:Vigun05g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVQNKKVTFKGYIDDVPKETDMELTLSNIELNPPPQGSAAIIVKNLYLSCDPYMRGRMRDFHGSYIPPFVPGQALEGFGVSKVIHSDNPNYKPGDFITGFTGWEEYSLIQRTEQLRKILPDDRIPLSFHVGLLGMPGFTAYAGFFEVCSPTKGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEELDLNAALQRYFPQGIDIYFDNVGGDMLDAALLNMRIHGRIAVCGMVSQQSLSKPKGIYNLFNLISRRIRMQGFLQSDYLHLYPRFLEDVSDYYKQGKIVYIEDMNEGLESAPAAFVGLFHGKNVGKQVIRVAHE >Vigun08g163600.1.v1.2 pep primary_assembly:ASM411807v1:8:33603046:33603615:1 gene:Vigun08g163600.v1.2 transcript:Vigun08g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVMKSIAVAIVMMILLGFTEANDSCEARCDKICAPSMFAFPVCYSTCMDECKSGRLPPSSAIHQCVNTCFSIKSDTGDRATGNVLNSCLQKCHDKV >Vigun03g023100.4.v1.2 pep primary_assembly:ASM411807v1:3:1715271:1716215:-1 gene:Vigun03g023100.v1.2 transcript:Vigun03g023100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNACEKHGGRERVCEGAKMEKMIAVGMVWGATNAIMRRGALLWDEALKSSAKPDPQSSMVQKMRISFGNWFKLLSIWQYSIPFLINLSASATFFAILSDAPLSLAVPVTNATTFAATAIFGIFLGERTHLLRALFGNTNQMFRIVV >Vigun03g023100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1715485:1716215:-1 gene:Vigun03g023100.v1.2 transcript:Vigun03g023100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNACEKHGGRERVCEGAKMEKMIAVGMVWGATNAIMRRGALLWDEALKSSAKPDPQSSMVQKMRISFGNWFKLLSIWQYSIPFLINLSASATFFAILSDAPLSLAVPVTNATTFAATAIFGIFLGERTHLLRALFGTALIVLGLCFCISS >Vigun10g093050.1.v1.2 pep primary_assembly:ASM411807v1:10:26702339:26703033:1 gene:Vigun10g093050.v1.2 transcript:Vigun10g093050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCFSFKPTGAYNSFLPRFSGPGAYKSIQISNSRIVEVQRHCSSSSSKVSDFSRCQPLFSVSIKPPACLILQSQRHLLAGKPPLLAKTEKYVWVDIQGAATLRKVLGKSTVFVFVEQRARWLLWRDSWTSDEDGEVAAGEDCHREKVDEACGRDWIGHWFFSGNDSSPPFPFLQPQT >Vigun11g160700.3.v1.2 pep primary_assembly:ASM411807v1:11:36806894:36813892:1 gene:Vigun11g160700.v1.2 transcript:Vigun11g160700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLTLPPISYTLAKTLKPISPFHKSLPFSSPTLSRLKHTRRSLSRSVQRSTVGKFSGSVDDEEESEELDELDVIALEQEAKDAAQAYSNSLSQVLSIDEEKNDRKETAQSRRRNTRRTKSIPDNLLQRVAIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGEHEFMVVDTGGVITVSKSQATVMEELAITTTIGMDGIPLAVREAAVARMPSMIERQATAAVEESSVIIFLVDGQAGLTAADEEIADWLRKNYSDKYVILAVNKCESPRKRIMQTSEFWSLGFEPLPVSAISGTGTGELLDLVCSGLQKVEESNILDEVEDYVPAISIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFTGPDGQKFQLIDTAGIRKRAAIASAGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEKEGKGCVIVVNKWDTIPNKKQQTALHYEEDVREKLRSLDWAPIVYSTAIAGNSVDKIIVAASEVEKERSRRLGTSILNQVVLEAVAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPEPYRRYMERQLRKDAGFSGTPIRLLWRSRRKMGKDEGKAVTRTQENLTSNDPKLVLTAS >Vigun11g160700.1.v1.2 pep primary_assembly:ASM411807v1:11:36806894:36813892:1 gene:Vigun11g160700.v1.2 transcript:Vigun11g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLTLPPISYTLAKTLKPISPFHKSLPFSSPTLSRLKHTRRSLSRSVQRSTVGKFSGSVDDEEESEELDELDVIALEQEAKDAAQAYSNSLSQVLSIEDEEKNDRKETAQSRRRNTRRTKSIPDNLLQRVAIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGEHEFMVVDTGGVITVSKSQATVMEELAITTTIGMDGIPLAVREAAVARMPSMIERQATAAVEESSVIIFLVDGQAGLTAADEEIADWLRKNYSDKYVILAVNKCESPRKRIMQTSEFWSLGFEPLPVSAISGTGTGELLDLVCSGLQKVEESNILDEVEDYVPAISIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFTGPDGQKFQLIDTAGIRKRAAIASAGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEKEGKGCVIVVNKWDTIPNKKQQTALHYEEDVREKLRSLDWAPIVYSTAIAGNSVDKIIVAASEVEKERSRRLGTSILNQVVLEAVAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPEPYRRYMERQLRKDAGFSGTPIRLLWRSRRKMGKDEGKAVTRTQENLTSNDPKLVLTAS >Vigun01g155700.1.v1.2 pep primary_assembly:ASM411807v1:1:33817162:33822038:1 gene:Vigun01g155700.v1.2 transcript:Vigun01g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAFCYSSSSFSGVQKARIPPLTSTSKPPPLFDGTTRLYISYLCPYAQRVWITRDYKGLQDKIELVPFDLPNRPAWYKEKVYPENKVPSLEHNGKVLGESLYLMKYIDDNFEGPSLIPSDPAKKEFGEQLISYVDTFSKELYSLKADPIQQASPAFDYLESSLGKFDDGPFFLGQFSWVDIAYVPFVERFQLVLFELFKHDITEGRPKLAAWIEEVNKIDVYTQTRGDPKEILDLFKKRLLAQQ >Vigun08g220300.1.v1.2 pep primary_assembly:ASM411807v1:8:37912608:37915575:1 gene:Vigun08g220300.v1.2 transcript:Vigun08g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERVELLSVVEDQYDGVIVNVENQEHVDSELFSSILKASIYNWKQQGKKGVWLKLFILHSNLVDTAVKAGFRYHHAEPDYLMLVRWIPETPDTFPANASHRVGVAVLVVNDKKEMLVVQERGGIFRGTGLWKMPTGVVNEGEDLCDGAIREVKEETGIETKFVEVLAFRQSHKSFFEKSDLMFVCMVEPLSSDIQIQASEIEAAQWMPIEDYAAQPFVQEHENFDFVAKVCSSKLDGNYTGFSNVLMSTSSGKKTYLYLSNRDASVLLASKQNQA >Vigun07g257300.1.v1.2 pep primary_assembly:ASM411807v1:7:37461207:37463987:-1 gene:Vigun07g257300.v1.2 transcript:Vigun07g257300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKPRDGDFQIVAAPGNSKPPLPPTAASSAIVEYTPVVFKEEEEDLEVKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMEVDYQKRKEVAEFNLRREERLKAAEERTAKKRAKRQKKKQRKKEKKIKLNAEGEQQREKQEESSSDGDTDNNEKPAP >Vigun11g182200.1.v1.2 pep primary_assembly:ASM411807v1:11:38552409:38556845:1 gene:Vigun11g182200.v1.2 transcript:Vigun11g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENEEATNDMMQRLHCSSSFLKQPLNMEQLSIPQFNPSQMRARHQHQHQFDGGNSNKRAGIPPSHPHQIPPISPYSQIPVTRQQQMGSHNISPTPTHTRSLSQPSFFSLDSLPPLSPSPFRDSSSTSVSEAADVSMEDRDVTSHSLLPPSPFARTLNTSTNSNLPLPPRKAHRRSNSDIPFGFSTVLQSSPPLIPLRGRENPVLAKPAQLVKRETPWDRGVDHSNVEGSGEKKSPEGEVVDDLFSAYMNLDSFDALNSSGTDDKNGTENRDDLDSRASGTKTNGGDSSDNEAESSVNESGDGAVRQGGSEKREGMKRSAGGEIAPTTRHYRSVSMDSFISKLNFGDESPKLPPSPGPRTGLLSPAGGVDGNSSAFSLEFGNGEFSGPELKKIMANEKLAEIALTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSAGLTNQNSELKFRLQSMEQQAKLRDALNEALTAEVQRLKLATAELSGDSHGSSCLIPQHSVNPLMFQQQQPPTASQQNIHLQHQQQQQQHHHQNGNGNSNSDIKQ >Vigun08g100175.1.v1.2 pep primary_assembly:ASM411807v1:8:24559173:24567499:1 gene:Vigun08g100175.v1.2 transcript:Vigun08g100175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNLIAIKKEKKDTIFLALSTLGLKRKNKTKIREGEREKRSARTRDTNTSSPSQKEVPKGDIAATSKKSDVTLLLREKELC >Vigun03g202190.1.v1.2 pep primary_assembly:ASM411807v1:3:31347462:31347684:-1 gene:Vigun03g202190.v1.2 transcript:Vigun03g202190.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTVTGFSRGSRFRCRQPFVYFSNSVWWRLRV >Vigun06g077000.1.v1.2 pep primary_assembly:ASM411807v1:6:20798505:20802463:1 gene:Vigun06g077000.v1.2 transcript:Vigun06g077000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEKPVSVGPWGGSGGYRWDDGVYSTVRQLVISHGEGIDSIQIEYDKNGKSIWSLKYGGSGGHKIDKIKLDYPDEFLTSIDGYFGSLSQWGPIFIRSLSFESNKKSYGPFGVEQGTYFSLPMTGGKIVGFHGRYGWHVDAIGVNLKSSQQPSPSKALSYSQSYMTNTPENGNYSVMQGSVGQGFDIVLAVKQKDDFGKTPTVKFSSFREPDNIEPKEKVVFVERAPSMVEGVVTYGPWGGTGGYAFDDGPYTGVRQIDVSRNVGIVWIRVLYDLDGDAVWGYKHGGTGGFKHEKIVFDFPYEVLTHVTGYYGSLMYMGPAVIRSLTFHTTKKSYGPLGDEHGTYFTTKLREGKVVGFHGRSGLFLDSLGVHAIEGKVIVPVAAPPSMEIISREPTVTEIDNPQLAIVAKSAPIEQVSRCVIKEPAPCGPGPWGGDGGRPWDDGVFSGIKQIYLTRAPEGICSIQIEYDRYKQSVWSVKHGGNGGNTMHRIKLEYPNEVVTCISGYYGSITANEQPTIIKSLTFHTSRGQYGPFGDEVGKYFTSTKTEGKVVGLHGRSSMYLDAIGVHMQHWLGSQKTSKLSFFKLF >Vigun07g165300.1.v1.2 pep primary_assembly:ASM411807v1:7:27753293:27755521:-1 gene:Vigun07g165300.v1.2 transcript:Vigun07g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKSPLLSLPSTQCLKSKQEARLLSHGPNIGGISRTIRRTGNRNGNGVKAFFFNPEQDPIVKDALKEPVAFLGGMFAGILRLDLNEDPLKEWVTRTVEAAGISEEEVNTEESTTEAAPLEIQIE >VigunL059958.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:34774:35208:1 gene:VigunL059958.v1.2 transcript:VigunL059958.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRSLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFRVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFRF >Vigun11g058300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12274302:12274529:-1 gene:Vigun11g058300.v1.2 transcript:Vigun11g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKLSCVLLMIVLALLAVSAEARLVHETKINGKLGLRELINNVRNGEWHQKRSMLGGRLERVSPAGPDPQHH >Vigun05g164550.1.v1.2 pep primary_assembly:ASM411807v1:5:26872568:26873303:-1 gene:Vigun05g164550.v1.2 transcript:Vigun05g164550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPYSCDSNGGCCNSCRTRRSAHGRICGSFYIYHMASILVDPRQKMNLMALVHLEPRHKRDSMVSGNNRGQKGYLLASPQFGIGMLKVWKDLRPGKQSNPSGGSISL >Vigun11g018400.1.v1.2 pep primary_assembly:ASM411807v1:11:2285101:2289160:-1 gene:Vigun11g018400.v1.2 transcript:Vigun11g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFVLGAAEEATPNPVNNKAVDAENVVSSDHAEIPGSSEIKGTEVPKETSAKEGEYSIPSEATEILKSLAAKWENVIDANALKIIPLKGAMTNEVYQIKWKTTEGESSRKVLTRIYGEGTAFFFDRGVEVKTFEFMSKSGQGPRLLGRFDNGRIEEFIHARTLSASDLREPSTSALIAAKMKEFHDIDMPGQKTVNLWDRLRDWLKEAKRLSSPEDVEAFKLNSLDNEISTLEKELSGSKQCIGFCHNDLQYGNIMLDEESCSVTLIDYEYASYNPVAYDIANHFNEMAANYHTDTPHVLDFTKYPDLEERRRFVQAYLSSSGEQPSDTEVQQLVDEVEKYALASHLLWGIWGVISLHVNKIEFKYREYAEQRFQQYWSRKTPVVSSNDSSDHDNGLQAAASTTHHRAGRLIGIPRRLKRLFNLGFFRSKH >Vigun06g022600.1.v1.2 pep primary_assembly:ASM411807v1:6:10574547:10578764:-1 gene:Vigun06g022600.v1.2 transcript:Vigun06g022600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQTLFSSVTLLHEVPELGFEAYNVCVHHPMKIISDGIWTQHGSALPTQYSYTMLELQIVIIFVVTQGFHFILSRLGVPYFVSQVMTGFLMGPSIPTGPFEKYKKMLFPFGSPDILSTLSSLGYSFYLFLNSVQMDLSLITKTGRKAWVIGLCCYTIPILVGCGSMDFLNSTWERILGEDISILPVVFISHSSCSFAVISWLLNDIGILNSELGRLALSAAFVNDVAGGLWAGIGAAYFGRQDMMMSIRIRNVTGFLAYVMFILLIGRPAMRWIVKRTPEGRSVNKAYIYAIIVMFLGLGYFAKTFGEPFLVGAVIFGLAVPEGPPLGSALVTQLELFSKWFLCSIFVTCVTMKVDLTKCNSLSFVMVISGLIIMVHLVRWLLCIGICKYCKIPFKDGFCLALILSCKGVVDICSYLLVYDAMVQKRIVVDVMVVSVLVLGTASRFGVKALYDPSRKYAGYQSRNIMSLKHSELRIVACIHKPWHSVHIKNVLEFCSPAPDNTLVAEILHLMELVGRSNPIFISHKLQQSSGSSSYNYSGELIVAFDLFERDYVGAVTANTYTAISPAMFMNEDVCNLALDKNAALIVLPFHVKWGGDGSVESEDSNIRALNARILDRAPCSVGILVNRGPSFNSRRISAAMVFLGGPDDREALCLAKRFAKDVENSLFVFRLMAHDKDSSNWDQMIDDEAMREISGAHVNLENVRYQEITIGDASQTTFLIKDIANKFDFILVGRRYGVTSSQTYGLEHWTEYSELGVIGDLLASHDADTTASVLVVQQQPTHEDHR >Vigun05g158350.1.v1.2 pep primary_assembly:ASM411807v1:5:25576647:25577574:-1 gene:Vigun05g158350.v1.2 transcript:Vigun05g158350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDFEAATGMRMMHNFFRIGGVATDLPYGWIDKYYDFCDYFLTSIVEYQKLITRNHIFLEWVEGVGVVDVNEVINWGLSGPMFRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVQIGEMIESIKIIQQALEGLPDGPYKNLEIRCFDREKEPEWNKFEYRFISKKSSPSFELPKQELYVSVEAPKGELGIFLIGDQNGFPWRWKIHSPDFINLEILPQLVKRMKLVDIMTILEIQDIHFFSDCNF >Vigun04g190408.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41431538:41431972:-1 gene:Vigun04g190408.v1.2 transcript:Vigun04g190408.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQHVYHDPKMEEAKFDIRAWVCVSDHFDVLTVTKTILEAITNSKDDSGNLEMVHGRLKDQISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTARAEKVASNMRSKVHLLRELRGDECWNVLKTCIKR >Vigun02g034500.3.v1.2 pep primary_assembly:ASM411807v1:2:14486799:14490074:-1 gene:Vigun02g034500.v1.2 transcript:Vigun02g034500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLFVTDLKPTGFESIMNKKSIKALTWVITPTVTIPLLSKKMFSNHASTVSLPPTLFLKATSFRPVRQFPFPFLRRPLNPPVLRSVFPVSFSASTPLFDLRGGKGMSGFCDVELTVRDYELDQYGVVNNAIYASYCQHGRHELLQSIGINCDAVARTGDALALSELSLKFLAPLRNM >Vigun02g034500.1.v1.2 pep primary_assembly:ASM411807v1:2:14486799:14490074:-1 gene:Vigun02g034500.v1.2 transcript:Vigun02g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLFVTDLKPTGFESIMNKKSIKALTWVITPTVTIPLLSKKMFSNHASTVSLPPTLFLKATSFRPVRQFPFPFLRRPLNPPVLRSVFPVSFSASTPLFDLRGGKGMSGFCDVELTVRDYELDQYGVVNNAIYASYCQHGRHELLQSIGINCDAVARTGDALALSELSLKFLAPLRSGDKFIVRVRISDSSAARLYFEHFIYKLPNQEPILEAKATAVWLDKNYRPVRIPADMKSKLVKFIRVEDS >Vigun11g221400.5.v1.2 pep primary_assembly:ASM411807v1:11:41333115:41336767:-1 gene:Vigun11g221400.v1.2 transcript:Vigun11g221400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSCIVRMIMVAMMMMTVTRADSINYGEALRKSILFFEGQRSGKLPPSQRMKWRKDSALFDGSDIHIDMVGGYYDAGDNVKFHFPMAFTTTVLAWSVVEFGDLMGSEREHALDAIKWGTDYFMKATKIPDLVVAQVGNPYGDHDCWERPEDMDTPRTSYYITKEKPGSELAAEIAAALAASSIAFRTTDASYSNILLKRAIRVFDFANKYRGSYNNSVGEAVCPFYCDFNGYMDELVWGAAWLYKATKRARYWDFVKSNMQAANVSPFEFGWDGKAAGINVLVSEWVMNDSSSANLFIPNADALMCGLVGSPTKSFYYTKGGLLFREGACNLQNVTALSFLLIVYARFMEGVKKTLSCGKEVVDSKWLINIAKSQADYILGKNPLGMSYMVGYGSKYPQRIHHRGSVLPSLDAHPQHFGCRDGVAYFKTEKPNPNVLIGALVGGPAYDDSFQDSRYNPGQSEPTTYLNAPFVGVLAYLNKPNII >Vigun11g221400.3.v1.2 pep primary_assembly:ASM411807v1:11:41333137:41336767:-1 gene:Vigun11g221400.v1.2 transcript:Vigun11g221400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSCIVRMIMVAMMMMTVTRADSINYGEALRKSILFFEGQRSGKLPPSQRMKWRKDSALFDGSDIHIDMVGGYYDAGDNVKFHFPMAFTTTVLAWSVVEFGDLMGSEREHALDAIKWGTDYFMKATKIPDLVVAQVGNPYGDHDCWERPEDMDTPRTSYYITKEKPGSELAAEIAAALAASSIAFRTTDASYSNILLKRAIRVFDFANKYRGSYNNSVGEAVCPFYCDFNGYMDELVWGAAWLYKATKRARYWDFVKSNMQAANVSPFEFGWDGKAAGINVLVSEWVMNDSSSANLFIPNADALMCGLVGSPTKSFYYTKGGLLFREGACNLQNVTALSFLLIVYARFMEGVKKTLSCGKEVVDSKWLINIAKSQADYILGKNPLGMSYMVGYGSKYPQRIHHRGSVLPSLDAHPQHFGCRDGVAYFKTEKPNPNVLIGALVGGPAYDDSFQDSRYNPGQSEPTTYLNAPFVGVLAYLNKPNII >Vigun11g221400.1.v1.2 pep primary_assembly:ASM411807v1:11:41333109:41335949:-1 gene:Vigun11g221400.v1.2 transcript:Vigun11g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSCIVRMIMVAMMMMTVTRADSINYGEALRKSILFFEGQRSGKLPPSQRMKWRKDSALFDGSDIHIDMVGGYYDAGDNVKFHFPMAFTTTVLAWSVVEFGDLMGSEREHALDAIKWGTDYFMKATKIPDLVVAQVGNPYGDHDCWERPEDMDTPRTSYYITKEKPGSELAAEIAAALAASSIAFRTTDASYSNILLKRAIRVFDFANKYRGSYNNSVGEAVCPFYCDFNGYMDELVWGAAWLYKATKRARYWDFVKSNMQAANVSPFEFGWDGKAAGINVLVSEWVMNDSSSANLFIPNADALMCGLVGSPTKSFYYTKGGLLFREGACNLQNVTALSFLLIVYARFMEGVKKTLSCGKEVVDSKWLINIAKSQADYILGKNPLGMSYMVGYGSKYPQRIHHRGSVLPSLDAHPQHFGCRDGVAYFKTEKPNPNVLIGALVGGPAYDDSFQDSRYNPGQSEPTTYLNAPFVGVLAYLNKPNII >Vigun11g221400.4.v1.2 pep primary_assembly:ASM411807v1:11:41333110:41334887:-1 gene:Vigun11g221400.v1.2 transcript:Vigun11g221400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGYYDAGDNVKFHFPMAFTTTVLAWSVVEFGDLMGSEREHALDAIKWGTDYFMKATKIPDLVVAQVGNPYGDHDCWERPEDMDTPRTSYYITKEKPGSELAAEIAAALAASSIAFRTTDASYSNILLKRAIRVFDFANKYRGSYNNSVGEAVCPFYCDFNGYMDELVWGAAWLYKATKRARYWDFVKSNMQAANVSPFEFGWDGKAAGINVLVSEWVMNDSSSANLFIPNADALMCGLVGSPTKSFYYTKGGLLFREGACNLQNVTALSFLLIVYARFMEGVKKTLSCGKEVVDSKWLINIAKSQADYILGKNPLGMSYMVGYGSKYPQRIHHRGSVLPSLDAHPQHFGCRDGVAYFKTEKPNPNVLIGALVGGPAYDDSFQDSRYNPGQSEPTTYLNAPFVGVLAYLNKPNII >Vigun10g070500.1.v1.2 pep primary_assembly:ASM411807v1:10:16925826:16929756:1 gene:Vigun10g070500.v1.2 transcript:Vigun10g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIRFKSTITSISFIPQIIGSNSREKEEKELMALVGEALISASVHILVEKIMSPEFRHLFCSKELDPLIMNKLKTTLLTLQAVLNDAEEKQITNPAVQDWLHELRDVVYDADNLVDVINTRVLQQKVEADSQTFSYHMMNFLSSSFIPFSGGINSEVQNLLQRLEQFVKEKDILGLKEGVSGALWIGKTTTSVVDQSTIYGRDGDVEKLKKYLLSDDVCNDHVEVITIVGMGGLGKTTLAQLLYNDIEVKEHFNLNAWSCVTKDFDVIKTTKTLLESVNSKAVTTNNLDALQVELQQSLHGRKFLFVLDDLWETYNDWNRLKAILKCGDVGSKVIITTRQENVALAMHTFPIHNMMPLSNQDCWDIAAKHAFGSNQSNKDPKLEKIGREIAAKCNGLSLAAEALGGLLRIKLSHKDWNKILKSNIWDLPGNKVLPPLLLSYHYLPVPLKGCFAFCSIFPKNYELHKETVVRLWMAEGLIQFNRNKSLEETGDEYFDELLYQASIVIYWYEDPKPSECLDKVRHVSYSRGEYDFGHKFDAIPRMQGLRTFIALPFRKSPYQANIDCYLAKGLLHDNLLKLRYLRVLSLSHYDNINQLPDSLEKFIHLRYLDVSHTKINRLPDGTCKLYNLQTLLLSCCSYLLELPQDIDKLISLRHMDLSGTKLKEMPTNLSKLKCLQTLTSFAVSKQEDGLKVGALKNFPHLQGKLSILNLQHVVNANDAFQANLKKKELIEELELEWACNTEDSRMEREVLEQLEPSSHLKQLIIKFYGGTTFPNWLGNSSFCNMVSLRISDCDHCWSLPPLGQLPSLKLLSIARLKSLKHVGVDFYGTGSSSFQPFASLKYLKFMELSCWEEWSSASDKNTEFPSLEELHILHCPKLGGKLPSNLPSLRRLWVVECDVLELQNSNVVDNTTPLEDTKIPRKSSSDIKWLSSLHSIHIFGSPGVLSLLNYFIFGNQHSLKLFPRIRTNNNCLQFLDIKRFSNLMYFQNDALPFSLMHLHLQNCEKLEFLSPALIPNFSSLVYLTLSDSCHSLESFPLGSFPVLESLFIDNCPNLKFFSISDDDSSQNLSHLKIFHVTNCPRLESFPLGGLPTPNLQKFYVHKCKNLKCLPEQINTLTGLQELSVQELPMLQSLAEQGLPFNLRKLEVFHCWALTVASITQWGTQFLNFLLEVTIGGDDLVDALMEKQLLPTSLVSLCIRDISFLNGVGLKHLISLEYLHIANCMNLKLLPQKELLPLSLSVLSISECPLLQDSYQRNGGTNWPNVSHLPCVKINQEVII >Vigun05g195000.1.v1.2 pep primary_assembly:ASM411807v1:5:37753546:37758757:-1 gene:Vigun05g195000.v1.2 transcript:Vigun05g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKEKKVNVSGKPKHSLDVNRSNDTKKERRSAATVRRLKMYNTRPVRDRKGKVLSNEFQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLNDHQKQARVHLLDREPFQDAFGPKTKRKRPSLLAADYESLLKKADGSQDAFEEKYGSSASGEANDGDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKENCKHKHMVLLLNKCDLVPAWATKGWLRVLSKEFPTLAFHANINKSFGKGSLLSVLRQFARLKRDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYHNNDSETDVVLKGVVRVTNLKDAADHIGEVLKRVKKAHLERAYKIKDWEDENDFLLQLCKSSGKLLRGGEPDLMTGAKMVLHDWQRGRIPFFVPPPKQEEGSEEPNVNGVDIDDTVDGNEASAAIKAIADVLSSQQQISVPVQKDLYSENELKGEATEQPPNHNADEDIPAPDSVTSEQDSPPKVPSELGPISE >Vigun07g262100.2.v1.2 pep primary_assembly:ASM411807v1:7:37807118:37816136:1 gene:Vigun07g262100.v1.2 transcript:Vigun07g262100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASELVNECVNMSNPKEEESFSRAARAAEDLYHLRDTYFPPNPDDRISKLQHESDLALNLLDSIPPEQRKSPTQRATFEYLRGKMLDVFPDYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLTAAKNCLSLALVKGPNKNILCQLSMLKRKMSQGVENQAELVEESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHTKLLHSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYQRALSGFEAAALKDPGLNAAEEVQKIVSLLDKVDNLLKGHVRAKRMTSLASSLVAVDLKSPYRRVTIDLLSEGPNRALAVEGKVFFFIRTEGVAPLYYLLCDSNHSCFVVSIYGVRTDVIKEGDQLTMLDPYFRDVDLSWNEKRYQFKSIRLDFYEQVLVNGKALTPQQAVRTSIYAQHKP >Vigun07g262100.1.v1.2 pep primary_assembly:ASM411807v1:7:37807091:37816140:1 gene:Vigun07g262100.v1.2 transcript:Vigun07g262100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKEEESFSRAARAAEDLYHLRDTYFPPNPDDRISKLQHESDLALNLLDSIPPEQRKSPTQRATFEYLRGKMLDVFPDYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLTAAKNCLSLALVKGPNKNILCQLSMLKRKMSQGVENQAELVEESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHTKLLHSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYQRALSGFEAAALKDPGLNAAEEVQKIVSLLDKVDNLLKGHVRAKRMTSLASSLVAVDLKSPYRRVTIDLLSEGPNRALAVEGKVFFFIRTEGVAPLYYLLCDSNHSCFVVSIYGVRTDVIKEGDQLTMLDPYFRDVDLSWNEKRYQFKSIRLDFYEQVLVNGKALTPQQAVRTSIYAQHKP >Vigun07g262100.3.v1.2 pep primary_assembly:ASM411807v1:7:37807118:37816136:1 gene:Vigun07g262100.v1.2 transcript:Vigun07g262100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASELVNECVNMSNPKEEESFSRAARAAEDLYHLRDTYFPPNPDDRISKLQHESDLALNLLDSIPPEQRKSPTQRATFEYLRGKMLDVFPDYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLTAAKNCLSLALVKGPNKNILCQLSMLKRKMSQGVENQAELVEESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHTKLLHSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYQRALSGFEAAALKDPGLNAAEEVQKIVSLLDKVDNLLKGHVRAKRMTSLASSLVAVDLKSPYRRVTIDLLSEGPNRALAVEGKVFFFIRTEGVAPLYYLLCDSNHSCFVVSIYGVRTDVIKEGDQLTMLDPYFRDVDLSWNEKFKSIRLDFYEQVLVNGKALTPQQAVRTSIYAQHKP >Vigun10g083900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23688555:23690132:1 gene:Vigun10g083900.v1.2 transcript:Vigun10g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVLEALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYFDPSSSSPGKLPTSVNNFVIGVAIVGTLCGQLVFGWLGDKLGRKKVYGITLILMVLCAIASGLSFGASAKSVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMTLSGLFQSYYHAPTYTQNRVTSTQPESDLLWRLVLMIGAIPALLTYYWRMKMPETGRYTAIIEGNAKQAAADMARVLDIEIQAEQDKLADFNAANNYPLWSNEFFERHGRHLIGTMSSWFLLDIAFYSQNLTQKDIFPAIGLIDKDHDMSAIGEVFQTSRAMFVIALFGTFPGYWFTVFFIEKLGRYKIQLVGFFMMSFFMFVIGVKYDYLKNENKGAFAVLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGALVGTFGIQSLTVGGDTYKIKKAMILLAVTNLVGFFCSFLVTETKGRSLEEISGEDGRESELTPTPRDSRAPVSRTETM >Vigun03g045500.1.v1.2 pep primary_assembly:ASM411807v1:3:3656830:3659010:-1 gene:Vigun03g045500.v1.2 transcript:Vigun03g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTTRIFIRTTTAIRLASSTSSSSSSSSLQVLSNPTLSRPVFHAFFKPLPSTSSTSLFSSFRTDHTMATSSAKSVHDFTVKDAKGNDINLGDYKGKVLIIVNVASQCGLTNSNYTELSQLYEKYKQKGLEILAFPCNQFGAQEPGSNEQIQDFVCTRFKAEFPVFNKVDVNGDSADPLYKYLKSSKGGLFGDNIKWNFSKFLVDKEGKVVDRYAPTTSPLSIEKDLLKLLNA >Vigun05g156675.1.v1.2 pep primary_assembly:ASM411807v1:5:25502579:25505027:-1 gene:Vigun05g156675.v1.2 transcript:Vigun05g156675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLDWNPGIERQIFARPVFPLLHVLPVRMRSLLFGRITCGKKVPETRTYDWLSLSFSFQEISPARS >Vigun01g185500.1.v1.2 pep primary_assembly:ASM411807v1:1:36572412:36573905:-1 gene:Vigun01g185500.v1.2 transcript:Vigun01g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRGFLVACLALFCILGVCEGGDLRKKFYKRTCSEAEDIVRSKIQEHVSARPELPAKLIRMHFHDCFVRGCDGSVLLDSTASNTAEKDSIPNLSLSGFDVIDDIKAALEAKCPGTVSCADILALAARDAVSVQFKKPMWEVLTGRRDGKVSISGEALANLPAPFFNLAQLRQSFESKKLTVHDLVVLSGAHTIGVGHCNLFSNRLFNFSGKGDQDPSLNPTYATFLKTKCQSLSDTTTTVEMDPESSSTFDNDYYSILIQNKGLFQSDAALLTAKVSRNIVNELTKQDKFFTEFGQSMKRMGAIEVLTGSDGEIRTKCSVVNS >Vigun09g152800.1.v1.2 pep primary_assembly:ASM411807v1:9:31756297:31762102:-1 gene:Vigun09g152800.v1.2 transcript:Vigun09g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVPGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPRYLLPEHMGPCTLRITYSGHSDLSVKFQSHRSRDYTNPYLPVAPSAVEGSGQAMVGLDGKRLETESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPDTQTAVVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNTPNAQPSILGQQSVPIVGPPGQPYNGSQSGWGTGPAAVVQSMPMQMHNNVYMPPGSMPQQMAPGMQFPSHNSSQPTTTLPAYGSDRAQ >Vigun11g157900.2.v1.2 pep primary_assembly:ASM411807v1:11:36641982:36645912:-1 gene:Vigun11g157900.v1.2 transcript:Vigun11g157900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFPALGGLLFGYDIGATSGATLSLQSPDLSGIAWFNLSAIQLGLVVSGSLYGALLGSLLAYAIADFLGRKRQLIAAALLYIVGGVVTAYAPELGVLLAGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLVSLKELFIVLGILLGYFVGSFLIDTVGGWRFMYGCSAPVAVLMGLGMWTLPPSPRWLLLRAVQGKGSFQDLKEKAIVSLSKLRGRPPGDRESERQIEDTLLSLKSAYGQQESEGNFLEVFQGPNLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAASDATKVSVVIGLFKLLMTWIAVLKVDDLGRRPLLIGGVSGIALSLVLLSAYYKFLGGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFASNAVVTFAFSPLKELLGAENLFLLFGAIAILSLLFVIFSVPETKGLSLEDIESKILK >Vigun11g157900.1.v1.2 pep primary_assembly:ASM411807v1:11:36641982:36645912:-1 gene:Vigun11g157900.v1.2 transcript:Vigun11g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSNFGLSLFNPLHHQRKKRPKSLLSSSRTNPRLVSSINDHLALTRVTYPILQSHSTPKRRFHVGVQKDYSDGESSETLVSDATYQEEFSWSSVILPFLFPALGGLLFGYDIGATSGATLSLQSPDLSGIAWFNLSAIQLGLVVSGSLYGALLGSLLAYAIADFLGRKRQLIAAALLYIVGGVVTAYAPELGVLLAGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLVSLKELFIVLGILLGYFVGSFLIDTVGGWRFMYGCSAPVAVLMGLGMWTLPPSPRWLLLRAVQGKGSFQDLKEKAIVSLSKLRGRPPGDRESERQIEDTLLSLKSAYGQQESEGNFLEVFQGPNLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAASDATKVSVVIGLFKLLMTWIAVLKVDDLGRRPLLIGGVSGIALSLVLLSAYYKFLGGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFASNAVVTFAFSPLKELLGAENLFLLFGAIAILSLLFVIFSVPETKGLSLEDIESKILK >Vigun11g196100.1.v1.2 pep primary_assembly:ASM411807v1:11:39566008:39568801:1 gene:Vigun11g196100.v1.2 transcript:Vigun11g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLISNNIVLLLLLSLTKEALAVSKLSLTDHVNSLSQEVVTEQEADRVYGLPGQPPVKFKQYAGYITVDETHGRALFYWFFEATFEPEAKPVLLWLNGGPGCSSIGYGEAEELGPFFPQNSSQPQLKLNPYSWNNAANLLFLDSPVGVGFSYTNTTKDLKELGDAITAKDSHTFMVKWFSRFPQFRSHEFYIAGESYGGHFVPQLSELIFDNNRNPAMKDYINFKGFMIGNAVLDDETDQKGYVDYAWAHAVISDELYHNITTRCNFKLQNQTDECYDVLDKLSDAYDVIDMYSLYTPLCLSNISSTTTKAFSKINKWPKKTAGYDPCASDYTGVYLNRPEVQKALHANVTKLSYPWTHCSNIIDGWNDAPASILPVIKKLAAAGIRIWVYSGDTDGSVPVTGTRYGLQKLGLKIVEDWTPWYTSKQVGGWRTIYDGLTFVTIRGAGHQVPTFTPEPALQLLQHFLANEKLPSQPI >Vigun02g175900.1.v1.2 pep primary_assembly:ASM411807v1:2:31789403:31793849:-1 gene:Vigun02g175900.v1.2 transcript:Vigun02g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREGMGFPGGSAPYYMQHRGGVSGSGPGTGTPSGFQPPSGFRALSNVSSGSTFSLEAKPQPQSQPQPQPQLGGFGHGINLGSSPGINLGSSPNGGGGSAMSSSGEAVKKKRGRPRKYGPDSGTVSLRLSPLSATANSTPGSGNPSEKRPRGRPPGSGRKQQLANLGEWMNSSAGLAFSPHVITVAVGEDIVAKLFAFSQQRPRALCILSGTGTVSSVTLRQPASTSISVSYEGRFQILCLSGSYLVAEEGGPRSRTGGMSVSLSSPDGHIVGGGVASLIAASPVQVVVCSFVYSGSKPKTKQVTTATKENSSEPQSSEKLASPASAPPNQNYSSPAPGMWPAQSRPLEVKSAHPHTGIDLTRG >VigunL018450.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000195.1:41789:42753:1 gene:VigunL018450.v1.2 transcript:VigunL018450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRNDPRVTGPSERNCASFSFGYAGTTSPLTTNQQTSSGWSCRRRFYSWAAVSRSRGRSAPCPRIWVICSQKFLLQSDLAGERSQFAAENKTASERTFVRFFSTKHGSLRITVGRWLPKETPIRSAPQLGGIYLIPITRRGSP >Vigun10g026300.1.v1.2 pep primary_assembly:ASM411807v1:10:3126747:3128624:1 gene:Vigun10g026300.v1.2 transcript:Vigun10g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVDMRTISKGAIILLSIMALLFDTTDSVVLESGENHIKSATFLSENFEVGPGKVVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLSNGIEFERNDGACQGFLLPHYWGLGGESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRDGFRGPTRKLALRYKIKWIDWDEHQVPLKFYILDSTDRVRSNGSTTIHDCQAEYKIPRNHDNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILR >Vigun01g091200.2.v1.2 pep primary_assembly:ASM411807v1:1:25205950:25210225:1 gene:Vigun01g091200.v1.2 transcript:Vigun01g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSNHELSLFSAEELEFIAEDEIVDIVPNLKMGPLNFICGDFGPFTPQIVTQVPLWLAIALKKRGKCSIRPPQWMSVEKLSQVLEAERDSQEMSDQLPFHYVEISRLLFDHARDNIPDLYMVRSLIEDIRNVRFHKVETDLEAFNGRTIAVKIKNLSAMEVNIVRPFIGRALQAFYKHDSPELIPDPERVSDRRPQVVNNAPRRQLRR >Vigun02g026600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:9161514:9162680:-1 gene:Vigun02g026600.v1.2 transcript:Vigun02g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLQCKTLSLVLLFVYLLLLGSCDAIRPGQTMKLNQRRDRTNQQGDLPYHTMVFNFFPKGPVPPSGPSKRHNSVLDSTPNN >Vigun03g257300.1.v1.2 pep primary_assembly:ASM411807v1:3:42332615:42336646:1 gene:Vigun03g257300.v1.2 transcript:Vigun03g257300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENAAMAPLPEAFLHFLEANGLDPSLYTAIDSTPRYIRLKPGCEACIEEVEAEVKCNLEKLEWLPGFFSLPPHVQIAGSRAYREGKIYGIDAASGAAVMALEVSPGDHVLDLCAAPGAKLCMILDLLGDSGSATGVDAARHRLAACRTMLQKYMLGDQCRLFVADGTSFSVIPTRSRSDCESCESGSEERVDVFKEWTSRRPWKERKRAAKTGSPQLMSSSQPPELIYYGHRSGVVGLTKGELFKTLSDDELANHGYDKVLVDAECTHDGSIKHIQKFEHWGWRTLQRRVLDAERTDDLHALQLNLLTNGFRLLKAGGSLVYSTCSLTVAQNEDVVEQFLKENRTAELTEIDAARNWPCKGGCIPKTWRFDPLTSRTSGLFIAKFTKLVI >Vigun06g218300.1.v1.2 pep primary_assembly:ASM411807v1:6:32884135:32889122:-1 gene:Vigun06g218300.v1.2 transcript:Vigun06g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFHSPPAKRSAPDPNPFPPNGPSKRSRSSRPPPLPLSVPPGHASFRLLCNASRIGGVIGKSGSIIKKLQQSTGAKIRIEDASPESPDRIILVIADAAVSGKVLLRNDEAVEVSKAQEALLLVFDRILDVAAETEGVDVGDMLMSCRLVADAAQAGSVIGKGGRVVERIKKNTGCKIRVLTDDLPLCASSSDEIIEIEGRVSSVKKALVAVSQRLQDCPPVDRTKIMGSKPYEMVQYEAIDALPREALTAVTAVPHETIDRLPLRSSALSSLSSCSNSYGTRVHSLPAEVNRVSSLEPKALQQEVTFRILCSNDRVGGVIGKGGNIIRALQSETGARIIIGPSVAGCEDRVITITASENPESRYSPAQKAAVLVYSRSIESGFERGLDSGLSNRSIVTARLVVPSSQVGCLLGKGGLIISEMRKATGASIRIIGTEQVPKCASDNDQVVQISGDFSSVQDALYNATGRLRDNLFASTENSAGTRSLSSLRVDNSPYGRLHDVVPLGSQLPAVTSHSLNRHTFSRDIDHLAHSRSLDRPSSPGLWTRNLDRPPSPGLWARNLDRPFSPGLWTRNLDRPSSPGLWTPPTMAGINSRGVNDFNWGLTSRRGGLELVSGSKSAIVTNTTVEIVVPDDTIDSVYGENGSNLARLRQISGAKVVVHERRPGTRDRTIIISGSPDETKAAQSLLQAFILSGSS >Vigun03g149100.2.v1.2 pep primary_assembly:ASM411807v1:3:15315894:15319804:1 gene:Vigun03g149100.v1.2 transcript:Vigun03g149100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATV >Vigun03g149100.1.v1.2 pep primary_assembly:ASM411807v1:3:15315894:15319804:1 gene:Vigun03g149100.v1.2 transcript:Vigun03g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATV >Vigun03g428100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63306276:63308092:-1 gene:Vigun03g428100.v1.2 transcript:Vigun03g428100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKCPKMKTYCRRTKNTRHLPQELIIKILLRLPVKSLVRFKCVCKSWLSLISDSHFSLSHFEQTATRTERLVFFEPSAPEVRSIDVNAPLYDDSASAVLNLNFLPPKPYDVRIRGSCRGFVLLECCQSLWLWNPSTGVHKQLSSSPTMPNKDPMLLTFLFGFGYDSSTDDYLVVKVLRMAFSCVSGNRVEILSLRANAWKEIEGIHFSYLNCFNDMKVGLLFNGALHWVVSRYDLGKNVIVVFDLRKRSFSEIPLPREFDWDFNTCDLVVLGKIPRLCVVGCCSPAELWVMEEYKDPSSWTKTIVVSVDDIPTKYFSPICSTKCNDIVGIDGSTGLVKCSDKGQVQEHRYWSSSYRSEVAVYKETLVTLLSQRRFCFSPYTLQVAVYTESLHSLPYEC >Vigun01g106500.3.v1.2 pep primary_assembly:ASM411807v1:1:27762721:27767539:1 gene:Vigun01g106500.v1.2 transcript:Vigun01g106500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTILAAEVCQNTLPPSFKCVKETTSVQNFKVSTTIPYVQGSLFFNSKRVPSDQTFSIGSNFQLSWTSDNGGHLSISHLSQQTRPIWSTIPGQAFVSAALVDTEFEESRGSFLVKDKDVHMVCNHQTIEDIRIIEDIGVINGQFDHHLECVVPDSPSVHRRLEKKTDAQEIQLPTLMITGRLFNMTKKSKRFQKHGIQATMQFEAKGASVHARYWVLFNQKTNHEVGFQVKIEKPNFTSRNQVSKTVSGGYQGFKRGRNNRKKRLGWFWYLSRPRGFVLVSSVEEEIGNLDILKPEEFNRVWLTYATDENERFYGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITLAANLISYRAGGDWSTTYAPSPFYITSRMRSVCLEGYDYTVFDLTKLDRVQIQIHGNSVEGRILHGNSPCELIERFTESIGRLPELPQWIISGAIVGMQGGTDAVRHIWDELRTYDVPISAFWLQDWVGQRKTLIGSQLWWNWEVDSQRYWGWKELIEDLRSQDIKVMTYCNPCLAPVDEKQNKRRNLFEEAKQLDILVKDSNGNPYMVPNTAFDVGMLDLTHPKTATWFKQILGDMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSNSLEKVKKEQEEGLVFFMRAGFRDSPKWGMLFWEGDQMVSWQRNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYNRSEELLLRWMELNSFTIVFRTHEKYSARIHLLQQEFG >Vigun01g106500.2.v1.2 pep primary_assembly:ASM411807v1:1:27762721:27767539:1 gene:Vigun01g106500.v1.2 transcript:Vigun01g106500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTILAAEVCQNTLPPSFKCVKETTSVQNFKVSTTIPYVQGSLFFNSKRVPSDQTFSIGSNFQLSWTSDNGGHLSISHLSQQTRPIWSTIPGQAFVSAALVDTEFEESRGSFLVKDKDVHMVCNHQTIEDIRIIEDIGVINGQFDHHLECVVPDSPSVHRRLEKKTDAQEIQLPTLMITGRLFNMTKKSKRFQKHGIQATMQFEAKGASVHARYWVLFNQKTNHEVGFQVKIEKPNFTSRNQVSKTVSGGYQGFKRGRNNRKKRLGWFWYLSRPRGFVLVSSVEEEIGNLDILKPEEFNRVWLTYATDENERFYGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITLAANLISYRAGGDWSTTYAPSPFYITSRMRSVCLEGYDYTVFDLTKLDRVQIQIHGNSVEGRILHGNSPCELIERFTESIGRLPELPQWIISGAIVGMQGGTDAVRHIWDELRTYDVPISAFWLQDWVGQRKTLIGSQLWWNWEVDSQRYWGWKELIEDLRSQDIKVMTYCNPCLAPVDEKQNKRRNLFEEAKQLDILVKDSNGNPYMVPNTAFDVGMLDLTHPKTATWFKQILGDMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSNSLEKVKKEQEEGLVFFMRAGFRDSPKWGMLFWEGDQMVSWQRNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYNRSEELLLRWMELNSFTIVFRTHEGNKPSCNSQFYSNQQTMSHFARFAKVYKAWTFYRIQLVKEAAQKGLPVCRHLFVHYPTDERVHHLSYQQFLVGSEFLVVPVLDKGKKKVKAYFPLGESSSWVHIWTGKVFSKQGTEEWVEAPIGYPAVFVKVGSHIGETFLNNLRSLGIL >Vigun01g106500.1.v1.2 pep primary_assembly:ASM411807v1:1:27762653:27767539:1 gene:Vigun01g106500.v1.2 transcript:Vigun01g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKITKKHHKHFNNPFPSSVSTTIPYVQGSLFFNSKRVPSDQTFSIGSNFQLSWTSDNGGHLSISHLSQQTRPIWSTIPGQAFVSAALVDTEFEESRGSFLVKDKDVHMVCNHQTIEDIRIIEDIGVINGQFDHHLECVVPDSPSVHRRLEKKTDAQEIQLPTLMITGRLFNMTKKSKRFQKHGIQATMQFEAKGASVHARYWVLFNQKTNHEVGFQVKIEKPNFTSRNQVSKTVSGGYQGFKRGRNNRKKRLGWFWYLSRPRGFVLVSSVEEEIGNLDILKPEEFNRVWLTYATDENERFYGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITLAANLISYRAGGDWSTTYAPSPFYITSRMRSVCLEGYDYTVFDLTKLDRVQIQIHGNSVEGRILHGNSPCELIERFTESIGRLPELPQWIISGAIVGMQGGTDAVRHIWDELRTYDVPISAFWLQDWVGQRKTLIGSQLWWNWEVDSQRYWGWKELIEDLRSQDIKVMTYCNPCLAPVDEKQNKRRNLFEEAKQLDILVKDSNGNPYMVPNTAFDVGMLDLTHPKTATWFKQILGDMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSNSLEKVKKEQEEGLVFFMRAGFRDSPKWGMLFWEGDQMVSWQRNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYNRSEELLLRWMELNSFTIVFRTHEGNKPSCNSQFYSNQQTMSHFARFAKVYKAWTFYRIQLVKEAAQKGLPVCRHLFVHYPTDERVHHLSYQQFLVGSEFLVVPVLDKGKKKVKAYFPLGESSSWVHIWTGKVFSKQGTEEWVEAPIGYPAVFVKVGSHIGETFLNNLRSLGIL >Vigun01g106500.4.v1.2 pep primary_assembly:ASM411807v1:1:27762653:27767539:1 gene:Vigun01g106500.v1.2 transcript:Vigun01g106500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKITKKHHKHFNNPFPSSVSTTIPYVQGSLFFNSKRVPSDQTFSIGSNFQLSWTSDNGGHLSISHLSQQTRPIWSTIPGQAFVSAALVDTEFEESRGSFLVKDKDVHMVCNHQTIEDIRIIEDIGVINGQFDHHLECVVPDSPSVHRRLEKKTDAQEIQLPTLMITGRLFNMTKKSKRFQKHGIQATMQFEAKGASVHARYWVLFNQKTNHEVGFQVKIEKPNFTSRNQVSKTVSGGYQGFKRGRNNRKKRLGWFWYLSRPRGFVLVSSVEEEIGNLDILKPEEFNRVWLTYATDENERFYGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITLAANLISYRAGGDWSTTYAPSPFYITSRMRSVCLEGYDYTVFDLTKLDRVQIQIHGNSVEGRILHGNSPCELIERFTESIGRLPELPQWIISGAIVGMQGGTDAVRHIWDELRTYDVPISAFWLQDWVGQRKTLIGSQLWWNWEVDSQRYWGWKELIEDLRSQDIKVMTYCNPCLAPVDEKQNKRRNLFEEAKQLDILVKDSNGNPYMVPNTAFDVGMLDLTHPKTATWFKQILGDMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSNSLEKVKKEQEEGLVFFMRAGFRDSPKWGMLFWEGDQMVSWQRNDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYNRSEELLLRWMELNSFTIVFRTHEKYSARIHLLQQEFG >Vigun03g336000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53426741:53427028:-1 gene:Vigun03g336000.v1.2 transcript:Vigun03g336000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSFRGFFVLLCVWILASQPFKVSGLRSKDLALRWDQGLLPFVRSFRVLKGVYAVEDLQSKVELAPAPSITFDPYHSNKRTVRKGPDPIHNRS >Vigun04g082150.1.v1.2 pep primary_assembly:ASM411807v1:4:12405084:12405711:1 gene:Vigun04g082150.v1.2 transcript:Vigun04g082150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSCSSCTCNAWEMKNSSFSSYGGAVRRSDLTPVCYCGEKAITRTARTAKNRGRKFWGCPKFKGGSEEVVGCNFFSWCSENVVEERCGPTKNDDDDTATKMVERDDQSKLIKTKMVEREGENKLISIEKCIMRLEKWVKVLFGMVCLLYVLNIFLFTMY >Vigun02g003350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1810616:1811023:-1 gene:Vigun02g003350.v1.2 transcript:Vigun02g003350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDVAQFVLACLTCQKAKVEHQRLRGILQPLEIPVWKWDSISMDFVTHLPRTFRGHDTIWVIVDRLTKSAHFLAMNLRMSMAKLAQLYIKEIVRLHGVPSSIVSDRDPRFTSRFWQMLQGAMGSKLTMSSAYHP >Vigun11g098400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28971472:28972182:-1 gene:Vigun11g098400.v1.2 transcript:Vigun11g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGESAIFVIVRNVFLFWLLMQPSTSFPFGSKTTVSVKNGLEGHQDLFFRCKSADNDLGVQRLPYNGTFRWRFGINVFERTLFHCSFAWKGASHKFVIYKAARDQDICDFCSWIVKEEGPCMYFAANLNCYDWNS >Vigun06g008300.2.v1.2 pep primary_assembly:ASM411807v1:6:4058684:4060663:1 gene:Vigun06g008300.v1.2 transcript:Vigun06g008300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAMTMLSWSVLEYKDKYMGMNEYHHTRELIKWGTDYLLLTFNTSATKINKIYAQVGGNLNGSTTPDDHYCWQRPEDMDYPRHTISIFQGADLAGEMAAALASASIVFQDQPTYSNKLIKAAQTVFDFARDSGKRKPYSRGEPYIEPFYNSTGYYDEYMWGAAWLYYATGNTSYISLATNPSIFKNSKAYFLTPQFTVFSWDNKLPAAMLLLTRFRMFLNPGYPYEDMLKMYHNVTTLTMCSYLQHFRVFNRTKGGLIQFNNGQPQSLQYVANAAFLASLFADYMQGVGVPGWYCGSTYFPLSALKTFATSQVDYILGKNPMKMSYIVGFGNKFPRHVHHRGASIPNDHQHHSCTGGWKWRDTSNPNPNTITGAMVGGPDRFDQFHDSRKNYNFTEPTLAGNAGIVAALISLTTTTGSSGVDRNTIFSAIPPLGPQNPPPPPPWKPIKT >Vigun06g008300.1.v1.2 pep primary_assembly:ASM411807v1:6:4056973:4060663:1 gene:Vigun06g008300.v1.2 transcript:Vigun06g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRNHWGGSFEMSNSGEEVNKEKNDEWDRAALLERYHPESQDLDETLQSWVLERVEKSKKKSYVDFGCIVMSHKALKWIFGSIFMAFLLIALPIIITKSLPKHHSPPTPPDNYTLALHKALLFFNAQKSGKLEKSNGIAWRGNSGIEDGNDTDVKGGLVGGYYDAGDNTKFHFPMSFAMTMLSWSVLEYKDKYMGMNEYHHTRELIKWGTDYLLLTFNTSATKINKIYAQVGGNLNGSTTPDDHYCWQRPEDMDYPRHTISIFQGADLAGEMAAALASASIVFQDQPTYSNKLIKAAQTVFDFARDSGKRKPYSRGEPYIEPFYNSTGYYDEYMWGAAWLYYATGNTSYISLATNPSIFKNSKAYFLTPQFTVFSWDNKLPAAMLLLTRFRMFLNPGYPYEDMLKMYHNVTTLTMCSYLQHFRVFNRTKGGLIQFNNGQPQSLQYVANAAFLASLFADYMQGVGVPGWYCGSTYFPLSALKTFATSQVDYILGKNPMKMSYIVGFGNKFPRHVHHRGASIPNDHQHHSCTGGWKWRDTSNPNPNTITGAMVGGPDRFDQFHDSRKNYNFTEPTLAGNAGIVAALISLTTTTGSSGVDRNTIFSAIPPLGPQNPPPPPPWKPIKT >Vigun01g246900.1.v1.2 pep primary_assembly:ASM411807v1:1:41484434:41486550:1 gene:Vigun01g246900.v1.2 transcript:Vigun01g246900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLETMFQSTFSFSSSSSYSWSLITLFLSTLLTALAISFNYWLVPGGFAWRKHQNHYKHHAKPPGPMGWPVLGTLPEMGSLAHAKLASMATSLKAKRLMALSLGTNRVVISSHPETAREILCGSGFSDRPVKESARTLMFERAIGFAPYGTYWRHLRKVAATHMFSPRKISDLESLRQRVAADMVRKTWTEMETKGVVEVRGILHEASLRTMLESVFGINDNGIESKREEVLGDMIKEGYELIATFNWEDYFPFRFLDFHGVKRRCHRLTSKVKSVVGEIVEERKTCEKLVGKTDFLSALLSLPEEEKIGESDTVAILWEMLFRGTDTVAILLEWIMAMMVVHQDVQVKARQEIDTCIGQNSHVRDSDIPNLPYLQAIVKEVLRLHPPGPLLSWARLATHDVHIDKVLIPAGTTAMVNMWAISHDSSIWKDPWAFKPERFIDEEVSIMGSDMRLAPFGAGRRVCPGKTLGLATVHLWLAEFLHRFVLVPAHVDLSECLKLSMEMKKPLQFKVIPRYNTTSS >Vigun04g128600.1.v1.2 pep primary_assembly:ASM411807v1:4:32379309:32383505:-1 gene:Vigun04g128600.v1.2 transcript:Vigun04g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRLSHPFMLTTNPFKAPSLCTFSFSTHPRRCFKVEAARTHKNNQPFDAAAYEAERLALDAEARRAMAEAADPKAWKWVIRKRIWDMMEARNFANNPRPVHHRIPNFVGAPVAAQKMRELDVFQVAQCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLESSMLTLDTMKEACTSVGVAKHGRPIGLDEKIKVDLIVIGSVAVDPRTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSVHDCQLVDDIPVEKLKIHDVPVDIICTPTQVIFTHTTIPKPQGIYWDKLSPEKLGQIRILRELKKRIEQETGTKLPTGPSEKLPPTAQRSRRG >Vigun03g132633.1.v1.2 pep primary_assembly:ASM411807v1:3:12979361:12980150:1 gene:Vigun03g132633.v1.2 transcript:Vigun03g132633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIRAIRTRTVDLLGKTDQTNYYLNDLNCFQNPTCIFFFFALSFFIN >Vigun02g122101.1.v1.2 pep primary_assembly:ASM411807v1:2:27422977:27424321:1 gene:Vigun02g122101.v1.2 transcript:Vigun02g122101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVPFMDHVTDDNVSVLNGVGYVPSNTERYSLGGIISAIENAFHASPLIICSKDCIEELHLCFYKDFQPRDSAVSSDVKIGMVTSKGSCPKYVSLP >Vigun04g074200.1.v1.2 pep primary_assembly:ASM411807v1:4:8889572:8892682:-1 gene:Vigun04g074200.v1.2 transcript:Vigun04g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYIFPQTRSTVLPEPSNFFSSNLVSSPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSLSYPSRSSTVTSIYQVFNPDLTISSKQTSSGKHTISSFSDLSVTLEIPSSNLTFFLVRGSPYLTVSVTQPTPLSITTIHSIVSLDSTESTSFHKFTIKFNNAQTWLLYASSPIKLTHTTSEITSEAFSGTIRIALLPNSDPQCEAILDLCSFIYPVSGNAVIKEPFCVEYKWETKGSGALLLLAHPLHLQLLSSTDTDVAVLDGFKYTSIDGDLVGVMGKSWILKTDPVSVTWHSSRGIKEENKEEIISALVKDAEALKMDTSESYFYGKYIARAARLALIAEEVFFYEVIPMVCKFLKDTVEPWLDGTLKVNGFMHDHKWGGIVTYKGSDDASADFGFGIYNDHQYHLGYFLYAIAVYKNKAYSLMQDFMNLDTQSNSNYPKLRCFDLYKLHSWAAGLTEFVDGRNHESTSQALNAYYSAALMGMAYGDVQLVALGSTLAALEILAARTWFQVSSNGSMYEDVFTQENRIMGILWSNKRDSDLWFASHKLREYRLGIHLLPIVPISEPLFSDVDYVIELVTWASMDLDREGADDAWKGFVYALQALYDKEEALQNVKGLKAFDNGNSFTNLLWWIHTRGDQDDSDHHQHKLGY >Vigun05g220800.1.v1.2 pep primary_assembly:ASM411807v1:5:41223684:41225184:1 gene:Vigun05g220800.v1.2 transcript:Vigun05g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCNKNGLKKGPWTPEEDLMLTNYIHTHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDMSSILRSAIGNVNPSFLNLQGLLSAQALMNPEFLKLAATATLLSLKNDHNQNPSNLVSQAQQQLNNVGNCPVQSQIFPNQFQTPTQSNNVNNGLSMSPDNYLGVHSYLNAQQNQVDLLQDPDLVHLLNSANQNMGYESVLSTPLSTPTPLNSSSTYVNSSTEEERDTYCSDVFKLEIPESLDISDFL >Vigun08g107400.1.v1.2 pep primary_assembly:ASM411807v1:8:26693245:26695329:1 gene:Vigun08g107400.v1.2 transcript:Vigun08g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINTTITTTLILFTATLLLYFSTVAIATDSCASQSDYSGIIVIPIYGNCSPFKNLSDSWDTTIIDMASKDPLRVEYLFGLDASLRKKRVSAAPIASGQAFDIGNYVVRVKLGTPAQLFFMVLDTSTDEAWVPCSGCTGCSSSTTYSPQASTSYGGAVACYAPRCAQARGALPCPYTGSKACIFNQSYAGSTFSATLVQDSLRLAEDTLPSYAFGCVNSVSGGTIPAQGLLGLGRGPLSLVTQSWSLYSGVFSYCLPSFKSFYFSGSLVLGRTGQPRRIRSTPLLRNPRRPSLYYVNLTGVTVGRVQVPLPTEYLAFDPNRGSGTIIDSGTVITRFVQPVYNAIRDEFRNQVKGPFVSIGSFDTCFVKTYETLAPLIKFRFTGLDLTLPYENTLIHSSYGAVACLAMAAVPNNVNSVLNVIANYQQQNLRIMFDTVNNRVGIARELCN >Vigun08g178600.1.v1.2 pep primary_assembly:ASM411807v1:8:34843640:34846069:1 gene:Vigun08g178600.v1.2 transcript:Vigun08g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFVILISRQGKVRLTKWYSPYSQKERSKIIRELNGLIISRAPKLCNFVEWRGFKVVYKRYASLYFCMCNDEEDNELETLTIIHHYVETLDRYFGSVCELDLIFNFHKAYFILDEILLAGGLQETSKRTTLRLINAQEDLVETAKMEASSLSNIIAQATK >Vigun03g141700.3.v1.2 pep primary_assembly:ASM411807v1:3:14215988:14219680:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEQRGSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun03g141700.5.v1.2 pep primary_assembly:ASM411807v1:3:14216595:14219681:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRFKWSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun03g141700.4.v1.2 pep primary_assembly:ASM411807v1:3:14215987:14218299:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEQRGSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun03g141700.6.v1.2 pep primary_assembly:ASM411807v1:3:14216767:14218134:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRFKWSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun03g141700.2.v1.2 pep primary_assembly:ASM411807v1:3:14215988:14219680:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEQRGSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun03g141700.1.v1.2 pep primary_assembly:ASM411807v1:3:14216436:14218134:1 gene:Vigun03g141700.v1.2 transcript:Vigun03g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEQRGSSYARASTRTHQPPPASRPPLSRGSHFSLAVATLSAPSPVRWSSKLNSSADLWTLSTSSQRQEASEFVVLSPCTTPL >Vigun09g041800.1.v1.2 pep primary_assembly:ASM411807v1:9:3861230:3867082:-1 gene:Vigun09g041800.v1.2 transcript:Vigun09g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPLTHSHSFRERVDETLSGNRNEILALLSRLEAKGKGILQHHQVIAEFEEIPEENRKKLQDGAFGEVLRSTQEAIVLPPFVALAVRPRPGVWEYLRVNLHMLVVDELSPAEYLRFKEELVEGSANGNFVLELDFEPFNASFPRPTLNKSIGNGVEFLNRHLSAKLFHDKESMQPLLEFLRLHSYKGTTMMLNDKVQNLNSLQHVLRKAEEYLTSVAPATPYSEFESRFREIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLETFLGRVPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLNRIKKQGLDITPRILIITRLLPDAVGTTCGQRLERVYDTEYCDILRVPFRTEEGIVRKWISRFEVWPYLETYAEDVAVELAKELQAKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFEEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMGIYFPYTETERRLTNFHPEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATFNGGPAEIIVHGKSGYHIDPYHGDQAAELLVDFFEKSKADPSHWDTISQGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVTNLERRESKRYLEMFYALKYRKLAESVPLAIEE >Vigun09g041800.2.v1.2 pep primary_assembly:ASM411807v1:9:3861230:3866982:-1 gene:Vigun09g041800.v1.2 transcript:Vigun09g041800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPLTHSHSFRERVDETLSGNRNEILALLSRLEAKGKGILQHHQVIAEFEEIPEENRKKLQDGAFGEVLRSTQEAIVLPPFVALAVRPRPGVWEYLRVNLHMLVVDELSPAEYLRFKEELVEGSANGNFVLELDFEPFNASFPRPTLNKSIGNGVEFLNRHLSAKLFHDKESMQPLLEFLRLHSYKGTTMMLNDKVQNLNSLQHVLRKAEEYLTSVAPATPYSEFESRFREIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLETFLGRVPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLNRIKKQGLDITPRILIITRLLPDAVGTTCGQRLERVYDTEYCDILRVPFRTEEGIVRKWISRFEVWPYLETYAEDVAVELAKELQAKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFEEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMGIYFPYTETERRLTNFHPEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATFNGGPAEIIVHGKSGYHIDPYHGDQAAELLVDFFEKSKADPSHWDTISQGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVTNLERRESKRYLEMFYALKYRKLAESVPLAIEE >Vigun07g239500.1.v1.2 pep primary_assembly:ASM411807v1:7:36082870:36085919:-1 gene:Vigun07g239500.v1.2 transcript:Vigun07g239500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELSELTQPSFDEFQRQTSLMTSCTLLWKELSDHFSSLEEDLKHKSEALKRKMRTLDTATSDSLRLLDRRETSLDATLQIALRTLDTRRTAALSSFLDDHVDDDAGEVDDATGLVLKLKSFCLRMDAFGFWTFLSAKKKELDGLRAEVPVALAECVDPAKFVLEAISEVFPVDKRGEKPGHDLGWACVLVLESLIPVVVDPVIGKSRLLVTPTVKEHATEIAETWKASLEERGGAENVKTPDVHTFLQHVVTFGIVKNEDLDLYRKLVIASAWRKQMPKLALSLGLTQQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLKDAKKVAASILEDPNNAGRAALEDKKGPEMYLAARKEQSALRAVIKCIEEYKLEADFPPENLKKRLEQLEKVKTVKTEKRKPAAVPANKRTRASNSNGGPMPPAKAGRLTNAYVSSFPAAPTFVRSPSHGQYPAALPPYPSPPHMYGSRSPPANPYAAYSPEPAPAIAGSYPAAPMNYPAYGGYGNVLAPTYQQAYYR >Vigun07g239500.3.v1.2 pep primary_assembly:ASM411807v1:7:36083036:36085919:-1 gene:Vigun07g239500.v1.2 transcript:Vigun07g239500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELSELTQPSFDEFQRQTSLMTSCTLLWKELSDHFSSLEEDLKHKSEALKRKMRTLDTATSDSLRLLDRRETSLDATLQIALRTLDTRRTAALSSFLDDHVDDDAGEVDDATGLVLKLKSFCLRMDAFGFWTFLSAKKKELDGLRAEVPVALAECVDPAKFVLEAISEVFPVDKRGEKPGHDLGWACVLVLESLIPVVVDPVIGKSRLLVTPTVKEHATEIAETWKASLEERGGAENVKTPDVHTFLQHVVTFGIVKNEDLDLYRKLVIASAWRKQMPKLALSLGLTQQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLKDAKKVAASILEDPNNAGRAAYLAARKEQSALRAVIKCIEEYKLEADFPPENLKKRLEQLEKVKTVKTEKRKPAAVPANKRTRASNSNGGPMPPAKAGRLTNAYVSSFPAAPTFVRSPSHGQYPAALPPYPSPPHMYGSRSPPANPYAAYSPEPAPAIAGSYPAAPMNYPAYGGYGNVLAPTYQQAYYR >Vigun07g239500.2.v1.2 pep primary_assembly:ASM411807v1:7:36082324:36085939:-1 gene:Vigun07g239500.v1.2 transcript:Vigun07g239500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELSELTQPSFDEFQRQTSLMTSCTLLWKELSDHFSSLEEDLKHKSEALKRKMRTLDTATSDSLRLLDRRETSLDATLQIALRTLDTRRTAALSSFLDDHVDDDAGEVDDATGLVLKLKSFCLRMDAFGFWTFLSAKKKELDGLRAEVPVALAECVDPAKFVLEAISEVFPVDKRGEKPGHDLGWACVLVLESLIPVVVDPVIGKSRLLVTPTVKEHATEIAETWKASLEERGGAENVKTPDVHTFLQHVVTFGIVKNEDLDLYRKLVIASAWRKQMPKLALSLGLTQQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLKDAKKVAASILEDPNNAGRAAYLAARKEQSALRAVIKCIEEYKLEADFPPENLKKRLEQLEKVKTVKTEKRKPAAVPANKRTRASNSNGGPMPPAKAGRLTNAYVSSFPAAPTFVRSPSHGQYPAALPPYPSPPHMYGSRSPPANPYAAYSPEPAPAIAGSYPAAPMNYPAYGGYGNVLAPTYQQAYYR >Vigun03g028300.1.v1.2 pep primary_assembly:ASM411807v1:3:2136236:2139135:-1 gene:Vigun03g028300.v1.2 transcript:Vigun03g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARKFRNSEDENLSLPVHDGDSRPLESHEQEELVHSLERSQAQQSRLWRMVFATLFFCYIVFLLYSILHQALTPWELRYHAYFMEEIYSWSIISADSVAVLACLFAIIGLLHESNNSRRWIKYSWYTAIILAVFWLYYMLRLPKFRWEVIWLPFGPLGASALCLYVDHLLTESLEEVRKLRGYMYTYKAS >Vigun03g028300.2.v1.2 pep primary_assembly:ASM411807v1:3:2136236:2139135:-1 gene:Vigun03g028300.v1.2 transcript:Vigun03g028300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATLFFCYIVFLLYSILHQALTPWELRYHAYFMEEIYSWSIISADSVAVLACLFAIIGLLHESNNSRRWIKYSWYTAIILAVFWLYYMLRLPKFRWEVIWLPFGPLGASALCLYVDHLLTESLEEVRKLRGYMYTYKAS >Vigun02g153800.4.v1.2 pep primary_assembly:ASM411807v1:2:30034403:30036409:-1 gene:Vigun02g153800.v1.2 transcript:Vigun02g153800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQKKKTTSSAAFMSVLEAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQAQARRVSNNQQKQDVKRETENLIAASEVINQVPYWSAEYSSVPLPVTDVSFQHYGLNNQTSLRNLMVNRLEGIRFSNDHHQHQQQQPYTNACEIPSLPNQVFPTMVNHVAVVSDENNSVTYQPSNIFHGFENFPGDFCELVCANSQQMDRSMEGFNYGMESMDVMSNGGSSNTTSTESTSWGDMNSLVYSPLVSDYEGCRQGNPRDVVAFEECNYFGMQMQ >Vigun02g153800.3.v1.2 pep primary_assembly:ASM411807v1:2:30034581:30036386:-1 gene:Vigun02g153800.v1.2 transcript:Vigun02g153800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQKKKTTSSAAFMSVLEAEVADTCRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQAQARRVSNNQQKQDVKRETENLIAASEVINQVPYWSAEYSSVPLPVTDVSFQHYGLNNQTSLRNLMVNRLEGIRFSNDHHQHQQQQPYTNACEIPSLPNQVFPTMVNHVAVVSDENNSVTYQPSNIFHGFENFPGDFCELVCANSQQMDRSMEGFNYGMESMDVMSNGGSSNTTSTESTSWGDMNSLVYSPLVSDYEGCRQGNPRDVVAFEECNYFGMQMQ >Vigun02g153800.2.v1.2 pep primary_assembly:ASM411807v1:2:30034581:30036386:-1 gene:Vigun02g153800.v1.2 transcript:Vigun02g153800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEHHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQAQARRVSNNQQKQDVKRETENLIAASEVINQVPYWSAEYSSVPLPVTDVSFQHYGLNNQTSLRNLMVNRLEGIRFSNDHHQHQQQQPYTNACEIPSLPNQVFPTMVNHVAVVSDENNSVTYQPSNIFHGFENFPGDFCELVCANSQQMDRSMEGFNYGMESMDVMSNGGSSNTTSTESTSWGDMNSLVYSPLVSDYEGCRQGNPRDVVAFEECNYFGMQMQ >Vigun02g153800.1.v1.2 pep primary_assembly:ASM411807v1:2:30034317:30036581:-1 gene:Vigun02g153800.v1.2 transcript:Vigun02g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEHHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQAQARRVSNNQQKQDVKRETENLIAASEVINQVPYWSAEYSSVPLPVTDVSFQHYGLNNQTSLRNLMVNRLEGIRFSNDHHQHQQQQPYTNACEIPSLPNQVFPTMVNHVAVVSDENNSVTYQPSNIFHGFENFPGDFCELVCANSQQMDRSMEGFNYGMESMDVMSNGGSSNTTSTESTSWGDMNSLVYSPLVSDYEGCRQGNPRDVVAFEECNYFGMQMQ >Vigun11g050850.1.v1.2 pep primary_assembly:ASM411807v1:11:8577301:8578274:1 gene:Vigun11g050850.v1.2 transcript:Vigun11g050850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRHSNHLIQRNYLHLKRYHRRDGRRRHHINFRSQVTTNQSRRSSMSIQNNLHPPALRVIYQSFLLLEFPPLCGPHSDMIVDTEDMSYETFSSPELLELGEWIGNDNLERGLPKESIARQLQTKTYLLPNDLEGSTSEERQIDICIICQDEYKNKEEIGILQCGHEYHTDCIRRWLDEKNVCPICKSKALSIT >Vigun03g407000.2.v1.2 pep primary_assembly:ASM411807v1:3:61439997:61441740:1 gene:Vigun03g407000.v1.2 transcript:Vigun03g407000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVFVLVMAVVLLAMNCNCTSVGHMPSTKEEGRDFEEAKAKTSQTANKAMDTGKEGKEAAESWTEWAKEKLTEGFGFKYDQEPKESTTKKVSDYATDTAQKSKEYAGDTAQKSKDCAGDAAQKSKDYACDTAQKTKDYVVDAAQKTKDSATDAAQKTKDYAAEKTKEYASDAGDAAKRTKDYAAEKTKEYASDAGDAAKKTKDYGAQKASDGAQKTKEYASDAAKKTKDYISGTAQNAGQNIKDYASDAAHKSREASDYASDTAQKTKDQVINDAAQRSKEASEYASDAAQRTKDYSSDTAQKTNEYASDAAQRTKEKLQDFASEAGHYSAEKAREMKDAAAQKASDIAKAAKKKSEEVKENIRDAEL >Vigun03g407000.1.v1.2 pep primary_assembly:ASM411807v1:3:61436912:61441740:1 gene:Vigun03g407000.v1.2 transcript:Vigun03g407000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQRRVVFVLVMAVVLLAMNCNCTSVGHMPSTKEEGRDFEEAKAKTSQTANKAMDTGKEGKEAAESWTEWAKEKLTEGFGFKYDQEPKESTTKKVSDYATDTAQKSKEYAGDTAQKSKDCAGDAAQKSKDYACDTAQKTKDYVVDAAQKTKDSATDAAQKTKDYAAEKTKEYASDAGDAAKRTKDYAAEKTKEYASDAGDAAKKTKDYGAQKASDGAQKTKEYASDAAKKTKDYISGTAQNAGQNIKDYASDAAHKSREASDYASDTAQKTKDQVINDAAQRSKEASEYASDAAQRTKDYSSDTAQKTNEYASDAAQRTKEKLQDFASEAGHYSAEKAREMKDAAAQKASDIAKAAKKKSEEVKENIRDAEL >Vigun08g049900.1.v1.2 pep primary_assembly:ASM411807v1:8:5667341:5669670:-1 gene:Vigun08g049900.v1.2 transcript:Vigun08g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTLIFTPLLVLLMSIHSHALLDPSNRKYAPSKGSVRVAYWPSGDDLSPSSIDTKYFTHIYYAFIQQHPQLFHLSVTESEKKWIPQFINGLRHRYPPVKTLLSIGGGGSNSTAFSLMASTQHNRHVFINSTIHVARQYGFDGLDLDWEFPENEVDMSNLGILFREWHQAITLEAQACRKPRLLLTAAVYYASTIKLIGNGPRTYPVQAIRDYLDWASPMCFDYHGTWANFTGFNAALYDPNSNISTRYGIGSWIKAGVPGQKLVMGLPLYGRAWALRDPNVNGVGAEAVGEATDTDGTMDYDEILVFNKENGATVVYDDVAVSFYSYAGTTWIGYDDGASIRKKVQFARASGLKGYFFWALGKDKDWTISRQASNAWGH >Vigun10g164400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38353856:38354476:-1 gene:Vigun10g164400.v1.2 transcript:Vigun10g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFLLLALLSFTSDAAVNDFCVAELKGPDSPSGYHCKPPKSVTVDDFVFSGFVAGNTTNIFHAELTSTVVTDFPGLNGLGVSAARLDIAKGGAVPMHTHPAATELLIMVEGQITAGFVTPFEVYKKTLKAGDVMVFPQGLLHFQVNSGKGKASAFLAFSSANPGVQLLDLVLFGNSLSSELIAQTTFLDVAQVKKLKARFGGRG >Vigun07g261500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37763035:37764312:1 gene:Vigun07g261500.v1.2 transcript:Vigun07g261500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALLFFIPSFVLSSLCHALPREAVFDAADVLLDSGFVSMALTLEVVAETLLEQSPSATVFAPSDSAFKKSGQPSLDLLRFHLAPLPLTPSSLRLLSAGARIPTMLPGQSLTVTTSSSDRATSINNIKLTPSPIYDDGFLLVYGIDRFFDLNFQFNSQRPSSDSNSSCSAKNRTAVASDTFNPAIQTLQTSGYSAVASFLGMQLSGVVEPSGITVFAPADDSVMSSIGDFDQYPSFFRRHVVPCRLLWNDLVNLGDGSLLPTFLDGFSINATRFDGVLVFNGVPVFFPDLFFNERIAVHGVSNVLAAQGETFQENAKTLFDPFEF >Vigun10g172300.1.v1.2 pep primary_assembly:ASM411807v1:10:39094270:39098567:-1 gene:Vigun10g172300.v1.2 transcript:Vigun10g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPTMCLLILFSVIYGSVATHHLSRNLQTLSSDSNDQPYRTAYHFQPPKNWINDPNGPMRYGGLYHLFYQYNPKGATWGNIVWGHSVSKDLVNWTTLDPAIFPSQPSDINGCWSGSTTLLPGNKPTILYTGIDSMKHQVQNLAKPKNLSDPFLREWVKFPQNPVMAPTSDNNIESDSFRDPTTAWLGQDGHWRVLVGSKRRTRGMALLYRSKDFVNWVQAKHPLYSTKDSRMWECPDFFPVFKNGQLGVETSVNGDDVRHVLKASLKGHDYYTIGSYNTSKDVFVPDKGFDEFVLRFDYGKYYASKSFFDDGKKRRILLGWVDESSIEADDIKKGWAGIIAIPRAIWLHRSGKQLVQWPVMEIEKLHANPVNLAPQVLKGGQLLQINGVTAAQADVEISFEVNKLIEAEVLDNWTDPKILCSQKGSSIKSELGPFGLLVFASEGLQEFTSVFFRIFKYQNKNLVLLCSDQSRSSLNKNNDLTTYGAFVDVEPLHEQLSLRTLTDHSVVESFGGEGRACITARVYPTLVINDKAQLYAFNNGTADVNITRLNAWSMKKAQIN >Vigun10g172300.2.v1.2 pep primary_assembly:ASM411807v1:10:39095254:39098085:-1 gene:Vigun10g172300.v1.2 transcript:Vigun10g172300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNGHFGIFGLADPNGPMRYGGLYHLFYQYNPKGATWGNIVWGHSVSKDLVNWTTLDPAIFPSQPSDINGCWSGSTTLLPGNKPTILYTGIDSMKHQVQNLAKPKNLSDPFLREWVKFPQNPVMAPTSDNNIESDSFRDPTTAWLGQDGHWRVLVGSKRRTRGMALLYRSKDFVNWVQAKHPLYSTKDSRMWECPDFFPVFKNGQLGVETSVNGDDVRHVLKASLKGHDYYTIGSYNTSKDVFVPDKGFDEFVLRFDYGKYYASKSFFDDGKKRRILLGWVDESSIEADDIKKGWAGIIAIPRAIWLHRSGKQLVQWPVMEIEKLHANPVNLAPQVLKGGQLLQINGVTAAQADVEISFEVNKLIEAEVLDNWTDPKILCSQKGSSIKSELGPFGLLVFASEGLQEFTSVFFRIFKYQNKNLVLLCSDQSRSSLNKNNDLTTYGAFVDVEPLHEQLSLRTLVSF >Vigun08g054800.2.v1.2 pep primary_assembly:ASM411807v1:8:6709790:6713382:-1 gene:Vigun08g054800.v1.2 transcript:Vigun08g054800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHHHQTTLMLPNPTTPSRSSSASSSPLPLIHAIFARKNLFYHRLPSLPLRLSVLKLDGSSFHIQVSKTATIAELKDAVEAVFAHAPLKGPAKISWAHVWGQFCLCYDGQKLVTENDYLRNYGIKDGDQLRFIRHVSNNCCVQRKRLKKRIVYLKQQRRSSPVDSYEDKGKCDNDEIGSDDEATDNEKHETEEVEEERVVKNKFAGFVGELFSYTPLAVVRRTTTKSRIWPSTIPRCLVGSFRKIRSIVCFGKRRPYSRRLTWRHMG >Vigun08g054800.1.v1.2 pep primary_assembly:ASM411807v1:8:6709791:6713382:-1 gene:Vigun08g054800.v1.2 transcript:Vigun08g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHHHQTTLMLPNPTTPSRSSSASSSPLPLIHAIFARKNLFYHRLPSLPLRLSVLKLDGSSFHIQVSKTATIAELKDAVEAVFAHAPLKGPAKISWAHVWGQFCLCYDGQKLVTENDYLRNYGIKDGDQLRFIRHVSNNCCVQRKRLKKRIVYLKQQRRCRSSPVDSYEDKGKCDNDEIGSDDEATDNEKHETEEVEEERVVKNKFAGFVGELFSYTPLAVVRRTTTKSRIWPSTIPRCLVGSFRKIRSIVCFGKRRPYSRRLTWRHMG >Vigun08g082150.1.v1.2 pep primary_assembly:ASM411807v1:8:17201361:17201965:-1 gene:Vigun08g082150.v1.2 transcript:Vigun08g082150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCLSTKISGGFNSFLKRSITSINYFTFLISLHFFSLFLQCFTRSLKRFPQISKPEILIFTFVLKTLSSNWLHHIDVHLCHLRTCSSTIFRHVRPRSSVGARRSMLLGRCSISLAFHLHIQVIWLC >Vigun01g181400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36236825:36238034:1 gene:Vigun01g181400.v1.2 transcript:Vigun01g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDITISITSTLNFQLQKKKSLYYFIHRMSSNSAVNSPGFLGSSNINGYSYGIGISIGILLLIITITLTSYFCTRTHVSFAPPSRNRRLTSNALEPHHSIVDVSLDEATILSYPTLLYSEAKVQKCDSTATCCSICLADYKDTDTLRMLPDCGHQFHLKCIDPWLRLHPTCPVCRTSPIPTPLSTPLAEVVPLATRHDS >Vigun08g020700.2.v1.2 pep primary_assembly:ASM411807v1:8:1798130:1803797:1 gene:Vigun08g020700.v1.2 transcript:Vigun08g020700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKSLSAGAHPNDAGPDAPPRRLTRQRKLRHLSDHDIGFSFFPSADSSSSFSASPEPRHHCASDHRSLSSLPHPLPLPEAPLTRRAKSVSSGSVHPPLYASLEHPGFSSSGKALPHDALTRTKPTLHLDSTHANAKYNLREHIPAASFLTGHSSLKNRRAVSHGNDSEVNPHLNLGVAAKPKSAPTSVVNSPDTSPYRSNNIDFFDPTFNFYSEVNDKLKMLSARSVHSPDHSPIHCPLSLTRYFNPKIEEGSQNHKFFSKVCPENNHVDAHPLPLPPRASSPAQLSVLHQSNAIHHATENMPSVKGQWQKGKLIGRGTFGSVFHATNLETGASCAMKEVNLIPDDPTSAECMKQLDQVLPLVIYEIKILHQLNHPNIVRYYGSEIVGNHLYIYMEYVHPGSISKFMREHCGAMTESVVRNFTRHILSGLAYLHSNKTIHRDIKGANLLVNQSGIVKLADFGLAKILMGNSYDLSLKGSPYWMAPEVVKGAIKNESNLDVVTGIDIWSLGCTIIEMFTGKPPWSEAEWASAMFKALQESPPIPETLSSVGKDFLQKCFQRDPADRPSSSTLLKHAFVQNLHDQDVLGHPQSFPRGDLGPGGNSASPRDTTTTRSGTMQASIISSRCFNKTQKLLGDTSEHNNDKECNHVIPCSHSHVPEVNILQSSLKPGTLNYMSAENSRNISTMMRMITNF >Vigun08g020700.3.v1.2 pep primary_assembly:ASM411807v1:8:1798130:1803797:1 gene:Vigun08g020700.v1.2 transcript:Vigun08g020700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKSLSAGAHPNDAGPDAPPRRLTRQRKLRHLSDHDIGFSFFPSADSSSSFSASPEPRHHCASDHRSLSSLPHPLPLPEAPLTRRAKSVSSGSVHPPLYASLEHPGFSSSGKALPHDALTRTKPTLHLDSTHANAKYNLREHIPAASFLTGHSSLKNRRAVSHGNDSEVNPHLNLGVAAKPKSAPTSVVNSPDTSPYRSNNIDFFDPTFNFYSEVNDKLKMLSARSVHSPDHSPIHCPLSLTRYFNPKIEEGSQNHKFFSKVCPENNHVDAHPLPLPPRASSPAQLSVLHQSNAIHHATENMPSVKGQWQKGKLIGRGTFGSVFHATNLETGASCAMKEVNLIPDDPTSAECMKQLDQEIKILHQLNHPNIVRYYGSEIVGNHLYIYMEYVHPGSISKFMREHCGAMTESVVRNFTRHILSGLAYLHSNKTIHRDIKGANLLVNQSGIVKLADFGLAKILMGNSYDLSLKGSPYWMAPEVVKGAIKNESNLDVVTGIDIWSLGCTIIEMFTGKPPWSEAEWASAMFKALQESPPIPETLSSVGKDFLQKCFQRDPADRPSSSTLLKHAFVQNLHDQDVLGHPQSFPRGDLGPGV >Vigun08g020700.1.v1.2 pep primary_assembly:ASM411807v1:8:1798130:1803797:1 gene:Vigun08g020700.v1.2 transcript:Vigun08g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKSLSAGAHPNDAGPDAPPRRLTRQRKLRHLSDHDIGFSFFPSADSSSSFSASPEPRHHCASDHRSLSSLPHPLPLPEAPLTRRAKSVSSGSVHPPLYASLEHPGFSSSGKALPHDALTRTKPTLHLDSTHANAKYNLREHIPAASFLTGHSSLKNRRAVSHGNDSEVNPHLNLGVAAKPKSAPTSVVNSPDTSPYRSNNIDFFDPTFNFYSEVNDKLKMLSARSVHSPDHSPIHCPLSLTRYFNPKIEEGSQNHKFFSKVCPENNHVDAHPLPLPPRASSPAQLSVLHQSNAIHHATENMPSVKGQWQKGKLIGRGTFGSVFHATNLETGASCAMKEVNLIPDDPTSAECMKQLDQEIKILHQLNHPNIVRYYGSEIVGNHLYIYMEYVHPGSISKFMREHCGAMTESVVRNFTRHILSGLAYLHSNKTIHRDIKGANLLVNQSGIVKLADFGLAKILMGNSYDLSLKGSPYWMAPEVVKGAIKNESNLDVVTGIDIWSLGCTIIEMFTGKPPWSEAEWASAMFKALQESPPIPETLSSVGKDFLQKCFQRDPADRPSSSTLLKHAFVQNLHDQDVLGHPQSFPRGDLGPGGNSASPRDTTTTRSGTMQASIISSRCFNKTQKLLGDTSEHNNDKECNHVIPCSHSHVPEVNILQSSLKPGTLNYMSAENSRNISTMMRMITNF >Vigun09g147500.1.v1.2 pep primary_assembly:ASM411807v1:9:31111918:31114197:-1 gene:Vigun09g147500.v1.2 transcript:Vigun09g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISINSFSLSSTLPKMELRVGNSGLCISSQMMPQMRKEGRGRRVWRRRKLLKKDEYMEPKMERIPFMEEQVRKIREQGKLLTLDIERLMLSEDNRFEFVNEIAAEANEYVENNRDEYGGEKKAILHVLSNRMNDAGIYRPEAYYEPDHFKPGPHYLREEFT >Vigun09g147500.2.v1.2 pep primary_assembly:ASM411807v1:9:31111918:31114197:-1 gene:Vigun09g147500.v1.2 transcript:Vigun09g147500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISINSFSLSSTLPMELRVGNSGLCISSQMMPQMRKEGRGRRVWRRRKLLKKDEYMEPKMERIPFMEEQVRKIREQGKLLTLDIERLMLSEDNRFEFVNEIAAEANEYVENNRDEYGGEKKAILHVLSNRMNDAGIYRPEAYYEPDHFKPGPHYLREEFT >Vigun07g061400.2.v1.2 pep primary_assembly:ASM411807v1:7:6947195:6953147:-1 gene:Vigun07g061400.v1.2 transcript:Vigun07g061400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLISSPNVSSLTTGSNLWARKLSLNTVYFQSTLGCHGSKASKHNIKAEIQYNPLRFQQSAFNHHYKSIERGATSEETNKIYVVKADSVPSSESESEASNSKSIVESVKDFMAVLYQFIYPYALYGRTSVAISASLVAVERLSDISPLFFIGLLQALLPHLFMDLYVNGVNQVFDFEIDKINKPYLPLASGKISFRSCALIVALSAILGLGLNLMIGSPALISNFVLSVILWTCYSVNLPFLRWKQYPVAASLLMFVCWTCIIPISYFLHMQTFVLKRPVAFTRSFIVFLLFMSFYSTGIALSKDIPDVEGDIKHGVDSFAARLGQKKVFWISVFLYEMAFGVAFLAGASSSSPFWIKFVTCLGNVVLGSILWYQTKYVDVTNPASGRSFYSLNWKLMMGAHVLLPLIR >Vigun07g061400.1.v1.2 pep primary_assembly:ASM411807v1:7:6947195:6953147:-1 gene:Vigun07g061400.v1.2 transcript:Vigun07g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLISSPNVSSLTTACFVIVGSNLWARKLSLNTVYFQSTLGCHGSKASKHNIKAEIQYNPLRFQQSAFNHHYKSIERGATSEETNKIYVVKADSVPSSESESEASNSKSIVESVKDFMAVLYQFIYPYALYGRTSVAISASLVAVERLSDISPLFFIGLLQALLPHLFMDLYVNGVNQVFDFEIDKINKPYLPLASGKISFRSCALIVALSAILGLGLNLMIGSPALISNFVLSVILWTCYSVNLPFLRWKQYPVAASLLMFVCWTCIIPISYFLHMQTFVLKRPVAFTRSFIVFLLFMSFYSTGIALSKDIPDVEGDIKHGVDSFAARLGQKKVFWISVFLYEMAFGVAFLAGASSSSPFWIKFVTCLGNVVLGSILWYQTKYVDVTNPASGRSFYSLNWKLMMGAHVLLPLIR >Vigun11g208500.1.v1.2 pep primary_assembly:ASM411807v1:11:40439949:40443293:1 gene:Vigun11g208500.v1.2 transcript:Vigun11g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHANGVSRGHKANGTTQVEGPNWLIFAAGALLSTLSIRLGYKLKQALDSKPKQNATSIQKGNGKSSSTKKSADYFLQTNGYSQMQDNHGCFTCISGTGGTMELKCPPNGQMLNEFDGALPLVTVPAAAVAPEFSKENGAVWACSPEHHLELPSKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQMAELQNSLNAQMGLSSHLQMQLDATNRDLFDSEREIQRLRKAIADHCVGYIPHDKSSKVTTSVETRNGLLNGHLDGESNSESPEKLRDEEERVELLKRQVGELKEVIEGKEYLLQSYKEQKTELALKIRELQHRLDSQLPNIL >Vigun11g208500.2.v1.2 pep primary_assembly:ASM411807v1:11:40440713:40443293:1 gene:Vigun11g208500.v1.2 transcript:Vigun11g208500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHANGVSRGHKANGTTQVEGPNWLIFAAGALLSTLSIRLGYKLKQALDSKPKQNATSIQKGNGKSSSTKKSADYFLQTNGYSQMQDNHGCFTCISGTGGTMELKCPPNGQMLNEFDGALPLVTVPAAAVAPEFSKENGAVWACSPEHHLELPSKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQMAELQNSLNAQMGLSSHLQMQLDATNRDLFDSEREIQRLRKAIADHCVGYIPHDKSSKVTTSVETRNGLLNGHLDGESNSESPEKLRDEEERVELLKRQVGELKEVIEGKEYLLQSYKEQKTELALKIRELQHRLDSQLPNIL >Vigun02g134900.1.v1.2 pep primary_assembly:ASM411807v1:2:28488822:28491088:1 gene:Vigun02g134900.v1.2 transcript:Vigun02g134900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAGCFPSKNRPPPVADHSPTPSAQPPSLPPNARASQPDAPPREDTARSSSLPRDAKLKIFIVFYSMYGHVEGLAKRLKRGVDGVEGVEGVLYRVPETLPIEVLNQMRAPPKDDSIPEIAPEDLEAADGLLFGFPTRYGAMASQMKAFFDSTGNLWKGQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGPGMFNMEFIRGGSPYGAGVFAGDGTREPSETELALAEHQGKYMAVVVKRLAKS >Vigun01g137700.1.v1.2 pep primary_assembly:ASM411807v1:1:31806041:31806938:-1 gene:Vigun01g137700.v1.2 transcript:Vigun01g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLTDDQIAEFQEAFCLIDKDCDGFISVDELTAIFRSIVGNPTKEEIEDMISEVDLDGNGSIDFEEFLNIMSRKLKETLSEELKEAFKVFDRDQDGYISAIELRHVMMNLGERLTDEEAEQMIREADLDGDGQVSFDEFARIMMLK >Vigun06g188100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30671411:30673330:-1 gene:Vigun06g188100.v1.2 transcript:Vigun06g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLGHTTRTSSNILPLTFFLRHHTHSPLPHQHGPCCVFSATELRSFHWRRPPQRGGEQVRLPCGSSLPLSRLLRASPNCTFHNSRCQISLLLPHPSSLLAFQKRLLIPHLGSFSALPLSSFHHEKFPFLNNSRTFVSDADSGLEEDCDGRGVSGESRVDLDEVHKVCKVIDELFALDRNMEAVLDECGFQLSHDLVVEVLQRFKHARKPAFRFFCWAGKRPGFAHDSRTYNCMMSVLGRTRQFETMVALLEEMGEKGLLTMETFSIAIKAFSEAKQRKKAVGIFDLMKKHGFKVGVDVINFLLESLGAAKLGKEAQAIFEKLRDRFTPNLQTYTILLSGWCRLKNLLEAGRVWNEMIDGGLKPDIVAHNVMLEGLLKCKKKSDAIKLFEIMKAKGPSPNVRSYTIIIQDFCKQKMTGEAIEYFDEMVDRGCQPDVALYTCLITGFGKQKKMDMVYNLLKEMRERGCSPDGRTYNALIKLMTSQHMADDAVRIYKKMIQSGIEPTIHSYNMIMKSYFMAKNYEMGHVIWDEMHQKGCCPDDNSYAVLIGGLIRQDRSGDACRYLEEMLEKGMKAPQLDYNKFASDISKTGNTVILEELARKMNFVGKFEVSNILASWVDMMKKSAKRREHTNSASQFI >Vigun07g066225.1.v1.2 pep primary_assembly:ASM411807v1:7:7738611:7741088:1 gene:Vigun07g066225.v1.2 transcript:Vigun07g066225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDIDILAAFRVTPQPGVPPEEAGSVTNMFTSIVGNVFGFKTLRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRVVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRENGLLLHIHRAMHAVIDIQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASEGIHIWHMSALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKTRNEGRDLAREGTRGIHPTTLKVNPITSMMDRNHRPGPPATPPDVIHHTLLES >Vigun09g037700.1.v1.2 pep primary_assembly:ASM411807v1:9:3351727:3363468:-1 gene:Vigun09g037700.v1.2 transcript:Vigun09g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSKEEVTGSPKWGASIIMQTTEDVARAVASAVNSPRPSVIYSSKNDQGGNQFQRLQYQVTKMIKGFSRPSEVKYNNYNPEILTAQKRQWAANFQLQYMDHRSWKEPTKLFESMVVVGLHPNCDIQALQRQCFLRKSEGPGKLRSALGYQNQSRVGPSLEPQVLFVYPPEKQLPLNDKDLLSFCFPGGLEVNAVERTPSMSELNEILFGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVQKPSGLLSLISEKRSSYSPLKRERHLLTTQRCYCILSRLPFFELHFGVLNSIFMQERLDRLTKNIGDLDLEYVEDSCGEDNFGEHSECTLVNDRLEDRHDDSPTISQSSLINSLPEIIEDDSSYPKKEIVNVELHIFNDRVNDDNPVSCDLEKDKKNVNEESAPTNADDCDLYGDAFVTNKQSEDRRLPNAILPLLRYCQYESSESSCSFQGSPCDDRNFRSDADDTETEDASFSGQEDLNDLNVILEWAKANNCGLLQIISEYYCLSCPARGTSLRFHPLEHLHPLEYHRPDDAILHLAGSTVDLKSFSAGLEFAEAHNSLLAEEEATALSTWAVGCMCGTLRLENVLAFFAGALLEKQIVFVCSNLGILSASVLSVISLIRPYQWQSLLMPVLPNDMLEFLDAPVPYIVGIKNKTSEVQSKLTNNVIVVDANRNQVRSSAIPQLPRQKELIASLRPYHATLVGESYLGRRRPVYECTEVQVEAAKGFLSVLRTYLDSLCHNIRSHTITNVQSNDDKVSLLLKESFIDSFPYRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Vigun11g213300.1.v1.2 pep primary_assembly:ASM411807v1:11:40816798:40819606:-1 gene:Vigun11g213300.v1.2 transcript:Vigun11g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTESSLLLPSGSNSRKSTVPEDKWDLAYIVYFSLGFGYLLPWNAFITAVDYFSYLYPDTSVDRVFAVVYMLIGLVGISLIILYRHSSNAFLRINVGLVLFVVSLLIVPLIDAFYVKGRVGVNGGFYATAFAVALSGVADALVQGSIVGSAGELPPRYTQAVVAGTAGSGVLVSVLRVITKAVYPQDASGLQKSANLYFSVSIVIVFICMVLYNIVHKLPIMKYYNELKVEAAAANGDSGPPTGAVWRSTLWDIVGSIKWYGFGIVLIYAVTLAIFPGYVTEDVHSQVLKDWYPILLIAAYNVFDLVGKSLTAVYLLQNTKVAIGSCIARLLFFPLFLGCLHGPQFFRTEIPVTILTCLLGLTNGYLTSVLMIMIPKAVKLQHSETAGIVSVLFLVFGLAAGSVIAWFWVI >Vigun11g213300.2.v1.2 pep primary_assembly:ASM411807v1:11:40816798:40819592:-1 gene:Vigun11g213300.v1.2 transcript:Vigun11g213300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTESSLLLPSGSNSRKSTVPEDKWDLAYIVYFSLGFGYLLPWNAFITAVDYFSYLYPDTSVDRVFAVVYMLIGLVGISLIILYRHSSNAFLRINVGLVLFVVSLLIVPLIDAFYVKGRVGVNGGFYATAFAVALSGVADALVQGSIVGSAGELPPRYTQAVVAGTAGSGVLVSVLRVITKAVYPQDASGLQKSANLYFSVSIVIVFICMVLYNIVHKLPIMKYYNELKVEAAAANGDSGPPTGAVWRSTLWDIVGSIKWYGFGIVLIYAVTLAIFPGYVTEDVHSQVLKDWYPILLIAAYNVFDLVGKSLTAVYLLQNTKVAIGSCIARLLFFPLFLGCLHGPQFFRTEIPVTILTCLLGLTNGYLTSVLMIMIPKAVKLQHSETAGIVSVLFLVFGLAAGSVIAWFWVI >Vigun08g092850.1.v1.2 pep primary_assembly:ASM411807v1:8:21734190:21734505:-1 gene:Vigun08g092850.v1.2 transcript:Vigun08g092850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARATAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGL >Vigun04g123300.2.v1.2 pep primary_assembly:ASM411807v1:4:31455402:31459426:1 gene:Vigun04g123300.v1.2 transcript:Vigun04g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISLSLSSSSHTWIYDVFLSFRGEDTRYQFTHNLYHSLCEKGIHTFIDQEGLRRGEEITPALFHAIQNSRISIVVFSKNYASSTYCLNELVKILECAKEEGRSIYPIFYGVDPSEVRHQTGSYGEALSKHEARFHNDADNEKTQKWRKALHEAANLSGWHFRHGSQPEFEFIREIVKEISAKINYIPLHVADKPIGLEYAVQGVKSLLGEGSDVNMIGIYGIGGIGKTTIARALYNTIFWHYDGSCFLPDIREKAVNKHGNVQLQEILLSQILKGEDIKVGDVNRGIPLIKRRLQQKKVLLVLDDVDKLEQLKALAGGCDWFGSGSIIIITTRDKHLLDARGVVNLYEVKPLKVERALELFSWHAFKNGKVDPPYMKIAMRAVSYACGLPLALEVIGSQLFGKSLDECSSALDKYEDGLRVLADRSLIKIDSSGFVRMHDLIRDTGREIVRQESTLEPGRRSRLWFDEDIVHVLEENTGSDKIEFIKLEGYNNIQVQWDGKAFKEMKNLRILIIKGSSFSTVPEHLPNSLRVVDWSCYPSPSLPSDFNPKRFEILLMPESSLRMFKPQKMMESLSVINLEDCTFLTDLRSLREAPFLTTLRLDRCSNLVNIDESIGFLDKLRLLSAKHCTKLKALAPSIMLTSLETLDLWGSESLESFPEVLGKMEKIRKVYLDGTGIEKLPFSIGNFVWLELLSLKGCEKLHQVPGSISIMPKVKVVVDYGHEVYQIFEEELSSEVSPRAMLIGDSDVYLDVYNSHHVYLDVYYSYVSPNNVIRVYPPNQLLHQLLHSDLRLLFSKIQLEDDRLWLNQKNSEINMFRFRNKFPKVALCCSVLAPALKSVVVMNLKFRVLINNTLQFSALCNFIVGGGSNTILWCDLEGKVDEVIWKVDEVISEREWDKAKIYFQLDFPMQRNCEDKKTKRSIGGGSLIWSLIGVYEEGNNKEDIRAYDN >Vigun04g123300.1.v1.2 pep primary_assembly:ASM411807v1:4:31455402:31459291:1 gene:Vigun04g123300.v1.2 transcript:Vigun04g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISLSLSSSSHTWIYDVFLSFRGEDTRYQFTHNLYHSLCEKGIHTFIDQEGLRRGEEITPALFHAIQNSRISIVVFSKNYASSTYCLNELVKILECAKEEGRSIYPIFYGVDPSEVRHQTGSYGEALSKHEARFHNDADNEKTQKWRKALHEAANLSGWHFRHGSQPEFEFIREIVKEISAKINYIPLHVADKPIGLEYAVQGVKSLLGEGSDVNMIGIYGIGGIGKTTIARALYNTIFWHYDGSCFLPDIREKAVNKHGNVQLQEILLSQILKGEDIKVGDVNRGIPLIKRRLQQKKVLLVLDDVDKLEQLKALAGGCDWFGSGSIIIITTRDKHLLDARGVVNLYEVKPLKVERALELFSWHAFKNGKVDPPYMKIAMRAVSYACGLPLALEVIGSQLFGKSLDECSSALDKYEGIPHEKIQEILKVSYNSLEENEKGIFLDIACFFNTYELSNVTPMLKAHGFHVEDGLRVLADRSLIKIDSSGFVRMHDLIRDTGREIVRQESTLEPGRRSRLWFDEDIVHVLEENTGSDKIEFIKLEGYNNIQVQWDGKAFKEMKNLRILIIKGSSFSTVPEHLPNSLRVVDWSCYPSPSLPSDFNPKRFEILLMPESSLRMFKPQKMMESLSVINLEDCTFLTDLRSLREAPFLTTLRLDRCSNLVNIDESIGFLDKLRLLSAKHCTKLKALAPSIMLTSLETLDLWGSESLESFPEVLGKMEKIRKVYLDGTGIEKLPFSIGNFVWLELLSLKGCEKLHQVPGSISIMPKVKVVVDYGHEVYQIFEEELSSEVSPRAMLIGDSDVYLDVYNSHHVYLDVYYSYVSPNNVIRVYPPNQLLHQLLHSDLRLLFSKIQLEDDRLWLNQKNSEINMFRFRNKFPKVALCCSVLAPALKSVVVMNLKFRVLINNTLQFSALCNFIVGGGSNTILWCDLEGKVDEVIWKVDEVISEREWDKAKIYFQLDFPMQRNCEDKKTKRSIGGGSLIWSLIGVYEEGNNKEDIRAYDN >Vigun02g042000.1.v1.2 pep primary_assembly:ASM411807v1:2:17089420:17090739:1 gene:Vigun02g042000.v1.2 transcript:Vigun02g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCNGCRILRKGCTEDCVIRPCLEWINSSEAQANATLFLSKFYGRAGLLNLINAVPQQHRTDVFKSLMYEACGRIINPTFGSVGLFWSGEWAQCQAAVDAVLAGSQINVMPSDRGSKLLDIRHVSKDANMDKVKGKSKKHKTTEDVTMPMSHVGLVNPATLWKPILSHEPRRFEGGNGEIVKADKPRINGGANNIDLELTLG >Vigun02g146000.2.v1.2 pep primary_assembly:ASM411807v1:2:29408812:29413135:-1 gene:Vigun02g146000.v1.2 transcript:Vigun02g146000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYSQPQPAGLLTYHQYYQPPPPQPPPLLAVVPPPPGAVVPPAHHGHPPYVAQHQQQAVFGSYGAPQPSTNEVRTLFVAGLPEDVKPREIYNLFHEFPGYESSHLRSPTNSSQPFAFAVFASQQSAIMAMHALNGMVFDLEKGSTLFIDLAKSNSRSKRTRIDDERTGADKKARSLTSSWITPDSGVSSIHMPGMGNPAFNTNTFGYPPAQSLGSADGSAVNDGRYLNMKKSSAPYIPQNSTPCATLFVANLGPSCNEQELMQVFSRFHGFLKLKMQSTYGAPVAFVDFQDANSSTEALNSLQGTILHSSQTGEGMRLEYAKSRMGMRKKPK >Vigun02g146000.4.v1.2 pep primary_assembly:ASM411807v1:2:29408814:29413087:-1 gene:Vigun02g146000.v1.2 transcript:Vigun02g146000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYSQPQPAGLLTYHQYYQPPPPQPPPLLAVVPPPPGAVVPPAHHGHPPYVAQHQQQAVFGSYGAPQPSTNEVRTLFVAGLPEDVKPREIYNLFHEFPGYESSHLRSPTNSSQPFAFAVFASQQSAIMAMHALNGMVFDLEKGSTLFIDLAKSNSRSKRTRIDDERTGADKKARSLTSSWITPDSAGVSSIHMPGMGNPAFNTNTFGYPPAQSLGSADGSAVNDGRYLNMKKSSAPYIPQNSTPCATLFVANLGPSCNEQELMQVFSRFHGFLKLKMQSTYGAPVAFVDFQDANSSTEALNSLQGTILHSSQTGEGMRLEYPLVT >Vigun02g146000.5.v1.2 pep primary_assembly:ASM411807v1:2:29408814:29413088:-1 gene:Vigun02g146000.v1.2 transcript:Vigun02g146000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYSQPQPAGLLTYHQYYQPPPPQPPPLLAVVPPPPGAVVPPAHHGHPPYVAQHQQQAVFGSYGAPQPSTNEVRTLFVAGLPEDVKPREIYNLFHEFPGYESSHLRSPTNSSQPFAFAVFASQQSAIMAMHALNGMVFDLEKGSTLFIDLAKSNSRSKRTRIDDERTGADKKARSLTSSWITPDSAGVSSIHMPGMGNPAFNTNTFGYPPAQSLGSADGSAVNDGRYLNMKSSAPYIPQNSTPCATLFVANLGPSCNEQELMQVFSRFHGFLKLKMQSTYGAPVAFVDFQDANSSTEALNSLQGTILHSSQTGEGMRLEYAKSRMGMRKKPK >Vigun02g146000.1.v1.2 pep primary_assembly:ASM411807v1:2:29408814:29413087:-1 gene:Vigun02g146000.v1.2 transcript:Vigun02g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYSQPQPAGLLTYHQYYQPPPPQPPPLLAVVPPPPGAVVPPAHHGHPPYVAQHQQQAVFGSYGAPQPSTNEVRTLFVAGLPEDVKPREIYNLFHEFPGYESSHLRSPTNSSQPFAFAVFASQQSAIMAMHALNGMVFDLEKGSTLFIDLAKSNSRSKRTRIDDERTGADKKARSLTSSWITPDSAGVSSIHMPGMGNPAFNTNTFGYPPAQSLGSADGSAVNDGRYLNMKKSSAPYIPQNSTPCATLFVANLGPSCNEQELMQVFSRFHGFLKLKMQSTYGAPVAFVDFQDANSSTEALNSLQGTILHSSQTGEGMRLEYAKSRMGMRKKPK >Vigun02g146000.3.v1.2 pep primary_assembly:ASM411807v1:2:29408814:29413099:-1 gene:Vigun02g146000.v1.2 transcript:Vigun02g146000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAYYSQPQPAGLLTYHQYYQPPPPQPPPLLAVVPPPPGAVVPPAHHGHPPYVAQHQQQAVFGSYGAPQPSTNEVRTLFVAGLPEDVKPREIYNLFHEFPGYESSHLRSPTNSSQPFAFAVFASQQSAIMAMHALNGMVFDLEKGSTLFIDLAKSNSRSKRTRIDDERTGADKKARSLTSSWITPDSGVSSIHMPGMGNPAFNTNTFGYPPAQSLGSADGSAVNDGRYLNMKSSAPYIPQNSTPCATLFVANLGPSCNEQELMQVFSRFHGFLKLKMQSTYGAPVAFVDFQDANSSTEALNSLQGTILHSSQTGEGMRLEYAKSRMGMRKKPK >Vigun02g114400.2.v1.2 pep primary_assembly:ASM411807v1:2:26807318:26810273:-1 gene:Vigun02g114400.v1.2 transcript:Vigun02g114400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNPFGDTLTVMMNPMKKNVQGESTMKTPWQVLDELRGESSDDGENDVGGGSGNHRRGKNGVGGGSGGENGNGGDVSGGGGVPPVAVVESGGVREGVMGKKRKTSVVRDPPTGKPTCALCLKEFPTWKGAFGHMRAHPDRDYRGFFKPPVFGSTSSTQHHHPLKKGNTSESGDGESKSTGGEKGSASVPIQMLMFDLNEPPSAVENNAGGAVTEKSAENGKIFGFDLNAEGNVFGFDLNEMPLAEE >Vigun02g114400.1.v1.2 pep primary_assembly:ASM411807v1:2:26807318:26810273:-1 gene:Vigun02g114400.v1.2 transcript:Vigun02g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNPFGDTLTVMMNPMKKNVQGESTMKTPWQVLDELRGESSDDGENDVGGGSGNHRRGKNGVGGGSGGENGNGGDVSGGGGVPPVAVVESGGVREGVMGKKRKTSVVRDPPTGKPTCALCLKEFPTWKGAFGHMRAHPDRDYRGFFKPPVFGSTSSTQHHHPLKKGNTSESGDGESKSTGGEKGSASVPIQMLMFDLNEPPSAVENNAGGAVTEKSAENGKIFGFDLNAEGNVFGFDLNEMPLAEE >Vigun09g244100.1.v1.2 pep primary_assembly:ASM411807v1:9:41290423:41295139:-1 gene:Vigun09g244100.v1.2 transcript:Vigun09g244100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVNGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTQKSHKSRHRMHRTLGSSHKTMSRSFSGDSHSKGSVSMPHGSTKVDLSKLEMAALWRYWRHFNLVDAVPNPSKEQLVDIVQRHFMSQQIDELQVIVGFVQAAKRLKTVCK >Vigun03g320000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51427559:51427987:1 gene:Vigun03g320000.v1.2 transcript:Vigun03g320000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAELRQHDPQDLEVLKAVAQAWYSHSGTSKPMSEFDAHRRNFRGKPSRFKLQALTNPPTSSKDTHWDFQHSLWDSYELVTVSRRIETGLTLDNPFHDLCGSTPIQPKRKPESKNSLRNLFNLMSSRRFNAPNIPHQNDA >Vigun04g056700.1.v1.2 pep primary_assembly:ASM411807v1:4:5562091:5565524:1 gene:Vigun04g056700.v1.2 transcript:Vigun04g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTPTSEFDIVILGASGFTGKHVLKEALKFLTNFNSIAIAGRDTSKLAQTLNWAARPNPPPPIPILAADTADAASLRALCDRARILLNCVGPFRRHGAPVVAACVAAGCDYLDITGETEFMERMEREHHAQAVKNGALVVSACGFDSVPAEMGFLFHSRQWVGPARPNRVEAYLSLESERRIVGNFGTFESAVMAVKDLNEIEQSRVNRVKPKIPGPPPKGEIIEHQKKIGLWGVTLPSADATLVGRTLSTLTESPDGLPGLDESEEMVEKRKTFWSSVKPAHFGVKLGSKSLLHMFGFIMIGIFIGVLGRFSLGRWLLLKYPSIFTFGGFSKNGPSEEEIASASFKMWFVGHGFSNEGEGQKKADMEIITRVMGPEMGYVTTPIILVQCALILHTQRKNLPKGGVYTPGIVFGATDLQERLQQNGISFHVVSKTSLST >Vigun09g167800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33637977:33640072:1 gene:Vigun09g167800.v1.2 transcript:Vigun09g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEHKIKTEPPSKAMRSILSASSPAPAASDSKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSANFNFPLSSSQYIPGDAVMSPKSIQRVAAAAANSFIDNATIPASASPASTSSSSLVSSPSMAYSPSDQVDDDASLISSLEAAYTTRDQANESMAMNVMEPWYTLDDLQSPKYVDQMLSGAFFDVDSSHLLDDLFEESDIRLWSFC >Vigun09g109500.1.v1.2 pep primary_assembly:ASM411807v1:9:23268184:23276462:-1 gene:Vigun09g109500.v1.2 transcript:Vigun09g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQANITRTNHIMWTMGTDFKYQYAQSWFRQLDKFIHYVNQDGRVHALYSTPSIYTDAKHATNEAWPIKTDDYFPYADRVNAYWTGYFTSRPALKGYVRLISGYYLAARQLEYFKGKSALGPNTDSLAEALAIAQHHDAVSGTEKQHVANDYAKRLSIGYTEAEKVVAESLACLTEGATKTGCKNPETKFQQCPLLNISYCPSSEIDFSNGKNLEVVIYNPLGWKREDIIRIPVVNENVGVRDSSGKKVQSQLVPIPDFFLGLRNYHIVAYLGVSPTVKPKYWLAFPATVPPLGFSTYYVSYAKNEATISDRHASHKSKNGSDVITVGPENLKLTYSEKKGKLTEYINSRSKVEESLEQTYKFYAGKGVDESETVPQASGAYIFRPDGSPSPINSKRKKSPLLVFRGPIVHEVHQQIHSWIYQTTRLYKGKEHAEVEFIVGPIPIDDGVGKEIATEIKTNLASNKTFYTDSNGRDFIERVRDYRKDWHLEVNQPVAGNYYPINLGIYLKDKRKEFSILVDRAVGGSSIMDGQLELMVHRRLLQDDSRGVAEALNETVCIHNTCTGLSVLGKYYFRIDPVGEGARWRRSFGQEIYSPLLLAFTESDAHRGESHVTTFSGIDSSYNLPDNVAIITLQDVGDGKVLLRLAHLYEIEEDKYLSVKAIVELKKVFFPKQIRKITEVSLSANQERAEMERKRLVWQVKGSPGESKVWRGGPVNPENQIVELAPMEIRTFLISFRP >Vigun07g015100.3.v1.2 pep primary_assembly:ASM411807v1:7:1396253:1407734:-1 gene:Vigun07g015100.v1.2 transcript:Vigun07g015100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLLRPTFNISHRSLAFLHLPSPKSFLANLPISSSVMAYRPNYQGGGRRGGSSAAGRGGGRRGGGGGRGGRGEQRWWDPVWRAERLRQQQAEKEVLDENEWLDKIEKMKRGGEQEMVIKRNFSIADQKTLADMAYQHELYFHAYSKGKILVVSKVPLPDYRADLDERHGSTQKEIRMSTDIERKVGNLLNSSHSMGAAPSSSRSVSTDLGPKQSAITIKTVSSQQTDTSKEKLSVELKEKQELVQASDSLKEMKSFREKLPAFKMKSEFLKAVQENQVLVVSGETGCGKTTQLPQFILEEEISCLRGADCNIICTQPRRVSAISVATRISSERGESIGETIGYQIRLESKRSADTRLLFCTTGVLLRQLVQDPDLKGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINADLFSKYFANAPTIHIPGFTYPVSEYFLEDVLEKTRYSIKSDFDNYEGNSRRRRKQQDSKKDPLTEMFEDIDVDTNYKDYSLGVRKSLEAWSGVQIDLGLVESTIEYICRNEGSGAILVFLTGWDEISKLLDKLKGNNLLGDPHKFLILPLHGSMPTVNQCEIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHEAMPQYQLAEILRTPLQELCLHIKSLRLGTVGSFLEKALQPPDPLAVKNAIELLKTVGALDEHEELTPLGRHLCNIPLDPNIGKMLLMGSIFQCLNPALTIAAALAYRNPFVLPINRKEEADAAKQSFAGDSCSDHIALLKAFEGWKDAKRSGNEKQFCWDNFLSPVTLRLIDDMRMQFLNLLSDIGFVDKSRGPNAYNQYSHDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYIVYSEKVKTTSIYIRDSTNISDYALLLFGGNLVPSKSGEGIDMLEGYLHFSASKSVIDLIRKLRGELDKLLNRKIEEPGFDVSSEGRGVVAAAVELLHSQVIR >Vigun07g015100.2.v1.2 pep primary_assembly:ASM411807v1:7:1396253:1407734:-1 gene:Vigun07g015100.v1.2 transcript:Vigun07g015100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLLRPTFNISHRSLAFLHLPSPKSFLANLPISSSVMAYRPNYQGGGRRGGSSAAGRGGGRRGGGGGRGGRGEQRWWDPVWRAERLRQQQAEKEVLDENEWLDKIEKMKRGGEQEMVIKRNFSIADQKTLADMAYQHELYFHAYSKGKILVVSKVPLPDYRADLDERHGSTQKEIRMSTDIERKVGNLLNSSHSMGAAPSSSRSVSTDLGPKQSAITIKTVSSQQTDTSKEKLSVELKEKQELVQASDSLKEMKSFREKLPAFKMKSEFLKAVQENQVLVVSGETGCGKTTQLPQFILEEEISCLRGADCNIICTQPRRVSAISVATRISSERGESIGETIGYQIRLESKRSADTRLLFCTTGVLLRQLVQDPDLKGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINADLFSKYFANAPTIHIPGFTYPVSEYFLEDVLEKTRYSIKSDFDNYEGNSRRRRKQQDSKKDPLTEMFEDIDVDTNYKDYSLGVRKSLEAWSGVQIDLGLVESTIEYICRNEGSGAILVFLTGWDEISKLLDKLKGNNLLGDPHKFLILPLHGSMPTVNQCEIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHEAMPQYQLAEILRTPLQELCLHIKSLRLGTVGSFLEKALQPPDPLAVKNAIELLKTVGALDEHEELTPLGRHLCNIPLDPNIGKMLLMGSIFQCLNPALTIAAALAYRNPFVLPINRKEEADAAKQSFAGDSCSDHIALLKAFEGWKDAKRSGNEKQFCWDNFLSPVTLRLIDDMRMQFLNLLSDIGFVDKSRGPNAYNQYSHDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYIVYSEKVKTTSIYIRDSTNISDYALLLFGGNLVPSKSGEGIDMLEGYLHFSASKSVIDLIRKLRGELDKLLNRKIEEPGFDVSSEGRGVVAAAVELLHSQVIR >Vigun04g021900.1.v1.2 pep primary_assembly:ASM411807v1:4:1659309:1660884:-1 gene:Vigun04g021900.v1.2 transcript:Vigun04g021900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDGWVTQHGTLDFSIVVPNKTTLPIILGNPKQLRSILNPLTPLITIKPFLIFILLFLTVFLHTATKHFFLSPYTSLFTMRLSCNGCRVLRRGCTVECPIRTSLQWISCPDSQSNATLFLAKFYGRQGLLNLLTNAPSHLQPAVFKSLLYEACGRMVNPIFGSTGLLSTGNWHLCEAAAKAVLSGAPIQQALLDGAESLHSKDCDIRHVAKSHKSCGPDALHRVKSRTSKLKRRAAKPKVESFVAELAQNELDGRGSLSQDSASGLTRTSENKIIGGEADSSCSENVVEPARANDEEIELHLTLGWGPVKKSTTPVVPRVGLDLSG >Vigun02g169000.1.v1.2 pep primary_assembly:ASM411807v1:2:31226288:31227187:1 gene:Vigun02g169000.v1.2 transcript:Vigun02g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVWKLVLVLSITVIGFANGQGVPPCLGPLSPCIDFLNSTKPPPQTCCNPVKEVNANQNSCFCELALTPGALEALGTTLSHAIQLLQSCGVNFKLTSCKAPFSSAPPPATVGGDEGGTSRATFSGLSFALFLCVYAMFN >Vigun01g176300.1.v1.2 pep primary_assembly:ASM411807v1:1:35751699:35769169:1 gene:Vigun01g176300.v1.2 transcript:Vigun01g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAGAENSDGSGSERELAGNGNNGFLESSGSAGSEGNDSVSYANVLRSRNKFADALVIYERVLESDGANVEALIGKGICLQMQNKGRLAFESFFEAIKLDPQNARALTHCGILYKDDGRLVEAAESYQKALRVDPSYRAAAECLAIVLTDIGTNTKLAGNTQEGIKKYFEALKVDPHYAPAYYNLGVVYSEMMQYDMALTFYEKAAAERPVYAEAYCNMGVIYKNRGDLDSAISCYDRCLTVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVALYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRENLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKVDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGDITGAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGIDDTLFEVHRNWGRRFMRLYPHYTSWVNSKDPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHDYSNFKVIVYSAVVKADAKTIRFREKVTRKGGIWKDIYGIDEKKVADMVREDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPETKQKHIEELVRLPECFLCYTPSPEAGPVSATPALSNGFITFGSFNNLAKITPKVLKVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMHAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLRNVGMEHLIARNEDEYVELAKKLASDISSLHNLRMSLRDVMSKSPLCDGAKFTLGLESTYRNMWHRYCRGDVPSLKRMELLEEVAMGDLTNKTSESSRIANSREESPGSVKANGFDTMPVSKLNIDSCEENGGSSNGGSKQGKREVNVDFHPRVLL >Vigun01g176300.3.v1.2 pep primary_assembly:ASM411807v1:1:35751699:35767138:1 gene:Vigun01g176300.v1.2 transcript:Vigun01g176300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAGAENSDGSGSERELAGNGNNGFLESSGSAGSEGNDSVSYANVLRSRNKFADALVIYERVLESDGANVEALIGKGICLQMQNKGRLAFESFFEAIKLDPQNARALTHCGILYKDDGRLVEAAESYQKALRVDPSYRAAAECLAIVLTDIGTNTKLAGNTQEGIKKYFEALKVDPHYAPAYYNLGVVYSEMMQYDMALTFYEKAAAERPVYAEAYCNMGVIYKNRGDLDSAISCYDRCLTVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVALYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRENLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKVDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGDITGAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGIDDTLFEVHRNWGRRFMRLYPHYTSWVNSKDPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHDYSNFKVIVYSAVVKADAKTIRFREKVTRKGGIWKDIYGIDEKKVADMVREDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPETKQKHIEELVRLPECFLCYTPSPEAGPVSATPALSNGFITFGSFNNLAKITPKVLKVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMHAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLRNVAIVVNSS >Vigun01g176300.2.v1.2 pep primary_assembly:ASM411807v1:1:35751699:35769169:1 gene:Vigun01g176300.v1.2 transcript:Vigun01g176300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAGAENSDGSGSERELAGNGNNGFLESSGSAGSEGNDSVSYANVLRSRNKFADALVIYERVLESDGANVEALIGKGICLQMQNKGRLAFESFFEAIKLDPQNARALTHCGILYKDDGRLVEAAESYQKALRVDPSYRAAAECLAIVLTDIGTNTKLAGNTQEGIKKYFEALKPAYYNLGVVYSEMMQYDMALTFYEKAAAERPVYAEAYCNMGVIYKNRGDLDSAISCYDRCLTVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVALYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRENLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKVDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGDITGAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGIDDTLFEVHRNWGRRFMRLYPHYTSWVNSKDPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHDYSNFKVIVYSAVVKADAKTIRFREKVTRKGGIWKDIYGIDEKKVADMVREDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPETKQKHIEELVRLPECFLCYTPSPEAGPVSATPALSNGFITFGSFNNLAKITPKVLKVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMHAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLRNVGMEHLIARNEDEYVELAKKLASDISSLHNLRMSLRDVMSKSPLCDGAKFTLGLESTYRNMWHRYCRGDVPSLKRMELLEEVAMGDLTNKTSESSRIANSREESPGSVKANGFDTMPVSKLNIDSCEENGGSSNGGSKQGKREVNVDFHPRVLL >Vigun05g197700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38247648:38250217:1 gene:Vigun05g197700.v1.2 transcript:Vigun05g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEISNSSCKDIPNLLSSFVDTFVDFSVSGLFLPPPPPSPPPLPTRLPSPPRLVAIGDLHGDLDKSKQALRLAGLIDANDVYIGGSATVVQVGDVLDRGGDELKILYFLEKLKREAARSGGRIITMHGNHEIMNVEGDFRYATESGVEEFRVWWEWFRVGNKIKSLCDGLEKPKDPMRGVPSSFHGVRKEFHDGFRARVAALRPNGPIAKRFLSENVTVLVVGDSIFVHGGLLPEHTSYGLEKINEEVRDWVKGLTGHFSPQYCRASDGVVWLRKFSRSKVPQCDCSTLEHVLATVPGVKRMIMGHSIQRVGINGTCDDKAIRIDVGLSKGCGDGLPEVLEISGTSGLRILTANPLYQNKATVNVGKEQGLGLLLGEQGGPKQVEVQA >Vigun05g197700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38247648:38250217:1 gene:Vigun05g197700.v1.2 transcript:Vigun05g197700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEISNSSCKDIPNLLSSFVDTFVDFSVSGLFLPPPPPSPPPLPTRLPSPPRLVAIGDLHGDLDKSKQALRLAGLIDANDVYIGGSATVVQVGDVLDRGGDELKILYFLEKLKREAARSGGRIITMHGNHEIMNVEGDFRYATESGVEEFRVWWEWFRVGNKIKSLCDGLEKPKDPMRGVPSSFHGVRKEFHDGFRARVAALRPNGPIAKRFLSENVTVLVVGDSIFVHGGLLPEHTSYGLEKINEEVRDWVKGLTGHFSPQYCRASDGVVWLRKFSRSKVPQCDCSTLEHVLATVPGVKRMIMGHSIQRVGINGTCDDKAIRIDVGLSKGCGDGLPEVLEISGTSGLRILTANPLYQNKATVNVGKEQGLGLLLGEQGGPKQVEVQA >Vigun05g283300.2.v1.2 pep primary_assembly:ASM411807v1:5:47162999:47166931:1 gene:Vigun05g283300.v1.2 transcript:Vigun05g283300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLLQTRLAHRLLRLRRHRLRFRSPRRALLSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFIAHWLKPILMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWPKVMKERGILDLSQVLIFFDDHQNELKRIEQALKAGFHHLVFEDNYDTGTGDHYSLRQICDQSYIIGGGHSCFKDSDEARIRSRRKKLWEKAVDIEELCGPGEAWWGVRGYMRDNFNHSNKPISYAQHFQNSRYVESILDVYWELPPVAGPSLTHQTRYDPARAPTPIVEDGRYGLFQRLGLANLDKSVFNGYTQMVYLQISEQ >Vigun05g283300.1.v1.2 pep primary_assembly:ASM411807v1:5:47162999:47166931:1 gene:Vigun05g283300.v1.2 transcript:Vigun05g283300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRPVLQVQSTTPTHRHPNLSAPSMLERTLSTRRVNSYGEGDAEAGGGADESKTKKHQHIFFRLTTRASNYLARIAGSGSFYLPCIVVSLFLFFCLSFLFTSRGFVCISSSYRPVSRTAFFDFDGIDSDFGALGVPCCRSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFIAHWLKPILMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWPKVMKERGILDLSQVLIFFDDHQNELKRIEQALKAGFHHLVFEDNYDTGTGDHYSLRQICDQSYIIGGGHSCFKDSDEARIRSRRKKLWEKAVDIEELCGPGEAWWGVRGYMRDNFNHSNKPISYAQHFQNSRYVESILDVYWELPPVAGPSLTHQTRYDPARAPTPIVEDGRYGLFQRLGLANLDKSVFNGYTQMVYLQISEQ >Vigun09g222600.1.v1.2 pep primary_assembly:ASM411807v1:9:39559788:39565351:-1 gene:Vigun09g222600.v1.2 transcript:Vigun09g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKKNVEENGGGKFRGAERSAYFARREAAKVLRVVLEGDAKRRALASIKSLIYQPSVRNKKATFALLCETLKHLPIIKDVLEAASILNTKWKRQQELVYIIVYDILFGQAVSLVGDAEKYLMRHEGALRSSLKQLLLQRNVKTVKQLIALHEIPDVSVPRYVRVNTLKLDVDSALLELQKNYSVQKDNLLAELLILPPGTDLHDHPLVKNGSIFMQGKASSMAAPALSPQPGWEVIDACAAPGNKTIHLAALMKSRGKIVACELKKERVKRLKDTIKLSGASNIQVLNEDFLNINPKDPSYSKVNAILLDPSCSGSGTSASRLDHLLPSKTAGQDTDMERLSKLATFQRKALEHALLFPALERVVYSTCSINQIENEDVIKSVLPIAESYGFQLAKPFPEWQCRGLPVFEGSECLIRTDPAEHGEGFFIALFVRKAAISSECSNKNETRSFRNTSVIKNAQRKKKRMPFIGTNPFKMWLYDQVM >Vigun11g208400.1.v1.2 pep primary_assembly:ASM411807v1:11:40432007:40436462:1 gene:Vigun11g208400.v1.2 transcript:Vigun11g208400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQHEKEKEKLQKGIAEFYDESSTIWENIWGDHMHHGFYDPDSTVSVSDHRAAQIRMIEESLRFASLSEERTKWPKRIVDVGCGIGGSSRYLAQKFGATSVGITLSSVQAQRANSLAAAQGLAHKVSFHVADALQQPFPDGQFDLVWSMESGEHMPDKTKFVGELARVAAPGGTIIIVTWCHRDLGPDEQSLKPWEQDLLKKICDAFYLPAWCSASDYINLLKSLSLQDIKSEDWSPFVAPFWPAVIRSALTWNGLTSLLRSGVKTIKGALAMPLMIKGYKKGLIKFVIITCRKPR >Vigun10g082000.1.v1.2 pep primary_assembly:ASM411807v1:10:23188050:23191586:1 gene:Vigun10g082000.v1.2 transcript:Vigun10g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTEPELHRDGDDNASQEDEDTGAQVAPIVKLEEVAVTTGEEDEDPILDLKAKLYRFDKEGNQWKERGGGNVKLLKHKVTGKVRLLMRQSKTLKICANHLVHGSLTVQEHSGNEKSCVWHASDFADGELKDELFCIRFPYVENCKTFMTTMQEVAESQGEEEEENKDASDTAAILEKLTVGEDKPVGKEPEKVTKTEKLTVGENKSEEKKTEEVTNTHKKDEHGVV >Vigun05g056600.1.v1.2 pep primary_assembly:ASM411807v1:5:4838795:4839312:1 gene:Vigun05g056600.v1.2 transcript:Vigun05g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVHGLIISLIFVSILANEASLVQEANGSFPMVPLVEAGKMEMMMMMNESRRKLGSFQICALCTCCGAKGVCLPSPCCYAINCNIPHRPFGFCSFTPKTCNCFGCHL >Vigun11g147900.1.v1.2 pep primary_assembly:ASM411807v1:11:35713440:35717067:-1 gene:Vigun11g147900.v1.2 transcript:Vigun11g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTGQHQQMSNQSLENMLACSKAQQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSSSSKRIQDQGFTPNPNNPLTGLPSLSYDSSDLTLALARLQKQSCGQLGYDEHDFSILGNPTSTPCDILGNPGMHHSSTNPGFLDSLRSGFLGTQSNVQNLYYGYGNGDMGEVDNGNACAGAGGGVSGEMMLPYDQEMSVATTQAVTVTTMKQELCNAREQSESSRVLWGFPWQLNGDTNMAELDSGRANWNGLTSSWHGLLNSPLM >Vigun04g163900.1.v1.2 pep primary_assembly:ASM411807v1:4:38812816:38814262:-1 gene:Vigun04g163900.v1.2 transcript:Vigun04g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETIDPYHRLQILPNPDGTFTRGNAAPTTAPSSDPSLPISVLTKDITINPHNHTWLRLFLPRSLLSSSNPSNPNKLPLIIFFHGGGFVLLSAASSMFHDFCVQMADSAQAIVASVEYRLAPEHRLPAGYDDGAEALRWIGNCEDEWLRQYADYSKCYLMGNSAGATIAYRTGLRAVEEVNDLDGVKIQGLILRQPFFGGVQRTESELRLANNRLIPLCVTDSMWELALPTGADRDHEYCSVRAGNGVQKFEKMKDLGWRVLVSGNNGDLLVDRYKELAQLMEEKGVKVVKDFQEEGFHGVELFDPLKASQFIDLVKHFINPNCV >Vigun02g057500.1.v1.2 pep primary_assembly:ASM411807v1:2:20217329:20218493:1 gene:Vigun02g057500.v1.2 transcript:Vigun02g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKVGLKKGPWTPEEDKKLLDYVRKHGHGNWRSVPAIAGLQRCGKSCRLRWINYLKPDIKRGNFSAEEDYTIIQLHALLGNKWSIIAAHLPQRTDNEIKNYWNTNIKKRLIRMGLDPLTHKTIKPNKFEASGGGHDQFKDTINICHVAQWEGARLEAEARGSMVQVGSGSSRSSGLILSRIPTQPCLSSHSVSTENNKTYNMYALVLATNHDFQSSVSTLSIPMLPAVSNIPQITNIESSVSYKCHNNVMGASSHVQKEMEGCVSNFEDDDIMVAVEAFRAARSESIEDLFNEPTSI >Vigun05g221200.1.v1.2 pep primary_assembly:ASM411807v1:5:41268530:41271148:1 gene:Vigun05g221200.v1.2 transcript:Vigun05g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLENPLGNFHDLPSEALPSLFLIESDHIPPPNYCQTLKASDFDISVRRNVVSLISQLSCTFDPVLPYLAINYLDRFLANQGILQPKPWANKLLAVSCFSLAAKMLKAEYSATDVQVLLNHGDGGVIFETQTVQRMEGIILGALQWRMRSITPFSFIPFFVNLFRLKDPAFRQVLKDRASEIILKSQREIKVLEFKPSVVAASALLYASHELFPFQYPCFLGAISDCSYVNKETVVQCYNVIEDIAREEYESVLNVNSTSDTPVNVLDEHFLSLESEKTNGVIQEQEFKRRKITDYGNKRTVPFSHFHQC >Vigun05g221200.2.v1.2 pep primary_assembly:ASM411807v1:5:41268530:41271091:1 gene:Vigun05g221200.v1.2 transcript:Vigun05g221200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLENPLGNFHDLPSEALPSLFLIESDHIPPPNYCQTLKASDFDISVRRNVVSLISQLSCTFDPVLPYLAINYLDRFLANQGILQPKPWANKLLAVSCFSLAAKMLKAEYSATDVQVLLNHGDGGVIFETQTVQRMEGIILGALQWRMRSITPFSFIPFFVNLFRLKDPAFRQVLKDRASEIILKSQREIKVLEFKPSVVAASALLYASHELFPFQYPCFLGAISDCSYVNKETVVQCYNVIEDIAREEYESVLNVNSTSDTPVNVLDEHFLSLESEKTNGVIQEQEFKRRKITDYGNKRTVPFSHFHQC >Vigun11g110500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31167540:31168111:-1 gene:Vigun11g110500.v1.2 transcript:Vigun11g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIRAWSVATSVGVVEALKDQGICRWNHALKSVQQRLKIHVSSLTQAQNLSSSSSSSATIFTTPKRDKLKQSEDSIRTVMYLSSWGPN >Vigun09g030801.1.v1.2 pep primary_assembly:ASM411807v1:9:2605127:2605656:-1 gene:Vigun09g030801.v1.2 transcript:Vigun09g030801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKMLFFQFFCIALLLTSGESRFKETSYGLVCKEGGGICIKEDGCQKYCLFIGYKNGGDCIPFIGGDTCCCKK >Vigun05g088900.5.v1.2 pep primary_assembly:ASM411807v1:5:8514830:8517231:-1 gene:Vigun05g088900.v1.2 transcript:Vigun05g088900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMGLLFLVVVLGAAWACDAREVANFDQTKIYTANTEPKAKFDMCALCEEYTTKALEYIKQNKTDQEIIDTLHNTCSQLHSFKQKCIDLVDYYTPIFLSEVASLKPREFCHEINICQLVEHVSVQVQEDACGFCEETVSTLLDKLKDSDTKLEIIETLLKLCNSVEKYANKCKRLVFDYGPLVFDNAEKFLENVDFCTVIHACKSSEVASEQAFLSDS >Vigun05g088900.3.v1.2 pep primary_assembly:ASM411807v1:5:8514841:8517551:-1 gene:Vigun05g088900.v1.2 transcript:Vigun05g088900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMGLLFLVVVLGAAWACDAREVANFDQTKIYTANTEPKAKFDMCALCEEYTTKALEYIKQNKTDQEIIDTLHNTCSQLHSFKQKCIDLVDYYTPIFLSEVASLKPREFCHEINICQLVEHVSVQVQEDACGFCEETVSTLLDKLKDSDTKLEIIETLLKLCNSVEKYANKCKRLVFDYGPLVFDNAEKFLENVDFCTVIHACKSSEVASEQAFLSDS >Vigun05g088900.1.v1.2 pep primary_assembly:ASM411807v1:5:8514841:8517551:-1 gene:Vigun05g088900.v1.2 transcript:Vigun05g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMGLLFLVVVLGAAWACDAREVANFDQTKIYTANTEPKAKFDMCALCEEYTTKALEYIKQNKTDQEIIDTLHNTCSQLHSFKQKCIDLVDYYTPIFLSEVASLKPREFCHEINICQLVEHVSVQVQEDACGFCEETVSTLLDKLKDSDTKLEIIETLLKLCNSVEKYANKCKRLVFDYGPLVFDNAEKFLENVDFCTVIHACKSSEVASEQAFLSDS >Vigun05g088900.2.v1.2 pep primary_assembly:ASM411807v1:5:8514839:8517584:-1 gene:Vigun05g088900.v1.2 transcript:Vigun05g088900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMGLLFLVVVLGAAWACDAREVANFDQTKIYTANTEPKAKFDMCALCEEYTTKALEYIKQNKTDQEIIDTLHNTCSQLHSFKQKCIDLVDYYTPIFLSEVASLKPREFCHEINICQLVEHVSVQVQEDACGFCEETVSTLLDKLKDSDTKLEIIETLLKLCNSVEKYANKCKRLVFDYGPLVFDNAEKFLENVDFCTVIHACKSSEVASEQAFLSDS >Vigun05g088900.6.v1.2 pep primary_assembly:ASM411807v1:5:8514841:8517551:-1 gene:Vigun05g088900.v1.2 transcript:Vigun05g088900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMGLLFLVVVLGAAWACDAREVANFDQTKIYTANTEPKAKFDMCALCEEYTTKALEYIKQNKTDQEIIDTLHNTCSQLHSFKQKCIDLVDYYTPIFLSEVASLKPREFCHEINICQLVEHVSVQVQEDACGFCEETVSTLLDKLKDSDTKLEIIETLLKLCNSVEKYANKCKRLVFDYGPLVFDNAEKFLENVDFCTVIHACKSSEVASEQAFLSDS >Vigun10g117700.2.v1.2 pep primary_assembly:ASM411807v1:10:32381665:32385156:1 gene:Vigun10g117700.v1.2 transcript:Vigun10g117700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNSSNHFAEISNDVFMASSSTTFMAILLLFLYFHSSAALPLSVERPDEDVIVSSPKGTFTAGFRRVGENAYCFGVWFSQAEKHVVWMANRDIPVNGKRSSLSLLKNGNLVLSDAGQFDVWDTKTLSEKPLELHLHDTGNLVLREQTNRSSVLWQSFDFPTDTLLPGQLLTRFTKLVSARSEGNYSSGFYKLFFDNDNVFRLLYEGREVSSVYWPDPWLVSNNVGFGNGRTSYNSSRVAVLNDLGQFSVSDKFSLTTIDHGLLLQRRLTLDYDGNVRIYSRENGQQDWSMAGQFKSQPCFIHGICGPNSICSHDKAIGRKCDCLEGYSWVDSQDWSLGCKPNFQLTCDNKTEYRAVPLYEVDFYGYDYGTSFENYTYKQCEKLCLEVCECMGFQYSFAVENGHFWCYPKTQLLNGHHSPGFPGQFFVRLPKETAQENHLQNNNGLACPRNAEKLLERPYVKGKENGSVKFVLWFAVGLGGFEAVCIFLVWCFLFRSSNHLVSADQQGYVLAAATGFRRYTYSELKQATKGFSEEIGRGAGGTVYKGVLSDNRVAAIKKLHEFAEQGESEFLTEMSIIGRLNHMNLIGMWGYCVEGKHRILVYEYMENGSLANNLPSNSLDWRKRYNIAMGMAKGLAYLHEECLEWILHCDIKPQNILLDSDYQPKVADFGLSKPLNRNNLNNSSFSRIRGTRGYMAPEWVFNLQITSKVDVYSYGIVVLEMITGRSPMIGVQVTELGQESQNERLATWVREKRKKAPEGTCWVEQIVDPTLGSDYNLKRMEILATVALECVEEDKDVRPSMSQVVERLQNGS >Vigun10g117700.1.v1.2 pep primary_assembly:ASM411807v1:10:32381665:32385156:1 gene:Vigun10g117700.v1.2 transcript:Vigun10g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNSSNHFAEISNDVFMASSSTTFMAILLLFLYFHSSAALPLSVERPDEDVIVSSPKGTFTAGFRRVGENAYCFGVWFSQAEKHVVWMANRDIPVNGKRSSLSLLKNGNLVLSDAGQFDVWDTKTLSEKPLELHLHDTGNLVLREQTNRSSVLWQSFDFPTDTLLPGQLLTRFTKLVSARSEGNYSSGFYKLFFDNDNVFRLLYEGREVSSVYWPDPWLVSNNVGFGNGRTSYNSSRVAVLNDLGQFSVSDKFSLTTIDHGLLLQRRLTLDYDGNVRIYSRENGQQDWSMAGQFKSQPCFIHGICGPNSICSHDKAIGRKCDCLEGYSWVDSQDWSLGCKPNFQLTCDNKTEYRAVPLYEVDFYGYDYGTSFENYTYKQCEKLCLEVCECMGFQYSFAVENGHFWCYPKTQLLNGHHSPGFPGQFFVRLPKETAQENHLQNNNGLACPRNAEKLLERPYVKGKENGSVKFVLWFAVGLGGFEAVCIFLVWCFLFRSSNHLVSADQQGYVLAAATGFRRYTYSELKQATKGFSEEIGRGAGGTVYKGVLSDNRVAAIKKLHEFAEQGESEFLTEMSIIGRLNHMNLIGMWGYCVEGKHRILVYEYMENGSLANNLPSNSLDWRKRYNIAMGMAKGLAYLHEECLEWILHCDIKPQNILLDSDYQPKVADFGLSKPLNRNNLNNSSFSRIRGTRGYMAPEWVFNLQITSKVDVYSYGIVVLEMITGRSPMIGVQVTELGQESQNERLATWVREKRKKAPEGTCWVEQIVDPTLGSDYNLKRMEILATVALECVEEDKDVRPSMSQVVERLQNGS >Vigun03g409300.1.v1.2 pep primary_assembly:ASM411807v1:3:61622114:61631278:1 gene:Vigun03g409300.v1.2 transcript:Vigun03g409300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYMPSTLAPLLPSFCRDLMSILSSLSFDGAVNQEDEYLTRLKTGKRSLLIFSALVTRHRKHSDKLMPEIINCVLNIVKFSKNTSRLPFLSERLMSLGFDVISNILETGPGWRLVSPHFTTLLESAIFPALVLNEKDMSEWEEDPDEYIRKNLPSDIDEICGWREDLFTARKSAVNLLGVISMSKGPPMETATDSLSASSKRKKGQKNKKSNQRRSMGELLVLPFLSKFPIPSASDLSQKILNNYFGVLMAYGGLQDFLREQEPEFVTTLVRTRILPLYTVAVSIPYLVASANWILGELGSCLPEEMCTDVYSQLLMALVMPDRQEPSCYPVRVSAAGAISTLLDNDYMPPDFLPLLQVIVGSIAKDEIESESECSILFQLLSSIMEAGDEKVAVHIPHIVSSIVGSVSKWLTVNAEPWPQVVESAIGALAVMGQTWENSRPEESDSDESRDKWTADHVTIARAFAALLQQAWLAPLCTLDQQDQQAPPSSCIENLSTLLQSVLLSVDGNHMIQELKVSELVSVWAEMIAEWHAWEESEDLSIFEVIKEIVNIDRRYRLNNFVVKDMPPPPAPPVPERSIIEGIGAFISEAIKQYPSATFRACSCVHILLHCPTYSPETEGVRQSLAVAFSQATISRLIEVQSTTGAIWKPLLLAISSCYLCYPDIVESILEKGKRGGIRIWASALSHICNTSSEPGLTAESEMKLTVMTLARLIEQLLKQGKSGDEIQSCFTSLLEVSIRLKEARDGKDEHGSDDAEDDSDNEEDEDEDDDTDNDDYDEDSGTEEYEETEEEFLKRYAKAAEALENGSAIEEGDDEDQELELEIGQLMDIDEQMVLSSLIGQYHHVLIRGLVLPSELVVNFLNAFPVYNSYFNQYR >Vigun07g183600.3.v1.2 pep primary_assembly:ASM411807v1:7:30065730:30072775:1 gene:Vigun07g183600.v1.2 transcript:Vigun07g183600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPSHESGGEQSPRGSSSGAREQDRFLPIANISRIMKKGLPPNGKIAKDAKDTMQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREAEGDTKGSARGGDGSGRPDQVGLAGQNSQLVHQGSLNYISLQVQPQHLVIPSMQSHE >Vigun07g183600.1.v1.2 pep primary_assembly:ASM411807v1:7:30065460:30072775:1 gene:Vigun07g183600.v1.2 transcript:Vigun07g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPSHESGGEQSPRGSSSGAREQDRFLPIANISRIMKKGLPPNGKIAKDAKDTMQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREAEGDTKGSARGGDGSGRPDQVGLAGQNSQLVHQGSLNYISLQVQPQHLVIPSMQSHE >Vigun07g183600.4.v1.2 pep primary_assembly:ASM411807v1:7:30065419:30066621:1 gene:Vigun07g183600.v1.2 transcript:Vigun07g183600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPSHESGGEQSPRGSSSGAREQDRFLPIANISRIMKKGLPPNGKIAKDAKDTMQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREVFFLFLF >Vigun07g183600.2.v1.2 pep primary_assembly:ASM411807v1:7:30065460:30072775:1 gene:Vigun07g183600.v1.2 transcript:Vigun07g183600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPSHESGGEQSPRGSSSGAREQDRFLPIANISRIMKKGLPPNGKIAKDAKDTMQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREAEGDTKGSARGGDGSGRPDQVGLAGQNSQVQPQHLVIPSMQSHE >Vigun07g063600.2.v1.2 pep primary_assembly:ASM411807v1:7:7361799:7364181:-1 gene:Vigun07g063600.v1.2 transcript:Vigun07g063600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMVVPPWFEPLLTTSFFNVCRVHGDAARSECNMFCLDCNEDAFCFYCRSSRHKDHRVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLVGIKRNGDLDSKNETPTMEGMSRRLVSSRHHQEEELREGSQQDMYPATPSPPASNARRRKGIPHRAPFAS >Vigun07g063600.1.v1.2 pep primary_assembly:ASM411807v1:7:7361799:7364181:-1 gene:Vigun07g063600.v1.2 transcript:Vigun07g063600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDATMVVPPWFEPLLTTSFFNVCRVHGDAARSECNMFCLDCNEDAFCFYCRSSRHKDHRVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLVGIKRNGDLDSKNETPTMEGMSRRLVSSRHHQEEELREGSQQDMYPATPSPPASNARRRKGIPHRAPFAS >Vigun02g038700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16237054:16237614:-1 gene:Vigun02g038700.v1.2 transcript:Vigun02g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSEIRGVHFLAPENPFLVPPNFGLLQSDIPNIHMNTLLSNFPNCHFPPSGSCISSNSTSDEADEIHFNIIDERKHRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLIDKLNHMSESHDRVLQENTRLKEEASDLRQMLADMQIGTSFACSIEQFHDLPCNKPDPSNQSITPADMIHE >Vigun03g391300.1.v1.2 pep primary_assembly:ASM411807v1:3:59737000:59744184:1 gene:Vigun03g391300.v1.2 transcript:Vigun03g391300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSMGEAHRRITEYLSRFSDAVSSQDGSSFKSLFALSSNSPYLLSLADALNLFQDANRLIKQSENYSQFADILVPLFRSLQNYRQNNLLEAYNAFEKTANAFIQEFRNWESAWALEALYVIVYDIRVLAEKADKELASNGKSPEKLKGAGSVLMKVFGTLAGKGSKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFSAADYKLSYALKYCNPQSTANIRMILKHLIPVKLSIGILPKSSLLEKYNLLEYINIVQALRRGDLRLLRRALQEHEDRFLRSGVYLVLEKLELQVYQRLVKKIYIIQKQKDPNRAHQVKLEVIVKALKWLEIDMDVDEVECIMAILIFKNLMKGYFAHKSKVVVLSKQDPFPKLNGKPVNA >Vigun03g391300.2.v1.2 pep primary_assembly:ASM411807v1:3:59737000:59744184:1 gene:Vigun03g391300.v1.2 transcript:Vigun03g391300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSMGEAHRRITEYLSRFSDAVSSQDGSSFKSLFALSSNSPYLLSLADALNLFQDANRLIKQSENYSQFADILVPLFRSLQNYRQNNLLEAYNAFEKTANAFIQEFRNWESAWALEALYVIVYDIRVLAEKADKELASNGKSPEKLKGAGSVLMKVFGTLAGKGSKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFSAADYKLSYALKYCNPQSTANIRMILKHLIPVKLSIGILPKSSLLEKYNLLEYINIVQALRRGDLRLLRRALQEHEDRFLRSGVYLVLEKLELQVYQRLVKKIYIIQKQKDPNRAHQVTLPIKAKWLY >Vigun08g137400.3.v1.2 pep primary_assembly:ASM411807v1:8:30955732:30959154:-1 gene:Vigun08g137400.v1.2 transcript:Vigun08g137400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQIVPVKSVIYALSPFQQKIMTGLWKDLPTKIHHKVSENWINATLLLGPLVGTYAYVQNYLEKEKLHHRY >Vigun08g137400.2.v1.2 pep primary_assembly:ASM411807v1:8:30955732:30959154:-1 gene:Vigun08g137400.v1.2 transcript:Vigun08g137400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQIVPVKSVIYALSPFQQKIMTGLWKDLPTKIHHKVSENWINATLLLGPLVGTYAYVQNYLEKEKLHHRY >Vigun05g274600.1.v1.2 pep primary_assembly:ASM411807v1:5:46474164:46476123:1 gene:Vigun05g274600.v1.2 transcript:Vigun05g274600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASGEASASSGNRTEIGSDYSQQYFASPPTQTQAPPKKRETFQATQAEVVALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSVTGMDIAIGIPSNSASTPTTPAAGVGVVIPSSSHHHQDIIHGGNNFPLKKEQQGFMPPWLGQPPSTVDLSSSSSSFFSQQDHVSGLHENPNPRGGGGGGSGPTFPPYPTAPSPPHMSATALLQKAAQMGATMTKTGSMIRTHQQAHVSADSLNLSSRDDQMTPTSNTTTPHGLVPFGNKAVPASGVGVGVGVSSSLLHHVINSFSSPFEGTSFEDPFNAAVTKTTAADDRAGGGAAGGGNYNEAMTRDFLGLRPLSHTDFLNIAGMGNCMNSQHNQTQNPWQG >Vigun07g043000.1.v1.2 pep primary_assembly:ASM411807v1:7:4274509:4277836:-1 gene:Vigun07g043000.v1.2 transcript:Vigun07g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFISNGDVSGFKDKESMVDPFLVEALQNPRHRVTILRMELDIQRFLNNADQQHFEFQHFPSSYLRLAAHRVAQHYGMQTMVQDNGLDGQGTRIMVRKLAESRYPVVRLSEIPAKQLENDKPEQIKIAIRPRPNGTSLNESNEVGKKNNLLRSVEERKEEYDRARARIFSGSRGCDSDDTQSQASTEEKNFPISKDESETSKSPVVDSEKCTTGRDINSTRVAIFRDREKDRSDPDYDRNYGRYARSIPPPSLNLIPFNLQQVQPPFTHYDTAFNQLSQMSPNQASLGYGPPSNPMMNPFGVTGLNLASGDVAYQQWPSASMMYAHSYDQFRHAIFQAPFGQRPLSFDYSQNY >Vigun07g175700.1.v1.2 pep primary_assembly:ASM411807v1:7:29080591:29087327:1 gene:Vigun07g175700.v1.2 transcript:Vigun07g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSVLLFLLLTLTAVSAQQHQYDDPSITATMEKFSGYTINEPHSFQLTSLSVDAQALQNQIDELAAFSDSPAPSVTRVLFTDKDVLGRKYVKTQMELAGLSVREDAVGNIFGRWEGLEPELAAVATGSHVDAIPYSGKYDGVLGVLGAIEAIRVLKRAGFKPRRSLEVISFTSEEPTRFGIGCLGSRLLAGSEDLANALKTTTDIQNISFLDAARSAGYGKNGDDLSSVFLKKGTYSAFIELHIEQGPILEDEGISIGIVTAIAAPASLKVEFEGNGGHAGAVLMPNRNDAGLAASELALAVERHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEERRNKVVEKIHQSAIKITKTRGVKLSDFRVINQDPPALSDEAVIKAVEITTKELNLTSKLMISRAYHDSLFMARLSPMGMIFIPCYKGYSHKPEEFASIQDMSNGVQVLALTLAKLSSQLHLQK >Vigun05g251700.1.v1.2 pep primary_assembly:ASM411807v1:5:44577941:44588994:1 gene:Vigun05g251700.v1.2 transcript:Vigun05g251700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFIDNLPPMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQISKAGLLSSSRTVLQPDIDLEDLEIQLAEHEHELIEMNSNSDKLRQSYNELLEFKIVLQKACGFLVSSHNLALSDERELQENVFSNDAYVETASLLEQEMRPQSSNSTGLRFISGIICRSKVLMFERMLFRATRGNMLFNQEPADEQIMDPVSTEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITREVSSRLTDLETTLEAGIRHRNKALASVADHLGKWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPLLAKTQMQEALQRATFDSNSQVGIIFHPLEAVESPPTYFRTNTFTNPYQEIVDAYGVARYQEANPAVYTTIIFPFLFALMFGDWGHGICLLLGALVLIARENKLSTQKLGSFMEMLFGGRYVLLLMALFSIYCGLIYNEFFSVPFHIFGASAYKCRDSSCRDAHTIGLVKYQDPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVAHMNLGIILSYFNARFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIVIKWCTGSQADLYHVMIYMFLSPTDNLGENQLFWGQRPLQIVLLLLAVIAVPWMLFPKPFILKKLHTERFQGRSYGLLNSSEEDLEAEPDSARQHHHEEFNFSEVFVHQMIHAIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLTVFSFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFASLTEEDD >Vigun05g251700.2.v1.2 pep primary_assembly:ASM411807v1:5:44577941:44588011:1 gene:Vigun05g251700.v1.2 transcript:Vigun05g251700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFIDNLPPMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQISKAGLLSSSRTVLQPDIDLEDLEIQLAEHEHELIEMNSNSDKLRQSYNELLEFKIVLQKACGFLVSSHNLALSDERELQENVFSNDAYVETASLLEQEMRPQSSNSTGLRFISGIICRSKVLMFERMLFRATRGNMLFNQEPADEQIMDPVSTEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITREVSSRLTDLETTLEAGIRHRNKALASVADHLGKWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPLLAKTQMQEALQRATFDSNSQVGIIFHPLEAVESPPTYFRTNTFTNPYQEIVDAYGVARYQEANPAVYTTIIFPFLFALMFGDWGHGICLLLGALVLIARENKLSTQKLGSFMEMLFGGRYVLLLMALFSIYCGLIYNEFFSVPFHIFGASAYKCRDSSCRDAHTIGLVKYQDPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVAHMNLGIILSYFNARFFGSSLDIRYQFVPQMIFLNCLFGYLSLLIVIKWCTGSQADLYHVMIYMFLSPTDNLGENQLFWGQRPLQIVLLLLAVIAVPWMLFPKPFILKKLHTERFQGRSYGLLNSSEEDLEAEPDSARQHHHEEFNFSEVFVHQMIHAIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGVHLKLTRF >Vigun06g221800.1.v1.2 pep primary_assembly:ASM411807v1:6:33101861:33103891:-1 gene:Vigun06g221800.v1.2 transcript:Vigun06g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYRGESRRYNTKQRGRHNLTTQKKQEIREAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDYDEFEYMMTAKIGERDTKEELMKAFHIIDHDQNGKISASDIKRIAKELGQNFTDREIQEMVDEADQDKDREVSPEEFITMMNRTRFHH >Vigun07g236100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35775666:35777372:1 gene:Vigun07g236100.v1.2 transcript:Vigun07g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPKRKSPYLNAPFLLILISFINPSHQALPPLNPRLNKAYTALQAWKHKITSDPKNFTLNWCGPNVCNYTGIYCAPAPDDPQIYTVAGVDLNHASISGSLPEELGLLTDLALFHINSNRFCGSLPNTFDHLNLLHELDISNNQFSGPFPEVLLCISSLKYLDIRFNNFYGNIPSRLFDLKLDALFINNNNFKFSLPDNFGNSPVSVLVFANNDLKGCLPSSLAKMKGTLNEIIITNSGLTGCLPSEIGDLHKVKVFDVSFNKLVGDLPQSLGKMKRLEQLNVAHNMLSGTIPESVCMLPRLENFTYSYNYFCSESPVCLKLKDSDDTKNCIPYRPLQRSPDECAAFYKYPVHCSDFGCVSPPPPPPPPPPPPPPPPPPPPPPPPEKYHQYP >Vigun01g001301.1.v1.2 pep primary_assembly:ASM411807v1:1:234117:238893:-1 gene:Vigun01g001301.v1.2 transcript:Vigun01g001301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKGRGYEGNGEEEDLHNSHPHPHLDSSPQPNHDDLTDSKSHHGSRDYDRESSRSREKEREKGRDRDRKREKGRERERSRDRDSERSRDKDRDRERSKDRERDRERDGEKERDRDRDRHHRDRHRDRDEDDFYRSRDFDRRRDYDREDRHRRRGRSEHRSRSRSRSRSKRTSGFDMAPPASAMLAGASAVTGQITGANPAIPGMFPNMFPLATSQMQPFSALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAKIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPTLIWLLLIRELLETFGPLRGFDLDLAVTDIACAALNGIKMGDKTLTVRRANQGANPQQPKPEQESILMHAQQQIALQVVCLTHAVSADELKDDEDYEEILDDMRQECSKFGTLVNVVIPRPPHDGEPAAGVGKVFLEYVDVDGATKALVAVFYPENKFAQGDYEG >Vigun06g179300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29908617:29909520:-1 gene:Vigun06g179300.v1.2 transcript:Vigun06g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAVPVEAEAENSGSSGAVSRARKLLFRRMLVGIKDGRFFLGSFYCIDKQGNIILQDAIEYRSTRQSSPSPMEQRCLGLILIPSSCRTSCHVDSSIHEQFSLLSLHPGPPRVS >Vigun07g027100.3.v1.2 pep primary_assembly:ASM411807v1:7:2474484:2478204:1 gene:Vigun07g027100.v1.2 transcript:Vigun07g027100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGSVSKVALPSSSSSPNSSIESLDGLKFGQKIYFEDVSVAAAPTTQGAKTSAGVSSSSSKKGRGGSVQPVQPPRCQVQGCNADLSGAKAYYSRHKVCAMHSKSPTVIVAGLEQRFCQQCSRFHLLSEFDQGKRSCRRRLAGHNERRRKPPPSSILTSRYGRLSSPIFADNNGRAGGFLMEFASYPKLTLRNTLPTPRSTEPLPGNHAATWQRSDTPSEFFLHGSGSGGTSFLGSKHPFVESYTGVTDSNCALSLLSNQTWGSRTTQPSVELNNNNNNNNNLLNFNGTSIAQFTAASSQVAGFHQLPHATSWYLKALDSPEGVPDLGLGQISPSLHGHLHGELDLPHQGRRHYMDLGDSRACESSQWSL >Vigun07g027100.2.v1.2 pep primary_assembly:ASM411807v1:7:2474484:2478204:1 gene:Vigun07g027100.v1.2 transcript:Vigun07g027100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGSVSKVALPSSSSSPNSSIESLDGLKFGQKIYFEDVSVAAAPTTQGAKTSAGVSSSSSKKGRGGSVQPVQPPRCQVQGCNADLSGAKAYYSRHKVCAMHSKSPTVIVAGLEQRFCQQCSRFHLLSEFDQGKRSCRRRLAGHNERRRKPPPSSILTSRYDNNGRAGGFLMEFASYPKLTLRNTLPTPRSTEPLPGNHAATWQRSDTPSEFFLHGSGSGGTSFLGSKHPFVESYTGVTDSNCALSLLSNQTWGSRTTQPSVELNNNNNNNNNLLNFNGTSIAQFTAASSQVAGFHQLPHATSWYLKALDSPEGVPDLGLGQISPSLHGHLHGELDLPHQGRRHYMDLGDSRACESSQWSL >Vigun07g027100.1.v1.2 pep primary_assembly:ASM411807v1:7:2474484:2478204:1 gene:Vigun07g027100.v1.2 transcript:Vigun07g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGSVSKVALPSSSSSPNSSIESLDGLKFGQKIYFEDVSVAAAPTTQGAKTSAGVSSSSSKKGRGGSVQPVQPPRCQVQGCNADLSGAKAYYSRHKVCAMHSKSPTVIVAGLEQRFCQQCSRFHLLSEFDQGKRSCRRRLAGHNERRRKPPPSSILTSRYGRLSSPIFDNNGRAGGFLMEFASYPKLTLRNTLPTPRSTEPLPGNHAATWQRSDTPSEFFLHGSGSGGTSFLGSKHPFVESYTGVTDSNCALSLLSNQTWGSRTTQPSVELNNNNNNNNNLLNFNGTSIAQFTAASSQVAGFHQLPHATSWYLKALDSPEGVPDLGLGQISPSLHGHLHGELDLPHQGRRHYMDLGDSRACESSQWSL >VigunL045600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:473998:474525:1 gene:VigunL045600.v1.2 transcript:VigunL045600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ISGSFGGWIYKNSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLFKI >Vigun02g015600.1.v1.2 pep primary_assembly:ASM411807v1:2:5681113:5684473:1 gene:Vigun02g015600.v1.2 transcript:Vigun02g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHMGWFLLCSSSLLFFAVIASSGSLANLPIIAFEDGYTPLFGDHNLAIHRDGKSVHLSLDERTGSGFVSHDLYLHGYFSASIKLPSDYTAGVVVAFYMSNGDMFQKNHDEIDFEFLGNIRGKDWRVQTNVYGNGSTNIGREERCGLWFDPAEDFHQYSILWTDSKIVFMVDDVPIREVMRTESMGGDFPSKPMTLYATIWDASDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPIEHVTKCDSSFQDSEAEPSGITPAQRTKMDNFRLKHITYSYCYDTVRYKVPPPECVIKPQEAQRLRKFDPVTFGNGRRHRGKRHHRSRGSQEEAASSF >Vigun11g200600.1.v1.2 pep primary_assembly:ASM411807v1:11:39874290:39879353:-1 gene:Vigun11g200600.v1.2 transcript:Vigun11g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVGVAVGLATVACAVAAVVVGGRMRSRGKWKRVVRVLREVEEGCESSVERLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVHNLPDGTEKGTYYALHLGGTNFRVLRVHLHDQQSSVLEHEVERQAIPQHLMTSTSKDLFDFIASSLKEFIEKEGDGSELSLDRRRELGFTFSFPVKQTSVSSGILIKWTKGFSIVDMVGVDVPACLQEALIRKGLDMRVAALVNDTVGTLALGHYHDSDTVASIIIGTGTNACYLERVDAIIKCQGLLTSSGYMVVNMEWGNFWSSHLPRTSYDIDLDSESPNPNDQGFEKMISGMYLGDIVRRVILRMSLDSDMFGPVSSKLSTPFTLRTPMMAAMHEDDSSDLREVARILRDILEIPDAPLKLRKVVVKACDVVTRRAARLAAAGIVGILKKIGRDGSGGITSGRRSDVKMKRTVVAIEGGLYSSYTLFREYLHEALNEILGEDIAKHVILKVTEDGSGIGAALLAASHSFL >Vigun04g139750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34693583:34693894:1 gene:Vigun04g139750.v1.2 transcript:Vigun04g139750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVTSAATSPTAKDVGGSRWRYRVNLDSGGETVVSPSGGDAVTIAGSALVSVSEEDGAGDLKRHGSISDGNGCCDGGLARRRWKRARVPCSYSGLWSVAEM >Vigun01g138350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31860972:31861654:-1 gene:Vigun01g138350.v1.2 transcript:Vigun01g138350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSKPLLYGDRVRFHQKMVAPIAVSSPKSTLLQNPVYLGDSSSSFFGGSLKGLCLHLKPRPQRRDFSNLAVTSASATPSVAKSNSGGRIYFNITGFPLAAAAGSPTAPPEATEEVLLRIPGAILNLVDKDYNVELACGDFFVIRLRQGDNVVAAYARVAEEIQWPRVPLNRGRRGLYGFGS >Vigun05g024800.1.v1.2 pep primary_assembly:ASM411807v1:5:2033649:2034146:-1 gene:Vigun05g024800.v1.2 transcript:Vigun05g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQNIVLVMLTLFVLFNQTYGEWKFCSKSMNFDGQCPLGTSGRSCFEEFLARLGASATPMNCTCDNLPQNQRKCTCQVVCGQ >Vigun03g026000.1.v1.2 pep primary_assembly:ASM411807v1:3:1946724:1949582:1 gene:Vigun03g026000.v1.2 transcript:Vigun03g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTIRWLKGLFGIKTEKERKENSNPGTKWCHSDRDSRGLCHNPSTIPPNISPAEAAWLQSFYSETEKEQNKHAIAVAVATAAAANAAVAAAQAAVAVVRLTSHDNGRDTVFGGGQEKLAVVKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQAAATLLSMQALIRAQATVRSKKSRGLMTTKNEAHRFQTQARKSMERFEDIKSEYIAPIHSRRLSSSFDATMNNANNNNSVDGSPKIVEVDTGRPKSRSRRSNTSMSDFGDDPSFQTLSSSLPFTHLAIPNHRTFLDSEWGLTGEECRFSTAQSTPRFTTNSCSCGSLVVAPMTPKSVCNENMLYGEYQDFPNYMASTQSFKAKLRFIVLRSSVQILVQRRDLP >Vigun03g026000.2.v1.2 pep primary_assembly:ASM411807v1:3:1947759:1949574:1 gene:Vigun03g026000.v1.2 transcript:Vigun03g026000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTIRWLKGLFGIKTEKERKENSNPGTKWCHSDRDSRGLCHNPSTIPPNISPAEAAWLQSFYSETEKEQNKHAIAVAVATAAAANAAVAAAQAAVAVVRLTSHDNGRDTVFGGGQEKLAVVKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQAAATLLSMQALIRAQATVRSKKSRGLMTTKNEAHRFQTQARKSMERFEDIKSEYIAPIHSRRLSSSFDATMNNANNNNSVDGSPKIVEVDTGRPKSRSRRSNTSMSDFGDDPSFQTLSSSLPFTHLAIPNHRTFLDSEWGLTGEECRFSTAQSTPRFTTNSCSCGSLVVAPMTPKSVCNENMLYGEYQDFPNYMASTQSFKAKLRFIVLRSSVQILVQRRDLP >Vigun03g026000.3.v1.2 pep primary_assembly:ASM411807v1:3:1947567:1949574:1 gene:Vigun03g026000.v1.2 transcript:Vigun03g026000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTIRWLKGLFGIKTEKERKENSNPGTKWCHSDRDSRGLCHNPSTIPPNISPAEAAWLQSFYSETEKEQNKHAIAVAVATAAAANAAVAAAQAAVAVVRLTSHDNGRDTVFGGGQEKLAVVKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQAAATLLSMQALIRAQATVRSKKSRGLMTTKNEAHRFQTQARKSMERFEDIKSEYIAPIHSRRLSSSFDATMNNANNNNSVDGSPKIVEVDTGRPKSRSRRSNTSMSDFGDDPSFQTLSSSLPFTHLAIPNHRTFLDSEWGLTGEECRFSTAQSTPRFTTNSCSCGSLVVAPMTPKSVCNENMLYGEYQDFPNYMASTQSFKAKLRFIVLRSSVQILVQRRDLP >Vigun05g050200.9.v1.2 pep primary_assembly:ASM411807v1:5:4313170:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.8.v1.2 pep primary_assembly:ASM411807v1:5:4313170:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDIHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.7.v1.2 pep primary_assembly:ASM411807v1:5:4313139:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.12.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317748:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDTVHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.13.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317748:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDTVHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.6.v1.2 pep primary_assembly:ASM411807v1:5:4313139:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDIHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.15.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDIHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.2.v1.2 pep primary_assembly:ASM411807v1:5:4312825:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.16.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.19.v1.2 pep primary_assembly:ASM411807v1:5:4312914:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.11.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDSKFPKASLWSSIFTSGFSVVESFSESSASEKKAVHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.17.v1.2 pep primary_assembly:ASM411807v1:5:4313171:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.18.v1.2 pep primary_assembly:ASM411807v1:5:4312914:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.14.v1.2 pep primary_assembly:ASM411807v1:5:4312825:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDIHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun05g050200.10.v1.2 pep primary_assembly:ASM411807v1:5:4312867:4317747:1 gene:Vigun05g050200.v1.2 transcript:Vigun05g050200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGLCERIVASKCTSKSSTETVDSTQVPAYLKAGSSDSKFPKASLWSSIFTSGFSVVESFSESSASEKKAVHSRSSGWAAAVRKVVTSGSMRRFHERVLGSSRTDISSSDGDIWLLGVCHKISQQESSGGVDTNNGLASFEQDFSSKILVTYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKSVDKPVDKEYLKILQLFGDSEASAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARSHREKNDLGEPPLPMAIYVVSGDEDGERGGAPVVCIEDAFKHCSEFSRGQAAWTPLLLLVPLVLGLDKVNPRYIPLLHSTFKFPQSLGIMGGKPGASTYIIGVQSEKAFYLDPHDVQTVVNISGDTQEPNSTSSYHCNVMRHIPLDSIDPSLAIGFYCRDKDDFDDFCSQASKLAEESNGAPLFTVAQSRSFSKQVSGNDVSGDNTGFEEDAFLGTDHDDNDAGTNEDDWQLL >Vigun01g109900.1.v1.2 pep primary_assembly:ASM411807v1:1:28330451:28331943:-1 gene:Vigun01g109900.v1.2 transcript:Vigun01g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETENRKSLPMIDFNDENLKPGTQTWVSTCDAVRVALEDHGGFLAHYDKVDPLLNHSVFSAMKQLFDLPLQTKMQHTTDKPIYSYAGQRPDIPLYESMAIDNPLNAKSCHNYTTIMWPQGNHQFSESVNSYAKKVAELDYTVKRMVFGSYGLENEKCERLLESTDYVLRCYKYRTPEIGETNLGVRAHTDSGFLTILNQRLNGLEVQLKNGEWFQVDASPSIFVVLAGDAFMVWSNDRICGCVHRVFMNTEVERYSLGLLSYAGKVMEPEEKLVDEEHPLRYKPFDHYGYLRFFLTEEAVKAASRIKAYCGI >Vigun06g212000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32454749:32456952:-1 gene:Vigun06g212000.v1.2 transcript:Vigun06g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSILSSPRLKSPSFRRQFTKDELGSWSTLVQRHRFLLSALVLLTLLCTVYLYFAVTLGASGTCSGLTGAQKASCHMELVKDSVAKGKLKIL >Vigun11g165000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37230077:37231907:1 gene:Vigun11g165000.v1.2 transcript:Vigun11g165000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGTVNDATATNSPCGFSETNCGSSSNNSSTHICINCDFSYDDSLLSHESEDSEWISDSKSNHGLSSENPLTPLSTNGIIQCVRSEDNISVEHLLEIEDIQEFSTDGPLFWPYEGKFSWSFEESGSPFCISPRGGLVFGSRSMTPRIKESSEKGHESICRVSCAQKMNGEIVTPPELNDEKVAFKGTKDDNKVLCVDKTLVNEDHESDYYDLLLVRKDFCLDEEEPEISIETLVGLKEFDGREGLDSEFNGDVFMLEEA >Vigun11g165000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37230077:37231907:1 gene:Vigun11g165000.v1.2 transcript:Vigun11g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGTVNDATATNSPCGFSETNCGSSSNNSSTHICINCDFSYDDSLLSHESEDSEWISDSKSNHGLSSENPLTPLSTNGIIQCVRSEDNISVEHLLEIEDIQEFSTDGPLFWPYEGKFSWSFEESGSPFCISPRGGLVFGSRSMTPRIKESSEKGHESICRVSCAQKMNGEIVTPPELNDEKVAFKGTKDDNKVLCVDKTLVNEDHESDYYDLLLVRKDFCLDEEEPEISIETLVGLKEFDGREGLDSEFNGDVFMLEEA >Vigun06g162500.3.v1.2 pep primary_assembly:ASM411807v1:6:28485587:28490411:1 gene:Vigun06g162500.v1.2 transcript:Vigun06g162500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFLFLLTLFLFLLSLRALESHNSRFNHLQGPARLVNHVGSMFPKLSPFGSPQPFLPLAPSPLSPFTNTSIPKLSGLCTLNFSSAESLISVTATDCWEVFAPFLANVICCPQLEATLTILIGQSSKYTNVLALNGTNAKHCLADVEQILMGQGAATNLKQICSIHSSNLTEASCPVKNVNDFYDMVDTTKLLTACDKIDPVKECCYQVCQNAILEAATTIASKGSDILTMDSPHVQTEHPLRISDCRNIVLRWVASKLEPVHAKKVLRGLSNCNVNKACPLVFPDTMQVTRGCGDGISNKTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNITADIYGLCHISLKDFSLQVGNQEAGCLLPSLPSDATFDRISGISFLCDLNDNIPAPWPSTSQLTSSSCNKSVNIPALPAAASSQSCLYSHEIMFFVLAALSFLLMATM >Vigun06g162500.1.v1.2 pep primary_assembly:ASM411807v1:6:28485587:28490411:1 gene:Vigun06g162500.v1.2 transcript:Vigun06g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFLFLLTLFLFLLSLRALESHNSRFNHLQGPARLVNHVGSMFPKLSPFGSPQPFLPLAPSPLSPFTNTSIPKLSGLCTLNFSSAESLISVTATDCWEVFAPFLANVICCPQLEATLTILIGQSSKYTNVLALNGTNAKHCLADVEQILMGQGAATNLKQICSIHSSNLTEASCPVKNVNDFYDMVDTTKLLTACDKIDPVKECCYQVCQNAILEAATTIASKGSDILTMDSPHVQTEHPLRISDCRNIVLRWVASKLEPVHAKKVLRGLSNCNVNKACPLVFPDTMQVTRGCGDGISNKTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNITADIYGLCHISLKDFSLQVGNQEAGCLLPSLPSDATFDRISGISFLCDLNDNIPAPWPSTSQLTSSSCNKSVNIPALPAAASSQSSGLYSHEIMFFVLAALSFLLMATM >Vigun06g162500.2.v1.2 pep primary_assembly:ASM411807v1:6:28485587:28490411:1 gene:Vigun06g162500.v1.2 transcript:Vigun06g162500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFLFLLTLFLFLLSLRALESHNSRFNHLQGPARLVNHVGSMFPKLSPFGSPQPFLPLAPSPLSPFTNTSIPKLSGLCTLNFSSAESLISVTATDCWEVFAPFLANVICCPQLEATLTILIGQSSKYTNVLALNGTNAKHCLADVEQILMGQGAATNLKQICSIHSSNLTEASCPVKNVNDFYDMVDTTKLLTACDKIDPVKECCYQVCQNAILEAATTIASKGSDILTMDSPHVQTEHPLRISDCRNIVLRWVASKLEPVHAKKVLRGLSNCNVNKACPLVFPDTMQVTRGCGDGISNKTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNITADIYGLCHISLKDFSLQVGNQEAGCLLPSLPSDATFDRISGISFLCDLNDNIPAPWPSTSQLTSSSCNKSVNIPALPAAASSQTGLYSHEIMFFVLAALSFLLMATM >Vigun06g162500.4.v1.2 pep primary_assembly:ASM411807v1:6:28487212:28490411:1 gene:Vigun06g162500.v1.2 transcript:Vigun06g162500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLHARTVVVINCALESHNSRFNHLQGPARLVNHVGSMFPKLSPFGSPQPFLPLAPSPLSPFTNTSIPKLSGLCTLNFSSAESLISVTATDCWEVFAPFLANVICCPQLEATLTILIGQSSKYTNVLALNGTNAKHCLADVEQILMGQGAATNLKQICSIHSSNLTEASCPVKNVNDFYDMVDTTKLLTACDKIDPVKECCYQVCQNAILEAATTIASKGSDILTMDSPHVQTEHPLRISDCRNIVLRWVASKLEPVHAKKVLRGLSNCNVNKACPLVFPDTMQVTRGCGDGISNKTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNITADIYGLCHISLKDFSLQVGNQEAGCLLPSLPSDATFDRISGISFLCDLNDNIPAPWPSTSQLTSSSCNKSVNIPALPAAASSQSCLYSHEIMFFVLAALSFLLMATM >Vigun06g162500.5.v1.2 pep primary_assembly:ASM411807v1:6:28487212:28490411:1 gene:Vigun06g162500.v1.2 transcript:Vigun06g162500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLHARTVVVINCALESHNSRFNHLQGPARLVNHVGSMFPKLSPFGSPQPFLPLAPSPLSPFTNTSIPKLSGLCTLNFSSAESLISVTATDCWEVFAPFLANVICCPQLEATLTILIGQSSKYTNVLALNGTNAKHCLADVEQILMGQGAATNLKQICSIHSSNLTEASCPVKNVNDFYDMVDTTKLLTACDKIDPVKECCYQVCQNAILEAATTIASKGSDILTMDSPHVQTEHPLRISDCRNIVLRWVASKLEPVHAKKVLRGLSNCNVNKACPLVFPDTMQVTRGCGDGISNKTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNITADIYGLCHISLKDFSLQVGNQEAGCLLPSLPSDATFDRISGISFLCDLNDNIPAPWPSTSQLTSSSCNKSVNIPALPAAASSQSSGLYSHEIMFFVLAALSFLLMATM >Vigun05g209300.1.v1.2 pep primary_assembly:ASM411807v1:5:39998857:40002801:1 gene:Vigun05g209300.v1.2 transcript:Vigun05g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKEPGIQKSGGEIEDAEPIELVLFQVPECYVYIIPPRKRAASYRADEWDVNKWAWEGILKVTSKGEECIIKLEDKNSGELYARAFLRNGEPHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQHYQQTSSVDYSLKEGETLVLQIKTNKCDSSVKSKFFEQGLNKSPEERNGKKESLTLTSPRLLPPPPAPPSPVVSPQKSPTDSPTKLSLDKTFSADTPKTVKDDPEHQNSPEDQSTQDVPDDDFGDFQAAG >Vigun07g144500.3.v1.2 pep primary_assembly:ASM411807v1:7:25526619:25529127:1 gene:Vigun07g144500.v1.2 transcript:Vigun07g144500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVNVDIGANPSAEGGEEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTPKLEAEKQDLFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSLVFAYYKEGATDPTFVYFAYGLKEIKC >Vigun07g144500.1.v1.2 pep primary_assembly:ASM411807v1:7:25526600:25528813:1 gene:Vigun07g144500.v1.2 transcript:Vigun07g144500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVNVDIGANPSAEGGEEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTPKLEAEKQDLFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSLVFAYYKEGATDPTFVYFAYGLKEIKC >Vigun07g144500.2.v1.2 pep primary_assembly:ASM411807v1:7:25526619:25528664:1 gene:Vigun07g144500.v1.2 transcript:Vigun07g144500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFLQWVVQGAVNVDIGANPSAEGGEEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTPKLEAEKQDLFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSLVFAYYKEGATDPTFVYFAYGLKEIKC >Vigun10g107600.1.v1.2 pep primary_assembly:ASM411807v1:10:30658254:30659020:-1 gene:Vigun10g107600.v1.2 transcript:Vigun10g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFVVIFVLAFVTQLVYGGGEGSLTVKECPKACDYRCSKASGREDCLYFCNLCCDKCLCVPSGTFGNKEECPCYNNWKNKSGKPKCP >Vigun01g123900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30078786:30085216:1 gene:Vigun01g123900.v1.2 transcript:Vigun01g123900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30078825:30085222:1 gene:Vigun01g123900.v1.2 transcript:Vigun01g123900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123900.5.v1.2 pep primary_assembly:ASM411807v1:1:30078825:30085222:1 gene:Vigun01g123900.v1.2 transcript:Vigun01g123900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELGFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g123900.1.v1.2 pep primary_assembly:ASM411807v1:1:30078786:30085216:1 gene:Vigun01g123900.v1.2 transcript:Vigun01g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELGFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun09g051500.1.v1.2 pep primary_assembly:ASM411807v1:9:5081603:5089612:-1 gene:Vigun09g051500.v1.2 transcript:Vigun09g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNQVGETSEGRGDHKKTEDESSRSYDNDTLAFISMAQELKDEGNKLFQKRDVEGALAKYEKAMNLLPRNHGDISYLRSNMAACYMQMGLREYPKAINECNLALEVSPKYSKALMKRARCYEALNRLDFALRDVSTVLKIEPNNVMALEVSDKVKQALEVKGLVVNDTEIELPPDYTGPPDLRLEKVDREKIYRKKKSKEKVRSTDKIEEKQAEEKLEENKAEDSRKDKKAKKYKKKKAKEKDVEKKDDVKEVIEEKSNGRSEDIPKKAAKLVFGEDIRWAELPVNCSLFQLREIICDRFPRLGAVLVKYKDQEGDLVTITSDEELRWAETGSQGSIRLYIVEVNPEHDPFFVKLNAKSGEKVSIANSPVNGSVVKAKNIISSSCIEDWIIQFAQLFKNHVGLEADRYLHFHEFGMKLYSEVVEETIISEEAQGLLDVAEGKFQEMAALALLNWGNVHMSSARNKVYFTGDSSKEHLHEQIRSLYEWAHEEYEKAGEKYETAIEIKPDFYEGFLALGQQLFEQAKLSWNYALCSKVDLSTWSSSTELLQLYNSAEDSLEKGMLIWEESGEQKLLETSNPKDTLLHLKDMGLDQLFKNMSAHEIASQVADTRSQMNLLWGTILYERSIVEFMLGLPGWNESLEVAAEKFQLAGISTKDISVMLKNHCSNNLAVDGLGFNINEIVRPWNEMYNAKKLQKGVPSYRLEPLFRRRVSKTFHAFELE >Vigun05g204050.1.v1.2 pep primary_assembly:ASM411807v1:5:39239157:39239678:1 gene:Vigun05g204050.v1.2 transcript:Vigun05g204050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTLFSSFYAIHSYRDSVSEPPFGYASVSFGYELVFHSISNSSL >Vigun06g155400.1.v1.2 pep primary_assembly:ASM411807v1:6:27901825:27908252:1 gene:Vigun06g155400.v1.2 transcript:Vigun06g155400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLQLKSSCSGCGSTTDLYGSNCKHMTLCLSCGKTMAENRSKCVDCGVTITRLIREYNVRANSTNDKNYFIGRFMSGLPDFSKKKSAENKWALQKEGLHGRQITDTLREKYKNKPWLLEDETGQSQYQGHLEGSQSATYYLLMKEKKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYQRWMMKAANNGPAAFGEHGKFEDKESNTGGGRSRKKTGEDDEGHVSDKGEEDEDEESDRKSRLGLNKRAGDDDEEGPRGGDHDLDDDDVEKGDDWEHEEIFTDDDEAVGNDPEEREELAPEVPAPPEIKQDEEEEDEDNEEGGGLSKSGKELKKLLGKASGLNESDAEDDDDDDDDMDDEVGNPPVIATKQKDAPKEEPVDISPSKPAATGPARGTPSSKSSKGKRKSNEEAKPANTATPKKVKQENEPKSTKEINGSASKSSAPTKGTPPSSKPGSSGTATGPVSEEEIRAVLRQKTPVTTQDLVAKFKARLRSSEDKQAFAEILKRISKIQKTANGSSYVILRDK >Vigun05g238700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43173640:43174314:-1 gene:Vigun05g238700.v1.2 transcript:Vigun05g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDDYSFSSSPSSTSSQSQLCNPNSPSENSPLPTQKPCGSHKRKAGRKKFQETRHPVYRGVRQRNGNKWVCEVREPNTKSRIWLGTYSTPEMAARAHDVAALALKGTSAALNFPDSVSLLPVAKSSSAADIRAAAAQVSEVFLSTNTSSSSANDSRVEIETNCSVVNDVEEFKKSVFFDEEAFYNMPGLLHSMAEGLLITPPSMVSFDWDEVDCETDLTLWTY >Vigun08g081000.2.v1.2 pep primary_assembly:ASM411807v1:8:16976071:16985804:1 gene:Vigun08g081000.v1.2 transcript:Vigun08g081000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSSWFSSVKKVFKSSSKELPEPEKKKDSTQKLQHEVAEVVSFEHFPVESSPDNNVTNEESGTSTPFTEDRSHAIAVAAATAAAAEAAVAAAQAAARVVRLAGYGRHSKEERAAILIQSNYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLSHVDDERQQYEKIEEPKPKPMPMSPMRRTPINNDWDNRRQSTYKIKENDFRKHEAAMKRERALAYAFNYQQQEQQKQYLLAESKGKDDIGIKEKWGWNWLERWMSSQPYNVRNMGPRETFYMTPASTTSTTTDNMSEKTVEMDMIATPGPTNVRSISPINPDSIDSSPLSNRHNHQIPPSPNRRYMAPTQSAKAKVRVQGPSKPRVSAGPQWNSSTKGGSTCDSSSSGGGITAYQIPRSPGPKINGIRSQSRRTVSSSPDYIEDWALPLGAHGWT >Vigun08g081000.1.v1.2 pep primary_assembly:ASM411807v1:8:16976032:16985947:1 gene:Vigun08g081000.v1.2 transcript:Vigun08g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSSWFSSVKKVFKSSSKELPEPEKKKDSTQKLQHEVAEVVSFEHFPVESSPDNNVTNEESGTSTPFTEDRSHAIAVAAATAAAAEAAVAAAQAAARVVRLAGYGRHSKEERAAILIQSNYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLSHVDDERQQYEKIEEPKPKPMPMSPMRRTPINNDWDNRRQSTYKIKENDFRKHEAAMKRERALAYAFNYQQQEQQKQYLLAESKGKDDIGIKEKWGWNWLERWMSSQPYNVRNMGPRETFYMTPASTTSTTTDNMSEKTVEMDMIATPGPTNVRSISPINPDSIDSSPLSNRHNHQIPPSPNRRYMAPTQSAKAKVRVQGPSKPRVSAGPQWNSSTKGGSTCDSSSSGGGITAYQIPRSPGPKINGIRSQSRRTVSSSPDYIEDWALPLGAHGWT >VigunL059438.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:8832:9404:1 gene:VigunL059438.v1.2 transcript:VigunL059438.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun05g054700.2.v1.2 pep primary_assembly:ASM411807v1:5:4673023:4678348:-1 gene:Vigun05g054700.v1.2 transcript:Vigun05g054700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNFKVNRERRYILQVLFNGLRRLEYRGYDSAGIAIDSSSQCGSDDKFALAHQTLPPLVFRQEGNIESLVKSVYQEVGEIKLNLEEPFSTHAGIAHTRWATHGEPAPRNSHPQTSGPENEFLVVHNGVITNYEVLKETLLRHGFAFESETDTEVIPKLAKFVYDKANEAADGQVVTFSQVVLEVMRHLEGAYALIFKSLHYPNELIACKRGSPLLLGVKDLTENKGNGSAFEDDKFLSKDDKPKELFLSSDANAVVEHTKKVLVIEDGEVVHLKDGGASILKFDSNVGVNGAFLSRTCSVRRALSVLEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRLGANKSKSVLLGGLKAHLKTIRRSRRVVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDREGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGGDTISNQARREAIIDGLFDLPNKVREALKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPILVVATRDACFSKQQSVIQQLHARRGRLIVMCSKGDASSVCPNESCRVVEVPQVEDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >Vigun05g054700.1.v1.2 pep primary_assembly:ASM411807v1:5:4672993:4678367:-1 gene:Vigun05g054700.v1.2 transcript:Vigun05g054700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNFKVNRERRYILQVLFNGLRRLEYRGYDSAGIAIDSSSQCGSDDKFALAHQTLPPLVFRQEGNIESLVKSVYQEVGEIKLNLEEPFSTHAGIAHTRWATHGEPAPRNSHPQTSGPENEFLVVHNGVITNYEVLKETLLRHGFAFESETDTEVIPKLAKFVYDKANEAADGQVVTFSQVVLEVMRHLEGAYALIFKSLHYPNELIACKRGSPLLLGVKDLTENKGNGSAFEDDKFLSKDDKPKELFLSSDANAVVEHTKKVLVIEDGEVVHLKDGGASILKFDSNVGVNGAFLSRTCSVRRALSVLEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRLGANKSKSVLLGGLKAHLKTIRRSRRVVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDREGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGGDTISNQARREAIIDGLFDLPNKVREALKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPILVVATRDACFSKQQSVIQQLHARRGRLIVMCSKGDASSVCPNESCRVVEVPQVEDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >Vigun07g186100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30290536:30293235:1 gene:Vigun07g186100.v1.2 transcript:Vigun07g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSVKQDQLHVVMFPFLAFGHINPFVQLSNKLFSHGVRITLLSAESNISRIRSTLNLNPAINIISLQFPNGVSSTADLPPHLAANLVHAIDLTQPQLKSLLLKLKPHYVFFDFAQHWLPKIASELGVKSVRFSSFPAIADSYITVPSRFSNVEGRNITFEDLKTPPPGYPQTDASLKDFEAMDLMFLFKRFGENLTGYERVMQGFGECSIIVFRSCMEIEGPYLEYMEKQFGKPVLVSGPLVPEPSRGVLEEKWSKWLDTFPAKSVVLCSFGSEAFLNDDQIKELASGLELSGLPFILVLKFSSDLCAESELERTLPKGFLERVKDRGLVHTGWFQQQLVLKHPSVGCHVGHAGFSSVVEAMVNECELVLLPLKADQFFITKLVVKDLEAGVEINRRETDGYFQKEDVLKALKTLMVEYEKEAGKHIRENRMKWGKFLSDNDDNFITDLVSQLKSMA >Vigun03g213100.1.v1.2 pep primary_assembly:ASM411807v1:3:35358650:35363619:-1 gene:Vigun03g213100.v1.2 transcript:Vigun03g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHHSWKCSTSVLPAIKCVAIGAISVCHCRAFIHCKSNNFFSPISLSHKFKPQRKKEKRIMEVEQNEGTKWEGYVNWRNKPALRGRHGGMLAVSFVLVAEILETLAFVANASNLVLYLIKCMHMSPSRSANIVTNFIGTAFLLALLGGFCSDAFFSTYQTFLISAVFELLGLILLTVQAHERSLMPAACEAGTPCHEVSGGKAAMLFAGLYLVALGVGGIKGSLPAHGAEQFDESTPSGRKQRSTFFNYFVFCLSFGGLLASTFVVWLADNKGWEWGFGISTLSIFVSIPVFLAGSPTYRNKIPSGSPLATFFKVLVAATLNSCFSRNSHTAVVITTSSSPCNLLPRNENKESEALTNTLKFLNRAVERNPKYSSMQCTVEQVEDVKIVLKALPIFFCTIMLNCCLAQLNTFSVEQAATMNTKLGSLKVPPASLSVFPVLFIMVLAPVYDLIIAPFARKLTKTEMGISHLQRIGFGLVLSIISMAVAAVVEVKRKRVAINSGLVDDATKPLPISFIWVALQYLFLGSADLFTFAGLLEFFFTETSIRMRSLATSLAFASLAVGYYLSSAIVSIVNSVTGAISHKPWLSGANLNHYHLDRFYWLLCVLCVLNFFHYLFWAFRYKYRGIGTATE >Vigun03g033600.1.v1.2 pep primary_assembly:ASM411807v1:3:2587108:2588169:1 gene:Vigun03g033600.v1.2 transcript:Vigun03g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLRFSVVIFLLLFVELSPARVNSLRMRVDVVKSPTNKVSFEMKGRRVLKLDTDDYKEYGANPRNDQGKTKPHA >Vigun06g188700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30695737:30696736:1 gene:Vigun06g188700.v1.2 transcript:Vigun06g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSIVAEVLEEYTALLARVVEQVFRSAPIPRRVRFLILRTLPFVSSHPRTIPPPPTPSH >Vigun01g212500.1.v1.2 pep primary_assembly:ASM411807v1:1:38651369:38654007:1 gene:Vigun01g212500.v1.2 transcript:Vigun01g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVPACYNVFIVNPNQFWKTDKVLKTELPVLAFQIAFVAVLSRLFSIIYKPLHQTRLISQIAVGFLLTPPLLGRFTGIFEFIFPVNGVVNVEVLSHIGLIYYAFLSGLEMNLNTILHVKKKAASIAIAGIIFPILVAPCLYALFRKVYGHFMMIPLEESTHNAYILWTLILTVTGFPVVAHTLSELKLLYTGLGKAALTTAMIGDTYGWILFTLFVPFSNNGKGAIYTVLCTIIFIVVCIFVVRPIIQWFIDHKADKDEWNDNQLLFIFMGVLACSCITDFLGAHAIVGAFVFGLILPHGKFAEMIITPLLYWDWDELMLISIFSQEIWPFTIVIIFLLCALKILSTLFVTFFFGMRIRDGLTLGLILNNKGAMALIMLNIAWDRMIFSIPTYAVITSAVLLMTIVVSPVINAIYKPRQRFEQNKLKTIQKLRVDAELRLIACVHNTRQAASMINIIECFNAMRVSPVHVFALYLVELTGRAASLVAAHIGKPGNQLGEQNLTKSQEELESIHNAFEALGKAYGAIRVETLNVVSAYTTIHEDIYHSADEKRTSLILLPFHKQLTLEGTLEVTSVVYKDINQNVMQGAPCSVGIFVDRDFGLVPKMNLRVRVVFVGGPDDREALAIAWRMAGRLGTQLSVVRILLLGEAAEVDASVHVEAQEILSTIIDTEKQKELDDEYISIFRLTAVNNSDSISYSEINVHNGEDIPAILNEIDKIGCDLYIVGQGNCRNSKVFSNFLEWCECLELGVIGDILVSNDFGSRSSVLVVQQYGYGGMVFGNTLTQKDTNKGMFESIV >Vigun02g028451.1.v1.2 pep primary_assembly:ASM411807v1:2:10422633:10425668:-1 gene:Vigun02g028451.v1.2 transcript:Vigun02g028451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVALVVVVALIVYVVMVVVVAVMVVIVVGVMMVVVVEVVVVVVVVVVVVLALVALVVALVVLPVVGWGRDGGYGCGRDDGGDGGGRGDDCGGGGGGEFGCGGGGGCGCVDCGGGGGGGDGGGGGSGGGGGGDCHDSGGAAVMVLVMVVAVVVVMVVDIGVVSVVVVVVVVVVVGLVAVVVVLLLLMLVMVVVGVVVVVVVVVVLVVVVVVVVVMLGMVVAVVVVVVLVVGVVVVVVMLGMVVVVVVVVAIACGGGVGGGGGGGSGGVGGGGGDCVGGDGSGGGHSGGDRGGDYGSYGDGGGGCGACGCTGGGCGFGGGVGGGGGGHGGGGCGGDDVWGGGGDSGRGVVGVGMIVQGGGCGGCGDGGDGGGRGSGCGGGQSGGVHRDGGGGGGVGRGGDGGGCGNGGGDCHGSGCGCRDGGGCSDGSRHGGPGCGRVVGGCGGGDGGAGDDRGGAVAVVLVVVVVVVVVLVVLAVVVVVVVVVVVVVAGDRGGGRGGVCGCGGGACGGGDGGGGFCGGGRDSGCGVVGVGMMVQGGGHGGCGDDGGRGGSCGGGVHRGGGGGGCCQGRGGDSHGGGGCGRGVLVVVVDVEGVVLVVVVVVAVLVVVVVIVLVVMIVVVAVVVIVVVVVIVVVVVVVLVVVVVALCRLGGGGCGAGRSGGGGDGGSGGCGGGCGGDNDRVVVVVVVVVVVALVVLMVVMVEVVVVVVGGGHGGGVHRGSGGQGGGGGSHGGGCGCCGVLVVVVDVEGEVVGFVVVVVVVVAVVVFAVMVLVVMVVVVIVVVVTVAMIVVVVVMVVVVVVLVVVGLVVVVEVVPVVMVMVVVIEVVVVMIVVVLVVVMAVVMVVVVVVVVLAMVVVVVMVVVVAVVVAMAVDVRAMVVVVVVVVV >Vigun06g055900.1.v1.2 pep primary_assembly:ASM411807v1:6:18231358:18234061:-1 gene:Vigun06g055900.v1.2 transcript:Vigun06g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRTNRTKKARESKPDSQTQTQPPQFMDLEIQAMERQIGAIRAVREVEIEHLLTEVRLLRSCFSSEELCKPVLQVFEETLPNLSVVNDERNKMLEVKWRENEGCNDEMDARASLLQRLSIASFPRFAGFDHSASAGGRMSFVGADNLQFKDIVLEEPSDTHNLALQEGLQTPGVTSQRLSVGMTPKTLRLPKAGEILLSVHGSPLGVFKENNMEAIHESEEG >Vigun10g177800.1.v1.2 pep primary_assembly:ASM411807v1:10:39615857:39624472:1 gene:Vigun10g177800.v1.2 transcript:Vigun10g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSICSFPPFLLRRADPKVSASFGNDTPQDPNSTNAKFDEEFRKGKQRGRVVGGSDGGGGVVIGKKKKSKEKVEWVCSNCGYSAGQWWGVCRSCNVSGTMKEAKFSSDAGSMISGFSVLEDGVGSWLPQQEGELRPLRLAEVNRGLDHHHWRIPLSGPFGDEISRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIASIIAERHHDDGASPVVYVSGEESVEQIGNRADRLRIQSDIYLYSSNDVEDILKKVQHLSPGALIVDSIQTVYLKGIMGSPGGIMQVKECTSALLRFAKKTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKYSSHRMLRAVKNRFGSTDELGVFEMSHSGLQAISNASEMFLSEQHLDSEVLAGLAVAVIMDGSRTFLIEIQALCLSSSTGSRQVNGIQLNRADMIISVLIKQAGLRLREHAVFLNVVSGLTLAETAGDLAIAAAICSSCLEIPIPNDIAFIGEIGLGGELRMVHRMDKRVYTVAKLGFRMCIVPKVAEKALGTEGLEKMKVVGCRNLKEVINTVFPNIMMKRR >Vigun10g177800.3.v1.2 pep primary_assembly:ASM411807v1:10:39615857:39622637:1 gene:Vigun10g177800.v1.2 transcript:Vigun10g177800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSICSFPPFLLRRADPKVSASFGNDTPQDPNSTNAKFDEEFRKGKQRGRVVGGSDGGGGVVIGKKKKSKEKVEWVCSNCGYSAGQWWGVCRSCNVSGTMKEAKFSSDAGSMISGFSVLEDGVGSWLPQQEGELRPLRLAEVNRGLDHHHWRIPLSGPFGDEISRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIASIIAERHHDDGASPVVYVSGEESVEQIGNRADRLRIQSDIYLYSSNDVEDILKKVQHLSPGALIVDSIQTVYLKGIMGSPGGIMQVKECTSALLRFAKKTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKYSSHRMLRAVKNRFGSTDELGVFEMSHSGLQAISNASEMFLSEQHLDSEVLAGLAVAVIMDGSRTFLIEIQALCLSSSTGSRQVNGIQLNRADMIISVS >Vigun10g177800.4.v1.2 pep primary_assembly:ASM411807v1:10:39615857:39622637:1 gene:Vigun10g177800.v1.2 transcript:Vigun10g177800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSICSFPPFLLRRADPKVSASFGNDTPQDPNSTNAKFDEEFRKGKQRGRVVGGSDGGGGVVIGKKKKSKEKVEWVCSNCGYSAGQWWGVCRSCNVSGTMKEAKFSSDAGSMISGFSVLEDGVGSWLPQQEGELRPLRLAEVNRGLDHHHWRIPLSGPFGDEISRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIASIIAERHHDDGASPVVYVSGEESVEQIGNRADRLRIQSDIYLYSSNDVEDILKKVQHLSPGALIVDSIQTVYLKGIMGSPGGIMQVKECTSALLRFAKKTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEVGILLQLSFFEQVRNTLPIVCFEL >Vigun10g177800.2.v1.2 pep primary_assembly:ASM411807v1:10:39615857:39624472:1 gene:Vigun10g177800.v1.2 transcript:Vigun10g177800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSICSFPPFLLRRADPKVSASFGNDTPQDPNSTNAKFDEEFRKGKQRGRVVGGSDGGGGVVIGKKKKSKEKVEWVCSNCGYSAGQWWGVCRSCNVSGTMKEAKFSSDAGSMISGFSVLEDGVGSWLPQQEGELRPLRLAEVNRGLDHHHWRIPLSGPFGDEISRVLGGGLVPGSLTLVGGDPGVGKSTLLLQIASIIAERHHDDGASPVVYVSGEESVEQIGNRADRLRIQSDIYLYSSNDVEDILKKVQHLSPGALIVDSIQTVYLKGIMGSPGGIMQVKECTSALLRFAKKTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKYSSHRMLRAVKNRFGSTDELGVFEMSHSGLQAISNASEMFLSEQHLDSEVLAGLAVAVIMDGSRTFLIEIQALCLSSSTGSRQVNGIQLNRADMIISVSSYKASWSSSPRTCCVFECC >Vigun06g228700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33620521:33622643:1 gene:Vigun06g228700.v1.2 transcript:Vigun06g228700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDNNHNPSPQVSSFGRSMYRLRQEQVHSLEENHRSGTRDSELESFQKQVTDRFEDLFRASDDELLSIEWIQKLLNAFICCHEEFRAILLNNKEQLSNSPLDRSMTEFFERLVKALDICNASSNGIEKVRAWLKHLEIVLCALSSNQRALSEGHVLRARKALMDLAVAMLDEKDCSSVFPQRNRSFGRNNTNNKDHRRHFTALSRSHSWSVSRSWSAAKQLHSIASNLIPPRGSEIVATNGLAIPVYTMNYVLLFVLWTLVAAVPCQDRGLNIQMSVPRQFSWGVPVTSLHDRIKKRERRSSNGLLKEINKVESCVRNMTDLVDSVQFPVSDEQKMEVEERVKELSVVCGALRDGLDPLERQVREVFRKIMTCRAEGLDYTS >Vigun06g228700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33620586:33622643:1 gene:Vigun06g228700.v1.2 transcript:Vigun06g228700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDNNHNPSPQVSSFGRSMYRLRQEQVHSLEENHRSGTRDSELESFQKQVTDRFEDLFRASDDELLSIEWIQKLLNAFICCHEEFRAILLNNKEQLSNSPLDRSMTEFFERLVKALDICNASSNGIEKVRAWLKHLEIVLCALSSNQRALSEGHVLRARKALMDLAVAMLDEKDCSSVFPQRNRSFGRNNTNNKDHRRHFTALSRSHSWSVSRSWSAAKQLHSIASNLIPPRGSEIVATNGLAIPVYTMNYVLLFVLWTLVAAVPCQDRGLNIQMSVPRQFSWGVPVTSLHDRIKKRERRSSNGLLKEINKVESCVRNMTDLVDSVQFPVSDEQKMEVEERVKELSVVCGALRDGLDPLERQVREVFRKIMTCRAEGLDYTS >Vigun05g278100.1.v1.2 pep primary_assembly:ASM411807v1:5:46752314:46757429:-1 gene:Vigun05g278100.v1.2 transcript:Vigun05g278100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRCLLVGGLVLAILIQAQDQSGFISIDCGAPEDVTYTESTTGINYTSDANLINTGVRKSIASEFKEKYQRQMWTVRSFPEGKRNCYKINIMRNSKYLIRTCYLYGNYDGLNKTPQFDTHLGANWWHTVTLSNASTSQTNEIIHVPSQDYVQICLVDTGHGTPFISALEFRTLPNNTYVTESGSLENYERWDLGSNEGYRYKNDVYDRFWDYGDTNNWTQFSVSISADALVQNVYKPPAIVMSTAVTPPNVSAPLVIRWEPEHETDQFHVYMHFTELFANQTRQFNIMRNGELRIPNFSPRYLTVDTLSTKLAISGKEINYSLERTQNSTLPPIINAIEIYKVMELKPETFLGDVDAITTIKSVYGVNRDWQGDPCVPLAYLWDALNCSYHENDSPRITTLNLSSSGLYGKIDPSISNLTMLEKLDLSNNSLNGEIPDFLSQLQHLKILNLEKNNLSGIVPSALRRGSLSLSVDQNPYLCEPDQCNKKNSSIVTPLVASIGGVFILLVVVVAILWTVKRRKAKVGKGGFGTVYLGYIEDTPVAVKMLSSSSVRGYQQFQTEVKLLMRVHHKNLTSLVGYCNEGTNKGLVYEYMANGNLQEHLSEKVIELDYAGKRSKRNFFSWEKRLRIAVDAASGLEYLQNGCKPPIFHRDVKSTNILLNEQLQAKLSDFGLSKIILADGGTHVSTVIAGTPGYLDPEYYLTNRLTEKSVVYSFGVVLLEIITSQPAIMKEKIHISEWVRSLIEKGDINAIVDSGLEGDFDSNSVWKAVEIATACLSPNPNRRPIISVVVNELKESLAMELDRTDNKSTHTSDSVEHVTMNLNTESLPQAR >Vigun09g175600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34716808:34718683:1 gene:Vigun09g175600.v1.2 transcript:Vigun09g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSISSSDEEYDSSHADPSFLNHFGSISHPQPSLVPSHPSMFDLSSTYLHALSQSNPNQNPHNSFLNMDSLGQRSQSNCTLPQSLPSSSSPTPPINQCFSAPQPLAHDNTSARQLSSPPQTTNLVRNPKKRSRASRRAPTTVLTTDTTNFRSMVQEFTGIPAPPFSPSFSRRLPLRSNPLLSASSRTSLHNNATINLSPTNNSINYHLLPDLSLPYQPPQNIMQHHPIPAFHPSYSLQPLVPAGFGAKSLFMPALDAHDLVVAQGHEHVVSEGMLLRSGGDGDCRDGGMVGGGRRESFRCLDGNNYGGCKLNISVSASSSVNHEKNLENGNSPREGAVEAWICSSDQ >Vigun10g002600.2.v1.2 pep primary_assembly:ASM411807v1:10:239253:246146:1 gene:Vigun10g002600.v1.2 transcript:Vigun10g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNASNIPPSETSHSRRNRVRPKSSVQFDDKTVLHDDNANVIYVNDPTKTNEKYEFCGNDIRTSRYTLINFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAVKDAYEDWRRHRSDCYENNRECLVLQSAQFRSKRWKNVQAGDVIKIIADEMIPADMVLLGTSDPSGVAYIQTMNLDGESNLKTRFAKQETVSALLQDACAVSGVIRCEPPNRNIYEFTATMEFNDHKIPLNQSNIVLRGCVLKNTDWVIGVVVYAGQQTKAMLNSAASPSKRSKLESYMNRETLWLSVFLFIMCAVVALGMGLWLVRHAHELDTLPYYRKKFFNKGPNEGRKYRYYGITMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMVEDRDMYDSKSESRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFQRASIHGKSYGSSLHTDDKSTEAAAANNSKRKWKLKSETAVDSELMTLLRKDSNLDERIVAHEFFLTLAACNTVIPIISSGKVSSGGKDEPNHDIEGIDYQGESPDEQALVSAASAYGYTLFERTSGNVVIDVNGEKLRLDVLGLHEFDSVRKRMSVILRFPDNVVKVLVKGADTSMLSILAPATEGNNGIQYETQSHLSEYSMEGLRTLVVGSRDLSDAEFVEWQNMYEDASTSLTDRAAKLRQTAALIECNLKLLGATGIEDKLQEGVPEAIESMRQAGIKVWVLTGDKQETAISIGLSCKLLSRDMQQIIINGTSEVECRKLLTDAIAKYGLKSSSREHQNLKCKTDSRHGCPDIHNDTKSLGLLKSNAGKKEGTTAPSALIIDGTSLVYILEKELESELFKLATSCSVVLCCRVAPLQKAGIVDLIKSRTDDLTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMAQFQFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTEWSSVLYSVIYTSIPTIIVGVLDKDLNHSTLLQYPKLYGTGHRHEAYNLQLFWITMIDTLWQSLALFYIPVLIYKDSTIDIWSMGSLWTISVVILVNVHLAMDINQWVVVSHVAVWGSIIITYGCVVILDSIPAFPNYGTIHHLAISPTYWMTIFLIIIVALLPRFSCKAFYQVFCPSDIQIAREAETMRKQHDNLQSKCPVSK >Vigun10g002600.1.v1.2 pep primary_assembly:ASM411807v1:10:239177:246456:1 gene:Vigun10g002600.v1.2 transcript:Vigun10g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNASNIPPSETSHSRRNRVRPKSSVQFDDKTVLHDDNANVIYVNDPTKTNEKYEFCGNDIRTSRYTLINFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAVKDAYEDWRRHRSDCYENNRECLVLQSAQFRSKRWKNVQAGDVIKIIADEMIPADMVLLGTSDPSGVAYIQTMNLDGESNLKTRFAKQETVSALLQDACAVSGVIRCEPPNRNIYEFTATMEFNDHKIPLNQSNIVLRGCVLKNTDWVIGVVVYAGQQTKAMLNSAASPSKRSKLESYMNRETLWLSVFLFIMCAVVALGMGLWLVRHAHELDTLPYYRKKFFNKGPNEGRKYRYYGITMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMVEDRDMYDSKSESRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFQRASIHGKSYGSSLHTDDKSTEAAAANNSKRKWKLKSETAVDSELMTLLRKDSNLDERIVAHEFFLTLAACNTVIPIISSGKVSSGGKDEPNHDIEGIDYQGESPDEQALVSAASAYGYTLFERTSGNVVIDVNGEKLRLDVLGLHEFDSVRKRMSVILRFPDNVVKVLVKGADTSMLSILAPATEGNNGIQYETQSHLSEYSMEGLRTLVVGSRDLSDAEFVEWQNMYEDASTSLTDRAAKLRQTAALIECNLKLLGATGIEDKLQEGVPEAIESMRQAGIKVWVLTGDKQETAISIGLSCKLLSRDMQQIIINGTSEVECRKLLTDAIAKYGLKSSSREHQNLKCKTDSRHGCPDIHNDTKSLGLLKSNAGKKEGTTAPSALIIDGTSLVYILEKELESELFKLATSCSVVLCCRVAPLQKAGIVDLIKSRTDDLTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMAQFQFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTEWSSVLYSVIYTSIPTIIVGVLDKDLNHSTLLQYPKLYGTGHRHEAYNLQLFWITMIDTLWQSLALFYIPVLIYKDSTIDIWSMGSLWTISVVILVNVHLAMDINQWVVVSHVAVWGSIIITYGCVVILDSIPAFPNYGTIHHLAISPTYWMTIFLIIIVALLPRFSCKAFYQVFCPSDIQIAREAETMRKQHDNLQSKCPVSK >Vigun11g167300.1.v1.2 pep primary_assembly:ASM411807v1:11:37431459:37435111:-1 gene:Vigun11g167300.v1.2 transcript:Vigun11g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKERSGFCVWPDARQLCLRKGLLYGFMRLFSIPLKTLRGASRSLRVDQFCSVVNLSSSLQIELVPCLRDSYAYLLHDVDTGTVGVVDPSEAAPIIDALSKKNLNLTYILNTHHHHDITSGNTELKERYGAKVIGSEIDKERIPGIDIYLSDGDNWMFAAHEVHILATPGHTEGHVSFYFPGSAAIFTGDTLFSLSCGEISEGTPEQMLSSLKRIMSLPDETSIYCGHEYTLSNSKFALSIEPENKELQSYAAHVAHIRNKGLPTIPTTLKMEKACNPFLRTWSTEIRQKLNIAATADDAEALGVIRQAKDKF >Vigun11g167300.2.v1.2 pep primary_assembly:ASM411807v1:11:37431459:37435111:-1 gene:Vigun11g167300.v1.2 transcript:Vigun11g167300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSIPLKTLRGASRSLRVDQFCSVVNLSSSLQIELVPCLRDSYAYLLHDVDTGTVGVVDPSEAAPIIDALSKKNLNLTYILNTHHHHDITSGNTELKERYGAKVIGSEIDKERIPGIDIYLSDGDNWMFAAHEVHILATPGHTEGHVSFYFPGSAAIFTGDTLFSLSCGEISEGTPEQMLSSLKRIMSLPDETSIYCGHEYTLSNSKFALSIEPENKELQSYAAHVAHIRNKGLPTIPTTLKMEKACNPFLRTWSTEIRQKLNIAATADDAEALGVIRQAKDKF >Vigun11g167300.3.v1.2 pep primary_assembly:ASM411807v1:11:37431459:37435111:-1 gene:Vigun11g167300.v1.2 transcript:Vigun11g167300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKERSGFCVWPDARQLCLRKGLLYGFMRLFSIPLKTLRGASRSLRVDQFCSVVNLSSSLQIELVPCLRDSYAYLLHDVDTGTVGVVDPSEAAPIIDALSKKNLNLTYILNTHHHHDITSGNTELKERYGAKVIGSEIDKERIPGIDIYLSDGDNWMFAAHEVHILATPGHTEGHVSFYFPGSAAIFTGDTLFSLSCGEISEGTPEQMLSSLKRIMSLPDETSIYCGHEYTLSNSKFALSIEPENKELQSYAAHVAHIRNKGLPTVISITELLRWMVSTLKCPLCGGSSLLTCHNFDCRC >Vigun09g019100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1476312:1478972:-1 gene:Vigun09g019100.v1.2 transcript:Vigun09g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNLNLIQPPLPYPFSLQTHHQTRTLPTPKHPPPPTATATAAVERRSPSQWIDLLRHQTQSSSFHDAIATYAAMLAAAAPPDNFAFPAVLKAATAVHDLSLGKQIHAHVFKFGHASSVAVANTLVNLYGKCGDLAGARRVFDEIPERDQVSWNSMIATMCRFEEWEMSLHLFRLMLSENVESTSFTLVSVAHACSHVQGGTRLGKQVHAYTLRNDNLRTYTNNALVTMYARLGRVSDAKALFDVFDGKDIVSWNTIISSLSQNDRFEEALMYMYLMIVDGVRPDGVTLASVLPACSQLERLRIGKEIHCYALRNGDLIENSFVGTALVDMYCNCKQAAKGRLVFDGVLRRTVAVWNAMFAGYARNEFDDEALRLFIEMVSQSEFCPNATTFSSVLPACARCEKFLDKEGIHGYIVKMGLGKDKYVQNALMDMYSRMGRIKISKMIFGDMDRRDIVSWNTMITGCVVCGRYDDALNLLHEMQRVQGEDGSDTFVHCEDEESILLKPNSVTLMTVLPGCAALAALGKGKEIHAYAVKEMLAMDVAVGSALVDMYAKCGCLNLARIVFDQMPIRNVITWNALIMAYGMHGKGEEALKLFRSMTEGESNREVIRPNEVTYIAIFAACSHSGMVDEGLHLFHTMKASHGIEARADHYACLVDLLGRSGRIKEACELIHTMPSNLNKIDAWSSLLGACRIHQSVEIGEIAAKNLLLLEPNVASHYVLLSNIYSSAGLWEQAIEVRKKMKEMGVRKEPGCSWIEHGDEVHKFLAGDGSHPQSKELHEYLETLSQRMRKEGYVPDTSCVLHNVDDEEKETMLCGHSERLAIAFGLLNTLPGTTIRVAKNLRVCNDCHVATKIISKIVDREIILRDVRRFHHFKNGTCSCGDYW >Vigun05g220000.1.v1.2 pep primary_assembly:ASM411807v1:5:41129634:41137793:-1 gene:Vigun05g220000.v1.2 transcript:Vigun05g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSDGNFMRNFWIPGHVLVPESAVESSDVDVDGPECPVLVFVNSRSGGQLGGDLLKTYRALLKENQVFDLGEEAPDKVLSRIYANLENLKLQGDRIAIKVMEKLRLIVAGGDGTAGWLLGVVCDLQLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDEQSVKSFLNQVMKAKEMKIDNWHILMRMRIPEKGACDPIPPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSMGMDAQISYAFHSERKMHPEKFKNQLVNQSTYAKLGCTQGWFFAPLFHPPSRNIAHLAKVKIMKRHGHWEDLSIPSSIRSIVCLNLPSFSGGLNPWGTPNKHKRRDRDLTPPYVDDGLIEVVGFRDAWHGLVLLSPNGHGTRLAQAHRIRFEFHKGAAEETYMRIDGEPWKQPLPVDDDTVLVEISHHGQVNMLATHESKSKSVNDPSSPHHHDVEEDDSDDEESKADEFRKFGAAETFKIPDEVDLAHLS >VigunL040768.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:380822:383331:1 gene:VigunL040768.v1.2 transcript:VigunL040768.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun04g097750.1.v1.2 pep primary_assembly:ASM411807v1:4:22185907:22186668:-1 gene:Vigun04g097750.v1.2 transcript:Vigun04g097750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFFSHKKMIRDYDETYLLTNPSDCATKMNHSKLDRKFIVRKAKTSLTSLVTGEYTPTDNKRPTNRRK >Vigun03g226000.4.v1.2 pep primary_assembly:ASM411807v1:3:37585541:37591661:-1 gene:Vigun03g226000.v1.2 transcript:Vigun03g226000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCDRRINELIGCTDFVEKGTDSVVFRTCDEEKDRVVFQIGTSDAVRALTAAQLVCNDVAAIDINMGCPKAFSVSGGMGAALLSKPELIHDILTTLRRNLNTPVTCKIRLLKSPHETVELAKRIEKTGVSALAVHGRKVTDRPRDPAKWNEIADVVSALSIPVIANGDVFEYEDFERIKSATILQEGLGVRIGFSFP >Vigun03g226000.3.v1.2 pep primary_assembly:ASM411807v1:3:37585541:37591661:-1 gene:Vigun03g226000.v1.2 transcript:Vigun03g226000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRNKLVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKMLKCDRRINELIGCTDFVEKGTDSVVFRTCDEEKDRVVFQIGTSDAVRALTAAQLVCNDVAAIDINMGCPKAFSVSGGMGAALLSKPELIHDILTTLRRNLNTPVTCKIRLLKSPHETVELAKRIEKTGVSALAVHGRKVTDRPRDPAKWNEIADVVSALSIPVIANGDVFEYEDFERIKSATILQEGLGVRIGFSFP >Vigun03g226000.2.v1.2 pep primary_assembly:ASM411807v1:3:37580959:37591661:-1 gene:Vigun03g226000.v1.2 transcript:Vigun03g226000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCDRRINELIGCTDFVEKGTDSVVFRTCDEEKDRVVFQIGTSDAVRALTAAQLVCNDVAAIDINMGCPKAFSVSGGMGAALLSKPELIHDILTTLRRNLNTPVTCKIRLLKSPHETVELAKRIEKTGVSALAVHGRKVTDRPRDPAKWNEIADVVSALSIPVIANGDVFEYEDFERIKSATSAASVMVARGALWNASIFSPEGEVSHEDVKREYIRKCILWDNDIKSTKYTVKEMIIHYSCLELAEGKAVTKSESTADLAELYGQKEYYQLVKQGSFYAS >Vigun03g226000.1.v1.2 pep primary_assembly:ASM411807v1:3:37580959:37591661:-1 gene:Vigun03g226000.v1.2 transcript:Vigun03g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRNKLVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKMLKCDRRINELIGCTDFVEKGTDSVVFRTCDEEKDRVVFQIGTSDAVRALTAAQLVCNDVAAIDINMGCPKAFSVSGGMGAALLSKPELIHDILTTLRRNLNTPVTCKIRLLKSPHETVELAKRIEKTGVSALAVHGRKVTDRPRDPAKWNEIADVVSALSIPVIANGDVFEYEDFERIKSATSAASVMVARGALWNASIFSPEGEVSHEDVKREYIRKCILWDNDIKSTKYTVKEMIIHYSCLELAEGKAVTKSESTADLAELYGQKEYYQLVKQGSFYAS >VigunL060515.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:96446:96921:-1 gene:VigunL060515.v1.2 transcript:VigunL060515.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELQNPVNHRVFERKLRLKPLG >Vigun06g028500.1.v1.2 pep primary_assembly:ASM411807v1:6:12617852:12622712:-1 gene:Vigun06g028500.v1.2 transcript:Vigun06g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVLILLYRLENKQEQNNQKVFCLSNLLNFKRTVMDRRTNTTRPVVLSSSSITGTTTITIQNSEPSTSSSQHQQQQPEEVLFLPLNRKKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHYDEHPHDTGFCCKNHDKAGPST >Vigun06g028500.2.v1.2 pep primary_assembly:ASM411807v1:6:12617852:12622712:-1 gene:Vigun06g028500.v1.2 transcript:Vigun06g028500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVLILLYRLENKQEQNNQKVFCLSNLLNFKRTVMDRRTNTTRPVVLSSSSITGTTTITIQNSEPSTSSSQHQQQQPEEVLFLPLNRKKKKVSWKEGTVDNEFMQKKSSKRCCIFHKEKPFDEDDSDEDEHHYDEHPHDTGFCCKNHDKAGPST >Vigun09g259600.1.v1.2 pep primary_assembly:ASM411807v1:9:42487310:42494169:-1 gene:Vigun09g259600.v1.2 transcript:Vigun09g259600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRVQVNKAHKSRFSSKSSRNLHKTSVKDRLAIAKAERNVGKGARAARIQRSKMIRDQKRAAVLKEKRELSGSRSPPRVIVLFALCASVDLESVADDLLSLLSKDTCAVLPGTVASSEYKTRITVLKAPHGDLLSCMEMAKVADLMVFVASAKSLCEETHSNYVDSFGNQCLSVFRSLGLPSTAVFIRDLPSDLKQRNELKKTCTSSLASEFPEDCKFYPADTKDELHKFLWLFKEQRLKVPHWRTQRSYLLAQKVGAVYEGNSEKCTVLLTGYLRSRNLSVNQLVHVSGAGDFQLSKIELLKDPCPLNSRKNQDLMDADEMNDTEVIGSLVPDPLNHEALVVENIPDPLAGEQTWPTEAEIAKADEDQKKKKIKKRSLPRGTSEYQAAWIVDDSDESDSDDENDDGMVLDDGEDGFPVQEANKYSEFDGDDISLRLGDSEEETDNDSVMMEVDNLTREKIQDELKELKEAHAADEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPQDYAKIFEFDNFKRTQKHVLAKALELDQENCEHCIPVGSYARLQIKDVPSAVASKLCLLSKRMPVTTCGLLKHESKVSVLHFSVKKHETYDAPIKSKEELIFHVGFRQFVGRPVFSSEFINTDKNKMERFLHAGRFSVASIYAPISFPPLPSIILKISGENAAPVVAAVGSLKTVDPDRIILKRVILTGYPQRVSKRKASVRHMFYNPEDVKWFKPVELYTKRGLRGRIKEPVGTHGTMKCLLNGVLEQRDTVCMNLFKRAYPKWPTHYFPLSE >Vigun10g152000.1.v1.2 pep primary_assembly:ASM411807v1:10:37185219:37186537:1 gene:Vigun10g152000.v1.2 transcript:Vigun10g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KGDGFVDEEDNERLGKSCEVYVCNLPRSCDAAYLLDLFRPYGTILSVEVCRNDETNESKGCGYVTLGSVYSARNAVATLDGSDVGGREMRVRFSIEVNSKRRGFNKVNSSTKRILYYESSHKLYVGNLPKTMRPEQVRDHFSRFGNIVGARVMLDFKQGKSRAYAFLSFQSQAERDAAMSLNGTEFYGRTLIVKEGVERTEPLTEASVSA >VigunL022700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:13742:13849:1 gene:VigunL022700.v1.2 transcript:VigunL022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Vigun09g062300.1.v1.2 pep primary_assembly:ASM411807v1:9:6502357:6504834:1 gene:Vigun09g062300.v1.2 transcript:Vigun09g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFLVRTEVSPSVDSESLQRWVVAFCAIRFDLEQGQLVEECYPQGCLSHDEELEIAYSSFPDSVSQHQNRSSIHDCIFFFRIRRILKKSLDEKSVADSSNASKFLYGYVFNRQRQDERLKRGGEQKSVVILSYNPYSSVFRPLLQIVGPLFFDIGKKALEHIAAYVSKWPVPVPGKVMDLPIGNAALKVNLPPAHSLPLEGEVFFEEGASSMTPFVPNNQPVPQGLFHDSDLFGSFRGILLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLLAPLLCSVDFRPYFTIHDPVFARLNSIQEGEAFPSMILGVTNLFFLKALRNIPHIVSVGSPPPNSNRLTLMSRSTGRISGRPEGLGIQQLSLNKFSPSNLLSAVRLRRDGPLCLMTEHKEAIWSTYSATTKPDTSILNRLIDAGVSPRVEESMSVVNNEILRRHFLELTTNFLAPFSPYFRTSIPSEGSSPYIDPPSLPPFNADEFLASLSARGPGKFILKRMRSNWLDLYRRFLYGPNFMPWFKRRRAIAEHEQGRLWRQARMKSDIQQLISRLSELEIVDSFNVIERLLLREIQVVCFLGNLK >Vigun07g141900.1.v1.2 pep primary_assembly:ASM411807v1:7:25223405:25227422:-1 gene:Vigun07g141900.v1.2 transcript:Vigun07g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRGGRFSGKRNFRKKVRSKEGGSDDSDEDYVVSDDGREVSDYYCSSLDGCASEDSFGSFLAEEDEEFQTVRNFNRSKAKKSTAIRPKNASKNSHQRERIKYAEEEEEEDEEELEEEEEEEEEEEYKVEEEEEEEEIQEARNFSRPKAKNGVCSKKRSAGKISSKRGRKIHTEQLEEEEEEVKLEEEEEEEKEKVDRDGNDEEEEGDRDGEDEDFDYEDEDEDDEFFPEEDDYSDEEEEMRGKKKTNDGMKMVKKVFQKKRSSVVSTRGRKRQSSRATKKPLRKKRRKNGRLRKKVRCEDEDEDDFIDNSPTMTTSKKKRGQKRKRVLLSDSEYASSGSSDFEFTISEEEREQVREAKKLCGNLRNNLRSSSHLINNEEVGAHEEQVQLRKPPARKGKEKIEEPQGRKGKEKVEVKSEMGKQVCGICLSEEDKRRVRGVLNCCTHFFCFACIMEWAKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPSEEELRSYIDPYEYVICSECHQGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCEGCRPVALGSSSSQVQEGVADPRLTIQNLPVRPPPALHVRESIDLNLISSPRAAFNQGFGHLPSSRFSGRSVEGSSPVSGGAPTLSERRWIHRQIQQLLSIDRMAATPGRTTNGFSATSSTSNLYSTQIDQSRGTATLHARTQDVGTSYHTFFDDRLCNNTSPLMQNGPLWPGLMATPPVPDCEQVHQFSRSNIVPDDGLSTAVREETNFHTAKEQLQSMVKSHLKSLSQNIDLGHSTFKDVARSSMHTILAACDLEHKNSEVCNVPPPSACPHMELMAGGPTSLIKGCCSSCFDSFVGDVVKRVLDTRVSSQWLRLGL >Vigun07g141900.2.v1.2 pep primary_assembly:ASM411807v1:7:25223283:25227524:-1 gene:Vigun07g141900.v1.2 transcript:Vigun07g141900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGRFSGKRNFRKKVRSKEGGSDDSDEDYVVSDDGREVSDYYCSSLDGCASEDSFGSFLAEEDEEFQTVRNFNRSKAKKSTAIRPKNASKNSHQRERIKYAEEEEEEDEEELEEEEEEEEEEEYKVEEEEEEEEIQEARNFSRPKAKNGVCSKKRSAGKISSKRGRKIHTEQLEEEEEEVKLEEEEEEEKEKVDRDGNDEEEEGDRDGEDEDFDYEDEDEDDEFFPEEDDYSDEEEEMRGKKKTNDGMKMVKKVFQKKRSSVVSTRGRKRQSSRATKKPLRKKRRKNGRLRKKVRCEDEDEDDFIDNSPTMTTSKKKRGQKRKRVLLSDSEYASSGSSDFEFTISEEEREQVREAKKLCGNLRNNLRSSSHLINNEEVGAHEEQVQLRKPPARKGKEKIEEPQGRKGKEKVEVKSEMGKQVCGICLSEEDKRRVRGVLNCCTHFFCFACIMEWAKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPSEEELRSYIDPYEYVICSECHQGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCEGCRPVALGSSSSQVQEGVADPRLTIQNLPVRPPPALHVRESIDLNLISSPRAAFNQGFGHLPSSRFSGRSVEGSSPVSGGAPTLSERRWIHRQIQQLLSIDRMAATPGRTTNGFSATSSTSNLYSTQIDQSRGTATLHARTQDVGTSYHTFFDDRLCNNTSPLMQNGPLWPGLMATPPVPDCEQVHQFSRSNIVPDDGLSTAVREETNFHTAKEQLQSMVKSHLKSLSQNIDLGHSTFKDVARSSMHTILAACDLEHKNSEVCNVPPPSACPHMELMAGGPTSLIKGCCSSCFDSFVGDVVKRVLDTRVSSQWLRLGL >Vigun07g141900.3.v1.2 pep primary_assembly:ASM411807v1:7:25223405:25227422:-1 gene:Vigun07g141900.v1.2 transcript:Vigun07g141900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGRFSGKRNFRKKVRSKEGGSDDSDEDYVVSDDGREVSDYYCSSLDGCASEDSFGSFLAEEDEEFQTVRNFNRSKAKKSTAIRPKNASKNSHQRERIKYAEEEEEEDEEELEEEEEEEEEEEYKVEEEEEEEEIQEARNFSRPKAKNGVCSKKRSAGKISSKRGRKIHTEQLEEEEEEVKLEEEEEEEKEKVDRDGNDEEEEGDRDGEDEDFDYEDEDEDDEFFPEEDDYSDEEEEMRGKKKTNDGMKMVKKVFQKKRSSVVSTRGRKRQSSRATKKPLRKKRRKNGRLRKKVRCEDEDEDDFIDNSPTMTTSKKKRGQKRKRVLLSDSEYASSGSSDFEFTISEEEREQVREAKKLCGNLRNNLRSSSHLINNEEVGAHEEQVQLRKPPARKGKEKIEEPQGRKGKEKVEVKSEMGKQVCGICLSEEDKRRVRGVLNCCTHFFCFACIMEWAKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPSEEELRSYIDPYEYVICSECHQGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCEGCRPVALGSSSSQVQEGVADPRLTIQNLPVRPPPALHVRESIDLNLISSPRAAFNQGFGHLPSSRFSGRSVEGSSPVSGGAPTLSERRWIHRQIQQLLSIDRMAATPGRTTNGFSATSSTSNLYSTQIDQSRGTATLHARTQDVGTSYHTFFDDRLCNNTSPLMQNGPLWPGLMATPPVPDCEQVHQFSRSNIVPDDGLSTAVREETNFHTAKEQLQSMVKSHLKSLSQNIDLGHSTFKDVARSSMHTILAACDLEHKNSEVCNVPPPSACPHMELMAGGPTSLIKGCCSSCFDSFVGDVVKRVLDTRVSSQWLRLGL >Vigun05g058700.1.v1.2 pep primary_assembly:ASM411807v1:5:5014663:5017470:-1 gene:Vigun05g058700.v1.2 transcript:Vigun05g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLHNLNILTTVLLLCMFPHSLKCQQAYLNATVYDCSDNPSAPKGYLCNGLQKSCTSFLLFRSKPPYDSPVRIAYLLGSDASTISSINNISRTDKIASNKTIIVPVFCSCSGNIYQHNTPYTASKNDTYYQLVKETFQGLTTCQAMMGQNYYAATKIAIGAELTVPLLCACPTENQTARGVTSLMVYLVNYGDTVESIGLAYGVDEQSVLEANELAVSQSENSIMDLLSLTPLLVPLIGKSCKENSDKFYCKCYQAPDGSSKGPFCDESDGQKFPAKLVAALGAGIGVGFLCLFLLGYKSYQYIQKKRERIRKENLFRQNGGYLLQEKLSSCGNGEMAKLFTAEELQRATDNYNRSRFLGQGGYGTVYKGMLPDGTIVAVKRSKEIERNQIETFVNEVVILSQINHRNIVKLLGCCLETETPLLVYEFIPNGTLSHHIHRRDNEPSLSWESRLRIACEVAGAVAYMHFAASVPIFHRDIKPTNVLLDTNFSAKVSDFGTSRSVPQDKTHLTTAVGGTFGYIDPEYFQSSKFSDKSDVYSFGVVLVELISGRKPISFLEEDEGQNLIAQFLSLMKDNKVYEILDERVAKEAMKDDILAIANLAMRCLRLNGKKRPTMKEVSLELEALRKMQSSLKINHDHEHKTSDMVQECTEESMSLSLQLESTSF >Vigun03g092000.2.v1.2 pep primary_assembly:ASM411807v1:3:7772422:7775407:1 gene:Vigun03g092000.v1.2 transcript:Vigun03g092000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDVSQRWDVKWVTGKVLIAVLLLVTVSAENTNSQSNHTEGVDTNAKVFYHHTWPSMKFGWKIIVGSIVGFLGSAFGNIGGVGGGGIFVPMLTLIIGFDTKSAIAISKCMITGGATATVFYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISIGVDFNVIFPEWMLTVLLIILFVGLSIKSFLKGVDTWKKETIMKKEAKKNSRIEEDGAHYIQTEAPVKIDTNELKKKVSMVENIRWKDLGHLFAVWFMILALEIGKNYTTTCSGAYWAVNLLQIPIAVGMSAYQAMRLYKGQRSIASKGDQQPRWSVWKLIVFCCCGTLAGTLAGLLGLGGGFILAPLFLGIGIPPQVASATSILAMAFSASMAVVEYYLLKRFPVPYALCLVGVATVASLVGQYLVRKVVALLGRASVIIFILTFTLCVSAVLLGGVGVDHMIQKIERKEYMGFGNLCMYTAKN >Vigun03g092000.1.v1.2 pep primary_assembly:ASM411807v1:3:7772422:7775407:1 gene:Vigun03g092000.v1.2 transcript:Vigun03g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDVSQRWDVKWVTGKVLIAVLLLVTVSAENTNSQSNHTEGVDTNAKVFYHHTWPSMKFGWKIIVGSIVGFLGSAFGNIGGVGGGGIFVPMLTLIIGFDTKSAIAISKCMITGGATATVFYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISIGVDFNVIFPEWMLTVLLIILFVGLSIKSFLKGVDTWKKETIMKKEAKKNSRIEDIATAEDGAHYIQTEAPVKIDTNELKKKVSMVENIRWKDLGHLFAVWFMILALEIGKNYTTTCSGAYWAVNLLQIPIAVGMSAYQAMRLYKGQRSIASKGDQQPRWSVWKLIVFCCCGTLAGTLAGLLGLGGGFILAPLFLGIGIPPQVASATSILAMAFSASMAVVEYYLLKRFPVPYALCLVGVATVASLVGQYLVRKVVALLGRASVIIFILTFTLCVSAVLLGGVGVDHMIQKIERKEYMGFGNLCMYTAKN >Vigun02g092200.1.v1.2 pep primary_assembly:ASM411807v1:2:24743652:24745190:1 gene:Vigun02g092200.v1.2 transcript:Vigun02g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDRSFNTQPFQFIKTMRASLIRTGSLPVQNPVFLGSPRCSLTRQTSFPGEKNHAKFSPISMQYSHFNARRDAPQNGINRASSDGALENTGVRRNLNRTGSCSPRPIIPHQEQFFSDANLHKPSYLGILREDMESGGGDGSDHGDATATGGNGGERMKIDAYYEEMLKLNPTDALLLRNYGKFLHEVEKDTTRAEEYYGRAILANPSDGELLSLYGALIWETQRDEARAKSYFDQAIHAAPNDCTVLGSYAHFMWEAEEEEEINGGMTEKTGTEMIAAI >Vigun02g170700.1.v1.2 pep primary_assembly:ASM411807v1:2:31374248:31377149:1 gene:Vigun02g170700.v1.2 transcript:Vigun02g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLDEDGINVDGDHTKDVMRNHGGGEYVKLRWDPQSEATITIDRKGSGLWYWVKSGLCFLCLGFVALLAVKWVVPFFLEKAIIPMINWGTNKFTSPELAVMVFASIALFPTLVMPSSPSMWVAGMTFGYCFGFLIIISAAAIGVSLPFLIGSIFHHKIEEWLEKYPKKASLLRCAGEGNWFHQFRAVALIRVSPFPYILYNYCSVATRVKYWPYLIGSLVGMVPEIFVSIYTGILIQTLANARHHHHTLSAPEIIVNVVGLCVTVGTIIFFTVYAKRKLKELRKVDDLLLK >Vigun02g051201.1.v1.2 pep primary_assembly:ASM411807v1:2:19321521:19326497:-1 gene:Vigun02g051201.v1.2 transcript:Vigun02g051201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAAPAGSPVPSDHKRKLEDLQPETTESNAISNSNLDGETADAAVPGETENKRPRLDDQRDVPADTNGHQDEQDADQGKETKERSALEYVTPEPDQSTAKDPSEATVVQQTSDTSGDNQPSVEVPYDKQDASSEHKQSASEAEVTTRRIEVPNNKVGVLIGKAGDTIRYLQYNSGAKIQITRDADADPHCATRSVELIGSLESIDKAEKLMNAVIAEADAGGSPSLVARGLSPAQATVGSEQIQIQVPNEKVGLIIGRGGETIKSLQTKSGARIQLIPQHLPEGDDSKERTVQVTGDKRQIEIAQELIKEVMNQVCVTIHGLCCGSLQF >Vigun09g138000.2.v1.2 pep primary_assembly:ASM411807v1:9:29971560:29972926:1 gene:Vigun09g138000.v1.2 transcript:Vigun09g138000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMNIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITDENGKKKLKIEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASIANDNKSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQVFSFSAHLTYPVQTLFNFAFV >Vigun09g138000.1.v1.2 pep primary_assembly:ASM411807v1:9:29971560:29972926:1 gene:Vigun09g138000.v1.2 transcript:Vigun09g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMNIPDGVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLITDENGKKKLKIEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASIANDNKSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIVVEE >Vigun10g188600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40411136:40413616:-1 gene:Vigun10g188600.v1.2 transcript:Vigun10g188600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMASLFSATATVIPRNLAVSSSGKRFVGHSLLSSSSPPFLSFPTPKSNPRNRLTVSLQSPRAQSSASSSPSATFHGLCYVVGDNIDTDQIIPAEYLTLVPSKPDEYEKLGSYALVGLPASYATRFIEPGETKTKYAIVIGGANFGCGSSREHAPVALGASGAAAVVAESYARIFFRNSVATGEVYPLESETRLCEECRTGDVVTIELGESRLINHTTGKEYRLKPIGDAGPVIEAGGIFAYARKSGMIPSR >Vigun10g188600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40411136:40413616:-1 gene:Vigun10g188600.v1.2 transcript:Vigun10g188600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMASLFSATATVIPRNLAVSSSGKRFVGHSLLSSSSPPFLSFPTPKSNPRNRLTVSLQSPRAQSSASSSPSATFHGLCYVVGDNIDTDQIIPAEYLTLVPSKPDEYEKLGSYALVGLPASYATRFIEPGETKTKYAIVIGGANFGCGSSREHAPVALGASGAAAVVAESYARIFFRNSVATGEVYPLESETRLCEECRTGDVVTIELGESRLINHTTGKEYRLKPIGDAGPVIEAGGIFAYARKSGMIPSR >Vigun02g119000.1.v1.2 pep primary_assembly:ASM411807v1:2:27158279:27166573:-1 gene:Vigun02g119000.v1.2 transcript:Vigun02g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENPFSNILRTLEKPAGGGEFGKYYSLPALNDPRIDRLPYSVRILLESAIRNCDEFQVKKHDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFSFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELPLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSDETVSMIESYLRANKMFVDYSEPQVERVYSSYLELNLEDVVSCVSGPKRPHDRVPLKEMKADWVACLNNKVGFKGFAVPVESQNKVADFKFHGSPAHLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLQVKPWIKTSLAPGSGVVTKYLQRSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFDTEPIGIGKDGTKIFFKDIWPSSEEIANVVQSSVLPDMFKDTYNAITQGNPMWNNLSVPSGTLYAWDPTSTYIHEPPYFKNMTMSPPGSHGVKDAFCLLNFGDSITTDHISPAGSIHKDSPAARYLIERGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHIPSGEKLSVFDAAQRYKSEGHDMIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGRERFTIDLPSNVNEIRPGQDVTVVTDTGKTFVSILRFDTEVEIAYFNHGGILQYVIRNLINAKH >Vigun06g176500.1.v1.2 pep primary_assembly:ASM411807v1:6:29668429:29670725:-1 gene:Vigun06g176500.v1.2 transcript:Vigun06g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFRFHQYQVVGRALPTETDQHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRCPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKAKKPNLFM >Vigun06g176500.2.v1.2 pep primary_assembly:ASM411807v1:6:29668429:29670725:-1 gene:Vigun06g176500.v1.2 transcript:Vigun06g176500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQYQVVGRALPTETDQHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRCPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKAKKPNLFM >Vigun05g051100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4376231:4376614:1 gene:Vigun05g051100.v1.2 transcript:Vigun05g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELMKKYGKVALGVHLSVSTVSITSLYVAIKNNVDVEAILEKFHMGAVSDQENPNPNPIDEDASQPPKNRTAQVAASAGGAFTLAILCNKALFPVRVPITVALTPPIARFLARRNIIKSGV >Vigun01g065600.1.v1.2 pep primary_assembly:ASM411807v1:1:17349642:17353634:1 gene:Vigun01g065600.v1.2 transcript:Vigun01g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGKLGAMQQFVKGGRMMPAAAISDDSVLVKKIVTEHNPEGIEYDVRPLLQIVEDVLIRSTLSSEGVTTGALARVDHVEDRSYHPGQTNTLEALSAKIDRISCEISYKTLGGVDAHSTTVGIFDMLTIFKWDVKIVLTLAAFALTYGEFWLLAQIHDKNQLAKSMAILKQLPSIMEHANSLKPRFDTLNDLVRIIVEVTKCVIEFHDLPSQYITQDITAYTTANNYIPVASYWTIRSIVACAAQITSLTTLGYEIFTSTDAWELSTLIFKLKNIIDHLRQLLNSCREHIGKKMDAEAYHMLRDLFSKPHTDNMKVLKALIYAQDDILPLYDGVTKKRVSLEALRRKNVLLLFSGMEISTDELLILEQIYNESKAHAPRMESRYELVWIPIVDPNSEWTEAKKKQFESLQENMSWYSVYHPSLIGKPVIWFIQSEWKYKNKPILVVLDPQGRVSCPNAIHMMWIWGSAAYPFTSSREEALWKEETWRLELLVDGIDQEILNWIKDGKYIFLFGGDDPEWVRRFVKEARRVAMATQIPLEMVYVGKSNKREQVQKIIDIIIRDKLNTQYWSEQSMIWFFWTRLQSMLFSKLQLKQSDDDDHVMQEIKKLLSYDKQGGWIVLARGSHIVVNGHATTGFQTLVEYDAVWKEHADREGFEPAFKNHYDKVHSVVSPCCRFEFSHSMGRIPERLTCPECRRNMHVLTTFQCCHDEKIDEDFFVSTVTPPTN >Vigun07g194600.1.v1.2 pep primary_assembly:ASM411807v1:7:31387621:31393537:1 gene:Vigun07g194600.v1.2 transcript:Vigun07g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAEDLGAPESWEVADLDESMNRLNLMLSSSKDSNHPHQRLSDDEVPPPPPPPPLPSASGDKVSDDVVNQVDQFLREAIQNPRERLSILRMEQDVENFIRDPTQQQLEFKQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTSECRLPVIRLADIPVKLSSENSAVMKVAIKQRPQKGTQILSNANSNSMKNSNSKSVEERKEEYNRARARIFSSSNNGGTLAGKPECESRQQDNSLHVSLGVPRVEDKSASVSDVTSGRGLVESSTNTNRARSRTEKEPVGRYRQNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNGGSYAIQPMYTAVLNYNTEFPQLGSSHRPQLSAEHQPRPLPQHIPGPWAAQSAPAGLGYGHPETMMPPFNPNQVGAHSSSTMYLHSSQYPCQRPGMPFIHHEHVHQPFAQGCFLHLPPVRECVSCRQLNFLRSF >Vigun07g194600.2.v1.2 pep primary_assembly:ASM411807v1:7:31387617:31393704:1 gene:Vigun07g194600.v1.2 transcript:Vigun07g194600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAEDLGAPESWEVADLDESMNRLNLMLSSSKDSNHPHQRLSDDEVPPPPPPPPLPSASGDKVSDDVVNQVDQFLREAIQNPRERLSILRMEQDVENFIRDPTQQQLEFKQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTSECRLPVIRLADIPVKLSSENSAVMKVAIKQRPQKGTQILSNANSNSMKNSNSKSVEERKEEYNRARARIFSSSNNGGTLAGKPECESRQQDNSLHVSLGVPRVEDKSASVSDVTSGRGLVESSTNTNRARSRTEKEPVGRYRQNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNGGSYAIQPMYTAVLNYNTEFPQLGSSHRPQLSAEHQPRPLPQHIPGPWAAQSAPAGLGYGHPETMMPPFNPNQVGAHSSSTMYLHSSQYPCQRPGMPFIHHEHVHQPFAQSHQPPPDASFGLARPR >Vigun07g194600.3.v1.2 pep primary_assembly:ASM411807v1:7:31387617:31393704:1 gene:Vigun07g194600.v1.2 transcript:Vigun07g194600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAEDLGAPESWEVADLDESMNRLNLMLSSSKDSNHPHQRLSDDEVPPPPPPPPLPSASGDKVSDDVVNQVDQFLREAIQNPRERLSILRMEQDVENFIRDPTQQQLEFKQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTSECRLPVIRLADIPVKLSSENSAVMKVAIKQRPQKGTQILSNANSNSMKNSNSKSVEERKEEYNRARARIFSSSNNGGTLAGKPECESRQQDNSLHVSLGVPRVEDKSASVSDVTSGRGLVESSTNTNRARSRTEKEPVGRYRQNNRVAIFRDREVDRKDPDYDRSYDSRYMQRFDPGFGFNGGSYAIQPMYTAVLNYNTEFPQLGSSHRPQLSAEHQPRPLPQHIPGPWAAQSAPAGLGYGHPETMMPPFNPNQVGAHSSSTMYLHSSQYPCQRPGMPFIHHEHVHQPFAQSHQPPPDASFGLARPR >Vigun04g122850.1.v1.2 pep primary_assembly:ASM411807v1:4:31386436:31387134:-1 gene:Vigun04g122850.v1.2 transcript:Vigun04g122850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNSPLLNLASPPSFLTLILPQLYRRTHFNPLIFSFFSSCSSNMYDAKISTVQMHLFHGMTFLKRSSQVRVGVRGGKGKLWRCYGFETMGENLFAPSETSCSFPSIFLITLSLTLFYSNLCGDDDVLCGDYLYAVSVKMDDKRKSEIEGEWGWLVGPNDVVISYLFGRPILSIHELV >Vigun02g084500.8.v1.2 pep primary_assembly:ASM411807v1:2:23853032:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPAGQNYVEHWLASLQNFKA >Vigun02g084500.1.v1.2 pep primary_assembly:ASM411807v1:2:23853023:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPAGQNYVEHWLASLQNFKA >Vigun02g084500.10.v1.2 pep primary_assembly:ASM411807v1:2:23854106:23854771:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPVFYMVWPC >Vigun02g084500.3.v1.2 pep primary_assembly:ASM411807v1:2:23853032:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPAGQNYVEHWLASLQNFKA >Vigun02g084500.2.v1.2 pep primary_assembly:ASM411807v1:2:23853023:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPVFYMVWPC >Vigun02g084500.4.v1.2 pep primary_assembly:ASM411807v1:2:23853032:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPVFYMVWPC >Vigun02g084500.9.v1.2 pep primary_assembly:ASM411807v1:2:23853032:23856249:1 gene:Vigun02g084500.v1.2 transcript:Vigun02g084500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRLSWWLWGGKEKEPVSSGSQLKSSSEWGFKERETLKFPSVKGTKIAPSHRKGKKKWQSREERRLDREYDVVLVSSDGDGCWSDSESDDSDWSIGWLEPHGSEFLQSDDDMDNSFAVLVPCYSKEVESSSKELLSAIKNLPNEFSPGQNYVEHWLASLQNFKA >Vigun04g048500.1.v1.2 pep primary_assembly:ASM411807v1:4:4179560:4183959:-1 gene:Vigun04g048500.v1.2 transcript:Vigun04g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKNYYMHLRHHHHHAERRWVFPLAVGSLLSLFLLFVATLTSPEGTPILPFYRSITAASYSVFVESKLHPLPVSALPPPPRLAYLVSGSAGDGGAVRRVLLALYHPGNRYVVHLDLEASAVERADLARFVEEHPLFRRFGNVRVIKKANLVTYRGPTMVANTLHAAAILLRELGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSDIGWKDHQRARPIIVDPGLYMNKKQDVFWVTQRRSRPTAFKLFTGSAWMALSKSFIDYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHYLTVEDMKAMVDSNAPFARKFHRDDPVLDKIDAELLSRGPGMTVPGGWCIGKRENGTDPCSEIGDTTVLRPGQGSKRLETLISSLLSNQKFRPRQCV >Vigun11g013101.1.v1.2 pep primary_assembly:ASM411807v1:11:1543354:1547005:-1 gene:Vigun11g013101.v1.2 transcript:Vigun11g013101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELITSALVSTFVERTIDNLASRFGKIFRGKKRTKKLLSNLKVKLLAVDVVADDAEQRQFTDPRVRDWLLSAKDVVFDAEDLLDEIDYALSKSQLKAKSLSAVKKVWISFKSSFVSFFENEIESRMEKLIEDLEHLGTQSHVLDLKKADDVGVRSGWGSKLRSTYLPNESVMYGRDDDKEFVFNWLTSHTHNNLSILSIVGMAGVGPTLAQHVFKDPRIDEAEFDVKAWVCVSDEFDVFKVSRAILEDVTGSTDDSRDTEMVQRRLKEKITGKKFLLILDDVWNENQSKWEEVQKPLVFGVQGRRILVTTRSKNVASTMRSEQHSLQQLQEDDCLKLFAKYAFRDDDTQPNLECREIGTKIVKKCKGLPLALKTMGSLLYNKSYVSEWESVFQSEIWEFSKEHCDIVPALALSYIHLPSHLKVSFVYCALFSKDYEFKKEDLIQLWMTENFLHCPQNNKSPEEVVQQYFNDLLSRSFFQQLGEKEVFVMHDLLHDLAKYVGGERLRTFMPTGRNLNYFCRWDINMSIHALFSKFKFLRILSLSHCSALQELPDSVRNLDNLRSLDLSYTAIKKLTENICSLSHLQILKLNYCIYLEELPSQLHLLTNLCRLEFTETRVVKVPPHLGKLKNLKVVMNSFKVGHGKEFGIQRLGELNNLHEGLSIGELQNIENSLDAIEANLKNKTLLVNLNLRWGRNGKSIDSKEEENVIKNLQPSKNLKELSIFNYGGKKFPNWLLNNSLLNLVSLVLSNCQSCHRFPPLGLLPFLKKLEISGCDEIVSIDADFHGNKSSSFKSLQTLYFSNMRQWEKWECKVVTGAFQSLHRLSISSCPKLKGQLPEQVVPLETLHIRYCQQLEASAPRALHLELYDCGKLKLDWITMKSLTMGGHNMEMIGSDTLERLEIEQSICGDSVSLKMFPLDCFPTLKTLNLNACGDLHMISQDRVHNHLKDLTIKECLQFESLPRNMRMLLPSLRYLWIQDCTRLETFNDGGLPSNLEHLIIIKCSELQSLPANMHMLLPSLTRLWIEDCPRIELFPNGGLPSNLEEMKLNNCSRLVGSLKGAFRDRSSLESLWIEELDAKCFPDEGLLPFSLTSLTIRDCSNLEKLDYKGLYQLSSLKLLILVNCPNLQCLPEEGLPRSISDLCITNCPLLEQRCQKEGGEDWEKIAHIRNLHIL >Vigun09g262300.1.v1.2 pep primary_assembly:ASM411807v1:9:42710844:42714736:1 gene:Vigun09g262300.v1.2 transcript:Vigun09g262300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDNRELDLTPTWAVAAVCAIIVIISIMLEKIIHKFAAVFEEKKKHALLEALEKIKAELMVLGFISLLLTFGQNYISKVCIPQKYAHTMLPCLPPEQRGGGAAAEHGAGAATDHGAGAATELGGSATEHGIEPKTDEGGGEAEGGGEAAEGGGHRRRLFSYDRRVLSGGGGGMSCKPGYMPLISVSGLHQLHIFIFFLAVFHVIYSAITMTLGRAKIRGWKAWEEDHIVDENALNDPRRFRLTHETSFVRDHSSFWTKTPVSFYFVCFFRQFFRSVRRADYLTMRHGFVSVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPILWGSVVLFLLVNVHGWHASFWVSFLPLLVILAVGTKLQAIITRMALDIKERHAVVQGIPLVQVSDKYFWFAWPQLVLYLIHYVLFQNAFELTYFWWTWYEFGWASCFYEDDKLMIVRVALGLGAQVVCSYVTLPLYALVTQMGSTMKKSIFDDQTSRALKQWHKNALKKKTSKGRHETRTLGGSPGDHTPEHSSPHPPPQRDTEMASQTATIVSSVDNESYDKRDLLSGP >Vigun08g085900.3.v1.2 pep primary_assembly:ASM411807v1:8:18978772:19003248:-1 gene:Vigun08g085900.v1.2 transcript:Vigun08g085900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHRTRLDQFYVSKKRKAATPVCKVGRSEKGARQGADASPSSKGTLDGYLIASQDGDGDSATAPNTVKRNLASEIGSFHANGLNKHTVLSAQGFDDSETSGATEFGQGAANLELKQFAAGFLSLYCSELHPSVDFPSEMKVDDHKSHGTVAEGHSCLPQKSKCTAREPGFGVENVSLNVKIDEDVKSGVVGNNTMGYVPISCSKVSDCGDTAAFETGFRKCSNTPKMSRNMAECYTPGSLIVKARVKETPKSVRGSSSFSPGEAFWNEAILLADSLCVPMGNDPSKAMWESNVVEDGPEMNNSCNLQNYDGKPRKILDQSKSRIWNMEAGTSFGLVGMHPKDSGKEASSLPVKHFDFSFEDNSLEENTMQNGHVGDQSNDTYMAGKQYGSGPTTSHTYEEKNEVQEKTLVNKLGKRNCHGNVSMTSNSPHNEVRTPISAHETDEANTPSTSVSFNDHFDLNSWLPPEICNIYRKKGISNLYNWQVDCLRVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVITTGKMALLVLPYVSICAEKAEHLERLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINKLLEEGRLSEMGIIVIDELHMVGDPRRGYLLELMLTKLRYAAGEGIPKSSDGESSGGSSDKADPAQGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYVKVGNSIYSKNMELSRTISKVADLGGKDPDHVVELCNEVVQEGQSVLIFCSSRKGCESTARHIAKFLKRFTVDVNDCEFADITSAINALRKCPGGLDPILEETLPSGVAFHHAGLTVEEREIVETCYRKGLIRVLTATSTLAAGVNLPARRVIFRQPRIGCDFLDGTRYKQMAGRAGRTGIDTKGESILICKPEEVKKVTALLNKSCPPLHSCLSEDMNGMTHAILEVVAGGIVQTASDINRYVRCTLLNSTKSFQDVVKSAQESLRWLCQRKFLEWNEDTKLYNTTPLGRASFGSSLCPEESLIVLADLSRAREGFVLASDLHLVYLVTPINVDVEPDWELFYEHFVRLSPLDQSVGNRVGVTEPFLMHMAHGAPLRAPNKSRHNTRSLHNQQRNQLGISNATTNYDDQTLRICRRFYVSLILSLLVQETPVGEVCEAFKVARGMVQSLQENAGRFASMVAVFCERLGWQDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASIPEIVKALFESSSWATEGSAQRSLQFGLAKKIKNGARKIVLEKAEEASNAAFSAFKSLGFSVPQFAPRILSTAPHDSIRQEAGSTSGSDTTDTSHNFVDANHIVNSIKFTSDKERKELIKLSVSGALAPVEEKSSNRVLCSLSTFPVAGPTMDEKNGNFDLAKNPDMSHFSAPFQKPKDISCVKDGCHAHCTEEQHKNGNLASGNSGCSSKKGPINAVSCPGGLDSFLDIWDTVPEFYFDIHYIKRLELHSAAPFEIRGIAVCWENSPVYYVNLPRDILFSDKAKDDCLSMSTCSDKQKVSSSKCNQDLEIARHRWSRISKIIGKRNVRKYTWNSKIQIQVLKSPAFPVQRFRCLDTPGTSVYLEVIDNSYVLFPSIHVQDAIDLCIVAWILWPDEESSYTPDLDKEVKKRLSSEEAAVANQSGRWRNQMRRAAHDGCCHRVAQTRALCSVLWKLLISENLAEVLMRIEIPLVNVLADMELWGIGVDLERCIQARKLLVNRLKHLEKEAYKLAGITFSLNMPADIAKVLYEHLKLPIPNVQNKGKQHPSTGKHCLDALRHEHPIVPVIKEHRTMAKLLNSTLGSICSLARLSVSTQKYTIHGHWCQTSTATGRLSMEEPNLQCVEHTVHFKIKEEENEGDANESHCSINARDFFVPTQENWLLLTADYSQIELRLMAHFSKDSSLVELLSKPDGDVFTMMAARWTGCSEVSVDSQEREQIKRMVYGILYGMGVNSLAEQLDCTSDEAAEKIANFKSSFPGVASWLREAVASCRSKGCIFVISVSCWKLCLSMIKICGDSKGKKTIFVKNKIWQQNRKIKSSKASCKLHLSGICC >Vigun08g085900.1.v1.2 pep primary_assembly:ASM411807v1:8:18978772:19003248:-1 gene:Vigun08g085900.v1.2 transcript:Vigun08g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHRTRLDQFYVSKKRKAATPVCKVGRSEKGARQGADASPSSKGTLDGYLIASQDGDGDSATAPNTVKRNLASEIGSFHANGLNKHTVLSAQGFDDSETSGATEFGQGAANLELKQFAAGFLSLYCSELHPSVDFPSEMKVDDHKSHGTVAEGHSCLPQKSKCTAREPGFGVENVSLNVKIDEDVKSGVVGNNTMGYVPISCSKVSDCGDTAAFETGFRKCSNTPKMSRNMAECYTPGSLIVKARVKETPKSVRGSSSFSPGEAFWNEAILLADSLCVPMGNDPSKAMWESNVVEDGPEMNNSCNLQNYDGKPRKILDQSKSRIWNMEAGTSFGLVGMHPKDSGKEASSLPVKHFDFSFEDNSLEENTMQNGHVGDQSNDTYMAGKQYGSGPTTSHTYEEKNEVQEKTLVNKLGKRNCHGNVSMTSNSPHNEVRTPISAHETDEANTPSTSVSFNDHFDLNSWLPPEICNIYRKKGISNLYNWQVDCLRVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVITTGKMALLVLPYVSICAEKAEHLERLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINKLLEEGRLSEMGIIVIDELHMVGDPRRGYLLELMLTKLRYAAGEGIPKSSDGESSGGSSDKADPAQGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYVKVGNSIYSKNMELSRTISKVADLGGKDPDHVVELCNEVVQEGQSVLIFCSSRKGCESTARHIAKFLKRFTVDVNDCEFADITSAINALRKCPGGLDPILEETLPSGVAFHHAGLTVEEREIVETCYRKGLIRVLTATSTLAAGVNLPARRVIFRQPRIGCDFLDGTRYKQMAGRAGRTGIDTKGESILICKPEEVKKVTALLNKSCPPLHSCLSEDMNGMTHAILEVVAGGIVQTASDINRYVRCTLLNSTKSFQDVVKSAQESLRWLCQRKFLEWNEDTKLYNTTPLGRASFGSSLCPEESLIVLADLSRAREGFVLASDLHLVYLVTPINVDVEPDWELFYEHFVRLSPLDQSVGNRVGVTEPFLMHMAHGAPLRAPNKSRHNTRSLHNQQRNQLGISNATTNYDDQTLRICRRFYVSLILSLLVQETPVGEVCEAFKVARGMVQSLQENAGRFASMVAVFCERLGWQDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASIPEIVKALFESSSWATEGSAQRSLQFGLAKKIKNGARKIVLEKAEEASNAAFSAFKSLGFSVPQFAPRILSTAPHDSIRQEAGSTSGSDTTDTSHNFVDANHIVNSIKFTSDKERKELIKLSVSGALAPVEEKSSNRVLCSLSTFPVAGPTMDEKNGNFDLAKNPDMSHFSAPFQKPKDISCVKDGCHAHCTEEQHKNGNLASGNSGCSSKKGPINAVSCPGGLDSFLDIWDTVPEFYFDIHYIKRLELHSAAPFEIRGIAVCWENSPVYYVNLPRDILFSDKAKDDCLSMSTCSDKQKVSSSKCNQDLEIARHRWSRISKIIGKRNVRKYTWNSKIQIQVLKSPAFPVQRFRCLDTPGTSVYLEVIDNSYVLFPSIHVQDAIDLCIVAWILWPDEESSYTPDLDKEVKKRLSSEEAAVANQSGRWRNQMRRAAHDGCCHRVAQTRALCSVLWKLLISENLAEVLMRIEIPLVNVLADMELWGIGVDLERCIQARKLLVNRLKHLEKEAYKLAGITFSLNMPADIAKVLYEHLKLPIPNVQNKGKQHPSTGKHCLDALRHEHPIVPVIKEHRTMAKLLNSTLGSICSLARLSVSTQKYTIHGHWCQTSTATGRLSMEEPNLQCVEHTVHFKIKEEENEGDANESHCSINARDFFVPTQENWLLLTADYSQIELRLMAHFSKDSSLVELLSKPDGDVFTMMAARWTGCSEVSVDSQEREQIKRMVYGILYGMGVNSLAEQLDCTSDEAAEKIANFKSSFPGVASWLREAVASCRSKGYVETLKGRKRFLSKIKFGSRIEKSKAQRQAVNSICQGSAADIIKIAMIRIYSEIAIGFDSLDSSSSVTTEFQMLKDRCRILLQVHDELVLEVDPSVIKEAALLLQTSMENAVSLLVPLRVKLKVGRTWGSLEPFTSDKIQG >Vigun08g085900.2.v1.2 pep primary_assembly:ASM411807v1:8:18978772:19003248:-1 gene:Vigun08g085900.v1.2 transcript:Vigun08g085900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHRTRLDQFYVSKKRKAATPVCKVGRSEKGARQGADASPSSKGTLDGYLIASQDGDGDSATAPNTVKRNLASEIGSFHANGLNKHTVLSAQGFDDSETSGATEFGQGAANLELKQFAAGFLSLYCSELHPSVDFPSEMKVDDHKSHGTVAEGHSCLPQKSKCTAREPGFGVENVSLNVKIDEDVKSGVVGNNTMGYVPISCSKVSDCGDTAAFETGFRKCSNTPKMSRNMAECYTPGSLIVKARVKETPKSVRGSSSFSPGEAFWNEAILLADSLCVPMGNDPSKAMWESNVVEDGPEMNNSCNLQNYDGKPRKILDQSKSRIWNMEAGTSFGLVGMHPKDSGKEASSLPVKHFDFSFEDNSLEENTMQNGHVGDQSNDTYMAGKQYGSGPTTSHTYEEKNEVQEKTLVNKLGKRNCHGNVSMTSNSPHNEVRTPISAHETDEANTPSTSVSFNDHFDLNSWLPPEICNIYRKKGISNLYNWQVDCLRVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVITTGKMALLVLPYVSICAEKAEHLERLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINKLLEEGRLSEMGIIVIDELHMVGDPRRGYLLELMLTKLRYAAGEGIPKSSDGESSGGSSDKADPAQGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYVKVGNSIYSKNMELSRTISKVADLGGKDPDHVVELCNEVVQEGQSVLIFCSSRKGCESTARHIAKFLKRFTVDVNDCEFADITSAINALRKCPGGLDPILEETLPSGVAFHHAGLTVEEREIVETCYRKGLIRVLTATSTLAAGVNLPARRVIFRQPRIGCDFLDGTRYKQMAGRAGRTGIDTKGESILICKPEEVKKVTALLNKSCPPLHSCLSEDMNGMTHAILEVVAGGIVQTASDINRYVRCTLLNSTKSFQDVVKSAQESLRWLCQRKFLEWNEDTKLYNTTPLGRASFGSSLCPEESLIVLADLSRAREGFVLASDLHLVYLVTPINVDVEPDWELFYEHFVRLSPLDQSVGNRVGVTEPFLMHMAHGAPLRAPNKSRHNTRSLHNQQRNQLGISNATTNYDDQTLRICRRFYVSLILSLLVQETPVGEVCEAFKVARGMVQSLQENAGRFASMVAVFCERLGWQDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASIPEIVKALFESSSWATEGSAQRSLQFGLAKKIKNGARKIVLEKAEEASNAAFSAFKSLGFSVPQFAPRILSTAPHDSIRQEAGSTSGSDTTDTSHNFVDANHIVNSIKFTSDKERKELIKLSVSGALAPVEEKSSNRVLCSLSTFPVAGPTMDEKNGNFDLAKNPDMSHFSAPFQKPKDISCVKDGCHAHCTEEQHKNGNLASGNSGCSSKKGPINAVSCPGGLDSFLDIWDTVPEFYFDIHYIKRLELHSAAPFEIRGIAVCWENSPVYYVNLPRDILFSDKAKDDCLSMSTCSDKQKVSSSKCNQDLEIARHRWSRISKIIGKRNVRKYTWNSKIQIQVLKSPAFPVQRFRCLDTPGTSVYLEVIDNSYVLFPSIHVQDAIDLCIVAWILWPDEESSYTPDLDKEVKKRLSSEEAAVANQSGRWRNQMRRAAHDGCCHRVAQTRALCSVLWKLLISENLAEVLMRIEIPLVNVLADMELWGIGVDLERCIQARKLLVNRLKHLEKEAYKLAGITFSLNMPADIAKVLYEHLKLPIPNVQNKGKQHPSTGKHCLDALRHEHPIVPVIKEHRTMAKLLNSTLGSICSLARLSVSTQKYTIHGHWCQTSTATGRLSMEEPNLQCVEHTVHFKIKEEENEGDANESHCSINARDFFVPTQENWLLLTADYSQIELRLMAHFSKDSSLVELLSKPDGDVFTMMAARWTGCSEVSVDSQEREQIKRMVYGILYGMGVNSLAEQLDCTSDEAAEKIANFKSSFPGVASWLREAVASCRSKGSCIFVISVSCWKLCLSMIKICGDSKGKKTIFVKNKIWQQNRKIKSSKASCKLHLSGICC >Vigun09g142800.4.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30517469:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGVPHTRKRSSSENSGGSVVGLDVRVQSPEALFHNKMTMMAHHNHHHRPLSSPFDNDSCAGDGDGPTAYMSFTNHINLVSGASVLAPAIDGGCGAPAPVRTLQPFDISPYTSPTTTSSTFKPSGGMAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGKLSLSSLDLSMGGGAVNEDVGNVNIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun09g142800.2.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30517469:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGVPHTRKRSSSENSGGSVVGLDVRVQSPEALFHNKMTMMAHHNHHHRPLSSPFDNDSCAGDGDGPTAYMSFTNHINLVSGASVLAPAIDGGCGAPAPVRTLQPFDISPYTSPTTTSSTFKPSAGGMAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun09g142800.5.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30517469:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGKLSLSSLDLSMGGGAVNEDVGNVNIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun09g142800.6.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30516481:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGKLSLSSLDLSMGGGAVNEDVGNVNIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun09g142800.3.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30517469:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGVPHTRKRSSSENSGGSVVGLDVRVQSPEALFHNKMTMMAHHNHHHRPLSSPFDNDSCAGDGDGPTAYMSFTNHINLVSGASVLAPAIDGGCGAPAPVRTLQPFDISPYTSPTTTSSTFKPSGGMAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun09g142800.1.v1.2 pep primary_assembly:ASM411807v1:9:30513708:30517469:-1 gene:Vigun09g142800.v1.2 transcript:Vigun09g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGVPHTRKRSSSENSGGSVVGLDVRVQSPEALFHNKMTMMAHHNHHHRPLSSPFDNDSCAGDGDGPTAYMSFTNHINLVSGASVLAPAIDGGCGAPAPVRTLQPFDISPYTSPTTTSSTFKPSAGGMAASLGFPFTSAQWRELERQAMIYKYMMASVPVPPDLLIPTSLTPSSRSACMDGGFNLRLASGTDPEPGRCRRTDGKKWRCSRDVAPNHKYCERHMHRGRPRSRKPVEVNTKTATPTCINNNTHQIKRPRHECNPFPPIPDVTVAISNPTSRKHGPSPHFLGSSTTLPYLESPLSLANFGYKAAHFDSMPSVSANKEPRGLEWMLNGDPISLGASDSEWQSLMHHKVGLSSEGSCNNPHPHYLNSFTLYNTGMDQQNRRHPLFLNPLVVPVENLQPEKPRGFIDAWSNTETGENNAPTNNKNSAASIGKLSLSSLDLSMGGGAVNEDVGNVNIGLGLMESIANTHTDTKISLSNWLNPAPWVASTLGGPLAEVLRSSTVTATTTNEATSNTPSPATTHVESNSPLGTMVSSPSGVLQKTLVSLSDSSSNSSPRVASSRANSEMALLRFQPNVN >Vigun11g202100.3.v1.2 pep primary_assembly:ASM411807v1:11:40004651:40010673:1 gene:Vigun11g202100.v1.2 transcript:Vigun11g202100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTQPCYLVPSCFDQPKPVLECRKMEIEAATAKEKGVGEGGETMEVSENRGMYLVWEDLTVVVPNFGDGHTKRLLNGLSGYAEPNKIMAIMGPSGSGKSTLLDALAGRLSRNVIMSGNVFVNGKKRRLDYGGAAYVTQEDTMLGTLTVRETIYYSANLRLPGSMRKEEVNEIIEGTIMEMGLQECADRIIGNWHLRGISGGEKRRLSIALEILTRPTLLFLDEPTTGLDSASAYFVAQTLRNLAHDAKTVISSIHQPSSEVFALFDNLFLLSGGQTIYFGPAQKATQFFAKAGFPCPSRRNPSDHFLRCINSDFDAVTATITASQTRQEEVSLSTAAIKATLIEKYRWSEYATTARERIKEISNIEGHDFESKRKCEATWWKELSMLTQRSCLNMSRDLGYYWIRITTYVALSLCVGTIFHDVGTSYRAIFARGSCAGFISGFMTFMSIGSFPSFLEEMKVFYKERMGGYYGVGVYILSNFLSSFPFLAVMSTATGAISYYMVKSRSEFSHFLYITLDLMGCIAVVESSMMVIASLVPNFLMGLTIGAGYIGLMMMTSGFFRPLPDLPKIFWRYPISYINYGAWGLQGAFKNDLIGMEFDPLEPGDPKLKGEFILKTMLGIHIDNSKWWDIAAIMILLISLRVLFFFILKFKERAAPFLYSIYARQTLERIKKRPSFRKVPSFASKRHQSLHPLSPPEDLNSLIH >Vigun11g202100.1.v1.2 pep primary_assembly:ASM411807v1:11:40004666:40010897:1 gene:Vigun11g202100.v1.2 transcript:Vigun11g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAATAKEKGVGEGGETMEVSENRGMYLVWEDLTVVVPNFGDGHTKRLLNGLSGYAEPNKIMAIMGPSGSGKSTLLDALAGSSLLPSIYSSLVFGVCACVCVSMCVHKSTLLDALAGRLSRNVIMSGNVFVNGKKRRLDYGGAAYVTQEDTMLGTLTVRETIYYSANLRLPGSMRKEEVNEIIEGTIMEMGLQECADRIIGNWHLRGISGGEKRRLSIALEILTRPTLLFLDEPTTGLDSASAYFVAQTLRNLAHDAKTVISSIHQPSSEVFALFDNLFLLSGGQTIYFGPAQKATQFFAKAGFPCPSRRNPSDHFLRCINSDFDAVTATITASQTRQEEVSLSTAAIKATLIEKYRWSEYATTARERIKEISNIEGHDFESKRKCEATWWKELSMLTQRSCLNMSRDLGYYWIRITTYVALSLCVGTIFHDVGTSYRAIFARGSCAGFISGFMTFMSIGSFPSFLEEMKVFYKERMGGYYGVGVYILSNFLSSFPFLAVMSTATGAISYYMVKSRSEFSHFLYITLDLMGCIAVVESSMMVIASLVPNFLMGLTIGAGYIGLMMMTSGFFRPLPDLPKIFWRYPISYINYGAWGLQGAFKNDLIGMEFDPLEPGDPKLKGEFILKTMLGIHIDNSKWWDIAAIMILLISLRVLFFFILKFKERAAPFLYSIYARQTLERIKKRPSFRKVPSFASKRHQSLHPLSPPEDLNSLIH >Vigun11g202100.2.v1.2 pep primary_assembly:ASM411807v1:11:40004710:40010897:1 gene:Vigun11g202100.v1.2 transcript:Vigun11g202100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAATAKEKGVGEGGETMEVSENRGMYLVWEDLTVVVPNFGDGHTKRLLNGLSGYAEPNKIMAIMGPSGSGKSTLLDALAGRLSRNVIMSGNVFVNGKKRRLDYGGAAYVTQEDTMLGTLTVRETIYYSANLRLPGSMRKEEVNEIIEGTIMEMGLQECADRIIGNWHLRGISGGEKRRLSIALEILTRPTLLFLDEPTTGLDSASAYFVAQTLRNLAHDAKTVISSIHQPSSEVFALFDNLFLLSGGQTIYFGPAQKATQFFAKAGFPCPSRRNPSDHFLRCINSDFDAVTATITASQTRQEEVSLSTAAIKATLIEKYRWSEYATTARERIKEISNIEGHDFESKRKCEATWWKELSMLTQRSCLNMSRDLGYYWIRITTYVALSLCVGTIFHDVGTSYRAIFARGSCAGFISGFMTFMSIGSFPSFLEEMKVFYKERMGGYYGVGVYILSNFLSSFPFLAVMSTATGAISYYMVKSRSEFSHFLYITLDLMGCIAVVESSMMVIASLVPNFLMGLTIGAGYIGLMMMTSGFFRPLPDLPKIFWRYPISYINYGAWGLQGAFKNDLIGMEFDPLEPGDPKLKGEFILKTMLGIHIDNSKWWDIAAIMILLISLRVLFFFILKFKERAAPFLYSIYARQTLERIKKRPSFRKVPSFASKRHQSLHPLSPPEDLNSLIH >Vigun11g175000.1.v1.2 pep primary_assembly:ASM411807v1:11:38009629:38012958:-1 gene:Vigun11g175000.v1.2 transcript:Vigun11g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSNSSFLLHLSLIITALCIAVSQPFIGVNYGQVADNLPSPEASVELLKSTTVGKVRLYGADPAIIKALANSGIGIVIGVANGDIPSLASHPDSATQWVNANVLPHYPESNITMIAVGNEIMGSGDDGLVSQLLPAMQNVQNALNSASLGGKIKVSTVHSMAVLTRSEPPSAGSFDPGLMDILQPLVAFLKNNESPFAINPYPFFAYRSDPRPETLAFCLFQPNSGRVDQVSGKIYSNMFDAQVDAVHSALSSMGFEEMEIVIAETGWPSRGDRNEAGASVENARAYNGNLIAHLRSMVGTPSMPAKSLDTFIFALYDEDLKPGPPSERAFGLFKTDLTMAYDVGLANSASTHKNPPTSPYGPYTPERETQWCVPKVEVSEDELQRNIDYICGNLAIDCGAIQPGGVCYEPNTVLSHATFAMNLYYQNIGRNPWNCDFSQTAMLTSQNPSYSDCVYPGGST >Vigun04g051000.1.v1.2 pep primary_assembly:ASM411807v1:4:4525430:4526793:1 gene:Vigun04g051000.v1.2 transcript:Vigun04g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKIAIGAANGLAFLQEEASRPIIFPDFKTSKILLDMDYNAKLWDFGLAREIHAPTKVVVTKGYEAPEYVMKGHITSESNVYSFGIVLLEILTGRRAMDETMPVEEQNLIEWLRPHLRNKANFHYLMDPRLEGQYPTKCAHRTMRIATHCLRLDPKARPLMSEIVHKLKYLHDEMVGEVGPKKNSHGVRPSNHASANRCAPGRGSSPKVLRCFQGSPQCQYYPLPLPPTPANPWIASSSKS >Vigun08g179200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34905899:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun08g179200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34904885:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun08g179200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34903775:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun08g179200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34903775:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun08g179200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34903775:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun08g179200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34903775:34908727:-1 gene:Vigun08g179200.v1.2 transcript:Vigun08g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLRSSDPEPAKPIEPQQQDQESEEQLDQQEQPNPEPTLEDPNSMAIDEPTEEQQQEQQIAEGEEEEEESEEQQEPEPETLEEQQEPEALEDANHAEANGAGEEGNNEEEDEDLSLEDEPVEKLLEPFTKEQLHALVKQAVERFPEFVESVRQLADVDPAHRKIFVHGLGWDATAETLTSVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRDDARKALKNPQKKIGNRTTSCQLASAGPVPAPPPNANPVSEYTQRKIFVSNVSAEIDPQKLLEFFKQFGEVEDGPLGLDKNTGKPKGFALFVYKTVESAKKALEEPHKNFEGHTLYCQKAVDGPKGNKGYHQYHHQQHSHHHHHHHHQPHYQRKEKNKYSSGGGGPSHGSGHLMAPSASAVGGFNPGVPAQGLNPALGQALTALLTNQGAGLGLGNLLGGLGGAPVNQAGPPAPYGNQPAMGYGNQPAMQQGYQNPQMGQSSAVRPHPGAGAPYMGH >Vigun07g008300.1.v1.2 pep primary_assembly:ASM411807v1:7:709809:714840:1 gene:Vigun07g008300.v1.2 transcript:Vigun07g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNKTIVWFRRDLRIEDNPALAAAAREGSVFPVYIWCPKDEGQFYPGRVSRWWLKHSLAQLDNSLKSLGAELVLIKTDSTLEALLECANAIQATKVVFNHLYDPVSLVRDHNIKEKLVKHGIGVQSYNGDLLFEPWNIYDESGHAFTAFDPFWNKCLQMQMQALSSIPSCQLNLAEGKVGKCSIEELGLEDESEKSSNALLGRAWYPGWNKTEKALREFVEQHLLHYSKKRLKVGGDSTSLLSPYIHFGELSVRKVFQLVRAKQILWANEGNNAGEESVTLFLRAIGLREYSRYVCFNFPFTLEKPLLGNLAYFPWETDPDKFKAWRQGRTGYPLVDAGMRELWATGWIHNKIRVIVSSFAVKMLLIPWRWGMKYFWDTLLDADLESDILGWQYISGCLPDGHKLERLDDPAIHGAKFDPEGEYVRQWLPELARMPAEWIHHPWNAPLTVLRASGVELGQNYPKPIIDIDVAREQLTEAIFKMWENEAASKGSGSEGRHEVVDESENLAIPKVFLKNKAPPANSSSNDQKVPTLHQPQNDPPNRKRLKCSAEINHKQNSSQNHSKDTGVSSIDQEVSSTAESSCMRQSSSTHSFSVPQQCSSSSNMRCSWQDQLDMETSSSKDGALGG >Vigun05g106400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10762823:10764014:1 gene:Vigun05g106400.v1.2 transcript:Vigun05g106400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQDDASLFHNSLLALFLIAPPTFLSLTFLQAPYGKHHRPGWGPNLPPPLAWLLMESPTLWLTLLLFPHGLHSHNPKAQTLITPFILHYFNRTILYPLRLLFTPSKKTASGFPLSVALMAFVFNLLNAYLQVRSISHYHTDYTSSGFFWFRFFIGLSVFLCGMGINVWADRVLLRLKSEGKGYVVPRGGLFELVACPNYFGEIVEWLGWAVMTWSWAGLGFFLYTFANLGPRARANRRWYLEKFGEDYPKHRKGVIPYLY >Vigun04g042400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3683912:3686787:-1 gene:Vigun04g042400.v1.2 transcript:Vigun04g042400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGATVVLSRANRIRTKLQSALEATVLEVDDVSHQHAGHAAVRGSSDKETHFNVKIVSSKFEGQNLVKRHRLVYDLLADELQSGLHALSIVAKTPNETSSSK >Vigun04g042400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3683970:3686697:-1 gene:Vigun04g042400.v1.2 transcript:Vigun04g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGATVVLSRANRIRTKLQSALEATVLEVDDVSHQHAGHAAVRGSSDKETHFNVKIVSSKFEGQNLVKRHRLVYDLLADELQSGLHALSIVAKTPNETSSSK >Vigun04g043500.4.v1.2 pep primary_assembly:ASM411807v1:4:3750402:3755050:1 gene:Vigun04g043500.v1.2 transcript:Vigun04g043500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSRRFTYDVFLSFRGEDTRYDFTGNLYKALCDRGIHTFIDDEELQSGEEITAALVKAIEESRIAIVVLSHNYASSSFCLDELATILHCQRKGLLVIPVFYKVDPSYVRHQKGSYEEALAKHQKRFKAQKEKLHKWKMALRQVADFSGYHFKDGDEYQYEFIGRIVERVCREINRAPLYVANYPVGLLSQVLKVKKLLDVGSDDVRMIGIHGMGGLGKTTLSVAVYNLIANDFDCLCFLQNVREESNKHGLKHLQTILLSKTLGEKHINLASEHEGISMIQQRLQRKKVLLILDDVDRCEQLQALAGSPDWFGPGSRVIITTRDTQPLASHQVKGTYEVKTLNAKDALQLLTWKAFKTEQVDPSYMEVLNDVVTYASGLPLALEVIGSNLFAKSVEEWKSAINQYKRIPNNQILKILKVSFDALEEEEKCVFLDIACCFKGYKMTKVEVMLRALYDDCMKHHIGALVQKSLIKIYYSTTIVMHDLIWDMGKQIDQRESSKKPGKGRRLWLPKDIIQVLRDNTGTRETEILCLDLSISEKEETLEWNANAFKRMKNLKILIIRNGKFSKGPNYFPESLRILEWHGYPSNCLPSNFHPNKLVTCKLPNSPFTSFGFNGSSKKFENLTNLNFDNCQLLTRMPDLSDLPNLEKLYFEQCESLIALDDSIGFLNKLKILKAQRCKKLRRFPPLNLPSLKNLELSFCSSLENFPEILGEMGNIRELSLSKLAIKELPVSFQNLTGLHRLFVGCYFLQLNSSVLISSLTTFRAFRCKEWKWINSKDGEEVGSTVSSNLRSFGVVDCDLNDDIFSAGFTQLTTVKSLNLSRTNITFLPECIKEFHHLNDLDVSDCKRLEEIRGIPPNLRKFSAKDCRSLITSGSSMLLNQQLQEAGKTDFVLPGGSIPEWLDRESRGPSISFWFRNQFPPKVLCFLIAPVRYDVLFHLVRPVVLINGKVQEYKPSYLKDVIMVELDHIHLFDLHVLPFSEDLMKVASEKEWKHVEITYQGLFDTSFIKSMGIHIVKSERRGMEDIRYDDPNTTTKVCPCNFSVTFLPFFFRFLFALILFLTLLIHLDFVNLSSLCVFNLRF >Vigun09g009300.1.v1.2 pep primary_assembly:ASM411807v1:9:731290:735258:1 gene:Vigun09g009300.v1.2 transcript:Vigun09g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSWVSTRISTSSRRYHSRSDLYMEESEGNDDLRAEYLCPFCAEDYDVVSLCCHIDDHHPIQAKNGVCPVCGKKVGMDLVGHITTQHGSFLRVQRKRRVRKAGSGSTISMLRRELRDGALQSLLGGSSFITSPNSEPDPLLSSFMFSPLVADESSSATPPASIQGALVKESSKDHFLERKPKQLQLSEEDKVEKARRFEFVQGLLLSTILDDKL >Vigun07g038500.2.v1.2 pep primary_assembly:ASM411807v1:7:3719892:3729428:-1 gene:Vigun07g038500.v1.2 transcript:Vigun07g038500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQFVFPRALEDLEHEALEDDNRLCVQNPVDVASFVSSKLEEFVKGVSFDLSDREILCIEEQDVFDRVYSLVRAFLILSPSSKLSLLETLRSNLAVLLPNVDSLSRASNDHVHIASHRNAFKIYSFFLLSILLALHSNTSKAVAPSARKKHAVNSWNWEAQRARILNLIANSLEINLELIFSSPDLDENYLSFITKNAFSMFEDAALLKDSDVKEALCRIIGACSTRYRYTAQSCASIVHLVHKYDFVVTHLADAVAGAEKKYGDGSLAMALVREIGRTNPKDYVKDTAGAENVGRFLVELADRMPKLISTNIGILVPHFGGESYKIRNALVAVMGKLIAKAFKDVDVSEASSKSIRLRTKQAMLDILLERCRDVSAYTRSRVLQVWAELCEEHSISIGMWNEVAEVAAGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRVASFEATLDQYKMKLKELEPSEGAEPSSDADNFNGDGEVVNSNCETVVKGQQQDSLTDSCLSQSEDAIALQNSSVPDVGNLEQTRALIASLEAGLRFSKCIGATMPTLVQLMASSSATDVENTILLLMRCKQFQIDSSEECLRKMLPLIFSQDKSIFEAVESAFHTIYIRKSPIETANNLLSLAADSNIGDLAALECIVGALVSKGDISSSTISALWDFFCFNIGGTTAEQSRSALSILCMVAKKSPGVLGSHLQDIIDIGFGRWSKVDPLLARTACLAIQRLSEEDKKKLLASSSVRIFGILESLITGFWLPANIWFAAADKAIAAIYAIHPTPETIAVDMIKKSLSSVCNDGGVNEQSDVDTVSGSVPLTVEVAKLSRCLFIVSHIAMNQLVYIESCARKIQKQKLAKEKKDSENQNQDCNDTVSAATQKDNDINAELGFTASEDAALDALFEKAEKEIISGGSNEKNLIGVCATFLSKLCRNFGLMQKYPELQASAMLALCRLMIIDADFCDANLQLLFTVVESAHSETVRSNCTIALGDLAVRFPNLLEPWTENMYSRLKDPCISVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFIELSKKGNNPIYNLLPDILSKLSQQNLSKDSFCNIMQFLITSIKKDRQMEALVEKLCHRFSGVTDVRQWEYISYCLSQLSFTEKGMKKLIELFKSYEHVLSEDSVMDHFRNILNKGKKFAKVELKACIEEFEDKLNKFHSDRKEQEVTARNAEIHQRKIDSMEGFTVATKSEDYLESNSASDETEGEVIDGCAEEATFPANGKSESKHVPEEHSGASSELTESDQGDTEIQSSQVKTRGC >Vigun07g038500.1.v1.2 pep primary_assembly:ASM411807v1:7:3719892:3729428:-1 gene:Vigun07g038500.v1.2 transcript:Vigun07g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQFVFPRALEDLEHEALEDDNRLCVQNPVDVASFVSSKLEEFVKGVSFDLSDREILCIEEQDVFDRVYSLVRAFLILSPSSKLSLLETLRSNLAVLLPNVDSLSRASNDHVHIASHRNAFKIYSFFLLSILLALHSNTSKAVAPSARKKHAVNSWNWEAQRARILNLIANSLEINLELIFSSPDLDENYLSFITKNAFSMFEDAALLKDSDVKEALCRIIGACSTRYRYTAQSCASIVHLVHKYDFVVTHLADAVAGAEKKYGDGSLAMALVREIGRTNPKDYVKDTAGAENVGRFLVELADRMPKLISTNIGILVPHFGGESYKIRNALVAVMGKLIAKAFKDVDVSEASSKSIRLRTKQAMLDILLERCRDVSAYTRSRVLQVWAELCEEHSISIGMWNEVAEVAAGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRVASFEATLDQYKMKLKELEPSEGAEPSSDADNFNGDGEVVNSNCETVVKGQQQDSLTDSCLSQSEDAIALQNSSVPDVGNLEQTRALIASLEAGLRFSKCIGATMPTLVQLMASSSATDVENTILLLMRCKQFQIDSSEECLRKMLPLIFSQDKSIFEAVESAFHTIYIRKSPIETANNLLSLAADSNIGDLAALECIVGALVSKGDISSSTISALWDFFCFNIGGTTAEQSRSALSILCMVAKKSPGVLGSHLQDIIDIGFGRWSKVDPLLARTACLAIQRLSEEDKKKLLASSSVRIFGILESLITGFWLPANIWFAAADKAIAAIYAIHPTPETIAVDMIKKSLSSVCNDGGVNEQSDVDTVSGSVPLTVEVAKLSRCLFIVSHIAMNQLVYIESCARKIQKQKLAKEKKDSENQNQDCNDTVSAATQKDNDINAELGFTASEDAALDALFEKAEKEIISGGSNEKNLIGVCATFLSKLCRNFGLMQKYPELQASAMLALCRLMIIDADFCDANLQLLFTVVESAHSETVRSNCTIALGDLAVRFPNLLEPWTENMYSRLKDPCISVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFIELSKKGNNPIYNLLPDILSKLSQQNLSKDSFCNIMQFLITSIKKDRQMEALVEKLCHRFSGVTDVRQWEYISYCLSQLSFTEKGMKKLIELFKSYEHVLSEDSVMDHFRNILNKGKKFAKVELKACIEEFEDKLNKFHSDRKEQEVTARNAEIHQRKIDSMEGFTVATKSEDYLESNSASDETEGEVIDGCAEEATFPANGKSESKHVPEEHSGASSELTESDQGDTEIQSSQVKTRGVRRSKISKSSVKDEKADDISVTRRNTRSRR >Vigun06g025600.1.v1.2 pep primary_assembly:ASM411807v1:6:11797522:11801687:-1 gene:Vigun06g025600.v1.2 transcript:Vigun06g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQVSSNTLHQEKLLLNPFDVNDDQMLEKIYMTHYHCVEKYDVESLYKIASNVIHHSIEIADLIKENGQQIEQVREEKDPLISFPRLPTLKRISCQMICTGRGEQYAHQTTMLILEQLREYSWDAKAVIALAAFALEYGKFWQLAPIPRDKLGKSLAELNGLHSIVENMQQLDNFNTLVKKVMQVVKCITDWKKLITAQYNINDVPSLTDTLHEIPILAYWTIITLVTCTSHIDFLCDKGYRYDLSKFDYKLDYILKNFKEHQEKCNTQIGRIEDYSKRKDIINNFTETDIVKYLEALIIPTDPQDPRPVVYNVLTQKEVGIGVFKNKYVLLFISGTDNIEYESQLLISIDGKLREGPKEVEGYRKEDFSILWIPIVRVWDEEQRKKVENICEVGWYVVKEFNFQTGIDLIKEVFKYKGNPIILLISPQGKVENPDAKQIISTWGIEGFPFRTSDHTRLTQQWNWFWTEMKNLSSTIRELMNRECYIFIYGGTNTKWVEEFSTAVEKLKNSGTFSLAETTIESYKLGSESPKNVPRFWITIDNMLASRKRTKKGGEEVEDSTTREIKNLLSLKQDPNGWAILTKGSHVKLLGLGEPMLKTVMDFEIWKERLEHEVSFDAAFKDYYDNCEVKSVPKKCEHREFANYPTDILARLPCPNKCGHQMGVASVKYKCCHGHETGDIA >Vigun06g153600.1.v1.2 pep primary_assembly:ASM411807v1:6:27753515:27757314:-1 gene:Vigun06g153600.v1.2 transcript:Vigun06g153600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLNPKFSALSSKASPFPLFSLPSQPTSRFSFRSNSRQIPNRLLRLNPPPFSSNNFTCTNQNRSLQTEPSPPSSGEIHVIVGPMFAGKTTSLLRRIQLETANGRNVAVIKSSKDTRYGLDSIVTHDGAKLPCWALANLSSFKQKFGIDAYEKLDVIGIDEAQFFDDLYEFCREAADDDGKTVIVAGLDGNYMRRSFGGVIDIIPLADSVTKLTATCEICKRPASFTLRKTQDKQIELIGGVDVYMPVCRQHYVSGQVAVEAARHVIQSHNLQCGSYT >Vigun10g131500.2.v1.2 pep primary_assembly:ASM411807v1:10:34040021:34042989:1 gene:Vigun10g131500.v1.2 transcript:Vigun10g131500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCVCFIAASNGSRAGSRAGSQRGRNSNGGMNISSYNIVQRKEPGIVKFTMEEIFQVTRNFSPSFKIGQGGFGAVYKAKLLDGTVVSVKRAKKSLYEKHLGVEFQSEIQTLSRVEHLNLVKFYGYLEQGDERIIVVEYVPNGTLREHLDCIHGSVLDLAQRLDVAIDVAHAITYLHMYIDHPIIHRDIKSSNILLTENFRAKVADFGFARQAADSDSGMTHVSTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKFELKERITAKWAMKRFMEEDANSVLDPRLDQTAANTLALHKILELALQCLAPRRQNRPTMKRCAEILWSIRKDFREQLSASNFRSFSTTSQRSSSLRE >Vigun10g131500.1.v1.2 pep primary_assembly:ASM411807v1:10:34039281:34042989:1 gene:Vigun10g131500.v1.2 transcript:Vigun10g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYPPRRRSGSDHSRTPGRVPPSPGYASSELSTSTVSERQNPVVAAARSFTGMFAACFSPPESDNSRSLGDSEEFKSSSTASNGSRAGSRAGSQRGRNSNGGMNISSYNIVQRKEPGIVKFTMEEIFQVTRNFSPSFKIGQGGFGAVYKAKLLDGTVVSVKRAKKSLYEKHLGVEFQSEIQTLSRVEHLNLVKFYGYLEQGDERIIVVEYVPNGTLREHLDCIHGSVLDLAQRLDVAIDVAHAITYLHMYIDHPIIHRDIKSSNILLTENFRAKVADFGFARQAADSDSGMTHVSTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKFELKERITAKWAMKRFMEEDANSVLDPRLDQTAANTLALHKILELALQCLAPRRQNRPTMKRCAEILWSIRKDFREQLSASNFRSFSTTSQRSSSLRE >Vigun04g141500.1.v1.2 pep primary_assembly:ASM411807v1:4:35095159:35096534:1 gene:Vigun04g141500.v1.2 transcript:Vigun04g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKPQYLFLALLIFFCLSKLSFAKQSRINGLKMNVIDRCWRKNPEWWRHQCQLATCSVGYAGKMINNIGNDLIYYKVTDSSDDPINPKPNTLRYGTSIIQGKVWITFQKDMQITLEKPLLISSFTTIDGRGVNVHIANNACLMIFKATNIIIHGIRVHHCQPQAPGIVMGPEGKVIPLGHVDGDAIRLVTASKIWIDHNTLSDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNFYQGWLQYAIGGSMEPSLKSEANLFVAPMIGNKEVTWRKSSDKSGGTWNFHSIRDIFENGASFNITKEGDVKKTELY >Vigun08g162300.1.v1.2 pep primary_assembly:ASM411807v1:8:33492361:33495024:1 gene:Vigun08g162300.v1.2 transcript:Vigun08g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYHYSGWPLPTNMPHCNSAPNSDQLSPFSLPLASSDPPSLQFSDFPLWPATIAEDKDRVASASKSHSQAEKRRRDRINAQLATLRKLIPMSDKMDKAALLGSVVEHVKDLKRKAMCVSKAITVPTETDEVTIEFHEAEDESFRKVKIWKEKIIIKASVCCDDRPEVFPELIQVLKGLRLTAVKADIASVGGRIKSILVLCSKDSDDNSVCLTTLKHSLKSAVNKIASLSVATNCPTRKAEMIYEMQQSAVIALYMRTTPLIRALFHKSNVH >Vigun08g162300.2.v1.2 pep primary_assembly:ASM411807v1:8:33491774:33494066:1 gene:Vigun08g162300.v1.2 transcript:Vigun08g162300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYHYSGWPLPTNMPHCNSAPNSDQLSPFSLPLASSDPPSLQFSDFPLWPATIAEDKDRVASASKSHSQAEKRRRDRINAQLATLRKLIPMSDKMDKAALLGSVVEHVKDLKRKAMCVSKAITVPTETDEVTIEFHEAEDESFRKVKIWKEKIIIKASVCCDDRPEVFPELIQVLKGLRLTAVKADIASVGGRIKSILVLCSKDSDDNSVCLTTLKHSLKSAVNKIASLSVATNCPTRSKRQRFFFPSHYVHP >Vigun10g139550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35581219:35581725:1 gene:Vigun10g139550.v1.2 transcript:Vigun10g139550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPVFRCTKVTFNIAYIPVFNIALETNPVHALVAFIFVVLLGFLQIRYAQNPNPFQLHPKTIWLCIVTFLLYCLAFLGTLKFGIRVHHFHTLMHVFGSLSLISMLLLLLPHTHTWESLGFALYTLCFIIHVFIIMFRGSRFRETWLQRQRRVTHPLLPITSMNLN >Vigun03g004100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:278770:280002:1 gene:Vigun03g004100.v1.2 transcript:Vigun03g004100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMFLTLTAIFFLVSTLSPLSVSAVSFVLYNKCPHPVWPGIQPSAGKPILARGGFKLAPNRAYTLQLPALWSGRFWGRHGCAFDASGRGRCATGDCGGSLYCNGIGGTPPATLAEFTLGNEQDFYDVSLVDGYNLPISITPFKGSGKCSYAGCVSDLNNMCPVGLQVRSRDNKRVVACKSACSAFNSPKYCCTGSYGSPQACKPTVYSKIFKAACPKAYSYAYDDPTSIATCTKANYLLTFCPHRH >Vigun03g438200.1.v1.2 pep primary_assembly:ASM411807v1:3:64177611:64180036:-1 gene:Vigun03g438200.v1.2 transcript:Vigun03g438200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGFHGYRKLPNTTNSAGLKPSVPDMNVRQQASGENSSNNNNHSADETNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYMEPLTMYLHRYRELEGDRTSMRGESLGKRTIEYAPMGVGVPTAFVPPQFHPNGYYGAAMGTYVAPPNAASSHHHGMPSTEPNSRSM >Vigun07g146200.1.v1.2 pep primary_assembly:ASM411807v1:7:25708673:25714642:-1 gene:Vigun07g146200.v1.2 transcript:Vigun07g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFMTSTHRVKWIFTPQQLVEKYKAANQRAKHILEKYGATLMEVDVDGSLSYPEPQMTAKDSGEKHSRTKPLSIEEEQCIRVFYENKLQEVCKNFRFPHKIQATALIYFKRFYLQWSVMEHQPKHIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLEFDLIVYAPYRSVEGFILDMEEFCNAGDDQLQMLKTLRETAWLEVDKMMLTDAPLLFPPGQLALAALGNSNALHNVIDFDSYLGSIFYGQNSMHTMAELAESLHAIDSCVKNYKIPSDKELKHINRKLKSCWGHSSHDEGKKREKKSKHKSKRSSNEAQNMPSLG >Vigun03g119300.1.v1.2 pep primary_assembly:ASM411807v1:3:11115332:11115942:1 gene:Vigun03g119300.v1.2 transcript:Vigun03g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKMAEADCFIPSAHFKGPCFLSDNCNAQCAREGHPGGECHGFIPAHCMCYC >Vigun09g249800.1.v1.2 pep primary_assembly:ASM411807v1:9:41695785:41699328:-1 gene:Vigun09g249800.v1.2 transcript:Vigun09g249800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSASSSSRMWCSVPERVQLHAAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNVIALFLLLPFAYFLEKKERPAITLNLLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAIFRIEQVRLNRKDGIAKVAGTVFCVAGASVITLYKGPTIYSPTPPLNASPVIEFGSVSLGDAKGKNWTLGCLYLIGHCLSWSGWLVLQAPVIKKYPARLSLTSYTCFFGLIQFFVIALIVERDAQAWIFQSGGEVFTIIYAGVVASGIAFAVQTWCVDRGGPVFVAVYQPVQTLVVAIMASLALGEEFYLGGIIGAVLIVVGLYFVLWGKNEERKFAREQAAITSSTPEHSGIRSASHAKTSLTERLLLPPSTENV >Vigun06g189200.3.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30734332:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVVSCLVSLFLCYWVLLVEGTDSLLSPKGVNYEVAALMSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANDTGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun06g189200.7.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30735883:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANDTGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun06g189200.8.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30735883:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun06g189200.6.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30735883:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVVSCLVSLFLCYWVLLVEGTDSLLSPKGVNYEVAALMSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun06g189200.4.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30735883:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVVSCLVSLFLCYWVLLVEGTDSLLSPKGVNYEVAALMSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANDTGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun06g189200.5.v1.2 pep primary_assembly:ASM411807v1:6:30729964:30735883:-1 gene:Vigun06g189200.v1.2 transcript:Vigun06g189200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVVSCLVSLFLCYWVLLVEGTDSLLSPKGVNYEVAALMSMKSKMYDEFHVMDGWDINSVDPCTWYMVGCSAEGYVVSLEMASAGLSGTISSGIGNLSHLHTLLLQNNKLSGPIPTEIGKLLELQTLDLSGNKLDGEIPNSLGFLTHLSYLRLSKNKLSGQIPQLVANLTDLSFLDLSFNNLSGPTPKILAKGYSISGNSFLCTSSSQICMGFSKPANDTGSSQSTGGHHQRVLAVVIGFSCTFVISVVLLVFWLHWYRSNILYTSYVEQDCEFDIGHLKRFSFRELQIATGNFNSKNILGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRMRVALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGHKALDAGNAQVQKGMILDWVRTLYEEKRLEVLADRDLRGCFDPVELEKAVDLSLQCTQSLPTLRPKISEVLKILEGLVGQSVRAEESQGGTNLYGERTCSFSQNYSDVHEEPSFIIEAIELSGPR >Vigun05g139000.2.v1.2 pep primary_assembly:ASM411807v1:5:16948973:16950688:-1 gene:Vigun05g139000.v1.2 transcript:Vigun05g139000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKRVVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Vigun05g139000.1.v1.2 pep primary_assembly:ASM411807v1:5:16948973:16950870:-1 gene:Vigun05g139000.v1.2 transcript:Vigun05g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKRVVKKRVKRFKRPQSDRKISVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKDLELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Vigun09g007300.1.v1.2 pep primary_assembly:ASM411807v1:9:539249:558285:-1 gene:Vigun09g007300.v1.2 transcript:Vigun09g007300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKSQTNANSIQRVKVYRLNGDGKWDDQGTGHVSVDYLERSEDLGLFVYDEEDNENILLHRISPDDIYRKQEDTIISWRDPEYATELALSFQVPGGCSYIWDHICNVQRNMNFNTLNSEAFHSVNSESRDLPTVELSTLPLILKMVVESCNTDQSRLAELILSDQDFFRKLMEVFRVCEDLENMDGLHMIFKIIKGIILLNSTQIFEHLFSDELMMDIIGTLEYDPKVPHVQRHRKFLKEHVIFKEAIPIRNSIVLSKIHQTYRVGFLKDVVLARVLDEATVANLNSIVHANNAIVVSLLKDDSTFIQELFSRLKSPTTSPESKKNMVHFLHEFISCSKSLQMVQQLRLFRDLMNEGIFDVISDVLLQSQDKKLMLTGTDIVILFLNQDPNLLRSYVVREEGITLLGLLVRGMITDFGDDMHCQFLEILRSLLDSCSFSGAQRDTIIDIFYEKHLSQLIDVITASCPTENVHVNSQIKCQSGTKPEILSNICELLCFCVQHHPYRIKCNFLLNKVTDKILLLSRRREKYLVVGAVRFVRAILSRHDEHLINHFVRSDLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKENMKLLLKYIVDSFWDQLVKFEYLVSIHSLKIKYEQYLDNDGMKGTSIMNDIRRRLDERAPEKEEEDYFNEDSDEEDTASASNSHNQKVKQRQHALSNGDAESYSKLSPRSGGLVDYDYDEDDEDYRPPPRNKPEASEEYEGTMESLRLKRKLTKVEILQMPKLSKTSKSKDRVLAALCSTLGQAVLPRNKPLVNFITVPCIEDNQEKEHVSGSCCENSNASAEVNLLEKETVASRNSSDRLYGSSDNGHLGGEEHTMVSPKSPPEMAVTGS >Vigun09g007300.3.v1.2 pep primary_assembly:ASM411807v1:9:539249:558285:-1 gene:Vigun09g007300.v1.2 transcript:Vigun09g007300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKSQTNANSIQRSEDLGLFVYDEEDNENILLHRISPDDIYRKQEDTIISWRDPEYATELALSFQVPGGCSYIWDHICNVQRNMNFNTLNSEAFHSVNSESRDLPTVELSTLPLILKMVVESCNTDQSRLAELILSDQDFFRKLMEVFRVCEDLENMDGLHMIFKIIKGIILLNSTQIFEHLFSDELMMDIIGTLEYDPKVPHVQRHRKFLKEHVIFKEAIPIRNSIVLSKIHQTYRVGFLKDVVLARVLDEATVANLNSIVHANNAIVVSLLKDDSTFIQELFSRLKSPTTSPESKKNMVHFLHEFISCSKSLQMVQQLRLFRDLMNEGIFDVISDVLLQSQDKKLMLTGTDIVILFLNQDPNLLRSYVVREEGITLLGLLVRGMITDFGDDMHCQFLEILRSLLDSCSFSGAQRDTIIDIFYEKHLSQLIDVITASCPTENVHVNSQIKCQSGTKPEILSNICELLCFCVQHHPYRIKCNFLLNKVTDKILLLSRRREKYLVVGAVRFVRAILSRHDEHLINHFVRSDLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKENMKLLLKYIVDSFWDQLVKFEYLVSIHSLKIKYEQYLDNDGMKGTSIMNDIRRRLDERAPEKEEEDYFNEDSDEEDTASASNSHNQKVKQRQHALSNGDAESYSKLSPRSGGLVDYDYDEDDEDYRPPPRNKPEASEEYEGTMESLRLKRKLTKVEILQMPKLSKTSKSKDRVLAALCSTLGQAVLPRNKPLVNFITVPCIEDNQEKEHVSGSCCENSNASAEVNLLEKETVASRNSSDRLYGSSDNGHLGGEEHTMVSPKSPPEMAVTGS >Vigun09g007300.2.v1.2 pep primary_assembly:ASM411807v1:9:539249:553517:-1 gene:Vigun09g007300.v1.2 transcript:Vigun09g007300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIIGTLEYDPKVPHVQRHRKFLKEHVIFKEAIPIRNSIVLSKIHQTYRVGFLKDVVLARVLDEATVANLNSIVHANNAIVVSLLKDDSTFIQELFSRLKSPTTSPESKKNMVHFLHEFISCSKSLQMVQQLRLFRDLMNEGIFDVISDVLLQSQDKKLMLTGTDIVILFLNQDPNLLRSYVVREEGITLLGLLVRGMITDFGDDMHCQFLEILRSLLDSCSFSGAQRDTIIDIFYEKHLSQLIDVITASCPTENVHVNSQIKCQSGTKPEILSNICELLCFCVQHHPYRIKCNFLLNKVTDKILLLSRRREKYLVVGAVRFVRAILSRHDEHLINHFVRSDLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKENMKLLLKYIVDSFWDQLVKFEYLVSIHSLKIKYEQYLDNDGMKGTSIMNDIRRRLDERAPEKEEEDYFNEDSDEEDTASASNSHNQKVKQRQHALSNGDAESYSKLSPRSGGLVDYDYDEDDEDYRPPPRNKPEASEEYEGTMESLRLKRKLTKVEILQMPKLSKTSKSKDRVLAALCSTLGQAVLPRNKPLVNFITVPCIEDNQEKEHVSGSCCENSNASAEVNLLEKETVASRNSSDRLYGSSDNGHLGGEEHTMVSPKSPPEMAVTGS >Vigun01g141700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32265219:32265323:-1 gene:Vigun01g141700.v1.2 transcript:Vigun01g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRLILPTVGPPLKRRAGLRRKQAGRGSYRGS >Vigun01g149700.1.v1.2 pep primary_assembly:ASM411807v1:1:33140767:33142870:1 gene:Vigun01g149700.v1.2 transcript:Vigun01g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLVDHEDFFSRRCIWVNGPIIVGAGPSGLATAACLREQGVPFVILERADCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPTKKQFIEYLESYATHFQINPQFNECVQSARYDETSGLWRVKTVSSTAATRSEVEYICRWLVVATGENAECVMPEIEGLSDFKGEVIHACDYKSGESFRGKKVLVVGCGNSGMELSLDLCNHHASPSMVVRSSVHVLPREVFGKSTFEFAVMMLQWLPLWLVDKLILMLAWFVLGNVEKLGLKRPSTGPLELKNTQGKTPVLDLGALAKIRSGEIKVVPGVKRFNNGEAELVNGEKLDVDAVVLATGYRSNVPYWLQEGEFFSKNGYPKMPFPHGWKGNAGLYAVGFTKRGLSGASSDAVKIAQDIGKVWKNETKQKKQRTTACHRRCISQF >Vigun08g088800.1.v1.2 pep primary_assembly:ASM411807v1:8:20279223:20281342:-1 gene:Vigun08g088800.v1.2 transcript:Vigun08g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYQDLEAKYDTEETPESIILRVHLPDGFAREHVGAKVEYGFTRVRVHGERSLGNNIRVRFNTLYQVPEYCDMNKIKGKVDGKTVIIIIPTIPHKVPKKETQESPKEPQQETEANPVDENQDASTPADDNQDKTKSKNENEEEIDHETSTPPNATQEEAMHQEDQGEIEEQAATTQVKSEKPVDQESSTPRKDTEEYKSEEDQEGTPQKVDHDGSTLTPEEHTKESMPHKGQEETPPKDTFSTNDKLQGEEKQKAIGKEESESEEEHSKKGLESGKAHEKDEEMKEERKGLRTFEDKMNGKIGNDVGDKSDEKALPESTRSRIKQVTASASEAVTGLAKRFNEEDKQKMIYMGAAVLVVALGVYATYKLRLRRP >Vigun09g167000.1.v1.2 pep primary_assembly:ASM411807v1:9:33506916:33510645:-1 gene:Vigun09g167000.v1.2 transcript:Vigun09g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWLRNSRPYLMLVAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNAIASITLAPFAFLLERKVRPKMTVRIFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSVTFVMAVILRLEHMKMKEVACQAKLIGTIVTFGGTLLMALYKGPVLSVMRSSTTHAAQPQNVNNPTTNHWILGTCFLLIGCAGFSAFYILQTITLRKYPTEMSLATWVCFVGALQSSVVAAIAERQHPHAWAIGWDTRLFAPAYAGVVTSGVQYYIQGMVIKSMGPVIVTAFNPLRMIIITTLACIILSEQLYLGSVIGAIVVVLGLYLVVWGKSKECQRRMLPSPAKDNFPEDQRQLPVTAPRNSNDNKG >Vigun09g167000.2.v1.2 pep primary_assembly:ASM411807v1:9:33506916:33510645:-1 gene:Vigun09g167000.v1.2 transcript:Vigun09g167000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWLRNSRPYLMLVAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNAIASITLAPFAFLLERKVRPKMTVRIFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSVTFVMAVILRYVGRENHHKHERLEHMKMKEVACQAKLIGTIVTFGGTLLMALYKGPVLSVMRSSTTHAAQPQNVNNPTTNHWILGTCFLLIGCAGFSAFYILQTITLRKYPTEMSLATWVCFVGALQSSVVAAIAERQHPHAWAIGWDTRLFAPAYAGVVTSGVQYYIQGMVIKSMGPVIVTAFNPLRMIIITTLACIILSEQLYLGSVIGAIVVVLGLYLVVWGKSKECQRRMLPSPAKDNFPEDQRQLPVTAPRNSNDNKG >Vigun04g147200.1.v1.2 pep primary_assembly:ASM411807v1:4:36276335:36278656:1 gene:Vigun04g147200.v1.2 transcript:Vigun04g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQYSANNTATWILFQCSVTVIWIWLVSDMVKITFIHLKKLSTFLLRIFLRFWFFLGFWWLLFFLWFLRRSN >Vigun11g101600.17.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.3.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLLSLQWSWLELKLDIYLNAMLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.16.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29715898:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.6.v1.2 pep primary_assembly:ASM411807v1:11:29710950:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.13.v1.2 pep primary_assembly:ASM411807v1:11:29711624:29715898:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLCAPPSDPSRLVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.19.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.2.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.14.v1.2 pep primary_assembly:ASM411807v1:11:29710950:29715952:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.11.v1.2 pep primary_assembly:ASM411807v1:11:29710950:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLCAPPSDPSRLVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.12.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29715898:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLCAPPSDPSRLVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.15.v1.2 pep primary_assembly:ASM411807v1:11:29710963:29715952:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.18.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.4.v1.2 pep primary_assembly:ASM411807v1:11:29711085:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLLSLQWSWLELKLDIYLNAMLWICLKTSFPCMCFHTHRKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.10.v1.2 pep primary_assembly:ASM411807v1:11:29710950:29716045:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLCAPPSDPSRLVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >Vigun11g101600.9.v1.2 pep primary_assembly:ASM411807v1:11:29710963:29715952:-1 gene:Vigun11g101600.v1.2 transcript:Vigun11g101600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGGGGTKQMNNAYVDTSKAEKAVWLMKCPPLVSRCLCAPPSDPSRLVAKVVVSIDPLNSNDDDSPTKFTMELAGAEVGHIPKCYVMDMFKDFIPMYVFSYTPQGKISVEGKILNRFDMRPHNQNLELYGKLYRERTNKYTVKSRQIQVIDNDSGAHMRPMPGMISFSTSGPPEKKKTPAKATDTKRTRRDRGEMEEIVFKLFERQSNWSLRNLIQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKFGD >VigunL060027.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:28460:28621:-1 gene:VigunL060027.v1.2 transcript:VigunL060027.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun09g048100.1.v1.2 pep primary_assembly:ASM411807v1:9:4667321:4670959:-1 gene:Vigun09g048100.v1.2 transcript:Vigun09g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSSIDEDEKVGRCKERKRLMKQLVKIRGDYSDSLLAYLKSLRNTGATLRQFTESDTIEFETASPPLPPLPPPPRPFFLTDKSMVQEDEMLETDDTNVPPLQIDPSLSSLRLYRCPDRNEMVEPVEEDNWEETKTEFEDEEAEAAVIAEKLRRGKQQLVESVDENSSAISLCRKDATTMPVTVYRSGKTLEGIGKELDEQFLKASACIKEIAVLIDISGGDTLLRQNSGRHDRKRGNSAKVFSVLSWSRHSKSPPSTKDCAEFSGRSEPCKPGAHCATLKKLYSAEKKLFKAVKEEGIVALEFDRKSSLLRKQEDENLDMVKIDKTRSCVEKLESDLISLRQCISETTSSILEMIDEELLPQLVALTAGLAQMWRTMQESHEAQTLISQHLSNLSDNHSTILNSEYHPQATIQFQTEASYWYSNFCKLVKSQREYVRILHEWIKLTESLRDGQESSNHSSVLTICEHWERGLNDLPEKATSDAIKSLLSCIRSITSQQTEEHNILKRLEKLERKFQKCVNTLAEMQQRIDGDIADTSPRHPIHVKKSETEEIKKQVENERANYLDAVQYSRAMTLDQLQTTLPPLFHLLMEFSSASSHAIELINAPTEAI >Vigun11g198800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39698482:39700141:1 gene:Vigun11g198800.v1.2 transcript:Vigun11g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFVPKPASHSHFKTKFSPNPKHVLKQQPFLHVRQNPTRFRLVTAALQNQQEAAAAAFKDTEDESYGEVKGIIGSRALDAAAGMEYLIEWKDGHAPSWVPADFIAKDVVAEYETPWWTAAKKADEPALRNILESGDGRDVDAVDAEGRTALLFVAGLGSEPCVKLLAEAGANLDHRDRSGGLAALHMAAGYVRPGVAKVLLDLGADPEVADDRGRTALDLAREILKATPKGNPMQFGRRIGLEGVVRVLEGAVFEYAEVEEILERRGKGENLEYLVRWKDGGANDWVKAKFVAEDLVRDYEAGLEYAVAEAVLAKRVADEGTPEFLVKWADLDEPTWEPQENVDPDLVKAFEETNNQAQPSSNGPAVVVSTQDNP >VigunL056850.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000430.1:26318:27774:-1 gene:VigunL056850.v1.2 transcript:VigunL056850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun11g008600.1.v1.2 pep primary_assembly:ASM411807v1:11:970487:973733:1 gene:Vigun11g008600.v1.2 transcript:Vigun11g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNMRNQRWFVYIKCRFMNGFGKNRSITLSYFECIKNQRTMLLIKNTMSSFTAETLQFIRSLGTMNLTLLHAIRFL >Vigun01g154400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33706432:33709023:1 gene:Vigun01g154400.v1.2 transcript:Vigun01g154400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMRTVFLLLILFFLLSPSTAEIKFLTIRSDTRPMILFEKFGFTHKGHVSIAVSSVSVGVLSSAVQPESSRLGFFLLNEESLLQVLMEIQQNPSFCVLDSHYILRLFTFRDLSPPPLASFNRSYPVTSPNEYSLFFANCAPETSVTMVVHTEVYNLDSDASRDFLSAGQTQLPSLFFLFSIIYFAFFVFWLYICYTNSRSVHRIHILMALLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYVVMFYMFRPVEKNEYFVLDEEEEEAAEIALKDEEFEL >Vigun01g154400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33706394:33708420:1 gene:Vigun01g154400.v1.2 transcript:Vigun01g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMRTVFLLLILFFLLSPSTAEIKFLTIRSDTRPMILFEKFGFTHKGHVSIAVSSVSVGVLSSAVQPESSRLGFFLLNEESLLQVLMEIQQNPSFCVLDSHYILRLFTFRDLSPPPLASFNRSYPVTSPNEYSLFFANCAPETSVTMVVHTEVYNLDSDASRDFLSAGQTQLPSLFFLFSIIYFAFFVFWLYICYTNSRSVHRIHILMALLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYVVMFYMFRPVEKNEYFVLDEEEEEAAEIALKDEEFEL >Vigun01g154400.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33706535:33708759:1 gene:Vigun01g154400.v1.2 transcript:Vigun01g154400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMRTVFLLLILFFLLSPSTAEIKFLTIRSDTRPMILFEKFGFTHKGHVSIAVSSVSVGVLSSAVQPESSRLGFFLLNEESLLQVLMEIQQNPSFCVLDSHYILRLFTFRDLSPPPLASFNRSYPVTSPNEYSLFFANCAPETSVTMVVHTEVYNLDSDASRDFLSAGQTQLPSLFFLFSIIYFAFFVFWLYICYTNSRSVHRIHILMALLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYVVMFYMFRPVEKNEYFVLDEEEEEAAEIALKDEEFEL >Vigun01g154400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33706432:33709248:1 gene:Vigun01g154400.v1.2 transcript:Vigun01g154400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMRTVFLLLILFFLLSPSTAEIKFLTIRSDTRPMILFEKFGFTHKGHVSIAVSSVSVGVLSSAVQPESSRLGFFLLNEESLLQVLMEIQQNPSFCVLDSHYILRLFTFRDLSPPPLASFNRSYPVTSPNEYSLFFANCAPETSVTMVVHTEVYNLDSDASRDFLSAGQTQLPSLFFLFSIIYFAFFVFWLYICYTNSRSVHRIHILMALLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYVVMFYMFRPVEKNEYFVLDEEEEEAAEIALKDEEFEL >Vigun01g154400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33706432:33709023:1 gene:Vigun01g154400.v1.2 transcript:Vigun01g154400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMRTVFLLLILFFLLSPSTAEIKFLTIRSDTRPMILFEKFGFTHKGHVSIAVSSVSVGVLSSAVQPESSRLGFFLLNEESLLQVLMEIQQNPSFCVLDSHYILRLFTFRDLSPPPLASFNRSYPVTSPNEYSLFFANCAPETSVTMVVHTEVYNLDSDASRDFLSAGQTQLPSLFFLFSIIYFAFFVFWLYICYTNSRSVHRIHILMALLLLMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYVVMFYMFRPVEKNEYFVLDEEEEEAAEIALKDEEFEL >Vigun04g098584.1.v1.2 pep primary_assembly:ASM411807v1:4:22572584:22573982:1 gene:Vigun04g098584.v1.2 transcript:Vigun04g098584.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSHPKIWECIAAPRVLKSLKSYVCMYQALDLKKGETEAILKELETPQDIYSRRDIFKICKKE >Vigun11g031100.1.v1.2 pep primary_assembly:ASM411807v1:11:4043583:4047429:-1 gene:Vigun11g031100.v1.2 transcript:Vigun11g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGKIITEIGVHATAEKWFNLFATQLHHVQNLTDTIHGTRLHHGEDWHHNDSIKHWTYVIDGKVTTCHESIESVDEEKKTITYKIFGEDIEHRFKGFKAIFEAIDKENGGAIVKWTIEYERLGEEVDPPYGYLEYLHKSTRDIDGHLLKA >Vigun01g140100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32074144:32075859:-1 gene:Vigun01g140100.v1.2 transcript:Vigun01g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEILLNMQLMVPVFLFLSLVLPLIFFFYSSRFNNKEATPAKQTVTIPKSYPLIGSNLALNRTGNRRIQWLSDIVKISPANTFTFHRPLGRVQVFTANPANVEHILKTRFSNYQKGNSVISTLSDFLGTGIFNADGNTWKFQRQVASHEFNTKSLRKFVEQVVDAELSHHLIPIMASAAQQHQTLDFQDILQRFAFDNICKIAFGFDANYLTPSVERSKFAVAYEEATEIISKRFRELFPLVWKVKRALNIGSEKRLRMAAREVHEFAKNIVREKTRELKEKESLESVDMLSRFLSSGHSDEDFVTYIVISFILAGKDTTSAALTWFFWLLSKNPRVEKEIVKEIMEKSEAPVYDEVKHMVYTHASLCESMRLYPPVPMDSKEAVEDDVLPDGTVVKKGTLVTYHVYAMGRLESIWGEDWAEFKPERWLEKLESGKWKFVPKDSFTYPVFQAGPRICLGKDMAFMQMQSVVAGILRRFAVVPAVAEGVEPNFISFLSSQMEGGFPVKIIERETSN >VigunL056802.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000645.1:1627:1980:-1 gene:VigunL056802.v1.2 transcript:VigunL056802.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNANSSSKTQPPYAPNPNHQNFLQNNGVGMTPQPQFCSGNHQSQSLSPPFMQRLPMNVAPFMNAANHNHFPLQNDQMHLCQMGMPGHQQGQPLVGVLGPQNNVGNPNYSNPMYPV >Vigun01g157600.4.v1.2 pep primary_assembly:ASM411807v1:1:33981817:33984674:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEVSELTKTMNKTAKIIEELKSEIIIKKSSHAHQFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun01g157600.3.v1.2 pep primary_assembly:ASM411807v1:1:33981817:33984674:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEVSELTKTMNKTAKIIEELKSEIIIKKSSHAHQFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun01g157600.2.v1.2 pep primary_assembly:ASM411807v1:1:33981742:33984702:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEVSELTKTMNKTAKIIEELKSEIIIKKSSHAHQFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun01g157600.6.v1.2 pep primary_assembly:ASM411807v1:1:33981817:33984674:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun01g157600.1.v1.2 pep primary_assembly:ASM411807v1:1:33981817:33984223:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFLLTAVVAGSTCFAAKRFFPKQASQCSNGEEVPTVDDGNNSSHRQLVIPETRNGLLGFTRRRNGPEKGIMGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEVSELTKTMNKTAKIIEELKSEIIIKKSSHAHQFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun01g157600.5.v1.2 pep primary_assembly:ASM411807v1:1:33981817:33984674:1 gene:Vigun01g157600.v1.2 transcript:Vigun01g157600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGKVPTVQRNGRSKLPLGFKKRRKTARKSITNKSSFLSPKKHAASALFDWGVRFGIKCMMVGRDEFLDYVGNSNGLEFSNTSGKDEETMFKKTKSELGETDSKFWCLSLIDNGECGSSALTEDSDSLALVMDQLEEELEFELQKLPGDSVDTNFLEETRSKFYEVQVPNEGYYGADDLNFKSSPFHAVSATELNQKLCQLKIEQQENQIAELESELYLARSKLQEKEAELQALKNCVTLLTELPLPTVSGTSHGTEIHKGTTNWDYNTLDSKSEQSVGDMKTLAVYEPSSYYRRSDSDLYEHTKYIDKII >Vigun11g222400.1.v1.2 pep primary_assembly:ASM411807v1:11:41391533:41394600:1 gene:Vigun11g222400.v1.2 transcript:Vigun11g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNVDAEIVIVGAGICGLATALALHRKRIKSVVLERSESVRATGAAIIVQANGWRALDQLGIASTLRETAIQIQRGRFISLNEVEPMEFPFGVNKEFRCLKRTDLVKVMADNLPTGTIRTNCQVLSVELDPVTKFPHLMLSNGSVLHAKVVIGCDGVNSAIASMFGLHRTTLSLFSTSVARGFTNYPNGHQFASEFVVMSKGQVQLGRLPVTDKVVYWFVTRLRTSKDSKIWQDPVLIRQSLMESLKGFPEEAMDMMRNTNLSFLHLTDLKYRPPWDLLFNNFRKGTVTIAGDAMHPTGPFVAQGGSASIEDAIVLARCLAQKMFDNSKKTEETEMNIVMAEEAFDQYVKERKMRNFWLSFHSFLVGKKLDTTSCIIRFIILAIMSTLFRDPDWHSRYHCGNL >Vigun11g015800.2.v1.2 pep primary_assembly:ASM411807v1:11:1957179:1965280:1 gene:Vigun11g015800.v1.2 transcript:Vigun11g015800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAIAETAQKIVNPEALRYAAKQSQRCLVIPVRLRRAIKKYLQEQDEPYMKRKVLRLSQSFNQIKDVNLQLASTTAKKIVEDPLKSLEQSKRWKITSSYGDIGLMYRDDETIAYVASRMPAVYSACYRVLKEVRRRLPGFSPSKVLDFGAGTGSAFWALQEVWPKSLEKVNLIEPSQSMQRAGRSLIQGLKNLPLIHSYDSIQSLSKSLGKSDRGHDLVIASYVLGEIPSIKDRITIVRQLWDLTSDLLVLVEPGTPHGSNIIAQMRSHILWMEERKYRKASHKNNEISKDLITQKSGAFVVAPCPHDGICPLVKSGKYCHFVQRLERTSSQRAYKRSKGDPLRGFEDEKFSYVVFRRGPRPREPWPLDGVEFETLKEQHAKRKPEDLEIDYEDWLKLQQADDTLHEVADAADDLETGDTSCEAVKAVRYDSDAVETDGDDDSDNDYERREERDSADFGGGWGRIIFMPVRRGRQVTMNVCRSTKKDASEGSYDRIVVTKSTNPTLHHQAKRSIWGDLWPF >Vigun11g015800.1.v1.2 pep primary_assembly:ASM411807v1:11:1957686:1965280:1 gene:Vigun11g015800.v1.2 transcript:Vigun11g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAIAETAQKIVNPEALRYAAKQSQRCLVIPVRLRRAIKKYLQEQDEPYMKRKVLRLSQSFNQIKDVNLQLASTTAKKIVEDPLKSLEQSKRWKITSSYGDIGLMYRDDETIAYVASRMPAVYSACYRVLKEVRRRLPGFSPSKVLDFGAGTGSAFWALQEVWPKSLEKVNLIEPSQSMQRAGRSLIQGLKNLPLIHSYDSIQSLSKSLGKSDRGHDLVIASYVLGEIPSIKDRITIVRQLWDLTSDLLVLVEPGTPHGSNIIAQMRSHILWMEERKYRKASHKNNEISKDLITQKSGAFVVAPCPHDGICPLVKSGKYCHFVQRLERTSSQRAYKRSKGDPLRGFEDEKFSYVVFRRGPRPREPWPLDGVEFETLKEQHAKRKPEDLEIDYEDWLKLQQADDTLHEVADAADDLETGDTSCEAVKAVRYDSDAVETDGDDDSDNDYERREERDSADFGGGWGRIIFMPVRRGRQVTMNVCRSTKKDASEGSYDRIVVTKSTNPTLHHQAKRSIWGDLWPF >Vigun11g015800.3.v1.2 pep primary_assembly:ASM411807v1:11:1957091:1965280:1 gene:Vigun11g015800.v1.2 transcript:Vigun11g015800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAIAETAQKIVNPEALRYAAKQSQRCLVIPVRLRRAIKKYLQEQDEPYMKRKVLRLSQSFNQIKDVNLQLASTTAKKIVEDPLKSLEQSKRWKITSSYGDIGLMYRDDETIAYVASRMPAVYSACYRVLKEVRRRLPGFSPSKVLDFGAGTGSAFWALQEVWPKSLEKVNLIEPSQSMQRAGRSLIQGLKNLPLIHSYDSIQSLSKSLGKSDRGHDLVIASYVLGEIPSIKDRITIVRQLWDLTSDLLVLVEPGTPHGSNIIAQMRSHILWMEERKYRKASHKNNEISKDLITQKSGAFVVAPCPHDGICPLVKSGKYCHFVQRLERTSSQRAYKRSKGDPLRGFEDEKFSYVVFRRGPRPREPWPLDGVEFETLKEQHAKRKPEDLEIDYEDWLKLQQADDTLHEVADAADDLETGDTSCEAVKAVRYDSDAVETDGDDDSDNDYERREERDSADFGGGWGRIIFMPVRRGRQVTMNVCRSTKKDASEGSYDRIVVTKSTNPTLHHQAKRSIWGDLWPF >Vigun07g074050.1.v1.2 pep primary_assembly:ASM411807v1:7:9449525:9450431:-1 gene:Vigun07g074050.v1.2 transcript:Vigun07g074050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSLLRKCPNHEFDDLDYSQQFYSGLRPQTKMLLDASIGGVMMSKYVEEANSIIESLVASDHQVHYDKNQPSNKGVLELDKKNIIMVHNMLTSQQMEEVKKKM >Vigun05g284700.1.v1.2 pep primary_assembly:ASM411807v1:5:47252834:47254947:1 gene:Vigun05g284700.v1.2 transcript:Vigun05g284700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMTCPMHSYIEEELDKRFNLFKLWHFPSFAAFAQAHGASVRAVVGSIKVGVDAATIDSLPNLEIVSTYSVGYDHIDLPKCRERGIPVTNTPNVLTDDVADLAIALALSLFCTISHSPRRNPAPHLTPKLSGKSVGIIGLGRIGMAIAKRAEAFGCPISYHSRSVKAESGYRYYSNVLDLAADSEVLVVACTLTEETRHIVNRGVMDALGPKGILINIARGPHVDEPELVSALTEGRLGGAGLDVFEKEPEVREELLRLENVVLTPHVGTDTVETCMAMGDLVIANLEAHFLDASSF >Vigun05g284700.2.v1.2 pep primary_assembly:ASM411807v1:5:47252840:47254348:1 gene:Vigun05g284700.v1.2 transcript:Vigun05g284700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMTCPMHSYIEEELDKRFNLFKLWHFPSFAAFAQAHGASVRAVVGSIKVGVDAATIDSLPNLEIVSTYSVGYDHIDLPKCRERGIPVTNTPNVLTDDVADLAIALALSLFCTISHSPRRNPAPHLTPKLSGKSVGIIGLGRIGMAIAKRAEAFGCPISYHSRSVKAESGYRYYSNVLDLAADSEVLVVACTLTEETRHIVNRGVMDALGPKGILINIARGPHVDEPELVSALTEGRLGGAGLDVFEKEPEVREELLRLENVVLTPHVGTDTVETCMAMGDLVIANLEAHFLGKPLVSPII >Vigun07g019200.1.v1.2 pep primary_assembly:ASM411807v1:7:1585753:1589705:1 gene:Vigun07g019200.v1.2 transcript:Vigun07g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGVAMEDEKHVIGETTNENINKEAEKTCNAEIQTTNEASESIVKVEGPKSTASRNSKLAKEHGGKGDVASKKNKSVTKDKPNLKSTASSPQTHRPNLSKSLSFPAKPAARDAMKKSINGSLVKTETKHVNGARAQPSIRRSSKLTNNEVNSKESEKNTGNSNHRTSLTSMNNLKTSEIGESSPAVNAVSKSLTSEASLPDDQISTPAKTEKPNKEDDDAHSTTSSHTPRRRSSGSGFSFRLEERAEKRKEFFSKLEEKIQEKEAEKTNQQEKSKENQEAEIKQLRKTMTFKATPMPSFYKEPPPKVELKKIPITRPKSPKLGRNKGYVVNHSDDKSCSSPHAKQQQNDSTKAKVKGTNKEVISKKPIRKTQAKVQSQETAIGKTEKNPVKSTKINQDVKAGTGNNEECHDPPVCNSEYQDDMELESKTELTQNGALVLNSSTPEIVSYEVTVGV >Vigun02g008000.1.v1.2 pep primary_assembly:ASM411807v1:2:3437901:3446172:1 gene:Vigun02g008000.v1.2 transcript:Vigun02g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAQRLCLRLTQEKNILMILDDVWEKLDFARIGIPSSEHHKGCKILITARSVEVCISMDCQRKIYLPILTDEEAWTLFQNKALISKDTPDTLKRMARLISDECKQLPVLIVAVASSLKGKAETIWSVALNKLKHSKPINIEKGLTDPYKCLQLSYDNLDDKEAKSLFLLCSVFPEDFEIQVEVLTRCAIGLGVVGEAQSYEEARSEVIAAKIKLVSCCLLLDADDECVKMHDIVRDVAHIIAKDENNMIKCEEEKDVTVEHNSVRYLWCVKFPNDLDCSNLEFLFLRTKKEFDEIFKTMGMLKVLILVNDEDENTPLPKISFQTLTNLRYLHIYGYELSDFSFIRDMKKLQSLSLHGCSLPSFPELQSDVAITQLTTLKLLELHICDIKLKNFEVIKRIPLLEELYIIDIAGEWYANSEDNIEFFKTFSVPETLQRYGIVLRSREFGHYNHEDVYLHGRTLLLNHFDISNEVIKGLAKKAKDLFVGNIDGGAKNMIPDIFEIEGGGLNELNKLEILGSEELECLIDTTNNSSEVMNVFSQLHTLKMDDMENLKVIWHCVVPANGPFEKLEKLYLSDCPQLTSLFTYVVAQRLVQLKILKIKRCNELKHILTDGEKMEKSQDEFSTWHPVRIFPNLEEVKIKSCKELKYIFSGNIVGGLGQLKVLEIEECDMLDQIIGDIVPLAEQDRKEEVDEIIEEGKHPHSHSTSIPTTTVLKHNPGTLSNLARLRITHCPKLGSIFTASTTKSLTSLEELFIEDCDGLVNIVDSGEEYDTEILKAIFPNLRKLSVRFCGQLKYMFGQYPLENQDYKEIHIQFSALEILSLDYLPNFVSICATNTLTVTCPSLKDFYCHKCSFPFYNGVGYLTLPTDSREPISINRKDPNWIHSHLPTLQNLSVLYSEAECIFFLNGYGMIGQRVSLRFQKHWSSQLGFL >Vigun07g192500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31149239:31153135:1 gene:Vigun07g192500.v1.2 transcript:Vigun07g192500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASWFRTLWKNQRKDDAHSEKAVLGVLAFEVASLMSKLVNLWQSLSDKQVAKLREEITNSVGIRKLVSEDENFIVRLISLEMLENMAHVAESVARLGRKCSDQSLKGFENAFDEFITFGFDPYRWEFSSKKMEKKVRRMEKFISTNATLYQEMELLADLEQTLGRMKAYTESDGPNLIDYQKKVAWKRLEVKNLKASSLWNRTYDYTVNILARSLFTIFRRINNVFGIQEIIDVGKIKNRSALNSDHADGSRSVSELLQPSVQPSSKARATFASGPLGAFAATSRPNVRTNKASMFPLDAGDSSTKPGLSSVKNRSVRFFSGPLGKNSKKPVPDNGTNKSSKIWNLNGHSSAVSGKEINARHSRLTQVEPFKGSILTNSSLVTDSRSSPNDVHLASQNPNDPKANLVTPRKEVHSPQSTFHYLCRLKPPSESLGAASLALHYANVIIMIEKLATSPYLIGLDARDDLYNMLPRRVRVSISIKLKPYSKAMASAVYDAGLAEEWTEAMTGILEWLAPLAHNMLRWQSERSYEQHCFVSRTNVLLVQTLYFANQEKTEAIITELLVGLNYVWRYARELNKKALLDCGHGGVDNGYSQLNV >Vigun07g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31149239:31153135:1 gene:Vigun07g192500.v1.2 transcript:Vigun07g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASWFRTLWKNQRKDDAHSEKAVLGVLAFEVASLMSKLVNLWQSLSDKQVAKLREEITNSVGIRKLVSEDENFIVRLISLEMLENMAHVAESVARLGRKCSDQSLKGFENAFDEFITFGFDPYRWEFSSKKMEKKVRRMEKFISTNATLYQEMELLADLEQTLGRMKAYTESDGPNLIDYQKKVAWKRLEVKNLKASSLWNRTYDYTVNILARSLFTIFRRINNVFGIQEIIDVGKIKNRSALNSDHADGSRSVSELLQPSVQPSSKARATFASGPLGAFAATSRPNVRTNKASMFPLDAGDSSTKPGLSSVKNRSVRFFSGPLGKNSKKPVPDNGTNKSSKIWNLNGHSSAVSGKEINARHSRLTQVEPFKGSILTNSSLVTDSRSSPNDVHLASQNPNDPKANLVTPRKEVHSPQSTFHYLCRLKPPSESLGAASLALHYANVIIMIEKLATSPYLIGLDARDDLYNMLPRRVRVSISIKLKPYSKAMASAVYDAGLAEEWTEAMTGILEWLAPLAHNMLRWQSERSYEQHCFVSRTNVLLVQTLYFANQEKTEAIITELLVGLNYVWRYARELNKKALLDCGHGGVDNGYSQLNV >Vigun05g129700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15033327:15033983:-1 gene:Vigun05g129700.v1.2 transcript:Vigun05g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSTSDKKDISWFYFISSTSLVMSITALFFRWRKKPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIKYTEMAITEFLLFILTTTLGGMFLCGTNNLITIFVALEYFSLCSHLLSRYTKKDVRSNEATTKYLLMGGATSSILVHGFSWLYGSSGEEIELQEIVNGLINTQMYNSPRIFIALLFITVGIGFKLSLAPSHQWTLDVYKGVRFVR >Vigun05g249700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44353062:44354569:1 gene:Vigun05g249700.v1.2 transcript:Vigun05g249700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITMMITKMKKKHPLLSLSLLLTLLCYTTTVSAQTPAPAPSSSPTDIIRILKKAGGFTTLIRLLTTTQVSTQINAQLLNSNNGLTLFAPNDNSFQSLKPGFLNSLNDQQKNELIQFHVLPTFVSISNFDTLSNPVRTQAGDDPDRLALNITSSGNQVNLTTGVVNATVGGSVYSDHQLAIYQVDKVLLPRDFFVPKPPPPAPAPAKAKASSAKTSSADGPASADTHSSAISFKLKMWVSLAVAVAAGVFSL >Vigun07g142100.1.v1.2 pep primary_assembly:ASM411807v1:7:25274055:25277472:1 gene:Vigun07g142100.v1.2 transcript:Vigun07g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTELSNMKQPPVPLATLIGRELRNGKIEKPFVKYGQAGLAKKGEDYFLIKTDCQRIPGDSSTLFSVFAIFDGHNGISAAIFAKENILSNVLSAIPQDISRDEWLQALPRALVVAFVKTDIEFQKKGETSGTTATFVLIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERITASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSKAGGRLIIASDGIWDALSSETAAKSCRGLPAELAAKMVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPTIPTKKHNMLTSLLFGKKSQNFANKSTNKLSAVGVVEELFEEGSAMLTERLGKDFPSSTNSGMFRCAVCQADQPSGDGLPMNTGSFFSPVSKPWEGPFLCTNCQKKKDAMEGKRSSSPSETA >Vigun07g037600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3611405:3612163:-1 gene:Vigun07g037600.v1.2 transcript:Vigun07g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQQYNFFPTDLFYPRPQFSAAAVEPASGKPTTVVLPLQTAEEEKKKKKKTEHKKHMVIVPSQSHAHLSKPKGQPLFVKKVSTHAMKPRSWAICLTEEDESDASY >VigunL042100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:410854:411330:1 gene:VigunL042100.v1.2 transcript:VigunL042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPRLRRILMPENWIGWPLRKDYIAPNFYEIQDAH >Vigun11g214700.1.v1.2 pep primary_assembly:ASM411807v1:11:40917026:40920335:-1 gene:Vigun11g214700.v1.2 transcript:Vigun11g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAFRVGCVISLCSLRNTTPHLPLLSNATRFRSKFPFFTLSSSQTSFGFSPSFRTLSSSSIDEAVTAEAITGNASGEAESSIRDAAGLLDIRVGRILRAWKHDEADSLYVEEVDIGEPEPRIICSGLVKYIPIEHLQGKKVIVLSNLKPRNMRGVKSCGMLMAASDAKHENVELLFPPEEATPGERIWFGTEDEKDNQPAAATPNQIQKKKIWELVQPHLKTDVSCIAMLGEHVMRTSVGSVACQSLQNANIS >Vigun11g214700.2.v1.2 pep primary_assembly:ASM411807v1:11:40917628:40920337:-1 gene:Vigun11g214700.v1.2 transcript:Vigun11g214700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAFRVGCVISLCSLRNTTPHLPLLSNATRFRSKFPFFTLSSSQTSFGFSPSFRTLSSSSIDEAVTAEAITGNASGEAESSIRDAAGLLDIRVGRILRAWKHDEADSLYVEEVDIGEPEPRIICSGLVKYIPIEHLQGKKVIVLSNLKPRNMRGVKSCGMLMAASDAKHENVELLFPPEEATPGERIWFGTEDEKDNQPAAATPNQIQKKKIWELVQPHLKTDVSCIAMLGEHVMRTSVGSVACQSLQNANIS >Vigun06g002400.8.v1.2 pep primary_assembly:ASM411807v1:6:1144803:1149755:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.10.v1.2 pep primary_assembly:ASM411807v1:6:1144683:1149763:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.14.v1.2 pep primary_assembly:ASM411807v1:6:1144803:1149740:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.5.v1.2 pep primary_assembly:ASM411807v1:6:1144803:1149761:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.9.v1.2 pep primary_assembly:ASM411807v1:6:1144683:1149763:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.13.v1.2 pep primary_assembly:ASM411807v1:6:1145134:1149762:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.11.v1.2 pep primary_assembly:ASM411807v1:6:1145134:1149762:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.12.v1.2 pep primary_assembly:ASM411807v1:6:1145134:1149762:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g002400.3.v1.2 pep primary_assembly:ASM411807v1:6:1144803:1149740:1 gene:Vigun06g002400.v1.2 transcript:Vigun06g002400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSTAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVEEEVEVEKIEEEGGPEEVEVEEEEEEEEEEEEEEIEEVDEEDAMQNHSSDEAKVEDEDEKKKHAKLLALPPHGFEVYIGGIPHDVSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFNFVTFRSVDLASKAIKELNNTEFKGKKIKRSTSQAKHRLFIGNVPRSWGVEDLRKIVSEVGPRVIGVELVKDMKNTNNNRGFVFIDYYNSACAEYSKLKMMSPTFKLSENAPTVSWADPKNADSSAASQLAVYVKNLPKNVTQKQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSNVMKALKNTERYELEGQVLECSLAKPQSDQKFGGSNTQKSGSGLLPSYPQHVGYGLVGSAYGALGAGYHAPGLAQVSDQGSVPINTTSFVHKENHM >Vigun06g065500.1.v1.2 pep primary_assembly:ASM411807v1:6:19394984:19397436:-1 gene:Vigun06g065500.v1.2 transcript:Vigun06g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTQTHGTESTKGDVFINQANKDESNSRMEGFIKDEFNCVLNAQELSEGQVDDGGGSIENKENPAHIECGNLTVLKQKEKELFDEVTVKTSVLEHLERELELMKEAAEMIFNNQHSVDFYIDQLNEQVQAKRDHLLTLESEWDAARKHPEERKRSLEESLYSNNPDALEMLQKIREVQQEEQFISSEIVKREEENLKLSADIEKQQKAASRKSYTDRIKEITKNTRKQDTDIERILKDTREIQLESNSIRERLHRTYAVADEIVFREAKKDPTGLQVYRLLVSIHKRFEQISEKILATDRVKREVAEYEMKLANAASKSLDLSELKTSLDTIIREKK >VigunL059316.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:14476:36762:-1 gene:VigunL059316.v1.2 transcript:VigunL059316.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun09g027100.3.v1.2 pep primary_assembly:ASM411807v1:9:2155506:2168077:1 gene:Vigun09g027100.v1.2 transcript:Vigun09g027100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRPASKLKRKREEGKGKKKKKVGKKEEKIKVVDIREPHSMLEDDHDEGEGFGNESTKSKVVENLRQDPDEDDSGLTEGSETEDVGFHSDEEDIGINSQTASEDSIHLSSFDLHLQHNLSKEEIDCKSNVKFSWETAAVGMKNCKWIGTGENIMKDLDINSCHGLKAKLSENWMDVYKTSGGKDISSPKQSMFFSLCSSYRDVLYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKFGSEEHTGDIENVDESENAKPHKSSKASDFEMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASPLCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTVIEHLNRLPSKQPGTDVMRIRPWYLDDHAKFYRQTIILGFYSNPDINASFNHQCINYEGKVKLMCEYKGVLHKVLPEIRQIYERFDVDSIVEADDARFDYFITKVFPRIKDLDQGGTMLFTSSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMVYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSNNMTCTVLFSCLDKLRLERIVGTTPAKRMVAAEKGVFVFCH >Vigun09g027100.5.v1.2 pep primary_assembly:ASM411807v1:9:2155506:2168009:1 gene:Vigun09g027100.v1.2 transcript:Vigun09g027100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRPASKLKRKREEGKGKKKKKVGKKEEKIKVVDIREPHSMLEDDHDEGEGFGNESTKSKVVENLRQDPDEDDSGLTEGSETEDVGFHSDEEDIGINSQTASEDSIHLSSFDLHLQHNLSKEEIDCKSNVKFSWETAAVGMKNCKWIGTGENIMKDLDINSCHGLKAKLSENWMDVYKTSGGKDISSPKQSMFFSLCSSYRDVLYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKFGSEEHTGDIENVDESENAKPHKSSKASDFEMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASPLCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTVIEHLNRLPSKQPGTDVMRIRPWYLDDHAKFYRQTIILGFYSNPDINASFNHQCINYEGKVKLMCEYKGVLHKVLPEIRQIYERFDVDSIVEADDARFDYFITKVFPRIKDLDQGGTMLFTSSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMVYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSNNMTCTVLFSCLDKLRLERIVGTTPAKRMVAAEKGVFVFCH >Vigun09g027100.1.v1.2 pep primary_assembly:ASM411807v1:9:2155498:2168090:1 gene:Vigun09g027100.v1.2 transcript:Vigun09g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRPASKLKRKREEGKGKKKKKVGKKEEKIKVVDIREPHSMLEDDHDEGEGFGNESTKSKVVENLRQDPDEDDSGLTEGSETEDVGFHSDEEDIGINSQTASEDSIHLSSFDLHLQHNLSKEEIDCKSNVKFSWETAAVGMKNCKWIGTGENIMKDLDINSCHGLKAKLSENWMDVYKTSGGKDISSPKQSMFFSLCSSYRDVLYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKFGSEEHTGDIENVDESENAKPHKSSKASDFEMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASPLCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTVIEHLNRLPSKQPGTDVMRIRPWYLDDHAKFYRQTIILGFYSNPDINASFNHQCINYEGKVKLMCEYKGVLHKVLPEIRQIYERFDVDSIVEADDARFDYFITKVFPRIKDLDQGGTMLFTSSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMVYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSNNMTCTVLFSCLDKLRLERIVGTTPAKRMVAAEKGVFVFCH >Vigun09g027100.4.v1.2 pep primary_assembly:ASM411807v1:9:2155498:2168009:1 gene:Vigun09g027100.v1.2 transcript:Vigun09g027100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRPASKLKRKREEGKGKKKKKVGKKEEKIKVVDIREPHSMLEDDHDEGEGFGNESTKSKVVENLRQDPDEDDSGLTEGSETEDVGFHSDEEDIGINSQTASEDSIHLSSFDLHLQHNLSKEEIDCKSNVKFSWETAAVGMKNCKWIGTGENIMKDLDINSCHGLKAKLSENWMDVYKTSGGKDISSPKQSMFFSLCSSYRDVLYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKFGSEEHTGDIENVDESENAKPHKSSKASDFEMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASPLCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTVIEHLNRLPSKQPGTDVMRIRPWYLDDHAKFYRQTIILGFYSNPDINASFNHQCINYEGKVKLMCEYKGVLHKVLPEIRQIYERFDVDSIVEADDARFDYFITKVFPRIKDLDQGGTMLFTSSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMVYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSNNMTCTVLFSCLDKLRLERIVGTTPAKRMVAAEKGVFVFCH >Vigun09g027100.6.v1.2 pep primary_assembly:ASM411807v1:9:2155735:2168009:1 gene:Vigun09g027100.v1.2 transcript:Vigun09g027100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRPASKLKRKREEGKGKKKKKVGKKEEKIKVVDIREPHSMLEDDHDEGEGFGNESTKSKVVENLRQDPDEDDSGLTEGSETEDVGFHSDEEDIGINSQTASEDSIHLSSFDLHLQHNLSKEEIDCKSNVKFSWETAAVGMKNCKWIGTGENIMKDLDINSCHGLKAKLSENWMDVYKTSGGKDISSPKQSMFFSLCSSYRDVLYCNKRPFYFKGLEDASIMDAYIMHSLNHVFRTRDSVKKNDAKLTKLKEYTESDKFRDQGFTRPKVLILLPLASIMYRVVKRLIHLTPSAYKVNVEHKDRFTTKFGSEEHTGDIENVDESENAKPHKSSKASDFEMLFGGNNEDDFMIGIKFTRKTIKLFSDFHTSDIIIASPLCLVNKIEEVGINKEKDVDFLSSIEVLIIDHADVISMQNWSHVHTVIEHLNRLPSKQPGTDVMRIRPWYLDDHAKFYRQTIILGFYSNPDINASFNHQCINYEGKVKLMCEYKGVLHKVLPEIRQIYERFDVDSIVEADDARFDYFITKVFPRIKDLDQGGTMLFTSSYFEFIRLRNFLKSQNASFCLLGEYTTQSDISRARLWFYEGSRKVMVYTERSHFYHRYKIRGVQNLIIYSLPERKEFYPEIVNMLDGSNNMTCTVLFSCLDKLRLERIVGTTPAKRMVAAEKGVFVFCH >Vigun09g255500.1.v1.2 pep primary_assembly:ASM411807v1:9:42182238:42185791:-1 gene:Vigun09g255500.v1.2 transcript:Vigun09g255500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNKLLLHIFTLFLFLAATTSSAENDSSSKQVYIVYMGAADSTNASLRNDHAQLLNAVLRRNDKALVRNYKHGFSGFAARLSKEEANSIAQKPGVVSVFPDPILKLHTTRSWDFLQYQTHVKIDANPNTLSNSSSSSDVILGILDTGIWPEAASFSEEGMGPIPSRWKGTCVKSADFNASNCNRKLIGARFYTDPNGEDGDNTPRDSLGHGTHVASTAVGAAVTNASYYGLAAGSAKGGSPESRLAVYRVCSNFGCSGSAILGAFDDAIADGVDVLSLSLGASPGFRPELTNDPIAIGAFHAVERGIVVACSAGNDGPSSNTVVNDAPWILTVAASTIDRDFQSDVVLGGNKTIEGRGINFSPLSNSAQYPLVYGESSKTNESSLTEARQCHPDSLDASKVKGKVVLCDGRNDEYSTGEIIETVKNVGGIGLVHITDSNGAIASYYGDFPATVISPKDGATILQYINSSNNPVATILPTTTVLDYKPAPLVPYFSSRGPSFLSSNILKPDIAAPGVNILAAWIENSSDEIPKGRKPSKYNIISGTSMACPHVSGLASTVKARNPTWGPSAIKSAIMTSAIQSDNTKTAITTDSGSVGTPYDYGAGEMTTSESLQPGLVYETDTIDYLNFLCYIGLDVATVKVISRTVPENFSCPKDSTSDLVSNINYPSIAVNFTGKGSVSVRRTVTNVGEEDETVYSPVVEAPSGVKVTLTPNKLQFTKSSKKLSYQVIFSTTLTSLKEDLFGSITWSNAKYVVRSPFVLTK >Vigun05g170340.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:29938992:29939285:1 gene:Vigun05g170340.v1.2 transcript:Vigun05g170340.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHRIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLSFELISIILLVALIGAIFVAR >Vigun04g116300.2.v1.2 pep primary_assembly:ASM411807v1:4:29128355:29130715:-1 gene:Vigun04g116300.v1.2 transcript:Vigun04g116300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILIFFCCLCLVISHYVGGIQDSLKEEMEFQTNPNLTDKTPIKIIYTEFKEIVDCIDIYKQPAFDHPLLKDHKLQMKPNFENLIEKIFANNSQIGSMFGLDKEECPPGTVPIQRTKYDISEEKILNDHILLKDIPGVHVVEISMLQRLAPFYKVSGISSIYNPKVEKGQISMGHIWAENGPVESSNRITVGWHVLPKIYGDTRTHFYISWTSDNFKKTGCYNTICRGFVQVHAKIFPGEYFRQTSTYGGPTYEAYMAITQTKNWWISLGNVSIGYYPATLFSNLGSASIVGWGGRTRANVGDLSPPMGSGHFPNGNKTHASYFRSPKIQDASRYVYEPDTYMIKVFSDNTNCYGFEGDFDKVQHERIFQFGGPGGKCGI >Vigun04g116300.1.v1.2 pep primary_assembly:ASM411807v1:4:29128355:29130715:-1 gene:Vigun04g116300.v1.2 transcript:Vigun04g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILIFFCCLCLVISHYVGGIQDSLKEEMEFQTNPNLTDKTPIKIIYTEFKEIVDCIDIYKQPAFDHPLLKDHKLQMKPNFENLIEKIFANNSQIGSMFGLDKEECPPGTVPIQRTKYDISEEKILNDHILLKDIPGVHVVEISMLQRLAPFYKVSGISSIYNPKVEKGQISMGHIWAENGPVESSNRITVGWHVLPKIYGDTRTHFYISWTSDNFKKTGCYNTICRGFVQVHAKIFPGEYFRQTSTYGGPTYEAYMAITQDPKTKNWWISLGNVSIGYYPATLFSNLGSASIVGWGGRTRANVGDLSPPMGSGHFPNGNKTHASYFRSPKIQDASRYVYEPDTYMIKVFSDNTNCYGFEGDFDKVQHERIFQFGGPGGKCGI >Vigun05g156542.1.v1.2 pep primary_assembly:ASM411807v1:5:25429602:25430682:-1 gene:Vigun05g156542.v1.2 transcript:Vigun05g156542.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDARFTDGFVAVGNAHFDLSGAAFGAMAGPGQADQLRNAGRIAIQYNRVACEYPRTSIVFHVDSGSNQDYFAVMVEYEDGDGELERVELKEDFSNSWEAMQQSWGAIWKYNKGSQLKAPFSIRLTNFKSKTIVATNVIPAGWTPGQTCISNVNF >VigunL073300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:101688:101969:1 gene:VigunL073300.v1.2 transcript:VigunL073300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVARAVVPFDYGMVLSDSNIGLLYLFAISSLGVYGIITA >Vigun08g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33545643:33548265:1 gene:Vigun08g162800.v1.2 transcript:Vigun08g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVRVKEASVITPSEPTPRCVLALSALDSQLFLRFTIEYLLVYKPCPGLDQPNTAARLKSALARALVPYYPFAGRVRPRPEGPGLEVVCAAQGAVFIEAFAARYRANDFEKAPKTVTQWRPLLSLHVADVLKGSPPLVVQLTWLVDGAAAVAVGINHCLCDGIGSAEFLNHFAELANERRETSYMRIQKPVWERHLLKPPRGEQTRVDSAHHPEFSRVPDLCDFMNKVSTGLKPTSITFDKTRLNELKRVARCTSEAGEAARYTSFEVLAAHVWRSWARAIGFPGNQKLKLVFSINVRNRLKPGLPEGYYGNAFVLGCAETSARELEEKGVGFGSGLVKRAKERVGKEHVREVMEWVWERKGCPDPVGVLIVSQWSRLGLENIDVGLGKAWHVGPVCCDRYCLFLPVRDDECVSVKVMVAVPTSAVDNYHLFMRSSHL >Vigun02g066700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21673219:21673868:1 gene:Vigun02g066700.v1.2 transcript:Vigun02g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITISPLTLCLCALLHCREHDSHITEYEIATMRRGLSYRRKKYLPLIVAPL >Vigun06g233200.1.v1.2 pep primary_assembly:ASM411807v1:6:33915485:33918273:-1 gene:Vigun06g233200.v1.2 transcript:Vigun06g233200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRSQPLDLANIFKISKNKPLKDVTKAYKSLLSKRTHDKKNPGNPTTPTESERFVNKRADEDIWSPKFLSRAASSRLSKTPTPKSRPMSRNGSRRSCKTPSSLSRNSSRKSAAEIASSSIKKMMSKRGSSASLSRNKTTLDISEPELTNHTSSPGNDAGTKGSSEHISGISLSSNLSHRSTTPIIFSQTTSRRKPPDVETKLHCTLEDLCFGCKKKIRITRDVIKDPGVIVQQEEVLKIEVKPGWRKGTKITFEGVGDEKPGFLPADVIFVIDEKKHPLYTRDSDDLELCVEIPLVDALTGCSIPIPLLGGENKALAFENTIIYHGYEKVIKGQGMPNAKKNGSRGDLRVKFLIDFPKNLTAEQRREVACILQYSGQ >Vigun01g125900.1.v1.2 pep primary_assembly:ASM411807v1:1:30311620:30313529:1 gene:Vigun01g125900.v1.2 transcript:Vigun01g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGACSQFVYGEVYQRDEQWAQCLVSGKGYLFCHRSGGYENIWVGNRKREKKMKRRNFRVEAMWPDLSRPSEVEMEEITDSDHLDRILLLAHENSQPILIDWMATWCRKCIYLKPKLEKLAAEYLNKAKFYYVDVNKVPQTLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVTEEVREMIQKFL >Vigun02g030400.2.v1.2 pep primary_assembly:ASM411807v1:2:12611203:12616168:-1 gene:Vigun02g030400.v1.2 transcript:Vigun02g030400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSFIILAKIRILQKLPKNFTTKYGDGISDPVFLNLPDNTEWKIYWTKHEGEIWFREGWKEFATRYFLDHGDLVLFKYVGISRFDVHIFDKSAVEIDYRSHFTHDNPVDSVETLDEQCDDGTDNIVYNSDDSEENLDEQCIRSPNGVNLHQDDQSRSAGFQKPKFTKQKLDGEKGKSIFDDECPKVEQSTSLVLNRATTSKSMHPSFKLVVLPSFIISDSLVIPSEFSEQYLKKTRAVVLLEVTDGRSWPVIFSAPRIIAGWKKFASENNLRVDDVCVFELITKIQSLAFKVSIIPYAGKPTTPISHDHSKSRKVHPPINSRGWEFKCKNFSRKT >Vigun02g030400.3.v1.2 pep primary_assembly:ASM411807v1:2:12614063:12616550:-1 gene:Vigun02g030400.v1.2 transcript:Vigun02g030400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCNIHFCKIIPRTSLANGILKLPKNFTTKYGDGISDPVFLNLPDNTEWKIYWTKHEGEIWFREGWKEFATRYFLDHGDLVLFKYVGISRFDVHIFDKSAVEIDYRSHFTHDNPVDSVETLDEQCDDGTDNIVYNSDDSEENLDEQCIRSPNGVNLHQDDQSRSAGFQKPKFTKQKLDGEKGKSIFDDECPKVEQSTSLVLNRATTSKSMHPSFKLVVLPSFIISDSLVIPSEFSEQYLKKTRAVVLLEVTDGRSWPVIFSAPRIIAGWKKFASENNLRVDDVCVFELITKIQSLAFKVSIIPYAGKPTTPISHDHSKSRKVHPPINSRGWEFKCKNFSRKT >Vigun10g048500.2.v1.2 pep primary_assembly:ASM411807v1:10:7401748:7404879:1 gene:Vigun10g048500.v1.2 transcript:Vigun10g048500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTMQILQWVSKGQIDHQIGRSSNWKTMIRDKKGKGKNMITLRGTKGIEGRKLRSKNLKLFIFICGKDIPKACFYRTTNINRIRNLNRRKSFIRSVNMKREDFSVHVGNKVLPISEESFSKTTKTFENYCFNAEAKYPTEGNSRKKPISTMKELLRRVASTKEDKIEEFYEHKVLQYRREGNIGEFAEEDRGSSESPKISFTWDVDIPSFLSLATLSQNVQTNISQSESCIPRNNTCRKENWITTDSEFVVLEL >Vigun10g048500.1.v1.2 pep primary_assembly:ASM411807v1:10:7401748:7404879:1 gene:Vigun10g048500.v1.2 transcript:Vigun10g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTMQILQWVSKGQIDHQIGRSSNWKTMIRDKKGKGKNMITLRGTKGIEGRKLRSKNLKLFIFICGKDIPKACFYRTTNINRIRNLNRRKSFIRSVNMKREDFSVHVGNKVLPISEESFSKTTKTFENYCFNAEAKYPTEGNSRKKPISTMKELLRRVASTKEDKIEEFYEHKQVLQYRREGNIGEFAEEDRGSSESPKISFTWDVDIPSFLSLATLSQNVQTNISQSESCIPRNNTCRKENWITTDSEFVVLEL >Vigun01g111400.2.v1.2 pep primary_assembly:ASM411807v1:1:28497767:28503745:-1 gene:Vigun01g111400.v1.2 transcript:Vigun01g111400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPRLRVFATPLLSSSLLSPFSQNGGRQLMTRIGINTCSLSSTAERANALCMAVSQLASEFSKESMLSLHKFFGVRRARVISTGSLKLDLALGIGGLPKGRMVEIYGREAAGKTTLALQIIKEAQKLGGYCAYLDVENALDFSLVESIGVNTENLLVSHPDCAENLLSMVDTLTKSGAVDVIVIDSVAALIPKCELDQLGVSPKRDYQSRMMTQALRKIHYSLSHSQTLIIFINQIRLSSKTVKEHGSVEEVTCGGNALRFYAAVRLRLSRIRLIKTEDKVEGVLICAQVVKNKLAPAATKRAELGIKFGRGFCHESEVLDLACEHGTIVKDEGSYIIEGQSFDSREAAELFLAQNDAICDKLVKDMRRLYF >Vigun01g111400.1.v1.2 pep primary_assembly:ASM411807v1:1:28497767:28503745:-1 gene:Vigun01g111400.v1.2 transcript:Vigun01g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPRLRVFATPLLSSSLLSPFSQNGGRQLMTRIGINTCSLSSTAEASDLECDVTRDDVKAAERANALCMAVSQLASEFSKESMLSLHKFFGVRRARVISTGSLKLDLALGIGGLPKGRMVEIYGREAAGKTTLALQIIKEAQKLGGYCAYLDVENALDFSLVESIGVNTENLLVSHPDCAENLLSMVDTLTKSGAVDVIVIDSVAALIPKCELDQLGVSPKRDYQSRMMTQALRKIHYSLSHSQTLIIFINQIRLSSKTVKEHGSVEEVTCGGNALRFYAAVRLRLSRIRLIKTEDKVEGVLICAQVVKNKLAPAATKRAELGIKFGRGFCHESEVLDLACEHGTIVKDEGSYIIEGQSFDSREAAELFLAQNDAICDKLVKDMRRLYF >Vigun03g429050.1.v1.2 pep primary_assembly:ASM411807v1:3:63342224:63345916:-1 gene:Vigun03g429050.v1.2 transcript:Vigun03g429050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RVFTFGKGKSEGSKDMKFLLGGKGANLAEMASIGLSVPPGFTISTEACQEYQQNGKKLSDGLWEEVLQGLLFVEKELGGNLGNPAKPLLVSVRSGAAVSMPGMMDTVLNLGLNDEVVVGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKLEKLKSVKGVKLDTELAAHDLKDLVEQYKNVYLEARGEKFPSDPTTQLELAVKAVFNSWDSPRAIKYRNINQITGLKGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGENKLYGEFLINAQGEDVVAGIRTPQDLEVMKSSMPEAYKELEENCEFLEKHYKDMMDIEFTVQDNRLWMLQCRSGKRTGKSAVKIAVDMAKKKLVDIRSAIKMVEPQHLDQLLHPQFEDPSTYKNQVIAIGLPASPGAAVGQVVFTADNAEECHAQGKSVILVRNETSPEDVGGMHAATGILTARGGMTSHAAVVARGWGKCCVSGCSDILVKDAEKVFVVGDKVIAEGDWLSLNGSTGEVILGKQPLSPPALTDDLGTFMSWADEIRHLKVMANADSPADAVTARKNGAQGIGLCRTEHM >Vigun05g304000.1.v1.2 pep primary_assembly:ASM411807v1:5:48662277:48666860:-1 gene:Vigun05g304000.v1.2 transcript:Vigun05g304000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYGPCLSENCGWWVRAGGIQSATGTGRRSMVTCKIQSVRFSKACSVALTTFLTTAAVIASVATADEPETLSNIPQTLSGECTLPKDCKKTRIQKPKSRKAESCTIKCVTTCIRGGEGSPGEGPFNVIRPLVVFKQGFRTRQYCLVECSEICNLISDVAVRKDR >Vigun07g201400.1.v1.2 pep primary_assembly:ASM411807v1:7:32225763:32232367:1 gene:Vigun07g201400.v1.2 transcript:Vigun07g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPQSERKMEGWLYLLRANRFGQHYSRKRYFILKDNVLRSFKIQPATPTEEPIRSAIIDSCVRVNDNGRESMNKKVFFMFTVYNATNQSDKLKLGASSSEEAAKWIRTLQDSAMKECPSPTKNLVACIRRRRSTLRNGGSKSTDWKYINLNLQTEIGTEAISSDVVAPSPWKIFGCQNGLRMFKEAKDCDSLGNHWGDHPAIMAVGVVDGTSEAIFNTLMTLDPSRSEWDFCVYRGSVVDHLDGHTDIVHMELYSDWLPWGMKPRDLLLRRYWRREDDGSYVLLYHSVYHSKCPPKKGYVRACLKSGGFVVTPVNKGTQSVVRHMLAIDWKFWKLYLRPSSSRSITIRMLERVAALRELFRTKGGNSSSEPMTKEIGLPLGEKEDIKSEVSDEKSKYEEPLIVLQDGVEPSGRTNLMGLNDSDEFFDVPEPTEYDHFDNQWHTDLASDQMGMSIPRISTAVGLVKKLHDIAVQKKGYMDLHEANRDESSSCPFGATLQKDSSCSLPCTWTASDPSLFLVRGENYLQDHQKIKAHGTLTQMVGADWLRSDAREDNLSSRAGSIVQQYAAKGGPEFFFVINIQMPGTPMYSLALYYMLKGPLEDYPLLQSFVDGDDAYRNSRFKLIPYISQGSWIVKQSVGKKACLVGQALEVLYFRGKNYLELDIDVGSSTVARGVASLVLGYLNNLVVEMAFLIQSNTQDELPEALLGTCRLNHMDASKAFLVNS >Vigun01g204200.2.v1.2 pep primary_assembly:ASM411807v1:1:38008018:38012869:-1 gene:Vigun01g204200.v1.2 transcript:Vigun01g204200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MMVSSELQGLSMSLGSKKPTFHRDKDLCSSFSGSRVNLLQSKNVVSGFPPGKFFSVTHRNTTRRFITMSTLADVANDFMALQSPILTGREANPKTVASIILGGGAGTRLFPLTERRAKPAVPFGGCYRLVDIPMSNCINSGINKIYVLTQYNSQSLNRHIARTYNLGGCINFGGGFVEVLAATQTPGESGKKWFQGTADAVRQFLWLFEDADHKNIENVLILCGDQLYRMDYMDIVQKHVNSCADISVSCLPVDGSRASDFGLVKVDERGRICQFLEKPKGELLRSMHVDTSIFGLSAQEARKFPYIASMGIYVFKIDVLLKVLRGCYPNANDFGSEVIPLAARDFNVQACLFSGYWEDIGTIKSFFDANLALMDQPPKFQLYDQSKPIFTCPRFLPPTKMEKCEVINSLISDGCFLKECTVEHSIVGIRSRLDSGVQIKDTMIMGADYYQTEAEIASLVAAGNVPIGIGKNTKIVNCIIDKNARIGNNVIIANKDNVQEADRGSEGFYIRSGIVVVLKDSEITNDTII >Vigun01g204200.3.v1.2 pep primary_assembly:ASM411807v1:1:38008003:38012941:-1 gene:Vigun01g204200.v1.2 transcript:Vigun01g204200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MMVSSELQGLSMSLGSKKPTFHRDKDLCSSFSGSRVNLLQSKNVVSGFPPGKFFSVTHRNTTRRFITMSTLADVANDFMALQSPILTGREANPKTVASIILGGGAGTRLFPLTERRAKPAVPFGGCYRLVDIPMSNCINSGINKIYVLTQYNSQSLNRHIARTYNLGGCINFGGGFVEVLAATQTPGESGKKWFQGTADAVRQFLWLFEDADHKNIENVLILCGDQLYRMDYMDIVQKHVNSCADISVSCLPVDGSRASDFGLVKVDERGRICQFLEKPKGELLRSMHVDTSIFGLSAQEARKFPYIASMGIYVFKIDVLLKVLRGCYPNANDFGSEVIPLAARDFNVQPPKFQLYDQSKPIFTCPRFLPPTKMEKCEVINSLISDGCFLKECTVEHSIVGIRSRLDSGVQIKDTMIMGADYYQTEAEIASLVAAGNVPIGIGKNTKIVNCIIDKNARIGNNVIIANKDNVQEADRGSEGFYIRSGIVVVLKDSEITNDTII >Vigun01g204200.1.v1.2 pep primary_assembly:ASM411807v1:1:38007884:38013109:-1 gene:Vigun01g204200.v1.2 transcript:Vigun01g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MMVSSELQGLSMSLGSKKPTFHRDKDLCSSFSGSRVNLLQSKNVVSGFPPGKFFSVTHRNTTRRFITMSTLADVANDFMALQSPILTGREANPKTVASIILGGGAGTRLFPLTERRAKPAVPFGGCYRLVDIPMSNCINSGINKIYVLTQYNSQSLNRHIARTYNLGGCINFGGGFVEVLAATQTPGESGKKWFQGTADAVRQFLWLFEDADHKNIENVLILCGDQLYRMDYMDIVQKHVNSCADISVSCLPVDGSRASDFGLVKVDERGRICQFLEKPKGELLRSMHVDTSIFGLSAQEARKFPYIASMGIYVFKIDVLLKVLRGCYPNANDFGSEVIPLAARDFNVQACLFSGYWEDIGTIKSFFDANLALMDQPPKFQLYDQSKPIFTCPRFLPPTKMEKCEVINSLISDGCFLKECTVEHSIVGIRSRLDSGVQIKDTMIMGADYYQTEAEIASLVAAGNVPIGIGKNTKIVNCIIDKNARIGNNVIIANKDNVQEADRGSEGFYIRSGIVVVLKDSEITNDTII >Vigun05g074200.1.v1.2 pep primary_assembly:ASM411807v1:5:6556256:6562272:1 gene:Vigun05g074200.v1.2 transcript:Vigun05g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWQRHIFPFLRHLHKRVVDPHHANPSAPSLVISRLASSLSQGQVGRRAWTITTPTLPCFSRPIFLGFQHQGISSTAPLLANSSEETPVLSPLVPVSSLGSSKGEDQKQKAVTKPEKVQAILKGIKQSPKKVNLVAALVRGMLVKDALMQLELTIKRASKTVYQVIHSARANASHNHGLDPERLIVAEAFVGKGYFKRRIAYHAKGRSGIIMKPECRLTVVVREITPEEEADIARLRVHNFKKLTKRERRLVPHQLIETTPVWGRKNKSSAQNLSAAPA >Vigun02g097800.1.v1.2 pep primary_assembly:ASM411807v1:2:25333134:25337586:-1 gene:Vigun02g097800.v1.2 transcript:Vigun02g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHDAVLVSEPVAEEAPYSPELDSEKKKKKKKKNKNKDKQQESENSPKRKLDELDPQNGTESKKKKKKKHHDSKESVEETNGDRNNDNGANRDETVADGSVVVTGKNAGDAKYAAVKSFSDSGLPENVLECCKGFEKPSPIQSRAWPFLLDRRDLIGIAATGSGKTLAFGIPAIMHVLGKRKGKGSRGRNPLCLVLSPTRELAQQISDVICDAGSSCGVESICLYGGTSKGPQISSLKSGIDIVIGTPGRIQDLIEMGVCCLKEVSFVVLDEADRMLDMGFEQIVRSILGQTNSDRQMVMFSATWPLAVHQLAQEFMDPDPVKVVVGSEDLAANHDVMQIVEVLDDRARDRRLVALLEKYHKSQSNRVLVFVLYKNEAKRVENMLQEGGLKVVSIHGDKAQHDRTKALSLFKKGSCPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAGQVVPDALLKFGTHVKKKESKLYGAHFKEIPVGAPKSQKKTFDSDDED >Vigun03g249500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41506361:41507599:-1 gene:Vigun03g249500.v1.2 transcript:Vigun03g249500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGAETPREDVPLLSDAPRLSSTFKTFANIFISIVGSGVLGLPYSFKKTGWVTGLLMLFLVAFLTYHCMMLLVRTRRKLESNKVGFPHLNSFGDLGYAIGGPPGKLFVDVMIGLSHCGFCVGYLIFISTTLANLAGDTSTWSSFLGLTPKVLFLWGCFPFQLGLNAIPTLTLLAPLSIFADVVDIAAKSMVMVDETFVFMKNRPSLEAFGGWSVFFYGLGVAVYAFEGIGMVLPLESEAKDKEKFGGVLGAGMFVISLLFAAFAAIGYFAFGEETQGVITTNLGPGLVSALVQLGLCINLFFTFPLMMNPVYELVERRLCDSKYCLWLRWLLVLGVSLVAILVPNFADFLSLVGSSVCVILSFVLPATFHYLVFKEEMNWSCLVSDGAIVVFGLVIAVTGTWSSLLHMLR >Vigun01g206700.1.v1.2 pep primary_assembly:ASM411807v1:1:38250048:38254632:-1 gene:Vigun01g206700.v1.2 transcript:Vigun01g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGATANAEAQYASAKISVWWDIENCHVPKGSDPHAIAQNISSALVRMNYRGPVSISAYGDTATRIPPSVQHALSSTGISLHHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRKYNILLAQPQKASAPLVAAAKNVWLWTSLLAGGPPLSNGESQQLGNGSLSHVSSSDSLQIPVTSTSQMQQQVESHSEVHAGNSKFPNGGRGFDARFQGKPNWRNPSQSNAPRAMNPLPPGPHDNRNRNNINSYRPGNFNPNVPLSGSATNYVHGNSNLQGNHQVPYSQPLRPNNFPLQPPFAPSNSYTPNPHTFAPPTVPPRTGGPNFNSGPHTNVPDIGNLNISGYPNSVHNPPPTIQQRNGDLKQNPNSSAPHLLRSIDEKNGHVAHNSGTQQLRQGYQHGPEYQPMPLAAMGNNNPPGSGMWGSPGCPKPSEYVQGLIGVVLLALNSLKNAKMMPTEANISDCIRFGDPKHRNTDVKKALESAIEQNMVVKQNLGALPLYVGKNDKLWKCINPLGGNPKQHSKETWDQIEKFLTTPAGRSAVMATVCNYEAGIVIKNLCFKDLALGDVLQILNMLITHKKWIAHPPSGWQPLSIALPETSPDPGVVAGV >Vigun01g238700.2.v1.2 pep primary_assembly:ASM411807v1:1:40964505:40968492:-1 gene:Vigun01g238700.v1.2 transcript:Vigun01g238700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPPHLRRSIPPGPAAMPHPLAAPFDLLPPPQVLEQKLASQHAEMQRLATENQRLAATHGALRQELAAAQHELQMLHALVAGLKGEREQQVRAQLEKISKMESEAQSSEGVKMELQQARGEAQNLVLSRDELVSKAQQLTQELQRVHTDVVQIPALISELECLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRRSSGPYGGTSGTNENEASGLPVGQNAYEDGYSIMQGRGPLPAGSGGGGSATTLSSAGAQPGPTPAGTGYDPPRGPSYGASAGPNYDAQRGVTYDTQRLTGYDAFRGGSAYDSKRGAIFDNQRSSYDPQRGSGYDMQRGPGYDSSRPVGYESQSRGVGGPHGHAPPMNNMPYGSTTPPARSGGGYETTRGVNPNRR >Vigun01g238700.1.v1.2 pep primary_assembly:ASM411807v1:1:40964168:40968474:-1 gene:Vigun01g238700.v1.2 transcript:Vigun01g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPPHLRRSIPPGPAAMPHPLAAPFDLLPPPQVLEQKLASQHAEMQRLATENQRLAATHGALRQELAAAQHELQMLHALVAGLKGEREQQVRAQLEKISKMESEAQSSEGVKMELQQARGEAQNLVLSRDELVSKAQQLTQELQRVHTDVVQIPALISELECLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANVDRRSSGPYGGTSGTNENEASGLPVGQNAYEDGYSIMQGRGPLPAGSGGGGSATTLSSAGAQPGPTPAGTGYDPPRGPSYGASAGPNYDAQRGVTYDTQRLTGYDAFRGGSAYDSKRGAIFDNQRSSYDPQRGSGYDMQRGPGYDSSRPVGYESQSRGVGGPHGHAPPMNNMPYGSTTPPARSGGGYETTRGVNPNRR >Vigun09g194900.4.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36958519:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.5.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36958422:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.2.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36961575:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.3.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36959437:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.7.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36958520:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.6.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36961575:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun09g194900.1.v1.2 pep primary_assembly:ASM411807v1:9:36957323:36961575:1 gene:Vigun09g194900.v1.2 transcript:Vigun09g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSYSPKIQTNLAGKDAIEEGQSQSKLLSQFRPGPVLVGHTRKIRILASNPKADIENLEELLQEDNFLLEYGVRRTRTVSGVDFEVEGKRYTEKKACSCFSSQFVE >Vigun03g401200.6.v1.2 pep primary_assembly:ASM411807v1:3:60817399:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSDAFNGYSIDPSSDLLEENKNNTGLQFRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun03g401200.3.v1.2 pep primary_assembly:ASM411807v1:3:60815504:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKALFQGKTKGFDVEKALLSKSVRDFEEAISMVSFGFEAIEDFYSKSSTRNMIRDVKIPVLFIQSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun03g401200.5.v1.2 pep primary_assembly:ASM411807v1:3:60815504:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVLAVTSLVPAKPFPRRAFRVYRRRRLKINSSLPPSPAPFENLFRSLIAHYPSVNSLDLITPALGFASGAALFFSSRAKSIAGGGHRSSLSDLGEWILFAAPTPFNRFVLLRCPSLVFEGSDATERHYVRGRIEVRKGREREGLVEELRYQRVCVSGADGGVVSLDWPDNLDLEEERGLDSTLLLVPGTPQGSMDADVRLFVVEALKRGYFPVVMNPRGCAASPLTTPRLFTAADSDDICTSLTYISNARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSDAFNGYSIDPSSDLLEENKNNTGLQFRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun03g401200.1.v1.2 pep primary_assembly:ASM411807v1:3:60815504:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVLAVTSLVPAKPFPRRAFRVYRRRRLKINSSLPPSPAPFENLFRSLIAHYPSVNSLDLITPALGFASGAALFFSSRAKSIAGGGHRSSLSDLGEWILFAAPTPFNRFVLLRCPSLVFEGSDATERHYVRGRIEVRKGREREGLVEELRYQRVCVSGADGGVVSLDWPDNLDLEEERGLDSTLLLVPGTPQGSMDADVRLFVVEALKRGYFPVVMNPRGCAASPLTTPRLFTAADSDDICTSLTYISNARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKALFQGKTKGFDVEKALLSKSVRDFEEAISMVSFGFEAIEDFYSKSSTRNMIRDVKIPVLFIQSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSDAFNGYSIDPSSDLLEENKNNTGLQFRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun03g401200.4.v1.2 pep primary_assembly:ASM411807v1:3:60817397:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKALFQGKTKGFDVEKALLSKSVRDFEEAISMVSFGFEAIEDFYSKSSTRNMIRDVKIPVLFIQSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSDAFNGYSIDPSSDLLEENKNNTGLQFRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun03g401200.2.v1.2 pep primary_assembly:ASM411807v1:3:60815504:60829467:1 gene:Vigun03g401200.v1.2 transcript:Vigun03g401200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVLAVTSLVPAKPFPRRAFRVYRRRRLKINSSLPPSPAPFENLFRSLIAHYPSVNSLDLITPALGFASGAALFFSSRAKSIAGGGHRSSLSDLGEWILFAAPTPFNRFVLLRCPSLVFEGSDATERHYVRGRIEVRKGREREGLVEELRYQRVCVSGADGGVVSLDWPDNLDLEEERGLDSTLLLVPGTPQGSMDADVRLFVVEALKRGYFPVVMNPRGCAASPLTTPRLFTAADSDDICTSLTYISNARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLDEATRSSPYHIVTDQKLTNGLIDILQTNKALFQGKTKGFDVEKALLSKSVRDFEEAISMVSFGFEAIEDFYSKSSTRNMIRDVKIPVLFIQSDNGMVPIFSVPRNLIAENPFTSLLLCSCLVPSVTDTDMSALSWCQLLTIEWLTAVELGLLKGRHPLLTDIDVTINPSKGLVVVEEIRSNKDAEVGKLLNLTRSQQRLQRNFEQDDMSLQVKDGPSQQTSSSEADLIEEQNVVSADNVQVLQTAQVVINMLDVTMPGTLTEERKKKVLTAVGQGQTLMKALQDAVPEDVRGKLTDAVSGILHVKGSNLKVDRIVNVSQSPEPLQGQKNQEKSGVLDAEVMAEDQPSVNQMKKASPMDGSDNAPGSIGELAEGTETEVIPIETPNSTNLAQSQALNDEVGSSSSTRKENESNDNNDPNEELKGKAVPIVDCSKNEFETGSTLYNPGHPDGAGGFQSASVGEQNSQDSGIAQIDLKDEQKNQGFSMNHNKNTSTDSKEPPFSPSMSGENNSLEDEQKNQDFSINHSKNTSTDAKEEPLSPSMSSEVPAMERKGNDNEKNNNKNAHVAPQTNSNNLDSSAPAFSVSQALDALAGIDDSTQVAVNSVFGVIENMISQLEQSSENEEVKDGKDVEHKIEEKQKTNSQRKDSNTSADPSVDDHHNEMYLNNGSCHTEEQQPQNVSEISGNGVFDSHSCKSNLHLVQKESNRHTQLVNKRLLIDKWAGPRQVGRVPEFLAAGSYGGSPYNENLSKYLVSKIPIKPLDLNTTTALLLDYFPEEGQWKLFEQPQNVGIASSNTETGEEAGPKLKAPPSSKSSNAEHYIEQPYVILDSEKQQEPVKEFITTDTENRVTDTSVDRSDELIQFVKKKVLHSLKREVGRKLNAAEMIEMKSDLAEDLEHVANTISQAALHCMVQQLDTESQSLNVEGAIEKVGTLDGEHIVSVISSSVQKTNCLRKVVPLGVIVGSILASLRKYFDVTTLHDDQRRSLIHEDGGKPSKKSHGIGGATGIDGELEEKTSLDHPIQTVTVDVESASADTSKNTVMVGAVTAALGASALLMQQKDFQQENVTAESSALKMENPHQKEPDQLQEEVFEKNQNNIVTSLAEKAMSVAGPVVPTKEDGEVDQERLVAMLADLGQRGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRIAERPLFQRIFGFVGMILVLWSPVAIPLLPTIVQSWTTKTSSKIAEFACIAGLYTAIVILVMLWGKRIRGYENAFEQYGLNLESPQKLFEFLKGLVGGAIFIFSIHAVNASLGFASFSWPHIPTSLDSLTRLKVYGHMGLVVVQGTVMATTIALVEELLFRSWLPQEISVDLGYHHGIIISGMAFSFLQRSLQSIPGLWLLALSLSGARQRNGGSLFIPIGLRTGMTASTYVLQNGGFLTYHNNCNLPLWIIGSPFQPFSGLVGLVFSLSLAILLYPRHTLQRKEAQE >Vigun10g119500.1.v1.2 pep primary_assembly:ASM411807v1:10:32603990:32605967:-1 gene:Vigun10g119500.v1.2 transcript:Vigun10g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDTNLVTTIIGFGLSATFIVFVCTKIICGRLHERVGIRTVYEIEPRTDIERSEFHGNEPEPAFVAAIPTLSFNHEAFVSTGSTQCVICLAEYKEKEVLRIIPKCGHTFHLSCIDMWLRKQSTCPVCRLSLQNASESKHVRHVTFTIRHSLDESNNTSERNIDSERQVEPNSRISLQSTLGEIGC >Vigun04g068700.1.v1.2 pep primary_assembly:ASM411807v1:4:7899881:7900618:-1 gene:Vigun04g068700.v1.2 transcript:Vigun04g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASFNAGQAKGQAQEKASNMMDKASDAAHSAQDSMQQGGQQMKEKAQGAADSIKSALNSIN >Vigun07g049800.8.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLADFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKSKEPNALFLCAQAGKMHLFSVLG >Vigun07g049800.6.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGKTSFVRNDLSTPPTNLVEANSNTDEYNRYNALVIASTDFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKSKEPNALFLCAQAGKMHLFSVLG >Vigun07g049800.7.v1.2 pep primary_assembly:ASM411807v1:7:5191224:5203569:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGKTSFVRNDLSTPPTNLVEANSNTDEYNRYNALVIASTDFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKACIPLWKFHRI >Vigun07g049800.11.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKSKEPNALFLCAQAGKMHLFSVLG >Vigun07g049800.1.v1.2 pep primary_assembly:ASM411807v1:7:5184317:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGKTSFVRNDLSTPPTNLVEANSNTDEYNRYNALVIASTDFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKALRLRSSELKLEKQLQNAQAEISSYRKKISSLDKDRHDLQSTIDALQEEKKLLLSKMRKVSTTGKSIESQTSKRDISTSTEDLASEDPASNSSNPEINDNAAEASSSSSLVPETRRSSLGVSSVNIPHDQMRMIENINALISELSLEKEELIRVLTLESSECSRMKEINKELSRKLEVQTQRLELLTAQSMVHENISTKQPDSRSVYENIPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >Vigun07g049800.2.v1.2 pep primary_assembly:ASM411807v1:7:5184317:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKALRLRSSELKLEKQLQNAQAEISSYRKKISSLDKDRHDLQSTIDALQEEKKLLLSKMRKVSTTGKSIESQTSKRDISTSTEDLASEDPASNSSNPEINDNAAEASSSSSLVPETRRSSLGVSSVNIPHDQMRMIENINALISELSLEKEELIRVLTLESSECSRMKEINKELSRKLEVQTQRLELLTAQSMVHENISTKQPDSRSVYENIPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >Vigun07g049800.3.v1.2 pep primary_assembly:ASM411807v1:7:5184317:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKALRLRSSELKLEKQLQNAQAEISSYRKKISSLDKDRHDLQSTIDALQEEKKLLLSKMRKVSTTGKSIESQTSKRDISTSTEDLASEDPASNSSNPEINDNAAEASSSSSLVPETRRSSLGVSSVNIPHDQMRMIENINALISELSLEKEELIRVLTLESSECSRMKEINKELSRKLEVQTQRLELLTAQSMVHENISTKQPDSRSVYENIPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >Vigun07g049800.9.v1.2 pep primary_assembly:ASM411807v1:7:5191125:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGKTSFVRNDLSTPPTNLVEANSNTDEYNRYNALVIASTDFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLCGVFPEI >Vigun07g049800.12.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLCGVFPEI >Vigun07g049800.5.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKSKEPNALFLCAQAGKMHLFSVLG >Vigun07g049800.4.v1.2 pep primary_assembly:ASM411807v1:7:5184317:5203568:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLADFRQNNVTNNVNDMYGIPSGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKALRLRSSELKLEKQLQNAQAEISSYRKKISSLDKDRHDLQSTIDALQEEKKLLLSKMRKVSTTGKSIESQTSKRDISTSTEDLASEDPASNSSNPEINDNAAEASSSSSLVPETRRSSLGVSSVNIPHDQMRMIENINALISELSLEKEELIRVLTLESSECSRMKEINKELSRKLEVQTQRLELLTAQSMVHENISTKQPDSRSVYENIPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >Vigun07g049800.10.v1.2 pep primary_assembly:ASM411807v1:7:5190901:5203569:-1 gene:Vigun07g049800.v1.2 transcript:Vigun07g049800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPNSSASSRKQEHLEAGKKRLEEFRKKKAAERAKKTSNSSQVHNSDDNLNKKQSSEVENVRINQFDGVTTSDGVGGAVTDSSTLGIGNDKTQNLFSQSSNQGSLAGATNHQSVPLHPQESQEFDSNASQFSLHGMNDDQSNKSNSSLKDYAVTDNLTSHFPSKSIPQNSVDALQQIKLTNFSTLDSGYSHNSLSGGFSESFSSKFSSSDNNLPSLHGATMPKFHSTGFEARSSSYNTQILPLPVESSSRRSRPSFLDSLNVSRTSLGSPFLQSEESSSVSNHLESSSNDIPGSTYFPKPLEESKSVPLFPNFKTENVHSSLEHLAPSVVDNDNLGTLLSAKENGMEKNAYYSSSKNEDFAALEQYIEDLTKEKFSLQRALEASQVLAESLAAENSTLTDNYNQQRSVVNQLKSDMEKLQEDIKAQLAELEAFKSEYTNAQLECNAADERAKLLASEVIGLEEKACIPLWKFHRI >Vigun06g114700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24283245:24284078:-1 gene:Vigun06g114700.v1.2 transcript:Vigun06g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRYDPNCYQQSKECSSSIKMMHKTPKKTVKITYISSPVLVRACDASEFRSLVQQLTGKDSDNVGHGSTMMLGERVMQSVRGSEDGGEFHIYNSNRSMEFDEDYYFWKELAHSVLPSPCVLA >Vigun05g151800.1.v1.2 pep primary_assembly:ASM411807v1:5:23374198:23376870:1 gene:Vigun05g151800.v1.2 transcript:Vigun05g151800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVQLVAFILLPLLASMRAEDTINSSQPCDFRAIFNFGDSNSDTGCMAAAFYPEVSPYGQTFFHEPVGRASDGRLIIDFIAQHLRFPLLSAYINSIGTSYRHGANFAAGSSTIRRQNRTVFEGGTPFTFEIQIEQFNQFKQRTGKFFNQARKNSFRRHFPRPEDFAKAVYTFDIGQNDIAAAINRVGTEDSHAVISDIVEHFAEQVEYLHKLGARTFWIHNSGPIGCLPVAMPVHNNATNHTQEAGYLDQNGCINYQNDMAREFNKKLKNFVLKLRAKFLDASFIYVDMFSAKYELISNANKEGFVEPSEICCGYHKDGYHLYCGNKAMINGKEVFAGSCGDPSKYISWDGVHYTEAANRWIANRIINGSFSDPPLSLTHSCRAVPI >Vigun06g051100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17696785:17699514:1 gene:Vigun06g051100.v1.2 transcript:Vigun06g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSSMFSLSKSNFSPVRPTLSPVRCGIRELRQRIHTVQTTQKITEAMKLVAAARVRRAQEAVVNGRPFSSNLAAMLNDITQRLKYDDVSTPLTDARPVKTVALVVFTGDRGLCGGFNKCVIKKAVTRIEELKKLNLGCVVISVGKKGNSFFTHNCEKKYPFVKVDSFIEIGGFPTAKEAQVIADDVFSLFVSEEVDKVELVYTRFVSLVRFEPVVQTLLPLGEVYDVKDDVFRLSSKEGKLAVERDVVRLGKEGEVFSPLMEFEQDPVLILDAMLPLYLNSQILRGLQESLASELAARMGAMASATDNAVELSKRLSVEYNRERQAKITGELLEIVAGAEALTSIDI >Vigun04g067600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7675557:7679260:-1 gene:Vigun04g067600.v1.2 transcript:Vigun04g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTSNSVSASSKRWHSAFMAIYCSRAIMSLSTRNKIKAKVSPPPTPPPYFVMVDLSPHHSFGIDQTVLTDIVKEKDHRNLDNFGGVEGVATALETHLEYGIKGDDGEDITRRTQVFGSNTYHKPPSKGFFHFVVEAFKDVTILILLACAALSLGFGIKEHGIKEGWYDGGSIFVAVFIVVSLSAVSNFRQNRQFDKLSQVSNDIQIDVVRSGRRQHVSIFEIVVGDVICLKIGDQVPADGLFIEGHSLRADESSMTGESDHVEISRQHPFLFSGTKVADGYAKMLVTSVGMNTTWGQMMSSISRDNDEQTPLQERLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGNTKDDNGVREYNGSKTTFDDIMNAVVGIVADAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADQAMVRKLSACETMGSATTICTDKTGTLTLNEMKVTKFWIGQEPVAETENANSKVAPFVLQLIKEGVALNTTGSVHKSNKPGSEFEFSGSPTEKAILSWAVLELNMEMEHLTKGCSIIQVETFNSKKKKSGVLLRRKADNTVSAHWKGAAEMVLKMCSRYYDVSGTVRDLDIDAMLKFEHIIQGMAASSLRCIAFAHVEVPEEELGDENTTGKVKDSGLTLLGVVGIKDPCRPGVKNAVEACQNAGVNVKMITGDNVFTARAIASECGILRPDQDTAGAVIEGEEFRNYTPEERLEKVEKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVVTVLRWGRCVYNNIQKFIQFQLTVNVAALAINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTNELMDKPPVGRTKPLITNVMWRNLLAQALYQIAVLLTLQFKGESIFGVTSGVNDTLIFNTFVLCQVFNEFNARKMEKRNVFKGIHRSKLFLGIIGITIILQVVMVEFLKKFADTERLNWGQWAICIGLAAVSWPIGWVVKLIPVPEKPFLNFLRLKK >Vigun04g067600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7675487:7679253:-1 gene:Vigun04g067600.v1.2 transcript:Vigun04g067600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPFLTKFNSIEMLLNTSNSVSASSKRWHSAFMAIYCSRAIMSLSTRNKIKAKVSPPPTPPPYFVMVDLSPHHSFGIDQTVLTDIVKEKDHRNLDNFGGVEGVATALETHLEYGIKGDDGEDITRRTQVFGSNTYHKPPSKGFFHFVVEAFKDVTILILLACAALSLGFGIKEHGIKEGWYDGGSIFVAVFIVVSLSAVSNFRQNRQFDKLSQVSNDIQIDVVRSGRRQHVSIFEIVVGDVICLKIGDQVPADGLFIEGHSLRADESSMTGESDHVEISRQHPFLFSGTKVADGYAKMLVTSVGMNTTWGQMMSSISRDNDEQTPLQERLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGNTKDDNGVREYNGSKTTFDDIMNAVVGIVADAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADQAMVRKLSACETMGSATTICTDKTGTLTLNEMKVTKFWIGQEPVAETENANSKVAPFVLQLIKEGVALNTTGSVHKSNKPGSEFEFSGSPTEKAILSWAVLELNMEMEHLTKGCSIIQVETFNSKKKKSGVLLRRKADNTVSAHWKGAAEMVLKMCSRYYDVSGTVRDLDIDAMLKFEHIIQGMAASSLRCIAFAHVEVPEEELGDENTTGKVKDSGLTLLGVVGIKDPCRPGVKNAVEACQNAGVNVKMITGDNVFTARAIASECGILRPDQDTAGAVIEGEEFRNYTPEERLEKVEKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVVTVLRWGRCVYNNIQKFIQFQLTVNVAALAINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTNELMDKPPVGRTKPLITNVMWRNLLAQALYQIAVLLTLQFKGESIFGVTSGVNDTLIFNTFVLCQVFNEFNARKMEKRNVFKGIHRSKLFLGIIGITIILQVVMVEFLKKFADTERLNWGQWAICIGLAAVSWPIGWVVKLIPVPEKPFLNFLRLKK >Vigun06g100500.1.v1.2 pep primary_assembly:ASM411807v1:6:23065455:23071146:-1 gene:Vigun06g100500.v1.2 transcript:Vigun06g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEHQIESWSETGSSGSRSTRVGFSGPMSGPLVSNKKSSKKSARFKDEEELVEITLDVRDDVVSVQNIRGGDPETALLASRLEKRPSSLSVRLRQVSQELKRMTSSKKFDRVDRSKSGAARALRGFKFMTKNVGTEGWSQVEKRFLDLAVEGKLPRTRFGQCIGMHEKEFAGELFDALSRRRGITSASITKDELREFWEQITDQSFDSRLQTFFDMVDKNADGRITQEEVQEIIALSASANKLSKLQERSDEYAALIMEELDPDNLGYIELHNLEMLLLQAPAQSTHITTDSRVMSQMLSQKLVPTKEYNPIKRGFRALAYFVEDNWKRIWVIALWLAICAALFTWKFIQYKHRAVFHVMGYCVTAAKGAAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIAFGIAIGVGIHALCHLTCDFPRLLHTTDDEYEPMKPFFGEERPDDYWWFVKGTEGWTGITIVVLMAIAFTLAQPWFRRNRLNLPKPLKKLTGFNAFWYSHHLFVIVYALFIVHGYYLYLSKEWYKKTTWMYLAIPMILYACERLLRAFRSGYKSVKILKVAVYPGNVLALHVSKPQGFKYSSGQYIFVNCSDVSPFQWHPFSITSAPGDDYVSVHIRTLGDWTSQLKNVFAKACQPASGDQSGLLRADMLQGNNIPRMPKLVIDGPYGAPAQDYKDYEVILLVGLGIGATPLISILKDVLNNMKQQKDLEEGMVESGVKNNKRKPFATNRAYFYWVTREQGSFEWFKGVMDDVAEYDKDGIIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDIVSGTRVKTHFARPNWRSVFKHTALKHPGKRVGVFYCGAHTLVGELKRLSLDFSRKTNTKFDFHKENF >Vigun01g168300.1.v1.2 pep primary_assembly:ASM411807v1:1:34990065:34992824:-1 gene:Vigun01g168300.v1.2 transcript:Vigun01g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSSINLSLPLLPLTLALAFAIFCVAESAWQNDTLALTQFRLQTDTHGNLLSNWTGGDACSAAWLGVECSPNGRVVGLSLPSLNLRGPIDSLSPLLYLRFLDLHENRLNGTVYPLLNCTALELLYLSHNDFSGEIPPHISSLRLLLRLDISDNNIRGPIPDQVARLTHLLTLRLQNNALSGHVPDLSASLLNLTQLNVTNNELRGHVPDSMLSKFGNASFSGNHALCGSTPLPRCSETEPDTETTITVPSKPSSFPQTSSVTLSDTPKKKGLRAGVIVAIVVAVSVSVLVAISFVVAHCCAREGGGYGSAMGSESGKRKSGSSSGSEKKVYGNGGNFDRDSDGTNTETERSKLVFFDRRNQFELEDLLRASAEMLGKGCLGTVYRAVLDDGCTVAVKRLKDANPCERNEFEQYMDVVGKLKHPNIVRLRAYYYAKEEKLLVYDYLPNGSLHALLHGNRGPGRIPLDWTTRISLVLGAARGLARIHAEYNASKIPHGNVKSSNVLLDKNGVALISDFGLSLLLNPVHAIARLGGYRAPEQVEVKKLSQEADVYAFGVLVLEVLTGRAPSTQYPNSAARPRVEDEGEVDLPKWVRSVVKEEWTAEVFDEELLRYKNIEEELVAMLHVGVACVAAQPEKRPSMMEVVKMVEDLRVEQSPLGEDYDEARSRNSLSPSLPTTEDGLA >Vigun07g155100.1.v1.2 pep primary_assembly:ASM411807v1:7:26616308:26619990:-1 gene:Vigun07g155100.v1.2 transcript:Vigun07g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKTDYGEYTYESLEREPYWPAEKLKISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKVITSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILGFENKNIPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKGEGIDISVYGSSKVVQTQAPVQLGSLRAADGKE >Vigun07g155100.2.v1.2 pep primary_assembly:ASM411807v1:7:26616308:26619990:-1 gene:Vigun07g155100.v1.2 transcript:Vigun07g155100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKTDYGEYTYESLEREPYWPAEKLKISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGMEKAPAAFCRKVITSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILGFENKNIPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKGEGIDISVYGSSKVVQTQAPVQLGSLRAADGKE >Vigun08g042100.1.v1.2 pep primary_assembly:ASM411807v1:8:4359641:4361699:-1 gene:Vigun08g042100.v1.2 transcript:Vigun08g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRTYEESQPHPPQEIDWHMLDKSKFFFLGTALFSAVSAAIYPAVVLKTRQQMSAAEISCRHMSRSIMRYEGLRGFYRGFGTSLMGTIPARALYMSALEVTKSKVAAATVRWGFSDASATAIANAAGGVASAMAAQLVWTPVDVVSQRLMVQGVCYRNGFDAFRKILGVDGPRGFYRGFGVSIVTYAPSNAVWWASYSMVHKLIWGTLGSKKEGVSLGRDWRVVMGVQGLSAVMASGVCALVTMPLDTIKTRLQVLEAEEVSVVEVVRDLVREGGVLACYRGLGPRWVSMSVSAAAMITTYEFLKRVSAKNGDRLTLSSV >Vigun02g137800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28764927:28766538:-1 gene:Vigun02g137800.v1.2 transcript:Vigun02g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNSSDSTQVATAKLILQDGKLQEFSYPVKVSFLLQKYPACFICNSDEMDFDDVVSAIDEDQVLQPGQLYFALPLSRLNHRLQPQEMAALAVKASSALMKTADKCGSRRKQILFSNEYDSNPKRVSPALAAGAGTVHRRGRAAAPAGKGRFAALLSSIPE >Vigun03g408650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61564024:61564452:1 gene:Vigun03g408650.v1.2 transcript:Vigun03g408650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFVHASLFLLHPRCTVQPGSMIEICEWSVGDQRGGLRHGGGRCCRDPWTRGSRVGSWVPVKRRRRRSGVLEGERNSELVHHKPTTHAPSEKNNNHNAYQTLNREFNTSSFHLASYHQSCTNHCIRFEMQLNNQQPTTNQ >Vigun07g198000.2.v1.2 pep primary_assembly:ASM411807v1:7:31796342:31815270:-1 gene:Vigun07g198000.v1.2 transcript:Vigun07g198000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWEDRLFHKNWKVRNEANIDLASLCDSITDPKDSRIREFGHFFKKTVADSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQAVFMLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDSVKSILFEKMRDTMKKELEAELVNVTGTAKPTRKIRSEQDKEPEQEAVSEVVGPGPTEDSGNDAPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRISPGDFSEVCRTLKKLITDVNIAVAVEAVQAIGNLARGLRTHFSASSRFLLPVLLEKLKEKKPALAEALIQTLQAMQKAGCISLIDIIEDVKTATKNKVPLVRSLSLTWVTFCIETSNKGVITKVHKDYVPICMECLNDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGGSSAASVHNTRASASSAETSESAFVKRSAASMLSGKRPVQSAAVPKKGAAVKSGTNKKTDGVAQVKASKSIEQPEDVEPTEMSLEEIESRIGSLIQSDTIALLKSAVWKERLEAISSLKQQVEGLQDLNQSVEILIHLLCTLPGWGEKNVQVQQQVIEVVNHIGSTAAKFPKKCAVLCLSGLSERVADIKTRAHAMKCLSTLCEAVGPGFIFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGVSHIKLKDLIDFLKDTGLQSSNAATRNASIKLLGVLHRFVGPDIKGFLTDVKPALLSALDTEYEKNPFEGASVVAKRTVRTSDSSSTVAAGGLDGLPREDISGKITQTLLKSLESSDWKVRMESVDAVNKILEEANKRIQATGTGELFGALRGRLVDSNKNIVMATLTTIGNVASAMGQAVEKASKGILSDILKCLGDNKKHMRECVLNALDSWLAAVHLDKMVPYIAIALVDSKIGADGRKDLFDWVSKQLSGLSNFAEAAQLLKPASSAMTDKSSDVRKAAEACINEILRVSGHEMIEKILKDIHGPALTLVLEKLKPYGAFQESFAVAKSVSVGAPAKLKGGKSTANGVSKHGNRAASSRAVATKGTKSEPISVQDIAVQSQALLNIKDSNKEDRERMVVRRLKFEDPRPEQIQDLENDMMKYFREDLHRRLLSADFKKQVDGLQMLQKALPSIAKEVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLDTLKEEGYTLTESEVAMFLPCLVEKLGHNIEKVREKMRELTKQFVAIYSASKCLPYISEGLRSKNNRTRIECVDLVGFIIDHHVAEVGGQLKLLQQVASLTAERDGETRKAALNTLATCYKILGNDIWEFVGTLSNTQHSMLEDRFKWKVREMEKKKEGKPGEARAILRRAVRENGSDVAEQSGEMSRSLAGPILSNIERQLISRSSAVANGPPDWNEALDIISFGSPEQSVDGMKVICHELSQVSNDPDGIVMDELVKDADRLVSCLANKVARTFDFNLTGASSRSCKYVLNTLMQTFQNKRLAHAVNESTLDSLITELLLWLLDDRVPHMEDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNEPLASRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDAKPQPIILAYIELNLETLAAARMLTASGPGGQNHWGDSATNNSASGTHSADAQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMPTPPPASLNISSPDFAPLSPVNANPLGDAKLNMKPDPTNFNLPPSYSEENRPVNAITSRALNSDYTLGDQRNDRFMTGVTSGTLDAIRERMKSMQLAAAAGSTESVGRHLTSANDNLNHGVPPSQIPHTAEHAGTENTLHGGVLPMDEKALSGLQARMERLKSGSLEPL >Vigun07g198000.1.v1.2 pep primary_assembly:ASM411807v1:7:31796342:31815270:-1 gene:Vigun07g198000.v1.2 transcript:Vigun07g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWEDRLFHKNWKVRNEANIDLASLCDSITDPKDSRIREFGHFFKKTVADSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQAVFMLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDSVKSILFEKMRDTMKKELEAELVNVTGTAKPTRKIRSEQDKEPEQEAVSEVVGPGPTEDSGNDAPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRISPGDFSEVCRTLKKLITDVNIAVAVEAVQAIGNLARGLRTHFSASSRFLLPVLLEKLKEKKPALAEALIQTLQAMQKAGCISLIDIIEDVKTATKNKVPLVRSLSLTWVTFCIETSNKGVITKVHKDYVPICMECLNDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGGSSAASVHNTRASASSAETSESAFVKRSAASMLSGKRPVQSAAVPKKGAAVKSGTNKKTDGVAQVKASKSIEQPEDVEPTEMSLEEIESRIGSLIQSDTIALLKSAVWKERLEAISSLKQQVEGLQDLNQSVEILIHLLCTLPGWGEKNVQVQQQVIEVVNHIGSTAAKFPKKCAVLCLSGLSERVADIKTRAHAMKCLSTLCEAVGPGFIFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGVSHIKLKDLIDFLKDTGLQSSNAATRNASIKLLGVLHRFVGPDIKGFLTDVKPALLSALDTEYEKNPFEGASVVAKRTVRTSDSSSTVAAGGLDGLPREDISGKITQTLLKSLESSDWKVRMESVDAVNKILEEANKRIQATGTGELFGALRGRLVDSNKNIVMATLTTIGNVASAMGQAVEKASKGILSDILKCLGDNKKHMRECVLNALDSWLAAVHLDKMVPYIAIALVDSKIGADGRKDLFDWVSKQLSGLSNFAEAAQLLKPASSAMTDKSSDVRKAAEACINEILRVSGHEMIEKILKDIHGPALTLVLEKLKPYGAFQESFAVAKSVSVGAPAKLKGGKSTANGVSKHGNRAASSRAVATKGTKSEPISVQDIAVQSQALLNIKDSNKEDRERMVVRRLKFEDPRPEQIQDLENDMMKYFREDLHRRLLSADFKKQVDGLQMLQKALPSIAKEVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLDTLKEEGYTLTESEVAMFLPCLVEKLGHNIEKVREKMRELTKQFVAIYSASKCLPYISEGLRSKNNRTRIECVDLVGFIIDHHVAEVGGQLKLLQQVASLTAERDGETRKAALNTLATCYKILGNDIWEFVGTLSNTQHSMLEDRFKWKVREMEKKKEGKPGEARAILRRAVRENGSDVAEQSGEMSRSLAGPILRKNYGQPDSNIERQLISRSSAVANGPPDWNEALDIISFGSPEQSVDGMKVICHELSQVSNDPDGIVMDELVKDADRLVSCLANKVARTFDFNLTGASSRSCKYVLNTLMQTFQNKRLAHAVNESTLDSLITELLLWLLDDRVPHMEDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNEPLASRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDAKPQPIILAYIELNLETLAAARMLTASGPGGQNHWGDSATNNSASGTHSADAQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMPTPPPASLNISSPDFAPLSPVNANPLGDAKLNMKPDPTNFNLPPSYSEENRPVNAITSRALNSDYTLGDQRNDRFMTGVTSGTLDAIRERMKSMQLAAAAGSTESVGRHLTSANDNLNHGVPPSQIPHTAEHAGTENTLHGGVLPMDEKALSGLQARMERLKSGSLEPL >Vigun02g146800.1.v1.2 pep primary_assembly:ASM411807v1:2:29473193:29480853:1 gene:Vigun02g146800.v1.2 transcript:Vigun02g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAYSSSQPTPPVSSAEEQHQPKVTGQINNAHDSPSSTLPASGMSLWTRHLKIPGLGLGQAQQDSQTENTSVSAFARLTGGLGLLTQSKESAGPVNSGPDQSNLIESFAKGLMDSSKSAVKAVQVKARHIVSQNKRRYQEDGFDLDMTYITENIIAMGFPGGDISSGIVGYIEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDGSLFQGKVATFPFSDHNCPPIQLITSFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICCLLLSLKFFPTAEEAIDYFNHKRCVDGKALVLPSQIRYVKYFERTLTQFNGEVQPGRRCILRGFRLQRCPYWVRPSITISDHKGVLFATRNHPKTKDLLPEDFWISAPKKGIVVFALPGEPGLAELEGDFKIHFNDRQGDFYCWMNTTMIENRKVLDGSDFDGFDKRKIPTPGFRVEVVMIDYNETLPSITKANPTSKGSDGNTINAVPGPKPSTSNSTESKPPRNEDDVFSDSDEEESRDTQSKKAATEYKFMAPHQASEGTTDHVGMLTNSTDRLSLQHEAGMQNNSSEKSTADEHHKVHSTNVESVGASDIKAIAADASVFSFGDEDFESD >Vigun02g146800.2.v1.2 pep primary_assembly:ASM411807v1:2:29473095:29480853:1 gene:Vigun02g146800.v1.2 transcript:Vigun02g146800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAYSSSQPTPPVSSAEEQHQPKVTGQINNAHDSPSSTLPASGMSLWTRHLKIPGLGLGQAQQDSQTENTSVSAFARLTGGLGLLTQSKESAGPVNSGPDQSNLIESFAKGLMDSSKSAVKAVQVKARHIVSQNKRRYQEDGFDLDMTYITENIIAMGFPGGDISSGIVGYIEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDGSLFQGKVATFPFSDHNCPPIQLITSFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICCLLLSLKFFPTAEEAIDYFNHKRCVDGKALVLPSQIRYVKYFERTLTQFNGEVQPGRRCILRGFRLQRCPYWVRPSITISDHKGVLFATRNHPKTKDLLPEDFWISAPKKGIVVFALPGEPGLAELEGDFKIHFNDRQGDFYCWMNTTMIENRKVLDGSDFDGFDKRKIPTPGFRVEVVMIDYNETLPSITKANPTSKGSDGNTINAVPGPKPSTSNSTESKPPRNEDDVFSDSDEEESRDTQSKKAATEYKFMAPHQASEGTTDHVGMLTNSTDRLSLQHEAGMQNNSSEKSTADEHHKVHSTNVESVGASDIKAIAADASVFSFGDEDFESD >Vigun05g157150.1.v1.2 pep primary_assembly:ASM411807v1:5:25547615:25548219:-1 gene:Vigun05g157150.v1.2 transcript:Vigun05g157150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRLMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGLLVHPPYCGKCLSKSICNESCRGFWFIIGNFRSLLDNG >Vigun07g061500.1.v1.2 pep primary_assembly:ASM411807v1:7:6974955:6982483:-1 gene:Vigun07g061500.v1.2 transcript:Vigun07g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMSLMSSPNVSSFRTGGNLWQSKHSTKNSSYASFVAPKASMQQRKIQIEYNLSRLKQPSINNELKSGEGGFIYKENDKKYIPKAIPKESFASEPRPYQPKHVVASVKHFFVTLFWFCYPYTMFGRILCTISASLLAVERLSDISPLFFIGILQILLPFTLIDLYVNGVNQLYDLEIDKINKPFLPLPSGAFSFKTGVIVTASSAILGFFTSYMIGSWPLFWGLLFFFLIWSGYSIKGPLLRWKKNPLLAAICIFSTMTFIFPLSFFYHMKTFVLKKAGVFPKPLMFSVVFMSLYSLGISLFKDIPDIEGDKAFGIESFSTRLGQKRVFWICVSIFESAFGVAFLAGLSSPFLWVKILTGLGNAILGSILWYRAKFVNLNDRASIRSYYMLIWKLLYVSYILLPLIR >Vigun09g183500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35728687:35730788:-1 gene:Vigun09g183500.v1.2 transcript:Vigun09g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDCGTWIPNLQLGWQSPNLNPLDVGKLGGVSAAMNPGVNMISAYETMPAFASSALQPRMQLGCSSDPRGWFYCLPRFRQEFTPAPSFTVEGKTPVDHVKGFGDKIAPFGESSSPRKQFLVIDKTAGQTTVVYSSRFGSPCECLASWHSKLHGGNNWRGDEPCFRRNLNLNRMSEPTLADKVHENHETSIESEMHEDTEEINALLYSDSDDYSSHDDDDDDDDDDDDDEVTSTGHSPSTMTTHDDCKTSRDETVNEVASSAKKTKKRKLLDGYCEDIQLTDTASSQNMNKSSATCDDSESRCSSNNNGGSLSSNKKMKKEKIQDVLSILQSIIPGGKDKGPVMLLDDAIRSLKSLKQKAQAFGLDAL >Vigun03g325150.1.v1.2 pep primary_assembly:ASM411807v1:3:52141618:52141932:-1 gene:Vigun03g325150.v1.2 transcript:Vigun03g325150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGTVKGTSEVKFDTVMKSILWNSSMVGGGF >Vigun05g150700.2.v1.2 pep primary_assembly:ASM411807v1:5:22686317:22690313:-1 gene:Vigun05g150700.v1.2 transcript:Vigun05g150700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESITSNLSHHHHINPSSWVLLAALFLSSLSFSLSDPRITEAGLYCGTTKAPLKANYIPSFTKEMESLSQLVTNHNWGTHFVNTSGSPIPIYGFAQCFNDLSNTDCLLCYAASRTKIPRCLPSVSARIYLDGCFLRYDNYSFYAEVSDPLRDTVNCTSQHGTVVDETERLELEESVGRVVETVTNKALAKGGGFAVGEVEGVYALAQCWNTIGSDGCRECLRKAGKEVRGCLPKRDGRALNAGCYFRYSTNKFYNEDGDADGKNENNNVGQIPSSISKSSLNYKYETLEKATDYFNSSRKIGQGGAGSVFKGILPNGKVVAVKRLIFNNRQWVDEFFNEVNLISGIEHKNLVKLLGCSIEGPESLLVYEYLPKKSLDQFIFEKNRTQILNWKQRFNIILGTAEGLAYLHEGTKIRIIHRDIKSSNVLLDENLNPKIADFGLARCFGADKSHLSTGIAGTLGYMAPEYLIRGQLTDKADVYSFGVLVLEVVSGRRNNVFREDSGSLLQTVWKLYRSNTLTEAVDPCLGDDYPATEASRVFQIGLLCTQASASLRPSMFQVVYMLLNSNEDVPTPNQPPFLNTGILDSDSSIKSYSTNSFISNALKKIGASSYSYSESSCSDGPSRSEESIVQV >Vigun05g150700.1.v1.2 pep primary_assembly:ASM411807v1:5:22686317:22690313:-1 gene:Vigun05g150700.v1.2 transcript:Vigun05g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESITSNLSHHHHINPSSWVLLAALFLSSLSFSLSDPRITEAGLYCGTTKAPLKANYIPSFTKEMESLSQLVTNHNWGTHFVNTSGSPIPIYGFAQCFNDLSNTDCLLCYAASRTKIPRCLPSVSARIYLDGCFLRYDNYSFYAEVSDPLRDTVNCTSQHGTVVDETERLELEESVGRVVETVTNKALAKGGGFAVGEVEGVYALAQCWNTIGSDGCRECLRKAGKEVRGCLPKRDGRALNAGCYFRYSTNKFYNEDGDADGKNGLLRRGGVIVAEVLAAAAALMLTVSASYVAFTKLTRIKKENNNVGQIPSSISKSSLNYKYETLEKATDYFNSSRKIGQGGAGSVFKGILPNGKVVAVKRLIFNNRQWVDEFFNEVNLISGIEHKNLVKLLGCSIEGPESLLVYEYLPKKSLDQFIFEKNRTQILNWKQRFNIILGTAEGLAYLHEGTKIRIIHRDIKSSNVLLDENLNPKIADFGLARCFGADKSHLSTGIAGTLGYMAPEYLIRGQLTDKADVYSFGVLVLEVVSGRRNNVFREDSGSLLQTVWKLYRSNTLTEAVDPCLGDDYPATEASRVFQIGLLCTQASASLRPSMFQVVYMLLNSNEDVPTPNQPPFLNTGILDSDSSIKSYSTNSFISNALKKIGASSYSYSESSCSDGPSRSEESIVQV >VigunL010600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:735:884:1 gene:VigunL010600.v1.2 transcript:VigunL010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFQLAVFALIAISFILLISVPVVFASPEGWSNNKMFYFPVHHYGLD >Vigun10g109300.1.v1.2 pep primary_assembly:ASM411807v1:10:30850979:30855944:-1 gene:Vigun10g109300.v1.2 transcript:Vigun10g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPHPLRNLTTPSPPLLHFLPSTSFTLSFSHSHPMTPSFSSSASSPSSSSPSTSSSTPQQQQQNPQNNLNQHKLSHVLKYHNQTKHNFNQYARGPHGLDWANQPNPFRRYLSAPLISLLHPQPPYQPPLYHSLFLSLPSPHPISQSTVSQFLFDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYIVAPPIPSISDSAFVAHYAPKEHSLELRAQIPSGFFPKFFPPNSFLVGLSSVFWREAWKYGERAFRYCNHDVGHAIGAVAMAAASLGWDVKVLDTLGCEELKTLMGLHVFPDFEIPSRAVRGKIPEIEFEHPDCVMLVYPNGVGGFDINWKELSEAILGFDKLEWKGKPNSLSKEHVCWDVIYRTAEAVKKPLTLGDKFLVEPFQRSGVCGEGLYKGLSMREVVRKRRSAVDMDGVTKIERDAFYQILLHCLPSGCQGGGRQRRPLALPFRALPWDAEVHAALFVHRVVGLPQGLYFLVRNENHFDELKKAMLPDFLWTKPEGCPDELPLYELLRSDCQKLAKQLSCHQDIASDGCFSLGMVARMEPTLREKNVWMYPRLFWETGVLGQVLYLEAHGIGISATGIGCFFDDPVHQLLGLKDSTFQSLYHFTVGGPVLDKRIMSLPAYPGPDDDA >Vigun08g159900.1.v1.2 pep primary_assembly:ASM411807v1:8:33248008:33253698:-1 gene:Vigun08g159900.v1.2 transcript:Vigun08g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFIAPESKMQDAFKLRQQQLGALRSSVRAKERDEELALFLEMRSREKAERGDLMLRAAEDFDGAAALGSNPDNSPLFHVPSSMAALVRKTGADDFLNSDNDKNDYDWLLTPPGTPLFPSLEMESTKTVMSQLGAPTLRPTSLKTRLSNPPSEHTGRSNFVSKQPASSPGLTSSGGGLRRPSSSGSLSELAGRSNLVSKQPASSPGLSSSVGGTRRSSSSGNLGARSVTPTGRPTLTTVSKSSRPSTPTSRTTMPSTRAMVATTKTSVSSAKPMVSAAKAAVSVAKTSTVPAAKTTIPSRSSTPLSRSTTRSSTPTTRTTLPASRPTSRASTPTRRPTTPSNVPTVSSPSVKTSSISKSAPVRQPITSPGTSPTVKSSPRPWKPSDMPGFSLDAPPNLRTTLPERALSTTRGRPGAPSSRSASVEPPSIGRPRRQSCSPSRGRSSNGISHPTGSSMPAVNRGYSRANDNVSPVVMGTKMVERVVNMRKLAPPRLDDRNSSHNLSGKSSSSPDTSGFGRSLSKKSLDMAIRHMDIRRRVPGNLRSSLMTNIPASSMYSVRSGPQRSRTVSISGSPHATSSNASSEVSVNPNGLCLDISEIDDDIGSERSGQSPASVRGR >Vigun08g159900.2.v1.2 pep primary_assembly:ASM411807v1:8:33248008:33253698:-1 gene:Vigun08g159900.v1.2 transcript:Vigun08g159900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKTVMSQLGAPTLRPTSLKTRLSNPPSEHTGRSNFVSKQPASSPGLTSSGGGLRRPSSSGSLSELAGRSNLVSKQPASSPGLSSSVGGTRRSSSSGNLGARSVTPTGRPTLTTVSKSSRPSTPTSRTTMPSTRAMVATTKTSVSSAKPMVSAAKAAVSVAKTSTVPAAKTTIPSRSSTPLSRSTTRSSTPTTRTTLPASRPTSRASTPTRRPTTPSNVPTVSSPSVKTSSISKSAPVRQPITSPGTSPTVKSSPRPWKPSDMPGFSLDAPPNLRTTLPERALSTTRGRPGAPSSRSASVEPPSIGRPRRQSCSPSRGRSSNGISHPTGSSMPAVNRGYSRANDNVSPVVMGTKMVERVVNMRKLAPPRLDDRNSSHNLSGKSSSSPDTSGFGRSLSKKSLDMAIRHMDIRRRVPGNLRSSLMTNIPASSMYSVRSGPQRSRTVSISGSPHATSSNASSEVSVNPNGLCLDISEIDDDIGSERSGQSPASVRGR >Vigun08g171400.1.v1.2 pep primary_assembly:ASM411807v1:8:34193584:34197760:-1 gene:Vigun08g171400.v1.2 transcript:Vigun08g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTDLAATMKCISSLSLCFLLCLCAIQSVSSENAIVPPRGWNSYDAFSWIISEEEYLQNANILSEKLLSHGYEYAVVDFLWYRSLKGDRNSIGFDMIDEWGRMLPDPEKWPSSGGGRGFTDVANKVHNMSLKFGIHLMAGISTQAFNNNTPILDTTTGQPYTESGRVWNAKDIGIPSRACRWLNNSFMAINVTTGAGKAFLRSIYELYASWGVDFVKLDCVFGEDLDLGEITSVSEILNGLNNSIVFSLSPGVSATPEMAQMVSSLVNMYRVTKDDWDAWPAILSHFNVSRDFAASNLTGAQGLKGKSWPDLDMLPFGWLTDADAHEGPHRSTNLTQDEQRTQMTLWCMAKSPIMYGGDLRNIDPQTFDLITNPTLLEINSFSSNNQEFPHIATLDSAKTDGIRSWIATGRNGEIYVAFFNLNDEKTTISANVVDLTIVQPGGRTLSVYEGTETWSGTLIRTNDTFSAEVAGHGSALFVLRSS >Vigun08g099200.1.v1.2 pep primary_assembly:ASM411807v1:8:24124121:24127837:1 gene:Vigun08g099200.v1.2 transcript:Vigun08g099200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETATLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPMAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVALSRAFNGIGLALVAPAIQSLVADSTDDNNRGMAFGWLQLTGNVGSIIGGLFSVLIAPITVFGIPGWRISFHIVGLISIIVGVLVYLFANDPHFSDNGTNDRRQAPKKTFWSEVKDLVEESKSVLKISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFTHNKTAFLIALFVVASSIGGLFGGKMGDILSKHLPNSGRIILAQISSGSAIPLAALLLLGLPDDPSSIVSHALVLIIMGLLISWNAPATNNPIFAEIVPERSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYKPLPEGSSESQEILTDRENAASLAKSLYTAIGIPMALCCIIYTFLYRTYPRDRERAKMGALIESEMRLIESGGLAMDKEFESEELSIAEYDGDGDLDGEENTLLYRQLTFPKE >Vigun08g099200.4.v1.2 pep primary_assembly:ASM411807v1:8:24124121:24127837:1 gene:Vigun08g099200.v1.2 transcript:Vigun08g099200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETATLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPMAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVALSRAFNGIGLALVAPAIQSLVADSTDDNNRGMAFGWLQLTGNVGSIIGGLFSVLIAPITVFGIPGWRISFHIVGLISIIVGVLVYLFANDPHFSDNGTNDRRQAPKKTFWSEVKDLVEESKSVLKISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFTHNKTAFLIALFVVASSIGGLFGGKMGDILSKHLPNSGRIILAQISSGSAIPLAALLLLGLPDDPSSIVSHALVLIIMGLLISWNAPATNKYTSQSNL >Vigun08g099200.3.v1.2 pep primary_assembly:ASM411807v1:8:24124121:24127837:1 gene:Vigun08g099200.v1.2 transcript:Vigun08g099200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETATLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPMAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVALSRAFNGIGLALVAPAIQSLVADSTDDNNRGMAFGWLQLTGNVGSIIGGLFSVLIAPITVFGIPGWRISFHIVGLISIIVGVLVYLFANDPHFSDNGTNDRRQAPKKTFWSEVKDLVEESKSVLKISSFQIIVAQGVTGSFPCSIGGLFGGKMGDILSKHLPNSGRIILAQISSGSAIPLAALLLLGLPDDPSSIVSHALVLIIMGLLISWNAPATNNPIFAEIVPERSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYKPLPEGSSESQEILTDRENAASLAKSLYTAIGIPMALCCIIYTFLYRTYPRDRERAKMGALIESEMRLIESGGLAMDKEFESEELSIAEYDGDGDLDGEENTLLYRQLTFPKE >Vigun08g099200.5.v1.2 pep primary_assembly:ASM411807v1:8:24124121:24127837:1 gene:Vigun08g099200.v1.2 transcript:Vigun08g099200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETATLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPMAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVALSRAFNGIGLALVAPAIQSLVADSTDDNNRGMAFGWLQLTGNVGSIIGGLFSVLIAPITVFGIPGWRISFHIVGLISIIVGVLVYLFANDPHFSDNGTNDRRQAPKKTFWSEVKDLVEESKSVLKISSFQIIVAQGVTGSFPCSIGGLFGGKMGDILSKHLPNSGRIILAQISSGSAIPLAALLLLGLPDDPSSIVSHALVLIIMGLLISWNAPATNKYTSQSNL >Vigun08g099200.2.v1.2 pep primary_assembly:ASM411807v1:8:24124121:24127837:1 gene:Vigun08g099200.v1.2 transcript:Vigun08g099200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETATLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPMAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVALSRAFNGIGLALVAPAIQSLVADSTDDNNRGMAFGWLQLTGNVGSIIGGLFSVLIAPITVFGIPGWRISFHIVGLISIIVGVLVYLFANDPHFSDNGTNDRRQAPKKTFWSEVKDLVEESKSVLKISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFTHNKTAFLIALFVVASSIGGLFGGKMGDILSKHLPNSGRIILAQISSGSAIPLAALLLLGLPDDPSSIVSHALVLIIMGLLISWNAPATNKYTSQSNL >Vigun04g058000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5752257:5755345:-1 gene:Vigun04g058000.v1.2 transcript:Vigun04g058000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISKSRIAAWNSDQLPIYEPGLDGVVQQCRGKNLFFSTDVEKHVYEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNGKGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGEKAIQTLKSVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVTQVSYAVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVATKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEEQIQRDLWMNKFDWDHPIHLQPTSPTTEKKVSVVWDAYEATKDADGVCIMTEWDEFKSLDYQKVYDNMRKPAFVFDGRNVVDVEKLREIGFIVYSIGKPLDPWLKDMPAVA >Vigun04g058000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5752323:5755333:-1 gene:Vigun04g058000.v1.2 transcript:Vigun04g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISKSRIAAWNSDQLPIYEPGLDGVVQQCRGKNLFFSTDVEKHVYEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNGKGIKFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGEKAIQTLKSVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVTQVSYAVGTDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVATKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEEQIQRDLWMNKFDWDHPIHLQPTSPTTEKKVSVVWDAYEATKDADGVCIMTEWDEFKSLDYQKVYDNMRKPAFVFDGRNVVDVEKLREIGFIVYSIGKPLDPWLKDMPAVA >Vigun02g010400.1.v1.2 pep primary_assembly:ASM411807v1:2:4107771:4113731:1 gene:Vigun02g010400.v1.2 transcript:Vigun02g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSCSIASRFLPPRKHSAIPFQLTKCTTLAFPTVLTPRHPPFSLSSNSGTCSATPNSNNNATSNSIKNQEKEKEVEVEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGPTSLPWILAIPLAYASLTFVIAFVKTVKKFSSPKAKRRRLVSKNATLCKSLDDLFQNGRDQVTHEAIVQIQDKTGFGLEEILRKYIRYALNEKPFNPDMVADLIQLRRASMLSDSHVAEILNEISRRIVRDKGPIVMDKSGYTEKGFKRKIAVQALFGKVFYLSDLPEFCSRDSSLVVKEIFGVTDEDADKLRIHTVSEAGSLDALEKMVDGSDSEHASDDA >Vigun03g256300.1.v1.2 pep primary_assembly:ASM411807v1:3:42253705:42259146:1 gene:Vigun03g256300.v1.2 transcript:Vigun03g256300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNCVVILSSEDEDATSKLSSSSNYKKTKSRSTSASSRGRKKARASHSRSHLSKLHEIDLFGDDFNEVFTGSKVSAGTQRSSAEELWVDKYKPNSLEELAVHKKKVEDVKTWFEERLKSSKGVYNNNVLLISGQAGVGKSAAIHVIASHLGAIVYGWNTPTPVIWQEHLYNSGTGTQYTSKLDEFESFVERVRKYGLLLTSYTGDSKPSIILLIDDLPMTNGKSAFGRLKNCLQLLVKSTQIPTAILLTDCGNADSVDYNARCLEELKLSLESSGACKVAFNPITVNSMKKVLLRICQMERCDVTAEYVDLIAKTSGGDIRHAITSLQFFCLKPNLVHSLASSTSSHVSLKEESNKPDTSDSGYSLHFGRDEALSLFHALGKFLHNKRETGVGIEYDQDGFLIRERLSRLPLKMDVPEKILCQAHVQPGSVADFLHENVLDFLDDEAIHDAWTVSSYLGDADILLAKLRGMVCSYNEAEGVLQSAAASIAVRGVLFGNSNPLSSRWHAVRRPRLWQVEKVSFYKNEVDRLRIPACKRFSSYHMSIMATEYMPMLKLLGNRACGYDGPSQKSSPNLEMEDFDFDKMDLDEQSMGLSDDDIEDW >Vigun11g112800.2.v1.2 pep primary_assembly:ASM411807v1:11:31529557:31534899:1 gene:Vigun11g112800.v1.2 transcript:Vigun11g112800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKRKTRRIVILNWSSSFMLSIFLFCSLLFTFSFKHCSAKDAITINNFLQDGEGDTLISKGGKFELGFFTPNGSSSGRRYVGIWYYKVTPLIVVWVANRDNPLLDSWGAFGIGEDGNLKILDRRGKAYWGTKLEGSSSQHRTVKIMDSGNLIVSDEVEGQGDHLVKILWQSFANPTDTFLPGMKMEGNLALTSWRSYEDPAPGNFTFVHCQGENQFVIWKRSIKYWKSSVTSRFSGSDEMSPAISYLLSNFTLRVSPNDTVPFLTPALYRDTRLVMTHWGQLKYMKMDSEKVWLLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGYKPNSIESWNAGDFSGGCSRKTNVCSGDAKKATFLSLKMMKVGNPDAQFNAKNEEECESECLNNCQCYAYSYESTEKDTVCWIWYEDLNNLEEQYENGCDLHVRMAFSDIESTGNSCGTCGTNFIPYPLSTGPSCGDPMYFSFQCNNSSGELDFKTPGGTYEVISINPDTRKFLIHRKDVLNCDQGSRDKFLSLNQSFPFHLTGYCHADPSIFSSNASMKQGVEIEFSWEPPIEPMCSSLLDCKEWPNSTCNTTRDGKKRCLCNTNFIWDGLKLNCTQEGNNIYQSERTLSLPKIIVITFTTAIGLILLSTTVTCVYLRKRRQSKSQDSRGYVQKNSGINLYDSDRYVRELIESGRFKEDDAQAIDIPFFHLESILGATNNFANANKLGQGGFGPVYKGKFPGGQEIAVKRLSSCSGQGSEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMPNRSLDAFIFDEKLCVLLDWDVRFKIILGIARGLLYLHEDSRLRIIHRDLKTSNILLDEEKNPKISDFGLARIFGGKETVANTERVVGT >Vigun11g112800.3.v1.2 pep primary_assembly:ASM411807v1:11:31529557:31534899:1 gene:Vigun11g112800.v1.2 transcript:Vigun11g112800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKRKTRRIVILNWSSSFMLSIFLFCSLLFTFSFKHCSAKDAITINNFLQDGEGDTLISKGGKFELGFFTPNGSSSGRRYVGIWYYKVTPLIVVWVANRDNPLLDSWGAFGIGEDGNLKILDRRGKAYWGTKLEGSSSQHRTVKIMDSGNLIVSDEVEGQGDHLVKILWQSFANPTDTFLPGMKMEGNLALTSWRSYEDPAPGNFTFVHCQGENQFVIWKRSIKYWKSSVTSRFSGSDEMSPAISYLLSNFTLRVSPNDTVPFLTPALYRDTRLVMTHWGQLKYMKMDSEKVWLLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGYKPNSIESWNAGDFSGGCSRKTNVCSGDAKKATFLSLKMMKVGNPDAQFNAKNEEECESECLNNCQCYAYSYESTEKDTVCWIWYEDLNNLEEQYENGCDLHVRMAFSDIESTGNSCGTCGTNFIPYPLSTGPSCGDPMYFSFQCNNSSGELDFKTPGGTYEVISINPDTRKFLIHRKDVLNCDQGSRDKFLSLNQSFPFHLTGYCHADPSIFSSNASMKQGVEIEFSWEPPIEPMCSSLLDCKEWPNSTCNTTRDGKKRCLCNTNFIWDGLKLNCTQGNNIYQSERTLSLPKIIVITFTTAIGLILLSTTVTCVYLRKRRQSKSQDSRGYVQKNSGINLYDSDRYVRELIESGRFKEDDAQAIDIPFFHLESILGATNNFANANKLGQGGFGPVYKGKFPGGQEIAVKRLSSCSGQGSEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMPNRSLDAFIFDEKLCVLLDWDVRFKIILGIARGLLYLHEDSRLRIIHRDLKTSNILLDEEKNPKISDFGLARIFGGKETVANTERVVGTYGYMSPEYALDGHFSVKSDVFSFGVVVLEIISGKRNTGFYQAEHKLSLLGYAWLLWKEGRELEFMDQTLSETCNADECLKCVNVGLLCLQEDPNERPTMSNVVFMLGSESNTLPSPKEPAFVIRRCPSSRASTSSKLETFSRNELTVTIEHGR >Vigun11g112800.4.v1.2 pep primary_assembly:ASM411807v1:11:31529557:31534899:1 gene:Vigun11g112800.v1.2 transcript:Vigun11g112800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKRKTRRIVILNWSSSFMLSIFLFCSLLFTFSFKHCSAKDAITINNFLQDGEGDTLISKGGKFELGFFTPNGSSSGRRYVGIWYYKVTPLIVVWVANRDNPLLDSWGAFGIGEDGNLKILDRRGKAYWGTKLEGSSSQHRTVKIMDSGNLIVSDEVEGQGDHLVKILWQSFANPTDTFLPGMKMEGNLALTSWRSYEDPAPGNFTFVHCQGENQFVIWKRSIKYWKSSVTSRFSGSDEMSPAISYLLSNFTLRVSPNDTVPFLTPALYRDTRLVMTHWGQLKYMKMDSEKVWLLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGYKPNSIESWNAGDFSGGCSRKTNVCSGDAKKATFLSLKMMKVGNPDAQFNAKNEEECESECLNNCQCYAYSYESTEKDTVCWIWYEDLNNLEEQYENGCDLHVRMAFSDIESTGNSCGTCGTNFIPYPLSTGPSCGDPMYFSFQCNNSSGELDFKTPGGTYEVISINPDTRKFLIHRKDVLNCDQGSRDKFLSLNQSFPFHLTGYCHADPSIFSSNASMKQGVEIEFSWEPPIEPMCSSLLDCKEWPNSTCNTTRDGKKRCLCNTNFIWDGLKLNCTQGNNIYQSERTLSLPKIIVITFTTAIGLILLSTTVTCVYLRKRRQSKSQDSRGYVQKNSGINLYDSDRYVRELIESGRFKEDDAQAIDIPFFHLESILGATNNFANANKLGQGGFGPVYKGKFPGGQEIAVKRLSSCSGQGSEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMPNRSLDAFIFDEKLCVLLDWDVRFKIILGIARGLLYLHEDSRLRIIHRDLKTSNILLDEEKNPKISDFGLARIFGGKETVANTERVVGT >Vigun11g112800.1.v1.2 pep primary_assembly:ASM411807v1:11:31529557:31534899:1 gene:Vigun11g112800.v1.2 transcript:Vigun11g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKRKTRRIVILNWSSSFMLSIFLFCSLLFTFSFKHCSAKDAITINNFLQDGEGDTLISKGGKFELGFFTPNGSSSGRRYVGIWYYKVTPLIVVWVANRDNPLLDSWGAFGIGEDGNLKILDRRGKAYWGTKLEGSSSQHRTVKIMDSGNLIVSDEVEGQGDHLVKILWQSFANPTDTFLPGMKMEGNLALTSWRSYEDPAPGNFTFVHCQGENQFVIWKRSIKYWKSSVTSRFSGSDEMSPAISYLLSNFTLRVSPNDTVPFLTPALYRDTRLVMTHWGQLKYMKMDSEKVWLLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGYKPNSIESWNAGDFSGGCSRKTNVCSGDAKKATFLSLKMMKVGNPDAQFNAKNEEECESECLNNCQCYAYSYESTEKDTVCWIWYEDLNNLEEQYENGCDLHVRMAFSDIESTGNSCGTCGTNFIPYPLSTGPSCGDPMYFSFQCNNSSGELDFKTPGGTYEVISINPDTRKFLIHRKDVLNCDQGSRDKFLSLNQSFPFHLTGYCHADPSIFSSNASMKQGVEIEFSWEPPIEPMCSSLLDCKEWPNSTCNTTRDGKKRCLCNTNFIWDGLKLNCTQEGNNIYQSERTLSLPKIIVITFTTAIGLILLSTTVTCVYLRKRRQSKSQDSRGYVQKNSGINLYDSDRYVRELIESGRFKEDDAQAIDIPFFHLESILGATNNFANANKLGQGGFGPVYKGKFPGGQEIAVKRLSSCSGQGSEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMPNRSLDAFIFDEKLCVLLDWDVRFKIILGIARGLLYLHEDSRLRIIHRDLKTSNILLDEEKNPKISDFGLARIFGGKETVANTERVVGTYGYMSPEYALDGHFSVKSDVFSFGVVVLEIISGKRNTGFYQAEHKLSLLGYAWLLWKEGRELEFMDQTLSETCNADECLKCVNVGLLCLQEDPNERPTMSNVVFMLGSESNTLPSPKEPAFVIRRCPSSRASTSSKLETFSRNELTVTIEHGR >Vigun07g165950.1.v1.2 pep primary_assembly:ASM411807v1:7:27804793:27811295:1 gene:Vigun07g165950.v1.2 transcript:Vigun07g165950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEARVDRFPIGPSDILGRTIAFRVLFCKSMSHFKHQIFHVLLDLFYRFNGGLGILAMMTIIAFLLKRYTNVKVRAEMAYRRKFWRNMMRSALTYEEWAHAAKMLDRETTKMNESDLYDVELVRNKLQELCHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLQVPRLIKEYIDEVTTQLKMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGASHVGVVKTLVEHKLLPRIIAGSSVGSIMCAVVATRTWPELQSFFEDSWHSLQFFDQMGGIFTVVKRVATFGAVHEIRQLQMMLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIIPYHPPFNLGPEEGSTPARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKAFVRTHGGNFAAKLAHLVEMEVKHRCNQILELGFPLGDVTVVMPATLAQYTKIIQNPSYGELQKAANQGRRCTWEKLSAIKANCGIELALDECVVILNHMRRLRRIAERAATASHGLSSTVRFSASRRIPSWNCIARENSTGSLEDLIDVSSSLHQSISSSSNVASGKTWKTHRGIHEGSDSDSESVDLNSWTRSGGPLMRTTSTNMFIDFLQNLEVDTEPNKGLVSHANTNDFHYRSPRLTTLDRNSDSTESELREISNWVVYGSRILVSAGDFLQPERIHNGIVFNVVKKEDFIKNPTVTPLNRSHDFENYNNEVAECVQDECPGKEMDAASSSSEHGDDESTPATSLTAPSLDYTSVNHHSGTDSSMDQSIVDG >Vigun09g084400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10648563:10649894:-1 gene:Vigun09g084400.v1.2 transcript:Vigun09g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLMLLGLCVLVLSPFPVALSERCHPEDKKVLLRFKKELNNPYFLASWDPKEDCCDWYCVKCDDRNNRIYEVFLISSYPDPNVTGKIPPSVGDLPYLEYLTFHKLPNLVGPIPPTITKLTKLKSLEITNSGLTGPIPEFLAQIKTLEVISLSFNSLSGSIPSSLSQLPNLASLQLDRNKLTGPIPASFGSFKKPGPDLKLSHNQLSGPLPPSLGNLDPDNIDLSRNKLVGDASFLFGSKKKTQVLDLSRNAFSFDLSPVTFPKKSLIWLDINHNKIYGNLPVALTKVENLQQLNVSYNPGLKGQIPQGGELHRFDKYAFFHTKLCGSPLPPCTK >Vigun11g006900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:760032:761339:1 gene:Vigun11g006900.v1.2 transcript:Vigun11g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCHSYFVILLLFFHNISSSKSLKSGFSVELIHRDSPKSPFYRPTETHFQRVQNAVLRSIHRANYSTPDSSHDVETTVIPSSGEFLMNYSVGTPPFQTLGVIDTGANTIWMQCQPCKNCYKQNTPIFDPSKSSTYSRIPCVAAECLTEQIAFCNFNNGKKHCAYKAIYGDESVSEGDISWDTITLTSSSEDIPVAFPKAVIGCGHNNVGLFDKQSSGIVGLGNAPLLLVSLLRPRTGGIFSYCFTPMYEGDVKPSFLHFGDRGEVSVKSAVPTPIIVNSAVPFKYYLVMEAMIVGSKRIEFPRNGEEGNILLDSGTTLSFLPDEVYSALEGEMVNAVNLVRTDSPMKHLKLCYEVTSGREYHIPTVFAHFKGGAVVELHSSNTFMKVSETKICLAFSRRSKAILGNLAQQDILVSYNTEQNTVTFLNTDCTSEL >Vigun06g168800.1.v1.2 pep primary_assembly:ASM411807v1:6:29034981:29038855:-1 gene:Vigun06g168800.v1.2 transcript:Vigun06g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAIRNLYSKRLLFSLHFTNAFHSFSCATISQNYNASPLDLVSLRRSMATFTRTKPHLNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFEEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRELLNFYKFPGDEIPIVRGSALSALQGTNEELGKKAILKLMDAVDEYISDPVRKLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKVGEEVEVLGLTQSGPLKTTVTGVEMFKKILDRGEAGDNVGLLLRGLKRDDVQRGMVVTKPGALKTYKKFEAEIYVLSKDEGGRHTAFFSNYKPQFYLRTADITGKVELPENVKMVMPGDNVAATFELMSPVPLEIGQRFALREGGRTVGAGVVSKVIS >Vigun06g168800.2.v1.2 pep primary_assembly:ASM411807v1:6:29034981:29037619:-1 gene:Vigun06g168800.v1.2 transcript:Vigun06g168800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIICLTLKNNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRELLNFYKFPGDEIPIVRGSALSALQGTNEELGKKAILKLMDAVDEYISDPVRKLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKVGEEVEVLGLTQSGPLKTTVTGVEMFKKILDRGEAGDNVGLLLRGLKRDDVQRGMVVTKPGALKTYKKFEAEIYVLSKDEGGRHTAFFSNYKPQFYLRTADITGKVELPENVKMVMPGDNVAATFELMSPVPLEIGQRFALREGGRTVGAGVVSKVIS >Vigun06g060500.1.v1.2 pep primary_assembly:ASM411807v1:6:18818669:18824717:1 gene:Vigun06g060500.v1.2 transcript:Vigun06g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGSSILTYLVKPVFLHGFSGFLHLLLLVVLLVSLVLRKVAVRTRESSTENITFAKAPLCFLLVSAINFLLFLFDYFCWYKNGWSEEKLATLSDFLLKTVAWGVVGVCLHMELFISRQRRLPFFFRAWCVLYLFVSGYCFIVNFALYEKKQSGLPLQSLVSDAFSVCVCLFFFYLELFVKNEGGVGDSTLQESLLDADLRDDVFETKETKGSDTVTPYSNSGIFGLLTFSWIGPLITLGRKKSLDLEDVPQLDKRDSLTGAFPTFRDRLEAQCGAITTVDTLMLVKSLVFSSWKEIIFTAILALLNTFASFVGPYLIDGFVQFLNGQRNFEHEGLVLVTAFLVAKLLECLTRRHWFFRLQQVGIRMRALLVTMIYNKILNLSCQSKHGHTTGEIINFMTVDAEKVGEFSWHLHDLWLVVLQVIVALLILYRNLGLASVSGLVAILIVMWANIPLSSIQEKFHNKLMESKDARMKTTSEILRNMRILKLQGWEMKFLSNITQLRKIEQDWLKKVMYTLAMIIFVFWCAPAFVSVVTFGTCILVGIPLESGKILSTLATFQILQEPIYNLPETISMMAQTKVSLDRIASFLRLDEIPFDVVEKLPHGSSNVAIEVVDGNFSWDSFSPSTILQNINLRVFHGMRVAICGSVGSGKSTFLSCILGEVPKKSGILKVCGTKAYVAQSPWIQSSTIEDNILFGKDMERQRYEKVLEACCLKKDLDVLSFGDQTIIGERGINLSGGQKQRIQIARALYHDADIYLFDDVFSAVDAHTGSHLFQECLLNHLSSKTVVYVTHQVEFLPAADLILVMKDGKIIQSGKYNHLLKSGTDFMELVGAHKEALSALDSIDGGKASAITSTSQEDVISFVVSHGIEEKEVRKNEKNGGKDNKCDPKGQLIQEEEREKGKVGFEVYWKYVTTTYGGALVPLILIAEIMFQLLQIGSNYWMAWATPISSDAEPPVGGSALIFVYVALAIGSSICVLARATLVATTGYKTATSLFNNMHLCIFRAPMSFFDATPSGRILNRASTDQSAVDIDIPFQTGSFASSVVHLLGIVAVMSQVAWQVFIVFIPITAINIWYQQYYLPSARELSRLVGVCNAPVIQHFAETISGASTIRSFDQVSRFQKTNMKLMDGYSRPKFNNAAAMEWLCFRLDILSSITFAFCLIFLISIPHGFIDSGIAGLAVTYGLNLNITQSWMIWELCSMETKIISVERILQYTSIPSEPPLVVEKTGLMILGHHVAGLIFTTCRYVTLHICHLCCMALHAHSMEDLKLELLGEQEVANQLSYKHYSELLSLLLGKL >Vigun02g153000.1.v1.2 pep primary_assembly:ASM411807v1:2:29945731:29952599:1 gene:Vigun02g153000.v1.2 transcript:Vigun02g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFARTIANSLRLDDDDDIDDDNGGNLKSPPQKPESEPVQPDSASTPSPTARGVKEDFDELTKSFSRQIWGVASFLAPPPDPQPRSPDADLNSSDEDIIAGIRNDFAEIGGKFKSGISKISGNKTVSEFTKIASSFLQLGSEEEYDLDGDVGVTEDVVAFARSVALHPETWLDFPLPDDSDSDDFDLSDAQHEHALAVEHMAPSLAALRMELCPGYMSDGNFWKIYFVLLHPRLSKSDADILSTPQIVEARAMLTQALDKRGKEKKESDLSAGGDIPPKEEEQHLLVPNNPPIESPPLQASVVEAVPSEVEMEKNATQSDAPQIIVKSVVKEEPVKPSAEQPASGSTNRFLDETYEDDADDWLKEEDSSEMVGPSGTYIETGNDEDVSFSDLEEDDIDVHESHKKTRSGSDSSTKDSRDWVQLGRSSPSSDKDRFSVESKHAGSEHSSSRNSVTKESSDWLNVDDIDVI >Vigun08g108100.2.v1.2 pep primary_assembly:ASM411807v1:8:26902566:26905219:-1 gene:Vigun08g108100.v1.2 transcript:Vigun08g108100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIQMDRCRTAASLPSIEEKQTEDPGYCVRLDGENKGLDKGNMSETDDYQNLFGLMKQRFMSFKNQKYIKELEHFQALAEAQSPKFMVIACADSRVCPSNILGFQPGDAFMIRNIANLVPPMKSGPSECNAALQFAVTTLQVENILVIGHSSCAGIETLMNMQDDTKSRNFVHKWVANGELAKLKTTAATAHLSFDQQCRFCEKESINQSLLNLLSYPWIEDRVRRELLSLHGGYYNFNNCSFEKWTLDFERCNVKEEGSSYVVKEQDFWC >Vigun08g108100.1.v1.2 pep primary_assembly:ASM411807v1:8:26902566:26905219:-1 gene:Vigun08g108100.v1.2 transcript:Vigun08g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPIRSRISSLLSANSPLVASHVYESWWFSRFSGPPTSSLTRPWPKFMDWIQMDRCRTAASLPSIEEKQTEDPGYCVRLDGENKGLDKGNMSETDDYQNLFGLMKQRFMSFKNQKYIKELEHFQALAEAQSPKFMVIACADSRVCPSNILGFQPGDAFMIRNIANLVPPMKSGPSECNAALQFAVTTLQVENILVIGHSSCAGIETLMNMQDDTKSRNFVHKWVANGELAKLKTTAATAHLSFDQQCRFCEKESINQSLLNLLSYPWIEDRVRRELLSLHGGYYNFNNCSFEKWTLDFERCNVKEEGSSYVVKEQDFWC >Vigun08g108100.3.v1.2 pep primary_assembly:ASM411807v1:8:26902566:26904866:-1 gene:Vigun08g108100.v1.2 transcript:Vigun08g108100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIQMDRCRTAASLPSIEEKQTEDPGYCVRLDGENKGLDKGNMSETDDYQNLFGLMKQRFMSFKNQKYIKELEHFQALAEAQSPKFMVIACADSRVCPSNILGFQPGDAFMIRNIANLVPPMKSGPSECNAALQFAVTTLQVENILVIGHSSCAGIETLMNMQDDTKSRNFVHKWVANGELAKLKTTAATAHLSFDQQCRFCEKESINQSLLNLLSYPWIEDRVRRELLSLHGGYYNFNNCSFEKWTLDFERCNVKEEGSSYVVKEQDFWC >Vigun05g132200.1.v1.2 pep primary_assembly:ASM411807v1:5:15439417:15441602:1 gene:Vigun05g132200.v1.2 transcript:Vigun05g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIQGYLLLFFLWLISTIFIRLIFKKSQTMKLPPGPPISIPLLGHAPYLRSVLHQALYKLSLRYGPLIHIRIGYKNIVVASSAETAKEILKTSEEAFCNRPLMIASESLTYGAADYFFIPYGTYWRFLKKLCMTELLSGKTLEHFVGIRESEVEAFLKRMLEISATGKEVVMRQELIAHTNNIITRMIMGKKSSGANDFVAQLRKVVREVAELLGAFNLGDILRLAKPFDLQGYGKKNMETHRKVDAMMEKVLKEHEEARAKAGADSDRKKDLFDILLNLIEADGADNKLTRESAKAFALDMFIAGTNGPASVLEWSLAELVRNPAVLKKAREEIECVVGKERLVKESDIPNLPYLQAVVKETLRMHPPTPIFAREAMRTCQVDGYDIPAHSTIMISTWAIGRDPKYWDNALEYNPERFLVSDEVGKGKIDVRGQYYQLLPFGSGRRSCPGASLALLVMQATLASLIQCFDWVVNDGKSHDIDMTEEGRVTVFLAKPLTCKPVPRFTPFSP >Vigun05g146350.1.v1.2 pep primary_assembly:ASM411807v1:5:20217243:20217896:1 gene:Vigun05g146350.v1.2 transcript:Vigun05g146350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINMRQDLKKLFDMIIETYRAQRGSKVSKSKLSNIKWTPIKCPKQSNGHDCGYYICCYMKEIVTYCEVGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKCL >Vigun10g135525.1.v1.2 pep primary_assembly:ASM411807v1:10:34719765:34745701:-1 gene:Vigun10g135525.v1.2 transcript:Vigun10g135525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLKIVDPVIEFVRDHGINQLTYIFCYTKYFEELNKRVKRLGEEKERLDRQRDKAKRKGDIVEDRVEEWFEEVGEFEIRVEKYMNNAGHKKTRGLYYLFPYYRHKLGRQAKKMEPEALRLKDECPKDDEVSHAENVTSFDLTSSYSGYIEFDSRKSIVDDIMTKLKDPNMKIIGLHGAQGMGKSTLIKKIANKAKDEGLFDRVAEIDVTEYPNPLTIQADIAHVLGLPLAGESENVRADYLRRWLKIENVSILIILDNLHERLDLNRLGIPVDDDYDLRKKNELSLSNQETAGGKKGTSGGAQSTGAKVLKKTNFLGDYKGCKVLLSSRDKNVFRDKVDVESNFCLKELDGNDALMLFEKVIGGGNKMSMPKEEIQNYCTGLPMRIVTLAVAFKNWIESESKPTLDKFKKQGLIEWQKSSETPNKKKYDIPKNKELKFIYLLCAQMGHLPLVNDLVKYCFGLGIFEGVSSLSAAREKINESLQELKHLGLVSYENPNIHFHMSYMVRDDALSNALMDHNVFAFRDGKLDYWPNLEKCISISICNSYITDGFPQVINCPQLQFLQIETIDPSLEIPQRFFSSMKNLLVLILTGFHVSSLPYSIKDLLNLRMLCLERCTLDCNLSVLRMFKKLRILSFSGSQLKNLPVELRYLDKLRMLDISDCFKLKIIPPDIFSNLTCLEDLYIRKSLIKMLVEERENKGHNSFLSELKNLHQLKVVDLSIPCVSILPNHLFFDGLKDYKIEIGDFEMFSVGEFRMPNKYEELKVLALQVKDDTDIHSHKGIKLLFKTAQSLLLGKGCVQKVVNELNIDGFQNLKHLCIINNNDVEYVNSTDLSNYVNIFPNLESLCLCSMMNLKMICRGPIILESFAKLKTIKVEMCYRLENLFSFYAIKISTSTGTSEIFKCNSNMKKFLANLEMIEVCECESLKEILQIPPDCGEVCECESLKEILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHSTEAQTTNRSHTEISAEQDCHSDNAPPLFGEPVEVPNLENLNLSSLNIRKIWSDQHLSSFYFQNLIKLVVKDCDKLTHLCSLPMASSLKKLKSLVISGCLKMKKIFEIEGISANKVCVFPKLEEIHLSKMKRLTDMWQTEVGIDSFSSLISVRIEECDELDKIFPSHMEGWFESLINLKVSKCKSVKEIFEINDSQEIDASGGIDTNLQVILLEELPKLKELWSKDPDGILNFKKLRTIDVSKCDELRNLFPVSMVKDVSKLERMSILHCERMVEIVSNKDASEANNDPLEFPELTFVRLYELPNMKQFYKGRHPIKCPKLKELSMGKCMKLKTFPQETSDEKFVFSTEAVFPNLEYMETDFEEAQNWFSKYQMQNLKELIILNSVQRPDLLYPFLYKMPNLEKLKLTSSFSESLETLPSTNNWQQDRLGVVLQLKQLLICSSNIKDIGFERDQVLERLELLRLKDCDNLSNLGPSSVSLNYLTCLKLVRCDGLKNLVASSTAKTMVQLKTMKVIDCRKVEQIVSNDGSEEGKGIKIVFSKLISIELVGLMNMTSFCGYKNCEFEFPLLEILIVRTCPKMEKFSERGLIAPKLKEVFGVEGDKKAKWQWEGDLNDTIQKVFHDKLSFTYTEDLFLKDDSIAQLWQASGWVQQNSFGYLKSLTVWRCDSVVHVIPSHLLSCFHNLEELQVVSCRNAEVIFSINDENRVITKASPIFRLKTLILANLGKLEHVWEKDPEGIMGLQALEEMKVIDCERLKSLFPASLATRDLTRLQVLEVEECAELTEIFKKDEKVEEGEEGTTQHSAFPSLTTLELKYLPSLEYSIHCSKQQESTSNLRDLSEGDIEELCLGSRSIPNSYFGLLESLTLDGCKVLSDVLLPFNLLPFLTNLETLEVRNLDSVKTIFDVKCTTQDREVAYMGQTLLKKLIVSKLPNLKNVWNEDPRGIISMSNLREVYVEECKTLSSVFPPRLAVEDVLLPFSLLPFLTNLETLQVRNSDSVKAIFDVKCSTQDRDVISVGQTLPFSLKKLVLSKLPNLKNVWNEDPREIISMSNLREVYVEECKTLSSVFPPRLAVEDVLLPFSLLPFLTNLETLQVRNSDSVKAIFDVKCSTQDRDVISVGQTLPFSLKKLVLSKLPNLKIVWNEDPQVILSLHHLQEVCVEECEGLTSVFPASKDKYILKLENLEVKDCKGLMTIFAEDPRTKLELTCPFVRSLELEGLPNFKYFYYSSPYTDIFTDLESHTENEVGTEKWMSVGENGMKMILRGELERKVLDSLKALTLCFGSDVFGCKILEEVRNIEKLVVCDGSFKEMFSCESPNNVLQHLKVLRLESLRKLVSIGLENSWTDSFVRNLETFEVISCESLKSLVLCGVCFSNLTCLKVENCKRLSHLFSSSTAKSLLQLKRMEIKGCESIKEVVSNKEESDEDEIIFPKLSCLNLEDLKNLRRFYGGSLSFPSLEEFSVRGCSQLMSLCTVVSGKLSEAIDLNSVTRKRFLKERASWYKSLEFRDRADLQEMWSLALQIPDFCFTNLKKLIVNECNISSDAVLPFTLLPLLPKLETLEVQNCDSVKTIFDVKCTTQDTTTSLKKLVLRKLPNLETIWNEDTDEIVTEPNPAHPEVTNPKLTFPTVTSFTLCDLPNFNHNTIYCINEAIATSELIIPNVEDLTVGKNELKMIVDGEFQTNLLHNLKVLGLSFDNECDEFPEYGFLQQLPNVEKLMVWSSSFKLIFCHQRPNNSELLLQLKELRLECLEKLVFIGLENPWTELFVSNLETFEVITCSSLENLATCTVSFTNLRCLKVENCDGLSYLFTSSTAKSLGRLERMEIKQCKSIEEILCGEKSDDEEDEIIFSQLSCLELASLPNLRRFYRGNLSFPSLEELSVTHCNDMVTLCPSTLKADKLTQVRIEYGEVISLDTDLNSTTRKEFGRKISKLQELKLKSRPKLPEIWHDPLYIPDLCFSKLVTLTVKNCQFLSDAVLPFHLLPLLPKLETLEVRKCDYVKTIFNLKRATKDTLVTLPPKKLTLSNLPNLENIWSEDPPGILIMHHLKEVYVKECKGLTSVFPASAAKDLVKLKDLVVDDCEGLKAIVGEESKEDEIIFPQLMYLKVQSCNSLPYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDEKVEIKFEQLQDLYLEKLDELRCFYDGNFTLNFPSLEEVHVIKCSSMKTFSAFNKIDNPWYYSEYARPRKITHLNSALHRTSEEEVEPLVADSNIAVMKKRLKA >Vigun09g044600.1.v1.2 pep primary_assembly:ASM411807v1:9:4166820:4168882:1 gene:Vigun09g044600.v1.2 transcript:Vigun09g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAHYDTQKSWKKLTWFKRTKDGTVTVASVFPGHQEAIQQRDHKFLRIAVEEAYKGVECGDGGPFGVIIVCNDEVVSCCHNMVLRNTDPTAHAEVTAIRKACENLNKIELSDCEIYASCEPCPMCFGAIHLSRVKRLVYGAKAEAAIAVGFDDFISDALRGTGFYQKAQLEIKRVDGKEGNIAEEVFEKTKEKFRMF >Vigun06g128900.2.v1.2 pep primary_assembly:ASM411807v1:6:25547376:25548509:-1 gene:Vigun06g128900.v1.2 transcript:Vigun06g128900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNINFITLFSITLVLCFAICIVHASDAEEATTEAPAEQATDTPAEKETESADPPSEEAESANAPSEDQPSEDSVAAEAPSEDLDSADPPSEGQEPEELVSEDLSIADAPAAESPNSEEQARFEEGFFGGGGGGQLKKAFSSFFAEIRMGSLRGSKTITMLNHFNRHDRDSVKEICSRTDYPDDCLSTVVPFLGNKFDLMSVLDAAIKACSFQTNYTISIVEKHMKSSSEMATALANCKEQYTNALQSLQQALTALTSHDLGTVTVMLSSVMADVSACESGFEDLKLARTRAEGMVSVTVSNCLSIASMIPS >Vigun07g272500.1.v1.2 pep primary_assembly:ASM411807v1:7:38800145:38805560:1 gene:Vigun07g272500.v1.2 transcript:Vigun07g272500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEDNRIFVGGLSWDVTERQLEHAFDRYGKILECQIMMERDTGRPRGFGFITFADRRGMEDAIKEMHGREIGDRIISVNKAQPKMGGDDIDQGYRGSSYSSGGRGSYGAGDRIGQDDCFKCGRPGHWARDCPLAGAGGGGGRGRGGSSFSSHPRFGGGGGGHGDRLGGERDRYVDDRYDGGRYGDRDRFDNRDYKYGSRDRYTSDRYPSSGDRFASDRYGSGSDHYPQNGYGKERGYERYGGPRGGADRYGSGIASGRDEGRSYRGRPGPYDRPSRGSRPSLDRY >Vigun01g213000.1.v1.2 pep primary_assembly:ASM411807v1:1:38727784:38729717:-1 gene:Vigun01g213000.v1.2 transcript:Vigun01g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTKTVRFGILGCAKIARKVARAIDLAPNATLCAVASRSKEKAERFAAENGFPASVRIYGSYDQVLEDPGVDAVYVPLPTSLHVQWAVMAAGRKKHVLVEKPAALDVAELDRILAAVESNGVQFMDGSMWLHHPRTAHIQHLCFVPSSANCIGPIHFIHSTSTMPATPEFLASDIRVKPELDGLGVLGDLAWYCIGASLWAKGYQLPITVTALPDVKRNSAGVILSITVSLLWEKPNQTLATIHCSFLSHSSMDLVICGSDGSVHLRDFIIPYQETSASFDLTFGAKFVDLHIGWNVKPEEVPVVNELPQEALMVQEFSRLVAGIRDCGSQPSTKWPEISRKTQLVVDAVNKSLELGCKPVSL >Vigun04g192401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41660280:41662709:-1 gene:Vigun04g192401.v1.2 transcript:Vigun04g192401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFEKLASTQFADFFRGRKLDEKLLGNLNIMLHSINALAHDAEQKQFTDPHIKAWLFSVKEAVFDAEDILDEIDYEITRCKVEAESEPQTFTYKVSNFFTSTFSSFNKKIDSGLKEVLEKLEYLAKQKGALGLKEGTYSDDRSRSKVSQKLPSSSLVVETVIFGRDADKEMIFNWLTSETDNHNHPSILSIVGMGGLVKTTLVQHVYNDPKMEETKFEIRAWVCVSDHFDVLTVTKTILEAITKSKDDSRDLEMVHGRLKEKVSGKRFLLVLDDVWNERREEWEAVQTPLSYGASGSRILVTTRGEKVASNMMSEVHSSTFSLFLISAEEKGMKTSLFHFHGKLIRFI >Vigun11g215300.1.v1.2 pep primary_assembly:ASM411807v1:11:40945712:40951109:1 gene:Vigun11g215300.v1.2 transcript:Vigun11g215300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLQFAPMQSSVDEGFWHRLSSLKLNKLGIDDSPIHIFGFYAPCSHSQVSNHLTVLSESLPSELSEASLIPEPSRGNRNRCSVPGILYNTNTVESFHALDKNDLLKKEAAKIWDDILTGKAVEDCSVLSRFLIISFADLKKWTFNYWFAFPALMLDPPATVVNLKPASQWFSAAEAESLSAACNEWRSSKSTADVPFFLVTIDQNSCATVRLLKDWEDCQGNGNKIFFGFYDPCHLPKNPGWPLRNFLALISVRWNLNSIQFFCYRENRGFADMKLSLVGEALITVPQGWKDTVPSAVGWELNKGRKASRCISLAQSMDPTRLAISAADLNLKLMRWRALPSLNLNALSSMKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDNCLNGGEFKATAAVESLKRIFPAVDAEGIVMAIPMPGHPIQSQEHNSVLDDCKRLHDLIEAHDSVFLLTDTRESRWLPTLLCANTNKITITAALGFDSFLVMRHGAGPLSQDHKLNAEIVNSSSVDMPVNDANGKHRLGCYFCSDVVAPTDSTSNRTLDQQCTVTRPGLAPVASALAVELLVGILHHPQGIFAVGDISNSVDRATEQPLGILPHQIRGSLSQFSQMTLIGYSSDSCTACSHTVVLEYRNQGMEFILQAINHPTYLEDLTGLTELMKSATSLDWDREIDEEDDDCVEI >Vigun03g426800.1.v1.2 pep primary_assembly:ASM411807v1:3:63192431:63196127:-1 gene:Vigun03g426800.v1.2 transcript:Vigun03g426800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLQIDPPELRFVFEPKKQSSSLVQLGNKTDHYIAFKVKTTSPKKYCVRPNIGIIKPNEKCDFTVTMQAQRDAPPDMQCKDKFLIQSTVAPFGATENDITYNMFAKDSGRLIEEKKLRVVLISPSSSPVLLPVNGDMKHDPSNEIDVQKDRVLSGVENIPPRTISEEVKGLEPIKDTKEDRADEDVVPIQTENVGDMKAAKDDVQLNLDNESEELKSKLGIMDSKLREATVTITKLNEERRRNTEEKDLLKKELELLKREINRKRTQGGFPFLFVCMVALISVAVGYYMHP >Vigun03g426800.2.v1.2 pep primary_assembly:ASM411807v1:3:63192431:63196127:-1 gene:Vigun03g426800.v1.2 transcript:Vigun03g426800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLQIDPPELRFVFEPKKQSSSLVQLGNKTDHYIAFKVKTTSPKKYCVRPNIGIIKPNEKCDFTVTMQAQRDAPPDMQCKDKFLIQSTVAPFGATENDITYNMFAKDSGRLIEEKKLRVVLISPSSSPVLLPVNGDMKHDPSNEIDVQKDRVLSGVENIPPRTISEEVKGLEPIKDTKEDRADEDVVPIQTENVGDMKAAKDDVQLNLDNESEELKSKLGIMDSKLRENLCRPR >Vigun01g196300.1.v1.2 pep primary_assembly:ASM411807v1:1:37320761:37325099:-1 gene:Vigun01g196300.v1.2 transcript:Vigun01g196300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSPLVAVFLLLFLLRYTCEAREAFACDPKNAATKNLPFCKVSVAISERVKDLIGRLTLEEKVRLLVNNAAAVPRLGMKGYEWWSEALHGVSNVGPGVKFNAEFPGSTSFPQVITTAASFNVSLWESIGRVVSDEARAMYNGGTAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGIYAASYVRGLQGNHPNRLKVAACCKHFTAYDLDNWNGMDRFHFNAQVSKQDIEDTFDVPFRMCVSEGKVASVMCSYNQVNGVPTCADPNLLKNTLRGLWQLDGYIVSDCDSVGVFYSNQHYAPTQKKLLLMPLKQVSLDLDCGPFLAVHTQDAVKKGLLSEADVDGALVNTLTVQMRLGMFDGEPSAQEYGKLGPNDVCKPAHQQLALEAATQGIVLLKNTGPVLPLSQHRHRTVAVIGPNSKATVTMIGNYAGIACGYTSPLQGIGRYARTIHQLGCENVACKNDNLFGPAINAARQADATVLVMGLDQSIEAETVDRTGLLLPGRQQDLVSKVAAASKGPTILVLMSGGPVDITFAKNNPRIVGILWAGYPGQAGGAAIADIVFGTSSPGGKLPMTWYPEEYLRKLPMTKMAMRGSESAGYPGRTYRFYKGEVVYPFGHGLTYTHFVHTLASAPTVVSVPVNGHRRSNGSDISNRAIRVTHARCDNLFITLQVDVKNVGSRDGTHTLVVFSAPPAAGGHWALEKQLVAFHKVHVPAKAQHRVGVHIHVCKLLSIVDKSGIRRIPLGLHSFNIGDVKHSVSLQAAALGIIKS >Vigun03g045600.1.v1.2 pep primary_assembly:ASM411807v1:3:3659216:3662643:1 gene:Vigun03g045600.v1.2 transcript:Vigun03g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAILVFCKVNGIDFEEIKVDLSKRQHLSPEFQAVNPLRKVPAIVDGRFKLFESHAILMYLASAFPGVADHWYPADLSRRARIHSVLDWHHQNLRRGAAAFVLNTVLAPLLGLPLNQQAATEAEKILISSLTKIENVWLKGNGKYLLGGLRPSIADLSLVCEIMQLELLNGKDRDRILGPHKKVQQWIESTRNATRPHFDEVHKILYKLKTKLSEKQSNQADSVMESRIRTPLTSKM >Vigun11g081400.1.v1.2 pep primary_assembly:ASM411807v1:11:24046366:24058630:-1 gene:Vigun11g081400.v1.2 transcript:Vigun11g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTITEHESSSSTSQVLNYTPTTTTSDEEEEEEEEKEHGEDNENDSAGMGWWSSAACDGAGRRALGKVLDPREKWVQEWNRVFLLVCGAGLFVDPLFFYALSVSDSCMCVFVDGWLAVTVTVLRCMTDALHLWNMVIRMKMAKRAFGLGAAAGLRETRPRTVALGYLKSRTGFVFDLFVILPLPQIVLWVAIPSLLEKGSVTLVMTVFLIIFLFQYLPKIYHSVCHLRRTQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRAAQCLKVQCGKTSGCSTNILSCQSPVYYGSKSLLVRDRARLAWAENRDVWHTCLKGPDSYNYGAYRWTVQLVTNDNRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRRLPQGFRQRVRNYERQRWAAMRGVDECEMTRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLIFTKGETIAREGDPVQRMLFVVRGHLQSSQVLRDGVQSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLITLETTEAFGLEAEDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRSSSMGEDRLRLYTALLTSPKPNQDDFDF >Vigun02g020600.1.v1.2 pep primary_assembly:ASM411807v1:2:7259964:7268563:1 gene:Vigun02g020600.v1.2 transcript:Vigun02g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPGTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNQESGVVEPHLFPMLANWKRERTMEDILLQLKKEMMSPQNRKLTQPPEGNEEARIDQKGLVVRCCIV >Vigun04g123400.5.v1.2 pep primary_assembly:ASM411807v1:4:31461640:31464816:-1 gene:Vigun04g123400.v1.2 transcript:Vigun04g123400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNDLEKKWSEMLKNVTAPNIDEIDKQCIYRVPTNIRKHNPKAYTPQIVSIGPYHHNGIKPTGLKLKYVKAFLDRKKLSEKVLFAKIKEICKNTNSIRSCYAETIECNDVDLLTMIFVDAFFIIELFLRWREPHVWEEKDHIMLKPWMRLGIKHDLMLLENQLPFSVLEQLYNLTNEPLPNTNEPLLGTTNESFRGTTNESLRVTPSFFKMCVNCIGTGTNCFNCMRTKEPLIGTNETLTGTNEPNFRQICFNCLKSTSFESECPAESPKHFTDLLRSSIISLSKIDHGNQNEREQDIKHVYSASQLMEAGLEFKVSPNKSFLDLELSEDDRVLSMPILNINASTQLYFKNMIAYERSHHSATKIITQYVAILYFLIKTEKDLNILVDKKIIVNWSGDAENVVTIINNLGSSMSMPDFIPYYCSICTRLNKFYENPLNKYKAIFIHDYFNTPWKKASTIAAIVLLFLTFIQTVCSIMSVVQSN >Vigun04g123400.3.v1.2 pep primary_assembly:ASM411807v1:4:31461640:31464816:-1 gene:Vigun04g123400.v1.2 transcript:Vigun04g123400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNDLEKKWSEMLKNVTAPNIDEIDKQCIYRVPTNIRKHNPKAYTPQIVSIGPYHHNGIKPTGLKLKYVKAFLDRKKLSEKVLFAKIKEICKNTNSIRSCYAETIECNDVDLLTMIFVDAFFIIELFLRWREPHVWEEKDHIMLKPWMRLGIKHDLMLLENQLPFSVLEQLYNLTNEPLPNTNEPLLGTTNESFRGTTNESLRVTPSFFKMCVNCIGTGTNCFNCMRTKEPLIGTNETLTGTNEPNFRQICFNCLKSTSFESECPAESPKHFTDLLRSSIISLSKIDHGNQNEREQDIKHVYSASQLMEAGLEFKVSPNKSFLDLELSEDDRVLSMPILNINASTQLYFKNMIAYERSHHSATKIITQYVAILYFLIKTEKDLNILVDKKIIVNWSGDAENVVTIINNLGSSMSMPDFIPYYCSICTRLNKFYENPLNKYKAIFIHDYFNTPWKKASTIAAIVLLFLTFIQTVCSIMSVVQSN >Vigun04g123400.2.v1.2 pep primary_assembly:ASM411807v1:4:31461609:31464883:-1 gene:Vigun04g123400.v1.2 transcript:Vigun04g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNDLEKKWSEMLKNVTAPNIDEIDKQCIYRVPTNIRKHNPKAYTPQIVSIGPYHHNGIKPTGLKLKYVKAFLDRKKLSEKVLFAKIKEICKNTNSIRSCYAETIECNDVDLLTMIFVDAFFIIELFLRWREPHVWEEKDHIMLKPWMRLGIKHDLMLLENQLPFSVLEQLYNLTNEPLPNTNEPLLGTTNESFRGTTNESLRVTPSFFKMCVNCIGTGTNCFNCMRTKEPLIGTNETLTGTNEPNFRQICFNCLKSTSFESECPAESPKHFTDLLRSSIISLSKIDHGNQNEREQDIKHVYSASQLMEAGLEFKVSPNKSFLDLELSEDDRVLSMPILNINASTQLYFKNMIAYERSHHSATKIITQYVAILYFLIKTEKDLNILVDKKIIVNWSGDAENVVTIINNLGSSMSMPDFIPYYCSICTRLNKFYENPLNKYKAIFIHDYFNTPWKKASTIAAIVLLFLTFIQTVCSIMSVVQSN >Vigun04g123400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31461617:31464811:-1 gene:Vigun04g123400.v1.2 transcript:Vigun04g123400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSCNQMEEQLNDLEKKWSEMLKNVTAPNIDEIDKQCIYRVPTNIRKHNPKAYTPQIVSIGPYHHNGIKPTGLKLKYVKAFLDRKKLSEKVLFAKIKEICKNTNSIRSCYAETIECNDVDLLTMIFVDAFFIIELFLRWREPHVWEEKDHIMLKPWMRLGIKHDLMLLENQLPFSVLEQLYNLTNEPLPNTNEPLLGTTNESFRGTTNESLRVTPSFFKMCVNCIGTGTNCFNCMRTKEPLIGTNETLTGTNEPNFRQICFNCLKSTSFESECPAESPKHFTDLLRSSIISLSKIDHGNQNEREQDIKHVYSASQLMEAGLEFKVSPNKSFLDLELSEDDRVLSMPILNINASTQLYFKNMIAYERSHHSATKIITQYVAILYFLIKTEKDLNILVDKKIIVNWSGDAENVVTIINNLGSSMSMPDFIPYYCSICTRLNKFYENPLNKYKAIFIHDYFNTPWKKASTIAAIVLLFLTFIQTVCSIMSVVQSKK >Vigun09g055200.2.v1.2 pep primary_assembly:ASM411807v1:9:5515792:5528220:-1 gene:Vigun09g055200.v1.2 transcript:Vigun09g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSGEGSERGEDKGIFQYFGWVYHIGVNSVGREYCHLRFLLVRGKCVAMYKRDPHQNPDIKPIRQGVIGATLVVEDLGCRKVNNGDLYVVRFYSRQEEGRKGEIACATAEEAQRWMEAFLHARQQAEHDLLTESDSRDKLMHEMEIDLQGNRHKMKRYASGLKKLKKIGQGPETLLRLSSKFFGNSDGFEGETGDVFDIHQWKCVRTMSGIRIFEDVSDHKSGKGALVKSVGVIDAPADSVFEVFLNTERQKRYEWDMLMGDLELVETYDGHYDVVYGTYDPKYLTRWHSKRDFIFSRQWFRAQDGTYTILQFPSIHKKKPPRSGYRRTKINPSTWEIRNLNTPMGSDRPRCLVSHTLEINSASWYRWKNSQQCTKFEKSVPYALLCQVAGLKEYIGANPIMLHHGVRSHSSHPSLTSSDFADQEIQDEFYDAIAAESISSDDDTDDDKGSDQDHKVKLKNVSWAMSNMALKIGAAPNASKELDLDASSITIDVSGMNGSLRRGENDKDTDCWTCPSGEGFMIRGKNYLKDNSKVTGGDPLLKLIAVDWLKVDKAADRIALHHRNLVQTEAGKSLPFILVFNLQVPAKPNYSLVLYYASDRPVNKDSLLAKFLDGDDAFRDTRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFKQDNFFEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEESELPEYLLGTVRLNRLKPESAMPLGA >Vigun09g055200.1.v1.2 pep primary_assembly:ASM411807v1:9:5515792:5528220:-1 gene:Vigun09g055200.v1.2 transcript:Vigun09g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSGEGSERGEDKGIFQYFGWVYHIGVNSVGREYCHLRFLLVRGKCVAMYKRDPHQNPDIKPIRQGVIGATLVVEDLGCRKVNNGDLYVVRFYSRQEEGRKGEIACATAEEAQRWMEAFLHARQQAEHDLLTESDSRDKLMHEMEYVIDLQGNRHKMKRYASGLKKLKKIGQGPETLLRLSSKFFGNSDGFEGETGDVFDIHQWKCVRTMSGIRIFEDVSDHKSGKGALVKSVGVIDAPADSVFEVFLNTERQKRYEWDMLMGDLELVETYDGHYDVVYGTYDPKYLTRWHSKRDFIFSRQWFRAQDGTYTILQFPSIHKKKPPRSGYRRTKINPSTWEIRNLNTPMGSDRPRCLVSHTLEINSASWYRWKNSQQCTKFEKSVPYALLCQVAGLKEYIGANPIMLHHGVRSHSSHPSLTSSDFADQEIQDEFYDAIAAESISSDDDTDDDKGSDQDHKVKLKNVSWAMSNMALKIGAAPNASKELDLDASSITIDVSGMNGSLRRGENDKDTDCWTCPSGEGFMIRGKNYLKDNSKVTGGDPLLKLIAVDWLKVDKAADRIALHHRNLVQTEAGKSLPFILVFNLQVPAKPNYSLVLYYASDRPVNKDSLLAKFLDGDDAFRDTRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFKQDNFFEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEESELPEYLLGTVRLNRLKPESAMPLGA >Vigun03g426400.1.v1.2 pep primary_assembly:ASM411807v1:3:63165806:63169439:1 gene:Vigun03g426400.v1.2 transcript:Vigun03g426400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKGASKRREEVKVESAEEEGAVQNGGNVKEGGEEEKNKPSKVERRRSSKPNPRLSNPPNHVHGEQVAAGWPSWLSKVAGEAINGLIPRRADTFEKLNKIGQGTYSNVYKAKDTLTGKIVALKKVRFDNLEPESVKFMAREILILRHLDHPNVVKLEGLVTSRMSCSLYLVFEYMDHDLAGLATSPTVKFTESQVKCYMHQLLSGLEHCHNRNVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNQRHPMTSRVVTLWYRPPELLLGATDYDVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPRQSYKRCIEETFKNFPASSLPLIETLLAIDPAQRKTATAALHSEFFTTKPYACEPSSLPKYPPSKEMDTKLRDEEARRLRAANKSNAGVKKSRPRGRGGRGIPIPDTNAELQANIDKWRLVTHANAKSKSEKFPPPHEDGSVGYPLGSSHHMDPIFDPSDDVPFSSTNLSYPKSNIQTWSGPLVETSVDAPPRRKKNMAGNGRTHSKNGSYR >Vigun07g177850.1.v1.2 pep primary_assembly:ASM411807v1:7:29391790:29394545:1 gene:Vigun07g177850.v1.2 transcript:Vigun07g177850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVDRLDLKMLVRFHMYIGPGPFEKIQRIVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLYTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLLCTNVVGRSPNTIRSIFENEDRWGTCIKNYKCLKVLADLNAEADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun01g194600.1.v1.2 pep primary_assembly:ASM411807v1:1:37193483:37198162:-1 gene:Vigun01g194600.v1.2 transcript:Vigun01g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEALFFSVASVVEDVLQQHGPRLKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVINKVQSGAVPKVVESPVDSASIPDGAPLTAYQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSSRIVNSVLALKSYCEWKMSGANGVWKFGGNLKPTVSAKSFVRKNSDPFTNSLSRTSSINDKHLTVLSSDVDSDKMSGSHSLSSLVRAILSDKKPEEVPSLVESVLSKVVEEFEQRIATQGEKAKVTSIDPVSQSNGSVVADKKGEKKIHVVTKKEDGIHKSQVNAMVSKKEDRNHKNLFADEESQRQLLKQKMLFDQQQREIQELRHTLHTTKSGMQFMQMKFREEFSNLGMHVHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFFPGQSNHLSAVENIDDGTLTVNIPSKNGKGRRSFNFNKIFGPSATQAEVFLDMQPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFLIADQRKDTFQYDVSVQMIEIYNEQVRDLLVTDGTNKRLEIRSSSQKGLSVPDASLVPVSSTIDVIELMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTTGAILRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAIGETISTLKFAERVATVELGAARVNKDSSDVKELKEQIASLKAALARKEGESEHSLCSSSEKYRTKGIELSPYQVPDTGDQLGCRRPMVEVGNIEVQSNTTVRHKTQSFDFDEISANSPPWPPVNNSLGQNYGRMTKNLVLENGLIRSWSTTSKM >Vigun03g322200.2.v1.2 pep primary_assembly:ASM411807v1:3:51790117:51799197:1 gene:Vigun03g322200.v1.2 transcript:Vigun03g322200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIEGVVWRWSNLKAPPTINVRVRNSRELIDMRTLYPTVAAPLSLSNFSLRTNFPVRCSNLHSQPQLDTRKLVLSVKEKLEKEHHSLPVGRNGRDDEDMILWFLKDRKFSVEDAISKLTKTIKWRQDFEVSKLTDETVKDAAETGKGYVHDFLDINDRPVLVVVGSKHIPMAVDPADDERLCVFLIEKALSKLPTGKEKILGIVDLRGFRTENADLKFLTFLFDVFYYYYPKRLDQVLFVDAPFVFKPIWQLAKPLLKSYTSLVRFCSAETVRKEYFTEETLPPNFRD >Vigun08g182400.1.v1.2 pep primary_assembly:ASM411807v1:8:35199011:35201202:-1 gene:Vigun08g182400.v1.2 transcript:Vigun08g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDEPEVLERGSKDEKHKEDEKEEGKGGFIEKVKDFIHDIGEKIEGAIGFGKPSADVTAIHIPSINLHKADLVVDVLIKNPNPVPIPLIDIDYLVESDGRKLVSGLIPDAGTIHAHGEQTVKIPLTLIYDDIKQTYDDIKPGSIIPYRVKVSLIFDVPILGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSFEETIASLHLKLENKNDFDLGLNALDYEVWLGDVSIGGAELTKSAKIEKSGITYIDIPITFRPKDFGSALWDMIRGRGTGYTMKGNIDVDTPFGAMKLPISKEGGTTKLKKKKEDRDYDDDDDDDED >Vigun05g217300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40917516:40919096:1 gene:Vigun05g217300.v1.2 transcript:Vigun05g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSSLFAFVLITTILLATTWQAVARRHTKLKYSYKGDKKQPQFFFPSDGYSPGFGRLGFPPFFGFTPQNPNIGGGGGEVEPAPVSGGGYVPGGDDTFVPNPGFEVPNPRNGGGVPVPVPVNP >Vigun05g079700.1.v1.2 pep primary_assembly:ASM411807v1:5:7507600:7511740:1 gene:Vigun05g079700.v1.2 transcript:Vigun05g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMSSGVTVIGAEAPSAYHMAPRSEAPSQGGPALPEAPSAAIGVSPMSVSLDGTSVKKKRGRPRKYGPDGLVNMALSPMPISSSAPFSNNFSSGKRGRPRGMDYKLPKKVGVDYLGGSDGTSFMPHIITVNSGEDIAMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRAGGMSVSLSSPDGRVVGGGVAGLLVAAGPVQVVVGSFLPCNQQDQKPKKPKSDYAPPTVTPAIAVSSAPPPPSTNGGEKEIVMGGHILHNSGATLNSNLSPPSAFRRENWVNMHSMTDSMKSATDINISLPDS >Vigun05g079700.4.v1.2 pep primary_assembly:ASM411807v1:5:7506984:7511672:1 gene:Vigun05g079700.v1.2 transcript:Vigun05g079700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMSSGVTVIGAEAPSAYHMAPRSEAPSQGGPALPEAPSAAIGVSPMSVSLDGTSVKKKRGRPRKYGPDGLVNMALSPMPISSSAPFSNNFSSGKRGRPRGMDYKLPKKVGVDYLGGSDGTSFMPHIITVNSGEDIAMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRAGGMSVSLSSPDGRVVGGGVAGLLVAAGPVQVVVGSFLPCNQQDQKPKKPKSDYAPPTVTPAIAVSSAPPPPSTNGGEKEIVMGGHILHNSGATLNSNLSPPSAFRRENWVNMHSMTDSMKSATDINISLPDS >Vigun05g079700.5.v1.2 pep primary_assembly:ASM411807v1:5:7506984:7511740:1 gene:Vigun05g079700.v1.2 transcript:Vigun05g079700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMSSGVTVIGAEAPSAYHMAPRSEAPSQGGPALPEAPSAAIGVSPMSVSLDGTSVKKKRGRPRKYGPDGLVNMALSPMPISSSAPFSNNFSSGKRGRPRGMDYKLPKKVGVDYLGGSDGTSFMPHIITVNSGEDIAMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRAGGMSVSLSSPDGRVVGGGVAGLLVAAGPVQVVVGSFLPCNQQDQKPKKPKSDYAPPTVTPAIAVSSAPPPPSTNGGEKEIVMGGHILHNSGATLNSNLSPPSAFRRENWVNMHSMTDSMKSATDINISLPDS >Vigun05g079700.6.v1.2 pep primary_assembly:ASM411807v1:5:7506984:7511666:1 gene:Vigun05g079700.v1.2 transcript:Vigun05g079700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGMSSGVTVIGAEAPSAYHMAPRSEAPSQGGPALPEAPSAAIGVSPMSVSLDGTSVKKKRGRPRKYGPDGLVNMALSPMPISSSAPFSNNFSSGKRGRPRGMDYKLPKKVGVDYLGGSDGTSFMPHIITVNSGEDIAMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTENQGTRSRAGGMSVSLSSPDGRVVGGGVAGLLVAAGPVQVVVGSFLPCNQQDQKPKKPKSDYAPPTVTPAIAVSSAPPPPSTNGGEKEIVMGGHILHNSGATLNSNLSPPSAFRRENWVNMHSMTDSMKSATDINISLPDS >Vigun03g413000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62031100:62032122:-1 gene:Vigun03g413000.v1.2 transcript:Vigun03g413000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKTREFEQFDVVVDDSGHRFRDTKVVKVFSDTRSGLYKRIMKEWKILENNLPESIYVKVYERRIDLMRAVIVGAAGTPYHDGLFFFDILFPSDYPNRPPKLYYHSFGYRLNPNLYNNGTVCLSLLNTWSGKKTERWDPGNSTILQVLLSIQALVLNEKPFFNEPGVDGFASLIMMNLEKKSRLYNEGAYFRTCKTSYQLLRRPPRNFEDFVKSHFRERGDHIIKATREYTSGRVRIGYYSCQSAPSSSSGVPVSWEFKRWMKDFSPDFFHALRRNAGSLSNSGGAGFSCMVDYFFVRSDSADEDRTDGEESPNKGFFKTVMDRIKKSFGLKKTAKKE >VigunL085201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:114104:114451:1 gene:VigunL085201.v1.2 transcript:VigunL085201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIIYAHKHMSKPIIYAHKHMSKPVSSTTPLSSISPLVLSTKPNHTSFHHLTATCIHTHYHYPPASPSFTIHQYFHCESVAISPSYAEPISYSSSPSPCKKLPNQTRTQTHKTT >Vigun01g232000.1.v1.2 pep primary_assembly:ASM411807v1:1:40423433:40424485:-1 gene:Vigun01g232000.v1.2 transcript:Vigun01g232000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVEVKVGLHCDDCIKKILKAIKKIEDIETYNVDTKLNKVIVTGNVTTEKVIRVLQKIGKNAIAWEDDQSDN >Vigun02g140900.4.v1.2 pep primary_assembly:ASM411807v1:2:28960276:28964780:1 gene:Vigun02g140900.v1.2 transcript:Vigun02g140900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELIGRLREFLRSSDLNTTTTATVRRQLEADFGIDLSHRKAFIREQVDLFLQTEHNQPQQQPEQDDDVPKDEEEEDAPNNPEQSEPSDSKEESEEDDDEEERDKPKHAKNAKKNKGRSNKLGDEVAKKRGGGFCKLCSLSPQLQEFMGAPEMARTEVVKQIWAYIREKNLQDPNNRRNIICDDRMRALFNVNSINMFQMNKALSKHIWPLDSDDVVQVKSTPKEKQKKQERDDDSDEEPKRKEKRQKGAGKSGFLAPLQLSDALVNFLGTGESELSRTDVIKRMWDYIKGNNLQMLTVLFPYPVTTPTFEDPSNKRQIICDEKLKELFDVDSFNGFTVTKLLAPHFIKTQQ >Vigun02g140900.3.v1.2 pep primary_assembly:ASM411807v1:2:28960236:28964793:1 gene:Vigun02g140900.v1.2 transcript:Vigun02g140900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELIGRLREFLRSSDLNTTTTATVRRQLEADFGIDLSHRKAFIREQVDLFLQTEHNQPQQQPEQDDDVPKDEEEEDAPNNPEQSEPSDSKEESEEDDDEEERDKPKHAKNAKKNKGRSNKLGDEVAKKRGGGFCKLCSLSPQLQEFMGAPEMARTEVVKQIWAYIREKNLQDPNNRRNIICDDRMRALFNVNSINMFQMNKALSKHIWPLDSDDVVQVKSTPKEKQKKQERDDDSDEEPKRKEKRQKGAGKSGFLAPLQLSDALVNFLGTGESELSRTDVIKRMWDYIKGNNLQDPSNKRQIICDEKLKELFDVDSFNGFTVTKLLAPHFIKTQQ >Vigun02g140900.2.v1.2 pep primary_assembly:ASM411807v1:2:28960276:28964807:1 gene:Vigun02g140900.v1.2 transcript:Vigun02g140900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELIGRLREFLRSSDLNTTTTATVRRQLEADFGIDLSHRKAFIREQVDLFLQTEHNQPQQQPEQDDDVPKDEEEEDAPNNPEQSEPSDSKEESEEDDDEEERDKPKHAKNAKKNKGRSNKLGDEVAKKRGGGFCKLCSLSPQLQEFMGAPEMARTEVVKQIWAYIREKNLQDPNNRRNIICDDRMRALFNVNSINMFQMNKALSKHIWPLDSDDVVQVKSTPKEKQKKQERDDDSDEEPKRKEKRQKGAGKSGFLAPLQLSDALVNFLGTGESELSRTDVIKRMWDYIKGNNLQMLTVLFPYPVTTPTFEVSFQPLLTEKIGAI >Vigun02g140900.1.v1.2 pep primary_assembly:ASM411807v1:2:28956846:28964772:1 gene:Vigun02g140900.v1.2 transcript:Vigun02g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESELIGRLREFLRSSDLNTTTTATVRRQLEADFGIDLSHRKAFIREQVDLFLQTEHNQPQQQPEQDDDVPKDEEEEDAPNNPEQSEPSDSKEESEEDDDEEERDKPKHAKNAKKNKGRSNKLGDEVAKKRGGGFCKLCSLSPQLQEFMGAPEMARTEVVKQIWAYIREKNLQDPNNRRNIICDDRMRALFNVNSINMFQMNKALSKHIWPLDSDDVVQVKSTPKEKQKKQERDDDSDEEPKRKEKRQKGAGKSGFLAPLQLSDALVNFLGTGESELSRTDVIKRMWDYIKGNNLQDPSNKRQIICDEKLKELFDVDSFNGFTVTKLLAPHFIKTQQ >Vigun01g186400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36658818:36659399:1 gene:Vigun01g186400.v1.2 transcript:Vigun01g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFWSIWAMLMMAMLACNCSLALASSSSSQQSMQVSTISAAAPESDPVVSPTQSPDTEPLFPTPVAGGVSHSPSYSSLPTIPSSPSPPNPDATVFNLLPSQASAFQLCSMSCFILPVIYTMWLYALVLIH >Vigun05g115600.1.v1.2 pep primary_assembly:ASM411807v1:5:12255513:12257694:-1 gene:Vigun05g115600.v1.2 transcript:Vigun05g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKQSGIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHICSRKQDDIDKCVEEWKKKGFNVTGSVCDVQHSHQRQNLMETVSEIFHGKLDILVNNAAMELTKDILDHTAEDVSTIMAINFESVFHLTQLAHPLLKQSGYGSIVFISSIAGAKAIPSLSTYSAAKGAMDIFTKNVALEWAKDNIRANAVAPGPIWTPLLESIREKTGAEEYDGNVTSKIPCGRIGETKEVSPLVAFLCLPAASYITGQILYVDGGFTS >Vigun08g037900.2.v1.2 pep primary_assembly:ASM411807v1:8:3650195:3656343:-1 gene:Vigun08g037900.v1.2 transcript:Vigun08g037900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHDGSSSNNLNSGDPIRNRNEGNLGWYKTEEEDTKHSHGSGKWMSSKMRLMKKITSPTSDRFNPRNNTTRVCSDCNTTTTPLWRSGPKGPKSLCNACGIRQRKARRAMAEASSNPINSVCAKTRVYNKEKNKYKNKYKSTTTTTASSAGSSEGVRKIECFKDFAISLSSKKSCFQQVFPRDEVAEAAMLLMELSCGFLHS >Vigun08g037900.3.v1.2 pep primary_assembly:ASM411807v1:8:3650195:3655846:-1 gene:Vigun08g037900.v1.2 transcript:Vigun08g037900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSLDLFTNDVVVKDETMVLHDGSSSNNLNSGDPIRNRNEGNLGWYKTEEEDTKHSHGSGKWMSSKMRLMKKITSPTSDRFNPRNNTTRVCSDCNTTTTPLWRSGPKGPKSLCNACGIRQRKARRAMAEASSNPINSVCAKTRVYNKEKNKYKNKYKSTTTTTASSAGSSEGVRKIECFKDFAISLSSKKSCFQQVFPRDEVAEAAMLLMELSCGFLHS >Vigun08g037900.4.v1.2 pep primary_assembly:ASM411807v1:8:3650195:3655839:-1 gene:Vigun08g037900.v1.2 transcript:Vigun08g037900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSLDLFTNDVVVKDETMVLHDGSSSNNLNSGDPIRNRNEGNLGWYKTEEEDTKHSHGSGKWMSSKMRLMKKITSPTSDRFNPRNNTTRVCSDCNTTTTPLWRSGPKGPKSLCNACGIRQRKARRAMAEASSNPINSVCAKTRVYNKEKNKYKNKYKSTTTTTASSAGSSEGVRKIECFKDFAISLSSKKSCFQQVFPRDEVAEAAMLLMELSCGFLHS >Vigun08g037900.1.v1.2 pep primary_assembly:ASM411807v1:8:3650195:3652806:-1 gene:Vigun08g037900.v1.2 transcript:Vigun08g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFLIRCYFSSYSSSSSFTVSYFLSISMTPYSAPSLQPQTQIFISPNNQDCPTFFNIFHAKPTQNYQQDETMVLHDGSSSNNLNSGDPIRNRNEGNLGWYKTEEEDTKHSHGSGKWMSSKMRLMKKITSPTSDRFNPRNNTTRVCSDCNTTTTPLWRSGPKGPKSLCNACGIRQRKARRAMAEASSNPINSVCAKTRVYNKEKNKYKNKYKSTTTTTASSAGSSEGVRKIECFKDFAISLSSKKSCFQQVFPRDEVAEAAMLLMELSCGFLHS >Vigun03g408400.2.v1.2 pep primary_assembly:ASM411807v1:3:61536514:61549549:-1 gene:Vigun03g408400.v1.2 transcript:Vigun03g408400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPRGRTQGHVLTFERDADSFVEEDKELQSKWASIEKLPTFKRIKTSFVDITQEDSTSRSARETVEKFGAWRSSSKRVVDVTKLGAVEKRLFIDRLIKHIENDNLQLLQKLRERMDRVNVKLPTVEIRYKNLSVGAECEVVQGKALPTLWNSFSSSLSGFMKTISCNSQGAEISILNDVSGIIKPSRLTLLLGPPGCGKTTLLKALAGKLEQSLKVSGEISYNGYKLDEFVPQKTSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADIVAEITRREKEEGIIPDSDIDTYMKAISVEGQSENLQTEYVLKILGLDMCADILVGDALERGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETYELFDDLILMAEGKIVYHGPRSQALQFFKDCGFWCPERKGVADFLQEVISKKDQRQYWYRTDIPYRYISVDEFAQIFISSYWGRMLNDELSQPNDKSESHKNALSFSKYSLGKWDLFKACMKREILLMKRNSFIYVFKTAQLTITAIITMTVFLRTQRAVDLIGANYLLGSLYYTLVRLMTNGVAELIMTIARLPVVDKQTEFYLYPAWAYCLPSAILKIPFSVLDSIVWTSMTYYVIGYSPEITRFLRQFLLLVTLHMSSTSMCRCLASVFKTDVAATTIGSLVLVLMFLFGGFILPRSSLPRWLRWGFWLSPMSYGEIGITLNEFNAPRWQKIQEGNITVGKEVLRSHGLDFDSNFYWISVGALLGLTILFDFGFVLALTYIKQPKMSRALVSKNKLSQQKEQEKSDGVELKSVTVDISRTPRGNQSTGKMVLPFEPLAISFKDVQYFVDIPPEMKKHGSEEKRLQLLRDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIAGYPKVQKTFERVSGYCEQNDIHSPYITIEESVKYSAWLRLPPEIDSATKGKFVEEVLETIELDNVKDCLVGVPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVATGRTTVCTIHQPSIDIFETFDELILMKSGGQIIYSGMLGHHSSRLIEYFQNIPGVPKIMDNYNPATWMLEATSASVEADLKIDFAQIYKESHLYQDTLEVVRVLSEPAPGSRDLHFSTRFPQNSLGQFMACLWKQHLSYWRSPEYNLTRFIFMIVCAIIFGAVFWQKGNEINNQQDLFNVLGSMYIAVIFLGINYCSTILPYVATERAVLYREKFAGMYSSTAYSFAQVAIEIPYILVQSILYVAITYPMIGFHWSVQKVFWYFYTTFCTFLYFVYLGMMVMSMSSNLDIASVLSTAIYTIFNLFSGYLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDLEQEIPAESVGKRRMVKRSSILNLSTRGLQTHMTTSESEQYSKQKR >Vigun03g408400.4.v1.2 pep primary_assembly:ASM411807v1:3:61536514:61549549:-1 gene:Vigun03g408400.v1.2 transcript:Vigun03g408400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPRGRTQGHVLTFERDADSFVEEDKELQSKWASIEKLPTFKRIKTSFVDITQEDSTSRSARETVEKFGAWRSSSKRVVDVTKLGAVEKRLFIDRLIKHIENDNLQLLQKLRERMDRVNVKLPTVEIRYKNLSVGAECEVVQGKALPTLWNSFSSSLSGFMKTISCNSQGAEISILNDVSGIIKPSRLTLLLGPPGCGKTTLLKALAGKLEQSLKVSGEISYNGYKLDEFVPQKTSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADIVAEITRREKEEGIIPDSDIDTYMKAISVEGQSENLQTEYVLKILGLDMCADILVGDALERGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETYELFDDLILMAEGKIVYHGPRSQALQFFKDCGFWCPERKGVADFLQEVISKKDQRQYWYRTDIPYRYISVDEFAQIFISSYWGRMLNDELSQPNDKSESHKNALSFSKYSLGKWDLFKACMKREILLMKRNSFIYVFKTAQLTITAIITMTVFLRTQRAVDLIGANYLLGSLYYTLVRLMTNGVAELIMTIARLPVVDKQTEFYLYPAWAYCLPSAILKIPFSVLDSIVWTSMTYYVIGYSPEITRFLRQFLLLVTLHMSSTSMCRCLASVFKTDVAATTIGSLVLVLMFLFGGFILPRSSLPRWLRWGFWLSPMSYGEIGITLNEFNAPRWQKIQEGNITVGKEVLRSHGLDFDSNFYWISVGALLGLTILFDFGFVLALTYIKQPKMSRALVSKNKLSQQKEQEKSDGVELKSVTVDISRTPRGNQSTGKMVLPFEPLAISFKDVQYFVDIPPEMKKHGSEEKRLQLLRDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIAGYPKVQKTFERVSGYCEQNDIHSPYITIEESVKYSAWLRLPPEIDSATKGKFVEEVLETIELDNVKDCLVGVPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVATGRTTVCTIHQPSIDIFETFDELILMKSGGQIIYSGMLGHHSSRLIEYFQNIPGVPKIMDNYNPATWMLEATSASVEADLKIDFAQIYKESHLYQDTLEVVRVLSEPAPGSRDLHFSTRFPQNSLGQFMACLWKQHLSYWRSPEYNLTRFIFMIVCAIIFGAVFWQKGNEINNQQDLFNVLGSMYIAVIFLGINYCSTILPYVATERAVLYREKFAGMYSSTAYSFAQVAIEIPYILVQSILYVAITYPMIGFHWSVQKVFWYFYTTFCTFLYFVYLGMMVMSMSSNLDIASVLSTAIYTIFNLFSGYLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDLEQEVTVFGKRQPVGSFLRDYYGFRHDRLSIVAVVLIAYPIVYASLFAYFIKKMNYQKR >Vigun03g408400.1.v1.2 pep primary_assembly:ASM411807v1:3:61540258:61549639:-1 gene:Vigun03g408400.v1.2 transcript:Vigun03g408400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPRGRTQGHVLTFERDADSFVEEDKELQSKWASIEKLPTFKRIKTSFVDITQEDSTSRSARETVEKFGAWRSSSKRVVDVTKLGAVEKRLFIDRLIKHIENDNLQLLQKLRERMDRVNVKLPTVEIRYKNLSVGAECEVVQGKALPTLWNSFSSSLSGFMKTISCNSQGAEISILNDVSGIIKPSRLTLLLGPPGCGKTTLLKALAGKLEQSLKVSGEISYNGYKLDEFVPQKTSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADIVAEITRREKEEGIIPDSDIDTYMKAISVEGQSENLQTEYVLKILGLDMCADILVGDALERGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETYELFDDLILMAEGKIVYHGPRSQALQFFKDCGFWCPERKGVADFLQEVISKKDQRQYWYRTDIPYRYISVDEFAQIFISSYWGRMLNDELSQPNDKSESHKNALSFSKYSLGKWDLFKACMKREILLMKRNSFIYVFKTAQLTITAIITMTVFLRTQRAVDLIGANYLLGSLYYTLVRLMTNGVAELIMTIARLPVVDKQTEFYLYPAWAYCLPSAILKIPFSVLDSIVWTSMTYYVIGYSPEITRFLRQFLLLVTLHMSSTSMCRCLASVFKTDVAATTIGSLVLVLMFLFGGFILPRSSLPRWLRWGFWLSPMSYGEIGITLNEFNAPRWQKIQEGNITVGKEVLRSHGLDFDSNFYWISVGALLGLTILFDFGFVLALTYIKQPKMSRALVSKNKLSQQKEQEKSDGVELKSVTVDISRTPRGNQSTGKMVLPFEPLAISFKDVQYFVDIPPEMKKHGSEEKRLQLLRDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIAGYPKVQKTFERVSGYCEQNDIHSPYITIEESVKYSAWLRLPPEIDSATKGKFVEEVLETIELDNVKDCLVGVPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVATGRTTVCTIHQPSIDIFETFDELILMKSGGQIIYSGMLGHHSSRLIEYFQNIPGVPKIMDNYNPATWMLEATSASVEADLKIDFAQIYKESHLYQDTLEVVRVLSEPAPGSRDLHFSTRFPQNSLGQFMACLWKQHLSYWRSPEYNLTRFIFMIVCAIIFGAVFWQKGNEINNQQDLFNVLGSMYIAVIFLGINYCSTILPYVATERAVLYREKFAGMYSSTAYSFAQVAIEIPYILVQSILYVAITYPMIGFHWSVQKVFWYFYTTFCTFLYFVYLGMMVMSMSSNLDIASVLSTAIYTIFNLFSGYLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDLEQEVTVFGKRQPVGSFLRDYYGFRHDRLSIVAVVLIAYPIVYASLFAYFIKKMNYQKR >Vigun03g408400.3.v1.2 pep primary_assembly:ASM411807v1:3:61540323:61549630:-1 gene:Vigun03g408400.v1.2 transcript:Vigun03g408400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPRGRTQGHVLTFERDADSFVEEDKELQSKWASIEKLPTFKRIKTSFVDITQEDSTSRSARETVEKFGAWRSSSKRVVDVTKLGAVEKRLFIDRLIKHIENDNLQLLQKLRERMDRVNVKLPTVEIRYKNLSVGAECEVVQGKALPTLWNSFSSSLSGFMKTISCNSQGAEISILNDVSGIIKPSRLTLLLGPPGCGKTTLLKALAGKLEQSLKVSGEISYNGYKLDEFVPQKTSAYISQYDLHVPEMTVRETIDFSARCQGVGSRADIVAEITRREKEEGIIPDSDIDTYMKAISVEGQSENLQTEYVLKILGLDMCADILVGDALERGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETYELFDDLILMAEGKIVYHGPRSQALQFFKDCGFWCPERKGVADFLQEVISKKDQRQYWYRTDIPYRYISVDEFAQIFISSYWGRMLNDELSQPNDKSESHKNALSFSKYSLGKWDLFKACMKREILLMKRNSFIYVFKTAQLTITAIITMTVFLRTQRAVDLIGANYLLGSLYYTLVRLMTNGVAELIMTIARLPVVDKQTEFYLYPAWAYCLPSAILKIPFSVLDSIVWTSMTYYVIGYSPEITRFLRQFLLLVTLHMSSTSMCRCLASVFKTDVAATTIGSLVLVLMFLFGGFILPRSSLPRWLRWGFWLSPMSYGEIGITLNEFNAPRWQKIQEGNITVGKEVLRSHGLDFDSNFYWISVGALLGLTILFDFGFVLALTYIKQPKMSRALVSKNKLSQQKEQEKSDGVELKSVTVDISRTPRGNQSTGKMVLPFEPLAISFKDVQYFVDIPPEMKKHGSEEKRLQLLRDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIAGYPKVQKTFERVSGYCEQNDIHSPYITIEESVKYSAWLRLPPEIDSATKGKFVEEVLETIELDNVKDCLVGVPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVATGRTTVCTIHQPSIDIFETFDELILMKSGGQIIYSGMLGHHSSRLIEYFQNIPGVPKIMDNYNPATWMLEATSASVEADLKIDFAQIYKESHLYQDTLEVVRVLSEPAPGSRDLHFSTRFPQNSLGQFMACLWKQHLSYWRSPEYNLTRFIFMIVCAIIFGAVFWQKGNEINNQQDLFNVLGSMYIAVIFLGINYCSTILPYVATERAVLYREKFAGMYSSTAYSFAQVAIEIPYILVQSILYVAITYPMIGFHWSVQKVFWYFYTTFCTFLYFVYLGMMVMSMSSNLDIASVLSTAIYTIFNLFSGYLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDLEQEVTVFGKRQPVGSFLRDYYGFRHDRLSIVAVVLIAYPIVYASLFAYFIKKMNYQKR >Vigun01g174700.1.v1.2 pep primary_assembly:ASM411807v1:1:35624231:35628147:1 gene:Vigun01g174700.v1.2 transcript:Vigun01g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRKRKLKLLSDTITDNRRSVDEVKSEHLELQSWADLPAELLELILSRLILADNIRASSVCKRWHSVASDVRVVSQSPWLMYFPKFGDCYEFYDPVQRKTYTLELPELNGSRVCYTKDGWLLLYRPRTHRVFFFNPFTRELIKLPRFEMTYQIVAFSCAPTSPDCVLFTVKHVSPTIVAISTCYPGATEWTTINHPNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVFDPVECIWSVLAVPPPKCPENFFAKNWWKGKFMTEHGGDILVIYTCCSENPIIFKLDQTLMKWEEMRTLDGVTLFASFLSSHSRTDLIGVMRNNVYFSKVRFYGKRCISFSLDDYRYYPRKQCHDWGEQDPFENIWIEPPKDFSACM >Vigun06g204000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31823723:31825463:1 gene:Vigun06g204000.v1.2 transcript:Vigun06g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPMEQSAKLSLFPFLHLKRSMLSLLLSLPTSFFVFLLLLLLSYNAYTVFSLHLPNSSSLRSPPPKPLNLSSSAHSPLFKNPHLPLLPNSTQSLSLSIQRAKRVKKHKRGLRSVRSEPPRSPVSPFHSRLRTFFNTSCQMRFFMTWISPLKTFGERELVSVESLFKSHPEACVVIVSKSLDSCTGTRILKPFVSNGFRVIAIAPDFGYIFKNTHAELWFNRLKEGYVNPGEVSLGQNLSNLLRLALLYKFGGTYIDLDVIVLKSFSKLRNTVGAQTFDVRTGKWSRLNNAVLIFDKKHPLLLKFIEEFALTFDGNNWGHNGPYLISRVVSRVNGTPGFNFTVLPPSAFYPVDWRGIRGLFGDGIRSKWWVNKMKQIRKESFALHLWNRHSRTLKVVKGSILDSIISSCCIFCNT >Vigun09g157900.1.v1.2 pep primary_assembly:ASM411807v1:9:32406958:32409235:-1 gene:Vigun09g157900.v1.2 transcript:Vigun09g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQVLVRTFQSCSTIREGRQLHVAFLKTGILNYSVAVANRLLQLYTRCGFLRDASHLFDEMPNTNPFSWNTLVQAHLNSGHTQSALHLFNAMPHKTHFSWNMVVSSFAKSGHLRLAHSLFNAMPSKNHLVWNSIIHSYSRHGHPGEALLLFKSMNSDPAQIMYRDAFVLATVLGACAELLALNCGKQVHARVFVDGLGLELDRVLCSSLINLYGKCGDLDGAARVMSSVREVDEFSLSALIIGYANAGRMREARKVFDSKVDPCVVLWNSIISGYVSNGEETEAVNLFSAMLRNGVRGDVSTVANVLSAGSGLLVVELVRQMHAYGCKAGTTHDVVVASALLDAYSKCQSPCEAFGLTQNACPSEALDVFCQMNKLDLQTDKFSFASVISACGSKSSLELGEQVFGKAITIGLESDEIISTSLVDFYCKCGFVKIGRKVFDGMVKTDEVSWNTMLMGYAANGYGNEALTLFSEMRYCGVRPSAITFTGVLSACDHCGLVEEGRYLFHTMKHNYYIDPGIEHYSCMVDLFARAGCFEEAMDLMEQMPFQADANMWLSVLRGCIAHGNKIIGKTAAEQIIQLDPENAGAYIQLSNILASSGDWEGSALVRELMRDKHVQKIPGCSWADC >Vigun05g170460.4.v1.2 pep primary_assembly:ASM411807v1:5:29987687:29989141:-1 gene:Vigun05g170460.v1.2 transcript:Vigun05g170460.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSHHQRPLLEASPATLSPFTPPRACTSVSSFASPARSRQGWADPLHGREVPLARDRRQRLQPSAAPIHLQSSILFFYERERWNVWAKVMIPVGDRMGWASFG >Vigun05g170460.5.v1.2 pep primary_assembly:ASM411807v1:5:29988399:29988991:-1 gene:Vigun05g170460.v1.2 transcript:Vigun05g170460.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSHHQRPLLEASPATLSPFTPPRACTSVSSFASPARSRQGWADPLHGREVPLARDRRQRLQPSAAPIHLQSSILFFYERERWNVWAKVMIPVGDRMGWASFG >Vigun05g170460.1.v1.2 pep primary_assembly:ASM411807v1:5:29987542:29989379:-1 gene:Vigun05g170460.v1.2 transcript:Vigun05g170460.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSHHQRPLLEASPATLSPFTPPRACTSVSSFASPARSRQGWADPLHGREVPLARDRRQRLQPSAAPIHLQSSILFFYERERCHRLYDSSPLCRSPRLGGTCGRR >Vigun05g170460.3.v1.2 pep primary_assembly:ASM411807v1:5:29987594:29989174:-1 gene:Vigun05g170460.v1.2 transcript:Vigun05g170460.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSHHQRPLLEASPATLSPFTPPRACTSVSSFASPARSRQGWADPLHGREVPLARDRRQRLQPSAAPIHLQSSILFFYERERWNVWAKVMIPVGDRMGWASFG >Vigun05g170460.2.v1.2 pep primary_assembly:ASM411807v1:5:29987594:29989174:-1 gene:Vigun05g170460.v1.2 transcript:Vigun05g170460.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSHHQRPLLEASPATLSPFTPPRACTSVSSFASPARSRQGWADPLHGREVPLARDRRQRLQPSAAPIHLQSSILFFYERERCHRLYDSSPLCRSPRLGGTCGRR >Vigun10g087100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24868017:24871352:-1 gene:Vigun10g087100.v1.2 transcript:Vigun10g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSPSGKVKYEVCSPESLTKLLVKFGVTQPATDTS >Vigun08g199800.1.v1.2 pep primary_assembly:ASM411807v1:8:36461653:36463050:-1 gene:Vigun08g199800.v1.2 transcript:Vigun08g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRCAACKNQRRRCPSDCIFSPYFPANDPQRFASVHKIYGGSNVGKMLQQIPPYLREQTANSLYFEAQCRIQDPVYGCVGIISKLYEQIRNTEVELAQIQTQITCHKLQHLQPNNAQTNFNSLPTVQAQSNFQVPAESTNSAQFQWPDQVPWFN >Vigun06g230400.1.v1.2 pep primary_assembly:ASM411807v1:6:33716356:33718800:1 gene:Vigun06g230400.v1.2 transcript:Vigun06g230400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDTFPDLLSVYRTSVPKNNSISQNSVPNIWRTSNIIEKLKLKLVTRVTNKSNSMSPEDKSNTPQSVLTPTLEEEEEELNSPTGVDATTSEKSIETYDDIDSDTGERSHDDKIVNEDLDDFNRHSGDKPNLEFEMKSYQEMVEREIKQTDDSPPKEEHIKSQVSADSSCESSEKLNLGPEENHVDRQNKEMDVAENSFQDQIQVIEQTVSAKGDQFVKFEEKEKDKEIMQLVSEREKIMRNHEEKWLALKKKQWQELVELEKELENELAQLTDKYTSDIN >Vigun05g204900.2.v1.2 pep primary_assembly:ASM411807v1:5:39368772:39369270:1 gene:Vigun05g204900.v1.2 transcript:Vigun05g204900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEILLSIQLQALIGNRFSMTASPLVQEKNNGINACDTKKKKRLIGMDIYIQLSLNYISQYLSMVPNMNHLIQLERVLHFKLKQEIHI >Vigun02g177400.1.v1.2 pep primary_assembly:ASM411807v1:2:31899442:31901402:-1 gene:Vigun02g177400.v1.2 transcript:Vigun02g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPFPYSASPTSSLPLSQQKRHKTKPNSKRSAFSPIQCCHSQTEPFQLNGLARVSEKILLSNPPVAASGVAVPPRPRRIILVRHGESEGNVDESVYTRIPDPKISLTEKGRAQAEACGNRIKQMIEKEHGQHWQVYFYVSPYRRTLQTLQHLARPFDRSRIAGFREEPRIREQDFGSHRRRNFQNRETMRLEKALRQLYGRFFYRFPNGESAADVYDRITGFRETLRTDISIGRYQPPEERESEMNLIIVSHGLTLRVFLMRWYKWTVQQFEGLNNLGNGNMLVMEKGHGGRYSLLMHHDEEELRRFGLTDEMLIDQEWHKIAKPADLNYDCPMVNSFFPHLSEETC >Vigun02g177400.2.v1.2 pep primary_assembly:ASM411807v1:2:31899356:31901469:-1 gene:Vigun02g177400.v1.2 transcript:Vigun02g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPFPYSASPTSSLPLSQQKRHKTKPNSKRSAFSPIQCCHSQTEPFQLNGLARVSEKILLSNPPVAASGVAVPPRPRRIILVRHGESEGNVDESVYTRIPDPKISLTEKGRAQAEACGNRIKQMIEKEHGQHWQVYFYVSPYRRTLQTLQHLARPFDRSRIAGFREEPRIREQDFGNFQNRETMRLEKALRQLYGRFFYRFPNGESAADVYDRITGFRETLRTDISIGRYQPPEERESEMNLIIVSHGLTLRVFLMRWYKWTVQQFEGLNNLGNGNMLVMEKGHGGRYSLLMHHDEEELRRFGLTDEMLIDQEWHKIAKPADLNYDCPMVNSFFPHLSEETC >Vigun09g178200.3.v1.2 pep primary_assembly:ASM411807v1:9:35062293:35066582:1 gene:Vigun09g178200.v1.2 transcript:Vigun09g178200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLDPSERQRLIEEGKNLKEGLAALEDDLVELNNKLQQEAQCIPNMTHPDVPIGGEDCSTIRKMVGSSPNFSFPVRDHVQLGKELDLFDFDAAAEEMDACLEVSGSKFYYLKNEAVLLEMALVNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGSNTQIYSIDDSDQCLIGTAEIPVGGLHMDSILSDSFLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESEHYHQELIKIEEDLFSSLGLHFKTLDMASADLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSSEAQVTSGKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLSVIATKSL >Vigun09g178200.2.v1.2 pep primary_assembly:ASM411807v1:9:35062293:35066582:1 gene:Vigun09g178200.v1.2 transcript:Vigun09g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLGCSCPNQTTLKLSSIPTFSFLPFLFKNSTFSFHKSPLPRSIKALSSAIVRTATPKAPQDQGVKLQWKATVDFKWIKDNKEAVAANLRNRNSDADLDLVLHLYDEMLTLQKEVERVRGERNAVANKMKGKLDPSERQRLIEEGKNLKEGLAALEDDLVELNNKLQQEAQCIPNMTHPDVPIGGEDCSTIRKMVGSSPNFSFPVRDHVQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGSNTQIYSIDDSDQCLIGTAEIPVGGLHMDSILSDSFLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESEHYHQELIKIEEDLFSSLGLHFKTLDMASADLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSSEAQVTSGKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLSVIATKSL >Vigun09g178200.4.v1.2 pep primary_assembly:ASM411807v1:9:35062293:35066582:1 gene:Vigun09g178200.v1.2 transcript:Vigun09g178200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLDPSERQRLIEEGKNLKEGLAALEDDLVELNNKLQQEAQCIPNMTHPDVPIGGEDCSTIRKMVGSSPNFSFPVRDHVQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGSNTQIYSIDDSDQCLIGTAEIPVGGLHMDSILSDSFLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESEHYHQELIKIEEDLFSSLGLHFKTLDMASADLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSSEAQVTSGKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLSVIATKSL >Vigun09g178200.1.v1.2 pep primary_assembly:ASM411807v1:9:35062293:35066582:1 gene:Vigun09g178200.v1.2 transcript:Vigun09g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLGCSCPNQTTLKLSSIPTFSFLPFLFKNSTFSFHKSPLPRSIKALSSAIVRTATPKAPQDQGVKLQWKATVDFKWIKDNKEAVAANLRNRNSDADLDLVLHLYDEMLTLQKEVERVRGERNAVANKMKGKLDPSERQRLIEEGKNLKEGLAALEDDLVELNNKLQQEAQCIPNMTHPDVPIGGEDCSTIRKMVGSSPNFSFPVRDHVQLGKELDLFDFDAAAEEMDACLEVSGSKFYYLKNEAVLLEMALVNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGSNTQIYSIDDSDQCLIGTAEIPVGGLHMDSILSDSFLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESEHYHQELIKIEEDLFSSLGLHFKTLDMASADLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSSEAQVTSGKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLSVIATKSL >Vigun06g011100.1.v1.2 pep primary_assembly:ASM411807v1:6:4962766:4966805:-1 gene:Vigun06g011100.v1.2 transcript:Vigun06g011100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEKPKSKAMEERGDDHFTGASPSLVFSSDDDEANQDLSLKIVEKAMRMRAAKHAAPSDDVLSSQTLELAVVRNVSVSDVPSAIADSEVKEKKKITKLKIEIGDESVVIANEQEMEQTIKDNENHESVEGGAVQTGDNMVLRKLLRGPRYFDPPNNSWGACFNCGEEGHAAVNCSVAKRKKPCYVCGVLGHNAKQCTKTKDCFICKKGGHRARDCPEKHASTPGTIAICLKCGNSGHDMFGCKNDYSLDDLQEIQCYVCKKLGHLCCVNSDDATPGEISCYKCGRLGHTGLACSRLQDEIASGATPSSCFKCGEEGHFARECTSAVKTVKRSRDSSRTKDKRSHKENDYIGNRSAPNDMDVARRKKRSPTEERGGFSLPKKSKSRGGWMQEHPDEERGFTTPKKSKSRGGWTTEHPAEHKGYTTPKKSRSRGDWSTEHPEEFFPPMAMRNSYRFSGSPYSRSTTIHSFGSGSHTPGYKSSKVWTGHDGTPMSQGSAWSNHHRFSASRFGNSSSGGYGRNYSRW >Vigun10g071850.1.v1.2 pep primary_assembly:ASM411807v1:10:17806165:17806899:-1 gene:Vigun10g071850.v1.2 transcript:Vigun10g071850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGVALVLIGPGSIDQAKSFSEQSKFPGEIYADPTHSSYEALQFVSRVLTTFTPNLLHCRQVLR >Vigun01g073700.1.v1.2 pep primary_assembly:ASM411807v1:1:20483666:20503458:-1 gene:Vigun01g073700.v1.2 transcript:Vigun01g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNGSQASIETLSHWCIFHMNKAKQVVETWDRQFHGSRREKKLAFLYLANDILQNSRRKGAEFVGEFWKVLPDALRDVIQNGDDFARSEALRLIVIWEDRKVFGSRGQILKEEFVGRNVENTSRDVKPTNMKRPSAGNALEKIVSAFHVVYGGQKDEDAILSKCRNAINCLDKADKEIVSGQFGGSALVDELQGHNSVLKDCIEQLTAIESSRTSLVSHLREALEDQEFKLGQVRSQIQAANVRSDRASNNCQQLLNGNNIQSLAEQSSKEIQTSMSSASFSIISSEGEQSAPLMYTPQVSFSQKSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNQSAADYHSEKRTKLENDQPSFMPPQNPQQPLPPFSLPESIQHNAPTTNQQSTPNEPPPPPSSSPPPLPPPPPPPMSQYPVPQFMQTAGSISSMAYSYGMTQQPTMTAYPGVGASTFTPPPMATYQGFQGSDGNYYNQPSSMPMAPISRQ >Vigun01g073700.3.v1.2 pep primary_assembly:ASM411807v1:1:20483666:20503458:-1 gene:Vigun01g073700.v1.2 transcript:Vigun01g073700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNGSQASIETLSHWCIFHMNKAKQVVETWDRQFHGSRREKKLAFLYLANDILQNSRRKGAEFVGEFWKVLPDALRDVIQNGDDFARSEALRLIVIWEDRKVFGSRGQILKEEFVGRNVENTSRDVKPTNMKRPSAGNALEKIVSAFHVVYGGQKDEDAILSKCRNAINCLDKADKEIVSGQFGGSALVDELQGHNSVLKDCIEQLTAIESSRTSLVSHLREALEDQEFKLGQVRSQIQAANVRSDRASNNCQQLLNGNNIQSLAEQSSKEIQTSMSSASFSIISSEGEQSAPLMYTPQVSFSQKSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNQSAADYHSEKRTKLENDQPSFMPPQNPQQPLPPFSLPESIQHNAPTTNQQSTPNEPPPPPSSSPPPLPPPPPPPMSQYPVPQFMQTAGSISSMAYSYGMTQQPTMTAYPGVGASTFTPPPMATYQGFQGSDGNYYNQPSSMPMAPISRQ >Vigun01g073700.2.v1.2 pep primary_assembly:ASM411807v1:1:20483666:20503458:-1 gene:Vigun01g073700.v1.2 transcript:Vigun01g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNGSQASIETLSHWCIFHMNKAKQVVETWDRQFHGSRREKKLAFLYLANDILQNSRRKGAEFVGEFWKVLPDALRDVIQNGDDFARSEALRLIVIWEDRKVFGSRGQILKEEFVGRNVENTSRDVKPTNMKRPSAGNALEKIVSAFHVVYGGQKDEDAILSKCRNAINCLDKADKEIVSGQFGGSALVDELQGHNSVLKDCIEQLTAIESSRTSLVSHLREALEDQEFKLGQVRSQIQAANVRSDRASNNCQQLLNGNNIQSLAEQSSKEIQTSMSSASFSIISSEGEQSAPLMYTPQVSFSQKSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNQSAADYHSEKRTKLENDQPSFMPPQNPQQPLPPFSLPESIQHNAPTTNQQSTPNEPPPPPSSSPPPLPPPPPPPMSQYPVPQFMQTAGSISSMAYSYGMTQQPTMTAYPGVGASTFTPPPMATYQGFQGSDGNYYNQPSSMPMAPISRQ >Vigun10g156800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37627900:37628882:1 gene:Vigun10g156800.v1.2 transcript:Vigun10g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWSFVLSTLAFTLLLLLLLSSSSSEAKAEVNSFSLRVKSPSHQPLKDLQGQRKDLSKQVESCFRAIPPSNSNPTQNK >Vigun11g011701.1.v1.2 pep primary_assembly:ASM411807v1:11:1435799:1436796:-1 gene:Vigun11g011701.v1.2 transcript:Vigun11g011701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDVLKDKSTNLFELFIIDCPFLEDFTDEPCPTSLKTLYVHECRKLELLQSLEKMQNFKFLENLFIGSSCNTLKSFPMNLFPKLKILCIWDCPNLESFSMEEGEYNDGLSLESLEIRDCSNLVSFPKMGLRSPNLRTLSGLDRLQRFEIESGCMGLKSFPQKFLLPVNLNSLYISKLTSLEVLDHDGLQKLTALQSLEINCCKKLQSFPEFLPSSLTSLCIKESPLLSQKLLDKSSSHWHKIAHISNIQIDNNVISKDEWYFGGTRA >Vigun03g139300.1.v1.2 pep primary_assembly:ASM411807v1:3:13723268:13723999:1 gene:Vigun03g139300.v1.2 transcript:Vigun03g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTGNMKGFYRQRKTTATKKSSKKSPIHTAIPGSTGVQTPATTANGGKPDLQSECNESEVVLRQFDLNMAYGPCVGIARLARWERAQRLGLNPPQEIEGLLKSGKVQMESLWGGRI >VigunL059162.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:17475:17834:-1 gene:VigunL059162.v1.2 transcript:VigunL059162.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun11g067300.1.v1.2 pep primary_assembly:ASM411807v1:11:18722779:18727041:-1 gene:Vigun11g067300.v1.2 transcript:Vigun11g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQFQASGNWWESARHVRFESGETQSSSSGLTNMGNYAWQQDMARSSPMDNSASGGSSVVFHDQKQLQVQPHHDSSATNPTNDHPNLHMMGLGLSSQAMDWNQASLQLRGEKGSEGSFRSMLQENLSSPGTTFHEESGNNNIALSHHQQVHWRPEKLFSAEPSSNELKRGFSLDQTQFSPQYSSGDSTVTSQGLPCTFQMDYVGNPSSILQGLLGHESNNQQPHQGGSGSYENRSMNFPYSATSYGLSSNIELVPSWSKVPQFLRASPPKQQPNNQLHFTNNAPFWNANSEPAIKDARSSFISSLQTPFSPSNFDVQSKNTSEVRDSGVVKKSGSETAPKRPRNENPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSTPYMKSGAPIQHQQSSGKSKESEGPKQDLRSRGLCLVPVSSTFPVTHEPTVEYWTPTFGGTYR >Vigun11g067300.2.v1.2 pep primary_assembly:ASM411807v1:11:18722779:18727041:-1 gene:Vigun11g067300.v1.2 transcript:Vigun11g067300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKTFMRGEKGSEGSFRSMLQENLSSPGTTFHEESGNNNIALSHHQQVHWRPEKLFSAEPSSNELKRGFSLDQTQFSPQYSSGDSTVTSQGLPCTFQMDYVGNPSSILQGLLGHESNNQQPHQGGSGSYENRSMNFPYSATSYGLSSNIELVPSWSKVPQFLRASPPKQQPNNQLHFTNNAPFWNANSEPAIKDARSSFISSLQTPFSPSNFDVQSKNTSEVRDSGVVKKSGSETAPKRPRNENPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSTPYMKSGAPIQHQQSSGKSKESEGPKQDLRSRGLCLVPVSSTFPVTHEPTVEYWTPTFGGTYR >Vigun11g067300.3.v1.2 pep primary_assembly:ASM411807v1:11:18722779:18726262:-1 gene:Vigun11g067300.v1.2 transcript:Vigun11g067300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQENLSSPGTTFHEESGNNNIALSHHQQVHWRPEKLFSAEPSSNELKRGFSLDQTQFSPQYSSGDSTVTSQGLPCTFQMDYVGNPSSILQGLLGHESNNQQPHQGGSGSYENRSMNFPYSATSYGLSSNIELVPSWSKVPQFLRASPPKQQPNNQLHFTNNAPFWNANSEPAIKDARSSFISSLQTPFSPSNFDVQSKNTSEVRDSGVVKKSGSETAPKRPRNENPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSTPYMKSGAPIQHQQSSGKSKESEGPKQDLRSRGLCLVPVSSTFPVTHEPTVEYWTPTFGGTYR >Vigun08g135100.1.v1.2 pep primary_assembly:ASM411807v1:8:30632288:30639341:-1 gene:Vigun08g135100.v1.2 transcript:Vigun08g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVCMNGACKNGYAREWKKGWPLRSGGFARLCDKCGSAYANSIFCEKFHLHQTGWRECNFCNKSIHCGCIISRFSFEYLDFGGIGCSTCLRASQIPLIQETGRSIRSAKNHASDRHTEHIDDRLFLDGSSKRKFMQMRRIVDGRESSRWPQAKRDDIDSCIDLNREEMRFSNMMKPSNYSLKFSALENNRPTWESRSMQRSSSLNMSLGASSGNSVVPSASEIAERRFDGKTSSSSFHQGKRPLSIMPKPLMNAISIMESSNGMISQERVARPPTDGKGKNMLLSRYWPRITDQELQQLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKSCAEAYFPPISQSDGVPLHMKDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQALQLNAGDIVIFSRIDPGGKFVLGFRRASDSTDTQDASAGNSNVFPTKEKTFSGTTAGNSYHDPLQTRKANGDAYLNKCSEHFRLGTGIAECLRTENSEMVNNDLVQLPISVSEKTQNIGPMCKRLLIHNEDAMELRVTWEEAQDLLCPAPSVKASIVAIEDQEFEEYEEPPVFGRELQSMLVHQVL >Vigun08g135100.2.v1.2 pep primary_assembly:ASM411807v1:8:30632288:30639341:-1 gene:Vigun08g135100.v1.2 transcript:Vigun08g135100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVCMNGACKNGYAREWKKGWPLRSGGFARLCDKCGSAYANSIFCEKFHLHQTGWRECNFCNKSIHCGCIISRFSFEYLDFGGIGCSTCLRASQIPLIQETGRSIRSAKNHASDRHTEHIDDRLFLDGSSKRKFMQMRRIVDGRESSRWPQAKRDDIDSCIDLNREEMRFSNMMKPSNYSLKFSALENNRPTWESRSMQRSSSLNMSLGASSGNSVVPSASEIAERRFDGKTSSSSFHQGKRPLSIMPKPLMNAISIMESSNGMISQERVARPPTDGKGKNMLLSRYWPRITDQELQQLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKSCAEAYFPPISQSDGVPLHMKDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQALQLNAGDIVIFSRIDPGGKFVLGFRRASDSTDTQDASAGNSNVFPTKEKTFSGTTAGNSYHDPLQTRKANGDAYLNKCSEHFRLGTGIAECLRTENSEMVNNDLVQLPISVSEKTQNIGPMCKRLLIHNEDAMELRVTWEEAQDLLCPAPSVKASIVAIEDQEFEEYEEPPVFGRELQSMLVHQVL >Vigun02g020400.1.v1.2 pep primary_assembly:ASM411807v1:2:7184094:7188218:1 gene:Vigun02g020400.v1.2 transcript:Vigun02g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLWKRCCRRRSGPTLLCSSRTSLFHSGTCVEGNPGWRRRIEEEASLQISHPWPEWVQLIKCLLHKGHFLHEEGNVFRNTALGAKDCNAIRTACLNFGRDHFHILRFLSRKDIGVTVALGCPSLDRKVVNSGKRLRAYVGIDEGNVCSSCNLRGDCERAFVKAREDEGGRTVDIMRIILTYGLDPITGSVDNKPCLTKKVEDSVRRLLKEIVEHSTKEESSNFPDSTEVVMGHLHSNQQGKGKVDVAMMKQGDWICPKCDFMNFARNIRCLRCDSLFEEKIRQLKQDNNHLPLKKGDWICNTCNFLNFAKNTRCLQCKERASNRHLNPGEWECDSCNYINFRRNMVCLKCDHRRPIVSRASNSSLQPQQEDINHHKIGKYSFGANQGDRSGETRKVFERKNRNRESSVWRFVEDKSENHKLLNKSNDPSEFIDFPIVGGKTQLSEVQRREEHKTELSNQWRRPPWQSDTDDEFCSSDNHSTADEFCSTNDQSADDEEMDEWFGKGKIER >Vigun05g252000.6.v1.2 pep primary_assembly:ASM411807v1:5:44640293:44650059:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNTCGFLYTLLSLLLSHPALSFVRALLEQSPLTDLITFAEFLSREIMSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.2.v1.2 pep primary_assembly:ASM411807v1:5:44640278:44651387:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.5.v1.2 pep primary_assembly:ASM411807v1:5:44640293:44650059:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNTCGFLYTLLSLLLSHPALSFVRALLEQSPLTDLITFAEFLSREIMSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.3.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44649836:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.8.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44650059:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.1.v1.2 pep primary_assembly:ASM411807v1:5:44640278:44651387:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.9.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44649802:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.7.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44650059:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.10.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44649802:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun05g252000.4.v1.2 pep primary_assembly:ASM411807v1:5:44640280:44649836:1 gene:Vigun05g252000.v1.2 transcript:Vigun05g252000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHILDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVAEVHMEKKMKNQKAKSKSSEARKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVVQQFPNSTCSVYITYSKEEEAIRCIKNVHGFVLEGRPLRACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGAANNMQRRTGDVLPPPLDDCTDTSSGKPTVNNVTTVSIVKGSPPNGSSGRPISLSAAAWGTRATNCQPAAGGLLCANGLSRPKPDTINNTLPILSAVEASLNGDVTKRPPSSDGSHSITVGVKDELLKPDKQYSSMDNNSRAGERTSASDVRFSPMKLNNQLSSLPLPKDGDRGSCTAMNAPNSIDITGQSCSFGSEEAIISTSEETDNLSCNLSSIYIDRNSANDNYSQPKPISSCDNMSAKPIESHESQYNSDKHRDVMITNADTKAAAIDNEVCNVKELCDLSVVSQSQVLSVNTEVEDDVTTFDNQRLKDPEVVSSYLPKSTSFRHVPNHSHPHLLQHGESCNVVNAGSLDANYEVGDDSLLHANNILCNGYSEKSMSSSSYGFLQDERNEQHIGSLFSETVSIGSDAVMDKGENSIISNILSMEFDAWGDSVTSPQSLAKLLGDKTDKQNGSLKKCSSWKIQSNNQSRFSFARQEESKIQANVHASSGAIQQYPSKGSLHDFVERDFSLDKLGITNGFPSNNLEESGNLGSGQFFPSNNKLSAVSRAQISVPPGFSVPNRAPPPGFSSLERTGHAFDSVSGNSLRDPSFLLRNSYQTPSNGNIGGPGDIEFMDPAILAVGKGRIQGARNSPLLDMRSNYPEQLNYLENEARVQLLMQRSLSPQQNLRFSDIGNSFSQFGDSYGISSRLNQSQVSNLAPFPQLSLQQSRNAVLSNGQLDGWNEVQTGNSLGVAELLRNERLGFNKFYRGYDEPKYRIPNSRDLYNRTFGI >Vigun07g262900.1.v1.2 pep primary_assembly:ASM411807v1:7:37865899:37869455:1 gene:Vigun07g262900.v1.2 transcript:Vigun07g262900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLLHKHEEESEEKRVTWRGFSEEMRRISVIAGPMVAVVSSQYLLLTVSTMVVGHLGELYLSSAALAISLSGVTGFSLLMGLASGLETTCGQAYGAQQYQRIGMQTYTAIFSLILVCIPLSVLWFYIENILVFMGQDPLISHESGKFILWLLPALYAMAILQPLVKYYQIQSMLLPMLACSFFTLAIHIPLCWALVFKTRLNNVGGALAVSISTWSNVILLGLYMRYSSACAKTRAPLSLDLFKGMWEFFRFAIPSAVMVCLEWWSYELLVLLSGLLPNPALETSVLSVCLNTISTLYTIPFGIGAAASTRVANELGAGKPYAARVAVLAAMSLAVIETSVVSGTLFACRHVFGYVFSNEKEVIDYVTVMAPLVCISVILDSIQGVQTGIARGCGWQDLGVYVNLGAFYLCGIPVAAILAFVVRVGGKGLWIGIQCGAFVQTVLLSLITTNINWENQAIKARKRLFDHQLSAENILV >Vigun09g067100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7091325:7094535:1 gene:Vigun09g067100.v1.2 transcript:Vigun09g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVFGSVLVLTLFFKHLSSQQPNTDDFFVSEFLKKMDLASSQVYNFSASVCSFQGVSCDAKKEHVIGLVFSGMKLSGPIPHTTIGKLTKLRSLDLSHNKITDLPPDFWSFSMLKNLNLSGNQISGSLTNNIGNFGLLEVLDLSNNNFSGQIPEAISSLMSLKVIKLDHNWFEQRIPSGILKCRSLVSIDLSSNQLSGTVPDDFGAAFPNLTTLNLAGNSINGSDSDISGLKSIVSLNISGNSFQGSVMKLFQGRVEVMDLRRNHFEGPISQVHSISNYNWSHLVYLDLSENQMHGEISKNLIESKNLKHLNLANNRFSRQKFPKVEIISGLEYLNLSKTSLIGYIPAEISQLRNLSTLDISMNHLTGKIPSLNNTNLQVLDLSNNNLSGDIPSSIIEKLPLMKKYNFSYNNLTFCALEIKSAIIQRAFYGSLNSCPIAANPSFLRKRATENKGMKLALALTLSMIFLIAGLMLLAFGCLKKTKPWPVKQTSYKEEHHNMSGPFSFHTDSTTWVADVKQATSVPVVIFDKPLLNITFADLLAATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVVGSTLTDKEAARELEYLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLYDLPLGVLQSTDDWSTDTWEEDVSNGIQNAASEGGITTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKILDEESALCSPGYVPPEFSQQEFCTSTPKSDVYCFGVVLFELLSGKKPVADDYGDVKEATLVSWVRGLVRENKGSRAIDPKIRCTGAEEQMEEALKIGYLCTADLPSKRPSMQQVVGLLKDIEPSN >Vigun03g371400.1.v1.2 pep primary_assembly:ASM411807v1:3:57411639:57417597:1 gene:Vigun03g371400.v1.2 transcript:Vigun03g371400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSPQALPDPHPKPNDSDQKPHHANQTQRLTESPSAAESHGGELRSSDERRTESNLETLGGAVASSVQTLAVQHHRSDDLHGGSAALNSSGKAESKETDAPPEKEIIQGGEAQPLPTQTNRLQLSVCSTPLSELPPTSVTQSLSSVSSPTVPKQKLSTPKVNNARLPEVDKKSSSGGKTLSAVSVARSSASDGYNWRKYGQKQVKSPTGSRSYYRCTHSDCCAKKIECCDHSGHVIEIVYKSEHSHDPPLKTNSLRENKIPSASEPIVEKSVPDQPVRLLKDPDPSISSKESLQEAPCSTDKKRPNASSISNGKVILKEEHVNEPEPKKRMKKDLTDMDSPVKPGKKPKFVIHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIESAVDNSDAVIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMNSLQVKKPDSPQKKKVSTQWSVDTEGELTGEALELGGEKAMESARTLLSIGFEIKPC >Vigun03g307500.1.v1.2 pep primary_assembly:ASM411807v1:3:49861773:49865054:1 gene:Vigun03g307500.v1.2 transcript:Vigun03g307500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTPCTDEYEKLVIRMSTPRVVIDNAVCSTATIVKVDSGRKHGILLDAVQVLSDLNLSIKKAYISSDGRWFMDVFHVTDQNGNKLTDQSVLNYIEQSLGGIHNGSTNVLNGLTILELTGTDRVGLLSEVFAVLAEQQCDVVNAKVWTHNGRIASLIYVKDSSSGTLIEDSHRIKTIEARLRNVLKGDNDIRSAKTSFTNAVVHTERRLHQMMYADRDYQRNPIFKFTSDIPVVTVQNWAERGYSVVNVQCKDRIKLLFDVVCNLTDMEYVVFHGTAKTTVDQAYLEFYIRHKDGTPISSEPERHRVIQCLQAAVERRAFEGVMLELCTEDRQGLLAEVMRTFRENGLNVTRAEISTIGDRTSNVFYVTDAIGYPADPKMIESVRQKVGLSHLKVKELALVCEEKAEREDEAVGVGGAVLLCLGSLVRRNLYNLGLIKSCS >Vigun07g158000.3.v1.2 pep primary_assembly:ASM411807v1:7:26978135:26983164:1 gene:Vigun07g158000.v1.2 transcript:Vigun07g158000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNQKSIERVVSQKALQMGNSFPCQICVVGFLCGVCLTSLFLAALTSFGSFQFGPILFSTMSMANSSGNSTFPNDINMITRSDCHFKLKETERLWDSKSSRERDNEEKVSLLYSAWSAVLNESTSGGKEYLQKHGISGSSLPNAPHLENCKMKTELFEYLDKRTGTDVFPPWTTWKGSLQTFSVAAFNEQMQKLRHEAASEGAYPPWIAGSDEENYPMTRKVQRDLWIHQHPLNCSSPDVKFLLTDWERLPGFGIGAQIVGMTGLLAIAINEGRVLVTNYYNRAHHEGCKGSSRSSWSCYFFPETSLECRRRAFELIKSEDAWSKGIVTTKENYTTKHIWAGPTPRKWGLPWNYLQPTTDLNGTLLASHRKMDRRWWRAQAVRYLMRFPTEYTCNLMNEARHLSFGKLAAKVVLESLAGDWPKENSAKARSGDIDEYVWSSHKPWVPRPLLSMHVRMGDKACEMKVVGFEEYMQLAGRIRRHFPHLNSIWLSTEMQEVVDKTREYSHWNFYFTNVRRQVKSNMSMAEYEASLGRETSTNYPLVNFLMATDSDFYVGALGSSWSFLIDGMRNTGGKVMAGYLTVNKDRFW >Vigun07g158000.2.v1.2 pep primary_assembly:ASM411807v1:7:26978135:26983164:1 gene:Vigun07g158000.v1.2 transcript:Vigun07g158000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNQKSIERVVSQKALQMGNSFPCQICVVGFLCGVCLTSLFLAALTSFGSFQFGPILFSTMSMANSSGNSTFPNDINMITRSDCHFKLKETERLWDSKSSRERDNEEKVSLLYSAWSAVLNESTSGGKEYLQKHGISGSSLPNAPHLENCKMKTELFEYLDKRTGTDVFPPWTTWKGSLQTFSVAAFNEQMQKLRHEAASEGAYPPWIAGSDEENYPMTRKVQRDLWIHQHPLNCSSPDVKFLLTDWERLPGFGIGAQIVGMTGLLAIAINEGRVLVTNYYNRAHHEGCKGSSRSSWSCYFFPETSLECRRRAFELIKSEDAWSKGIVTTKENYTTKHIWAGPTPRKWGLPWNYLQPTTDLNGTLLASHRKMDRRWWRAQAVRYLMRFPTEYTCNLMNEARHLSFGKLAAKVVLESLAGDWPKENSAKARSGDIDEYVWSSHKPWVPRPLLSMHVRMGDKACEMKVVGFEEYMQLAGRIRRHFPHLNSIWLSTEMQEVVDKTREYSHWNFYFTNVRRQVKSNMSMAEYEASLGRETSTNYPLVNFLMATDSDFYVGALGSSWSFLIDGMRNTGGKVMAGYLTVNKDRFW >Vigun08g040200.3.v1.2 pep primary_assembly:ASM411807v1:8:3967213:3972961:1 gene:Vigun08g040200.v1.2 transcript:Vigun08g040200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDFVWLPSLYSDPEVFTYLEPFVLRNSLSLRFMHSLANNPGSFAIGEAFGHVSRFAGAFVVWLSRASSFNVASSLRGSPPPLRFGSAQVKAVATNVSLFGFPFRSKRKSFASVKLGKISSLAMKMIWSETKRLRSLPILSLAAALVPPFQNLSSKVLASPLQSPDMQMYGTIDQVPKEVECQGCPFLSYLELNQAKPAVEPKTGIEFPLVLDSIFAGEKDFGFNSEVLVGTGSRTMKIVKIKSLKVYAFGVYIHPYSLCKKLGPKYASISADELNNQHDFYRDLLREDINMTVRLVVNCRGMKINSVRDAFEKSLRARLVKTNPSTDFHCLETFGSYFVENISIPLGTVIEFKQTVDGRLITKIGDNQIGSVHSKDLCRAFFDMYIGDVPVSEETKKEIGTNIASIIRR >Vigun08g040200.1.v1.2 pep primary_assembly:ASM411807v1:8:3967118:3973281:1 gene:Vigun08g040200.v1.2 transcript:Vigun08g040200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDFVWLPSLYSDPEVFTYLEPFVLRNSLSLRFMHSLANNPGSFAIGEAFGHVSRFAGAFVVWLSRASSFNVASSLRGSPPPLRFGSAQVKAVATNVSLFGFPFRSKRKSFASVKLGKISSLAMKMIWSETKRLRSLPILSLAAALVPPFQNLSSKVLASPLQSPDMQMYGTIDQVPKEVECQGCPFLSYLELNQAKPAVEPKTGIEFPLVLDSIFAGEKDFGFNSEVLVGTGSRTMKIVKIKSLKVYAFGVYIHPYSLCKKLGPKYASISADELNNQHDFYRDLLREDINMTVRLVVNCRGMKINSVRDAFEKSLRARLVKTNPSTDFHCLETFGSYFVENISIPLGTVIEFKQTVDGRLITKIGDNQIGSVHSKDLCRAFFDMYIGDVPVSEETKKEIGTNIASIIRRC >Vigun10g104650.1.v1.2 pep primary_assembly:ASM411807v1:10:30098850:30107194:1 gene:Vigun10g104650.v1.2 transcript:Vigun10g104650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSLLLSNLQSLWLFVCFTIMLKALIPNLIQKNSKKQRPKLPPGPKPWPMVGNLPEMLANKPAHRWIHNLMKQMNIEIACIRLGNTYVIPVTCPTIAKEFLSVQDATFASRSLTTCTDLVSSGYLSTILVPFGDQWKKMKKILTTALLSSQKHLWLHDRRTEEADILIFYVYNKSKTVNNGVAAGLVNIRSVARHYCGNVIRKIVFGTRYFGKGRKDGGPSFEEKEHVDSIFVLLKYVYAFSISDYIPCLRRLDLDGHQKKVKEALKVIKKYHDPIVEGRVKQWNDLPKIEEEDWLDILISLKDANNNPLLTLEEINAQLVELMIATVDNPSNAFEWALAEMINQPSLLQRAIEELDGVVGKERLVQESDIPKLNFVKACAREAFRLHPMAPFNPPHISMSDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLKFKPERHLKCDNESNVTLTEPNLRFISFSTGKRGCPGVMLGTTMTVMLFARLLHGFTWTTPPNVSKINLVESNDHINLAEPLMAVAKPRLAPHLYHL >Vigun05g298600.1.v1.2 pep primary_assembly:ASM411807v1:5:48296904:48300564:-1 gene:Vigun05g298600.v1.2 transcript:Vigun05g298600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTSSTAIAAPSSDEDPTQSSSSSFSTSLFNFSSGNPTIEETRGLMHLFPDDVPATLPVGRKPLVCVVGVPNHMTYADFCQFCGSFIQHMLEMRIVRMDGMEDQYSVLIRFDHQDSTDSFYKHYNGRRFSSLEVEFCRVLFTLDVQYTGSIEHAQPSNASSTEQPTCPVCLERLDQDTSGILTTICNHSFHCSCISKWADSSCPVCRYCQQQAEKSFCFVCQTTENLWICVICGYVGCGRYKGGHAIIHWKETQHCYSLEVETKRVWDYVGDNYVHRLIQSKTDGKLVELNAHCAHADNGCGSCSCEDNAMSEAILNSKVEAIVNEYNELLATQLENQKIYFESLLQEVKEESERKISKAVQKAINLKQQKIQSKIDRCKKEKKFLDDLNENLVKNEDIWKAKILEVEERERKSTRMMEDRVADLEKQLGDLMVCLEGGKMVEELPVSDDEIKEGTVFQKSKESSSISASQQEGEYTHA >VigunL043500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:444189:445880:1 gene:VigunL043500.v1.2 transcript:VigunL043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYWNIILEEMMEAGVHFGHGTRKWNPKMSPYISAKRKGIHITNLIRTARFLSESCDLVFDAASGGKQFLIVARAAIRARCHYVNKKWLGGMLTNWYTTKTRLQKFRDLRMQQKTGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICEGRQIKRERRCLNKNNSLFSTSFFRGQDNMNVLLCSINTLKRLYDISAVEVGQHFYWQIGGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESIEGHH >Vigun11g184900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38642828:38643100:1 gene:Vigun11g184900.v1.2 transcript:Vigun11g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPAIRRTSLTARQGTSKSEDIPKGYFAVYVGEKQNRFVIPISYLNQPSFQELLSQAEEKFGYDHPMGGLTIPCSEDVFQHTISYFN >Vigun05g167900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:28497908:28499233:-1 gene:Vigun05g167900.v1.2 transcript:Vigun05g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLASLQSWVILPFFFMFLAIYLVGYFIVFRKQSTKIRPEFSSCLISIFHGTPAALLGSAALLTDDQRGFAAPTTAFQKLVLDFSAAYFLTDLLHYVAFYPSDVLFIAHHVATLFVVLTCRHAVSHGAFSVLVLLVLAEVTSACQNAWTLAGARRREDPFAARFYDALSPPFYAVYSVVRGFIGPYFMYRMVTFYASGGARGLVPAWAWVSWVLVVVMAIGVSIMWISNLWIQFFRERRGKLLEQKIR >Vigun11g144600.1.v1.2 pep primary_assembly:ASM411807v1:11:35366694:35367328:1 gene:Vigun11g144600.v1.2 transcript:Vigun11g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNMDTVAKQSRVCRNVFFLFLFCTLIFSSRAASTKDSKIGESLVSLTGGAESLIGSTPPSCNSRCGNCTPCTPVAVPFTPPAQPQTDSVSDQPDPASHRPEAEVWKCSCGGKLYDP >Vigun06g071800.1.v1.2 pep primary_assembly:ASM411807v1:6:20239328:20259663:-1 gene:Vigun06g071800.v1.2 transcript:Vigun06g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIYAANELAFRVGFSGHSGHLRLEPLTTPERHNPLRSIPDYIPPPAFPSETPESIKKYIEETYLQPRLDPDEFSPEKVGRQWEFDWFDRAEVPLEPSLPRTMVIPVWEPPFRRSNNGSVKGIWEPKFEEVDVSDLKLGETESGPLPRTSGKDFVRGSINNRPFRPGGLDDSRSVERILPEGASNGEWVREIFNGGPAQTIPPSLKHGLDFGELKSHPCSWNVCKEANPLQSSSVEKLSELSVQFDDLFKKAWEEDADGEQEQDEVESVILETEVGTTEVSSKPHDSEMSLDDILLVDSDGPKLHLDGFSDEIEQQKKEAWAMHESSDRIVECFHELVPDMALEFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVDRGVVWEEVIIMLPRHINIVLLSATVPNTIEFADWIGRTKQKEIRVTGTTKRPVPLEHCLYHSGELYKICESETFLPQGLKAAKEVSRKRNLTAGGASGPKVGISAGHENARGSKRENTSRMKQHGANFSGTGRGYQNNSNGQSYWELRRADASMWLMLINKLSKKSLLPVVIFCFSKNRCDKSADSLTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEFRQLLSGEYTQMAGRAGRRGLDKIGTVILICRDELPEESDLKRVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEMQQLLKRKLDQPRKAIECIKGEPTIEEYYDLYSEAETYNNQISEAILQSPSAQQFLNRGRVVIVKSESAQDHLLGVVVKTPSPSNKTYIVFVIKPDMPSLMQSASGGTMQNKSGAFDQGYFVMPKSRRGLLDEYSTSVSARKGKGIINITMPYCGSASGMGYEVREVDSKEFLCICSSKIKIDPVGLLEDVSSSVYSKTVQLLVDLKSDGNKYPPALDPVKDLKLRDVKLVATYQKWTRLLEKMSQNQCHGCIKLEEHLKLAKEIKKHEEEVYALQFQMSDEALKQMPDFQGRIDVLKKIECIDEDLVVQMKGRVACEMNSGEELICTECLFENQMDELEPEEAVAIMSAFVFQQRNTSEPSLTPKLAEAKHRLYKTAIRLGELQAQFNLPINPAEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALCKKMEIASNAIKRDIVFAASLYITGV >Vigun06g071800.2.v1.2 pep primary_assembly:ASM411807v1:6:20239328:20259663:-1 gene:Vigun06g071800.v1.2 transcript:Vigun06g071800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIYAANELAFRVGFSGHSGHLRLEPLTTPERHNPLRSIPDYIPPPAFPSETPESIKKYIEETYLQPRLDPDEFSPEKVGRQWEFDWFDRAEVPLEPSLPRTMVIPVWEPPFRRSNNGSVKGIWEPKFEEVDVSDLKLGETESGPLPRTSGKDFVRGSINNRPFRPGGLDDSRSVERILPEGASNGEWVREIFNGGPAQTIPPSLKHGLDFGELKSHPCSWNVCKEANPLQSSSVEKLFDDLFKKAWEEDADGEQEQDEVESVILETEVGTTEVSSKPHDSEMSLDDILLVDSDGPKLHLDGFSDEIEQQKKEAWAMHESSDRIVECFHELVPDMALEFPFELDAFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVDRGVVWEEVIIMLPRHINIVLLSATVPNTIEFADWIGRTKQKEIRVTGTTKRPVPLEHCLYHSGELYKICESETFLPQGLKAAKEVSRKRNLTAGGASGPKVGISAGHENARGSKRENTSRMKQHGANFSGTGRGYQNNSNGQSYWELRRADASMWLMLINKLSKKSLLPVVIFCFSKNRCDKSADSLTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEFRQLLSGEYTQMAGRAGRRGLDKIGTVILICRDELPEESDLKRVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEMQQLLKRKLDQPRKAIECIKGEPTIEEYYDLYSEAETYNNQISEAILQSPSAQQFLNRGRVVIVKSESAQDHLLGVVVKTPSPSNKTYIVFVIKPDMPSLMQSASGGTMQNKSGAFDQGYFVMPKSRRGLLDEYSTSVSARKGKGIINITMPYCGSASGMGYEVREVDSKEFLCICSSKIKIDPVGLLEDVSSSVYSKTVQLLVDLKSDGNKYPPALDPVKDLKLRDVKLVATYQKWTRLLEKMSQNQCHGCIKLEEHLKLAKEIKKHEEEVYALQFQMSDEALKQMPDFQGRIDVLKKIECIDEDLVVQMKGRVACEMNSGEELICTECLFENQMDELEPEEAVAIMSAFVFQQRNTSEPSLTPKLAEAKHRLYKTAIRLGELQAQFNLPINPAEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALCKKMEIASNAIKRDIVFAASLYITGV >Vigun01g243900.2.v1.2 pep primary_assembly:ASM411807v1:1:41272412:41274606:-1 gene:Vigun01g243900.v1.2 transcript:Vigun01g243900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALSSNLTLNVPTSRYLLHSRPTQLKPVKFMVMGDAREKLDHIPKPNKNHHHHPLPKKKVSPTAPIGLWDRFPTARTMQEMMETMERMVEDPFAFSTLEWPSSPLPSEGVGGYRRRGRAPWEVKEGESEYKMRLDMPGMKKEDVKVWVEEKMLVVKAEKEAKKKEMVEEQEEEEEEGWSAKSYGRYSSRIALPENVQFENIKAEVKDGVLYIAIPKATTYSNILDIQVQ >Vigun01g243900.1.v1.2 pep primary_assembly:ASM411807v1:1:41272412:41274606:-1 gene:Vigun01g243900.v1.2 transcript:Vigun01g243900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALSSNLTLNVPTSRYLLHSRPTQLKPVKFMVMGDAREKLDHIPKPNKNHHHHPLPKKKVSPTAPIGLWDRFPTARTMQEMMETMERMVEDPFAFSTLEWPSSPLPSEGVGGYRRRGRAPWEVKEGESEYKMRLDMPGMKKEDVKVWVEEKMLVVKAEKEAKKKEMVEEQEEEEEEGWSAKSYGRYSSRIALPENVQFENIKAEVKDGVLYIAIPKATTYSNILDIQVQ >Vigun01g243900.3.v1.2 pep primary_assembly:ASM411807v1:1:41272440:41274483:-1 gene:Vigun01g243900.v1.2 transcript:Vigun01g243900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALSSNLTLNVPTSRYLLHSRPTQLKPVKFMVMGDAREKLDHIPKPNKNHHHHPLPKKKVSPTAPIAGLWDRFPTARTMQEMMETMERMVEDPFAFSTLEWPSSPLPSEGVGGYRRRGRAPWEVKEGESEYKMRLDMPGMKKEDVKVWVEEKMLVVKAEKEAKKKEMVEEQEEEEEEGWSAKSYGRYSSRIALPENVQFENIKAEVKDGVLYIAIPKATTYSNILDIQVQ >Vigun03g287700.2.v1.2 pep primary_assembly:ASM411807v1:3:46939981:46941834:-1 gene:Vigun03g287700.v1.2 transcript:Vigun03g287700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFPWDNQIDLSSSSPNSIDALMRRAEMYQDYMKQIPIPNHRGSVIPFTSWMGLGRSMKQLYGQPLHYLTNIMLKQWDQLRIGSEDEYKPLDIIIHPHKAENTIWLIEEIHRQTSSHFHIASLWKVDSMYSGFIDPIFPRLQHAS >Vigun03g287700.1.v1.2 pep primary_assembly:ASM411807v1:3:46939981:46941849:-1 gene:Vigun03g287700.v1.2 transcript:Vigun03g287700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFPWDNQIDLSSSSPNSIDALMRRAEMYQDYMKQIPIPNHRGSVIPFTSWMGLGRSMKQLYGQPLHYLTNIMLKQWDQLRIGSEDEYKPLDIIIHPHKAENTIWLIEEIHRQTSSHFHIASLWKVDSMYSGFIDPIFPRLQHAS >Vigun05g169580.1.v1.2 pep primary_assembly:ASM411807v1:5:29344300:29347524:-1 gene:Vigun05g169580.v1.2 transcript:Vigun05g169580.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHSEDNNLPLEEAKWKKGVVIMKKIIRARSEGRKLDVSWNARGQPIEPGGTNFISYLGSIVRSNVPITCDNWKDLALSSYKDIIWNDIQLTFNVDTCRKNYVLKEAGKLLRTFRTNLANTYLKDENGNYIENSPTEPPEKYASMISEDVWKDFVAKRMDTSFQIKELGSNVSNIPRQELWKHARVNKAGEIENEDVQQVWNKCVILSQTIQSEEMSNARSDILAQALCVPEYPGRVRATGFGVSHKDYFPPKKRYTQQDHDALTTQMKDMAERMARMENEINSLRKKDTSNNIEEPDIGVNSGQGSCTISSNSFPEGVSSCKLFVSSPLLYLVAHGKLHNVKGDTLHGRSLPNGHVKVSVDIAVEPNVSLPIPNADDEIMTIGQAIGTFVAWPINLLQVVDACPKQSNGHDCGYYICRYMKEIVTYCEGGDNSNRFREDWCFYLISKCL >Vigun07g086550.1.v1.2 pep primary_assembly:ASM411807v1:7:13240052:13240562:1 gene:Vigun07g086550.v1.2 transcript:Vigun07g086550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGRRETLKLGVRVLDLWYIHNCDSNVHLEMILIDQKGDKIHCIVKKDEFDIWDGKLKEGDTYIIHNFKIVKNDGQYRVCEHSFKLLFIGATSVCPQAIANVPKNVYQFRSIKEVVASNLFLDLLIGMYN >Vigun03g407600.1.v1.2 pep primary_assembly:ASM411807v1:3:61472746:61477743:-1 gene:Vigun03g407600.v1.2 transcript:Vigun03g407600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINKTLFFTYFYLFVYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGAVAFFLVRVFKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLVAVICGTDKARCDVFFNMLLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLSLNPITSLYYIAPCSFVFLFVPWYLLEKPVMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESAITWLNIIGYAIALCGVVMYNYIKVRDVRASQSPAEIIPDRMTKDWKFEKRSSDLYVADNVGNNGGNGSLSDMNFDEEAPLISSSRVSHIGRMQLANHATGK >Vigun03g407600.2.v1.2 pep primary_assembly:ASM411807v1:3:61472746:61477743:-1 gene:Vigun03g407600.v1.2 transcript:Vigun03g407600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLCLYRFGNTAYLHISVAFIQMLKALMPVATFLVAVICGTDKARCDVFFNMLLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLSLNPITSLYYIAPCSFVFLFVPWYLLEKPVMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESAITWLNIIGYAIALCGVVMYNYIKVRDVRASQSPAEIIPDRMTKDWKFEKRSSDLYVADNVGNNGGNGSLSDMNFDEEAPLISSSRVSHIGRMQLANHATGK >VigunL070000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:93025:93753:-1 gene:VigunL070000.v1.2 transcript:VigunL070000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQHIKKIIRYICCGSRSTFLLANGGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLAYFSKYIQPTPILLPINILEDFTKPLSLSFQLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESIEGHH >Vigun07g027500.1.v1.2 pep primary_assembly:ASM411807v1:7:2497811:2500991:-1 gene:Vigun07g027500.v1.2 transcript:Vigun07g027500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPATRERENGSQGNEVQEDDYMGDLSQFLPSDAFDPPKISSSKKISSKKDPLVNPSRDQLKSLSWQERRKLERERKQQEEDEQTLAKVEAPIPQSNIGFKLLKQMGYTPGSALGKQGSGRAEPVGIEIRRSRAGVGLEDPHKEKRKKEEIMMNRKRRKEEDLMKEFGFRQKSQWQSKRVIINYNKAKAALDQLENREIVEPQKNDDDSEVEEEEEEEITEEELNSVLMKLRDEFNYCLFCGCKYESSEALLDNCPGTNEDDH >Vigun11g108900.1.v1.2 pep primary_assembly:ASM411807v1:11:30954127:30961435:1 gene:Vigun11g108900.v1.2 transcript:Vigun11g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDPNPFEEEEVEVNPFADGHAKGKGSSYGGGAFYTTNSGSVPAANSKLSPLPPEPYDRGANIDIPLDSSKDIKSKEKELQAREADLKRREQELKRREDAIARAGIVIEEKNWPPFFPIIHHDIGKEIPIHLQRTQYIAFSTWLGLVLCLVWNIVAVTTAWIKGEGPTIWFLAIIYCISGVPGSYVLWYRPLYRAMRTDSALKFGWFFLIYMIHIGFCILAAVAPPIIFKGKSLTGILAAIDVLGDNALVGIFYFIGFGFFCLESVLSIWVIQQVYMYFRGSGKAEVLKRDAARGTMMAAL >Vigun07g115600.2.v1.2 pep primary_assembly:ASM411807v1:7:21384003:21388733:-1 gene:Vigun07g115600.v1.2 transcript:Vigun07g115600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKEESKSSSEESVKLFVGQVPKRMTEDEVLAMFKEFALVDEVNIIRDKVSRASRGCCFLICPSREEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNISEDEVSDLFSKYGNIKDLQILRGSQQTSKGCAFLKYETKEQAVAALEAINGKHTMEGSSVPLVVKWADTEKERQTRRAQKAQSQVANMPHADPQQPSLYGAVPMGYVPPYNGYGYQNQPGFHNMNMNQVNAVRPELGHSMNPRNYPAPPASYMGSYPAMPGIQHPMVYPRGMASPRPVSSSLGSVSPGGGNSNYSSSGASKSSNGQIEGPPGANLFIYHIPQEYGDQELADAFQQFGRVLSAKIFVDKATGVSKCFGFVSYDTPEAAQSAISMMNGCQLGGKKLKVQLKRDNKQSKLY >Vigun07g115600.1.v1.2 pep primary_assembly:ASM411807v1:7:21384003:21388713:-1 gene:Vigun07g115600.v1.2 transcript:Vigun07g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKEESKSSSEESVKLFVGQVPKRMTEDEVLAMFKEFALVDEVNIIRDKVSRASRGCCFLICPSREEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNISEDEVSDLFSKYGNIKDLQILRGSQQTSKGCAFLKYETKEQAVAALEAINGKHTMEGSSVPLVVKWADTEKERQTRRAQKAQSQVANMPHADPQQPSLYGAVPMGYVPPYNGYGYQAPGGYGLMPYRFPPMQNQPGFHNMNMNQVNAVRPELGHSMNPRNYPAPPASYMGSYPAMPGIQHPMVYPRGMASPRPVSSSLGSVSPGGGNSNYSSSGASKSSNGQIEGPPGANLFIYHIPQEYGDQELADAFQQFGRVLSAKIFVDKATGVSKCFGFVSYDTPEAAQSAISMMNGCQLGGKKLKVQLKRDNKQSKLY >Vigun09g055000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:5503408:5504900:1 gene:Vigun09g055000.v1.2 transcript:Vigun09g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVMSLQNPTVAFTTPPNYAARLSNLLSLSGYTPLWCPTLLIQPLPSTLAPFLSPHSLRTVSAIAFTSRTAIQALLQAATSLSHPPLPPEGPTFTLAALGKDADLIDAQFLSAFCTNSNRLCVLVPPTATPTALAAALGDGRSRRVLCPVPRVIGVNEPPVVPGFLEELRRGRWVPVRVEAYETRWAGPRCAEGIVTASEEGGLDALVFTSTAEVEGLLQSLKEFGLGFADLRRRCPRLVVAAHGPVTAAGAQRLGVEVDVVSSRFGSFEGVIEVLNVTFSRFRV >Vigun07g135900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24603757:24615258:-1 gene:Vigun07g135900.v1.2 transcript:Vigun07g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLPSSAYPTRFLRWVYFSSSCIADISETPEIVKLKDSSEFDQNLNFLRNKLAPDNLIRVLDRTNDLNSAVRIFKWASRQKSFHHTSNTYYRIILKLGMAGKIVEMRDFCENMAKDRCPGTEEALVTLVHTFVEHCRIEEAITVLVNMNLGGYRLPIEAFNALLGALVGGKGREFQKALFVYKEMVKACVLPTVDTLNCLMEALSATNQIDLALDQFRRMKNKGCNPNSKTFEILVKGLIENGRVDEAAIILEQMFKVQCQPDLSFHTCIIPLFCRENKIEEAVKLFKMVKDSDLESDSFIYEVLVRCFCNNLQLDSAVALINEMIDSGMPPKHYVLVDIMNCFCELGKIKEAIVFLENRQVHETAPFNILLEGCCNAGEILAANVLLERISERHIADCQSWNILIRWFCENEDIKKAYTLLGRMIKSFVILDCATYSALVVGNCRLGKYEEAMKLFHQICARCWILDFASYSELVGGLCDIKQSEDAMEVFHYMSMKRCSLHSLAFYKLIKCVCNSGQIKEAIRLWQLAYFCAISCCIATHTAIMQELLRSRRAEDMLAFFSQMLMVGSNLDLEVYCILIQSMSKQNKIKECVLFFNTMINEGLIPDPDQLFDQLSFMANHSQLSMISSAIDRISGVDILNSAMYGLLIAGC >Vigun10g165500.1.v1.2 pep primary_assembly:ASM411807v1:10:38457639:38466621:-1 gene:Vigun10g165500.v1.2 transcript:Vigun10g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASPSSKPSPMDPQNPAPSSNPTIPSPSHNNMPSPSLPPLPQDQQQQQQQQNLHQQLSPPQQQQPLVSSQAMNTINGINPISNFQLQQTLQRSPSISRLNQIQPQQQPQQQQQFGVMRQQAGLYGGQMSFAAAGGGAGQQQQLGGSNLSRSALIGQSGHFPMLSGAGSQFNLLSSPRQKGGLVQQSQFSGNSAGQSLQGMQAMGMIGSSNLTSQLRANGALAYAQQLRMSQGQIRQQISQQSSLNTGQVQGLPRSSSLAFMNSQLSGLSQNGQPAMVHNSLTQQQWLKQMPGLSGPASPLRLQQQRQQLASSTQLQQNSMSLNQQQLSQLIQQQKSMGQSQLHQQQQQQQPQQLQQQLIHQQPQQQSQPQASVHQQQQSPRMPGPAGQKSFSLTGSQPDATASGATTPGGSSSQGTEATNQVLGKRKIQDLVAQVDPQGTLDPEVIDLLLELADDFIDSTTTHGCILAKHRKSSTLESKDLLLHLEKNWDLTIPGYSSEEKKYQSKPQLNDLHKRRLDMIRTMMESSASESNISSSKELSRQGISNPTPVGAHHLVRPMSSEQLVSHAGGSQMLQQMTRF >Vigun07g003600.1.v1.2 pep primary_assembly:ASM411807v1:7:289480:291191:-1 gene:Vigun07g003600.v1.2 transcript:Vigun07g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDGPVIVKEEFSPQPLEGLHESAPPPFLTKTYDIVDDVSTDDIVSWSRGNNSFVVWDPQAFSITLLPKYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQRHLLKMIRRRKSSHQPQPLQRSLEHCVEVGRFGLDGEMDGLKRDKQVLMMELVKLRHQQQNTKNHLQSMESRLRKTEQKQQQMMKFLGRAMQNPNFLQQLVQQREWRKELEEAFSNKRRRPICDAEECSDLVKLEQEEYDDEMSELEVSDIELAMNMEEQSGEEEKGGEAESRNEGIEEVLWEELLNEGVEEDLLALEDDDDNEDVTLLSEELGYLASKS >Vigun11g131600.1.v1.2 pep primary_assembly:ASM411807v1:11:33964235:33970162:-1 gene:Vigun11g131600.v1.2 transcript:Vigun11g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEPKFFIPESIQGVNFDVTAQMRMVWEVIKAPLIVPLLNMAVYICLAMALMLFMERVYMGIVIILVKLFWKKPHQRYKFEPLQEDEELGNTNFPVVLVQIPMFNEKEVYKVSIGAACNLSWPADRLVIQVLDDSTDPAIKQMVEMECQRWASKGINITYQIRETRGGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVGNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQAKSELPSTLRAFRFQQHRWSCGPANLFRKMVMEIVRNKKVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCLVIPLTILIPEVHVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEYGRANEWVVTEKLGDSVNSNNKNKPGDAAKKNAATKAPKKTTRSKFVERLNLLELGFAAFLFLCGCYDFVHGKHNYFIYLFLQTITFSIVGFGYVGTIV >Vigun03g356500.1.v1.2 pep primary_assembly:ASM411807v1:3:55778446:55781879:1 gene:Vigun03g356500.v1.2 transcript:Vigun03g356500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITAWIADLFACMGGCFGCCMKPTPIIAVDEPAKGLRIQGQTVRKPHISDGFWSSSTCDLDNANIQSQRSISSVSTLNQILYHSGGTSTTGTNSEFVNPGLLLWNESRLQWIGSEKSSKPSQQQKREPRLNWNATYESLLGTRQPFPKSIPLSEMVEFLVDVWEREGMYG >Vigun03g356500.2.v1.2 pep primary_assembly:ASM411807v1:3:55778446:55781879:1 gene:Vigun03g356500.v1.2 transcript:Vigun03g356500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPIIAVDEPAKGLRIQGQTVRKPHISDGFWSSSTCDLDNANIQSQRSISSVSTLNQILYHSGGTSTTGTNSEFVNPGLLLWNESRLQWIGSEKSSKPSQQQKREPRLNWNATYESLLGTRQPFPKSIPLSEMVEFLVDVWEREGMYG >Vigun03g356500.3.v1.2 pep primary_assembly:ASM411807v1:3:55778446:55781879:1 gene:Vigun03g356500.v1.2 transcript:Vigun03g356500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPIIAVDEPAKGLRIQGQTVRKPHISDGFWSSSTCDLDNANIQSQRSISSVSTLNQILYHSGGTSTTGTNSEFVNPGLLLWNESRLQWIGSEKSSKPSQQQKREPRLNWNATYESLLGTRQPFPKSIPLSEMVEFLVDVWEREGMYG >Vigun06g092150.1.v1.2 pep primary_assembly:ASM411807v1:6:22424680:22427036:1 gene:Vigun06g092150.v1.2 transcript:Vigun06g092150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKGKIVVGCTIVLYQRVLFLEKDEDTKPEQSHRTEVDSIVEVFISSFVGSHFEPKDKKINTGFLGLKFLQEQEDVEFKAAKDYVLPEYARNTRRRYTNLTMKYPTFKFFRNLKFQKHMYYPM >Vigun06g092150.2.v1.2 pep primary_assembly:ASM411807v1:6:22424680:22433222:1 gene:Vigun06g092150.v1.2 transcript:Vigun06g092150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKGKIVVGCTIVLYQRVLFLEKDEDTKPEQSHRTEVDSIVEVFISSFVGSHFEPKDKKINTGFLGLKFLQEQEDVEFKAAKDYVLPEYARNTRRRAPIGLSQYKKHR >Vigun11g050513.1.v1.2 pep primary_assembly:ASM411807v1:11:8447780:8448640:1 gene:Vigun11g050513.v1.2 transcript:Vigun11g050513.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFMVELEGRSNSCKSCKTHLALVDDLISWLLPLLKIRTLANLVKKKGLYPIIVSLESGDCLRYDIITYGVIFSYNEG >Vigun05g242466.1.v1.2 pep primary_assembly:ASM411807v1:5:43549723:43551498:1 gene:Vigun05g242466.v1.2 transcript:Vigun05g242466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIPGIDGPSEGVPRVLSCSNKSPLWLANPREPLCILRMLLKLCLLDDCRFPYP >Vigun09g094032.1.v1.2 pep primary_assembly:ASM411807v1:9:13726391:13727374:1 gene:Vigun09g094032.v1.2 transcript:Vigun09g094032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSDFPKRQRKSLATRRNLEKLRLELSKINGEVQCFAPWKGINGNWGVPRYGIGEVHGPAVASIAEAVPDPLLKPFINNVSAPKSFDVHLGEGFCSNTSNISNAKGIRIEGLMELQQRHEEENASDEL >Vigun06g017832.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8274179:8274511:-1 gene:Vigun06g017832.v1.2 transcript:Vigun06g017832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSCSQPMTNRNSKFPS >Vigun09g208900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38342162:38346056:1 gene:Vigun09g208900.v1.2 transcript:Vigun09g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDNDSVVTQISIGGFGSEVKASDLVNYLEDKIGLVYRCRLKTSWTPPESYPEFNITDTAKITRTDDYMKVKPHAFVHFASSESVTAALNASGSCDMFLKNESLKVSCGPENPYFLNKRRRTKTPFKMSDVIVEIGTLVSPWEFSVAWKGPDKGVKFLVDPFDGMCRFCFTRDTAFSFKGIEKKAVIKCDFQVGFLVRDINEIRRYNDTSYLVVLLHLASSPWVWYRTAEDDIEDLVPYDLLDDDDPWIRTTDFTPSGAIGRCNFYKISIPPRHGAKLMKAMLYLKAQRVQTQELPPKQTTLRALNEPDFGRPMSDAFFYVDYQKDISFDVMFLVNAIVHKGIFNQHRLSDGFFELLRNQSKELNVAALKHLCSYKRPVFDATKRLKLVQEWLHRNPKLYQISKKLDDVVEVRRLVITPSKAYCIPPEVELSNRVLRKFREVSDCFLRVTFMDEGMQTINVNALNYYVAPIVKEITSNSFPQKTKIYNRVKTILEKGFSFCGRKYSFLAFSSNQLRDRSAWFFAEGKIRCDEIRSWMGKFNQKNVAKCAARMGQCFSSTYATVEVVANEVNFSLPDVERNNYVFSDGIGIISPDLAREVAEKIEIGQYTLCLPDQIRWF >Vigun05g038300.2.v1.2 pep primary_assembly:ASM411807v1:5:3082490:3085634:1 gene:Vigun05g038300.v1.2 transcript:Vigun05g038300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTEEGSDAGMDMFLRNYKVGKTLGFVSMLGKMKIAEHVLTGKKIISGVEYCHNKMVVHRDLRPENILLNFVCDVKITGFGWSNIMHGGHFLNTSGGSSNYGAPEVMCRKLYIGPEVDVWSCGVVLYFILCGILPFDDENIPNLFTKIKGGVFTLPSYLSPEARDLISKMLNVEPIKRITVSEIQQHPWLQLHL >Vigun05g038300.1.v1.2 pep primary_assembly:ASM411807v1:5:3082490:3085634:1 gene:Vigun05g038300.v1.2 transcript:Vigun05g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTEEGSDAGMDMFLRNYKVGKTLGFVSMLGKMKIAEHVLTGKKVIIQILKRDNIKNMKMEEQVKLEHGILRLLKHPSIIKLHEVIETPTDICVVMEYASKELFDYVIERGRLEEDEGRNIFRQIISGVEYCHNKMVVHRDLRPENILLNFVCDVKITGFGWSNIMHGGHFLNTSGGSSNYGAPEVMCRKLYIGPEVDVWSCGVVLYFILCGILPFDDENIPNLFTKIKGGVFTLPSYLSPEARDLISKMLNVEPIKRITVSEIQQHPWLQLHL >Vigun05g038300.4.v1.2 pep primary_assembly:ASM411807v1:5:3083557:3085634:1 gene:Vigun05g038300.v1.2 transcript:Vigun05g038300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRDLRPENILLNFVCDVKITGFGWSNIMHGGHFLNTSGGSSNYGAPEVMCRKLYIGPEVDVWSCGVVLYFILCGILPFDDENIPNLFTKIKGGVFTLPSYLSPEARDLISKMLNVEPIKRITVSEIQQHPWLQLHL >Vigun05g038300.3.v1.2 pep primary_assembly:ASM411807v1:5:3082490:3085634:1 gene:Vigun05g038300.v1.2 transcript:Vigun05g038300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRDLRPENILLNFVCDVKITGFGWSNIMHGGHFLNTSGGSSNYGAPEVMCRKLYIGPEVDVWSCGVVLYFILCGILPFDDENIPNLFTKIKGGVFTLPSYLSPEARDLISKMLNVEPIKRITVSEIQQHPWLQLHL >Vigun08g214500.1.v1.2 pep primary_assembly:ASM411807v1:8:37495729:37499369:-1 gene:Vigun08g214500.v1.2 transcript:Vigun08g214500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRFPRSTISTITLSSSAMSIAFCIVLALSFLVTHSHSSHHTDANGGVAHGFESTRRPILALKTDPLKPRLDQIRKQADDHRSLALLYASYARKVKLESSKLVRVFAELSRNFMALMNKPKYRPLFGDDLSHIDESVLRQLEKEVKERIKTTRQVIGDAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSISKGLHCLSMRLMEERIAHPEKYSDEGKPTPPEVQDPKLYHYALFSDNVLAASVVVNSAMKNAKEPHKHVFHVVTDKMNLGAMQVMFKLKDYSGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHKILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIREKFSPKACAWAYGMNFFDLDAWRRTKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLHKSWHVLGLGYNPSIGMDEIKNAAVVHFNGNMKPWLDIAMSQFKPLWTKYVDYELEFVRACNFDF >Vigun08g214500.3.v1.2 pep primary_assembly:ASM411807v1:8:37495729:37498442:-1 gene:Vigun08g214500.v1.2 transcript:Vigun08g214500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNKPKYRPLFGDDLSHIDESVLRQLEKEVKERIKTTRQVIGDAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSISKGLHCLSMRLMEERIAHPEKYSDEGKPTPPEVQDPKLYHYALFSDNVLAASVVVNSAMKNAKEPHKHVFHVVTDKMNLGAMQVMFKLKDYSGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHKILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIREKFSPKACAWAYGMNFFDLDAWRRTKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLHKSWHVLGLGYNPSIGMDEIKNAAVVHFNGNMKPWLDIAMSQFKPLWTKYVDYELEFVRACNFDF >Vigun08g214500.2.v1.2 pep primary_assembly:ASM411807v1:8:37495729:37499369:-1 gene:Vigun08g214500.v1.2 transcript:Vigun08g214500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNKPKYRPLFGDDLSHIDESVLRQLEKEVKERIKTTRQVIGDAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSISKGLHCLSMRLMEERIAHPEKYSDEGKPTPPEVQDPKLYHYALFSDNVLAASVVVNSAMKNAKEPHKHVFHVVTDKMNLGAMQVMFKLKDYSGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHKILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIREKFSPKACAWAYGMNFFDLDAWRRTKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLHKSWHVLGLGYNPSIGMDEIKNAAVVHFNGNMKPWLDIAMSQFKPLWTKYVDYELEFVRACNFDF >Vigun03g173800.1.v1.2 pep primary_assembly:ASM411807v1:3:21307544:21313055:1 gene:Vigun03g173800.v1.2 transcript:Vigun03g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPHQKQKNKYSIIVPTYNERLNIGLIVYLIFKHLRDADFEIIIVDDGSPDGTQDVVKQLQQVYGEDRILLRARPRKLGLGTAYIHGMKHASGNFVVIMDADLSHHPKYLPSFISKQLETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDIISCCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >Vigun11g150100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36042517:36043739:1 gene:Vigun11g150100.v1.2 transcript:Vigun11g150100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun05g216700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40858691:40859676:-1 gene:Vigun05g216700.v1.2 transcript:Vigun05g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAYGPPRGYVSIEKQEYGGYPRPYGYNPRRHHGSSGLCGCLKCLCCCCMSCCRCCIYVILFILIILVIIGVVLYYLIKPIVPSYDVEQIEIKSFELRKDDMLYAEIVVVVKAENPNEDIGMDYLENEVRVMYSGAQICSGEIPSFLQPGKNITNINVELKGESKFGAQRQNRFMEDQHVGKIPLLVTVKLPIRFVIKDFIHLQKIVANVNCSLLVDNLKPNSKPSILDKDFTYGIDF >Vigun09g159000.2.v1.2 pep primary_assembly:ASM411807v1:9:32593480:32597391:-1 gene:Vigun09g159000.v1.2 transcript:Vigun09g159000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLKQMLLVVLLSAAASAKTEPVSKPNCPSKCGNVTIPFPFGLTEACSLNTSFLITCNQTLSPPIPFLNTTDQKVRVLNISLDGNLHVSFPAATRCVDNKTGESDNENWIFKFNLTPFHISSKQNKLTVLGSDTAGVVSEVDSTSRKLYVTTACVSVYSGLEKEPTNDDSCSGNFCCQTPIQQRLSEFIYYCSANIFNSNFTQPFQSYPCGYAFLVKEGAYNFSMADLVNFYSSNNTFPVVMDWTVGNTCLDAQNNASSYACKAKYSECRNAEVGSGYHCQCSTGFRGNPYLPHGCQDVDECTEASHDCLKGRSICSNTIGSYSCSCPKGYEGDGKISGSGCVISSNRKFIISFVVYSPHIVDQEFLFQELFNHLFSLVFRVSNNSLDLLCEWKYIGTAGGTLYLCCTLKKRKLNKLKEHFFQLNGGLLLQQQISRFCGSNELTKVFTVEELKEATNNFSEEMVLGAGGEGTVCKGILPDNRTVAIKKSRISNPNQIEHFINEVILLCQINHRNVVKLLGCCLETEVPLLVYEFVPNGTVYEHLHDQTKSLRLTWKRRLQIAVETGGALAYLHSATHAPIVHRDVKTSNILLDHNLTAKVSDFGASKIIPLDRTQLTTLSDVYSFGVVLVELLTGEKALSFERPEAHRNLAIHFLSSMNEGRLLSIVDCRIIDEANVEQLMGVANIARHCLRLKGEERPTMREVAMELEEINIVEKHQWETINLSSEETETLLKATPSSSFRVDGVNRGSMHSGSDILNRISFSLTSGR >Vigun03g400500.1.v1.2 pep primary_assembly:ASM411807v1:3:60755283:60760311:1 gene:Vigun03g400500.v1.2 transcript:Vigun03g400500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNYTKSYYMANQNQNQNRPMLVSKIGIIGAGVSGLAAAKQLSHHNPIVFEASDSIGGVWSHCSYNSTRLQSHRRDYEFTDFPWPQRDNPNFPTHFEILNYLHSYAHHFDIFKNIRFNSKVLQIRFSGNREVTHFSSLLPGQPVWEVAVRTNNSDTIQWYEFEFLVVCVGKYGDTPKIPSFPHKKGPQIFKGKVMHTLDYCKLDQEAATELLRGKKVVVVGFKKSGLDLAMECARANQGPEGEACTMVVRTLHWTVPHYWIWGLPFFMFFSTRSSQFIHERPDQGLLRTLLCLMLSPLRRGISKFIESYLLWKLPLEKYGLKPEHPFVEDYASCQMAIMPENFFSEAEKGKIVFKKASKWWFWNGGIEFEDNTKLNADVVILATGFDGKKKLKTILPEPFSSLLEYSSGIMPLYRGTIHPLIPNMAFVGFVESVSNLHSSELRSMWLSGLVDDKFKLPSVESMLSQTAKEIEVMKRSTRFYKRHCISTYSINHSDEICKDLGWSSWRKKNWLSEVFGPYSIQDYAKED >Vigun03g175700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21729951:21730361:1 gene:Vigun03g175700.v1.2 transcript:Vigun03g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun02g175000.1.v1.2 pep primary_assembly:ASM411807v1:2:31730117:31734750:-1 gene:Vigun02g175000.v1.2 transcript:Vigun02g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGNKKEKDLELFFEDVINDAAQLDVETAKLESESSSSDEEEEELHQTHSHSFTSLQWPQSYKETTDSYTLAATPHFESILRVPSIILSSFENRPKHNLQIDEKTPFLSCPEVTTQSPLQKEDAAQGHLVAELPVSRGCSLIQTIFNATNVMAGVGILSTPYTMKEAGWISVVLMVLFAVICCYTATLMRYCFESREGINSYPDIGEAAFGKYGRIIVSIILYTELYSCCVEFVTLEGDNLTGLFPGTSLDLGGWHLDSMHLFGIFAALVFIPTVLLKDLRIISVLSAGGVFATLLIVICVYCVGMMDGIGFHHSTKFVKWSGIPLAIGIHGFCFAGHSVFPNIYQSMADKTQFTKALIVCFALSVAIYGGVAIMGFLEFGNDTLSQITLNLPRNAFASKVAMWTTVINPFTKYALLMTPLARSLEELLPDRFSRTNQCFVLIRVALVVSTLCAAFLIPFFGYVMALIGSLFSILVSVIFPSLCFMRIVGKKASYTQVALSVVITAFGVIFGVLGTYSSVVNIVNSY >Vigun02g175000.2.v1.2 pep primary_assembly:ASM411807v1:2:31729507:31734750:-1 gene:Vigun02g175000.v1.2 transcript:Vigun02g175000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGNKKEKDLELFFEDVINDAAQLDVETAKLESESSSSDEEEEELHQTHSHSFTSLQWPQSYKETTDSYTLAATPHFESILRVPSIILSSFENRPKHNLQIDEKTPFLSCPEVTTQSPLQKEDAAQGHLVAELPVSRGCSLIQTIFNATNVMAGVGILSTPYTMKEAGWISVVLMVLFAVICCYTATLMRYCFESREGINSYPDIGEAAFGKYGRIIVSIILYTELYSCCVEFVTLEGDNLTGLFPGTSLDLGGWHLDSMHLFGIFAALVFIPTVLLKDLRIISVLSAGGVFATLLIVICVYCVGMMDGIGFHHSTKFVKWSGIPLAIGIHGFCFAGHSVFPNIYQSMADKTQFTKALIVCFALSVAIYGGVAIMGFLEFGNDTLSQITLNLPRNAFASKVAMWTTVINPFTKYALLMTPLARSLEELLPDRFSRTNQCFVLIRVALVVSTLCAAFLIPFFGYVMALIGSLFSILVSVIFPSLCFMRIVGKKASYTQAYVQPNELLIIYVTGLLRASSYF >Vigun03g352500.1.v1.2 pep primary_assembly:ASM411807v1:3:55435638:55440320:-1 gene:Vigun03g352500.v1.2 transcript:Vigun03g352500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENASKSISTSQCDDQLSNSKINTLVRLNVYDLTPVNNYVYWFGFGIFHSGIEVHGKEYGFGAHDFPASGVFEVEPRKCPGFIYRCSITLGQTNMSASEFRTFIENMAAEYHGDTYHLITKNCNHFTEDLSNRLTGKQIPGWVNRLAKLGALCSCLLPESLQVTSVKQLPEYHECSEDEFVESLSPATPRESTEIDEEQEKHLLSSSTVTEDVTFVRESQAK >Vigun03g352500.2.v1.2 pep primary_assembly:ASM411807v1:3:55435638:55440327:-1 gene:Vigun03g352500.v1.2 transcript:Vigun03g352500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENASKSISTSQCDDQLSNSKINTLVRLNVYDLTPVNNYVYWFGFGIFHSGIEVHGKEYGFGAHDFPASGVFEVEPRKCPGFIYRCSITLGQTNMSASEFRTFIENMAAEYHGDTYHLITKNCNHFTEDLSNRLTGKQIPGWVNRLAKLGALCSCLLPESLQVTSVKQLPEYHECSEDEFVESLSPATPRESTEIDEEQEKHLLSSSTVTEDVTFVRESQAK >Vigun03g378100.1.v1.2 pep primary_assembly:ASM411807v1:3:58166629:58171864:-1 gene:Vigun03g378100.v1.2 transcript:Vigun03g378100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLASPSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNTGSSMEPPISNALMAALKRAQAHQRRGYPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNAVPSTVNSGLGFRPSAVAPSNSATGRNLYLNPRLQQQQQQQGSAAQHRGDDAKRIVDILLRSKKRNPILVGESEPEAAIKEVIKKIENKELGDGAFANAHVIHLEKELPSDKAQIPARLKELGDLIETRTGNSGSGGIFVDLGDLKWLVEQPAGFAVGGGLGNMQQLTLAEAGRAAVAEMGRLVSKFGEGGAGRLWLLGTATCETYLRCQVYHPTMENDWDLQAVPITTRAPLPGIFPRLGTNGILGTSLESLSPLKTLSTTTIAPLRRASENVDPAAVSICCPQCMQNCEREVAEMLKETEKSDTELKSEAAKPSLPQWLQNAKTNNDNGKVMDQAQSNGQEVNAKKRTQEIQKKWHDACLSLHPKFHQLSVSTERLVPTPLPMTGLYNMNLLARQFQPKIPLNKNLGTTLQLSANPVPIHTPERAMSPQQSPVRTDLVLGQTKPADAIPEETHKEGINDFLSCLSSESQDKFDELQSKKLLDADSFKKLLKGLTEKVWWQQDAASAVATTVTQCKLGNGKRRSKGDTWLLFVGPDRIGKKKMAAALSELVSGSNPIIIPLAQRRGDGGDSDAPHLRGKTALDRIAEAIRKNPLSVIVLEDIDEANILLRGSIRRAMEQGRFPDSHGREVSLGNVMLILTANGLPEDLRYLSNGSPLDEEKLENLAKGGWQLRISVGKRASKRRPSWLSDEDRSLKPRKEVNSGLSFDLNEAADAAEEDRGDGSLNSSDFTVEHDENNHDGGGSLSTVPRELLDSVDDAIVFKPLNFDLIRRNFSTSIAKRFSTVVGNGVSIEVQEDALDKITSGVWLGQTTIDEWMDKVLVPSFHQLRKNLNSSTHDHDSSSVVFRLEDDGYSDRRGSQEWLPATVRVVGE >Vigun06g193400.1.v1.2 pep primary_assembly:ASM411807v1:6:31015914:31020776:-1 gene:Vigun06g193400.v1.2 transcript:Vigun06g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFWEQRWITIAVVTVAFVIATSHSESPPLLVASPPCPFNSIFSFGDSLADTGNLYLASHPASKPCFLPPYGETFFHHVSGRCSDGRLIIDFIAESLGLPLVKAYMGNKNGDGGANFAVSGATALEPSFFEERGFSVPTNYSLTLQLNWFKELLPSLCNSSTDCGKVFENSLFLMGEIGGNDVNYLFFQRKSIAEVKTYVPHVINAIASAIHELIGVGAHTLIVPGKLPIGCSVIFLTIYETLDEKQYDQSGCLKWLNKFAEYYNSELQNELDKLRAIHPHANIIYGDYYNAALPLYRDPTKFGFTSLKACCGKGGSYNFNELVKCGDPSVNVCHNSSEYIGWDGIHLTEAAYKLIAQTFVKGPYSQPQFSSLCLSNANFNYFSS >Vigun06g211400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32414729:32416152:1 gene:Vigun06g211400.v1.2 transcript:Vigun06g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGGSSYSASDYGEYNFNSYSLNYDYAQISSPTAYSGYEYNQPYYGYDPSLYYAPNYPAQTYPTISYSATTYSDPKSVVYDPNYGMTHLVISYSNAEFNVPEFEEYDSTPYDGGYDIDQTYGKPLPPSDKICYPRSGSSPISNPIPMAIVPLPTIEEGTDEKAITPQNGTAAQIAEEKPQSQDSGRDQQSKVEDNSHEDEGNESEGSDHGDDYHVESGVGEGYSGGQGYENEKQVGSQYPSGYGLEAVDICESLFGYWPCLERMKKREECYCKEVAYRGNQCQENMWQGTADYLFGSPYPYGNAEDGSGYGGEAVYGYQRHYPMQAQYKQIDYNAEFW >Vigun04g120150.1.v1.2 pep primary_assembly:ASM411807v1:4:30824775:30831852:1 gene:Vigun04g120150.v1.2 transcript:Vigun04g120150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAIYDKVLSHGLDQKYIFLIETHERHYEGWKGTKDLILKVFYISFHIMPHRVPYLCWNGNYCYR >Vigun03g322800.1.v1.2 pep primary_assembly:ASM411807v1:3:51864171:51869726:-1 gene:Vigun03g322800.v1.2 transcript:Vigun03g322800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFFNVPENLITWLCLMLLSSSLLIPRAGCSGTGIRETGLTCGDYENPESTASNFMAIMDMVSFQVKERGWGAQTLLGSGPPMYALAQCRRDLKPADCYMCFSQAKLVLSRCVPKAAGRIYLDGCFLRYDDYSFIRESVDPTHDIGICVAPTLGNDTASLVSAMVSNVTEEATERGFAVDGGEGIFALAQCWSTLNKGRCKICLTEAAKKVLECVPSGEGKSLFTGCILRYSRHKFYNDVSTSNDIKVSPSTVQVNSEGPGVWTIVAFVLSLMVGISFIVIAASMCCHRMSSRRKVSDSGGLAPDFSFVAGFSFRYDLLENATDHFDPANKLGQDGAASVFKGTLPTGKIVAVKRLFFNKRQWTEGFFNEINLISGIQHKNVVKLLGCSIEGPESLLVYEFVPNGSLDQFLFGRGSEDALNWEKRFQIICGVAEGLAYLHEGSGTKIIHRDINSSNILFDENMNPKIADFGLARIVTGNKSHLKTANSRTLGYSAPEYVSKGQLTEKADIYAFGVLIVEIVCGKRNSDHIEGSTSLLHSVWKNYKANNITASVDPALKGKLTVEEASHTLQAGLLCTQSNVTLRPSMSEVVQMLTKKDYAIPSPKQQPFLNFGGLSQSDRTVSSNGLASARSSFHSTTSSLIPNEI >Vigun05g081800.9.v1.2 pep primary_assembly:ASM411807v1:5:7698620:7708595:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.5.v1.2 pep primary_assembly:ASM411807v1:5:7698602:7708611:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDWGFQVTFSEKSSLVAAGKGEDCMDIDRNVTQSFEKSEYREQIRKRNSFTALEVLDRLTESRKATILLQSVLLNMPEKFNCLQQRLQFLESLELASSELKLVNQVLTKVSANMRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.8.v1.2 pep primary_assembly:ASM411807v1:5:7698619:7708595:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.4.v1.2 pep primary_assembly:ASM411807v1:5:7698620:7708595:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDRNVTQSFEKSEYREQIRKRNSFTALEVLDRLTESRKATILLQSVLLNMPEKFNCLQQRLQFLESLELASSELKLVNQVLTKVSANMRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.1.v1.2 pep primary_assembly:ASM411807v1:5:7698620:7708595:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELEWNDEVREGVLKRLKLWQQCSNKAAGARVTELVEHLNTLGIALPSPELGELLVSQICFDNNHPWMWKFTHHALSSRLLFPLQILSLLASNAIPHRHSHPHSFALFLPLLSQHAFSFHPTPSLSCNRKIVSSVDSALRISETYKVRDLEIGHVFVLFFFEIVISLLDCMLIDWGFQVTFSEKSSLVAAGKGEDCMDIDRNVTQSFEKSEYREQIRKRNSFTALEVLDRLTESRKATILLQSVLLNMPEKFNCLQQRLQFLESLELASSELKLVNQVLTKVSANMRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.2.v1.2 pep primary_assembly:ASM411807v1:5:7698601:7708632:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.6.v1.2 pep primary_assembly:ASM411807v1:5:7698618:7708595:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun05g081800.7.v1.2 pep primary_assembly:ASM411807v1:5:7698602:7708611:-1 gene:Vigun05g081800.v1.2 transcript:Vigun05g081800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSRRGTSNGAGGTLEHTGHCASQSRARGTISLTDMLRQQPPLDVEVHAPRPLLAPPLPPSNTLSSRFQRHTSPPLSPSLFRSLPPSPLATRVLLSPYAVSLLQPQDREFCGLRASDFGNLQGKGEDCMDIDRNVTQSFEKSEYREQIRKRNSFTALEVLDRLTESRKATILLQSVLLNMPEKFNCLQQRLQFLESLELASSELKLVNQVLTKVSANMRGVSHFDYCPSKHQLVGTLINMASCKIPLRCNYRFCQSPCWVPFDIYMENAMDSRQIPTKSAIDVLTEGIKTLQILNQASWQETFLALWLSALRLVQRERDPPEGPIPHLVARLCVLLCIVPLAIANVLRDASEHNLSSVQVSMESEYTHEMKSDDSMKLELMSSVQVLGHFSGLLCPPTLVIDAANQAARKAASFIYNSMNGKGESGSGIHANANTKAGGNLRHLIVEALIARNLMDTSVYFWPGYVSTSVLSLSDSSPLEKSPWSMFMEGTPLNNTLINSLSVTPASSLAEIEKLYYIALNGSDVERPAAAKILCGASLGHGWYIQEHVIHYVVKLLASPLPTSHAGSRSLLVDSMPMLCAVLRGASSVDTVHILSLHGVVPTVAAALLPLCEAFGSIKPTSNSTGDESSTTYMAFSLAFLFLIRLWKFCRPPLDLCITELGVAVGGLEYILSLHNHRVMYSQDKRKSNPNLPESASVKPVYIDSFPKLRALYCQYKSCVASALSGISTGNSIHQTANMILSMIYQKISKVGISSSNSSSPTSSNACSSLINSGDDAFQRPMLPAWEVLEALPFVLESILTACAHGRISSRELTTGLRDLVDFLPASLAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAALLPSIELEIKDILTHVGVEVPICSSGGSPVTLPLPMAALVSLSITFKLDKSLEYMHAITGAALENCASGCPWPSMPIIGSLWAQKVRRWHHFIVVSGSRSVFRQNNESVAQLLRSCFTSFLGTLSVSTSKLSDECGVNGLLGNTITTPGAYPYVAPGFLFLRSCRNIHNVQYVNDIIVGLVTEYSNELAGRRSDAGSRHIKSNQSSLSLAAQSAKEVATLGASLLCAAGGMLLVQELYKETIPTWLLSSRDVKKNNDSVASYILEGYAMAYLLILSGSIIWGVGSKLPPGTFSRRSRTIEVHLDFLAEVMDKKISLSCNPITWKTYVCCFVGLMVSLAPVWIQEVRVDTLRKLACGLSRWDERELALSLLHRGGMAAMGALAELATVIECERMLNSS >Vigun10g040100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:5448928:5449200:-1 gene:Vigun10g040100.v1.2 transcript:Vigun10g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun01g251500.1.v1.2 pep primary_assembly:ASM411807v1:1:41781372:41785181:-1 gene:Vigun01g251500.v1.2 transcript:Vigun01g251500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFQLFSSSASGFGIFDDAAQQSPPIPPPPCVEVLPSEVPSSAKNNLDSVNLDGVTLLKGRVSTQQVFGLSNSDLVPGKYEGGLKLWEGSLDLIKALRSDIRSGLTSFGGKRVLEVGCGHGLPGIFALLEGAAAVHFQDFNAEVLRCLTIPNLNANISGESQPSPSNPTICDKAEVRFFAGDWSEIDKMLPYVSTDDNCGGGYDFILMAETVYSVSSLQTLYNLIKKCLQNPDGVVYMAAKKYYFGVGGGSRRFLSLVEKDGVFSSSLVAEITDGSSNVREVWKLSFK >Vigun03g283600.1.v1.2 pep primary_assembly:ASM411807v1:3:46407971:46411052:-1 gene:Vigun03g283600.v1.2 transcript:Vigun03g283600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLNKLVVSYCNWGGSSRGIRAFMESHLPAFKEKNPQLEVVIELIRGQHPHLKGFYKNKNERVICVKNMDPEDIHLHATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTALEY >Vigun03g431200.3.v1.2 pep primary_assembly:ASM411807v1:3:63556194:63557033:-1 gene:Vigun03g431200.v1.2 transcript:Vigun03g431200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKDIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Vigun04g071700.1.v1.2 pep primary_assembly:ASM411807v1:4:8336972:8337847:1 gene:Vigun04g071700.v1.2 transcript:Vigun04g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNVGQAKGQAQEKASNMMDKASDAAHSAQDSMQQAGQQMQEKAQGATDSIKSALNSKN >Vigun04g071700.2.v1.2 pep primary_assembly:ASM411807v1:4:8336972:8337847:1 gene:Vigun04g071700.v1.2 transcript:Vigun04g071700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNVGQAKGQAQEKASNMMDKASDAAHSAQDSMQQEKAQGATDSIKSALNSKN >Vigun06g129500.9.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.6.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISEYLHGDESAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.1.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKISGKFSSSICDYMFLTREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISEYLHGDESAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.4.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTITINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.8.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQMVVL >Vigun06g129500.2.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISEYLHGDESAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.3.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.7.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKISGKFSSSICDYMFLTREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISEYLHGDESAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun06g129500.5.v1.2 pep primary_assembly:ASM411807v1:6:25595372:25598905:1 gene:Vigun06g129500.v1.2 transcript:Vigun06g129500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGCGIMALRYFCTTNISTRYHDVSDKTLVPSSFSVTNSRMHSSTPETNTKNKSTADNLKSLVNTLVLPSISSSPLHSLHKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDIVCLRRPWVMISVNDDKDLHFRKAEFDPEECPADCSRPCENVCPASAISFRGKSSGISYNTEAPSVLKDGVITERCYGCGRCLPVCPYDKISGKFSSSICDYMFLTREVTYVRDAITTADLILRDDVDAMEIHTSGRQSTLFKQLWSALGDSVQNLKLIAVSLPNGGDSTISSMNYMFSIMKPNLQSFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKERPPGFLQLAGGTNAHTIEGLKKEGLFQTTISAINSSDSPHALIGGIAYGGYARKIVGRVLRSMQSEHGGAASIEDHSEYLLMALKEALALVGPVKCL >Vigun03g227200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37780507:37781334:-1 gene:Vigun03g227200.v1.2 transcript:Vigun03g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTPFPLLMISLCFVLLVNNVKSDSLSFSFSKFEPGTQFDIGFLGDARSVDGAIQLTRRDGGSYGAPIIRKHSVGRAVYIPPVRLWDKSTGKVADFETVFTFVVDSAGSQIHADGLSFFIIPFDADPSIPKNSSGGYLGLFTPETAFNVDKNQIVAIEFDSFGNDWDPKPVAIAPHIGVDINSLESVETIDWPINAVPEGLIGEASIRYDSEKKELHVAVGYGTQPPTIVQLSETIDLSGVLPEWVRIGFSGATGDSVETHDILSWTFGSRI >Vigun09g045200.3.v1.2 pep primary_assembly:ASM411807v1:9:4283020:4288137:1 gene:Vigun09g045200.v1.2 transcript:Vigun09g045200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTHGRKEGQGKRLGKYELGRILGEGNFGKVKLARDTNSGKLFAVKILEKNKIIDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDKIASKGKLEEAEGRKIFQQLIDGVSFCHTKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHFRDDGLLHTTCGSPNYVAPEILANKGYDGGTSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDLQIPRWLSAGARNMIKRMLDPNPKTRITMAMIKEDEWFKEGYIPANPEDEEEGVFIDDEAFSIHNTSLESDQGSPTSTLVNAFQLIGMSSCLDLSGLFENEDVTERKIRFTSTHSPKDLEERIEDIVTGMRCRVQKRNGMMKVTQDTKAQKCLCSLSVIIEIFEISPTLFVVELSKCCGDASVYRQLCQKLSNELGFEPNQQLESSEMIGLLRENNLEAI >Vigun09g045200.4.v1.2 pep primary_assembly:ASM411807v1:9:4282695:4288137:1 gene:Vigun09g045200.v1.2 transcript:Vigun09g045200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTHGRKEGQGKRLGKYELGRILGEGNFGKVKLARDTNSGKLFAVKILEKNKIIDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDKIASKGKLEEAEGRKIFQQLIDGVSFCHTKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHFRDDGLLHTTCGSPNYVAPEILANKGYDGGTSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDLQIPRWLSAGARNMIKRMLDPNPKTRITMAMIKEDEWFKEGYIPANPEDEEEGVFIDDEAFSIHNTSLESDQGSPTSTLVNAFQLIGMSSCLDLSGLFENEDVTERKIRFTSTHSPKDLEERIEDIVTGMRCRVQKRNGMMKVTQDTKAQKCLCSLSVIIEIFEISPTLFVVELSKCCGDASVYRQLCQKLSNELGFEPNQQLESSEMIGLLRENNLEAI >Vigun09g045200.2.v1.2 pep primary_assembly:ASM411807v1:9:4282689:4288137:1 gene:Vigun09g045200.v1.2 transcript:Vigun09g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTHGRKEGQGKRLGKYELGRILGEGNFGKVKLARDTNSGKLFAVKILEKNKIIDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDKIASKGKLEEAEGRKIFQQLIDGVSFCHTKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHFRDDGLLHTTCGSPNYVAPEILANKGYDGGTSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDLQIPRWLSAGARNMIKRMLDPNPKTRITMAMIKEDEWFKEGYIPANPEDEEEGVFIDDEAFSIHNTSLESDQGSPTSTLVNAFQLIGMSSCLDLSGLFENEDVTERKIRFTSTHSPKDLEERIEDIVTGMRCRVQKRNGMMKVTQDTKAQKCLCSLSVIIEIFEISPTLFVVELSKCCGDASVYRQLCQKLSNELGFEPNQQLESSEMIGLLRENNLEAI >Vigun09g045200.1.v1.2 pep primary_assembly:ASM411807v1:9:4282689:4288137:1 gene:Vigun09g045200.v1.2 transcript:Vigun09g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTHGRKEGQGKRLGKYELGRILGEGNFGKVKLARDTNSGKLFAVKILEKNKIIDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDKIASKGKLEEAEGRKIFQQLIDGVSFCHTKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHFRDDGLLHTTCGSPNYVAPEILANKGYDGGTSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDLQIPRWLSAGARNMIKRMLDPNPKTRITMAMIKEDEWFKEGYIPANPEDEEEGVFIDDEAFSIHNTSLESDQGSPTSTLVNAFQLIGMSSCLDLSGLFENEDVTERKIRFTSTHSPKDLEERIEDIVTGMRCRVQKRNGMMKVTQDTKAQKCLCSLSVIIEIFEISPTLFVVELSKCCGDASVYRQLCQKLSNELGFEPNQQLESSEMIGLLRENNLEAI >Vigun08g190600.1.v1.2 pep primary_assembly:ASM411807v1:8:35832776:35840576:-1 gene:Vigun08g190600.v1.2 transcript:Vigun08g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHCEEEECVGFSYDVFISFIGKDTGHNFIGHLRRELGRKGVETFKDDDSDLRIGEGVSAGRSEAIEESRVFIVVFSENYASSTWCLDELVSIMEQTDMSRKQVVFPVFYHVDPSDIRKEKNSFGKHMKSHQNKFGKEKMKAWRSALSEAVNFPGKHITTGYENDIIQEIVEKVRKNIAPKPLCTGDKAVGLERCIEEVISLLDMKDNTVRMLGIYGLGGIGKTELAKALYDKIVQHFDAASFLADVREKSNRINGMEDLQKALLSEMLEELETELGSAYKGIYEIKRKLHQKKVLLVLDDVDDKEELEKLAGGCDWFGPGSRIIITTRGKDVLIAHHVENIYEMKGLDAQHSLELFCLNAFGQSCPKTGFHGVSAHAVDYAKGLPLALKVIGSDLAILHESLDAWKDALEEYKKTPPNTIQDVLKISYDRLHDYAKQVFLDIACFFKGEIMEYVNNILKEFRSTSNMKILVNKSLITIENGCLKMHDLIQDMGREIVRNEAPNYPGERSRVWDYEDVLEILNEDYGSDKIQGIVLDPPQEEKVNWSGTEFEKMKWLRILIVRNTSFSSELQHLPNHLRLLHWENYPSKSFPPKFHPKKIVVFNLPRSCLTFQEPFKKFPCLTNMDFSYNQRLIEIPDVSELENLRELRLDHCRNLIAVHESVGFLKRLAHLSVYGCTKLQNFVSKMFLPSLKVFDINLCESLGYFPEIMQEMTKPLKISMINTGIQVLPESIGKLIGLVSIDISNNRKLKYLPTSLFMLPNVDSFKIESCSKLGESFRSLVQHPSEANVRPKLRSLNFENGNLSDEDLLAILCYFPKLEELIVAENNFVFIPSCIKECGDLTSLDLHGCKKLKKIPELTGLRILDVHHCFYLEEISELPSTVQKVDARFCFKLTKETSDMLWCQVKKAEGGIEMVMPFISVIPEWFNYVGVERIPRFWVRGKFPKIVLAMIFHFEIESQRDEFVRGRHVDLRLLINGRYAPGKGYHNYEIEAEHILICDLGVFLSEKEWLGLDVENEWNLVQVEYEASSSLMISGWGAFVYEEKEGSNMEDIFFICPNPMNSEKIPAATIPEKDPMEKYKKMIRQLGLVDLFQKTLTEWQENRERGGDRSHDDCMRIQLGQLKKISEDAEDALNSKGSALEDPNSYLRWLLDTLENDDGKPKEIIKGDLALITLEKPLTWKKKDNVGEASCSGHRGSNEEEEGYDPVVEDPTIPFYTHVMRKRKVNDSVEEDLPEDIVVELFLEGMRDGLVEAQIKFPSLDIAETSNAVMEKGGNVRWAPEGEAQISVQSRIYMSGIYSGLLEAKLRFPDLDMWATINTVAKRKGMEGIFVSASQANLGFPHLDWSTVTLPPSQDPLMQTFMRMKQQSNFEAEVMSKLLWKLKEEHQALRNKLAELDEGNENGGGKIGYDEFVEMRQDNLDGVAKYEEVSVVLRGRGEEIERLYDDGVEGLKRSEEFEDLMGAMYLNGLRAGLLEAHALLRNLLAGHRN >Vigun08g019400.6.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSTKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun08g019400.3.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSIVGDDVVFAAAKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun08g019400.2.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSTKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun08g019400.4.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSIVGDDVVFAAAKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun08g019400.5.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSTKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun08g019400.1.v1.2 pep primary_assembly:ASM411807v1:8:1638665:1654741:1 gene:Vigun08g019400.v1.2 transcript:Vigun08g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDGDLRRACEAAIEDPKQKIVMALRVAKSHGILGKSSKLGRQMAKPRVLALSIVGDDVVFAAAKSKGQRTSAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVANDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPSVTAQNKVRDGGPVASSVVREAELKVNVEKELVSQAEEEDMEALLGTYVMGIGEAEEFSERLKRELQALEAANVHSLLESEPLMDEVMQGLEAATSVVEDMDEWLSIFNVKLRHMREDIASIETRNNNLEMQSVNNKSLIEELDKLLERLRVPSEYAASLTGGSFDEARMLQNVEACEWLTSALRGLEAPNLDPSYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSSGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKTGYDDDDDDLGIMDIDENDGKSGKTSAELEALNKSLKDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRDLLRDLESRISMQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFATLEKIAQTEPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMFTVPPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIATMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVARIYPTETIPSVAEMRDLLASM >Vigun09g251800.1.v1.2 pep primary_assembly:ASM411807v1:9:41880472:41887090:1 gene:Vigun09g251800.v1.2 transcript:Vigun09g251800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAEKKVEEEREEVKGGELLFCGATCWDIIGRRKGAVDGNLVSPSRLRPLVGVDIRYVASGCVSCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTVVSELSKYKIVKAGSGRSHTVVVTEDGNSLAFGWNKHGQLGSGSVRNEIESSPVRCLVSEVKHTACGGDFTVWLSSVEGASILTAGLPQYGQLGHGTDNEYNSKDSSVRLVYEPQPRPRAIAALAGETVVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWAPRRVEVFQNRNLLPPDAIISAGSVNSSCTAGGGQLYMWGKLKNTGDDWMYPKPLMDLSGWNIRCMDSGNMHHFVGADSSCISWGHAQNGELGYGPSGQKSSAVPKKVDILEGMHVISVACGMGHSMVIVDRANVADRLDQLDIYDGKAVGEDNEAVKPTPPPKQAAKKGAKAAENSKKRKKSKDSSESEDEEEEDAEESYDSEDDETNGEAEVKRSRSSGKGRGKASKTSSAKGKGSAAKGKGGGRGRGGTSANKNSSKSPPVKSGKRGRPRKS >Vigun08g004900.1.v1.2 pep primary_assembly:ASM411807v1:8:419194:423789:1 gene:Vigun08g004900.v1.2 transcript:Vigun08g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSAGKAEKNKNKEVGGKGLGKLKKLKSVTKEKGDCYSNPRSSDRGRKKNRSEFRISNPTGKEGKQGSQRGSIWGRAGERAVEVLDTLGSSVPKLSNSNGFGSAVAPRGNRISILAFEVANTINKGAILFQSLSEENIQFLKKEILQSEGLQQLVSTDTKELIGLVEADKREEFNAFSREVARFGNMCKDPQWHNLERYFSRLHMDILDNMQPRVEAENTMQELITLSHSTAELYHELTSLERFEQDYQNKIKEMESLNLPLNGDSLTAFQIEIKHQRKFVRSLKRKSLWSRRLEEIVEKLVDIVTHMDQAIFEFLGNHGTTSVNSCKGSERLGEAGLSLHYANIINQINMIASRPTVLPPNMRDTLYHGLPNNIKNALPSRLQNSDAMKQLSINEVKAEMDKTLQWLTPFATNTTKAHQGFGWVGEWANTSTEFCENTIKENKLIRLQTLYYAEKQKIDFYIIELLTRLHYLVTFVRYRHSNPMRPIMSTTTSPKRLEFQAKMLQFISLDSISKSLGTQLSQEDKRLLEEVTMRRRNPGVSKSEDLAVTKKRRAKLCHHSNSVGSSPVTTKALENQRSNLLDIIDGL >Vigun08g004900.2.v1.2 pep primary_assembly:ASM411807v1:8:419416:423726:1 gene:Vigun08g004900.v1.2 transcript:Vigun08g004900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSAGKAEKNKNKEVGGKGLGKLKKLKSVTKEKGDCYSNPRSSDRGRKKNRSEFRISNPTGKEGKQGSQRGSIWGRAGERAVEVLDTLGSSVPKLSNSNGFGSAVAPRGNRISILAFEVANTINKGAILFQSLSEENIQFLKKEILQSEGLQQLVSTDTKELIGLVEADKREEFNAFSREVARFGNMCKDPQWHNLERYFSRLHMDILDNMQPRVEAENTMQELITLSHSTAELYHELTSLERFEQDYQNKIKEMESLNLPLNGDSLTAFQIEIKHQRKFVRSLKRKSLWSRRLEEIVEKLVDIVTHMDQAIFEFLGNHGTTSVNSCKGSERLGEAGLSLHYANIINQINMIASRPTVLPPNMRDTLYHGLPNNIKNALPSRLQNSDAMKQLSINEVKAEMDKTLQWLTPFATNTTKAHQGFGWVGEWANTSTEFCENTIKENKLIRLQTLYYAEKQKIDFYIIELLTRLHYLVTFVRYRHSNPMRPIMSTTTSPKRLEFQAKMLQFISLDSISKSLGTQLSQEDKRLLEEVTMRRRNPGVSKSEDLAVTKKRRAKLCHHSNSVGSSPVTTKALENQRSNLLDIIDGL >Vigun03g313700.2.v1.2 pep primary_assembly:ASM411807v1:3:50649994:50654289:-1 gene:Vigun03g313700.v1.2 transcript:Vigun03g313700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAPNPSSSGASSFLANLPSRGHFSSTIVSSNPGGMRAYICDHDTSPPEGQHIKTNQQNILIRSLTLKKQRGDSSSKDGSDGSRKRNAEKVLERSSAKKTNNQINSRQEGSNSQTATRDLHNLTVERLRALLKAKGLSTKGKKEELITRLKDATG >Vigun03g313700.5.v1.2 pep primary_assembly:ASM411807v1:3:50649994:50654290:-1 gene:Vigun03g313700.v1.2 transcript:Vigun03g313700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAPNPSSSGASSFLANLPSRGHFSSTIVSSNPGGMRAYICDHDTSPPEGQHIKTNQQNILIRSLTLKKQRGDSSSKDGSDGSRKRNAEKVLERSSAKKTNNQINSRQGGAYHSSKRCNWLKHSVVVGIPCSLSIQCKSS >Vigun03g313700.3.v1.2 pep primary_assembly:ASM411807v1:3:50649994:50654289:-1 gene:Vigun03g313700.v1.2 transcript:Vigun03g313700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAPNPSSSGASSFLANLPSRGHFSSTIVSSNPGGMRAYICDHDTSPPEGQHIKTNQQNILIRSLTLKKQRGDSSSKDGSDGSRKRNAEKVLERSSAKKTNNQINSRQEGSNSQTATRDLHNLTVERLRALLKAKGLSTKGKKEELITRLKDATG >Vigun03g313700.6.v1.2 pep primary_assembly:ASM411807v1:3:50652286:50654152:-1 gene:Vigun03g313700.v1.2 transcript:Vigun03g313700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAPNPSSSGASSFLANLPSRGHFSSTIVSSNPGGMRAYICDHDTSPPEGQHIKTNQQNILIRSLTLKKQRGDSSSKDGSDGSRKRYYSHLLWKSDISSVHYDQSTNSQSIFFLLQFGNL >Vigun03g313700.4.v1.2 pep primary_assembly:ASM411807v1:3:50649994:50654290:-1 gene:Vigun03g313700.v1.2 transcript:Vigun03g313700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAPNPSSSGASSFLANLPSRGHFSSTIVSSNPGGMRAYICDHDTSPPEGQHIKTNQQNILIRSLTLKKQRGDSSSKDGSDGSRKRNAEKVLERSSAKKTNNQINSRQGGAYHSSKRCNWLKHSVVCKLQLQMNIIVLELLPFRDYQR >Vigun03g218601.1.v1.2 pep primary_assembly:ASM411807v1:3:36401820:36403332:-1 gene:Vigun03g218601.v1.2 transcript:Vigun03g218601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGGEIWKAHTAMAMVQLFNGGYHVITKVALNVGINQIVFCVFRDIIALAILAPLAFIREKHTRPLITKRLLMSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAVQPAPVFTFLLVVMMERVNLLRYEGYSGTDFVKQ >VigunL054501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000033.1:50863:54293:1 gene:VigunL054501.v1.2 transcript:VigunL054501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLININHKELRYITSNPMNKAICILADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADIIAIRLGLPMPPPYWVCPRDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHANECFSGTQLCLPYNIQKLIHAH >Vigun01g234700.1.v1.2 pep primary_assembly:ASM411807v1:1:40640183:40641505:1 gene:Vigun01g234700.v1.2 transcript:Vigun01g234700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDYLSDLMGTGSGSREHSNNNRKQLQTVELRVTMDCDGCVLKVKKTLSSLDGVESVEINRKQQKVTVTGYVEPNKVLKKAKSTGKKAEIWPYVPYSMVANPYTVQAYDKKAPPGYVRRVENSATIGTVTSYGDPYTTMFSDENPNACSIM >Vigun01g016300.1.v1.2 pep primary_assembly:ASM411807v1:1:1773533:1774766:1 gene:Vigun01g016300.v1.2 transcript:Vigun01g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKIVSLNATRKTRQEAKAEERAEKEIAKARMDVAREIRLAKEAEAEMDLHVANTGKKG >Vigun05g142866.1.v1.2 pep primary_assembly:ASM411807v1:5:18662173:18662533:1 gene:Vigun05g142866.v1.2 transcript:Vigun05g142866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIMEVLPSLASVGIEKLSMQAKELMLLEDITGKTMQQVAWEAMLVLEGAERQSHLQHNPITRQDSAHVQRDLKGMPSVQKTGNN >Vigun08g020500.1.v1.2 pep primary_assembly:ASM411807v1:8:1779984:1783486:-1 gene:Vigun08g020500.v1.2 transcript:Vigun08g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDFFAGEIATELLKMLISISRKSLLCRASADQLISYIHELLPTIEEIKYSGVELPALRQSQLHRLSELLRSGVELSHKVLASSRWNVYRNLHLAKKMDKLEKTVSKFLLGPMQAHIMADVHHTRFEMAERFDRVDNSVRRLEQYFGNIKIGVGGGGWVEEAVRSVDENVVDGSSAVGLGFGKIKVREMLVGREDLWVVGISGIGGSGKTTLAREVCKDDQVRCYFRERILFLTVSQSPNVEQLRTKIWGYIMGNERLDSNYVVPQWVPQFECKIEAARTLIVLDDVWTLSVVEQLVCRIPGCKFLVVSRTKFQTLVSYEVELLSEEDALSLFCHHAFGQKSIPSAANESLVKQVVTECGRLPLALKVIGASLRDQPEMFWLSVKNRLSQGQSIGESHEINLIERMGISINYLPEKVKECFLDLCSFPEDKKIPLDVLINMWVEIHDIPETEAYAIVVELSNKNLLTLMKEHRAGGMYSSCFEISVTQHDILRNLAINLSNRASINERRRLVMPKRENTIPKEWLRYKHKPFEAQIVSVHTGEMKDVDWCNMEFPKAEVLIINFTSTEYFLPQFINRMPNLRALIIINYSATYACLHNISVFKNLPNLRSLWLEKVSTPELSGTVLENLGKLFIVLCKINNSLVEKEVDLAKVFPNLFDLTLDHCDDLTQLPSSICGMKSLQNLSLTNCHNLNQLPLELGKLRSLEILRLYACPELKTVPNSICEMMRLKYIDISQCVNLRCFPEEIGKVVSLEKIDMRECSMIRNVPKSALSLQSLRLVICDEEVSGIWKEVEKAKPNVHIQVSEQHFDLDWLKE >Vigun03g369800.4.v1.2 pep primary_assembly:ASM411807v1:3:57245275:57247447:1 gene:Vigun03g369800.v1.2 transcript:Vigun03g369800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSKRGFLFLLWPSSILSVVPGSSQAAPAVAGAQRRRHHCEAVKAVKPVVAVQDCAGNAVTNANCIISIKKRIRKHYYYYYYYYFGIAVCTHFFVIQLLNVMHRRAPFPRLLLNNVSCMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFSRPSAGQILWNGHDIQQSAIFHQYKLQLNWLSLKDAINDKFTVLNNVQWFEVLENKEGKAMAAVELMGLGRLANEKPRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDEEGVKLLEYIIAEHRKHGGIVIVATHLPIEIEDSMVLKLPPRFPRRMTHVDMLDRADMS >Vigun03g369800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57245275:57247447:1 gene:Vigun03g369800.v1.2 transcript:Vigun03g369800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAPFPRLLLNNVSCMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFSRPSAGQILWNGHDIQQSAIFHQYKLQLNWLSLKDAINDKFTVLNNVQWFEVLENKEGKAMAAVELMGLGRLANEKPRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDEEGVKLLEYIIAEHRKHGGIVIVATHLPIEIEDSMVLKLPPRFPRRMTHVDMLDRADMS >Vigun09g265800.1.v1.2 pep primary_assembly:ASM411807v1:9:42983926:42986395:1 gene:Vigun09g265800.v1.2 transcript:Vigun09g265800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSKSRILLIGGTGYIGKFIVEASAKAGHPTFVLVRESTLSNPAKSSLIDKFKSLGVNLVKGDLCDHQSLVNAIKQVDVVISTVGQFQLADQDKIISAIKEAGNVKKFYPSEFGMDVDRTHVGEPVKSKFAQKVKVRRSIEAEGIPYTCVSNNFFAGIFLPNFSQLGATTPPRDKVIILGDGNPKVVFNKEEDVATYTINSVDDPRTLNKILYLRPPNNTLSFNELVTLWETKIGKTLERIYVSEEQVLKQIQESSPPVNTILSIDHASYVKGDHTNFEIESSFGVEASTLYPDVKYTTVDEILNQFI >Vigun03g337050.1.v1.2 pep primary_assembly:ASM411807v1:3:53500864:53505654:1 gene:Vigun03g337050.v1.2 transcript:Vigun03g337050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRVKLKIKKLESISNRHVTYSKRKSGILKKAKELSILCDIDILLLMFSPTGKPTLLQGERSNIEEVVAKFAQLSPQERAKRKMESLEALKKTFKKLDHDVKIQDFLGSSTQTVEELSHQVRVLQAQLTEVHQRLSYWNNVEKINNLEHLRQMEESIRESINRVGLQKENLGKHQLISLECANQLQEGMTLPLMMASLQESQPLSWLLNNDNHQLLLPSEPKFLPFSDNTNREAECSTDISLPSYSSYIGNNKLEVGSSPQVTTLGQGGGAMNELNGTTYLNVQRCDQFAFPPPQDIEEAKHLPTLNNKSNTVDYQVNNFDLSGSLFENGHQFWNSASGSCGIAMYNENGYHR >Vigun03g337050.2.v1.2 pep primary_assembly:ASM411807v1:3:53500421:53505654:1 gene:Vigun03g337050.v1.2 transcript:Vigun03g337050.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLESISNRHVTYSKRKSGILKKAKELSILCDIDILLLMFSPTGKPTLLQGERSNIEEVVAKFAQLSPQERAKRKMESLEALKKTFKKLDHDVKIQDFLGSSTQTVEELSHQVRVLQAQLTEVHQRLSYWNNVEKINNLEHLRQMEESIRESINRVGLQKENLGKHQLISLECANQLQEGMTLPLMMASLQESQPLSWLLNNDNHQLLLPSEPKFLPFSDNTNREAECSTDISLPSYSSYIGNNKLEVGSSPQVTTLGQGGGAMNELNGTTYLNVQRCDQFAFPPPQDIEEAKHLPTLNNKSNTVDYQVNNFDLSGSLFENGHQFWNSASGSCGIAMYNENGYHR >Vigun03g337050.3.v1.2 pep primary_assembly:ASM411807v1:3:53500864:53505654:1 gene:Vigun03g337050.v1.2 transcript:Vigun03g337050.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLESISNRHVTYSKRKSGILKKAKELSILCDIDILLLMFSPTGKPTLLQGERSNIEEVVAKFAQLSPQERAKRKMESLEALKKTFKKLDHDVKIQDFLGSSTQTVEELSHQVRVLQAQLTEVHQRLSYWNNVEKINNLEHLRQMEESIRESINRVGLQKENLGKHQLISLECANQLQEGMTLPLMMASLQESQPLSWLLNNDNHQLLLPSEPKFLPFSDNTNREAECSTDISLPSYSSYIGNNKLEVGSSPQVTTLGQGGGAMNELNGTTYLNVQRCDQFAFPPPQDIEEAKHLPTLNNKSNTVDYQVNNFDLSGSLFENGHQFWNSASGSCGIAMYNENGYHR >Vigun04g029432.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2274710:2275482:1 gene:Vigun04g029432.v1.2 transcript:Vigun04g029432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDGCICMGQLHGKGCVVLNPQMRRVVLKTAWGVELDPCATRFSIGQMVELSDVLKTLQGLGIPMRKKLRSILMGSVNNVGMFCELTMEDERRRKRRRTKVVDFVVSPIFIYSRFRNHTFMLSTSFATQFIDLTLLPFYFTKCCFN >Vigun09g040100.1.v1.2 pep primary_assembly:ASM411807v1:9:3585981:3588888:1 gene:Vigun09g040100.v1.2 transcript:Vigun09g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSGVLGWFLLNPKTPPFASHKTLFAFLWVAALASLFVWQRNTISGFLVLGGVPVLPPPKLRPVVFCLTEFGGVGDGVTLNTEAFERGVRAISKLADKGGGQLTVPPGRWLTAPFNLTSHMTLFLAPDAVILAIQDEKYWPLMPALPSYGYGREHPGPRYTSLIHGQNLRDVVITGHNGTINGQGQTWWTKYRQKLLNHTRGPLVQILWSSDIVISNITLRDSPFWTLHPYDCKNVTVKNVTILAPVYHAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGITYGRPSKNIVIRNLVVQSNVSAGISIGSEMSGGVSNVLVENILVWESRRAMRIKTAPGRGGYVRQITYRNLVFKNVRVGIVIKTDYNEHPGAGYDPKALPILRDIRFINIRGQGVRVPVRIQGSEQIPIRNVTFQDMKVGTTYKKKHIFQCAFVQGQVIGTISPAPCDSFDRYDEQGQLVKHAASQNVTDIDYEI >Vigun04g167800.1.v1.2 pep primary_assembly:ASM411807v1:4:39252349:39254326:1 gene:Vigun04g167800.v1.2 transcript:Vigun04g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVIEKFGVKIVRNPPEENLTELGVRKWTKWNCPPSKFGWTYGNKETCYILEGKVKISLYGKEESVEIAAGDLVVFPKGLTCTWDVLVAVDKHYNCG >Vigun02g039700.1.v1.2 pep primary_assembly:ASM411807v1:2:16409016:16416205:1 gene:Vigun02g039700.v1.2 transcript:Vigun02g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLSRTMATPSLTSLLPSSSFSRASPLRSAFLPPQLARRRSFSAAGLRWAQRRERKLAVRCEAAVAEKEETTGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIKSDPENGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEAEADSSSYVIKEETDPEKHLRRGTQITLFLREDDKYEFSEPTRIQGLVKNYSQFVSFPIYTWQEKSKTVEVEEEEEPKEGEEQNVEGEKKKVKKTKTEKYWDWELANETKPIWMRNPKEVEKEEYNEFYKKTFSEFLEPLAHTHFTTEGEVEFRSILYVPGMGPLNNEEVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKAFDMIQDLAESENKEDYKKFWENFGRFIKLGCIEDTGNHKRITPLLRFYTSKSEEELKSLDDYVENMGENQKAIFYLATDSLKSAKTAPFVERLIQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVTERENKQEYNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINTDHPIIKDLSAACKNAPDSSEAKRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAMAVGGRWGRSEDEEGEASEAESSSAEESTEPEVYEPTEVITETDPWTTD >Vigun10g120400.2.v1.2 pep primary_assembly:ASM411807v1:10:32695761:32702711:-1 gene:Vigun10g120400.v1.2 transcript:Vigun10g120400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTECYQFLTSSPRAKAWLKKHLIQLDLYETRVSKVEDVVEQLKKKRDVIQHIVDDEERRHGRRIHVEVKEWMERVHKLILAYKDFQDDEICHKCAVFEFFNTGYLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSKVAFFSNLGYESYSSRNDTVKNIINEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDVRKIQGQIADMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWGEVNFHLLGIPCELEKEDGITNAHGKSLDGDMLKNVSDGKSLILDSSKNVIKGKSPGVADSLNVKKEEFLGWGLKNANEGNPPMMLLIRVKTEKTIPQYKGCKILMISEIKQVLLNQMEGKEEYIFPLEVLKEKEAEMLFKKKAGIDGKNSEYDKLATQIATPEFSTKLSYELLEDEELKYTFLLCARMGHDALIVDLVKYCIGFDFLQEINTTRETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAHKENQLFTMTKGKVDEWPDELERYSAISLHHCNFIEQFPGTISYPRLKVLQIVNNIPRPKIPKNFFEGVKELGVLILTGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELKRLRVLSFSGSDIKSVPEELNELKMLQIFDISNCPKLKKIPHSVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSELKHLNQLTTLDIEIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPETYETLKFLAVQLKKASDIHSLKGIKMLFEGVENLYLELNTLHEKHNSVCEAQNIVHDLFYRLNLKGFPYLKHLWIVNNSTIESLIHPKDRERPEKAFPKLESLYLYNLKMDEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVGLLKFLETIEVSECGSLKEIINMGPSINPEKTELVMLPELRYLKLHSLSEFNGFDVIPQIEGEERKLFHEKVGVSKLQRLELSSIQIDVIWSVDHSSKGLSFENLTHLDVNGCWKLKYLMSSTMARCLVNLQSLHVSECEKMKSIFLPEQDREKVVMGSIFPKLKNMKLRSLKSLSKIWNPKLPSYSFSKLDTLIIECHKLEILMEGIFESLCNLRVTNCKYMQAIFNISEQIGDVTTNLQDVHLETLPKLKLVWNINNEDRVGIPKFNNLKRIWAQDCDSLEYIFPFSIAKSLDNLESLVICDCYGLIEIVAERETTNTDRARFNFPKLSTIKFSELPKLMSFYPTTYDLSCPLKELSIELCNNLEPFNKGTEHAQRNPVHVFFPEEVIDNLKSMKIESWHAKSPSSYMGKRNHRRDNLEELSLSGLMDTEILYSFLHRNPNLKDLLLNNCSFEKIVPPKEDSEIENLGVVPNLKSLKLIDLPYLEEIGFEPDIILERLEFLILKNCPSMITIAPSFVSFTRLTNLEVVSCDGLQSLMSASTAKGLVLLNTMKVVKCESLIEIVRKDGEKYAKVVFQHLKALELVSLKNLKSFCISDCDFEFPSLEKLVVSACYNMDKFSETVTRSPILQNIYVLHGKENKGFCWEGDINATIQKIFQEMKYFEGMEEMSLSEHQELQKTWQRGAALQKQNAWFYSLKVLKLENCVIHPCAIPSNILPYLRSLKELEVRGCNNVEVIFEMNAEERTGATFHLQKLTLQKLPKLKDVWERNGKGTQSFQNLKLVHVSECEILQSLFPLTLAKNLKKLDKLEILYCRGLHEIVRKEEDTATMFVFPCLTTLHLCDLPELIYFYPESFTLECYVLNTLIVLHCPELELFGSGNSQSIFVDLKDICNLEELVLSWEHTLVLRTKLGGPMDNLKYLNDFQLFFDPDEKERPDLPIQILRKMPNLTKLSINYCNFLEVFQTQIPEIAEKTMLTHLKILRLDNVLKLQSIGSEDSPWLNVICDSEKL >Vigun10g100400.2.v1.2 pep primary_assembly:ASM411807v1:10:29297058:29301106:-1 gene:Vigun10g100400.v1.2 transcript:Vigun10g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRARRPQNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEVTMKTDPQNSDYIVESGATRNFEPWRAEDEEADKMKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLEALQRTTADKEKRLEEEDEAIIKSVVFHNSGGYVKRIRDEDIEAEEQIDQLSHGHGETSYNMKKQKMSEDHPSKLTDSLTKATLDEYGKQEKHNGGKLNSL >Vigun10g100400.1.v1.2 pep primary_assembly:ASM411807v1:10:29295078:29301106:-1 gene:Vigun10g100400.v1.2 transcript:Vigun10g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRARRPQNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEVTMKTDPQNSDYIVESGATRNFEPWRAEDEEADKMKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLEALQRTTADKEKRLEEEDEAIIKSVVFHNSGGYVKRIRDEDIEAEEQIDQLSHGHGETSYNMKKQKMSEDHPSKLTDSLTKATLDEYGKQDTSEFTIFCLKSSPNPLFFSHVLVSFFFSFFFYLQYIILLVYSLILVVS >Vigun04g059300.1.v1.2 pep primary_assembly:ASM411807v1:4:5955780:5967159:-1 gene:Vigun04g059300.v1.2 transcript:Vigun04g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTVLAIPYAAQGHVNPMMTFSQKLVENGCKVIFVNTEFNHRRVVSSMVEQQDEESVLKLVSIPDGLGPHDDRNDQAKLCEAIPKAMPEALEKLIEDIHLKGESKINLIVADLCMAWALDVGRKMGIKGAVLCPASASIFTLLHSVPMLIHDGIVDSDLGLTLTAERRIRISPSMPEMDTEDFFWLNMGEPKTGKKVLKYLLYCAEHIHLTEWWLCNTTPELEPGTLLYMPKILPIGPLLRNNDNDNNKSATTKSMGQFWEEDHSCMSWLDEQAHGSVVYVAFGSFTLFDQNQFNELALGLDLTNRTFLWVIREDNKMEYPHEFKGHKGKIVSWAPQQKVLSHPAIACFVTHCGWNSTMEGLSNGVPFLCWPYFGDQPSNKTHICDELNVGLGIDKDKNGVVSREELKNKVEQFFNDDKNMKSRSMVLKEKVLNNIAKGGTSYENLKKFVKEIEE >Vigun01g155900.1.v1.2 pep primary_assembly:ASM411807v1:1:33826757:33830336:-1 gene:Vigun01g155900.v1.2 transcript:Vigun01g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGYSGTKNKIEKMEVQDSLVGQIKATPGKLKRNTSTNSKDTSKNGNPDHIAAQTFSFRELASATRNFRAECLLGEGGFGRVYKGRLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDIPLGKKRLEWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKSAGEQNLVAWARPLFRDRRKFSQMADPTLQGQYPPRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLASQKYDPNTHTVQNSRLAPATPPRTRRGL >Vigun11g036700.1.v1.2 pep primary_assembly:ASM411807v1:11:4938064:4939093:-1 gene:Vigun11g036700.v1.2 transcript:Vigun11g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHRNVACVLCRYQHRRHDGSCEFGQYFASNRSIEFENACKLFGLANLLRLMRSVEASERQVMADSILIEGNMWSNDPIHGALGHVLTLNNQIQSVQRELQIVNTMLAQCPLHTTSHVANTAVGDVAGSSHTGQSSVVPSLEKEDAAQENDDEVKRKNKRKH >Vigun03g181500.4.v1.2 pep primary_assembly:ASM411807v1:3:23210889:23216126:-1 gene:Vigun03g181500.v1.2 transcript:Vigun03g181500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTHHSKGKDADNKGTKEKKKSVGKVKHGETNSFIPLFREPSSIEKIFGDFEREQQLLGLRPVTPPERPKTPPYVPPRAPSPRPPSPRPPSPRAASPRASSPRVTSPKAASFRNSHHHKEVVYRPEPTLRHQNASATKIQAVYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDKDAASILGKLTSEAGNEEWDDSLLTKEEVEARLQRKVEAIIKRERAMAFAYSHQLWKATPKSTHTPVVDGRSGGLPWWWNWLERQAPAAAAATPQEKLVLKNFQLTPPRPYSEQKTSPRPGSSSQRPQQPHFAFDNMDTPTPKSTKSTIVTSSKPVRTPPYRTPQANSSGSGSKFVRPRGVGSNSPFDVPLKDDESLTSCPPFSVPNYMAPTLSAKAKVRASSNPRERLGGTPTSTDSKRRLSFPLSQGIGSFKWSKGFSSKDQRVPDKFQTLESIGNVSVDSTLSLPARVGRKPFTRFV >Vigun03g181500.3.v1.2 pep primary_assembly:ASM411807v1:3:23210889:23216126:-1 gene:Vigun03g181500.v1.2 transcript:Vigun03g181500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTHHSKGKDADNKGTKEKKKSVGKVKHGETNSFIPLFREPSSIEKIFGDFEREQQLLGLRPVTPPERPKTPPYVPPRAPSPRPPSPRPPSPRAASPRASSPRVTSPKAASFRNSHHHKEVVYRPEPTLRHQNASATKIQAVYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDKDAASILGKLTSEAGNEEWDDSLLTKEEVEARLQRKVEAIIKRERAMAFAYSHQLWKATPKSTHTPVVDGRSGGLPWWWNWLERQAPAAAAATPQEKLVLKNFQLTPPRPYSEQKTSPRPGSSSQRPQQPHFAFDNMDTPTPKSTKSTIVTSSKPVRTPPYRTPQANSSGSGSKFVRPRGVGSNSPFDVPLKDDESLTSCPPFSVPNYMAPTLSAKAKVRASSNPRERLGGTPTSTDSKRRLSFPLSQGIGSFKWSKGFSSKDQRVPDKFQTLESIGNVSVDSTLSLPARVGRKPFTRFV >Vigun03g181500.2.v1.2 pep primary_assembly:ASM411807v1:3:23210889:23216126:-1 gene:Vigun03g181500.v1.2 transcript:Vigun03g181500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTHHSKGKQDADNKGTKEKKKSVGKVKHGETNSFIPLFREPSSIEKIFGDFEREQQLLGLRPVTPPERPKTPPYVPPRAPSPRPPSPRPPSPRAASPRASSPRVTSPKAASFRNSHHHKEVVYRPEPTLRHQNASATKIQAVYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDKDAASILGKLTSEAGNEEWDDSLLTKEEVEARLQRKVEAIIKRERAMAFAYSHQLWKATPKSTHTPVVDGRSGGLPWWWNWLERQAPAAAAATPQEKLVLKNFQLTPPRPYSEQKTSPRPGSSSQRPQQPHFAFDNMDTPTPKSTKSTIVTSSKPVRTPPYRTPQANSSGSGSKFVRPRGVGSNSPFDVPLKDDESLTSCPPFSVPNYMAPTLSAKAKVRASSNPRERLGGTPTSTDSKRRLSFPLSQGIGSFKWSKGFSSKDQRVPDKFQTLESIGNVSVDSTLSLPARVGRKPFTRFV >Vigun03g181500.1.v1.2 pep primary_assembly:ASM411807v1:3:23210889:23216126:-1 gene:Vigun03g181500.v1.2 transcript:Vigun03g181500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTHHSKGKQDADNKGTKEKKKSVGKVKHGETNSFIPLFREPSSIEKIFGDFEREQQLLGLRPVTPPERPKTPPYVPPRAPSPRPPSPRPPSPRAASPRASSPRVTSPKAASFRNSHHHKEVVYRPEPTLRHQNASATKIQAVYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNDKDAASILGKLTSEAGNEEWDDSLLTKEEVEARLQRKVEAIIKRERAMAFAYSHQLWKATPKSTHTPVVDGRSGGLPWWWNWLERQAPAAAAATPQEKLVLKNFQLTPPRPYSEQKTSPRPGSSSQRPQQPHFAFDNMDTPTPKSTKSTIVTSSKPVRTPPYRTPQANSSGSGSKFVRPRGVGSNSPFDVPLKDDESLTSCPPFSVPNYMAPTLSAKAKVRASSNPRERLGGTPTSTDSKRRLSFPLSQGIGSFKWSKGFSSKDQRVPDKFQTLESIGNVSVDSTLSLPARVGRKPFTRFV >Vigun09g215900.1.v1.2 pep primary_assembly:ASM411807v1:9:39026447:39031161:-1 gene:Vigun09g215900.v1.2 transcript:Vigun09g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDVLQADGNSIRYVSSELASDVTVNVGDVTFHLHKFPLLSKSNQLQKLVSKANEESSDDIYLDDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLVLKIEVFLNSSIFRCWKDSIIVLQTSKSLLPWSEDLKIIGRCIDSIASKTSVDPAYITWSYTYNRKLAEPDKIVEDKMTFLEKIESVPKDWWVEDICELDIDLYKRVMVAVKSKGRMDGLVIGEALKTYALRWIPDSVDTLVSGANASRTKSVVETIVCLLPYDNGIGCSCSFLLKLLRVAILVSADESSTKELMKSISLKLHEACVKDLLIPARSPQTTTYDVNLVQGLLNQYMEKGSCDMEVVEEKHGEDEYVLARRSLLNVGKLVDGYLGEIAHDPNLGLSSFADLSQSIPGFARPDHDGLYRAIDIYLKEHPELTKAERKKLCGLMEVRKLTADASMHAAQNEYLPLRVVVQVLFFDQVRSSISYQALGDNSTYDPSSSPMNRDEGCKKTMEPLNNQMSHLRIKDEELHKNGKLGKKNSKNSKSGLQLLPSRSRRIFDKLWSVRKVLGENRSSDTSGSSSSPTSLGHGDTKSYSISLRHQRHSIS >Vigun09g030900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2614333:2614972:1 gene:Vigun09g030900.v1.2 transcript:Vigun09g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMLVLDEEQKELGRRQAPGSCPYCDGKVEAMDVEIQWKFCFLPMCFKIKRKFFCTSCARRLELYF >Vigun03g406366.1.v1.2 pep primary_assembly:ASM411807v1:3:61340791:61344202:-1 gene:Vigun03g406366.v1.2 transcript:Vigun03g406366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSIQPGQMFLYSDFSLTIQAGETVALVGESGSGKSTVISLLQRFYEADAGQITVDGTEIQKLNLRWFRQQMGLVSQEPVLFNDTIRANIAYGKGGDATEAEIIAAAELANAHKFISSLQQGYDTLVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVRVDRTTIVVAHRLSSIKEADSIAVVKCWE >Vigun01g191800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36996990:36998212:1 gene:Vigun01g191800.v1.2 transcript:Vigun01g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPHPMFLFVLFLSLLSQMVAAKGKDNVTEACSVTRYQSLCVHSLSQFSNTAGRSPSKWARAGVSVSIGEVKNVKAYLAELKKHGHMKGRNKIALSDCAESFSYALDELHRSLGVLRRLSKTTFSTQMGDLNTWISAALTDEETCLEGFEGENEKHVKVLQNRVQNVSYITSNALALVNKLATTGLGSINDP >Vigun08g194600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36092336:36095103:-1 gene:Vigun08g194600.v1.2 transcript:Vigun08g194600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRGYKPNPWSGALNCRVPVFLMFLTLMLVLVVFFLVFHNDSDGANPSLAYERPNKKWNSFDSLVHLHPTKEFRNGTDLIWQVPESPKGVLFLAHGCDGRALNFWDKSPECPDCIGLPEERLLVLHGLAQGFAVITISSAQRCWTFGKEVLVVKDIIEWWSGRSKLEKLPLVALGASSGGYFVSVLATAMKFSSIVIMIAEGMFEQIEIRGDYPPTLFVHMPKDLYRQQKIDEYVEVLKDRGTDVGVVECLEFPLSPNTLADRIPGLDLPLSRKIFELFQEKSFIDKNGYMKKDGRKTKWKKALQEKKTLLLDNNLVSHVQEELNLAFAYHEMTSLHSDQIFKWFESHMS >Vigun08g194600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36092336:36094884:-1 gene:Vigun08g194600.v1.2 transcript:Vigun08g194600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRGYKPNPWSGALNCRVPVFLMFLTLMLVLVVFFLVFHNDSDGANPSLAYERPNKKWNSFDSLVHLHPTKEFRNGTDLIWQVPESPKGVLFLAHGCDGRALNFWDKSPECPDCIGLPEERLLVLHGLAQGFAVITISSAQRCWTFGKEVLVVKDIIEWWSGRSKLEKLPLVALGASSGGYFVSVLATAMKFSSIVIMIAEGMFEQIEIRGDYPPTLFVHMPKDLYRQQKIDEYVEVLKDRGTDVGVVECLEFPLSPNTLADRIPGLDLPLSRKIFELFQEKSFIDKNGYMKKDGRKTKWKKALQEKKTLLLDNNLVSHVQEELNLAFAYHEMTSLHSDQIFKWFESHMS >Vigun08g194600.2.v1.2 pep primary_assembly:ASM411807v1:8:36092336:36095103:-1 gene:Vigun08g194600.v1.2 transcript:Vigun08g194600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCDMLKRGYKPNPWSGALNCRVPVFLMFLTLMLVLVVFFLVFHNDSDGANPSLAYERPNKKWNSFDSLVHLHPTKEFRNGTDLIWQVPESPKGVLFLAHGCDGRALNFWDKSPECPDCIGLPEERLLVLHGLAQGFAVITISSAQRCWTFGKEVLVVKDIIEWWSGRSKLEKLPLVALGASSGGYFVSVLATAMKFSSIVIMIAEGMFEQIEIRGDYPPTLFVHMPKDLYRQQKIDEYVEVLKDRGTDVGVVECLEFPLSPNTLADRIPGLDLPLSRKIFELFQEKSFIDKNGYMKKDGRKTKWKKALQEKKTLLLDNNLVSHVQEELNLAFAYHEMTSLHSDQIFKWFESHMS >Vigun08g194600.1.v1.2 pep primary_assembly:ASM411807v1:8:36092336:36094884:-1 gene:Vigun08g194600.v1.2 transcript:Vigun08g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCDMLKRGYKPNPWSGALNCRVPVFLMFLTLMLVLVVFFLVFHNDSDGANPSLAYERPNKKWNSFDSLVHLHPTKEFRNGTDLIWQVPESPKGVLFLAHGCDGRALNFWDKSPECPDCIGLPEERLLVLHGLAQGFAVITISSAQRCWTFGKEVLVVKDIIEWWSGRSKLEKLPLVALGASSGGYFVSVLATAMKFSSIVIMIAEGMFEQIEIRGDYPPTLFVHMPKDLYRQQKIDEYVEVLKDRGTDVGVVECLEFPLSPNTLADRIPGLDLPLSRKIFELFQEKSFIDKNGYMKKDGRKTKWKKALQEKKTLLLDNNLVSHVQEELNLAFAYHEMTSLHSDQIFKWFESHMS >Vigun01g160200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34198580:34198732:1 gene:Vigun01g160200.v1.2 transcript:Vigun01g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVVISLPLVLIILILALGCYLLGRAKGRRQNPQHYGPPAPPAQAQPK >Vigun11g148000.1.v1.2 pep primary_assembly:ASM411807v1:11:35729758:35734504:1 gene:Vigun11g148000.v1.2 transcript:Vigun11g148000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRIVAHPPPYLHRQCSPSDAVPFLNLTLHAKRYRNLSIRASLHEGKQKKNDLADKPHSVELRTSPNDVVSRDPLILPRPLSASVSDGSGLRVAYQGVHGAYSESAAHKAYPNCEAVPCEQFETAFDAVERWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKYAVRHCLMANHGVKLEDLNRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKQVALLGLQDAGAVASYSAAKIYGLNILAEDIQDDSDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQVNLTKIESRPLRNQPLRAADDSSNSKYFDYLFYVDFEESMANQNAQNALRHLKEFATFLRVLGSYPVDTSST >Vigun01g251000.1.v1.2 pep primary_assembly:ASM411807v1:1:41742204:41745165:1 gene:Vigun01g251000.v1.2 transcript:Vigun01g251000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSITAHPVNSKTLHSVSQLLLYFTLLCTYTAIADDGAFMSKLAKALSPTPSGWSGSSFCDWKNVRCTTNRVTSINIASQSLTGTLPPDLNSLSQLTSLSLQGNALAGALPSLANLSMLQTVFLGGNNFTSIPNGCFQGLTSLQSLSMADSVNLAPWTIPAELTQSTNLVKLDLGNTNLIGTLPDVFDSLLSLQELRLSFNNLTGGLPKTFAGSGIQYLWLHNQKDGFGFSGTIEVLASMTHLSQVWFQKNLFTGAIPDLSNCTTLFDLHLRDNQLTGVVPPSLISLSSLQNVSLDNNMLQGPFPSFGKGVKVTLEGVNSFCRKDSGPCDSRVTTLLDIAKDFGYPVQLARSWIGDDPCNDWSFVVCAAGKIVTVHLAKQNLTGTISPAFANLTDLRNLYLNDNHLGGSIPGGLTNLAQLEVLDVTNNNLSGDVPKFPTKVKFSTTGNPLLGHSGGGGGSGTTPSSGSGDAPSGSPNAISSDFSLSPAWIAGIVVIAVFFVALVVLVFFVGHTKNWYGRLGKVKNLEKEKKVVPRIEDEFVTMSIQFLRQVTKNFSEENILGRGGFGVVYRGELEDGNKIAVKRMKCGSCKGTKELEAEIVVLRKVRHRHLVAFLGHCIENDERVLVYEYMPQGTLSQHLFSWRENDSTTLSWKQRVTIALDVARGVEYLHRLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKMIAPGGKDSVWTQVAGTLGYLEPEYAATGRVTRKVDVYAFGVVLMELISGRKALDNSLDEEMWHLVPWFRRIVNNMENILQVTDESLNLDDETMESICKVAELACHCTAPEPHKRPDMGHGVNVLVPLVEQWNPISTHQQEEGCDVIYEENYDMSISEIFSGR >Vigun05g225900.1.v1.2 pep primary_assembly:ASM411807v1:5:41820540:41824284:-1 gene:Vigun05g225900.v1.2 transcript:Vigun05g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVGEASNGQPSRESDVEMGQVPRSNSDMGMEAFNKQIHEADKQIDKLAVLLQKLKEANEESKAVTKASAMKGIKKRMEKDIDEVGKIAHGVKTKIEAINRDNLSNRQKPGCEKGTGIDRARMNMTNALAKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQRAILEAGRGQVVNTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTSALQNAKKLQKNSRKWMCIAIIILLIIVAIIVVGVLKPWKSS >Vigun05g133350.1.v1.2 pep primary_assembly:ASM411807v1:5:15668595:15669090:-1 gene:Vigun05g133350.v1.2 transcript:Vigun05g133350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSHPLSSCSCGSRNHSVSSPGTISGSVSGTPHCHCREIVVLRAARTTKNCGKQFWGCPHYKSVGEDFKACNYFKWCMEDNGDERDATIARQSQRIRQLEKDLIDSEKWLMYLFRIIGLLGLIVILLLFVLLKK >Vigun07g151300.2.v1.2 pep primary_assembly:ASM411807v1:7:26162344:26164873:-1 gene:Vigun07g151300.v1.2 transcript:Vigun07g151300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSLLTLEKQGQNSVVSEGIHNWVFECHGFLHNAVLIIASFLFVLYLALQARQSFLKLSHGRSYIIISYYASLWLVSILNLVWCFSQTWECSPGKEFAWNLLSLFTTSGMLFLEVSLLAFLFQGNSTSGLEALTRTFGISGIIVGFDILLKAIYLFAFGIPIFINSGYPTPHVKWNLWVIHKLLLTLVYGFILFIYHSRWRERLPARPAYYKYVTIMFILNAIALFACAITGNGASFGFWLYHFTIVCYHAAYLPLLYITFLADFFQVIVS >Vigun07g151300.1.v1.2 pep primary_assembly:ASM411807v1:7:26162344:26164873:-1 gene:Vigun07g151300.v1.2 transcript:Vigun07g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSLLTLEKQGQNSVVSEGIHNWVFECHGFLHNAVLIIASFLFVLYLALQARQSFLKLSHGRSYIIISYYASLWLVSILNLVWCFSQTWECSPGKEFAWNLLSLFTTSGMLFLEVSLLAFLFQGNSTSGLEALTRTFGISGIIVGFDILLKAIYLFAFGIPIFINSGYPTPHVKWNLWVIHKLLLTLVYGFILFIYHSRWRERLPARPAYYKYVTIMFILNAIALFACAITGNGASFGFWLYHFTIVCYHAAYLPLLYITFLADFFQEDDLRLENVYYSEMKDAGFFESDWN >Vigun10g139000.1.v1.2 pep primary_assembly:ASM411807v1:10:35511728:35523361:-1 gene:Vigun10g139000.v1.2 transcript:Vigun10g139000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTIANFKENLNKIALDVHDDDDDEILREYGTGISANGDNSAVSGRRSSYGSASSKSGIGSPLANGIDHASLPEIEQYKAEIKKLQASEAEIKALSVNYAALLKEKEDHIVKLNKENGSLKQNLEATNTALRVSRIEGSGVSSNGTYTVKGSRDQSPNRQNKLNLQRKSRNAINNGTVSTLKSDAIQSEMEFRHSNLQGNSKELAVDGNTTVAVQHSPDIQRLKLELEQERDQSAKIQLKFQEEQRLSKSFQEELKMLKLERDKKSMEVNKIHNELNEKVSEIKHLQLELTKRENEAGVAVDSLKRLIETLEKENTTLKMEKNEIEAALENSRKSFTDKMMRDASHTEEKDSSSASDIPDHSKSFPEKEEMERSLHKLSKDLNEAQRDRDKAVHELNRLKQHLLEKAAEESDKMDEDSKIIEELRDSNTYLAAQVSHLERTLKQALASQEELKMANNSEILKSREAINDLNKKLTNCMSTIDAKNIELLNLQTALGQYYAEIEAKEHLERELVHAREEIAKLSQLLKEADHRAEVSRNEREEILAKLSQSEKGQTEWRSRVSKLEDDNAKLRKVLEQSMTQLNRMSVDSDYLVDRRIVIKLLVTYFQRNHSREVLDLMVRMLGFSEEDKQRIGGAQQGAGKGVVRGVLGLPGRLVGGILGGNSTESAANAGSDNQSFADLWVDFLLKETEEREKRESSGNSGKSTEDPSNKSPNTMSATPPFSNKTPNTISATSPFSNKSPNTVSATPLFSNRTFDAGKTSPFQTTPINKNVSPPPPRVYFQRSEHFDSEFSTVPLTSSDATTSTSTSSSNLLPRY >Vigun08g208200.2.v1.2 pep primary_assembly:ASM411807v1:8:37102052:37108680:-1 gene:Vigun08g208200.v1.2 transcript:Vigun08g208200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFSSLSHIHLSNDVLLQPVFLHGLSGFLHLLLLVAVPLSFVWKKFTTRVRDESKEKHDNTLFKTTVFCALGVSVFSFLLCLFNYFYWYSSGWSEEELVTLLDLVLRTVAWGVICVCLNNGFFSSGERRFSFLFKAWCVLYLFVSCYCSVVDIVVISERRVALPAQYLVSDVVSTCVGLLFCYVGYFVNNKGQVPEKENNGIQEPLLNGGTNDGDVLRSNETKGGDTVTPFSYAGILSLLTFTWVGPLIAVGSKKALDLEDVPQLDSRDSIVGAFPSFRDNLEADCGTINNLTTLKLVKALVVSAWKEILFTGFLVTTNTLASYVGPYLIDSFVQYLDGRRLYENQGYVLVCAFFLAKIVESLSQRHWFFRLQQIGLRIRALLVTMIYNKALTLSCQSKQGQTSGEIINIMTVDAERVGVFSWYMHDLWMVALQVTLALLILYKNLGLASLAAFAATIVVMLANVPLGSLQEKFQKKLMELKDTRMKATSEILRNMKILKLQGWEMKFLSKITELRKTEQGWLKKFVYTAAMTTFVFWGAPTFVAVVTFGTCMIVGIPLESGKILSALATFRILQEPIYNLPDTISMIAQTKVSLDRIASFLRLDDLPSDVVEKLPPGSSDTAIEVVDGNFSWELSSPNPTLQDINLKVSKGMRVAVCGTVGAGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSGKIEDNILFGKQMDREKYENVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLLDDPFSAVDAHTGSHLFKECLLGLLSSKTVIYVTHQVEFLPAADLILVMKNGKITQCGKYADLLNSGADFMELVGAHKKALSTLDSLDGAAVSNEISTLEQDLDVSGTHGYKEEANKDEQNGETENKSDEPKGQLVQEEEREKGKVEFSVYWKCITTAYGGALVPFILLAQILFQGLQIGSNYWMAWATPISTDVEPPVDGTTLIGVYVSLAIGSSFCILARAMLLVTAGYKTATILFNKMHYCIFRAPMSFFDSTPSGRILNRASTDQSALDTDIPYQIASFAFIMIQLFGIIAVMSQAAWQVFLVFIPVVTVSIWYQQYYIPSARELSRLVGVCKAPIIQHFSETISGTSTIRSFDQQSRFQETNMKLSDGYSRPKFNIAGAMEWLCFRLDMLSLITFVFSLIFLISIPVGLIDPGLAGLAVTYGLNLNEIQAWMIWNLCNMENKIISVERILQYTCIPSEPPLVIDENRPDPSWPSNGEVDIQDLQVRYAPHLPLVLCGLTCKFHGGLKTGIVGRTGSGKSTLIQTLFRVVEPAAGQIMIDNINISSIGLHDLRSRLSIIPQDPTMFEGTIRNNLDPLEEYTDDQIWEALDKCQLGDEVRKKEGKLDSKVDGVVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFADSTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPTRLLENKSSSFAQLVAEYTMRSKSSFEKSDDN >Vigun08g208200.1.v1.2 pep primary_assembly:ASM411807v1:8:37102052:37108680:-1 gene:Vigun08g208200.v1.2 transcript:Vigun08g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFSSLSHIHLSNDVLLQPVFLHGLSGFLHLLLLVAVPLSFVWKKFTTRVRDESKEKHDNTLFKTTVFCALGVSVFSFLLCLFNYFYWYSSGWSEEELVTLLDLVLRTVAWGVICVCLNNGFFSSGERRFSFLFKAWCVLYLFVSCYCSVVDIVVISERRVALPAQYLVSDVVSTCVGLLFCYVGYFVNNKGQVPEKENNGIQEPLLNGGTNDGDVLRSNETKGGDTVTPFSYAGILSLLTFTWVGPLIAVGSKKALDLEDVPQLDSRDSIVGAFPSFRDNLEADCGTINNLTTLKLVKALVVSAWKEILFTGFLVTTNTLASYVGPYLIDSFVQYLDGRRLYENQGYVLVCAFFLAKIVESLSQRHWFFRLQQIGLRIRALLVTMIYNKALTLSCQSKQGQTSGEIINIMTVDAERVGVFSWYMHDLWMVALQVTLALLILYKNLGLASLAAFAATIVVMLANVPLGSLQEKFQKKLMELKDTRMKATSEILRNMKILKLQGWEMKFLSKITELRKTEQGWLKKFVYTAAMTTFVFWGAPTFVAVVTFGTCMIVGIPLESGKILSALATFRILQEPIYNLPDTISMIAQTKVSLDRIASFLRLDDLPSDVVEKLPPGSSDTAIEVVDGNFSWELSSPNPTLQDINLKVSKGMRVAVCGTVGAGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSGKIEDNILFGKQMDREKYENVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLLDDPFSAVDAHTGSHLFKECLLGLLSSKTVIYVTHQVEFLPAADLILVMKNGKITQCGKYADLLNSGADFMELVGAHKKALSTLDSLDGAAVSNEISTLEQDLDVSGTHGYKEEANKDEQNGETENKSDEPKGQLVQEEEREKGKVEFSVYWKCITTAYGGALVPFILLAQILFQGLQIGSNYWMAWATPISTDVEPPVDGTTLIGVYVSLAIGSSFCILARAMLLVTAGYKTATILFNKMHYCIFRAPMSFFDSTPSGRILNRASTDQSALDTDIPYQIASFAFIMIQLFGIIAVMSQAAWQVFLVFIPVVTVSIWYQQYYIPSARELSRLVGVCKAPIIQHFSETISGTSTIRSFDQQSRFQETNMKLSDGYSRPKFNIAGAMEWLCFRLDMLSLITFVFSLIFLISIPVGLIDPGLAGLAVTYGLNLNEIQAWMIWNLCNMENKIISVERILQYTCIPSEPPLVIDENRPDPSWPSNGEVDIQDLQVRYAPHLPLVLCGLTCKFHGGLKTGIVGRTGSGKSTLIQTLFRVVEPAAGQIMIDNINISSIGLHDLRSRLSIIPQDPTMFEGTIRNNLDPLEEYTDDQIWEALDKCQLGDEVRKKEGKLDSKVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFADSTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPTRLLENKSSSFAQLVAEYTMRSKSSFEKSDDN >Vigun03g045800.3.v1.2 pep primary_assembly:ASM411807v1:3:3667247:3675414:-1 gene:Vigun03g045800.v1.2 transcript:Vigun03g045800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFLALACLRKNEGSGNLSPRSHYPSMPRYPKGVTEEEGSSNVLLKAVFSVTGMTCSACAASVEKAVKRLPGIRQAVVDVLNNRAQVLFYPSFVNEETIREAIEDAGFQATLIREDNETSVQICRIRIQGMTCTSCSSTVESALQAIQGVVKAQVALATEEAEVHYIPNVVTYNQILEAVEDTGFQATLISTGEDMSRIDLQVEGVRTGRSMRIIENSLQALPGVQGVETHPLLNKVSLSYKPDLTGPRNFINVIQETGSKRFKAKIFPEEGGKRNSHRREEIKQYYRSFLWSLVFTIPVFLTSMVLMYIPGIKHGLDAKVVKMLTVGEIIRWVLSTPVQFVIGKRFYSGAYKALRLGSPNMDVLIALGTNTAYFYSVYSVLRAATSNDFKGTDFFETSAMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPDTAILLTLDSEGNVVGEEEIDSRLIQKNDVIKIIPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATWVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPMVILSSFSTWLAWFIAGRVRAYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALENAHKVSTLH >Vigun03g045800.2.v1.2 pep primary_assembly:ASM411807v1:3:3665134:3675414:-1 gene:Vigun03g045800.v1.2 transcript:Vigun03g045800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFLALACLRKNEGSGNLSPRSHYPSMPRYPKGVTEEEGSSNVLLKAVFSVTGMTCSACAASVEKAVKRLPGIRQAVVDVLNNRAQVLFYPSFVNEETIREAIEDAGFQATLIREDNETSVQICRIRIQGMTCTSCSSTVESALQAIQGVVKAQVALATEEAEVHYIPNVVTYNQILEAVEDTGFQATLISTGEDMSRIDLQVEGVRTGRSMRIIENSLQALPGVQGVETHPLLNKVSLSYKPDLTGPRNFINVIQETGSKRFKAKIFPEEGGKRNSHRREEIKQYYRSFLWSLVFTIPVFLTSMVLMYIPGIKHGLDAKVVKMLTVGEIIRWVLSTPVQFVIGKRFYSGAYKALRLGSPNMDVLIALGTNTAYFYSVYSVLRAATSNDFKGTDFFETSAMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPDTAILLTLDSEGNVVGEEEIDSRLIQKNDVIKIIPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATWVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPMVILSSFSTWLAWFIAGRVRAYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALENAHKVNCVVFDKTGTLTVGKPVVVNTKLLTNMVLREFYELVAAAEVNSEHPLAKAVVEYAKRLRDEENPIWPEARDFVSIAGHGVKAMVRNKEILVGNKTLMADHNVALPVDAEEILAEAEAMAQTGIIVSINREVVGVLAVSDPLKPAAQEVISILKSMKIRSIMVTGDNWGTANSIAREVGIETVIAEAKPEQKAEQVKDLQVLRLKYLKRFYRFTILFYLHKYLIRFHHLTMLSYSLT >Vigun03g045800.1.v1.2 pep primary_assembly:ASM411807v1:3:3665134:3675414:-1 gene:Vigun03g045800.v1.2 transcript:Vigun03g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFLALACLRKNEGSGNLSPRSHYPSMPRYPKGVTEEEGSSNVLLKAVFSVTGMTCSACAASVEKAVKRLPGIRQAVVDVLNNRAQVLFYPSFVNEETIREAIEDAGFQATLIREDNETSVQICRIRIQGMTCTSCSSTVESALQAIQGVVKAQVALATEEAEVHYIPNVVTYNQILEAVEDTGFQATLISTGEDMSRIDLQVEGVRTGRSMRIIENSLQALPGVQGVETHPLLNKVSLSYKPDLTGPRNFINVIQETGSKRFKAKIFPEEGGKRNSHRREEIKQYYRSFLWSLVFTIPVFLTSMVLMYIPGIKHGLDAKVVKMLTVGEIIRWVLSTPVQFVIGKRFYSGAYKALRLGSPNMDVLIALGTNTAYFYSVYSVLRAATSNDFKGTDFFETSAMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPDTAILLTLDSEGNVVGEEEIDSRLIQKNDVIKIIPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATWVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPMVILSSFSTWLAWFIAGRVRAYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALENAHKVNCVVFDKTGTLTVGKPVVVNTKLLTNMVLREFYELVAAAEVNSEHPLAKAVVEYAKRLRDEENPIWPEARDFVSIAGHGVKAMVRNKEILVGNKTLMADHNVALPVDAEEILAEAEAMAQTGIIVSINREVVGVLAVSDPLKPAAQEVISILKSMKIRSIMVTGDNWGTANSIAREVGIETVIAEAKPEQKAEQVKDLQASGHRVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPSTRFRLPPWVAGAAMAASSVSVVCCSLLLKYYRRPKKLNNLEIRGISIE >Vigun09g159600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32670217:32671257:-1 gene:Vigun09g159600.v1.2 transcript:Vigun09g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQTSTTHSLLLLLLPHTTNSTISFLDQMGCCVSKCRPDNKPSPEQHQNQFNFNLVQDKLAPPPPTLYSSIKISPSPPSPTSSTSSISSFTCTTSNTISSASSLSTASSSLSSKDRSFSNDFLWSCYKDNPHITRINSLREASLSLMPPTKPRPHHRKLTNINPSPPPKPNLLTRKQSPPPQSFSMPQKRVRSNSPTNLARQKSFRKDTERSITVNYASDMHSRILRSPSPSRRYVGDKCGSANLATDNVVSRRMMNGSKVSVPAVHSHHSGFPSTRKESVKAESPRRVLNSAGLRNNETCTFGAGSKVDETVAKDVVSDHDMDLTLMEDINNPLISLDCFIFL >Vigun11g095200.1.v1.2 pep primary_assembly:ASM411807v1:11:27776509:27780543:-1 gene:Vigun11g095200.v1.2 transcript:Vigun11g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHCFLRFPLSCFLLFAFAPCCFSHPSLLGVHPLDEKYYSSEVIKCKDGSKYFTRDRLNDNFCDCPDGTDEPGTSACPAAKFYCRNLGSKPQFIVSSHVNDHFCDCCDGSDEHDGIICCPNTCVMGGNAESKISFCKSNKKEVKSEESVHNVTGLKLVFILQMVLLIFLVFLWSLRCRTRSRKRLSH >Vigun11g095200.2.v1.2 pep primary_assembly:ASM411807v1:11:27776507:27780543:-1 gene:Vigun11g095200.v1.2 transcript:Vigun11g095200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHCFLRFPLSCFLLFAFAPCCFSHPSLLGVHPLDEKYYSSEVIKCKDGSKYFTRDRLNDNFCDCPDGTDEPGTSACPAAKFYCRNLGSKPQFIVSSHVNDHFCDCCDGSDEHDGIICCPNTCVMGGNAESKISFCKSNKKEVKSEESVHNVTGLKLVFILQMVLLIFLVFLWSLRCRTRSRKRLSH >Vigun11g095200.3.v1.2 pep primary_assembly:ASM411807v1:11:27778698:27780397:-1 gene:Vigun11g095200.v1.2 transcript:Vigun11g095200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHCFLRFPLSCFLLFAFAPCCFSHPSLLGVHPLDEKYYSSEVIKCKDGSKYFTRDRLNDNFCDCPDGTDEPGTSACPAAKFYCRNLGSKPQFIVSSHVNDHFCDCCDGSDEHDGIICCPNTCVMGGNAESKISFCKSNKKEVKSEESVHNVTGNLFSKNIFMRLETQVKIN >Vigun09g009900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:748861:750073:1 gene:Vigun09g009900.v1.2 transcript:Vigun09g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDTCERVENALRECHRRIPAGPSRDSACRHLNQALAMCLVSSACPEESEAVRTLCSTAGTALKRRQCQQAQFSLSLCLSSHQQ >Vigun03g185200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24414558:24416215:1 gene:Vigun03g185200.v1.2 transcript:Vigun03g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSEVALDLSPFLKIYKDGHAERISGCEVVPPGADSATNVISNDVVISKDDDVSARIFTPKLSDQSEKLPLLVYFHGGGFCIETPFSPPYHKFLNSVVSKAHIVAVSVHYRRAPEHPVPIAYEDSWTSLQWVASHLNGNGPVESLNRHADFGNVFFAGDSAGANIAHHMALRVGIHGLPGINLQGIVLVHPYFWGAERIGSEVQKLDKVSVVDGLWSFTCPASTGSDDPLLNPAKDPNLGKLASKRVLICVAENDLLKDRGWYYKELVEKSEWQGVAEVMEAKGEDHVFHLFDPDCDSAVSLLHRIASFINNS >Vigun01g076900.1.v1.2 pep primary_assembly:ASM411807v1:1:21617037:21622198:1 gene:Vigun01g076900.v1.2 transcript:Vigun01g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDQRQREKLLHKTEACAFVAGVAAELRLVAAQGDIANTNNVNSTTTIVSQSHASPRIHDANHLGLVAAVTNLGTVHRKKRMPRQRRSTVATSSTTPTLFLQMHHSDNALPSKHHHPNLPSSTPSASSHVPPSSLQSVKHPTPPRLAARKAAETFLPPLESKEGIFMDMEDKDGTRVWNFKYRFWPNNNSRMYVLENTGDFVRTHGLRSGDTIMIYQQIENNNYVIETRKAWEEDESVGQSNETVNKMFPSDLEVNKPGCFNISYPAGNDAGMSYIYETTISNDSPLDFLGGSMTNFSRIGPVETFGSVENLSLDDFY >Vigun11g144625.1.v1.2 pep primary_assembly:ASM411807v1:11:35384947:35385526:-1 gene:Vigun11g144625.v1.2 transcript:Vigun11g144625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERKGNMVNERSVCRNVFFLFLFCSLMFSSRAAFSKDSEVGESLVSSRSEVKRVLKGLPHPPRCIRKCERCTPCTPVLIALPPPSAQRSVTASQRPDDYVPQVWKCTCGGRYYNPYD >Vigun07g292800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40433150:40434292:-1 gene:Vigun07g292800.v1.2 transcript:Vigun07g292800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSTKSNNFSTTLFPLLASNAVQKSEALTVKGMSQLGLNQRVKSLRKISLATKRRPVMFHGGLSAAEKGKGKEVLRAHFSGLSPTSLRVDGGDTESILRASKIVREKLEHAVCNTRTQESNKKAKEMLQAKLLSASAFTSESDSVGETSSTQLAIIAPTQRGSFMNHFAYPFPNCSSSHLSQADTEVDKSITLCATPLSVCFPHESVYGAKKTRNRSVGEAVEDVCGGRDDESEYDGRMHSNPYKKNGPYTCPKCGCVFETSQRFAAHVSSRHYRYETKSERKKRMMAKIRRRSLRLEWENGALTVVADDAPRTNSSVFGFAGNNTNVAPATAPVEVKIENESGVRLQLAPPPGWSKVTAATPQSAGAVRIKMEPLDN >Vigun05g282600.1.v1.2 pep primary_assembly:ASM411807v1:5:47132629:47138840:1 gene:Vigun05g282600.v1.2 transcript:Vigun05g282600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKINSIWRPVVTKASASEDTGKVQEMGCGTSTTISNDNVMKVAAEAIDEMDESATSSSQLQDNIENRLLQGDSSVSSKKHSISVQVGASLFPFIKGRWGSTQKRIEEEMGVKIIIPSSKEEDFISIEGISIDSVNSASERIQAIIDETVNSRNLDYSHFISLPLAIHPELVNKLINFQHSILGTVSLKNEGTDPDSNEEGGTTDTKGVDHMPKENAGVAVEHKVDDKSESVKVNLTDIPLVSYTPKAFKSSASSVAGLGIDKSIFINPKTFHLTVLMLKLWNKERVKTAFQVLQNISSKVMETLDNRPLSIRLKGLECMKGSLDKARVLYAPVEEIGSEGRLLRACQVIIDAYIEAGLVLESDAKHKLKLHATVMNVRHRKSDTWKSKTLRRKFDSFDARGIFKQYGSEDWGQYLIHEAHLSQRFSFDENGYYHCCASIPFP >Vigun05g282600.2.v1.2 pep primary_assembly:ASM411807v1:5:47132623:47138862:1 gene:Vigun05g282600.v1.2 transcript:Vigun05g282600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKINSIWRPVVTKASASEDTGKVQEMGCGTSTTISNDNVMKVAAEAIDEMDESATSSSQLQDNIENRLLQGDSSVSSKKHSISVQVGASLFPFIKGRWGSTQKRIEEEMGVKIIIPSSKEEDFISIEGISIDSVNSASERIQAIIDETVNSRNLDYSHFISLPLAIHPELVNKLINFQHSILGTVSLKNEGTDPDSNEEGGTTDTKGVDHMPKENAGVAVEHKVDDKSESVKVNLTDIPLVSYTPKAFKSSASSVAGLGIDKSIFINPKTFHLTVLMLKLWNKERVKTAFQVLQNISSKVMETLDNRPLSIRLKGLECMKGSLDKARVLYAPVEEIGSEGRLLRACQVIIDAYIEAGLVLESDAKHKLKLHATVMNVRHRKSDTWKSKTLRRKFDSFDARGIFKQYGSEDWGQYLIHEAHLSQRFSFDENGYYHCCASIPFP >VigunL080001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000604.1:16774:17427:-1 gene:VigunL080001.v1.2 transcript:VigunL080001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNYDAEKKQIIKILFDAITTDLNEKRKVNRKNIKSIKRNEICKKVPRWSYKLMDELEQLGGKIEADNSQIRSRKGKRVVILTNKDKFFKKYKTYRDRGDTENTEQKNELALRRYSQQSDFRRDIIKGSIRAQRRKTVTWKFFQKRVHSPLFLDKIEKSIFFSFHSLKSMKRFFILNIWICKKTEFQILGSIEEKTKKSPKKKEEEEKRKMQKENV >Vigun05g202800.2.v1.2 pep primary_assembly:ASM411807v1:5:39148308:39151793:1 gene:Vigun05g202800.v1.2 transcript:Vigun05g202800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKKKQKKSGEEARRFCVTGATGYIGSWLVETLLQRGYTVHATVRDPEKSLHLLSLWTRGDRLRIFKADLNEEGSFDEAVRGCDGVFHVAASMEFNVDHKENIEAYVQANIIDPSIKGTLNLLKSCMNSSSVKRVVLTSSISTITAKDSNGKWKPVVDESCQIQTEHVLKIQASGWVYALSKLLTEEAAFKFAKENGIDLVSVITTTVAGPFFTATVPSSVKVLLSPITGEGEFLKILSAVNARMGSIGLVHIEDICSAHIFLMEHRKAEGRYICSSQSCRLSMLANLLTTEYSYSNKKR >Vigun05g202800.1.v1.2 pep primary_assembly:ASM411807v1:5:39148308:39151793:1 gene:Vigun05g202800.v1.2 transcript:Vigun05g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKKKQKKSGEEARRFCVTGATGYIGSWLVETLLQRGYTVHATVRDPEKSLHLLSLWTRGDRLRIFKADLNEEGSFDEAVRGCDGVFHVAASMEFNVDHKENIEAYVQANIIDPSIKGTLNLLKSCMNSSSVKRVVLTSSISTITAKDSNGKWKPVVDESCQIQTEHVLKIQASGWVYALSKLLTEEAAFKFAKENGIDLVSVITTTVAGPFFTATVPSSVKVLLSPITGEGEFLKILSAVNARMGSIGLVHIEDICSAHIFLMEHRKAEGRYICSSQSCRLSMLANLLTTEYSYSNKKRIGEKNYDKVPSEICSKKLRDLGFSYKHGVEDIIHHTIICCLDYGYLPPIRQ >Vigun02g139400.1.v1.2 pep primary_assembly:ASM411807v1:2:28866066:28868534:-1 gene:Vigun02g139400.v1.2 transcript:Vigun02g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMIMDVFQLQTLILGVLVLLVGALLYQLKKRNGPNAKTFTVPQAAGAWPIVGHLHLFGAHQLTHKTLAVMAEKHGDIFTIKLGSNKVLVLSSSEMAKECFTVHDKAFSTRPCIAATKLMTYNTAMFGFAAHGPYWREMRKFTTIELLSNQRIELLKDTRTSELKTATREVYKLWSREGCPEGGVLVEMKRWFGDLTNNIVLRMVGGKPYYGASCDACAEGEAGRYQKAMREFMSLFGVFVLSDSIPLLGWIDNNGYKKAMKRTASEIDTLVEGWLEEHKRKREVSTNGKEEQDVMDVMLNVHHDLKVSGYDSDTIIKATCLNLILAGGDSIMVALTWALSLLLNNETELKKAQEELDTHVGKNRKVEESDIKKLVYIQAIVKETMRLYPPSPILTLRAAMEECTFSCGYHVPAGTHLIVNTWKIQRDGRVWHDPLDFKPERFLTSHKDVDVRGQNYELFPFGSGRRACPGVSLALRVVHLTLATLLHSFNVASPSNQAVDMTESIGLTNLKATPLEVLLTPRLDSKLYED >Vigun09g180300.1.v1.2 pep primary_assembly:ASM411807v1:9:35273393:35277494:-1 gene:Vigun09g180300.v1.2 transcript:Vigun09g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPHKGGSFYGDAAHYRSSEGLTTRPVASSDEIQLHIDPGIDFDDEITGLRGQVRRLRNVAEDIGTEVKYQRDFLEQVQLTMIKAQAGVKNNLRRLNKSIVRNGANHVVHVIVFALICFFIVYLWSKVSRK >Vigun10g038200.1.v1.2 pep primary_assembly:ASM411807v1:10:5233641:5237361:-1 gene:Vigun10g038200.v1.2 transcript:Vigun10g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESASSSLKHQRMYDVLINFAGEDIRRKFVSHLDSVLTSVGISTFLHHQNAVKEMYIEEPILNMCQVVIVVFTKTYSQSAWCLHQLQQIIQWHETYRRHVLPVYYEIQPSDVRLQKGDFGKAFTATAHQTFSGKQLEHGMSRWRYALTKAANFFGWDESNHRSDAELVDTIVKSVLNLSVLSATNFPVGLQSHVEDVIRTIKKKSTEVCTIGICGMEGSGKTTIAKAIYNHIHGTFKEKSFIEDIAQVSRTRGYAHLQGKLLSDILKTKVEIHSVEMGRSMLQQRLLGKRVLIVLDNIDEKAPLLDLWRNHAWFNKGTVIIITTAHEHLLRTRTPLDDSIFRINIMNASESLEFLSWHAFREAKPKAEYDDLAKEVVAYCGGLPLALEVIGSSLFQSTKEEWKWVVSKLEKIPMYDVQQKLKIIFGGLHNELEIDLFLDVCCSFVGKDRAYVTKILKSCGVDADNGIRVLIDRNLIKVKRNNKLTMHPLLQNMGRESFNKFIQEEFWTKSLRFYDSYVLTNNTGKKSSKELPVKLLSVRRKLSGLLKLAQNSEFRYKKVRWMSLQGFSSKNLSIDFDMKDAIAIDLKRSLLRLVWKQPQILRWLKVLNLSHSRYLKKTPDFIGLPHLEQLILRDCPRLLEVHQSIGFLYNLTLLNLKDCTSLTNLPREIYKLKSLKALILSGCSMIDLLEKDIVQMKSLITLITENTTVKEVPFSIVNSESIGYISLRRFEGLLRNLFPSIIRSWMSPTMNPISYIHSLYMDIDNTWDDIAPLLGSLKNLRSVLVECDTEFQLSKQVQNILVEYFANIIEPEISKQQLRWSLIGVGAYHEFFNVFSDNIPKDLACIEWCDVSLPVVNDTYWLAQMGEGHSVSFTVPENRVMKGIALCVFYLSTSEIVAAECLRSVLIVNYTKCTLHIHNNDTIISFNDIDWQGIKSNLGSGDKVEIFLTFAHGLLVKNTIVYSICAE >Vigun02g072700.2.v1.2 pep primary_assembly:ASM411807v1:2:22414298:22418384:-1 gene:Vigun02g072700.v1.2 transcript:Vigun02g072700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTAFPAPYNSVPLLSRAHTCHSLAPSRAVSFHFSFVSKFVFRQFRQPCAKLSDRAFVAVAAKKKRPGGSEVAVADDDLDEEEYDDDLSDGFDDEEEEFDYDDDDEGMLPLEKMSKWFEKRPKGFGEGKVYDTSVEDKLLEEIRQSRVAQAENLKRLKSNPVKHASTEKDEKKKETKLVPIGSRVRLVNLPKKKNILRDLKSALQGIPGIINIAPAVIGNKKTRDPICKGFAFVDFKREEDAVRFVELYTGQTITFGKIQKQIKCEILNAQSLSPSLKISENLSAARQHMDTTFEEDSNEDSNSDDDSPFNSWDETNSDDLDDLDNLVDGEEQEDDGGISESVTALRVDGDDSAQLRIHSETNLPPSEQVDRNSTEEKKSFAKVKPENARKKKSTSKEKAKKPLDIPGSSRRLKIREKAVLSDVFSKYGSKATLPSKDS >Vigun02g072700.1.v1.2 pep primary_assembly:ASM411807v1:2:22415134:22418525:-1 gene:Vigun02g072700.v1.2 transcript:Vigun02g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTAFPAPYNSVPLLSRAHTCHSLAPSRAVSFHFSFVSKFVFRQFRQPCAKLSDRAFVAVAAKKKRPGGSEVAVADDDLDEEEYDDDLSDGFDDEEEEFDYDDDDEGMLPLEKMSKWFEKRPKGFGEGKVYDTSVEDKLLEEIRQSRVAQAENLKRLKSNPVKHASTEKDEKKKETKLVPIGSRVRLVNLPKKKNILRDLKSALQGIPGIINIAPAVIGNKKTRDPICKGFAFVDFKREEDAVRFVELYTGQTITFGKIQKQIKCEILNAQSLSPSLKISENLSAARQHMDTTFEEDSNEDSNSDDDSPFNSWDETNSDDLDDLDNLVDGEEQEDDGGISESVTALRVDGDDSAQLRIHSETNLPPSEQVDRNSTEEKKSFAKVKPENARKKKSTSKEKAKKPLDIPGSSRRLKIREKAVLSDVFSKYGSKATLPSKDS >Vigun07g294700.1.v1.2 pep primary_assembly:ASM411807v1:7:40547514:40551737:1 gene:Vigun07g294700.v1.2 transcript:Vigun07g294700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLSSDSSGTDDDLPPSHQRKNRFQYPDHVAVPGNGRSAIIGSGSFPRIHNDMETQIHNLEQEAYTSVLRAFKAQSDAVTWEKESLITELRKELRVSDEEHRELLSRVNSDDMIHRIREWRKGNGLQSGTVYTAEQVHNHNTSPTVSASRKKQKASQSVASLPLGAPSPTVRRPMQPSSSTLKHGPPSGTQTKKQKTFPSSGITSRSQVTNQGRSSGAFAGKGPAEGASYDPCIGRKVWTRWPEDNHFYEAVITDYNAAEGLHALVYDMNSANETWEWVNLKEISPEDIRWEGEHPEMSLSRKVGQPPPRRGLKKSVSHGGVGGAGRGRGVTKVQPKKDSISSQNGSRKKPTDNIRILHTDAVIKEVEKVFTANPPDPIEMEKAKKMLKEHEQALINAIAMLGDVSEGESDGEPPFSNQKKLWKKHNPGGVRVLDGSDSDKLPRRTSVPS >Vigun07g294700.2.v1.2 pep primary_assembly:ASM411807v1:7:40548414:40551737:1 gene:Vigun07g294700.v1.2 transcript:Vigun07g294700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNLEQEAYTSVLRAFKAQSDAVTWEKESLITELRKELRVSDEEHRELLSRVNSDDMIHRIREWRKGNGLQSGTVYTAEQVHNHNTSPTVSASRKKQKASQSVASLPLGAPSPTVRRPMQPSSSTLKHGPPSGTQTKKQKTFPSSGITSRSQVTNQGRSSGAFAGKGPAEGASYDPCIGRKVWTRWPEDNHFYEAVITDYNAAEGLHALVYDMNSANETWEWVNLKEISPEDIRWEGEHPEMSLSRKVGQPPPRRGLKKSVSHGGVGGAGRGRGVTKVQPKKDSISSQNGSRKKPTDNIRILHTDAVIKEVEKVFTANPPDPIEMEKAKKMLKEHEQALINAIAMLGDVSEGESDGEPPFSNQKKLWKKHNPGGVRVLDGSDSDKLPRRTSVPS >Vigun02g052700.1.v1.2 pep primary_assembly:ASM411807v1:2:19478319:19481423:1 gene:Vigun02g052700.v1.2 transcript:Vigun02g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLNQMRVPFSGTEESKSGHGGTKDSKISIQKTQSFKGENKGAQNWFQKQFARKRSGDHESRDMDHAAAVAAAAFAINLLDVSEGKKETPKALLEKTKNRVDGTKPQIPLLSSASKRLSGSFRLKDEQGKKPEEAILPAPSMKKTSTFSDEKPETLTKPETSTKPDLGRQPTLGESFERHAKADEWERTELQDIRQRYDKLTERIDSWANKKRIKARRKLNQEERVIAKRRMRALEDFQNRITSIDHIADRARTSAEESRKNEVNKAKAKANVIRSTGKMPAICFCF >Vigun11g212600.2.v1.2 pep primary_assembly:ASM411807v1:11:40745091:40748350:1 gene:Vigun11g212600.v1.2 transcript:Vigun11g212600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWFSLVKRLFLRDPTQDKDKRRKWIFGRLKSKRLPSITAPLPSKETTLSEAEEEQSKHALTVAIASAAAAEAAVTAAHAAVEVVRLTGVSQSSLICKEKSEESQPLKTSNAAPQFTYQCKRDIQESAAVIRIQTAFRGYLARKALRALKGIVKLQAIIRGRAVRRQAMSTLKSLQSIVSIQSQVCARRLQMVEGRCDYNENDEMHDSKDKIIRMDSNSERKCDESTPSKEELDTSCISMKETVLKRERIKEYSFNHRRSAESERSKVNGRWRYWLEQWVDTQLSKSKELEDLDSVFSSHARSGEEYGSRQLKVRSINRQNPVEGLDSPTLGSRRSFPHRRQCSVGEDQSFSSSPATPAYMAATESAKAKARSTSSPKIRTGGNVDMNSDSYSPCKKKLSIASSINSEVLSGGRMAKFSGNQQRSPSFKGLSVPIKSSRTIKDLSINSDCSLPNWGPQGSFK >Vigun11g212600.3.v1.2 pep primary_assembly:ASM411807v1:11:40745718:40748359:1 gene:Vigun11g212600.v1.2 transcript:Vigun11g212600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWFSLVKRLFLRDPTQDKDKRRKWIFGRLKSKRLPSITAPLPSKETTLSEAEEEQSKHALTVAIASAAAAEAAVTAAHAAVEVVRLTGVSQSSLICKEKSEESQPLKTSNAAPQFTYQCKRDIQESAAVIRIQTAFRGYLARKALRALKGIVKLQAIIRGRAVRRQAMSTLKSLQSIVSIQSQVCARRLQMVEGRCDYNENDEMHDSKDKIIRMDSNSERKCDESTPSKEELDTSCISMKETVLKRERIKEYSFNHRRSAESERSKVNGRWRYWLEQWVDTQLSKSKELEDLDSVFSSHARSGEEYGSRQLKVRSINRQNPVEGLDSPTLGSRRSFPHRRQCSVGEDQSFSSSPATPAYMAATESAKAKARSTSSPKIRTGGNVDMNSDSYSPCKKKLSIASSINSEVLSGGRMAKFSGNQQRSPSFKGLSVPIKSSRTIKDLSINSDCSLPNWGPQGSFK >Vigun11g212600.1.v1.2 pep primary_assembly:ASM411807v1:11:40744854:40748365:1 gene:Vigun11g212600.v1.2 transcript:Vigun11g212600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWFSLVKRLFLRDPTQDKDKRRKWIFGRLKSKRLPSITAPLPSKETTLSEAEEEQSKHALTVAIASAAAAEAAVTAAHAAVEVVRLTGVSQSSLICKEKSEESQPLKTSNAAPQFTYQCKRDIQESAAVIRIQTAFRGYLARKALRALKGIVKLQAIIRGRAVRRQAMSTLKSLQSIVSIQSQVCARRLQMVEGRCDYNENDEMHDSKDKIIRMDSNSERKCDESTPSKEELDTSCISMKETVLKRERIKEYSFNHRRSAESERSKVNGRWRYWLEQWVDTQLSKSKELEDLDSVFSSHARSGEEYGSRQLKVRSINRQNPVEGLDSPTLGSRRSFPHRRQCSVGEDQSFSSSPATPAYMAATESAKAKARSTSSPKIRTGGNVDMNSDSYSPCKKKLSIASSINSEVLSGGRMAKFSGNQQRSPSFKGLSVPIKSSRTIKDLSINSDCSLPNWGPQGSFK >Vigun07g018500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1535855:1538174:1 gene:Vigun07g018500.v1.2 transcript:Vigun07g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRKRNSVVSWNTLFWCTLLVVISSIFFTTLFFSPFDAALSPLPFTSETPPTISQPTITIRETVMLPDQALIFLNYPPSFRFHAKYDLLCLYFSADSTRRRLTQLPIQLHFARLREQIVRCTLPPRGNSVSLFVKSDGVLPAAKDSSTHPWTPLVYEALFDRDNTTIVFVKGLNLRPERFIEPSTLNCIFGWDFSKPKFFLKSDVISGAQEIIRCRTPKSIIIGQEQAQSIKVTIQERDREIFPSIARPGLCPQLSSSMQKAHEICICTMLRNQARFLREWVMYHAKIGVQRWFIYDNNSDDDIENVISFLQSVGYNISQHLWPWVKTQEAGFAHCALRARASCKWVAFIDVDEFFHIRVNGDLSRMILHHAKPGHNVGEIRTLCFSFGPSGRREVPREGVAVGYTCRLSASERHKSIVRPEALNQTLINVVHHFHLSAPFVSVDAEKSKIVINHYKYQVWKVFKEKFYRRVATYVADWQEKENVRSKDRAPGLGTKPVEPPNWANRFCEVRDYGLRNWVLRNFLDRRTHLLPWQPEYENHIRRRPRPKDKTQPFF >Vigun10g076876.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20791488:20792354:-1 gene:Vigun10g076876.v1.2 transcript:Vigun10g076876.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGRKRTSKGIPIVPNIPRRPPSETDFGFQTIVDEKLVTKLRSAQPRQGDFGSETIVDEKLASKDRSGQPRRRDFGFQTIVDEKLASKGRSGQPRRRDFGSKTIVDEKLASKGRSGQPRWRDFGSETIDNKKLEPEMKKTQVKESIGSWALQQLITFVVFLFWMTMILNSLKVRFQGRTPPEDPL >Vigun02g099400.1.v1.2 pep primary_assembly:ASM411807v1:2:25443605:25445973:-1 gene:Vigun02g099400.v1.2 transcript:Vigun02g099400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAPACGDKLVLRGLSFYGFHGAKPEERKLGQKFVVDIDAWMDLATAGKTDHLSDTVSYTAIYDVAKEVLEGSPHNLLESVAQKIAITTLTNHKEIFAVRVKVGKPHVAVQGPVDYLGVEIHRRRSDLSG >Vigun08g000800.1.v1.2 pep primary_assembly:ASM411807v1:8:120876:122933:1 gene:Vigun08g000800.v1.2 transcript:Vigun08g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGVLSAKEAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRQTGESIPPQTIKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTVPIKKYTHEILTLWYRAPEVLLGATHYSMAVDIWSVACIFAELVTKQALFQGDSELQQLLHIFRLLGTPNEEVWPGVSKLMNWHEYPQWNPQSLSTAVPSLDELGIDLLSQMLKYEPSKRISAKKAMEHAYFDDLDKRHL >Vigun06g088700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22094479:22095993:-1 gene:Vigun06g088700.v1.2 transcript:Vigun06g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSLTTEMERNVRQMHKLIEDNGDSFAEKAEMYYKKRPELISLVEEFYRGYKSLVERYDHSPTSCDLQSQASSGVSDCGSEMASPSPRKMGRRISPNRAPGFDVFLGSGGNGNGFDACQKDGDGSSTLTESDEDYYDDSSSMNSFSGFFGNGNDQNGMNRRVMELEIEIPSEGKEKVLNEEERVKNGEDFGARISAYEEELRNVNEKLRVSEGEISKLKNEVEMYRSKELRNLKGGVGLSSSVEGVRMGGESLELKKLEEELRMSNEKLESAEMQVTKTFETIQQLQEEFELAQKDIASWKIKFNAQKRENSRLVERQAKMRTNVAERDREVRDLKALLSDVQQKVFFERSSLKSEITRLLGEKSVLEQKMKEWECQCQCLEGEIKRMESEKTERVKGKSESGEGLKIEKEKLSAEVGALKKVIESRDNEIEEGRKEVEELRSRGKILEEEIERQRVEILGGAEEKREAIRQLCFSLEHYRNRYDMLRQAFGGNKRLPVSTT >Vigun10g102600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29519168:29521009:1 gene:Vigun10g102600.v1.2 transcript:Vigun10g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKSHISYIRKRKDPLGSRFILDNESDWTNINPFFFIDPREKVQQSLSQNHGTIHMLLNRNEKCRSLIILSSSNCFQIRSFHDGKYYNGIKEEINPIQRDPLIPIQNSLGPLGIALQVAHFYFYLLITNNQISINKNGQLDKLKETFQVLKYYLIDENEIIYKSDLSSNILLNPFYLNWHFFHHNYCEKKTFPIISLGQFICENVCIVQTKNEPHLKSGQILTVQMDSVGIRSANPYLATPGTTVHGHYGEILSEGDILVTFIYQKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVDTWNGRITRILGIPWGFFISAELTIAQSRISLVNQIQKVYRSQGVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERAGRSLEESIYYRVLLLGITKTSLNTQSFISEASFQETARVLSKAALRGRIDWLKGLKENVVLGGMMPVGTGFKRIIYRSKQRQYNKITPETKKRIYVIHQNNLGFKNS >Vigun06g082866.1.v1.2 pep primary_assembly:ASM411807v1:6:21446568:21450624:-1 gene:Vigun06g082866.v1.2 transcript:Vigun06g082866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTLLLDLWKKNQRFNTERTYQASSLFSASASATVASFAAATSFSSKDFFGFRPQVAHCDAGAMISEDHIPSMGKGGKYFYHDSLKYNTNKQYKIELKPLYSALEWKSFSIITLRSFLMFYLPLMEPHAKMEQDDAEFLQNKLGNDGKLSVPFKNSLLQIIREVTVVTTRRILERVSVYYVSRRMAWKLLKDVPQSAARKAGRKMPTLVYIYSVSKSTFRGYMLGVSASWLVQVGVGVFQFFKSKAKNENLSNDVRIRVLRQKVFLATVRCNASLIFASIGGGIGASLIRPSLGQWVGCAIGDLVGPVIVAVCADKVLHVNLNL >Vigun02g039400.1.v1.2 pep primary_assembly:ASM411807v1:2:16349353:16353027:1 gene:Vigun02g039400.v1.2 transcript:Vigun02g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEDSKIHPVNPTELSSNPPASGKDEMTGIENSLSSGKDDMLGTENPPSSRKDDVMGDENPPEVSPNSMSLEKDGTVGTVNPTEVSSNPMPLIKNGMMSTDNLPLSGKDYEKCAENPPDLSSNSPTSGKNVNLLSPGKHDILTIENLSEVTFGDLSSGKDDGKKDIDSPEGTSKSDLSDKNTPQSLKAKSKIVKKSQTGKLKGKKNNVSQKINRKRKIKTSKKVVDNVESCHNAGEKQVSDVSELKENSDEPSEGKGEEAENKIKKRQNKSSADRSPKEESDTSQLKVTDPPEGSSKPELSNKNTPQSLKTKSKIIKKSHAGKLKAKKNSGTQQIHGKRRISISKMVLDNEDEKQISDSSHLKETDNEPLKGKSQEGEKKVAESQNRSTNGKSRREKIRQARKDKTSQLDNTEQNLESEEKHRDSSKGSRSIKTKVRRGGTERSQVNDKKAERLGGFIFMCNAKTKPDCFRYRVMGVSAGKKDDVLQIKPGLKLFLYDFDLKLLYGIYKASSSGGMKLEPKAFGGKFPAQVRFKIVADCFPLPERIFRKAIKDNYNEKNKFRTELTVRQVRKLTQLFRPVEIRSAMHPVHSQPKVIIRDRESPHNVRGSWSRLQRENYNVQSLNRDHQFDLQEEIAHDLFPMENNRSYGLPRYRRNVGTTSHITPILESYDRDYQPHHLDHGYTRNVPAHVDSVRTDHLYLNDSRDSLFLNDSRDPYHVYHHGVSPRDAYLAPLSREENSYLVGRRPFSGTDNFPRREAERDRHSERDRQYPIYAAPDALSDHLRRPYHGDKLEASRAPVSSRYSFAGPSFRRR >Vigun03g415000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62184403:62185120:1 gene:Vigun03g415000.v1.2 transcript:Vigun03g415000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPPKLSLSSSLIGRKEIQKLEFSFNISMAGIPSGISKLAVGSDDARRRSLQPQTTPDTNNTDTDKTDTNKPDTKTDSNSNSDTSEEFISYVNSAKQDIKGLTNLTGYVKGNANGVINFGTLTASDPSVQP >Vigun03g382000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58668152:58669591:1 gene:Vigun03g382000.v1.2 transcript:Vigun03g382000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYALHLRNFKFYCIITPYTTNLVSKTKCKTSLSFPKLENTMASKSSKPNRGRFVCSCFIVIFFLCLFAAINEVRFSGFLRLGRCALSNETAPIRNESEDLRILISVLTLPDQYLRRHFLRLVYGTQTWEGAKVDVKFVFCNLTKEEQKVMVALEIMLHDDIIILNCTENMNRGKTSTFFTSLPEMFNDTSGVGPYPPYHYVMKADDDTYVRVNSLVRSLRPLPREDLYYGFVIPCGSMDPFKHYMSGMGFVVSWDIVEWIHGSDIPKKHVVGPEDKVFGDWMRWARRGKNRYNAKWSMYNYPDPPSVCSHELWNDTIAVHLLKNQEKWIRTLSFFNHTHSLKPSNLYHIP >Vigun05g034600.2.v1.2 pep primary_assembly:ASM411807v1:5:2821958:2825102:1 gene:Vigun05g034600.v1.2 transcript:Vigun05g034600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNKIGNLLKNSAVKHINQDFSASTPSLFQAIRSMSSAKLFVGGISYSTDDMSLREAFARYGEVLDVRVIMDRETGRSRGFAFITFATSEDASSAIQALDGQDLHGRRIRVNYATERSRPGFGGGGGGYGGGGGYGGGGGGYGGGGGYGGGGGGGGYGRDSYGGNNSRGGSYGSGGYGVQGSYGGGNAETSYTSGGDASNYQFGGNSGGDLGSASGEFGSSQNEGTGAADNDEFNPLEDNVRGNSDEQPDDYAQTRR >Vigun05g034600.1.v1.2 pep primary_assembly:ASM411807v1:5:2822837:2825102:1 gene:Vigun05g034600.v1.2 transcript:Vigun05g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNKIGNLLKNSAVKHINQDFSASTPSLFQAIRSMSSAKLFVGGISYSTDDMSLREAFARYGEVLDVRVIMDRETGRSRGFAFITFATSEDASSAIQALDGQDLHGRRIRVNYATERSRPGFGGGGGGYGGGGGYGGGGGGYGGGGGYGGGGGGGGYGRDSYGGNNSRGGSYGSGGYGVQGSYGGGNAETSYTSGGDASNYQFGGNSGGDLGSASGEFGSSQNEGTGAADNDEFNPLEDNVRGNSDEQPDDYAQTRRS >Vigun05g034600.3.v1.2 pep primary_assembly:ASM411807v1:5:2821979:2825102:1 gene:Vigun05g034600.v1.2 transcript:Vigun05g034600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNKIGNLLKNSAVKHINQDFSASTPSLFQAIRSMSSAKLFVGGISYSTDDMSLREAFARYGEVLDVRVIMDRETGRSRGFAFITFATSEDASSAIQALDGQDLHGRRIRVNYATERSRPGFGGGGGGYGRDSYGGNNSRGGSYGSGGYGVQGSYGGGNAETSYTSGGDASNYQFGGNSGGDLGSASGEFGSSQNEGTGAADNDEFNPLEDNVRGNSDEQPDDYAQTRR >Vigun01g087500.1.v1.2 pep primary_assembly:ASM411807v1:1:24570493:24575627:1 gene:Vigun01g087500.v1.2 transcript:Vigun01g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMVTPLMRVSPFHHRNGHNTLPFFSPARYPSSPNFSFSPLSLTLSMAASPQSSAPAASRGDAKIDKDGVFQLIQAHQEKAARLPPLEEIRTVLDRSVRGMLSTFSKKFDGYPSGSMVDFACDANGYPILAVSDLAVHSKDLTANPKCSLLVARDPEDRTDLVITIHGDAITVPEKDKEAVRAAYLARHPNAFWVDFGDFKFLRIEPKVVRFVSGVATALLGSGEFTGDEYKSAKVDPIAQFSKPVASHMNKDHADDNKVIVQHWTSVPVDFAYLLDMDSLGFNVKAGYQGDTFKLRVPFPRRAEDRKDVKTLIVEMLQAAGPKAE >Vigun01g087500.2.v1.2 pep primary_assembly:ASM411807v1:1:24570493:24574907:1 gene:Vigun01g087500.v1.2 transcript:Vigun01g087500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMVTPLMRVSPFHHRNGHNTLPFFSPARYPSSPNFSFSPLSLTLSMAASPQSSAPAASRGDAKIDKDGVFQLIQAHQEKAARLPPLEEIRTVLDRSVRGMLSTFSKKFDGYPSGSMVDFACDANGYPILAVSDLAVHSKDLTANPKCSLLVARDPEDRTDLVITIHGDAITVPEKDKEAVRAAYLARHPNAFWVDFGDFKFLRIEPKVVRFVSGVATALLGSGEFTGDEYKSAKVDPIAQFSKPVASHMNKDHADDNKVIVQHWTSVPVDFAYLLDMDSLGFNVKAGYQGDTFKLRVPFPRRAEDRKDVKTLIVEMLQAAGPKAE >Vigun09g212200.1.v1.2 pep primary_assembly:ASM411807v1:9:38637703:38642151:-1 gene:Vigun09g212200.v1.2 transcript:Vigun09g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRSLHFCFLLTVFLSSSFLLSHQASGPENEGKDVVGFSRLYVYDAAPPFENSPVPLAAERTRRKDPLNSFNKYIYGWNISDNHYWASVAYTAVPMFSIAAVWFLGFGLCLMTIGVCYFCRKREPYGYSPTCYALSLILLILFTFAAVIGCAVLYIGQGSFHHSMSSTLQYVVHQADSTVDKLRNVSDYLAQAKQVGIDRIFLPTNVQTDIDAAETDINNSAGTLADKTKENSDNIQDLLDSARLALIIIAAVMLVLTFLGFLFSIFGIQLLVYILVMAGWVLVTGALILCGSFLVLHNATADSCLAVNEWIQYPIAHTAMDDILPCVDNATAQETFLRSKEVTSELVNLVNQVITNASNINFAPNFTPLYYNQSGPLLPLLCNPFHPDMTDRQCDTGEVTLSNATQVYGGFVCQVSPSEICMTQGRLTPTFYNQVSAGINVANALYNDAPSLVELQDCTFVRETLSVISTDHCPGLRRYSRWIYVGLVMVSFAVMFSLIFWIVYGRERRHRLHRKELKDLTPTRAPPPGQALALAQIPEGDKYN >Vigun10g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7211056:7212978:-1 gene:Vigun10g048000.v1.2 transcript:Vigun10g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRITLYDQMTASNGNTNSGSSSRDSLASLMLDDVVFKKAAADAEASRDLSRSRTLQDIIREEKPNGDAKDRNSWKAFKEKLRLKRAIGLAWSSATTHSNNSNNNDNEDGHLPQTRLLSDDAATQQNDVVVEDANDSDPIARFGSPTDNTAAGGDSSDGENNQEAAVGVSLMDLLEEAEQEMDLYRVSDDEVVAEFEKREEDEAEDEEKEGLVEYNCCVCMVRHKGAAFIPCGHTFCRMCCREIWASRGNCPLCNNLILEILDIF >Vigun03g246400.2.v1.2 pep primary_assembly:ASM411807v1:3:40984691:40998651:-1 gene:Vigun03g246400.v1.2 transcript:Vigun03g246400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMETKSQGGMANAPNQGGPSNKPPDPVHWRMCKRCGNMRRLACSTCKGTKSIREEGLLGTKPVKDLFETLDHTESQVKQITCVKCEDKAIGKCIGLAKHRDGHWELAIAPGVSPSLRNQHAANFDDVLIPEDHVSRSYNDTYYVDPQTVLRCHTSAHEAELLRSGHTHFLVTGDVYRRDSIICSNRLKEMP >Vigun05g012200.1.v1.2 pep primary_assembly:ASM411807v1:5:965599:967683:-1 gene:Vigun05g012200.v1.2 transcript:Vigun05g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEKELVIGIDLGTTYSRVAVWQQQYNRVEIIHNDYSNNITPSCVAFMDQQRFIGDVAADQAVINSENTIFDAKRLIGRKYSDPFVQKHKVMWPFKVVAGVNDKPMISIKYKGKEKKLCAEEVLSMILSKMRDIAETYMKKPVKDAVVTVPAYFNDCQRRAIIDAGAIVGLNVIRVLNESTAAALAFGLDNRKGCVGVRNIFVFDFGSGAFHASLLTMENNVFQVKATAGNTQGGEDINNKMVNYFVNKMRRKYKVDISENPRALMKMKRACEGVKRTLSYAITTKIEIDGLFEGMNLHSSMTRMKFEEINMEIFDECMETVNRCLKDAKMDKSSVHDVILVGGSSRIPKVQQLLQDFFNGKNLCKSLNSDIAVAYGAAVQAALLSEGIKNVPDFVLFDVTPLSVGTSVAGDFMSVVIPKNTTIPLKRTKVYDTDKDRETSSIFKVYEGERTRARNNNLLGSFVISGFPCEVTFAVNENSILSVFAEDKATGNRNEITIVNDTGRLTTAEISIMIQEAENYKSEDEKFLRITIAKNDLDDLVYKIEKALEREDISSKLSSKEKEDIRCTISRAINMFHRLHQMKDVVAVEDCLKELKTIFERIMVVEKVRKRKRSS >Vigun03g442200.1.v1.2 pep primary_assembly:ASM411807v1:3:64473872:64475394:1 gene:Vigun03g442200.v1.2 transcript:Vigun03g442200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSFYHLISTDVYRYIHSSHIYLQSERMGRIPVRFERVAAAFEADVARVRPCESGGGGGGETEHSPEDLSDLSDLVKSFMEKKEEEEDAIGFVWDDGDEDLEWFDSEKREILQIIFSNDGGDDDDAKRLIRREIELVMNLVAENEKSAPEFKRQLMSRMRERGLDAGLCKCKWERNRRFPGGDYEYIDVNYAGNRYIVEISLVAEFEIARPISHYCSLLDVFPEIFVGKLEELKQVVRLMCSAIKSSMKSIEMHIPPWRRIGYMQAKWFSSYKRITDEVATKRTSSSSPLSSTKSIGFDARPLKSYNCRDDFVSKPAFGICLLTNAFYADGPGMLL >VigunL007800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000144.1:47561:48917:1 gene:VigunL007800.v1.2 transcript:VigunL007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKQISSERCRKTTLARRKRGLMKKMWEFSKRCGGEQCLIVYDDDGDVEAVTSPQNPIEIHSMIQKYYETQLKNGRPHKTYGIQEFFENRKNMIEAEISKVHKEISSIKYPTWDPSFVNMEEDELRAFCAHVDAKIQACDEGIKLLKNKNVPNLMQNFDENSYLLRNMEEGGFSFVPNMPQENISQSQPLLQDFMELYDKNYEAVDVPLNSTNQLSELEFEELIWELSNCDSSYQPCHLPHQSLLPTISAQYQNQTNYYSMLSFY >Vigun02g020500.1.v1.2 pep primary_assembly:ASM411807v1:2:7190844:7195384:-1 gene:Vigun02g020500.v1.2 transcript:Vigun02g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFHCSLNLNFLETSRPFTDSYSRFFPMSSPVATAVSAAAPYDRAKAVKEFDETKVGVKGLIDSGIKAIPPIFVHPPETLAELKRGAEPGSAPEIPTVDLAAVQESRAAVIEQIRQAASTVGFFQVINHGVPEELLRQTLAAVKAFHELPAEKRVPVYRREMGKGVSYISNVDLFQSKAASWRDTIQIRMGPTAVESSEIPEVCREEVLEWDKEVVRVARVLYQLLSEGLGLGAERLNEMGLWEGRVMVGHYYPFCPQPDLTVGLNSHADPGALTVLLQDHIGGLQVETEQGWIHVKPQPEALVINIGDFLQIISNEAYKSAHHRVLANHSNEARVSVAVFLNPSDRERVFGPLPELTSADKPALYRNFTFNEFMTRFFKKELDGKSLTNFFRNS >Vigun03g263800.1.v1.2 pep primary_assembly:ASM411807v1:3:43293870:43297803:1 gene:Vigun03g263800.v1.2 transcript:Vigun03g263800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASFHLQHQLQEESVQSITSPTPPPHSKPSITTTHQSWIPSNIYNGCNNSGFLTESFTNSREVQHKHAMTDSLRISTRQALGFHNGKSLAQQPVSDLFAARIKGEMMNTSMKAMEESCSLIPIHEQKYPPSFLSSLGVTGLEFFPSENVFHNDKTLSKRYNNFDDACLISNLPTLDMTSSLVSCSLGLNPKMFDLHTSYSEGCEIQPCYDTFGLNESIALCHSDMHGRESSPSNSSKISSFISEVATTKRPSSFSLPKESEAKAKRSRTSSCPPLKQIRKEKLGDRIQTLQRLVAPFGKTSTASVLSEAIGYIHFLHQQIQTLSIPYMKSTQSNRMVQLNTNKVDKEVKPNLRSRGLCLVPLSYASFIHRCV >Vigun03g263800.2.v1.2 pep primary_assembly:ASM411807v1:3:43293870:43297803:1 gene:Vigun03g263800.v1.2 transcript:Vigun03g263800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASFHLQHQLQEESVQSITSPTPPPHSKPSITTTHQSWIPSNIYNGCNNSGFLTESFTNSREVQHKHAMTDSLRISTRQALGFHNGKSLAQQPVSDLFAARIKGEMMNTSMKAMEESCSLIPIHEQKYPPSFLSSLGVTGLEFFPSENVFHNDKTLSKRYNNFDDACLISNLPTLDMTSSLVSCSLGLNPKMFDLHTSYSEGCEIQPCYDTFGLNESIALCHSDMHGRESSPSNSSKISSFISEVATTKRPSSFSLPKESEAKAKRSRTSSCPPLKIRKEKLGDRIQTLQRLVAPFGKTSTASVLSEAIGYIHFLHQQIQTLSIPYMKSTQSNRMVQLNTNKVDKEVKPNLRSRGLCLVPLSYASFIHRCV >Vigun03g254400.1.v1.2 pep primary_assembly:ASM411807v1:3:42092367:42095277:1 gene:Vigun03g254400.v1.2 transcript:Vigun03g254400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFIAGIFSAMVVALLYWLFRKKVIPFLKQRKTLKGREHKSLEHDAISLRCFDFKELEKATANFSQDCLLGSGAFGNVYKGTFELEGTLAIKRAHFESFTSVDEFRNEVRLLSAVRHRNLIGLVGYCEEPEQDGAKILVYEYVPNGSLLEYIMGSRMSLTWRRRVKIAIGAARGIAYLHEGVKPSIIHRDIKPSNILLGEGFEAKVSDFGLVKSGPTGDQSHVSSQIKGTPGYLDPAYCSSFHLTKFSDVYSFGVILLQLVSARPVVDSTEKQSNQHIIDWARPSIEKGSVEEIIDANLLCQSEPCNMEVMLKMGQLGLRCVVEEPKHRPTMTQVCQELERALSSADSFNSNKQFSKGFFTPIGLSQQSPKSETRGSVESNDYSQSFVSIDGVGFQKFHVDMDSFSFKSTNLRCLENNSISIDMNRM >Vigun06g194500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31067654:31068843:1 gene:Vigun06g194500.v1.2 transcript:Vigun06g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDKHEDEESLSIGLKVMLAAAISLFGMISIIIIFHFSMKYFIIRQRRRRQNELLHQITTQIAPIDVSSVEARNPGLQTSIIASLPKLLFNQTEQFKQGEVIECSVCLATVTKDAIIRVLPNCKHIFHVDCVDKWFNCNSTCPICRTVVDPKVAPEEEDGDLGTRIQQVQPTAPPVVGDGEVHDGTEMEKVGCSGLRIGSFHRMVSNRERSGRNHSCDESTIDIERH >Vigun03g189600.1.v1.2 pep primary_assembly:ASM411807v1:3:25818356:25825876:1 gene:Vigun03g189600.v1.2 transcript:Vigun03g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAHEPPPSLDGGPIPVETLANSPSSSSPSSSSSSSSASSPRGSKGKEIESTSSVTGTAAVPAKYDDEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSLGEAQRLFLSHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDADREDEVDRNGARMARRPPVQANRNANGDGNGEEAGGAQGIAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYLSWFFSTASGPVLSAVAPLADTSLSLANITLKNALTAVKNLSSETQESGPIGQVAEMVKANASELSEMSNNITSASAVILKGGSIGASRLSDVTTLAIGYVFILTLIFCYFGIVALIRYTKGEPLTMGRFYGIASIAETIPSLFRQFLAAMKHLMTMVKVAFLLIIELGVFPLMCGWWLDVCTIQMFGKTMVHRVQFFSASPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPRPDDSVNQDNGNGEPGRQERLQVVHAGVHDMGLMPFAGENRAISTVGELNSGEDYENDEQSDSDYAFVLRIVLLLVIAWMTLLVFNSALIVVPISLGRALFNFIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQIRKRRASVLFGQVWKWCGILVKSSALLSIWIFIIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLAKGVFPVLGYPLVINSAVYRFAWLGCLCFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEHVEKANEAGTSTELQDTILLGTGLNQQDHDADVGLRLRRVNHQQVG >Vigun06g198200.1.v1.2 pep primary_assembly:ASM411807v1:6:31362824:31367231:1 gene:Vigun06g198200.v1.2 transcript:Vigun06g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPYLLTSIPTLLLLLLHASLSASAQSIHHEINQINVKISHLESVLEESNKRLKESDVYLEECDKRVNELSEQIHHLQSTLSTLKADSLLVERQNKALEEEVQLLWHTLRKNNFDLHILKSKAQETEERLEEVTSKVEKMDAIVNEQWIQVQHLEQALHITKVRTLRARRLTSVTRCTFLKFFNILLDDLRALYSYVFGERTAVSSLISQAMDKLKRCFLLTRMYHHQLQGYIKYQMERNELTASLANDELVFFLASALIIFPLMSAWMLLSS >Vigun09g031100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2623130:2624237:-1 gene:Vigun09g031100.v1.2 transcript:Vigun09g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAQKEKREEEEMKRGSKNKNSNKEENGSKGETVSKRVIGESIISDAGDNGRAQTPHDVLAFFRSVRNIDSSLE >Vigun06g073801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20492549:20492866:-1 gene:Vigun06g073801.v1.2 transcript:Vigun06g073801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEFSLKRDFLTWTKLLSLKMELVSSKNLVSFLLLLLRRGLLAWAKTVGSSPAHACNTRHPKQNNISTIYRQQKQQAGIISMIQNPKQVRYFKNLKILNSPYLD >VigunL059716.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:43125:43484:-1 gene:VigunL059716.v1.2 transcript:VigunL059716.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g113400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20955715:20956706:1 gene:Vigun07g113400.v1.2 transcript:Vigun07g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNGSNSSVCNKIRQALASNLAVRTVQRITSFNQEPKPLTKHPKTPSSITNIPIQNKPHSNHKAHRQGPGSGSGAVPIKLDHSAVSSAAKGAERANKVAIKGEPHAQRVPMQGYKQQGHGVLSESPHGKKIDINDHFKDFIQQTREKMMRSMTNIGWGQNNHAAAPDHEPQHGSHKNESHFSDFIQRARKKLRTTTTVRKNNYTKKE >Vigun07g113400.2.v1.2 pep primary_assembly:ASM411807v1:7:20955716:20956669:1 gene:Vigun07g113400.v1.2 transcript:Vigun07g113400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNGSNSSVCNKIRQALASNLAVRTVQRITSFNQEPKPLTKHPKTPSSITNIPIQNKPHSNHKAHRQGPGSGSGAVPIKLDHSAVSSAAKGAERANKVAIKDFIQQTREKMMRSMTNIGWGQNNHAAAPDHEPQHGSHKNESHFSDFIQRARKKLRTTTTVRKNNYTKKE >Vigun01g212700.2.v1.2 pep primary_assembly:ASM411807v1:1:38668337:38672932:-1 gene:Vigun01g212700.v1.2 transcript:Vigun01g212700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSTLSRRTHLLPYLRALQSNSLESRFINANTSFQHNFSCNIRASKFNQELFHPSYRSSAFASQNVGKSCSMPGFRHFSSHASKEKKSQKMLLYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVTRRETVEEKIARHDSNNTVSTREIVVQFNADVADGMQWKFIPAQREVRVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMNGINNIMLSYTFFKVSEE >Vigun01g212700.1.v1.2 pep primary_assembly:ASM411807v1:1:38668337:38672932:-1 gene:Vigun01g212700.v1.2 transcript:Vigun01g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSTLSRRTHLLPYLRALQSNSLESRCLSILSKGSSYRFINANTSFQHNFSCNIRASKFNQELFHPSYRSSAFASQNVGKSCSMPGFRHFSSHASKEKKSQKMLLYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVTRRETVEEKIARHDSNNTVSTREIVVQFNADVADGMQWKFIPAQREVRVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMNGINNIMLSYTFFKVSEE >Vigun01g212700.4.v1.2 pep primary_assembly:ASM411807v1:1:38668341:38672932:-1 gene:Vigun01g212700.v1.2 transcript:Vigun01g212700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSTLSRRTHLLPYLRALQSNSLESRCLSILSKGSSYRFINANTSFQHNFSCNIRASKFNQELFHPSYRSSAFASQNVGKSCSMPGFRHFSSHASKEKKSQKMLLYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVTRRETVEEKIARHDSNNTVSTREIVVQFNADVADGMQWKFIPAQREVRVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMNGINNIMLSYTFFKVSEE >Vigun01g212700.5.v1.2 pep primary_assembly:ASM411807v1:1:38668341:38672932:-1 gene:Vigun01g212700.v1.2 transcript:Vigun01g212700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSTLSRRTHLLPYLRALQSNSLESRFINANTSFQHNFSCNIRASKFNQELFHPSYRSSAFASQNVGKSCSMPGFRHFSSHASKEKKSQKMLLYLTGLVFAMVGCTYAAVPLYRRFCQATGYGGTVTRRETVEEKIARHDSNNTVSTREIVVQFNADVADGMQWKFIPAQREVRVKPGESALAFYTAENKSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMNGINNIMLSYTFFKVSEE >Vigun02g139200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28843355:28845465:-1 gene:Vigun02g139200.v1.2 transcript:Vigun02g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMRHLNPNCSKKASSPSLSTPTRCHWPRQRVPLPTSPRCPHLCSATPPRDWLPATLIDAGGSSSLSAAQPPCFHSCTGTQLQEINADAAPATHRERRHRRDRRASPTAPISPSARGFLPGRSSARSSAASPSRSLRVAPPRRHRRGKRRRR >Vigun09g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9921901:9924136:1 gene:Vigun09g082300.v1.2 transcript:Vigun09g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKHRWKISFHRSSSQSSKHDPKLPPKEFTCPISGSLMSDPVVVASGQTFERLAVQVCKDLNFSPKQEDGTRPDFSTVIPNLALKTTILNWCDKSRSQHPRAPDYASLVGRVRQEMALSVERNQQDERQERIRVSEKELLNAVADNPPVIFSHAATELSPRLNHFNSGSSSEESVIIPPSPGTPLPFTVRPTCFSSSSSCEIEIENPSAPVSEEEERILKKLKSSEVFEQEEGVIALRKITRSKVEARLLLCTPRVLLALRGLIASRYGVVQVNAVASLVNLSLEKQNKVKIVRSGFVPFLIDVLKGGLDESQEHAAGALFSLALDDDNKMAIGVLGALEPLIHSLRAESERTRHDSALALYHLSLVQSNRVKLVKLGAVPTLLSMVVAGNLASRVLLILCNLAVCTEGRTAMLDANAVECLVGLLRTNELDSEATRENCVAALFALSHRSLRFKGLAKDARVVEVLKEVEQTGTERAREKARKVLHMLRTVSDGGDDEGDEFYDSAGLTRNRYRIGAARNHNILNTTTF >Vigun08g095366.1.v1.2 pep primary_assembly:ASM411807v1:8:22743782:22744462:-1 gene:Vigun08g095366.v1.2 transcript:Vigun08g095366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCISVIQLWLLYLHHRCTEKKNDHIYGFIDPIAIQGVGNKNEEVQKYLLEAFDVGKKEVYLAPYLQQGHWQLLVILPQNFVVVLLCSLHKKPNALKIKSSLQAVVEAHSRLQGQQITSKKKL >Vigun05g070800.1.v1.2 pep primary_assembly:ASM411807v1:5:6087165:6088933:-1 gene:Vigun05g070800.v1.2 transcript:Vigun05g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKRYAVVTGANKGIGFGVCKKLASNGVVVVLTARDEERGLKAVESLKEFGLSDLLVFHQLDVNDPASVATLAHFIKTKFGKLDILVNNAAVTGGKLLDGDALLRMRNGEKVDLSEVGYATYELAQQCVETNFYGVERITEALIPLLQLSTSPRIINISSRAGFLKNIPNEWARTVFSDIENLTREKIDRVLKEFQKDLKEGFLEIKGWPTFAPAYTMSKAALNAYTRIMAKKIPHFHINSVCPGFVKTDMNNNTGQLSIDEGSETPVMLALLPNSLPSGCFFYQGEVIPF >Vigun08g109800.1.v1.2 pep primary_assembly:ASM411807v1:8:27246208:27271378:-1 gene:Vigun08g109800.v1.2 transcript:Vigun08g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPINFLHGFTVFCVTLVVLFISSFALLSWILSRVLGASVGFCVGGFNSVKDVELKFKKGAVESLSVGEIKLSFRRYLVDHSTGFRSWDPKLQLIICNIEVVTRPPIKSTEVKKKKPKKSSSGSSGKGKGKGKGKWKTISNIAKYLSLCVTNVVLKTPKFTLEIGKLNVDISKAAGTESDLLVRVQILPIVFNLIQPQISNELSESSGGGSNTSTQTTVASTEKTSATFVCEKFSVSCTFGQDREMSIAVKSVDISIGDIIVNSNEGLLEKKKSSSESSSDSKKNIESSVDDPKSKKPPSSKQEKVSGYISKFPQTVNFNLSKVNVSFTHRERNLFVENSIVGLQFKSIKSRPSKDGGESRRLHFQLEFGEINLFKEVGSSILEISSVKLASFVYVPVVQSVMLIRAETEVKIGRSQCNIVMSRIKPWLLLKPPSKQKKLVIREEPAVVKPKSKDESLTIVWTCNLSTSEFIIMLFNMAGSPLYNVCLKSAHVSANNTSKMGIAVHSELGELSLKMANENQECLEESIFGAESNSASILHIAKICVDWGKKDMKSSEKDAPKCVLCLSVVVTSLGIYLSFERIESFISTAISFQVLFKSLAGPKKKSTNTRSHSSKNSGKGTQMIKFNLEQCSVYILGETGIENAVVPDPKRVNYGSQGGRVIISVSADGTPRTANVISTVSDEYQKLKYCICLQIIDLKLSVNKEKRSKQVELKKARCLYQEYYNETRPVSKVPLFEIRYIKFVQRLGGIKENAACSLFSATEISLKWEPDLHLSLIELVLQLKLALHNSKLRERSNEKVEDVVSDVKDSNVKNETPPLPSGHAEKKKDSVFAVDVEMLKISAVLGDGVDAMVQVRSIFSENARIGVLLEGLLFYFNGARLVKSSRMQLSRIPSKSAAAISDAKGVTVWDWVIQGLDVHICLPFRLELRAIDDALEDMLRALKLIVAAKTNMIFPVKKDSSKAKKPSSVKFGCLKFFLRKLTFDIEEEPLQGWLDEHYPLLKKEVGDLAVRLNFLDDFISKAKKDPNSTDDTCLEEKKIYINDIEVDLNDPSVVESMREDIYRRTFQSYYESCQNKKFYDGSGAYKNDELQSGFKLSTARSFVLSVFATELEVTLTKIDGGEDGMIEILRTLDPVCRELEIPFSRLYGANIVLNTGSLVAQIRDYTYPLFGGTSGKCEGRVVMAQQATSFQPQMVQDVYVGEWRKVSMLRSATGTTPPMKTYTDLTLRFQKGEVSYGVGYEPVFADISYAFTVVLRRANLSLKTPGPLIVPPKKEKSLPWWDDMRNYIHGNITLIFSETYWNLLGTSDPYEKYDKLVLLTGYMEIQQSDGRIFLSSEDFNIHLTSLESLATKHGAKIPPGVSGAFFEVPVFILEVLMDWGCDSERPLNHYLFSLPIEGKPREFVFDPFRSTALSIKFSVSFRSLPPPSEKQSSSSSVAKDSTEGDANVHQPPRTSQNTSPTINLGSHDLSWLTKFGTLMALPPHKLRLFSRFPRYGCARIIRSGNLPLDRVITEFMMRIDGTPIRIKNVHLHDDDPAKGLTFSMTKLKVEVCFGRGKQKFTFECFRPGLDVVYLGVDLHMPKVFLSKEDCSSISKLISITPKGPQTPSKDKTPSKKGCMTQKNPDDGFFLSGDYFTIRKQSPKADPDSLIAWHEAGKIPSDNTYVRPQRGNRNETDDQAQSDASDDEGYNVVIADSCQRVFVYGLKLLWNIENRNAICFWVASLSKAAAPTKPSPSRQYVQRKLREEKKKQEVAETNQDGAGETAQDDGAEVHPDDGAEARQDDGTEVHQDNGGEISKVDEAESNQENVAETKQDEGTGTNQDEDSVPLNTNNISDSPSAQTAKNPELPSSPPKVDNVDNVPSSTKKENGDEPEEGTRHFMVNIVEPQFNLHSEDANGRFLLAAASGRILAQSYHSVLQVGLEMIEQEANKTEAQSSEYQPEIAWKRWELSVMLEHVQAHVAPTDVDLGAGVQWLPKIQRGSPKVMRTGALLERVFMPCDMYFQFTRHKGGTPELKVKPLKELTFNSHNITAKMTSRQFQVMLDVLCNLLLARVPKPRKSSMTLFADDDEDKEEEADEIVPDGVEEVELEKINLERKERDQNLIRDDIRKLCLWHDVSRNSHPEEEASLWMIDGGIGKLVQELEKELVNAKKFRKEAYASLRVAMHKAAQQRLMEKEKNKSPSYAMRISMQINKVVWSMILDGKPFAEVEINDMIYDFDRDYRDIGISLFTTKFVVFRNCLSNAKSDTILSAWNPPPDWGKKVMVKLDARQGAPKDGNSPFELFYVEIYPLKIHLTEAMYRMMWVYFFPEEKKDSQRRQEVWKVSTTAGARRMRKGSADGSSAGTDAPPARTGFSAMLFPTVNPLASQADSAQASKAQNAKANPAAGQSPELKRTSSDKTKEDAVAESAADGGKEIAGAPEEKKARPQKIMEFHNIKISQVELCVTYEGSRFVVSDMKLLMDQFHRVEFTGTWKRLISRVKKHIIWGVLKSVTGMQIKKFKDKGQIQLPSSSGPELDSPSVDSEGKSDKLPPSFPKRPTDGAGDGFVTSIKGIFSHQRRKAKAFVQKTTKNEGQNEVQGELNENDPETSPFARQLTITQAKKLIRKHTKKLAAKGQKGTPLQQIVEEALPISPKEDAIAFDSDSSSDSSSDDSLPDQIM >Vigun10g175300.1.v1.2 pep primary_assembly:ASM411807v1:10:39380140:39382508:-1 gene:Vigun10g175300.v1.2 transcript:Vigun10g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMVIFDFDSTIIECDSDNWVLDDTALTEKFYQLLPTIPWNPLMDRMMKELHSQGKTIEDIVEILKRTPMHPCIVPAIEAAYSLGCDLKIVSDANSFFIETILQHHGVWNCFSEMICNPSHVKEGSLNICPYHDYLKSCHGCNLCPPNMCKGLVIERIQNSMAEAGKKKVIYIGDGNGDFCPSLKLKESDFLMPRTGFPLCDLVSKNSNQIKAEVHGWRDGKELQHVLLNLINKAIEEGNNTINNNTLQTISLDCKLLQPPIPIDTHQPLPKALSVPQ >Vigun11g178400.1.v1.2 pep primary_assembly:ASM411807v1:11:38265895:38269802:1 gene:Vigun11g178400.v1.2 transcript:Vigun11g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILFFVSFVWFRMMTCSSFSDMDALLKMKDSMKGHKAKDDALSDWKFSASLSAHCSFSGVECDQDLRVVAINVSSVSLFGHLPPEIGQFDKLQNLTISQDNLTGELPKELAALTSLKLLNISHNTFSGNFPGQIVLPMTQLEALDAYDNNFTGPLPVEFVKLEKLRYLKLDGNYFSGSIPESYSEFESLEFLSLSTNSLSGKIPKSLSRLKTLKYLKLGYNNAYEGGIPPEFGAMESLKYLDLSSCNLSSEIPPSLSSLKNLETLFLQMNNLTGTIPSELSAMTSLLYLDFSFNGLTEGIPDSFSQLRNLTLLNLFHNDLYGIVPSFVGELPKLETLQLWENNFSAALPQNLGQNSKLKFFDVTSNHFTGLIPPGLCKSGRLQTFVITDNFFHGPIPDDIGNCKSLLKIRASNNYLSGVIPSGIFKLPSVAIIELANNRFNGELPPEISGDSLGILTLSNNLLTGRIPPALKNLRALQTLSLDANELVGEIPAGVFVSPVLTTVNISGNNLTGAIPTMLIDCVSLHFIDLSRNMLVGEIPKGIKKLTSLGLLNVSRNHLTGRVPEEIGLMSSLVTVDFSFNNFNGKLPTGGQFSAFTDNAFAGNPNLSLSQGSSMNNVGAAAAPTPITFLHVVSVAINYLHLYYYKM >Vigun05g275000.1.v1.2 pep primary_assembly:ASM411807v1:5:46524934:46529313:1 gene:Vigun05g275000.v1.2 transcript:Vigun05g275000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGLRTTWKKFKDGRMLSGWDSNVIRSENTLVEGIVEDILKKLDRYSISYDQGIIGIEKHTERIQSLMHLDSPDIRIIGIFGMGGIGKTTISDQIYQRLTLEFDSSSLVLDVQEKIERDGIDNIRLKYMSELLKEAPSPHLSYYNERLKRTKVLLILDDVTDSAQLRKLMGGRDSFGQGSRIIMTSRDRQVLKNVGADDIYEVKELNFLDSLKLFSLHAFKQKPSQEITYMDLAVEVLMYAKGIPLALQILGSLLYGRTREAWESQLQKLEKCQHLEIFNVLKLSYDGLDEEQKNIFLDIACFYRGHEESVVAEMLDDCGFSSKIGMDILKDRCLISVLEGKIVMHDLIQEMGQEIVRKECPQHPGKRSRLLNSEEICGVLREKGSDVIQCILLDMRKIENVVVHGETFKKMDNLRMLMLCYSCCGLKSEMSLASSLVSLPNTLMILYLNGFPQRSLPPNFCAQNLVRLEMPNCHLEQLWEGDQNLPKLKRLNLGGSWKLTRIPDLSLSPNIEEIILSHCEKLKEVYSSTFLSELCCLCLDNCSDLRSVNIPGNILSRSPGFISLSQCGKIELFSTAQTQLYCSPSSSLITPTSIFLKLMRQRPTLSVFSDEFKLSRLDRLSGFPSSEIFSITFDRYEEEEKELANNDVYLRCDEVWRKLKEGVPLNFQSLKKLCCLDLSNCFSLTSFPFNLSEMKFLKQLCLSDCSKLETFPEIEDTMEDLRVLILDGTAIQALPSSLWRLVGLQELSLHNCWNLEIIPSSIGSLTRLCKLDLTYCVSLQTFPSSIFKLNLRKLDFCGCFTLMTFPEITEPAHSFAHINLTETAIKDLPSSFDNLVNLRSLHLKKCTDLESLPNSIVNLKLLSSLHCSGCAKLTEIPTHIGRLTSLIELSLSETGIVNLPESIAHLLSLKSLDLMQKS >Vigun06g046500.1.v1.2 pep primary_assembly:ASM411807v1:6:17132817:17134958:-1 gene:Vigun06g046500.v1.2 transcript:Vigun06g046500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQGSYSMNSGTYCFTTVPSTASAYPSLPLGSYIDLHKPLLYSKPSKFTSLVINGHRRICDLFDLHQEQVPYEVAWSWQKKIVRDKRAQIEKEGDCNDTLIILQHPPVYTLGTASTVENLKFDMKNAPFNIYRTERGGEVTYHGPGQLVMYPIINLRTHKMDLHWYLRTLEEVVIRVLSSTFSIQASTVEGLTGVWVGNEKLAAVGIRVSSWITYHGLALNVTTDLSPFKWIIPCGIRDRQVGSIKELLVREGVGHGRADLHHLNDASLIHITHKSLLEEFSQAFQLEYSYKSVSSTMLYERK >Vigun08g185500.6.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSRYDSHYTKFNSQDLHMEGADFGSLHESDFQDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHSR >Vigun08g185500.5.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHRSAAEMPSSFSEDETAETSSSLDY >Vigun08g185500.4.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSRYDSHYTKFNSQDLHMEGADFGSLHESDFQDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHR >Vigun08g185500.3.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHR >Vigun08g185500.1.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSRYDSHYTKFNSQDLHMEGADFGSLHESDFQDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHRSAAEMPSSFSEDETAETSSSLDY >Vigun08g185500.2.v1.2 pep primary_assembly:ASM411807v1:8:35491620:35496973:1 gene:Vigun08g185500.v1.2 transcript:Vigun08g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELQSEENKVSNEKNKKRKLKTPAQLTALEKFYDEHKYPTEEMKVELAEELGLTEKQISGWFCHRRLKDKRLMKDEAVANGRQDRSSGVIQDRGSGLGQDSCGSSKHADYRYLDAKEVESHGFYNNEISVADMTQRRRNHYLENVSGVDDTSSESSSFLQERAFAQGQDPYDMDPSRHLTPNGALPPLNPKGAVNLGYKPSGYLKLKGEIEHAAITAVKKQLGRHYEEDGPLLGIEFDPPPPGAFECQTEDPANEPFCVANAPVLNSPEISAAKKPNLSSDKQDKKARQNIKQRQPYHGYNTHVSGRNPFPDLYEDSTGEASGYNSAKNHRMSTKHGVEGMRSDSASNHSDHFEEKLAIKPKDLMLHGYDKINPKRIQKSGHVKSKPSSSIRNSRVPMDTEERGLSARMAKEEMFKLDQKAKNTYRDVDGRLSNEILVAKRAKVDMLQQYHVKPSPVAEMEQRKIHSR >Vigun01g020500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2174551:2175188:-1 gene:Vigun01g020500.v1.2 transcript:Vigun01g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFSVNFFLVALIAALFFAVATAEELTPAAAPGPDAGAAASVSSSMAVIGASIVVSMFAILKQ >Vigun07g077600.1.v1.2 pep primary_assembly:ASM411807v1:7:10493937:10494945:1 gene:Vigun07g077600.v1.2 transcript:Vigun07g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVDVPESSNVAKGKAIAVARPGGWKKGLAIMDFILRLGGIAASLGAAATMGTSDQTLPFFTQFFQFEASYDSFTTFQFFVITMALVAGYLVLSLPFSVVAIIRPHAPGPRLFLIILDTVFLTLATASGASAAAIVYLAHNGNQDSNWLAICNQFGDFCAQTSGAVVASFVAVVILVLLVIMSALALRRH >Vigun03g201550.1.v1.2 pep primary_assembly:ASM411807v1:3:31083688:31085127:1 gene:Vigun03g201550.v1.2 transcript:Vigun03g201550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGAAIWHWVYWDLEIFCDERTGKPSLDLPKFWNSFISCRVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWYCCCLFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEAWSKIPEKLAFYDYIGNNPAKGDGIAVGWLGHPIFRDKEGHELFVRLVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKNMLDVLNWGNFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFSGTFGMVLELYLDAQVEFGAFQKLGDPTTRRQVV >Vigun08g095700.2.v1.2 pep primary_assembly:ASM411807v1:8:22948151:22950109:1 gene:Vigun08g095700.v1.2 transcript:Vigun08g095700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWVKLLSNESKRNKIIREQSVYYIVLQQALALSTAQGYKGYGPEQGNRELRRAIAETLYQGMPVKENEIFVSDGAQCDISRVQMLLDASLSIALQDPTFPGYADSSVIVGRAGRLNAGSGKYKRIVYMKCEPENNFFPNLSKTPRTDLIFFCSPNNPTGSAASRQQLEQLVEFAKTNGSIIIYDSAYAAYISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELVYANGYPMIRDYDRIVCTCFNGASNIVQAGGLACLSEEGFEALKRTMGYYMENAKILVETFESMGKKVYGGKNGPYVWVHFPGLSSWQVFNKILERAAIVTVPGIGFGPGGEGYVRVSAFGHRECILEASRRLTKLLLK >Vigun08g095700.1.v1.2 pep primary_assembly:ASM411807v1:8:22946986:22950109:1 gene:Vigun08g095700.v1.2 transcript:Vigun08g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLSSIVCMSRSIWVQPSTNHSSSKSDRGQRIEYLTKIPRSVNLESLQNGYLFPEISIRESEYAQRNPHARLIKLGIGDTTQPIPDIITSAMAEQALALSTAQGYKGYGPEQGNRELRRAIAETLYQGMPVKENEIFVSDGAQCDISRVQMLLDASLSIALQDPTFPGYADSSVIVGRAGRLNAGSGKYKRIVYMKCEPENNFFPNLSKTPRTDLIFFCSPNNPTGSAASRQQLEQLVEFAKTNGSIIIYDSAYAAYISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELVYANGYPMIRDYDRIVCTCFNGASNIVQAGGLACLSEEGFEALKRTMGYYMENAKILVETFESMGKKVYGGKNGPYVWVHFPGLSSWQVFNKILERAAIVTVPGIGFGPGGEGYVRVSAFGHRECILEASRRLTKLLLK >Vigun03g360600.4.v1.2 pep primary_assembly:ASM411807v1:3:56372795:56375943:-1 gene:Vigun03g360600.v1.2 transcript:Vigun03g360600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHSCLVFSLTLFLILILLAFFAHQRNDVAPLLPAINVLPSEEHLKTLDAAKEQHSTLSLTPSLDVNATILKATPLLIKKRNLNNSLMRIEEDLAEARAAIRRAIQRRNFTSEKEEIFVPRGNIYRNAYAFHHHIEMLKRFKVWTYREGETPLVHVGPMSSIYGIEGHVIAEVDNITGPFAAHHPDEAHVFMLPLSVAQIVRYLYNPLTTYSRDELMRVTIDYTNIIASRYPYWNRSTGADHFLASCHDWAPDISREESGQELFKNLIRVLCNANTSEGFKPEKDVPMPEINLQGYNLSSPIPGHDPSNRSILAFFAGGAHGRIREMLLEHWKDKDEEVQVHEYLPEGVDYHGLMGQSRFCLCPSGYEVASPRLVESINAGCVPVIVSDYYQLPFSDVLDWRKFSLHIPSKRITEIKTILKSVPRTKYLKLQKRVMKVQRHFVLNRPAKSFDVLHMILHSIWLRRLNIRLLH >Vigun03g360600.3.v1.2 pep primary_assembly:ASM411807v1:3:56372795:56375943:-1 gene:Vigun03g360600.v1.2 transcript:Vigun03g360600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHSCLVFSLTLFLILILLAFFAHQRNDVAPLLPAINVLPSEEHLKTLDAAKEQHSTLSLTPSLDVNATILKATPLLIKKKRNLNNSLMRIEEDLAEARAAIRRAIQRRNFTSEKEEIFVPRGNIYRNAYAFHHHIEMLKRFKVWTYREGETPLVHVGPMSSIYGIEGHVIAEVDNITGPFAAHHPDEAHVFMLPLSVAQIVRYLYNPLTTYSRDELMRVTIDYTNIIASRYPYWNRSTGADHFLASCHDWAPDISREESGQELFKNLIRVLCNANTSEGFKPEKDVPMPEINLQGYNLSSPIPGHDPSNRSILAFFAGGAHGRIREMLLEHWKDKDEEVQVHEYLPEGVDYHGLMGQSRFCLCPSGYEVASPRLVESINAGCVPVIVSDYYQLPFSDVLDWRKFSLHIPSKRITEIKTILKSVPRTKYLKLQKRVMKVQRHFVLNRPAKSFDVLHMILHSIWLRRLNIRLLH >Vigun03g360600.1.v1.2 pep primary_assembly:ASM411807v1:3:56372795:56375943:-1 gene:Vigun03g360600.v1.2 transcript:Vigun03g360600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHSCLVFSLTLFLILILLAFFAHQRNDVAPLLPAINVLPSEEHLKTLDAAKEQHSTLSLTPSLDVNATILKATPLLIKKKRNLNNSLMRIEEDLAEARAAIRRAIQRRNFTSEKEEIFVPRGNIYRNAYAFHQSHIEMLKRFKVWTYREGETPLVHVGPMSSIYGIEGHVIAEVDNITGPFAAHHPDEAHVFMLPLSVAQIVRYLYNPLTTYSRDELMRVTIDYTNIIASRYPYWNRSTGADHFLASCHDWAPDISREESGQELFKNLIRVLCNANTSEGFKPEKDVPMPEINLQGYNLSSPIPGHDPSNRSILAFFAGGAHGRIREMLLEHWKDKDEEVQVHEYLPEGVDYHGLMGQSRFCLCPSGYEVASPRLVESINAGCVPVIVSDYYQLPFSDVLDWRKFSLHIPSKRITEIKTILKSVPRTKYLKLQKRVMKVQRHFVLNRPAKSFDVLHMILHSIWLRRLNIRLLH >Vigun03g360600.2.v1.2 pep primary_assembly:ASM411807v1:3:56372795:56375943:-1 gene:Vigun03g360600.v1.2 transcript:Vigun03g360600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFHSCLVFSLTLFLILILLAFFAHQRNDVAPLLPAINVLPSEEHLKTLDAAKEQHSTLSLTPSLDVNATILKATPLLIKKRNLNNSLMRIEEDLAEARAAIRRAIQRRNFTSEKEEIFVPRGNIYRNAYAFHQSHIEMLKRFKVWTYREGETPLVHVGPMSSIYGIEGHVIAEVDNITGPFAAHHPDEAHVFMLPLSVAQIVRYLYNPLTTYSRDELMRVTIDYTNIIASRYPYWNRSTGADHFLASCHDWAPDISREESGQELFKNLIRVLCNANTSEGFKPEKDVPMPEINLQGYNLSSPIPGHDPSNRSILAFFAGGAHGRIREMLLEHWKDKDEEVQVHEYLPEGVDYHGLMGQSRFCLCPSGYEVASPRLVESINAGCVPVIVSDYYQLPFSDVLDWRKFSLHIPSKRITEIKTILKSVPRTKYLKLQKRVMKVQRHFVLNRPAKSFDVLHMILHSIWLRRLNIRLLH >Vigun02g197200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33223235:33224370:1 gene:Vigun02g197200.v1.2 transcript:Vigun02g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIEIENKSKEIETEILPYIRVYKDGTVERLMESPIVPPSLQNPETGVSSKDIVISDHPSISARLYLPNSVQSNPKKLPILLYFHGGGFFMESAFSFLDHRFLNLLVSEAKVVAVSVEYRLAPEHPLPAAFHDSWEALNWVTSHSNSSDTNTEPWLHDHADFKRIYIGGDSAGASIANYLALRAGVETLKGNANIAGAFLSHPFFWGSTPVGSEPNEGASHLHARIWKLVYPSAAGGIDEPTMNPLGPGAPSLATLACSKLLVCVAGKDALRDRGLWYYDAVKNSGWEGEVKLFEVPDQDHVFHIYNTDTTAAKQMIARLASFLVSI >Vigun03g393601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59977295:59977645:-1 gene:Vigun03g393601.v1.2 transcript:Vigun03g393601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSKSYGDGRMQIEPYHGTGMQDLRCYSASYASSVHPTQTQTANNNINDAKFKKGKSTNGSTSKSWSFSDPELQRKKRVASYKVYSVEGKLKGSLRKSFKWLKDRCNRVVYGW >Vigun05g057200.1.v1.2 pep primary_assembly:ASM411807v1:5:4900882:4905621:-1 gene:Vigun05g057200.v1.2 transcript:Vigun05g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTTTPFLPFTAQNASTRIPPPNAFRYSNHRDRDHRKVVCACIAPPQNFKSQDSSPINFNGSHKSEQLSAARDQDDDSDVLIECRDVYKSFGEKKILNGVSFKIKHGEAVGIIGPSGTGKSTVLKIIAGLLAPDKGEVYIRGKKRVGLVSDDDISGLRIGLVFQSAALFDSLSVRENVGFLLYEHSSMSEDQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDTTKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHIKGRDARGKPGNIASYVVVTHQHSTIKRAIDRLLFLHKGTIVWEGMTHEFTTTTNPIVQQFASGSLDGPIKY >Vigun01g062100.1.v1.2 pep primary_assembly:ASM411807v1:1:13510571:13522140:-1 gene:Vigun01g062100.v1.2 transcript:Vigun01g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVGNKQGTMSSLASPVSLGSLMGVSSSGRSHSVVKRVSFSRGNCKGRKRWHCLSLSVCRYSVTTTDFIADQGNSVSLDSNSSSKGGDDGAGFVLKPPPRPVLKSPENKGDSILGPSRTARDPGDVEERNKVIESLGEVLEKAEKLGSSKVNGDKNNGSVNKPIRNNAGASPKAEKPVNSAASQKSKTLKSVWRKGDTVASVQKVVKEVPKLNYNKNEEDKSQTRGGEKVVSQTRAPQPPLKPQLPSKPQPPSKPQPALLSKPSIAPPPVKKPVVLRDKGAAETSVKSKEKKSPILIDKFASKKPVVDPLIAQAVLAPPKPGKAPSPGKFKDDFRKKGALAGGGRRRRILDDDDDADVIHEASELDVSIPGAATARKGRKWSKASRKAARLQAARDAAPVKVEILEVGDSGMLVEELAYCLATSEGEILGYLYSKGIKPDGVQTLDKDMVKMICKEYDVEVIDADPVKVEGLVKKREILDEDDLDKLKDRPPVITIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVEVPVDGKKLPCVFLDTPGHEAFGAMRARGASVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIIIAINKIDKDGANPERVMQELSSIGLMPEDWGGSTPMVPISALKGKNVDDLLETVMLVAELQELKANPDRSAKGTVIEAGLDKSKGPFATFIVQNGSLRRGDIVVCGEAFGKVRALFDDGGKRVDEATPSVPVQVIGLNNVPIAGDEFEVVQSLDAARERAEARAESLRNERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVRKALQVLPQDNVTLKFLLEATGDVSTSDVDLAVASKAIIVGFNVKAPGSVKSYADNKAVEIRLYRVIYELIDDIRNAMEGLLEPVEEQVMIGSAVVRAVFSSGSGRVAGCMVTEGKVLKDCGIRVKRKGKVVHVGIIDSLRRVKEIVKEVNAGLECGLGLEDFDDWEEGDILEAFNTIEKKRTLEEASASMAAAVEGVGVAL >Vigun10g030700.1.v1.2 pep primary_assembly:ASM411807v1:10:4005969:4008179:1 gene:Vigun10g030700.v1.2 transcript:Vigun10g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAKASEYLVISGVGIKDIKLAKKAWVLPGQSCTVFDLSPVNYTFEVQAMSAEKLPFILPAVFTIGPRVDDRDSLLKYAKLLSSHDKLSNHVKELVQGIIEGETRVLAASMTMEDVFRGTKSFKQEVFEKVQLELNQFGLLIYNANVKQLVDVAGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEVGAKLRAGQTQQNAARIDAETKIISTQRHGEGVKEEIKVKTEVKVFENEREAVVAEANSDLAKKKAMWAQMAQVAEVEATKAVALREAELQKEVERMNALTMTEKLKAEFLSKASVEYETKVQEANWELYRKQKVAEAKLFEKEKEAEAQKALAEAELFAKQKEAEGLLLMGQAQGAYLSTLLGALGGNYSNLRDYLMINSGVFQEIAKTNAEAIRGLQPKISIWNNGGEGSEGGGGMKDVGGVYKMLPPLFETVHEQTGMLPPAWMGTLSSNKTP >Vigun03g373400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57579865:57582201:-1 gene:Vigun03g373400.v1.2 transcript:Vigun03g373400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGDISRNTLLALINKACSFPHLAETHAQLIRNGYHHDLATVTKLTQKLFDVGATRHARDLFFSVPKPDIFLFNVVIKGLSFFPNASSVSLYAHLRKNTSLSPDNFTYAFAVAACPDDKLGMCLHTHAVVDGFASNLFVASALVDLYCKFSRVGYARKVFDKMPERDTVLWNTMITGLVRNCCYDDSVQVFRDMVAQEVQLDSTTVATVLPAVAEMQEGKVGMGIQCLALKLGFHFDDYVLTGLISVFSKCGDVDTAKLLFGMIKKPDLVSYNAMISGFSCNGETECGVNLFRELLVSGERVSSSTMVGLIPVSSPFGHLHLACCIQGFCVKSAIILHPSVSTALTTIYSRLNEIDLARRLFDESSEKTVAAWNAMISGYTQNGLTETAISLFQEMMATDFIPNPVTITSILSACAQLGALSFGKWVHQLIRSKNLEPNIYVLTALIDMYAKCGNILEAWQLFDSMSEKNTVTWNTMIFGYGLHGYGHEALQLFNEMLELGFQPSSVTFLSVLYACSHAGLVREGDEIFNAMVNKYRIVPLAEHYACMVDILGRAGQLEKALEFVRRMPVEPGPAVWGTLLGACMIHKDTKIARVASERLFELDPGSVGYYVLLSNIYSAERNFPKAASVREVVKKRKLSKTPGCTLIEVNGSPHVFVSGDRSHSQAVAIYAKLEKLTSKMREMGYKPETVTALHDVEEEEKELMFNVHSEKLAIAFGLITSEPGTEIRIIKNLRVCLDCHTATKFISKITERVIVVRDANRFHHFKDGTCSCGDYW >Vigun01g233900.1.v1.2 pep primary_assembly:ASM411807v1:1:40566378:40572367:1 gene:Vigun01g233900.v1.2 transcript:Vigun01g233900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDSSPLVPPLPLTDPSEIDLEAGPSEQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAIKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILFIFLSVQLVIASLAYLVYLIDGYQQYWLRLLWGFDSEMSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTTCFESCGTMATECGGCLGGAGEAGLPLLFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGELTGSDWSPPALPPEHVQQLKTLGLL >Vigun05g230300.1.v1.2 pep primary_assembly:ASM411807v1:5:42373972:42381699:1 gene:Vigun05g230300.v1.2 transcript:Vigun05g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRQIHLRTRKCHALSLSLTHSLFSFTFLSFHHNISVELLLLRKHYLSFFLSSDLDTAQGAVNLICFMMVSVPCSSLLTIVTVRCGHCANLLSVNMGASLQPFPSQETQHLSTVAKLQRQHLSVQEACSKELGSSSKCKSFESVEHEQPRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQGKLDQGDATQKSNGFY >Vigun05g230300.4.v1.2 pep primary_assembly:ASM411807v1:5:42374418:42381699:1 gene:Vigun05g230300.v1.2 transcript:Vigun05g230300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLQPFPSQETQHLSTVAKLQRQHLSVQEACSKELGSSSKCKSFESVEHEQPRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQGKLDQGDATQKSNGFY >Vigun05g230300.3.v1.2 pep primary_assembly:ASM411807v1:5:42374023:42381702:1 gene:Vigun05g230300.v1.2 transcript:Vigun05g230300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVVYSVHHDLPVKRHFLMIKVSVPCSSLLTIVTVRCGHCANLLSVNMGASLQPFPSQETQHLSTVAKLQRQHLSVQEACSKELGSSSKCKSFESVEHEQPRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQGKLDQGDATQKSNGFY >Vigun05g230300.2.v1.2 pep primary_assembly:ASM411807v1:5:42372793:42381699:1 gene:Vigun05g230300.v1.2 transcript:Vigun05g230300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDMMATERVCYVHCNFCNTTLAVSVPCSSLLTIVTVRCGHCANLLSVNMGASLQPFPSQETQHLSTVAKLQRQHLSVQEACSKELGSSSKCKSFESVEHEQPRIPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQGKLDQGDATQKSNGFY >Vigun11g120700.1.v1.2 pep primary_assembly:ASM411807v1:11:32693775:32696710:-1 gene:Vigun11g120700.v1.2 transcript:Vigun11g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFSSFFGGGSPFGSGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCSGKGSKSGASMKCAGCQGTGMKVSIRHLGPSMIQQMQHACNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFRRKAEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPDSYKAINDEGMPMYQRPFMKGKLYLHFTVEFPDFLNPDQVKALEAVLPPKQPSQLTDMELDECEETTLHDVNMDEESRRRQQQAAQEAYEEDDDMPGGAQRVQCAQQ >Vigun03g072800.1.v1.2 pep primary_assembly:ASM411807v1:3:5999565:6008234:1 gene:Vigun03g072800.v1.2 transcript:Vigun03g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSILQIYSRPALRTNPRRFVYQQIPLHLSSQRNFSSTSKAGGASASGSPGKPPESSGSLSKFFIGSVALGAAFLAAYQTGYLDDYLKRDHHSVPQEAHVNATIEELKTVQHSTDQLISPDEKLNNENPTVQLAEEKIDTHFSQPEAVIEDQVDKLSPVQDKFNIAEDGIAAPKEKKLPEHPQSSITSDDPSKESVVQSDGIIGIKSIEADNTTIPEKGVQNTFTSAQISSVPNKNGTENIPSVQPEIQQSEEKKEKARGKYIEQQPTLLEEYHLRNKSERNPAAYIYSHGFSENNHFPEGEEALSGAMDELRGGYVSKDGKLVFDFLQAIHAAEKRQADLDAHVFNEERKLLKEKYEKQLKDAAAMELMLAEEAAMLDKELKRERAKAALAIKSLQEKMEEKLKTELKQKDIEAELKLKQVQELAKTELNAAIANEKAAQIEKMAEAEVNINALCMAFYARSEEARQSHATQNFALRALALEDALSKGLPIEREIASLQANLGGIDKDSVLDLVLTSLPEETRNNGTDTQLQLKQKFEALKDSVRHFSFFPPGGGGILAHSLAHVASWLKVREDDQSGDGIESVINKVESYLAEGKLAEAADCLEESVRGTEAAEIVAGWARQARNRAISEQAVLLLQSYASSLSHT >Vigun03g072800.2.v1.2 pep primary_assembly:ASM411807v1:3:5999565:6008234:1 gene:Vigun03g072800.v1.2 transcript:Vigun03g072800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSILQIYSRPALRTNPRRFVYQIPLHLSSQRNFSSTSKAGGASASGSPGKPPESSGSLSKFFIGSVALGAAFLAAYQTGYLDDYLKRDHHSVPQEAHVNATIEELKTVQHSTDQLISPDEKLNNENPTVQLAEEKIDTHFSQPEAVIEDQVDKLSPVQDKFNIAEDGIAAPKEKKLPEHPQSSITSDDPSKESVVQSDGIIGIKSIEADNTTIPEKGVQNTFTSAQISSVPNKNGTENIPSVQPEIQQSEEKKEKARGKYIEQQPTLLEEYHLRNKSERNPAAYIYSHGFSENNHFPEGEEALSGAMDELRGGYVSKDGKLVFDFLQAIHAAEKRQADLDAHVFNEERKLLKEKYEKQLKDAAAMELMLAEEAAMLDKELKRERAKAALAIKSLQEKMEEKLKTELKQKDIEAELKLKQVQELAKTELNAAIANEKAAQIEKMAEAEVNINALCMAFYARSEEARQSHATQNFALRALALEDALSKGLPIEREIASLQANLGGIDKDSVLDLVLTSLPEETRNNGTDTQLQLKQKFEALKDSVRHFSFFPPGGGGILAHSLAHVASWLKVREDDQSGDGIESVINKVESYLAEGKLAEAADCLEESVRGTEAAEIVAGWARQARNRAISEQAVLLLQSYASSLSHT >Vigun06g126800.1.v1.2 pep primary_assembly:ASM411807v1:6:25386099:25387835:1 gene:Vigun06g126800.v1.2 transcript:Vigun06g126800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMNGVETERVRENIHDKIDYVFKVVVIGDSAVGKSQMLSRFAKNEFCLDSKSTIGVEFQTRTVTINGKVIKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITKRQSFDHVARWVDELRAQADSSVVIMLIGNKGDLVEQRVVHAEDAVEFAEDQGLFFSETSALSGENVDTAFFKLLEQIHRVVSKRSLESGKGKATRHTNVSTLQGSKLDVISGAELEISEMKNLSSCSC >VigunL040033.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:358967:360026:-1 gene:VigunL040033.v1.2 transcript:VigunL040033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHGIIWTTRPNQILEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIFVARQSWSMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGNIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun01g189900.2.v1.2 pep primary_assembly:ASM411807v1:1:36893218:36894121:1 gene:Vigun01g189900.v1.2 transcript:Vigun01g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHVSGETSLAKDSARSVVGEKLHQLSKAISTDASNRSRLLKCNQSLCSV >Vigun01g189900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36893215:36894150:1 gene:Vigun01g189900.v1.2 transcript:Vigun01g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHVSGETSLAKDSARSVVGEKLHQLSKAISTDASNRSRLLKCNQRFTLQPEDPIRTLMFLASWSHT >Vigun02g020100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7092590:7095213:1 gene:Vigun02g020100.v1.2 transcript:Vigun02g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSFFGKKKKLPKTTLSKSSSSSSKSSSLPMSLLSSPPPPPPSSLSLRQNHSRAAITEELEYVFRKFDANGDGRISSSELGSMMKCLGQHATEEEVFRMIQDVDCDGDGHINLQEFIELNTKGVDPDEVLENLKEAFSIFDIDGNGSITAEELHMVMASLGDACSIDECRRMIAGVDGDGNGMINFEEFKIMMTGNT >Vigun10g196900.1.v1.2 pep primary_assembly:ASM411807v1:10:41003422:41005296:1 gene:Vigun10g196900.v1.2 transcript:Vigun10g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAKSNSGGNVGGGFRSKINYYLHSGDKKHVFLGLTLITAVFSVPWFFMNRGSKHQSHQDYLEKADKARSQRLSSSSASAK >Vigun05g198500.1.v1.2 pep primary_assembly:ASM411807v1:5:38404626:38410125:1 gene:Vigun05g198500.v1.2 transcript:Vigun05g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKANVTKELNAKHKKILEGLLKLPDNRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANNYWEAELPPNYDRVGIENFIRAKYDEKRWIPRDGNPKTPSGLREERSPSHWQRPVERSGHTVVSEKKFEEKKKFQQSNAIPATRNSVPAPAPPKAPEQVTPITKPQPVEKVEPLAPQPQAESSKQAMDAVQNTPPKVDYATDLFNMLSMDVPKENGSESASTTTDDNLWAGFQSAAEVSTAEKTSPPKAADNSTLPSATGIEDLFKDSPSMMPSLTPEKPQKDVKNDIMSLFEKGNMVSPFSMHQQQIAMLAQQQSLLMAAAAKSSGGDLKYPAGIQEPRPNVPVQSWPATGYSISGVLPMQGPITNMTPAHFAGSPVQYQPSSFYGMGQVPPPVNGVMATMGASKPQSGAPVSSSSTQSAKDYDFSSLTQGMFAKH >Vigun05g013100.1.v1.2 pep primary_assembly:ASM411807v1:5:1035558:1039556:-1 gene:Vigun05g013100.v1.2 transcript:Vigun05g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYSKLISELGRGPLATNKLPRDRLFWLCCLVEHAPSIVSSHTKTSYSRSFGPYNHKCMHQRIYRTLRNVSGDPSLFCRSGFLLMQQSNIFGRQGILGSWLPARYISNESVELKTDNDVVRFSLDKSDDINSTEKSQKNKKVKMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYDVPKPPPETFDPEILTEEERHYLKRTGDKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVICKPCRPGQVHEYAEELARLSKGIVIDIKPNNTIIFYRGKNYAQPEVMSPPNTLSKAKALEKYRFGQSLEHTSHFIERLEKELEEYHQHLVKFGKGKEDTTTQDGLEKRDSNFAGRQMSHK >Vigun02g175700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31779196:31780797:-1 gene:Vigun02g175700.v1.2 transcript:Vigun02g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKEFKVPPVAFPSAGNPGGAAPHLQQRRMPAPPFQPNSGIPFMSFDIGSATASTSSGPIYTGPSVGGGGSANFDDEEPLLDELGIHPDQIWSKIRSVLNPFRVNDTVHKDSDLSGPILLYMSFCLFQLLAGKIQFGVILGWIVVSSIFLYFVFNMLAGRTGNLDLHTCTSVVGYCMLPVVIFSALSLFLPVEGFIRLSVAAIFVLWATRASTGLVVSLAHGGDEHRGLIAYASFLIYTLFSLLVIF >Vigun11g118366.1.v1.2 pep primary_assembly:ASM411807v1:11:32452907:32454254:1 gene:Vigun11g118366.v1.2 transcript:Vigun11g118366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNFHPKWVSKLDKQIFGDGLGRRGAGGGGGFGGGGGGGLGGGSGRGGGFGAGGGVGGGAGGGIGGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGSIGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGGGVGVGGGVGGGIGGGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGGIGGGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGGGGGRGGGGGIGIGIGIGVGIGVGGGKGSGSGVGVGGGGGGKH >Vigun11g063400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:14446730:14450341:-1 gene:Vigun11g063400.v1.2 transcript:Vigun11g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMELMRWVPWVLVVVFLFLVNGSFSLYTPTDSYLIACGSSQNITFQGRTFVPDSQHSSLVMKTGNSFVASTNSSSAPFPIYQSARVFTEKASYRFEIQQEGRHWVRLYFSPIPNSSHNLTSASLTVVTDDFVLLSNFTFRKYNGSYMFKEYAVNVTSDTLVVTFIPSNGSVAFVNGIEVVSMPNEVFVDQALAVNPPAPFNGLSDLAFETVYRLNMGGPLITSENDTLGRTWLNDRKYLHVNSSVLNVSVNPSSIKYPVAVTPETAPNWVYATAEAMGDANVNDPNFNITWVFNVDPNFSYFIRVHFCDIMSKSLNTLVFNMFINSDIALQSLDLSTMTNDLAVPYFKDFVANASADSNTLTVSVGPDPVADFPNATMNGLEIMKISNSLKSLDGLYSVHSLLPGSHSKKSMVGVIVGSAVGAIAALAIAGLCYCCLVGRKSKSSTQQGHSWLPLPLYGNSLTMTKNSTLSQKSGTASCISLASSNLGRFFNFQEILDATNKFDEKLLLGVGGFGRVYKGTLEDGTNVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTELPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDQNLVGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLQETSSALMEPEDNSTNHITGIQLTPPDHFDNSVSMIDGGNSCTDDDAEDAATSAVFSQLVNPRGR >Vigun05g174400.1.v1.2 pep primary_assembly:ASM411807v1:5:31871142:31875093:1 gene:Vigun05g174400.v1.2 transcript:Vigun05g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVAASTALSFSPSTHPTKNFVHKGVSNSTLPFSNHGHSFSLLSTTHMHFSSHKSSRRQPLFLSQAASSSAANAEYVEEPATNVKFQTCLSFPGCSNSLTLFGTGYREKVFAFIGVKVYAAGLYLDQSITPELNAWKGLSKDAIQGNSSLFQTIFQSSFEKSLQIILVRDVDGKTFWDALNDAISPRIPASTSADETALTTFRGVFLDRPLKNGTFILLTWLNPTKLLVSVSSNGFPSTVDATIESANVASALFNVFLGDSPVSPSLKVSVAEGLSKVLK >Vigun05g174400.2.v1.2 pep primary_assembly:ASM411807v1:5:31871142:31875093:1 gene:Vigun05g174400.v1.2 transcript:Vigun05g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVAASTALSFSPSTHPTKNFVHKGVSNSTLPFSNHGHSFSLLSTTHMHFSSHKSSRRQPLFLSQAASSSAANAEYVEEPATNVKFQTCLSFPGCSNSLTLFGTGLYLDQSITPELNAWKGLSKDAIQGNSSLFQTIFQSSFEKSLQIILVRDVDGKTFWDALNDAISPRIPASTSADETALTTFRGVFLDRPLKNGTFILLTWLNPTKLLVSVSSNGFPSTVDATIESANVASALFNVFLGDSPVSPSLKVSVAEGLSKVLK >Vigun03g210800.1.v1.2 pep primary_assembly:ASM411807v1:3:34716780:34721099:1 gene:Vigun03g210800.v1.2 transcript:Vigun03g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVPFNSISFIQVTGKYCLHVSHAEATSILSASSSTLFKLLMFFLFLCTNSNSGICNQRSQRIRTLR >Vigun06g017600.1.v1.2 pep primary_assembly:ASM411807v1:6:8167171:8168450:1 gene:Vigun06g017600.v1.2 transcript:Vigun06g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSCAITKPFFGVQERSLNCEVLKKNGVSALIKCSSKESSELPEKGSKLEIGSPIIFIEAPKMIKTAATMPCLRVNTGQVKAGDVGRIVSRKPKDVWAVRLRIGTYLIDGKYFRPLDLAESN >Vigun08g018200.1.v1.2 pep primary_assembly:ASM411807v1:8:1546134:1548125:-1 gene:Vigun08g018200.v1.2 transcript:Vigun08g018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLPFSLQHFGAFPTIFFFVFLPLLLFPMKVMGLNPNSKDFTLACFLLSLLGNVASATVFTLQNRCSYTVWPGTLTGNGVATLGDGGFPLEPGTEVQLTAPSGWSGRLWARTGCSFDGSGIGTCTTGDCAGGLRCTGGGIPPATLAEFTVGFASNGNKDFYDVSLVDGYNVGIGILATRGTGDCQYAGCAADLNAVCPEELQVRDGGGAVVACKSACAAFNTSVFCCTGEHATPQTCSATHYSEIFKNACPTAYSYAYDDASSTRTCSGSDYVIAFCP >Vigun06g222100.1.v1.2 pep primary_assembly:ASM411807v1:6:33121721:33126618:1 gene:Vigun06g222100.v1.2 transcript:Vigun06g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDVDMHAADANEELDDMKKRLKEMEDEAAALREMQAKVEKEMGSVQDPATASASQANREEIDARSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEVEAVQEALSLNESELHGRQLKVTAKRTNIPGMKQYRSRQSNPYAGGFRGRTPYAPPFIYSPYGYGKVPRFRMAMRYSPYY >Vigun10g006200.1.v1.2 pep primary_assembly:ASM411807v1:10:618039:620065:-1 gene:Vigun10g006200.v1.2 transcript:Vigun10g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKEQEEYIRKGPWKAEEDEVLLNHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFSLEEERIVIELQAQFGNRWAKIASFLTGRTDNDVKNFWSSRQKRLARILQTSGTTSKSQKSKTKVPTLLDVPVFEAPKFSSSSEGESSSKPQSCSLPLIENSEVIKMVSLPDLIKSELPSSDPIHVEQDFTLFESYNSSEQTQQISFPQIPELQNDLTFPMGSQDVLARIDEPYFVEDFFALDDASEFGIDLQHPFGFPFFEPPGSCRIETRDTVDKFKSSDSFFDDFPMDMFDNMDPPPSPSSNLL >Vigun10g056000.2.v1.2 pep primary_assembly:ASM411807v1:10:10063633:10076691:-1 gene:Vigun10g056000.v1.2 transcript:Vigun10g056000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVSEGESPWLRTRNNHLGRQVWEFDPSPQSPQQLLQIEEARHSFYHNRFTQKQSADLLLRMQFAKENPMHEVLPRAEVKGIEDVTEEVVTRTLRRATSFLSNLQSHDGHWPGDYGGPMFMIPSLVIALYISGALNSVFTEEHTKEIRRFMYNHQNEDGGWGLHIEGSSTMFGSVLTYVALRLLGEGANGGQGVMEKAHDWIQRRGGATFITSWGKMWLSVLGVYEWSGNNPLPPEIWLLPYMLPFHPGRMWNHCRMVYLPMSYLYGKRFVGSITPIVLSLRKELYTIPYEDIDWDQARNLCAKEDLYYPHSPVQDILWATLHKILEPILMHFPGNKLREKALRTVMERIHYEDETTRYICLGPVNKVLNMLCCWVEDPNSEAFKLHLPRVHDYLWVAEDGLKMKGYNGSQLWDAAFIVQAIISTNLTEEYGPTLRKVHTFIKNTQILENCPGDQNKWFRHISKGSWPFSTVDHGWPTSDCTAEGLKACLLLSNIAPEIVGEPLGAKRLYDAVNIILSLQNKDGGFPTYELTRSYNWMEVALSLIII >Vigun10g056000.1.v1.2 pep primary_assembly:ASM411807v1:10:10060161:10076691:-1 gene:Vigun10g056000.v1.2 transcript:Vigun10g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVSEGESPWLRTRNNHLGRQVWEFDPSPQSPQQLLQIEEARHSFYHNRFTQKQSADLLLRMQFAKENPMHEVLPRAEVKGIEDVTEEVVTRTLRRATSFLSNLQSHDGHWPGDYGGPMFMIPSLVIALYISGALNSVFTEEHTKEIRRFMYNHQNEDGGWGLHIEGSSTMFGSVLTYVALRLLGEGANGGQGVMEKAHDWIQRRGGATFITSWGKMWLSVLGVYEWSGNNPLPPEIWLLPYMLPFHPGRMWNHCRMVYLPMSYLYGKRFVGSITPIVLSLRKELYTIPYEDIDWDQARNLCAKEDLYYPHSPVQDILWATLHKILEPILMHFPGNKLREKALRTVMERIHYEDETTRYICLGPVNKVLNMLCCWVEDPNSEAFKLHLPRVHDYLWVAEDGLKMKGYNGSQLWDAAFIVQAIISTNLTEEYGPTLRKVHTFIKNTQILENCPGDQNKWFRHISKGSWPFSTVDHGWPTSDCTAEGLKACLLLSNIAPEIVGEPLGAKRLYDAVNIILSLQNKDGGFPTYELTRSYNWMEIINPSETFGDIILDYPYVECTSAAVEALTLFRKFDPEHRPQEIRSCIEKAVAFIQKTQSSDGSWYGSWGICFTYATWYAVKGLVSSGRSFSDCSNITKACQFLLSKQLPSGGWGESYLSSQNQVYTNLEGNKPHVVNTGWAMLALLEAGQAERDPTPLHKAAMYLINSQIENGDYPQQEIMGVFNKNCMISFAAYRNIFPIWALGEYRRHVFAR >Vigun07g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11816659:11819598:-1 gene:Vigun07g082300.v1.2 transcript:Vigun07g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTALATVVAAFLLLLLVPPSTAEIKTLTITSDTRPMILLEKFGFTHTGHVSIAVSSVSVVASSGSQPDPSRLGFFLLSEESLLQVLIEIQQNPSFCVLDSHYIKSLFTFRDLSPPPAASVNRTFPVTIANEYSLFFANCAPETSVSMAVHTALYNLDPDNSRDYLSAGQTQLPSLFSLFSVAYFAFLGLWLYLCHSNRRSLHRIHLLMGALLLMKALNLLCAAEDKHYVKITGTPHGWDVLFYIFQFIRVVLLFTVIVLVGTGWSFLKPFLQEREKKVLMIVIPLQLLANVASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNLAEETASLAFYVVMFYMFRPVERNEYFVLDEEEEEAAEIALRDEEFEL >Vigun04g093860.1.v1.2 pep primary_assembly:ASM411807v1:4:18706704:18708453:1 gene:Vigun04g093860.v1.2 transcript:Vigun04g093860.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMRCKFYNFVEFLGRKLRRYIKDGNSRYAKRVKEAKLKIDAIRKSVLNGSCGMRRQKLFLQLCLPFQ >Vigun10g128600.2.v1.2 pep primary_assembly:ASM411807v1:10:33650087:33652956:1 gene:Vigun10g128600.v1.2 transcript:Vigun10g128600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMNLQVTEMENNNRRPKLMFEKYTWKINHFSKLNPEEELLSDKFRLNDFTWYIVICPPGDIHDYLQIYLEADKDCANLPKDWKKIANFNLTLINQVNDRWSVRKGFEFEFSADDYYDGRSNFLSFREFNKPGSGFIVNDTCVVEAGIFVTKYVYVNDEYQSVFKIDDNPPKDNDNSSFHEMFIHSFKNIEQTLIPRLERVCLYVPSLVVSQEKRSFRFTEWAFTTLGQILHFMGTKKLKDMDDEACNHLQILWDTVNTFGFDLSWLEDDVEDALL >Vigun10g128600.1.v1.2 pep primary_assembly:ASM411807v1:10:33650087:33652956:1 gene:Vigun10g128600.v1.2 transcript:Vigun10g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFSSDMNLQVTEMENNNRRPKLMFEKYTWKINHFSKLNPEEELLSDKFRLNDFTWYIVICPPGDIHDYLQIYLEADKDCANLPKDWKKIANFNLTLINQVNDRWSVRKGFEFEFSADDYYDGRSNFLSFREFNKPGSGFIVNDTCVVEAGIFVTKYVYVNDEYQSVFKIDDNPPKDNDNSSFHEMFIHSFKNIEQTLIPRLERVCLYVPSLVVSQEKRSFRFTEWAFTTLGQILHFMGTKKLKDMDDEACNHLQILWDTVNTFGFDLSWLEDDVEDALL >Vigun11g137300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34656995:34657821:-1 gene:Vigun11g137300.v1.2 transcript:Vigun11g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITHISLLALFFLLSLNSLHITIAARVIPQSAPSTVTRPLFLSEAETYLKPHLGHKHSVFREGQVKNCLPKGYRHNSAPSRFVNYNTLGSSGCSGMRLEKPRG >Vigun07g274500.1.v1.2 pep primary_assembly:ASM411807v1:7:39023315:39026908:1 gene:Vigun07g274500.v1.2 transcript:Vigun07g274500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKILPQWATKPCAMGIDEAGRGPVLGPMVYGCLYCAQSYLKTLATLSFADSKTLKEEKREELFETLKTNDSIGWAVDVIDPRELSAKMLKKNKINLNEISHDSAMGLIDRVLKIGVLLTEVYIDTVGDPGKYEMKLSKHFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLDETAENMQRDFGSGYPGDPQTKTWLQDHKHHIFGFPTLVRFSWGTCNSYFKDIAQVLWESDNSDEDGGAGKNSNGKRQLKLSNVGFTSSKRGSEEIESSGKGRCRFFQARKLEHLTNF >Vigun04g127300.1.v1.2 pep primary_assembly:ASM411807v1:4:32116527:32118471:1 gene:Vigun04g127300.v1.2 transcript:Vigun04g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSIPCIKIPPCSSSPSCSSSTSSYSFRFSSSKPHSVTIRSSQAEGPVRRPVAPQIKEPSSATVPPQLQKPTLPSQTPPSPPPQKPATALAGDAKNLITLEFQRQKAKELQEYFKQKKLEEANQGPFFGFIGKNEINNGRWAMFGFAVGLLTEYATGSDFVDQIKILLSNFGIVDLE >Vigun02g051700.1.v1.2 pep primary_assembly:ASM411807v1:2:19375347:19376569:1 gene:Vigun02g051700.v1.2 transcript:Vigun02g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIITSNSTPTWLFSNSVSPLSLSSPYSSIKIVKSLKLLNIVDAPFAVPEKNGSKRRRRRKWGAIDGSGEKQSVTVAIDGSDDDDDDNGVDSASAVVRNFYGGINAHDVDSVEYLIAENCVYEDLVFPQPFVGRKEILEFFKKFTNSTSKDLQFVIDDLSTKDPSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGRRQITYGRDCVEPAIKPVVCYFPLIIYKQVRCKNNN >Vigun03g227700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37803256:37805853:1 gene:Vigun03g227700.v1.2 transcript:Vigun03g227700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVNQSFVGDGLAFFLAPFDSSIPNDSAGGFLGLFSPDSAFDTKKNQMVAVEFDSFMNAWDPSSDHVGINVNSIQSVANVTWKSNIKNGSVANAWIWYNSTSKTLSVFLTYAQNPTFNGSSSLSYVVDLRDVLPEFVRIGFSAATGTWVEIHNILLWSFSSTLDEDGGKKVKVGLVVGISVGLGCLACAAGLIWFTFWRRRNRPRGVNEDGVDASIDDEFERGTGPKRFTYRELSNATNNFAEEGKLGQGGFGGVYKGLIVNSNLEVAVKRVSKGSKQGKKEYISEVTVISRLRHRNLVQLIGWCHEQGELLLVYEFMPNGSLDSHLFGNRVMLSWGVRYKVALGLASALLYLHEEWEQCVVHRDIKSSNVMLDGNFNAKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKSSKESDVYSFGVVALEITCGRKPVEVKEEAGKVRLVEWVWNLYGKGKVVEAADEKLNWEFEEEQMECLMVVGLWCCHPDHTMRPSIRQVISVLNFEAPLPSLPSKLPVPMYYAPPMEVTQFSYTSSAVTTTTKDSSPYSSISTGSRKSPL >Vigun06g105100.5.v1.2 pep primary_assembly:ASM411807v1:6:23443544:23446027:-1 gene:Vigun06g105100.v1.2 transcript:Vigun06g105100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWRVHLPEQNLCPHGVFRWVLVWMSVCLFVFMVGPPSRSLVKKSASPQLSCPRCDCYCSSAEFLLDPLGLANGSVSDCGKHDSVLNEEMKKDLLAMLSEELNLQKVVANESLEHTKRLVMDARNSFSQYQKEAEKCNIGMETCEEARQRAEAELVEERRLTTLWENRAREYGWSDRTNIRS >Vigun06g105100.4.v1.2 pep primary_assembly:ASM411807v1:6:23443544:23446027:-1 gene:Vigun06g105100.v1.2 transcript:Vigun06g105100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWRVHLPEQNLCPHGVFRWVLVWMSVCLFVFMVGPPSRSLVKKSASPQLSCPRCDCYCSSAEFLLDPLGLANGSVSDCGKHDSVLNEEMKKDLLAMLSEELNLQKVVANESLEHTKRLVMDARNSFSQYQKEAEKCNIGMETCEEARQRAEAELVEERRLTTLWENRAREYGWSDRTNIRS >Vigun06g105100.2.v1.2 pep primary_assembly:ASM411807v1:6:23443544:23446027:-1 gene:Vigun06g105100.v1.2 transcript:Vigun06g105100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWRVHLPEQNLCPHGVFRWVLVWMSVCLFVFMVGPPSRSLVKKSASPQLSCPRCDCYCSSAEFLLDPLGLANGSVSDCGKHDSVLNEEMKKDLLAMLSEELNLQKVVANESLEHTKRLVMDARNSFSQYQKEAEKCNIGMETCEEARQRAEAELVEERRLTTLWENRAREYGWSDRTNIRS >Vigun10g051800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8114570:8117128:1 gene:Vigun10g051800.v1.2 transcript:Vigun10g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNMFLGLLSLLLFLLVPVSSQPNQIFYAGFKGLDSSNMTLDGVAEIEHNGILKLTNDSSKVMGHAFFPTALQFKNTGDGKALSFSSSFALAIVPEFPKLGGHGLAFTIAASKDLKAHPSQYLGLLNSSSIGNFSNHLFAVEFDTAQDFEFADINDNHVGIDINSLVSNASAPAGFYTGDHDSDKQNLTLKSGQPILVWVDYDSAQNVINVTISSSSTKPTRPLLSFPVDLSPILRDSMYVGFSASTGLLASSHYILGWSFKINGPAPPLDLSSLPHLPGPKKKHTSLIIGVLVSGAVLALFAVLLGFYMYRRYKNADVIEAWELEIGPHRYSYQELKKATKGFKDKELLGKGGFGSVYKGTLPNSNTQVAVKRISHDSKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMPNGSLDKYLFDEPERILSWEQRFKVVKDVASALLYLHEGYEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYEHGANPSTTRVVGTLGYLAPEVPRTGKATPSSDVFAFGAVLLEVACGLRPLEPKAMPEDLVLVDCVWNKYKQGRILDVVDPRMNGVFNEREVVMVLKLGLLCSNGSPSIRPSMRQVVRFLEGGVGVPDELRRPGETGSEEGFDEFLNLLESSSFDQMSRSTYAASFTDTSLFTPTAKGETM >Vigun03g052300.1.v1.2 pep primary_assembly:ASM411807v1:3:4277450:4286022:-1 gene:Vigun03g052300.v1.2 transcript:Vigun03g052300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESQVSAMEIDVSKPDNSDQIVPKFSVNVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYAKRTITESTVSEVRFLHLILYTAERAWSHAMEKRQLPNGPNASQRIYLIGRLRKAVKWATLFSQLCAVKADSRTSLEAEAYESYMKGSLLFEQDQNWDVALKNFKSARAVYEELGKYGDLDNQVLCRERVEELEPSIRYCLHKIGHSNLPASELLQIGDVEGPALDLFKAKLEAVMAEARSQQAASMTEFLWLGHRFPISNAKTRVSILKAQELEKDIHGPSADSIPADKRLVIFDKIFSAYHEARGYIRADLATTGSAENVKDDLNGLDKAVSAVLGERTIERNLLLVKVAKSKLAKRHDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDKKPEEVSFAEVCSGKSLAFRAQRCFYVAKSYSVAGMRAEAYALYCRARNLADDTLKKFQMLDGDNKTMIKELEDLCNECRSNTCIEHALGIIEEKKTQENLSEKVSNISLTGTERLEKFLLEKLEVYESAVGDSNVKCTPRITGFPPSFEAISRNPIVLDLAYNMIEFPAIENRMKKDRKAMGSFMSRIFG >Vigun05g009000.5.v1.2 pep primary_assembly:ASM411807v1:5:753216:755598:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKVIPNLTKLFRGKRNSRNT >Vigun05g009000.2.v1.2 pep primary_assembly:ASM411807v1:5:752562:755662:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKVIPNLTKLFRGKRNSRNT >Vigun05g009000.4.v1.2 pep primary_assembly:ASM411807v1:5:752562:755549:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKYHSQMVQVFLIIVLSISISRIKDSTTKRLFDFEIVENI >Vigun05g009000.6.v1.2 pep primary_assembly:ASM411807v1:5:752562:755464:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKVIPNLTKLFRGKRNSRNT >Vigun05g009000.1.v1.2 pep primary_assembly:ASM411807v1:5:752562:755549:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKVIPNLTKLFRGKRNSRNT >Vigun05g009000.3.v1.2 pep primary_assembly:ASM411807v1:5:752562:755549:1 gene:Vigun05g009000.v1.2 transcript:Vigun05g009000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSVGSVKATVNFYDDKRPSSRTRELQRARWDIGRYKESKWSAESAKAHAESELSNAKKTVKHLSSKIEESSFNAKTQRRDVEALEKKGKEQHGAMVVAKRNEKNEYEQVMRELEYLKKELFKLKLDVASILEEKSRAEKEIEASNSKMLSCLTTAEELRKEIEEANEEQVLAELARIEALKELADIEARREQEANEFSIKLESARKKLRDAREEIDESKELEIKLAITLSDVDLLLNEYKSAKEIEKRVEGDGSVKHLEESFREGEESEDAILLKTITEELEAARKELALVKEEGFQFMASMDVIRNELKHVSAETDRLRKNEGKVDSTVQVLNSKILRANSKLEAVSAAEVKARSIVTSLSHTLEKLKTETEEARKENEHVSKEVTATKEEIQKVEFEIDMTEERLQGVMQELEVAKASEVVALEKLKTLTETTMRERALKAQHSSLITISKFEYEYLKNHAASAEEVADKKVAAAEAWIEALKASEKEILIETKIVQRELKETKLEQELKVYTKERLVSRRVSSEEFENWPRKREKSSSKNFPRAMSRKSIKLNGTITPARGTKFQKNASPVVRLISPFTIKKRKKYHSQMVQVFLIIVLSISISRIKDSTTKRLFDFEIVENI >Vigun11g022201.1.v1.2 pep primary_assembly:ASM411807v1:11:2688436:2694270:-1 gene:Vigun11g022201.v1.2 transcript:Vigun11g022201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTDLPIIPLITILRSTDTFSEAAKLGEGGFGSVYKGILPDGRQIAVKRLSEFSGQGSEEFNNEVMFIAKLQHRNLVRLYGCCLEEKEKILVYEYMPNSSLYFHLFDDEKRKQLDWKVRLSIINGIARGLLYLHEDSRLRVIHRDLKTSNVLLDHDMNPKISDFGLAKAFEIGQNQANTKRIMGTYGYMAPEYAMQGLFSVKSDVFSFGVLVLETICGRKNNGFYLSEHDETLLLYAWRIWCEGKCLELMDPMLEKSFKASEVEKCIHIALLCVQENATYRPTMSDVVVMLGIDKLNLPKPKHPAFSVGRKFLGDEPKSKRSKNLLNTNVTISITLPR >Vigun11g022201.2.v1.2 pep primary_assembly:ASM411807v1:11:2688436:2694270:-1 gene:Vigun11g022201.v1.2 transcript:Vigun11g022201.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAKLQHRNLVRLYGCCLEEKEKILVYEYMPNSSLYFHLFDDEKRKQLDWKVRLSIINGIARGLLYLHEDSRLRVIHRDLKTSNVLLDHDMNPKISDFGLAKAFEIGQNQANTKRIMGTYGYMAPEYAMQGLFSVKSDVFSFGVLVLETICGRKNNGFYLSEHDETLLLYAWRIWCEGKCLELMDPMLEKSFKASEVEKCIHIALLCVQENATYRPTMSDVVVMLGIDKLNLPKPKHPAFSVGRKFLGDEPKSKRSKNLLNTNVTISITLPR >Vigun02g101401.1.v1.2 pep primary_assembly:ASM411807v1:2:25608516:25614942:1 gene:Vigun02g101401.v1.2 transcript:Vigun02g101401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKLSYSNLELSLKRCFSFCAIFPKDSVIDKEELIHLWMANGFIECEGNIEVEDVGNKVWNKLYRRSFFQEAKFDEFGIITSFKMHDLFHDLARSIMGEECVAFGKGRLTPLSSRVHYSTLLSDVSFRGFTNAFKKAESLRTFLDLRTFFAIENFGLVPSNHSLRALCTKSSLLSPLKDLTHLRYLSLSWCYKASLNNSICQMPKLQILKLQDFIFLRGLPNDLTQLQDLRHIVMNQCNSVVKTPPKISKLRHLRTLSLFVVGSKPGCGLAELHSLNLGGALRIRGLNNVPSEWDAKQANLIGKKELNILHLSWDGSANSKSSNVSVERVLEALEPPSTLKSFEMNGYEGKQLSSWMRSSIVLRDLVKVKLWNCENCEELPPFGKLPHLKRLELSGMKNVKCIDGETYDGAEEKAFPSLEELSVDNLPNLERLLRDERVEMVPHLFELRIERVSNLKCPRLPAVEKLDARGIGEAASFMEVVGNTACLKTLSIEYIKGVVVLPEQFSGLGALQDLYIAYWYDVEYFPEHVLECLTSLRTLSIIYCHKLKSLSEGVRHLACLERLIIYGCPELVVLPSNMSQLTALRVVSISICSTLPDGLQRVPSLRCLEMLEYKSTSLPDWVGDISTLEELSIEYCKELRSLPSSIQRLTNLSRLIIRGCPLLEKRCKRETGEDWQYIKHIPNTELFA >Vigun09g248000.1.v1.2 pep primary_assembly:ASM411807v1:9:41573237:41576173:-1 gene:Vigun09g248000.v1.2 transcript:Vigun09g248000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDCIYRGQVVSILPSAPTPKHSLYLSNLDDQNFLRFSIKYLYLFKNSFNLDLLKSSLARVLVDYYPLAGRLRTVDDHKLEVDCNGQGALFAEAFTHTTLHQLLESSKTPNKSWKKFLYKVEAHSFVDVPPLVVQVTKLGCGGMILCTAINHCVCDGIGTSQFLHAWAQLTKTPEAELTIVPFHWRHVLKPRHPAQVKFRHGGYTASNPTPQVDLLKLIQSQPLVPTSFTLTPSHVLGLKKQCVPSLKCTSFETVAAHTWRSWIRSVGQSLPSKLLVKLLFSVNVRTTVDLPQGYYGNGFLLACAESSVEDLVDGNLRHGVRLVQEAKARLKEEEYVRSMVDLLEDKTVKTDLSTSLVISQWARLGLEEVDFGEGKPLHMGPLTSDIYCLFLPVAGDANAVRVLLSVPETMVERFQYHMMRQSWEEEEENGFF >Vigun06g158300.1.v1.2 pep primary_assembly:ASM411807v1:6:28161384:28167738:1 gene:Vigun06g158300.v1.2 transcript:Vigun06g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSTTKPKPHHLFLLLIQLLISTGVKGGCDSGCDLALASYYIAEGRNLTFISNLFGKPTSEILKYNPSVKDPNVIESQTRINVPFSCECLNGEFWGHTFLYRIQHGDTYKVIANTSFSNLTTEDWVSRVNSYPSTQIPDNVNVNVTINCSCGNRHVSKHYGLFLTYPLRLGDDLQGVAAESGVPAELLVRYNPTSDFSSGNGLVFVPAKDENGNFPPMKLRSVGISGGAIAGIAVAGASVVLVLALVLYVGLHRRRKVAEVSLLTLPGASEDQCSPLYHGLGNSLDKASESSVVASPRMTGITVDKSVEFSYGELDKATDGFSVANIIGQGGFGSVYYAQLRNEKAAIKKMDMQASNEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGRDSLTWGARVQIALDAARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLTEYGSSSLHTRLVGTFGYMPPEYAQYGDVSSKVDVYAFGVVLYELISGKEAIVKTNDPEGESKGLVALFEEVLGLSDPKEDLRQLVDPRLGDNYPLDSVFKVSQLAKACTHENPQLRPSMRSIVVALMTLSSATEDWDVGSFYENQALVHLMSGR >Vigun06g158300.2.v1.2 pep primary_assembly:ASM411807v1:6:28161384:28167738:1 gene:Vigun06g158300.v1.2 transcript:Vigun06g158300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSTTKPKPHHLFLLLIQLLISTGVKGGCDSGCDLALASYYIAEGRNLTFISNLFGKPTSEILKYNPSVKDPNVIESQTRINVPFSCECLNGEFWGHTFLYRIQHGDTYKVIANTSFSNLTTEDWVSRVNSYPSTQIPDNVNVNVTINCSCGNRHVSKHYGLFLTYPLRLGDDLQGVAAESGVPAELLVRYNPTSDFSSGNGLVFVPAKDENGNFPPMKLRSGISGGAIAGIAVAGASVVLVLALVLYVGLHRRRKVAEVSLLTLPGASEDQCSPLYHGLGNSLDKASESSVVASPRMTGITVDKSVEFSYGELDKATDGFSVANIIGQGGFGSVYYAQLRNEKAAIKKMDMQASNEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGRDSLTWGARVQIALDAARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLTEYGSSSLHTRLVGTFGYMPPEYAQYGDVSSKVDVYAFGVVLYELISGKEAIVKTNDPEGESKGLVALFEEVLGLSDPKEDLRQLVDPRLGDNYPLDSVFKVSQLAKACTHENPQLRPSMRSIVVALMTLSSATEDWDVGSFYENQALVHLMSGR >Vigun03g196400.1.v1.2 pep primary_assembly:ASM411807v1:3:27748593:27753477:1 gene:Vigun03g196400.v1.2 transcript:Vigun03g196400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDKHNNADGKDPDFGAIFMSNCETKRQCFKKGLFGLPSTEIQFVEQVKAGMILFLYEYEKRQLHGVFKASCDGGINIVPNAFAKLGKQFPAQVKFDPVWFCKPLPEKLFRDAIRENYFSANKFNFGLSENQVYKLIYLFSKRKLEPEPPGRPLSRPEYLKSERYPLGNVGRSVDHGMQIERGQSGLGLGDNISPVIMHKHQGDSFDYNGKVEYTGLNASDIKRGTTAQFSAVDNASEYEYVCDYSGLKDESRFIAYENEDYMDICHRPNITDGYPKSLCDKIRIHGEGSLSINDRLMGNSLPETDQRMVFSNDNPGQRNSHINSSGFYSNPILEHSSLVQNQLRSTSTMIQPMQAQLLSNTCATQGSANSKRSSLLYDPDVPGLNFSQASSFGVMDGSKPVIERITPVNNFGRNSLNSQPYLIHNNELKDTSRWHPVDFQKSVLYGSNRDFIPLNTVQNSDQLAAESVVSEACDDVPSLKSLSSPIPPDIGKSSRSLHEPFPSLFHNHQPWLGTEFHSTTLQENPSHEITLQKSSETFNHDILWANEGHFKEDDPLIYEYDIGCYGGTQNNNSGYAKKKSSVFSRLSFMQDINEQDGHNDFHTSVDEVMERVRQSHNMWMTKRMPKLKPKRNKAESLKDYTQRSSLRTKEGDFSENTLNDQIIDSTTATVGNTNKAAEVTCFVDFKRRSKVGKPSAGNENSVVGQQKRRKLIRPNFGNSLTSDDKVIDLDTSQNLGLGSCNVEDVKESCCALVQTEVNTKAGAEASDIISEIHSDDNNSCRAREYGSSGGGERTSNSALAVFSDKSEGLDSIKVDTNIQNINGGTHTEDKETNHARGYVCGEGGQKATEGALTAFSDGSKCLENVTHNSFSSASCRGDRCHTKKGLCTMDIIKSVSLNSKSSFSICQDHQVHKIECAGGGTNADKEIPKECSTITVKDGSEKVLIETSAPINESLHGIDSLKQVHAGTDSMCSICEEHDKITSSGRGSNSTEQLSKDGSSFDGVKDGFDCLQNSNIETGAIVPSFFKEVLSMTESKKSVCSDSESLPSLCLECAGRPIKTEDCRSSVLTEVKDGSDGSQNCGYTCKQKMI >Vigun03g196400.2.v1.2 pep primary_assembly:ASM411807v1:3:27748605:27753477:1 gene:Vigun03g196400.v1.2 transcript:Vigun03g196400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDKHNNADGKDPDFGAIFMSNCETKRQCFKKGLFGLPSTEIQFVEQVKAGMILFLYEYEKRQLHGVFKASCDGGINIVPNAFAKLGKQFPAQVKFDPVWFCKPLPEKLFRDAIRENYFSANKFNFGLSENQVYKLIYLFSKRKLEPEPPGRPLSRPEYLKSERYPLGNVGRSVDHGMQIERGQSGLGLGDNISPVIMHKHQGDSFDYNGKVEYTGLNASDIKRGTTAQFSAVDNASEYEYVCDYSGLKDESRFIAYENEDYMDICHRPNITDGYPKSLCDKIRIHGEGSLSINDRLMGNSLPETDQRMVFSNDNPGQRNSHINSSGFYSNPILEHSSLVQNQLRSTSTMIQPMQAQLLSNTCATQGSANSKRSSLLYDPDVPGLNFSQASSFGVMDGSKPVIERITPVNNFGRNSLNSQPYLIHNNELKDTSRWHPVDFQKSVLYGSNRDFIPLNTVQNSDQLAAESVVSEACDDVPSLKSLSSPIPPDIGKSSRSLHEPFPSLFHNHQPWLGTEFHSTTLQENPSHEITLQKSSETFNHDILWANEGHFKEDDPLIYEYDIGCYGGTQNNNSGYAKKKSSVFSRLSFMQDINEQDGHNDFHTSVDEVMERVRQSHNMWMTKRMPKLKPKRNKAESLKDYTQRSSLRTKEGDFSENTLNDQIIDSTTATVGNTNKAAEVTCFVDFKRRSKVGKPSAGNENSVVGQQKRRKLIRPNFGNSLTSDDKVIDLDTSQNLGLGSCNVEDVKESCCALVQTEVNTKAGAEASDIISEIHSDDNNSCRAREYGSSGGGERTSNSALAVFSDKSEGLDSIKVDTNIQNINGGTHTEDKETNHARGYVCGEGGQKATEGALTAFSDGSKCLENVTHNSFSSASCRGDRCHTKKGLCTMDIIKSVSLNSKSSFSICQDHQVHKIECAGGGTNADKEIPKECSTITVKDGSEKVLIETSAPINESLHGIDSLKQVHAGTDSMCSICEEHDKITSSGRGSNSTEQLSKDGSSFDGVKDGFDCLQNSNIETGAIVPSFFKEVLSMTESKKSVCSDSESLPSLCLECAGRPIKTEDCRSSVLTEVKDGSDGSQNCGYTCKQKMI >Vigun01g136900.1.v1.2 pep primary_assembly:ASM411807v1:1:31701486:31704245:-1 gene:Vigun01g136900.v1.2 transcript:Vigun01g136900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKRDDVESGGGGGGDRPLYPTMLENPQLRWSFIRKVYCILTFQLLLTVAVAAVVVFFRPIPHFIVSTAPGLAIYILLIIIPFITLCPLYYYHQKHPLNYFLLFIFTVTLAFAVGLTCAFTSGKIILESVILTTVVVFSLTIYTFIAARRGHDFNFLGPFLFGAFLVLIAFALIQILFPLGKFSLMVYGGLASLIFCGYIIYDTDNLIKRFSYDQYIWASVSLYLDVINLFLSLLTIFRAAAS >Vigun08g007200.1.v1.2 pep primary_assembly:ASM411807v1:8:621281:622304:-1 gene:Vigun08g007200.v1.2 transcript:Vigun08g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFKTLLASILISQIIVILVESDRMVILNNLVAEQSPNPSPPTIDCDGECTRRCQLSSRPNLCKRACGTCCQRCNCVPSGTSGHYEECPCYANLTTHGGRHKCP >Vigun06g068400.1.v1.2 pep primary_assembly:ASM411807v1:6:19767545:19769207:-1 gene:Vigun06g068400.v1.2 transcript:Vigun06g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNITMVITFSAIFMTFLLCSPSVAVMLSRLPLPSPLTGPESVAFNRNGEGPFVGVSDGRILKYIGPRGFQEYGYTSPTRNKTLCDGLADFSELQAECGRPLGLRFNHQTNDLYAADAYFGLVKIGPKGGAPIQIFKSLRPQENHGNFTFEFLDGIDIDVDTGIIYLTQASANFRFRDARALENSRDQSGTLFSVDPKTNETRVLIRGLALAAGVAVSRDGAFVLVSEYLANRIRRFWLKGPRANSSELFLQLRGRPDNIRRNSRGEFWVAVNDVLGPNPPSRPVIIPRGLRIEQNGVVSRIVSLVGELGSESVSEVHEHNGTLYSGSLHAPYVSVSTIF >Vigun03g089900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7567157:7569310:-1 gene:Vigun03g089900.v1.2 transcript:Vigun03g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGSSPKSIFHSTMFLCIAIRNWRFFSFTTATNGFASTSSISSNPFTIIKSHKHTLFHYLNNRTLDQARAFFDQIPSPHVSLYTIMLHAYASNHRLREAIDLFRQIPFKDVVSWNAMIKGCLHCGDMVTARKLFDEMPHRTVVSWTTLVDGLLRLGVVEEAEALFWSMDPLDRDVASWNAMIHGYCGNGRVHDALRLFRQMPSKDDISWSCIISGLDHNGESEQALVVFRDMVASGVCPSSVGLVCGLSAAAKILAFHVGIQIHCSALKLGDWRFDEFVSSSLVTFYSCCKQMESACWVFGEALCKNVVVCTALLTGYGFNDKHPEALEVFRQMMRIGVIPNESSFTSALNSCCGLEDLERGKVIHAEVVKMGLESGGYVGGSLVVMYSKCGYVSDAVSVFKGINEKSVVSWNTVIVGCAQHGCGMWVLAFFNQMLRQRVDPNGVTLTGLLSACSRSGMLQKARCIFRYFGQERSVTLTIEHYTSMVDVLGRCGELEEAEALVMSMPMKANSMVWLALLSACRKHSNIDVAERAANRIFEMEPDCSAAYVLLSNLYASSSRWAEAALIRKRMKHNGVVKQPGSSWVTLKGQKHNFLSADRSHPLTEKIYQTLEWLGVKLKELGYVPDQQFALHDVETEQKEEMLSYHSERLAIAFALLSTVEGSRITVMKNLRVCGDCHSAIKLMTHIVDREIVVRDSSRFHHFKNGICSCGDYW >Vigun01g136300.1.v1.2 pep primary_assembly:ASM411807v1:1:31605272:31610160:-1 gene:Vigun01g136300.v1.2 transcript:Vigun01g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWPKTLVKKWFNIKSKAEDFQADDVLSQGVDEECSSNYSEREACTIRKSKSERSSRWYSDRSRRGKNDLDKAQVTDVYNYRIFAATWNVAGKSPPSYLSLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRRTLNNLPGTSGGCHTPSPLPDPIVELDADFEGSVRQKTTSFFQRRSFQSLSRSLRMDNDMLMQQACFDRRFSVCDRVIFGHRASDYDPNYRWGSSDEENGAGDSPITAQYSPMSYGGCFSAEDSDRQTGTGHSRYCLVASKQMVGVFLTVWVKSDIRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKDGDELRRNSDVMEILRKTRFPPVHDMGDENSPQTILEHDRIIWLGDLNYRIALSYRAAKALVEMHDWKTLLENDQLCIEQRQGRIFEGWNEGKIYFPPTYKYSNNSDRYAGDDRRSKQKRRTPAWCDRILWYGRGLHQLSYVRGESRFSDHRPVYSIFLADVESISCNQIKKSSSSRIDVEELFPHSHGYGYTDLHYF >Vigun04g075400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:9262958:9265012:1 gene:Vigun04g075400.v1.2 transcript:Vigun04g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIYNTKPLHRKLLLLNISFVFLVCLFLNAYLHPSSSNVDASTNVFNHARMLSGISVDGCTDLHKYLDNDSKCLYVKSHAQCRSKGYINYLQIFYCSFGHSPILGHALLMLWLVILFYLLGDTASNYFCSNLEGLSDILRLSPTIAGVTLLSLGNGAPDFFASVVSFTRSNDGAVGLNSILGGAFFVSSAVLGIISFLVGANETAIDKASFIRDVIFFLFSLFILLVIISIGKISLLGSIFYVSIYFLYVCAVSATHFIYGGDRTEGELASSCDDLTESGVPLLGCVDDEKPNKEVMEDEGQKKHEGFGNSNSFDFTYLSKFLHVLELPLCLPRRLTIPVVSEEGWSKPYAVISVTLAPVLFSALCNTQRENESSRSSLVSYLTAALIGIVLGNMACVTTKSTSPPRKCLFPWLAGGFSMSVTWTYIIAEELVSLLVAFGNVIGVSPSILGLTVLAWGNSLGDLIANGAMAKNGGADGAQIAVSACYAGPMFNILMGLGLPLVLSAWSEYPESYVIPKDPSLYATLLFLMGGVLWALVIFTKKNMKLDKSLGIGLLTIYLCFLFIRMVIAIGVIKF >Vigun06g084000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21599386:21600768:-1 gene:Vigun06g084000.v1.2 transcript:Vigun06g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKTPFELAMKEVVLIKPSKPTPFSVLHLSSLDHIPDLNILCHTLHVYRSKVDDHDEPSCPNHQLLHPADVIKAALSKALVYYYPLAGRLVDHTDGKLVINCNAKGVPFLEANATCKLSSLRYWDGTDMETAKNLVYDLPSQDESGHQYPLVFKVTSFPCGGFTIGMGLLHSVCDGVGAFQFFKAIMEFARGKTEPSVKPVWERDRLTGSITKNPLQIDFLDEASAAVSPFLPTKVLMHQCIKVDSESIRRLKMSLMKESGENENFTTFESLAAYVWRSKCRALKMSYDGKVKLNITVGVRRHLQDPLPEGYYGNVVVDADVVLTMRELNERPLYEIVKLIKEIKKVSCNSDYVRNFMDTLETDLEIDTEFNIEGSGAFTTFTDWRHLDFENVDFGVKEIVNVLPMPSDMFGCVDLCIFSALGNFDSSMEGGIGIFVSLPAPALLTFKDEMEALSLFI >Vigun01g109000.1.v1.2 pep primary_assembly:ASM411807v1:1:28159725:28163565:1 gene:Vigun01g109000.v1.2 transcript:Vigun01g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPHFAVAVAAFVATAWAVHMHSGVWKSGEDVEGMQDWQYEGVPIDGGVGPESFAFDPRGEGPYTGISDGRIIKWQRSENRWLNFSVTSLQRNEECGGAYEEHPKKEHVCGRPLGLCFSKLSNELYIADAYNGLVVVGPNGGTPSRLISTLQEEDEEDEPLAFTNGLDVDQRTGAVYFTTSSSKYQRRNYISLILSKDKSGKLMKYEPESERLSIILNNLSFANGVALSKDSDYILIIETTTCRVLRYWLETPKAGTLEVFAQVPGFPDNIKRSPRGGFWVGIYSRREKFIQWILSYPWIGKVLLALPLDITKAYSYLAKLKRSSGMAIRLSEDGDILEVVEDKKWNKGRSISEVEEKDGILWVGSIDAPFVAKYNIHMTKEQVKGI >Vigun03g430000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63432813:63434630:1 gene:Vigun03g430000.v1.2 transcript:Vigun03g430000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHPPLNLHFIPFLAPGHLIPLCNIATQFAARGHRVTVITTSSYARILRKSSPSLLLHVVDFPGGEELMSAANDLESARNFFHASMLLRDPISRFLEQHRPDCVVADFFFHWVHDVANNLRIARLAFNGFSLFTVAAMESVKSNPALVPDSGPFVIPDLPHRITLCSRPPKGVSAFMERLLETEMKSDGLIVNSFAELDGEEYIQHYEKGKAHKAWHLGPACLAAKGGEERGEKRVVSEEECVSWLNSKQAKSVVYVCFGSMCRFPDKQLYEIACGLEEAAHNFVWVVPEKKGKEKESEEEKLKWLPKGFEQRNAEKGLIIRGWAPQLVILAHTAVGAFLTHCGWNSTLEAVTAGVPMLTWPVLGDQFYNERLVTEVRGVAVEVGATEWRETGYGEREKLVTGDCIQKAVRRLMDGGDEAREIRRRAQEFSDKAKQAVRDGGSSHNNLTALIAHLTRLRDTR >Vigun07g288100.1.v1.2 pep primary_assembly:ASM411807v1:7:40085342:40088725:1 gene:Vigun07g288100.v1.2 transcript:Vigun07g288100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPNQPGTGAEGHREKDPPSPIPAAASQNPLSEISPSPSPSSSTAPALVLSNSGKRIDQTGRKKYVKQVTGRHNDTELHLAAQRGDVGAVRQILLDVESQVMGTLGDGDDDDLDTEIAEVRACLVNEENELGETPLFTAAEKGHLHVVKELLNHSTAQTVSKKNRSGFDPLHIAASKGHHSIVQVLLDYDPGLSKTIGPSNSTPLITAATRGHTEVVNELLSKDCSLLEIARSNGKNALHLAARQGHVEIVKALLSKDPQLARRTDKKGQTALHMAVKGQSCDVVKLLLDADAAIVMLPDKFGNTALHVATRKKRVEIVNELLHLPDTNVNALTRDHKTALDIAEGLPLSEESSDIKECLSRYGALRANELNQPRDELRKTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNNDGSAVVAAYAAFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASVCTSVAFIASSYIVVGRKNKWAAILVTLVGGVIISGVIGTMTFYVVRSKRSRSMRKKEKQLARRSGSNSWHHSEFSNSEGDRIYAI >VigunL087300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:24118:25977:1 gene:VigunL087300.v1.2 transcript:VigunL087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun09g090400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12504851:12507636:1 gene:Vigun09g090400.v1.2 transcript:Vigun09g090400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIEYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRIKTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWKAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIARDTLF >Vigun04g026700.2.v1.2 pep primary_assembly:ASM411807v1:4:2053311:2059865:1 gene:Vigun04g026700.v1.2 transcript:Vigun04g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTEHMKWRRPRNQFGCPISETDPNPQTPSTIQSSHTKSTISALLSSFSTSNETTHVRDQNSSKNSKKFSTASFRGLGCTVRASQKVSVPTVIRSSADWEGKRNRKKKHRRNSNSNKTCDGVVDDVSGGTCVDFQDVWCGPGIGFSTDAAAAAAASVDCVVARKDVSARGKLDVVERERSSYFGRRIVKPENFPFLDAAESDIFTERSGLDPFGTARFYRHVPHPSPDGLAEIMIIRGRRILMGGRFNSHDQFRDWRLDVDNMSYEQLLELGERIGHVSTGLKEDEMGHNIRKVKLSSSNDASKHQEDKKCSVCQEEYEANDELGKLKCDHSYHFQCIKQWLVHKNFCPVCKQEVVVRP >Vigun04g026700.3.v1.2 pep primary_assembly:ASM411807v1:4:2053311:2059865:1 gene:Vigun04g026700.v1.2 transcript:Vigun04g026700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTEHMKWRRPRNQFGCPISETDPNPQTPSTIQSSHTKSTISALLSSFSTSNETTHVRDQNSSKNSKKFSTASFRGLGCTVRASQKVSVPTVIRSSADWEGKRNRKKKHRRNSNSNKTCDGVVDDVSGGTCVDFQDVWCGPGIGFSTDAAAAAAASVDCVVARKDVSARGKLDVVERERSSYFGRRIVKPENFPFLDAAESDIFTERSGLDPFGTARFYRHVPHPSPDGLAEIMIIRGRRILMGGRFNSHDQFRDWRLDVDNMSYEQLLELGERIGHVSTGLKEDEMGHNIRKVKLSSSNDASKHQEDKKCSVCQEEYEANDELGKLKCDHSYHFQCIKQWLVHKNFCPVCKQEVVVRP >Vigun03g360300.1.v1.2 pep primary_assembly:ASM411807v1:3:56326620:56330320:-1 gene:Vigun03g360300.v1.2 transcript:Vigun03g360300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFFKSNHPDPESSQFNDRTVTQSSSPPLPAADRHGVKDDISHIFRGVANFLAPPPSSWSSASSSSSSSSSSSQALTGIRNDLVEIGGSFKNSLSLISTNKAVTGISKFASQLLQLESDQQRSNDAVPGTTDDVVRFVKEISVRPQCWTEFPLPLHNADFSMSNFQREHALAIERLVPEFVALRLNLCSYMNVEKFWMIYFLLILPRLNQHDYECLSTPKIVDARDELLQKLGERKNLQAEESEKPGTVDSHQEVREDCERESISFEQDQVLTEVTNAVEGLEVGDTVSTEKWLEDTDIDASSFASCTKLQQEEDVSFSDLEDDGSYSSDKLSSHRETHDIRGSSPEGATSDWVRLHETSQRDGRKKAIRLKGKDSEDESNDWLSVDDFN >Vigun03g360300.2.v1.2 pep primary_assembly:ASM411807v1:3:56326620:56330340:-1 gene:Vigun03g360300.v1.2 transcript:Vigun03g360300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFFKSNHPDPESSQFNDRTVTQSSSPPLPAADRHGVKDDISHIFRGVANFLAPPPSSWSSASSSSSSSSSSSQALTGIRNDLVEIGGSFKNSLSLISTNKAVTGISKFASQLLQLESDQQRSNDAVPGTTDDVVRFVKEISVRPQCWTEFPLPLHNDFSMSNFQREHALAIERLVPEFVALRLNLCSYMNVEKFWMIYFLLILPRLNQHDYECLSTPKIVDARDELLQKLGERKNLQAEESEKPGTVDSHQEVREDCERESISFEQDQVLTEVTNAVEGLEVGDTVSTEKWLEDTDIDASSFASCTKLQQEEDVSFSDLEDDGSYSSDKLSSHRETHDIRGSSPEGATSDWVRLHETSQRDGRKKAIRLKGKDSEDESNDWLSVDDFN >Vigun01g120600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29717741:29720226:1 gene:Vigun01g120600.v1.2 transcript:Vigun01g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKYQSIQVWTLTRCSLLALFWLPPSLDGSFAVPDHRCSPFLLPSFFFFLLPFCLLLCYQVYSQSLLPVLGRCPLFKRLSSPFYLISHFINLLFEFLPMIKKHELGYLPVTLLLLLRLSWLQYSQPTISFFFQDPKSRKKKLNLNVFFCVNAEGYSLNSKLKNNEEPSYRFVK >Vigun01g128600.4.v1.2 pep primary_assembly:ASM411807v1:1:30650838:30657100:-1 gene:Vigun01g128600.v1.2 transcript:Vigun01g128600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNSGLLPNSLRIISLCLKTVSTNATTVASTVRSAGASVAASISSFEDHKDEMQPFPIGDDGQEGLRNSHPLLLVVSGDGNSNVNRNSTSFSGLERDDNVEMHSGNNVNLSTTVRFYSMKSHCYVRVLRFRSTVCMIRCSSRIVAVGLATQIHCFDAITLQNKFSVLTYPVPQLAGKGTTGVNVGYGPMAVGPRWLAYASNNPLPSNLGCLSPQNFSASAGISPSATTPNSGSLVARYAVESSRHLAAGIIKYCQELLPDGSSSPMPSNLGVKVNRVTGTDADNVGMVVVKDFVSEIIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSFTCKSSGISSSNWNTSHVHLYKLHRGITPAMIQDICFSNFSQWIAVVSSKGTCHLFILSPFGGDTGFRIISSQGEEPSLLPVFPLPWWFTPGSISYQQSLPPPAPVVLSVASRIKYSSFGWLNTVHNSAANYTGKVFVPSGAIAAIFHNSLSHSQLNSKANPLEHILVYTPSGHVVQHELLPSVGSETSDSSLRNQSTSVLQEDEFRVKVEPIQWWDVCRRSEWPERGDTCINTFDRQGSIERDQEKTGYSDIHGLDFLGISDGAGEKVMKSSSENMQERFHRYLSNAEVQGNFGRLPIWQKSKRPSWGKIFKPFVSRSESR >Vigun01g128600.1.v1.2 pep primary_assembly:ASM411807v1:1:30650838:30657100:-1 gene:Vigun01g128600.v1.2 transcript:Vigun01g128600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNSGLLPNSLRIISLCLKTVSTNATTVASTVRSAGASVAASISSFEDHKDEVTWAGFDTLELDPSNFKRVLLLGYLNGFQVLDVEDASGFSELVSKRDGPVSFLQMQPFPIGDDGQEGLRNSHPLLLVVSGDGNSNVNRNSTSFSGLERDDNVEMHSGNNVNLSTTVRFYSMKSHCYVRVLRFRSTVCMIRCSSRIVAVGLATQIHCFDAITLQNKFSVLTYPVPQLAGKGTTGVNVGYGPMAVGPRWLAYASNNPLPSNLGCLSPQNFSASAGISPSATTPNSGSLVARYAVESSRHLAAGIIKYCQELLPDGSSSPMPSNLGVKVNRVTGTDADNVGMVVVKDFVSEIIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSFTCKSSGISSSNWNTSHVHLYKLHRGITPAMIQDICFSNFSQWIAVVSSKGTCHLFILSPFGGDTGFRIISSQGEEPSLLPVFPLPWWFTPGSISYQQSLPPPAPVVLSVASRIKYSSFGWLNTVHNSAANYTGKVFVPSGAIAAIFHNSLSHSQLNSKANPLEHILVYTPSGHVVQHELLPSVGSETSDSSLRNQSTSVLQEDEFRVKVEPIQWWDVCRRSEWPERGDTCINTFDRQGSIERDQEKTGYSDIHGLDFLGISDGAGEKVMKSSSENMQERFHRYLSNAEVQGNFGRLPIWQKSKICFYSMSSVGASFSATGEFEIERVPANEIEIRQKELLPVFDHFHSIRSSWNERGLAGERYLSPSSPVPNQGDYKDTADMTVICHSNPASLSSTESSDGGSSRRIENLLDLDQVASSYQVHSEICLERMGTINVEPSLQNQIVMESPSRVFGISKHVDFNADFIGSPIFQMTNITSDGRDSIGLGIDDNSALVLEDSSHETEFVESKQDEGVGISLTDGHCKTQEHDGSDLLTEVVTDDVDSSSSHHGKEQLEEDEENDEMFGGIFSFSEEG >Vigun01g128600.2.v1.2 pep primary_assembly:ASM411807v1:1:30650838:30657100:-1 gene:Vigun01g128600.v1.2 transcript:Vigun01g128600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNSGLLPNSLRIISLCLKTVSTNATTVASTVRSAGASVAASISSFEDHKDEVTWAGFDTLELDPSNFKRVLLLGYLNGFQVLDVEDASGFSELVSKRDGPVSFLQMQPFPIGDDGQEGLRNSHPLLLVVSGDGNSNVNRNSTSFSGLERDDNVEMHSGNNVNLSTTVRFYSMKSHCYVRVLRFRSTVCMIRCSSRIVAVGLATQIHCFDAITLQNKFSVLTYPVPQLAGKGTTGVNVGYGPMAVGPRWLAYASNNPLPSNLGCLSPQNFSASAGISPSATTPNSGSLVARYAVESSRHLAAGIIKYCQELLPDGSSSPMPSNLGVKVNRVTGTDADNVGMVVVKDFVSEIIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSFTCKSSGISSSNWNTSHVHLYKLHRGITPAMIQDICFSNFSQWIAVVSSKGTCHLFILSPFGGDTGFRIISSQGEEPSLLPVFPLPWWFTPGSISYQQSLPPPAPVVLSVASRIKYSSFGWLNTVHNSAANYTGKVFVPSGAIAAIFHNSLSHSQLNSKANPLEHILVYTPSGHVVQHELLPSVGSETSDSSLRNQSTSVLQEDEFRVKVEPIQWWDVCRRSEWPERGDTCINTFDRQGSIERDQEKTGYSDIHGLDFLGISDGAGEKVMKSSSENMQERFHRYLSNAEVQGNFGRLPIWQKSKRPSWGKIFKPFVSRSESR >Vigun01g128600.3.v1.2 pep primary_assembly:ASM411807v1:1:30650838:30657100:-1 gene:Vigun01g128600.v1.2 transcript:Vigun01g128600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKGKNSGLLPNSLRIISLCLKTVSTNATTVASTVRSAGASVAASISSFEDHKDEMQPFPIGDDGQEGLRNSHPLLLVVSGDGNSNVNRNSTSFSGLERDDNVEMHSGNNVNLSTTVRFYSMKSHCYVRVLRFRSTVCMIRCSSRIVAVGLATQIHCFDAITLQNKFSVLTYPVPQLAGKGTTGVNVGYGPMAVGPRWLAYASNNPLPSNLGCLSPQNFSASAGISPSATTPNSGSLVARYAVESSRHLAAGIIKYCQELLPDGSSSPMPSNLGVKVNRVTGTDADNVGMVVVKDFVSEIIISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSFTCKSSGISSSNWNTSHVHLYKLHRGITPAMIQDICFSNFSQWIAVVSSKGTCHLFILSPFGGDTGFRIISSQGEEPSLLPVFPLPWWFTPGSISYQQSLPPPAPVVLSVASRIKYSSFGWLNTVHNSAANYTGKVFVPSGAIAAIFHNSLSHSQLNSKANPLEHILVYTPSGHVVQHELLPSVGSETSDSSLRNQSTSVLQEDEFRVKVEPIQWWDVCRRSEWPERGDTCINTFDRQGSIERDQEKTGYSDIHGLDFLGISDGAGEKVMKSSSENMQERFHRYLSNAEVQGNFGRLPIWQKSKICFYSMSSVGASFSATGEFEIERVPANEIEIRQKELLPVFDHFHSIRSSWNERGLAGERYLSPSSPVPNQGDYKDTADMTVICHSNPASLSSTESSDGGSSRRIENLLDLDQVASSYQVHSEICLERMGTINVEPSLQNQIVMESPSRVFGISKHVDFNADFIGSPIFQMTNITSDGRDSIGLGIDDNSALVLEDSSHETEFVESKQDEGVGISLTDGHCKTQEHDGSDLLTEVVTDDVDSSSSHHGKEQLEEDEENDEMFGGIFSFSEEG >Vigun02g172200.1.v1.2 pep primary_assembly:ASM411807v1:2:31493006:31497527:1 gene:Vigun02g172200.v1.2 transcript:Vigun02g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMVYGETPSFGASHHGQAQQTIPFPTTGTSLRILLLHGNLEIWVNEAKNLPNMDIFHKKTGDMVSKLSRRLGGKVEGIMSKTGTSDPYVTVSVAGAVIARTFVIRNTENPVWTQRFNVPVAHLASEVHFVVKDSDIVGSQIIGAVGIPVEQLCSGTRVDGFFPLVSTNGKPCKSGEKLSLSIQYTPVEKVPLYIHGVGAGPEYQGVPNTYFPLRKGGKVTLYQDAHVHEGSLPSLKVDGDVNYKHESCWHDIFDAIKQARRLVYIVGWSVYYNVSLIRDMGDGKAYTLGDLLKAKSQEGVRVLLLVWDDPSSGSLLGYKTVGLMKTHDEDTRQFFKHSSVQVLLCPRAGGKGHSFLKTQEAGTIYTHHQKTVIVDADAGHNKRKIKAFIGGLDLCVGRYDTPDHSLFRTLQTTHKDDYYNANFEGPVTDCPRQPWHDLHSQVDGPAAYDILTNFQERWLRAIKMNRLRRMKSSHDDSLLKIDRIDDIVGIDNVPGLNEDNQETWHTQIFRSIDSNSVKGFPKQPEDAIRRNLVCGKNVLIDMSIHSAYVKAIRSAQKFIYIENQYFLGSSYNWDSYKDLGANNLIPMEIALKIASKIKQHERFSVYIVIPMWPEGVPKSKATQRILFWQFKTMQMMYETVYKALQEAGLENQYEPQDYLNFFCLGNREIPDNNVSNVTKTTGENIPQALTKKNRRFMIYVHSKGMIVDDEYVLLGSANINQRSMEGTRDTEIAMGAYQPNHTWAKKHSKPRGQVHGYRMSLWSEHVGSVEECFEEPESIECVRHIRSLSERNWRQYAADEVTEMKSHLLKYPLEVDSKGNVKPLSGCETFPDVGGNISGTFTVLRENLTI >Vigun03g403900.1.v1.2 pep primary_assembly:ASM411807v1:3:61096311:61102076:-1 gene:Vigun03g403900.v1.2 transcript:Vigun03g403900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKNASCPAPMRAESNGGFQHENPMDYALPLLILQICLVVAFTRFLAFLFRPLRQPRVIAEIIGGILLGPSAIGRNEKFLNAVFPEKSITVLETLANIGLLFFLFLVGLELDMRAIRRTGRKALGIAICGIIIPFLLGIATSIALRATISKGADLFPFILFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSASDASPFVPLWVLLCGVAFVIFVVFAIRPLLVAMANRSLEGEPVKEVYICITLTLVLVCSFVTDTIGIHSLFGAFAVGTIIPKEGSFSRVLTERIEDLVSGLFMPLYFVSSGLKTDVATINGGLSWAMLMLVIFNACFGKIIGTTVVSLLCKVPSTEALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVVMALFSTFISTPMVMVVYKPARRGAPYKRKTIQRDPDTELRMLACFHNTHNIPSLINLIECSRGTGRKGKLCIYTMHLMELSERSSAITMVHMARKNGMPFWNKKRNGKDQMIIAFQDYEKLSGVSVRPMTVISGLNSIHEDICTTAHRKRAAMIILPFHKQQRVDGTMESVGHSFQEMNQLVLCHAPCSVGIFVDRGLGGTSQVQASDVSYEIVVPFFGGHDDREALCYGMRMGEHPGIFVNVYKFVTPAGTSLVGESSNKNTKAMDVIDGNDYDDKKEDDELWNEFLSACNRSSQETMKYEEKVVESKGDIEIALKEMNRCNLIVVGRMPSVAALGSISDCPELGPVGSFVASSDFSTVTSVMVIQQYNPSTDIHPFVREESDLPDIADAPRG >Vigun03g403900.3.v1.2 pep primary_assembly:ASM411807v1:3:61097682:61102076:-1 gene:Vigun03g403900.v1.2 transcript:Vigun03g403900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKNASCPAPMRAESNGGFQHENPMDYALPLLILQICLVVAFTRFLAFLFRPLRQPRVIAEIIGGILLGPSAIGRNEKFLNAVFPEKSITVLETLANIGLLFFLFLVGLELDMRAIRRTGRKALGIAICGIIIPFLLGIATSIALRATISKGADLFPFILFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSASDASPFVPLWVLLCGVAFVIFVVFAIRPLLVAMANRSLEGEPVKEVYICITLTLVLVCSFVTDTIGIHSLFGAFAVGTIIPKEGSFSRVLTERIEDLVSGLFMPLYFVSSGLKTDVATINGGLSWAMLMLVIFNACFGKIIGTTVVSLLCKVPSTEALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVVMALFSTFISTPMVMVVYKPARRGAPYKRKTIQRDPDTELRMLACFHNTHNIPSLINLIECSRGTGRKGKLCIYTMHLMELSERSSAITMVHMARKNGMPFWNKKRNGKDQMIIAFQDYEKLSGVSVRPMTVISGLNSIHEDICTTAHRKRAAMIILPFHKQQRVDGTMESVGHSFQEMNQLVLCHAPCSVGIFVDRGLGGTSQVQASDVSYEIVVPFFGGHDDREALCYGMRMGEHPGIFVNVYKFVTPAGTSLVGESSNKNTKAMDVIDGNDYDDKKEDDELWNEFLSACNRSSQETMKYEEKVVESKGDIEIALKEMNRCNLIVVGRMPSVAALGSISDCPELGPVGSFVASSDFSTVTSVMVIQQYNPSTDIHPFVREESDLPDIADAPRG >Vigun03g403900.2.v1.2 pep primary_assembly:ASM411807v1:3:61096311:61102076:-1 gene:Vigun03g403900.v1.2 transcript:Vigun03g403900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKNASCPAPMRAESNGGFQHENPMDYALPLLILQICLVVAFTRFLAFLFRPLRQPRVIAEIIGGILLGPSAIGRNEKFLNAVFPEKSITVLETLANIGLLFFLFLVGLELDMRAIRRTGRKALGIAICGIIIPFLLGIATSIALRATISKGADLFPFILFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSASDASPFVPLWVLLCGVAFVIFVVFAIRPLLVAMANRSLEGEPVKEVYICITLTLVLVCSFVTDTIGIHSLFGAFAVGTIIPKEGSFSRVLTERIEDLVSGLFMPLYFVSSGLKTDVATINGGLSWAMLMLVIFNACFGKIIGTTVVSLLCKVPSTEALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVVMALFSTFISTPMVMVVYKPARRGAPYKRKTIQRDPDTELRMLACFHNTHNIPSLINLIECSRGTGRKGKLCIYTMHLMELSERSSAITMVHMARKNGMPFWNKKRNGKDQMIIAFQDYEKLSGVSVRPMTVISGLNSIHEDICTTAHRKRAAMIILPFHKQQRVDGTMESVGHSFQEMNQLVLCHAPCSVGIFVDRGLGGTSQVQASDVSYEIVVPFFGGHDDREALCYGMRMGEHPGIFVNVYKFVTPAGTSLVGESSNKNTKAMDVIDGNDYDDKKEDDELWNEFLSACNRSSQETMKYEEKVVESKGDIEIALKEMNRCNLIVVGRMPSVAALGSISDCPELGPVGSFVASSDFSTVTSVMVIQQYNPSTDIHPFVREESDLPDIADAPRG >Vigun01g012100.1.v1.2 pep primary_assembly:ASM411807v1:1:1327117:1332496:-1 gene:Vigun01g012100.v1.2 transcript:Vigun01g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETPTGGENGSAPSAGKGKSMYVAGAEAALEPDMSKRRRSSVLPLEVGTRVMCRWRDSKYHPVKVIERRKVHHAIPSDYEYYVHYTEFNRRLDEWVKLEQLDLDSVEAVLDEKVEEKGATGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLRLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQS >Vigun08g177700.1.v1.2 pep primary_assembly:ASM411807v1:8:34763741:34765266:1 gene:Vigun08g177700.v1.2 transcript:Vigun08g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIVVAAVMGILSTVHGQHTNKPNAPANEKNASDVSALYVLGDSSVDCGDNTLFYPLLHGRLSLYPCNGSDATLLPQLLAEKIGFTSIRPFYGQNGSLEEVLGGLNFGSTQARIMNQGSYSHQSLNQQLRQVSETMQLLQLQLTEDTALQLTKSSIFFLSFGKEDYIDLFIHNSSSSNHTLQRGSQYFATILVNQMANAARYLYNANARKIICLGVLPLGCTPRMVWELNSTSLAGDSNATGCVEHVNDMIFEYNRLLDEQIDKLNTEFPDAQMVFCDVYNGMMEIINEPRLYGFEDVKGACCGLGLNGAMIGCISMDMACDQASTHVWWDLFNPTQAVNKILADAAWSGQPIPDLCRPISIHELASIKV >Vigun11g095000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27738556:27741271:1 gene:Vigun11g095000.v1.2 transcript:Vigun11g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPIESEISDEAFNPSAAEQCRSKDPSPSQKSPPTVSQSPQTETLTLPDPDPRLSNPNQDHDSMMREPIPIVTVDDTEPDDAEPNIPGAAVGGGGANIRRSTKKKKMGNRRTAKERKWREKIPGIVETLKPIPFTPAKTLDFEKHQSLLKRLGLWEFVNVEFDSALRADLLAQLIASYVPNYRCGYVNGFRINVSRADLGRALKLPKKNVGAAAVAAVVDDSVDLNESIAFVEELAYHWMLLPYDACMMTGEILGWLDLIKEGNFEKMDWAGMIWCMVEKELRAPQLVSCYYASHLQLLIKTQHKELYEEGVEVGEEENEVKEEGEEEDEDEEGVKEEVDGSGDVKMDEVDGGQVQELEGRVQELEGQVQELEEHHIELSLGQDNNVEKVEVEKEQGGQEQMMDFEQVKDVEEPGMWLMDQKSCVEEPFLRPCHGDLKGLHCEQLIEDEGEDGQQEEEGEEEEEDGEEDEHEGGFHLSPKCIPMEGIASGNGLQVMDAGQLPFGSGIDLRDNPVGDFLSSRDEPQMISGSSLFGNGHKRDSLALDNHNSHHSLNGSNKRLRSDSPWNTKPMDFETCMEQMEHWMGKARMMYATKDQACEESTMNQQLLMDELQKRDNLIEHLHKAKFEESQKRQMEVYRFEKELYMMQSLVDGYRKALKETRKAFTEYRARYPQGDEPLYADVPGSGGLVLTVMEVEKERLKKEAEERAKLRDFMIEFDKNCTDFESQWSGKFEAYLSRVESLNERFLALEEKMKQLNEVNTNRKVSDPVESAPTTEGETA >Vigun09g080100.1.v1.2 pep primary_assembly:ASM411807v1:9:9470282:9473440:1 gene:Vigun09g080100.v1.2 transcript:Vigun09g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSQCHTHPLTHSCSHFPMDPPLITHSTFSSAYTLADIWLGTSDHHFSKNDSSSNKRMKVEGSSIKNDGFKEEAETSSVSGNKSSEQSTIPCDAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEVVNSRMNMSPNIDGFPLKDVGGAGMVFGSQAATGYAQGSHPGWLHMQIGGGLREQHSLEKSHWERNIIN >Vigun09g080100.2.v1.2 pep primary_assembly:ASM411807v1:9:9470282:9473440:1 gene:Vigun09g080100.v1.2 transcript:Vigun09g080100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSQCHTHPLTHSCSHFPMDPPLITHSTFSSAYTLADIWLGTSDHHFSKNDSSSNKRMKVEGSSIKNDGFKEEAETSSVSGNKSSEQSTIPCDAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEVVNSRMNMSPNIDGFPLKDLAKLN >Vigun07g195100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31442649:31444567:-1 gene:Vigun07g195100.v1.2 transcript:Vigun07g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTDETTTTSESLSLPPNLSPPPLIRVGSAGVDPDAVSGEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAAQRFRGRDAVTNFKPLAGAGDDAESEFLNSHSKSEIVDMLRKHTYDDELQQSTRGGRRRRYAGAASSGAYDAKAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLSSGSGSGVSPCTAAAAAAKGVLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKENNLRAGDAVRFFKSTGPDRQLYIDCKARSSGGICELNNNNGGGLFVPVGPVVEPVQMVRLFGVNLLKLPGSDGVRVGYEGKRKEMELFAFECSKKLKVIGAL >Vigun01g113000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28758985:28761944:1 gene:Vigun01g113000.v1.2 transcript:Vigun01g113000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLSDDDTRLSIIPIVGIGGLGKTTLAKFVFNDYRIQEYFPLKMWVCVSDDFDVKQLIIKIINSANDATYADAPSQQPNWNMLDLEQLQNQLKNKLSDQKFLLVLDDVWNENRVRWLELLSLIQVSAAGSKVVVTTRSHSIASMMGTVPSHILDGLSEEDSLSLFVKWAFKEGEEEKHPHLVNIGRRIVKKCRGVPLAVRTLGSLLFSKFEAYEWEYVSDNEIWNLPQENDDILPALKLSYDLMPSYLRQCFALFSLYPKDYQFISNEITWLWGALGLIALPKTNRTLQDVGNQYLQELQSRSFLQDFENFVTFYNFRIHDLVHDLALFVARDESLHVNSNIQNIPDNVRHLSFAESSLFGNLVIKKSVAVRTIRFPNGAVAVNGEALVNTCVSKFKCLRVLDLHGSTFETLPCTIAKLKHLRYLDISHNPNIKRLPESMCKLQSLQILMLNDCMELEALPKGLRKLISLRCLQFSTKQIVLPVNEIANLGSLEQLSIDSCHNVESIFGGVKFPALKTLCVNNCQSLKSLSLDGKNFPQLEIFVVHACDNLDLELGKGHHEEESSKLKLKVVVFSSLSQLVPLPEWLQEVVTLQCLIFFNCPNIETFPDWLTTLTDLKILTIINCPELVSLPDNIHLLTALESLKIEDCADLCKKYEPYVGEFWPKVSHIKNIFIQEPEEEEESDEEEDVV >Vigun01g166200.1.v1.2 pep primary_assembly:ASM411807v1:1:34791330:34801314:-1 gene:Vigun01g166200.v1.2 transcript:Vigun01g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFNRNLFWLSASVIFLFSISFVLHSTSPSPRDNISISPQHTFSFPLGIFSKIIKLSGVQDLVSLITSITRRRHHHHHHHHHHHKEKCEGAKWDSRLIQDYNVSLVFTVDLKGCANFSSVQKAVDAVPESCSDTTLIIIDSGTYREKVTVQANKTNLIIQGQGYLNTIIEWNDTANSTGGTSYSYSFAIFSPKFTAYNISFKNTAPPPLPGAVGHKQWH >Vigun01g092100.1.v1.2 pep primary_assembly:ASM411807v1:1:25320778:25324140:1 gene:Vigun01g092100.v1.2 transcript:Vigun01g092100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRHIFTKLSPHRRHFSQSAAAAVLHLPEPLTYLDGFPRPDPKHDETIFAVPRADSGKNISAKERRVGRVPSIIFEQEDGQHGGNKRLISVRTDQIRKLVNHLGRSFFLSRLFHLEVRTQFDSDDVVESVRVLPRNIHLKAGTDAPLNVTFIRAPSHALLKVDVPLVFRGDDVSPGLKKGASLNTVKRTVKYLCPADIIPPYIDVDLSELDVGQKIVMGDLKVHPALKLLQPKDEAVCKIMGQRVSEIQKKSK >VigunL059127.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:3075:3434:-1 gene:VigunL059127.v1.2 transcript:VigunL059127.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun07g093900.1.v1.2 pep primary_assembly:ASM411807v1:7:15040298:15048532:-1 gene:Vigun07g093900.v1.2 transcript:Vigun07g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQTGEEVAVKLEPVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGIEGDYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISETKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTMLKYPQISSSSRGRQHGTGKAAVQASPHVQKPEKISVGKDIREKFSGAVEAFSRRNPAGSSPHVDHVKQRSFEDVPVQKDTHYGQHNTGRYGSSSRKAMITSNKPVSSGDHTGRPTMTGSRPSGAHRIQPAYDTKQANYARGGGGGGGGGGGGGARGHRDDPLRSFELLNIRK >Vigun07g093900.2.v1.2 pep primary_assembly:ASM411807v1:7:15040033:15048553:-1 gene:Vigun07g093900.v1.2 transcript:Vigun07g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQTGEEVAVKLEPVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGIEGDYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISETKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTMLKYPQISSSSRGRHGTGKAAVQASPHVQKPEKISVGKDIREKFSGAVEAFSRRNPAGSSPHVDHVKQRSFEDVPVQKDTHYGQHNTGRYGSSSRKAMITSNKPVSSGDHTGRPTMTGSRPSGAHRIQPAYDTKQANYARGGGGGGGGGGGGGARGHRDDPLRSFELLNIRK >Vigun07g174400.2.v1.2 pep primary_assembly:ASM411807v1:7:28955366:28957417:1 gene:Vigun07g174400.v1.2 transcript:Vigun07g174400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKRLGLLLLLCAHAFVANVVARDVATVKNDEEKNVGFGKGGGFGGGVGGGAGGGGGFGGGFGGGGGGGAGGGFGGGSGGGFGGGAGGGGGAGGGFGGGAGGGVGGGAGGGFGKGGGIGGGAGGGFGKGAGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGAGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGFGGGGGFGGGGGFGGGSGGGGGFGGGGGAGGGGGIGHP >Vigun07g174400.3.v1.2 pep primary_assembly:ASM411807v1:7:28955366:28957417:1 gene:Vigun07g174400.v1.2 transcript:Vigun07g174400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKRLGLLLLLCAHAFVANVVARDVATVKNDEEKNVGFGKGGGFGGGVGGGAGGGGGFGGGFGGGGGGGAGGGFGGGSGGGFGGGAGGGGGAGGGFGGGAGGGVGGGAGGGFGKGGGIGGGAGGGFGKGAGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGAGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGFGGGGGFGGGGGFGGGSGGGGGFGGGGGAGGGGGIGHP >Vigun07g174400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28955366:28957417:1 gene:Vigun07g174400.v1.2 transcript:Vigun07g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKRLGLLLLLCAHAFVANVVARDVATVKNDEEKNVGFGKGGGFGGGVGGGAGGGGGFGGGFGGGGGGGAGGGFGGGSGGGFGGGAGGGGGAGGGFGGGAGGGVGGGAGGGFGKGGGIGGGAGGGFGKGAGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGAGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGFGGGGGFGKGGGIGGGIGKGGGFGGGIGKGGGIGGGIGKGGGFGGGGGFGGGGGFGGGSGGGGGFGGGGGAGGGGGIGHP >Vigun07g063700.1.v1.2 pep primary_assembly:ASM411807v1:7:7378112:7381077:1 gene:Vigun07g063700.v1.2 transcript:Vigun07g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFRGSQEHQHGSSSTTHDGPVATLKNKSVDELRKLLSNKDAYQQFLHSLEEVKIQNHVNDELCKENLQLAEENLRKEPRIVELRNQYRIICTTELAAAQEKLNELYREKEKIIKLNSPLYLLQRIQDAMNKTDEESENLHHQFLDREIELGAFLQKYKKLRSAYHRKSLINLAAKTSNI >Vigun07g063700.2.v1.2 pep primary_assembly:ASM411807v1:7:7379628:7381078:1 gene:Vigun07g063700.v1.2 transcript:Vigun07g063700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGHYSILMSHPHTTTTTKVNDELCKENLQLAEENLRKEPRIVELRNQYRIICTTELAAAQEKLNELYREKEKIIKLNSPLYLLQRIQDAMNKTDEESENLHHQFLDREIELGAFLQKYKKLRSAYHRKSLINLAAKTSNI >Vigun03g312250.1.v1.2 pep primary_assembly:ASM411807v1:3:50519443:50519685:1 gene:Vigun03g312250.v1.2 transcript:Vigun03g312250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWCSWLSRQSNTLKVSGSSPGDANDKTFFFILLGHVDWCSTHSNDEKIAAKTRLIF >Vigun10g107400.1.v1.2 pep primary_assembly:ASM411807v1:10:30626333:30627089:-1 gene:Vigun10g107400.v1.2 transcript:Vigun10g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLIFLMASVTQLVYGGGEGSLTVQGCPRACDYRCSKANARQACLFYCNLCCDKCLCVPSGTFGNKEECPCYNNWKNKEGKPKCP >Vigun08g111600.1.v1.2 pep primary_assembly:ASM411807v1:8:27693515:27695026:1 gene:Vigun08g111600.v1.2 transcript:Vigun08g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDTAATRVSIGFPLGLALLFVCLLFICGFFCCCLHWSKLQSFLHSHGIINIQSLQQTQMQSELASPYHKPAFPVVMMKQNYAESLPVLMPGDAVPKFIATACPCQPPRDERITIHVQKEPSTEFCSGN >Vigun09g136200.1.v1.2 pep primary_assembly:ASM411807v1:9:29685852:29688788:-1 gene:Vigun09g136200.v1.2 transcript:Vigun09g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLVVRIVDLWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIATWEDKLKEGESYIMHNFKILNNRAQYRVCDHPYKLLFIGATSVRRQPIASIPAKVWKFKSIKDIIDGNYSADLLVDVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCLRLVSYWRESRETPYPAIILTQAKIKAASGPWPVSLSNCWNGSKLFMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQISHVDRFMYKTVVKIVSQILTVIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPKMRYKLEIQVTDGKKVANFMLWDQDCINLIGLSAGDLRKKMIKDGEEDPKCFPQDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTEVMGIVEAKENCSDQSELRKDKSIAIEVGKRTSANESDVHTHTGSSLALELAECGDNAACDLSADTDSSLMCLSRTADLDPDVVLCVTPKKDLCTTSDNEDMQYIPENFMDFDLLEDLPLAQLSATKTMKIIKKEK >Vigun11g015066.3.v1.2 pep primary_assembly:ASM411807v1:11:1861894:1865913:1 gene:Vigun11g015066.v1.2 transcript:Vigun11g015066.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVTGVLVSTFLERTIDTLASRLFDIFHQRKHKKQLRNLKMKLLAIDVVALDAEQKQFTDSRVRDWLLRAKDVVIDAEDLLDEIDYELSKSQVEAESQSAAKKVWSSLNSSFLIENEIESRMAQVIEDLDDLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESVIYGRDNDKEFLIKWLTSDTHNNLSILSIVGMGGMGKTSLAQHVFNDPRLEGKFDINVWVSVPQEFDVLKVSRAILDTIASSTDHSIPKEVIQKRLKENLMGKKFLLVLDDVWNENSSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKHHLEILKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSSLWEWENIMKSEIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYRFDKDILIQWWMAQNFLESPIQKKSPTEAGEQYFNDLLSWSFFQPSSNKFEKLFIMHDLLNDLAKYVCKDVCIRIGVDEQEGIGVENVAAHLGKLKNVQVSISSFHVEKSKEMNIRRLGQFNLHESLTIDDLQNIENPSDALEADLKSKPHLVGLQFKWNFIGSSFVDSAKAEDVIENLRPSKYLKKLSIRNYIGKQFPNWLLHNSLPNLVSLVLVDCRSCEHLPPLGLFPFLKELSIDGLDGIVSIDADFHGNNSSSFKSLQKLWFSNMRQWEKWDCQAVTGAFPRLERFWIKNCPKLKAYLPKFVAFPRLQSFSIDNCPKLKGHLPKFVALKCLYVRHCEQLEALIVSAIELRLQDCGKLQLDCSTLKNLTMDGHDMAASSVAMVGHMLFNTSLEILTLESISDDCVSLRIFPLDFFPTLRMLELSGFPNLQMISQDHVHNHLEDLTIKECPKFESLPENMHMLLPSLDGLHIEDCPTLESFPDGGLPSNLKYITLWNCFRLVGLLKGALGDSSSLESLGISTPDAECFLDEDCIFETAPTSNAYQRKAFLNQFHILI >Vigun11g015066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1861870:1865850:1 gene:Vigun11g015066.v1.2 transcript:Vigun11g015066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVTGVLVSTFLERTIDTLASRLFDIFHQRKHKKQLRNLKMKLLAIDVVALDAEQKQFTDSRVRDWLLRAKDVVIDAEDLLDEIDYELSKSQVEAESQSAAKKVWSSLNSSFLIENEIESRMAQVIEDLDDLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESVIYGRDNDKEFLIKWLTSDTHNNLSILSIVGMGGMGKTSLAQHVFNDPRLEGKFDINVWVSVPQEFDVLKVSRAILDTIASSTDHSIPKEVIQKRLKENLMGKKFLLVLDDVWNENSSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKHHLEILKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSSLWEWENIMKSEIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYRFDKDILIQWWMAQNFLESPIQKKSPTEAGEQYFNDLLSWSFFQPSSNKFEKLFIMHDLLNDLAKYVCKDVCIRIGVDEQEGISKTTRHCSFLSEFCFDGFGSSIDTQKLHTFTQTDPNSGWVWDCKMSIDDLFSRFKLIRVLSLNRCCILKDVPESIGNLKHLRSLDLSWTQIEKLPDSMSLLYKLQILKLNECRRLKQFPSCLHKLQNLRCLELVGIGVENVAAHLGKLKNVQVSISSFHVEKSKEMNIRRLGQFNLHESLTIDDLQNIENPSDALEADLKSKPHLVGLQFKWNFIGSSFVDSAKAEDVIENLRPSKYLKKLSIRNYIGKQFPNWLLHNSLPNLVSLVLVDCRSCEHLPPLGLFPFLKELSIDGLDGIVSIDADFHGNNSSSFKSLQKLWFSNMRQWEKWDCQAVTGAFPRLERFWIKNCPKLKAYLPKFVAFPRLQSFSIDNCPKLKGHLPKFVALKCLYVRHCEQLEALIVSAIELRLQDCGKLQLDCSTLKNLTMDGHDMAASSVAMVGHMLFNTSLEILTLESISDDCVSLRIFPLDFFPTLRMLELSGFPNLQMISQDHVHNHLEDLTIKECPKFESLPENMHMLLPSLDGLHIEDCPTLESFPDGGLPSNLKYITLWNCFRLVGLLKGALGDSSSLESLGISTPDAECFLDEGLLPPSLTQLQFLYCQNLEKLDYKGLLQLSSLRRLYLRDCPNLQRLPEEGLPKSISYLDITNCPLLKQRCQEGGEDREKIAHIRRIHLF >Vigun11g015066.2.v1.2 pep primary_assembly:ASM411807v1:11:1861924:1865850:1 gene:Vigun11g015066.v1.2 transcript:Vigun11g015066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMVTGVLVSTFLERTIDTLASRLFDIFHQRKHKKQLRNLKMKLLAIDVVALDAEQKQFTDSRVRDWLLRAKDVVIDAEDLLDEIDYELSKSQVEAESQSAAKKVWSSLNSSFLIENEIESRMAQVIEDLDDLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESVIYGRDNDKEFLIKWLTSDTHNNLSILSIVGMGGMGKTSLAQHVFNDPRLEGKFDINVWVSVPQEFDVLKVSRAILDTIASSTDHSIPKEVIQKRLKENLMGKKFLLVLDDVWNENSSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKHHLEILKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSSLWEWENIMKSEIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYRFDKDILIQWWMAQNFLESPIQKKSPTEAGEQYFNDLLSWSFFQPSSNKFEKLFIMHDLLNDLAKYVCKDVCIRIGVDEQEGISKTTRHCSFLSEFCFDGFGSSIDTQKLHTFTQTDPNSGWVWDCKMSIDDLFSRFKLIRVLSLNRCCILKDVPESIGNLKHLRSLDLSWTQIEKLPDSMSLLYKLQILKLNECRRLKQFPSCLHKLQNLRCLELVGIGVENVAAHLGKLKNVQVSISSFHVEKSKEMNIRRLGQFNLHESLTIDDLQNIENPSDALEADLKSKPHLVGLQFKWNFIGSSFVDSAKAEDVIENLRPSKYLKKLSIRNYIGKQFPNWLLHNSLPNLVSLVLVDCRSCEHLPPLGLFPFLKELSIDGLDGIVSIDADFHGNNSSSFKSLQKLWFSNMRQWEKWDCQAVTGAFPRLERFWIKNCPKLKAYLPKFVAFPRLQSFSIDNCPKLKGHLPKFVALKCLYVRHCEQLEALIVSAIELRLQDCGKLQLDCSTLKNLTMDGHDMAASSVAMVGHMLFNTSLEILTLESISDDCVSLRIFPLDFFPTLRMLELSGFPNLQMISQDHVHNHLEDLTIKECPKFESLPENMHMLLPSLDGLHIEDCPTLESFPDGGLPSNLKYITLWNCFRLVGLLKGALGDSSSLESLGISTPDAECFLDEDCIFETAPTSNAYQRKAFLNQFHILI >Vigun11g136200.1.v1.2 pep primary_assembly:ASM411807v1:11:34551471:34553920:1 gene:Vigun11g136200.v1.2 transcript:Vigun11g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMASTPTLSKVCLTVLFLFLSISSSAISHGLNHNPANASYLHSNAQKLIRSLNLFPEESINIIEDDHAGFVPGQIVEKKFSFLGRSGPPVENLGHHAGYYSLPHSKAARMFYFFFESRKNKNDPVVIWLTGGPGCGSELAMFYENGPFHITKNLSLTWNDYGWDLASNIIFVDQPTGTGFSYSSDDSDIRHDEAGVSNDLYDFLQEFFKAHRNFVKNDFYIFGESYAGHYVPALASQINRANKQKRGIHINLKGLAIGNGFTNPAIQYAAFPDFALQSRIITEAQYDDVRKLVPLCERSIKNCGEESCPEALDICEGIFGRIMFFAGHINYYDIRKKCGGGNMCYNFANVEMLLNMVKVKSGLGVKDGFRYNLCSVKVQAAMLRDMLRNLDVGIPGLLEDGIKVLVYAGVKDLICNWLGNSRWVDGMKWSGQKGFGKSPVRIFMVDGELAGSLRSHGPLSFLKVYEAGHLVPMDQPKVSLQMFKRWMGGKMT >Vigun01g190100.2.v1.2 pep primary_assembly:ASM411807v1:1:36900372:36904436:1 gene:Vigun01g190100.v1.2 transcript:Vigun01g190100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVQQFLCFAESNWRNIARPCIPSHTTILLRSRKKIQFSLISCSSSQTPEVGTQTAESCVNLGLELFSKGRVKDALTQFETALSLNPNPVEAQAAFYNKACCHAYRGEGKKAADCLRTALREYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVALSAAAGISLFFTVPRLIRAINGGDGAPDLLATSGNAAINIGGIVVLVALFFWDNKKEEEQLAQISRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKETVSQAMQRAERFRTELLKRGVLLVPVIWGEGRETKVEKKGFGLQPKAAEALPSIGILRSEHSP >Vigun01g190100.1.v1.2 pep primary_assembly:ASM411807v1:1:36900372:36904436:1 gene:Vigun01g190100.v1.2 transcript:Vigun01g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVQQFLCFAESNWRNIARPCIPSHTTILLRSRKKIQFSLISCSSSQTPEVGTQTAESCVNLGLELFSKGRVKDALTQFETALSLNPNPVEAQAAFYNKACCHAYRGEGKKAADCLRTALREYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVALSAAAGISLFFTVPRLIRAINGGDGAPDLLATSGNAAINIGGIVVLVALFFWDNKKEEEQLAQISRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKETVSQAMQRAERFRTELLKRGVLLVPVIWGEGRETKVEKKGFGLQPKAAEALPSIGEDFEKRAQSITAKSKLKSEIRFKAEVVSPAEWERWIRDQQKSEGVSLGEDVYIILRLDGRVRRSGKGMPDWQQIVKELPLMEAFLSKLER >Vigun07g158100.1.v1.2 pep primary_assembly:ASM411807v1:7:26984058:26986999:-1 gene:Vigun07g158100.v1.2 transcript:Vigun07g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISKYAHSPAHVAVARRDHAALRHLVSTIPRLAKAGEVNTEAESLAAELKADQVSAVIDRRDVPGRETPLHLAVRLRDAVSAEILMCAGADWSLQNEQGWSALQEAVCTREEAIAVIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYASEDGNLNLPPGSLLALAHKEKEVTNALEGAGTQPTEAEVNHEVSLMSQTNMYRPGIDVTQAELVPHLNWRRQEKTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDGESVVNGENNDEYEDVLTAEERMQLDSALRMGNSDGIGQEEEPGGGLMKKSWFGWNKKNMKGGGGEELEDLKTAKKLSGDQQKPQPEIVKDKNFKRKKKKGGVNESKNESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAYFQDAKSKESEGSTSWISWMKGSRGIQSGESDSHRYRDEIDPFSIPSDYKWVDANERKRRMKAKRAKNKKHKKQTATKGGDGVQVVGEDVEES >Vigun11g210600.2.v1.2 pep primary_assembly:ASM411807v1:11:40586042:40590722:-1 gene:Vigun11g210600.v1.2 transcript:Vigun11g210600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGSFTEDETRSLLSKQSSGKNEKSVEKNQLQFGSLNSVTVESNNLQNSSKASVSAPPSDSQKCNGVKNDNDTSSEVPGAIRENGSITNFSTRPRSTSSVNEVEEKNSNSFTLLDEDGPSNKFSNLSLDASETENLRNVHKTGNGDDSSLKFSHREPAKAPNGHAVLPVKDILPRGLINSGNLCFLNATVQALLSCSPFVHLLQQLRTRNIPKVGYPTLTAFVEFITQFNVPSNTYINRPDTDLFESGRPFCPVMFESVLKNFTPDVPNSISGRPRQEDAQEFLSFVMDQMHDELLKLEGQSSSRNGTKFSLVSSVDDDEWETVGPKNKSAVTRTQSLDPSELSDIFGGELKSVVIAEGNRPSATVQPYLLLHLDIYPNTVHTIKDALRLFSASETLEGYRISPAAKAGVVTARKSVQIETLPKIMILHLMRFGYGSQGTTKLHKAVHFPLELVLSRDLLVSPSTKGRKYELVATITHHGREPSKGHYTADARYPNGRWLRFDDASVFAIGTNQVLHDQAYVLFYRQL >Vigun11g210600.1.v1.2 pep primary_assembly:ASM411807v1:11:40586042:40590720:-1 gene:Vigun11g210600.v1.2 transcript:Vigun11g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGSFTEDETRSLLSKQSSGKNEKSVEKNQLQFGSLNSVTVESNNLQNSSKASVSAPPSDSQKCNGVKNDNDTSSEVPGAIRENGSITNFSTRPRSTSSVNEVEEKNSNSFTLLDEDGPSNKFSNLSLDASETENLRNVHKTGNGDDSSLKFSHREPAKAPNGHAVLPVKDILPRGLINSGNLCFLNATVQALLSCSPFVHLLQQLRTRNIPKVGYPTLTAFVEFITQFNVPSNTYINRPDTDLFESGRPFCPVMFESVLKNFTPDVPNSISGRPRQEDAQEFLSFVMDQMHDELLKLEGQSSSRNGTKFSLVSSVDDDEWETVGPKNKSAVTRTQSLDPSELSDIFGGELKSVVIAEGNRPSATVQPYLLLHLDIYPNTVHTIKDALRLFSASETLEGYRISPAAKAGVVTARKSVQIETLPKIMILHLMRFGYGSQGTTKLHKAVHFPLELVLSRDLLVSPSTKGRKYELVATITHHGREPSKGHYTADARYPNGRWLRFDDASVFAIGTNQVLHDQAYVLFYRQL >Vigun04g179600.2.v1.2 pep primary_assembly:ASM411807v1:4:40326874:40334234:-1 gene:Vigun04g179600.v1.2 transcript:Vigun04g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDGNEDPIAAVKDMRQQLEARIESQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFYPTPVLPLPDPKLNPKKPIELNHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPASQVDSEKVSPLAVVRSLVAVCLLGRVSFSPIDSSTVSRKLENDQAVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWIPHGDPHMSGLQPMFPGAPRGAPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMAQKPRTLEDDMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGAPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPPSPPRASAMEVDTSVAPHTRSPFAATESKSNSTQFGETAPAPETNFASEDKSMVIDVDIA >Vigun04g179600.3.v1.2 pep primary_assembly:ASM411807v1:4:40326874:40334239:-1 gene:Vigun04g179600.v1.2 transcript:Vigun04g179600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWIPHGDPHMSGLQPMFPGAPRGAPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMAQKPRTLEDDMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGAPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPPSPPRASAMEVDTSVAPHTRSPFAATESKSNSTQFGETAPAPETNFASEDKSMVIDVDIA >Vigun04g179600.1.v1.2 pep primary_assembly:ASM411807v1:4:40326874:40334234:-1 gene:Vigun04g179600.v1.2 transcript:Vigun04g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPDGNEDPIAAVKDMRQQLEARIESQHKAHMEMLASIQAVIPNLVSSLDLSLKVVSSFNHRPFYPTPVLPLPDPKLNPKKPIELNHRSNSESYADGSTEADLTNPRNQKLKTSIDSNPASQVDSEKVSPLAVVRSLVAVCLLGRVSFSPIDSSTVSRKLENDQAVTPAEKAALQELGGDSGAILAVEIALRSMADDNGGVEVEEFVVSGKARIMVLNIDRTRLLRELPESAQYQRLESSSGDGNVNQNQVQQITNSGTNVNGSLLGMGRPVLRPMSDMWIPHGDPHMSGLQPMFPGAPRGAPRVMGMMGTHRGMSIPSMHRLPMGPNAQGSSPNAMAQKPRTLEDDMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQVRQYCDLLTKEDCRRQSGSFIACDKVHFRRIIAPHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDVSPTMMGAPPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRSLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGDPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPPSPPRASAMEVDTSVAPHTRSPFAATESKSNSTQFGETAPAPETNFASEDKSMVIDVDIA >Vigun02g028191.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10311981:10312400:1 gene:Vigun02g028191.v1.2 transcript:Vigun02g028191.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPTITSTATTTSPTTTVITTTTTITTTTTTMNSTTVTTTTTTTTTTTTTTTKTTTTLTTTTTKTTPTTTTITTTTTTTITTTTTSTKATTTTSTKATTTTAKTTTTATTTTTSITSTSTSTSTSTSTTATNPTTTP >Vigun09g235800.4.v1.2 pep primary_assembly:ASM411807v1:9:40629928:40632329:-1 gene:Vigun09g235800.v1.2 transcript:Vigun09g235800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDFINAHFLPFLHHQLKQKRKMGMKRSSSPKILILFFTVFAVSSALDMSIVSYARTTNADKTAQRSDEEVRSIYEEWLVKNGKVHTSLDQKEKRFQIFKDNLKFIDEHNAENRTYKVGLNRFADLSNDEYRSKYLKTKINPLRMMAKPSNRYAWRVDDNLPESVDWRKEGAVVRVKNQAECDGCWAFSAIAAVEGINKIVTGNLTALSEQELLDCDRTVNAGCSGGLVDYAFEFIINNGGIDTEDDYPFQGADGICDQNKQNARAVTIDGYERVLPYDELALKKAVANQPVSVAIEAYGKEFQLYESEHVAL >Vigun09g235800.3.v1.2 pep primary_assembly:ASM411807v1:9:40629912:40632448:-1 gene:Vigun09g235800.v1.2 transcript:Vigun09g235800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDFINAHFLPFLHHQLKQKRKMGMKRSSSPKILILFFTVFAVSSALDMSIVSYARTTNADKTAQRSDEEVRSIYEEWLVKNGKVHTSLDQKEKRFQIFKDNLKFIDEHNAENRTYKVGLNRFADLSNDEYRSKYLKTKINPLRMMAKPSNRYAWRVDDNLPESVDWRKEGAVVRVKNQAECDGCWAFSAIAAVEGINKIVTGNLTALSEQELLDCDRTVNAGCSGGLVDYAFEFIINNGGIDTEDDYPFQGADGICDQNKQNARAVTIDGYERVLPYDELALKKAVANQPVSVAIEAYGKEFQLYESGIFTGTCGTLIDHGVAAVGYGTENGTDYWIVKNSWGNKWGEEGYVRIERNIKEDSAGKCGIAILSFYPIKSGQNLSNPVNFRNPLGIYR >Vigun09g235800.1.v1.2 pep primary_assembly:ASM411807v1:9:40629033:40632329:-1 gene:Vigun09g235800.v1.2 transcript:Vigun09g235800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDFINAHFLPFLHHQLKQKRKMGMKRSSSPKILILFFTVFAVSSALDMSIVSYARTTNADKTAQRSDEEVRSIYEEWLVKNGKVHTSLDQKEKRFQIFKDNLKFIDEHNAENRTYKVGLNRFADLSNDEYRSKYLKTKINPLRMMAKPSNRYAWRVDDNLPESVDWRKEGAVVRVKNQAECDGCWAFSAIAAVEGINKIVTGNLTALSEQELLDCDRTVNAGCSGGLVDYAFEFIINNGGIDTEDDYPFQGADGICDQNKQNARAVTIDGYERVLPYDELALKKAVANQPVSVAIEAYGKEFQLYESGIFTGTCGTLIDHGVAAVGYGTENGTDYWIVKNSWGNKWGEEGYVRIERNIKEDSAGKCGIAILSFYPIKSGQNLSNPVNFRNPLGIYSRKTYEIAGRPNKREF >Vigun09g235800.2.v1.2 pep primary_assembly:ASM411807v1:9:40629033:40632329:-1 gene:Vigun09g235800.v1.2 transcript:Vigun09g235800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDFINAHFLPFLHHQLKQKRKMGMKRSSSPKILILFFTVFAVSSALDMSIVSYARTTNADKTAQRSDEEVRSIYEEWLVKNGKVHTSLDQKEKRFQIFKDNLKFIDEHNAENRTYKVGLNRFADLSNDEYRSKYLKTKINPLRMMAKPSNRYAWRVDDNLPESVDWRKEGAVVRVKNQAECDGCWAFSAIAAVEGINKIVTGNLTALSEQELLDCDRTVNAGCSGGLVDYAFEFIINNGGIDTEDDYPFQGADGICDQNKQNARAVTIDGYERVLPYDELALKKAVANQPVSVAIEAYGKEFQLYESGIFTGTCGTLIDHGVAAVGYGTENGTDYWIVKNSWGNKWGEEGYVRIERNIKEDSAGKCGIAILSFYPIKSGQNLSNPVNFRNPLGIYRKTYEIAGRPNKREF >Vigun02g089700.1.v1.2 pep primary_assembly:ASM411807v1:2:24459995:24466325:1 gene:Vigun02g089700.v1.2 transcript:Vigun02g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNGSVANGNGTAKNGLTKIQTQKKHNGICHDDSVPTVKAQTIDELHSLQKKRSAPTTPVTGSQAPFATLSEEERHKQQLQSISASLASLTRETGPKLVKGDPARKADTQVSHVHHHVTPTIAVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDDLTENELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDLENRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKNGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWGENGVSNIEGGCYAKCIDLSREKEPDIWNAIRFGTVLENVVFDEHDRDVDYSDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSAGSYGQGSRIKLQYTRKIIDAIHSGSLLDAEYKKTEIFGLEIPTEVEGVPSEILDPVNTWSNKKAYKETLLKLAGLFKNNFETFTNHKIGKGNNNLTEEILAAGPIF >Vigun11g100800.1.v1.2 pep primary_assembly:ASM411807v1:11:29557513:29562395:1 gene:Vigun11g100800.v1.2 transcript:Vigun11g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEETGGATVMDETYEFSAPRFFDFIKGETDEESLKAELWFDTALSYAPSPFMPKIKTARSITVDTLCDFSEADKMQKMLASVDDNALERNIYPQSMAAKTEEVEADKMQKAPANVDEKVLETNLKPQCLAVTSVKEDDAPCTDKERSENDYKGDSGCFVSSAEGAPAEVAKDACTPKPALLKKVTTSTNSKKTQNKKILTNTKPQLKSATGKSIGGTLHLSHENQAIKRQKLDGGKSRQILNVKHQTLPHNKSKLGFTMSSSTSKTNKEDRKVYVRETPTTPASVPFISMAEMMKRFQSSTRDLALPSVVSHTKPKLTLTRPKEPEFETSQRVRPTRVKSSAELEEEMMAKIPKFKARTLNKKILQTATLPPIPRSTPQPPEFKEFRLETLARAHQNADTASISSTEVSHKGNSWKPHHLTEPKTPLLQTSLRARPPKVKSSLELEQEELQNIPKFKAKPLNKKIFESKGDIGIFCNTKKHVTEPQEFHFATDERIPPPASSVADLFSKLSLKSESERNHNPIKRNTTPNPFHLHTEERGAEKEKKLVMELLHKQLEEEIARVPKANPYPYTTDYPVIPPKPEPKQCTRPEPFQLESLMRHEEEMQREQEERQRIEREEAQMREFKALPILKEDPIPLPVKVRKPLTQVQEFSLHVNHRAVDRAQFDERIKEKEMVYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDNPFCPQKSSKDITKPKSPTLRVLQRKERRKVFTGTVVSSPASNMR >Vigun08g026600.1.v1.2 pep primary_assembly:ASM411807v1:8:2356260:2359214:1 gene:Vigun08g026600.v1.2 transcript:Vigun08g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIHVAVVAASLGCVSTLLLLFMWRWCHHNKHHTNFVQPNSLTRMETLQAGIAKLHQHQPALYHQFDQKNNHNHKKQNFYVFHNGLSRRGHLFNWDDHPYLLVDAVENGWSGFAFTKTNMPSPSKRSSLFGACAAAENGRETEAEITWEVCNGSAECMQKVRLNPELKKVLHSNNSSNMSVASVIRTALPLPGPPLGNYAFPQEAYFEITILCSGVGNHESVVGKKEGEKTKLLIEDGSNGVCDLNVVEEVKFEQKESGRKIGSVMFSLGLTAGGAVPLRVPGSYPRSIGFNSNGSVFLEGMKLVMESEKAQWIGSERVIGCGYDPRQKKVFFTLDSELVHVIHCQSEEFGTPLSPTLAANIDIQVLVNFGQSAFKYAPANAQRTPNPCFIAPLVNSPGATLGYDDSKELFSMGRIDSQWHNRSSNKPNQPNGNNSQVLDFDDSEADLFEIVLDGS >Vigun02g112700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26677411:26680052:1 gene:Vigun02g112700.v1.2 transcript:Vigun02g112700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLWKVLAYRRSSSTPYLRRFQFQTHSSTSSYSSSPPSEDHVSQLILDQKSATQALECFRWASTVPNFAHSQSTYRALIHKLCTFRRFDTVKQLLDEMPQSLGAPPNDAIFVTIIRCLGRARMIRTVIKVLDLAYKFHGSPSLKIFNSILDVLVKEDIDMAREFYRKSMMASGVEGDDYTFGILMKGLCLTNRIGEGFKLLQLIKSRGVTPNTVVYNTLLHALCRNGKVGRARSLMSEMEEPNDVTFNILISGYCKEGNSVQPLVLLEKSFSLGFVPDVVTVTKVLEILCSAGRATEAADVLERVESMGGSLDVVAYNTLIKGFCGVGKVKVGLHFLKQMESKGCLPNVDTYNALISGFCESGMLDLALDLFNDMKIDGIQWNFVTFDSLIRGLCSEGRIEDGFSILELMEESKEGSKGRISPYNSIIYGLFKQNRFDEAAEFLTKMGNMFPRAVDRSQKILEHCKKGSIEDAKRVYDEMTDEGGIPSILVYNCLVHGFSQQGSIREAVELMNEMIAHNCFPIPSTFNAVITEFCRQGKVENALKLVEDITSRGCAPNTETYSPLIDVLCRKGDLQKSMQVFREMVDKGILPDPFI >VigunL025700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:93887:94306:-1 gene:VigunL025700.v1.2 transcript:VigunL025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLF >Vigun03g153100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:16170100:16171425:-1 gene:Vigun03g153100.v1.2 transcript:Vigun03g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHPSMTSPFSYTFPISGAGSSTTTSNLTTATYSTSTIWMNSRIWSKLPQRLLDRVIAFLPPPAFFRARCVCKRWYALLFSNTFLELYLQVSPHRHWFIFFKHHKTRKSYIYKNNNNNNGSGCGHGAASSCEEGYLFDPSDMAWYRISFALVPSGFSPASSSAGLLCWVSDEAGPKTMLLCNPLVGSLTQLPPTLRPRLFPSIGLTISPTCIDVTVAGDDMISPYAVKNLTSESFHIDGGGFYSLWGTTSSLPRLCSLESGRMVYAEGKFYCMNCSPFSVLAYDITSNNWFKIQAPMRRFLRSPNLVECKGKLLLVAAVEKSKLNVPKSLRVWSLQACGTMWVESERMPQQLYIQFAELEVGNGFECVGHGEFIVIMIRGTDKALLFDICRKRWQWIPPCPYIAHDGFELHGFAYEPRLATPVTGLLDQLALPFQTFTA >Vigun10g158800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37783260:37783796:1 gene:Vigun10g158800.v1.2 transcript:Vigun10g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSATNNGRTSNSRRRKIEIKDVEQRNRRCVTFSKRKLGLFNKLTELSLLCRAETALIIISQNGNVYSCGYPTTDAVLRRYITGASSEQCRGARRREQEEFLEKQRLEYESVQDELKEKQKQLKEMKEAQKNSSCFVSWWNLSTDNMGMEDLEQFKISLESLKLNLITVLQEKKLH >Vigun09g017500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1303389:1304577:1 gene:Vigun09g017500.v1.2 transcript:Vigun09g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVQVHRTNLEVDDFLVREIEKLRNSIMESLQDEKQIMVDPLSLKGSSPTQGYLESSTMLPTIITTSSSIILHPPPLQPPKPRFLSRSLPNSANSSPRFASKKKPKGESAESQREEAGNLTHKLQHFPEKVRLKKSNSCGEATAHAPSDEFDHWLSQLSKLSAMEHDKWYHENFFKTEAVRESPKSVKLKHVKTLDDDDGFKCSALCLYLPGFGSVKEKLVKIKREESEKGGKVMSRTVSLENFECGSWSSAAIPNEIEGDCTNSYFDLPIELMKCSSSKVHSPIASPFVLDRDHLKGILKNGSCRGSVRKSDASPRHVRFSTSSASQPASPVTCISPRLRKAREDFNAFLAAAQTA >Vigun05g118700.1.v1.2 pep primary_assembly:ASM411807v1:5:12785789:12789815:-1 gene:Vigun05g118700.v1.2 transcript:Vigun05g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDPKQAAASGGGGFFASIASSLSNFGSAMSKSVNGMMAYEGLEVINPEGGTEDAEEEAKKGRWKQEERDSYWKMMQKYVGSDITSMVTLPVIIFEPMTMLQKMAELMEYSYLLDMADKTEDPYMRLVYASSFFISVYYAYQRTWKPFNPILGETYEMVNHGDITFIAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPLGRTRVTLKKDGVVLDLVPPPTKVSNLIFGRTWIDSPGEMILTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSADEPKILMTGKWNEFMSYQVCDLEGEPLPGTDLKEVWRVADAPKKDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRMALEKGDLSTSGYEKSSLEERQRAEKRNREAKNHKFTPRWFDLTDEITTTPWGELEVYQYNGKYTQHRAAVDTSECIDEPDSRPDFNPWQYDNLEAAE >Vigun11g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:24433036:24434822:-1 gene:Vigun11g082300.v1.2 transcript:Vigun11g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQVSICYHFLYEFKIHNEPTTINCTMNAASLHIAMYPWFAMGHLTPFLHLANKLAKRGHKISFFIPKRTQAKLENLNLHPSLITIVPISVPHVDGLPFAAETTSDVPSSLFPLLASAMDLTEKDIELLLLELKPHIVLFDFVTYWLPNLTRRIGIKSLQYWIISPATIGYMASPAREREGDMRKPPSGFPCSIKLQEHEVKFFAAARKLEFGNGVLLYDRISAGADLSDCIGFKGCREIEGPYVDYLETQFGKPVLLSGPLVPEPSNSTLEAKWGEWLGRFKAGSVIYCAIGSENSLQKNQLQELVLGLELTGMPFLAALKAPPEFESLEDALQKGFKERVRERGIVYGGWVQQQLILAHPSVGCFITHCGAASLTEALVNQCQLVLLPRLGSDFIINARTMGGKLRVGVEVEKREEDGLFTKESVCKAVKIVMDDENEFGREVRENHNKVRNLLLSNNFESSCVDAFCHKLQDIVFSISD >Vigun01g038000.1.v1.2 pep primary_assembly:ASM411807v1:1:5058397:5060317:-1 gene:Vigun01g038000.v1.2 transcript:Vigun01g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGTGQLVCVTGAAGYIASWLVKFLLERGYTVNATVRDPNNPKKVDHLVNLDGAKERLHLFKADLLEEGSFDSAVQGCQAVFHTASPFFIGAKDPQAELLDPAVKGTLNVLKSCAKSATLKRVVLTSSVAAVANNDRPKGPDVVVDETWFSDPEFCKRNGGWYDLSKTLAEETAWKFAKENNIDLVTINPALVSGPLLQPELNTSAAAVLNFVNGSPTFKNITLGWIDVRDVANAHILAYENASANGRYILVERVIHHSQAVQILRDSYPTLPLPDKCAEDKPYPPVFQVSKERAKSLGLEFTPLEVSLKDTVESLREKGFAKF >VigunL059249.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:11684:12043:1 gene:VigunL059249.v1.2 transcript:VigunL059249.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g405000.1.v1.2 pep primary_assembly:ASM411807v1:3:61221921:61225113:1 gene:Vigun03g405000.v1.2 transcript:Vigun03g405000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANVLETKDGTVSVAAAFAGHQEVVQDTDHKFVTKAVEEAYNGVDCKDGGPFGAVIVREGEIVASCHNMVLRNTDPTAHAEVTAIREACKKLNQIELSDCEIFTSCEPCPMCFGAIHLARIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKATLEIKRADGNEAVIAEEVFEKTKTKFQMY >Vigun03g343400.1.v1.2 pep primary_assembly:ASM411807v1:3:54259834:54264480:1 gene:Vigun03g343400.v1.2 transcript:Vigun03g343400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFSSTSSMMKTLEKYQKYSYSALETTRPINDIQNYQEYLRLKARVEVLQRSQRNLLGEDLAQMNTTDLEQLENQLEVALKNIRSTKTQFMLDQLADLHHRETLLVETNNVLRSKLEESNNSQIPVSLGLEAGGSNMNYTNFPPQSEGFFHAVGVNPSLQIGFNQIGGDDANVGASSLSMQGFAAGWML >Vigun10g099500.1.v1.2 pep primary_assembly:ASM411807v1:10:29150533:29152545:1 gene:Vigun10g099500.v1.2 transcript:Vigun10g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSYICFVVTFCFLLLNPSHGLNLKSLNYSKVKNDNEWNVGAATWYGEAEGAGSDGGACGYGESVEKPPLSKMISAGGSSLFSGGRGCGACYQVKCTKYPACSGNPVSVMISDECPDCHLASVHFDLSGTALGSMASPGKANNLRNVGQLQILYKRVACSFGNSIAFTIDEGANPYYFAAEIEYENGDGDLVEVQVKQANSDTWLPMRRSWGSRWALNFGSGLKPPFSIKLTEDGNNNGRNTIVARNVIPSHWKPGQVYRSVVNF >Vigun02g061600.1.v1.2 pep primary_assembly:ASM411807v1:2:20742407:20746943:-1 gene:Vigun02g061600.v1.2 transcript:Vigun02g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVSDEMLGTFVPIVVYWIYSGIYVVLGSFAESYRLHSKQEEDQKNLVSKGAVVKGVLLQQLVQAVVATLLFALTGSDSQNTTSQNTSLLVLARQFVTAMLVMDAWQYFMHRYMHHNKFLYKHIHSLHHRLIVPYSYGALYNHPVEGLLNDTVGGALSFLVSGMSPRASIFFFSFATIKTVDDHCGLLIPGNLFHIFFKNNSAYHDVHHQLYGNKYNFSQPFFIMWDRILGTYMPYTLEEREGGGFETKPCKDYKDD >Vigun03g429800.2.v1.2 pep primary_assembly:ASM411807v1:3:63413156:63419438:-1 gene:Vigun03g429800.v1.2 transcript:Vigun03g429800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHDWINNSLPDELIVEIFSHLHTKSTRDACSLVCRRWFRLERRTRATLRIGAAHLFLDLLPTRFSSLRNLYIDERLSIPLQLGRKRTAEEDSDLESVCLSDAGLSSLGEGFPNLHRLGLIWCSNVSSDGLTSFARKCSSLKALDLQGCYVGDQGLTAVGQCCKHLEDLNLRFCEGLTDSGLVELAVGVGKSLKSLGVAACAKITDISMEAVGSNCCSLETLSLDSEFIHNKGLLAVAQGCPALKVLKLQCINITDDALKAVGTSCLSLELLALYSFQRFTDKKLTELALLYCHRIGDVSLLEVGQGCKFLQVLHLVDCSSIGDDAMCSIASGCRNIKKLHIRRCYKIGNKGIIAVGKHCTSLIDLSIRFCDRVGDGALTAIAEGCSLRSLNVSGCHQIGDAGVISIARGCPQLCHLDVSVLQNLGDMAMAELGENCTLLKEIVLSHCRQITDVGLTHLVKSCTMLESCQMVYCSGITSAGVATVVSSCPNIKKVLVEKWKVSQRTKRRAGSIIAYLCVDL >Vigun03g429800.1.v1.2 pep primary_assembly:ASM411807v1:3:63413156:63419438:-1 gene:Vigun03g429800.v1.2 transcript:Vigun03g429800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHDWINNSLPDELIVEIFSHLHTKSTRDACSLVCRRWFRLERRTRATLRIGAAHLFLDLLPTRFSSLRNLYIDERLSIPLQLGRKRTAEEDSDLESVCLSDAGLSSLGEGFPNLHRLGLIWCSNVSSDGLTSFARKCSSLKALDLQGCYVGDQGLTAVGQCCKHLEDLNLRFCEGLTDSGLVELAVGVGKSLKSLGVAACAKITDISMEAVGSNCCSLETLSLDSEFIHNKGLLAVAQGCPALKVLKLQCINITDDALKAVGTSCLSLELLALYSFQRFTDKGLRAIGNGCKKLKNLTLIDCYFLSDKGLEAIATGCKELTHLEVNGCHNIGTLGIEYIGRSCQKLTELALLYCHRIGDVSLLEVGQGCKFLQVLHLVDCSSIGDDAMCSIASGCRNIKKLHIRRCYKIGNKGIIAVGKHCTSLIDLSIRFCDRVGDGALTAIAEGCSLRSLNVSGCHQIGDAGVISIARGCPQLCHLDVSVLQNLGDMAMAELGENCTLLKEIVLSHCRQITDVGLTHLVKSCTMLESCQMVYCSGITSAGVATVVSSCPNIKKVLVEKWKVSQRTKRRAGSIIAYLCVDL >Vigun11g016033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2009825:2010559:-1 gene:Vigun11g016033.v1.2 transcript:Vigun11g016033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNFGYVDRHFAVAYADELSDKWMCVDSNGQLHKLRYNQDPRSPRLTKGWAKLRTTFNIQGNVHVQLRYLGSNQFEITVFTGNCSDLSMERYLHLATTENDNYIHCVKLTNEQATGDHLVCSSNTSKLHRIFLTHSASNLVIIFFQDLNGDITGLIRNKNIQNLMLIGQKTVVTCKILNLEASYATRILDGWKEFCIDHGLKEGDIVFFEMEMAGDDEDVEVFVNECMCDFYPSYKPIVIDSP >Vigun09g254001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42064243:42064929:1 gene:Vigun09g254001.v1.2 transcript:Vigun09g254001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGIIFQCHCHVQTEEDGAKVKFSDMLRIDVTISVQFIHYSHGIETSTLLKSSIPISCENFILNNEDFVRSLTSDPRCSHYFVPEMVDLTSETIVNMSVEILEFCCDSESVDSERHELPLNLDIIVDVLQDGESAEEEEEIEQNTTQIPASEEAINSLKTFTNSSILRMKIEKCGICMENFPFKEDEESVKLSSMPCDHVFHHQCIVQWLHRSHTCPLCRYPMPI >Vigun03g419600.1.v1.2 pep primary_assembly:ASM411807v1:3:62579905:62581167:-1 gene:Vigun03g419600.v1.2 transcript:Vigun03g419600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSIISDFIDVDIKNAPQSTTQHLFSHLHTFHATSSSTLQPSHHTHNKVEDPCEKVKNKRAVAEKGEARVRKTAYRGIRRRPWGKWAAEIRDPRKGVRVWLGTFATAEEAARAYDDAAMRIRGDKAKLNFPRHHHHQHHSSNNNNPCLSPELTQPCYQPTFNNLDQDPELAHHISNLESFLGLEHEQVPMQALPLPEWDPLHDVVLSNHHLF >Vigun05g167800.1.v1.2 pep primary_assembly:ASM411807v1:5:28413009:28416350:1 gene:Vigun05g167800.v1.2 transcript:Vigun05g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTPTTQKFDSSEQESDKRTAEQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPSAMASLGWGPGVVILVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLICEISVDIVYMVTGGKSLQKIHDLVCKNNCNNMKTSYFIIIFGSVHFVLSHLPNFNAISGISLAAAIMSLSYSTIAWVASVEKHVGNPHKEVEYGYKASSSAGTFFNFLNALGDVAFAYAGHNVVLEIQATIPSTPDKPSKGPMWWGVLVAYTVVALCYFPVALIGYWVFGNSVDDNILISLNKPTWLIVTANMFVVIHVIGSYQLYAMPVFDMIETLMVKQLRFKPSWWLRFVERNVYVAFTLFVAITFPFFGSLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFSLSWITNWICIILGFLLMILAPIGGLRSIILNAKHYGFYQ >Vigun01g137800.1.v1.2 pep primary_assembly:ASM411807v1:1:31810927:31817221:1 gene:Vigun01g137800.v1.2 transcript:Vigun01g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKNLRVFFDVSIDADPVERIVIQLFDNIVPKTAENFRALCTGEKGIGESTGKPLHYKGTSFHRIIRGFMAQGGDFSRGNGTGGESIYGGKFADENFKLRHDGPGFLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVVNGLDVLKKIELAGTSDGKPTQTVKIVDCGEVPATETKTQHTVEKEKGKRRKSGKTLTSDDSSESSDKKSRGKRKKSSKDTRKKRKRYSTSDSDSYSSDSESDSASDSESDSSDSDSSSSSYGKHQKRKNKRRHGKKRKFGRKQSKRSRHSRSRRSKHKSWRYDDSSDSSSGSSSSSSGDEKTDRRSSIRKRHVDNKAQRNQDTEKQSSSLPRQDQIIPEQTTDTEVRRTVDKQSHEEGELSPENGAFVNNGHDTKAEFSEPAKQHANSEDSNDNRSARTGRSPTRDSGELNQGRALLLASSGQKASEPAAPKHGQGFSKSPSPNGTPRRIKKGRGFTERYAFARRYRTPSPERSPRAYRYGDRNIRRNFDRNTSYRSYSERSPPRRYRSPPGGRNRPRYQSRRSVSRSISRSPVRGRFRDRDRSRSPRRSVSPEDRRPPISDRLKSRLGPRSDERLADRRGRSKSNSRSSGSSRSRSPDATPPKRYDKRTSLSRSRSRSSSSSGQKGLVSYGDASPDSAVR >Vigun03g102600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8825018:8825775:-1 gene:Vigun03g102600.v1.2 transcript:Vigun03g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKLILLSTFLILFHGDLGSSTGSPDIHDVLPEYGFPKGLLPNNVISYTLSSDGSFIIHLDAPCYVYWEDQLVYYSTLIKGTLTYGSVSHVSGIQGQKLFLWLPVTGIKLHQDSGTLQFFVGAFSQEFPVTDFQDVPGCARRGSLLNPNLFLPL >Vigun03g008900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:625367:626728:-1 gene:Vigun03g008900.v1.2 transcript:Vigun03g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRFRQVCTSLKERSFMSYAKIAAVSGFSDMNIIIIKATAPDDLPLHEKYIHRLLKLFSISPTTCHSFAVSFTRRFGTTRSWRVALKCLILLHRLLRSVPGKSTLWSELLWTRSNALISLHPCHFKDESSSCPVSYTNFVASYAHLLDEALNCVALDDTTMEGREVDEKEEPTAGAESFHEKMKGLGEVLEILPQLQSFMDRVMQCYPVGVASRSLNVQCAMKLIIRDSFVCYTKFRREIVGVMDNLLDMPYRNCIAAFNIYKKAAVQTNELYEFYEWCKVKGMCGLHEYPLVEPIPYIQIKALENFLSGMWQLTESSSPITSPSSSAESPSDFTERQVVTRRDTVDIKGKVFEAEEEKPLIEQEREDDENLSWETLLESSVSFSHAYQRDLCSFFYQLGWEGGFGIEQHGFNPKEEHKHEHADDTMNIAVYNSPTATHNPFSEPYCCSPHV >Vigun01g231200.1.v1.2 pep primary_assembly:ASM411807v1:1:40364730:40367860:1 gene:Vigun01g231200.v1.2 transcript:Vigun01g231200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPELGNKTFISYWDSQGQGHVCVRNDRNVGSYGVFIGDYPFGFVLPVTLCQLFTFNIISRTLFFLLRPLRTPKFSCNLLGGIILGPTFLGRNKAYMSALFPERQASVLMFACILGVTYSLFLLSLKMDVLMTVRAAKSNWLLGVIPFFVSFLVLDALLSVFYAPNISPSYIDNSRNAISFSMAMSNFPVISDALIELNLIATELGQIALSSSMINDNIQCLLLLLHSFTEQSDDLKHSINLLGSWSLFLSFVYFVLRPTMKLIVRITPVGKPVKESYIVFILLTVLLVSVLGDALGVTSLIGPLLFGLVIPSGPPLGTTLVEKCEVLIAQFLLPFFFMYVGMMTDLSALGDWRLFLTLQSVFIIGDLAKLVACILVSLTYNIKPRYGTLLGLTLNIKGLTQLIGFFRLKKFKMLDEATFSQLVFCVVFITAIVTPLISLLYKHRPRVLQTASLYEGHVRTIQSIPRDTEFRIICCVHNEENVRCATAFIEVCNPMVESPICLYAIHLIELLGKSAPILVPINYRLKSLSVNYPNTNHIMRAFENYSNNSSGPVTVLPYVNVAPYKSMHDAICNLAQDKLVPFIIIPLPENDNVHLVHHVAASIRKMNASFQAQAPCTLGILVDKHSRLSACSTQMFFHVGIFFIGGSDDREALALGIRMVERASTRVSLFRFVVMNRGYGNKIVLTREEREQEEEDLMMDDSLIDEFKSLKYGSGNVSWFEIKVEDGVEVLDAVHSLEGNYDLVIVGKRHNDGYLNSEQMGTLIENAAVLGILGDMLSSREFCLGMIPVLVTQCGGVRRNAKKIDKVHSTNLSQKSFTTAE >Vigun04g062150.1.v1.2 pep primary_assembly:ASM411807v1:4:6454054:6455882:-1 gene:Vigun04g062150.v1.2 transcript:Vigun04g062150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVKVPNEAKVGRQSSRLNESNDMASDGGANRQADKGSKKKKGKATTNAVVNLSESGADNQEHTSTKSKRGKKRGKDTSAQTFDSKAGSKKELLKIKEEDLSPSEEWIMQKITALVSDFEEQGIDDPEIVLRPLANQLRPTIISSWMEKKKALLTNNAEKMKHLLDNLQKKLDEV >Vigun03g074800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6206011:6207486:-1 gene:Vigun03g074800.v1.2 transcript:Vigun03g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANTSGSLQSSSGADEEYDSRAQSSQLSAFLNNPTQPPSFNTAAPNNLHPLIPPPHHHHQNTHIFDPLSTYLDPITQTSTSLLNLDVMWSKPGRSEPNQPILANFIPCSSSSPSPHNQAFLSTQTRGSNNSAAFPTLPPEGGSRGLMLSVSAANNDQIQTHSTTTNSISTNNNNTNVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFASAATPTLRSNLNVNVNPLDPPTPPPYLLRPFPQKLQFRSLHPFPPSLSNTLSPSTNSTTNSTSINYQQQQNLSEHFGLMKQPLNYNNAPTLEAYQHPRYALGNSSVLVSRPQQQPSLEIPPSLKMGVFEELGLRHECHVNTDLGCLHQNMVSSTSVGVGALSSGNNNSNNLSNANPSTEWAQRTGTITNNDCDHAGGGGGGALTGTVSYSDIAERVTNGKVHYPASSSDFHGEKGPEFSVTARSQGMVESWINCSSD >Vigun05g201100.1.v1.2 pep primary_assembly:ASM411807v1:5:38820790:38824950:1 gene:Vigun05g201100.v1.2 transcript:Vigun05g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLLMFVLTKILLFFFRTSTANDSISKFQSLSVGNSLISEEGTFELGFFSPGRAADLYLGIWYKSIPVKTVVWVANRAKPVKGNSSMLHINNEGNLVLVNHNGFVAWSANSKKKVQNPIVQLLNSGNLVVRDEGDQDPENYLWQSFDYPSDTLLPGMKLGWDLRTGLERRVSAWKNWDDPSPGDFSWGISLEGFPQVMMWKGSKVFYRGGHWNGLGFSGAPELKANPVFKFKFVSNENEVYYTYSLRNESMISRIVMNQSISTRQRYVWIEDAKAWRLYASVPRDNCDSYNICGQNGNCVIGDSPVCQCLSGFKPRLSRHWDMMDWTQGCFLSEEWNCEERRKHGFVKFSQLKAPDTSNSWVNESMSLIECRDKCLENCSCKAYANTDVSGGGNGCLMWFGDLRDMREFSAGGWDLYIRTKISESGEKKNHRMKVVAVILTIAAVLTTILVLYQFGKRMKKFRACESSNSLMVDNNKDEEELELPFFDQAAIAKATNGFSIDNKLGEGGFGTVYMGTLADGQQIAVKRLSQSSGQGFNEFKNEVILIAKLQHRNLVKLVGCCIEGEEKMLIYEYMPNKSLDSFIFDQAKAKILDWSKRFDIICGVARGLLYLHQDSRLRIIHRDLKASNVLLDHEFNPKISDFGMARTFGGDQIEGNTKRVVGTYGYMAPEYAIYGLFSVKSDVFSFGVLMLEIISGKKNRGFSHSNNSINLIGQAWKFWKETRPLDLIDSCMENSSVVSGALRCIHISLLCIQQHPEDRPSMSTVVVMLSSESSLPQPKEPGFLMEKEKIFLGAESSTKHLFSSTNDISLTMLEPR >VigunL041400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:398575:398943:1 gene:VigunL041400.v1.2 transcript:VigunL041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTHLNVADNSGARELMCIRILGASNRRYAYIGDIVVAVIKQAVPNTNLEKSEVIRAVIVRTCKQLKRSNGIIIQYDDNAAVIIDQEGNPKGTRIFCAIARELRQLNFTKIVSLAPEVL >Vigun07g242400.1.v1.2 pep primary_assembly:ASM411807v1:7:36377589:36382767:-1 gene:Vigun07g242400.v1.2 transcript:Vigun07g242400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLFLLLLLLFLPFSLSQAPPRGLLINCGAQSGAQFDDRTWLPDWGFVSSGSPKTLTSPVLIPTLQTLRSFPRQVKKHCYNVPTYRGAKYLVRTTYFYGGVNGADHPSPPVFDQILDGTLWSVVNTTADYANGNSTFYEGVFMAQGKIINFCIGSNTYTDSDPFISALEFLILEDSLYNTTDFNSFGLALFARHSFGSSGPPIRYPDDQFDRIWVPFEQSSSAKASTHNVSVYGFWNLPPAKIFETHIGSEQLESLELRWPTALLPSSKYYIALYFADNTVGSRVFNISVNGITYYHNLIVIPSGTVVFASQWPLSGPTTITLTPVASSTRGPLINAGEVFYLLPLKGRTSTRDVIALEKVKDSLQNPPLDWNGDPCMPQQYSWTGITCSEGPRIRVVTLNLTSMDLSGSLSPSVANMTALTNIWLGNNSLTGQIPDLSSLKMLETLHLEDNQFSGEIPSSLGNISSLQEVFLQNNNLTGPIPANLIGKPGLNVRTSGNNFLSPPAS >Vigun07g214300.1.v1.2 pep primary_assembly:ASM411807v1:7:33555830:33560794:1 gene:Vigun07g214300.v1.2 transcript:Vigun07g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVYQVWKGSNKFICGGRLVFGPDARSLFVTLLLIIVPVIIFCVCVARHLRHEFSSYNSGYAILAVAILFTIHVLVVLFLTSSGDPGIVPRNSHPPEEEFRYDSSVSADVGGAGRQTPSLQFPRTKEVVVNGIAVRVKYCETCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATILCIYVFSLSALYIKVLMDNYDGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADSRINVFNRGCLNNFLEVFCTKVKPSRNNFRAFAREEVPRPLAPIIARPRDPDDGLGGDRRPKVEDDLDIGEDLLKISQRRNIEELDEEIRGRGSNGAPHHTSEPDSILSSDHRAPTIRSDARHSSWDRSGNWEIAQEVLANSNVTESRNYVTSKEVRQ >Vigun05g176350.1.v1.2 pep primary_assembly:ASM411807v1:5:32668924:32669149:-1 gene:Vigun05g176350.v1.2 transcript:Vigun05g176350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLPNRVQVSRSEEARSQVWSSSRFCKFYFS >Vigun05g171850.1.v1.2 pep primary_assembly:ASM411807v1:5:30731051:30732553:-1 gene:Vigun05g171850.v1.2 transcript:Vigun05g171850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREASTEEAEKGFAHICVICGRSLLDPIRLCCLGWKVRHYLDYY >Vigun09g025800.3.v1.2 pep primary_assembly:ASM411807v1:9:1990500:1998055:-1 gene:Vigun09g025800.v1.2 transcript:Vigun09g025800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNVRRDSVSLQWSGTAIPISAATTTPFPSSSTTTMTTSSGPSPFPSSKPRPAALSSPMRSSPTTLSYTSTPFSRWSPATVQKKFSVATAGSCSVEVHLLREGIHWWTQLLFQRLGDALMKGLNSKFFTEANIDLGPLPGSTFKESTKSSDRFQSVLSSLNPLPVGDRNVTRGLCGILQLSDEVLSLKILARLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Vigun09g025800.2.v1.2 pep primary_assembly:ASM411807v1:9:1990142:1997967:-1 gene:Vigun09g025800.v1.2 transcript:Vigun09g025800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDLSGDDDAVSFLLNDDDDDVVGPLPFPVLQTAPCGFVVTDALEPDHPIIYVNAVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGVEFQGELLNFRKDGSPLMNRLRLTPIFGDDEITHVIGIQFFTEANIDLGPLPGSTFKESTKSSDRFQSVLSSLNPLPVGDRNVTRGLCGILQLSDEVLSLKILARLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Vigun09g025800.1.v1.2 pep primary_assembly:ASM411807v1:9:1990494:1998071:-1 gene:Vigun09g025800.v1.2 transcript:Vigun09g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDLSGDDDAVSFLLNDDDDDVVGPLPFPVLQTAPCGFVVTDALEPDHPIIYVNAVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGVEFQGELLNFRKDGSPLMNRLRLTPIFGDDEITHVIGIQFFTEANIDLGPLPGSTFKESTKSSDRFQSVLSSLNPLPVGDRNVTRGLCGILQLSDEVLSLKILARLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Vigun09g025800.4.v1.2 pep primary_assembly:ASM411807v1:9:1990142:1997967:-1 gene:Vigun09g025800.v1.2 transcript:Vigun09g025800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNVRRDSVSLQWSGTAIPISAATTTPFPSSSTTTMTTSSGPSPFPSSKPRPAALSSPMRSSPTTLSYTSTPFSRWSPATVQKKFSVATAGSCSVEVHLLREGIHWWTQLLFQRLGDALMKGLNSKFFTEANIDLGPLPGSTFKESTKSSDRFQSVLSSLNPLPVGDRNVTRGLCGILQLSDEVLSLKILARLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGARRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Vigun11g023850.1.v1.2 pep primary_assembly:ASM411807v1:11:3012513:3021852:1 gene:Vigun11g023850.v1.2 transcript:Vigun11g023850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVRFLLGSLNRLLGPVKAYTTELLREEHQALGLSTVSAAWGVGLVIGPALGGYLSRPVEKYPHIFPKDSFWDKFPYFLPSFVISAFAFVVAIGCIWIPETLHNHNGSDESIDDAKALENGSSGGGNESIQKNENLFLNWPLMSSIIPYCIFSLFEVAYLEVFSLWAVSPQRLGALNFTTDDVGNVLSISGLSLIIYQIAIYPYVEKATGPISVARISSILTIPLLQSYPFIALLSWLSTIHSAKYFFNPKEYSVCHHHNRFDPSRKPSSGTTPKRCS >Vigun10g151600.10.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTARLH >Vigun10g151600.5.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun10g151600.9.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTARLH >Vigun10g151600.11.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150966:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun10g151600.7.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKGLAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTARLH >Vigun10g151600.3.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKGLAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun10g151600.2.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKGLAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun10g151600.13.v1.2 pep primary_assembly:ASM411807v1:10:37149626:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTGKLPELPELQQKERHQEQLRMLHKPVK >Vigun10g151600.6.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKGLAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTARLH >Vigun10g151600.4.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150963:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHMKNGDTVKKAWETQRDTVRRYFEQFGEILEAVVITDKNTGRSKGYGFITFKDPEVALKAVRNPYPIIDGRKTNCNIASIGANKNRRQAPQRGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGRYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun10g151600.12.v1.2 pep primary_assembly:ASM411807v1:10:37148581:37150966:1 gene:Vigun10g151600.v1.2 transcript:Vigun10g151600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGWFREAPGLLASPVYHDPFSVYHDPFSVYHDPFSAFFHQNNGQYTFPNSTFGYIQPSHDMMYPMNCYGVLGGQQFSTYYPYIGPPEPIGLVHNTYPFSAQHAHNIEAQGFGFHYPQMMHSPVIPRHYGSPGILRYPSSMERPTISTVTRTTRTTTKRETPRTIADASQTSEITSEQHSSAESSHK >Vigun09g149700.1.v1.2 pep primary_assembly:ASM411807v1:9:31347674:31352083:-1 gene:Vigun09g149700.v1.2 transcript:Vigun09g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDYGRLGPSQPINPRSSGKKKIALLSLFGVILIAASAVTAVALLSQTRTTTDRSRLRGKPTQAISRTCSKTRFQTVCVNSLLDFPGSQAASEKDLLHISFNVTLQHFSKALYTSAAISYATMDPRVRAAYDDCLELLDDSVDALARSLSTVSLGAVGSASEDVLTWLSAALTNQDTCAEGFADAAGPVKDQMNNNLKDLSELVSNCLAIFSAAANGDDFAGVPIQNRRRLMTMRADNFPRWLNRRDRRLLTLPLSAIQADIVVSKDGNGTVKTIADAIKKVPEYSSRRFIIYVRAGRYEEENLKLGRKKTNVMFIGDGKGKTVITGGKNYYNNLTTFHTASFAASGSGFIAKDMTFENYAGPEKHQAVALRIGADHAVVYRCNVIGYQDTMYVHSNRQFFRECDIYGTVDFIFGNAAVVFQNCSLWARKPMAQQKNTITAQNRKDPNQNTGISIHNCRILAASDLEASKGSYPTYLGRPWKLYARTVYMLSYIGDHVHPRGWLEWNISSFALDTLYYGEYMNFGPSAALGQRVKWSGYRVINSTDEASKFTVAQFISGSSWLPSTGVSFLAGLST >Vigun07g039866.1.v1.2 pep primary_assembly:ASM411807v1:7:3893414:3895675:-1 gene:Vigun07g039866.v1.2 transcript:Vigun07g039866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAKAFTREIQALTEIRHRKIVKLYGFCSHSRWSFMVYEFLEKDNVQNILKDDEQAIAFDWNKRVNVIKDVANALFYMHYDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLAWEILLGKHPGDFISSLLLSSSFIGVASTLDDMTLMVKLDQRLPHPTKRLFKEVASVANIGLACMLESPRTRPTMKEVVKELVV >Vigun09g202300.1.v1.2 pep primary_assembly:ASM411807v1:9:37667284:37681526:-1 gene:Vigun09g202300.v1.2 transcript:Vigun09g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGERSGDKSESRYCGVETDFNDDMPHVLHFNLYTGSFDFVVAPLMDPSYRPSLVQKDVVGSGVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETLRIDSETTLKQEIAWASHLSLQACLLPSPKGTSCANYARCVNQILQGANNIQLWLRIPLVKPDVDSMDEKSVTLDDSWESWNSFRLLCEHHSQLSVALDILSTLPSTNSLGRWFGEPVRAAILNTDCFLTNSHGCPCLSKRHQRLISGFFNHSIQIIISGNSVHPNASMDANDTSDKNSQRHPLRPYLDYVGYLYQRMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIHYQRAVSKALLDRVPDEEASAKIIVLMVVGAGRGPLVRASLQAAEETGRRLKVYAVEKNPNAVVTLHALVKLEGWEDTVTIVSCDMRHWNAAEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFLQPVTASKLYNDVKAHKDLVHFETAYVVKLHNVARLAPSQSVFTFTHPKRSEHENNQRYKKLSFEIPSDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFAIFFPLRAPICVSPGSTLEVHFWRCCGSTKVWYEWGVTSPSSTPIHNSNGRSYWVGL >Vigun07g086700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13282130:13282474:1 gene:Vigun07g086700.v1.2 transcript:Vigun07g086700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRFFNEIRGKKVSEVPEHVKPMLSLGYIKRAIQRGMDNYHAKYIETSSPDPIFHVCYGGMIFSYLVALPHERRHLEHRQQHAQQHH >Vigun08g091400.4.v1.2 pep primary_assembly:ASM411807v1:8:21318103:21326506:-1 gene:Vigun08g091400.v1.2 transcript:Vigun08g091400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGIGGPLLCIGDLLNDVGEEQQGHSLRHEAFPTLFSSNLNDNDPPPHLPTLFQEHYDHLNSALSGTDHSWTSLTLKLCTSLETANQLVQSTNSNVASLSEKVEELQKIVKRGDSAIAAAKALYYVTPDNHSSASK >Vigun08g091400.1.v1.2 pep primary_assembly:ASM411807v1:8:21318093:21323530:-1 gene:Vigun08g091400.v1.2 transcript:Vigun08g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGIGGPLLCIGDLLNDVGEEQQGHSLRHEALPTLFSSNLNDNDPPPHLPTLFQEHYDHLNSALSGTDHSWTSLTLKLCTSLETANQLVQSTNSNVASLSEKVEELQKIVKRGDSAIAAAKALYYVTPDNHSSASK >Vigun08g091400.2.v1.2 pep primary_assembly:ASM411807v1:8:21318103:21323512:-1 gene:Vigun08g091400.v1.2 transcript:Vigun08g091400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGIGGPLLCIGDLLNDVGEEQQGHSLRHEALPTLFSSNLNDNDPPPHLPTLFQEHYDHLNSALSGTDHSWTSLTLKLCTSLETANQLVQSTNSNVASLSEKVEELQKIVKRGDSAIAAAKALYYVTPDNHSSASK >Vigun08g091400.3.v1.2 pep primary_assembly:ASM411807v1:8:21318093:21323543:-1 gene:Vigun08g091400.v1.2 transcript:Vigun08g091400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCDKENRVDAEKENSRGERKNKMSMRGIGGPLLCIGDLLNDVGEEQQGHSLRHEALPTLFSSNLNDNDPPPHLPTLFQEHYDHLNSALSGTDHSWTSLTLKLCTSLETANQLVQSTNSNVASLSEKVEELQKIVKRGDSAIAAAKALYYVTPDNHSSASK >Vigun01g189600.1.v1.2 pep primary_assembly:ASM411807v1:1:36873104:36874494:-1 gene:Vigun01g189600.v1.2 transcript:Vigun01g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERVRSVIFLLQNFCDSQKKVRQIKAQLIRRNLYPNTRIVEHFIGACYSHGLINSALLLFTTLPPSPHVYIFNTLIRVFSQSQTPHTTLLIYAHMRRNNVLPNNFTFPPLFKALSEARHVTQARCVHTHVLKLGHHQDLFVGNALLDVYASSRQVGLCRSLFDEMLQRDVVSWSVLIAGYNNVGNYDDALVVFEQMQYAGFVPNRVTMINALHACAHSGNVEMGAWIHGAVKREGWELDVILGTALVDMYGKCGRYEGKNVFTWNAVVKGLALAKSGQEAIRWFNRMEKEGVRADEVTLLAVLSACSHSGLVDKGREIFGLLVDGRYGFCANVKHYACMVDVLARSGRLHEAVEFMGRMPFGPTKAMWGSLLFGSKVQGDLELGLLAAEKLIELDQENSTYYVHLSNIYAAMGRWGDVEKVRGVMKDRQLNKDLGYSSVEVEHQRHVNEFLA >Vigun03g366300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56950364:56951733:-1 gene:Vigun03g366300.v1.2 transcript:Vigun03g366300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGANPDGLFWSVYEGCISGYDNCVERRPYHRNCGCELHNKSLHCTHKIPRSNNVSYPMRRVWSEGSLVLAATTSSSSSPSANGGK >Vigun04g164400.1.v1.2 pep primary_assembly:ASM411807v1:4:38893854:38895764:-1 gene:Vigun04g164400.v1.2 transcript:Vigun04g164400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKQYCCFLSDEGQSKYQNKNHRDYPWETYTLKELLRATNNFHQDNKIGEGGFGSVYFGRTSKGVQIAVKRLKTMSAKAEMEFAVEVEVLGRVRHKNLLGLRGFHAGGDERLIVYDYMPNHSLLTHLHGPLAKECQLDWTRRMSIAIGAAEGLLYLHHESTPHIIHRDIKASNVLLDSEFQAKVADFGFAKLVPDGVTHLTTKVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVSAKKPIEKFPGGVKRDIVQWATPFVNKGLFSNIADPKLKGKFDLQQLKNVITIALRCTDNSADKRPNMKEVVDWLKNGVGST >Vigun03g377400.1.v1.2 pep primary_assembly:ASM411807v1:3:58041540:58045414:1 gene:Vigun03g377400.v1.2 transcript:Vigun03g377400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKLFSLSLCLFVLLLATNAFEVSNDGRAIKIDGKRRILISGSIHYPRSTPQMWPDLIKKAKEGGLDAIETYVFWNAHEPSRRVYDFSANNDLITFLKTIQDSGLYAILRIGPYVCAEWNYGGIPVWVYNLPGVEIRTVNNVFMNEMQNFTTLIVDMVKKEKLFASQGGPIILTQIENEYGNVISHYGEAGKAYINWCANMAESLNVGVPWIMCQESDAPQPMIDTCNGWYCDNFQPNNPNSPKMWTENWVGWFKNWGGRDPHRTAEDVAYSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYDAPLDEYGNIAQPKWGHLKELHSVLKSMEETITNGKVLETDFGNSVKATVYARNGSSSCFLSNTNTTTDATLTFRGNKYTIPAWSVSLLPDCQHEEYNTAKVNIQTSVMVKETKQEEKDPIALKWMWRSENIDNALHAKSNISAPGLIDQKLMASDNSDYLWYMTKLHLKHDDPLWSENMSLRINGSGHVIHAFVNGEHIGSHWATYGIHNDKFESKIRLKRGTNTISLLSVTVGLQNYGPHFDTWHSGLVGPIELVREKGDETITKDLSSHKWLYKVGLNGWNHKFFSEDSPTNWESQQLPTNRMLTWYKTTFKAPMGSDPVVVDLKGMGKGYAWVNGENLGRIWPSYLAEEEGCSDEPCDYRGEYTDTKCVTNCGNPTQRWYHVPRSFLRDDGENSLVLFAELGGNPSLVKFETVVVGSACGNAYENKTLELSCQDRPISAIKFTSFGDPKGVCGSFTKGSCESKKNALSILKKECVGKKTCSVDVSEKIFGPTTCESITKRLAVEIVC >Vigun06g239100.1.v1.2 pep primary_assembly:ASM411807v1:6:34380032:34395479:-1 gene:Vigun06g239100.v1.2 transcript:Vigun06g239100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNESLTLTAEAELMQDQAIADSNEKMEENQPLTAEDERHKDKSMSDSDDSDSEDEAQQNLLLESLQTELAANPSNYDAHLQYITLLRRTGDVDKLIRAREAMSEIFPLSPAMWHQWIKDELSLSTASRPETFSRILKLYQRGVFDYLSISLWCDYINFVQEFDPMVRQCTPAGISKTRDMFESALTAAGLHVAEGSKIWEAYRQYEQAILLTTDDTDAQAKEKQVQRIRSLFHRQLSVPLADMSSTLAAYKAWEAEQRNIEDVETIDLVDIYPHVASSYQKALEMYNVRFHHEEQILSLNVSDSEKLQHYMNYLKFEQSYGMPARIQVLYERAITDFPISPDLWLDYTRYLDNTLKVGNIVSNVYCRATKNCPWVGELWIRYMLSLERGHTSEKDLSEIFEKSVQCTFSTIDEYLDLFLTRVDGLRRRMTSSSEEDLEYKIIRETFQRASDCLSPHLKNTEGLLHLYMYWARLETKLGKDVTAARGVWENCLKICGSMLESWTGYIAMEVELGHINEARSIYKRCYSKRLSGTGSEDICHSWLRFEREFGTLEDFDLAFLKVTPRLEELQLFRIHQESKSAEESEKNLKRNAREKRKLGSDITEEPTPSKRLRDIGNTKKAPEENKYHQQNTSQVSKLEGVNWRNNKTDDNPSGQQFNHEKNRAYSDKCTAFISNLHPTANYEHIRNLFSDVGGIVAIRILHDKFTGKSRGLAYVDFLDDEHLAAALAKNKQKLIGKKLSIARSDPKRGGRESSNPKTLTEHAHASNHSGKKGSEEIDDAYKGDVKDAKFSSRKPENDNIQLRGKNIFAVPRNVRPLGFTANKPKAEEGDEKPKSNEEFRKMFIR >Vigun08g076100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13390764:13391834:1 gene:Vigun08g076100.v1.2 transcript:Vigun08g076100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSYYLTCKFSPSSNNFPNILCFHSSPQIPLSHSSLPKPQLITNSFAKSFCTSMDQREEGKQQPSQKTPSHNNQLDPVESSDNVAPENVLQEQSGTFTTFASLESGSESDSSFDEHDEDSEFFLSQEFESELQFLESEGSNDDDDDDDDDEEMEEDEIDVDELTYEELIELGDFIGREKRGLSGSEICSCLHSYTFHSAESKSGVDLCVICQVEYEEGEALVAIQCEHPYHTDCITKWLQIKKVCPICNTEISAPKIVS >VigunL059814.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000655.1:72027:74098:1 gene:VigunL059814.v1.2 transcript:VigunL059814.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun09g086400.1.v1.2 pep primary_assembly:ASM411807v1:9:11347842:11425370:-1 gene:Vigun09g086400.v1.2 transcript:Vigun09g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTSRDVQEIVEKLSSDKVKAREEGIKLLNTWLEGERSYNFCKFIGLNTAKLKPDEIPHTETWPFLVSLLIKSASAEISSSKRRNPKVIYAKTLRIAVQRAEDAKCSGKMLPLSSVVKLLFNHVWDVLSNVPSFQSEYGVVLRHLLSVRDYSFQMRRRVYCNLVFLYIEKVETSLSGKNISNSSKEEVFRYILTLHSLLKCPPGDYPDNVRENIVKGFVRICSFIRDESKMARKLVECINTYLLNDGPNLGLELLEIHNALQQFVFHSWLATHDRVLKDSLVFYARIQLSLMRGAADRCLLVEQLLDVICKDLDQGSLSGTSMLRGDGNKDDKLGALSSSQCGLVELAAVLFYRACLNTTRSSLSEKRVKREPAAVILREALMKGKWLWNAAFCSLIRNFHTRICKDMFLYWFEGICMSFERIMNSSNGDRIYDGLLWTLRSLLELSSVLLLPNSRMEISAVSSSAFNEFINGWQLLWSTIVHGLPIISNINALVDASLLLLSNITSNDFVNTSVIPQDVWDLQIFKRPNSISILYFFSCYFSRKNSHADPQDTLHLRKSLLRATLCHLNWKGCSMLNEQITLLIPSAIYALCIGFVPFTQCFKEVPLAHNYFDVTDAQDDSHKFEDPKYQCVLEFLDCSVEVLAEIGKMSKVEAAEVKICPHVRVSREISDQLLHEMETSILGALVEEEINKRHLPDTFLICSLLSNVLYGCFFTRKINVSFCSKLSEHLRLLLDNAVRIIEEDIELQAFSCLGCDPTCDDLSRLVSSVHCFLLSPVCIKLRDQNLMGFAPFGHLIQSVERLLKAFVNLYESYSQHLMNFQSDKIVQDMAATDNIQSSFLNYRSISRIMDMELDVNNDSREVDTLAVGKRVGSDVSSSAEKWKMGMISVISSFFSASLLTWDILFKLMEKENDPKVRGKILYHLCQHPFWSSSGQFIDLVNLMNDIIIEQVGFKLACDSVLIAAHTLLINLASLDSVGKEKCGLYLTEVETKQCFLALGNFVHKLSEVDLDWFGRVKLIDSICNLVLLDPQIGQTMIERLLLMLKDMDYRVRLFLARRIGILFQTWDGHEELFQDICLNFGVQLVVYSKGKVIHAKEVLGAGPQPQPIMETVVITLMHLALHSEKIELEAVFMICVVSAIDPSHRELVYAVLDNLSGELQYLTRMKYLEELLGSILFCWVACGVSLAALIETRHLFLPDVDPGHFLQYCCPWLLPALLINENSSDLNWVAKVACQPLAVLIKNHFTSIFSISMALHCSKTPESEKGTLVLQSSILQVAQISEKERDKLIKRHMVSIVSCILSLCSCSLNAIVPYFSKDIVSLEIRTIVDGFLDLDDNHASASVADKINIFRPDRVFMFLVEVHYKIVAAAHYRHKCHRLAGIEVLVTILGQRAAVLSTSNYLLNLTGSLIECRPLQDQCCQILNALLLHLKRNISTDVTGMLGEQLQFLVSKLVACCIPSETQEYCDSSASQALSLLHMLIVDSDSSMYDYVKELEPLPEVKIFDEIRKFHKELCHTYSIRDHLTKFVKKSSYLPPRLLLSSLQAFHKKLLNVETLQRRGKAVVFSRDGCWHGDEEIVHAVWKLVHMCRSNDASEVRELVSDFISRVGTGDPYSVVFHLHDKTNHICLGKSIDTNSVTKISSDMDACLSEEHLVVLMKILMKYLMDDSVKIVDMASQTLRAILSTKRGQCALQSFNSYQKSLIEVHSKGVNVELVENLLLDLDKKSKAEAISLEKSTIWVTDGKTFEMWICPLVYSLIVYCSDAILRLCQDIIKIKGEVAEFLLPSIFVNITARKDLEIDLHKLICLQLTEHVFTESNKLMKSIQVVLNCLNELRIRYVMERSSFVPSKREVSKNSRPSSYSSKTRSTSAKARESAVISNSLAKSPSSWEKVYWLSIDYLLVAKLAASCGSYFTSVMYVEHWCEEQFKTLTIGGPDFSHNEMLPDHIEILVSAVTRINEPDSLYGILQSHKLTSQIITFEHEGNWGKALEYYDLQVQSHTSVQNDGSSVGLALKQTGSAHPSSFASETDEMRQSKPYKGLIRSLQQIGCAHVLDMYCRGLTSTKDLHQHDLEFTELQYESAWRAGKWDFSLPCVGTNFPLIPNIKCDHFNGNLHSCLRALEEGDLIDFQRKLRDSKQELVLSVSLASEESTEYIYLTIIKLQMLYHLGMAWDLRWRTSEDNTTKFFLLKPNNSSEPMIPSIEQLSWLDMEWCSILQRTQLHMNLLEPFIAFRRVLLQILSSRDCVLQHLLQSATTLRKGCRFSQAASALQEFKLLSVETKGQSSSLYWLGRLEEAKLFRAQGQNVMAINLAMYISQNYRSNEEASDAFRLVGKWLAETRSSNSRTILEKYLKPAVSIAENVNATAKNAMKRKCQTHFHLAHYADALFRSHEERLNSNEWQAAMRLRKHKTIELEALIKRLRSSTKGEKIDYSMKIQELQKQVAMDKEEAQKLLDDRDNFLSLALEGYKQCLVIGDKYDVRVVFRIVSLWFSLSSQKEVVNSMLSTIDEVQSFKFIPLVYQIASRMGNTKDGHGHLNFQFALVSLVKKMAIDHPYHTILQLLALANGDRIKDKQRSKSSFVVDIDKKLAAENLLNELSSYHRAIILQMKQMVEIYIRLAEMETKREDTNKKVTLPRDLRSLPMLELVPVVTATISIDHSCQYHEGTFPYFKGLSDSVMIMNGINAPKVVECFGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLRNHQDTRKRRLGVRTYKVVPFTPSAGVLEWVNGTLPLGEYLIGSMRNGGAHGRYGMGDWSFLKCREHMANERDKRKAFQEVCNNFRPVMHYFFLERFLQPAEWFEKRLAYSRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKDLDDDFDTSLEEPQNDYQGNKDATRALLRVKQKLDGYEDGEMRSIHGQVQQLIQDAIDSERLCQMFPGWGAWL >Vigun09g123200.2.v1.2 pep primary_assembly:ASM411807v1:9:27465661:27469699:-1 gene:Vigun09g123200.v1.2 transcript:Vigun09g123200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNSTPGFRFRPTDVELIEFFLKRKVRGKKIPSGIIAELDLYKYAPWDLPDKSALQSGDLQWYFFCPQGKKYLSGGRMNRSTEAGYWKTTGKDRAVEHKNRVVGMIKTLVFHTGRAPKGNRTDWVMHEFRLDDKEMVDEGISQDSYVICRIFQKEGPGPRNGAQYGKPYDEKEWDTDEEIDSVESDRVASVSTPVPIQSSEIHISIAKDIHPSTSGCAGLTSVSCVSELMPSCTEHHAIPNNNQVVSVSCVSELMPSCSAIPSSPNDALGSVSHLSKLAPSCSAVPFAPNDDVDSVSCVSKLMPSSSAVSFGSNNEVDSISCVSKLMLSCLPLALAPNNVVEASSSVPELMPSCTTLPSASCPNHQDDDNVLSSLDYLKEDDGTMAVNANNGIEKVDPDQASNAECAPCLDLNVIFENLGDLDSWVGLGEGSLSSGQKNGLSTSDMFYTGVDDIFRSWESQDFLELMDLAGPQPWQNKHGSSGEDNK >Vigun01g018800.1.v1.2 pep primary_assembly:ASM411807v1:1:2013296:2013792:-1 gene:Vigun01g018800.v1.2 transcript:Vigun01g018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKRCSVALLAFSITLFIVISDMSMKSEARGPIEDTHCLSDSDCAHRPCASCGCKCINSCCVCQSKPYLVDDFCNINAINAPTN >Vigun04g133750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33605685:33606380:1 gene:Vigun04g133750.v1.2 transcript:Vigun04g133750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFSGTYYALHITWTWKGVERSFKHPELILQSIDLSCNNFTGEIPREIMCMRGLVSLNLSRNNLSGEIPSEIGNLKSLDSLDLSRNHLSGKIPPTLSNIDRLAVLDLSNNHFSGRIPWGRQLQTFDASSFEGNVDLCGKPLEEICPGDEAVTKSKGEEVDDENDKSVLYGTLYMSLGIGFFTGFWGLLGSLLLWQQWRIAYLRFLNRLIDYILLMIALNIVKCQRRLKD >Vigun11g037400.1.v1.2 pep primary_assembly:ASM411807v1:11:5123467:5128134:-1 gene:Vigun11g037400.v1.2 transcript:Vigun11g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKAGILKGKVALITGGASGIGFEISTQFGKHGASVALMGRRKQVLHSAVSVLQSLAIPAVGFEGDVRKQEDAERVVESTFKHFGRIDILVNAAAGNFLVSAEDLSPNGFRTVLDIDSVGTFTMCREALKYLKKGGEGRSSSSGGGSIINISATLHYTASWYQIHVSAAKAAVDATTRNLALEWGTDYDIRVNGIAPGPISDTPGMSKLAPDEISSKARVYMPLYKLGEKWDIAMTALFLASDAGKFINGDTVIVDGGLWLSRPRHLAKEAVKQVSRSVEKRSRNAPVGVPKSKL >VigunL014842.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:297374:297954:-1 gene:VigunL014842.v1.2 transcript:VigunL014842.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVCTHLLFVSRVYNELQAEFEKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDIINRILGLEH >Vigun03g278600.1.v1.2 pep primary_assembly:ASM411807v1:3:45616560:45621860:-1 gene:Vigun03g278600.v1.2 transcript:Vigun03g278600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHVQGDSAGEGNASNQNYYRPRLSSETQERVLPLFPLFATEEAFPQLDMNLPQPGANNIRATTDVPFNIVAPESNIFSSSSSRAGTPPNPMENLDLCLNVGLSRSHGAAISSAAIPSSNPARSVHGSSLNSVNIPRKRSVPAHGSGGLHLGESSSMGQGTGEVKRLATESQVRGSNYVNPTPPSRSVVQQQHQFLGGRHVNPNNAGTPFPPPSTLSIGLTNTDDERRGYHAPIPSQASLPFNGALSHSQLSPLQPSHSVRPLSLLSSSFMSSSAPSVNNQTSSPCPHARVDNINMIPSYEHGNDMFGPVVTSTHRPNRFYFDTDPETARMALLYGLPRQFGAPESEPLQFLPGIAGNGGRVTNAGANTYHPLSATAWFLPQGSGGMNPSATASSSGTHNHHRPVPQIPSVGALRGFPSEIEHPQAFGTSQAFTGLSLETIMQFMEREIFLVVDGDDSEETKEKCPVCLEEFCRGEDIGKLHLCVHKFHFDCIKEWLMQRNLCPVCRRTALERRNDRRMVYILE >Vigun03g278600.2.v1.2 pep primary_assembly:ASM411807v1:3:45616560:45621860:-1 gene:Vigun03g278600.v1.2 transcript:Vigun03g278600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHVQGDSAGEGNASNQNYYRPRLSSETQERVLPLFPLFATEEAFPQLDMNLPQPGANNIRATTDVPFNIVAPESNIFSSSSSRAGTPPNPMENLDLCLNVGLSRSHGAAISSAAIPSSNPARSVHGSSLNSVNIPRKRSVPAHGSGGLHLGESSSMGQGTGEVKRLATESQVRGSNYVNPTPPSRSVVQQQHQFLGGRHVNPNNAGTPFPPPSTLSIGLTNTDDERRGYHAPIPSQASLPFNGALSHSQLSPLQPSHSVRPLSLLSSSFMSSSAPSVNNQTSSPCPHARVDNINMIPSYEHGNDMFGPVVTSTHRPNRFYFDTDPETARMALLYGLPRQFGAPESEPLQFLPGIAGNGGRVTNAGANTYHPLSATAWFLPQGSGGMNPSATASSSGTHNHHRPVPQIPSVGALRGFPSEFRFLLHSLRDGRGVIEIEHPQAFGTSQAFTGLSLETIMQFMEREIFLVVDGDDSEETKEKCPVCLEEFCRGEDIGKLHLCVHKFHFDCIKEWLMQRNLCPVCRRTALERRNDRRMVYILE >Vigun09g246700.2.v1.2 pep primary_assembly:ASM411807v1:9:41487883:41489165:1 gene:Vigun09g246700.v1.2 transcript:Vigun09g246700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPTLDPESASIERNRSLTKEQIDAYWKTKEKTESEHIRAISELSGTIQTHKFDDSENSGMSSTVALNRIKKPLNMDVDEKSLKKFVKKNCWYIMLPVVIAQLVNYKLY >Vigun09g246700.1.v1.2 pep primary_assembly:ASM411807v1:9:41487883:41489165:1 gene:Vigun09g246700.v1.2 transcript:Vigun09g246700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPTLDPESASIERNRSLTKEQIDAYWKTKEKTESEHIRAISELSGTIQTHKFDDSENSGMSSTVALNRIKKPLNMDVDEKSLKKFVKKNCWWTKSSSAFLNEPPVIEASSNNYASQFHVANVRSTKFKTGNEISAS >Vigun05g148900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21485915:21487815:1 gene:Vigun05g148900.v1.2 transcript:Vigun05g148900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHIVVVPSPGFTHLVPILEFSKRLLHLLPHFHITCFIPSVGSPPSSSKAYLQNLPPTITSIFLPPIDLEQKTDPSVLALQIELSVNLSLPFIRQELQSLCSRAKVVALVVDVFANGALDFAKELNLLSYIYLPQSAMLLSLYFYSSKLDEIFSSESRDPQEPIKIPGCVPVLRKDFPLPFQNLSSIGYKGFLERSKRFHVPDGIFMNTFPELESGAIRALHEHVKGKPGIYPVGPIIQKGSIDHENGVECLRWLDKQEPKSVLFVSFGSGGTLSQDQLNELAFGLELSGQKFLWVVRAPSSEVSSAYFGAEAKDPLQFLPQGFLERTKQQGLVVPYWAPQIQVLGHSATGGFLSHCGWNSVLESVVQGVPVIAWPLFAEQSLNAAILTDDLKVALRPKVNHSGLREREEISKVVRGLFEEGKEIRTRMELLKIAAANAIKEDGSSTKTLSEVVASFRDF >Vigun10g151250.1.v1.2 pep primary_assembly:ASM411807v1:10:37095563:37096075:-1 gene:Vigun10g151250.v1.2 transcript:Vigun10g151250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPGVPMVKHLNMFSSQECNWNADPEVFVLSSPDCVVRKCCHWIEKFDLFVSDWEMRDVVTRLRNSICSHRIEKCDSHSCI >Vigun11g143400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35291754:35294137:-1 gene:Vigun11g143400.v1.2 transcript:Vigun11g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRIRLAACSLQEDEEKENNNSFVELDTRVGGNDGFPRIGPNDSLLPGLFDDVALNCLAWVSRSDYASLACINKSYNLLIRSGYLSGLRKKLGIVELEHLVYLVCDPRGWEAFDPKRNRWITLPKIPCDECFNHADKESLAVGGELLVFGRELMDFAIWKYSLICLGWVKCEEMNRPRCLFASGSLGSIAIVAGGSDKYGNVLESAELYDSSSGTWELLPNMHTPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDLKTRNWRKIEGMYPYVNGAAQAPPLVAVVDNVLYAVEHLTNMVKKYDKENNSWSELGRLPVRADSSNGWGLAFKACGEKLLVVGGQRGPEGEAVVLNSWCPRTGVRGGTIDWQVLGVKEHVGVFVYNCAVMGWSGEMLLD >Vigun11g143400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35291884:35294082:-1 gene:Vigun11g143400.v1.2 transcript:Vigun11g143400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRIRLAACSLQEDEEKENNNSFVELDTRVGGNDGFPRIGPNDSLLPGLFDDVALNCLAWVSRSDYASLACINKSYNLLIRSGYLSGLRKKLGIVELEHLVYLVCDPRGWEAFDPKRNRWITLPKIPCDECFNHADKESLAVGGELLVFGRELMDFAIWKYSLICLGWVKCEEMNRPRCLFASGSLGSIAIVAGGSDKYGNVLESAELYDSSSGTWELLPNMHTPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDLKTRNWRKIEGMYPYVNGAAQAPPLVAVVDNVLYAVEHLTNMVKKYDKENNSWSELGRLPVRADSSNGWGLAFKACGEKLLVVGGQRGPEGEAVVLNSWCPRTGVRGGTIDWQVLGVKEHVGVFVYNCAVMGWSGEMLLD >Vigun03g187800.1.v1.2 pep primary_assembly:ASM411807v1:3:25178407:25181782:-1 gene:Vigun03g187800.v1.2 transcript:Vigun03g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLISSPPLLPKPKDPSSHAFPHAPPFLLRMPHQPQHLQTPLLLPKIQSSRHQIAATPVSKDLWDNSILKSETPVLVIFYANWCGPCRMVHRIIDEIATEYAGKLKCFIVNTDTDMEIAEDYDIKAVPVVLMFKNGQKCDSVIGTMPKEFYVAAIERVLKS >Vigun03g187800.2.v1.2 pep primary_assembly:ASM411807v1:3:25177961:25181782:-1 gene:Vigun03g187800.v1.2 transcript:Vigun03g187800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLISSPPLLPKPKDPSSHAFPHAPPFLLRMPHQPQHLQTPLLLPKIQSSRHQIAATPVSKDLWDNSILKSETPVLVIFYANWCGPCRMVHRIIDEIATEYAGKLKCFIVNTDTDMEIAEDYDIKAVPVVLMFKNGQKCDSVIGTMPKEFYVAAIERVLKS >Vigun08g187700.1.v1.2 pep primary_assembly:ASM411807v1:8:35645582:35646236:1 gene:Vigun08g187700.v1.2 transcript:Vigun08g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSASVFCLTVVLLLIVGAMKPVNGFSCLKAKLSLITCLPFLTLNENSPSTACCNAVSNVKVSAPTKPELREACECLKASSDEIPNLDKDKAIQLPKLCKVNVDFPISKDINCTNISL >Vigun02g063900.5.v1.2 pep primary_assembly:ASM411807v1:2:21242114:21245075:1 gene:Vigun02g063900.v1.2 transcript:Vigun02g063900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAAIAGITAAAAGAALASGIAADNTMAKGAPQAADNLGEDFYKVLLEEEPFKSTTVKSILKSYRWAPFVPVARDSAMLTVLLLLSKYRLRNVPVIEPDKPDMVNFITQSAVVQGLERCKGRDWFDCIADKCISDLGLPFMSTAEVISIQSNELVLEAFKQMRDNKIGGLPVVEGPGKKIVGNVSIRDIRYLLLSPEITNFRKLTVIDFLERIVSSSLQTGNASHEPITCKLDSTLQSVIHTLASQSIHRIHVVDGQDELVGVITLRDVISCFVTEPPYHFDDYYGFAVKEIFIQ >Vigun02g063900.4.v1.2 pep primary_assembly:ASM411807v1:2:21242114:21245075:1 gene:Vigun02g063900.v1.2 transcript:Vigun02g063900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQEFRTNDSFSKCETYFEFIQSRKKLPLSLQETLTDAFAKIPVSSFPEVPSGKVIEILADTPVGEAVKILSERNILAAPVKDPFAADSSDWRGKYLGIIDYSAIVLWVLESAELAAAAISAGSATAVGVGAGTVGALGAIALGMTSPAAIAGITAAAAGAALASGIAADNTMAKGAPQAADNLGEDFYKVLLEEEPFKSTTVKSILKSYRWAPFVPVARDSAMLTVLLLLSKYRLRNVPVIEPDKPDMVNFITQSAVVQGLERCKGRDWFDCIADKCISDLGLPFMSTAEARIGVLNFQFPLAVRFKNIIFLPVQVISIQSNELVLEAFKQMRDNKIGGLPVVEGPGKKIVGNVSIRDIRYLLLSPEITNFRYNVKFLHSGKRKDHKTN >Vigun02g063900.2.v1.2 pep primary_assembly:ASM411807v1:2:21242114:21245075:1 gene:Vigun02g063900.v1.2 transcript:Vigun02g063900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSIFLHIFIFHCFISQSRNIAKKRSNMAQEQEFRTNDSFSKCETYFEFIQSRKKLPLSLQETLTDAFAKIPVSSFPEVPSGKVIEILADTPVGEAVKILSERNILAAPVKDPFAADSSDWRGKYLGIIDYSAIVLWVLESAELAAAAISAGSATAVGVGAGTVGALGAIALGMTSPAAIAGITAAAAGAALASGIAADNTMAKGAPQAADNLGEDFYKVLLEEEPFKSTTVKSILKSYRWAPFVPVARDSAMLTVLLLLSKYRLRNVPVIEPDKPDMVNFITQSAVVQGLERCKGRDWFDCIADKCISDLGLPFMSTAEVISIQSNELVLEAFKQMRDNKIGGLPVVEGPGKKIVGNVSIRDIRYLLLSPEITNFRKLTVIDFLERIVSSSLQTGNASHEPITCKLDSTLQSVIHTLASQSIHRIHVVDGQDELVGVITLRDVISCFVTEPPYHFDDYYGFAVKEIFIQ >Vigun02g063900.3.v1.2 pep primary_assembly:ASM411807v1:2:21242111:21245075:1 gene:Vigun02g063900.v1.2 transcript:Vigun02g063900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQEFRTNDSFSKCETYFEFIQSRKKLPLSLQETLTDAFAKIPVSSFPEVPSGKVIEILADTPVGEAVKILSERNILAAPVKDPFAADSSDWRGKYLGIIDYSAIVLWVLESAELAAAAISAGSATAVGVGAGTVGALGAIALGMTSPAAIAGITAAAAGAALASGIAADNTMAKGAPQAADNLGEDFYKVLLEEEPFKSTTVKSILKSYRWAPFVPVARDSAMLTVLLLLSKYRLRNVPVIEPDKPDMVNFITQSAVVQGLERCKGRDWFDCIADKCISDLGLPFMSTAEVISIQSNELVLEAFKQMRDNKIGGLPVVEGPGKKIVGNVSIRDIRYLLLSPEITNFRKLTVIDFLERIVSSSLQTGNASHEPITCKLDSTLQSVIHTLASQSIHRIHVVDGQDELVGVITLRDVISCFVTEPPYHFDDYYGFAVKEIFIQ >Vigun05g245700.2.v1.2 pep primary_assembly:ASM411807v1:5:43866976:43872528:-1 gene:Vigun05g245700.v1.2 transcript:Vigun05g245700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTDIDVRVEEGGDSINVATTPASPSLSKLNSGSLPSPRLPEGAVIPRKIAGASVAWKDLTITIKGKRKYSDKVIKSSTGYALPGTMTVIMGPAKSGKSTLLRAIAGRLNSSSRMYGEVFVNGAKSQMPYGSYGYVERETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGDHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKRLASTGYTLILTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPVLKSKGKAGNATRIAVLTWRSLLVVSREWKYYWLHLILYMLLTLCIGTVFSSLGHSLSSVGTRVAAIFVFVSFCSLLSIARVPALMKEIKIYSCEESNQHSSTLVFLLAQLLSSIPFLFLISISSSLVFYFLVGLEDQFSLLMYFVLNFFMTLLLNEGLMLVVATLWQDVFWSVLTLLCIHVVMMLSAGYFRIRNSLPGPVWTYPMSYISFHTYSIQGLLENEYLGTSFAVGQVRTISGFQALQNVYNISQDSNSKWKNLLVLFLMAIGYRIFVFILLCFFVGRKISLRKCFKCNRDTTDHTS >Vigun05g245700.1.v1.2 pep primary_assembly:ASM411807v1:5:43866958:43872668:-1 gene:Vigun05g245700.v1.2 transcript:Vigun05g245700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTDIDVRVEEGGDSINVATTPASPSLSKLNSGSLPSPRLPEGAVIPRKIAGASVAWKDLTITIKGKRKYSDKVIKSSTGYALPGTMTVIMGPAKSGKSTLLRAIAGRLNSSSRMYGEVFVNGAKSQMPYGSYGYVERETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGDHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKRLASTGYTLILTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPVLKSKGKAGNATRIAVLTWRSLLVVSREWKYYWLHLILYMLLTLCIGTVFSSLGHSLSSVGTRVAAIFVFVSFCSLLSIARVPALMKEIKIYSCEESNQHSSTLVFLLAQLLSSIPFLFLISISSSLVFYFLVGLEDQFSLLMYFVLNFFMTLLLNEGLMLVVATLWQDVFWSVLTLLCIHVVMMLSAGYFRIRNSLPGPVWTYPMSYISFHTYSIQGLLENEYLGTSFAVGQVRTISGFQALQNVYNISQDSNSKWKNLLVLFLMAIGYRIFVFILLCFFVGRKISLRKCFKCNRDTTDHTS >Vigun03g146901.1.v1.2 pep primary_assembly:ASM411807v1:3:15041375:15042070:1 gene:Vigun03g146901.v1.2 transcript:Vigun03g146901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTTTTEKGTKTSLVNLSTTTEVGPRVSTMPGLIIVQTTSISEALMWFYCFKQRMKDDADLSVKGVQCCYCSFFSVLACGFNINDLLTFFLWKGVKIVYAFLLLCSWFQL >Vigun07g119800.1.v1.2 pep primary_assembly:ASM411807v1:7:22224910:22226609:-1 gene:Vigun07g119800.v1.2 transcript:Vigun07g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKFKYFEVYIRNARGLQLFTCRWLPFSSPKALVFLCHGYAMECSRFMRGVAETLASAGYAVFGVDYEGHGRFAGVRCLITKFDNIVDDCEDFFKIIGELPEFKGKPRFLYGDSMGGSVCLLLHRRDPSFWDGAILVAPMCKISDKLVKPFPIVVNVLTKFEDIIPKWKIVPTKNIISTAFKDRAKREAIRNNKLIYQDKPRLKTAMEMIRTSMSLEDSLDEVTIPFLVLQGEKDKVTDPEISIALYEKASSKDKTIRMYMGMCHGVATGESDENIALVFRDIIAWLDHRSTRPTIDTTNCNDQPNCWHENSK >Vigun07g119800.3.v1.2 pep primary_assembly:ASM411807v1:7:22225239:22226609:-1 gene:Vigun07g119800.v1.2 transcript:Vigun07g119800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKFKYFEVYIRNARGLQLFTCRWLPFSSPKALVFLCHGYAMECSRFMRGVAETLASAGYAVFGVDYEGHGRFAGVRCLITKFDNIVDDCEDFFKIIGELPEFKGKPRFLYGDSMGGSVCLLLHRRDPSFWDGAILVAPMCKISDKLVKPFPIVVNVLTKFEDIIPKWKIVPTKNIISTAFKDRAKREAIRNNKLIYQDKPRLKTAMEMIRTSMSLEDSLDEVK >Vigun07g119800.2.v1.2 pep primary_assembly:ASM411807v1:7:22224855:22226727:-1 gene:Vigun07g119800.v1.2 transcript:Vigun07g119800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRFMRGVAETLASAGYAVFGVDYEGHGRFAGVRCLITKFDNIVDDCEDFFKIIGELPEFKGKPRFLYGDSMGGSVCLLLHRRDPSFWDGAILVAPMCKISDKLVKPFPIVVNVLTKFEDIIPKWKIVPTKNIISTAFKDRAKREAIRNNKLIYQDKPRLKTAMEMIRTSMSLEDSLDEVTIPFLVLQGEKDKVTDPEISIALYEKASSKDKTIRMYMGMCHGVATGESDENIALVFRDIIAWLDHRSTRPTIDTTNCNDQPNCWHENSK >Vigun08g223700.3.v1.2 pep primary_assembly:ASM411807v1:8:38124729:38128512:-1 gene:Vigun08g223700.v1.2 transcript:Vigun08g223700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSKVFLEKRSRKTKAEVDELAHEIKTLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKSGCSSCKDHGGQERAGKFSANCNHS >Vigun08g223700.2.v1.2 pep primary_assembly:ASM411807v1:8:38124729:38128512:-1 gene:Vigun08g223700.v1.2 transcript:Vigun08g223700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSKVFLEKRSRKTKAEVDELAHEIKTLEENILKAGGISTIETERQKLSQERERLLSEVNRCRGTMSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALVRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDLQHSIIESQEIFD >Vigun05g028750.1.v1.2 pep primary_assembly:ASM411807v1:5:2296830:2298102:-1 gene:Vigun05g028750.v1.2 transcript:Vigun05g028750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPMDLYIGTARGLHYLHAGLKRTIIHRNIKSSHIVLDEKMHPKLSGFSLSILGAHFKERPKPIKTEVAVMTGKIIYGKDKDHCLAKELLEKCVVEELIDPKIKGKIAAECWQVFIDIFLRCIKSEADERPTMGEVEVELERALLLQQQADLTNIDMDYTLLSKTIIIPKSERQINYFIGQKQEQESNTVT >Vigun08g038600.2.v1.2 pep primary_assembly:ASM411807v1:8:3742712:3747333:-1 gene:Vigun08g038600.v1.2 transcript:Vigun08g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVAERRVTIRNNHGENLVGILHNSASASLVIVCHGFQSSKERIPMVNLADALEKDGISAFRFDFAGNGESEGSFQYGNYYREVEDLRAVVQHFREQKYVITAIVGHSKGKIMYRVTEESLMDRLSTITHLDCLLIPQDCRVLTIHGSMDETVPAEDALDFDKFISNHELCIIEGADHEFSSHQDKLSRLVLEFIKTNADKDKDTSKQAKIHSRL >Vigun08g038600.1.v1.2 pep primary_assembly:ASM411807v1:8:3742712:3747333:-1 gene:Vigun08g038600.v1.2 transcript:Vigun08g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVAERRVTIRNNHGENLVGILHNSASASLVIVCHGFQSSKERIPMVNLADALEKDGISAFRFDFAGNGESEGSFQYGNYYREVEDLRAVVQHFREQKYVITAIVGHSKGGNVVLFYASKYKDIHIVVNISGRFNLVRGMEGRLGKRFMQKIKQDGYIDVKNKRGKIMYRVTEESLMDRLSTITHLDCLLIPQDCRVLTIHGSMDETVPAEDALDFDKFISNHELCIIEGADHEFSSHQDKLSRLVLEFIKTNADKDKDTSKQAKIHSRL >Vigun07g098001.4.v1.2 pep primary_assembly:ASM411807v1:7:17129145:17130167:1 gene:Vigun07g098001.v1.2 transcript:Vigun07g098001.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIDSSLFMLPHICMVKGEKVLKEGRTKYTLELWLISTNIGRSIFCKL >Vigun07g098001.3.v1.2 pep primary_assembly:ASM411807v1:7:17129145:17130167:1 gene:Vigun07g098001.v1.2 transcript:Vigun07g098001.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIDSSLFMLPHICMVKGEKVLKEGRTKLQYTLELWLISTNIGRSIFCKL >Vigun07g098001.1.v1.2 pep primary_assembly:ASM411807v1:7:17128342:17130773:1 gene:Vigun07g098001.v1.2 transcript:Vigun07g098001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSPGGFLKHPRTNKIRQIQWWGSQGEKDSVVAWKMRKGEKVLKEGRTKLQYTLELWLISTNIGRSIFCKL >Vigun07g098001.2.v1.2 pep primary_assembly:ASM411807v1:7:17128766:17130167:1 gene:Vigun07g098001.v1.2 transcript:Vigun07g098001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSPGGFLKHPRTNKIRQIQWWGSQGEKDSVVAWKMRKGEKVLKEGRTKLQYTLELWLISTNIGRSIFCKL >Vigun07g098001.5.v1.2 pep primary_assembly:ASM411807v1:7:17129172:17130167:1 gene:Vigun07g098001.v1.2 transcript:Vigun07g098001.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHICMVKGEKVLKEGRTKLQYTLELWLISTNIGRSIFCKL >Vigun08g065200.1.v1.2 pep primary_assembly:ASM411807v1:8:9374824:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.10.v1.2 pep primary_assembly:ASM411807v1:8:9375243:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.3.v1.2 pep primary_assembly:ASM411807v1:8:9374822:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.2.v1.2 pep primary_assembly:ASM411807v1:8:9374822:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.8.v1.2 pep primary_assembly:ASM411807v1:8:9375243:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.12.v1.2 pep primary_assembly:ASM411807v1:8:9375242:9387534:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.16.v1.2 pep primary_assembly:ASM411807v1:8:9374851:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.7.v1.2 pep primary_assembly:ASM411807v1:8:9374834:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.14.v1.2 pep primary_assembly:ASM411807v1:8:9375243:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.17.v1.2 pep primary_assembly:ASM411807v1:8:9374824:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.9.v1.2 pep primary_assembly:ASM411807v1:8:9374834:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.15.v1.2 pep primary_assembly:ASM411807v1:8:9374824:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.19.v1.2 pep primary_assembly:ASM411807v1:8:9374824:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.18.v1.2 pep primary_assembly:ASM411807v1:8:9375243:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.5.v1.2 pep primary_assembly:ASM411807v1:8:9375243:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEVEPVMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.11.v1.2 pep primary_assembly:ASM411807v1:8:9374842:9387534:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.13.v1.2 pep primary_assembly:ASM411807v1:8:9374834:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQVTDLGAMQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun08g065200.20.v1.2 pep primary_assembly:ASM411807v1:8:9374851:9387533:1 gene:Vigun08g065200.v1.2 transcript:Vigun08g065200.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKSRTDLLAAGKKRLQQFRQKKDNKSGSSRGKSSKKAGLPQLVDSDSDAASSVSVSTASSQRTDGNVEDDSHSSVVNTETSESQSVANSLPPDNIDPSVVSSSVVTTYNTGDESVLDSNAEPAHQVPGICEKDNESSAQVQGNIAEDIEADVAENVSLSTSGSLVPEGGETHDHTSAPVSILSQPAPGTTVVGESVSEREGEKREELLLLSQGIPNTSVMQTREDQEADGLVMKKFFQSPDLVIDGQRELLLSEVGENDQSLPGISLEKARTEEVSHEAEQLSKSIELLSSHEDIVSDKLSGFDKGQGDDIAASGTSDLEREVLPSSHHEEIFLQCNQEQNSEVVLIEQDGGLPEGFNQQWPRDGLAIEDPKSRGAHEFDPSRPLDVPSVLDANSINLLQLAEIIKGLNEEECQFLIEARVAETDLDPLASRSILSDHDISEAFQSLKEELFLENLMKNIFNTQLAELLESDNQGHQLVDEIYQLRASYNEVNEKNQYLSEELHNSRVDLQDISSKNVELQNQFNAAMAEVEALSARVLELQNNFDMSQKDFLELSKELADCRGLISSLQVEKKGMNETLDLTNGEKSKLLEEKEFHLFEIKNLVTELADLRGSMEGVKLEKSNLIDRISSVTEDRSKIEEEIEHLKHEIDRLSLDLVENKDLVASLQAENSNLNGNLTFSGDKMKNLVDENHRLSSQIIALNEQLSIEKGERLRFEGDLKEATMQLEQISKENVFLNNTLDMHKANIEDTGKEHSQPLSQPRDLGHQGNVVCEQRKGVEIAITEDYLHIDQEPDEGAPVGPHLNRHEREVFDDDLGFVSLKECLDEAEKVLTMLENAVNELHSHSVSSSRSGEKVSSPVVSKLIQAFETKVHEDEHEGETKDSSFLQSSSNSFKLTKEQIENLKKLLSKWKLDVQTAGALFKGERDDRKAGDAKYSDLEDQFEQLKQHCSDLEASNIELVVQYETMKQLLGDIQGQKSLLEKLCDTLKQEDARLKAKNNELYEKLGHCQSSISELHTEMNDVKQISSEMASSVGSQLENLQKEVTERATLLEQGWNMSMAQIVELVGKLKESVGGIMSTTFSSDTHSNLDITHQLEVSVHAAAEMIFDLQKKLESTNSEHEIMCTSYKEMSSKCDDLLGRNELAVSLLHKMYSDLSKLVLGNGRTMDDKIDVQSEVLPELLNYNSFQPILKRIGNILNEKQELESVTKEMKSELMHRETELEELKMKCVDLDSVSKLIQDLTGVLNADVPKIDMNKSPLSWLDSLVSSLVQKMREAEIQHHTTKELYGSKEMELAELKEKMHYLDTLRLENENEIHVLKESLYQAEEALVVARSELHKKTNELEHSEQRVSSIREKLSIAVAKGKGLVVQRDGLKQSLAETSSELEKCLQELQLKDTRLHELETKLKTYEEAGERVEALESELSYIRNSSNALRESFLLKDSMLQRIEEIMEDLDLPEQFHSRDTIEKIDWLASSVSGNSLAMNDWEQKDAVVGGSYSDAGYVARDSWKDDSQLQPDSDDFRKKFEELQSNYYGLAEQNEMLEQSLIERNSLLRRWEELVNSVEMPSHLQSMETEDKIECICAALTEANRHIDALQLKIEKYDSYCGMLNTDLEESQRMVSTFQEDLSALTSERGNLSEKVESLVLENERLSLQIREAELEKEKLIKEMTIVKNKLEHKTAIEEQLLTIDGKIRKLQDLVVDTLSESDTQNMGFGDANIDSLEELLGKLIQKLKMEQKLSASTRETELENERLLIEISGLKDKLEHKTAIEEQIFTIDGKIRKLQDLVGDAMSESDTQNMVFGDANIDSLEELLRKLIEKLNMEQKLSALTRENELENERLLKEITSLKDKLENKTAIEEQIFTIDGKIRKLQDLVGDALSESDSQNMVSGNASIDSLEELLGKLIEKLNVERKLSVLTRETELDNEKLLKEISSLKDKLEHKTAIEEQISTLDGKIRKLQDLVGDALSESDSQNMVSGNEPIDSLEELLGKLIEKLKTEQKLSAQTRETELENEKLLNEIAILKDKLEQKAAIEEQIFIIDDKIRKLHGLVGDALSESETENLVSGSEKIDSLEELLRKLLQNHANLLSMNPAYGVVGDGLRSQKDDGTVCEERSIDVQDKEASIDRYKIDLEKSLNELLHVKEERDRFFDKQISLSGEVEAMTKRIEELQGLLNQEEHKSASLREKLNVAVRKGKSLVQQRDSLKQTIEEMTVQMEHLKSEISNRDNTLAEHEQRLGQLSTYPDKLEALESESLQLKKHFEETEHRLQEQEYSLKLILNKLGEIEVGGEGYISDPVKKLEQVGKLCSNLHNTVSSLEQESRKSKRASELLLAELNEVQEMNDSFQEELAKVNAELVDIRRERDSAEASKLEALAHLEKLSSLHEAGKQSHLSDIMELKSILNLVFKSFGEVHNLLTNAFMFDLESYRKLEAGLESCMKGNNATNMVDSFITREHWASSNKSSVPANPWEDFDAIDQYDDDTSVENLRLFGHQLQEFMTKVSSLKDKINIHSSLAEELDKTLSKLMASIQREMTSQKESCETMKKELSEHDEKLVALGGIIAYLYEACNNSSIVLENEKAELCGTKVESSDLGMSLETPSFNDDISEECIKTMADRLLVTVKGFTSIKAEFLDANQKEMKSTIANLQRELQEKDVQRDRICSDLVKQIKDAEAAATSYSQDLQAFKIQEHNLKKEVEAIEAERKILVHKVNELQDRQETTAELEEKMRSQTGLLAAKDQEIEALMHALDEEETQMEELTNKIVDLEKVVEQKNQEIENLEFSRGKVMKKLSTTVSKFDELHHLSANLLSEVEKLQSQLQERDTEISFLRQEVTRCTNDVLLASQMSNKRNSDEIFEFLTWVDMVVSHDGAHELHPDMKSNSQVHEYKEILQKKLMSLLSELENLREVVESKDAMLQVERSKVEELNHKTETLETSLHQKELQLNLLESVEETGKGASTSSEIVEMNRWSPSGAFVAPQVRSLRKGNSDHIAIAVDENPGGTSRIEEEEEDDKVHGFKSLTSSKIVPRFTRPLTDLIDGLWVSCDRTLMRQPVLRLGIIFYWAIMHALLAFFVV >Vigun06g103900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23335109:23336665:-1 gene:Vigun06g103900.v1.2 transcript:Vigun06g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLYFLVLSLSCSFLFSPSESNNVMNPAYMLVLPTQKDASTGLHWTNLLKRTPLTQVPVLVDLNGNQVWLNCEQHYSSKTYEAPFCHSAQCFRANTHQCLSCPAATRPGCHKNTCGLMSTNPVTQQNGLGELGQDVLGIHISLGTQLGEVFTVPQFLFSCAPSFLLQKGLPKNIEGVAGLGHAPISLANQLDSHFGLQRQFTTCLSRYSSSSKGAIIFGDAPNNLHEFHGHAIFHDLAYTPLTITPQGEYNVRVNSMRINQYSVAPVKKISSTTVGHSGGTMISTSTPHMVLQQSLYESFVQVFAQQLPKQSQVKALAPFELCFRTKNISEYPSVELVMEKPNGPVWRISGEDLTVQTQPGVSCLAVVNGGMQPRAEITIGARQLEENLVVFDLAKSRVGFSTSPLSSFGVKCGDLFNFVNV >Vigun03g229300.1.v1.2 pep primary_assembly:ASM411807v1:3:38213759:38217153:1 gene:Vigun03g229300.v1.2 transcript:Vigun03g229300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEKKGKDTKEKKWTRKLELPSIMGNPKKEVASDDDVLTSPRDVFGVPVSGTDSDSTGSSNYEVLASPGLVANRGQVRDAQTQQWKTMIDVLRFKSVRRFSTIPLLGASYEISRRSLRNKLARIRPASDDDDLDSCIDIDGIATKPSWKNFNYADLVAATDDFNPKNMIGKGGHAEVYKGSLPDGRVVAVKRLMRSEKDIEDTAGDFLSELGIIAHINHPNATRLIGFGIDQGLYFVLQLAPHGSLASLLFGPQCLEWNRRFKVAVGVAKGLQYLHHDCPRRIIHRDIKASNILLNNDDEAEISDFGLAKWLPDKWAHHVVFPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLELITGRRAVDSNSRESLVIWAKPLLDAKVVKELVDPRLKANYDLAEMKCCMATASLCIHHMSSKRPYMDQVVQLLKGEEVAVELNHNSPTPRSLLIDACDLEDYTCSNYLNDLNRHKQLLME >Vigun03g053600.1.v1.2 pep primary_assembly:ASM411807v1:3:4391653:4393935:-1 gene:Vigun03g053600.v1.2 transcript:Vigun03g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIATHLCLCERDTTFISFNFDTTWFWCSTNTHMGHKEKAKERKEKRLQEISLLRTIPYADHQRWWSKETIAVVTGGNRGIGFEISRQLADHGVTVILTSRDASVGVESIKVLQEGGLQDVACHQLDILDPSSVAEFGDWLKENYGGLDILVNNAGVNFNFGSNNTVEHANLVIETNYFGTKRMIEAMIPLMKPSSAGGRIVNVSSRLGRLNGKRNRLENEDLREKLSNIETLTEELIDDMVATFLKQVEDGTWKSGGWPPTFTDYSMSKLAVNAYTRFMAHKLSERADGEKIFINSYCPGWVKTALTGYAGSVSVEDGADSGVWLSLLPDQAITGKFFAERREINF >Vigun10g114300.2.v1.2 pep primary_assembly:ASM411807v1:10:31666624:31670396:1 gene:Vigun10g114300.v1.2 transcript:Vigun10g114300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLYTLLSFIAFSLLGIFVVFCFIMLTMILGKSIGDPDYAPVKGTVFNQLFYFNTLHDYQAEMAKTHPTFRLLAPDQSELYTADPRNVEHILKTKFDKYSKGKYNQDIMIDLFGEGIFAVDGDKWRQQRKLASYEFSTRVLRDFSCSVFRINAAKLVRVISEFSRQGQVFDMQDMLMRCTLDSIFKVGFGAELDCLEGSSKKGSKFMKAFDESNALTYWRYVDPFWKLKRFLNIGCEATLKRNIKIIDDFVHELINTRKAQFAIQQESNVKEDILSRFLIESKKDKETMTDQYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLVEEKIVQEVRDVTCSHESEENIDKFVAKITDDTLDKMHYLHAALTETLRLYPAVPTMIQIEGWKSCRGR >Vigun10g114300.1.v1.2 pep primary_assembly:ASM411807v1:10:31666624:31670396:1 gene:Vigun10g114300.v1.2 transcript:Vigun10g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLYTLLSFIAFSLLGIFVVFCFIMLTMILGKSIGDPDYAPVKGTVFNQLFYFNTLHDYQAEMAKTHPTFRLLAPDQSELYTADPRNVEHILKTKFDKYSKGKYNQDIMIDLFGEGIFAVDGDKWRQQRKLASYEFSTRVLRDFSCSVFRINAAKLVRVISEFSRQGQVFDMQDMLMRCTLDSIFKVGFGAELDCLEGSSKKGSKFMKAFDESNALTYWRYVDPFWKLKRFLNIGCEATLKRNIKIIDDFVHELINTRKAQFAIQQESNVKEDILSRFLIESKKDKETMTDQYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLVEEKIVQEVRDVTCSHESEENIDKFVAKITDDTLDKMHYLHAALTETLRLYPAVPTDGRAAEADDVLPDGHKLKKGDGVYYLAYGMGRMCSIWGEDAEEFRPERWLNNGVFESQSPFKFIAFHAGPRICLGKDFAYRQMKILAMALLRFFKFKLANGTQNVTYKVMITLHIDNGLPICAIPRS >Vigun08g036200.2.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVPDKFISSIRFVIDVCRRLKEKKSYMVYTAVACLGVSALSDLISEVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun08g036200.4.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAVACLGVSALSDLISEVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun08g036200.1.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGESILDAIFEEEILNDVEMVDVEEGELVEQEQDDSRNVSGQNGVVEADNTKPQSKNRRRKNKRNRRKGSGINGAVDINRFVIDVCRRLKEKKSYMVYTAVACLGVSALSDLISEVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun08g036200.5.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAVACLGVSALSDLISEVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun08g036200.3.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAVACLGVSALSDLISEVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun08g036200.6.v1.2 pep primary_assembly:ASM411807v1:8:3482800:3486687:1 gene:Vigun08g036200.v1.2 transcript:Vigun08g036200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFNYLILLKVDAIQSCGGQKTVDGSRFRTRGGVLWSIIKVREPNAYKEIMKKAKEFEKQFRQPNVKQPPLQRKENSSVGEASTLGSRHQGNVSDKPFSALQRQEQPEPSGEEKRSSVHDRLRIPVSYDDDFLELSTDKNPT >Vigun03g004700.1.v1.2 pep primary_assembly:ASM411807v1:3:316485:321179:-1 gene:Vigun03g004700.v1.2 transcript:Vigun03g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFWTQGGSDSEEEESDYDEEVETAVGDSASQAVTSRYLQDNASDSDDSDGQKRVVRSAKDKRFEEMVSTVDQMKNAMKINDWVNLLENFDKINKQLEKVMRITESVKVPNLYIKALVMLEDFLAQALANKDAKKKMSSSNAKALNSMKQKLKKNNKQYEEVINKCRENPESEEEKEEEESEEDYESDDEIIEPEQLRKPEAKSDSEASQYDDEKPDEGPWDQKLSKKDRLLDRQFMKNPSEITWDAVNKKFKEVVSARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLNGYMPINVWKKCVQNMLIILDILVQHPNIVVDDSVEPDENETQKGTDYNGPIRVWGNLVAFLERIDVEFFKSLQCIDPHTRDYVERLRDEPMFLVLAQNVQEYLERVGNFKAASKVALRRVELIYYKPQEVYDAMRKLTELTEAGENGGEASEESKEFEPRVPTAFVVTPEVVARKPTFPEYNRTLMDVLVSLIYKYGDERTKARAMLCDIYQHALLDEFSIARDLLLMSHLQDSVQHLDISTQILFNRAMSQLGLCAFRVGLISEAHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLVSAMLLEVPNLAANVHDAKRKVISKTFRRLLEVSEKQTFTGPPENVRDHVMAATRVLRKGDFQKAYDIIVSLDVWKFVRNRDTVLEMLKDKIKEEALRTYLFTFSSSYESLCLDHLTKIFDLSVSRTHSIVSRMMINEELYASWDQPTGCVLFQDVEHSRLQALAFQLTEKLTILAENNEKATEARIGGSGLDLPLRRRDGQDYAAAAAGSGTTSSGGRWQDLSLSQPRSGSGRAGYGGGGGRPMALGQASGSGYSRGRGTGASYGGSGRTAQRGSGLRGSHGDVSTRMVSLKGAARA >Vigun01g247000.1.v1.2 pep primary_assembly:ASM411807v1:1:41490050:41494500:1 gene:Vigun01g247000.v1.2 transcript:Vigun01g247000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKKTSRRNARNASQDRSSPKVSRSQRKVSENGRAAEKKVTDLITSSVRKNKSYNNLENKAREPVPSTDLNHEYEFMDSGTSNLCLENDTVDGVSLDFMGCNNEAAHLESGTIFSPGFHLSKNPGGKVVDRVDFIKIFQNEDHKRISPCQEIKSPQEDTVNGPGSEDFDTAMEIDMNNSSNFSNSGSCGNQMHALGTEKVNGCNSDFDGNGLSMEVSAIYLAMKNSKLECVDEHGQDPMSSEICTEEDEFEDFDDFDPYLFIKTLPDLSTVVPTFRRLLLPKQTRSCPSTTLVLDLDETLVHSTLEPCEDVDFTFPVNFNCEEHIVYVRCRPHLKDFLERVSGLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVYVEGNYLKDLTVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFEDRSDRELLSLLPFLESLVGVDDVRPLIAKKFNLRGKIAAAVHPLNTNRRDFLSE >VigunL056900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000430.1:38425:39888:1 gene:VigunL056900.v1.2 transcript:VigunL056900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGKTKAKSIDLSFPSSKKNQSGLPAQKSGSQTQAESSTQKSPAEPSTQLIISGSTQKTCPSNYAMAIPTLLAFQDQGLTKLPSKSWKQIFGEEPDNLPENLKDIISSLAQSKVTLHPKQSGKQVVSQPQPITQKNPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPYGFLFKPNHVNKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSYKDFKNNQILKFSQPFDPIGYNYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYHFPQWFSNWWDSFGPIQKILPDSVLEGFNQFKSRFGNETPFHVSLHFFSKFSLAWIFTWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIAAAIAASASDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMNLEDSEEEEEVISE >Vigun03g113800.3.v1.2 pep primary_assembly:ASM411807v1:3:10433307:10437057:-1 gene:Vigun03g113800.v1.2 transcript:Vigun03g113800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARANFRKSRGAYGSYASEKDLFKVGGYTRIQDTTSHVNFNSDGKFSYVPTIKEHNFVNFAMRGISGTPYYQFPSANSQTVVEESELELENDQGRYAGLDATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRTVAEPVTRIQDALAKEPNSFFFLASCTGIDTGKHEVYCEAVNNGGLPREPYEFKVAYDKLVIAAGAEALTFGIKGVAENAFFLRDVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFITRDVHERYTHVKDYIHVTLIEANEILSSFDVSLRQYATKHLTKSGVHLKRGVVKEVHSKEIILSDGTKVPYGLLVWSTGVGPSEFVKALNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKFLVELFNKIGKQNGGKAFSAKGMPLGEPFVYKHIGSMASVGGYKALVDLRETKDAKGLSLAGFVSWMIWRSAYLTRVLSWRNRLYVAVNWATTLVFGRDNSRIG >Vigun03g113800.4.v1.2 pep primary_assembly:ASM411807v1:3:10434210:10437627:-1 gene:Vigun03g113800.v1.2 transcript:Vigun03g113800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARANFRKSRGAYGSYASEKDLFKVGGYTRIQDTTSHVNFNSDGKFSYVPTIKEHNFVNFAMRGISGTPYYQFPSANSQTVVEESELELENDQGRYAGLDATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRTVAEPVTRIQDALAKEPNSFFFLASCTGIDTGKHEVYCEAVNNGGLPREPYEFKVAYDKLVIAAGAEALTFGIKGVAENAFFLRDVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFITRDVHERYTHVKDYIHVTLIEANEILSSFDVSLRQYATKHLTKSGVHLKRGVVKEVHSKEIILSDGTKVPYGLLVWSTGVGPSEFVKALNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQKNLKERTCS >Vigun03g113800.1.v1.2 pep primary_assembly:ASM411807v1:3:10433239:10437769:-1 gene:Vigun03g113800.v1.2 transcript:Vigun03g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARANFRKSRGAYGSYASEKDLFKVGGYTRIQDTTSHVNFNSDGKFSYVPTIKEHNFVNFAMRGISGTPYYQFPSANSQTVVEESELELENDQGRYAGLDATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRTVAEPVTRIQDALAKEPNSFFFLASCTGIDTGKHEVYCEAVNNGGLPREPYEFKVAYDKLVIAAGAEALTFGIKGVAENAFFLRDVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFITRDVHERYTHVKDYIHVTLIEANEILSSFDVSLRQYATKHLTKSGVHLKRGVVKEVHSKEIILSDGTKVPYGLLVWSTGVGPSEFVKALNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKFLVELFNKIGKQNGGKAFSAKGMPLGEPFVYKHIGSMASVGGYKALVDLRETKDAKGLSLAGFVSWMIWRSAYLTRVLSWRNRLYVAVNWATTLVFGRDNSRIG >Vigun03g113800.2.v1.2 pep primary_assembly:ASM411807v1:3:10433474:10437563:-1 gene:Vigun03g113800.v1.2 transcript:Vigun03g113800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARANFRKSRGAYGSYASEKDLFKVGGYTRIQDTTSHVNFNSDGKFSYVPTIKEHNFVNFAMRGISGTPYYQFPSANSQTVVEESELELENDQGRYAGLDATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRTVAEPVTRIQDALAKEPNSFFFLASCTGIDTGKHEVYCEAVNNGGLPREPYEFKVAYDKLVIAAGAEALTFGIKGVAENAFFLRDVNHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFITRDVHERYTHVKDYIHVTLIEANEILSSFDVSLRQYATKHLTKSGVHLKRGVVKEVHSKEIILSDGTKVPYGLLVWSTGVGPSEFVKALNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKFLVELFNKIGKQNGGKAFSAKGMPLGEPFVYKHIGSMASVGGYKALVDLRETKDAKGLSLAGFVSWMIWRSAYLTRVLSWRNRLYVAVNWATTLVFGRDNSRIG >Vigun02g048800.1.v1.2 pep primary_assembly:ASM411807v1:2:18888408:18899102:1 gene:Vigun02g048800.v1.2 transcript:Vigun02g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCTSNSSDNNNHWRAEDAIGGNAEALQALRELIIFPHHFSREAQKLGLKWSRGLLLYGPPGTGKTSLVRAVVRECGAHLTIISPHTVHRAHAGESERILREAFSEASSHLAWGKPSVIFIDEIDALCARRDSKREQDVRLASQLFTLMDSNKPTYSTPGVVVVASTNRVDAIDPALRRYGRFDAEIEVTVPNEEDRYQILKLYTKKIPLDPSIDLKSIAALCNGYVGADLEALCCEATMIASKRSSNAKDASNFSLTMEDWKNARSVVGPSITRGVTVEIPKVTWEDIGGLKEVKKKLQQAVEWPIKHAAAFSRFGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSAAELYSMYVGEGEALLRKTFHRARLAAPSIIFFDEADVVAAKRGDSSSNSATVGERLLSTLLTEIDGLEETKGILVLAATNRPYAIDAALMRPGRFDQVLYVPPPDLEARYEILCLHTRKMRIGHDVDFRRLAEDTELFTGAELEGLCREAGIVALREDISAAVVFDRHFQIAKSSLKPALTKQEIDSYSSFKKTSSRVLPSHSEAGLKADKGKRNRSDSLSLVKIGVVSCFLVAAAKYIYLWGNQSLPDIPT >Vigun02g048800.2.v1.2 pep primary_assembly:ASM411807v1:2:18888408:18899103:1 gene:Vigun02g048800.v1.2 transcript:Vigun02g048800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCTSNSSDNNNHWRAEDAIGGNAEALQALRELIIFPHHFSREAQKLGLKWSRGLLLYGPPGTGKTSLVRAVVRECGAHLTIISPHTVHRAHAGESERILREAFSEASSHLAWGKPSVIFIDEIDALCARRDSKREQDVRLASQLFTLMDSNKPTYSTPGVVVVASTNRVDAIDPALRRYGRFDAEIEVTVPNEEDRYQILKLYTKKIPLDPSIDLKSIAALCNGYVGADLEALCCEATMIASKRSSNAKDASNFSLTMEDWKNARSVVGPSITRGVTVEIPKVTWEDIGGLKEKKLQQAVEWPIKHAAAFSRFGISPVRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSAAELYSMYVGEGEALLRKTFHRARLAAPSIIFFDEADVVAAKRGDSSSNSATVGERLLSTLLTEIDGLEETKGILVLAATNRPYAIDAALMRPGRFDQVLYVPPPDLEARYEILCLHTRKMRIGHDVDFRRLAEDTELFTGAELEGLCREAGIVALREDISAAVVFDRHFQIAKSSLKPALTKQEIDSYSSFKKTSSRVLPSHSEAGLKADKGKRNRSDSLSLVKIGVVSCFLVAAAKYIYLWGNQSLPDIPT >Vigun03g129600.2.v1.2 pep primary_assembly:ASM411807v1:3:12584756:12588048:-1 gene:Vigun03g129600.v1.2 transcript:Vigun03g129600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNREKKERVDFTIRQMGLQEATDTRIKGKGSKGLSEGQKRRLAICIQILTSPKLLLLDEPSSGLDSAASYYVMTRIASLKIRDGIRRTIVASIHQPSSEVFELFDHLCLLSSGETVYFGTTSAATEFFASNGFPCPSLHNPSDHFLRIINKDFILDDKEGLHIVVPEEEAVDTLVQFYKSSEICNQVQKEVAAIGESEYGFMGSSRTQTAFLTQCHVLLRRSSVHLFRDVTNYWLRLAMFTVAGISLGTIFFDVGSSSSSIQARGSLVSFVASVLTFITLLGGFPPFVEQMKVFQRERLNGHYGVAAFVISHTLSPIPYIVLMSLIPGVITYYLSGLHTGLEQCIYFSSVLFACILWVESLMMVVSSIFPNPNTGITVSGGVMGVMILTGGFFRLPNDLPKPFWKYPMYYVSFHKYAFQGLFKNEFIGLKLESGEDGGTFISDKEILTKIWQVEMGHSKWVDLAILMGIIVSYRLMFLAITKSKEKMKT >Vigun03g129600.1.v1.2 pep primary_assembly:ASM411807v1:3:12584757:12588048:-1 gene:Vigun03g129600.v1.2 transcript:Vigun03g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLHEPVQCNVTNYGSPDSSPTALIMQSKQEFVATVEEERKKSNERKEEGMFLTWEDLQVTVPNGRKGRKPILKGLTGYAKPGQLLAVMGPSGSGKSTLLDALAGRLVSSSKQTGKILINGHKHALAYGTSAYVTHDDAVLSTLTVGEAVSYSAHLQFPDSMSNREKKERVDFTIRQMGLQEATDTRIKGKGSKGLSEGQKRRLAICIQILTSPKLLLLDEPSSGLDSAASYYVMTRIASLKIRDGIRRTIVASIHQPSSEVFELFDHLCLLSSGETVYFGTTSAATEFFASNGFPCPSLHNPSDHFLRIINKDFILDDKEGLHIVVPEEEAVDTLVQFYKSSEICNQVQKEVAAIGESEYGFMGSSRTQTAFLTQCHVLLRRSSVHLFRDVTNYWLRLAMFTVAGISLGTIFFDVGSSSSSIQARGSLVSFVASVLTFITLLGGFPPFVEQMKVFQRERLNGHYGVAAFVISHTLSPIPYIVLMSLIPGVITYYLSGLHTGLEQCIYFSSVLFACILWVESLMMVVSSIFPNPNTGITVSGGVMGVMILTGGFFRLPNDLPKPFWKYPMYYVSFHKYAFQGLFKNEFIGLKLESGEDGGTFISDKEILTKIWQVEMGHSKWVDLAILMGIIVSYRLMFLAITKSKEKMKT >Vigun11g115800.1.v1.2 pep primary_assembly:ASM411807v1:11:32022129:32024146:-1 gene:Vigun11g115800.v1.2 transcript:Vigun11g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSVNCSSRGIVGGSKKPIGSDGESGWTSYLEDFSKGIEPSYCSSLDGSSLLSDAASSAAWKFSHHHHHLLSSPSFKGSNTTAPNPPKKLTFKKARAKQISQDDPLEDTASSPVNSPKVRDLNPGEMSSRKDDDQLGKGFTSSEHYSDLKRDDELKFNGKNVDCTELKKRGLCLVPLSLLVNYLG >Vigun06g050500.1.v1.2 pep primary_assembly:ASM411807v1:6:17606048:17612771:1 gene:Vigun06g050500.v1.2 transcript:Vigun06g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLTKDSEPERNGYRHAATGGVHTQKTHEPLVNQSRAPANQPYHIPEKHAASTAQTVPQMPWKPSGPAPSPKPVASVKQDTILGKQFEDVKQFYTLGKELGRGQFGVTYLCTENSTGIQYACKSISKRKLVSKSDKEDIKREIQIMQHLSGQPNIVEFKGAYEDRQSVHVVMELCAGGELFDRIIAKGHYSERAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSRDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVILYILLSGVPPFWAESEKGIFDAILEGHIDFESQPWPNISNSAKDLVRKMLIQDPKKRITSAQVLEHPWIKDGNAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENMSGEEIQGLKAMFTNMDTDKSGTITYEELKAGLQRLGSKLTEAEVKQLMDAADVDGNGSIDYIEFITATMHRHKLERDDQLFKAFQYFDKDNSGYITRDELESAMKEYGMGDDATIKEIISEVDTIISEVDTDNDGRINYEEFSAMMKSGNQQGKLF >Vigun06g075600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20611863:20613407:1 gene:Vigun06g075600.v1.2 transcript:Vigun06g075600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSWEESVTYSINAIYLLFSAYLVFVMQIGFAMICAGSVRAKNAMNIMLTNVVDAVVGSISFYLFGFAFAYGTSNAFIGTHLFALAHIPNATYDYAFFLYQWAFAIAVTGITSGSIAERTQFSAYLVFSFFLSGFVYPVAVHWVWSSSGWLSPSSTHLLLGSGAIDFAGSGVVHLVGAVAGLWGSIIEGPRTGRFDASGRPMPLRGHSATLVVLGTFLLWFGWFGFNPGSFDKILVSYPGTSDQGNWTSVGRTAVTTILAGSTAGIVTLFGRRLLVGHWDAMDVCNGLLGGFVAITSGCSVVEPWAAIICGFVSAWVLIGLNIVALKLNYDDPLEAAQLHGGCGTWGLLFTGLFAKEEFVIQVYNSGEIGVSRPYGVLLGGGWGLFGAQVIEILTIFGWVSVTMGPIFYALHKLEILRIPVHEEVTGLDISCHGGYAYAPENYHPRLYGDYMPIQHTLS >Vigun02g127700.2.v1.2 pep primary_assembly:ASM411807v1:2:27965992:27970181:-1 gene:Vigun02g127700.v1.2 transcript:Vigun02g127700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPRDMPSPSSIFSAYASMTASIMLLRSMANELIPHPIRGYLFNTFRYLIRPRSPTLTLIIEESTGIARNQVYDAAEAYLSTRVSPENERLKISKSPKEKKLTIRLEKGEKVVDCFNGACFKWRFICAESEKNNPNDHSNTNISVRSEKRSFELSFPKKLKEMVLDCYLPFILEKAKEMKDEERVLKMHTLNTSYCFSGVKWDSINLEHPSTFETLAMEPELKNAVIEDLDRFVKRREFYKRVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIFDLQLGNIVRDSDLRKLLLATANRSILVIEDIDCSVDLPERRHGDGRKQTDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCSYQGFKLLASNYLETSSEHPLFGEIEGLIEDIQITPAQVAEELMKNEDAEATLEAFVKLLKRKKMEGDVCENYGPQKAEPSKRRKVGCKQKRGVGISKSNIGVTQRRTRRMRRECSF >Vigun02g127700.1.v1.2 pep primary_assembly:ASM411807v1:2:27966069:27970145:-1 gene:Vigun02g127700.v1.2 transcript:Vigun02g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPRDMPSPSSIFSAYASMTASIMLLRSMANELIPHPIRGYLFNTFRYLIRPRSPTLTLIIEESTGIARNQVYDAAEAYLSTRVSPENERLKISKSPKEKKLTIRLEKGEKVVDCFNGACFKWRFICAESEKNNPNDHSNTNISVRSEKRSFELSFPKKLKEMVLDCYLPFILEKAKEMKDEERVLKMHTLNTSYCFSGVKWDSINLEHPSTFETLAMEPELKNAVIEDLDRFVKRREFYKRVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIFDLQLGNIVRDSDLRKLLLATANRSILVIEDIDCSVDLPERRHGDGRKQTDVQAHRASDGWMQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCSYQGFKLLASNYLETSSEHPLFGEIEGLIEDIQITPAQVAEELMKNEDAEATLEAFVKLLKRKKMEGDVCENYGPQKAEPSKRRKVGCKQKRGVGISKSNIGVTQRRTRRMRRECSF >Vigun09g247900.1.v1.2 pep primary_assembly:ASM411807v1:9:41562742:41566791:-1 gene:Vigun09g247900.v1.2 transcript:Vigun09g247900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLCFEGLDERRERKLSFENNSEDERWRRIGSLKKKALNASTKFRHSFKKKRSRKGCSRSNSLSIEDVRDVKELQAVDAFRQALMLDNLLPPIHDDYHTLLRFLKARKFDIEKAKHMWANMIQWRKEYGTDTIMEDFEFKELNEVLKHYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLERYLRYHVQSFEKAFAVKFPACSIAAKRHIDSSTTILDVQGVGFKNLTKSARELITRLQKIDGDYYPETLCQMFIINAGPGFKMLWNTVKTFLDPKTTSKIHVLGNKFQSKLLEILDESELPEFLGGTCTCVDQGGCMKSDKGPWQDPNILKMVLSGEMGCSKQIVTVNNDEGKVIECDKISYPMIRGGSDTSTGESGSEVEDIASPKACGNCISPMLTPVYEEARLVGKASDGGRVVEHVPMVDKAIVVGSMQKQATSRKLLCSTAGIILALYTFARSIAFRVTKGIRHSESGSASNVLNMAVGSTNKEESRPPLRAPGFTTTNLSSCTLKRLGELEEKVDMLQSKPNVMPYEKEELLNAAVYRVDALEAELIATKKALYEALIKQEELMAHIDSEEREKFKRKVCW >Vigun04g003700.6.v1.2 pep primary_assembly:ASM411807v1:4:249221:252444:1 gene:Vigun04g003700.v1.2 transcript:Vigun04g003700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHHQVHNKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYSSKPDIDSLRNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGRVLKEKESGKRDWKQVIKRRIEDTSMTLKKQANKYSSHIRQWWRGKKTTISKKGVKTEL >Vigun04g003700.5.v1.2 pep primary_assembly:ASM411807v1:4:248924:253004:1 gene:Vigun04g003700.v1.2 transcript:Vigun04g003700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHHQVHNKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYSSKPDIDSLRNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGRVLKEKESGKRDWKQVIKRRIEDTSMTLKKQANKYSSHIRQWWRGKKTTISKKGVKTEL >Vigun04g003700.3.v1.2 pep primary_assembly:ASM411807v1:4:248924:253004:1 gene:Vigun04g003700.v1.2 transcript:Vigun04g003700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHHQVHNKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYSSKPDIDSLRNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGRVLKEKESGKRDWKQVIKRRIEDTSMTLKKQANKYSSHIRQWWRGKKTTISKKGVKTEL >Vigun04g003700.2.v1.2 pep primary_assembly:ASM411807v1:4:248924:253004:1 gene:Vigun04g003700.v1.2 transcript:Vigun04g003700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHHQVHNKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYSSKPDIDSLRNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGRVLKEKESGKRDWKQVIKRRIEDTSMTLKKQANKYSSHIRQWWRGKKTTISKKGVKTEL >Vigun04g003700.4.v1.2 pep primary_assembly:ASM411807v1:4:248924:253004:1 gene:Vigun04g003700.v1.2 transcript:Vigun04g003700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSWVVVVTVVVAAWVPLSHCSKKPVGVARKEDIPYIRCQVCEKLAKELHHQVHNKQAQIAPKKISEYQIIEIAENVCNLKKAEADWILRIDIVEEGDRLVLEEQDSEGQCNSECKTIERACQEVIGYSDTDVAEYLYSSKPDIDSLRNYLCKDLTKACSTKPPPVPKNRAPGEPFAAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMNMKNFGGEDGDDEDEDEDEEANFPSKLGRVLKEKESGKRDWKQVIKRRIEDTSMTLKKQANKYSSHIRQWWRGKKTTISKKGVKTEL >Vigun02g012800.3.v1.2 pep primary_assembly:ASM411807v1:2:4865123:4868243:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEGDNMIDDDVKSDL >Vigun02g012800.1.v1.2 pep primary_assembly:ASM411807v1:2:4865143:4868243:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEGDNMIDDDIKLEEFHICSRTTHDFIKDDGSWERGF >Vigun02g012800.4.v1.2 pep primary_assembly:ASM411807v1:2:4865170:4868644:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEGDNMIDDDIKLEEFHICSRTTHDFIKDDGSWERGF >Vigun02g012800.6.v1.2 pep primary_assembly:ASM411807v1:2:4865170:4868644:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEGDNMIDDDVKSDL >Vigun02g012800.5.v1.2 pep primary_assembly:ASM411807v1:2:4865170:4868644:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEDKIRGVSHLLKNNT >Vigun02g012800.2.v1.2 pep primary_assembly:ASM411807v1:2:4865123:4868368:1 gene:Vigun02g012800.v1.2 transcript:Vigun02g012800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPREEPSLDFRPSFVPKIVNEFLCHLSSTPNPCNRMSLLQEFLTRLELELVKIQAFKRELPLCMFLLNDAISALNEELAKIRTFKSEPVLEEFIPLKTLKREYEEKEDSEKEEKYRDKNDWVSSFQLWNTEDEAHCNRNNAYRSEQKQKKSKEEERQSMEKDYFQHGRNRNVERGFAMPLSTYLSTEEEGVVDGLSLRTPATAVKNTREGRGSRISSCRVVSSATSPLLLPQSGRKQRRCWSPELHHRFVKALEELGGSQATPKQIRELMRVDGLTNDEVKSHLQKYRLHTRRAPAATAANSSNSGADLGGLWMHKEALKGTSSCSPQGPLQFATQSGEATSTTEDKIRGVSHLLKNNT >Vigun09g253400.1.v1.2 pep primary_assembly:ASM411807v1:9:42024664:42028499:-1 gene:Vigun09g253400.v1.2 transcript:Vigun09g253400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKRPIHTVTTWFRRQPPKMKVFLAVLIGLAALLFLRIVVHDHDSLFVAAEFVHALGISVLIYKLTKEKTCAGLSLKSQELTAIFLGVRLYCSFVMEYDIHTLLDMATLGTTIWVIYMIRFKLKSSYMDDKDNLAIYYVVIPCAVLSLFIHPTTRHHTVNRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVIDTHGRLLTALGYGLWPSFVLLSEIVQTFILADFCYYYVKSLVGGQLVVRLPAGVV >Vigun06g119900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24777501:24778403:-1 gene:Vigun06g119900.v1.2 transcript:Vigun06g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTQKVPILFLLNFLALVFRTNAGGDTVIYWGQNETEGSLTETCNSGLYNIVNIAFLARFGGGRKPEINLAGHCDPASNGCKFLSTDIKNCQKRGIKVILSIGGGEPGYSLSSAGDATNVADFIWNNFLGGESRRRPLGDAVLDGVDFDIEVGGGEAFYAVLARRLSRHSRGGGRKVYLTAAPQCPFPDEHQNGALSTGLFDFVWVQFYNNDCQFDSGDPSKFQNSWKQWVSSIKARKIYLGLPASPSAAGSGFVPTQTVIREVLPFVKRSRKYGGVMLWDRSADKQTGFSRTIKGSV >Vigun11g015300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1899457:1902896:1 gene:Vigun11g015300.v1.2 transcript:Vigun11g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVIEDLGYLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESVIYGRDNDKEFLIQWLTSDTHNNLSILSIVGMGGLGKTSLAQHVFNDQRIKDKFGIKAWVSVPQEFDVLKVSRAILDTITGSTERSMQQEVIQRSLKEELAGKKFLLVLDDVWNENPSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKHHLEILKEDYCWELFAKHAFQGANPQPDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSFLWEWENIMRSDIWDLSENESGILPALKLSYIHLPSHLKKCFAFCALLPKGYQFDKDILIQWWMAQNFLESPIQKKSPTEAGEQYFNDLLSWSFFQQSSNQDEERFIMHDLLNDLAKYVCKDVCISIGVDEQEGISKTTRHCSFLSEFCFDGFGSSIDTQKLHTFTQTDPNSGWVWDCKMSIDDLFSRFKLIRVLSLNRCRILTDVPESIGNLKHLRSLDLSWTQIEKLPDSMSLLYKLQILKLNECRRLKQFPSCLHKLQNLRCLELVGIGVENVAAHLGKLKNVQVSMSSFHVEKSKEINIQQLGQLNLHGSLTIDDLQNIENPSYALEANLESKPHLAELWLTWNFIDSSFVDSEKVEDVVENLRPLKYLKKLSIWNYIGKHFPNWLLHNSLPNLVSLVFVDCRSCERLPPLGLLPFLNHLSIVGLDGIMSIIDADFHGNNSSSFKSLQRLYFSDMRQWEKWDCQAVRGAFPRLQHFSIKNCPKLRAHLPKFVALKDLYVSNCEQLEALIVSAIELRLQDCGKLQLERSTMKKLTMDGHGMAASSVAMVGHMLFDTSLEYFSICSPLETISDDCVSLKIFPLDFFPKLRTLKLSGFPDLQMISKDHVLNHLRHLTIDNCPKFESLPANMHMLLPSLIGIDIKDCPRLESFPEGGLPSNLKYITLNNCSRLHVSLKGAFRDGSSLEILTINNVEAECFPEEGLLPLFLTSLIIHDCRNLKKLNYKGLLQLSSLGTLRLWNCPNLQCLPEEGLPESVSSLDIQDCPLLEQRYGKGGEDRKKIAHIRDLWIW >Vigun04g133950.1.v1.2 pep primary_assembly:ASM411807v1:4:33695909:33696631:1 gene:Vigun04g133950.v1.2 transcript:Vigun04g133950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEAVGFVDRAFAILYDDDLERQWTLRDEEGNRHVVTYNKNLQKPMLIGGWTELRHLYELHDFHTIYFGYVGDSCFHITVFPSKCKPLSIARFLKRIEADQPFFNGPKLHFFIFLNLNQCNASHLDLPADSGNYLRQGRFKYIFLYGPRKIVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFDQTIDLVFEVDHMKSN >Vigun03g339700.1.v1.2 pep primary_assembly:ASM411807v1:3:53796471:53799381:-1 gene:Vigun03g339700.v1.2 transcript:Vigun03g339700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKKSHLKDAKPSSLESWTNPFDSDDEEKNTKKYSSSRKTSSDRALVTLEVNTNPFNDDVDATKKSSLTSYALQSANRNRYKNDFRDSGGLENQSVQELESYAVYKAEETTNSVNNCLKIAENIREDATKTLVTLHQQGEQITRSHHVAADIDHDLSRGEKLLGSLGGLFSKTWKPKKTRAITGPVIVGDDPVRSKGNHLEQREKLGLTSAPKGQSKLRTPPQEPTNALEKVEIEKNKQDDALSDLSDLLGELKGMAVDMGSEIERHNKALNHLYDDVDELNFRMKGANQRGRRLLGK >Vigun03g339700.2.v1.2 pep primary_assembly:ASM411807v1:3:53796540:53799350:-1 gene:Vigun03g339700.v1.2 transcript:Vigun03g339700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKKSHLKDAKPSSLESWTNPFDSDDEEKNTKKYSSSRKTSSDRALVTLEVNTNPFNDDVDATKKSSLTSYALQSANRNRYKNDFRDSGGLENQSVQELESYAVYKAEETTNSVNNCLKIAENIREDATKTLVTLHQQGEQITRSHHVAADIDHDLSRGEKLLGSLGGLFSKTWKPKKTRAITGPVIVGDDPVRSKGNHLEQREKLGLTSAPKGQSKLRTPPQEPTNALEKVEIEKNKQDDALSDLSDLLGELKGMAVDMGSEIERHNKALNHLYDDVDELNFRMKGANQRGRRLLGK >Vigun09g182500.2.v1.2 pep primary_assembly:ASM411807v1:9:35614621:35617429:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWRYLPS >Vigun09g182500.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35614620:35617338:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWR >Vigun09g182500.3.v1.2 pep primary_assembly:ASM411807v1:9:35614653:35617339:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWRYLPS >Vigun09g182500.4.v1.2 pep primary_assembly:ASM411807v1:9:35614653:35617271:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWRYLPS >Vigun09g182500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35614621:35617339:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWR >Vigun09g182500.1.v1.2 pep primary_assembly:ASM411807v1:9:35614621:35617338:-1 gene:Vigun09g182500.v1.2 transcript:Vigun09g182500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRAEAERLLAIGEKLLQSRDLSGSRDFAILAQEAEPLLDGSDQILAIVDVLVAAEKPIHNSHLDWYAILQVDRTCQDLDLIRKQYRRLGLLLHPDKNPFSLADHAFKLVTDAWAVLSDPVQKTLYDRDVAGSVETASFWTACPYCYFLFEYPADCEGCCLRCQNCERSFHGLSIPSLPRSCRARRPIIVTGGACPWALCLELWAPMGLGVCPIMARRLLRMGLLRNWVPVLRGSREGLKRGCEFFWLVFRLEQLKSRNQWRYLPS >Vigun11g010700.1.v1.2 pep primary_assembly:ASM411807v1:11:1299212:1316654:-1 gene:Vigun11g010700.v1.2 transcript:Vigun11g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELVGGTLLSVFLNVAFEKLASPQILDFFRTRKLDEELLNKLKTKLNSIHSLVDDAERKQFTNPHVKNWLLEVKDAVLDAEDLLDDLQMLYKRQVDADSESQTSACCTFKVLNFFKSSPISSYNKEIESRMQKVLDKLDTLLSQRGNLGLKTVRVVGSGLSNELPQKSQTTSLVVGTDIYGRDDDKKQIVDWLISDTNNSNQPSILSIVGMGGVGKTTLAQHVFNDPNVDEAKFDVKAWVCVSDEFDVFKVSRAILEAVTKSTDDSGNLEMVHTRLKENLTEKKFLLVLDDVWNENQCNWEEVHKPLMFGVQGSRILVTTRSKEVASTMRSEIHSLKQLQENDCWNLFVKYAFKDDDTQQNLEFTEIGKKIVEKCKGLPLALKTMGNLLYNKSSVSEWESVFQSEIWEFSQERCDIIPALAVSYIHLPSHLKVCFAYCALFPKDYEFKKEHLMHLWMTENLLQCPSEKVCQQYFNDLLSRSFFQQSDEEEEVFMMHDLLNDLAKYVGGGIYFRWEVGQKEKIQKVTRHYSVELGHNQYFNGFETPCNTKRLRTFMLTSRGIDPFTRWGANMSIHEFFSKFRLLRILSLSCCDIKELPDSVANLEHLQLLDLSFTVLKTLSEKICSLCHLQILKLNYCRYLEELPSNLHLLTSLCHLELISNNLKKLPPSLGKLKNLKVVMESFNVGRGGEFSIQQLGELNLDGSLSIGELQNIENSVDALEAYLKNKTLLVKLKLQWRRNRDSIDSKKEEEVIKNLQPSKNLKELSILNYGGKQFPNWLHLLSNLVSLELDRCKSCERLPPLGLLSNLKDLIIHRLDGIVSIDADFYGSNSSSFKSLQSLTFANMGQWEKWECKAGGFPNLQTLYISDCPKLKGELPEQLVPLKILHITHCEQLEASAPKALDLIAVYCGKLHLDWATMKSLMMQAPLLEIVWSNTVEYLHINWMSISDDCVAGRIFSLDSLPRLRELYLGRFPNLEMISQDHVHDHLEGMTIVECPKFESLPANMHTLLPSLNELHIGDCPKLESFPEGGLPSNLKFMKLNNCSRLVVGSLKGAFRDDPSLKRLSIEKVDVECFSDEGVFPLSLTELYIRDCPNLEKLDYKVSQLSSLKSLTLSICPNLQCLPEEGLPSSISDLHIISCPLLKQRYQKGSEDWEKIAHIQNLLIE >Vigun08g143100.1.v1.2 pep primary_assembly:ASM411807v1:8:31553580:31562507:1 gene:Vigun08g143100.v1.2 transcript:Vigun08g143100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKCLALVGGGALLGSVSTFFLLRLLQSQKRGVSQCSKNVTADFNGVEGCSISGKKNDRVVNEDLLKDEIVSEQLTRNIQFFGFESQQKVTGSYVVVIGLGGVGSHAATMLLRSGIGKLLLVDFDQVSLSSLNRHAVATRADVGISKAQCLKEYYLSVFPECQVDAKVLLYDSSTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRESTNDPLSRAVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQIIPGFRVRIIPVLGTIPAIFGQFMASYVVTELAGFHVQTEPVVNFDMDHYHTLHQRLIEHEESLYGTSTEVLVDVEEVIYIVKELWNGRSARERHVKDVGRGMWRSVNELMLVRWDITKPASVSNLILLKFKEVDEHESRTLDDIKENEPEFYSRVTAVLKRAELDFGL >Vigun08g143100.2.v1.2 pep primary_assembly:ASM411807v1:8:31553580:31562508:1 gene:Vigun08g143100.v1.2 transcript:Vigun08g143100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNACFDVCRRGVSQCSKNVTADFNGVEGCSISGKKNDRVVNEDLLKDEIVSEQLTRNIQFFGFESQQKVTGSYVVVIGLGGVGSHAATMLLRSGIGKLLLVDFDQVSLSSLNRHAVATRADVGISKAQCLKEYYLSVFPECQVDAKVLLYDSSTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRESTNDPLSRAVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQIIPGFRVRIIPVLGTIPAIFGQFMASYVVTELAGFHVQTEPVVNFDMDHYHTLHQRLIEHEESLYGTSTEVLVDVEEVIYIVKELWNGRSARERHVKDVGRGMWRSVNELMLVRWDITKPASVSNLILLKFKEVDEHESRTLDDIKENEPEFYSRVTAVLKRAELDFGL >Vigun04g199700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42372062:42372699:1 gene:Vigun04g199700.v1.2 transcript:Vigun04g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKLGLLLFLFLMTLFPFQLKACEGRVVASEVEDMLFSPSKVDVIIINFMASKNLTVHCKDKHHDLGAQILGYGQRFTFSFKPNIIARVTLYFCRFAWVGASHHFDIYDEDRDDCDR >Vigun01g215800.2.v1.2 pep primary_assembly:ASM411807v1:1:38952865:38954638:1 gene:Vigun01g215800.v1.2 transcript:Vigun01g215800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYQDSCAGGGVKGECSSMPNGKAKMWEEDRHQQGGGMDELLAALGYRVRASDMADVAQKLEQLEMVMGSAQEDGISHLASDTVHYDPTDLHSWVQSMLAELNPEPTNTILDPSSFLIQNPSHSSSILTTNSRSHSWDSRIPPQPAPTINSSTATTTTTTTTTTKTVEEIETPTNKRLKASPIESSESASEPTRPVVLVDSQEAGVRLVHTLMACAEAVQQENLKLADALVKHVGILAASQAGAMRKVASYFAQALARRIYGIFPEETLDSSFSDLLHMHFYESCPYLKFAHFTANQAILEAFATAGRVHVIDFGLKQGMQWPALMQALALRPGVGWKLAQLAQTIGVQFEFRGFVCSSLADLDPNMLEIRPGEAVAVNSVFELHRMLARPGSVDKVMDTVKKLNPKIVTIVEQEANHNGPVFLDRFTEALHYYSSLFDSLEGSSTSTGLGSPNQDLLMSELYLGRQICNVVAYEGVERVERHETLSQWRGRMGSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKLPAPES >Vigun08g078800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15538665:15539799:1 gene:Vigun08g078800.v1.2 transcript:Vigun08g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLIYFTPFSSSSFNNQQNHAFISIKTTFIMFPNLDLILLTLLHASFVIFVLLLLIFIIFFLLSLAFFLALSSLFLFDFHHCSSLLSQYFNMVKDDLQLALVLLIVFFTHQALDFVTVSKPWHKRNRLGEFGVKPQKCSKRYHIHGYTLQ >Vigun02g066650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21657180:21657857:1 gene:Vigun02g066650.v1.2 transcript:Vigun02g066650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHLALLLILLFHHTNDHLHIPVVNSMADVCTDFNVLFDDVLDSDFDVDAQGAELHVDFDVDETVACSDFASNMIADLDPNSAVAFLFNECDTAADVISSEIPEISECLFRTDCSSIEANAVDFEAPPIFVEDLAAESDFVSDLDLDMHIVADLNAEFNTKSTGAVQFAKFVMVEAVVRELKVLSLVLHVQQPLMDRELLQLQENGWQNQFNAHLETLARLVKH >Vigun10g117900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32419706:32422693:1 gene:Vigun10g117900.v1.2 transcript:Vigun10g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRTLILFMAFMVTKSVAVMEKQTYIVHMDKIKMEALVQSQGLAKPWFKSVIDFISEASNEEEEEGDPQLLYVYETSLFGFAAQLSDKQLEYLNQVDGFVAALPDELLTLHTTYSPQFLGLQEGKGLWSASNLASDVIIGVLDTGIWPEHISFQDTGLSKVPSRWKGACEAGTNFSASSCNKKLVGARVFLQGYEKFAGRINETMDYRSARDAQGHGSHTASTAAGNMVKNASFFGLASGSATGMRYTSRIAAYKVCWRLGCANSDILAAIDKAVADGVDVLSLSLGGSARPYYNDSIAIASFGATQKGVFVSCSAGNSGPFSSTVGNVAPWIMTVAASYTDRSFPTQVKLGNGKLFKGSSLYKGKQTNQLPLVYVNSSKEHRTSQYCTKGSLDPKVVKGKIVACERGINSRTGKGEEVKMAGGAGMILLNSENQGEELFADPHVLPGTSLGSSASKTIRSYIHSAKAPTASISFLGTAYGDPAPVMAAFSSRGPSAVGGDVIKPDVTAPGVNIMAAWPPITSPSLLKSDTRSVLFNIVSGTSMSCPHVSGIAALIKSVHKGWSPAAIKSALMTTASVSNNKGAAITDYGSKNSAFADPFAFGSGHVNPERASDPGLVYDITTKDYLNYLCSLNYTPSQIVLLSKGKFKCSKNSALQAGDLNYPSFSVIFGTINASVTFKRVVTNVGNPKISYAVKVEEPKGVSVRVEPMNISFRKTGEKLSYKVSFVSNGNTTVTGGSSFGSLTWVSGKYAVRSPIAVTWQ >Vigun10g117900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32419661:32422693:1 gene:Vigun10g117900.v1.2 transcript:Vigun10g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRTLILFMAFMVTKSVAVMEKQTYIVHMDKIKMEALVQSQGLAKPWFKSVIDFISEASNEEEEEGDPQLLYVYETSLFGFAAQLSDKQLEYLNQVDGFVAALPDELLTLHTTYSPQFLGLQEGKGLWSASNLASDVIIGVLDTGIWPEHISFQDTGLSKVPSRWKGACEAGTNFSASSCNKKLVGARVFLQGYEKFAGRINETMDYRSARDAQGHGSHTASTAAGNMVKNASFFGLASGSATGMRYTSRIAAYKVCWRLGCANSDILAAIDKAVADGVDVLSLSLGGSARPYYNDSIAIASFGATQKGVFVSCSAGNSGPFSSTVGNVAPWIMTVAASYTDRSFPTQVKLGNGKLFKGSSLYKGKQTNQLPLVYVNSSKEHRTSQYCTKGSLDPKVVKGKIVACERGINSRTGKGEEVKMAGGAGMILLNSENQGEELFADPHVLPGTSLGSSASKTIRSYIHSAKAPTASISFLGTAYGDPAPVMAAFSSRGPSAVGGDVIKPDVTAPGVNIMAAWPPITSPSLLKSDTRSVLFNIVSGTSMSCPHVSGIAALIKSVHKGWSPAAIKSALMTTASVSNNKGAAITDYGSKNSAFADPFAFGSGHVNPERASDPGLVYDITTKDYLNYLCSLNYTPSQIVLLSKGKFKCSKNSALQAGDLNYPSFSVIFGTINASVTFKRVVTNVGNPKISYAVKVEEPKGVSVRVEPMNISFRKTGEKLSYKVSFVSNGNTTVTGGSSFGSLTWVSGKYAVRSPIAVTWQ >Vigun09g029300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2428814:2429623:-1 gene:Vigun09g029300.v1.2 transcript:Vigun09g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLKFFWVLVLCTVAMAHQTIALETLETLQGKSLIQKVCTFSATRNLCIEVLSSDPYRSPNANLRDLTIISLRVAATNASGILGETNILIDDNKISPDVQQGLSNCKKTILNAESQMEDSIDALKVDSKGEIQLWLKAALAAIDTCNASIPGDDDILSVEIVAFHKLCNIAITVTNLLLNPIKL >Vigun10g057800.1.v1.2 pep primary_assembly:ASM411807v1:10:10776994:10778085:-1 gene:Vigun10g057800.v1.2 transcript:Vigun10g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGSSCSCGSNCGGCKMYPDLSYAEKTTTETLVLGVAAVKAHIDGAEMDVGAENGGCKCGSNCTCDPCNCK >Vigun10g057800.2.v1.2 pep primary_assembly:ASM411807v1:10:10777034:10778072:-1 gene:Vigun10g057800.v1.2 transcript:Vigun10g057800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGSSCSCGSNCGGCKMYPDLSYAEKTTTETLVLGVAAVKAHIDGAEMDVGAENGGCKCGSNCTCDPCNCK >Vigun06g207700.2.v1.2 pep primary_assembly:ASM411807v1:6:32108253:32120110:-1 gene:Vigun06g207700.v1.2 transcript:Vigun06g207700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSKLCFNSDCKELKPERPKKGWRLRSGELAELCDRCGSAFEEGRFCEIFHSNASGWRSCETCRKRIHCGCIVSSHSFMLLDPGGIECYACARKNIIMPSNLPWPQSFSLQNRLTDRLRDLSTKGWNQLAGSGPVPWKQAPSLFNSASSSDLIPDVPSLAELSNSFDKMYCNERLPASALEKKSEDYTGLPVHWNVTICPREMKLMNGMRNEDKASSCLNMCQQPSSLKEESSPQPFGLPVPNSCQNERNGQLGVTGSHPQQTPPPPGKQFNGTMHLAPDSSGEAQVRNGRPRADARGRNQLLPRYWPRCTDLELQQISIDSNSVITPLFQKTLSASDAGRIGRLVLPKKCAETYFPPISQPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKASSAVPSDQDNETNKSGNGFSAHGEVELADPNSWSKVDKSGYIAKEALGSKSLISRKRKSGMLGSKSKRLRIENEDLIELKITWQEAQGLLRPPPSHVPSIVVIEGFEFEEYEEAPVLGKPTIFTSDNVGEKIQWAQCEDCLKWRKLPASALLPSKWTCADNSWDPERSSCSAAQELTAEQLENLLPPCNSAVPKKMKAAKQDPDNAEALEGLDTLANLAILGEGEALPASAQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQSEKEAETTRKKQQQQHSQPLPSSEILLDEDSLPCSNTGDSSPNQNKEGNDGSDDDPNRIKSSASPFKGQIDLNIQPEREEELSPGSDSGGMMKLLHDATERYLKQQTVNSGTGDSGGSQSQLVGDAMREDKLSNGVTHGSSSHSTDKEHAQSLSMNV >Vigun06g207700.1.v1.2 pep primary_assembly:ASM411807v1:6:32108387:32120110:-1 gene:Vigun06g207700.v1.2 transcript:Vigun06g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRDQAAQTVAVVDSLGFRAAMASASSSSSKLCFNSDCKELKPERPKKGWRLRSGELAELCDRCGSAFEEGRFCEIFHSNASGWRSCETCRKRIHCGCIVSSHSFMLLDPGGIECYACARKNIIMPSNLPWPQSFSLQNRLTDRLRDLSTKGWNQLAGSGPVPWKQAPSLFNSASSSDLIPDVPSLAELSNSFDKMYCNERLPASALEKKSEDYTGLPVHWNVTICPREMKLMNGMRNEDKASSCLNMCQQPSSLKEESSPQPFGLPVPNSCQNERNGQLGVTGSHPQQTPPPPGKQFNGTMHLAPDSSGEAQVRNGRPRADARGRNQLLPRYWPRCTDLELQQISIDSNSVITPLFQKTLSASDAGRIGRLVLPKKCAETYFPPISQPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKASSAVPSDQDNETNKSGNGFSAHGEVELADPNSWSKVDKSGYIAKEALGSKSLISRKRKSGMLGSKSKRLRIENEDLIELKITWQEAQGLLRPPPSHVPSIVVIEGFEFEEYEEAPVLGKPTIFTSDNVGEKIQWAQCEDCLKWRKLPASALLPSKWTCADNSWDPERSSCSAAQELTAEQLENLLPPCNSAVPKKMKAAKQDPDNAEALEGLDTLANLAILGEGEALPASAQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQSEKEAETTRKKQQQQHSQPLPSSEILLDEDSLPCSNTGDSSPNQNKEGNDGSDDDPNRIKSSASPFKGQIDLNIQPEREEELSPGSDSGGMMKLLHDATERYLKQQTVNSGTGDSGGSQSQLVGDAMREDKLSNGVTHGSSSHSTDKEHAQSLSMNV >Vigun10g092400.1.v1.2 pep primary_assembly:ASM411807v1:10:26466186:26471604:-1 gene:Vigun10g092400.v1.2 transcript:Vigun10g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKRWDSQNDNNPFQVLTEELIFLILDFLETAPLDKKSFALTCKAFYAAEARHRRALRPLRTEHLPALAARYPSVTDLDLSLCPRVGDGVLALVAGAYGETLRRLDLSRSRWFTGSGLLNVGARCGNLVELDLSNATELRDAGVAAVARAKNLRKLWLARCKLVTDMGIGCIAVGCRKLRLICLKWCVGIGDLGVDLVAIKCKELTSLDLSYLPITEKCLPSIFKLQLLEDLVLEGCFGIDDDSLDVDLLKQGCKSLKKLDISGCQNISHTGLSKLTSISGGLEKLILADGSPITLGLVDGLNKLPMLQSIILDGCPVTSEGLRAIGSLCISLRELSLSKCLGVTDEALSFLVSKHKDLRKLDITCCRKITDGSIASIANSCTGLTSLKMESCTLVPQEAFVLIGQKCHYLEELDLTDNEIDDEGLMSISSCSRLSSLKIGICLNITDRGLTYVGMFCSKLEELDLYRSTGVTDVGISAIAGGCPDLEILNTSYCTSITDKALISLSKCSKLKALEIRGCILVTSLGLAAIAMNCRQLTRLDIKKCYDIDDSGMIPLAHFSKTLRQINLSYSSVTDVGLLSLASISCLQSFTMLHLQGLVPGGLAAALLACGGLTKVKLHLSLRSLLPELLIRHVEARGCVFEWRDKEFQAELDPKCWKLQLEDVMQ >Vigun03g328000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52482632:52483798:-1 gene:Vigun03g328000.v1.2 transcript:Vigun03g328000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLDFSSTFQRPHPTAIIMPSPSFPLSLTLHNHHHYPLNPSFIRNIIIIIIIHQPFAPDHHHLHLHLHHHKHHTQQQHNQGTSKHAAASLTKPYFRSYLASANLYTC >Vigun05g121851.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13426131:13429066:-1 gene:Vigun05g121851.v1.2 transcript:Vigun05g121851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPIYLLIVIVIVIVEACKYSSLSLCGDARSYAVETCFLLSKMSNSMSLDLSCLYLLLQFE >Vigun09g033500.1.v1.2 pep primary_assembly:ASM411807v1:9:2896609:2901084:-1 gene:Vigun09g033500.v1.2 transcript:Vigun09g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNLAFSIAIAVLCLPCTVFTEANFKVPREIASKFSRDPQILSLASTDYGHIVHETPSQVFEPSSVSDISSLIKFSNSLPTPFTIATRGKAHSILGQAQARRNGVVLNMTNLKGSLVSVSNCSGKSLNCYADVGGEVLWIDVLHATLERGLTPLSWTDYLYLSVGGTLSNAGISGQAFRFGPQISNVLQLDVVTGKGELVSCSRDKNSELFYGVLGGLGQFGVITRARIPLGPAPTRVKWLHLLYNNFNAFSRDQEHLISFSENKNTTAADYVEGMLLFNQPPLDLSFYPDSDHQRITSLVTQNGIIYIIELVKYYDSNSQVAKDVADLVKGLNFVPTFIFEKDASYEEFLNRVYAEELFLRSKGLWEVPHPWLNIWIPRSRISDFNEGVFNNIILKQNISSGISLIYPLNRNKWDDKMSPVTPEEDVFYAVSLLRATSSIDMVKKYESQNQQILEFCKGAGIKITEYLTGNKTQKQWMEHFGSKWKLFADRKAQFDPKRILSPGQGIFQ >Vigun11g056000.1.v1.2 pep primary_assembly:ASM411807v1:11:10596955:10600700:-1 gene:Vigun11g056000.v1.2 transcript:Vigun11g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPNFFSAVNVGRSKLPPFLLSVSAAASPSGNRNPPPLLMAPRDSMVAEYAKSNRSLCKACSKAIGGKTLRLALVSKGSPYDVVKWHHLHCFPVASHSPPSPQAIKGFSTLKSSDQEALIKLFAENGKSEEVCKATEDVENELQETEERDSKRKSCGHLMLKLR >Vigun03g006800.1.v1.2 pep primary_assembly:ASM411807v1:3:464762:466536:1 gene:Vigun03g006800.v1.2 transcript:Vigun03g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVINLESINGEERKAVLEKIEDACENWGFFELVNHGIPLELLDTVERLTKEHYRKSMEQRFKEAVASKGLEGAQGEIKDMDWESTFFLRHLPHSNISEIPDLSEDYRKAMKEFAEKLEKLAEKLLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVANYPPCPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGNWVDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVITRTDGTRMSIASFYNPAGDAVIYPAPALLEKKTEVIDKVYPKFVFEDYMRLYATLKFQPKEPRFEAMKSVNTV >Vigun06g079000.3.v1.2 pep primary_assembly:ASM411807v1:6:21060525:21064531:-1 gene:Vigun06g079000.v1.2 transcript:Vigun06g079000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKEEDLSPDSQLSSHFFLDLLDSIIVDVASECHRVARLGLDSNLEEEDEELKLSSQARVRVADPSNSNEANGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTVAQNR >Vigun06g079000.4.v1.2 pep primary_assembly:ASM411807v1:6:21060525:21064530:-1 gene:Vigun06g079000.v1.2 transcript:Vigun06g079000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKEEDLSPDSQLSSHFFLDLLDSIIVDVASECHRVARLGLDSNLEEEDEELKLSSQARVRVADPSNSNEANGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTVAQNR >Vigun06g079000.1.v1.2 pep primary_assembly:ASM411807v1:6:21060525:21064530:-1 gene:Vigun06g079000.v1.2 transcript:Vigun06g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKEEDLSPDSQLSSHFFLDLLDSIIVDVASECHRVARLGLDSNLEEEDEELKLSSQARVRVADPSNSNEANGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTVAQNRYSRSSPVSAHSPYSNSNYSTNNMNRLPNGTSNVAGEEHSNGTLES >Vigun06g079000.7.v1.2 pep primary_assembly:ASM411807v1:6:21060525:21064530:-1 gene:Vigun06g079000.v1.2 transcript:Vigun06g079000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKEEDLSPDSQLSSHFFLDLLDSIIVDVASECHRVARLGLDSNLEEEDEELKLSSQARVRVADPSNSNEANGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTVAQNR >Vigun06g079000.2.v1.2 pep primary_assembly:ASM411807v1:6:21060525:21064530:-1 gene:Vigun06g079000.v1.2 transcript:Vigun06g079000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKEEDLSPDSQLSSHFFLDLLDSIIVDVASECHRVARLGLDSNLEEEDEELKLSSQARVRVADPSNSNEANGKYVVDIFGQTHPPVANEIFQCMNCGRSIMAGRFAPHLEKCMGKGRKARLKVTRSSTVAQNRYSRSSPVSAHSPYSNSNYSTNNMNRLPNGTSNVAGEEHSNGTLES >Vigun05g273601.1.v1.2 pep primary_assembly:ASM411807v1:5:46416518:46419225:1 gene:Vigun05g273601.v1.2 transcript:Vigun05g273601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALERRQWGQVEEYNCRPLCVRISFHFDRWPPKASAQKLLLCCFGSTMEFTGAEKNKHDFYFGPQLIKELFMIDSVEDIK >Vigun07g265500.1.v1.2 pep primary_assembly:ASM411807v1:7:38113420:38119980:1 gene:Vigun07g265500.v1.2 transcript:Vigun07g265500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMLTMSIAMSMSFQSDKHKVICRGFSTFSSLPRATAPFRLRALSTAVTDKPSICTADELHYVSLSNSDWKLALWRYYPSPLAPPRNHPLLLLSGVGTNAIGYDLSPESSFARYMSGQGFETWILEVRGAGLSLQGSNSKDIEQSANAKSEKMEAASEIVTATNGTMASNKELNNISCTVSEPEIPPVLNGVETENVAIKGDLTRLATVWDESKLVARLTETFMFLSERVSGFLSESQSRVMFSKFLDQISKLLVDSQLYEQFNEVRAKLSTLFETKQNSGITSQITDLSQKLVNIIEEGQLSVSPPLYDLQARFTSTIEDFQKQLDLIVKYDWDFDNYLEEDVPAAIEYIVKQSMPKDGKLLAIGHSMGGILLYSMLSRFGSERKDPNIAAVVTLASSLDYTSSKSALKLLLPLADPAQALNVPVVPLGALLAAAYPLSSRPPYVLSRLNTLISAEDMMDPDLLKRLVLNNFCTIPAKLLLQLTTAFRERGLCNRNGTFFYKDHLHKISTPILAIAGDQDLICPPEAVEETVKLIPEHLVTYKVFGEPGGPHYAHYDLVGGRLAVEQVYPSIIEFLSCHDK >Vigun03g227100.1.v1.2 pep primary_assembly:ASM411807v1:3:37760497:37766064:-1 gene:Vigun03g227100.v1.2 transcript:Vigun03g227100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSVEKPMRPLFNAGSIYFKSSLPDEAVYDKERPGVTWPKQLNAPLEAVDPEIANIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKTATLFRPKLIVAGASAYARLYDYDRVRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKETNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSFKFAQALSDRGYELVSGGTDNHLVLVNLKTKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDAAVKLALKVKAESQGTKLKDFVATIQSSSTIQSEIAKLRHDVEEYAKQFPTIGFDKATMKYKN >Vigun03g227100.2.v1.2 pep primary_assembly:ASM411807v1:3:37760497:37766064:-1 gene:Vigun03g227100.v1.2 transcript:Vigun03g227100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSVEKPMRPLFNAGSIYFKSSLPDEAVYDKERPGVTWPKQLNAPLEAVDPEIANIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKTATLFRPKLIVAGASAYARLYDYDRVRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKETNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSFKFAQALSDRGYELVSGGTDNHLVLVNLKTKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEFFDAAVKLALKVKAESQGTKLKDFVATIQSSSTIQSEIAKLRHDVEEYAKQFPTIGFDKATMKYKN >Vigun07g108400.1.v1.2 pep primary_assembly:ASM411807v1:7:20078232:20082001:-1 gene:Vigun07g108400.v1.2 transcript:Vigun07g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYDCMFLFKPHIAKEAILDLVVRVGKHVSGRNGVVTDVKNLGIVQLGYGVKKLDGRYYQGTLMQMSMMATPGINKELHYLNKEDRLLRWLLVKQRNTKSGLEVLEDEGRWELSKFSQISKDDEEDEDDDDEEYQIEEEETK >Vigun11g002600.2.v1.2 pep primary_assembly:ASM411807v1:11:255050:258107:-1 gene:Vigun11g002600.v1.2 transcript:Vigun11g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGVWFAKDPNKTMVWYAKPENEKSHLFPLGSTVNVTDKGIVVYDPKGRDMLWQRPENNTVALVSCASVLDSGSFELVGEDGKKVWESFEEPTDTILPGQNLSRPRSFRARQSDASFDDGNFELSWQGDSNLVLYYYPKSSNDDGERAESPTHEAYWATGTVGTESQLFFDEFGHMYIKNDTGTVLSDITNGGSDEFFYMARIDPDGVFRLYRHPKDENKGVANSCSARWWSVEQKHPDDICLSFTKQTGNVICGFNSYCVSIGDRTNCECPEHYSPFEHDNLIGCRPDFPLPSCNRDGWEQNKDLVDFNEYTNLDWPLSDYDRLIETGMNKSLCRQRCLEDCFCAVAIYGEGSCWKKKYPLSNGRKHGNVTRIALVKVPKTDLNNGGKEQTTLVLVVSILLGSSVFINVVLLVALFAAFFIFYHKRLLNSENLSVGTVSHFTYKELEEATTGFKQMLGRGAFGKVYKGVLRSRSNTSRYVAVKRLDKVVQEGEKEFKTEVSVIGQTHHRNLVRLFGYCDEGEHRLLVYEYMSNGSLAGFVFGISRPHWNQRVQIALGIARGLTYLHEECSTQIIHCDIKPQNILLDEFFTPRIGDFGLAKLLLAEQSKAARTGLRGTIGYFAPEWFRKATITTKIDVYSFGVVLLEIICCKSSVGFALESEEEALIDWAYRCYSQGKVGKMVENDEEAENDVKRVEKHVMVAIWCIQEDPSLRPSMKKVTQMLEGVTPVSVPPCPSIFTSSSF >Vigun11g002600.1.v1.2 pep primary_assembly:ASM411807v1:11:255059:258105:-1 gene:Vigun11g002600.v1.2 transcript:Vigun11g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKPWYHHIKMLFDESKFKGWLRATMASLTFPYSYVVLLTVLLFLSSLFSAATSSNCGDSSVNLTSSLNTNDSSTTWNSPSGHFTFGFQTVVYDNKEFMYVLGVWFAKDPNKTMVWYAKPENEKSHLFPLGSTVNVTDKGIVVYDPKGRDMLWQRPENNTVALVSCASVLDSGSFELVGEDGKKVWESFEEPTDTILPGQNLSRPRSFRARQSDASFDDGNFELSWQGDSNLVLYYYPKSSNDDGERAESPTHEAYWATGTVGTESQLFFDEFGHMYIKNDTGTVLSDITNGGSDEFFYMARIDPDGVFRLYRHPKDENKGVANSCSARWWSVEQKHPDDICLSFTKQTGNVICGFNSYCVSIGDRTNCECPEHYSPFEHDNLIGCRPDFPLPSCNRDGWEQNKDLVDFNEYTNLDWPLSDYDRLIETGMNKSLCRQRCLEDCFCAVAIYGEGSCWKKKYPLSNGRKHGNVTRIALVKVPKTDLNNGGKEQTTLVLVVSILLGSSVFINVVLLVALFAAFFIFYHKRLLNSENLSVGTVSHFTYKELEEATTGFKQMLGRGAFGKVYKGVLRSRSNTSRYVAVKRLDKVVQEGEKEFKTEVSVIGQTHHRNLVRLFGYCDEGEHRLLVYEYMSNGSLAGFVFGISRPHWNQRVQIALGIARGLTYLHEECSTQIIHCDIKPQNILLDEFFTPRIGDFGLAKLLLAEQSKAARTGLRGTIGYFAPEWFRKATITTKIDVYSFGVVLLEIICCKSSVGFALESEEEALIDWAYRCYSQGKVGKMVENDEEAENDVKRVEKHVMVAIWCIQEDPSLRPSMKKVTQMLEGVTPVSVPPCPSIFTSSSF >Vigun06g047400.1.v1.2 pep primary_assembly:ASM411807v1:6:17199229:17204382:1 gene:Vigun06g047400.v1.2 transcript:Vigun06g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWTKQLNAAFGAAFLWLICLIYFTQGFRSFVWTAISYQLKDNLKLSPSASQFVFSVAFFPWSIKPLYGILSDCIPIKGRKRSPYLVIATVLSLVPWLVLGLNSSLRDSTWHLMVLLTAQNLGSAMADVVVDAMIAEAVRFDRASFAGDLQSISWFSMALGGICGSLLGGYALSNLRIDVIFLLFCVLPCIQLLSCCFVDENSLNSKVSQEDSIVTDSHMNTLDEDIPLTKKSHSNTRRRKKGKKNPKGRSVNSRNSRTSKKEDSLVSKWFHSLKEAIYDLCRAFRQPMIWRPMSWFFLAHITIPDLSTVIFYFETEVLKLEASFLGTAKVVGWMGLMLGTFVYNRFLKYMTLRKILMCAHIALAFLSLLQIVVVSRKNIAFGISDKIMVLFGSALADGVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAGLASVLNIDSGSFDNLLLGIIIRSLCNFIPVAFLFLIPKEATGSST >Vigun06g047400.2.v1.2 pep primary_assembly:ASM411807v1:6:17199229:17204382:1 gene:Vigun06g047400.v1.2 transcript:Vigun06g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTAQNLGSAMADVVVDAMIAEAVRFDRASFAGDLQSISWFSMALGGICGSLLGGYALSNLRIDVIFLLFCVLPCIQLLSCCFVDENSLNSKVSQEDSIVTDSHMNTLDEDIPLTKKSHSNTRRRKKGKKNPKGRSVNSRNSRTSKKEDSLVSKWFHSLKEAIYDLCRAFRQPMIWRPMSWFFLAHITIPDLSTVIFYFETEVLKLEASFLGTAKVVGWMGLMLGTFVYNRFLKYMTLRKILMCAHIALAFLSLLQIVVVSRKNIAFGISDKIMVLFGSALADGVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAGLASVLNIDSGSFDNLLLGIIIRSLCNFIPVAFLFLIPKEATGSST >Vigun05g232800.1.v1.2 pep primary_assembly:ASM411807v1:5:42677699:42685665:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEVTKQFNNEHHSNVVSKQPIAIKNVVTTLRNRKLRETSSPIRGNSIKGGGVLTHKLNTETLSKLPKPNFGACDLKPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.6.v1.2 pep primary_assembly:ASM411807v1:5:42677570:42685655:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.3.v1.2 pep primary_assembly:ASM411807v1:5:42677710:42685675:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEVTKQFNNEHHSNVVSKQPIAIKNVVTTLRNRKLRETSSPIRGNSIKGGGVLTHKLNTETLSKLPKPNFGACDLKPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.8.v1.2 pep primary_assembly:ASM411807v1:5:42677710:42685655:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.7.v1.2 pep primary_assembly:ASM411807v1:5:42677710:42685655:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.2.v1.2 pep primary_assembly:ASM411807v1:5:42677683:42685681:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEVTKQFNNEHHSNVVSKQPIAIKNVVTTLRNRKLRETSSPIRGNSIKGGGVLTHKLNTETLSKLPKPNFGACDLKPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.5.v1.2 pep primary_assembly:ASM411807v1:5:42677683:42685681:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEVTKQFNNEHHSNVVSKQPIAIKNVVTTLRNRKLRETSSPIRGNSIKGGGVLTHKLNTETLSKLPKPNFGACDLKPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >Vigun05g232800.4.v1.2 pep primary_assembly:ASM411807v1:5:42677570:42685655:1 gene:Vigun05g232800.v1.2 transcript:Vigun05g232800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDHYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAALMKKSNGIYFPEEKLCKWFTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPTASEVLKHPYLLPYVDQYRSSFSPTASSPEKPISAVHHHRKNKPDGQNSSSSSTEKDSLMSIMKNTANAVQKCDSKSTELDMTSIEDDGSEQLLPDEEGNGSIKVNDKTIDQEVTKQFNNEHHSNVVSKQPIAIKNVVTTLRNRKLRETSSPIRGNSIKGGGVLTHKLNTETLSKLPKPNFGACDLKPNLEVPTNASSKATPAPAKRLQGSHTSKHQLPMIESTPKTKPRHTVIPPLGPSKQVEGREFAAKPREKTPPSLLKPPSFPVHVRQTGFDVPNAANNSGKSSPNKMVREPKVSHNQLTNSHLPLVSREITREPLKAFESSSKGMQTDSSNSVSSSFSIQGFELSDFATTFIDLSETTLLVEESLNHAENVESRGDSGSPASYSHFEMSEQLSEETPDITSHVQKIMTSDEKVGPSLSPDHSVQDAKVMFASDDSSPINQRITSAGSMCENTSVESSAEITEEIEDVEDISKEMSSTKSPQQSLPISGEKFACEEFGPTSKPNNRHDKVSQPKLMCFSSDDKFMVRGRLSSAEETSPLIISTKISSQKVLQEEKGTVSASERPAVGHFPPAFDDVIHVIRHSSYRVGSEQPVKESVEMGVQNVDVGKFINIVRDDIEMRNVSTPLTLKSSNCSEAIGLKANISENMEIRNLNNPPNLKSSSFTDSLSMKSSLSDNPGVREQDVENPDSLVAKSDSPKYIGYTPAAEEEPPAKEVLDVKSSRQRAEALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGLMLDESGGRS >VigunL058350.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000447.1:7864:12983:1 gene:VigunL058350.v1.2 transcript:VigunL058350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKSKRKGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKWQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun03g437600.1.v1.2 pep primary_assembly:ASM411807v1:3:64121972:64123353:-1 gene:Vigun03g437600.v1.2 transcript:Vigun03g437600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYPENYCYRSSSSVCEIEEEEDHKPTLQKKEEEDKEEQDCVYVAVGKSNTSMHALSWTLNNMVTQSTTIYLIHVFPQIKHIPNPLGIGMVPRNEVSVEQVESYVEQERSKRRELLQKFLQSCSSSKVKVDTILIESDTVAKPILDLIPILQIKTLVIGANKFHLRKSKSRKGNNSVADEILRNSPESCKVRIICEGKEVNEQMMISPPNHTSMTTQNKEDNHDSVSCICFIPKFK >Vigun09g079000.1.v1.2 pep primary_assembly:ASM411807v1:9:9272285:9278782:-1 gene:Vigun09g079000.v1.2 transcript:Vigun09g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNASDEDLKKAYKRLARIWHPDKNPVNKSEAEAKFKRISEAYDVLSDPQKRQIYDLYGEEALKSGQFPPPPQSSSSSSRVFNRHNPPASSFRFNPRNAEDIYAEFFGPDEVGSSRRDAFFRTSNGVPGFGAAAPRKAAAVENALPCSLEDLYKGVKKKMKISRNVYDAFGKSRYVEEILTIEIKPGWKKGTKITFPEKGNQEPGVVPADLTFVIDEKPHALYRRDGNDLVINQEITLLEALTGKTLDLTTLDGRSLVLPLTDIVKPGAEVVVPDEGMPISKEPGKKGNLRVKLDVKYPSRLTPEQKSDLRRVLGGIS >Vigun05g295300.1.v1.2 pep primary_assembly:ASM411807v1:5:48055021:48058470:-1 gene:Vigun05g295300.v1.2 transcript:Vigun05g295300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPYFPIYTNLCTKNNLLCCSRRKIEAMQEVKEAKQGGGATMQESGSVRLKEKKVKDMMVDRKRLVEVPYTASLAQTMNTLVANKIVAVPVAAPPGQWIGAGGSMIVESDKQTGAVRKHYIGMVTMLDIVAHIAGDDHLSGGDNITQDLDQRMSDPVSSIIGHSFEGLSLWTLNPNTSMLDCMEVFSKGVHRAMVPIDGQEENVAASGVELAESASSYQMVTQMDMLRFLHGRAAELHSILSRSVQDLGADTVQIYAITDRTKLVHAIKCLKAAMLNAVPIVRASDVGEDDHKQLINGRCRKLIGTFSATDLRGCHITSLKSWLGISALAFTEEVESSPLYSSESEMQNRGSCRKELVTCYGESALCEVIEKAVSNHVHRVWVVDQEGLLVGVVSLTDVIRVIRHSLLSESKDE >Vigun09g249000.1.v1.2 pep primary_assembly:ASM411807v1:9:41637450:41638150:1 gene:Vigun09g249000.v1.2 transcript:Vigun09g249000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFFFCLPLLSRKAKFLNDDHILEYTIWWCCLADCFVCSIFFPGVWMI >Vigun02g132700.2.v1.2 pep primary_assembly:ASM411807v1:2:28344471:28352165:-1 gene:Vigun02g132700.v1.2 transcript:Vigun02g132700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKEVQTDEMISDSDWTSLERELYFKGVEMFGKNSCLIAGTLFPGLKTCLQVARYMFGGGESMIHRSTTNSIMDRNEKINAEWTDLEMSSRSRSQRKKSKPRKFNYSRKSAGLPPRWRKIAYGQNLSNKQYTPCGCQGICGKKCSCLFNGTCCEKYCGCSKICTNRFRGCHCTKSQCRSRLCPCFAANRECDPDVCRNCWVSCGDGSLGEPPRRGDSKCGNMNILLGRKERILLAKSDVVGWGAFAKNPIKKNVCLGEYKGELIPPKEAEKRGKLYDRINTSFLFNLNNQWVIDAHRLGDKLKFANHSSKPNCYAKVMLVGGEHRVGIYAKENIEAGDEIFYDYWYDLECAPSWALPPEHNASKKDDLGISHSKAKKR >Vigun06g054500.1.v1.2 pep primary_assembly:ASM411807v1:6:18071092:18072411:1 gene:Vigun06g054500.v1.2 transcript:Vigun06g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISRLGCFMCVWSYIKAQNLQDPNNKNVINCDDKLKSILLGKPQVELVELPALIKMHFPKEPK >Vigun06g054500.3.v1.2 pep primary_assembly:ASM411807v1:6:18070914:18073539:1 gene:Vigun06g054500.v1.2 transcript:Vigun06g054500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISRLGCFMCVWSYIKAQNLQDPNNKNVINCDDKLKSILLGKPQVELVELPALIKMHFPKEPK >Vigun06g054500.2.v1.2 pep primary_assembly:ASM411807v1:6:18070914:18073539:1 gene:Vigun06g054500.v1.2 transcript:Vigun06g054500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISRLGCFMCVWSYIKAQNLQDPNNKNVINCDDKLKSILLGKPQVELVELPALIKMHFPKEPK >Vigun06g054500.4.v1.2 pep primary_assembly:ASM411807v1:6:18070914:18073539:1 gene:Vigun06g054500.v1.2 transcript:Vigun06g054500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISRLGCFMCVWSYIKAQNLQDPNNKNVINCDDKLKSILLGKPQVELVELPALIKMHFPKEPK >Vigun05g275300.2.v1.2 pep primary_assembly:ASM411807v1:5:46551094:46555113:1 gene:Vigun05g275300.v1.2 transcript:Vigun05g275300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLIFLLIKLHFVTCTPHLHEYAASYLHHSRIRSPSSIAFPPTESPTTVPAGTTLPPSQPFPKRKWMHSSMDSPIPRHKHHHSRRKFSNQAPGPTFSINPHTHQGPPPVIKSQHSFSSPVNAPAPAPIVLSHHLNVPYTSPRISPLGSSMKKTRTPPAAYAFVLPSPPPNKDCMSLTCSEPLTYTLPGSPCGCVWPLQVKLRISVAIYKFFPSVSKLAKEIAASVLLNRNQVRIVGADATTQQLEKTTVLINLVPQGVKFDDTTALLIYKKFWQREILNDDSTFGSYEVLYVHYPGLPPSPPSNVYGIDVEPYPGHDNNGTIIKPLGVDVSRKQKEGSGGRMLIMIILSSFTAFLLFIGLAWIFLLKCGSSTLEPGHIPDAKISSSSMRSAGTASVRSLTYASMPGSRSMSFGSGTIIYAGSAKIFSLNEIEKATNNFNSSSILGEGGFGLVYKGDLNDGREVAVKILKRDDQHGDHEFFAESEMLSCLHHRNLVKLIGICTEKHTRCLVYELVPNGSVESHLHGMDKETEPLDWNARMKIALGAARGLTYLHEDCNPCVIHRDFKSSNILLEYDFVPKVSDFGLARTTLNEGNKPVSTHVIGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDLSQPPGQENLVAWARPLLTSKEGLQKIIDPIMKDSVSIDTIVKVAAIASMCVQSEVTQRPFMGEVVQALTLVCSEFEEKR >Vigun05g275300.1.v1.2 pep primary_assembly:ASM411807v1:5:46551094:46555103:1 gene:Vigun05g275300.v1.2 transcript:Vigun05g275300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLIFLLIKLHFVTCTPHLHEYAASYLHHSRIRSPSSIAFPPTESPTTVPAGTTLPPSQPFPKRKWMHSSMDSPIPRHKHHHSRRKFSNQAPGPTFSINPHTHQGPPPVIKSQHSFSSPVNAPAPAPIVLSHHLNVPYTSPRISPLGSSMKKTRTPPAAYAFVLPSPPPNKDCMSLTCSEPLTYTLPGSPCGCVWPLQVKLRISVAIYKFFPSVSKLAKEIAASVLLNRNQVRIVGADATTQQLEKTTVLINLVPQGVKFDDTTALLIYKKFWQREILNDDSTFGSYEVLYVHYPGLPPSPPSNVYGIDVEPYPGHDNNGTIIKPLGVDVSRKQKEGSGGRMLIMIILSSFTAFLLFIGLAWIFLLKCGSSTLEPGHIPDAKISSSSMRSGTASVRSLTYASMPGSRSMSFGSGTIIYAGSAKIFSLNEIEKATNNFNSSSILGEGGFGLVYKGDLNDGREVAVKILKRDDQHGDHEFFAESEMLSCLHHRNLVKLIGICTEKHTRCLVYELVPNGSVESHLHGMDKETEPLDWNARMKIALGAARGLTYLHEDCNPCVIHRDFKSSNILLEYDFVPKVSDFGLARTTLNEGNKPVSTHVIGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDLSQPPGQENLVAWARPLLTSKEGLQKIIDPIMKDSVSIDTIVKVAAIASMCVQSEVTQRPFMGEVVQALTLVCSEFEEKR >Vigun09g028600.1.v1.2 pep primary_assembly:ASM411807v1:9:2377833:2382755:1 gene:Vigun09g028600.v1.2 transcript:Vigun09g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALSDPLSAITATSDAVLKPSKPSAPENRRFRDLRGLQWRINLGVLPCSTSTSIDDFRRVTANCRRRYASLRRRLLVEPHVPKNGTNSANLVIDNPLSLNPDSTWSRFFRNAELEKMVDQDLSRLYPEHGSYFQAPGCQSMLRRILLLWCLRHPECGYRQGMHELLAPLLYVLQADLERLSEVRKLYEDHFTDRFDGLLGQENDLSYSFEFRKSRELMEDGFDSHGNSVKVNSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGAHGSVAMADFFSSSPISGSHSGLPPVIEASTALYYLLSQVDSSLHSHLFDLGVEPQYFSLRWLRVLFGREFSLDNLLIIWDEIFASENNSNGKGSDDCEFRILSSPRGAFISAMAVAMLLHLRSSLLATENPTRCLQRLLNFPEDINIQKLLEKARSLQALALGVDISSSSLLYIGFHYQSKSKYSRSVTLPSESLSPKTPLGLEPDSYWEEKWRVAQKAEEHRQDGLEKQVPTRKKGWTEKVKFSLRRAESGPPRSRVQSGKNFRRSLLQDLRKALGSDDDAEKMQPDETICQNENPSEAVQVQEDSSCNGDNNYSSDDRSPGVPFGSEEDLSIYSDPTSPPNEANDHEIISGKTSVASNSSLDERYETSGISSPLPMPYPLENISQTSFCNTGISECNETSDSSPNDPPRPISDLPENISQTSICNTRNSEHNDSPLPISYSPKNIYQTSFFDTQNSECNEASNTSPNDPPLTSGCNNSNAENKATPPKEKKHSKLQWLWPFGRNTVEAVSEKASGSTQNNAPQATSSTTNDHGGCSVSSRGESVDQNVMGTLKNIGQSMLDHIQVIESVFQQDRKGQVTAMTALKELRKISNLLSEM >Vigun06g060400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18813014:18815214:1 gene:Vigun06g060400.v1.2 transcript:Vigun06g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADWDQELRNYQDVIASGTKSKKIKAMVMLARFSKQAPERVLARTIPILTEILGHNVSNDSAPTLQEAAAYCLKCIACRGEGALAVEIGAHDAPRSLMRLLPHSDGRMQKVLIKCLMVIVSYCNASRLVVATNGGVEMIIGLLSSCTDDTRRYLLEILSALSMRRDVRKALTRLRALHYVVEAACCGSMVSRERACQAIGLLGVTRQTRRMLVELGAIPVLVSLFREGEHSTKLVVGNSLGVISAHVDYIRPVAQAGAIPLYAELLEGPDPSGKEIAEDVFCILAVAEANAVEISGHLVRILREGNDEAKASAADVMWDLSGYKHSMCVIRDSGAFPVLVELLETGSEDVKVSVSGAFAQLSYDGTDRMSLAEAGAIPILIDLMNDVDEVEDLRDNATEALVNFYEDPLYHDRVSDAINVSSFRNMQNRLVHIRASNEHMARSLRRMSVEQLTWNPDLV >Vigun06g060400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18813014:18815213:1 gene:Vigun06g060400.v1.2 transcript:Vigun06g060400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADWDQELRNYQDVIASGTKSKKIKAMVMLARFSKQAPERVLARTIPILTEILGHNVSNDSAPTLQEAAAYCLKCIACRGEGALAVEIGAHDAPRSLMRLLPHSDGRMQKVLIKCLMVIVSYCNASRLVVATNGGVEMIIGLLSSCTDDTRRYLLEILSALSMRRDVRKALTRLRALHYVVEAACCGSMVSRERACQAIGLLGVTRQTRRMLVELGAIPVLVSLFREGEHSTKLVVGNSLGVISAHVDYIRPVAQAGAIPLYAELLEGPDPSGKEIAEDVFCILAVAEANAVEISGHLVRILREGNDEAKASAADVMWDLSGYKHSMCVIRDSGAFPVLVELLETGSEDVKVSVSGAFAQLSYDGTDRMSLAEAGAIPILIDLMNDVDEVEDLRDNATEALVNFYEDPLYHDRVSDAINVSSFRNMQNRLVHIRASNEHMARSLRRMSVEQLTWNPDLV >Vigun07g285200.1.v1.2 pep primary_assembly:ASM411807v1:7:39835549:39839114:1 gene:Vigun07g285200.v1.2 transcript:Vigun07g285200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLADTDSRSEPQTIKINQPDQAASGGDPAQKSACCGS >Vigun03g251100.3.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTGTGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRESGETGGGTGPVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun03g251100.5.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTGTGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRESGETGGGTVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun03g251100.6.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTAGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRESGETGGGTVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun03g251100.4.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTAGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRESGETGGGTGPVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun03g251100.2.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTAGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRRESGETGGGTGPVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun03g251100.1.v1.2 pep primary_assembly:ASM411807v1:3:41714853:41722757:-1 gene:Vigun03g251100.v1.2 transcript:Vigun03g251100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSAVEESEGVSVNINVRCSNGSKFSVQTSVDSIVSSFKDLVARNCDVPVEQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRGFVRTNPTGGTSTTSTDTNTATNNATGAGANEGGSLGGLGFGASLFPGLGINGTGGNGLFGDGFPDLEQMQQPFLSNPNLVRELMNSPAMQNLMNNPEIVRNLIMNNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENIQEPFLNATTMAGNTANSNAAISGTHGDHARDQSTNPSTTSSEATAGSALPNTNPLPNPWSSTGTGGAQNNTRRSSTTGVDARQQGPTGLGGLSLPDLENMLGGNAMPDASLLTQLMQNPAISQMMQSMLSNPQTLNQILGANTEQRGMPDLNSLRDVMQNPEFLRLFSSPETLQQLLSFQQALLSQLGQQQPTRRESGETGGGTGPVNNLGLEMLSSMFGGLGTGSLAVPNRSNEPPEQLYASQLSQLQEMGFFDTQENIRALVATSGNVHAAVERLLGNSGQ >Vigun02g000720.1.v1.2 pep primary_assembly:ASM411807v1:2:310185:311104:1 gene:Vigun02g000720.v1.2 transcript:Vigun02g000720.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPLDHVTLQYLRLTDKSDETVQAYRSFEFYVCYYFWGNFKTRSNECLQSDVYKEFY >Vigun03g309300.1.v1.2 pep primary_assembly:ASM411807v1:3:50118296:50121584:-1 gene:Vigun03g309300.v1.2 transcript:Vigun03g309300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSILSQTHHYFSAQPTTTLLLFFLLFLLTFYLCRKPNPQNLPPGPPGLPFFGNLLSLHPDLQTYFAALAQIHGPIFKLRLGSKLAIVVTSPTLAREVLKEHDTLFANRDVPVAGRVATYGGSDIVWTPYGAEWRMLRKVCVVKMLSTVTLDSVYDLRRNEVCKMVSYLYDRAGSAVNVGEQAFLTVMNVITSMMWGGEVEGVERESLAAEFRELVAEMTQLLGKPNVSDFFPGLARLDLQGVEKQMQALVPRFDRIFERIIGERLKVGEKEDGKKKERKDFLQFLLDLKDSESADSKTPFTMTHVKALLMDMVVGGTDTSSNTVEFAMAEIMQKPEIMRRVEEELEVVVGKDKMVEESHIHKLPYLQAVMKETLRLHPALPLLVPHCPNQTTNVGGYTITKGSRVFVNVWAIHRDPSIWERPLEFDPTRFLDANWDFSGNDFSYFPFGSGRRICAGIAMAEKTVLHLLATLVHLFDWTIPQGEKLETAEKFGIVLRKKMPLVAVPTPRFSNPHLYNRI >Vigun07g071700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8956210:8958267:1 gene:Vigun07g071700.v1.2 transcript:Vigun07g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSNNSCSSMMWFFKNKGFDDNSVQGIFRRCRRLEDVHQERASENWEYLRSIGIEERKLPSIVSKCPKILALDLYDKIMPTVECLRTLGTKPREVATAIAKFPHILSNSVEEKLCPLLAFFQSLGIPEKHIGKMILLNPRLISYSIETKLTEIVNFLTSLGLNKDGMIGKVIVKDPYIMGYSVEKRLRPTSQFLKSIGLSEQDLQAVAMNFPAILSRDVNKLLVPNHTYLMRRGFQDRQIVALVVGFPPILIKSIQNSLEPRFKFLVDVMGRQVDEVVDYPSFFHHGLKRRIEPRYKLLKERNINCSLSEMLDCNKKKFFMKFGLLEGQALSN >Vigun07g071700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8956320:8958199:1 gene:Vigun07g071700.v1.2 transcript:Vigun07g071700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSNNSCSSMMWFFKNKGFDDNSVQGIFRRCRRLEDVHQERASENWEYLRSIGIEERKLPSIVSKCPKILALDLYDKIMPTVECLRTLGTKPREVATAIAKFPHILSNSVEEKLCPLLAFFQSLGIPEKHIGKMILLNPRLISYSIETKLTEIVNFLTSLGLNKDGMIGKVIVKDPYIMGYSVEKRLRPTSQFLKSIGLSEQDLQAVAMNFPAILSRDVNKLLVPNHTYLMRRGFQDRQIVALVVGFPPILIKSIQNSLEPRFKFLVDVMGRQVDEVVDYPSFFHHGLKRRIEPRYKLLKERNINCSLSEMLDCNKKKFFMKFGLLEGQALSN >Vigun07g219300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34211902:34213413:1 gene:Vigun07g219300.v1.2 transcript:Vigun07g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKISLLLPLLFFTLVGTSHAGGIAIYWGQNGNEGTLSEACDTGRYTHVNIAFLNKFGNGQTPEMNLAGHCNPATNSCTKFSAQIKYCQSKNIKVLLSIGGGIGTYTLASVEDARNVSTFLWNTFLGGHSSTRPLGDAVLDGIDFDIEQGSTQNYDHLARFLKAYSKKGKKRVYLGAAPQCPIPDRFLGTALDTGLFDFVWVQFYNNPPCQYADGNVTNLLNSWKRWTSTVPAGKIFLGLPAAPAAAGSGFVPADVLTSKILPVIKKSRKYGGVMLWSRFFDVQNGYSASIIGSV >Vigun11g039100.2.v1.2 pep primary_assembly:ASM411807v1:11:5465877:5468568:1 gene:Vigun11g039100.v1.2 transcript:Vigun11g039100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILHKLLLAFMHLWLCWSSSTHVHAADDSLKPGETLKYSSPSLYSKSRKYFLEFFSVSEALTYLAIRSEDEIVWEGNREQPVNQSDAVLSLDFSGFLKIEPGSLKKPIILYSPPQPINNTVATLLDTGNFVLQHLHPNGTNILLWQSFDYPTKTLVPTMKLGVNHKTGHRWLLVSQITIELATPGAFSLEWEPKEQKLMIRRRGKVCWKSGKMKNNRFENIPEDAQGVLKYTIVSNEEEDSFSFTSTNENLTRWWSLSDTGRLSYNNKEGYVARADLCYGYNTEGGCQSWQGIPKCRSPGDVFTKKSLRLNYQNQTNDDNQNISHSDCEAACWSDCNCNGFAEYFDDGTGCSFYHWNSSKDIIVDDSVYGQEFYILENKGNITLLHHGTKRWIWISTIIATTLLITCASVLFLAVKKRKQVLQEKRRKEMAMKSSLIDDFRNELKKGHGLKVFDYTLVMAATNGFSSENKLGQGGFGPVYKGTLPAGEEVAIKRLSRSSAQGIVEFKNELTLICELQHMNLVQLLGCCIHVEEKILIYEYMPNKSLDFYLFDCTRSKLLDWNKRFNIIQRIAQGLLYLHKYSRLSHSQRLESQ >Vigun01g148600.1.v1.2 pep primary_assembly:ASM411807v1:1:33014700:33017762:-1 gene:Vigun01g148600.v1.2 transcript:Vigun01g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLTIEDVQVAPPQPGEVRVQILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTHVKPGDHVIPCYQAECGECKTCKSGKTNLCGKVRSATGVGVMLSDGKSRFSINGKTIYHFMGTSTFSQYTVVHDVSVAKINPLAPLDKVCLLGCGVSTGLGAVWNTAKVEPGSIVAIFGLGTVGLAVAEGAKTAGASRIIGIDIDSKKFDTAKNFGVTEFINPNEHDKPIQQVIVDRTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYVTHTLTLSEINKAFDLLHEGGCLRCVLSTQE >Vigun01g167400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34924027:34927834:1 gene:Vigun01g167400.v1.2 transcript:Vigun01g167400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTDAFRIDDFTLSILLSACESLVEGQQVHAHAVKLGLESSLNVGNGLTGFYTKFGTLEDVEWLFEEMKVRDVITWTQMVTVYMEFGLVDLALKVFDEMPEKNSVSYNAVLSGFCQNEEGLEAMKLFVKMVEEGLELTDFSLTSGVHACGLIGDPKFSKQVHGFAVKFGFGSNACIEAALLDMYTRCGRMVDAEKMFLRWEVEQFSSVSWTAMICGYARNGRPEEAISLFHVGRSNEKVIMDEVVVTSMLGLCGTVGHHDMGKQIHGHVVKCGLGSNLEVGNALLSMYFKCGNVDDAMKVFHDMAYTDIVTWNTLISGNLIHRQGNRALEVWVEMQEKNVKPDQVTFVLIISAYRQTNSNLVDDCRSLFNSMKNVYQIEPTSMHYASFISVLGHWGFLQEALETINKMPFQPSALVWRVLLDASKQHQNKMIGKWAAQNILSFEPKDPSTFILVSNLYSASGRWDRSDMIRDEMRQKGIRKHPAQSWIISEKKIHTFYPRDRSHLQEKDIYSGLEILILECLKVGYEPDTSFVLHEVEEHHKEIFLFHHSAKLAATYGILMSKPGKPVRIVKNILLCGDCHTFLKYASIITKRDIFLRDSSGFHCFSGGQCSCKDCW >Vigun06g238833.1.v1.2 pep primary_assembly:ASM411807v1:6:34333723:34334184:-1 gene:Vigun06g238833.v1.2 transcript:Vigun06g238833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMFDPFERVARAHHVCPCCERPFSPEEDNFVKKQRVKAASSAEHMKVLAVESSNAESHFQQLDKLRMVYEEYVKLGKETIPNTEKELQQLKDEMDDKSQALDDVTIISSFKDFLEISFN >Vigun05g255200.1.v1.2 pep primary_assembly:ASM411807v1:5:44956394:44957936:1 gene:Vigun05g255200.v1.2 transcript:Vigun05g255200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADSSKKADAKAQALKTAKAVKSGATFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Vigun05g255200.2.v1.2 pep primary_assembly:ASM411807v1:5:44956394:44957936:1 gene:Vigun05g255200.v1.2 transcript:Vigun05g255200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADSSKKADAKAQALKTAKAVKSGATFKKKAKKIRTSVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Vigun08g007700.1.v1.2 pep primary_assembly:ASM411807v1:8:662375:668281:1 gene:Vigun08g007700.v1.2 transcript:Vigun08g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGNSSNGRVTWEGCSVLLDINDGDRLVFARLSPAAKLKIGNKNCSLQPLIGRAFGTVFQVDTAPGGPCLSPAQVNVTNAEEIGDGQGNDEWRDKVNVNSADEVRDGAVNVESRDNRALVDNNTAQSLTGEDIEAMRRQGARGNEIIEALIANSATFEKKTAFSQEKYRLKKQKKYAPKVLMRRPVARSICEAYFKKYPSKIGFLRVDTLSLLLSMANVSSNSDILVVDMIGGLLTGAVAERLGGTGFVCNSYFGQAPYSMDIVRIFNLSDEICKRILRSPISDLLSPKESSEKILQHDVCNVEGQSNDQISASVSMVENSHSSENGISDIGIDNTEPAIEVRKAPKAGERAQKEVIDSWKENGFSSLIVAAPELDTWTVVKDLLPLLANSAPFAIYHQYLQPLATCMHNLQLGKMAIGLQISEPWLREYQVLPSRTHPCMQMSAFGGYILSGTKICSTANSATPTD >Vigun07g104875.1.v1.2 pep primary_assembly:ASM411807v1:7:19195522:19197455:1 gene:Vigun07g104875.v1.2 transcript:Vigun07g104875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRMNITSNIKSTLPKIDDAKEFMKFMEECSQTIDKSLVGTLMSTLTTKKFDGSRTMHEHVIEMTNIATRLKSLGIAVDEVWSVSDELQHHERQVKRA >Vigun09g172287.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34227640:34229222:1 gene:Vigun09g172287.v1.2 transcript:Vigun09g172287.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLDFEFLVSNFKFEVSVFKFGVRGSCFELGVYGFGVSGFGFRVSGLGFGCGVSSFVLPVTSYGFWVSYFGCRISGFWFRVLDFGFEVSGFGLRITSFGLRVFGLEFRLSSFGFAFGFSDLGIRLWVFRFWGFQFTDFGFRVSTFRF >Vigun03g318200.2.v1.2 pep primary_assembly:ASM411807v1:3:51254895:51258315:-1 gene:Vigun03g318200.v1.2 transcript:Vigun03g318200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVVFGFLTVLFTFSLLTQWRKASAEKRRLPPGPKKLPFIGNLHQLGTLPHRSLQHLSRKHGPLMFLQLGSIPTLVVSSADMAKEIFKNYDSVFSGKPLLHAANRLGYGSSVSFAPYGEYWREMRKIMVSELLSPKRVQSFEAVRFDEVKRMLQAVSLSPGPVDLSELTLSLTNNVVCRVALGKRDRGTGDANKVYRMLKETQEMLGGFFVDDFFPQLGWLNKFSGLESRLEKNFRDMDRFYDEVIKEHVDKSRESAETEHEDVVDVLLQVQKDPSQPIAISHHQIKGVLVDIFVAGTDTAAATMIWIMSELIRNPKAMKKAQEEVREIMKGKEMVQEIDLPNLLYLKLVVKEALRLHPPAPLLVPRETTEACMIRGFQIPAKTRVLVNAKSIAMDPTCWENPNEFLPERFLNSSIDYKGQHFEMLPFGVGRRSCPGVNFAMPLVELVLANLLLRFNWELPLGLGIQDLNMEEAIGITMHKRDHLWLRATPFHQ >Vigun03g318200.1.v1.2 pep primary_assembly:ASM411807v1:3:51254808:51258084:-1 gene:Vigun03g318200.v1.2 transcript:Vigun03g318200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVVFGFLTVLFTFSLLTQWRKASAEKRRLPPGPKKLPFIGNLHQLGTLPHRSLQHLSRKHGPLMFLQLGSIPTLVVSSADMAKEIFKNYDSVFSGKPLLHAANRLGYGSSVSFAPYGEYWREMRKIMVSELLSPKRVQSFEAVRFDEVKRMLQAVSLSPGPVDLSELTLSLTNNVVCRVALGKRDRGTGDANKVYRMLKETQEMLGGFFVDDFFPQLGWLNKFSGLESRLEKNFRDMDRFYDEVIKEHVDKSRESAETEHEDVVDVLLQVQKDPSQPIAISHHQIKGVLVDIFVAGTDTAAATMIWIMSELIRNPKAMKKAQEEVREIMKGKEMVQEIDLPNLLYLKLVVKEALRLHPPAPLLVPRETTEACMIRGFQIPAKTRVLVNAKSIAMDPTCWENPNEFLPERFLNSSIDYKGQHFEMLPFGVGRRSCPGVNFAMPLVELVLANLLLRFNWELPLGLGIQDLNMEEAIGITMHKRDHLWLRATPFHQ >Vigun06g178200.1.v1.2 pep primary_assembly:ASM411807v1:6:29827051:29830441:-1 gene:Vigun06g178200.v1.2 transcript:Vigun06g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIAILLRQANSTKHKSSHLPCLSFPPKYSNKKRKEFLPHIITPLYPFHNPRLSSFLTMATFIRNFAVSYAFLAVFLSAGIGVFVGVESFGINYGQVANNLPPPDKVLELLSTLNLTKTRIYDTNPQILSSFANSNIEIIVTVENEILSQLDDPQQAAQWVNSHIIPYLPETKITGVQVGNEVFTDDDTTLIQHLVPAVVNIHNALSQLGYSNIKVSTPSSLAVLASSYPPSSGSFQSEISGIMYQFLSFLSTSKSPFWINAYPYFAYKDSPNGIPLNYVLFNPNAGMVDPYTNLHYDNMLYAMVDAVSFAIAKMGFKGIEVRVSETGWPSKGDADEVGATPMNAATYNRNLLRRQMAGEGTPLNPRMRLDVYLFALFNEDLKPGPTSERNYGLFRPDESMTYNVGLSALATSSASTSSSSSVSFASSAARVKVVAPWKCKSFVNWMLVCVLISTLYG >Vigun06g178200.2.v1.2 pep primary_assembly:ASM411807v1:6:29827088:29830441:-1 gene:Vigun06g178200.v1.2 transcript:Vigun06g178200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIAILLRQANSTKHKSSHLPCLSFPPKYSNKKRKEFLPHIITPLYPFHNPRLSSFLTMATFIRNFAVSYAFLAVFLSAGIGVFVGVESFGINYGQVANNLPPPDKVLELLSTLNLTKTRIYDTNPQILSSFANSNIEIIVTVENEILSQLDDPQQAAQWVNSHIIPYLPETKITGVQVGNEVFTDDDTTLIQHLVPAVVNIHNALSQLGYSNIKVSTPSSLAVLASSYPPSSGSFQSEISGIMYQFLSFLSTSKSPFWINAYPYFAYKDSPNGIPLNYVLFNPNAGMVDPYTNLHYDNMLYAMVDAVSFAIAKMGFKGIEVRVSETGWPSKGDADEVGATPMNAATYNRNLLRRQMAGEGTPLNPRMRLDVYLFALFNEDLKPGPTSERNYGLFRPDESMTYNVGLSALATSSASTSSSSSVSFASSAARVKVVAPWKCKSFVNWMLVCVLISTLYG >Vigun03g340100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53837348:53840803:-1 gene:Vigun03g340100.v1.2 transcript:Vigun03g340100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQIQEFKHACKFCGKCFPCGRSLGGHMRSHITNLSSEEKEKLPARKLFSSSLNNDGDKDSEAAATNAGYGLRENPKKTWRISDHSSEDALVFDKFCKECGKAFHSWKALFGHMKCHSEKERVSNSLEDQDSWTNAKVVMDSQSDNEATAPNKRRRSKRRTRYTVASAAATSSVVSFANPSSSLSEVEQEQEEVAMSLMMLSRDVSPWSGPHSVAESSDNNSAYFEARSSARTNLVAKFDGTTNFTPNTATMIKQSHDKWEVGNSENPNSIRGKSSQRLTTTVETTENGFGANKSGVSSKGYEKVNNSELQYVSALEDSEGEHGRSRVNEPESILSKSATTGNKYNSIKTKFLGSELKSNKNCVDKASEPESSKNSHKRGKFECTTCNKTFHSYQALGGHRASHKKIKGCFASRNESSENSIETDLSPDPITTERKLMNNTDREYLGEHQQGAGFHDEVERVTESKKSKGHECPICLKVFPSGQALGGHKRSHMTGGSESRNFQTVVVQEPVAEIRDFLDLNLPAATEEESNSHADSNRPWWVVQDNHNHNHKQEALVGLIL >Vigun05g053700.1.v1.2 pep primary_assembly:ASM411807v1:5:4614716:4620586:1 gene:Vigun05g053700.v1.2 transcript:Vigun05g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSQPLCTILTATRKRSLLIPKQIPNPSKHFSFLSFRSTTLSSSSRVSPPSPVLFAAPENQLSVGEGENTDASEWALQDFYSLRRDVETMLQRVEEIRESSGLQLLEQELANLEEQAADSSFWDNRATAQKTLSTLADVKEKIKLLNDFKTQVEDAETIVKLTEEMESIDRGLFDEAANLIKELNKSLDRYELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTRVIEKSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEESMDVEIPEEDLDISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIRALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETTDVISVMDGELDPFIKSYLKYKLNMSLSSTGVN >Vigun05g053700.2.v1.2 pep primary_assembly:ASM411807v1:5:4614716:4620586:1 gene:Vigun05g053700.v1.2 transcript:Vigun05g053700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSQPLCTILTATRKRSLLIPKQIPNPSKHFSFLSFRSTTLSSSSRVSPPSLLFAAPENQLSVGEGENTDASEWALQDFYSLRRDVETMLQRVEEIRESSGLQLLEQELANLEEQAADSSFWDNRATAQKTLSTLADVKEKIKLLNDFKTQVEDAETIVKLTEEMESIDRGLFDEAANLIKELNKSLDRYELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTRVIEKSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEESMDVEIPEEDLDISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIRALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETTDVISVMDGELDPFIKSYLKYKLNMSLSSTGVN >Vigun07g144200.6.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRSQSRSGSPNSSPRTQSRSPRRSPSNSS >Vigun07g144200.3.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491463:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.14.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491439:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.8.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.5.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRSQSRSGSPNSSPRTQSRSPRRSPSNSS >Vigun07g144200.19.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRSVSTASDILCSVI >Vigun07g144200.7.v1.2 pep primary_assembly:ASM411807v1:7:25484949:25491372:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.1.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491463:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.17.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491404:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.21.v1.2 pep primary_assembly:ASM411807v1:7:25488097:25490665:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVGGFYI >Vigun07g144200.11.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491404:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.13.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.10.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491404:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRSQSRSGSPNSSPRTQSRSPRRSPSNSS >Vigun07g144200.18.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRSVSTASDILCSVI >Vigun07g144200.9.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRLISITLSYVERWKGGGGNVEVDCELGH >Vigun07g144200.2.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491463:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.12.v1.2 pep primary_assembly:ASM411807v1:7:25485660:25491445:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.4.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491463:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.20.v1.2 pep primary_assembly:ASM411807v1:7:25487833:25490665:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGRFSLVALNDLVYIFGSFM >Vigun07g144200.15.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491439:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun07g144200.16.v1.2 pep primary_assembly:ASM411807v1:7:25484896:25491404:-1 gene:Vigun07g144200.v1.2 transcript:Vigun07g144200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRTIYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDARDAEDAIQYRDGYNFDGFRLRVELAHGGRGHSSSVDRYSNYSGSSGSRGVSWRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSQVFRERGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYDRAYSRSRSLDSGRSYSRSVSRSPYVSRSRSCSRSRSHSYSDRSGSLSPKAKHPHRSISLSRWKGGGGNVEVDCELGH >Vigun09g067250.1.v1.2 pep primary_assembly:ASM411807v1:9:7112261:7112510:-1 gene:Vigun09g067250.v1.2 transcript:Vigun09g067250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRILVRYVKPPPPLGLLGLLALNIEIMHGLTDSLFQPLVVQSA >Vigun11g213100.1.v1.2 pep primary_assembly:ASM411807v1:11:40786361:40792174:-1 gene:Vigun11g213100.v1.2 transcript:Vigun11g213100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYEATRIVFLRVQTLDPENASKIMGLLLLQDHGEKEMIRLAFGPEALVHSVIVKARKELGLPLNSPPTPSTPPSPSPFLPRQNSTSSRLSGINLPPALTIPNPSSTSSSWPTMSELQTPDGLMSPNNLVVGSSTSSSSLSFYANGGSDPIDDFQLEDQLSFLNDGSAPVHKNNPDLFYPCHSDLSSSPTAASDPTLFPTFDWGGSLHRRSCSVNDVCLGSEDSNSGLGWKPCLYFARGYCKNGTGCRFLHGGLGDADAAAAAPAAMVGSPSKLEMMEQCHELFRAKTSQQQRLAAASQFMASSTFPYTPKGVNLLLQQQQNDTQRAAALMMSEDLHKFGRSRLERNDFSLNSPGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQVDRGDFSPSGTPTGLDARDQFDLQLGGRMFYNTQDMLWRRKLEEEADLQQALELQSRRLMGLQLLDVKKHHQRALSTGSPIPSPTHSLNMFNQNLVLPSFHNISEAPKENGLTSAPPSTASVSAGQQSVKVAVGKEVVVVNGEKGLNEGNDKQKSGHEDVDLQECSEHNLPDSPFASPTKAAGDLMVSFSNGTVEAIDTDASVTSKFGTSALLPPASAIDMGTFKSYNCQIPRFPSGHGTFGMFPGTGGPIGI >Vigun11g213100.2.v1.2 pep primary_assembly:ASM411807v1:11:40786361:40792174:-1 gene:Vigun11g213100.v1.2 transcript:Vigun11g213100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYEATRIVFLRVQTLDPENASKIMGLLLLQDHGEKEMIRLAFGPEALVHSVIVKARKELGLPLNSPPTPSTPPSPSPFLPRQNSTSSRLSGINLPPALTIPNPSSTSSSWPTMSELQTPDGLMSPNNLVVGSSTSSSSLSFYANGGSDPIDDFQLEDQLSFLNDGSAPVHKNNPDLFYPCHSDLSSSPTAASDPTLFPTFDWGGSLHRRSCSVNDVCLGSEDSNSGLGWKPCLYFARGYCKNGTGCRFLHGGLGDADAAAAAPAAMVGSPSKLEMMEQCHELFRAKTSQQQRLAAASQFMASSTFPYTPKGVNLLLQQQQNDTQRAAALMMSEDLHKFGRSRLERNDFSLNSPGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVPDKKQQQVDRGDFSPSGTPTGLDARDQFDLQLGGRMFYNTQDMLWRRKLEEEADLQQALELQSRRLMGLQLLDVKKHHQRALSTGSPIPSPTHSLNMFNQNLVLPSFHNISEAPKENGLTSAPPSTASVSAGQQSVKVAVGKEVVVVNGEKGLNEGNDKQKSGHEDVDLQECSEHNLPDSPFASPTKAAGDLMVSFSNGTVEAIDTDASVTSKFGTSALLPPASAIDMGTFKSYNCQIPRFPSGHGTFGMFPGTGGPIGI >Vigun04g159500.1.v1.2 pep primary_assembly:ASM411807v1:4:38183457:38185096:1 gene:Vigun04g159500.v1.2 transcript:Vigun04g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSQSFSLFLVSFQFMSRVMEPLSVGRVIGEVVDIFSPSVRMNVTYSTKEVANGHELMPSTVMAKPRVEIGGDDMRTAYTLIMTDPDAPSPSDPYLREHLHWMVTDIPGTTDVSFGKEIMGYESPKPVIGIHRYVFILFKQRGRQTVRAPSSRDRFNTRRFSEENGLGLPVAAVYFNAQRETAARRR >Vigun07g081300.2.v1.2 pep primary_assembly:ASM411807v1:7:11477865:11485836:1 gene:Vigun07g081300.v1.2 transcript:Vigun07g081300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIREKECALPSRNSSSSHHTHPGRVWGILHVIKYHHWRQVKRRLTTRRHGGGRPDERVERPGTSDDSGLNSMPDHCIPYTELSNVEEKLEQSPSPSKSSIKSRFRSLINEDIYRRKSRHKRSSTCPAKTLQLPHADSVHNLEVDPLSELLLTVENPEPVLRTFQNHLAAGTLDALSPVFSDKRIANCDKCVDCGTMFCSDTLEQSKIHNHKHLSSPIQDGPEEKSFNAQILTTDASPHLFKDFLDALDVINANKNFLLEYIQDPGSPLPFHTHHQQSLKANVRRARSLSFPVSASSSGKQDSDPGQLIDQMIDDLLIAEKKNLQTQRSMPNESTRYRLEDSHKQFIPCGSSHNFEQVGERDLKSTPVSSRVPHNVRTSHFRDLRKKMKRLIEEGRNEKRRITMDAILDKIPRGKRLTKNMKKLIHDKSKDPTVNGEGEESSTSGFENRLSSMSFNKRQRPPMRNSSLKESIGRYSQLYDTCFNSEAKYPRVESSRVKEEEKNSTLKTPKSFKRFLSMPNLKSYFHHNDESSFLLSPKNSMKVHGDRNISANDIEHDHKRFNHSEDSKSQIFPPTLVNNTNQEISLNADQKQLLVRSASKSGINFSAEEKETNMGIECLENLRDRGQDIGAEVDTYPAEANSAFSSDTSFLDVSFDLENIDIPEEEVNSEIKQGQDDGSDHMSEQQEAEENHPEEGENFQNIGTLNQSLNYEFPCIEIDPSNVAAFNYVTKVLDLSGFTDQDSLGIWYSDNQPVGPAVYEELEGCLLLDPHCSGNSGEGGECNHMLLFDIVNEGLLEIFGRSYNYYPRPLSTLSHVHPLPTGDNVLYDVWKLISWYLNSTISDSYISLDYYVSKDLSKYDGWMNLQFDSECVGLEIDDLIFDDLLEEIIFTQLT >Vigun07g081300.1.v1.2 pep primary_assembly:ASM411807v1:7:11477865:11485836:1 gene:Vigun07g081300.v1.2 transcript:Vigun07g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIREKECALPSRNSSSSHHTHPGRVWGILHVIKYHHWRQVKRRLTTRRHGGGRPDERVERPGTSDDSGLNSMPDHCIPYTELSNVEEKLEQSPSPSKSSIKSRFRSLINEDIYRRKSRHKRSSTCPAKTLQLPHADSVHNLEVDPLSELLLTVENPEPVLRTFQNHLAAGTLDALSPVFSDKRIANCDKCVDCGTMFCSDTLEQSKIHNHKHLSSPIQDGPEEKSFNAQILTTDASPHLFKDFLDALDVINANKNFLLEYIQDPGSPLPFHTHHQQSLKANVRRARSLSFPVSASSSGKQDSDPGQLIDQMIDDLLIAEKKNLQTQRSMPNESTRYRLEDSHKQFIPCGSSHNFEQVGERDLKSTPVSSRVPHNVRTSHFRDLRKKMKRLIEEGRNEKRRITMDAILDKIPRGKRLTKNMKKLIHDKSKDPTVNGEGEESSTSGFENRLSSMSFNKRQRPPMRNSSLKESIGRYSQLYDTCFNSEAKYPRVESSRVKEEEKNSTLKTPKSFKRFLSMPNLKSYFHHNDESSFLLSPKNSMKVHGDRNISANDIEHDHKRFNHSEDSKSQIFPPTLVNNTNQEISLNADQKQLLVRSASKSGINFSAEEKETNMGIECLENLRDRGQDIGAEVDTYPAEANSAFSSDTSFLDVSFDLENIDIPEGYTEEVNSEIKQGQDDGSDHMSEQQEAEENHPEEGENFQNIGTLNQSLNYEFPCIEIDPSNVAAFNYVTKVLDLSGFTDQDSLGIWYSDNQPVGPAVYEELEGCLLLDPHCSGNSGEGGECNHMLLFDIVNEGLLEIFGRSYNYYPRPLSTLSHVHPLPTGDNVLYDVWKLISWYLNSTISDSYISLDYYVSKDLSKYDGWMNLQFDSECVGLEIDDLIFDDLLEEIIFTQLT >Vigun01g238400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40953857:40955252:1 gene:Vigun01g238400.v1.2 transcript:Vigun01g238400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSPKQSFLVLITIIFFLLGPGPVPVIARDAHVINFRSSNLYPESLAWDPIMQHFLLGSLRQRVITAVSDSGIVETYISDTDLPEGASILGLAVDAPRNRLLAVVHSYPPLPPFNALAAYDLRSRRRLFLSPLPSEGMDAANDVAVDYSGNAFVTNSGGNFIWKVTADGSASIFSRSRKYLTETNNPVDDGLLETNNPVDDGLSPHDYLGLNGITYVSKGYLLVVQSSTGKVFKVDAVDGTARKVLVKEELIGAEDIAVRSDGVAAVVAPMKELWLLKSMNSWSEGAVFDKVEVNVRRFPTSVVVGDKDRVYVLYGHVDEGKMGDSGRESFAIAELRWKGEDESVWIFVLVGLGFVYFIFWKYQMARLVKKMNKVN >Vigun01g058600.1.v1.2 pep primary_assembly:ASM411807v1:1:12113014:12116219:-1 gene:Vigun01g058600.v1.2 transcript:Vigun01g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTQVKRGPWSPAEDLKLIAFIQKYGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGHFTPEEEETIIRLHKAMGNKWSKIASRLPGRTDNEIKNVWNTHLKKRLAPKKTSESSTEESKPESTITSTSSSSSQSFFSIERLNSDKSTTPYNEAFCDQSEQLSMEDKIEQDSEKAVSSELIGMTEDPKESSASFSCESNIVNSIQNVAHKPEEKLESPISVSIYSVETDIVNSDQKVTHKPEQQLASPLSYLGAYDVDHILEEVDKPDHLIEIPWEPDYEFWKLLDDDSPGSFQSNEVQLGEFSANQNIILGEEGEARKWTHDFENEFGVVGEIKESNKDHNFLPKNYAVEPEMDHTQAFDFDGMTQAESELDFGSIQLWPSSPQNTSLYFNTDQ >Vigun01g058600.2.v1.2 pep primary_assembly:ASM411807v1:1:12113014:12115405:-1 gene:Vigun01g058600.v1.2 transcript:Vigun01g058600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKWSKIASRLPGRTDNEIKNVWNTHLKKRLAPKKTSESSTEESKPESTITSTSSSSSQSFFSIERLNSDKSTTPYNEAFCDQSEQLSMEDKIEQDSEKAVSSELIGMTEDPKESSASFSCESNIVNSIQNVAHKPEEKLESPISVSIYSVETDIVNSDQKVTHKPEQQLASPLSYLGAYDVDHILEEVDKPDHLIEIPWEPDYEFWKLLDDDSPGSFQSNEVQLGEFSANQNIILGEEGEARKWTHDFENEFGVVGEIKESNKDHNFLPKNYAVEPEMDHTQAFDFDGMTQAESELDFGSIQLWPSSPQNTSLYFNTDQ >Vigun10g079800.1.v1.2 pep primary_assembly:ASM411807v1:10:21815512:21818428:-1 gene:Vigun10g079800.v1.2 transcript:Vigun10g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKFEAAVIEAELSAPMEVRTKLKMTGPNFEVREMKSSKELRKKVKIKRERIISIGGVTNGPKFLLFICGCW >Vigun01g078800.1.v1.2 pep primary_assembly:ASM411807v1:1:22152224:22160798:-1 gene:Vigun01g078800.v1.2 transcript:Vigun01g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSGNYTPESMDPDKMLQWEDIICVTYLTPFSVQCPICLEYPLCPQITSCGHIFCFPCIIQYLMMGEEDHKGDIWKRCPLCFVMMSAKDLYTVHITNVKQYQVGENVEFTFLTRKKDSFTLTSKNKQETNITSFGNGHFCDPFSKFTLTSDVDLSVRHSISDLDGWLARADSGLVDDMEKLPYVCAAMQQLEQRKRYWNEQKSNDSENSKPIDHGHQIQSMATNSMDTDDENCSNGSKTASTDYSDQIKVSILEKSTAGACSDPTLNVEKELIEQQMNLCSSYEEKNSIQRPADDGVVEEVKGNDSYSFYQAADGQHLILHPLNMKCLLHHYGSYDMLPHRINGRILQLETVTQSEAMRRRYRFLSHFPLTTTFQLCEVDLSELLPPEALAPFMDEIKKRANQRKQLAKKERKEKIRAEATDTYSLPISFSSQLTSRDDPPTFSMDDFEALGNSSISSSPPVAGERKSFSNVTRLGFAAAHDSPSLQIQETSGLHNNNTTTDSSAPTGSRNGEIQSYSNVISRAESNISSNAPKTNELGKKGKKPNRVLLSTAGGRRY >Vigun07g110300.1.v1.2 pep primary_assembly:ASM411807v1:7:20416901:20417755:-1 gene:Vigun07g110300.v1.2 transcript:Vigun07g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSVCQGLQSSVEPCLLEPRVLKLKLAPPGSNSNTLPHTPNHQHGNKNWSFLQSLSNISHCKEPETEKVYVHPSVKRSSSMLSAKSLELCTESLGCETGSNASDDTEHMSLFSPQTTPSLRSNNTNKNSESKRLNKRGSSTTTFPPPLTSMGEVRVRSHREDGRLILEAVTSSSPQPYFEAERGNGRLRLRLFEYDDEVSDEDEEEEEEEEEVEVEEEDDVVVEEELEEYVGNAEDEMGMTKFMRPSRCKESGNRVIFGDGYFEHPPLSLCL >Vigun09g227400.1.v1.2 pep primary_assembly:ASM411807v1:9:39936599:39941008:-1 gene:Vigun09g227400.v1.2 transcript:Vigun09g227400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKDDEKVMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQFSIPSQRFNSGVLPLNPNISSNTAPPASSSQGTVPERNHVFPVHLPSQKPIRPVEKCHSRQSEGANLTSSLEQRKKVYEEDFRVPVYVHSRVSHGQCNDKSVESFDRKKITHTGTRYFGCSVAGQSECERVPKQFASSHVRKDARGETDGLPQVSTSKDQPLMSVRSISTRENIDTIVRQAKVTPNQEFQDCHVSKVNRFRQGDACLRQDCGVGSQSNDIGHSGSLVQSSRKIGNGNAATANQTNPAEAINDTGHHDARTGSLIQGRKLNGSDNASKISSVDNLSTLKISPDDVVGIIGQKQFWKARRAIAHQQRVFAVQVFELHRLIKVQQLIAGSPEVLLEDGTFLGKSPPKGSTRKKLSLEYVVKPWQQNLKRKDDSEKLNHKMECSAENAVGKTSLSSVKNDSHLSNYTPFPRNPQQANVGADSGMGPWCFHQSAGHQWLVPVMTPSEGLVYKPYPRPGFTGTDGGGCGPAPFGGNFMNPAYAIPTSHQGFGVSPQTPPGSLAYFPPYGMTVMNATMSESAVDQGNQFSSLGSHRYNNGHLPGGEADHITNNQSSCNLPTPTNGALSHVLKYQTSKDFELQGSTASSPGEMGLGLSTGQVAEGRDVLPLFPMVPAEPESIPRSLETGQPTRVIKVVPHNRISATASAARIFQSIQEERKQYDSV >Vigun09g227400.2.v1.2 pep primary_assembly:ASM411807v1:9:39936546:39941008:-1 gene:Vigun09g227400.v1.2 transcript:Vigun09g227400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKDDEKVMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQFSIPSQRFNSGVLPLNPNISSNTAPPASSSQGTVPERNHVFPVHLPSQKPIRPVEKCHSRQSEGANLTSSLEQRKKVYEEDFRVPVYVHSRVSHGQCNDKSVESFDRKKITHTGTRYFGCSVAGQSECERVPKQFASSHVRKDARGETDGLPQVSTSKDQPLMSVRSISTRENIDTIVRQAKDCGVGSQSNDIGHSGSLVQSSRKIGNGNAATANQTNPAEAINDTGHHDARTGSLIQGRKLNGSDNASKISSVDNLSTLKISPDDVVGIIGQKQFWKARRAIAHQQRVFAVQVFELHRLIKVQQLIAGSPEVLLEDGTFLGKSPPKGSTRKKLSLEYVVKPWQQNLKRKDDSEKLNHKMECSAENAVGKTSLSSVKNDSHLSNYTPFPRNPQQANVGADSGMGPWCFHQSAGHQWLVPVMTPSEGLVYKPYPRPGFTGTDGGGCGPAPFGGNFMNPAYAIPTSHQGFGVSPQTPPGSLAYFPPYGMTVMNATMSESAVDQGNQFSSLGSHRYNNGHLPGGEADHITNNQSSCNLPTPTNGALSHVLKYQTSKDFELQGSTASSPGEMGLGLSTGQVAEGRDVLPLFPMVPAEPESIPRSLETGQPTRVIKVVPHNRISATASAARIFQSIQEERKQYDSV >Vigun04g159000.1.v1.2 pep primary_assembly:ASM411807v1:4:38098950:38101042:-1 gene:Vigun04g159000.v1.2 transcript:Vigun04g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLNETISLWFFIPMLAFFMLLAFPAIFNLLLSKLNSKSAKNLPPSPPKLPIIGNLHQLGNHTHYTLQSFAQTHGPLMLLHLGKVPVLVVSNTEAAREVLKTQEHIFCNRPHRKMSDIIVYDSKDVASAPYGKYWRQIRSICVLHILSAKKVQSFGAVREEEISIMMKEIKQCCSSAMPVNLSDLFSTVTNDIVCRAALGRRYSGEGGRKLWEPLNEMMELLGAPFIGDYIPWLDWVGRVNGMYGRAKRVAKELDELFDEIVDEHVNRRGHAVHGDDDDDDDEEENDLVDILLRIQEKNTMGFQIDRTTIKALILDMFVAGTDTSSSILGWMMTELLRHPMVMQKLKDEISNVISGRTRITEEDLSSMPYLKAVIKESFRLHPPGPLLLPRESVEDAKVMDFDIAAGTQLLVNAWAIARDPSYWEKAEMFEPERFLNSSIDVKGQDFEVLPFGAGRRGCPGIMFAMNVIELVLANLVHQFNWEVPNGVMGDQTLDMTETTGLVSHRKFPLMAMASHHA >Vigun07g273600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38924495:38927318:-1 gene:Vigun07g273600.v1.2 transcript:Vigun07g273600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRRCERFCACYGIHIRKLSVISEGKSEPSMVENVVHEERMSNLHYLLQLCAKTRSSVGGRACHAQIIRVGWEMDVLTSNMLINLYSKCSLVDSARKKFNEMPVKSLVSWNTMIGALTQNDEDQEEALTLLIQMQREGTPFNEFTISSVLCKCAFKCAILECMQLHAFSIKAAIDSNCFVGTALLHVYAKCSSIKYASQIFDSMPERNAVTWSSMMAGYVQNGFHEETLLLFHNAQLMGLEQDPFMISSAVSACAGLATLVEGKQLHAISHKSGSGSNIYVSSSLIDMYAKCGCIREAYLVFQGVMEVRSIVLWNAMISGFARHAYAPEAMVLFEKMQQRGFFPDEVTYVSVLNACSHMGLYEEGQKYFDLMVRQHKLSPSVLHYSCMVDILGRAGLVHKAYELIERMPFYATSSMWGSLLASCRVYGNIELAEIAAKHLFEMEPNNAGNHILLANVYAANKKWDEVARARKLLRENDLKKERGTSWIEIRNKIHSFTVGERNHPQMDEIYAKLDDLVVELKKLNYKVDTDNDLHDVEESRKQMLLRHHSEKLAITFGLMCLPSGIPIRIMKNLRICGDCHIFMKLMSKFTSREIIVRDTNRFHHFKDGFCSCREFW >Vigun05g118200.1.v1.2 pep primary_assembly:ASM411807v1:5:12723922:12724815:-1 gene:Vigun05g118200.v1.2 transcript:Vigun05g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRVPFVAAATTPLSSSSSSFLQSLTWWNRESVAKGVGTRISVVAAKITVLVTGAGGRTGKIVYKKLKERPNEYVARGLVRREESKEKIGGADEIYVGDIRDAENI >Vigun11g007000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:770399:771703:1 gene:Vigun11g007000.v1.2 transcript:Vigun11g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFICYSHFLILLLFFHNISSSKALKSGFRVELIHRDSPKSPFYRPTETHFQRVQNAVFRSIHRANYLTLDSLHDVETTVIPTPGEYLMNYSVGTPPFQILGLVDTGSSTIWMQCQPCKNCYKQSRPIFDPSISSTYSSIPCVAAECLTEKTSFCKFNNGKHCAYKATYGDNSTTEGDLIWDTITLTSSSEDKPVALPKTVIGCGHNNVGIFGEQNSGIVGLGNGPYSLATQLRPKTGGTFSYCFTPMYEGDRKPSYLHFGDRGEVSVKSAVPTPIVMNNAMPFGYYLVMEAISVGSKRIEFPRNGEEGNIILDSGTVLTFLPDEVYSKLEAEMVNAVNLVRTDDPSKVLKLCYEIRSGQQYQIPTVFAHFKGGAVVELHSINTFVKMTETVICLAFSPDSTAIFGNLAQQDILVGYDTQHNTVTFLNTDCTSEL >Vigun04g101300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23497407:23498036:-1 gene:Vigun04g101300.v1.2 transcript:Vigun04g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun05g184400.1.v1.2 pep primary_assembly:ASM411807v1:5:35631661:35633124:1 gene:Vigun05g184400.v1.2 transcript:Vigun05g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAAELVKCLSESDCIKVEKRSFRECVGEKSPCIPSECVGLRETYFNCKRGQVDMRARIRGNKGY >Vigun07g184650.1.v1.2 pep primary_assembly:ASM411807v1:7:30173327:30174145:-1 gene:Vigun07g184650.v1.2 transcript:Vigun07g184650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFEKPCFDALSNPYPYCAILSKCNCSPTSSSETPTLMERFPLPLSHSLLSQTNFLFPLNKNQASTPIFLFWVPLTLPQNLAFPFQQSPNLIFYCTSKQKGTHFTILFFYVKTKGSTFYYDSATSNGFVSLGLINLKGKNGLKLLSSFMELRCRFLFRSMFTRNIM >Vigun03g277600.1.v1.2 pep primary_assembly:ASM411807v1:3:45471543:45474628:1 gene:Vigun03g277600.v1.2 transcript:Vigun03g277600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSSSFQNLGSFPSPGAPYYRERNVGSQKGWSSERVSKASSSSGISRRHTMAGLITPFGGGRTMPSKWDEAERWISSPASAYAESRSSHAQLQRRPKSISGPIVPPGVAAFYSNYSPVVPLRQGLVVRNLVVGSPFSTGVLAPVAVSVHHYDPHDATVFGYDMDNGMQFSTSVLNDNGVVLSSLSTAPTTCSQLPCDQSSPISQDEKHDETMNEENEASNLSRCDKGTQMSPVEAENDAHSSPVSSAATSAVDQQECHSPKLEVRDVQVDSQATIIRWSKRHATKLAKKDLLHSKDSREISAQAQASCWEIDEPNIDTSKLKREGAKIIAWENLQKAKAETSIRKLEMKLEKKRSSSMDKILNKLRRAQLKAENMRSSIPEQQGKEVSKCRVFSFSKYAPIWSPSSCFGSNAQ >Vigun03g277600.2.v1.2 pep primary_assembly:ASM411807v1:3:45471543:45474600:1 gene:Vigun03g277600.v1.2 transcript:Vigun03g277600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSSSFQNLGSFPSPGAPYYRERNVGSQKGWSSERVSKASSSSGISRRHTMAGLITPFGGGRTMPSKWDEAERWISSPASAYAESRSSHAQLQRRPKSISGPIVPPGVAAFYSNYSPVVPLRQGLVVRNLVVGSPFSTGVLAPVAVSVHHYDPHDATVFGYDMDNGMQFSTSVLNDNGVVLSSLSTAPTTCSQLPCDQSSPISQDEKHDETMNEENEASNLSRCDKGTQMSPVEAENDAHSSPVSSAATSAVDQQECHSPKLEVRDVQVDSQATIIRWSKRHATKLAKKDLLHSKDSREISAQAQASCWEIDEPNIDTSKLKREGAKIIAWENLQKAKAETSIRKLEMKLEKKRSSSMDKILNKLRRAQLKAENMRSSIPEQQGKEVSKCRVFSFSKYAPIWSPSSCFGSNAQ >VigunL057600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:49704:50876:-1 gene:VigunL057600.v1.2 transcript:VigunL057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSKNHPQPDSAAAAAAATSSAAASDNAFLSPKALSELLSTKLRKYCKDPAPSLTCLRLDADNSHIGVWQKGAGPHSGSSWVMRVELGKKQTQGESAWSPNSGANHTAANSEVVVEEEEEEEEEDTLALQMIEELLNWN >Vigun01g075700.1.v1.2 pep primary_assembly:ASM411807v1:1:21261555:21275051:-1 gene:Vigun01g075700.v1.2 transcript:Vigun01g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTYSVYRKKKTNFPEVVVFVPSTRIPVQSDLQRVLKGVIPRDLADKLTSLRNQIVLVAEDTGGSAISELRRALNEYLSLLIGLTKKEYGLEGLIDFKWKNLEDGKQDSSVANTWFEVLSAVHLMAMLTLSEADSMMIPKDSSGSGFRVVSSDSKREAIDLLLKASGYLEFCLRDILTRIPPEMRNTFPHDLQEGVLEAIAIQTLGQGTEIQLGLAVECQKATLSVKRRLACEQLIYFSQAYHCLLGCDTNQGSGRKHLRFIKWKFLEAKAAAYYYHGLILDKGNEPNSSIGAVSCFLAAEELLAESKKASLSFCLAAPVTRVPPLWGAMKFLHQKIPEVASRKSQMYGYLWEQEKGLQSLPDLPEFQLSLRPDDYELPEIDPAWDSRNWESLGQPLKEHLIDSDENPTD >Vigun07g213600.1.v1.2 pep primary_assembly:ASM411807v1:7:33504935:33508054:1 gene:Vigun07g213600.v1.2 transcript:Vigun07g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSSFPCIKIPACSSSPSCTSSSNSSIRFSSSKPYAAVTVIRNSQTEGPLRRPVAPPVREPSSSIPQPLKPSPPSQPPPQKAAPVVGDDNNVITLEFQRQKAKELQEYFKKKKLEEADQGPFFGFIGKNEISNGRWAMFGFAVGLLTEYATGSDFVDQIKILLSNFGIVDLE >Vigun11g008633.1.v1.2 pep primary_assembly:ASM411807v1:11:973105:974230:-1 gene:Vigun11g008633.v1.2 transcript:Vigun11g008633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKSRAAANQINDFIFHRKKHYNMTRCIRGTRINHKFQMYQSDQDQSQI >Vigun03g198800.1.v1.2 pep primary_assembly:ASM411807v1:3:28537394:28540930:-1 gene:Vigun03g198800.v1.2 transcript:Vigun03g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRGSYGGRSSYRGRGSGSYGGGEGNAGRSSYGGRDSYGGRRGRFYQRPQLSGSNEHRSPSQTQPQLRWKPRLNPSSPGESSEPHASQPRPETETETGIETEKETIQNPDVGSLKISEEKAESMRPMRRPDKGGKMAAKRNNLEVNHFLVEFDEKRVIFQYNVDVKAKAPAMNHRPQKISKFLLSSIRDKLFSDHAYPSSAYDGDKSIFSSERLKEGTFEVEVVRGGDARTLCYSVTLTLVKDLELRKLRDYLSGKVPGIPRDVLQGLDLVAKENPAKQCDTVGCCFFPKDHPISPINSKGIIATGGFQQSLKPTSQGLSLCLDFSVLSYFGEEKPVLEFLHEQIEKFDLKMFSKFKKKVEDLLVGLKVNVTHRMTKQKYTITKLTPMVTSKIRFPVFDTEGRNPARECSLIEYFSRKHKVEIQHTEIPALDFGGNKMNYVPMELCVLIKDQRCPKENLLNTNAGSVLRNMSRATAGERRSKICTIVESEEGPCGGGVIESFGMRVGTSMTKVTGRVIQPPKLKIANRKGGIISMALEVGKPQWSLKGRSMVEGKPLKCWGILYFSDSKCQFKSERFITNLCNKCELLGIKMEEPACSKEYSMSTLREYDSLCELLQEIDSQVQKERKQRLQILLCVMADKDSGYKSLKWIAETKVGVVTQCCLRSHAIDSKDHFLTNLALKMNAKIGGSNVELMNRLPNFDGEGHVMFIGADVNHPPSRDTTSPSIAAVVATVNWPAANRYAARVCAQGHRVEKILNFGRICLELVSHYKKLNKVWPEKIVIFRDGVSESQFNMVLTEELQDLKSVFGDSNHSPTITLIVAQKRHQTRFFVKGESFNVLPGTVVDTKVVHPFEFDFYLFSHMGKWGTSKPTHYHVLWDEHKFTSDDLQKLIYEMCFTFAKCTGPVSLVPPVYYADLAAYRGRVYYEAMNEAKPDRSATTGSSSSVSGLNDEECYKLHPDVENLMFFI >Vigun06g077800.1.v1.2 pep primary_assembly:ASM411807v1:6:20912123:20914355:1 gene:Vigun06g077800.v1.2 transcript:Vigun06g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFLVLCFIAVFCAFAVPSFGRVIPTDTVKNIVLVSKIEQTNHWLDKEPRIMPPSQPSVKFF >Vigun08g150800.1.v1.2 pep primary_assembly:ASM411807v1:8:32339975:32341249:1 gene:Vigun08g150800.v1.2 transcript:Vigun08g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSTSCGACKFLRRKCTSDCVFAPYFSYDQASTHFAAVHKIYGASNVSKLLSHLPIQNRRDAAITISYEALARMHDPIYGCVAHIYALQQQVVNLQEEIDALGSMVAKSTVSVVNSGTVQMYSENGIPYDTLQHDGRRTQYFENNNVANFLSEDDGSGRVLQSLESQMNIELPNAHVQEEASFGDSNSNPLEQFLSGIDQEVFVNHPWFKHNADIKG >Vigun10g136300.2.v1.2 pep primary_assembly:ASM411807v1:10:34998438:35002780:-1 gene:Vigun10g136300.v1.2 transcript:Vigun10g136300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEEVRIPMKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERENNSEPQEGLENTSEQHQGRENTSEQQVESGCFKKLCQSFKDTLELRSEQQVGLGCIKELYQSVNNLESDVFRNNNCQKMLHSPRNPLERSCQRLKVTVDDTEPTKYFMCHNCSRKEIKLLVSSFIDVKCDCGSFMKKEIEMMEETAGEDGVFVKGKAMFFIYDDLRVRRSSPSEFIKPTLKPGSKELKSYREVLFDKEKILNILKQALTSKTPLSDVLLKKKSKRSVSFSRVIGSSVSKDYLQIKIMVSKSENKVRFVEADGDFVDFLASFLTTPLGSILNLKNNKFSCSPIPLLASILKLKNGRLSLGSIRNLYKSVKNLDPSWFIEPSKKSLLNPKVAHHFGCERNPLLNASQDYTSKYWYGLGEMKNEKGRIICEKKMISKKRDTLQQPKEIELLDPRSSDRDRKDGVGFMKRPCLFVVWDNLKLAPLTTTSLPISFSDSDNVVSTDLEEHLLRIRKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPLWGFFRRKRIKREEKRKRRKRAKDIKLNEKKERKKQEKSAVETKREKEEINNNSVQKTKEEVKA >Vigun10g136300.1.v1.2 pep primary_assembly:ASM411807v1:10:34998438:35002408:-1 gene:Vigun10g136300.v1.2 transcript:Vigun10g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEEVRIPMKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERENNSEPQEGLENTSEQHQGRENTSEQQVESGCFKKLCQSFKDTLELRSEQQVGLGCIKELYQSVNNLESDVFRNNNCQKMLHSPRNPLERSCQRLKVTVDDTEPTKYFMCHNCSRKEIKLLVSSFIDVKCDCGSFMKKEIEMMEETAGEDGVFVKGKAMFFIYDDLRVRRSSPSEFIKPTLKPGSKELKSYREVLFDKEKILNILKQALTSKTPLSDVLLKKKSKRSVSFSRVIGSSVSKDYLQIKIMVSKSENKVRFVEADGDFVDFLASFLTTPLGSILNLKNNKFSCSPIPLLASILKLKNGRLSLGSIRNLYKSVKNLDPSWFIEPSKKSLLNPKVAHHFGCERNPLLNASQDYTSKYWYGLGEMKNEKGRIICEKKMISKKRDTLQQPKEIELLDPRSSDRDRKDGVGFMKRPCLFVVWDNLKLAPLTTTSLPISFSDSDNVVSTDLEEHLLRIRKSEAINLLRASLTSDKGAFTRSLSFLLWTWRFQRLIPLWGFFRRKRIKREEKRKRRKRAKDIKLNEKKERKKQEKSAVETKREKEEINNNSVQKTKEEVKA >Vigun11g039033.1.v1.2 pep primary_assembly:ASM411807v1:11:5460229:5461901:1 gene:Vigun11g039033.v1.2 transcript:Vigun11g039033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDRTRSKLLDWNKRFNIIQGISQGLLYLHKYSRLKVILRDLKASNILLDENMNPKISDFGMARMFTQQESVSNTNRVVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIVCGRKNTSFYDDEHPINLIGHVWELWKDGKCFESVDPSLEEVFDCDEVQRCIHVGLLCVEQYANDRPTMSDVISMLTNKSEIVSLPKRPAFYVQREMLNQNLSSPGLCTASTVEITSSLEIE >Vigun03g138700.1.v1.2 pep primary_assembly:ASM411807v1:3:13639314:13646932:-1 gene:Vigun03g138700.v1.2 transcript:Vigun03g138700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFAKDLRQVKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRSTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGCPRYSTPVDIWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWQAKDLKTVVPNLEPAGLDLLSRMLHLDPSKRITARSALEHEYFKDIKFVP >Vigun06g231600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33807558:33808418:1 gene:Vigun06g231600.v1.2 transcript:Vigun06g231600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGLDLEYWLVNHPTIRNFSWTPGKTLGSSPHFLFFTILSYLFSTFILPHRIISSPSISPQFIKTISALHNIFLLLLSFTMALGCLLSTLYHAPHLHWIVCFPPHTKPTGPLFFWAYIFYLSKILEFADTLLIILSNSIQRLTFLHVYHHSTVVIMCYIWLQTSQTLFPAVLFTNASVHVLMYAYYLSCALGVRPRWKKIVTNVQILQFYSSFLVLAVMLYYHFTGSGCSGVWGWTFNVVFYASLLVLFVDFHKKSYGGASSKKNNKLAVKPPKTFLCSNHTHK >Vigun05g125200.1.v1.2 pep primary_assembly:ASM411807v1:5:13994028:13998492:-1 gene:Vigun05g125200.v1.2 transcript:Vigun05g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPRQALSNQKNHNQMSRQAFITNHHHHLLRHVLLFALLLPLSFAATDEVAALVSWMQSSSKTPSAFSSWNPLDSNPCSWSYIKCSSANLVTEITIQNVELALPFPSKISSFPFLQILVISGANLTGTISPDIGNCSQLRVLDLSSNSLVGGIPSSVGRLKNLQNLSLNSNHLTGSIPSELGDCVNLKTLDIFDNSLSGDLPVELGKLSNLEVIRAGGNNGLVGKIPDELGDCRNLTVLGLAETKISGSLPASIGKLGMLQTLSIYSTMLSGEIPPQIGNCSELVNLFFYENDLSGSLPREIGMLQKLEKMLLWQNNFVGDIPEEIGNCRNLKILDVSLNSLSGGIPQSLGKLSNLEELMLSNNNISGSIPPALSNLSNLVQLQLDTNQLSGSIPPGIGSLTKLTVFFAWQNKLEGGIPSSLGGCRSLEALDLSYNALTDSLPPGLFKLQNLTKLLLISNDISGPIPPEIGNCTSLIRLRLVGNRISGDIPREIGSLKSLYFLDLSENQLTGSLPFEIGNCKELQMLNLSNNSLSGALPSYLSSLTRLEVLDVSMNNLSGEVPMSIGQLTSLLRVILSRNSFSGPIPSSIGQCSGLQLLDLSSNNFSGSIPPELLQIEALDISLNLSHNAISGVIPQEISSLKKLSVLDLSHNNLEGDLAAFSGLENLVSLNISYNKITGYLPDTKLFHQLSATDLAGNQGLCPNGHDSCFVSGDAMTKMLNGNNSKRSEIIKLAIGLLSALIVAMSVFGVVTVFRARKIIQADNDSEMGGDSWPWQFTPFQKVNFSVEQVLKCLVESNVIGKGCSGIVYRAEMENGDIIAVKRLWPTTIAAKCDDQSDKLAVNGGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERSGNCLEWDIRFRIILGAAQGVAYLHHDCAPPIVHRDIKANNILIGPEFEPYIADFGLAKLVDDGDFARSSSTLAGSYGYIAPEYGYMMKITEKSDVYSYGIVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGGVEVVDESLRARPESEIEEMLQTLGVALLCVNSSPDDRPTMKDVVAMMKEIRQEREECVKVDMLLHASSANDQQERNVPNEEPMSVISTSSTNLHLHYSPHRPQTPK >VigunL023714.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:33328:35837:-1 gene:VigunL023714.v1.2 transcript:VigunL023714.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKISGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSKEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRFVR >Vigun10g069366.1.v1.2 pep primary_assembly:ASM411807v1:10:16569494:16571354:1 gene:Vigun10g069366.v1.2 transcript:Vigun10g069366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARGNVPETVIAFIVDRYLSRNQFSQTQATFRNEASILLADSPANENLLTLEGIVDQYIFMKKQNNLLDKENVMLMQEKHRIQMLLQDLQNVLDSFNARSSPFSNAAAMIQNSAVLPPMQNSNRYHPGIPMQNSKRNIPVVSTGIVFPMQNTMSVTPTSMDNISLSSPMIRMLDRKRKDTPSLDGCTVAKKPRGRPPGSKNQVKGVNTLLPSPSTKVDFGSSSASTQSLVGNSALRGSLISTNPVSRTLPIIHSIQSDTHVSLPVSSDVAQTTEISPTAACNGEVITPCYNVISANGVMVQPEKQMVYKKDNDISPIEPHSDQTNNGNAYKPSTETLDKPLGIPPSESESDKDRDIWAQLGLDDIDFSCSEEDDSFTKMIRDIEIMNENASDH >Vigun04g152800.2.v1.2 pep primary_assembly:ASM411807v1:4:36983844:36989275:-1 gene:Vigun04g152800.v1.2 transcript:Vigun04g152800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQIDRVGGKVRVVARIRGFSGPEANSESGTARTVEWISVNGENSDDVSISFRDHPSRYLVDYCYKEDEDSEMIYSREVKPFVSAAFEGHNSTVIAHGARGSGKTHIIQGSVESPGLAVLAVAEFLSIAEKNGKSINVSLYEIDHQERAMDLLNPENPPISVFEDRGRIQFKGLTQVPVRSVSEFQNLYSTACFSFKGAPKKGVHRSHMGLIVHVVSQNVGSIVSKMNFVDLAGYEDTRKKTSDGSCLTEISKINKSIYALLNICHALSTNESHIPYRESKLTRILQDSLRGTSRILLVSCLNPSFCQDTIYMLSLASRSCHRIHHQTFLDSTKKSVSSANMRQIPKSVSGTAKKLHGSSKPMKGRKLFDEASHSTPKVKKEMVTEASKPLMDNSLPETGNHDNSGVETIVNNLALTNVSFTEDDFAKASQDMKLDPTLENGDSSLNASSEVEFSSLAAKDILVDGDDGKKEHTPCINHSSEPLSIIVHEGHNSPPISSQLRDLSNSLKMLCSSTSSCLQNSEKQPISVLEPKTPINKQNMNINQWNEMNGKSPWETFSMRGSGMKSSIVQEYLRFLNTADKEELKRLKGIGDKRANFILELRQESPEPFKSLNDLKDIGLSAKQIKGMMKKEVGELFSEL >Vigun04g152800.1.v1.2 pep primary_assembly:ASM411807v1:4:36983826:36989275:-1 gene:Vigun04g152800.v1.2 transcript:Vigun04g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQIDRVGGKVRVVARIRGFSGPEANSESGTARTVEWISVNGENSDDVSISFRDHPSRYLVDYCYKEDEDSEMIYSREVKPFVSAAFEGHNSTVIAHGARGSGKTHIIQGSVESPGLAVLAVAEFLSIAEKNGKSINVSLYEIDHQERAMDLLNPENPPISVFEDRGRIQFKGLTQVPVRSVSEFQNLYSTACFSFKGAPKKGVHRSHMGLIVHVVSQNVGSIVSKMNFVDLAGYEDTRKKTSDGSCLTEISKINKSIYALLNICHALSTNESHIPYRESKLTRILQDSLRGTSRILLVSCLNPSFCQDTIYMLSLASRSCHRIHHQTFLDSTKKSVSSANMRQIPKSVSGTAKKLHGSSKPMKGRKLFDEASHSTPKVKKEMVTEASKPLMDNSLPETGNHDNSGVETIVNNLALTNVSFTEDDFAKASQDMKLDPTLENGDSSLNASSEVEFSSLAAKDILVDGDDGKKEHTPCINHSSEPLSIIVHEGHNSPPISSQLRDLSNSLKMLCSSTSSCLQNSEKQPISVLEPKTPINKQNMNINQWNEMNGKSPWETFSMRGSGMKSSIVQEYLRFLNTADKEELKRLKGIGDKRANFILELRQESPEPFKSLNDLKDIGLSAKQIKGMMKKEVGELFSEL >Vigun07g032300.2.v1.2 pep primary_assembly:ASM411807v1:7:3034287:3040751:1 gene:Vigun07g032300.v1.2 transcript:Vigun07g032300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAALTTIHTSFFPTNTILQKPSSFQPFFSTRLLGLPLSSSFSVAKRLSSFTSRPIKCSVSEATEPKAGEKKKQLLRRGDVRNIAIVAHVDHGKTTLVDAMLKQTKVFRDNQFVQERIMDSNDLERERGITILSKNTSVTYKDTKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHSVVVVVNKIDRPSARPDFVVNSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPENLAEDLGPLFESVIRCIPGPRIDKDGALQMLVTNIEYDEHKGRIAIGRVQAGVLEKGMDVRVCTSEDSCRFGRISELYVYDKFSRVPADNVEAGDICAVCGITDIQIGETIADKVSGKPLPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFVVSGRGTLHITILIENMRREGYEFMVGPPKVINKKLNDKLLEPFEIATVEVPEEHMGAVVELLGKRRGQMFDMQGVGSEGTTLLKYKIPTRGLLGLRNSILTASRGTAILNTVFDSYGPWAGDISTRDQGSLVAFEDGASTSYAISSSQERGQMFIGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVILDTPLDYSLDDCIEYIQEDELVEVTPTSIRMCKNPKLARKPR >Vigun07g032300.1.v1.2 pep primary_assembly:ASM411807v1:7:3034287:3040751:1 gene:Vigun07g032300.v1.2 transcript:Vigun07g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAALTTIHTSFFPTNTILQKPSSFQPFFSTRLLGLPLSSSFSVAKRLSSFTSRPIKCSVSEATEPKAGEKKKQLLRRGDVRNIAIVAHVDHGKTTLVDAMLKQTKVFRDNQFVQERIMDSNDLERERGITILSKNTSVTYKDTKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHSVVVVVNKIDRPSARPDFVVNSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPENLAEDLGPLFESVIRCIPGPRIDKDGALQMLVTNIEYDEHKGRIAIGRVQAGVLEKGMDVRVCTSEDSCRFGRISELYVYDKFSRVPADNVEAGDICAVCGITDIQIGETIADKVSGKPLPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFVVSGRGTLHITILIENMRREGYEFMVGPPKVINKKLNDKLLEPFEIATVEVPEEHMGAVVELLGKRRGQMFDMQGVGSEGTTLLKYKIPTRGLLGLRNSILTASRGTAILNTVFDSYGPWAGDISTRDQGSLVMSALKEICRAWYSIIPLFPSVIDEVMEFQVAFEDGASTSYAISSSQERGQMFIGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVILDTPLDYSLDDCIEYIQEDELVEVTPTSIRMCKNPKLARKPR >Vigun03g374600.1.v1.2 pep primary_assembly:ASM411807v1:3:57739126:57742165:-1 gene:Vigun03g374600.v1.2 transcript:Vigun03g374600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIHKFPQYQYVDAVRWLPQLSPLDRFAVLALFDFDSDASSIQIHSLASNPFSLQPQFSWSSPSRISSLKTSLFLQKPLIVASTAAGSLHFLFADSSDASFDSEVSLPEGTLHSVPASSVDLMDGGVECVTVGEDGKVNLVGVGESNLSCRRLFDSGGLVSYTAARWASSVEFATGGYGFSLQWWDQRKPGGPVSNFKGNWDKKLSSGIVHSIDIHPSRKHTCLAGGSLGTVFAWDLRWQQQPIILSGAGAGTGAGNTMVHSVSESEVWEVQYDRCIKSNTSSTRILPSMICSEDGILAVIEQGEEPVELLAEACAINSFDIDRHNPSDVICSLEWEAVAILTRQ >Vigun11g078100.2.v1.2 pep primary_assembly:ASM411807v1:11:23035350:23042461:1 gene:Vigun11g078100.v1.2 transcript:Vigun11g078100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSLFRYADGVDRLLMFFGTLGSLGDGLQTPLMMYILSDVINAYGDKNSHLKKHDVNMYALRLFCAALGVGLSAFVEGICWTRTAERQASRMRMEYLKSVLRQDVGFFDRQTGGSSTTYQVVSLISSDANTIQVVLSEKIPNCLAYMSTFLFCHIFAFVLSWRLTLAAIPLSVMFILPALVFGKIMLDLAMKMIESYGVAGGIAEQAISSIRTVYSYVGENQTLNRFSSALQKTLEFGVKQGFAKGLMLGSMGVIYISWGFQAWVGTILITKMGEQGGHVFVAGFNVLMGGLSILSALPNLTAITEATAAVTRLLEMIDRVPTIDSEDKKGKALSYVRGEIEFQDIHFFYPSRPDTPVLQGFNLTVPAGKKVGLVGGSGSGKSTIIALLERFYDPVEGVILLDGHKTNRLQLKWLRSQFGLVNQEPVLFATSIKENILFGKEGASMESVITAAKAANAHDFIVKLPDGYETQVGQFGFQLSGGQKQRVAIARALLRDPKVLLLDEATSALDAQSERVVQAAIDQASKGRTTIIIAHRLSTVQSAHLIAVLQSGRVIETGTHNELMELPGGEYAHMVELQQVTTQTDEFKPSNLQIEGKNSHRMSISQSPVVSFRSSTVGSPMLHPFSQGFSMGTPYSYSIQYDPDDDSFEDNMKRANHPAPSQWRLLKMNAPEWGRAMLGILGAIGSGAVQPVNAYCVGTLISVYFESDSSKMKSKAKELALIFLGIGVFNFFTSILQHYNFAVMGERLTKRIREKMLEKLMTFEIGWFDHEENTSASICARLSSEANLVRSLVGDRLCLLAQAVFGSVFAYTLGLVLTWRLSLVMIAVQPLVIGSFYSRSVLMKSMAEKARKAQREGSQLASEAVINHRTITAFSSQKRMLALFKATMVGPKKESIRQSWISGFGLFSSQFFNTSSTALAYWYGGRLLIDHKIDPKELFQAFLILLFTAYIIADAGSMTSDLSKGSSAVASVFAMLDRKTEIDPETSWGGEKKRKIRGRVELKNVFFAYPTRPDQMVFKGLCLKIESGKTVALVGHSGCGKSTVIGLIERFYDPAKGTVCIDEQDIKSYNLRMLRSQIALVSQEPALFSGTIRENIAYGKENTTESEIRRAASLANAHEFISGMNDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSVSEILVQEALEKIMVGRTCIAVAHRLSTIQKSNYIAVIKNGKVVEQGSHNELISLGRDGAYNSLLKLQSGSSPR >Vigun11g078100.3.v1.2 pep primary_assembly:ASM411807v1:11:23037461:23042675:1 gene:Vigun11g078100.v1.2 transcript:Vigun11g078100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLFCHIFAFVLSWRLTLAAIPLSVMFILPALVFGKIMLDLAMKMIESYGVAGGIAEQAISSIRTVYSYVGENQTLNRFSSALQKTLEFGVKQGFAKGLMLGSMGVIYISWGFQAWVGTILITKMGEQGGHVFVAGFNVLMGGLSILSALPNLTAITEATAAVTRLLEMIDRVPTIDSEDKKGKALSYVRGEIEFQDIHFFYPSRPDTPVLQGFNLTVPAGKKVGLVGGSGSGKSTIIALLERFYDPVEGVILLDGHKTNRLQLKWLRSQFGLVNQEPVLFATSIKENILFGKEGASMESVITAAKAANAHDFIVKLPDGYETQVGQFGFQLSGGQKQRVAIARALLRDPKVLLLDEATSALDAQSERVVQAAIDQASKGRTTIIIAHRLSTVQSAHLIAVLQSGRVIETGTHNELMELPGGEYAHMVELQQVTTQTDEFKPSNLQIEGKNSHRMSISQSPVVSFRSSTVGSPMLHPFSQGFSMGTPYSYSIQYDPDDDSFEDNMKRANHPAPSQWRLLKMNAPEWGRAMLGILGAIGSGAVQPVNAYCVGTLISVYFESDSSKMKSKAKELALIFLGIGVFNFFTSILQHYNFAVMGERLTKRIREKMLEKLMTFEIGWFDHEENTSASICARLSSEANLVRSLVGDRLCLLAQAVFGSVFAYTLGLVLTWRLSLVMIAVQPLVIGSFYSRSVLMKSMAEKARKAQREGSQLASEAVINHRTITAFSSQKRMLALFKATMVGPKKESIRQSWISGFGLFSSQFFNTSSTALAYWYGGRLLIDHKIDPKELFQAFLILLFTAYIIADAGSMTSDLSKGSSAVASVFAMLDRKTEIDPETSWGGEKKRKIRGRVELKNVFFAYPTRPDQMVFKGLCLKIESGKTVALVGHSGCGKSTVIGLIERFYDPAKGTVCIDEQDIKSYNLRMLRSQIALVSQEPALFSGTIRENIAYGKENTTESEIRRAASLANAHEFISGMNDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSVSEILVQEALEKIMVGRTCIAVAHRLSTIQKSNYIAVIKNGKVVEQGSHNELISLGRDGAYNSLLKLQSGSSPR >Vigun05g233750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42738216:42738389:1 gene:Vigun05g233750.v1.2 transcript:Vigun05g233750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFFIVFCNVLISQIDKAHTKHTKNPCWFYPEQIFTVCFYQLNSLFSNLKEHDISL >Vigun10g111800.4.v1.2 pep primary_assembly:ASM411807v1:10:31222545:31225122:-1 gene:Vigun10g111800.v1.2 transcript:Vigun10g111800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRREVRDDIFEPRGFGDFGGFGFQERMMPSLFGGRDPFDDPFFRDPFDSLFGSNSASRAVQNPNREKGIVIEELDSDDEGADNCPQHGDKDFDQKKSRSTVEPSVEYPDDDDVSERKNSDVTYKNNHYKTEPSKANKYSFQSSRVTYGGIDGAYYTSTRTRRMGSNGVVMEENKEADSTTGQATHRISRGIHDKGHSVLRKLDSYGKVDTTQTLHNLNEDELSGFEEAWKGNNMAQLPGFDVHMSGDSSNGKLNRNHTWPLAYLEPSKGDRKFPSKYEAGNNSGGRTKKVIRINIE >Vigun10g111800.2.v1.2 pep primary_assembly:ASM411807v1:10:31222541:31225128:-1 gene:Vigun10g111800.v1.2 transcript:Vigun10g111800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRREVRDDIFEPRGFGDFGGFGFQERMMPSLFGGRDPFDDPFFRDPFDSLFGSNSASRAVQNPNREKGIVIEELDSDDEGADNCPQHGDKDFDQKKSRSTVEPSVEYPDDDDVSERKNSDVTYKNNHYKTEPSKANKYSFQSSRVTYGGIDGAYYTSTRTRRMGSNGVVMEENKEADSTTGQATHRISRGIHDKGHSVLRKLDSYGKVDTTQTLHNLNEDELSGFEEAWKGNNMAQLPGFDVHMSGDSSNGKLNRNHTWPLAYLEPSKGDRKFPSKYEAGNNSGGRTKKVIRINIE >Vigun10g111800.5.v1.2 pep primary_assembly:ASM411807v1:10:31222545:31225122:-1 gene:Vigun10g111800.v1.2 transcript:Vigun10g111800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRREVRDDIFEPRGFGDFGGFGFQERMMPSLFGGRDPFDDPFFRDPFDSLFGSNSASRAVQNPNREKGIVIEELDSDDEGADNCPQHGDKDFDQKKSRSTVEPSVEYPDDDDVSERKNSDVTYKNNHYKTEPSKANKYSFQSSRVTYGGIDGAYYTSTRTRRMGSNGVVMEENKEADSTTGQATHRISRGIHDKGHSVLRKLDSYGKVDTTQTLHNLNEDELSGFEEAWKGNNMAQLPGFDVHMSGDSSNGKLNRNHTWPLAYLEPSKGDRKFPSKYEAGNNSGGRTKKVIRINIE >Vigun10g111800.3.v1.2 pep primary_assembly:ASM411807v1:10:31222543:31225122:-1 gene:Vigun10g111800.v1.2 transcript:Vigun10g111800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRREVRDDIFEPRGFGDFGGFGFQERMMPSLFGGRDPFDDPFFRDPFDSLFGSNSASRAVQNPNREKGIVIEELDSDDEGADNCPQHGDKDFDQKKSRSTVEPSVEYPDDDDVSERKNSDVTYKNNHYKTEPSKANKYSFQSSRVTYGGIDGAYYTSTRTRRMGSNGVVMEENKEADSTTGQATHRISRGIHDKGHSVLRKLDSYGKVDTTQTLHNLNEDELSGFEEAWKGNNMAQLPGFDVHMSGEHHNVVDSSNGKLNRNHTWPLAYLEPSKGDRKFPSKYEAGNNSGGRTKKVIRINIE >Vigun10g111800.1.v1.2 pep primary_assembly:ASM411807v1:10:31222545:31225122:-1 gene:Vigun10g111800.v1.2 transcript:Vigun10g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRREVRDDIFEPRGFGDFGGFGFQERMMPSLFGGRDPFDDPFFRDPFDSLFGSNSASRAVQNPNREKGIVIEELDSDDEGADNCPQHGDKDFDQKKSRSTVEPSVEYPDDDDVSERKNSDVTYKNNHYKTEPSKANKYSFQSSRVTYGGIDGAYYTSTRTRRMGSNGVVMEENKEADSTTGQATHRISRGIHDKGHSVLRKLDSYGKVDTTQTLHNLNEDELSGFEEAWKGNNMAQLPGFDVHMSGEHHNVVDSSNGKLNRNHTWPLAYLEPSKGDRKFPSKYEAGNNSGGRTKKVIRINIE >Vigun01g061100.1.v1.2 pep primary_assembly:ASM411807v1:1:12856192:12860512:1 gene:Vigun01g061100.v1.2 transcript:Vigun01g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPLLFSKSSSSARKDPEIDEGIHNVKLYSYKELRNATDDFSQANKIGEGGFGSVYKGRLKDGKVAAIKVLAAESRQGVKEFLTEINVISEIEHENLVKLYGCSVDKNSRILVYNYLENNSLSQTLLGGSHSKMYFDWRTRCKISVGIARGLAYLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPANMTHVSTRVAGTIGYLAPEYAIGGKLTRKADVYSFGVLLVEIISGRCNTNTRLPVEEQFLLERTWELYERKELVGLVDTSLDGEFDAEQACKFMKIGLLCTQESPKLRPSMSSVVKMLTGNMDVDDSKITKPALISDFMDLKVRSNKESSSYVKNSSTYNTSSSSDNHDTTMSSVTTTTFAAVYDETM >Vigun03g130400.1.v1.2 pep primary_assembly:ASM411807v1:3:12668748:12677680:-1 gene:Vigun03g130400.v1.2 transcript:Vigun03g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCCFSSVSQVVGGRSSSNSGKGKSSQSSVKYGYSLVKGKANHPMEDYHVAKFVQFNGRELGLFAIYDGHLGDSVPAYLQKHLFPNILKEEDFWNDPFMSISNAYVTTDQEILSHSPDLGRGGSTAVTAILINNQKLWVANVGDSRAVVSRGGEAAQMTTDHEPNTERGSIETRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDIQYADITPDVELLILASDGLWKVMGNQEAVDIARRIKDPQKAAKQLATEALNRDSKDDISCIVVRFKG >Vigun09g262200.2.v1.2 pep primary_assembly:ASM411807v1:9:42704067:42710400:1 gene:Vigun09g262200.v1.2 transcript:Vigun09g262200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWELPFHTFQVVAITVFFLLSIAYYAFFAPFLGKDIYEYVAIGVYSLLALSVFFLYVRCTAIDPADQGVTVDCDKSSKNRSKHDEELAEPSKIGLKGEGMSDRHNSNWCSKVGCFFCGFLVREDCRSNEDSIPQQQSGEEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGKKNYITFVCLMAVSLVWLIVECGVGIAVLVRCFVDKKGTEHQIAEKLGAGFSRVPFATIVAICTAVSFLATVPLGELFFFHMILIRKGITTYEYVVAMRTLSEPPGPSVDGGEQQSLPSSPTSSAVTAMSGRSSVGMSLQYKGAWCTPPRIFMDHPDEIIPHLEPGRLPSTVDPDAVQQLPDKGKKLNQRPVRISAWKLAKLDSNEATKAAAKARASSSVLRPISSRPHGYDVDHLSSSNVSGRSSPISNQGFQNKYDTAGTSRLSPSKSSYAPSQASKDDMDASCHHSMSNFSSPQVSNLTPSPMQKPSLNRDHFNPMYQQPSGNQSPSSAKESEGNTNPVQENVARVPMRSNSLAVSDNRRSSVFWDQAAGRFVSSSSSSRGPGSSQIPGTELLYTGRSIFFGSPVVNEQPNTGTRLSSSVAGIPDRDSTLRDFQQGRSHRGGQLPVFVPGYSQQNKFP >Vigun04g178900.9.v1.2 pep primary_assembly:ASM411807v1:4:40238111:40248813:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.11.v1.2 pep primary_assembly:ASM411807v1:4:40246793:40248813:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.2.v1.2 pep primary_assembly:ASM411807v1:4:40238111:40248813:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.3.v1.2 pep primary_assembly:ASM411807v1:4:40238086:40248901:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.10.v1.2 pep primary_assembly:ASM411807v1:4:40238111:40248813:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.6.v1.2 pep primary_assembly:ASM411807v1:4:40238086:40248901:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.5.v1.2 pep primary_assembly:ASM411807v1:4:40238086:40248901:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.4.v1.2 pep primary_assembly:ASM411807v1:4:40238086:40248901:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.8.v1.2 pep primary_assembly:ASM411807v1:4:40238111:40248813:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g178900.7.v1.2 pep primary_assembly:ASM411807v1:4:40238111:40248827:1 gene:Vigun04g178900.v1.2 transcript:Vigun04g178900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRQQRLNKSCILLIAIAGIERFAFKGVASNLVTYLTDVVKLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYCHKYSTIMVSSFLYFVGLVALTTTALARSWHHRNRTMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEEEELPCSKDDKSYNKKTLFFQWWYFGVCSGSLLGVTVMSYIQDTFGWVLGFAIPAISMIMSILIFSGGSPIYLYKQHEVLEAKKPLMNIFQTIKASALRCFHCEITLPNDNSEVLELELQEKPLCPENLESLKDLNNDSESGMYLLKNVKVMVRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGADFKIPPATLQSSITLSIILLMPLYDKIFIPITQVITRQDKGISVLQRMGIGMVLSIIAMVIAALVETRRLEIGRQMRNAGLHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYGEVPRTMRTMGIALYTSVFGVGSFVSALLITLVEVYTSSKGIPSWFSDDMVEARLDSYYWLLAWLSAASLLLYALLCKYYLKKSDSDSEY >Vigun04g162700.1.v1.2 pep primary_assembly:ASM411807v1:4:38630216:38631907:1 gene:Vigun04g162700.v1.2 transcript:Vigun04g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKNLADSASWCCALALLCLILLGSIRESRVPEEEEEGAAVKGRSNLFERPCDEIYVVGEGETLHTISDKCGDPFIVESNPHIHDPDDVFPGLVIKITPTNNKLLKR >Vigun05g010000.3.v1.2 pep primary_assembly:ASM411807v1:5:810174:820681:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDLGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQVLSMEVVEAYNILPNLDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITIEADDACLACEATLTKVNAFLEEAIQSSCEGIMVKTLDVEAGYFPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGW >Vigun05g010000.6.v1.2 pep primary_assembly:ASM411807v1:5:810174:820422:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQVLSMEVVEAYNILPNLDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITMMLA >Vigun05g010000.4.v1.2 pep primary_assembly:ASM411807v1:5:810174:820422:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQVLSMEVVEAYNILPNLDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITIEADDACLACEATLTKVNAFLEEAIQSSCEGIMVKTLDVEAGYFPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGW >Vigun05g010000.1.v1.2 pep primary_assembly:ASM411807v1:5:810174:822771:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDLGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQVLSMEVVEAYNILPNLDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITIEADDACLACEATLTKVNAFLEEAIQSSCEGIMVKTLDVEAGYFPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGWYSPFLVACFNPETEEYQSVCRVMSGFSDSFYIEMKEFFSGDRVLSKKPPYYQTGEAPDIWFCPEVVWEIKGADFTVSPVHHAAIGLVHPSRGISIRFPRFICCVSDRNPEECSTASDIVEMFHSQTRKMDVTAK >Vigun05g010000.2.v1.2 pep primary_assembly:ASM411807v1:5:810173:822771:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDLGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITIEADDACLACEATLTKVNAFLEEAIQSSCEGIMVKTLDVEAGYFPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGWYSPFLVACFNPETEEYQSVCRVMSGFSDSFYIEMKEFFSGDRVLSKKPPYYQTGEAPDIWFCPEVVWEIKGADFTVSPVHHAAIGLVHPSRGISIRFPRFICCVSDRNPEECSTASDIVEMFHSQTRKMDVTAK >Vigun05g010000.5.v1.2 pep primary_assembly:ASM411807v1:5:810174:820422:1 gene:Vigun05g010000.v1.2 transcript:Vigun05g010000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLDSTQLFLTALKTLQPELPPPASTSTSTSLPPLPSSLPHSKLIPHTRFLVDAFRHAGPYSHSYFLSHFHSDHYTGLSASWSRGVIFCSATTASLLRNILHVPAALVVPLPLRQPLRIDGAQVTLLDANHCPGAVQFLFAIPSADGTATLRYVHTGDFRFSSSMVSEPALASFVGADAVFLDTTYCNPKFVFPSQEESIDYVASVVERIERECGDSNDKVLFLVATYVIGKEKILLELARRFKRKIHVDARKMEVLRVLGYGESGEFTENGLESNIHVVGWNVLGETWPYFRPNFVKMKEVMAERGGSYSRVVGFVPTGWTYEVKRSRFAVKSKDAFQIHLVPYSEHSNYDELREYVKFLKPKRVVPTVGLDVEKSDSKHADKIRKHFAGLVDETANKHEFLRGFCGGLGEVGFKAEKGVSDVLEPGQGMDKKVIPLEEIKSDKSIDPDVAVGLPSFVGDTCTEDPTLLSDEEKEKIIGELSFCLPTWVTRDQMLDMISISGSNVVEAVSNFYERETEFHEQVISCQTPVSTSKCCTLNDTDSLAKPSLNNANSTRKNIDIFSSQDSKSTILRHKVPSPISPAKRKRSSDSKPNKKGKVKAKSEPSGSKQSTLTRFFRKVTPEIPGGTQSDNSEPKLEQSSEVEDLLPTDVGQMYKDEIDQFLQIINGNESLKNHAMTIIKKTKGDVNKALDIYYCNSGNLTENELSVQKESKIDKPLVKKHASEEIRIMPDISGQKVLKDNVDATQLSLPPEKYNPIEHACWRDGQPAPYLHIARTFNLLEGERGKIKATSLLCNMFRSLLALSPADVLPAVYLCTNKIAADHENKELNIGGSLVTAAIEEACGTSRLKIREMYNKFGDLGDVAQECRQTQRFLAPPTPLLIKDVFSALQKISVQTGSGSISRKKGIIVHLMHSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVAMNSSPTFHQGGTAENLKEKLQVLSMEVVEAYNILPNLDLIVPSLMNKGIDFLVSSLSMVPGIPIKPMLAKITNGIPQALKLFENKAFTCEYKYDGQRAQIHKLVDGSICVFSRNGDETTSRFPDLIDIIKESSKPVASTFVMDAEVVGIDRKNGCRIMSFQELSSRGRGGKDALVTAESIKVDICIFVFDIMFANGEQLLGFPLRHRRKYLKDLFYYEKPGYFEYAKEITMMLA >Vigun10g175700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39424829:39427462:1 gene:Vigun10g175700.v1.2 transcript:Vigun10g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTAFQGLKHPQFPEQEQLKCPRCDSSNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKSTKRSSASKRSSAPASSAVSEADPTRICTNPVQQDPRGLNIGGTFSSLLASSGHFGTLLEGLNPTGSGLKMGEFVEGVSSDPDSGSNPGLQLQSNGSSEGFLGMQNGESSTCWNGAHGWSDLAIYTPRPSFQ >Vigun09g036600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3228919:3229926:-1 gene:Vigun09g036600.v1.2 transcript:Vigun09g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSPFTVSSPPPPSSDSNNNNNNSMPMLYYGLVVVGTAAIVLAIYNLFLLKRSHARSPTSPNGAGTTTIEEVSEITRRLENEQRQLLSSFKYKKEKEELEDFECPVCLSVYEEGEEVRKLPQCKHYFHVLCIDMWLYSHFDCPICRTPVLLAHHHLSPVDAFIESGAIS >Vigun10g095200.1.v1.2 pep primary_assembly:ASM411807v1:10:28063468:28077414:-1 gene:Vigun10g095200.v1.2 transcript:Vigun10g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKLASVVAVMCMVLVTAPLTHAITCGQVTSAISPCIGYLRGGGGVPPAQCCGGVRRLNSAARTTGDRRTACNCLKSLAASFSGLNLNTAASLPGRCRMRIPYRISPSTNCNRIR >Vigun03g172650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21141206:21141502:-1 gene:Vigun03g172650.v1.2 transcript:Vigun03g172650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLFICLSSSCNTPSSFFLSFGWEQLGGMGKLVRLVSILDQGVRVVARFHSNIPQTGRKYYHPPALPDNHSHGGVNGSGRVNESATASTFPIFLCSV >Vigun05g194900.6.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734215:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWLCRTVSL >Vigun05g194900.1.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734209:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVLQTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIRNHLLLYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKKRKHGLFPDDSTIHTDTSTVLSIEEDDQLIDAPEVELQPVPAVTSTPSPIANETSSRAATPLLRPSASISSAHSSSKAEVTLRCSSMPSGR >Vigun05g194900.2.v1.2 pep primary_assembly:ASM411807v1:5:37727487:37734459:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIRNHLLLYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKKRKHGLFPDDSTIHTDTSTVLSIEEDDQLIDAPEVELQPVPAVTSTPSPIANETSSRAATPLLRPSASISSAHSSSKAEVTLRCSSMPSGR >Vigun05g194900.11.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734319:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQVGHECF >Vigun05g194900.12.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734319:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQVGHECF >Vigun05g194900.5.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734215:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIRNHLLLYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKKRKHGLFPDDSTIHTDTSTVLSIEEDDQLIDAPEVELQPVPAVTSTPSPIANETSSRAATPLLRPSASISSAHSSSKAEVTLRCSSMPSGR >Vigun05g194900.9.v1.2 pep primary_assembly:ASM411807v1:5:37727515:37734459:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWLCRTVSL >Vigun05g194900.8.v1.2 pep primary_assembly:ASM411807v1:5:37727520:37734319:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQNAFELASFFWFWVLPCPFYNLELHMDQ >Vigun05g194900.10.v1.2 pep primary_assembly:ASM411807v1:5:37727515:37734459:-1 gene:Vigun05g194900.v1.2 transcript:Vigun05g194900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDNNAQETRSLALTPTWSVATVLTVFVAVSLLVERSIHRLSNWLRKTNRKPLLAALEKMKEELMLLGFISLLLTATSRMIANICIPSKFYNSAFAPCTRSQIDEQMEENGSEKRKLWMASAYHVSGRMLNGINRSTCKEGYEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRVWEDEAHMDRRDSLSEITRELTMRRQSTFVKSHVSNPLFKNSSLIWVTCFFRQFAHSVVRADYLTLRKGFIMNHHLSLKYDFHSYMVRSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPVSLVLLVGTKLQHVIATLALENAGIAGFFPEAKLRPRDELFWFNKPELLLSLIHFILFQVGHECF >Vigun06g191400.1.v1.2 pep primary_assembly:ASM411807v1:6:30891271:30892926:1 gene:Vigun06g191400.v1.2 transcript:Vigun06g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKVIPKGALALPLTLLLVVLFIPLIINLHESYSNLYYGGSLESAENFSCNVFSGNWVAYSGGPYYDNENCPFITYKQNCFMHGRPDREFLKWRWKPDECELPLFDAKKFLKLVRGKSMAFVGDSIGRNQMESLLCLLNSVARPEDITDRYTLNDDKYFKWWFYAHYNFTVAILWSPFLVKSSQKYLNDTSFVNAENLYVDEADEAWTTHIANFDYVIFSGGQWFFRPLTFYEKGRVVGCQKCHNLTTDPLNLYGYRNALRTAFRTVVKLEGFRGMVILVTHSPNHFENGGWNNGGGCNRTMPMTKEESALVKPYGLEEIYETQVEEFKVAEKEGREKGLRFGLMNITGMMVMRGDGHPDKYGQNLDRNVRINDCVHWCMPGPVDTWNQFLLRLITIASF >Vigun11g022000.1.v1.2 pep primary_assembly:ASM411807v1:11:2670602:2673952:-1 gene:Vigun11g022000.v1.2 transcript:Vigun11g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKLHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLNSVYQTNLEEMLRWASSDAATSKGYNYKSIGNKSPVYGLYDCRGDVVGYFCQFCVSTAAKEAPQRCPNRVSAMVWYDFCVLRYSNESFYGTVLTNISWHALGEKNISNIEDIQEGDDFVRGLIRKATKETNQLFYMDVFNLSSTERRYGLVQCSRDLTNEGCRQCLETILAKIAKCCEQKLGWVIWTGSCAIKYDDHMFYQTSSVAEPNPKLAKHGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKVHTRTRKFDYVDGLIPRAIRLSSYHNIQTEDTLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGVLPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTMENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMILPKPKHPPLSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun11g022000.2.v1.2 pep primary_assembly:ASM411807v1:11:2670602:2673952:-1 gene:Vigun11g022000.v1.2 transcript:Vigun11g022000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKLHLRSICYGFFLLLSFRPQVTKAQTPIFVGSNCQNTTQQPLNSVYQTNLEEMLRWASSDAATSKGYNYKSIGNKSPVYGLYDCRGDVVGYFCQFCVSTAAKEAPQRCPNRVSAMVWYDFCVLRYSNESFYGTVLTNISWHALGEKNISNIEDIQEGDDFVRGLIRKATKETNQLFYMDVFNLSSTERRYGLVQCSRDLTNEGCRQCLETILAKIAKCCEQKLGWVIWTGSCAIKYDDHMFYQTSSVAEPNPKLAKHGGNNRSKILIISFSVTGSITVLCLSVYWWWCRTRKDGLIPRAIRLSSYHNIQTEDTLNPDLPTIPLITILQSTANFSEVSKLGEGGFGPVYKGVLPDGRQIAVKRLSQFSGQGSEEFDNEVMLIAKLQHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDDERKKHFDWKLRLSIINGIARGVLYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARAFEKGQNQANTKRVIGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCEGKCLEMMDPTMENSFVGSEVERCIQIGLLCVQEDAKDRSSMSDVVVMLASEGMILPKPKHPPLSIGRITLVELSTSNSSKNLSINDVTTSVTIPR >Vigun03g346600.1.v1.2 pep primary_assembly:ASM411807v1:3:54572884:54579404:-1 gene:Vigun03g346600.v1.2 transcript:Vigun03g346600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRRHHFGRIHAFTCGKASMKEEHSLIGGPGFSRKVYCNDPERATASLINYGDNYVRTTKYTLATFLPKSLFEQFRRVANFYFLVCAVLSFFPVSPYSGVSNVVPLLVVVAATMAKEFIEDFRRKQQDIEMNNRKVKVHDGGGDFSYSKWRDLKVGDIVRVEKDEFFPADLILLSSNYDDAICYVETMNLDGETNLKLKQALEETSKVQEDSSFQNFRAVITCEDPNANLYTFVGSMEIEDQQHPLAPQQLLLRDSKLRNTDFVYGVVIFTGHDTKVMQNATDPPSKRSKIEKRMDKIIYCLFFLLILISFIGSIFFGITTDDDIENGRMKRWYLRPDDTDIFFDPNEPVAAAILHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDVKMYHAETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVERALSTRHESDFGKELRENNNSAKVRESKTSVKGFNFMDERIMNGNWIKEPNANVIQNFLRLLAVCHTAIPEVDEGTGKVSYEAESPDEAAFVIAARELGFEFYERTHTTISLRELDPITGLKVQRSYKFLNVLEFSSARKRMSVIVRDEEGKLLLLSKGADSVMFERIAKNGRQFEENTKQHISEYADSGLRTLILAYRELNEEEYNQFNKEFTQAKNLVSADQEQIVESIIQNIEKDLILLGATAVEDKLQDGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKSLEKMEDKAAADAAIKASVIRQLREASALLSSSDEYEALALIIDGKSLTYALEDDVNDLFLELAIGCASVICCRSSPKQKALVTRLVKMRTGSTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFFEIYASFSGQPAYNDWFMSLYNVFFTSLPVIALGVFDQDVSSKLCLKFPLLYQEGIQNILFSWKRIIGWIVNGVVTSAIIFFFCMRTMEHQAFRKGGQVVELEVLGATMYTCVVWVVNCQMALSISYFTYVQHIFIWGSIIFWYIFLLAYGAIDPSFSTTAYKVLVEALAPAPYFWIITLLILIASLLPYFVYASIQMRFFPMYHQMIQWIRKDGQTSDPEYCNVVRQRSIRNTTVGFTARLEASKRFNASRRVESYTKPETTSVRFESSKRSEGLSEDR >Vigun03g346600.2.v1.2 pep primary_assembly:ASM411807v1:3:54572885:54579397:-1 gene:Vigun03g346600.v1.2 transcript:Vigun03g346600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRRHHFGRIHAFTCGKASMKEEHSLIGGPGFSRKVYCNDPERATASLINYGDNYVRTTKYTLATFLPKSLFEQFRRVANFYFLVCAVLSFFPVSPYSGVSNVVPLLVVVAATMAKEFIEDFRRKQQDIEMNNRKVKVHDGGGDFSYSKWRDLKVGDIVRVEKDEFFPADLILLSSNYDDAICYVETMNLDGETNLKLKQALEETSKVQEDSSFQNFRAVITCEDPNANLYTFVGSMEIEDQQHPLAPQQLLLRDSKLRNTDFVYGVVIFTGHDTKVMQNATDPPSKRSKIEKRMDKIIYCLFFLLILISFIGSIFFGITTDDDIENGRMKRWYLRPDDTDIFFDPNEPVAAAILHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDVKMYHAETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVERALSTRHESDFGKELRENNNSAKVRESKTSVKGFNFMDERIMNGNWIKEPNANVIQNFLRLLAVCHTAIPEVDEGTGKVSYEAESPDEAAFVIAARELGFEFYERTHTTISLRELDPITGLKVQRSYKFLNVLEFSSARKRMSVIVRDEEGKLLLLSKGADSVMFERIAKNGRQFEENTKQHISEYADSGLRTLILAYRELNEEEYNQFNKEFTQAKNLVSADQEQIVESIIQNIEKDLILLGATAVEDKLQDGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKSLEKMEDKAAADAAIKASVIRQLREASALLSSSDEYEALALIIDGKSLTYALEDDVNDLFLELAIGCASVICCRSSPKQKALVTRLVKMRTGSTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFFEIYASFSGQPAYNDWFMSLYNVFFTSLPVIALGVFDQDVSSKLCLKFPLLYQEGIQNILFSWKRIIGWIVNGVVTSAIIFFFCMRTMEHQAFRKGGQVVELEVLGATMYTCVVWVVNCQMALSISYFTYVQHIFIWGSIIFWYIFLLAYGAIDPSFSTTAYKVLVEALAPAPYFWIITLLILIASLLPYFVYASIQMRFFPMYHQMIQWIRKDGQTSDPEYCNVVRQRSIRNTTVGFTARLEASKRFNASRRVESYTKPETTSVRFESSKRSEGLSEDR >Vigun05g143475.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19222360:19223611:1 gene:Vigun05g143475.v1.2 transcript:Vigun05g143475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASPVARLVAAAAAFTVAATDVASSEFEQRSVVALNGEPNGGGSREKEKEQRRRLQASPVRASGDDDVAAVSRFIFPGKWRRRRCRSISRERGVKGDGVAGEASGGGRWWRLRRTRVQAWGCVGGVEKKRCHGEGKKLGFC >Vigun04g050700.1.v1.2 pep primary_assembly:ASM411807v1:4:4480976:4482648:-1 gene:Vigun04g050700.v1.2 transcript:Vigun04g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKIAIGAANGMSFLHEETSRPLIFRDFKTSNILLDKDYNTKLWDFSLAKDASMGHEIHTTTKRVRSKGYEAPEYIMTGLLTSKSNVYSFGLVLLELLTGRRVIDQTMPTEEQNLIEWLGPRLRNKANFHYLMDPRLEGKYPTKFAHRTMRLAIHCLRLDPNTRPHMRQVLHELKSLHDDMLGSSTSHRRMYKGPSNHVSPNKYGVGICSSSNFPRCFQAMPECQDYPLPLPPSPSNPRVRSSSNPSLKIYST >Vigun02g084000.5.v1.2 pep primary_assembly:ASM411807v1:2:23774912:23777338:1 gene:Vigun02g084000.v1.2 transcript:Vigun02g084000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILERHHLHSKNLAKMEQPSLELQLVENSNCTRLSKEVAKKSHQLRQLRGEDLQGLNIEELQQLERSLETGLGRVIEKKGERIMEEIADLQRKGMVLMEENERLKHHVAGMVNGQRRGGAESENFVMDEGQSSESVTYVCNSAGPPQDYESSDTSLKLGLPYSG >Vigun02g084000.2.v1.2 pep primary_assembly:ASM411807v1:2:23771935:23777338:1 gene:Vigun02g084000.v1.2 transcript:Vigun02g084000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADIALIIFSSTGKLFEYSSSSMKEILERHHLHSKNLAKMEQPSLELQLVENSNCTRLSKEVAKKSHQLRQLRGEDLQGLNIEELQQLERSLETGLGRVIEKKGERIMEEIADLQRKGMVLMEENERLKHHVRYIYFLSLILT >Vigun02g084000.3.v1.2 pep primary_assembly:ASM411807v1:2:23771935:23777338:1 gene:Vigun02g084000.v1.2 transcript:Vigun02g084000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADIALIIFSSTGKLFEYSSSSMKEILERHHLHSKNLAKMEQPSLELQLVENSNCTRLSKEVAKKSHQLRQLRGEDLQGLNIEELQQLERSLETGLGRVIEKKGERIMEEIADLQRKGMVLMEENERLKHHVAGMVNGQRRGGAESENFVMDEGQSSESVTYVCNSAGPPQDYESSDTSLKLGLPYSG >Vigun02g084000.4.v1.2 pep primary_assembly:ASM411807v1:2:23771935:23777338:1 gene:Vigun02g084000.v1.2 transcript:Vigun02g084000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADIALIIFSSTGKLFEYSSSSMKEILERHHLHSKNLAKMEQPSLELQLVENSNCTRLSKEVAKKSHQLRQLRGEDLQGLNIEELQQLERSLETGLGRVIEKKGERIMEEIADLQRKGMVLMEENERLKHHVAGMVNGQRRGGAESENFVMDEGQSSESVTYVCNSAGPPQDYESSDTSLKLGLPYSG >Vigun06g129300.2.v1.2 pep primary_assembly:ASM411807v1:6:25575441:25582511:-1 gene:Vigun06g129300.v1.2 transcript:Vigun06g129300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHHDDDFGELYAVDIEVLNAIAKEQDEEEEEKLDVNTNIFNQDEDKNNDKVNDDCAAVSDSDDDLKIVLNDEDSPVGVVGCDDDGRYGDDGDDNGSRLFGNKSGRSRGLSFVNIMTANAAMGMASYISSLNKGRRNSEACIQNLALSSSRVCLAANPVAVQCGYGSVLPWYWGIFDVNIDTFMEKPWSVPGADITDYFNFGFNESTWKLYCASLQLWRTSLQTGISVDSSAKWNQEAVREQIDHAVLGSVPFPSTDCELPKGRAIQVEDSMVERQPSIDVRRPRNRDFNVIEIKLLESSDDCSGSGNSTVMDASLEGESVAANKRNILFSSSERDEVVTEDQLEDVIKAEESSVLKRTGSILGVNGVEHPDQLNQLFEDTAEVPVGEIKADEGVGIEPCSSYPHWIESELSLGDHEYSLTSYSDSDSEPTENSVHPDNDTSPISPIKRKTLTCLTDMKKSSPLNWKNSKNNSVNEKRVNRAYNSRRRGLLRKEWRYQREGYESGYNMNGHTENGNDVFSILKSKKRDLSPLAHRFVDYGRQKDQLQVFGSRRKRDVSYNRETKQSYYNGDEKVVDGLVTRCTKYYHKDRGSFRENTNRYDRKNGDVGDYIFEPGHCFVDNEERDRDWYHLGFGSSAYDPSPCSYREPRQFLPKHSSVLDKERHNQRKRMDERSHFIDSKCIDDFDERELDFVSKSYRMATSAAEREMDFSYINCEEQFSHIGRDWKRSDRRGRHFESSPLTLNNSCSGIMEDNCPKYAHCHTSNLKYHKQSDTDSMKNYAYGARVNENFGGCGRDNHARDSRGSYWSRDYTNTVEDEIYPVEEYQFYRSPSKFLDWTEDEIIYRHHETTLCAKVQSDDMPLQRHWLNVPKRETEKYFKASSKIVYRSKGGQAVLRCRKSVDLIDREGKSQVRSSRVLSNDRLENVNQRVAKKRMRDSVGFGESNERASKFDADLGSKKWVQNLQDQGQKESSDIEEGQIVTKKWQSSFEKASIARRDTSKGPAVTDIVKKRMSQNEGSSDQCIGGYDSQRILDSLAKMEKRRERFKQPITMKKEAEENLKLTSDSSIVDTCEMKQHRPVRKRRWVGN >Vigun06g129300.1.v1.2 pep primary_assembly:ASM411807v1:6:25575441:25582511:-1 gene:Vigun06g129300.v1.2 transcript:Vigun06g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFHHDDDFGELYAVDIEVLNAIAKEQDEEEEEKLDVNTNIFNQDEDKNNDKVNDDCAAVSDSDDDLKIVLNDEDSPVGVVGCDDDGRYGDDGDDNGSRLFGNKSGRSRGLSFVNIMTANAAMGMASYISSLNKGRRNSEACIQNLALSSSRVCLAANPVAVQCGYGSVLPWYWGIFDVNIDTFMEKPWSVPGADITDYFNFGFNESTWKLYCASLQQLWRTSLQTGISVDSSAKWNQEAVREQIDHAVLGSVPFPSTDCELPKGRAIQVEDSMVERQPSIDVRRPRNRDFNVIEIKLLESSDDCSGSGNSTVMDASLEGESVAANKRNILFSSSERDEVVTEDQLEDVIKAEESSVLKRTGSILGVNGVEHPDQLNQLFEDTAEVPVGEIKADEGVGIEPCSSYPHWIESELSLGDHEYSLTSYSDSDSEPTENSVHPDNDTSPISPIKRKTLTCLTDMKKSSPLNWKNSKNNSVNEKRVNRAYNSRRRGLLRKEWRYQREGYESGYNMNGHTENGNDVFSILKSKKRDLSPLAHRFVDYGRQKDQLQVFGSRRKRDVSYNRETKQSYYNGDEKVVDGLVTRCTKYYHKDRGSFRENTNRYDRKNGDVGDYIFEPGHCFVDNEERDRDWYHLGFGSSAYDPSPCSYREPRQFLPKHSSVLDKERHNQRKRMDERSHFIDSKCIDDFDERELDFVSKSYRMATSAAEREMDFSYINCEEQFSHIGRDWKRSDRRGRHFESSPLTLNNSCSGIMEDNCPKYAHCHTSNLKYHKQSDTDSMKNYAYGARVNENFGGCGRDNHARDSRGSYWSRDYTNTVEDEIYPVEEYQFYRSPSKFLDWTEDEIIYRHHETTLCAKVQSDDMPLQRHWLNVPKRETEKYFKASSKIVYRSKGGQAVLRCRKSVDLIDREGKSQVRSSRVLSNDRLENVNQRVAKKRMRDSVGFGESNERASKFDADLGSKKWVQNLQDQGQKESSDIEEGQIVTKKWQSSFEKASIARRDTSKGPAVTDIVKKRMSQNEGSSDQCIGGYDSQRILDSLAKMEKRRERFKQPITMKKEAEENLKLTSDSSIVDTCEMKQHRPVRKRRWVGN >Vigun01g248900.4.v1.2 pep primary_assembly:ASM411807v1:1:41593170:41596542:-1 gene:Vigun01g248900.v1.2 transcript:Vigun01g248900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANASTKEALELSSQQEYLKLKARYEALQRFQRNLMGEDLGPLSSNELESLERQLDSSLKQIRSTRTQFMLDQLSDSQRKEHLLGEANTALRQRLEEYQINQFQLNPSGEDMGYDRHPGQHQGDALFQPLECEPTLQMGYRPDPVSVITGGQSMNNFMGGWLP >Vigun01g248900.3.v1.2 pep primary_assembly:ASM411807v1:1:41593170:41596542:-1 gene:Vigun01g248900.v1.2 transcript:Vigun01g248900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANASTKEALVLELSSQQEYLKLKARYEALQRFQRNLMGEDLGPLSSNELESLERQLDSSLKQIRSTRTQFMLDQLSDSQRKEHLLGEANTALRQRLEEYQINQFQLNPSGEDMGYDRHPGQHQGDALFQPLECEPTLQMGYRPDPVSVITGGQSMNNFMGGWLP >Vigun01g248900.2.v1.2 pep primary_assembly:ASM411807v1:1:41593170:41597280:-1 gene:Vigun01g248900.v1.2 transcript:Vigun01g248900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAELALIIFSTRGKLYEFCSTSSMLKTLERYQKCNYGAPEANASTKEALELSSQQEYLKLKARYEALQRFQRNLMGEDLGPLSSNELESLERQLDSSLKQIRSTRTQFMLDQLSDSQRKEHLLGEANTALRQRLEEYQINQFQLNPSGEDMGYDRHPGQHQGDALFQPLECEPTLQMGYRPDPVSVITGGQSMNNFMGGWLP >Vigun01g248900.1.v1.2 pep primary_assembly:ASM411807v1:1:41593170:41597280:-1 gene:Vigun01g248900.v1.2 transcript:Vigun01g248900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAELALIIFSTRGKLYEFCSTSSMLKTLERYQKCNYGAPEANASTKEALVLELSSQQEYLKLKARYEALQRFQRNLMGEDLGPLSSNELESLERQLDSSLKQIRSTRTQFMLDQLSDSQRKEHLLGEANTALRQRLEEYQINQFQLNPSGEDMGYDRHPGQHQGDALFQPLECEPTLQMGYRPDPVSVITGGQSMNNFMGGWLP >Vigun11g159600.1.v1.2 pep primary_assembly:ASM411807v1:11:36711873:36713838:-1 gene:Vigun11g159600.v1.2 transcript:Vigun11g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRRIGVAVDFSKGSKIALKWAIDNLLRNGDTLFIVHIKHAQGSESRNLLWSTTGSPLIPLSEFREKDVMHHYEVDSDAEVLDLLDTASKQKQVTVVAKLYWGDAREKICEAVGDLKLHSLVMGSRGLGAIQRVLLGSVTNYVTINASCPITIVKDSAPSTI >Vigun06g204100.1.v1.2 pep primary_assembly:ASM411807v1:6:31828083:31830987:-1 gene:Vigun06g204100.v1.2 transcript:Vigun06g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGVQENGNGDGVSEIPLGTKTKYTKMASDPVEDDEVLQNKKHEAHKYVFACAVFASLNSVLLGYDVGVMSGAIIFIQEDLKISDVQQEVLVGILSIISLLGSLAGGKTSDAIGRKWTIGLAAVIFQTGGAVMALAPSFKVLMIGRLMAGVGIGFGVMIAPVYIAEISPAIARGSLTSFPEIFINFGILLGYISNYAFSRLPAHINWRVMLGVGLLPSLVIALALFVIPESPRWLVVQNRVEEARVVLVKITESEKEAEEKLQEILVAAGSVNAEKYESKAVWKEILCPTPPVRRMLITGCGIQCFQQITGIDTTVYYSPTIFKNAGITGNSELLAATVAVGFTKTLFILIAIFLIDKLGRKPLLYASTIGMTVSLFSLSLSLAFLSHAKIGIALAILAVCGNVASFSVGLGPICWVLSSEIFPLRLRAQASALGAVGSRVSSGAISMSFLSVSRKITVAGTFFVFGVISCCAVAFVHYCVPETRGKTLEEIELLFNDADDLQESEVEMGDVERLVQKS >Vigun06g204100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31828083:31830987:-1 gene:Vigun06g204100.v1.2 transcript:Vigun06g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIIFIQEDLKISDVQQEVLVGILSIISLLGSLAGGKTSDAIGRKWTIGLAAVIFQTGGAVMALAPSFKVLMIGRLMAGVGIGFGVMIAPVYIAEISPAIARGSLTSFPEIFINFGILLGYISNYAFSRLPAHINWRVMLGVGLLPSLVIALALFVIPESPRWLVVQNRVEEARVVLVKITESEKEAEEKLQEILVAAGSVNAEKYESKAVWKEILCPTPPVRRMLITGCGIQCFQQITGIDTTVYYSPTIFKNAGITGNSELLAATVAVGFTKTLFILIAIFLIDKLGRKPLLYASTIGMTVSLFSLSLSLAFLSHAKIGIALAILAVCGNVASFSVGLGPICWVLSSEIFPLRLRAQASALGAVGSRVSSGAISMSFLSVSRKITVAGTFFVFGVISCCAVAFVHYCVPETRGKTLEEIELLFNDADDLQESEVEMGDVERLVQKS >Vigun01g015600.2.v1.2 pep primary_assembly:ASM411807v1:1:1694118:1699239:-1 gene:Vigun01g015600.v1.2 transcript:Vigun01g015600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPIVKLWLGPTQLLVSVRDPMLIQDMLIKAEDKLPYTGKLFHLAFGQSSLFAPSFEKVQKRRELLATELNERLLKNGDMIPVKVADFITDKIETIEKKGGLDSRLVSQHIAFTIMGVTFFGDGFLASPKAAMYEELFMTIAKDACFWASYNVTPFWRRGFWKYQCLCTKLKCLTQDILQHCRKGCKLSSHTGQDVHYESSTEEMKSADGAQCCSDDEFQDYYFFRDLKDHQDGKEEPCGNVMRMIFHGCQTTSVLITDVLTRLVMHGEIQDKVYSEISMVRRNPSKFEHEDVYRMPLLLATIYESARLLPTGSMLQRCSLKHDLSFASGVTIPAGAVLVVPVELVQKDEFNWGSDASHFNPYRFLSTVTNRSGSAEDLSDTGFSSFVLKDPNENAAFLPFGSGARACIGQKFIIQLVASVLASLLNKYEIRLSSDSNADSNTTLKNNLLQRHPSSQILFVKRDQ >Vigun01g015600.4.v1.2 pep primary_assembly:ASM411807v1:1:1688551:1699227:-1 gene:Vigun01g015600.v1.2 transcript:Vigun01g015600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPIVKLWLGPTQLLVSVRDPMLIQDMLIKAEDKLPYTGKLFHLAFGQSSLFAPSFEKVQKRRELLATELNERLLKNGDMIPVKVADFITDKIETIEKKGGLDSRLVSQHIAFTIMGVTFFGDGFLASPKAAMYEELFMTIAKDACFWASYNVTPFWRRGFWKYQCLCTKLKCLTQDILQHCRKGCKLSSHTGQDVHYESSTEEMKSADGAQCCSDDEFQDYYFFRDLKDHQDGKEEPCGNVMRMIFHGCQTTSVLITDVLTRLVMHGEIQDKVYSEISMVRRNPSKFEHEDVYRMPLLLATIYESARLLPTGSMLQRCSLKHDLSFASGVTIPAGAVLVVPVELVQKDEFNWGSDASHFNPYRFLSTVTNRSGSAEDLSDTGFSSFVLKDPNENAAFLPFGSGARACIGQKFIIQLVASVLASLLNKYEDMHLLFSRGITKIRKARKWTQLKHQRKGHAPTIFSRNNRDKEGKKMDIVKAPKKRYYSFIQQHCI >Vigun01g015600.1.v1.2 pep primary_assembly:ASM411807v1:1:1681294:1699227:-1 gene:Vigun01g015600.v1.2 transcript:Vigun01g015600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPIVKLWLGPTQLLVSVRDPMLIQDMLIKAEDKLPYTGKLFHLAFGQSSLFAPSFEKVQKRRELLATELNERLLKNGDMIPVKVADFITDKIETIEKKGGLDSRLVSQHIAFTIMGVTFFGDGFLASPKAAMYEELFMTIAKDACFWASYNVTPFWRRGFWKYQCLCTKLKCLTQDILQHCRKGCKLSSHTGQDVHYESSTEEMKSADGAQCCSDDEFQDYYFFRDLKDHQDGKEEPCGNVMRMIFHGCQTTSVLITDVLTRLVMHGEIQDKVYSEISMVRRNPSKFEHEDVYRMPLLLATIYESARLLPTGSMLQRCSLKHDLSFASGVTIPAGAVLVVPVELVQKDEFNWGSDASHFNPYRFLSTVTNRSGSAEDLSDTGFSSFVLKDPNENAAFLPFGSGARACIGQKFIIQLVASVLASLLNKYEDMHLLFSRGITKIRKARKWTQLKHQRKGHAPTIFSRNNRDKEGKKMDIVKAPKKSEVFQETRSGSPSSTIAECFTPEIA >Vigun01g015600.3.v1.2 pep primary_assembly:ASM411807v1:1:1677066:1699227:-1 gene:Vigun01g015600.v1.2 transcript:Vigun01g015600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPIVKLWLGPTQLLVSVRDPMLIQDMLIKAEDKLPYTGKLFHLAFGQSSLFAPSFEKVQKRRELLATELNERLLKNGDMIPVKVADFITDKIETIEKKGGLDSRLVSQHIAFTIMGVTFFGDGFLASPKAAMYEELFMTIAKDACFWASYNVTPFWRRGFWKYQCLCTKLKCLTQDILQHCRKGCKLSSHTGQDVHYESSTEEMKSADGAQCCSDDEFQDYYFFRDLKDHQDGKEEPCGNVMRMIFHGCQTTSVLITDVLTRLVMHGEIQDKVYSEISMVRRNPSKFEHEDVYRMPLLLATIYESARLLPTGSMLQRCSLKHDLSFASGVTIPAGAVLVVPVELVQKDEFNWGSDASHFNPYRFLSTVTNRSGSAEDLSDTGFSSFVLKDPNENAAFLPFGSGARACIGQKFIIQLVASVLASLLNKYEDMHLLFSRGITKIRKARKWTQLKHQRKGHAPTIFSRNNRDKEGKKMDIVKAPKKRCNPMTRLQGFDLVSNLLE >Vigun09g005200.1.v1.2 pep primary_assembly:ASM411807v1:9:369964:373559:-1 gene:Vigun09g005200.v1.2 transcript:Vigun09g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAPKFAHPLPLHHRSNGSPMSFHSFTPFLGSTQKLRFITSVKLNARSNSTVVSVSDAVKSKNPKSATNLLITKEEGLVLYEDMILGRFFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHSLSKGVPARAVMSELFGKATGCSRGQGGSMHMFSKEHNVIGGFAFIAEGIPIATGAAFSSKYRREVLKEADCDHVTLAFFGDGTCNNGQFYECLNMAALWKLPIVFVVENNLWAIGMSHLRSTSDPQIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYADRDPISALKKYLFKNKLASEQELKAIEKKIEEVVEEAVVFADESPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTEGTAHV >Vigun11g056400.3.v1.2 pep primary_assembly:ASM411807v1:11:11082223:11089035:1 gene:Vigun11g056400.v1.2 transcript:Vigun11g056400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNLEKMASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKHDPKKLEELGNLITSLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRNKLKKGDFADENSATTESDIEETLKKLVVDLKKSPQEVFDELKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPTFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYYSQIEDLMFELSMWRCNDELQARADELNRSSKKNAVAKHYIEFWKAIPPNEPYRVLLGEVRNRLYQTRERSRHLLAHGYSDIPEEETFTNVEEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEEKRQQWLLSELSGKRPLFGPDLPKTEEIRDVLDTFHVISELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLDDLEAAPAALARLFSIDWYINRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDVRNIHVLQEMYNQWPFFRVTLDLVEMVFAKGNPGIAALYDRLLVSEDLWTFGEQLRTKYEETKALLLQVAGHRDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKESIEISKPADELITLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Vigun11g056400.1.v1.2 pep primary_assembly:ASM411807v1:11:11081606:11089035:1 gene:Vigun11g056400.v1.2 transcript:Vigun11g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNLEKMASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKHDPKKLEELGNLITSLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRNKLKKGDFADENSATTESDIEETLKKLVVDLKKSPQEVFDELKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPTFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYYSQIEDLMFELSMWRCNDELQARADELNRSSKKNAVAKHYIEFWKAIPPNEPYRVLLGEVRNRLYQTRERSRHLLAHGYSDIPEEETFTNVEEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEEKRQQWLLSELSGKRPLFGPDLPKTEEIRDVLDTFHVISELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLDDLEAAPAALARLFSIDWYINRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDVRNIHVLQEMYNQWPFFRVTLDLVEMVFAKGNPGIAALYDRLLVSEDLWTFGEQLRTKYEETKALLLQVAGHRDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKESIEISKPADELITLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Vigun11g056400.2.v1.2 pep primary_assembly:ASM411807v1:11:11081745:11089035:1 gene:Vigun11g056400.v1.2 transcript:Vigun11g056400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNLEKMASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKHDPKKLEELGNLITSLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRNKLKKGDFADENSATTESDIEETLKKLVVDLKKSPQEVFDELKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPTFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYYSQIEDLMFELSMWRCNDELQARADELNRSSKKNAVAKHYIEFWKAIPPNEPYRVLLGEVRNRLYQTRERSRHLLAHGYSDIPEEETFTNVEEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEEKRQQWLLSELSGKRPLFGPDLPKTEEIRDVLDTFHVISELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLDDLEAAPAALARLFSIDWYINRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEQHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDVRNIHVLQEMYNQWPFFRVTLDLVEMVFAKGNPGIAALYDRLLVSEDLWTFGEQLRTKYEETKALLLQVAGHRDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKESIEISKPADELITLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Vigun03g281200.3.v1.2 pep primary_assembly:ASM411807v1:3:46073285:46077285:-1 gene:Vigun03g281200.v1.2 transcript:Vigun03g281200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGASIRAAISRWELAVWRQYQHVLDKWTPHVGRRWVGLVVVALIYALRVYFVEGFYLVSYGLGIYILNLLIGFLSPQVDPEILDAEGPTLPSSANDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYLPFSSGKQRYDGKRASPESPSVSEG >Vigun03g281200.4.v1.2 pep primary_assembly:ASM411807v1:3:46073285:46077285:-1 gene:Vigun03g281200.v1.2 transcript:Vigun03g281200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGASIRAAISRWELAVWRQYQHVLDKWTPHVGRRWVGLVVVALIYALRVYFVEGFYLVSYGLGIYILNLLIGFLSPQVDPEILDAEGPTLPSSANDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYLPFSSGKQRYDGKRASPESPSVSEG >Vigun03g281200.1.v1.2 pep primary_assembly:ASM411807v1:3:46073285:46077297:-1 gene:Vigun03g281200.v1.2 transcript:Vigun03g281200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGASIRAAISRWELAVWRQYQHVLDKWTPHVGRRWVGLVVVALIYALRVYFVEGFYLVSYGLGIYILNLLIGFLSPQVDPEILDAEGPTLPSSANDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYLPFSSGKQRYDGKRASPESPSVSEG >Vigun03g281200.5.v1.2 pep primary_assembly:ASM411807v1:3:46073285:46077285:-1 gene:Vigun03g281200.v1.2 transcript:Vigun03g281200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGASIRAAISRWELAVWRQYQHVLDKWTPHVGRRWVGLVVVALIYALRVYFVEGFYLVSYGLGIYILNLLIGFLSPQVDPEILDAEGPTLPSSANDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYLPFSSGKQRYDGKRASPESPSVSEG >Vigun03g281200.6.v1.2 pep primary_assembly:ASM411807v1:3:46075435:46077046:-1 gene:Vigun03g281200.v1.2 transcript:Vigun03g281200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGASIRAAISRWELAVWRQYQHVLDKWTPHVGRRWVGLVVVALIYALRVYFVEGFYLVSYGLGIYILNLLIGFLSPQVDPEILDAEGPTLPSSANDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQISHMIKYKYLPFSSGKQVN >Vigun02g049400.1.v1.2 pep primary_assembly:ASM411807v1:2:18998620:19004327:-1 gene:Vigun02g049400.v1.2 transcript:Vigun02g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLIHLKPLSSTSASSSIFHSSLFNTLSKPFLLLESKTSFSSLSLRAAQFDALHSSTTPISLPHLQQSQHQEDTEEEEEEGHDPDDPNYNFSDSDAWISSQDPQDEEKLYIEENPFTSWHIDEEPEMSLEIEEMGSCQSRELREAEGVVGEIVQLARNLPQNLTLEEALGEYEGRVNEKDCWEVLEILGEERLLLCCVCFFRWMRLQEPSLVTPRACIVLFPLLGKGGMGDEVMGLFESLPSSMEFRDVHVYNATILGLLYGGRYEDAWKVYESMETENIHPDHVTCSIMVTVMRKLGHSAKDAWQFFEKMNRKGVRWSEEVLSALIKSFCDEGLMRVALVIQSEMEKKGVSSNAIVYNILMDSYCKYNHVEAAEGLFVEMRAKGIKPTVVTFNILMHAYSRRMQPKIVEKLMAEMQDVGLKPNAESYTCLISAYGKQKTPSDLAAADAFLRMKEVGIKSTSHSYTALIHAYSVNGLHEKAYIAFENMKMEGIKPCVETYNTLLDVLRRAGEAQTLMEIWKLMKNDKIEPTRTTFNVLVDGFAKQGLYMEARGIISEFGKLGMQPTVMTYNMLMNAYAKGGQHSKLPQIFKEMAVLNLQPDSVTYSTMIFGFVRVHDFKRAFLYHKQMVKNKQTMDVSFYQTLLDILEARAARKNKDWRALHAQIKGKTGVKVIRQKDEFWKYYKRRRVRKNNSDRKFLRKFFPGSMAAAVRREISGFRQFQGQNSE >Vigun05g016100.1.v1.2 pep primary_assembly:ASM411807v1:5:1293915:1302061:-1 gene:Vigun05g016100.v1.2 transcript:Vigun05g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSSSFSRFLILFLISFAVSVSSISVRRGAPLDITSDQSDETPTYLWPLPAEYTSGGEALDVDPALKLAVAGNGGGSAVLRAGFERYRGIVFKHTGLGLGFSFMRKLRERLVSSVSVYDVDTLNITVHSDNEELQFGVDESYTLLVPKAKDSSQVTIEANTVYGALRGLETFSQLCSFDYTTKKVKIYKAPWSIQDKPRFAYRGLLLDTSRHYLPITVIKQIIESMSYAKLNVLHWHIIDEESFPLEVPTYPDLWKGSYTKWERYTVEDAYEIVNFAKMRGINVMAEVDVPGHAESWGAGYPDLWPSPTCREPLDVSKNFTFDVISGILTDIRTIFPFELFHLGGDEVNTDCWRSTPHIKEWLQNHNMTARDAYQYFVLKAQEIAVSKNWSPVNWEETFNTFPSKLHPKTIVHNWLGPGVCPKVVAKGFRCIYSNQGVWYLDHLDVPWDEVYTAEPLEGIHKASEQELVIGGEVCMWAETVDTSDVQQTIWPRAAAAAERLWSQRDSTSKNITITALPRLQNFRCLLNKRGVPAAPVKNFYARRAPVGPGSCYEQ >Vigun02g010100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4003702:4005383:-1 gene:Vigun02g010100.v1.2 transcript:Vigun02g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSYFVFFFSSFFTIIMPSSTETLLERARPFLRGELQSIDENLPSLVGVLQSVGAGECWHKHGSFLHHLLDIYRILTLWKAPHSVCLCGLFHSAYSNSYVNLAIFDPSTGREVVRRHVGHQAEALIHLFCVVPRQPLIHDDLLFHYSDQELVQHLAESEISLRNAKEKGVFDGEEGWRKKLQGLVPAEGVEVKHIRTGEGVHVSRRIVAVFVMMTMADFCDQLFGFQDVLFDNRNGRLEFSGNNLEALWPGDGKPGLWLNSISRMGAVYNLIAREEEILIEQKRRKVGGVVVDVERNDDIELVLPPVFDDCTKVLEAEDQIVARDLYWEAVCERGLPTKVEDLLVGCISKNPFVGEPYVVLSQVCLTEGKFEEAEKHAEKGLRLLLEWGCPWDKRTSWEGWVSWTRVLLMKAKDKSWPQTSWGILNLGLVK >Vigun06g115600.1.v1.2 pep primary_assembly:ASM411807v1:6:24367762:24371368:1 gene:Vigun06g115600.v1.2 transcript:Vigun06g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRVLPTPLENKYMKPPDSCHLSPASANPVSSNAIRSAGKMISSPSEYPDGIGTPFSYASQHDRQNEDLPFVSQTLDDNVSSEIHSTTFISHPHESTDITWGPDPFQDILSFPENISAQHDQVVDSSCYINDDNVKRTDFGEWVDQLMSIDDSLHPNWSQLLGDDNVAEPKPKVPQLLDIPSGEVNGNSGATTPQTKTRMRWTPELHEAFVEAVNQLGGSEKATPKGVLNLMKVDGLTIYHVKSHLQKYRTARYKPEPSEGTSEKKTTPIEEMKSLDLKTSKGITEALRLQMDLQKRLHEQLEIQRKLQIQIEDQGKRLQMMFEKQREMGDKKVNGSSGEAAAATTATATPSSPPVETSNEEREKVMHEEKNGSTKQKVSEGEEVMNEGEEVKNENEDEDEVGPATKRVKSS >Vigun06g115600.2.v1.2 pep primary_assembly:ASM411807v1:6:24367762:24371368:1 gene:Vigun06g115600.v1.2 transcript:Vigun06g115600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRVLPTPLENKYMKPPDSCHLSPASANPVSSNAIRSAGKMISSPSEYPDGIGTPFSYASQHDRQNEDLPFVSQTLDDNVSSEIHSTTFISHPHESTDITWGPDPFQDILSFPENISAQHDQVVDSSCYINDDNVKRTDFGEWVDQLMSIDDSLHPNWSQLLGDDNVAEPKPKVPQLLDIPSGEVNGNSGATTPQTKTRMRWTPELHEAFVEAVNQLGGSEKATPKGVLNLMKVDGLTIYHVKSHLQKYRTARYKPEPSEGTSEKKTTPIEEMKSLDLKTSKGITEALRLQMDLQKRLHEQLEIQRKLQIQIEDQGKRLQMMFEKQREMGDKKVNGSSGEAAAATTATATPSSPPVETSNEEREKVMHEEKNGSTKQKVSEGEEVMNEGEEVKNENEDEDEVGPATKRVKSS >Vigun02g064000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21245076:21247100:-1 gene:Vigun02g064000.v1.2 transcript:Vigun02g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHTSLTKLVGDGFYKEALSLFSHLHSSSSSSLTLHSFTFPTLFKACAKLRFPSHTQTLHAHFLKTGFHSDPYATSALTAAYSATPRHFSDALKVFDEMPQPNVASLNAALSGLSLNGRSGEAIRVFRRIGLGPLRPNSVTIVCMLGVPHVGVNHVALMHCCALKLGVEFDVYVATSLVTAYSKCEELVSATRVFEELPVKSVVSYNAFISGLLRNGVLHLVLDVFREMMREVRLECKLNSVTLVSVLSACGSLQSVRLGTQVHGLIVKHEVDDGVMVVTALVDMYSKCGFWRSAFDVFTGAEGDSRNLITWNSMIAGMMLNKESERAVDMFRRLESEKLKPDSATWNSMINGFAQQGVCGEAFKYFREMQSVGVPPCLKIVTSILSTCADSSMLRHGKEIHGFALRTDINRDDFLATALVDMYMKCGHASWAREVFNQFDAKPDDPAFWNAMIGGYGRNGDHESAFEFFDKMLEESVRPNSATFVSVLSACSHTGQVDRGLTVFRMMIREYGLQPKPEHFGCIVDLLGRFGQLGEAQGLVQELAEPPASVLASLLGACRCYLDSSLGEEMAMRLLDVEPENPTPLVILSNIYAGLGRWKEVERVRGMITDKGLNKFSGLSMTEVASK >Vigun03g123200.1.v1.2 pep primary_assembly:ASM411807v1:3:11600526:11602336:1 gene:Vigun03g123200.v1.2 transcript:Vigun03g123200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGWRTILFMLIINTANVQPHSFDYPNFSSTYAKQLKLEGNASTSGSVIQLTVNAKDPKNNGSGTVGRVTYPEKITLWDKTSNEQKDFTTNFSFVVSSNHSIYADGLAFFLASPNLPSTDNENLRGGGLGIGLVAGSENLIETDYQFVSQIYETWWPNITQGEVCNCSIAYNSRQNILNVSFTGSKLGGGNETTQQLSYHFNIRDQLTKGYAEEHTLLSWSFSTSTSPSPSVVSSTTKSKLISSIMLEGIVIGTVLFLILLGLVYILLRKMNKGKEKRTCHLRIDDDFNKRTKEINFHELPAATNVAIKKISAGSPQGLKEYAAEVTIVSQLRHRNLMKLTGWCQKKNDLFLIYEYMSNGSLDSCLFGGGKFLSWKMRYNVALGLASALLYLQEEWEKCVVHGDIKSSNIMLDSNFNPKLGDFGQAMLVDHDKGSETMGYIAPECMNTGQARKESDIFSFGIVMLEIATERKGIQHEDMEGEVSVVEWVWGLYGLRNVLAAVDPKLDGEFDVQQMECLLVVGLWCANPDVASRPPIRKVMKVLKFEAYLPILSQQIPCKPLSVQ >Vigun04g017500.1.v1.2 pep primary_assembly:ASM411807v1:4:1271692:1276610:1 gene:Vigun04g017500.v1.2 transcript:Vigun04g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGNKDDYNHNHDDNDAEEYHHGVNFSIQRGFKEKLKASLKEALFPDDPFRQFKNEEKPMRRVLKGVQYFIPIFEWLPTYTWRLFCSDLIAGLTISSLAIPQGISYGKLADLPPLIGLYSSFVPPLVYAVFGSSRHMAVGTIAAASLLIGQTIQTVVDPNEDPTLYLHLIFTTTFVTGVFQAALGVFRLGILVDFFSHSTINGFMAGTAVILILQQLKGVFGMIHFSQKTNLIEVVKAIINNRHEIRWEPTVLGVVLFAFLQFTKHLRNKKPKLFWVQAIGPMVTIVVSGVFTYLVKGQKHGIQIVGHLDKGLNPLSIHYLNFNSKYLSAVLQAGIITGVLSLAEGIAIGRSFAVLDNSPHDGNKEMIAFGLMNLFGSFTSCYLTSGPFSKTAVNYNAGCKTAMANVVQAVVMALALIFIAPLFGYTPLVALSVIIISAMLGLIHYEEVFHLFKVDKFDFVICMAAFLGVVFISMDVGLMISVALGLLRALLYVARPAACKLGKLAEVGLFRDTDQYKVSTFPGVLVIQLGSPVYFANSNYVKERIMRYIRSEQSSTGENVEHIVLDLSGVTAIDTTAIKALDELVKVLTKNAIKTLFVNPRIEVLEKLLASKFVDKIGKEAFYLTLDEAVMASQYSLRSSKENNGDGVMV >Vigun10g023800.1.v1.2 pep primary_assembly:ASM411807v1:10:2827751:2829109:-1 gene:Vigun10g023800.v1.2 transcript:Vigun10g023800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTFFNWDTVQIPTSETNFHELMPEQLVYSYSDENLFLSSGSSENYIDPIGGSVYPSNIDPPLYDPFISLPHPNIFPTPQEHTLLQSAKRQKCCCESEVQKLTTQTTLSPSYANEFVVPYDNFYSSRIEELQQQISGEFADCVDLTCEKKEKERTISPQSVAARERRRKITEKTQQLGKLVPGGPKMNTAEMLRAASKYVKYLQVQVMMLELTNSLEKDNAETPSEMLQTLVVSSFVQEKLYKEEMCFVPKETVFTLANHEEFRSRPTLLEDLKQFIGTNIANKEKQE >Vigun04g133300.1.v1.2 pep primary_assembly:ASM411807v1:4:33449005:33456949:1 gene:Vigun04g133300.v1.2 transcript:Vigun04g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYFLKTFYVFLLLSLLDSGISVTLKNSSESGEAKCIERERLQALLSFKEGLIDDFGMLSSWSNHHTNTDCCKWKRIHCNHQTGHVLLLDLHGNFNYTPYLRGAISVTSLLHLQYIQHLDLSNNYFVMSYIPQLLGSFTNLRYLDLSNSFFSCRIPPTLGNLSQIGYLDLGDNYISGHIPLQIGNLKHLHYLDLGGFYLSGQIPIQIGNLRKLQYLSIGGNTFIYRRHMENYISNSLSGAIPFRIGNLPLLHTLRLGGNFYMKAKDAKWLSTLHSLSILELSSMHRLSSSHQWLQSLSKLIPNLTELRLVDCNLSDNDIRSLFFSRSFNISTSLTILDLSSNILTSSTLQLLFNFGLHLQELHLSHNNIALSPSLRLNFPSLKFLDLSYSNLESSMFLANFNISSKLQKLHLVNCSIIDRSPIPNEFGKAMNSLEYLFLSNNKLQGKIPSFFGSMCRLQRLDLSNNKLNGKFPSFIRNSSWCSRHIFRQLSLSYNQITGKIPKSIKLLSELEILSLEGNSLEGDVNETHLSSFPKLYYLFLSHNSLSLKFLSNWVPPFQLIYLGIASCKLGPSFPSWLQTQNSLIELDISDNGLNDFVPEWFWNKLQIMYELNMSQNNLIGSVPDMKLQLPLRPSINLNSNNFEGKASLFMLQASELLLSANKFSDFSCGNIKASNLATLDLSDNQIKGQLPDCWKSLDQLLFLDLSNNELSGRIPISMGSLDKLESLVLRNNSLMGRFPYSLKNCNNLVMLDVSENMLSGPIPSWIGESMQQLIILIMRENQFSGNLPLHVCYLKRIQLLDLSRNKLSKGIPTCLNNFTIFSEKSINRTETERRVHWYNGTYYEIYNVFGGRYYTFHITSMWKGVERSFTHPELILQSIDLSCNNLTGEMPKEITYMIGLISLNLSRNNLSGEIPSEIGNLSSLESLDLSRNKFYGGIPSSLSEMDFLQKLDLSRNSLSGRIPLGRHMDTFDASCFEGNVDLCGKPLEESCPGDEAVTKSKGVEVDDEDDKSVFYGTLYMSLGVGFFIGFWGLLGSLLLWHPWRIAYLRFLNRLIDYLLEMVVVNIAKCQR >Vigun03g052800.2.v1.2 pep primary_assembly:ASM411807v1:3:4331840:4340435:1 gene:Vigun03g052800.v1.2 transcript:Vigun03g052800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLYERGKNGALKELGRTEVVLNSLNPKWITKHTLTYQFETVQDLVFRVYDVDTQFHNASVKMLKLEEQEHLGEATCALSEIITKFDRSMTLDLHSEDFTTSTQSRNCGKLLVYAEECVSSKTTIEMTLRCCDLEYRDLFSRSDPFLLISKVVEGGGHIPICKTEVIKNDLNPVWKPVFLNIQQIGSKESPLIMECYNFNSNGKHDLMGKVQISLMELEKLYSSGQGGNLYLSAAAGHNSHNKMLKGRLYVDKYAESVQYSFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAISEVGEVLQYYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMKAYTSALLNVSLAGPTLFGPVISNAAMIASQSLANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLENSSGRVASRDIVQFVPFRDVQSGEISVVQALLAELPTQFLTYVRSRSIQPSV >Vigun03g052800.1.v1.2 pep primary_assembly:ASM411807v1:3:4331337:4340435:1 gene:Vigun03g052800.v1.2 transcript:Vigun03g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGKMAVGGTASDHLNSASGPNDAVDNFLRSRGYNGLFSQIELSFSASGLRDRDIVSKSDPMLVLYERGKNGALKELGRTEVVLNSLNPKWITKHTLTYQFETVQDLVFRVYDVDTQFHNASVKMLKLEEQEHLGEATCALSEIITKFDRSMTLDLHSEDFTTSTQSRNCGKLLVYAEECVSSKTTIEMTLRCCDLEYRDLFSRSDPFLLISKVVEGGGHIPICKTEVIKNDLNPVWKPVFLNIQQIGSKESPLIMECYNFNSNGKHDLMGKVQISLMELEKLYSSGQGGNLYLSAAAGHNSHNKMLKGRLYVDKYAESVQYSFLDYLAGGFELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQRAISEVGEVLQYYDSDKRFPTWGFGARPIDGPVSHCFNLNGSSHYCEVEGIQGIMKAYTSALLNVSLAGPTLFGPVISNAAMIASQSLANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLENSSGRVASRDIVQFVPFRDVQSGEISVVQALLAELPTQFLTYVRSRSIQPSV >Vigun05g076200.1.v1.2 pep primary_assembly:ASM411807v1:5:6973147:6977384:1 gene:Vigun05g076200.v1.2 transcript:Vigun05g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSENSPASPGPVDPVPFLPQSRQVARRAPLRTAARFFRRASGRRLMLGEASVRVREAAAAEVEERQSEWAYSRPVVAVDVLWNTAFLVIGASVLWRSAAEEPSVPLRTWILGYLVQGMVHSLCVLVEFRRRMMSGNNFRSNVPNHVQWSFSSDSDQEFYYYESSLQGDENSIIKHIESANTMLSFIWWTVGVYWVTLGGQSLTTDAPQLYWVCIAFLSFDVVIVLICVAVACLIGIAVCCCLPCILALLYAVTDQEGATKEEIDQLPKYKFRIIKEGDIEESSRGIMIESETGTATEHVVALEDAECCICLSPYDDGAEIRELPCNHRFHCACIDKWLLINATCPLCKLDILVGNHHHEV >Vigun03g162300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18175293:18175943:-1 gene:Vigun03g162300.v1.2 transcript:Vigun03g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLNQPFTVTFLCILLSLAAVDPSLALHKPSNQNQTMAYIESSCQGTRFPDLCIRCLARFSNSTIDGPQHLAHLALSVSLLRALKTRAYLAKVAKELEEFKKDRVYLTVQDCVTQLSDSVDQLCQAIKELRRMNKSSIIDDNFLWHISNVETWVSTALTDASYCVQSFPGKRMSKRTATIKFKAQNVAEVTSNALNLFHRYASSYHVAAGTTIKP >Vigun04g105450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:25264875:25265524:1 gene:Vigun04g105450.v1.2 transcript:Vigun04g105450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSNLDLLQLMSMPAYASLYPLFIFNNSHFSFKLTCLSFLCKLKNIYPQGNDILNSPTTHASTFSQTFLRGNPFNIVPYDNFHLSLILHSHKIIGLFSIAISIVSTT >Vigun01g064500.2.v1.2 pep primary_assembly:ASM411807v1:1:17072426:17075318:-1 gene:Vigun01g064500.v1.2 transcript:Vigun01g064500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNSVPLHVSYEVLGWFAFASWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLYISSTVQKQYRDKYGQKEMIPVAANDVAFSVHAVLLTAINLFQIALYDRGSQKVSKIAYGILLVTWTSAAVCFFVALHNHHWLWLISIFSDIQVCMTVIKYIPQAVMNFMRKSTDGWSIVNILLDFSGSVANYVQMSMQSIDQRVYFL >Vigun01g064500.1.v1.2 pep primary_assembly:ASM411807v1:1:17072426:17075318:-1 gene:Vigun01g064500.v1.2 transcript:Vigun01g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNSVPLHVSYEVLGWFAFASWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLYISSTVQKQYRDKYGQKEMIPVAANDVAFSVHAVLLTAINLFQIALYDRGSQKVSKIAYGILLVTWTSAAVCFFVALHNHHWLWLISIFSDIQVCMTVIKYIPQAVMNFMRKSTDGWSIVNILLDFSGSVANYVQMSMQSIDQHSWVNFYGNIGKLLLSLVSIFFDILFMCQHYLLYPVKKRKLEASPEHDNAKSSDRPCENI >Vigun09g075000.1.v1.2 pep primary_assembly:ASM411807v1:9:8441009:8441835:1 gene:Vigun09g075000.v1.2 transcript:Vigun09g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTLFQLMFLLLLGLSEAVPITRTESLTQDPKVLDLAGGNIHNLKVISGRKWHLVEATIGERMDIELHDYPPSGANGRHTPKPPYP >Vigun07g039600.1.v1.2 pep primary_assembly:ASM411807v1:7:3857747:3861754:-1 gene:Vigun07g039600.v1.2 transcript:Vigun07g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKRLAAMRKENIAKEKEQKETARAIEQIEAKAQRSYQKDKAKFEEARESLELDGQEWELDSSSGYYYHKTNGFCYDPKSGFYYSDAIGKWVTKEEAYVSPHFTSKSLSSSKSKSDENKSNKSQNGSSSGLVTTVNPKRNSKAAPSSLAVGKRKRPNEKSKVISEEEKAALKAREAARKRVQEREKPLLGLYSKPY >Vigun11g218600.1.v1.2 pep primary_assembly:ASM411807v1:11:41141397:41142039:1 gene:Vigun11g218600.v1.2 transcript:Vigun11g218600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTIICVLFFLCLIFNFSTKTISAPNKVALDAASQLNAGSDESGESRKQRPECKGKKGQQGHSKDQPICHNYL >Vigun11g218600.2.v1.2 pep primary_assembly:ASM411807v1:11:41141396:41142040:1 gene:Vigun11g218600.v1.2 transcript:Vigun11g218600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTIICVLFFLCLIFNFSTKTISAPNKVALDAASQLNADESGESRKQRPECKGKKGQQGHSKDQPICHNYL >Vigun11g142300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35206927:35210806:1 gene:Vigun11g142300.v1.2 transcript:Vigun11g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKSLKEPERDRKKTKNIKHNGNISLDDVIEIARVMKPRSMAKDLSGTIKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEVPLD >Vigun11g165300.1.v1.2 pep primary_assembly:ASM411807v1:11:37247895:37255891:1 gene:Vigun11g165300.v1.2 transcript:Vigun11g165300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDVCPTEDAVKAFIEHLVDPLLPAKSSVQDNPSPYQQNLVARQVRSAVLLYNYYHRKRHPELEYLPLDEFSKLVVVLRPALLAYMKFMQNSNEEELTDVEKQLSLTEKMIMEACDVSKSLDVSKSVPNIEGWPITKVTILLIDSKKEKCFLLFGSITNGVWSLVEKGLETSSQSSSQSSLGSKGLVTSNQSSEVTSETKQHKKKRVLKKSSKDELKVNEDVFLQVGYSAIKEATGIDNTDIFLLESDTIYSESKEKEASRFFIMQCTKTIKEEVFQVPLQDLIKSLQGPLVTKSSGSWMITPVMDYFHVLPCYEIISKWISREAFSNTLQDTRVTEKNIKVDTPEVTEFCVNEDMFTTNDSKPNNDNIDSPKHKENTGCYTLALSDSIYEPMEMTMNENSILKSQIKEKCQYINDNTVQVDEDLERNNPSVKYNSNGFASAVKALNVDSTNMMLVTEGGTNNLASLHNSYANRPNISPDKGTVDGCIQIANHSESDLEELKVLSDSKKMLTQTALAALLRKRNELALQQRKIEDEIAVCDRNIERILSDGEDNFRLKIESIIEGCNDTWLKNQERVFGQQISPLKRKKLSEAVFIKQSSCQELDDICRTNNWILPTYHLSQSEGGFKANVTVKGVEFQCSFEGKMCSSPSEARESAADQMITNLRSIAKLEK >Vigun11g165300.2.v1.2 pep primary_assembly:ASM411807v1:11:37248044:37255867:1 gene:Vigun11g165300.v1.2 transcript:Vigun11g165300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDVCPTEDAVKAFIEHLVDPLLPAKSSVQDNPSPYQQNLVARQVRSAVLLYNYYHRKRHPELEYLPLDEFSKLVVVLRPALLAYMKFMQNSNEEELTDVEKQLSLTEKMIMEACDVSKSLDVSKSVPNIEGWPITKVTILLIDSKKEKCFLLFGSITNGVWSLVEKGLETSSQSSSQSSLGSKGLVTSNQSSEVTSETKQHKKKRVLKKSSKDELKVNEDVFLQVGYSAIKEATGIDNTDIFLLESDTIYSESKEKEASRFFIMQCTKTIKEEVFQVPLQDLIKSLQGPLVTKSSGSWMITPVMDYFHVLPCYEIISKWISREAFSNTLQDTRVTEKNIKVDTPEVTEFCVNEDMFTTNDSKPNNDNIDSPKHKENTGCYTLALSDSIYEPMEMTMNENSILKSQIKEKCQYINDNTVQVDEDLERNNPSVKYNSNGFASAVKALNVDSTNMMLVTEGGTNNLASLHNSYANRPNISPDKGTVDGCIQIANHSESDLEELKVLSDSKKMLTQTALAALLRKRNELALQQRKIEDEIAVCDRNIERILSDGEDNFRLKIESIIEGCNDTWLKNQERVFGQQISPLKRKKLSEAVFIKQSSCQELDDICRTNNWILPTYHLSQSEGGFKANVTVKGVEFQCSFEGKMCSSPSEARESAADQMITNLRSIAKLEK >Vigun08g102200.1.v1.2 pep primary_assembly:ASM411807v1:8:25308958:25311534:-1 gene:Vigun08g102200.v1.2 transcript:Vigun08g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTANKPHAVCIPYPAQGHINPMLKLAKLLHFNGFHITFVNTEYNHKRLLKSRGASSLNGLPSFRFETIPDGLPEYDVDVTQDIPSLCESTRRTCLPHFRNLLAKVNNSDAPPVTCIVSDGVMSFTVDAAEELGVPEVLFWTTSACGFMCYVQYEQFIQKGITPLKDSSDVTNGYLETTIDWIPGIKEIRLKDIPSFIRTTDSNDLMLDFVQGECKRARRASAILLNTFDSLEHDVLEAFSSILPPVYSIGPLNFLVKSVDDKELNAIQSNLWKEESECMEWLDTKEPNSVVYVNFGSITVMTNEQLVEFAWGLGNSNKSFLWVIRPDLVAGENAVLPQEFVKQTENRGLLSSWCSQEQVLNHPAIGGFLTHSGWNSTLESLCAGVPMICWPFFAEQQTNCRFTCKEWGVGLEIEDVKRDKIESLVKELMDGEKGKEMKEKALQWKELAKSAASGPYGTSFLNLDNMVREVLLEKNVINQF >Vigun05g207200.1.v1.2 pep primary_assembly:ASM411807v1:5:39654184:39657859:-1 gene:Vigun05g207200.v1.2 transcript:Vigun05g207200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCMEALKIHNPSLNLCSRGSWRMLVKTPSHCTFVTQSGKRRGCGRVRVTAEDSVSPTDTIADDYYAVLGLLPDASPEQIKKAYYNCMKSCHPDLSGNDPETTNFCMFINEVYTVLSDPIQRRIYDEIHGYSLTSINPFLDDSSPRDHVFVDEFSCIGCKNCANVAPDVFAIEEDFGRARAFNQCGNPDLVQQAIDSCPVSCIHWTSAAQLSLLEDEMRRVERVNVALMVSGMGTASIDVFRMASSRWEKRQSKVLEKAKFRMMKQEGSDKKNSYWDNLWGKQKDYQSSDEEVAERARRAASAARRWREYSRKGVDNPLTFKLPEANPTKEK >Vigun09g096000.2.v1.2 pep primary_assembly:ASM411807v1:9:14538762:14543273:1 gene:Vigun09g096000.v1.2 transcript:Vigun09g096000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTAAPTVKDGFLTVRGRTVLSHVPGNVLVSPVGTESAFLGATSTVSSSRHVFDLGILQGYKLLSLFRVKIWWMIPRLGLSASDVPMETQLLLLQANEESALEDEFSSDCEELATDNTCYILFLPVLDGQFRATLQGTQSNQLQFCIESGDAHVQTSQSLEAVLVNSGDNPFELIRDSIKILEKHKETFCHLENKRIPAHLDWFGWCTWDAFYTAVSPQGIKEGLQSFSNGGCSPKFIIIDDGWQEILNTFHKEGEPVIEGTQFATRLADIRENKKFINADSDNSCSNLHDFVDYIKHNMNVKYVYMWHALAGYWGGVLPSSDTMKKYNPKLAYPIQSPGATGNLRDIAMDSLEKYGVGIIDPENLYDFYNDYHSYLVSCGVDGVKVDVQNLIETLGSGYGGRVSLTKRYQEALEQSVTRNFKDNNLICCMCHNSDSIYSSKKSATARASEDFMPREPTYQTLHVASVAFNSLLLGEIFVPDWDMFHSKHETAEFHAAARAIGGCAVYVSDKPGNHDFKILKKLVLPNGSVLRARFAGRPTRDCLFEDPVMDVC >Vigun09g096000.4.v1.2 pep primary_assembly:ASM411807v1:9:14538762:14543273:1 gene:Vigun09g096000.v1.2 transcript:Vigun09g096000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAQLYKELNRISSSFASKVIFNAAGDAHVQTSQSLEAVLVNSGDNPFELIRDSIKILEKHKETFCHLENKRIPAHLDWFGWCTWDAFYTAVSPQGIKEGLQSFSNGGCSPKFIIIDDGWQEILNTFHKEGEPVIEGTQFATRLADIRENKKFINADSDNSCSNLHDFVDYIKHNMNVKYVYMWHALAGYWGGVLPSSDTMKKYNPKLAYPIQSPGATGNLRDIAMDSLEKYGVGIIDPENLYDFYNDYHSYLVSCGVDGVKVDVQNLIETLGSGYGGRVSLTKRYQEALEQSVTRNFKDNNLICCMCHNSDSIYSSKKSATARASEDFMPREPTYQTLHVASVAFNSLLLGEIFVPDWDMFHSKHETAEFHAAARAIGGCAVYVSDKPGNHDFKILKKLVLPNGSVLRARFAGRPTRDCLFEDPVMDGKSLLKIWNLNALTGVVGVFNCQGAGSWPLKTLEAAPLHITISGKVRPIDVEFLEEVAGENWSGNFIVYAFNTGLLSMVSLRGKLEVSLDTLQCEIYTVSPIRVLGHDVHFAPIGLLDMYNSGGAVETLDCNMDVAQCIIKVKGRGGGRFGAYSNVKPKRCVVDMKEEEFSYNPEDGLLSFMLDGEGNSRDIEFVY >Vigun09g096000.1.v1.2 pep primary_assembly:ASM411807v1:9:14538762:14543273:1 gene:Vigun09g096000.v1.2 transcript:Vigun09g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTAAPTVKDGFLTVRGRTVLSHVPGNVLVSPVGTESAFLGATSTVSSSRHVFDLGILQGYKLLSLFRVKIWWMIPRLGLSASDVPMETQLLLLQANEESALEDEFSSDCEELATDNTCYILFLPVLDGQFRATLQGTQSNQLQFCIESGDAHVQTSQSLEAVLVNSGDNPFELIRDSIKILEKHKETFCHLENKRIPAHLDWFGWCTWDAFYTAVSPQGIKEGLQSFSNGGCSPKFIIIDDGWQEILNTFHKEGEPVIEGTQFATRLADIRENKKFINADSDNSCSNLHDFVDYIKHNMNVKYVYMWHALAGYWGGVLPSSDTMKKYNPKLAYPIQSPGATGNLRDIAMDSLEKYGVGIIDPENLYDFYNDYHSYLVSCGVDGVKVDVQNLIETLGSGYGGRVSLTKRYQEALEQSVTRNFKDNNLICCMCHNSDSIYSSKKSATARASEDFMPREPTYQTLHVASVAFNSLLLGEIFVPDWDMFHSKHETAEFHAAARAIGGCAVYVSDKPGNHDFKILKKLVLPNGSVLRARFAGRPTRDCLFEDPVMDGKSLLKIWNLNALTGVVGVFNCQGAGSWPLKTLEAAPLHITISGKVRPIDVEFLEEVAGENWSGNFIVYAFNTGLLSMVSLRGKLEVSLDTLQCEIYTVSPIRVLGHDVHFAPIGLLDMYNSGGAVETLDCNMDVAQCIIKVKGRGGGRFGAYSNVKPKRCVVDMKEEEFSYNPEDGLLSFMLDGEGNSRDIEFVY >Vigun09g096000.3.v1.2 pep primary_assembly:ASM411807v1:9:14538762:14543273:1 gene:Vigun09g096000.v1.2 transcript:Vigun09g096000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLGLSASDVPMETQLLLLQANEESALEDEFSSDCEELATDNTCYILFLPVLDGQFRATLQGTQSNQLQFCIESGDAHVQTSQSLEAVLVNSGDNPFELIRDSIKILEKHKETFCHLENKRIPAHLDWFGWCTWDAFYTAVSPQGIKEGLQSFSNGGCSPKFIIIDDGWQEILNTFHKEGEPVIEGTQFATRLADIRENKKFINADSDNSCSNLHDFVDYIKHNMNVKYVYMWHALAGYWGGVLPSSDTMKKYNPKLAYPIQSPGATGNLRDIAMDSLEKYGVGIIDPENLYDFYNDYHSYLVSCGVDGVKVDVQNLIETLGSGYGGRVSLTKRYQEALEQSVTRNFKDNNLICCMCHNSDSIYSSKKSATARASEDFMPREPTYQTLHVASVAFNSLLLGEIFVPDWDMFHSKHETAEFHAAARAIGGCAVYVSDKPGNHDFKILKKLVLPNGSVLRARFAGRPTRDCLFEDPVMDGKSLLKIWNLNALTGVVGVFNCQGAGSWPLKTLEAAPLHITISGKVRPIDVEFLEEVAGENWSGNFIVYAFNTGLLSMVSLRGKLEVSLDTLQCEIYTVSPIRVLGHDVHFAPIGLLDMYNSGGAVETLDCNMDVAQCIIKVKGRGGGRFGAYSNVKPKRCVVDMKEEEFSYNPEDGLLSFMLDGEGNSRDIEFVY >Vigun01g172400.2.v1.2 pep primary_assembly:ASM411807v1:1:35469006:35472164:-1 gene:Vigun01g172400.v1.2 transcript:Vigun01g172400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMAFQSPEVDVLGLTSVFGNTTTELSTRNALLLCEIAGREDVPVAEGSSEPLKGGTPRVADFVHGKDGLGNTFLPSPKGKKIEKSACEFLVEKVSEYPGEVSVLALGPLTNLALAIKSDSSFASKVKRIVILGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQTQFTDADLLQLKESQGKYAPFLSEICKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYQKGVVRVETQGICVGHTLLDQGLKIWNTSNPWTGYSPVSVAWTVNVEGVVDYIRELLMKP >Vigun01g172400.3.v1.2 pep primary_assembly:ASM411807v1:1:35469006:35472163:-1 gene:Vigun01g172400.v1.2 transcript:Vigun01g172400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMAFQSPEVDVLGLTSVFGNTTTELSTRNALLLCEIAGREDVPVAEGSSEPLKGGTPRVADFVHGKDGLGNTFLPSPKGKKIEKSACEFLVEKVSEYPGEVSVLALGPLTNLALAIKSDSSFASKVKRIVILGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQTQFTDADLLQLKESQGKYAPFLSEICKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYQKGVVRVETQGICVGHTLLDQGLKIWNTSNPWTGYSPVSVAWTVNVEGVVDYIRELLMKP >Vigun01g172400.1.v1.2 pep primary_assembly:ASM411807v1:1:35469006:35472163:-1 gene:Vigun01g172400.v1.2 transcript:Vigun01g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVNDANGAVNGDLGNAEKLIIDTDPGIDDSMAILMAFQSPEVDVLGLTSVFGNTTTELSTRNALLLCEIAGREDVPVAEGSSEPLKGGTPRVADFVHGKDGLGNTFLPSPKGKKIEKSACEFLVEKVSEYPGEVSVLALGPLTNLALAIKSDSSFASKVKRIVILGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQTQFTDADLLQLKESQGKYAPFLSEICKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYQKGVVRVETQGICVGHTLLDQGLKIWNTSNPWTGYSPVSVAWTVNVEGVVDYIRELLMKP >VigunL059006.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:342045:342816:-1 gene:VigunL059006.v1.2 transcript:VigunL059006.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDLKG >Vigun10g185600.1.v1.2 pep primary_assembly:ASM411807v1:10:40180553:40185832:1 gene:Vigun10g185600.v1.2 transcript:Vigun10g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEENNVEYDSDPEEAKRPLTMRRREASDDEEEAEGEEVTDKREVGRVEVRSEDDIDDEGGVEDYANDGDDVEEGEEELQEEEEEEEEVEEMYEEKGASWGVEAEGPVVVAKESDDYDVRPPLEDSAEDHSEEKKESDPFAVPTAGAFYMHDDRFRDNAGTRNRWIHGGGRRLWESKDDKKWGHDKFEQILHEKHYEERRPSKGNYRGRGNGRGTDYRGYVRGSKKGYDSSSDQNQTPKSVVRGRGPQRYERSNKFNGPSPQVQNKQSGKSRVRNSNVSLERTSTRSSHAESDTVPAKNQMLASNLNSASPPYYPSGSSSNDINLAQKREVQTGGGRRNVGPVVVDEGFPVQQKNAPIQGKNVVDSISMSKLYINESTPQSVGKPSNNVRMARPGSSVVDASQSPHPRASGSGRGVSIPVQTNFQRSNKVSPSEFQAIQTSTVPGRNSTSVQPTAPQLGQRPGSGSPLSPPSKTSIPINSHDSGELDSGSESGKGKGALVGKGRGGSHGAGRGAFVYGGAQVIGTAGNVGVSHGDPNFPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGKSEMTWLPVLAGTAGALGATYPYLAVDGASSHQSGQASAMGTSSKDHNVNKANNELKPPQKSELASEEFGQRQNKPRRYSEMNFGQ >Vigun06g212100.1.v1.2 pep primary_assembly:ASM411807v1:6:32458112:32459911:-1 gene:Vigun06g212100.v1.2 transcript:Vigun06g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAWLSLKKTLQCKPHPNHVHDPKTIRQQKGNQRTKLGNLENSNTKDFIQGSKRHSKNPLSFKGDIEITGPIIDEITLDSSNHETSRRFPCPQSNSSNKASRRTRRSETSSRIAYPLDHNEANVPSNTRTLVQMDSDVFSSLTCDKCGEKLKNPVAVEAHNVSNHSVIELEEDSSRQIIETICGTSSVYSESMLGEIDCILKVVNIPKTFACFEEYREKVKEKAEKQKKKHPRCVADGNELLRFHGTTIACSLGINSCYRLCTLDYCGICQILRHGFSSNKEFKGALGVYTTSTSGKAFESISIMTSHERPFSRKSIIVCRVIAGRICSPLEGIEERADSEFDSLAEKINGHSDVEELYVLNPRALLPCFVVIYKQQTAKFRRVGPSLRIGSF >Vigun11g208200.4.v1.2 pep primary_assembly:ASM411807v1:11:40421160:40424864:1 gene:Vigun11g208200.v1.2 transcript:Vigun11g208200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVARISNTSPISCIHTFPSQSPHFPTSFAIIRVGRGSWTPIRASTTNAERREVVLPPQRDDDKQLQKGIAEFYDESSSIWENIWGDHMHHGFYDPDSTVSVSDHRAAQIRMIEESLRFASISEERTKWPKRIVDVGCGIGGSSRYLAQKFGATSVGITLSPVQAQRANALAAAQGLAHKVSFQVADALEQPFPDAQFDLVWSMESGEHMPDKTKFVGELARVAAPGGTIIIVTWCHRDLGPDEQSLKPWEQDLLKKICDAYYLPAWCSASDYIKLLKSLSLQDIKSEDWSRFVAPFWPAVIRSALTWKGFTSLLTSGQKTIKGALAMPLMIEGYKKGLIKFAIISCRKPE >Vigun11g208200.3.v1.2 pep primary_assembly:ASM411807v1:11:40421159:40425492:1 gene:Vigun11g208200.v1.2 transcript:Vigun11g208200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVARISNTSPISCIHTFPSQSPHFPTSFAIIRVGRGSWTPIRASTTNAERREVVLPPQRDDDKQLQKGIAEFYDESSSIWENIWGDHMHHGFYDPDSTVSVSDHRAAQIRMIEESLRFASISEERTKWPKRIVDVGCGIGGSSRYLAQKFGATSVGITLSPVQAQRANALAAAQGLAHKVSFQVADALEQPFPDAQFDLVWSMESGEHMPDKTKFVGELARVAAPGGTIIIVTWCHRDLGPDEQSLKPWEQDLLKKICDAYYLPAWCSASDYIKLLKSLSLQDIKSEDWSRFVAPFWPAVIRSALTWKGFTSLLTSGQKTIKGALAMPLMIEGYKKGLIKFAIISCRKPE >Vigun11g208200.2.v1.2 pep primary_assembly:ASM411807v1:11:40421160:40424864:1 gene:Vigun11g208200.v1.2 transcript:Vigun11g208200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVARISNTSPISCIHTFPSQSPHFPTSFAIIRVGRGSWTPIRASTTNAERREVVLPPQRDDDKQLQKGIAEFYDESSSIWENIWGDHMHHGFYDPDSTVSVSDHRAAQIRMIEESLRFASISEERTKWPKRIVDVGCGIGGSSRYLAQKFGATSVGITLSPVQAQRANALAAAQGLAHKVSFQVADALEQPFPDAQFDLVWSMESGEHMPDKTKFVGELARVAAPGGTIIIVTWCHRDLGPDEQSLKPWEQDLLKKICDAYYLPAWCSASDYIKLLKSLSLQDIKSEDWSRFVAPFWPAVIRSALTWKGFTSLLTSGQKTIKGALAMPLMIEGYKKGLIKFAIISCRKPE >Vigun11g131000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33894521:33895795:1 gene:Vigun11g131000.v1.2 transcript:Vigun11g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTSPCANPINYLNRPSHSLIRVAPIRFRVKCGYGFETAGFSQGFGATRADWQSSNAILASKIMSQQEDSPAGDNSPAAVNGNNAAVTNLNIVPVKHDGGNSKPLPPKPLSITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNGEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEFLTRVISHPQALAQCEHSLTKLGLNVAREAVDDTAGAAEFVAANGLRDTAAIASARAAELYGLQVVADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRSRPIRVVDDESEGTAKHFEYLFYIDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPIDMTPWNPSCPRED >Vigun11g007600.1.v1.2 pep primary_assembly:ASM411807v1:11:821887:825220:1 gene:Vigun11g007600.v1.2 transcript:Vigun11g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQELEAITQAFSGHGVDEKSLVTLLGKWDHLERESFRKKTPHLFTEDHERHFQRWDDHHVRLLKHEFVRFKNAVVLWSMHPWERDARLVREALKKGQNAYGVLVEVACTRSSDELLGARKAYHSLFDHSIEEDVASHIHGTERKLLISLLSAYRYEGSKVKDDTAKSEAKILSNAIKNAHKKPINEDDEVIRILATRSKLHLQTVYKHYKEISGKNLDEDLDDLRLKETVQCFCIPQIYFSKVLNAALRIDVDKNTKKSLTRVIVTRADIDMKEIKTQYQNLYGVSLPQKVEEVARGNYKDFLLNLIVRGG >Vigun03g090100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7586895:7587083:-1 gene:Vigun03g090100.v1.2 transcript:Vigun03g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbZ MTIAFQLAVFALIAISFILLISVPVVFASPEGWSNNKNVLFSGTSLWIGLVFLVGILNSLIS >Vigun10g012000.1.v1.2 pep primary_assembly:ASM411807v1:10:1252195:1254446:1 gene:Vigun10g012000.v1.2 transcript:Vigun10g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFVFFVLMFAGQVIGGLSTQKLPPSDNGNTLTILSIDGGGIRGIIPATVLDYLDQALKARDPNADLAHFFDVIGGSGTGALITALLATPSPLDPTRAAFTPAQIVDFYKNNGPHVFNSSRPGTGTQFDGEFLHNITRELFKDTRLSQTLTNVVIPTFDIKRQKPVIFSNYKVENYTYFNALMSDISISASAAPSLLPPYYFENDGVDFNLISGADLSMLSNELVNGNPTGATVSEVLRQNKYSKVIVLSLGTGTTETQQIFNAEMAATWNSFTWLFPLIIFLDRATTSMNEYYHGSLFRKPTINYLRIQEYELDPALSDMTDVTKQNMDGLEEAGKELLEEKVKKINLDSFCVEEGVATNAEALDRLADILYGERQHRLKQKSSEKGGRPFLETIRVPSDKTEANWAFQKNKVM >Vigun10g095300.1.v1.2 pep primary_assembly:ASM411807v1:10:28097144:28098369:-1 gene:Vigun10g095300.v1.2 transcript:Vigun10g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKVGCIVAMVFMVVVSAPIAHAITCGQVAGSVGPCIGYLQKGGAPSAGCCNGVKSLNAAAKTTADRRAACTCLKSLSKSISGINLGLAASLPRKCGVSIPYTISPSTNCNTINF >Vigun05g246500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43958290:43959310:-1 gene:Vigun05g246500.v1.2 transcript:Vigun05g246500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAIALMLMVACCCFMAGNSWNIEAQMHGKTNQLSYHNNHHGKTSARAYSHVTHGNDHHYIPPKDFVKPASVQYHVNDDSDNHYTSNMDNYGKPGGLIKTHG >Vigun03g086766.1.v1.2 pep primary_assembly:ASM411807v1:3:7187425:7187901:1 gene:Vigun03g086766.v1.2 transcript:Vigun03g086766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCYCGEKAVLRTARTPKNRGKQFWGCPKYKRGSEQLVGCNYFSWFSGDEEIVGSVTKNEERDLSVLNMEEMYGQRMKILSLEKSVMNLENRIRVLFWGVCFVCVLNVILFSLLMKNP >Vigun02g184600.1.v1.2 pep primary_assembly:ASM411807v1:2:32433269:32436505:-1 gene:Vigun02g184600.v1.2 transcript:Vigun02g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAADGEALDFEPEDDDLMDEDGAPDADASPPHPKLKSAITAAATTSLSAPKKTKGRGFRQDTDANRNTRLSGSDFDSLTTEGGPGPQRSIEGWIILVTGVHEEAQEDDLQNAFGEYGEIKNLHLNLNRRTGFVKGYALIEYERAEEARNAIENLNGSELLTQTIYVDWAFSSGPINESVRRKNARAPRERRSRSPRRRY >Vigun01g183600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36419443:36419640:-1 gene:Vigun01g183600.v1.2 transcript:Vigun01g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVKHALVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >Vigun01g193800.1.v1.2 pep primary_assembly:ASM411807v1:1:37139113:37141702:1 gene:Vigun01g193800.v1.2 transcript:Vigun01g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLFSSLLFLLSTFSTLSHSLAPHSHHQPHSATHNYRDALTKSIIFFEGQRSGKLPSNQRMSWRRDSALSDGSAMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGVMKGELQNAREAIRWGTDYLLKATAHPDTIYVQVGDAEKDHACWERPEDMDTPRSVFKVDKNNPGSDVAAETAAALAAASLAFKKTDPAYSKTLVRRAIRVFQFADKYRGSYSNGLKSVVCPFYCSYSGYQDELLWGAAWLHKATKNPMYLNYIQVNGQTLGAADSDNTFGWDNKHVGARILLSKEFLVRKVQSLHDYKGHADNFICSVIPGSSSSRFTPGGLLFKMGDSNMQYVTSTSFILLTYAKYLTKAHVVVNCGGATVTPKRLRVIAKKQVDYLLGENPLKMSYMVGMVHGIREGYTTGAHRCRPLVFTLARSNARQGSV >Vigun09g060700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6255541:6257996:1 gene:Vigun09g060700.v1.2 transcript:Vigun09g060700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMASSREGQQAKQEGGAINIDKFSKASSSTRQWSAFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVIDWLLEATKLDIDKLPPLQFPQGFGQFHHPQTLLPFHDSSSSQLSLGPFGESSSSFGGGVQNSMPKSRYWDSIDSISRLKGKEAEKGKWVKTNEEDHQNHEDDVVGSYSNLHASTQRLFPMGSGGSTTTHTLLPGLLNNTMPYNPYNGEPSGLSLSQFGGHGLLFPSQQVDPSPSSANGVQYPSSLALPSSASQFFLGSSSATPPMFTTYAPFLTPSVDNDPRQFNHIQFLNSASQILPHPLIPSLHSFNPHVRPFPATPFSSKLLDSDSIRSQHDKGSTS >Vigun09g060700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6255115:6258159:1 gene:Vigun09g060700.v1.2 transcript:Vigun09g060700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMASSREGQQAKQEGGAINIDKFSKASSSTRQWSAFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVIDWLLEATKLDIDKLPPLQFPQGFGQFHHPQTLLPFHDSSSSQLSLGPFGESSSSFGGGVQNSMPKSRYWDSIDSISRLKGKEAEKGKWVKTNEEDHQNHEDDVVGSYSNLHASTQRLFPMGSGGSTTTHTLLPGLLNNTMPYNPYNGEPSGLSLSQFGGHGLLFPSQQVDPSPSSANGVQYPSSLALPSSASQFFLGSSSATPPMFTTYAPFLTPSVDNDPRQFNHIQFLNSASQILPHPLIPSLHSFNPHVRPFPATPFSSKLLDSDSIRSQHDKGSTS >Vigun09g060700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6255541:6257996:1 gene:Vigun09g060700.v1.2 transcript:Vigun09g060700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMASSREGQQAKQEGGAINIDKFSKASSSTRQWSAFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVIDWLLEATKLDIDKLPPLQFPQGFGQFHHPQTLLPFHDSSSSQLSLGPFGESSSSFGGGVQNSMPKSRYWDSIDSISRLKGKEAEKGKWVKTNEEDHQNHEDDVVGSYSNLHASTQRLFPMGSGGSTTTHTLLPGLLNNTMPYNPYNGEPSGLSLSQFGGHGLLFPSQQVDPSPSSANGVQYPSSLALPSSASQFFLGSSSATPPMFTTYAPFLTPSVDNDPRQFNHIQFLNSASQILPHPLIPSLHSFNPHVRPFPATPFSSKLLDSDSIRSQHDKGSTS >Vigun09g060700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6255115:6258159:1 gene:Vigun09g060700.v1.2 transcript:Vigun09g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMASSREGQQAKQEGGAINIDKFSKASSSTRQWSAFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVIDWLLEATKLDIDKLPPLQFPQGFGQFHHPQTLLPFHDSSSSQLSLGPFGESSSSFGGGVQNSMPKSRYWDSIDSISRLKGKEAEKGKWVKTNEEDHQNHEDDVVGSYSNLHASTQRLFPMGSGGSTTTHTLLPGLLNNTMPYNPYNGEPSGLSLSQFGGHGLLFPSQQVDPSPSSANGVQYPSSLALPSSASQFFLGSSSATPPMFTTYAPFLTPSVDNDPRQFNHIQFLNSASQILPHPLIPSLHSFNPHVRPFPATPFSSKLLDSDSIRSQHDKGSTS >Vigun01g030000.4.v1.2 pep primary_assembly:ASM411807v1:1:3712105:3716530:1 gene:Vigun01g030000.v1.2 transcript:Vigun01g030000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVESLVLQLHEISAVKFGNFKLKSGIFSPIYIDLRLIISYPSLLQQISQTLISSVSSTSYDLVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKAGQSCLIIEDLVTSGTSVLETAAPLRASGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTDMVKILSNHGKLDEEMVGVVTKFLEENRKVAAVAKVEKPATKVKALPFEERARLSKNPMGKRLFEIMAAKTSNLCLAADVGTAAELLELAEKVGPEICLLKTHVDIFPDFTPEFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFQILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAREYRLEGWKAYLAKCS >Vigun01g030000.3.v1.2 pep primary_assembly:ASM411807v1:1:3712031:3716530:1 gene:Vigun01g030000.v1.2 transcript:Vigun01g030000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVESLVLQLHEISAVKFGNFKLKSGIFSPIYIDLRLIISYPSLLQQISQTLISSVSSTSYDLVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKAGQSCLIIEDLVTSGTSVLETAAPLRASGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTDMVKILSNHGKLDEEMVGVVTKFLEENRKVAAVAKVEKPATKVKALPFEERARLSKNPMGKRLFEIMAAKTSNLCLAADVGTAAELLELAEKVGPEICLLKTHVDIFPDFTPEFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFQILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAREYRLEGWKAYLAKCS >Vigun01g030000.2.v1.2 pep primary_assembly:ASM411807v1:1:3712076:3716530:1 gene:Vigun01g030000.v1.2 transcript:Vigun01g030000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVESLVLQLHEISAVKFGNFKLKSGIFSPIYIDLRLIISYPSLLQQISQTLISSVSSTSYDLVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKAGQSCLIIEDLVTSGTSVLETAAPLRASGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTDMVKILSNHGKLDEEMVGVVTKFLEENRKVAAVAKVEKPATKVKALPFEERARLSKNPMGKRLFEIMAAKTSNLCLAADVGTAAELLELAEKVGPEICLLKTHVDIFPDFTPEFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFQILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAREYRLEGWKAYLAKCS >Vigun01g030000.1.v1.2 pep primary_assembly:ASM411807v1:1:3712068:3716530:1 gene:Vigun01g030000.v1.2 transcript:Vigun01g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVESLVLQLHEISAVKFGNFKLKSGIFSPIYIDLRLIISYPSLLQQISQTLISSVSSTSYDLVCGVPYTALPIATCVSLAQNIPMVMRRKEIKDYGTAKAIEGDFKAGQSCLIIEDLVTSGTSVLETAAPLRASGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTDMVKILSNHGKLDEEMVGVVTKFLEENRKVAAVAKVEKPATKVKALPFEERARLSKNPMGKRLFEIMAAKTSNLCLAADVGTAAELLELAEKVGPEICLLKTHVDIFPDFTPEFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFQILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDALGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAREYRLEGWKAYLAKCS >Vigun07g257200.2.v1.2 pep primary_assembly:ASM411807v1:7:37457732:37460751:-1 gene:Vigun07g257200.v1.2 transcript:Vigun07g257200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQRISIHRLLGQGLVADVVLWKNWRGAAALLVSSSTLWYLFERAGPLPPLPDLEISEDTVARVADAVQIWMNRALTVAHDIAIERNLLLCLQVVGGLWAISFIGSLFNFLTLIYICILLSLSLPVLYDKYQDQVDDRLRVIYGTIQTRYKKIHSMFLSKIPSQSHKEKKAQ >Vigun07g257200.1.v1.2 pep primary_assembly:ASM411807v1:7:37457732:37460751:-1 gene:Vigun07g257200.v1.2 transcript:Vigun07g257200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQRISIHRLLGQGLVADVVLWKNWRGAAALLVSSSTLWYLFERAGYNFLSFVANVFLLLVLILFFWAKAASILNRPLPPLPDLEISEDTVARVADAVQIWMNRALTVAHDIAIERNLLLCLQVVGGLWAISFIGSLFNFLTLIYICILLSLSLPVLYDKYQDQVDDRLRVIYGTIQTRYKKIHSMFLSKIPSQSHKEKKAQ >Vigun03g017000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1199761:1200439:-1 gene:Vigun03g017000.v1.2 transcript:Vigun03g017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKTGKAQGALKINKESQTIKKSSVSKVQHKEPVIIYTQSPRIIQTHPRHFMELVQKLTGIYRSDIDDTVTGDVDAFKPATPPLKGESEENEASASVSASVITDEEDSCNSMGEVRSNSSNSMEPYVDNFAVLESDFFSTNSNTSLLHFADFLL >Vigun03g088800.3.v1.2 pep primary_assembly:ASM411807v1:3:7455899:7460364:1 gene:Vigun03g088800.v1.2 transcript:Vigun03g088800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYMPLPFPPQHVQVLRALLHCTVNKELRKGRVLHARILKDASFSFTHIANALINLYAKRGHFAEANLVFDNIVHKDVVSWNCLINAFSQQQAFASSSHVMRLFRRMMVCTTVPNAHTFTGVFTAASNLSDAGAGCMAHALAVKTACSDDVFAASSLLNFYCKTGLVAEARKLFDEMPVRNAVSWATMISGYASKEFAYEAFELFELLRREKEGDNENEFVFTGVLSALTCHVLVDTGRQVHSLAVKNGLVCFVSVGNALVTMYAKCGSLEDALKTFELSGNKNSITWSAMVTGFAQSGDADKALKLFYDMHHSGVLPSEYTLVGVINACSDVCAIVEGRQMHGYSLKLGYELQLYVLSALVDMYAKCGSIGDARKGFEYIQQPDVVLWTSIITGYVQNGDYEGALNLYCKMQMGRVIPNDLTMASVLKACSSLAALDQGKQMHAGIIKYGFSLEVPIGSALSAMYAKCGSLDDGCRIFWRMPSRDVISWNAMISGLSQNGCGIEALKLFEEMCLEGTKPDNVTFVNLLSACSHMGLVDRGWVYFKMMFDEFNIAPTVEHYACMVDILSRAGQLNEAKQFIESATVDHGLCLWRILLGACKNHRNYYLGAYAGEKLMELGSPESSAYVLLSSIYTALGKWEDVERVRGMMKTRGVTKEPGCSWIELKSLTHVFVVGDNMHPQIDEIRLALKLLTKLMKDEGYQPLLDPLLPDIISDDLKDQEGSDEIQLRVCGGL >Vigun03g088800.4.v1.2 pep primary_assembly:ASM411807v1:3:7456177:7460364:1 gene:Vigun03g088800.v1.2 transcript:Vigun03g088800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFPPQHVQVLRALLHCTVNKELRKGRVLHARILKDASFSFTHIANALINLYAKRGHFAEANLVFDNIVHKDVVSWNCLINAFSQQQAFASSSHVMRLFRRMMVCTTVPNAHTFTGVFTAASNLSDAGAGCMAHALAVKTACSDDVFAASSLLNFYCKTGLVAEARKLFDEMPVRNAVSWATMISGYASKEFAYEAFELFELLRREKEGDNENEFVFTGVLSALTCHVLVDTGRQVHSLAVKNGLVCFVSVGNALVTMYAKCGSLEDALKTFELSGNKNSITWSAMVTGFAQSGDADKALKLFYDMHHSGVLPSEYTLVGVINACSDVCAIVEGRQMHGYSLKLGYELQLYVLSALVDMYAKCGSIGDARKGFEYIQQPDVVLWTSIITGYVQNGDYEGALNLYCKMQMGRVIPNDLTMASVLKACSSLAALDQGKQMHAGIIKYGFSLEVPIGSALSAMYAKCGSLDDGCRIFWRMPSRDVISWNAMISGLSQNGCGIEALKLFEEMCLEGTKPDNVTFVNLLSACSHMGLVDRGWVYFKMMFDEFNIAPTVEHYACMVDILSRAGQLNEAKQFIESATVDHGLCLWRILLGACKNHRNYYLGAYAGEKLMELGSPESSAYVLLSSIYTALGKWEDVERVRGMMKTRGVTKEPGCSWIELKSLTHVFVVGDNMHPQIDEIRLALKLLTKLMKDEGYQPLLDPLLPDIISDDLKDQEGSDEIQLRVCGGL >Vigun03g088800.2.v1.2 pep primary_assembly:ASM411807v1:3:7456136:7460364:1 gene:Vigun03g088800.v1.2 transcript:Vigun03g088800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFPPQHVQVLRALLHCTVNKELRKGRVLHARILKDASFSFTHIANALINLYAKRGHFAEANLVFDNIVHKDVVSWNCLINAFSQQQAFASSSHVMRLFRRMMVCTTVPNAHTFTGVFTAASNLSDAGAGCMAHALAVKTACSDDVFAASSLLNFYCKTGLVAEARKLFDEMPVRNAVSWATMISGYASKEFAYEAFELFELLRREKEGDNENEFVFTGVLSALTCHVLVDTGRQVHSLAVKNGLVCFVSVGNALVTMYAKCGSLEDALKTFELSGNKNSITWSAMVTGFAQSGDADKALKLFYDMHHSGVLPSEYTLVGVINACSDVCAIVEGRQMHGYSLKLGYELQLYVLSALVDMYAKCGSIGDARKGFEYIQQPDVVLWTSIITGYVQNGDYEGALNLYCKMQMGRVIPNDLTMASVLKACSSLAALDQGKQMHAGIIKYGFSLEVPIGSALSAMYAKCGSLDDGCRIFWRMPSRDVISWNAMISGLSQNGCGIEALKLFEEMCLEGTKPDNVTFVNLLSACSHMGLVDRGWVYFKMMFDEFNIAPTVEHYACMVDILSRAGQLNEAKQFIESATVDHGLCLWRILLGACKNHRNYYLGAYAGEKLMELGSPESSAYVLLSSIYTALGKWEDVERVRGMMKTRGVTKEPGCSWIELKSLTHVFVVGDNMHPQIDEIRLALKLLTKLMKDEGYQPLLDPLLPDIISDDLKDQEGSDEIQLRVCGGL >Vigun03g088800.1.v1.2 pep primary_assembly:ASM411807v1:3:7456096:7460424:1 gene:Vigun03g088800.v1.2 transcript:Vigun03g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFPPQHVQVLRALLHCTVNKELRKGRVLHARILKDASFSFTHIANALINLYAKRGHFAEANLVFDNIVHKDVVSWNCLINAFSQQQAFASSSHVMRLFRRMMVCTTVPNAHTFTGVFTAASNLSDAGAGCMAHALAVKTACSDDVFAASSLLNFYCKTGLVAEARKLFDEMPVRNAVSWATMISGYASKEFAYEAFELFELLRREKEGDNENEFVFTGVLSALTCHVLVDTGRQVHSLAVKNGLVCFVSVGNALVTMYAKCGSLEDALKTFELSGNKNSITWSAMVTGFAQSGDADKALKLFYDMHHSGVLPSEYTLVGVINACSDVCAIVEGRQMHGYSLKLGYELQLYVLSALVDMYAKCGSIGDARKGFEYIQQPDVVLWTSIITGYVQNGDYEGALNLYCKMQMGRVIPNDLTMASVLKACSSLAALDQGKQMHAGIIKYGFSLEVPIGSALSAMYAKCGSLDDGCRIFWRMPSRDVISWNAMISGLSQNGCGIEALKLFEEMCLEGTKPDNVTFVNLLSACSHMGLVDRGWVYFKMMFDEFNIAPTVEHYACMVDILSRAGQLNEAKQFIESATVDHGLCLWRILLGACKNHRNYYLGAYAGEKLMELGSPESSAYVLLSSIYTALGKWEDVERVRGMMKTRGVTKEPGCSWIELKSLTHVFVVGDNMHPQIDEIRLALKLLTKLMKDEGYQPLLDPLLPDIISDDLKDQEGSDEIQLRVCGGL >Vigun09g071600.1.v1.2 pep primary_assembly:ASM411807v1:9:7849412:7852302:-1 gene:Vigun09g071600.v1.2 transcript:Vigun09g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETVQSQSKNVCVIGAGPSGLVAARELRKEGHKVVVLEQNHDVGGQWLYEPNVEEEDPLGRKPFLKVHSSIYESLRLTSPREIMGFSDFPFLVKKGRDMRRFPSHTELLLYLRDFCHYFSLRDLIRFNTRVDHVGMLDYGVCGGDLKWIVRSMDINTEKVVEEVFDAVVVATGHYSQPRLPSIQGMDTWKRKQMHSHIYRTPEPFNNEIVVVVGNSLSGQDISIELVEVAKEVHMSSRSLNISEGLSKVICKHANFHLHPQIENLQEDGRVIFVDGSSILADSILYCTGYSYAFPFLDTKGMVVVDDDRVGPLYEHTFPPSLAPSLSFIGIPRKIIGFPFFESQAIWIAQLLSGKKVLPSWEDMMKSIKEFYHSREAAGIPKHCTHEIANFEYCDKYGDNVGFPHTEEWRKELCISAIINADVNLETYRDLWNDHDLLQQALQSPHFTQLGLQDSPL >Vigun08g196600.1.v1.2 pep primary_assembly:ASM411807v1:8:36259528:36262295:1 gene:Vigun08g196600.v1.2 transcript:Vigun08g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLLRASPPPPHSSSYPRQCRTVSGRTSVKCSLEVPSKVLTKHVLSGIAASLIFISPGNQSVAADLSRAQNNICQIASASDTALTSPFENGSDEKGANLMMMRGMTAKDFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDREVPSIQVDTFCVHGGPNGFITGIRGKVQCVSEEDLDKNETQLEKQEMIKEKCYLRFPTLPFIPKEPYDVIDTDYDNFSLVSGAKDKSFIQIYSRTPNPGPEFIEKYKSYLAKFGYDPTKIKDTPQDCEVMSNSQLAAMMSMSGMQQALTNQFPDLGLNSPIELNPFTSVFDTLKKLVELYFK >Vigun04g003400.1.v1.2 pep primary_assembly:ASM411807v1:4:236703:239331:-1 gene:Vigun04g003400.v1.2 transcript:Vigun04g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKRHHPEGGNKGPLDLMPLFAKELLAGGLAGGFAKTVVAPLERVKILFQTRRAEFQSTGLIGSAVRIAKTEGLLGFYRGNGASVARIIPYAAIHYTSYEEYRRWIIQTFPDVWKGPTLDLVAGSLSGGTAVLFTYPLDLTRTKLAYQIVSPTKLGASGMVNNEQVYRGITDCLTRTYREGGIRGLYRGVAPTLVGIFPYAGLKFYFYEEMKRHVPEEYNKSIMAKLTCGSVAGLLGQTFTYPLEVVRRQMQIQKLQPSDNAELKGTLKSIVLIAQRQGWKQLFSGLSINYIKVVPSAAIGFTVYDTMKLYLRVPSREEAAVEN >Vigun10g135900.1.v1.2 pep primary_assembly:ASM411807v1:10:34817543:34817974:-1 gene:Vigun10g135900.v1.2 transcript:Vigun10g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSCPCHFIGFSSVYCLRFQIVTSKILDHPIPIRQQLRTSKKWSTCSGED >Vigun11g203100.1.v1.2 pep primary_assembly:ASM411807v1:11:40068806:40071518:-1 gene:Vigun11g203100.v1.2 transcript:Vigun11g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAWLQLQRIITTPCSSSRFFSKSSPYVVKVGIPEFLNGIGKGVESHVPKLESEIGDFQNLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRVESIKA >Vigun04g153500.1.v1.2 pep primary_assembly:ASM411807v1:4:37076376:37081715:-1 gene:Vigun04g153500.v1.2 transcript:Vigun04g153500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKEENSDLLPKSKPQEEHGFSMDFDIGFESLWPLDHISLISNPMSPFLLSTISEQPFSPVWAFSDVEDERQIRIATAGNTKAVTENPVENYDTKKTVSPSPVTVPPSENPDGYCLIKERMTQALRHFKELTEQNVLAQVWAPVRNGNRYALTTSGQPFVLDPHSNGLYQYRTVSLRYMFSVDGENDEILGLPGRVFQQKIPEWTPNVQYYSSKEYQRLNHAQHYNVRGTLALPVFEPAGQSCVAVLELIMTSPKINYAPEVDKICKALEAVNLRSSEISEHPYNQICNEDRQHALAEILEILTVVCETHSLPLAQTWVPCKHRSVLAHGGGHKKSCSSFDGCCMGQVCMSITEVAFYVIDAHTWGFHEACVEHHLQQGQGVAGRAFLSHNMCFCGNITQFCKTEYPLVHYALMFGLNSSFAVCLQSSHTGNDEYVLEFFLPPRVTDFNEQKSLLGSILATMKPHFQSLKIAAGVELEQNCSIEIIEARKERVHLWFESIPITQSSKSSPRHASPNMGERLPLEPSEQQITTDFDDLNDGRNLGDNTGGHIDQNTSLEPRSKKKPSERKRGKAEKSISLDVLQHYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSLSKLKCVIESVHGAEGAFGLNSLSTGSLPIAAGSFSEPSTSNKFNRQTSLTIRPSEPKINGNDFDNSRAPESNRQTGVEGHFLSTRTQNPEKVMNEKVVVAIQEIGTKGTTRFRTGSGSSEESANPSPHGSCQGSPPNEMSPPKDIFVTGISEQCLVLRGSLGSTLHSTSTPNHATAYPMPNFVETTEPQEPFGGQLLEGVGSSKDLRNLCPSADAVLEDQVPEPCRMNPQCSDLGPLQHMDTVNNNNMTPFAVRKEVKIVTIKATYKEDIIRFKISLNCGIVELKEEIAKRLKLEEGTFDIKYLDDDHEWVLIACDADLQECMDISRSSGSNIIRLVVHDILPILGSSCESSGDWKGCI >Vigun01g146700.1.v1.2 pep primary_assembly:ASM411807v1:1:32842815:32846038:-1 gene:Vigun01g146700.v1.2 transcript:Vigun01g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSNFTDSPNNSIHSETSHGIKHFPPTSVPQHYHKLHVPQTGSFTLPSFSCGSSPISPSIGELERQSKGFRRVQSDGNLQDLAYCNNEDMLEFSDPSKRFPTRNRCLVLETIPSFSIGKHNGLRVEEEDEEMESESEEGEENGREGFSVVNGVILSEAGKARDGVCRVSFDEQEEGGSGKEMYLAKGLGVECCGDGIGGCRGGGGGSSDHNPLGSGGNDGDRHDVEEYYKKMVKENPGDPLFLRNYANFLYQFKQDREGAEEYYSRAILADPNDGDVLSQYGKLVWEVHHDQERASSYFERAVQASPEDSHVHAAYASFLWDAEEEEDGNNEAQCLPPHSHQGAMATAGA >Vigun01g146700.2.v1.2 pep primary_assembly:ASM411807v1:1:32842815:32845266:-1 gene:Vigun01g146700.v1.2 transcript:Vigun01g146700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSNFTDSPNNSIHSETSHGIKHFPPTSVPQHYHKLHVPQTGSFTLPSFSCGSSPISPSIGELERQSKGFRRVQSDGNLQDLAYCNNEDMLEFSDPSKRFPTRNRCLVLETIPSFSIGKHNGLRVEEEDEEMESESEEGEENGREGFSVVNGVILSEAGKARDGVCRVSFDEQEEGGSGKEMYLAKGLGVECCGDGIGGCRGGGGGSSDHNPLGSGGNDGDRHDVEEYYKKMVKENPGDPLFLRNYANFLYQFKQDREGAEEYYSRAILADPNDGDVLSQYGKLVWEVHHDQERASSYFERAVQASPEDSHVHAAYASFLWDAEEEEDGNNEAQCLPPHSHQGAMATAGA >Vigun09g188400.1.v1.2 pep primary_assembly:ASM411807v1:9:36275375:36282275:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun09g188400.5.v1.2 pep primary_assembly:ASM411807v1:9:36276063:36282275:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun09g188400.6.v1.2 pep primary_assembly:ASM411807v1:9:36276063:36282275:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun09g188400.2.v1.2 pep primary_assembly:ASM411807v1:9:36275375:36282275:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun09g188400.4.v1.2 pep primary_assembly:ASM411807v1:9:36276063:36282332:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun09g188400.3.v1.2 pep primary_assembly:ASM411807v1:9:36276063:36282332:-1 gene:Vigun09g188400.v1.2 transcript:Vigun09g188400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRPNAEPNGKGKRQKSSGGFSSLDVSPGSAVFRLLCPVSRIGSVIGKGGAIISQIRQESGVKVKIEEAIPGCDERVITISGSDKEAEEAHAEQVKEVNNDDAGIEGKGDEEERDGGDGDANEEKEDKSSVLVQDSPSEKGNSAIRKAISLVFERMVEGVEETSEGDEESNKSSSLVFRLLILTTQVGCLLGKGGSVIKRMAAESGAHIRILPKDKLPACASASDEIVQISGGVDVVRKALQSVSHQLLENPPRDHELSTNFNGPSHSSGQFPSHSRSFGGQGVPFGAAHDISVFHSAPPLISKFHEAAIHGRTRPMQEMLTFRLLCPVERVGNVIGKGGTIIKTLQQETVSEIKIVESPPDSDDCVIVISGPAHPEDRVSPVQEAVFRVQTRIAKPIPDAKEHTMLARFLVSSNQIGCLLGKGGSIITEMRKKSGAHIRILGKDKVPKCASEDEEVIQVNGEIEAVHDALMQITTRLRHHFFRDSHPSVNYPSNSPFVDQLPPFPPYLGRRGLSPPGMFSDLGPPPYAGFPLDDRPPFLNNIHRPGIPPHISERKLWGPQGVLEGGSRIGLPDFPGGPPRRISGFAGGSQPIITSTTVEVVVPRALVPVIYGEDGECLKQILQISDANITITDPKPGAVETKIIISGTPEQTHAAQSLIQAFVMSERESG >Vigun07g056700.1.v1.2 pep primary_assembly:ASM411807v1:7:6232970:6235796:-1 gene:Vigun07g056700.v1.2 transcript:Vigun07g056700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGNVTQNYIPELACYNTTFDLNNKIWRSDNVISERVPLLSIQIAYNVLASSFFHHILKQFHLPLTVAQMLGGVLLSSSFLGRIPGVFQMIYRPEGIMTVETFANVGIMYYVFLTGLEMNSDTILRSSKQAVIIAVASILIPTLVGAGFLTLQHDLAGGSSLPLTVKGYMFWCAILSVTSFPVLARLLSDLKILYTRLGKDALTAAMLIDAFGWVLFALFIPYSHEGGKPLLSVICTLLFIVFCFCVVRPILARVVEHRMRSESWNSSNLLDVMIGLFVCAYITDLLGAHHTVGAFVYGLILPSGKFADLLLEMLDDFVAAIIVPVYFASFGFRLNLESIWSENHTVLLPLFMVFLLAIPKILSSLLVSVYYGMSLRDGVGLGLLLNTKGIMAVILISIAWDKSILDPYAFTIMILAVLFMTTVVSPFINAIYKPKLRFKQTQQRTVQKLWSEAELRVAACVHNSHQALGMIYVLEATNATRTSPLHVSVLHLVELTRRGTGLLVAQIDNSNVQAGSSEGQYGSQEEFETICNALIEFGEEYKAVRFDTSSIVATYDTIHQDIYNVTEEKRANLILLPFHKQLSSGELVTTNTAFCDINKNVLQAPPCSVGILVNRGLRSLSTATMSIIVIFIGGPDDREALSVAWRMASHSATKLHLIRLLVSGTEVEEGKVFQNDSSEVLSTEMDSVMQKELDDEHIFHFRHKGLHNNDSIAYSEMELMLETGEETPLILNEIDKKGCDLYILGQGSGKNYTVFQRLLEWCDSPELGVMGDILASTSFGSNSSLLVVQQYTMERKSKLRCRSIYHTNNISDEIL >Vigun11g037000.1.v1.2 pep primary_assembly:ASM411807v1:11:4989948:4994308:-1 gene:Vigun11g037000.v1.2 transcript:Vigun11g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRKLASGRPSGTDGSDYSFRMVVDSRYQLVAKGKKRLSLHFITEAALLIIGAIFAYFPGIEADTPNTVAYSSVFVSVVSLIIGNIGRRRSRSGLLRFYAIVSSIAMLPLIASLAKKHLLLKAIQDSKLWSAAKYDVNDLSHFQIGLLLYLVTLSVLKLCTVKAVVSLLFNMAPPKKTS >Vigun05g156371.1.v1.2 pep primary_assembly:ASM411807v1:5:25402198:25408217:-1 gene:Vigun05g156371.v1.2 transcript:Vigun05g156371.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KSKSVSLKKKYKVIRKVKEHNRKKAKEAKKLRLSGKNKVEKDPGIPNDWPFKEQELKALEARRAKAIEELEQKKVERKERARKRKLGLLEEEDDSKLLEDSKKNSNDFGTALKTRDSSDRAFYKDLVKVIEASDVLLEVLDARDPLGTRCPEIENMVMKSGPDKRLVLLLSKIDLVPKEALEKWLKYLREELPTVAFKCSTQQQRLNLAWRKSTKKAKSSDILQLSDCLGADTLLKLLKNYSRSHEIKKSITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVHLDKNVKLLECPGVVMLKSQHNDASIALKNCKRIENLEDPISPVKEILKLCLPEQLVTLYKIGSFNVGDVDDFLRKVAREKGKLKKDGIHDVATTARIVLRDWNEGKIQYYTMPPNRHQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKSVDDFNVVEVPSSHPLNLTEMMLEDETKTKSGNQGEGPGNVGEVDEAMEDDGGKKKDNNAASRQNEKLYTADGMLNTKLRRAEKNKRKKDKKASMDGDYDFKTDYFQKGASMDSEDSQSEDADDEPVNSEVPV >Vigun06g082400.1.v1.2 pep primary_assembly:ASM411807v1:6:21417374:21420762:-1 gene:Vigun06g082400.v1.2 transcript:Vigun06g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENETSHRLSSSGMIGNDYLVCHHAMKSFVRGFWLGDNPLHHATSILLLQIIIMYIVGRSTYFLLRPFHQTLIISQIVAGIILGPIFLGRHKKCYDTLFPIESTMTLSTFAEFGMVIHFFKMGVQIDPRQILKIEKQAIVIGVVGHVSSLAFSGVVLNIIGSLYPEENRDPSVRVLLLTSSVSTFPVVSAFLTEMNIINSEVGRIAVSTSLVSDSCMWVLYFVLINGTIAIRQETYKPITEMIVSVSYFAILFFLLRPLVIWISNRNPRGKPMTESHFIWIICILLIVGFTASVSGQPPFFIAFCFGLVLPDGPPLGSVLTERLDTVGSTLIVPSYCTIVGLKTSPRSLGDFKSLTIELILLSLYLGKFMGTIVSSLLFQIEFGDSLALSLIMCCKGLMDLCFLNLLLNVKAIGEQPFTLAIFTMVVVTGCASLCVYLMYDPSRKCRGYVKKTVRNSQPEPALKILACVHYEENVYPMINILQASNPTEDTPVSVFVLHLVELSGSVLPTLTKDKSPNKSSEGIHNVFDQFHKHNEGYVELHFFTAKTPFVSMPYDICNLAMEFMSNIVIMPFHKWFTEGNIEYSNASIKTLNQHVLKKAPCSVGIFADRSQISEKALGLYEKLICEIAMIYLGGGDDQEALSFSLRMAQHPNMRLTVFWVRVNTQSKSRKTKNPYIDLREQIRYSSCLEGKVTFKEEVVEDGADTTKAILRIEKLFSLIIVGKHHVKDSPCTLGLTEWCELPELGPLGNLLATSDFTCSFLVIQEQPRWF >Vigun02g093900.1.v1.2 pep primary_assembly:ASM411807v1:2:24893933:24895648:1 gene:Vigun02g093900.v1.2 transcript:Vigun02g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYRLALRIQRRIVSPKYPKLRQFLHRRTRGVFDVAIKVHQNIQYRDIEVGRNFGNFILRWLDRMKPSAQIRGPRPSNGVRPTESIGKHAAGGSSSNHKGSGTSRRNSDRNLFTSARWVPSPTVPRVMRRPNPPGTTIHDRHFSVSTPQIVASNYRVNLSGGVVRKDIMQWMLHN >Vigun05g163800.2.v1.2 pep primary_assembly:ASM411807v1:5:26437288:26444896:1 gene:Vigun05g163800.v1.2 transcript:Vigun05g163800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFFCTTVHGFYGKWPLDLQIGQATRVRSFPHVDGNYALHIYIPINISSPSKKELSAFLRKVSSREPNLNAVDVDVPLNVLCQNDEKLEQVALGREFHISLGRTVPIRVHQIDSVVSMLKQKLQIQRQFWIDFNKWEVFVNDDHTRTFISVEVVQGGLIEITKQIEAVNTVYRLHNLPEFYKDPRPHISLAWALGDISHSLKKVVDEEMKFAVGKSFEKSIFSCKFKGIECKIGKKAYAICKIPDGQ >Vigun05g163800.1.v1.2 pep primary_assembly:ASM411807v1:5:26437288:26444896:1 gene:Vigun05g163800.v1.2 transcript:Vigun05g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSCYGDGSSDSDSESVPSAPTSPPSAVFTSLPPPPISLLDPSTFLDLQIGQATRVRSFPHVDGNYALHIYIPINISSPSKKELSAFLRKVSSREPNLNAVDVDVPLNVLCQNDEKLEQVALGREFHISLGRTVPIRVHQIDSVVSMLKQKLQIQRQFWIDFNKWEVFVNDDHTRTFISVEVVQGGLIEITKQIEAVNTVYRLHNLPEFYKDPRPHISLAWALGDISHSLKKVVDEEMKFAVGKSFEKSIFSCKFKGIECKIGKKAYAICKIPDGQ >Vigun05g163800.3.v1.2 pep primary_assembly:ASM411807v1:5:26437288:26444896:1 gene:Vigun05g163800.v1.2 transcript:Vigun05g163800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVTMPCISTYQFFCTVNISSPSKKELSAFLRKVSSREPNLNAVDVDVPLNVLCQNDEKLEQVALGREFHISLGRTVPIRVHQIDSVVSMLKQKLQIQRQFWIDFNKWEVFVNDDHTRTFISVEVVQGGLIEITKQIEAVNTVYRLHNLPEFYKDPRPHISLAWALGDISHSLKKVVDEEMKFAVGKSFEKSIFSCKFKGIECKIGKKAYAICKIPDGQ >Vigun09g191700.1.v1.2 pep primary_assembly:ASM411807v1:9:36660346:36664688:-1 gene:Vigun09g191700.v1.2 transcript:Vigun09g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASRYRSISQPALSFIKSTITKPASKPTASAFLLKTRSPVTARRVGGELGCVQSLLPLHSAVSSARLTSCLGIDSSRSRSLSQGMLCSANPGV >Vigun09g191700.2.v1.2 pep primary_assembly:ASM411807v1:9:36660346:36664688:-1 gene:Vigun09g191700.v1.2 transcript:Vigun09g191700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASRYRSISQPALSFIKSTITKPASKPTASAFLLKTRSPVTARRVGGELGCVQSLLPLHSAVSSARLTSCLGIDSSRSRSLSQEMGLSTPR >Vigun04g160800.1.v1.2 pep primary_assembly:ASM411807v1:4:38290984:38299214:1 gene:Vigun04g160800.v1.2 transcript:Vigun04g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTNTNNLDSESSHNFTYDRTAEVKAFDDTKLGVKGLLDSGVTNIPRMFHHEKLNMHEISKEDSKLCVPIIDLQDIETNSSLRAQVVDKIRSACQKWGFFQVINHGVGVEVLNEMICGIRRFHEQDAEVRKTFYSRDNNKKVRYFSNVNPFRGKGANWRDTISFFLTPDPPNPEEIPIVCRDIVIEYSKKVRTLGDTIFELFSEALGLNPSYLKELESSNGQFLLGHYYPACPEPELTLGTSKHTDSDFMTVLLEDHMGGLQVLHENQWVDVHPVHGSLIVNIGDFLQLITNGRFVSVYHRVLARNTGPRISIASFFINTSTRGTSKVVGPIKELLSEENPPIYRDTTIREVMAHYFDEKGLDENIPLQPFRL >Vigun02g117000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26996423:26997319:1 gene:Vigun02g117000.v1.2 transcript:Vigun02g117000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSPKHSHGYAIRSISLPTRSHPNTVRIEEELNKLKSWEVSSTSEAERIFFGLSGLAELYKCMEDLLKLPLTQQALSLHQNQKWVDELLDCPLRFLDILGETRDAMMLMKGNVRDLQSALRRRKVGDFVIENHVSSYWSLRRNTRKQCTKSLVLLKHSEGSSSSFPLDLNHHLSAVVRVVREASFITSCIFQSLVSFLSSPILRSKVNNKWTFVSRVMRKGTLVQNVNELEKVDLALCRMVMDNADKDFEAENIQCANKELEAVVVVIEGLENGLDCLFKRLINTRVSFLNIVSN >Vigun07g277400.2.v1.2 pep primary_assembly:ASM411807v1:7:39262047:39263679:1 gene:Vigun07g277400.v1.2 transcript:Vigun07g277400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCTEMDATLPIYFFKVILKTNLQRLKIPNKFTTKYGGGLPNPLFMKLPDGSEWEVNRAKDNGEIWLEKGWKEFAEHFSLDQGCFVCFRYDGTSKVHVRIMDQSGVEIEYPCVTGHENDNHVQTKEQHNLTLDEGPHQKAEQIWGEKYLQRTSSLNRPMQGRAQEVARNFVSYNPFFTVLIKPSHAVDYRLCIPDLKGLIEKKVKCAVLLLGEKSWTVNLLVTNKCFNHHSFGAGFHLFMTESGLQSGDVCIFELISNNCVFKVHIFKRDHQFFSG >Vigun07g277400.1.v1.2 pep primary_assembly:ASM411807v1:7:39261577:39263679:1 gene:Vigun07g277400.v1.2 transcript:Vigun07g277400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCTEMDATLPIYFFKVILKTNLQRLKIPNKFTTKYGGGLPNPLFMKLPDGSEWEVNRAKDNGEIWLEKGWKEFAEHFSLDQGCFVCFRYDGTSKVHVRIMDQSGVEIEYPCVTGHENDNHVQTKEQHNLTLDEGPHQKAEQIWGEKYLQRTSSLNRPMQGRAQEVARNFVSYNPFFTVLIKPSHAVDYRLCIPDLKGLIEKKVKCAVLLLGEKSWTVNLLVTNKCFNHHSFGAGFHLFMTESGLQSGDVCIFELISNNCVFKVHIFKRDHQFFSG >Vigun06g020400.1.v1.2 pep primary_assembly:ASM411807v1:6:9692361:9695354:1 gene:Vigun06g020400.v1.2 transcript:Vigun06g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLDLIKDIDDKKETLKLAVRVKDLWFVQNRDNSRHMELILLDHKGDMIPAMVRKEDLCLWEEKVVEGQTYIMHNFKILKNQGQFRVCEHPYKLLFIGATTIKQQSISSIPLNVYNFKSIEDIVDGNYSADLVYDIIGVVDNVRCNPQSKNVVFHIRDMSSAVIGCTLWDSYYFKFMSNWRGEPDSYLLVVLLTQAKIKPCSGQWPVSISNSWNGSKLFMGEECAELVRFREQWIERFGNEVNPSQECSQLSSPSQYSEHEKFMYKAVVRTISEITCMKEEQYCVTVAKTVKFNLGNDGWCYPVCNSCRKKTDEVGPFKCILCGFDNEKHGIRYKLELQVTDGNSYTNFVMWDQDCTNLIGVSALELMNKMIEDGEDDPKCFPEDLDALLGCTLAFKVRVQPSNRSSSVMKASSNPETIASIRSKLDPKMLTLSGSADHDPYIDFCVTPTKELLFDFEVDCDHLDDIPSAEFSRSKTKKRMICKVDQ >Vigun03g100700.3.v1.2 pep primary_assembly:ASM411807v1:3:8659882:8666362:-1 gene:Vigun03g100700.v1.2 transcript:Vigun03g100700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLKRTRSLRLSRRGDRSNQIPAKGEEDVDVSLFSSGRVSQDYPMKIVWKKGFVRLVLVVGILWMLLILLALLFHIWSCQTSVSFLSAMCNRDSKVYTMLDTMGLVSRDSKPHRCPIPLSNNPDRINIPTRRTPNEIVKNLSYVMEDEFPSNGDLSSPLFGGHPSWKQREESFKLKSNMKVHCGFIRGGGAEMELADKKYVKKCKFVVASGIFDGYDLPHEPSNISFSSKKLFCFLMVVDEVSLKFMRENGTVKEDSAGGKWVGIWRIIPLKHPPYDEPRRNGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMKPWSSKKKIISDVPEGAIIIREHTAMSNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGESFKYFMFPNCEYNSLFVLHPHTREHSSPIEWVKDFSKLRDSSLRESRGGLGLFTPYPGDLDSVVLPNVTRTSKAG >Vigun03g100700.1.v1.2 pep primary_assembly:ASM411807v1:3:8659882:8666362:-1 gene:Vigun03g100700.v1.2 transcript:Vigun03g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLKRTRSLRLSRRGDRSNQIPAKGEEDVDVSLFSSGRVSQDYPMKIVWKKGFVRLVLVVGILWMLLILLALLFHIWSCQTSVSFLSAMCNRDSKVYTMLDTMGLVSRDSKPHRCPIPLSNNPDRINIPTRRTPNEIVKNLSYVMEDEFPSNGDLSSPLFGGHPSWKQREESFKLKSNMKVHCGFIRGGGAEMELADKKYVKKCKFVVASGIFDGYDLPHEPSNISFSSKKLFCFLMVVDEVSLKFMRENGTVKEDSAGGKWVGIWRIIPLKHPPYDEPRRNGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMKPWSSKKKIISDVPEGAIIIREHTAMSNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGESFKYFMFPNCEYNSLFVLHPHTREHSSPIEWVKDFSKLRDSSLRESRGGLGLFTPYPGDLDSVVLPNVTRTSKAG >Vigun03g100700.4.v1.2 pep primary_assembly:ASM411807v1:3:8659880:8666379:-1 gene:Vigun03g100700.v1.2 transcript:Vigun03g100700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLKRTRSLRLSRRGDRSNQIPAKDVDVSLFSSGRVSQDYPMKIVWKKGFVRLVLVVGILWMLLILLALLFHIWSCQTSVSFLSAMCNRDSKVYTMLDTMGLVSRDSKPHRCPIPLSNNPDRINIPTRRTPNEIVKNLSYVMEDEFPSNGDLSSPLFGGHPSWKQREESFKLKSNMKVHCGFIRGGGAEMELADKKYVKKCKFVVASGIFDGYDLPHEPSNISFSSKKLFCFLMVVDEVSLKFMRENGTVKEDSAGGKWVGIWRIIPLKHPPYDEPRRNGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMKPWSSKKKIISDVPEGAIIIREHTAMSNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGESFKYFMFPNCEYNSLFVLHPHTREHSSPIEWVKDFSKLRDSSLRESRGGLGLFTPYPGDLDSVVLPNVTRTSKAG >Vigun03g100700.2.v1.2 pep primary_assembly:ASM411807v1:3:8659880:8666379:-1 gene:Vigun03g100700.v1.2 transcript:Vigun03g100700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLKRTRSLRLSRRGDRSNQIPAKDVDVSLFSSGRVSQDYPMKIVWKKGFVRLVLVVGILWMLLILLALLFHIWSCQTSVSFLSAMCNRDSKVYTMLDTMGLVSRDSKPHRCPIPLSNNPDRINIPTRRTPNEIVKNLSYVMEDEFPSNGDLSSPLFGGHPSWKQREESFKLKSNMKVHCGFIRGGGAEMELADKKYVKKCKFVVASGIFDGYDLPHEPSNISFSSKKLFCFLMVVDEVSLKFMRENGTVKEDSAGGKWVGIWRIIPLKHPPYDEPRRNGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMKPWSSKKKIISDVPEGAIIIREHTAMSNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGESFKYFMFPNCEYNSLFVLHPHTREHSSPIEWVKDFSKLRDSSLRESRGGLGLFTPYPGDLDSVVLPNVTRTSKAG >Vigun11g140900.1.v1.2 pep primary_assembly:ASM411807v1:11:35026437:35031482:1 gene:Vigun11g140900.v1.2 transcript:Vigun11g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGATPATYSPSPTADRKKPPFFKEDWVRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCNVSFTTFATPVRGQGSDHKEYTAMLNKALEGAIILESFPKTTVDVFALVLESSGSDLPVVISCASLALADAGIMMYDLVASVSVSSFSKNLIIDPILEEENSQDGSLMITCMPSRYEITQLTVTGEWSSPKINEGMQLCLDACAKLAKIMRSCLKEAASDSRE >Vigun08g184800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35405285:35405946:1 gene:Vigun08g184800.v1.2 transcript:Vigun08g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSQALPPEIFAGDDITKPFDFTHAVAEQAGAAAAATAAASLSQASRISTHQEIKDSPHAAVSPTKNKPAAPPKSA >Vigun01g095700.1.v1.2 pep primary_assembly:ASM411807v1:1:25985483:25988936:-1 gene:Vigun01g095700.v1.2 transcript:Vigun01g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHCTFFLRRYTRFSAFFVLASVAGSILVSLLQRFSTPLDADVTVTKGNEFEDYYLKRELLMGIYEKGFERPSPIQKESIPIALTGSDILARANKGTDKIAAFCIPGLEKIDQDNNVIQVVILVPTTELALQTSQVCRELGKHLKIQVMVTTGVTSLTHDIMRLYQPVHLLVGTPGRVLDLVKKGVCILKYCSMLVMDEADKLLSPVFQPSIEQLIQFLPESRQILMFSATFPVNVKDFKDRYLRKPYVINITDELTLKG >Vigun01g095700.2.v1.2 pep primary_assembly:ASM411807v1:1:25985483:25988936:-1 gene:Vigun01g095700.v1.2 transcript:Vigun01g095700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEKGFERPSPIQKESIPIALTGSDILARANKGTDKIAAFCIPGLEKIDQDNNVIQVVILVPTTELALQTSQVCRELGKHLKIQVMVTTGVTSLTHDIMRLYQPVHLLVGTPGRVLDLVKKGVCILKYCSMLVMDEADKLLSPVFQPSIEQLIQFLPESRQILMFSATFPVNVKDFKDRYLRKPYVINITDELTLKG >Vigun10g035200.1.v1.2 pep primary_assembly:ASM411807v1:10:4729428:4746076:-1 gene:Vigun10g035200.v1.2 transcript:Vigun10g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPSMELPSSSSKLPPMYDVLINFTGEDIRRKFVSHLDSALSANGLTTFLHHDNAIKPVHIQQPILNLCRVAIVVFTETYSQSAWCLHQLQQIIQWHETYCRHVLPVYYEIQPSDVRLQKGDFGKAFKATAHQTFSAQELEHAMSRWSHAITKTANFFGWDDCNYRSDAELVDTIVKSVLNLSVLSATKFPVGLQSRVEDVIEIIKNKSTEVCRIGICGMGGSGKTTLAKAIYSQIHGTFVEKSFVEDISEVSRTRGHVHLQRQLLSDVLKTKVKIHNVEMGRCMILEKLYRRRVLIVLDDVNEHCPLDIWESKGWFSEGTVIIITTRDEYLLRKHEVRSVFRINRMNENQSLELFSWHAFREAKPKEEYYSLAKRLVAYCGGLPLALEVVGTYLYERTKEEWNRVLLKLDNVPRHEILQILKISFDGLPNQMERDLFLDICCFFVGKGKVYATKILNGCGVDADSGIRVLIERNLIKVKNNNKFGMHPLLRDMGREIILEISSKEPWNKNRVCLENDMHHALSENILFSSQETKVVHRSPTGRDSYERYPLEVSDPSRLVKINADSKYRTKKLIWISWQGFPSEYLPNDFYLHDAIAIDLKYNLLRFLWKQPQVLESLKVLNLSHSMYLTKTPDFSRLPRLEQLILKDCPRLHKVDQSVGCLCNLTLLNLKDCTSLSNLPREIYKLKSLKALILSGCSKLSLMEKDIGQMESLISLIAENAVVKQVPFSIVSSKCIGHISLHRFEGLSHNPFPSIIWCWMSPTMNPLSYIHSFCMDKEHSSGDDIMPLFNTLANLRSVVVQCDTEFPLSTQVKTTLVEYVVNISESGISKHHFRLSLIGFGRHGEFFNTVSDGISEVLASSELSEVSLPGDNDPFWLAHMVTIVLIVNYTKCTLQMHNHSSGMSFNDEDWDFIMSNLGSGDKVEIFVSFGHGLLIKKTTVYLIYGESNDLEMEPCPEPKKNALNKFIKKC >Vigun09g121500.9.v1.2 pep primary_assembly:ASM411807v1:9:27119291:27127728:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKNGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTGAILEYA >Vigun09g121500.8.v1.2 pep primary_assembly:ASM411807v1:9:27119236:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYMQLVLMILGSLVSQREPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTGAILEYA >Vigun09g121500.6.v1.2 pep primary_assembly:ASM411807v1:9:27118102:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTEILTDVALQSTTTSIKWGMGIPITWYSGQYIVR >Vigun09g121500.3.v1.2 pep primary_assembly:ASM411807v1:9:27111895:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTVPA >Vigun09g121500.7.v1.2 pep primary_assembly:ASM411807v1:9:27118103:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTVPA >Vigun09g121500.4.v1.2 pep primary_assembly:ASM411807v1:9:27118111:27127728:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKNGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTEILTDVALQSTTTSIKWGMGIPITWYSGQYIVR >Vigun09g121500.11.v1.2 pep primary_assembly:ASM411807v1:9:27118159:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTEILTDVALQSTTTSIKWGMGIPITWSIHCEIKCQSFPSTVLKARYYCVIIISLLL >Vigun09g121500.10.v1.2 pep primary_assembly:ASM411807v1:9:27118111:27127791:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTGAILEYA >Vigun09g121500.12.v1.2 pep primary_assembly:ASM411807v1:9:27111479:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTEILTDVALQSTTTSIKWGMGIPITWYSGQYIVR >Vigun09g121500.1.v1.2 pep primary_assembly:ASM411807v1:9:27116201:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTEILTDVALQSTTTSIKWGMGIPITWYSGQYIVR >Vigun09g121500.5.v1.2 pep primary_assembly:ASM411807v1:9:27116201:27127727:-1 gene:Vigun09g121500.v1.2 transcript:Vigun09g121500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSKFAVRASGLGFPTRSFSREAGKRFAALWGNGDYGRLGLGNLDSQWKPVVCTAFRNQNLKAIACGGAHTLFLTDDGCVYAAGLNDFGQLGVSESKHYSVEPLRVFGEEKKIVQISTGYNHSCAITVDGELYMWGKNTSGQLGLGKRAPNIVPLPTKVEYLDGINIKMAALGSEHTVAISDGGEAFSWGMGVSGRLGHGHESSILGFFRSSSEYTPRLIKDLEGIKVKYVAAGLLNSACTDENGSVLVFGERGIAKLRLKEMSDATRPSMISELPYSKEVACGGYHTCVVTNSGELYTWGSNENGCLGIGSSDVIHLPEKVQGPFLKSSVSQVSCGWKHTAAISEGRVFTWGWGGSNGTFSEVGHSSSGQLGHGSDVDYISPTRVCFGEDVKALQVSCGFNHTVPA >Vigun08g069400.1.v1.2 pep primary_assembly:ASM411807v1:8:10929184:10934587:1 gene:Vigun08g069400.v1.2 transcript:Vigun08g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDNFEDLSPTIGVDFKVKYVTIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKNEGINFAREYGCLFTECSAKTRVNVAQCFDELVMKILETPSLLAEGSSGGKKNIFKQKAQSSDASSGGCCS >Vigun02g068366.1.v1.2 pep primary_assembly:ASM411807v1:2:21828241:21828723:-1 gene:Vigun02g068366.v1.2 transcript:Vigun02g068366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVFAGTCSQLSMQRYLPHAATEFNDTIFCVRLTQYQTRGSHLDLNAKFANLIRSKHINEVVLSGPNTAVVCKLLISDSPRSTKIGKGWKEFCNQHQLKEGDRVLFQVDHADADEFITVFVNKCLCDD >Vigun07g059600.1.v1.2 pep primary_assembly:ASM411807v1:7:6685817:6690106:-1 gene:Vigun07g059600.v1.2 transcript:Vigun07g059600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVNRKISAASARAHTRRAKKSNSSQLPSGILGTALAVLFIGFLAWAYKVIQPPPPKICGTPDGPPITAPRIKLRDGRHLAYKENGVPKDEAVYKIIYVHGFDNCRHDAVLAEKLSPGIAEEMGIYILSFDRPGYGESDPDPNRTLKSIALDIEELADQLMLGSKFYVAGFSMGGQIVWNCVKYIPHRLEGVVLIAPVINYWWPGFPANLTTEAYRQQNIQDQWALRVAHYAPWLTYWWNTQQWFPGSGVISGSPHIFSREDKEILAKMSHTHRKSYAAQIRQQGDYESVHRDLNIGFSNWEYSPLDLENPFPNSEVSVHIWQGDDDAMVSVTLQRYIVQKLPWIIYHEVPGSGHLFPLADGMSDTIIKKLLRAK >Vigun10g089800.1.v1.2 pep primary_assembly:ASM411807v1:10:25913422:25920213:-1 gene:Vigun10g089800.v1.2 transcript:Vigun10g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLTILRSNDDDATVKELYEMKSRFKEMEEKAAALREMQDKVEKETTNFYSSCEGASYGMATNLFFKEEFNGF >Vigun10g089800.2.v1.2 pep primary_assembly:ASM411807v1:10:25913422:25920213:-1 gene:Vigun10g089800.v1.2 transcript:Vigun10g089800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLTILRSNDDDATVKELYEMKSRFKEMEEKAAALREMQDKVEKETTNFYSSCEPYKWLVQVFMMESRLKLKKCTNIKVRSSEHEDFKTRQKLKTDMIP >Vigun05g062900.2.v1.2 pep primary_assembly:ASM411807v1:5:5413067:5420711:1 gene:Vigun05g062900.v1.2 transcript:Vigun05g062900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLILWYHPNLVLAVLLLFSCSFGLFSANDEVGTAQGETFTVSSFSYPETRLRPFDLRYIRVDLPPWFSALSIALKSDVDIDISRIERVPIRTLPIICFRDGSPPLPDALNTSLKDSATSGINGLDVEQCFPMQKNITMKLTNNQISPGVWYIGLFNGIGPTRTQSKMIIRGPSYSFIANISVEACTNSMMRGDFCNSTVYPLSCKSSDLSNALETKVNKSLLENLVTCKSNSEAFCVHEGVPNFFSLDIMNVAEEIIITATNIRFNVTSLNDVSLMCFVRHGAMPSVTSNDYSIDIAKSPLVIHSPLIGRWYISIVPVNLTKTQVSNVRVCYSVESQVLQCPLGKAGPNCIMDSYLLQTFLRRGSTPFESYYLPVGGAPYDSANFPLEPLLKNSSYIGESDSIWTYFLLDIPRGAAGGNIHIQLSSNTKIGYEVYARFGGLPSLDSWDYYYANKTRRSDPSMFFTLYDSSDTKVNFYIMYAREGTWGFGLRHLSSNNDSIKGLNIMSISLERCPKRCSSHGDCKFSFDASGLTSYSFCSCDRNHGGFDCSIEIVTHQGHVRQSIFLIVSNAAAILPAYWALRKKALAEWVLYTSSGISSGLYHACDVGTWCALSYNVLQFMDFWLSFMAVISTFLYLTTIDEVFKRAIHTAVAILTALMAATKATRSSNVMLVIVIGALGLFVGWLIEISTKYRSLSFPTGISFNFSHCFQTIKRWLYNLVKTLLRRYHLAFAFAGFTALAMAAISWTLETSESYWFWHSIWHITIYTSSFFFLCSKANIEDSETTHPADGNYELTHQDSLPRSG >Vigun05g062900.1.v1.2 pep primary_assembly:ASM411807v1:5:5413039:5420711:1 gene:Vigun05g062900.v1.2 transcript:Vigun05g062900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLILWYHPNLVLAVLLLFSCSFGLFSANDEVGTAQGETFTVSSFSYPETRLRPFDLRYIRVDLPPWFSALSIALKSDVDIDISRIERVPIRTLPIICFRDGSPPLPDALNTSLKDSATSGINGLDVEQCFPMQKNITMKLTNNQISPGVWYIGLFNGIGPTRTQSKMIIRGPSYSFIANISVEACTNSMMRGDFCNSTVYPLSCKSSDLSNALETKVNKSLLENLVTCKSNSEAFCVHEGVPNFFSLDIMNVAEEIIITATNIRFNVTSLNDVSLMCFVRHGAMPSVTSNDYSIDIAKSPLVIHSPLIGRWYISIVPVNLTKTQVSNVRVCYSVESQVLQCPLGKAGPNCIMDSYLLQTFLRRGSTPFESYYLPVGGAPYDSANFPLEPLLKNSSYIGESDSIWTYFLLDIPRGAAGGNIHIQLSSNTKIGYEVYARFGGLPSLDSWDYYYANKTRRSDPSMFFTLYDSSDTKVNFYIMYAREGTWGFGLRHLSSNNDSIKGLNIMSISLERCPKRCSSHGDCKFSFDASGLTSYSFCSCDRNHGGFDCSIEIVTHQGHVRQSIFLIVSNAAAILPAYWALRKKALAEWVLYTSSGISSGLYHACDVGTWCALSYNVLQFMDFWLSFMAVISTFLYLTTIDEVFKRAIHTAVAILTALMAATKATRSSNVMLVIVIGALGLFVGWLIEISTKYRSLSFPTGISFNFSHCFQTIKRWLYNLVKTLLRRYHLAFAFAGFTALAMAAISWTLETSESYWFWHSIWHITIYTSSFFFLCSKANIEDSETTHPADGNYELTHQDSLPRSG >Vigun05g062900.3.v1.2 pep primary_assembly:ASM411807v1:5:5413062:5420711:1 gene:Vigun05g062900.v1.2 transcript:Vigun05g062900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLILWYHPNLVLAVLLLFSCSFGLFSANDEVGTAQGETFTVSSFSYPETRLRPFDLRYIRVDLPPWFSALSIALKSDVDIDISRIERVPIRTLPIICFRDGSPPLPDALNTSLKDSATSGINGLDVEQCFPMQKNITMKLTNNQIIRGPSYSFIANISVEACTNSMMRGDFCNSTVYPLSCKSSDLSNALETKVNKSLLENLVTCKSNSEAFCVHEGVPNFFSLDIMNVAEEIIITATNIRFNVTSLNDVSLMCFVRHGAMPSVTSNDYSIDIAKSPLVIHSPLIGRWYISIVPVNLTKTQVSNVRVCYSVESQVLQCPLGKAGPNCIMDSYLLQTFLRRGSTPFESYYLPVGGAPYDSANFPLEPLLKNSSYIGESDSIWTYFLLDIPRGAAGGNIHIQLSSNTKIGYEVYARFGGLPSLDSWDYYYANKTRRSDPSMFFTLYDSSDTKVNFYIMYAREGTWGFGLRHLSSNNDSIKGLNIMSISLERCPKRCSSHGDCKFSFDASGLTSYSFCSCDRNHGGFDCSIEIVTHQGHVRQSIFLIVSNAAAILPAYWALRKKALAEWVLYTSSGISSGLYHACDVGTWCALSYNVLQFMDFWLSFMAVISTFLYLTTIDEVFKRAIHTAVAILTALMAATKATRSSNVMLVIVIGALGLFVGWLIEISTKYRSLSFPTGISFNFSHCFQTIKRWLYNLVKTLLRRYHLAFAFAGFTALAMAAISWTLETSESYWFWHSIWHITIYTSSFFFLCSKANIEDSETTHPADGNYELTHQDSLPRSG >Vigun11g219700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41236676:41242137:-1 gene:Vigun11g219700.v1.2 transcript:Vigun11g219700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSTPNLPPPHPYDSSPQNHAPSEYHNSSQHQSETGQNENGNGTSDGRPSENGQGPLDNNGLHPQISKPLLSEGLTRSGTDRDQSGGEEETTSKRRRRSRWDPQPESNDQSGQSGGELGSGPKKRKSRWADDEPKPVIQLPDFMGGIEFDPEIQALNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLQKERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETPESLEAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPTRTSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGSVPESATKQTSTLAIGAGTSGSNPPWANNSATAGGLAQAGLGAAAVKKEIDDTNLYIGYLPPSLDDDGLIQLFQQFGEIVMAKVIKDRMSGLSKGYGFVKYADITMANNAILAMNGYRLEGRTIAVRVAGKPPQPVVPPGPPASAVPTYPVPSQPLGAYPSQQYAAGGPLGTAPPGSYGGTPVPWGPPVPPPYAPYAPPPPGSTMYPPMQGQPMPPYGVQYPPPVQTGPPGAPSQPATSSEVQQSYPPGVQSDSSTSTQSVAVNLYGNSVPSMPPAAQPTYPASYGYPPYYNAVPPPPPPSAPIPVSTSDQSHNIANVPWANNSLVPPPASSADKTTYGTDQSLSIGNVPRTTNPPVPPPPSSAEKTSYGADSEYEKFMAEMK >Vigun03g060900.1.v1.2 pep primary_assembly:ASM411807v1:3:4983933:4989078:-1 gene:Vigun03g060900.v1.2 transcript:Vigun03g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDVEVAAPPRRVLLVSAGASHSVALLSGNVICTWGRGEDGQLGHGDTDDRLLPTKLSALDGQDIISVTCGADHTMARSEFGRTVYSWGWGDFGRLGHGDHNDMLIPHPIRALQGLMIQQIACGDSHCLSVTTNKLVLSWGHNQNGELGLGTTEDSLLPQKVQKFEGIPVKMVAAGAEHSVAITEDGNLYGWGWGRYGNLGLGDRNDRLLPEKVTVDGYKIALVACGWRHTICVSTSGGLYTYGWGKYGQLGHGDFGDHLVPHKVETLSDKFISQVSGGWRHSMALTSSGQLFGWGWNKFGQIGVGNNLDCCSPVQVKFPYDQKVHQVSCGWRHTIAVTERENVYSWGRGANGQLGNGETRDRNVPTIIEAFSVDGSSGKYIETSKSCASSGKSSSSISVRYAIVPDETASGDDGHETSVPENDAMI >Vigun03g060900.2.v1.2 pep primary_assembly:ASM411807v1:3:4983933:4989078:-1 gene:Vigun03g060900.v1.2 transcript:Vigun03g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLPKFSFYGLALLPRWGHNQNGELGLGTTEDSLLPQKVQKFEGIPVKMVAAGAEHSVAITEDGNLYGWGWGRYGNLGLGDRNDRLLPEKVTVDGYKIALVACGWRHTICVSTSGGLYTYGWGKYGQLGHGDFGDHLVPHKVETLSDKFISQVSGGWRHSMALTSSGQLFGWGWNKFGQIGVGNNLDCCSPVQVKFPYDQKVHQVSCGWRHTIAVTERENVYSWGRGANGQLGNGETRDRNVPTIIEAFSVDGSSGKYIETSKSCASSGKSSSSISVRYAIVPDETASGDDGHETSVPENDAMI >Vigun03g448600.2.v1.2 pep primary_assembly:ASM411807v1:3:64962415:64965888:-1 gene:Vigun03g448600.v1.2 transcript:Vigun03g448600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWGFCAFEERLVMEIRLFLFMCSLLPISLGLDPSPPFLFGTASSSYQYEGAYSSDGKGLSNWDVFSHIAGSIDDGSNGDVAVDQYHRYQEDIDLMEAIKVNSYRFSISWARILPQGRFGEVNMAGINYYNRLIDALLLKGIQPFVTLFHFDIPQELEDRYGAWLSPQSQEDFQFFADICFKSFGDRVKYWVTFNEPNYLIPIAYREGTFPPCRCSGKFGNCSGGDSEKEPFVVAHNMILSHASAVDLYRNKYQNEQGGKIGIVLHCDSFEPLSNSTADKLATERAQSFSINWILDPILFGKYPKEMEIILGTLLPKFSSNDKVKLRRGLDFIGINHYASYYVRDCISSVCGHGRGVSRTEGLYEQTALKNGVPIGELVSTSPFFMIFIETLLILLKPLLLCRLHLNGSMFTHKA >Vigun03g448600.1.v1.2 pep primary_assembly:ASM411807v1:3:64962415:64965888:-1 gene:Vigun03g448600.v1.2 transcript:Vigun03g448600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWGFCAFEERLVMEIRLFLFMCSLLPISLGLDPSPPFLFGTASSSYQYEGAYSSDGKGLSNWDVFSHIAGSIDDGSNGDVAVDQYHRYQEDIDLMEAIKVNSYRFSISWARILPQGRFGEVNMAGINYYNRLIDALLLKGIQPFVTLFHFDIPQELEDRYGAWLSPQSQEDFQFFADICFKSFGDRVKYWVTFNEPNYLIPIAYREGTFPPCRCSGKFGNCSGGDSEKEPFVVAHNMILSHASAVDLYRNKYQNEQGGKIGIVLHCDSFEPLSNSTADKLATERAQSFSINWILDPILFGKYPKEMEIILGTLLPKFSSNDKVKLRRGLDFIGINHYASYYVRDCISSVCGHGRGVSRTEGLYEQTALKNGVPIGELTPFEWLNVHPQGMKKMLMYLKDRYNNTPMFIVENGYATLSDPNVTEKEYLNDHKRIEFMSGHLDNVMAAIREGADVRGYFVWSLLDNFEWIYGFSVRFGLYHVDFATLKRTPKLSASWYKFFIEKYMADNTKQRSILRKTGEENNTTTSYPIQQ >Vigun07g061600.1.v1.2 pep primary_assembly:ASM411807v1:7:6992208:6998961:1 gene:Vigun07g061600.v1.2 transcript:Vigun07g061600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHAISSAYNASSFTTGGSLWHSKRSTKNIYYTSCCDSKASQYKRKTQIEYNPLMFKQSRLKNHYKWIEGESTNEECNKKYVGKAISRPYSDSETEDSNSNNFFVSIKKFMVAFYWFCYPYTVIGRTLSTVSASLFAVEKLSDISPLFFIGLLQALVPHIFMDIYINGVNQLFDLEIDKINKPYLPLASGQISFTTGVIIVASSLTLSFGLAWIIGSWPLIWSIVSCFLLWTAYSINVPLLRWKSHPLLAAMTIFATWTFIFPITFFAHMQSFVLKRATMFPKSMIFAVVFMGFYSLGIALFKDIPDIDGDKTFGIQSFSARFGQKRVFWICVSLFEMAFGVAVVAALTSSSPLIKIVTGLGHGVLGWILWYQAKSVDLSSKASIGSFYMLVWKLLYVAYFLLPLIR >Vigun04g142084.1.v1.2 pep primary_assembly:ASM411807v1:4:35345225:35346328:1 gene:Vigun04g142084.v1.2 transcript:Vigun04g142084.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEKDEEEEDADIKFSKRVMILISKSNQGSIPKSSVIDAERESSREFGPIWFFLIGAEENKGVAPLPQSYS >Vigun02g111500.10.v1.2 pep primary_assembly:ASM411807v1:2:26554252:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.9.v1.2 pep primary_assembly:ASM411807v1:2:26554235:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.7.v1.2 pep primary_assembly:ASM411807v1:2:26554252:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMVSFGHKQSDKVDKDHIGKFGVGFKTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.4.v1.2 pep primary_assembly:ASM411807v1:2:26554235:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.8.v1.2 pep primary_assembly:ASM411807v1:2:26550567:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.6.v1.2 pep primary_assembly:ASM411807v1:2:26554235:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMVSFGHKQSDKVDKDHIGKFGVGFKTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.2.v1.2 pep primary_assembly:ASM411807v1:2:26554234:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMVSFGHKQSDKVDKDHIGKFGVGFKTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.5.v1.2 pep primary_assembly:ASM411807v1:2:26550567:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMVSFGHKQSDKVDKDHIGKFGVGFKTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.1.v1.2 pep primary_assembly:ASM411807v1:2:26554235:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMVSFGHKQSDKVDKDHIGKFGVGFKTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVPFDYSLRAYLEIIFLVPRMKISVQRTLVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun02g111500.3.v1.2 pep primary_assembly:ASM411807v1:2:26554252:26565023:1 gene:Vigun02g111500.v1.2 transcript:Vigun02g111500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLVLKKDKKMICTTRCLDPPIELPQELSLTCEISKKKINSLSEFPQFSLTPVPNNLHEKSEWGNFLNYLCKNDKVALINFEQYQFYILPPASVSTSSPVNVAYNIDNTGSVDNRPRDCESGSHLEECVGPNTAEGLKFSRAEETRNEFQSRVPLKNFIRADPSYLKTLGQAHSGWIFGGIAELVDNSKDAKAAKMDIFVDIIQLKKSGKDVPMLCLIDDGQGMNHDEILKMTGAMRLGRDVLVLTQTTTSRSLAFLSQSLNEGKDNIEIPIVTYCRQGQRMEVDLRMQSEALAKYNLKAIKDFSPFNKYLIGEKAALFGTGTGTQIYIWNLDEWGSKYCLEWHDGLKGGSSFHQGDILIRSKRTRSRPGQISQKVKSRPLSKFLTQTVIETGDILRRPVELILGFSQLEWERANCGMFLYWHGRLIEAYKRVGGMAHSADVGRGVIGVVDVTNLMDDEDGRVWVHNNKQGFQDSEQYACLEQWLGRKVDEYWDNNFDALELNKDNCVFKPDHEWVQCDKCRKWRILPTNFDSRKLPLQWFCFMEPFKGQCSDSEQQMAPGIVNVSTKRSGYACLLKDSNYKKLEGVTKTSGTDDKLINSKDAKFPALKRLRKGL >Vigun03g392500.2.v1.2 pep primary_assembly:ASM411807v1:3:59882814:59887281:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.10.v1.2 pep primary_assembly:ASM411807v1:3:59882813:59887247:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGNLANGPS >Vigun03g392500.1.v1.2 pep primary_assembly:ASM411807v1:3:59882814:59887281:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.11.v1.2 pep primary_assembly:ASM411807v1:3:59882813:59887247:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGNLANGPS >Vigun03g392500.8.v1.2 pep primary_assembly:ASM411807v1:3:59882939:59887247:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.6.v1.2 pep primary_assembly:ASM411807v1:3:59882886:59887257:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.4.v1.2 pep primary_assembly:ASM411807v1:3:59882885:59887258:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHRANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.9.v1.2 pep primary_assembly:ASM411807v1:3:59882885:59887258:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHRANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.5.v1.2 pep primary_assembly:ASM411807v1:3:59882886:59887257:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun03g392500.7.v1.2 pep primary_assembly:ASM411807v1:3:59882939:59887247:-1 gene:Vigun03g392500.v1.2 transcript:Vigun03g392500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQKNPSFQQQRVIIPNKHGNKLVGILHESGTQEIVILCHGLRASKENFIMTNLAAALDNAGISSFRFDFTGNGESEGSFEFGSYWREAEDIRAVAQHFQETNRTVIAIVGHSKGANAALLYASKYHDTKTIVNLSGSPDLKIGLEFRFGKDFMERLRKEGFIELKAESAGGIDHRVTEESLKDRLNIIMLEECLRIDKECRVFTVHGGRDTLIPVVAALEFGKVLPNHKLHIIEGADHVYTDHQAELASFVVHVIKETLQMDLLNAT >Vigun01g095900.1.v1.2 pep primary_assembly:ASM411807v1:1:26020967:26025591:1 gene:Vigun01g095900.v1.2 transcript:Vigun01g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELKQKLLHELKKDSLGEEESVGKRVWEESKKMWVVAGPAIFTRFSTFGIVVVTQSFIGHIGSTELAAYALVMTVLVRFANGVLIGMASALETLCGQAYGARKYDMLGVYLQRSWIVLFTASIFLLPIYIFTTPLLEALGQDKTIARVAGSISLWSIGIIFSFTVSFTCQMFLQSQSKNKIIAYLAAVSISLHVLLSWLLTVQFKFGLNGALTSTLLAYWIPNLGQLVFIMTKCPDTWKGFSFLAFKDLWSVIKLSLSSGLMLCLEIWYNTVLILLTGNMKNAEVSIDALAICLNISGWEMMIALGFFAAAGVRVANELGRGSSKAAKFSILITVLTSFVIGFVLFLMFLFLRERLAYIFTTDPEVANAVGDLSPLLSFSILMNSVQPVLSGVSVGAGWQSIVAYVNIGCYYLIGIPVGVLLDHFLHLNVKGIWIGMLFGTFVQTVMLITITLKTDWDKQVVIARNRVNKWTMVENEESNTRPTMS >Vigun08g222800.1.v1.2 pep primary_assembly:ASM411807v1:8:38053769:38061588:-1 gene:Vigun08g222800.v1.2 transcript:Vigun08g222800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSMLLRVGERETHPEREKEREKEKEKEREREKEKEKDQAYGTHRRSSAGEGEGDGDKDGVDFSFAEFSLPELKAATNNFSSEYIVSESGEKAPNLVYKGRLQNQSRWIAVKKFSKAAWPDPKQFVEEASGVGKLRHPRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWETQTIEWAMRLRVALYIAQALHYCSSEGRPLYHDLNAYRVLFDQEGDPRLSCFGFMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIQGKNIMLLMDSHLEGKFSTEEATVVVNLASKCLQYEPRERPDTKDLVTTLAPLHTKPDVRSHIMLGIPKHEEAPSTPQRPLSAMGEACSRMDLTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDNYSQFIDVGTMVSPTVFARRSLCYLLCDQPDPALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHKDAADMLNEAAALEEKRQRGARGS >Vigun05g145733.1.v1.2 pep primary_assembly:ASM411807v1:5:19914058:19915600:-1 gene:Vigun05g145733.v1.2 transcript:Vigun05g145733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSCRTTYLSLLNKNLSEDQKLCIQRTPFWWFTLLNDSVKISRNVLGVLCNLWVERRDGFLLNSIIVPFKLLDVCLGLGLRIVGDVVDLDEVIIESVCRNTFSQKKVTVEVIYNYLLNHSECVGVDDFCRLYILIGIFEFLLPNRNATVFPILFNIVDDLKSLCQYNWGRLWCFDHFLFVKRKGADGGNEFPKLLHRMNIKVGDGALKSSLEKNVIVVDLCVSKQELLHVVFIEVYEVFGHEVGRSKRQTAGVDLGTRKVEVEVLIEKQEREIGELRQSLSLLEGVVHERKTERTKDCDPVTPSTNVHNERGKTFEDQFYSQGGLQSHEACSPLQTTVKRNVGVKVGEVNVDTPNGSDEELSKDVSRVEEPNPPQSNMYDRMKLHRRVRIKSRALRTPYTGNAPKKSGSQKFLLL >Vigun09g013200.1.v1.2 pep primary_assembly:ASM411807v1:9:980472:983086:1 gene:Vigun09g013200.v1.2 transcript:Vigun09g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSRHGTSKFGCFGKSWNNVHSSSHSLSSSSSSSTFHSSVTATDHKVLHRVLQSCRGSMDLKTATKTHSRVVVLGLATYPSLVSSLISTYARCHQPQIGLRVFSKVLDLFSRNLVIDCLMKGGQCDVAKKVFGKMPVRDVVTWNTMLGGYVKNSRFLDALNLFRRMLSSKVEPDGFTFASVVTACARLGALCNAEWVHGLMVEKRVQLNYILSAALIDMYAKCGRIDVSRQVFEEVVRDHVSVWNAMITGLAIHGLVMDVTLLFSRMEVEGVLPDSVTFIGILTACSHCGLVEEGRKYFDMMQNRFMIEPELEHYGTMVDLLGRAGLMEEAYALIKVMPMDPDVVIWRALLSACRIHRKKELGEVAIANISRLESGDFVLLSNMYCSLKNWDGAERVRQRMKMGGIRKKRGRSWIELGDSIHTFNAANHSHEEMKSIYLVLEGLVQRAKLEGFTPLTDLVLMDVSEEEKEENLAFHSEKLALAYGVLKSSPGTKIRISKNLRICLDCHNWIKIVSKILNREIIVRDRIRFHQFEGGVCSCRDYW >Vigun09g271800.2.v1.2 pep primary_assembly:ASM411807v1:9:43361865:43362220:-1 gene:Vigun09g271800.v1.2 transcript:Vigun09g271800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKQFKSKRSLSIKHGSGIMGFGFRGFQRRVNIPKGSVAVYVGENQKKRFVVPISYLNQPSFQELLSQAEQEFGFDHPMGGLTLPCREYVFLQVTSRLHRR >Vigun03g315800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50991225:50993434:1 gene:Vigun03g315800.v1.2 transcript:Vigun03g315800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQFSALNGEIDGENLSYVFDIWATDEYSCFPLEPISESNSCSLVLPYCDGSIRDNKRVKRTVGIPVDWLGNLMSNSHCFLNTNASNCNRSRDSIPKLHFRDHIRTYKQRYLAAEAEEEAPEDTNSSESGGAEEDGCHDGVRLVQLLIACAEAVACRDKSHASILLSELKANALVFGSSFQRVASCFVQGLTERLNLIQPTGPVSPMPPMMNIMDTASEEMEEAFRLVYELCPQIQFGHFVTNSIVMEAFSGESFVHVVDFGMTLGLRHGHQWRELMRSLANGGSERLRRLRITAVGLCDRLQSIGDELSVYATNLGINFEFSVVKKNLESLKAEDIEVREEEVLAVNSILQLHCVVKESRGALNSVLQMVHGLGPKVLVMVEQDSSHNGPFFLGRFMESLHYYSAIFDSLDVMLPKYDTKRAKMEQFYFAEEIKNIVSREGPLRMERHERVDQWRRRMSRAGFQAAPIKMVAQAKQWLLNGKVCEGYTVVEEKGCLVLGWKSKPIVAVSCWKC >Vigun03g222600.3.v1.2 pep primary_assembly:ASM411807v1:3:36959824:36976782:-1 gene:Vigun03g222600.v1.2 transcript:Vigun03g222600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESNPTLHVDTTVFPLPQKGRRDDGDEQENQGSPKRQKRDAVAKELKNGFQENINIYEGDNSVERYEETQEKMMEVLMDTTSDAQECDSENVQHDSSSYSSDDNSHDDNNSDFIDEPHMTTNMDTTSDAQECDSENVQNDSSRDSSDDNNHDDNNSDFIDEPHMATNPVKSSENSEEEIEENASKTPQVNPEMLTTLKERSVGSKTIYTKNLSYSVERADLEELFKDCGEIVDIRLHLDEEGRFRGFGHVEFATEEAVLKALKLNNTELLRCRMRIGVARERRKYTPNRRYQGTEGRCSKISEDCEQETEEKDSNAVQTIPKVQATWKEQKATSKTICARNLSYSVEREDMEILFKECGEIVDIRLQRDREGRSRGFGHVQFATTEAAQKALELDNTKWFGRCIGVGIAQEKNEYAPNRSFSNWSNSFNKSERIEPIKRIWSSESREEDIEGKALKDPWKNSRLLATMKEENASSIYVRNLSYKAEQADVENFFKECGEIVDVRLHRDNEGRLKGYGHVTFATAEAALKALELDKTELLRRCIGVQIARGKGERTWNRSNSFQKHERFQPMKDTEHSEDCKEEIEKKARESPHESPKTLATLKEQNAAPKTIFVGNLSHEVEQADVENLFKECGGIAYLHPHTDHEGRPKGFVHIEFSTAEAAQKALELNHTELFGRTITVELAREKSHSYSRSNWSNSFQKGERIQSLTVYVKGFDTSLEEEEIKASLEEHFGSCGEMTRITVPKFYDSGAFKGFAILEFKDVDSAKRALNLDQTEIGGHTLLVEKARPRRDGNGSKNGVGWGESSGGGWHSGGDFLENAMDYRHTKQYCES >Vigun09g206500.1.v1.2 pep primary_assembly:ASM411807v1:9:38103099:38109613:1 gene:Vigun09g206500.v1.2 transcript:Vigun09g206500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSSTYPFGVPFHSLGRRVDCSTSLFPLHVELSTISVSPRSTSKGLVDRVCAQLDSDDYYWEKVPTPILDNVENPLCLKNLSIKELKQLAVEIRSDLSSIMLRTQISAKASMAVVELTVAIHYVFNAPVDRILWDVGDQTYAHKILTGRRSLMTTVKRKNGLSGFTSRFESEYDAFGAGHGCNSISAGLGMAVARDIKGRQERVVAVISNWTTMAGQAYEAMSNAGYLDSNMVVILNDSRHSLLPKIEEGPKTSVNALSSTLSKLQSSKSFRRFREAAKGVTKRIGMHELAAKVDEYARGMIGPQGSTLFEELGLYYIGPVDGHNIEDLICVLQEVASLDSMGPVLVHVITDENQGDENNQKSHITDRQQDGGISFDPFKYDSSDNVVRPQTYGDCFVETLVAEAEKDKDIVVVHAGIAMESSLELFQGKFPDRIFDVGMAEQHAVTFASGLACGGLKPFCIIPSSFLQRAYDQVIHDVDQQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSDEVELTHMVATATRINDQPVCFRYPRGALVGKGYTGGDGVPIKIGRGRVLVEGKDVAFLGYGSMVQNCLKAHSLLAKLGIEVTVADARFCKPLDIKLLRQLCKHHSFLITVEEGSIGGFGSHVAEFIAVNGLLDGRIKWRPIVLPDRYIEHASPNEQLDQAGLSGHHIAATALSLLGRTREALQFMCSS >Vigun10g142300.1.v1.2 pep primary_assembly:ASM411807v1:10:35899755:35901701:-1 gene:Vigun10g142300.v1.2 transcript:Vigun10g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGLPAANTVVASDDEGWVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFSSDPSLRSAAVADLRAARERDPACVSYSHCLLNYKGFLACQAHRVAHLLWRQSRRPLALALHSRIADVFAVDIHPAARIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKVGGDRHPKIGDGVLIGAGATILGNIKIGEGAKVGAGSVVLIDVPARTTAVGNPARLVGGKETPSKHEDVPGESMDHTSFISEWSDYII >Vigun04g092500.1.v1.2 pep primary_assembly:ASM411807v1:4:17859441:17861587:-1 gene:Vigun04g092500.v1.2 transcript:Vigun04g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYSSTPYPSFPSSSSSFHPFPFLNLENASASNTLLHDPLSVPYLPTHHNPPNLQEALSNLPVTDHNCGGGGSAMTKPDPSGGGAPHYGLSCFLTKKPAKKDRHSKIYTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKRAIKELARSKNSNNSEEGAKSFSSSSECDDDCEVVSEIKQKHQLITADAAAPNNLQQGLDSSDNKSPTLLAARERMKLKRAQKEPAKMKESREKARARARERTCNKIMCNVNSNTGRVQQDLKKKCPAIENNHSHHLVGGEGPRDDFNVIEESIVIKRKLKPSLMSSSHHHHQNLMIPKEPPCFSNNNSEYHPFSNLSPNWDANGSTGRSNFCAIASMNLSTGLQIFGKSWEECTNPRLH >Vigun07g157300.2.v1.2 pep primary_assembly:ASM411807v1:7:26848074:26853859:-1 gene:Vigun07g157300.v1.2 transcript:Vigun07g157300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVKNRVRMKSCTSIWLWLTVLLFSVQSLGSERSDVAYVTLLYGDEFLLGIRVLGKSIRDTGSIKDMVVLVSDGVSDYANNVLEADGWIIEKISLLANPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIEDLFKCGKFCANLKHSERLNSGVMVVQPSAAVFNDMMSKVNTLPSYTGGDQGFLNSYYSGFPNAHIFEPNLTPEMLENRPVPEMERLSTLYNADVGLYMLANKWMVDENELCVIHYTLGPLKPWDWWTSWLVKPVDAWQNIREQLEETLPGTKGGQNPKDYFLVNFLFLLPFCAVVFFSYRSFLKNQGYYGSCCRNSRDHVRQLYYRIKSGGPIVYTTISTSTISSTHQLIEGQNKVPAYLGITSVCVCLLAAVVSLGLAFLIVPRQVAPWTGFLLMYEWIFIIFIVLFGSYLNLIYHWGKIMASHTPSSLTHLKSCDEDSGKGHQRQMSSCNAATWFYGLGMAFLAIAAPCLPFIFGITALFLREGGRS >Vigun07g157300.1.v1.2 pep primary_assembly:ASM411807v1:7:26845554:26853859:-1 gene:Vigun07g157300.v1.2 transcript:Vigun07g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVKNRVRMKSCTSIWLWLTVLLFSVQSLGSERSDVAYVTLLYGDEFLLGIRVLGKSIRDTGSIKDMVVLVSDGVSDYANNVLEADGWIIEKISLLANPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIEDLFKCGKFCANLKHSERLNSGVMVVQPSAAVFNDMMSKVNTLPSYTGGDQGFLNSYYSGFPNAHIFEPNLTPEMLENRPVPEMERLSTLYNADVGLYMLANKWMVDENELCVIHYTLGPLKPWDWWTSWLVKPVDAWQNIREQLEETLPGTKGGQNPKDYFLVNFLFLLPFCAVVFFSYRSFLKNQGYYGSCCRNSRDHVRQLYYRIKSGGPIVYTTISTSTISSTHQLIEGQNKVPAYLGITSVCVCLLAAVVSLGLAFLIVPRQVAPWTGFLLMYEWIFIIFIVLFGSYLNLIYHWGKIMASHTPSSLTHLKSCDEDSGKGHQRQMSSCNAATWFYGLGMAFLAIAAPCLPFIFGITALFLRLGLMVVGGVILVSFMTYSSEHLAIRSFVKGFEERDVARNASFCC >Vigun03g353800.1.v1.2 pep primary_assembly:ASM411807v1:3:55543638:55545258:-1 gene:Vigun03g353800.v1.2 transcript:Vigun03g353800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRLLPFRGENIFIETGMLEMLERGILMSLQTLNHPPFLTGDAFRKRTQTRCRAKSNLSTGLQLSSEENGKYAEAGSSLMRCESSAGSLLSQPNTVGVIGGVSVLSTLIFLEKLACWGSRNGRECPPFVVSSDDVLSKVLSFRGPLPSARSRVDRIKLNQDFVIENLRCKRNFLQQSGAQGLAMPCHLSHAWHSEISEDSSLPFLHVGDCVALELKNAKLKPIHAAYTVRIGLLTTDSAFVASYYQEKLQSQGFEVVLLDKATEEHVLVPAMEALYRKDIEGARNLLRIAIHVLLVRAVNLVLLASDDLLGVLPHNDPLLRKCIDPMDALARSIIHWAETTAKVHKKL >Vigun05g279000.1.v1.2 pep primary_assembly:ASM411807v1:5:46832696:46838663:-1 gene:Vigun05g279000.v1.2 transcript:Vigun05g279000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVRSFPEGKRNCYKINITRDSKYLIRTSYLYGNYDGLNKTPQFDTHLGANWWHTVTLSNASTPQGKEIIHVTSQDYVQICLVNTGYGTPFISAIEFRTLPNNIYVTQFGSLETYYRWDLGSNQSYRYQYDDLDRFWYDKFGDSKDWSQLSVSIPAESLGQNDYKPPAIVMSTAVTPANASAPLLISWEPENETDQFYVYMHFTELFTTNQTRRFNIMRNAESWFSDCSPEYRRVLTIYTQSAISGKAINFSLERTESSTLPPIISAVEIYRVQEFHQSDTLQGDVDAITTIKSVYGVKRDWQGDPCAPATYLWNGLNCTYGDNELPRITTLNLSSSGLSGKIDPSISKLTMLEKLDLSNNSLNDEVPDFLAELQHLKILNLEKNNLSGSIPSALVEKSKKGSLTLSLGQNPYICEHGQCIENRHNIVMPLVASICGVLILLVAVAAVLWILKRRKSKAWMVEKDQSEISLQYTEQDDSLKKLKKKKYSYSDIIQITNNFTTIVGKGGFGTVYLGYIEDAPVAVKMLSPSSFRGYEQFQAEVKLLIRVHHKNLTSLIGYCSEGTNKSLIYEYMANGNLEEHLSGKHSKSKLLSWEDRLHIAVDAALGLEYLQNGCKPPIIHRDVKSSNILLTENFQAKLSDFGLSKIIPADGRSHVSTVIAGTPGYLDPDYYTSNRLTEKSDVYSFGVVLLEIITGQQVIARNEEKSHIIDWVRSLVALGDIRAIVDSRFEGDFDINSAWKAVEIAIACVSLSPNERPIMSVIVSDLKETLATEIARTKYNSGANSFEPLNVNLSTQLIPHAR >Vigun05g279000.2.v1.2 pep primary_assembly:ASM411807v1:5:46832696:46838663:-1 gene:Vigun05g279000.v1.2 transcript:Vigun05g279000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVRSFPEGKRNCYKINITRDSKYLIRTSYLYGNYDGLNKTPQFDTHLGANWWHTVTLSNASTPQGKEIIHVTSQDYVQICLVNTGYGTPFISAIEFRTLPNNIYVTQFGSLETYYRWDLGSNQSYRYQYDDLDRFWYDKFGDSKDWSQLSVSIPAESLGQNDYKPPAIVMSTAVTPANASAPLLISWEPENETDQFYVYMHFTELFTTNQTRRFNIMRNAESWFSDCSPEYRRVLTIYTQSAISGKAINFSLERTESSTLPPIISAVEIYRVQEFHQSDTLQGDVDAITTIKSVYGVKRDWQGDPCAPATYLWNGLNCTYGDNELPRITTLNLSSSGLSGKIDPSISKLTMLEKLDLSNNSLNDEVPDFLAELQHLKILNLEKNNLSGSIPSALVEKSKKGSLTLSLGQNPYICEHGQCIENRHNIVMPLVASICGVLILLVAVAAVLWILKRRKSKEKDQSEISLQYTEQDDSLKKLKKKKYSYSDIIQITNNFTTIVGKGGFGTVYLGYIEDAPVAVKMLSPSSFRGYEQFQAEVKLLIRVHHKNLTSLIGYCSEGTNKSLIYEYMANGNLEEHLSGKHSKSKLLSWEDRLHIAVDAALGLEYLQNGCKPPIIHRDVKSSNILLTENFQAKLSDFGLSKIIPADGRSHVSTVIAGTPGYLDPDYYTSNRLTEKSDVYSFGVVLLEIITGQQVIARNEEKSHIIDWVRSLVALGDIRAIVDSRFEGDFDINSAWKAVEIAIACVSLSPNERPIMSVIVSDLKETLATEIARTKYNSGANSFEPLNVNLSTQLIPHAR >Vigun06g229900.2.v1.2 pep primary_assembly:ASM411807v1:6:33680142:33694977:-1 gene:Vigun06g229900.v1.2 transcript:Vigun06g229900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLFALRDCNSLYIMDILDCIVLECKVEEGNTGTEFAELVYYCVNKCQTANASFCCAFAAYLNKIAEHFKQVMTPINSILRLYAAGLLLVSCNLRSRTGDLASPGSAEFGCLLGTLLENKKILQKSPSLLGSLRICSKRNCMSSSVEDQCLAGIPWTPSGFNSQASMTYKSVYVEALKFLCQPLAKSINSERKQLVSEVDDASDMTIMSTVQDAFHTFCHLILSSTSFVPKNNGDGFDERNKTVLNVVVAAFTLSIRTNLKVEESKQLIKQIIASKWIETEGINYVIACLYNIAVVLYRNRQLEKASKVLNLCCKASWLCIKYHCANLSEGTLKDFVMEACTRSALLLDIMYDVNNVKINKKVIEILKNWFAANDLLERLPAPIPVVKQWVKIECKRATQVGERIDSPSLYCILSSSMRLSKRNIAMILEQELTAYEEMSFKYSEICQKMQMKITGILLQDIYITPDSRFQMAQILVRKGKAIRVRGMSGLKECIQCLSEAITIMREISGDICTDSNSIHHQLCVTYCLRAICTQEAESNSKQIFEDVKAALDLWLSTSHLNHLEEGDCSTLSDSLMILFYNIVDLLQLKGFMELFNDAYRLLIKMFKWKNVPIEKWLTLMWESRYLRHALCVSPVSEEFILNSLDEFRELSDINFWIRYLQGNQSSLIGFQQNFSFLFASSHRNTCSHESSFQTDITVEEVQKTALDLISNVPVPSHSTFLAGCLFYDLCPRLVANGHLIEALSSAKEAHRLFAKLFQRKFAHNVQMQNEEHHVIVDSSKNIIDSVENIGLNLSAVSEIFLFDSISWDLKDSYLSAWKVMQCYLESTLQVGIIHEMIGDGAEAETYLKWGKAISCSLNLPLFTVAFSSSLGKLYIKKTHWDLAEEELRSAEQILKESSTSFCCSKCKLKLEVTLYEYFGDLCQSKFGTCDGVMSKETAKNWYMSALDKLNLSEWKNPLSCPEDGNDGTATDTKCPAGKTCTCFIMKEASENINKSMKAGRETRKTKNAAKVLPKEPHLVVQNNTRVTRSRYRSSQSEDTSIYRKSEVRETLQENHVSYSSEVLSKNGSVLSKTDCPFNSRCPTTCVLSKMRCWYCLPSEVVKSCLLNDFINLKWEFVRRQLSMKLLSRVVKCFAYPGQIDETHKFLLRSISILVSRNPFHHALSSIPLDYFNHLVAREIPGDIFAIERSEIVHDICWYSLKSYHSKLARNIFCNLLSIKFEDLASWLMVAFVLSRESPVIFQKVSKLLAVMCVVSSISKQFSLLSFSRVLGENYWSSYFHQASIGTHLTHQFLSHPTGRCKGLHVTGSSSIRECTSDLLRLVPDTTVDLAEYVKKFLAGLPSATIISISLLGHDYVSLLQELLPYPTCVQAWMLVSRLSFKNDPVVMLLPLDCILQDEGDVSTGSGSFLNCEEHSEKWRCPWGFTVVDDVAPAFKTILEENYLSTISPFEDTTLNRMIWWKRRKNLDHRLDKFLRNLEESWFGSWKCLLLGEWVNCKNYDLVLENLVNDLRSKCKLDANEGLLKIILGGSKYVCDGKTLVSQLCSKKDCYIAKVGYCDEAKKGILLNATNGFGMSSEVAFELLSEALNMLEVDDSMNREPIILVLDHEVQMLPWENLPILRKQEVYRMPSVSCISAVLHKGSDNKEQVGRNLVPFPLIDPLDAFYLLNPDGDLCGTQTEFENYFRDQNLEGKAGSRPTIKELASALESHDLFIYFGHGSGAQYIPRQEIQKLDKCAATLLMGCSSGSLTLPGQYAPQGIPLSYLLAGSPAIVGNLWEVTDKDIDRFGKAMYDAWLKERSDMSSECCQCNLLSEEFEAMNLKGCKGRAKRKAPQKKLQLAENQSPKNCAHRRKIGAFMGQAREVCTLPFLTGASPVCYGVPTGIWRKRNI >Vigun06g229900.1.v1.2 pep primary_assembly:ASM411807v1:6:33680142:33694977:-1 gene:Vigun06g229900.v1.2 transcript:Vigun06g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSESSLISKLQSSDSSGIHALVSDYLRPIADLKPTKKSKPDPTLIRSLAKRFLSFLNSSLSILPKRLPELSKSNDAVLLHELLRVYRLCLDCLDTVASQLASKPFSVEFQRLRLMHCLESCALFAEAEVEGLGVLERLRPPKRKGKLLPEIDKGGGGSKDLCSLVVDIAVSLVRCASAGLAKEDGDFKKVLQLVEEVVPWLKELDSNSYEKLHRMLVIHLGKCSLNLLGRMPFPDRELVMLFCCTTLTEYVKSPVKDQVYKIAHRMCSSLFALRDCNSLYIMDILDCIVLECKVEEGNTGTEFAELVYYCVNKCQTANASFCCAFAAYLNKIAEHFKQVMTPINSILRLYAAGLLLVSCNLRSRTGDLASPGSAEFGCLLGTLLENKKILQKSPSLLGSLRICSKRNCMSSSVEDQCLAGIPWTPSGFNSQASMTYKSVYVEALKFLCQPLAKSINSERKQLVSEVDDASDMTIMSTVQDAFHTFCHLILSSTSFVPKNNGDGFDERNKTVLNVVVAAFTLSIRTNLKVEESKQLIKQIIASKWIETEGINYVIACLYNIAVVLYRNRQLEKASKVLNLCCKASWLCIKYHCANLSEGTLKDFVMEACTRSALLLDIMYDVNNVKINKKVIEILKNWFAANDLLERLPAPIPVVKQWVKIECKRATQVGERIDSPSLYCILSSSMRLSKRNIAMILEQELTAYEEMSFKYSEICQKMQMKITGILLQDIYITPDSRFQMAQILVRKGKAIRVRGMSGLKECIQCLSEAITIMREISGDICTDSNSIHHQLCVTYCLRAICTQEAESNSKQIFEDVKAALDLWLSTSHLNHLEEGDCSTLSDSLMILFYNIVDLLQLKGFMELFNDAYRLLIKMFKWKNVPIEKWLTLMWESRYLRHALCVSPVSEEFILNSLDEFRELSDINFWIRYLQGNQSSLIGFQQNFSFLFASSHRNTCSHESSFQTDITVEEVQKTALDLISNVPVPSHSTFLAGCLFYDLCPRLVANGHLIEALSSAKEAHRLFAKLFQRKFAHNVQMQNEEHHVIVDSSKNIIDSVENIGLNLSAVSEIFLFDSISWDLKDSYLSAWKVMQCYLESTLQVGIIHEMIGDGAEAETYLKWGKAISCSLNLPLFTVAFSSSLGKLYIKKTHWDLAEEELRSAEQILKESSTSFCCSKCKLKLEVTLYEYFGDLCQSKFGTCDGVMSKETAKNWYMSALDKLNLSEWKNPLSCPEDGNDGTATDTKCPAGKTCTCFIMKEASENINKSMKAGRETRKTKNAAKVLPKEPHLVVQNNTRVTRSRYRSSQSEDTSIYRKSEVRETLQENHVSYSSEVLSKNGSVLSKTDCPFNSRCPTTCVLSKMRCWYCLPSEVVKSCLLNDFINLKWEFVRRQLSMKLLSRVVKCFAYPGQIDETHKFLLRSISILVSRNPFHHALSSIPLDYFNHLVAREIPGDIFAIERSEIVHDICWYSLKSYHSKLARNIFCNLLSIKFEDLASWLMVAFVLSRESPVIFQKVSKLLAVMCVVSSISKQFSLLSFSRVLGENYWSSYFHQASIGTHLTHQFLSHPTGRCKGLHVTGSSSIRECTSDLLRLVPDTTVDLAEYVKKFLAGLPSATIISISLLGHDYVSLLQELLPYPTCVQAWMLVSRLSFKNDPVVMLLPLDCILQDEGDVSTGSGSFLNCEEHSEKWRCPWGFTVVDDVAPAFKTILEENYLSTISPFEDTTLNRMIWWKRRKNLDHRLDKFLRNLEESWFGSWKCLLLGEWVNCKNYDLVLENLVNDLRSKCKLDANEGLLKIILGGSKYVCDGKTLVSQLCSKKDCYIAKVGYCDEAKKGILLNATNGFGMSSEVAFELLSEALNMLEVDDSMNREPIILVLDHEVQMLPWENLPILRKQEVYRMPSVSCISAVLHKGSDNKEQVGRNLVPFPLIDPLDAFYLLNPDGDLCGTQTEFENYFRDQNLEGKAGSRPTIKELASALESHDLFIYFGHGSGAQYIPRQEIQKLDKCAATLLMGCSSGSLTLPGQYAPQGIPLSYLLAGSPAIVGNLWEVTDKDIDRFGKAMYDAWLKERSDMSSECCQCNLLSEEFEAMNLKGCKGRAKRKAPQKKLQLAENQSPKNCAHRRKIGAFMGQAREVCTLPFLTGASPVCYGVPTGIWRKRNI >Vigun06g229900.3.v1.2 pep primary_assembly:ASM411807v1:6:33680142:33694977:-1 gene:Vigun06g229900.v1.2 transcript:Vigun06g229900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSESSLISKLQSSDSSGIHALVSDYLRPIADLKPTKKSKPDPTLIRSLAKRFLSFLNSSLSILPKRLPELSKSNDAVLLHELLRVYRLCLDCLDTVASQLASKPFSVEFQRLRLMHCLESCALFAEAEVEGLGVLERLRPPKRKGKLLPEIDKGGGGSKDLCSLVVDIAVSLVRCASAGLAKEDGDFKKVLQLVEEVVPWLKELDSNSYEKLHRMLVIHLGKCSLNLLGRMPFPDRELVMLFCCTTLTEYVKSPVKDQVYKIAHRMCSSLFALRDCNSLYIMDILDCIVLECKVEEGNTGTEFAELVYYCVNKCQTANASFCCAFAAYLNKIAEHFKQVMTPINSILRLYAAGLLLVSCNLRSRTGDLASPGSAEFGCLLGTLLENKKILQKSPSLLGSLRICSKRNCMSSSVEDQCLAGIPWTPSGFNSQASMTYKSVYVEALKFLCQPLAKSINSERKQLVSEVDDASDMTIMSTVQDAFHTFCHLILSSTSFVPKNNGDGFDERNKTVLNVVVAAFTLSIRTNLKVEESKQLIKQIIASKWIETEGINYVIACLYNIAVVLYRNRQLEKASKVLNLCCKASWLCIKYHCANLSEGTLKDFVMEACTRSALLLDIMYDVNNVKINKKVIEILKNWFAANDLLERLPAPIPVVKQWVKIECKRATQVGERIDSPSLYCILSSSMRLSKRNIAMILEQELTAYEEMSFKYSEICQKMQMKITGILLQDIYITPDSRFQMAQILVRKGKAIRVRGMSGLKECIQCLSEAITIMREISGDICTDSNSIHHQLCVTYCLRAICTQEAESNSKQQIFEDVKAALDLWLSTSHLNHLEEGDCSTLSDSLMILFYNIVDLLQLKGFMELFNDAYRLLIKMFKWKNVPIEKWLTLMWESRYLRHALCVSPVSEEFILNSLDEFRELSDINFWIRYLQGNQSSLIGFQQNFSFLFASSHRNTCSHESSFQTDITVEEVQKTALDLISNVPVPSHSTFLAGCLFYDLCPRLVANGHLIEALSSAKEAHRLFAKLFQRKFAHNVQMQNEEHHVIVDSSKNIIDSVENIGLNLSAVSEIFLFDSISWDLKDSYLSAWKVMQCYLESTLQVGIIHEMIGDGAEAETYLKWGKAISCSLNLPLFTVAFSSSLGKLYIKKTHWDLAEEELRSAEQILKESSTSFCCSKCKLKLEVTLYEYFGDLCQSKFGTCDGVMSKETAKNWYMSALDKLNLSEWKNPLSCPEDGNDGTATDTKCPAGKTCTCFIMKEASENINKSMKAGRETRKTKNAAKVLPKEPHLVVQNNTRVTRSRYRSSQSEDTSIYRKSEVRETLQENHVSYSSEVLSKNGSVLSKTDCPFNSRCPTTCVLSKMRCWYCLPSEVVKSCLLNDFINLKWEFVRRQLSMKLLSRVVKCFAYPGQIDETHKFLLRSISILVSRNPFHHALSSIPLDYFNHLVAREIPGDIFAIERSEIVHDICWYSLKSYHSKLARNIFCNLLSIKFEDLASWLMVAFVLSRESPVIFQKVSKLLAVMCVVSSISKQFSLLSFSRVLGENYWSSYFHQASIGTHLTHQFLSHPTGRCKGLHVTGSSSIRECTSDLLRLVPDTTVDLAEYVKKFLAGLPSATIISISLLGHDYVSLLQELLPYPTCVQAWMLVSRLSFKNDPVVMLLPLDCILQDEGDVSTGSGSFLNCEEHSEKWRCPWGFTVVDDVAPAFKTILEENYLSTISPFEDTTLNRMIWWKRRKNLDHRLDKFLRNLEESWFGSWKCLLLGEWVNCKNYDLVLENLVNDLRSKCKLDANEGLLKIILGGSKYVCDGKTLVSQLCSKKDCYIAKVGYCDEAKKGILLNATNGFGMSSEVAFELLSEALNMLEVDDSMNREPIILVLDHEVQMLPWENLPILRKQEVYRMPSVSCISAVLHKGSDNKEQVGRNLVPFPLIDPLDAFYLLNPDGDLCGTQTEFENYFRDQNLEGKAGSRPTIKELASALESHDLFIYFGHGSGAQYIPRQEIQKLDKCAATLLMGCSSGSLTLPGQYAPQGIPLSYLLAGSPAIVGNLWEVTDKDIDRFGKAMYDAWLKERSDMSSECCQCNLLSEEFEAMNLKGCKGRAKRKAPQKKLQLAENQSPKNCAHRRKIGAFMGQAREVCTLPFLTGASPVCYGVPTGIWRKRNI >Vigun09g056300.1.v1.2 pep primary_assembly:ASM411807v1:9:5623224:5626336:1 gene:Vigun09g056300.v1.2 transcript:Vigun09g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVNYSGDDELYSGASFYQKNLIDLGRVCTLGSSVDGYYCSPKKRARINAPDVFETPRLRKDQKPTIEVLPNECLFEIFRRLSSGKERSSCSCVSKRWLMLMSSICRAEIYKSENFTEGSASCDVEMASVDEDLVIEDDGFLSRCLEGKKATDVRLAAIAVGTSARGGLGKLCIRGSNSARGVTDVGISAVAHGCPSLRSLSLWNVSSVGDEGLSEIAKGCHMLEKLDICQASSISNKSLIAIAKGCPNLTTLNIESCPEIGNEGLQAIARSCPKLKCISIKDCPLVGDHGVSSLLSSANNLSRVKLQDLNITDFSLAVIGHYGKAIVNLVLSSLQNVSERGFWVMGVAQGLQKLMSLTVSSCRGVTDASIEAIGRGCINLKQMFLRRCCLVSDNGLVAFTKAARSLESLQLEECNSITQFGIIGALSNIKTTLKYLTLVKCKGIKDIDVEVSMFSPCESLQHLSIRNCPGVGNASLTMVGKLCPKLQRVDLTGLYGVTDAGLLPLLHNSESGLVKVNLAGCGNLSDNIVAELARLHGGTLELLNLDGCRKITDASLVAIADNCVLLKDLDMSKCAITDAGIAVLSNANRLTLQVLSLSNCSGVTCKSGPSLTNLGQTLVGLNLQNCSSIGCNTVELLLENLWRCDILA >Vigun08g067200.6.v1.2 pep primary_assembly:ASM411807v1:8:10033551:10038940:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKENGNNTVPGFQWLNRMVSEPYYLFHFLTFFSYFIIRSSASEVLSPHLIQHLLRREIQTLLVLAILVVIKGVKEDNWEAFIADALFLGKICLFVLAFTMDRQLAVWYILVFLVIHMLTQQPVFQGLGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKFNRAHEL >Vigun08g067200.3.v1.2 pep primary_assembly:ASM411807v1:8:10033544:10038933:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHGFRTLLPLPFLNFLLIFHHSQLRFRSSFPSSHSTSPSSRNTNFACVGDIGCHQGSKRRQLGSLYSRCTFPWQDLSFCPSLYDGSPVSSVVYSCIFSDPYVNTTTCIPRTRHVQQANPIAVGKPVDRGDNYKIVAGGISCFIFTCLHSLKYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGK >Vigun08g067200.5.v1.2 pep primary_assembly:ASM411807v1:8:10033551:10038929:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKENGNNTVPGFQWLNRMVSEPYYLFHFLTFFSYFIIRSSASEVLSPHLIQHLLRREIQTLLVLAILVVIKGVKEDNWEAFIADALFLGKICLFVLAFTMDRQLAVWYILVFLVIHMLTQQPVFQGLGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGCNGASCSPKFRLTPL >Vigun08g067200.7.v1.2 pep primary_assembly:ASM411807v1:8:10033544:10038930:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHGFRTLLPLPFLNFLLIFHHSQLRFRSSFPSSHSTSPSSRNTNFACVGDIGCHQGSKRRQLGSLYSRCTFPWQGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGCNGASCSPKFRLTPL >Vigun08g067200.4.v1.2 pep primary_assembly:ASM411807v1:8:10033544:10038930:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHGFRTLLPLPFLNFLLIFHHSQLRFRSSFPSSHSTSPSSRNTNFACVGDIGCHQGSKRRQLGSLYSRCTFPWQVIHMLTQQPVFQGLGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGK >Vigun08g067200.1.v1.2 pep primary_assembly:ASM411807v1:8:10033544:10038940:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKENGNNTVPGFQWLNRMVSEPYYLFHFLTFFSYFIIRSSASEVLSPHLIQHLLRREIQTLLVLAILVVIKGVKEDNWEAFIADALFLGKICLFVLAFTMDRQLAVWYILVFLVIHMLTQQPVFQGLGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGK >Vigun08g067200.2.v1.2 pep primary_assembly:ASM411807v1:8:10033544:10038930:-1 gene:Vigun08g067200.v1.2 transcript:Vigun08g067200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHGFRTLLPLPFLNFLLIFHHSQLRFRSSFPSSHSTSPSSRNTNFACVGDIGCHQGSKRRQLGSLYSRCTFPWQGTSNKLTPLQLESLLTEGTTTRLWLVEFRASYSPACIRSSQYFPDLSITYSTKNLSFGIVDLGLFPNAAEKFGISLSGSMGQLPTYILFENAAEVARFPELDFEATYFHPTITKGLLSRHFELDRHLLEYFNGK >Vigun04g010200.1.v1.2 pep primary_assembly:ASM411807v1:4:761303:763281:-1 gene:Vigun04g010200.v1.2 transcript:Vigun04g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNMAQFERLLVVLFFSAVASSIVMVDATFSKSMYITWGYQHASMQGEDLQLVLDQTSGSAAQTKKAFLFGSIESRIKLVPGNSAGTVTAYYLSSTGSQHDEIDFEFLGNVSGQPYIVHTNIYTLGNGSREQQFYLWFDPTADFHNYTIHWNPLEVVWYIDSIPIRVYRNYENEGIAFPNRQGMKVYTSLWNADDWATRGGLVKTNWSGAPFIARLNNFRARACKWNGAISINQCSSNIPANWWTSPTYKQLSYAQLGQLNWVRNNHMIYDYCRDTKRFNAQMPPECFKSQF >Vigun09g070850.1.v1.2 pep primary_assembly:ASM411807v1:9:7728217:7728594:1 gene:Vigun09g070850.v1.2 transcript:Vigun09g070850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTMKNGGKQFWGYPNYKRSANEGFKGCNYFKWCNEENVDERDATIERKNKKITDLEKALIDSEKWVNFLIGMILFFGLINIILVFLLFKIR >Vigun10g144100.1.v1.2 pep primary_assembly:ASM411807v1:10:36133274:36134439:-1 gene:Vigun10g144100.v1.2 transcript:Vigun10g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKWSLLTGPVTILGGVVVAIAAANVIFVKNDPFLKPDVRKYENQPATK >Vigun11g080800.1.v1.2 pep primary_assembly:ASM411807v1:11:23877303:23883200:1 gene:Vigun11g080800.v1.2 transcript:Vigun11g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSGWQRFVFGLPLFFLFAHLVFVMELHKNSKMEERHKQLNKKFDHLVLGHMAGQGLSNRLQCQGTKALNRTHSSESRSGVDGSITFVTVFTIYNTSLNDVDDRSNTVVGNASYNNVDRSMALLNVFINFIQVAMPQSKVIILTDPMSDLSVQRNRVSLYPIQGEYSRDKLMLQRIRSYITFLETRLQQLSQKPRDVIHYIFTDSDIAVVDDLGQVFRDHPNFHLALTFRNNKAQPLNSGFIAVKGTQEAMLRAKLFLQEVLKVYSTKYRNASRMLGDQLALALVVMSKPHFDARRFSKGLAFSEDIGATSVLFLPCSLYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFYSSSQDISDMLCLILGSGRTKYDF >Vigun03g172400.5.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083427:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVCVASTSKVNVDEADITFKDTPTTGIDNMKNDSSLVSQTVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSKQVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQTSLQESICSRKNCEGPS >Vigun03g172400.6.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083424:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSKQVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQVRQACRKAFAAEKTAKDRLSQMHDDLNIHSRITSLKAPKVTFALNVEEKIFQPGR >Vigun03g172400.7.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083424:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQVRQACRKAFAAEKTAKDRLSQMHDDLNIHSRITSLKAPKVTFALNVEEKIFQPGR >Vigun03g172400.4.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083424:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVCVASTSKVNVDEADITFKDTPTTGIDNMKNDSSLVSQTVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSVLRRCLQFKLLKQAGSLNCKRLFPFLLNSKKDNSCEYCFLFSWKITYFPFFFMATVSASDSNGCYLEHCAGNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQVRQACRKAFAAEKTAKDRLSQMHDDLNIHSRITSLKAPKVTFALNVEEKIFQPGR >Vigun03g172400.3.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083427:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVCVASTSKVNVDEADITFKDTPTTGIDNMKNDSSLVSQTVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQTSLQESICSRKNCEGPS >Vigun03g172400.1.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083426:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVCVASTSKVNVDEADITFKDTPTTGIDNMKNDSSLVSQTVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSKQVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQVRQACRKAFAAEKTAKDRLSQMHDDLNIHSRITSLKAPKVTFALNVEEKIFQPGR >Vigun03g172400.2.v1.2 pep primary_assembly:ASM411807v1:3:21078980:21083490:-1 gene:Vigun03g172400.v1.2 transcript:Vigun03g172400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSRKRNRASATLTRSRSQLLLHRNRSGQLRFDPVPTDDEPHTVLFPFHRTNSKKPKREHGEPLRHLMKDLRARRVYSPPQSTNSSLIEGAFLKGTADDSDCTDKKLAEGPDMGLSREARSFDLGNPEEGRKFQDCKGNNNGYCLEKIDGLDVKALPKTLLDSEVCVASTSKVNVDEADITFKDTPTTGIDNMKNDSSLVSQTVLRPRFQGKLFKIPGSVNYRRLFTFLRDSEREDSATPKLGFGQKNESGEQDKQHPLPSQNQEASKEELKTDGCATHEEKCLSELKVDSTADNGVNVFRSDLPNDGFNQLSSHTNGSDCNEPSHNSACSSGEFGLLNEKYILTTPANADIYDNSEVNAKPMDFTRSTQGHAGELFSFKADKGKDFFKSKSVPRQNLHKKLFINPGSVSYKRLLPFLTDLTKDDSDRSKFDHQTRIKDGKEDVHPKRFQLPLSSQTEEASIDEHKTDSSPMHGADESNGVENCVLIYTSNELSHVILTPSQDLPELPVQWDSKEEVGDGLSAPSVNELMEKIVKDDECLTASELNPCSVMEVDFHSAKIVANVVQNAGRQESRRHKSESPLKDRNRPFVNGVDENKQFVNLEECESVDENVTSLNHVRVSNDLLRSLYEKIISGKYDMGGHSGDEVGSMRNDIVICSTMPSEGSNNNASEIKNEFESKITSVLRRCLQFKLLKQAGSLNCKRLFPFLLNSNSGTQHQIELNNDSSSQKFQIPEFQSSRDSWEVTQLQDERVASNGLCKIESSTYPSVSDHEIELPIITKEKATPPLSKSSIFSETRGTSSCLISCGKQPETHKCGQSLSQLKVVGQLGGPAVGFRKGILKRNPRGCRGLCTCLNCVSFRLHAERAFEFSKNQLLDAEEVAHDLMKELSLLRNMLEKSADSVNINPVFDGSQVRQACRKAFAAEKTAKDRLSQMHDDLNIHSRITSLKAPKVTFALNVEEKIFQPGR >Vigun02g186100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32515501:32516052:-1 gene:Vigun02g186100.v1.2 transcript:Vigun02g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPSSSSTHVGAASSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETPEMAAAAYDVAALHFRGRDARLNFPELASTLPRPVSNNADHIRMAAHEAALRLRTNPAPPETGGGISPTAAPLTVRLSPTQIQAINDSPMDSPQTWMQMPDTFMMHDQSMMFANGYAFEDNEWEHMQNDSLWDP >Vigun11g119600.1.v1.2 pep primary_assembly:ASM411807v1:11:32567498:32572677:-1 gene:Vigun11g119600.v1.2 transcript:Vigun11g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLSSNSLVGQKQRFRIQSPINNFFLGSNNDKLERDEARAARAAAIRRKSLGFSQPFLANSDPCLNKQQILDLFQNCIKLASENKINQKNTWELNLIDHLTDIIKAEQEDDSETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMNRAGQEAEQDTSLDGVNIESGGEESRKETNKKLSPLSTLESSFEALNVKKFDAAFVVDPLYRQTTAKFDEGGAKGLLMNNLGVYGGCRVLFDSLEVPAKCMEKQNQSDISDTIDLSFVKDCIEQMVLDMRVKDEISPTLRTIVNLFDESNRRPTDVQLHDKNSAEDLDTSFNNESGFDREEYENSNAWSDDHDDQTVVADGGYIADADPSFPNYPQDSDEPFPSPDADMDDRFDSVDGYLFLSLGFNSKQNAWAGPDHWKYRKSKASEVHPTSEDGSTLKTRQPKSKRQAEVELNFTNSLEKEMLDIFSSPKNPKSLLLPESRLPCNTKLPEDCHYQPEDLVKLFLLSNVKCLARRANRFQDGSREQSNEYESFPTWDNGSVGGDDFGDYGGDLHSDVEDSNTLISQPRQVNKIEVQYDKTSKQVDVHALKITIWDHVQESVKLPSQGQKDTLSFRNILANFPSECNAAATVSDISPHLCFICLLHLANEKGLSIQNCSNLDDLTIFLPHVADSIRGTV >Vigun11g119600.2.v1.2 pep primary_assembly:ASM411807v1:11:32567498:32572677:-1 gene:Vigun11g119600.v1.2 transcript:Vigun11g119600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLSSNSLVGQKQRFRIQSPINNFFLGSNNDKLERDEARAARAAAIRRKSLGFSQPFLANSDPCLNKQQILDLFQNCIKLASENKINQKNTWELNLIDHLTDIIKAEQEDDSETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMNRAGQEAEQDTSLDGVNIESGGEESRKETNKKLSPLSTLESSFEALNVKKFDAAFVVDPLYRQTTAKFDEGGAKGLLMNNLGVYGGCRVLFDSLEVPAKCMEKQNQSDISDTIDLSFVKDCIEQMVLDMRVKDEISPTLRTIVNLFDESNRRPTDVQLHDKNSAEDLDTSFNNESGFDREEYENSNAWSDDHDDQTVVADGGYIADADPSFPNYPQDSDEPFPSPDADMDDRFDSVDGYLFLSLGFNSKQNAWAGPDHWKYRKSKEVHPTSEDGSTLKTRQPKSKRQAEVELNFTNSLEKEMLDIFSSPKNPKSLLLPESRLPCNTKLPEDCHYQPEDLVKLFLLSNVKCLARRANRFQDGSREQSNEYESFPTWDNGSVGGDDFGDYGGDLHSDVEDSNTLISQPRQVNKIEVQYDKTSKQVDVHALKITIWDHVQESVKLPSQGQKDTLSFRNILANFPSECNAAATVSDISPHLCFICLLHLANEKGLSIQNCSNLDDLTIFLPHVADSIRGTV >Vigun03g322000.1.v1.2 pep primary_assembly:ASM411807v1:3:51779680:51781560:1 gene:Vigun03g322000.v1.2 transcript:Vigun03g322000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTGRDQRIVRRMANFPRSIMEGISRAMEDGIGFIGRGRGRRRNHGANFPLQAQEHVPQSHDQVVVQEEWSFLESFEQQYGTKHPFFYACRLGEAMKLAEEDHKFLFMYLHSPDHPFANVFCKETLCSEAVIQFLDVNFVCWGGLAYRGEGLQMVATLSPDTFPCCAVIAPTPGESIAVLQQLEGPLSPAELVGILQRTLEEQGVAFGSAKAKQEEKIRADRRLREEQDAAYLAALKIDKEKEKLNNLASREGVQSTKNYGKLINNSMNVTKQNSRVNESTKGVASKGMESQPTQILIRFPNGERREHSFLCTDKIQSIFSYIDSLGMAGIGNYRLISNFPRRAYGVDQMRMSLKEAALYPKASVFVEPLGARVP >Vigun08g083200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17640916:17641836:1 gene:Vigun08g083200.v1.2 transcript:Vigun08g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLKKSNKIREIVRLQQILKKWRRLANSSKTTATTNVPTSITTTSKSMKFLKRTLSLSEREGGSSNVVPKGYLAVCVGEELKRFTIPTEYLGHQAFQILLREAEEEFGFQQTGVLRIPCEVAAFQSILKMVESKGDMFSSQECRLSIEEMLMGYCSENQIAYSHHPQSPLCR >Vigun11g110100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31139548:31142601:-1 gene:Vigun11g110100.v1.2 transcript:Vigun11g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLRIWMSTTAATAMEEPTAKSCRSLFSGGDCSRGAGESDVAARRVQADESLRIVMYFSCWSQG >Vigun03g107600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9597938:9598747:1 gene:Vigun03g107600.v1.2 transcript:Vigun03g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKVWLSLLVLAMALAMVAEASKVHDFGFPGVGMDLVGDDNEMLLDSESSRRTLNSRQQYISYGALNANQIPCGQRGTSYYNCQDRTRANPYTRGCTQITHCARDTS >Vigun11g086800.1.v1.2 pep primary_assembly:ASM411807v1:11:25943189:25945010:-1 gene:Vigun11g086800.v1.2 transcript:Vigun11g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAHVFSGLTVSGGKGNSIFNFNHSPFSSTLLPSNFRPFNSFSSNGLFSRDYLSKDMFCRPTRSPVVCMAGKGSSKKKSKVIRLVSTAGTGFFYAIKKSKKNEKLELKKYDPKVKRHVVFKESK >Vigun05g192400.1.v1.2 pep primary_assembly:ASM411807v1:5:37401124:37405428:1 gene:Vigun05g192400.v1.2 transcript:Vigun05g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MMHSRIRSVGANIKCAARLFCSSSATSPVTPSQFPQTLAGLRARLEEESPSLSDFIALRSESAYSVEVGTKKKPLPKPKWMKEAVPGGEKYVQIKKKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFTETVQKLKALKPNMLIEALVPDFRGDADCVEKVAKSGLDVFAHNIETVEELQNVVRDHRANFRQSLDVLMMAKEYAPVGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFNKYQTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASPSKLTSS >Vigun05g291448.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47762931:47763263:-1 gene:Vigun05g291448.v1.2 transcript:Vigun05g291448.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFYSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun05g302100.1.v1.2 pep primary_assembly:ASM411807v1:5:48503819:48505394:-1 gene:Vigun05g302100.v1.2 transcript:Vigun05g302100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKSAVLLIFLSVSMWRVQSGSSSVIVSKDGSGDYRTVSEAIIEAPDMSDKPYTIHVRAGTYQEYLFIPSHKTNIRLLGDGPHHTKIVSYQSGSTIDIRGDGFMAAKMGFANWAGLNASGAVAVRNEADKSVFFQCSIQGLQDTLWAVSGRHFYKNCDIYGTVDFIYGSAAAVFQDCMVYARYREYVTFTAQSREDPNEKSGFSFQRCEFRMSPEDESRKREVHASLGRPLRPYSTVAILHSYIDSIVDPKGWEPMPHQPTDKVTYIEFQNFGPGSNTDDRVNWAGVTVLSHPAQLAPFTASYLLDADSWIPSTGVPYHTGL >Vigun05g205500.1.v1.2 pep primary_assembly:ASM411807v1:5:39456083:39457301:1 gene:Vigun05g205500.v1.2 transcript:Vigun05g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFLRSNEVLHALLFFCLLLMVHHCGAYEFIVGGQKGWSVPSDPNSNPYSQWAQKSRFQIGDSLVFNYPSGKDSVIQVSSKDYESCNTDAYNEKFSDGHTVIKLNQSGPHYFISGNKNNCLKNEKLVVIVLADRNNKNTYTNQTSPSPSPSQYTTESVAPSPAPSQQQVPSPGAAPPQQNTASPPSPPSDQTPAPAPVTDQPSPPSPPHNAASSILVSFAGSVGALFMASVLVFSF >Vigun05g026500.2.v1.2 pep primary_assembly:ASM411807v1:5:2149963:2154803:-1 gene:Vigun05g026500.v1.2 transcript:Vigun05g026500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVGVDGVTGKLKMTGVATRENVDVLKLCTRRGNTIVAIYIANPSASSTLLYSHGNAADLGQMYELFSELSHHLRVNLLCYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEMYGAKEEDIILYGQSVGSGPTTDLATRLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDCSHGKQLWEHCKQKYEPLWIKGGNHCNLELYPEYIKHLRKFIVVIERPPYKKTGSEPVPDQLDRPRSSTDFREKPRLSMDLRETLRRSFDFKEKPRISTDHKEKSRADKKDKSRKSIDRSDKACNGAEIPEKARNSIDRFGEMVRSVGLCHIDCFRPKATHA >Vigun05g026500.1.v1.2 pep primary_assembly:ASM411807v1:5:2149963:2154935:-1 gene:Vigun05g026500.v1.2 transcript:Vigun05g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVGVDGVTGKLKMTGVATRENVDVLKLCTRRGNTIVAIYIANPSASSTLLYSHGNAADLGQMYELFSELSHHLRVNLLCYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEMYGAKEEDIILYGQSVGSGPTTDLATRLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDCSHGKQLWEHCKQKYEPLWIKGGNHCNLELYPEYIKHLRKFIVVIERPPYKKTGSEPVPDQLDRPRSSTDFREKPRLSMDLRETLRRSFDFKEKPRISTDHKEKSRADKKDKSRKSIDRSDKACNGAEIPEKARNSIDRFGEMVRSVGLCHIDCFRPKATHA >Vigun04g053700.1.v1.2 pep primary_assembly:ASM411807v1:4:5027575:5030132:1 gene:Vigun04g053700.v1.2 transcript:Vigun04g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETEKKKKKMGRRCHCLVLAYPLQGHINPMLQFSKLLQHQGFRITLVTTRFYYNNLKTLPPSIAVETISDGFDLGPPPPHHAATHKAFIDRSREVGSQSFAQLLQKLGTSKDDDDDERVDCVVYDSFFPWALDVAKRFEIVAAVFLTQNMAVNSIYYHVHMGNLRLPLTQHQFSLPALPKLELQDMPSFLLTYVQHPYYLDFFVDQFSNIDKADWVLCNTFYELDKEVADWTTKILPKFRSIGPNIPSMFLDKKHKDDQDYGAAEFDSEECLEWLDGKPKGSVVYVSFGSLAMVGGGQMEELAYGLKECSSYFLWVVRASEETKLPKDFEKKSEKGLVVTWCSQLRVLGHEAVACFVTHCGWNSILEALCLGVPTIAIPHWSDQATNAKLVADVWKIGIRACVDEKKIVQRETLKHCIRKVMESEEGRVMKSNVIQWKSLALRAISEGGSSYQSVLEFANTFFH >Vigun09g012400.1.v1.2 pep primary_assembly:ASM411807v1:9:922242:924757:1 gene:Vigun09g012400.v1.2 transcript:Vigun09g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMVAEEKPQKTYPTTAEVVDELKRMGDIGVPIAAMSLVGYIKNMVLVVCMGRLGSLELAGGALAIGFTNITGFSVLSGLAMGMEPLCTQAFGSRNLCLVSLTLQRTIFMLLAASLPICLLWLKLEPLMLWLQQNPEITKVASIYCRFSIPDLIANSLLHPIRIYLRSKGTTWPLLWCTLLSILIHIPVVTFFTFKLHLGVPGIAMSAFVANFNTLFFLLSYMLYMRVSKGSLSMPLLISRPLPSRQQDQTLTTVITSTTLTSSTSSTSTITTTTTTSAGKEWGTLIRFSIQSCLGVCLEWWWYEFMTILAGYLYNPRVALATAGIVIQTTSLMYTLPTALSASVSTRVGNELGAGQPERARLSTIVAIGMALASSILGLLWTTLGRERWGRVFTSDSEVLELTMSVLPIIGICELANCPQTTSCGILRGSARPGVGAGINFYSFYLVGAPVAIVMAFFWRLGLVGLCYGLLAAQIACVVSILVVVYNTDWERESLKAKSLVGKTSCDTMEHGDQTLVKCEQGVVFLNENNRSLN >Vigun09g012400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:922242:924757:1 gene:Vigun09g012400.v1.2 transcript:Vigun09g012400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPLCTQAFGSRNLCLVSLTLQRTIFMLLAASLPICLLWLKLEPLMLWLQQNPEITKVASIYCRFSIPDLIANSLLHPIRIYLRSKGTTWPLLWCTLLSILIHIPVVTFFTFKLHLGVPGIAMSAFVANFNTLFFLLSYMLYMRVSKGSLSMPLLISRPLPSRQQDQTLTTVITSTTLTSSTSSTSTITTTTTTSAGKEWGTLIRFSIQSCLGVCLEWWWYEFMTILAGYLYNPRVALATAGIVIQTTSLMYTLPTALSASVSTRVGNELGAGQPERARLSTIVAIGMALASSILGLLWTTLGRERWGRVFTSDSEVLELTMSVLPIIGICELANCPQTTSCGILRGSARPGVGAGINFYSFYLVGAPVAIVMAFFWRLGLVGLCYGLLAAQIACVVSILVVVYNTDWERESLKAKSLVGKTSCDTMEHGDQTLVKCEQGVVFLNENNRSLN >Vigun04g013600.2.v1.2 pep primary_assembly:ASM411807v1:4:981282:984951:-1 gene:Vigun04g013600.v1.2 transcript:Vigun04g013600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKFPCLEKPEADAEVEEDMRFQKSFQELRELQSQLHHAADYCETTFLKSEAKRDVMENTKEYICRAMVTVVDHLGNVSANLEGLISQTSAFSEAESRIQCLKQRLFSCEQYADKLALTKMRWREKVPRFHSRYLSSPPILERSSSENLRTEYPTKVEDKHILEKQEELPLFLYTQKPYADNNVKPTSVTTLNKHNNVTMVVPVRDGLSVLTKVSNPTFHFQGSPKVTRHRRSLHGSDILWLIRRTKRIQ >Vigun04g013600.1.v1.2 pep primary_assembly:ASM411807v1:4:981282:984951:-1 gene:Vigun04g013600.v1.2 transcript:Vigun04g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKFPCLEKPEADAEVEEDMRFQKSFQELRELQSQLHHAADYCETTFLKSEAKRDVMENTKEYICRAMVTVVDHLGNVSANLEGLISQTSAFSEAESRIQCLKQRLFSCEQYADKLALTKMRWREKVPRFHSRYLSSPPILERSSSENLRDSRTEYPTKVEDKHILEKQEELPLFLYTQKPYADNNVKPTSVTTLNKHNNVTMVVPVRDGLSVLTKVSNPTFHFQGSPKVTRHRRSLHGSDILWLIRRTKRIQ >Vigun06g145950.1.v1.2 pep primary_assembly:ASM411807v1:6:27130188:27133374:-1 gene:Vigun06g145950.v1.2 transcript:Vigun06g145950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAGAASAAGAAAGAAAGAAAGAAAGAAAGAASVLGVASVAARVATVGAAAGATSVVDVASAAGAAAGATAGAAAGATAGAAAGAATGVASAVSVASAASAASTIGAAAGAAAGAAAGAAAGAAAGAAAGATAGAASVTGAASATDAPSAATGAASVVPVVRATGAASVTGAASVTGAPSAATGAASVVPVVRATGAASVTGAPSAATGAATGAAAGATACAAAGAAADATIGAAVVRAVAGVAAGAADIGVAVISSDDADDVVDAVDAVNTVDIVGAIDAVGAVDADDVVDAALGGFSLAHVAGRVAHVAGRVAHGVVVRGVINGTNMEANSVRAPPPTFLIQLGRIFFKKCVMACMQMAGVRIQTWCNIGQSASYVVIMDGSA >Vigun06g145950.2.v1.2 pep primary_assembly:ASM411807v1:6:27130188:27133374:-1 gene:Vigun06g145950.v1.2 transcript:Vigun06g145950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAGAASAAGAAAGAAAGAAAGAAAGAAAGAASVLGVASVAARVATVGAAAGATSVVDVASAAGAAAGATAGAAAGATAGAAAGAATGVASAVSVASAASAASTIGAAAGAAAGAAAGAAAGAAAGAAAGATAGAASVTGAASATDAPSAATGAASVVPVVRATGAASVTGAASVTGAPSAATGAASVVPVVRATGAASVTGAPSAATGAATGAAAGATACAAAGAAADATIGAAVVRAVAGVAAGAADIGVAVISSDDADDVVDAVDAVNTVDIVGAIDAVGAVDADDVVDAALGGFSLAHVAGRVAHVAGRVAHGVVVRGVINGTNMEANSVRAPPPTFLIQLGRIFFKKCVMACMQMAGVRIQTWCNIGQSASYVVIMDGSA >Vigun11g044600.8.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6600264:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGGPVFTPATPGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.11.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6598082:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGGPVFTPATPGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.5.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6600264:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTLKGGQPSVIPEKQI >Vigun11g044600.9.v1.2 pep primary_assembly:ASM411807v1:11:6595774:6600320:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.3.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6598082:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.7.v1.2 pep primary_assembly:ASM411807v1:11:6595774:6600320:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGGPVFTPATPGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.6.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6600264:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTLKGGQPSVIPEKQI >Vigun11g044600.10.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6600264:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.2.v1.2 pep primary_assembly:ASM411807v1:11:6595767:6600322:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.1.v1.2 pep primary_assembly:ASM411807v1:11:6595767:6600322:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGGPVFTPATPGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTL >Vigun11g044600.4.v1.2 pep primary_assembly:ASM411807v1:11:6595957:6600264:-1 gene:Vigun11g044600.v1.2 transcript:Vigun11g044600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTDDANKILSNDGACPICDQVLSKSLMKPVDVNPNDEWVNMAMAGVSPQILMKSAYRSVMFYIGQKELEMQFKMNKIVAQCRQKCEMMQEKFTEKLEQVHTAYQKMAKKCQIMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPANNYYSRNEHDLFSNPPNMIDDREIGRKGPRDDVWPGRQNSNNSGPFDLSVVSPAKQTAIAGDAGNRRAGAHPFGPGATNNPSMTLRNMILSPIKRPQLSRNRPQLFTLKGGQPSVIPEKQI >Vigun04g107600.1.v1.2 pep primary_assembly:ASM411807v1:4:26000813:26003617:1 gene:Vigun04g107600.v1.2 transcript:Vigun04g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLFYFFLTCIVLLFSWSFFFKTRTFWNLPPGPFSFPIIGNLHQMKQPLHRTFHALSQKHGKVFSLWFGSRFVVVVSSLEAVQECFTKNDIVLANRPRFLTGKYIGYNNTTIAVSPYGDHWRNLRRIVSLEVLSTHRLNCFSEIRTDEIMRLVRKLAHDSRNAFARVELKSSFSEMTFNTIMRMVSGKRYYGEDCDLSDAEEARQFRGIIKELVALGGANNPGDFLALLRWFDFDELEKKLKRIGKRTDAFLQGLIDEHRNRKQSANTMIDHLLTQQQSQPEYYTDEIIKGLALVMVLAGTDTSAVTLEWAMTNLLNQPEILKKAKREIDTHIGQNRLVDEVDIPKLPYIQSIVYETLRLHPAAPMLVPHFSSEDCTIGDYNLPQNSILLVNAWAIHRDPNLWSDPTHFKPERFENESEANKLLPFGLGRRACPGSNLAQRTVSLTLALLIQCFEWKRSTDEEIDLIEGKGITVGRKLPLEAMCQVWQSSPIRNIF >Vigun11g064500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15126589:15126994:-1 gene:Vigun11g064500.v1.2 transcript:Vigun11g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIAYAALIVAASISVVAATIEIPAPAPGPSSGASAAMPLVGSLVGASVLSFFALLH >Vigun09g016400.1.v1.2 pep primary_assembly:ASM411807v1:9:1183709:1184685:1 gene:Vigun09g016400.v1.2 transcript:Vigun09g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFGGSSSKEHDKCSMKEQDQLLPIANVGRLMKQILPQNAKISKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALATLGFDDYAAPITRSMWFLAS >Vigun03g076100.2.v1.2 pep primary_assembly:ASM411807v1:3:6324981:6328112:1 gene:Vigun03g076100.v1.2 transcript:Vigun03g076100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGEEDDDGSKVGSDDEIIDGPDTSSFTAFLYSLLSSSDSGDNIGEADEKNGDGVSEDDSSLPESATKESFDVRRSLFSRGTHSLGRGIHQASKMGGFRSRDSKYTDEGRRSGGRGVEMKRIVKEPEPEAVAEAVADHNPPEVSEPSMLVSDGLRDAVYASLPALIHGRKWLLLYSTSKHGISLSTLYRRSMLWSGVSLLVVGDRKGAVFGGVVEAPLRPSKKKKYQGTNNSFVFTDTSGCPVIYRPTGVNRYFTLCSTEFLAIGGGGHFALYLEGDLLNGSSSVSDTYGNPCLAHSQEFGVKEVELWGFVSPSKYEEMMELIRTETPGICRS >Vigun03g076100.1.v1.2 pep primary_assembly:ASM411807v1:3:6324355:6328112:1 gene:Vigun03g076100.v1.2 transcript:Vigun03g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEQSFRNKAAYFVSDLATVLLNPISDNKSPSLPLSVEEGEEDDDGSKVGSDDEIIDGPDTSSFTAFLYSLLSSSDSGDNIGEADEKNGDGVSEDDSSLPESATKESFDVRRSLFSRGTHSLGRGIHQASKMGGFRSRDSKYTDEGRRSGGRGVEMKRIVKEPEPEAVAEAVADHNPPEVSEPSMLVSDGLRDAVYASLPALIHGRKWLLLYSTSKHGISLSTLYRRSMLWSGVSLLVVGDRKGAVFGGVVEAPLRPSKKKKYQGTNNSFVFTDTSGCPVIYRPTGVNRYFTLCSTEFLAIGGGGHFALYLEGDLLNGSSSVSDTYGNPCLAHSQEFGVKEVELWGFVSPSKYEEMMELIRTETPGICRS >VigunL076400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:67316:67870:1 gene:VigunL076400.v1.2 transcript:VigunL076400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKLNHANVMEVPGSCEIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTQRGSTGKSFRSNPFLGSNKEKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETK >Vigun08g007600.1.v1.2 pep primary_assembly:ASM411807v1:8:652892:656207:-1 gene:Vigun08g007600.v1.2 transcript:Vigun08g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSLPEHSTTPKRHKRQPQQQQQQQQKQKQKQKQKPGSSWDQIKNLLTCKQVEGSRVHDPSKGYSKIGSSCSSICSFRDVVHGNTRVVHRSDNSSPESSSLGQETGLLTRKPVTGSTRSTPAKSNAGTTYTSSSSSSSSSSRGMQFRKLSGCYECHMIIDPSRLPVARSTVCSCSHCGEVFPKMESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNTQRTIQRFEECRDTVKNRALGSTKKNPRCAADGNELLRFHCTTLTCALGARGSSSLCASVPGCGVCTIIRHGFQGGGGNDHAKGKGVRTTASSGRAHDSVVCGDGTRRAMLVCRVIAGRVKRVAEDTPPSEEEHVSVASYDSVAGYAGIYSNLEELVVFNPKAILPCFVVIYKVPSC >Vigun07g069700.1.v1.2 pep primary_assembly:ASM411807v1:7:8489114:8492879:1 gene:Vigun07g069700.v1.2 transcript:Vigun07g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKLSFKEVHHSHSPKTTLWWFFLVATCIKVLLFPSYRSTDFEVHRNWLAVTHSLPLSQWYFDETSPWTLDYPPFFAYFERFLSIFAQLIDPQIVHLQEGLNYSSNTVVYFQRVTAILSDLSLLYGVYRLTRNLDSKKQKLIWSLIIWSPVLFIVDHVHFQYNGFLIGILLISLSYLEEGRDLLGGCIFAVLLCFKHLFAVAAPVYFIYLLRHYVWGGMIRGFSRLLIMGGVVTAVFASAFGPFFYLGQIEQVIRRLFPFGRGLCHAYWAPNFWVFYIMSDKGLAFILRKLGFNIQMPAASFTAGLVGDSSPFSVLPQIKPYVTFVMVLLALSPCLFKAWKNPQPQMISRWIAYAYTCGFLFGWHVHEKASLHFVIPLAIVAPQTPEDARHYFLLSIVSCYSNFPLLFEAQEYPIKVLLLLLHSILMWSGFSAQFYVGAETARAPITNSKKKADEFGSEDSLGETVKKGFVIGWSERIYLIGLVVVEIWGQILHPLILGDKFAFAPLMLISIYCAFGVMYSWIWQLISIVKSL >Vigun03g317100.1.v1.2 pep primary_assembly:ASM411807v1:3:51152972:51156591:1 gene:Vigun03g317100.v1.2 transcript:Vigun03g317100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTVSIDYQTTRVLPEPDVFIHCFQGTRIPAHAAVLASVSPVLENFIDRPRKHRSSEKIIQIHGVPCDAVIAFVGFLYSSRCTEEAMDKYGMHLLALSHVYLVPQLKQRCIKGLAQRLTTENVVDVLQLARLCDAPDLHLRCMKLLTYNFKAVEATEGWKFLVKHDPWLELDILRFIDEHETRKKKSRRYRMEQSLYGELSEAMECLEHICSEGCTHVGPYDAEVKKERRPCGRFSTCEGLQVLIRHFATCEKRMGGGCVRCKRMWQLFRLHSYVCHQTDSSCKVPFCRQFQLKMQEEKRKDDAKWKLLARKVASAKVMSSLSLPKRKRDEEIRGTINNPGIRSFKLL >VigunL059159.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000422.1:9758:10117:1 gene:VigunL059159.v1.2 transcript:VigunL059159.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g359500.1.v1.2 pep primary_assembly:ASM411807v1:3:56214883:56215195:-1 gene:Vigun03g359500.v1.2 transcript:Vigun03g359500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHSCSHTLFCGSIKLWEDTDRFKALTFQIIITLLCHQNSEMQSYERINS >Vigun07g069600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8472505:8474576:1 gene:Vigun07g069600.v1.2 transcript:Vigun07g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSSKFHSLTGSLARHVFFRALFLASAVSLVSLVRFLPTLELGSLTPKTYVDCVDHSDSPSQNTTLTAGSYLFQSRILNTFWGSFDSIKCHNHTALTSTVIAILKAKRLLNYGAKTLCIGQGSNILVSTMRRFGFSTVVGLNTSKNFASHFEYQDSSFDFVFSKDVNNVSVPALLVLEVERILKPGGIGVLLVGSSSSSSVSSLITSSTVVHVGFVNELGLVVFKKRSENTNSTSLFYNRVLPEDCGSVAFTKPLVELMEPLAEERARKDVKYERSVSYLPNLVDTSSRKKLVYIDIGVRELVNADINVSDWFPPSYPIQLKAFSVYFVHYNASVLSYVKRPRVTFVYHPGLAGRVAAHNVDMDPFLGEEEFDFLKWFKETVQYADFVVLKMSVGDVEMKFLADIFESGTICFVHELFLGCSESEEGKKGTVNSREQCMDIYKGLRSNGVYVHQWWDTKSHQGPQMSYIQ >Vigun05g210000.1.v1.2 pep primary_assembly:ASM411807v1:5:40062465:40065362:-1 gene:Vigun05g210000.v1.2 transcript:Vigun05g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQIGGDDGSKKEQRYTESKVYTRKAFKGPRNKANANAVNVAPRTTAATFTGDDSSGTITAAHYNSRDNITVDNGNPRAKDNCNNALVQQDLEDGNSVRPQHVNGALNDPSGIPGIPEWLPGPGSFVRLSLDWRSKPELMRRLESELNMVRSLVSRIEEKLGVIGAQYGISDMMVDSGTGRRVGTKRTHSEVASAVVPPREPSQPLHQLSVSVLENSQGVGETIEKEKRTPKANQFYRNSEFLLGKEKFPPSGSNKKSKLNGKKHGAAEMGHGMGLKLLKSCGSLLEKLMKHKHGWVFNTPVDVEGLGLHDYFDIIKHPMDLGAVKSRLNKNLYKSPKEFAEDVRLTFRNAMTYNPKGQDVHIMAEQLSDVFEERWAVIESNYNHEMRFEQDYGAAGPSPSPLSRKAPGFRPPPIDMRRILDRSESMTQPQKNMSITPSSRTPAPKKPKAKDPHKRDMTYEEKQKLSTNLQGLPSEKLDAIVQIIKKRNSALSQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKLELAIQARAQAEQNALQKSQAPVMVEISKEAQTADERNSPPSLPAQEEVQADGSKTSGSSSSSSDSGSSSNSDTGSSSASGSDAG >Vigun05g189550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36811368:36816219:-1 gene:Vigun05g189550.v1.2 transcript:Vigun05g189550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSHKKRKNRSEAWNHFIQLEPKSDKRAQCKYCDILIRYEKGTTSMRNHVLRCPNNPNKEVNKRQKVSASSTTDGNINSLSYGRFDQELCQEELLKMFVEAELPFRFVEHVAFRRYLNALQPRFKIPSRHTLSQNIVTLWNARKVHLKNFLSQHCQRVCLTTDTWTSPQNQSYMCLTAHFIDNDWNLYKKILNFRQVISHTGEAIAKFVESCLHEWGLSRVLTLTVDYATSNDTRIQHLKQRLLSWNNLVLKGEYIHMRSCAHILSLIVSSGLKEINKYVLRIRAAVKYIRSSPSRFMKFKECVGKQNIEHKGHICLDVETRWDSTYLMLDAAFKHKKAFDEFGFHDTEYANELGKGIGLPSYEDWGYVESILPFLSIFYEATLRISGTSYVTSNMYMLEVFGIWNGINHLLKSNGTSSATYKMAEKMKKNM >Vigun07g256100.1.v1.2 pep primary_assembly:ASM411807v1:7:37394935:37397622:1 gene:Vigun07g256100.v1.2 transcript:Vigun07g256100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDEFELTGTTFSQLLFGDDHDATTPALSLPHHHCSYDQHNLSASPLFSFHKPPKMLCFGTQPHIPVTPQKSVITSSDSSSASSSNHTNTAFTSLPKSTSLQKKRNGLGQEPVAKVGVGGQRQTKKTKAENPTSTGHAKRKEKLGERIAALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQSLSSSHHQQQHGEEGENSEGEVKKDLRSRGLCLIPVGCTVHVASSNGADFWSPGAIGKRVSPSGK >Vigun07g256100.2.v1.2 pep primary_assembly:ASM411807v1:7:37394829:37397629:1 gene:Vigun07g256100.v1.2 transcript:Vigun07g256100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDEFELTGTTFSQLLFGDDHDATTPALSLPHHHCSYDQHNLSASPLFSFHKPPKMLCFGTQPHIPVTPQKSVITSSDSSSASSSNHTNTAFTSLPKSTKKRNGLGQEPVAKVGVGGQRQTKKTKAENPTSTGHAKRKEKLGERIAALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQSLSSSHHQQQHGEEGENSEGEVKKDLRSRGLCLIPVGCTVHVASSNGADFWSPGAIGKRVSPSGK >Vigun11g061966.1.v1.2 pep primary_assembly:ASM411807v1:11:13881722:13882168:1 gene:Vigun11g061966.v1.2 transcript:Vigun11g061966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFHLSQQKHYCMMRYNEMMNEMNQKLSSHMKTKEHQTSLFFVFFHQESV >Vigun09g238000.1.v1.2 pep primary_assembly:ASM411807v1:9:40879896:40884583:-1 gene:Vigun09g238000.v1.2 transcript:Vigun09g238000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSTMALSSLLPKTAPHLLSLTNPFSSSPSTLILPFRFHFLSHLPPLSPLKAASSGGDSLRSKPLTSQGRGILEEHGNHAFEEDDDDKWIDWEDQILEDTVPLVGFVRMILHSGQYESGDRLSEEHEKTIIEKLLPFHPEFEQKIGCGVDYITIGYHPDFERSRCLFIVRQDGQVVDFSYWKCIKGLIRKNYPLYADTFILRHFRKKSRNL >Vigun10g179400.1.v1.2 pep primary_assembly:ASM411807v1:10:39744037:39745807:-1 gene:Vigun10g179400.v1.2 transcript:Vigun10g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQSRRQVAAMKQSLFDQGLLDEQFIQLEELQDDANPNFVEEIVTLHYRDSSRLISSIEQALKEKNPLDFNKLDTLMHQFKGSSSSIGAKKVKAECNLFREYCRVGNAEGCMRSFQQLKKEYATLRKKLEAYFQLARQAGPQEIACRSK >Vigun10g106050.1.v1.2 pep primary_assembly:ASM411807v1:10:30417099:30427017:1 gene:Vigun10g106050.v1.2 transcript:Vigun10g106050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLLILCLTFPLFLLFFFKYSTTLKSPPFPPGPRGLPIVGNLHQLESSSLHMQLRQFSKKYGPLFSLQLGLIRVIVVSSPKLAKVVMKDHDLECCDRPKLLGQQKLSYDGLDMAFSPYSSYWREIRKICVIHVFSSKRVSCFSSIRNFEVKQMIKTISRHASTSEDYIPFMGWVDTLRGLRARLQRNFKEMDKFYQQVIDEHKANSRKNTPHEDDIVDVLLQLKKHYSFSIDITNDNIKAVLMNILIAATDTTAVTVVWAMTLLLKHPRTMKKVQEEVRSLSGEKAVLDEDDVQKFSYLKAVIKETLRLYPAAPLLIPRETRKKVMIDGYEIPAKTIIYVNAWAIHRDPESWEDAEEFIPERFW >Vigun01g079450.1.v1.2 pep primary_assembly:ASM411807v1:1:22496031:22497318:1 gene:Vigun01g079450.v1.2 transcript:Vigun01g079450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEANMTHCLGAVIFCRSSIFAETYTLSDEECCFSFSWDPQVFDLALQSPICFKSLISTHITLKSHLVLCTGLYNYLVQLLHMSC >Vigun04g089800.2.v1.2 pep primary_assembly:ASM411807v1:4:16066652:16070293:1 gene:Vigun04g089800.v1.2 transcript:Vigun04g089800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGLDMRCDFISLLLWFRLAWFRRKDAIPSQRAMLLQIGVAMKAMPWYTLLPTVSEYLVENGWTKCYPRLYHVGWLAYVVYLAIYLVVVEFGIYWMHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHMLSLFFIPTHFTTHLVLIFLEGVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEEDGKAK >Vigun04g089800.1.v1.2 pep primary_assembly:ASM411807v1:4:16065814:16070293:1 gene:Vigun04g089800.v1.2 transcript:Vigun04g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTPLLSDYGSLFREDTDFYNCIVLGTLLPQSVWTPLSHFFQTWLRNYLGGVLLYLLSGFMWCFYIYYWKRNVYLPKDAIPSQRAMLLQIGVAMKAMPWYTLLPTVSEYLVENGWTKCYPRLYHVGWLAYVVYLAIYLVVVEFGIYWMHRELHDIKPLYKHLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHMLSLFFIPTHFTTHLVLIFLEGVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEEDGKAK >Vigun04g018300.1.v1.2 pep primary_assembly:ASM411807v1:4:1364806:1368228:1 gene:Vigun04g018300.v1.2 transcript:Vigun04g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLHNNPKMLEKQWLSTALNARTLGLGTETIVFAHGFGTDQSVWDKITPFLAEHYKVVLFDWPFSGAVDPTLYDPFKYSSMEAFADVLVTLMDQMDLKAITFVGHSMSGMIGCIASIARPDLFKRLILLCASPRYLNTDDYEGGFTSSDVEQFLQSMESNYDNWVTAFSLLAVDTNDEPSVNKFREFLRRMRAGVALSLAKTVFYSDYRDILEKIETPCTIIQTTSDIIVPFNVALYMERKIKGKVTLEALEAKGHFPQMTACSQLVDVLKGVIGL >Vigun10g060833.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12514168:12514467:1 gene:Vigun10g060833.v1.2 transcript:Vigun10g060833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSSGINTIRVFGVTKHQSRFLHSSMLHLRSNRVCGTGIGVEEKKKRKQCFVMAASVGGSKVGHFENTLPSKGYYDISLCALHVFDAVCFIVFGEQ >Vigun08g118100.1.v1.2 pep primary_assembly:ASM411807v1:8:28560651:28563687:-1 gene:Vigun08g118100.v1.2 transcript:Vigun08g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMQKQNLERIVVTYIIEPSLKETKMGLNSRDIQLRLSRMLRVSAEIGYSFMRKHPLISGALLVFFLLYIFLSYIYNLLVFLSPFFVCIAIFVRIFWSSEQNQLEEDVKKAKEKRVEIKSPPKVVKNERRGMLYKCLSHNATSRRRNFTGKSLEVYGGLEIRAKNLSSVFRNEFTISNRDFSRRFKLYDEDTCFDFSEAPTKETLMSEPFMLEPVVQGDHGQQKNTENKVDVEKTRLEDSNKSVEPKEDDQKNAMDLGTSALERHKRLESLMARRKARKQLKLQISNGVIDIKSMSSNQIAPLFISRLNHFDSPREFEGIQMPGSAPSSLRSPFDIPYDPFEEKPNLTAREGFDQELKNILTEPRQEDLQAKEHTLLTEPHPIDQRLQGTGDNNPLEQLPLEEASESESKAFVPLSEEGKETVPLSEEGKETAQEENAKCETEKTVDINGKEVENNAETTNSISDHANESDIIPTIDDDRAKLLEKVEEATVAKPEEGDNNVPLTSASATKINDSLYGSLPPSVEKNKPPSLSGGPIRHTPSCSLASDLQVEVSEIGSPTLTVDENNETTTTTDGESIIYDGDIDKDVTSGSEDMWGASLHSREVRRVSEQDISELNNWRDISSPLSLQNIDEENAADVSSISSDIPDDTPTYSMTNDQNNIFGVKDFVMEPSHSSVVAARWKRLMRLMDNRANHSSQETHSEKPREVFNISEDSSKAQVTNDGNNSTTSEQENTHNSQGNNEALNSEVQQEITDEVSINSNSSSSSSSSSSRAEP >Vigun03g261600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42857966:42858889:-1 gene:Vigun03g261600.v1.2 transcript:Vigun03g261600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVRSVFGVFLVTVVIVGPCSGNVRNDFHELKGGNGMANSTAEVSRGLVGDVGVSGTNSSEVLLGEMKFSGGNGGRGGGGGGGGGNGGGGGGGGGAEHKGRKRKGGGSGGGGGGGGGSGGGGGGGGGNGQGHGWGGGSGGGGGGGGGGGGGGGGGGSGGGGGGGGSGGGGGGGGGGGGGEGWGWGGGGGGGNGSVRCWVWGCGKKKLQERNGIGSTNSKG >Vigun11g198100.1.v1.2 pep primary_assembly:ASM411807v1:11:39674419:39676313:-1 gene:Vigun11g198100.v1.2 transcript:Vigun11g198100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGIWKDVTKQGINPGKATILALGKAFPPQLVMQEYLVDGYFRSTNCDNPELKQKLTRLCKTTTVKTRYVVMSEEILMKYPELVSEGIPTVKQRLEICNEAVTEMAIEASQACMKNWGGSLSEITHLVYVSSSEARLPGGDLYLAKGLGLSPDTQRIMLYFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAAAMIIGTDPILEYEKPLFELHTAVQEFLPHTEKKIDGRLTEEGISFKLARELPQIIEDSVEGFCDKLMSVVGFKNKEYNELFWAVHPGGPAILNRIEKRLDLLPEKLSASRRALMDYGNASSNTIVYVLEYMIEEGLKTRKDGGGDHEWGLILAFGPGITFEGILARNLCALKVT >Vigun11g198100.2.v1.2 pep primary_assembly:ASM411807v1:11:39674419:39676313:-1 gene:Vigun11g198100.v1.2 transcript:Vigun11g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGIWKDVTKQGINPGKATILALGKAFPPQLVMQEYLVDGYFRSTNCDNPELKQKLTRLCKTTTVKTRYVVMSEEILMKYPELVSEGIPTVKQRLEICNEAVTEMAIEASQACMKNWGGSLSEITHLVYVSSSEARLPGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAAAMIIGTDPILEYEKPLFELHTAVQEFLPHTEKKIDGRLTEEGISFKLARELPQIIEDSVEGFCDKLMSVVGFKNKEYNELFWAVHPGGPAILNRIEKRLDLLPEKLSASRRALMDYGNASSNTIVYVLEYMIEEGLKTRKDGGGDHEWGLILAFGPGITFEGILARNLCALKVT >Vigun05g003400.2.v1.2 pep primary_assembly:ASM411807v1:5:256291:263938:-1 gene:Vigun05g003400.v1.2 transcript:Vigun05g003400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADISQATRNPHEYQMIMSVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGNNVRRKSQGLVILVNDKDRIIEVRQKAAANRDKFRNNTAGGMHRPGSYSSSGAYGDRYDDDRYGSREEDRNGYGYGKEREWGYKDDDRNSRDGDRYGRDYEDRHGRDGYRDDDYRGRSQSADHYQHESRSRSSDRDRYDDDGQNSSRGSNAKAEDQSLEARLERKISEQNIGVAPPSYEEAVGESRSPVRSERDVETSAASVPRDSPPHVNDNPSQTSAPTRSSPVNNNTTEATAAASGAHAAEATEDFFDPRGLPSASSAAPAGPAAPATANNVEMDLLGSLSDSFSSNALAIVPSESATAAPDANAGSTASFAPPSSGSNNFNQSFEDPFGDGPFKAFTSTETAPSQPVTYQSIEPSQSNARNVETNFGFGDSFSVVPYTAPASDTQPFSTNSQFLSQDVSSAQLETDILADILPPAPLPEISSQQNISAPGFGHSSLPSLPASSGQMASQPFSEPTGQFTPKGFSAATSQPAQTFPVPTDQFSQQPFSAPSSQPGPVPSFSSPSSQYAQQPFSSHTGQHAFSTSTGQHIQPPFASQVGQPAQSGGIYGGLHSQDGSSNSQNGYNGHMNSGNFMPTGSTAAFPSNVTPQTPTGQLSAVTNFSHPGGSAPNMSQSPTNQASQFNNNFIGQQGNAGPFSSPITNQSLASNASQSIPLVSQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFEAINRKEKRMEKPTTAAVTSTITMGKAMGSGSGMGRAGAGALRAPSNPMMGSGMGMGVGMGNGPPGVGMGMGGGYGGMNPSMGMGMGGMGMGQGYQMQPPTGMHPGSNMPGNYNNSMMGPGSYGQQPYGVVNGQPPYGVNGQQPYGGYGQQPYGGYR >Vigun05g003400.1.v1.2 pep primary_assembly:ASM411807v1:5:256403:264052:-1 gene:Vigun05g003400.v1.2 transcript:Vigun05g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADISQATRNPHEYQMIMSVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGNNVRRKSQGLVILVNDKDRIIEVRQKAAANRDKFRNNTAGGMHRPGSYSSSGAYGDRYDDDRYGSREEDRNGYGYGKEREWGYKDDDRNSRDGDRYGRDYEDRHGRDGYRDDDYRGRSQSADHYQHESRSRSSDRDRYDDDGQNSSRGSNAKAEDQSLEARLERKISEQNIGVAPPSYEEAVGESRSPVRSERDVETSAASVPRDSPPHVNDNPSQTSAPTRSSPVNNNTTEATAAASGAHAAEATEDFFDPRGLPSASSAAPAGPAAPATANNVEMDLLGSLSDSFSSNALAIVPSESATAAPDANAGSTASFAPPSSGSNNFNQSFEDPFGDGPFKAFTSTETAPSQPVTYQSIEPSQSNARNVETNFGFGDSFSVVPYTAPASDTQPFSTNSQFLSQDVSSAQLETDILADILPPAPLPEISSQQNISAPGFGHSSLPSLPASSGQMASQPFSEPTGQFTPKGFSAATSQPAQTFPVPTDQFSQQPFSAPSSQPGPVPSFSSPSSQYAQQPFSSHTGQHAFSTSTGQHIQPPFASQVGQPAQSGGIYGGLHSQDGSSNSQNGYNGHMNSGNFMPTGSTAAFPSNVTPQTPTGQLSAVTNFSHPGGSAPNMSQSPTNQASQFNNNFIGQQGNAGPFSSPITNQSLASNASQSIPLVSQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFEAINRKEKRMEKPTTAAVTSTITMGKAMGSGSGMGRAGAGALRAPSNPMMGSGMGMGVGMGNGPPGVGMGMGGGYGGMNPSMGMGMGGMGMGQGYQMQPPTGMHPGSNMPGNYNNSMMGPGSYGQQPYGVVNGQPPYGVNGQQPYGGYGQQPYGGYR >VigunL087200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:20068:21939:1 gene:VigunL087200.v1.2 transcript:VigunL087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun03g016701.1.v1.2 pep primary_assembly:ASM411807v1:3:1159936:1160585:1 gene:Vigun03g016701.v1.2 transcript:Vigun03g016701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSGKLDLGVRRDILDWIWKEHTICYWMLGGTYLIGFGRVFSFDEHKATK >Vigun01g203100.1.v1.2 pep primary_assembly:ASM411807v1:1:37915868:37918944:1 gene:Vigun01g203100.v1.2 transcript:Vigun01g203100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTFSSTYYLLPIPLSRAIHSFIHSFPHTPTFCTLQQTTAILHNLNCLASLFSFNRMIQEMPDSTRSAMTRTSYSIYDNDFLELVWENGEVVVRGGSSNRSRKTNEERVYQDVSIKRTRLNPLYSLEDHFSPLKESDMSSSYQSNAQDSCHSTQNKNSCKLNEEFENLLRPAKDSKTVAPGHGLLQSPLKKQRTDSTQTPPPPNTRNMGFDQRTAKVNFSNFSIPAVFLKPSTCHGSSTTQQTKNHSSPARVEGVEARKVEKSTKESQGFRKQTSLTVERSAKPPPPLDEHSEAIALEIHRHQGQLRYDQTSGAEALRAKEKAYNNSNTLCKEPFLASSVCSLGASNDPNVGIRKNEDTDDSTYLSDNDEEPENMVKQDREGNRVKRSRNAEVHNLSEKKRREKINKKMRTLKELIPNCNKVDKASMLDDAIDYLKTLKLQLQIMSMGSGLWPLMMLPAAAASHMNGTHLPQLVGAGMGFRPPQLPVPPLSAITDTRLHHMYGFPNQIPTMAMPHAPFFPIIGNSPTQPPHLAATTAPKSLQVSLPTNVDPLENAANQVQNPVSCHPHAISTPFIFPQK >Vigun04g131566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32950713:32951957:-1 gene:Vigun04g131566.v1.2 transcript:Vigun04g131566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGFHLGSRKTLRPSSLPIQKPASFSTGKPKSPRQ >Vigun03g230700.1.v1.2 pep primary_assembly:ASM411807v1:3:38418984:38426440:-1 gene:Vigun03g230700.v1.2 transcript:Vigun03g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISHVITTNPTLPHLPFTRSTALRFSCFNPRFLRLPPTGVAGKVGVFAEVSSPSRTTSAIDFSDPDWKTKFQQDWKARFRLPHLTDIFPDAPPIPSTFCLKMRTSIDRDFPGHYSSDEDWHGYINNNDRVLLKTIYYSSPTSAGAECLDPDCTWVEQWVHRAGPREKIYYKPEEVKAAIITCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKELTEVPLSRRVVQNIHLSGGSLLGVSRGGPAVSDIVDSLEERGINMLFVLGGNGTHAGANAIHLECCKRRLKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLSSGQVDICLIPEVPFNFHGPRGVLSHLKFLLETKGSAVVCVAEGAGQNLLQKTNATDASGNVVFGDIGVYIQQETKKYFKEIGIHADVKYIDPTYMIRAIRANASDGILCTVLGQNAVHGAFAGYSGITVGLCNTHYAYFPIPEVISHPRLVDPNSRMWHRCLTSTGQPDFI >Vigun09g225300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39805105:39806090:1 gene:Vigun09g225300.v1.2 transcript:Vigun09g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQDNAVSTEEEALTFMLHEILTDSETDVGQFCFKEETIEKLMQELYKEITTITVSAPSPEPPSPTTPTLSSNVSVGGQVEPEDEIVEFEVAQKTEEEEFKDFDDEWLARVLSWSQVLDTADWF >Vigun06g161500.1.v1.2 pep primary_assembly:ASM411807v1:6:28377573:28381157:-1 gene:Vigun06g161500.v1.2 transcript:Vigun06g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGCSIWGCAKALQPATSTLSLNSKHDLGKCGKWRRCCSSVVNGVESSFQYADRVQVQITELDDGFHKDLNMLPKPLTANKFSSSRDGTKLCVAYKGLAGAYTEEAALKAYPKCETVPCDDFETSLQAVESGLVDKAVLPIESSEGGSIHHNYDLLLDHKLHIVGEVQLLINHCLLGLTGATKENLKFVLSHPQALVQCEMMLTDLAVTNIGVDDTAAAAKAVSLDGRRNIGAIASSRAAKLYGLHILAEGIQDDDNITRCLILARDPILPETNGSYKTSIVFSLQEGPGVLMKALEVFGLRNINLSKIESRPVKRYPVRLRDDSKYESIKYFDNLFYIDFEASMTNPNAQHALESLQEYTTFIRVLGCYPVDKTDISC >Vigun09g260000.1.v1.2 pep primary_assembly:ASM411807v1:9:42508923:42516068:-1 gene:Vigun09g260000.v1.2 transcript:Vigun09g260000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MVNCFCLNPFISVSSNVACTSHTVRSRQRLAPQKSVDLALGYRNPLGYGFGFGLRRSLHEMVNSRFKGVAVMTDDKSTISSTEEYLENIGIFSTDPSLNPYKDHFKYRLKRYVDQKKLIEEYEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQIIGDFNGWDGSNHQMEKNQFGVWSIKIPDVDGNPAITHSTRVKFRFRHGDGVWVDRIPAWIKYATVDPNRFAAPYDGVYWDPPLSERYEFKYPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADEILPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFYAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVIHSHASNNITDGLNGFDVGQTSQDSYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINIAFTGNYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGIGQEVSDGGIGFDYRLAMAIPDKWIDYLKNKNEYTWSMKEISWSLTNRRYTEKCVSYAESHDQAIVGDKTVAFLLMDEEMYSGMSCLVDPSPIVERGIALQKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDDKFSFLASTKQIVSSADDENKVIVFERGDLIFVFNFHPENTYEGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYRVEESEEEGNNNSLVGLEDIEDTSAAADVTKVPDKSASLESEDDIILDGVKVKQTLTSADVSAEVEKVSVRSEGRNLNEG >Vigun01g009800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1136560:1138239:1 gene:Vigun01g009800.v1.2 transcript:Vigun01g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNLARSAFGLGVAATALSSSLYTVDGGQRAVLFDRFRGILDDTVGEGTHFLIPWVQKPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEIGKLPVIVKNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRSQVSALVRDSLIRRAKDFNIVLDDVAITHLSYGSEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATASAGMGLIELRRIEASREIASTLAKSPNVSYLPGGQNLLMALNR >Vigun03g240600.2.v1.2 pep primary_assembly:ASM411807v1:3:40118068:40119115:-1 gene:Vigun03g240600.v1.2 transcript:Vigun03g240600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSDISHSTFEAPPHTKFTTLQMQDSASKMENKRKTLLLLLLLVAESFFCMPNVTDAASDVNFEGHLTHSELVKRPTRKFLSFVDCGERCRVRCSLQSRPKICARACGTCCSRCNCVPPGTYGNREVCGKCYTDMITHGNKPKCP >Vigun07g040400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3965850:3967150:-1 gene:Vigun07g040400.v1.2 transcript:Vigun07g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFVEISNCMAEMIRYVANEPSAGLFFIQQHTQNAVPNIMKLKKNVSDKSRETTLHTEDLEDSVTMVRSMKDCGFPIADEMIGDIKKSLITITSKKPKRWLTHRPTSNFQAQRANSAVYAQEGSEISDNYFSSVFNFSNSYSFKWPQLDSMEWVNSSAEKPQLQRSVSLPDASADTNASPEADKKPPSSLVEDEFRSEAGDVEEKLLSVSDKYDDFKACKEAKLEEWLEGTSNNGENSLPGDEKRL >Vigun01g181700.1.v1.2 pep primary_assembly:ASM411807v1:1:36255599:36263370:1 gene:Vigun01g181700.v1.2 transcript:Vigun01g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSERLRLRWLFPKPTRFLALVSLSTLHHLCKSIVRFLLSQTLPFLAMDNFFSDYLSDDFDSSPYRPHRRFLQDHDLDFAHERVYLLPYRWLVEAADEADRREGVLYTVTCNSDSDSEILLHLRKEEERHKIGVSGRQYALVREGFWLRTLKRYNDSNNGVKDVGSLSNAEDFLPELFPLRVKIFVSWETTSLEAKISQKENVADFYEKACDIFNSSYNPMHIWDFSGQTTQFFLNDKSRLPLDSSGQSVKEVTLELQVHGLPDSMRGNGGNEMILDRSQMECSRSGSVTMNGSTDNVPPCITANYFRGSSYRAIRSLGLTGLQNLGNTCFMNSAIQCLAHTPKLVDFFLGDYHKEINYENPLGMNGELALAFGDLLRKLWVPRAMPIAPRTFKTKLANFAPQFSGYSQHDSQELLAFLLDGLHEDLNRVKRKPYHEVKDADGRPDEEVAEEYWRNHLARNDSIVVDLCQGQFRSTLVCPICKKVSITFDPFMYLSLPLPSTTIRTMTLTVISTDGITLPSTLTVTVPKCGTLKDLIGALSTSCSLREDETLLLAEIYRNKIFRVFENPSDSLGDIRDQDKVVAYRMPKDTETTPLVVFVHERSVENFGKESLFGIPLVTRLSNISCGYDVQREFMKIINPFLMRAKDVSDDYENNEGISKRPSEDDELGDTTNSCAIGNDADSIVGTDDGIHLSTDFEFYLHGMENAKLILDKPLTVTTLTRKGPEIVVVWSDKMLKMYNTSLLDSLPEVFKSQLFTKRIQESVSIYKCLEAFLKEEPLGPEDMWYCPTCKKPQQATKKLDLWRLPEVLVVHLKRFSYSRYLKNKLETFVDFPINNLDLSTYVAYGNSQSTNRYVLYAISCHYGGLGGGHYTAFVRYGDDKWYDFDDSRVEAVNEDTIKTPAAYVLFYRKV >Vigun03g323000.1.v1.2 pep primary_assembly:ASM411807v1:3:51874843:51879147:1 gene:Vigun03g323000.v1.2 transcript:Vigun03g323000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDSAESTVPQNSPRLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTEDKREQYRLMRDAMEKRFLRVTRGSIVGGIRLGMFTAAFYCMQNFLAEKRGVHDVFNVVGAGSATASAFGLIMPGSLRWRARNMALGSVLGAAFCFPLGWVHLKLVQMANEASQAAHPPGQREVKSGVSAAIERLEGNLSK >Vigun02g156750.1.v1.2 pep primary_assembly:ASM411807v1:2:30290376:30291230:-1 gene:Vigun02g156750.v1.2 transcript:Vigun02g156750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASFHLLLHFFPFLNWFSKSTTQVRSRVEQRCFVLEIVGGLIQCKYLVQANWIS >Vigun11g019000.1.v1.2 pep primary_assembly:ASM411807v1:11:2352343:2357490:-1 gene:Vigun11g019000.v1.2 transcript:Vigun11g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLRDVVSRVPDYGHGHGHAEAGADDRAISKRRKAVGDDCNDSDEEAKRSKMQELSHTGTTGRGRGRGRGRGRGRGRPPLVRDTYHQDAESEPCTSVQPSNHQSTNPIVAVDSGSESKDIPKEENVAIPVVSTDSLRNIDLNAITNENDDKKASVAADTSLPEPPSTEGKHEEILGWPLPDVDKMAIDSTQLAQLGRPLEEEEEDYDEEEG >Vigun08g098600.1.v1.2 pep primary_assembly:ASM411807v1:8:23921038:23929717:-1 gene:Vigun08g098600.v1.2 transcript:Vigun08g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSKLRNLDAYPKINEDFYSRTLSGGVITLASSILMLLLFISELRIYLHSVTETKLVVDTSRGETMRINFDVTFPALPCSILSLDAMDISGEQHLDVKHDIIKKRLDSHGNVIETRQEGIGSPKIEKPLQRHGGRLEHNETYCGSCFGAESSDDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQRIKDEEGEGCNVYGFLEVNKVAGNFHFAPGKSFQQSGVHVHDLLAFQKDSFNLSHHITRLSYGEYFPGVVNPLDNVHWIQETPSGMYQYFIKVVPTVYTDVNGHTIQSNQFSVTEHFRTGDVGRLQSLPGVFFFYDLSPIKVTFTEGHVSFLHFLTNVCAIVGGIFTVSGILDSFIYHGQKAIKKKMELGKFN >Vigun09g011000.1.v1.2 pep primary_assembly:ASM411807v1:9:836248:838975:-1 gene:Vigun09g011000.v1.2 transcript:Vigun09g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTERLGVLIMYFALVSHVGAVRKVMPVAVPDIFKNKNVAHDKLLPGERIYNVRSFGAIPDGKSDCTEAFMNAWRATCDSTEQARLLVPEGRFLLSSMFFAGPCSTPEPVTIQVVGTIVAPTDLSEYVDSEWLLFEDMDGIKLLGGGTFDGVGMESWLTASNCVDIPFGTCIRNPASIYFHRIKNGIIQNIKSINPKGFHIFVTNCANIRLRRLKLTAPNESPNTDGIHISHSIGVRVAKNTIETGDDCISIIHGSHQVSVYNVNCGPGHGISIGSLGKYEEELEVKGIRVRNVSMVGTQNGLRIKTWPDLFPGHASDIIFSDITMQNVRNPIVIDQEYQCSSNCEASLVQIKNVIFSNIKGTTATPIAVDLRCSNKFPCETIQLENIDLSLSLKSKPSGSRCANIKPIYKGMQNPQGCL >Vigun01g116500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29304614:29308302:1 gene:Vigun01g116500.v1.2 transcript:Vigun01g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEFECETLQKQVVQAHGSTTIKVAHFFSSSNPLVFHYRMAQQIKTIKKRLDKVAADRHKFGLDRIGVDRRFVHRRDMTYSYVIDSDVIGRNQDKENIIQLLVQQNPNNNDKRLSVIPIVGIGGLGKTTLAKIVFNDTRIHELFPLKMWVCVSNAFEIKQEIIKIINSNNDSAHQQNLDKVDVEQLQCQLRNKLACKKFLLVLDDVWNEDLVKWVELRDLVQVGAAGSKILVTTRSHVTASMMGTVPSYLLEGLSEEDSLSLFVKWAFKEGDEKKYPYLVSIGKEIVKKCKGVPLAVRTLGSLLYLKDQKEEWEFVRDNEIWSSIKHGSAMWPALKLSFDQMPSNLRQCFALFNLYPCGYAFDSFDVTSLWGALGLLPSPNRNQILKHGGANQYMCELFSRSFLQDVVDYDIGFAFKIHELVHDIACYLGKGSIIVRYPFVFRPEHRYVQHLSFPENVGIENFPVHKFVCARTILFPTPGIGANSEVFLLECVSRCNRLRFLDLSDSVSKTLSPYIGKLKHLRYLSLENNKSLKRLPDSLCNLLKLEVLILSGCTELVALPKGLRKMISLQHLEITTKQCVFPEDEIANLSSLQTLRIEFCNNVESLFGGITLPTLKVLCISCCQSLKSLPLDIEHFPELETLLVDNCDVLELSKEHNQNFHLRLKIVNFISLPQLLILPQWLQGSTDTLQYLLISSCNNLVGLPQWLSAMNCLKTLCVTSCPNMLSLHDDIHHLPTLERLEIDGNLESCQHLTFDEPDEEVEEKIEELE >Vigun11g136800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34602850:34603268:-1 gene:Vigun11g136800.v1.2 transcript:Vigun11g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPAFAPALYLLFSLQLCASITPHKAHMLMEKKKKRCVCMCVGMNEKPSTRCRCICRRMTSWLVNINFSNLF >Vigun06g105300.8.v1.2 pep primary_assembly:ASM411807v1:6:23478035:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYSLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNGTSNSFAVFNIYPRYFRVTGSGEVRSLEQSVELVSGDLVLNRGDPEWWSFYDLGYLGSLGCGKFPGPMAIIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAAGELEVEEILYWTLVKIYRSPHMLLEYTQAE >Vigun06g105300.10.v1.2 pep primary_assembly:ASM411807v1:6:23478035:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYSLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNG >Vigun06g105300.6.v1.2 pep primary_assembly:ASM411807v1:6:23476678:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHHINGMLLVFILFWAASTYIFNVVLTFSSNKSWKDMEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYMIFFLIYLLSHAIDCKLHQAIILLCEAQFAVQFILQLDLISKTLNQKGSYAFEILSQLGLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNGTSNSFAVFNIYPRYFRVTGSGEVRSLEQSVELVSGDLVLNRGDPEWWSFYDLGYLGSLGCGKFPGPMAIIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAAGELEVEEILYWTLVKIYRSPHMLLEYTQAE >Vigun06g105300.3.v1.2 pep primary_assembly:ASM411807v1:6:23476678:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHHINGMLLVFILFWAASTYIFNVVLTFSSNKSWKDMEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYMIFFLIYLLSHAIDCKLHQAIILLCEAQFAVQFILQLDLISKTLNQKGSYAFEILSQLGLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNG >Vigun06g105300.1.v1.2 pep primary_assembly:ASM411807v1:6:23469313:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIPGFALPMLLLLASLLNWSLISLLDLMAFLFIQYTASRKGFRVHQQYVISWSVLMLSSLTLISHAVFHIVLVIEGDHWSTADAQWAELIGFIRVHSWRTLLREHFLVTQVLATFLSIVEIYGNWFDQNPWWRDFCSGNLCYFVLLIGSHLKGTCCLLLPAVQLIAGISHVSWISFPFFICSSIGLVDWSWTSNYLGIFRWWKYLLFYGGFNIIFLYIYQLPIEFPATFRWIFYHFGLFKISRSSEWSEVCSGLSLLLFYIMLSWIRSELAEMEIITSTRESDLTEQLLPKKHSHFLQESRSGVRHTNLLLQGVVSRSFSINFLTYGFPISLLALSLWSFHFASLGSFGLLAYVGYILYAFPSMFRLHHINGMLLVFILFWAASTYIFNVVLTFSSNKSWKDMEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYMIFFLIYLLSHAIDCKLHQAIILLCEAQFAVQFILQLDLISKTLNQKGSYAFEILSQLGLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNGTSNSFAVFNIYPRYFRVTGSGEVRSLEQSVELVSGDLVLNRGDPEWWSFYDLGYLGSLGCGKFPGPMAIIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAAGELEVEEILYWTLVKIYRSPHMLLEYTQAE >Vigun06g105300.9.v1.2 pep primary_assembly:ASM411807v1:6:23478035:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYMIFFLIYLLSHAIDCKLHQAIILLCEAQFAVQFILQLDLISKTLNQKGSYAFEILSQLGLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNG >Vigun06g105300.7.v1.2 pep primary_assembly:ASM411807v1:6:23478035:23489580:1 gene:Vigun06g105300.v1.2 transcript:Vigun06g105300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWETIGLWHYPIPGYYLLAQFCLGFLFALCSLVNSSVLLCITGQGQLTADEAVEEVEEETTLSVVATIAWGLRKCSHAAVLILIFLISIRSGIVHAVYMIFFLIYLLSHAIDCKLHQAIILLCEAQFAVQFILQLDLISKTLNQKGSYAFEILSQLGLLNYIHSVDFFQISILACFCAIHNHGLQTLLSLSAIVRQTSCPPFGFSIFRAFLIRPVCLSGYSPRSSENQGTHERKTKSYLEVIRLNFLSIYRLCGKYIAFITILFTIYLCTPNYASFGYLFFLLLWISGRQLVGKSRKHLWYPMKVYAIVVFVSIYGIGVFSNSEIWFPRIVDLQTAFGYNPEASMLQNIWGSLAVLVVMQLYSYERRKSKRSASSDCNGPEVGPFAFARRLLFRHAEKILFLALFYASLSPISAFGFLYLLGLINCSRLPKLSQIPAKIFIVYSGLLITVEYLFQMWGNQSEMLPGQEHFQLSLFMGLQLYKPGFKGLESGLRGKVVVIVACILQYNVLRWLEKKHVHGNGGKWDEPCPLFNPIEVPNELTACTQSKQLENSTSPTFKKSGRSRSWPTSNSALSQGADSGPERDSAKKIRYFHFWESSKDSLKWNRKRILFLRKERMEMQKTVLKVSLKFWIENMFNLFGLEINMIALLLASFAVLNAISLLYIASLAACVLLHRLLIKKLWPVFVFLFASIVVIEYLAIWMRITHMNQDIEEQVPCSDCWRRVSDIHFSYCKKCWLGIIVDDPRMLIWYYGVFMFSCFKFRADHSSCLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFVDHLRLYGYCHLLDFVLSLILITGTLEYDILHLGYLGFALVFFRMRLKILKQGNKIFRFLRMYNFVLIVMSLAYQSPFVGNFSNFKLGSIESINELIGFHKYDYGFRITSRSSIVEIIIFVLVALQSYMFSFPEFAYVSKHLEKEQIGAILRQQEKKAAWKTAHLQHIRKAEELKHLRSLQVEKMKSEMLNLKNQLHNMNTEANCSHASLKIDGLTNRGNSSRDLHPENGFRKQDLDMTWETTSPFDGKQSLLSEKSKFPLIPQYRKHPMVSPHGIVEGKERTKNNNVFDLEIRNRCELRGRRNSLVSAIYFIGSGLSQLQSLGNMAVTNLMNYLKIEREELESTDDSSEDEEYYEIEIENQNVGAEPLEPAISTHSIHEHTVPDTACLQIGIILRYMWSRMRSNNDVVCYCCFILIYLWYFSLLSVVYLAALFLYALCQNTGPSYIFWVIMLIYTEVCILLQYLYQIIIQHTEFEFHASLLQKLGFPTKQITSSFVTSNLPFFLVYIFTLVQISVTVKDGGWTITADLTFYKRRNQSCIENLKCSTYLERLQRLFLPVKSILKLIIRSLSRYWKSLTWDAETPPYFVQLSMEVISWPKEGIQPKKIETRINRLLKILHKRRCKLDNHFKLHSASTVRVQSIEKGEENKNLCLVVFEVLYASPPVEFAAEEWYSSLTPAEDVSNEIQKAQQAGIFKEIGFPYRILSVIGGGKKEIDLYAYIFGADLAVFFLISILYETVMKANSEFFEVYQLEDQFPEDFVLVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTKYAWDMDPLHRYAGILALRAIYLTKAISLVLQAIQIHFGIPHKSTLYRQFLTSSVSRINVLGFRLYRAIPFLYELRSVLDWSCTTTSLTMHDWLKLEDIHSSLFLVKCDVDLNRARHQQGQKQTKTTKFTNGICLFFVLLCVIWAPMLMYSSGNPTNIANPIKDASVRVDIKTSSGRLTLFQTTLCEKISWENLEARTSLDPQGYLSSYNEKDIQLICCQSDASTLWHVPPIVQARFTKYLTRHMDISFSWEFFRDRPKGKEAVKYELTIMEPDIPTSSEVTKVFNGTSNSFAVFNIYPRYFRVTGSGEVRSLEQSVELVSGDLVLNRGDPEWWSFYDLGYLGSLGCGKFPGPMAIIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAAGELEVEEILYWTLVKIYRSPHMLLEYTQAE >Vigun03g101400.1.v1.2 pep primary_assembly:ASM411807v1:3:8713909:8721102:1 gene:Vigun03g101400.v1.2 transcript:Vigun03g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVSELQVEDLVNAGLTLAEANELYRVLRDILSQCPSDTDNHRSLIWRHLVSRKLLKPSFPHSLHHLLYHSVYHSQHASLPLYWFPSLDTSKCTNLGRFMETHAPQLLGPSYKDPISSYRLFHNFSVQHPQLYWSLLLKELSVSFVEPPKSILDTSDPSRHGGTWLPASVLNIADCCLQPSSHPYKPDDSIAIVWRDEGFDDSEVNRITLKQLRQQVMLVANAIDASFSKGDAIAIDMQMTVNAVIIYLAIVLAGCVVVSIADSFAPKEIATRLRVSKAKGIFTQDFISRGGRKFPLYSRVIEAASCKVIVLPVIGDDLGVQLRECDVSWKDFLSSSRQHPRSHHYSPFNQSIDAVTNILFSSGTTGDPKAIPWTQLSPIRSAADGWAFVDIQPGDVYCWPTNLGWVMGPTLIYSCFLSGATLALYHGSPLGHGFGKFVQDAGVTILGTVPSLVKTWKNTQCMEGLDWTKIKTFCSTGETSNVDDDLWLSSRSYYKPIIECCGGTELASSYIMGSLLQPQAFGTFSTASMTTGLVILDENGVPYPEDVACVGEVGLFPIYMGATDRLLNADNDEVYFKGMPMYKGKVLRRHGDIIKRTAEGYLVVQGRADDTMNLGGIKTSSIEIERVCDGADECILETAAISVAPAHGGPEQLIIFVVLKKGYNSDAETLKRKFAKAIQSNLNPLFKVSLVKIVPDFPRTASNKLLRRVLRDQVKRELSIQSRL >Vigun08g094450.1.v1.2 pep primary_assembly:ASM411807v1:8:22353094:22354958:-1 gene:Vigun08g094450.v1.2 transcript:Vigun08g094450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGAMVARLTPDQKVACSIHVGFNPLFIQHPIFFQNSIINLVPLTSCKI >Vigun10g057250.2.v1.2 pep primary_assembly:ASM411807v1:10:10676243:10678099:-1 gene:Vigun10g057250.v1.2 transcript:Vigun10g057250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISFSYMVHMRTIPRGAVILFSIMMLLFDTTHSVVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDIPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGWGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCIECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEQQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIMIEDGEILTLESVYENKFRTGAMGHFYIYLADQIPNKYLKEI >Vigun10g057250.1.v1.2 pep primary_assembly:ASM411807v1:10:10670055:10678099:-1 gene:Vigun10g057250.v1.2 transcript:Vigun10g057250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISFSYMVHMRTISRGAVILFSIMMLLFDTTHSVVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDIPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPNPFAVELGNPTKIKHAFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEQQVPLKFYILDSTDRVRSNGFTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIMIEDGEILTLESVYENKFRTGAMGHFYIYLADQIPNKYLKEI >Vigun03g125300.1.v1.2 pep primary_assembly:ASM411807v1:3:11810979:11814056:-1 gene:Vigun03g125300.v1.2 transcript:Vigun03g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQNGEAKKKEEEPKDSICGYDSLHLLLKDNLNPQHFQEVSRLLTGLNCGKAIETIALPESATALSVKHGFDLQAFSFSADKELLREPRVVRVGLIQNSIALPTTAHFADQKKAIFEKLKPIIEAAGSSGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTRFLQSFALKYNMVIISSILERDINHGEVIWNTSVVIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETVYGKIAINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVASINRVGTETFPNAFTSGDGKPAHADFGHFYGSSYVSAPDASCTPSLSRYRDGLLVTDMDLNLCRQLKDKWGFRMTSRYELYADTLARYVKPEFEPQVITDPLLHRKAS >Vigun04g053000.1.v1.2 pep primary_assembly:ASM411807v1:4:4964229:4971229:1 gene:Vigun04g053000.v1.2 transcript:Vigun04g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGSAPFLVEANADFTLMMMRNLKLSSPKFGSVSGSSFGHSGARGRGRGRVNHLCSRGLLCLVENNKRIRASGMGFGMSEESEKMVQGTIEKSKQVLAMQKELLEKIAERKELVKSINSELSLEEGNGISDEHSNESLLSTVENQNGGTVSSHESLLSSVENQNGGTVSSNESLLSSVENQNGGTVSSNYVQSNEKEIPDVSVLGIDRGIDKCEEDDKLSLAEKALATQYLDELLNSNRYETIAPDTVAPYTEPIEIPIGTRPTNHIIEILEGMSESSLVEVANEADIVESEGEKAPPLAGENAMNVILAAVECAPWSKAGGLGDVAGSLPKALARRGHRVMVVAPRYSNYAEAQEIGLRKQYKVDGQDMEVTYYHAFIDGVDFVFLDSPNFRNLQNNVYGGNRMDILKRMVLFCKAAAEVPWHVPCGGICYGDGNLAFIANDWHTALLPVYLKAYYRDNGLMKFTRSVLVIHNIAHQGRGPLDEFRYTDLPEHYIDLFKFYDPVGGEHLNIFAAGIKAADRIVTVSHGYAWEIKTSEGGWGLHGIMNENDWKLRGIVNGIDTKDWNPKFDVHLKSDGYTNYTIETLQHGKRQCKAALQRELGLPVREDVPLLGFIGRLDHQKGIDLIAEAIPWLMGQDVQLAMLGTGRPDLEDMVKQLVSQHSDKIRGCVGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVTPFNPFEESGLGWTFDCAETNKLINALGNCLMTFKQFKHSWEGLQRRGMMQDLSWDKAAQQYEEVLVAAKYQW >Vigun09g192800.2.v1.2 pep primary_assembly:ASM411807v1:9:36770703:36773721:-1 gene:Vigun09g192800.v1.2 transcript:Vigun09g192800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQRQLFSNFSRMGQELFSTFQLERKRLLGFISITIAIILAFQYLELPYGAVQPTVFSGNKTPTSDSTGFQAADLPSNSETLKNVTFLNQENSTDENAHEIVDIPKTSEVKDNVSSTGLISEPARGSGRSLEFGVTDESSSEESFEISNNGSATGQTGNLGYNNTVSHLVPPSETKVSQNMTPPMLSNDYDETEFTEGERFKPPQDDVKIVGNNSSISSMPKETKRSQIPQEVTTISKMNELLLQNRASYRSMRPRWSAAVDQELLQTRSEIENAPTVNDDVNLYAPLFRNVSRFKRSYELMERTLKVYVYREGAKPIMHSPYLLGIYASEGWFMKQMEASTQFVTKDPKKAHLFYLPFSSRMLEETLYVQNSHSSRNLVQYLKNYVDMIAGKHNFWNRTGGADHFLVACHDWAPTETKRNMGRCLRALCNADVKEGFVLGKDVSLPETYVRNAQRPTRNLGGNRVSKRKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGTLPKSKGNRNYIQYMKSSKYCICAKGYEVNSPRVVEAIFFECVPVIISDNFVPPFFEMLNWESFAVFVLEKDIPNLKSILLSIPQKRYLQMQMMVKKVQQHFLWHRSPVKYDIFHMILHSIWYNRVFTAKAR >Vigun09g192800.1.v1.2 pep primary_assembly:ASM411807v1:9:36770611:36774264:-1 gene:Vigun09g192800.v1.2 transcript:Vigun09g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQRQLFSNFSRMGQELFSTFQLERKRLLGFISITIAIILAFQYLELPYGAVQPTVFSGNKTPTSDSTGFQAADLPSNSETLKNVTFLNQENSTDENAHEIVDIPKTSEVKDNVSSTGLISEPARGSGRSLEFGVTDESSSEESFEISNNGSATGQTGNLGYNNTVSHLVPPSETKVSQNMTPPMLSNDYDETEFTEGERFKPPQDDVKIVGNNSSISSMPKETKRSQIPQEVTTISKMNELLLQNRASYRSMRPRWSAAVDQELLQTRSEIENAPTVNDDVNLYAPLFRNVSRFKRSYELMERTLKVYVYREGAKPIMHSPYLLGIYASEGWFMKQMEASTQFVTKDPKKAHLFYLPFSSRMLEETLYVQNSHSSRNLVQYLKNYVDMIAGKHNFWNRTGGADHFLVACHDWAPTETKRNMGRCLRALCNADVKEGFVLGKDVSLPETYVRNAQRPTRNLGGNRVSKRKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGTLPKSKGNRNYIQYMKSSKYCICAKGYEVNSPRVVEAIFFECVPVIISDNFVPPFFEMLNWESFAVFVLEKDIPNLKSILLSIPQKRYLQMQMMVKKVQQHFLWHRSPVKYDIFHMILHSIWYNRVFTAKAR >Vigun03g230300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38344057:38345726:1 gene:Vigun03g230300.v1.2 transcript:Vigun03g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRMEEKQNTDNDGTSEGTADSDTLSMLRPALSLLKRRSFNACRDFTRKIARSDPNVSLQIDQILAVADVLAAAERRHDPLDWYSILRLSPGDAAADRDFVRQQFKILVRLLDPNKNKFPFADEALMRVREAWCVLSDPTLKASFDRKVQDETSSFWTMCPYCWYLHEYQRCYEDCTLRCANCQKTFHGAAVKPPPPESVVAGKEEYYCYHVSLPLRYPVGDRRGFGDEENGMRKRLRVKTVANRTRTKGFVHALADSDSDSDGEENEGR >Vigun05g160101.1.v1.2 pep primary_assembly:ASM411807v1:5:25601458:25602241:-1 gene:Vigun05g160101.v1.2 transcript:Vigun05g160101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDATLRAIRRSEKLRVSTRTLQWKCVESRIDSKHLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITCVKSEKIPHEYSTIIGIEESVHEIFMNLKKNCIEK >Vigun03g057100.1.v1.2 pep primary_assembly:ASM411807v1:3:4632088:4632616:-1 gene:Vigun03g057100.v1.2 transcript:Vigun03g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMTLGFMLLLVLIFTSDVTVKRTEATLCTTPSKTFKYLCFGDTHCNSVCKTEGFDSGKCDGVLNRCMCTKNC >Vigun04g112500.1.v1.2 pep primary_assembly:ASM411807v1:4:28310657:28311525:1 gene:Vigun04g112500.v1.2 transcript:Vigun04g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLDDESPRRRRLCSGDEIQVHFGWRKPHEGDKIHDRDDFAPSASFISPTIVLAMSVGVSGVNGEVLNPVKSR >Vigun04g133500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33468104:33472534:1 gene:Vigun04g133500.v1.2 transcript:Vigun04g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLFFRMIIWYQRTIMSASFLKTFCILLLLWLLTPGITVAFNNSSESGEAKCIETERQALLSFKQGLVDDFGMLSTWTDNTDCCKWKRILCNHQTAHVYLLDLHGNYYNNTPYLRGSVNVTSFIHLPYIQHLDLSHNYFVLNYIPDFIGSFTNLRFLDLSHSYFEGRIPSTLGNLSQLRYLDLQDNYLWGDIPIQIGNLNHLHYLNLGLFYLSGKIPCQIRNLKKLQYLCLGSNTPPHRRPMPNYASISLSGAFPFRVGNLPFLHTLRLVGNFDIKAKDAHWLSTLHSLTTLELSSLHSLSSSHHWLPSISKFIPNLTELRLINCDLLDNDIQSLFLSHSPNFSSSLNILDLSSNILTSSTLRLLCNFSLHLQELYLPHNNIALSPSLCQNFPTLKILDLSYNNLMSSMFLGNFNISSKLQELHVVNCSIIDSSFLVSSASTMNSLSSLLYLDLSDNLLKTCFVFHWLSNFTTNLRTLYLDYNFLEGPIPDEFGRAMNFLEYLSLSNNKLQGKAQSFFGIMCRLQSLDLSVNKLNCKFPSFIQNSSRCNIHIIRELNLSYNQISGKIPNSIKMLSELEILSLEGNSLEGDVTESHLSNFSKLNYLDLSHNSLSLKFVSGWIPPFQLSYLRLASCKLGPRFPAWLKSQNSLFLLDLSDNGLNDFVPDSFWTKLRTLYTLNMSHNNLIGSIPNMTLKLPFRPHINLNSNRFEGKVPLFLLQASDLLLSANKFSDLFSVLCGNVTATNLATLDLSDNQIKGQLPDCWKYVHRLLFLDLSNNQLSGKIPISMGTLMKLEALV >Vigun03g353400.1.v1.2 pep primary_assembly:ASM411807v1:3:55512155:55513543:-1 gene:Vigun03g353400.v1.2 transcript:Vigun03g353400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHKFINLGPQLKILIRKMSWSGGDWMCGVCEHINFKKREACQSCGYPKYGGPDPSTYRYKKTEALAGDWFCNCGGHNYAGRSRCYKCGAVKDHYSSVYGSNSGEYGSHTFPPGWKNGDWLCTRIGCGGHNYASREECFKCKMPRDFD >Vigun03g353400.3.v1.2 pep primary_assembly:ASM411807v1:3:55512155:55514025:-1 gene:Vigun03g353400.v1.2 transcript:Vigun03g353400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGVCEHINFKKREACQSCGYPKYGGPDPSTYRYKKTEALAGDWFCNCGGHNYAGRSRCYKCGAVKDHYSSVYGSNSGEYGSHTFPPGWKNGDWLCTRIGCGGHNYASREECFKCKMPRDFD >Vigun03g353400.2.v1.2 pep primary_assembly:ASM411807v1:3:55512155:55514025:-1 gene:Vigun03g353400.v1.2 transcript:Vigun03g353400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCGVCEHINFKKREACQSCGYPKYGGPDPSTYRYKKTEALAGDWFCNCGGHNYAGRSRCYKCGAVKDHYSSVYGSNSGEYGSHTFPPGWKNGDWLCTRIGCGGHNYASREECFKCKMPRDFD >Vigun09g188100.1.v1.2 pep primary_assembly:ASM411807v1:9:36223420:36227459:-1 gene:Vigun09g188100.v1.2 transcript:Vigun09g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSLPQDSYFLGFDSSTQSLKATVLDSNLNIVASELVHFDSDLPHYKTNDGVYRDPSGSGRIVSPTLMWVEALDLMFQKLSKSNFDFAKVAAVSGSGQQHGSVYWKTGSSQILSSLDPNKTLLDQLEHAFSITESPIWMDCSTTSECRAIEKACGGALELARVTGSRAFERFTGPQIKKIFDTQPQVYDSTERISLVSSFMASLFVGSYAAIDHTDGSGMNLMDIKEKAWSKVALEATAPGLESKLGALAPAYAVAGNIASYFVQRYHFNKDCLVVQWSGDNPNSLAGLTLNIPGDLAISLGTSDTVFMITENPNPGLEGHVFPNPVDAEGYMVMLVYKNGSLTREDVRNRCAEKSWDVFNKFLEQTQPLNGGKLGFYYKEHEIIPPLPVGFHRYVIENFSDSLDGLKEREVEEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPRRIIATGGASANKCILSSIASIFGCDVYTVQRPDSASLGAALRAAHGLLCHKKGSFIPISEMYMDKVEKTSLSCKLAVNAGDQELVSKYTSIMKKRIEIENRLVQNLGRC >Vigun03g394900.1.v1.2 pep primary_assembly:ASM411807v1:3:60101532:60104791:1 gene:Vigun03g394900.v1.2 transcript:Vigun03g394900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGIKSVENAHDDSVWAVTWVPATANRPPLLLTGSLDETVRLWRSDDLLLDRTNTGHCLGVASVAAHPLGSLAASSSLDSFVRVFDVDSNATVATLEAPPSEVWQMRFDPKGSILAVAGGGSASVKLWDTSTWELVATLSIPRPEGPKPTDKSGSKKFVLSVAWSPDGKRLACGSMDGTISVFDVPRAKFLHHLEGHFMAVRSLVYSPYDPRVLFTASDDGNIHMYDAEGKALVGTMSGHASWVLCVDVSPDGAAIASGSSDRSVRLWDLSMRASVQTMSNHTDQVWGVAFRPPGGTDARGGRLASVSDDKSISLYDYS >Vigun05g173800.1.v1.2 pep primary_assembly:ASM411807v1:5:31659452:31663515:-1 gene:Vigun05g173800.v1.2 transcript:Vigun05g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACTMILILILVLIWAWRMLNWLWFTPKRLERLLREQGLQGNPYTLFVGDTNEVARMRKEAFSKPMDLFSHDIGPRVFPFFHHSINTHGKNSFTWFGPIPRLTLTDPELIKDVLNKMHDFGKIHMHPQVRFIAPGLVSYEGERWSKNRKIINPAFNLEKLKNMFPLFIKCCDDLISKWEEMLSSDVSSEIDVWPFLHNLASDAISRTAFGSSYEEGRKIFQLLNEQTELTVQILLKVYIPGWRFVPTATHRRMKAIDREIKASLTNIINNRMEALKTGEATKNDLLGILLESNHKEIQEQGNKKNIGMNNEDVIAECKLFYFAGHETTSSLLVWTMVLLSMYPDWQTRAREEVLQVFSNRKPDFDGLNHLKIVTMILNEVLRLYSPVVSFFRNARKDVKLGNLSLPAGVQVFVPIVLVHHDSELWGDDVKEFKPERFAEGVLKAANDRVALFPFWMGS >Vigun01g043150.1.v1.2 pep primary_assembly:ASM411807v1:1:6120756:6121479:-1 gene:Vigun01g043150.v1.2 transcript:Vigun01g043150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYYLDPLAKDINMRQDLKKLFDMVIQTYRAQRGSMVSKSKLSNIKWTPIKCPKQSNDHDCGYYICRYMKEIVTYCEGGTIPIDYFPSCRCQQYSDNQIIEVREDWCFYLISKCL >Vigun05g279100.8.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSETMLYFCV >Vigun05g279100.4.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSDPRREPLSWRQRIQIAVDAAQGIEYLHHGCKPPIVHRDIKTANILLNEKMQAKVADFGFSKFFSAENESHVSTVVIGTFGYLDPEYYISSRLTEKSDVYSFGIVLLELITGQPAIIKGHQNTHIVQWVNQFLERGDIQQIVDPKMQRDFDFGSMWKALEAAVACVPSISIQRPSMNYVVAELKESLKMEASREQEGVNSIEMNVVDLEAHWGPDAR >Vigun05g279100.3.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLSNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSDPRREPLSWRQRIQIAVDAAQGIEYLHHGCKPPIVHRDIKTANILLNEKMQAKVADFGFSKFFSAENESHVSTVVIGTFGYLDPEYYISSRLTEKSDVYSFGIVLLELITGQPAIIKGHQNTHIVQWVNQFLERGDIQQIVDPKMQRDFDFGSMWKALEAAVACVPSISIQRPSMNYVVAELKESLKMEASREQEGVNSIEMNVVDLEAHWGPDAR >Vigun05g279100.2.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKWRCHWAWSFTLWCVLAYTISEFSGMSIVLATEENSMHHKQGRRKLDDVSGSISIDCGIAEEVAYTDEKTQIHYTSDAQFIGTGTSKNISLMLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLSNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSDPRREPLSWRQRIQIAVDAAQGIEYLHHGCKPPIVHRDIKTANILLNEKMQAKVADFGFSKFFSAENESHVSTVVIGTFGYLDPEYYISSRLTEKSDVYSFGIVLLELITGQPAIIKGHQNTHIVQWVNQFLERGDIQQIVDPKMQRDFDFGSMWKALEAAVACVPSISIQRPSMNYVVAELKESLKMEASREQEGVNSIEMNVVDLEAHWGPDAR >Vigun05g279100.6.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKWRCHWAWSFTLWCVLAYTISEFSGMSIVLATEENSMHHKQGRRKLDDVSGSISIDCGIAEEVAYTDEKTQIHYTSDAQFIGTGTSKNISLMLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSETMLYFCV >Vigun05g279100.7.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLSNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSETMLYFCV >Vigun05g279100.5.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKWRCHWAWSFTLWCVLAYTISEFSGMSIVLATEENSMHHKQGRRKLDDVSGSISIDCGIAEEVAYTDEKTQIHYTSDAQFIGTGTSKNISLMLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLSNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSETMLYFCV >Vigun05g279100.1.v1.2 pep primary_assembly:ASM411807v1:5:46842726:46848417:1 gene:Vigun05g279100.v1.2 transcript:Vigun05g279100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKWRCHWAWSFTLWCVLAYTISEFSGMSIVLATEENSMHHKQGRRKLDDVSGSISIDCGIAEEVAYTDEKTQIHYTSDAQFIGTGTSKNISLMLSSEIPQRVFTTVRSFPEDKRNCYTLRHPEGGNTIYLIRASFMYGNYDDLNKLPQFDLYVGVNFWDSVMFENATHVVIKEILHVPSLDELYICLLNTDMGTPFISALEVRHFDHSSYRTNSELLSLYRRFDIGSITNEIVRFNEDTYDRMWYPYNLPDSTPLSTSQPIDSLNHTAYHLPAAVMKTALRPTNENDSLEFDFDTGNPTSDSYVYMHFAEIEKLKDNESRVFDITLNGKVLSESVTPKHLLSTTVESKQAIRGSKLKFSMYKKPNSTHPPILNAMEIYVVKEFLQKPTGQEDVKAIMSIKSYYKLMSSLGKSWQGDPCAPLKYSWNGINCSNNGYEPPTITALNLASSGLDGPIIDSFLELKFLEFLDLSNNSLTGSLPDFSKLQYLKTLNLSGNRLSGEIPPLLMERSNNGSLMLSVDPDLCPIGPCEEKKNNIVPLVAGILSAVVFLIVLGIVLTIIWRRRNKETASNQAVRSNEEVVLKTNNKQFSYSQIMTITNNFDKTIGKGGYGIVYLGYLQDGTQAAVKMLSSKSPQGSQQFQTEAQLLMRVHHKNLASFLGYCNEVGRTAIIYEYMTNGNLEEYLSDPRREPLSWRQRIQIAVDAAQGIEYLHHGCKPPIVHRDIKTANILLNEKMQAKVADFGFSKFFSAENESHVSTVVIGTFGYLDPEYYISSRLTEKSDVYSFGIVLLELITGQPAIIKGHQNTHIVQWVNQFLERGDIQQIVDPKMQRDFDFGSMWKALEAAVACVPSISIQRPSMNYVVAELKESLKMEASREQEGVNSIEMNVVDLEAHWGPDAR >Vigun01g183700.2.v1.2 pep primary_assembly:ASM411807v1:1:36421092:36425214:1 gene:Vigun01g183700.v1.2 transcript:Vigun01g183700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGHDYTAASAMAYAQQQRQAANMQQQQQQQQFGFHPQHQQFPSSMHGPPFIPPGPGPAHPPMQQFPYHHALQQQQQPLPQLHPHAPPPPHLLLQQQHQGPPAFPSHYPPSLVPSPFYDSAPPPVAPPSDPDLHKRIDKLVEYAVKNGPDFEAMICEKQRDNPSYSFLFGGEGHGYYRYKLWLSTRPPGGPFNPPFPSSSMPMIHPPPNPMMNPSPLNASPMNPAGIGSSPSMLGPPPFQQFYDQQHHHQHSQSFGLPGRPEYDQSSKSFKGPSGPLPPDVAMELNNVLNNLNGTKESIKGAKLWFMQRSPFAPSLAEALRDRVFALDDVERQLHIIYLANDILFDSLNRRTNGNDLDSEALAFKPVLGSMLARIYHNPQSNEEYRKRLQQMVEFWASKTVYDQDTISLLKGEMIGGLQTSPFPGASKDLSSASAESVAGVLQTPNHAAQQWQGDRLGSGSNVLDQDRTDKHAAPAQSLSVPLAAQQFLPNAAPPSAFPGSMAIPSSVQPANQAPGGVHLLPPQSSSTGEQLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTVPPSEILQRVSKFFKEIGEVNPSEGPMNSDSRDEDDEYDREFEREPAVRKGGACIPPPPNLQVDPETGTYVDGTVERKPGSSGSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSARAAAR >Vigun01g183700.1.v1.2 pep primary_assembly:ASM411807v1:1:36421060:36425231:1 gene:Vigun01g183700.v1.2 transcript:Vigun01g183700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGHDYTAASAMAYAQQQRQAANMQQQQQQQQFGFHPQHQQFPSSMHGPPFIPPGPGPAHPPMQQFPYHHALQQQQQPLPQLHPHAPPPPHLLLQQQHQGPPAFPSHYPPSLVPSPFYDSAPPPVAPPSDPDLHKRIDKLVEYAVKNGPDFEAMICEKQRDNPSYSFLFGGEGHGYYRYKLWLSTRPPGGPFNPPFPSSSMPMIHPPPNPMMNPSPLNASPMNPAGIGSSPSMLGPPPFQQFYDQQHHHQHSQSFGLPGRPEYDQSSKSFKGPSGPLPPDVAMELNNVLNNLNGTKESIKGAKLWFMQRSPFAPSLAEALRDRVFALDDVERQLHIIYLANDILFDSLNRRTNGNDLDSEALAFKPVLGSMLARIYHNPQSNEEYRKRLQQMVEFWASKTVYDQDTISLLKGEMIGGLQTSPFPGASKDLSSASAESVAGVLQTPNHAAQQWQGDRLGSGSNVLDQDRTDKHAAPAQSLSVPLAAQQFLPNAAPPSAFPGSMAIPSSVQPANQAPGGVHLLPPQSSSTGEQLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTVPPSEILQRVSKFFKEIGEVNPSEGPMNSDSRDEDDEYDREFEREPAVRKGGACIPPPPNLQVDPETGTYVDGTVERKPGSSGSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSARAAAR >Vigun08g060800.1.v1.2 pep primary_assembly:ASM411807v1:8:8068349:8068765:-1 gene:Vigun08g060800.v1.2 transcript:Vigun08g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEFYSTFRDPKTFLFLYMSCSVMSCDKNGKPTLIHHKFFSSVKKKSISTFPKTSFTR >Vigun02g069900.1.v1.2 pep primary_assembly:ASM411807v1:2:22051459:22058331:1 gene:Vigun02g069900.v1.2 transcript:Vigun02g069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFSRRHGLGSILAKPLTAAVSSRPISSDAPLTIETSVPFTAHNCEAPSRAVTTSSSELLSFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAGITRKDCIITAYRDHCTFLGRGGTLLEVFSELMGRKDGCSKGKGGSMHFYRKEGGFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLSHDIAAEKDLKDIEKEVRKEVDEAIAKAKESPMPDPSELFSHVYVKGLGVEAFGVDRKVLKATLP >Vigun10g065550.1.v1.2 pep primary_assembly:ASM411807v1:10:14309497:14310248:-1 gene:Vigun10g065550.v1.2 transcript:Vigun10g065550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRRKIHAENLGFRVFLKEDGLRRFCSNHPRFQSLHLSHFVFWICLGLHSAPLCLLHTPHEQGQ >Vigun11g040600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5781041:5781433:1 gene:Vigun11g040600.v1.2 transcript:Vigun11g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLLLRKCKSLSRQLGRSSSYSSLRSKSTKEDIWGGHGMQEDEHCETVFVGSTRKRYVISKKYLNHPLLNELINKSKPKGSSDDDESSVLVVNCEVVLFDHLLWMLENADPKFSSESLEELAELYVF >Vigun08g167600.1.v1.2 pep primary_assembly:ASM411807v1:8:33896534:33901565:-1 gene:Vigun08g167600.v1.2 transcript:Vigun08g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLASLKAPFQATPFLSSRPKTWDLPTQLIFYKRNSFSTFSVRAQVESTDGSAAVSSSGESVTDALKIKEWEVGMLQNEVASSQGIRIRRRPPSGPPLHYVGPFQFRLQNEGNTPRNILEEIVWNKDKEVSQLKERKPLSVLKKALENAPPARDFIGALRAANDRTGLPGLIAEVKKASPSRGILRENFDPVEIAKSYEKGGAACLSVLTDEKYFKGSFENLELIRKAGVKCPLLCKEFIVDAWQLYYARTKGADAVLLIAAVLPDLDIKYMIKICKILGLTALVEVHDEREFDRVLAIEGIELIGINNRNLETFELDISITKKLLEGERGKIIRERDIIMVGESGLFTPEDIAFVQGAGVRAILVGESIVKQSDPGKGISNLFGKDISI >Vigun08g167600.2.v1.2 pep primary_assembly:ASM411807v1:8:33896534:33901565:-1 gene:Vigun08g167600.v1.2 transcript:Vigun08g167600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNEVASSQGIRIRRRPPSGPPLHYVGPFQFRLQNEGNTPRNILEEIVWNKDKEVSQLKERKPLSVLKKALENAPPARDFIGALRAANDRTGLPGLIAEVKKASPSRGILRENFDPVEIAKSYEKGGAACLSVLTDEKYFKGSFENLELIRKAGVKCPLLCKEFIVDAWQLYYARTKGADAVLLIAAVLPDLDIKYMIKICKILGLTALVEVHDEREFDRVLAIEGIELIGINNRNLETFELDISITKKLLEGERGKIIRERDIIMVGESGLFTPEDIAFVQGAGVRAILVGESIVKQSDPGKGISNLFGKDISI >Vigun08g167600.3.v1.2 pep primary_assembly:ASM411807v1:8:33896534:33901565:-1 gene:Vigun08g167600.v1.2 transcript:Vigun08g167600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLASLKAPFQATPFLSSRPKTWDLPTQLIFYKRNSFSTFSVRAQVESTDGSAAVSSSGESVTDALKIKEWEVGMLQNEVASSQGIRIRRRPPSGPPLHYVGPFQFRLQNEGNTPRNILEEIVWNKDKEVSQLKERKPLSVLKKALENAPPARDFIGALRAANDRTGLPGLIAEVKKASPSRGILRENFDPVEIAKSYEKGGAACLSVLTDEKYFKGSFENLELIRKAGVKCPLLCKEFIVDAWQLYYARTKGADAVLLIAAVLPDLDIKYMIKICKILGLTALVEVHDEREFDRVLAIEGIELIGINNRNLETFELDISITKKLLEGERGKIIRERDIIMVGESGLFTPEDIAFVQGAGVRAILVGESIVKQSDPGKGISNLFGKDISI >Vigun03g046800.1.v1.2 pep primary_assembly:ASM411807v1:3:3745929:3751321:1 gene:Vigun03g046800.v1.2 transcript:Vigun03g046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLTGSKPFFTNLHWSSSHTRTPTRTISMKQRVKVFASQSPNEEEKKSPKLDSYDMMELKFGRLIGEDPKLTLAKIMGRKANPDASYLDIEKAFYKNGRKVVEVEEVPFEGSKGGKSSRKLDDLGLVRPVPVKGFKFKSDNNKPTLEIKKPVRSENVEGSDRKSSVPNVILRKPTVFKDDGEAETLTSRLRMKPNLSLNMRDEQVKEKFSDMTLLRKPETPVAKSTDTVEEPSSNVDQGNNDGELNMWNEEPGFTLLERPHKPSVKKEEEEFGEVNAMVPNDELEQHEQLELHQAPNDLSESLDVKSVDSRMELPVDAALQAKPKRLDQYVEQTSKFVEEGTSLDLGGQTSNDNFGNSVDMSDFKESEDADWTRAEGLIETGDRGDVELVSCNTKGFIVSFGSLVGFLPYRNLNSKWKFLAFETWLKQKGLDPSIYKQNSGTITSFDTDIKIFSPDSPPSLEIDGKVDNKISPDMKLEDLLRIYDQEKNRFLSSFIGQKLKANVLVADRKIRKLIFSLRRKESEELVEKKRNLMARLQVGDIVKCRIQKIAYFGIFVEIEGVSALIHQSELSWDATVNPASYFRIGQVLEAKVHQLNFALERILLSLKEVTPDPLINSLEAIIGDHDPLDGRLEAAQTDVEWPEVESLVEELQKIEGVQSVSKGRFFRSPGLAPTFQVYMASIFEDQYKLLARSGNKIQEVIVQTSLDKERMKSAVMTCANRVE >Vigun03g338700.1.v1.2 pep primary_assembly:ASM411807v1:3:53678458:53679630:-1 gene:Vigun03g338700.v1.2 transcript:Vigun03g338700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPKN >Vigun03g238050.1.v1.2 pep primary_assembly:ASM411807v1:3:39678801:39680139:-1 gene:Vigun03g238050.v1.2 transcript:Vigun03g238050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVASCVSCGSCSSSDVGGKQKSSVNCNEGGCRSSNVTPNCYCGAKAMLRTVRTAKNKGKRFWGCPNFKSGSEECGGCNYFSWFDQYRMGEGGSASTVVIEENLWKHVKNEEKLGGNVKIEEHAVGGSLNTQEMRRSCVMSEEVVADIKICINSLEKRVKLLIGVVCLCGLVAVMVAGMVELQVQLQGFVAVIVAGMVE >Vigun02g088000.3.v1.2 pep primary_assembly:ASM411807v1:2:24279634:24289171:1 gene:Vigun02g088000.v1.2 transcript:Vigun02g088000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIERIDNSTSRQVTFSKRRKGLIKKAKELAILCDAQVGLLIFSSTGKLYEYASTSMKSIIERYNACKEEQHHQINPEAEVKFWQREAEILRQQLQILQENHRQMMGEQLYGLSVRNLQDLENQLEMSLQGVRMKKEQILKDEIQELNRKVFLK >Vigun02g088000.1.v1.2 pep primary_assembly:ASM411807v1:2:24279634:24289171:1 gene:Vigun02g088000.v1.2 transcript:Vigun02g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIERIDNSTSRQVTFSKRRKGLIKKAKELAILCDAQVGLLIFSSTGKLYEYASTSMKSIIERYNACKEEQHHQINPEAEVKFWQREAEILRQQLQILQENHRQMMGEQLYGLSVRNLQDLENQLEMSLQGVRMKKEQILKDEIQELNRKGNLIFQENVELYKKVYGTTDTATTSRNAFVPLPFGMHAGGHPQELVQLQLCQPEQEAYETSDTATK >Vigun02g088000.2.v1.2 pep primary_assembly:ASM411807v1:2:24279634:24289171:1 gene:Vigun02g088000.v1.2 transcript:Vigun02g088000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIERIDNSTSRQVTFSKRRKGLIKKAKELAILCDAQVGLLIFSSTGKLYEYASTSMKSIIERYNACKEEQHHQINPEAEVKFWQREAEILRQQLQILQENHRQMMGEQLYGLSVRNLQDLENQLEMSLQGVRMKKEQILKDEIQELNRKGNLIFQENVELYKKAMKCNK >Vigun06g150850.1.v1.2 pep primary_assembly:ASM411807v1:6:27567982:27568357:-1 gene:Vigun06g150850.v1.2 transcript:Vigun06g150850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGSVASTYVAALLLFLNMLSYTIVSSTYIPVIPDPSLPYKKGTCPMDAGKLGVCAKVLNLANDLADIEAAACLYTALKPNVIAINLNLPDSLNLLLRNCGRKTSNADFEFP >Vigun05g027300.1.v1.2 pep primary_assembly:ASM411807v1:5:2205170:2227297:-1 gene:Vigun05g027300.v1.2 transcript:Vigun05g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLTVLADALSPSSSGEFIQKLRTDDAVRLGLNTFCSVLRSGVQSSDDGTSRFLSWTDAQIHGISSFVYAIASASRSLSVEQSEGVLVAIVQQSIEFALCYLENSGFDSDDLGIQNNMIHLLEMALVDGINVVADVFQPTTASALVDILPVDDCCSDFVDDYKKCHLEGFRCSKEEKSMDWLLKTLASECLPHDRQESGFIEQTCDQYFNNFLFLSQHWAVVHGKYTPRLILLCNKLAKVKDVLDERAVGQNFRRILSFILRILKILGSLLKDVPYVEYDATLMKAVATFCDTLCSLFRIKLEFVNTYATTEGSFDSIVLMVIEEFIHSIQVIFGNSNVAQNIQTCIIAAILESLDSSVWTYDKSSPNLKPPLAYFPRFVVYMLKLINDLKRQTHQIPFEWKNIEEEQVGSSIDSQFSSPSCLHHDSVPLLKGFTFEEILKLIFPVSSQWITNLMQLALFLHSEGLKLRPKLERSHSSLAKVVGTSEVENAVCHEDEALFGDLFSETGRSVGSTDGCEQPPVNALVSSSSYQNMPMQAAVELLSFLKTCIFSAEWHPSLYADACNKLGSRDIDILLSLLNCQGCCCEDNMSDSCTPLHDDGKIGHVHDLCFDLLRNLLTNHALNDSLEDYLVDKILTVENGSFCYNDRTLTLLAHTLFSRVGSSGSQLRTKICRVYVGFVVEKAKTVCIKCSSINDLAGTLPLLFHIEVVLMAFHLSSEGEKAVMAKLIFSSLKEVANSTLDLNSTLLTCWALVVSRLILILRHMIFHQHTCPTSLLLDVRSKLREAPLSGSSIQNKVNDHMSSWSSTAFRNIGGGLIGEETCVSSLIGHLIDISGSSSLVREGLAIDSLALNWEEIYFTFSLILGFWKGKRAAAVEDLIVERYVFSLCWDIPYVGFDAVHSIIAWDQDHPVDLSNMLHFFHFSHLLLGHPEGIGNLTISPHVILSLLQHLNTFSIPECIEQLDWYFLRSGMWLSLVLSFTNVGIWKYVSDNAISGHGLSWTENGLGDDKYVKCAGNMISSMIESGQFALLVKLFSSLLNKYLQVCQTAFLDILSNKQKLVPGFSPLLLLKHTEMDQSLQDELLQRSGSNAGELQSILSIISRLDTIVDKKASGILSKASWECLLHGFPFNLSTASATMFSCVLSVRGIIFALNGLLRIREAGSIINMETEVLEQVLDAVTIIKYDRIFESVHGQCDTIYHSLSAELELSCYENLVLMKQMEGFVKDVNAGGACDCSLREWIVCKIIEMLNSLRKDPSKSVIFHFYLGVENLPEKVNRVLQLHLGDCLVLIDSLDSCFSESVNVKVLGFFVDLLSGEQFPDLRMKIQRRFLDRDVNCVSQWLERRLLGSIVKSDCGVNCANGSSISLRESTMNFILCLVSPPSEQQSKELQQHIFNSALGSLDSAFLLFDIHVAKSFFNFIVQISRGDFLMKQLLMRTIMLMEKLVTNENLLPGLKFLFGFIETVLSDCGSGKISLQKATKKCSSGNSLGVGHASARLVGSRKNSETYILSANQEGGSASLECDATSVDEDEDDATSDGEVLSIDKDDEEDANSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGDSSAPVRGSNTFQSFLPFPEDGDQLPDSDSDFEEEISSDADNSLRLSIPKELQEGIPMLLEELDIESRVLNLCSSLLPFIRSRRDSHHSKDNKISTGEDKVISHGIDLLQLKKAYKSGSFDLKIKVDYSNAKELKSHLASGSLVKSLLSVSVRGRLAIGEGDKVAIYDVGQLIGQATIAPVTADKTNVKPLSKNIVRFEIVQLAFNPVVENYLLVAGYEDCQVLTLNPRGEVIDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNRFVKIYDLSLDNISPMHYFTLQDDMIVDAVLCPASQGRMFLLVLSENGNLFSLELSVKGNVGAVPLKELVQLQGKEIHAKGSSLYFSSTYKLLFVSFQDGTSLVGRPSPDAASLVEVSSVYEEQESNLRPAGVHHWKELLSGSGLFVCLSTMKSNSALTVSMGEHEIIAQCMRHSVGSTSPIVGMTAYKPLSKDKIHCFVLHDDGSLQIYSHTPAGVDASAIVASEKVKKLGSGILNKAYAGTNPEFPLDFFEKTVCITPDVKLGGDAIRNGDSEGAKQSFLNEDGFLESPSPAGFKISIFNSNPDIVMVGFRVHVGNTSASHIPSSISIFQRVVKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGSTLPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARALGSNSSLSGSGKKRRSMQSAPIQEQVIADGLKLITKFYSSCRQQDCSRLEEARTELEKLKCKPLLETIFECDREPILQASASRVLQAIFPKKEIYHQVKDTMRLLGVVKSSSILSSRLGIGGASGSWIIEEFTTQMRAVCKIALQRRSNLAAFLETNGSEVVDVLMQVLWGILDFEQPDTQTMNNIVMSAVELIYCYAECLALHGKDAGVHSVAPSVVLLKKLLFSSNEAVQTASSLAISSRLLQVPFPKQTMLATDDAVESVVSVPGAADSSTGNNQIMIEDDTITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYELDADRLPPPHSRDHPMTAIPIEVDSVGDGSEFHFTADDVSDQNLMPVPADSQIQNSSPSIHVLELNDSGDFAASLTDPVSISASKRAINSLLLSELLEQLKGWMDSTSGVQAIPVMQLFYRLSSAVGGPFIDSSKPDSLDLEKVIKWFLDEINLNRPFVARYRSSFGEVAILVFMFFTLMLRNWHQPGSDGSMPRQSGTTDMQDKNVVHFPPSTSASVKTSLGDQEKIDFASQLLRACDSLRQQSFVNYLMDILQQLVHVFKSPVNNEGVHSNTGPGCGALLTVRRDLPAGNFSPFFSDSYVKVHRTDIFMDYHRLLSENAFRLVYTLVRPEKHDKTGEKEKVYKLSYGKDLKLDGYQDVLCSYINNPHTNFVRRYARRLFLHLCGSKSHYYSVRDSWQFSSEAKRLYKHINKSGGFQNNPIPYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHGDILSFLINGIFYFGEESVIQTLKLLNFAFYTGKDVGHTPQKMESGDLSSNKSGTAQESKKKKKGEDGAESGSEKSYLDMEAAVDVFTDKSSNILKQFIDCFLLEWNSITVRAEAKLVLYGVWHHAKPVFKETILSALLQKVKFLPMYGQNIVEYTELVTWLLGRSPDSSSKHRISDLVDRCLTPDVIRCIFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSTPEVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGDSEIDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRKVLMNYLHQKHSDASVASRFVVSRSPNNCYGCATTFVTQCLELLQVLARHPNSKKQLVSSGILSELFENNIHQGAKAARVQARIVLCSLSEGDVNAVTELNSLIQKKVLYCLEHHRSMDIAVTTREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPETPDKEQVGKSPANSKDETIQSVSMTGAVTVSGTKAFPDSQERNWDATPKTRDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGTGQRSRPQRHDYLALKYALRWKRRAGKAAKSDLSVFELGSWVKELVLSACSQSIRSEMCTLISMLCAQSSSRRFRLLNLVVSLLPATLSAGESAAEYFELLFKMVDSEESLLFLTVRGCLRTICTLITQEVNNVESLERSLHIDITQGFILHKLIELLGKFLEVPNIRSRFMRDDLLSEILEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACVNGLEIHREERKGRACLFILEQLCNVICPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSVEIGPLMRDVKNKICHQLELLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNQSSNLTNSNLLSPNAVNSSRDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRECGGLEILLSMIQRLRDDFKSNQEQLVAVLNLLMYCCKIRENRRALLKLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNISITQSALTVTSEEAGTGEQAKKIVLMFLERLSHPLGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIEHFSPYLQDWGAFDHLQKQHLNNPKDDNIAQQVAKQRFTLENFVRVSESLKTSSCGERLKDIILEKGITKTAITYLKDNFANTGQAGFKNSAEWAQGLTLPSVPLILSLLRGLSMGHMLTQKCIDEEGILPLLHALEGVTVVNEIGVRAENLLDTLSNKEGKGDGFLEEKVCKLRHATRDEMRRRALRKREELLQGLGMRQEPSSDGGERIVVSLPVLEGLEDVQEEEDGLACMVCREGYSLRPADLLGAYSYSKRVNLGVGSSGSARGGECVYTTVSYFNIIHFQCHQEAKRADAALRNPKKEWDGATLRNNESLCNSLFPVRGPSVPLAQYLRHVDQYWDNLNALGRADGNRLRLLTYDIVLMLARFATGASFSADSRGGGRESNSRFLPFMIQMARHLLDQGSPSQRRNMARAVSAYISSSSSDVRPSSPSGTQPTLGTEETVQFMMVNSFLSESYESWLQHRRAFLQRGIYHAYMQHTHSRAPSVTAPPQGVESGSMGQSATAEAGKNDDLLSIIRPMLVYTGLIEQLQHFFKVKKSASATPARTEGASSTTEGEDESGNLEPWEVVMTERLLNIKELLGFPNEMLSWLDDINSATDLQEAFDIVGVLAEVLSGGFTRCEDFVQAAINAGKS >Vigun09g144000.1.v1.2 pep primary_assembly:ASM411807v1:9:30683046:30683992:-1 gene:Vigun09g144000.v1.2 transcript:Vigun09g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSEGCSCSSWGLQKQSMSSTGGSYRVLGECPLCFCGENAVLRVAKTVRNADKQFWGCPKYKRSGGNEVFKGCNYFKWLNEDNGDEKDATIGRQRRKIYTLEKAIVISDRWVKILSVIIVFLLFVNVILVCKLVQKSC >Vigun09g144000.2.v1.2 pep primary_assembly:ASM411807v1:9:30683127:30684619:-1 gene:Vigun09g144000.v1.2 transcript:Vigun09g144000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGSYRVLGECPLCFCGENAVLRVAKTVRNADKQFWGCPKYKRSGGNEVFKGCNYFKWLNEDNGDEKDATIGRQRRKIYTLEKAIVISDRWVKILSVIIVFLLFVNVILVCKLVQKSC >Vigun08g017600.1.v1.2 pep primary_assembly:ASM411807v1:8:1480771:1483161:-1 gene:Vigun08g017600.v1.2 transcript:Vigun08g017600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGATSAAAAAASRRKPSWRERENNRRRERRRRAIAAKIYCGLRAQGNYNLPKHCDNNEVLKALCAEAGWCVEEDGTTYRKGCKPPLANGAGSSMRNVPFCSSQSPSPFSSSYPSPIPSYQVSPSSSSFPSPFRLDVDKDNVSNLIPYIRNASLSLPPLRISNSAPVTPPLSSPTSRNPKPIPTWESIAKESMASFNYPLFAASAPASPTHRHLYTPATIPECDESDTSTCESSQWMKFQAFGPSASTLPASPTFNLVKPLVPHGVPDNSIQEMRTSSEEFGVQVKPWVGEKIHEVALDDLELTLGSGKVRS >Vigun09g103750.1.v1.2 pep primary_assembly:ASM411807v1:9:18346796:18350742:1 gene:Vigun09g103750.v1.2 transcript:Vigun09g103750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDAFFRGGDSKFSIFVHCRPGFLLNKVTTKSPYFLNQQVNNSVQIEWGEASMIEAERVLHRHALSDPLNERFVFLSDSFADTNEGRYNPKMDPVIPVYNWRKGSQPADTSKIHNCIPDEHYVQTLLAQTSDANEPESSMGTRGSSGGSNI >Vigun03g291600.1.v1.2 pep primary_assembly:ASM411807v1:3:47568737:47571422:-1 gene:Vigun03g291600.v1.2 transcript:Vigun03g291600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELKKPVDDASAPSWLSDLDMDDYNLFPDECGLNLNFFDDQDILPEHIASALEEQTQNLQRSLSSECPSKTVSNSSTDETSFDFERPAKLLKTTSGSCCNSDSSTITNNLSPKLSPSTSFSSFQSQILSFDNPNSSPPNTTTQFYGFDLNPTHNEMVSLSLPQLTKSRFPDQTPKGSSKNHNFESKPSHGKRSPAHAQDHIMAERKRREKLSQSFIALAALVPGLKKMDKASVLGDAIKYVKDLKERLAVLEEQSKKTREESVVVLNKPDLSGDDDSSSCDESIDADSVSDTQFEVESRVSGKEMLLRIHCLKQKGLLVKLLAEIQRNNLLVINSSILPFGDSILDITIVAQMGENYNLTTKELVKNLRVAAYKIAS >Vigun05g132300.1.v1.2 pep primary_assembly:ASM411807v1:5:15453665:15460513:-1 gene:Vigun05g132300.v1.2 transcript:Vigun05g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNKQKFRQDAPTGLTSQSESEDDDEVWYPFDLEALRKDVECPICLGIIRNARVVMECMHRFCKECIEKSFRLGNNECPTCRTHCSSRRSLREDRLFDELISVIYPNIDEYEEKEMTLSNDAKNNIKSMQEAYGKTLERQNEAAGKKGAAAATSRSSRTATLRRSARNAESNSSRDITLPPIAEETETQVIPVTDFAELQIQSQSSQHQTNASTVATGSLRSLISSSDKLVWGRLGPRTQTSTNARHAAARRANRLERFIHHLQNSNQNDDELDIFIKLVSFEEARVPNLPRPYLNCRPTLSIDQLCQYVAHETQLQTEEIELYVVKGCGLSFVSGREKFDADKYETQFLGRGDQKLAEIITNNLSLGHLVIAYKRKQWNLNEVFSCPGSEYDEFLT >Vigun08g160400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33287959:33289210:1 gene:Vigun08g160400.v1.2 transcript:Vigun08g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSSRRSPATEHDGVGADPVVWAAVDESLREVQSMLDRNRHLIDEVNENQHSRLRHNMVKNVSLIQELNGNISKVLSLYSDLNSNFSAAFQNHHSAANVAPDKS >Vigun08g042500.1.v1.2 pep primary_assembly:ASM411807v1:8:4384891:4386273:1 gene:Vigun08g042500.v1.2 transcript:Vigun08g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNPKPPPDTPDSDDFCNQWPFELSEYLQFDDNQWMQDDIPSFSSENVSNQVHQASNGSYFEGSSSRDTGERENRQVVRERFAFKTISEIEVLDDGYRWRKYGKKMVKNNPNPRNYYRCSVDGCAVKKRVERDKDDPRCVITTYEGNHTHPSSS >Vigun06g019800.2.v1.2 pep primary_assembly:ASM411807v1:6:9454566:9458076:-1 gene:Vigun06g019800.v1.2 transcript:Vigun06g019800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVDNLGMGNCWIEGPSCSTSNSCDEDDEEYTAETFPWRRQTRDLPHGDSFSQKTMTMGRNSLKFGAIDNSFYPSDHQYSPKSNNKNVQDMAYKFMKGIPKFVKIVEVGPRDGLQNEKNIVPTDVKIELIHRLASSGLSVIEATSFVSPKWVPQLADAKDVMQAVHNLGGVRLPVLTPNLKGFEAAIAAGAREVAVFASASESFSKSNINCSIEESLTRYRAVTRAAKELSIPVRGYVSCVVGCPVEGPVPPSKVAYVAKELYDMGCFEISLGDTIGVGTPGNQLNISF >Vigun06g019800.1.v1.2 pep primary_assembly:ASM411807v1:6:9453164:9458076:-1 gene:Vigun06g019800.v1.2 transcript:Vigun06g019800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMNTIDRIQRFSSGACRPRVDNLGMGNCWIEGPSCSTSNSCDEDDEEYTAETFPWRRQTRDLPHGDSFSQKTMTMGRNSLKFGAIDNSFYPSDHQYSPKSNNKNVQDMAYKFMKGIPKFVKIVEVGPRDGLQNEKNIVPTDVKIELIHRLASSGLSVIEATSFVSPKWVPQLADAKDVMQAVHNLGGVRLPVLTPNLKGFEAAIAAGAREVAVFASASESFSKSNINCSIEESLTRYRAVTRAAKELSIPVRGYVSCVVGCPVEGPVPPSKVAYVAKELYDMGCFEISLGDTIGVGTPGTVVPMLLAVMAVVPAEKLAVHFHDTYGQSLPNILVSIQMGISAVDSSVSGLGGCPYAKGASGNVATEDVVYMLKGLGVKTNVDLGKLMSAGDFISKHLGRPSGSKTAIAFSRVTADASKI >Vigun09g063100.2.v1.2 pep primary_assembly:ASM411807v1:9:6576528:6580488:-1 gene:Vigun09g063100.v1.2 transcript:Vigun09g063100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAQVETHPGGTRWNPTQEQIGILEMLYKGGTRTPNAQQIEQITVQLGKQQRGVVDREEEEGSPYKKKCRRWVFNCLEACRHSQSPPFKFSIHDVALPPSANSDHRDLHACMASLLPSVPNPPLHLPHSKPQNLTNLTPKQFSHNHFSLLCKDGRIREAVDSLSEMQWRNLQAGPDVYGTLLQGCVYERALPLGIQIHAHLIKKGPSFYQNEFVESKLIILYAKCGASDVATRLFRDAQSQNVFSWAAIIGLHTRTGRCEEALLGYIEMQNQGFLPDNFVVPNVLKACGFLRWVGFGKGVHAFVVKTPGLSECVYVATSLVDMYGKCGALEDAERVFDGMAERNDVAWNSMIVTYAQNGMNEEAIGMFREMRLQGVEVTPVALSGFFTACANLEAVGEGRQGHGLAVVRGLELDNVLGSSIMNFYFKVGLVEEGEVVFGEMVMRDMVAWNLVVSGYVQYGMVGKALEMCVMMREQNLRFDCVTLSSLLAVAADTRDVGLGMKAHGYCVKNDFEYDVVVSSGIIDMYAKCGKMDCARRVFSSTRKKDIVLWNTMLAACAEQGLSGEALKLFFQMQLESVPPNVVSWNSVIFGFFKNGQVAEARNVFAEMCSSGVTPNLITWTTVMSGLAQNGLGCDAMMVLREMQDVGIRPNNMSITSALSACTSMALLKYGRAIHGYIMRHYMFQSLDIATTMIDMYAKCGALNDAKYVFSMCSTKELSVYNAMISAYASHGQAREALALFKKLGRKRIVPDHITFTSVLSACSHGGLVKEGIEIFKYMVSDLKMSPSQEHYGCLIKLFANDGQLDEALKTILTMPSHPDAHILGSLLAACGQNHDIELADYIAKWLLKLDPHNSGNYVALSNVYATVGKWDEVSNIRRFMKEKGLRKIPGCSWIQVGQELHYFIAGDRSHPKTEEIYVTLDLLGFEIH >Vigun05g237850.1.v1.2 pep primary_assembly:ASM411807v1:5:43107386:43108027:1 gene:Vigun05g237850.v1.2 transcript:Vigun05g237850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELINKSLLFKVESRNDQTFKLEQSFRVKKICLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIAEDLLVRFTKETIDCASQSADLIKDTATNEDGNTSLKRESANKTLSLESIEEDTVPLKLLKRNIKKEKIVKQ >Vigun05g106800.1.v1.2 pep primary_assembly:ASM411807v1:5:10809209:10819137:1 gene:Vigun05g106800.v1.2 transcript:Vigun05g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKMKGGVRLCFLIFLLLEALCSEGCRKEEREALLGLRSHFDYANWNVETDCCEWEGVECNSSTGRVAQLYLYGVWKSTEQYINYSHFSVFKDLKELHLEDSNIVGCVEAEELSNLELLDMSYNNLDTAAGILSCLDGLPSLKSLYLRYNSFNTSSLTHVFESVSPKLRSNLEVIDLSRNYLSNDILASMEGFTSLKELYLSGNLLDSDLHFQALCSSFKNLEVLDLSYNNLNHTDIGSALTGLSSLNSLYLTNSQLSWRSIYNISKLSSLEKLHLGGNNLNESGTGSILENDTFKWPTNLQRLSLWSNSLSNKFLSSLRGLPRLQFLDLSYNQLEGSLDISENETFKWPTTLRELWLRSNRLSNKFVSYLRDLPHLQFLDLSDNQLEGALDISGLSTLSNLTNLYLGDNNIHNFVTHQENETSKWPTNLQQLHLQYNSLSNISLSSFSDLPRLQYLDLSNNQLEGSLDINENETFKWPTTLQLLDLSSNRLNNIFVSTLTDLPHLQYLDLSDNQLEGALNISGLSTLSNLTNLYLEDNNIHNFVTHQDFHDLRKLERLVLDGNNNMRNEFFKSIGNLTSLKTLSLSHCHINDTLPAADWFKMKKLEELYLIDNGFEGSLPNSFVNMTSLRILELSQNNFIGRFDSKIATLTSLEQFDFTENQFEVPISFSSFANHSNLIAIRGKGNKIILDSQHNLHTWIPKFQLCELSLSSNIETSSFLLPKFLHYQKDLNILDFGNLKLEGRFPHWLLENNTKMIEATFRNCSLTGSMQLPLHPLLELRSIDVSNNAITGEIPSKNISSIFPNLGNLNLSRNLIQGSIPREFGQMKLLSELDLSNNQLAGEISDDIFEDGQQLTTLILSNNMLEGSIFTIPTNLEYLSLNDNNFSGTLPRRIFNTSIISLDISNNHLVGNIPRILTNFSKLSELRMSNNHFQGFIPLELPQQLRNLSYLDLSQNNLTGLVPSFLNSSVKFIHLSNNHLTRLSKKMFNGNSPLVMLDLSYNEIFGNIQDMTEDLSYTKLNFLLLKSNHINGDIPKQLCQLIDLTMLDLSDNKFSGEIPHCLGTMPFDNKNLDPLLKASKGSFIVEEHSQAPLPSEYKKEKASFTSKRSTYTYIGSILIYMTGINLSQNKLKGNIPYELGNLTRIKTLNLSHNDLSGRIPNSFSNLGQIESLDLSFNKLNGQIPPKLNILTSLEVLSVAHNNLSGPIPEWTNQFATFDESSYEGNPFLCGPPLLKSCNPSSTNIPNETNTHKGNDSLLDIYVFCVSFVVSYTLALIATIGVLYINPYLRQAWFYYMELVGLNCYYFIVDNVCRFCGVRNM >Vigun07g031700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2977767:2981195:1 gene:Vigun07g031700.v1.2 transcript:Vigun07g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQGNCLLRSPFLLCIVIGFLMHYSVVGTEIPLGSKLSVFDNDYWVSSNGDFAIGFFNISDQPNQFSVGIRFNSKSIPYSRQTVVWVAGGDVTVGNKSYFELTQEGELVLFDSLYGTSVWTTKTGNRSVASASLLDNGNLVVMDKEQHIIWQSFETPSDTLLPGQSLFADKRLRAASAIKNSKSSYYSLHMNASGRLELHWESDVIYWTSENPSSASNLSAFLTTSGALELRDRSLKPVWSAFGEDHSDSVNYRYLRLDVDGNLRLYSWVESLGLWRSVWQAVENQCKVFATCGELGVCMFNASGAAECKCPVKVNGGSKCLVPFERDCSSGTNMIEYKNTYLYAFYPPHNSVITSNLEHCRQLCLNDSQCTAATFSNDRTPLCSIKKTGYVTGYSDPSVSSISFIKRCSAPFAVNPSLTKSPPSEPPPPLCVPCLMGAATGTFFIIVILQLGVFFFIYRRKNSTRQKATLHLTTTNSKGLIVLSFSEIKSLTGDFKNQIGTKVYKGLLPNNHPIALKDLNATLEERKFRSAVMKMGSIHHKNLVKLEGYCCEFDYRFLVYEYCKKGSLDRYIDDPALCKMLTWRKRVEICSSVAKAICYLHSGCREFISHGNLKCENVMLDENLVAKVSEFGFAIADGKATYCGFSAEKDVEDFGKVVLTLLTGCRDHEHVELCGWAYKEWMEERVVNVVDRRMEGGYNSEELERVLRIAFWCLQMDERRRPSMGEVVRVLDGTLSVDPPPPPFAFQRPLQVDDSEENVSEFEV >Vigun09g182800.1.v1.2 pep primary_assembly:ASM411807v1:9:35645111:35651197:-1 gene:Vigun09g182800.v1.2 transcript:Vigun09g182800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKERQVEIRKKIEYSMQLNASRIKVLQAQDDVISSMKEAASKELLSVSHHHHLTVTHHDHVYRNLLKDLIVQCLLRLKEPSVLLRCRKDDLHLVEHVLDSAAQEYADKANVEPPEIIVDNQVYLPPGPSHHNAHDIYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKQLFGQVFA >Vigun03g175000.1.v1.2 pep primary_assembly:ASM411807v1:3:21582439:21584581:1 gene:Vigun03g175000.v1.2 transcript:Vigun03g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSSAKAPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEASCRICQESFSTTVTALTEPIDIYSEWIDECERVNNLEDDGAE >Vigun11g166140.1.v1.2 pep primary_assembly:ASM411807v1:11:37349965:37350432:-1 gene:Vigun11g166140.v1.2 transcript:Vigun11g166140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKIYPFRTCTMY >Vigun01g124000.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30093789:30096694:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30093715:30096694:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30093631:30096712:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.6.v1.2 pep primary_assembly:ASM411807v1:1:30093789:30096694:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELSFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.9.v1.2 pep primary_assembly:ASM411807v1:1:30093664:30096392:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEANKRKIIFSQSLSFYSFIAISKSFLSLASLLQNPSFRSPLLLGSRSMDTRKRGRLELSFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.2.v1.2 pep primary_assembly:ASM411807v1:1:30093631:30096712:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELSFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.10.v1.2 pep primary_assembly:ASM411807v1:1:30093715:30096680:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRGRLELSFNSNGGFKKSKQEMESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun01g124000.11.v1.2 pep primary_assembly:ASM411807v1:1:30093790:30096694:1 gene:Vigun01g124000.v1.2 transcript:Vigun01g124000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYNAVAHIMNLTPAAPPASRNVAALPPVPNGSAPAAVKTRICNKFNTAEGCKFGDKCHFAHGEWELGKQIAPSFDDHRALGPTAAGRFGGRMEPSPGPATSFGSHATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNIRNIELEGNFEQIKEASNMVKDLLLTLQMSASKANPGVPGAPTREHGSNFKTKLCENFSKGSCTFGERCHFAHGAAELRKSV >Vigun11g173300.1.v1.2 pep primary_assembly:ASM411807v1:11:37852587:37855336:-1 gene:Vigun11g173300.v1.2 transcript:Vigun11g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRDREGPLMRNINPNSLRKSRVLTAVAIGVFIGCVFAFLYPNGFFVSDSVAANRRLSNAGSITQENSAGCESSDRVNLLKSEFVAVSEKNAELKKQVRELTERLRLAEQGKDQAQKQFLTLGKQVKPGPFGTVKGLRTNPTVVPDESVNPRLGKILEKVAVKRELIVGLANANVKEMLEVWFTNIKRVGITNYLVVALDDEIVKFCESNQVPVYKRDPDDGVDVIGRVGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVATRLSKEKAWDQAVFNEELFYPSHPGYDGLHASRRTMDMYLFMNSKVLFKTVRNDGNLSKLKPVIIHVNYHPDKLPRMKAVVQYYVDGKQDALKPFPDGSNW >Vigun01g215100.1.v1.2 pep primary_assembly:ASM411807v1:1:38885928:38888199:1 gene:Vigun01g215100.v1.2 transcript:Vigun01g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKSLSIATEQTQAQPDEQPHQPLQEQQHQQQGLQVEEDPKFEAENGQNKEAEKAETSFDLSSITEEAKEEDQIMTPLFDSIMNEFCTDEVPIIEASEILVPSGATSTSPTTTSSSTSSSCYSNSPNLFEDLLLPDFDWSDDYNDTKIDNNNSSVALWDDDLIRSWNLLLNDDCDGDRKQVFDTSVNQYPRVIMDSESWAYGLF >Vigun01g074300.1.v1.2 pep primary_assembly:ASM411807v1:1:20614251:20619189:-1 gene:Vigun01g074300.v1.2 transcript:Vigun01g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCPCFGSRKKGKEKSVKGRDEENKNRKSVKNTNDVSESSPGNGPEENPIEDGESSHKARIFTFRELATATKSFRDETFIGQGGFGIVYKGIIGENQVVAVKRLDTTGVQGEKEFLVEVLMLSLLRHPNLVNMIGYCAEGDQRLLVYEYMALGSLESHLHDVSPDEEPLDWNTRMIIACGSAKGLHYLHDEAKPSVIYRDLKASNILLNEDFLPKLSDFGLAKFGPTGDQSYVATRVMGTQGYCAPEYATSGKLTKRSDIYSFGVVLLELITGRKAYDDNRGPEKLLVDWARPMLRDKRSFPRLVDPRLQGKYPASCLPMVIELTAMCLREEPRQRPNAGDIVQALEFLSSKQYSPKALNTVNNTTEMESAVSPNETTPIFPKESLRERAVAEAKLWGETWRQKRQSEQNSPKEPQGL >Vigun01g074300.2.v1.2 pep primary_assembly:ASM411807v1:1:20614251:20618240:-1 gene:Vigun01g074300.v1.2 transcript:Vigun01g074300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLRHPNLVNMIGYCAEGDQRLLVYEYMALGSLESHLHDVSPDEEPLDWNTRMIIACGSAKGLHYLHDEAKPSVIYRDLKASNILLNEDFLPKLSDFGLAKFGPTGDQSYVATRVMGTQGYCAPEYATSGKLTKRSDIYSFGVVLLELITGRKAYDDNRGPEKLLVDWARPMLRDKRSFPRLVDPRLQGKYPASCLPMVIELTAMCLREEPRQRPNAGDIVQALEFLSSKQYSPKALNTVNNTTEMESAVSPNETTPIFPKESLRERAVAEAKLWGETWRQKRQSEQNSPKEPQGL >Vigun01g074300.3.v1.2 pep primary_assembly:ASM411807v1:1:20614251:20618198:-1 gene:Vigun01g074300.v1.2 transcript:Vigun01g074300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLRHPNLVNMIGYCAEGDQRLLVYEYMALGSLESHLHDVSPDEEPLDWNTRMIIACGSAKGLHYLHDEAKPSVIYRDLKASNILLNEDFLPKLSDFGLAKFGPTGDQSYVATRVMGTQGYCAPEYATSGKLTKRSDIYSFGVVLLELITGRKAYDDNRGPEKLLVDWARPMLRDKRSFPRLVDPRLQGKYPASCLPMVIELTAMCLREEPRQRPNAGDIVQALEFLSSKQYSPKALNTVNNTTEMESAVSPNETTPIFPKESLRERAVAEAKLWGETWRQKRQSEQNSPKEPQGL >Vigun11g112400.1.v1.2 pep primary_assembly:ASM411807v1:11:31488271:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.3.v1.2 pep primary_assembly:ASM411807v1:11:31488271:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.5.v1.2 pep primary_assembly:ASM411807v1:11:31482203:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.7.v1.2 pep primary_assembly:ASM411807v1:11:31482203:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.8.v1.2 pep primary_assembly:ASM411807v1:11:31487642:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.6.v1.2 pep primary_assembly:ASM411807v1:11:31482203:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.2.v1.2 pep primary_assembly:ASM411807v1:11:31488285:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.9.v1.2 pep primary_assembly:ASM411807v1:11:31487642:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun11g112400.4.v1.2 pep primary_assembly:ASM411807v1:11:31482203:31492567:-1 gene:Vigun11g112400.v1.2 transcript:Vigun11g112400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSCFHANVHGNKTKKTVQPSTEVMLKTYQDVAQSQRRKDSSNSPSAYSPLLKEQVDQKIDVTGSHISNWDSVERSCRSEDMSSKFSFENDSQVNQTRPLMKKSQSLQSVLDQGIRDGDEDLGLSCDDGKSPMESTMSTSKKYHNGNPIDQCGSNPNVASSGFANDASLFSIGDPTPSDKDAREISDTPLSCEYVGDIPDQTSVPGSVSLRKSHSSPNIRASILSAEKDAFRRALSRSCDDLHALSMRQKEEFINDFDDQIRGDQERENDMGKTEDGHMDNLFDDGFDSYLITGSAKDWVMPLTYDTIDSKTLQGDSSVEFPNKDFKIKRIEDWVIGLQHCGPPGEETNEELPEVVEPLDDVNAVNGVTAAIVDHKASPGMDAAKRYISSLGPNATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIVRITAGALPRGLHALNLSRNKISTIEGLRELTRLRVLDLSYNRILRIGHGLASCPSLKELYLAGNKISEVEGLHRLLKLSILDLRFNKISTAKCLGQLAANYNSLQAINLDGNPAQKNVGDEQMKKYLQGLLPHLVYYNRQPIKVSTLKDGAERSVRLGMNSHQFDRGLRSDRKTTRKTSQGGVGSRRSVATSTHVRRTVDSPKVSRGKQAHLPPSRTKAPTPTHTRQHFEAPTKVSNATPELSMRKSRSEGNFGAL >Vigun06g045700.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17032455:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun06g045700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17030129:17033075:-1 gene:Vigun06g045700.v1.2 transcript:Vigun06g045700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKGEEGSITVGTTGTISLLMTKELDQMSGVPQQDLSSTSKPRISVSSGSATPKRPQPRKSFEASSSSSSNVTNQISSGIGPKTKHNGKHTHQIPMLGSHTLPLEASPVMKKKDKKKKSKFVEVVDIKCGYADKAWATPITNSLKKLGFSKLSESIT >Vigun03g389000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59559606:59561159:-1 gene:Vigun03g389000.v1.2 transcript:Vigun03g389000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLFHLRIQLESMNAFLTRNPSFCLRNQNFRPFSSHKLLSNEVLTNALRVLNLVSPKKRGADVKSRQSHLRLIENMLENNATMTIPLGYNSPSLRTTTEMNIQSSVLRMEQRFGVDVCFLSQAVSSCGSKRDLWGGIQYHCLAITTGFIANVYVGSSLISLYGRCALLDDAYRVFEEMPVRNVVSWTAIIAGFAQAWRVDMCLELYHQMRGSDLRPNYFTYTSLLSAYMGSGALEYGRCAHCQIIHLGFHSYLHIDNALVAMYSKCGAIDEALYIFENMEGRDVITWNTMISGYAQHGLAQEAISLFEEMIKQGVNPDAVTYLGVLSSCRHGGLVKEGQVYFNSMIEHGVQPEVDHYSCIVDLLGRAGLLVEARDFVQNMPVFPNAVVWGSLLSSSRLHGSVQIGIHAAENRLLLEPGCSTTLQQLANLYARVGWWNEAARVRKLMKDKGLKPNPGCSWVEVKSKIHRFEAQNKTNSRRTEIALTLNSLIDHMSSLNFQFQMCDEENIWLSVSQC >Vigun08g119900.2.v1.2 pep primary_assembly:ASM411807v1:8:28749723:28751307:1 gene:Vigun08g119900.v1.2 transcript:Vigun08g119900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAETTKPDWLNNRAKVAGERTDDFGDEDEDEECDGDDEAWNMLTRSFRQAQTVLDENRALIKEVNSNHESKIPDNMVKNVSLMTQIHGNISKVRSIYSDLSVNFSNIVRQRRVAAVNHSNRDGDREEDGDEAENSEDDSAEHVTEKSEMLDGSMDRIELHPPA >Vigun08g119900.1.v1.2 pep primary_assembly:ASM411807v1:8:28749739:28751307:1 gene:Vigun08g119900.v1.2 transcript:Vigun08g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAETTKPDWLNNRAKVAGERTDDFGDEDEDEECDGDDEAWNMLTRSFRQAQTVLDENRALIKEVNSNHESKIPDNMVKNVSLMTQIHGNISKVRSIYSDLSVNFSNIVRQRRVAAVNHSNRDGDREEDGDEAENSEDDSAEHVTEKSEMLDGSMDRIELHPPA >Vigun08g119900.3.v1.2 pep primary_assembly:ASM411807v1:8:28749739:28751307:1 gene:Vigun08g119900.v1.2 transcript:Vigun08g119900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAETTKPDWLNNRAKVAGERTDDFGDEDEDEECDGDDEAWNMLTRSFRQAQTVLDENRALIKEVNSNHESKIPDNMVKNVSLMTQIHGNISKVRSIYSDLSVNFSNIVRQRRVAAVNHSNRDGDREEDGDEAENSEDDSAEHVTEKSEMLDGSMDRIELHPPA >Vigun02g034300.1.v1.2 pep primary_assembly:ASM411807v1:2:14455785:14462240:1 gene:Vigun02g034300.v1.2 transcript:Vigun02g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYRVVQVVAIVSFVLLLPNTHAWGDDGHAIVCKIAQARLSTQAAAAVKKLLPKSANNDLSSKCSWADSLRVVFPWSSALHFANTPKDACNYKNSRDCVDLKTGIKGRCVVSAITNYTNQLLEYGTKTKYNLTQSLLFISHFMGDIHQPLHCGFGSDRGGNDISVHWYRRKQTLHHVWDASIIETEIERFYDDIDDFVDAIQKNITKAWADEVEDWENCRKDDISCPTIYASESVEDACAWAYEGASEGSVLDDEYFLSRFPIVNLRLAQGGVRLAATLNRIFDTGSAMSV >Vigun02g144600.1.v1.2 pep primary_assembly:ASM411807v1:2:29291400:29304035:1 gene:Vigun02g144600.v1.2 transcript:Vigun02g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVSGRRRPRGPESTARSEALERLKARLRGGRRSDGTGPQIRLENPVYDTVPEDEYNALVAKRREQARSFIVDDEGIGYGDEGEEEDWSQAGFTLSSDESEGESERPKRKKVEKKDPQPKRPSSSLSAAAAMMGGQRLSSMFTSSVFKKSRDDKACESIVDDVIAEFAADETDRLRRRRAQGNSSSTSVANNALRVNNTVRDNNSVGMMGDFSSLPGNGNAESVKIVVESDGFDSGFAPKSAVVSEIQDFGRDNSENGQGPAVGAEDSQINECMIEEEEVKSFEIEVKADAKKEVFTLNAKVKEADDPALSATAGWKEAIRDAGGVDVSDSKDAWQNQHSEFDLEEDGSLPFYILDAYEEFYGENRGTLYLFGKVKTGDLYQSCCVIVKGMQRCVYAVPTRPLLRTEEMTSLERDVRESRISSADFFKKLQDAAADVKNEVAQHLVNLDVSNFSMAPVKRKYAFDRFEIPAGENYVVKINYSFKDPVLPVDLKGESFCALLGTGCSALELFLIKRKIKGPSWLQVSRFATSSSSRRVSWCKFEVTVGSPKLIRTSPPSKITSNIPPVVVAAINLKTTINEKQNINEIVSASVVCCNMVKIDAPMMASEWRRPGRLTHFTVVRKLDGSIFPMGFNKEVTDRNLKAGSNILCAESSERALLNRLMLELHKLDSDVLVGHNISGFDLDVLLHRCQACKVASSMWSKLGRLNRSTMPKLGRRSKVFGSGADPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLSHLAKSHLNKFRKEVAPHEVPKMFQSAESLMELIEYGETDAWLSMELMFYLSILPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHTFHAMKYMVPDKFSNYSKETKLTKRRVTHDVEDSNYDVDVDDVNYDNDASEPNNKKSKKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSLDGSFPRLPSSKITGVLPEVLKNLVDRRKKVKSWIKNEKKKNEKADPLRVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNALNLEVIYGDTDSIMIYSGLDDIEEANKIAVKVIQEVNKKYKCLEIDLDGLYKRMLLLKKKKYAAVKLLFKDGTPYEVIERKGLDIVRRDWSLLAKELGDFCLTQILSGGSCEDVVESIHNSLMKVQEEMRNGQVPLEKYVITKTLTKPPEAYPDAKNQPHVLVAQRLKQQGYSSGCSVGDTIPYIICYEQGGSSGSAGGIAQRARHPEELKREQGTWLIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFHHKSSEAFNDDSSSSLLCAANDEERYRGCEPLVLSCPSCSGTFDCPPVSKSICCLLGSEMTTSVSTEESDFNFWRKLCCPKCPDDVKISPAMIANQVKRQAEMFVLMYYKGLLTCDDETCKHTTRSISLRLVGDSERGTVCPNYPRCNGRLLRKYTEADLYKQLSYFCHVFDAVSCIEKMEGKSRITIEKEVMKIRPMIDPAASTAQKIRDRCAYGWVRLEDLVITV >Vigun08g079300.1.v1.2 pep primary_assembly:ASM411807v1:8:16243321:16264955:-1 gene:Vigun08g079300.v1.2 transcript:Vigun08g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTVGNGALVPLTGDPPAVYPLHHGLAPPICRLSISWSRGNTLHLSLFSSTAAKVVEVKLTSEDQEIPDAHWRRIAYGSVAPFALLQSRRSSLSALLKTPSPYHSDWWENVLQYSKEIGSLLGGSKLPPSPIIEDSKTIVKRDEEPTSLKAAWELIEIFYVDKQSQSWLPERLVDWLTDYDSLFTSTHETIHGKLVDFQKELVNIQVIEDDSRYWELLSSALSVGWLDIVVKMLRLHGSYQLDQLSKRELENGLVETVATVISKMPRLRPESVGKLGEFYKSKADFIKCWEKWRSQITKLDCSPFWIQCDNQQTVDGLRNLLQIMLGNTDSLCMATCFWIELYISHFLYIRPFTMGIESMSNLAQKCIQLKPQSNTHRLAGLMVGILEENTEVVLAECSREFGPWLVAHAIELLTAGSEQAEVLLHEERYNLGGISIVELHRLVYAQILSSHALTWQIAPIYLSSCVKQGMGLLENLLYRQSVQHNNVLLKNIEICRLYELDGISSNIMKIAGVYHWKHGHKGAGVFWLQQAQDSSCLDRIAQQLFDSVGKSISDESFKQWEGMIELLGSESKPAGGLEFLHKYRDFKKSLQKVSGGKSTELARQAVGSLMLLMKNPSTPQRFWLPLLYDSLKLLNWKDCPILGVSETNLLLNKLQDLSLAKLRPYYTEPSLPPDALSSIRLALATNLGRAILDE >Vigun08g079300.2.v1.2 pep primary_assembly:ASM411807v1:8:16243321:16264965:-1 gene:Vigun08g079300.v1.2 transcript:Vigun08g079300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFLLRNNAIKLVLLDSFNSALLKTPSPYHSDWWENVLQYSKEIGSLLGGSKLPPSPIIEDSKTIVKRDEEPTSLKAAWELIEIFYVDKQSQSWLPERLVDWLTDYDSLFTSTHETIHGKLVDFQKELVNIQVIEDDSRYWELLSSALSVGWLDIVVKMLRLHGSYQLDQLSKRELENGLVETVATVISKMPRLRPESVGKLGEFYKSKADFIKCWEKWRSQITKLDCSPFWIQCDNQQTVDGLRNLLQIMLGNTDSLCMATCFWIELYISHFLYIRPFTMGIESMSNLAQKCIQLKPQSNTHRLAGLMVGILEENTEVVLAECSREFGPWLVAHAIELLTAGSEQAEVLLHEERYNLGGISIVELHRLVYAQILSSHALTWQIAPIYLSSCVKQGMGLLENLLYRQSVQHNNVLLKNIEICRLYELDGISSNIMKIAGVYHWKHGHKGAGVFWLQQAQDSSCLDRIAQQLFDSVGKSISDESFKQWEGMIELLGSESKPAGGLEFLHKYRDFKKSLQKVSGGKSTELARQAVGSLMLLMKNPSTPQRFWLPLLYDSLKLLNWKDCPILGVSETNLLLNKLQDLSLAKLRPYYTEPSLPPDALSSIRLALATNLGRAILDE >Vigun04g175500.1.v1.2 pep primary_assembly:ASM411807v1:4:39949030:39956957:-1 gene:Vigun04g175500.v1.2 transcript:Vigun04g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSTPKDSGGNRRRPGSIGEVSVYVPGLRIPKPVDFAQSLGDYLSKNIVERLSALRTRIVVMAGQEGPTITRTKRKTQHGGSTLADLVQALEDYLPVLLGLVKDGSHLQYKVQFVWVNQEDDKEETTMSNAWYEVLSVLHLMAMLSLSQANLLLLPRSSSNDGHQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQLPAELRRNLPVDLAEGVLRALSLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKCWQQAQDNIMNLPLTNGWGEKHCLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAASPLSRNPPPWGTMKYLSEKIPKDTSSKVRINRDLYSHERIMETAPTLPDFSLALKPDEYQLPQVDSSWRTENIKVGQTDPNHLKG >Vigun10g165700.2.v1.2 pep primary_assembly:ASM411807v1:10:38478863:38487155:1 gene:Vigun10g165700.v1.2 transcript:Vigun10g165700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDDRDGIVCIQPQKTLPSTNPHASDLFATSTAAQGIINNHAFSHASSVSGFQPFVRPKFTGVPEPDAELKKAGDQDTKVSSKSSKDEDVKVIDSRIQSSANAQPIEREEGEWSDEDVFANANGGNNANSNGGSNANANVGNNLAQRSQASEEVATSGMVDGGVVVASDCKPRNLKSSDSINDEKGSHTSIGLESNSSEQKSNSIPNSESNIKSETSTDALEEPTLVPKQKEVKGIEASHALRCANNPGKRKIDQRKEEMLGKKRNRQTMFLNLEDVKQAGPIKTSTPRRQNFSSSVVSRTIKEVRTIPAQVERVGIAKDQKLTETTSGEGGNHADAHEPKSDSNGDTTGPLVRSRRLNSETEPPAEANLPPIPRQGSWKQLTDSRQQKNTIHSNRKLGLSGQSSNDVKLGNKKHLSIKKQTPISNQSQDTSVERLIREVTSEKFWHHPEDTELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEESTETVSRDTHIMVRVKANESRERGWYDVKVLPVHEFKWSFKEGDVAILSSPRPGSVRSKQNNSSVAQDDGESEVTGRVVGTVRRHIPIDTRDPPGAILHYYVGDSYDPSRVDDDHIIRKLQSGSIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSPEHFPKYEQQTPAMPECFTQNFVEYLRRTFNEPQLAAIQWAATHTAAGTSSGSTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKHVAPESYKQVNEINSDHVPTGSIDEVLQNMDQNLLRTLPKLVPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLIKSREEIMGWMHQLKNREAQLTQQLHCLHRDLNAAAAAVRSQGSVGVDPDLLMARDQNRDALLQSLAAVVENRDKVLVEMSRLALLESRFRPGSGFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAILPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPHIRDFPSRYFYQGRLTDSESVAKLPDEPYYKDPLLKPYIFYDIRHGRESHRGGSVSYQNIHEAQFCLRLYEHVQKTVKSLGLGKITVGIITPYKLQLKCLQREFDEVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSEDWAALINDAKSRNCYMDMDSLPKDFLVSKGPVYTSLPGKPSNMRGMRSAGPRYRAMDMHMMDSRSGAPSEDDENMGAPIGSRNGNHRHSRFSMENSVDDFDHGGDKTRDTWQYGIQKKQNSSGPMGKRDV >Vigun10g165700.1.v1.2 pep primary_assembly:ASM411807v1:10:38478870:38487155:1 gene:Vigun10g165700.v1.2 transcript:Vigun10g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDNDDRDGIVCIQPQKTLPSTNPHASDLFATSTAAQGIINNHAFSHASSVSGFQPFVRPKFTGVPEPDAELKKAGDQDTKVSSKSSKDEDVKVIDSRIQSSANAQPIEREEGEWSDEDVFANANGGNNANSNGGSNANANVGNNLAQRSQASEEVATSGMVDGGVVVASDCKPRNLKSSDSINDEKGSHTSIGLESNSSEQKSNSIPNSESNIKSETSTDALEEPTLVPKQKEVKGIEASHALRCANNPGKRKIDQRKEEMLGKKRNRQTMFLNLEDVKQAGPIKTSTPRRQNFSSSVVSRTIKEVRTIPAQVERVGIAKDQKLTETTSGEGGNHADAHEPKSDSNGDTTGPLVRSRRLNSETEPPAEANLPPIPRQGSWKQLTDSRQQKNTIHSNRKLGLSGQSSNDVKLGNKKHLSIKKQTPISNQSQDTSVERLIREVTSEKFWHHPEDTELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEESTETVSRDTHIMVRVKANESRERGWYDVKVLPVHEFKWSFKEGDVAILSSPRPGSVRSKQNNSSVAQDDGESEVTGRVVGTVRRHIPIDTRDPPGAILHYYVGDSYDPSRVDDDHIIRKLQSGSIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSPEHFPKYEQQTPAMPECFTQNFVEYLRRTFNEPQLAAIQWAATHTAAGTSSGSTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKHVAPESYKQVNEINSDHVPTGSIDEVLQNMDQNLLRTLPKLVPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLIKSREEIMGWMHQLKNREAQLTQQLHCLHRDLNAAAAAVRSQGSVGVDPDLLMARDQNRDALLQSLAAVVENRDKVLVEMSRLALLESRFRPGSGFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAILPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPHIRDFPSRYFYQGRLTDSESVAKLPDEPYYKDPLLKPYIFYDIRHGRESHRGGSVSYQNIHEAQFCLRLYEHVQKTVKSLGLGKITVGIITPYKLQLKCLQREFDEVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSEDWAALINDAKSRNCYMDMDSLPKDFLVSKGPVYTSLPGKPSNMRGMRSAGPRYRAMDMHMMDSRSGAPSEDDENMGAPIGSRNGNHRHSRFSMENSVDDFDHGGDKTRDTWQYGIQKKQNSSGPMGKRDV >Vigun03g285250.1.v1.2 pep primary_assembly:ASM411807v1:3:46647824:46648152:1 gene:Vigun03g285250.v1.2 transcript:Vigun03g285250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSGFCLNEERKNYHLSRFCGPSLVYGLYEKHGSSDSEYGLLCVFFLLYNLFGIDHRTYGVNYDLFICSGVWNRFVTS >Vigun08g153000.1.v1.2 pep primary_assembly:ASM411807v1:8:32539350:32541345:1 gene:Vigun08g153000.v1.2 transcript:Vigun08g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLIFVLVLASSSANPRVHCIQDTLTEDIELQRQLNLINKPPIKTIHTKYGDTVDCIDINRQPAFDHPLLKDHVLQKNPSNRKPIEKSSIKKLLGTLAFGFDKDQCPKGTIPIQRTKKEYLIQEKQLRNSSIFTKDVPGLHVAEMGVSSKFGPYYEVKGTTSVYNPRVSKGQMSLSHVWVQSRGENKISAGWQVYSDLYGDNRTHFYASWTRDNFRKTGCYNVRCPGFVHSNSYAYLGEPFLNISVYGGPIYHFSTSISQDPVSKNWWLYVNNVDIGYFPVELFSGMNSADEVGWGGTTSTPQDSSSPPIGYGHFPDRKFFHSCYFIRMSYMNISKKNNEPKKHQIKKYIDNPECFGLSYYGNLHKSVDYSIQFGGPGGSCSN >Vigun06g176300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29645778:29646719:1 gene:Vigun06g176300.v1.2 transcript:Vigun06g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRTSNVSSLKGYESNPTQMNLCVLQRNTSSSGERRGRGKQTEPGRFLGVRRRPWGRYAAEIRNPLTKERHWLGTFDTAEEAALAYDRAALSMKGCQAKTNFVYSKDTNVFNNAHTPFNSQPLLPLPPSHNTHTNQTTISHVPTFTSHIENPSDLYADTCFGSPQDDNFFFSTDSNSGYLQCIVPGNCFKKSNASASGRDLGDVNSNSMQVQPHFDTTQEAFNMQATVAANYADFSCPTDLGEGLWDNHQSWDFSSNELAAMFKNPSRVVEEGCMNALRPFTDSSNYELMTQVVSSTTYSPSVPPFGNVGF >Vigun03g144800.1.v1.2 pep primary_assembly:ASM411807v1:3:14747504:14750092:1 gene:Vigun03g144800.v1.2 transcript:Vigun03g144800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPKELYYQDLVLDHNNHHGTGGGGGGGGSDMYQKHFSTSLIPAVSTPYDSQQPFDPSYMTFTECLQGGMDYNSLATSFGLSPSSSEVFSSVEGNHKPAEGGDGAAATAATETLATLNSSISSSSSEAGAEEDSGKSKKDRQVKTEEGGENSKKGNKDKKKGEKKQKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPTTVITTYEGQHNHPVPTSLRGNAAAGMFTPSLLATPTPLAAGSNFPQDLFLHMHHQHHHHHHHPHHHFHNTLFSTQSTNAVTTTTTAVTTAATPPSIYSSYNINNSLLHNQYLPSEYGLLQDIVPSIFHNKTHHQN >Vigun08g155500.1.v1.2 pep primary_assembly:ASM411807v1:8:32825802:32828400:1 gene:Vigun08g155500.v1.2 transcript:Vigun08g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGWVWGVIGLILATAISLYANSLIARLHEYGGTRHIRYRDLAGFIYGRKAYSLTWTLQYVNLFMINVGYIILAGSALKAAYVLFRNDDGMKLPYFIAIAGLVCAMFAICIPHLSALGTWLGFSTVLSLVYIVIAFVLSIKDGIKSPPRDYSIAGTPTSKIFTTIGASANLVFAYNTGMLPEIQATIKQPVVKNMMKALYFQFTVGVLPLYMVTFGGYWAYGSSTPTYLMAGVNGPVWAKAMANIAAFLQSVIALHIFASPMYEYLDTKHGIKGSALAFKNLSFRIMVRGGYLAINTFVSAVLPFLGDFMSLTGAISTFPLTFILANHMYLVAQKNKLTSIQKLWHWINICFFAIMSAAATVAALRLIALDSKTYHPFADI >VigunL023657.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:31899:32513:-1 gene:VigunL023657.v1.2 transcript:VigunL023657.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun04g098800.1.v1.2 pep primary_assembly:ASM411807v1:4:22682353:22683840:1 gene:Vigun04g098800.v1.2 transcript:Vigun04g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRADEISKIIRERIEQYNTEVKIVNTSTVLQVGDGIARIYVLDEVMAGELVEFEEGTIGIALNLESKNVVVLMDDGLLIQEGSSVKETGRIAQIPVSESYLSRVINALAKPIDGRGEISASESRLIESLTPSIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDKQTVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAHAYWQMSLLLRRPPGRKAYPWDVFYLHSCLLERAAKLSFQLGEGSMTALPIVETQSGDVSTYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFTELEAFAQFVSDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIVTIYTGTNGYLDSLEIGQVRKFLVELRAYLKTNKPQFKEIISSTKTFTGKAEALLKDAIKE >Vigun11g070900.1.v1.2 pep primary_assembly:ASM411807v1:11:20569270:20576138:-1 gene:Vigun11g070900.v1.2 transcript:Vigun11g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSQIWSILLSRNSQGSTSNRYFTIKYVVLSVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKIFESSFLDPKESTRVLPITKKYMMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFPQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQTGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLYNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMIFHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFMYGQFLKILFIRNKIFSFCDDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSITDISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFKHIYHDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPKSWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNTKAMSPCIIWIPNIHDLDVTESNYLSLDLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSETLLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun10g152200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37211845:37213255:1 gene:Vigun10g152200.v1.2 transcript:Vigun10g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSLFHFFTISTTSTICSCHFHRTILIYDTPNTHTHNFFNYVSTTPPMAEMQIQHQEDHNHIPNNPNNPNPNPNPTHYTHYRFPGKVPHHQRHHMDKATPSRFKPNAPKREHCICITVFLLLLGIILLILWLAYHPTKPRFTVASAAVYGLNATTPPLISISLQFNVVIRNPNRRVSIYFDRLSAYVSYRNQPITPHVMLPPLFLDKHSAVSLSPEIGGVPVPVSVEVTNGLVMDESYGVVDVKLVLYGRLRWKAGEINSAHYGLYVKCDVLMGLKKGIVGQVPLLGSPVCDVNT >Vigun03g419500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62564731:62565819:1 gene:Vigun03g419500.v1.2 transcript:Vigun03g419500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINIMMVADTVVVTAAKGLGVLRACQSTCNLPLTDSAADQISAMIHPYDAVAPPPVKPATRLRRRTLLRRKQRTKRISSGDHSGDAGNDGFLFGDGGDGYFGGGGGGFSGGGGGGWNFNRFGGGHNWDEPSSSVPDPAFDFVYQVLSWIMLSNCLHFAVKRIIRIVTAGSIVDSGREKVPARLVPIC >Vigun10g082500.2.v1.2 pep primary_assembly:ASM411807v1:10:23281792:23288537:-1 gene:Vigun10g082500.v1.2 transcript:Vigun10g082500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELVSALVVMSLFWLCLSNNLFVVSGLCHDDQRSLLLQLKNNLTFTFQRSTKLKSWNLTNDCCGWIGVSCDKKGHVTALDLSRETITGGIDDSSSLFSLQHLRILNLADNNLHSVIPSAFKRMENLTYLNLSYAGFVGQIPIEISQMIRLITLDLSCFPNFGLKLQNPDLRKLVQNLTSIRQLYLDGVTISAAGHEWSSVLMSLHDLQEVRMSDCDLSGPLDPSLSRHESLSVILLEGNNLSSAVPETFADFKFLTILSLFDCQLTGTFPQKIFNIGTLLAVDVAWNHNLQGFFPDFPLGSLHTLIMGEVPAVNKEMAISLLSHRGWILYFFPSYFSLGLWPMYRSMHFYYILFC >Vigun09g234350.1.v1.2 pep primary_assembly:ASM411807v1:9:40493617:40496146:1 gene:Vigun09g234350.v1.2 transcript:Vigun09g234350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGWRNGWFFMVLFLPTNLQNGRRSCPYRNVCPYRNVWYSSYTFFSEMLRKIVLTS >Vigun02g093600.1.v1.2 pep primary_assembly:ASM411807v1:2:24870375:24874065:-1 gene:Vigun02g093600.v1.2 transcript:Vigun02g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIEAGRPFASRRNGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSNKLKQASEIDHNAEVNANKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQAVLPSSYTAGEVAISSDKTPEQRALLVESRRQEVLFLDNEIAFNEAIIEEREQGIQEIHQQIGEVNEIFKDLAVLVHEQGAIIDDIGTNIENSHEATARAKSQLAKASKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >Vigun03g181150.1.v1.2 pep primary_assembly:ASM411807v1:3:23070955:23071583:-1 gene:Vigun03g181150.v1.2 transcript:Vigun03g181150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKVEMIDPMGKNYEVFIKGSYTGRIFARHGLPEMVTYYDLREDEVLHLNFIGDDKFLFRIFSLTGNEINYSKPLIENEPTINEDDGLESEFYYTTIKTLTDYDVQSSSLYLNSEFVARALVKNMKNHEIRNSIGCSCSCTVRWGKKRTYGCFLSCGWKKFCAENVFKAGDVITFGVDRNR >VigunL008201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:80115:80423:1 gene:VigunL008201.v1.2 transcript:VigunL008201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g103500.1.v1.2 pep primary_assembly:ASM411807v1:7:18636451:18638000:-1 gene:Vigun07g103500.v1.2 transcript:Vigun07g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPTVVVEPAPEPAATESPPEEKEEPKSETKAKKTKEPKPKKVSRPRNPPTHPSYEEMIKDAITSLKEKTGSSQYAISKFIEEKHKQLPSNFKKLLLYHLKKLVAAGKLVKVKGSFKLPPTRPAPSSPAKKKPAPAKPKPKPKPKPAAKAKDAKTAKSKAPAKAKPAAKPKAKAPAKAKPAAKPKAAPKPKAAAAKPKVTKPAAKPKPAAAKPKAAKPVAKPKAKPVKAARTSTRTSPGKKAPAAKPAPKKVAAAAKKAPVKSVKPKSVKSPAKKAATKRGGRK >Vigun03g012400.2.v1.2 pep primary_assembly:ASM411807v1:3:836107:839022:1 gene:Vigun03g012400.v1.2 transcript:Vigun03g012400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLKLLQERAKVGSFWWPYISNLPETFTVPIFFPGEDIKNLHYAPLLHQVNKRCRFLLDFEQEVKRALASVKPDSHPFGGQEVDASSLGWAMSAVSSRAFRLYGEKDQNGDRIHIPMMLPLIDMCNHSFNPNARIVQEEDTDTMKMQVKVVAETAIKEDDPLLLSYGSLNNDFFLLDYGFVIHSNPFDCIELKYDGALLDAASTAAGVSSPNFSAPAPWQELILSQLNLSGETPNLKVSLGGEETVDGRLVAALRVVLSSNVETVQKYDLSTLKSLDVEAPLGVAHDIAVFRTLIALCVIALEHFPTKIMDDESLLKQGASGSTELAIQYRIQKKCVIIDVMKNLSRRVKLLSSKETATAEG >Vigun03g012400.1.v1.2 pep primary_assembly:ASM411807v1:3:836107:839022:1 gene:Vigun03g012400.v1.2 transcript:Vigun03g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKTVMCSLTHLRPLTCAASASASRIVPYPPDLLKWVTREGGFVHRALDIAQLGSSNGLGLVAKDPIPRGSDLIVLPHHLPLRFTTLEHDPLLHHLARQIPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETFTVPIFFPGEDIKNLHYAPLLHQVNKRCRFLLDFEQEVKRALASVKPDSHPFGGQEVDASSLGWAMSAVSSRAFRLYGEKDQNGDRIHIPMMLPLIDMCNHSFNPNARIVQEEDTDTMKMQVKVVAETAIKEDDPLLLSYGSLNNDFFLLDYGFVIHSNPFDCIELKYDGALLDAASTAAGVSSPNFSAPAPWQELILSQLNLSGETPNLKVSLGGEETVDGRLVAALRVVLSSNVETVQKYDLSTLKSLDVEAPLGVAHDIAVFRTLIALCVIALEHFPTKIMDDESLLKQGASGSTELAIQYRIQKKCVIIDVMKNLSRRVKLLSSKETATAEG >Vigun03g012400.3.v1.2 pep primary_assembly:ASM411807v1:3:836546:839023:1 gene:Vigun03g012400.v1.2 transcript:Vigun03g012400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLKLLQERAKVGSFWWPYISNLPETFTVPIFFPGEDIKNLHYAPLLHQVNKRCRFLLDFEQEVKRALASVKPDSHPFGGQEVDASSLGWAMSAVSSRAFRLYGEKDQNGDRIHIPMMLPLIDMCNHSFNPNARIVQEEDTDTMKMQVKVVAETAIKEDDPLLLSYGSLNNDFFLLDYGFVIHSNPFDCIELKYDGALLDAASTAAGVSSPNFSAPAPWQELILSQLNLSGETPNLKVSLGGEETVDGRLVAALRVVLSSNVETVQKYDLSTLKSLDVEAPLGVAHDIAVFRTLIALCVIALEHFPTKIMDDESLLKQGASGSTELAIQYRIQKKCVIIDVMKNLSRRVKLLSSKETATAEG >Vigun05g211300.2.v1.2 pep primary_assembly:ASM411807v1:5:40222116:40223872:-1 gene:Vigun05g211300.v1.2 transcript:Vigun05g211300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYACFFMIKVPYSCKQKLMTISVEESIMVQPAEATPRKVLWNSDLDLLVGNYHLPTVYFYKPNGASNFFNANILKQALSKTLVLFNPMAARLRHGEDHRVEIYCDGQGVLFVEAHATVAMDNFIHTFHHRNLIPAVDYCAGIETYPIVVLQVTYFKCGGVSLGVGIQHHVVDGASSLHFINAWSDVARGIDISVPPFIDRTLLRAREPPQPAFPHHEYQLSRPRINTTTTTNTDVVASILKVSGEHVNILKGKYKEDGNTMKYSSYEMLAAHIWRSVCRARGLPDEEETRLYIPIDGRSRLEPALPPGYLGNVIFSTIPTALVGDLLSKPTCYAANKIHNAIMRMDNPYLRSALDYLQLQPDLSALVRGPHTFGTPNVGINSWAKFPIYDADFGWGRPIFMRPGWIAHEGLAIIIPSSENDGTLYLAIALPPHHI >Vigun03g019400.1.v1.2 pep primary_assembly:ASM411807v1:3:1401824:1403652:-1 gene:Vigun03g019400.v1.2 transcript:Vigun03g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGWVLDKFCLSSCSNTCFCVNSMEFEDDDEFESKPLIESGSDLKLRLKDVVEGKQTLAFQLKPKIVILRVSMHCHGCAKKVEKHISKLEGVRSYKVDLETKMVVVSGDILPLEVLQSVSKVKNAELWNSP >VigunL032550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:219800:220852:1 gene:VigunL032550.v1.2 transcript:VigunL032550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun03g392300.2.v1.2 pep primary_assembly:ASM411807v1:3:59855679:59859497:-1 gene:Vigun03g392300.v1.2 transcript:Vigun03g392300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAPISVQNHFSIPKPSFSHASSLILFIAPPFTHYHTTPQHQTFLLIIFFFFFYTTIVLSLSPKMGLNYPSSTCFILLLVTITRLIYTVGKTEQRKAPILPDLDTEKIFHKLHNDPETIQMASTDYGHIVHELPAAVFHPSSIDDIATLIKSSYNSFVPFHIAARGQGHSTRGQAMARDGVVVDMATLRKQRNGVSISVSKDPFIGHYADVGGEQLWIDVLHASLQHGLAPVSWTDYLYLTVGGTLSNAGISGQSFRYGPQISNVHEMDVITGKGEFVTCSTQKNLELFNAVLGGLGQFGVIARARIALEAAPKRVKWVRVLYSDFSAFTEDQERLISINGRKQKNALDFLEGMLLMNHGPINNWRSSFFPLSDHPRIASLITEHSILYCLEVAKYYDDQTEKNVDKEIQVLLQGLAYIPGFYYEKNVSYVEFLNRVRSGELKLHSQGLWEVPHPWLNLFIPKSQILDFNSGVFRGIILKRNISSGPVLVYPMNRNKWDDRMSASIPDEDVFYTVGFLHSSGFDSWKAHDAQNREILEFCRETGIKVKQYLPNHSTQEDWTNHFGAKWMKFLERKHQFDPRMILSPGQKIFHKQLHPAF >Vigun09g082700.2.v1.2 pep primary_assembly:ASM411807v1:9:10019119:10022192:1 gene:Vigun09g082700.v1.2 transcript:Vigun09g082700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYCSFEGVLYCKPHFDQLFKKTGSLEKSFEGIPRTARLERSADQVQTNSKVSNLFAGTQEKCVACKKTVYPIEKVAVDGTSYHKACFRCTYGGCVISPSNYVAHEHRLYCRHHHTQLFKQKGNFSQLDKQENDEGVTENTATE >Vigun09g082700.1.v1.2 pep primary_assembly:ASM411807v1:9:10019119:10022192:1 gene:Vigun09g082700.v1.2 transcript:Vigun09g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGTTQKCKACEKTVYLVDQLTADNKIYHKSCFRCYHCKGTLKLSNYCSFEGVLYCKPHFDQLFKKTGSLEKSFEGIPRTARLERSADQVQTNSKVSNLFAGTQEKCVACKKTVYPIEKVAVDGTSYHKACFRCTYGGCVISPSNYVAHEHRLYCRHHHTQLFKQKGNFSQLDKQENDEGVTENTATE >Vigun08g164000.1.v1.2 pep primary_assembly:ASM411807v1:8:33630018:33631918:-1 gene:Vigun08g164000.v1.2 transcript:Vigun08g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFPRKRIRAQIYIYLCHRVLHHCFAHTIDSPPYTTSYSPPPCCPAPWSRVVLHFHARCKGEQYDRIAAIWIAGAEILRTSTAEPTADGIFLNVRKDVTRYSSLFAKSNLDLTMMLENIVNTVHRRLPRYRHSPLLQRDPGSRGVNESPADLIIPISDDGRRGFWFKLEEEKSSFSRKVRIPRNTYRAVLELYVSFHGNDEFWYSNPPTSYITANGLATERGNGAYREVYATIDGQVVGSEVPFPVIFTGGINPLFWEPMVAIGAFNLPSYDIDLTPFLGKVLDGKEHVFGIGVVKGISYWLVNANLHLWLDHESKVVHANPVVHHSPETSIERQEGFRGLDGSFDVDAEQETHITGWVMTSAGNITTTVSQGFSFKNFIKFQHNGSIKTVKQKFKAKKKVKVIDGKGESITRLKVRRRYPLRVVTTTKEFLDGTYRLVTELSHILNEKHVSGCFSKSITNAQNSKGWIDVKGHSVVSGQASTTQNYSYVDGFMCYSRNVAASNGRIVLDNSTFVCEL >Vigun08g132700.3.v1.2 pep primary_assembly:ASM411807v1:8:30369265:30371505:-1 gene:Vigun08g132700.v1.2 transcript:Vigun08g132700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGYVVMEGEPKGLEASLHHLLELHHNKSLVLTQRTEKAKKDAIRKTERVSDLLVEAVNGGVQESFINQKRIELEIRALAATIARFMKQTDQWLATTHALNTALKEIGDFENWMKIMEYDCKNITTAIQNIHQE >Vigun08g132700.1.v1.2 pep primary_assembly:ASM411807v1:8:30369037:30371514:-1 gene:Vigun08g132700.v1.2 transcript:Vigun08g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGYVVMEGEPKGLEASLHHLLELHHNKSLVLTQRTEKAKKDAIRKTERVSDLLVEAVNGGVQESFINQKRIELEIRALAATIARFMKQTDQWLATTHALNTALKEIGDFENWMKIMEYDCKNITTAIQNIHQE >Vigun08g132700.2.v1.2 pep primary_assembly:ASM411807v1:8:30368146:30371513:-1 gene:Vigun08g132700.v1.2 transcript:Vigun08g132700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGYVVMEGEPKGLEASLHHLLELHHNKSLVLTQRTEKAKKDAIRKTERVSDLLVEAVNGGVQESFINQKRIELEIRALAATIARFMKQTDQWLATTHALNTALKEIGDFENWMKIMEYDCKNITTAIQNIHQE >Vigun02g110800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26463020:26465438:-1 gene:Vigun02g110800.v1.2 transcript:Vigun02g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPILIGPPELYIAGPTATLTQTPPAPAVTCTTPSDPFMDQMVSKFNTLSNPNMTLTENLSPTFITTGNPSLDFFFHVVPDTPPEILLQRLELAWAHNPLTTLKLVCNLRGIRGTGKSDRRNFYGAAIWLHRHHPKTLLGNIPSLADFGYLKDLPEILYLLLEGSDAREIQKREWKKTKRGLSKREKPEGGKKQKRETGALEGTVDAAKDKIESSEKEIAHVAREEKKVALAKKLVDRYKNDPDFRFLHDRVSDYFAECLRKDLEFLRSGAVTKVSLAAKWCPSVDSSFDRHTLLCETIAKRVFPREEYNEYEGVEEAHYAYRVRDRLRKEVLVPLRKVLELPEVFIGTNRWDLIPYNRVASVAMKFYKEKFLKHDNERFKAYLEDVKSGKSTIAAGALLPHQIIKSLDEEGGGDVAELQWKRVVDDLMKKGKMKSCLAVCDVSASMYGVPMEVCVALGLLVSELCEEPWKGKVVTFSANPQLHLIEGDDLKSKTEFVKKMDWGGNTDFQKVFDQMLEVAVRGNLRPDQMIKRLFVFSDMEFDQASANPWETDYQAITRKFGEKGFGDAVPQIIFWNLRDSKATPVPATQKGVALLSGFSKNLLTLFLDNEGELSPLEAMEAAISGSEYQKLVVLD >Vigun07g043600.1.v1.2 pep primary_assembly:ASM411807v1:7:4368032:4376293:-1 gene:Vigun07g043600.v1.2 transcript:Vigun07g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRAPKPKPKSTRSPILLLVAAVTAIALLFLLSSLLSTTATKPSLFQRRQQHFEKYLYWGNRIDCPGKHCGSCEGLGHQESSLRCALEEAIFLGRTFVMPSRMCINPIHNKKGILHHSANGSSEELWDASSCAMDSLYDAELMSGTVPVIFDNSKDWYRVLSTSMKLGTRGVEHVEGVSRFELKENSRYSDMLLINRTASPLSWFMECKDRNNRSSILLPYSFLPSMAAGKLREAAEKIKALLGDYDAIHVRRGDKIKTRKDRFGVARSLHPHLDRDTRPEFIICRIAKWVPPGRTLFIASNERTPGFFSPLSARYRVAYSSNYSHILEPLIENNYQLFMIERLIMMGAKKFIRTFKEDETDLSLTDDPKKNTKLWQVPVYNADETC >Vigun07g142600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25320829:25323307:1 gene:Vigun07g142600.v1.2 transcript:Vigun07g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLNSSSCAKPSLSSYSSPTTDLGFSTRIQKRPIRKVVDCAVQSPSVINFPKQPQDKSRITEEAPIPALTTDSPKRTQWNPFQKAAAMALDIFESALLARELQQPLSKTTDPRVQLAGNYAPVPEHPVQHSLPVIGTIPEAINGVYLRNGANPLFQPESGHHLFDGDGMVHAVKINDGAVSYACRFTRTQRLMQERQLGKPVFPKAIGQLHGISGIARLVLFYARGLCGIVDHRRGAGAANAGLVFFNGKLLAMSEDDLPYELRITASGDLQTVGRYSFQDQLDSSMIAHPKIDPISGELFSLSYDITSPCLRYFNFSAKEVKSPDVEIRLDIPTMAHDFAITENFVVIPDQQVVFKLSQMLNGGSPVMYDGNKTSRFGVLPKHATDASSILWVESPNTFCFHLVNAWEERDTEEVVIIGSCMTPPDSVFNDSEENLRAILTEIRLNLRTGVSRRRVLVPDMNLEVGMVNRKRLGRKTRFAYMAIAEPWPKVSGLAKVDLVSGEVRKHVYGERRFGGEPFFLGTGGGENEDEGYIMAFVHDEMRWQSELQILNGVDLKLEATVLLPTRVPYGFHGTFVEAKDLVSHTID >Vigun07g190800.2.v1.2 pep primary_assembly:ASM411807v1:7:30900189:30902290:1 gene:Vigun07g190800.v1.2 transcript:Vigun07g190800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIYISINYVCDYQVENIQIQNPKASRLGLLYRLSDRTHLSRWVNPAQSICVFGPTWFVRVIKTTEKKYRKRNMGAFTEKQEELVNSSWEAFKGNLPQNSATFFKLVLEKEPGAKDLFSFLGNGVDPSNPKMAAHAEKLFGTVRDAAVQLKTKGEVVAEAKLGSVHTEKKVTDPQFAVIKEAVLKTIKEAVGDKWNQEMNDAWEQAYDAMAAAIKKTMT >Vigun07g173600.1.v1.2 pep primary_assembly:ASM411807v1:7:28874375:28880118:1 gene:Vigun07g173600.v1.2 transcript:Vigun07g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRECHPLLRGGRRHTTHSLSSPEMESLRSMCEALFPPLHLEHLDATKHSTKSEAVQHFWKSSASEFSVPQQCADILIKRALKEALIFVRLILWMLSTRLGTLLLCGTLCLSKKWPCIHNYSNISLDNREKVLQKWFKHRFLTPVRLAFLYVKVLCLFVFFSQCDEKGENPAWEAIGYKVDSDEITRMVQKERPLDKGIVEAANESDWSLPKSLAEKGLEVSIDAENNVLGVKCDVVIVGSGCGGGVAAAILASSGLKVLVLEKGNYYASSDYSSLEGPSLNELYELGGTFASWDGKMAILAGSTVGGGSAVNWAASIRTPDSVLKEWEKDHKLSIFSSHEYLSAMDMVCKRIGVTDKCEEEGLQNQVLRKGCKNLGLQVDYVPRNSSERHYCGSCNYGCTRGEKQGTEVTWLVDAVDHDAVILTGTKAERFILENNKGGRVRRKKCLGVMANVLTNNVTRKLKIEAKVTVSACGALLTPPLMISSGLKNKHIGKNLHMHPVLMTWGYFPDSNSDLKGKCYEGGIITSVHKVVSEDSKVKAIVETPALGPAALSTLVPWVSGPDFKERMLKYSRTVHLITIIRDKGCGVVRSEGRVHYELDESDKENMKDGVKQALRILIAAGAVEVGTHRSDGQRIECNENNEKELERFVESIYATGGSMSHEEKWSIYSSAHQMGSCRMGMSEKEGAVDENGMSWEAEGLFVCDASLLPTAIGVNPMITIQSTAYCVAKRIAAFLGTG >Vigun03g167700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19522290:19525278:1 gene:Vigun03g167700.v1.2 transcript:Vigun03g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLKLMEDPKERDRRFREHVYRVKDRCEKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVPGRRDPYDDLLQHYSAPPK >Vigun03g167700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19522069:19525278:1 gene:Vigun03g167700.v1.2 transcript:Vigun03g167700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLKLMEDPKERDRRFREHVYRVKDRCEKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVPGRRDPYDDLLQHYSAPPK >Vigun03g167700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19522069:19525278:1 gene:Vigun03g167700.v1.2 transcript:Vigun03g167700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLKLMEDPKERDRRFREHVYRVKDRCEKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVPGRRDPYDDLLQHYSAPPK >Vigun08g018700.2.v1.2 pep primary_assembly:ASM411807v1:8:1582584:1588916:-1 gene:Vigun08g018700.v1.2 transcript:Vigun08g018700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRIFKGTEQKISEGHYSKEDAGYYLPSTSGDVWNQNENEDIDRAIALSLVEESERTHNVNDNRSQLEEDEQLARALEESLNVDSPPRYGNENAFQPIQYFPMGSRICAGCYTEIGYGRYLNCLNAFWHPECFRCRACNLPISDYEFSTSGNYPYHKSCYKESYHPKCDVCKHFIPTNPAGLIEYRAHPFWVQKYCPSHEHDGTPRCCSCERMESQEAGYIALKDGRKLCLECLDSSIMDTNECQPLYADIQRFYESLNMKLDQQIPLLLVERQALNEAREGDKNGHYHMPETRGLCLSEELTTFRRPRFGTGNGAMDMRAQQYRMTPHCDVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSQDVEEGICQVLAHMWLESELSSASGSNFVSASSSSASHTSKKGKRPQFERKLGEFFKHQIESDISPVYGDGFRAGQKAVRKYGLQRTLHHIKMTGTFPY >Vigun08g018700.1.v1.2 pep primary_assembly:ASM411807v1:8:1582584:1588916:-1 gene:Vigun08g018700.v1.2 transcript:Vigun08g018700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRIFKGTEQKISEGHYSKEDAGYYLPSTSGVTTNDVWNQNENEDIDRAIALSLVEESERTHNVNDNRSQLEEDEQLARALEESLNVDSPPRYGNENAFQPIQYFPMGSRICAGCYTEIGYGRYLNCLNAFWHPECFRCRACNLPISDYEFSTSGNYPYHKSCYKESYHPKCDVCKHFIPTNPAGLIEYRAHPFWVQKYCPSHEHDGTPRCCSCERMESQEAGYIALKDGRKLCLECLDSSIMDTNECQPLYADIQRFYESLNMKLDQQIPLLLVERQALNEAREGDKNGHYHMPETRGLCLSEELTTFRRPRFGTGNGAMDMRAQQYRMTPHCDVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSQDVEEGICQVLAHMWLESELSSASGSNFVSASSSSASHTSKKGKRPQFERKLGEFFKHQIESDISPVYGDGFRAGQKAVRKYGLQRTLHHIKMTGTFPY >Vigun05g053900.1.v1.2 pep primary_assembly:ASM411807v1:5:4625094:4627066:1 gene:Vigun05g053900.v1.2 transcript:Vigun05g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRNTLPLIKYLRVRVDALPRNSPLTLAPTVFLRRFCEEVRGSFLDKSEVADRVISCVKNFQKVDPAKVTPNAHFQNDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSIKHAVDFIASHPQAK >Vigun03g038200.1.v1.2 pep primary_assembly:ASM411807v1:3:2919724:2921282:-1 gene:Vigun03g038200.v1.2 transcript:Vigun03g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGAKAVCAEEALSLLNCVTQSPYDENKCLHLLHSLRDCVLAKKVKNFSLAGQEKQKTEPSNQKV >Vigun06g202700.1.v1.2 pep primary_assembly:ASM411807v1:6:31730036:31735874:-1 gene:Vigun06g202700.v1.2 transcript:Vigun06g202700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVWRVLPLLTILVSFFLFFYFQNSHTAQLYPLIKLPRSKCSLLPHHHFWISSKRIVTPQGIISGSVEINEGRIVSVVEGYGNQGQSKQEEIIDYGDAVVMPGLIDVHVHLDEPGRTEWEGFDTGTRAAAAGGVTTVVDMPLNNYPTTVSKETLKLKLDAAEDKIYVDVGFWGGLVPENALNLTILDDLLSAGVLGVKSFMCPSGIDDFPMTTIDHIKAGLSVLAKYKRPIVVHSELQQDYGNDLVHDDNRDPHDYLTYLNTRPPSWEEAAIRQLVGVSKDTGIGGPLEGAHIHIVHLSDSSASLDLIKEAKSRGDSISVETCPHYLAFTSEEIPNGDTRYKCSPPIRDAQNKDKLWEAVLEGHIDLLTTDHSPTVPELKLLEEGDFLKAWGGISSLQFNLPVTWSYGKKYGLTIEQLSLLWSKRPAALAGIESKGTIAVGNHADIVVWQPEEEFDLDDNYPVFIKHYELSAYMGSRLSGKVLDTYVRGNLVFKDGKHAPAACGVQILAT >Vigun06g202700.3.v1.2 pep primary_assembly:ASM411807v1:6:31729930:31736053:-1 gene:Vigun06g202700.v1.2 transcript:Vigun06g202700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVWRVLPLLTILVSFFLFFYFQNSHTAQLYPLIKLPRSKCSLLPHHHFWISSKRIVTPQGIISGSVEINEGRIVSVVEGYGNQGQSKQEEIIDYGDAVVMPGLIDVHVHLDEPGRTEWEGFDTGTRAAAAGGVTTVVDMPLNNYPTTVSKETLKLKLDAAEDKIYVDVGFWGGLVPENALNLTILDDLLSAGVLGVKSFMCPSGIDDFPMTTIDHIKAGLSVLAKYKRPIVVHSELQQDYGNDLVHDDNRDPHDYLTYLNTRPPSWEEAAIRQLVGVSKDTGIGGPLEGAHIHIVHLSDSSASLDLIKEAKSRGDSISVETCPHYLAFTSEEIPNGDTRYKCSPPIRDAQNKDKLWEAVLEGHIDLLTTDHSPTVPELKLLEEGDFLKAWGGISSLQFNLPVTWSYGKKYGLTIEQLSLLWSKRPAALAGIESKGTIAVGNHADIVVWQPEEEFDLDDNYPVFIKHYELSAYMGSRLSGKVLDTYVRGNLVFKDGKHAPAACGVQILAT >Vigun06g202700.2.v1.2 pep primary_assembly:ASM411807v1:6:31729930:31736053:-1 gene:Vigun06g202700.v1.2 transcript:Vigun06g202700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVWRVLPLLTILVSFFLFFYFQNSHTAQLYPLIKLPRSKCSLLPHHHFWISSKRIVTPQGIISGSVEINEGRIVSVVEGYGNQGQSKQEEIIDYGDAVVMPGLIDVHVHLDEPGRTEWEGFDTGTRAAAAGGVTTVVDMPLNNYPTTVSKETLKLKLDAAEDKIYVDVGFWGGLVPENALNLTILDDLLSAGVLGVKSFMCPSGIDDFPMTTIDHIKAGLSVLAKYKRPIVVHSELQQDYGNDLVHDDNRDPHDYLTYLNTRPPSWEEAAIRQLVGVSKDTGIGGPLEGAHIHIVHLSDSSASLDLIKEAKSRGDSISVETCPHYLAFTSEEIPNGDTRYKCSPPIRDAQNKDKLWEAVLEGHIDLLTTDHSPTVPELKLLEEGDFLKAWGGISSLQFNLPVTWSYGKKYGLTIEQLSLLWSKRPAALAGIESKGTIAVGNHADIVVWQPEEEFDLDDNYPVFIKHYELSAYMGSRLSGKVLDTYVRGNLVFKDGKHAPAACGVQILAT >Vigun06g202700.4.v1.2 pep primary_assembly:ASM411807v1:6:31730058:31735874:-1 gene:Vigun06g202700.v1.2 transcript:Vigun06g202700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVWRVLPLLTILVSFFLFFYFQNSHTAQLYPLIKLPRSKCSLLPHHHFWISSKRIVTPQGIISGSVEINEGRIVSVVEGYGNQGQSKQEEIIDYGDAVVMPGLIDVHVHLDEPGRTEWEGFDTGTRAAAAGGVTTVVDMPLNNYPTTVSKETLKLKLDAAEDKIYVDVGFWGGLVPENALNLTILDDLLSAGVLGVKSFMCPSGIDDFPMTTIDHIKAGLSVLAKYKRPIVVHSELQQDYGNDLVHDDNRDPHDYLTYLNTRPPSWEEAAIRQLVGVSKDTGIGGPLEGAHIHIVHLSDSSASLDLIKEAKSRGDSISVETCPHYLAFTSEEIPNGDTRYKCSPPIRDAQNKDKLWEAVLEGHIDLLTTDHSPTVPELKLLEEGDFLKAWGGISSLQFNLPVTWSYGKKYGLTIEQLSLLWSKRPAALAGIESKGTIAVGNHADIVVWQPEEEFDLDDNYPVFIKHYELSAYMGSRLSGKVLDTYVRGNLVFKDGKHAPAACGVQILAT >Vigun03g024700.2.v1.2 pep primary_assembly:ASM411807v1:3:1848192:1853165:1 gene:Vigun03g024700.v1.2 transcript:Vigun03g024700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILKSLRDGESVLDLSPRSTVSGGVEDVYGEDRATEDQLVTPWTISVASGYSLLRDPQYNKGLAFTEKERDAHYLRGLLPPTVITQLLQEKKLMHNIRQYEVPLQKYVAMMELQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKVLEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNETLLKDEFYIGLRQNRATGQEYYDLLDEFMTAVKQNYGEKILVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLPEHRFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIWLVDSKGLIVSSRKNSLQHFKKPWAHEHEPVNSLLDAVKVIKPTVLIGSSGVGKTFTKEVVEAMTSNNDKPLILALSNPTSQSECTAEEAYQWSEGRAIFASGSPFDPVEYKGKVYASGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALATQVSEENYKNGLIYPPLSNIRKISANIAANVAAKAYELGLATRLPRPQDLVKYAESCMYTPVYRNYR >Vigun03g024700.1.v1.2 pep primary_assembly:ASM411807v1:3:1847957:1853165:1 gene:Vigun03g024700.v1.2 transcript:Vigun03g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNIANLMGHGCSKNLGISSWCGGGKRKISEKVVLRVNSKVSQHNNIHSTGEEDEGGEEKKVIGRKMESILKSLRDGESVLDLSPRSTVSGGVEDVYGEDRATEDQLVTPWTISVASGYSLLRDPQYNKGLAFTEKERDAHYLRGLLPPTVITQLLQEKKLMHNIRQYEVPLQKYVAMMELQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKVLEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNETLLKDEFYIGLRQNRATGQEYYDLLDEFMTAVKQNYGEKILVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLPEHRFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIWLVDSKGLIVSSRKNSLQHFKKPWAHEHEPVNSLLDAVKVIKPTVLIGSSGVGKTFTKEVVEAMTSNNDKPLILALSNPTSQSECTAEEAYQWSEGRAIFASGSPFDPVEYKGKVYASGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALATQVSEENYKNGLIYPPLSNIRKISANIAANVAAKAYELGLATRLPRPQDLVKYAESCMYTPVYRNYR >VigunL059841.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000286.1:36880:37083:1 gene:VigunL059841.v1.2 transcript:VigunL059841.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun03g312100.1.v1.2 pep primary_assembly:ASM411807v1:3:50497972:50506115:1 gene:Vigun03g312100.v1.2 transcript:Vigun03g312100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKVPWFWTWVIGSVVFRLILLYFPKNLNLSSRPEVSTPLTSIRRLAEGYWLKQSSVSPYAGSMYHGSPLLLTLLGPLTVKRIEGQPDHLLCGLVFVIADVVSAMLICAAGEKLQVAYSSSLQLLGLRDLSENSERLPSGDFAALVYLWNPFTIVACVGLSTSAIENLMVVLSLYGACSRLAPLAAFGWVMATHLSLYPAILIIPVILLLGYGPDAPPRKLFCQKNRLEVGNSSSSDSYSEEKAKNQLKVANVFSWRPVVSFLLWTLLWSSYILVLCSIYVQQYGGLQELFKRTYGFILTIQDLSPNIGVLWYFFAEVFDFFRNFFLIVFHGNILLMLAPLALRLNHRPCFLAFVYIAISSMLKSYPSVGDSALYLGLLGLFAYELKDMQFSFFLLSGYVGVSLLSPVMHNLWIWRGTGNANFYFATAITYACFQIILVVESVSAMLNHDRTLTKTKLCTAAFQNVKS >Vigun03g312100.2.v1.2 pep primary_assembly:ASM411807v1:3:50497972:50506115:1 gene:Vigun03g312100.v1.2 transcript:Vigun03g312100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKVPWFWTWVIGSVVFRLILLYFPKNLNLSSRPEVSTPLTSIRRLAEGYWLKQSSVSPYAGSMYHGSPLLLTLLGPLTVKRIEGQPDHLLCGLVFVIADVVSAMLICAAGEKLQVAYSSSLQLLGLRDLSENSERLPSGDFAALVYLWNPFTIVACVGLSTSAIENLMVVLSLYGACSRLAPLAAFGWVMATHLSLYPAILIIPVILLLGYGPDAPPRKLFCQKNRLEVGNSSSSDSYSEEKAKNQLKVANVFSWRPVVSFLLWTLLWSSYILVLCSIYVQQYGGLQELFKRTYGFILTIQDLSPNIGVLWYFFAEVFDFFRNFFLIVFHGNILLMLAPLALRLNHRPCFLAFVYIAISSMLKSYPSVGDSALYLGLLGLFAYELKDMQFSFFLLSGYVGVSLLSPVMHNLWIWRGTGNANFYFATAITYACFQIILVVESVSAMLNHDRTLTKTKLCTAAFQNVKS >Vigun11g007900.1.v1.2 pep primary_assembly:ASM411807v1:11:858824:866860:1 gene:Vigun11g007900.v1.2 transcript:Vigun11g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIVWGEASESDDHIVPYEEASEDLHDEKKWNQEASPSKLIEQKRRTETKTDFYQEKLGSSSKFDIVEGQSASESGTNSWPDLSLSSAAKTDQGSWGAEVSKNLGVTEETTQLEKDVEKQGEFANFAWDNIGSFDDLDRIFSNDDPIFGPVSLENSAELWSSKDTSNCSVPIHLEAPNQASALRNSSEPLEVKTEYVQQNGQSFSPSFKRIGDSSSHDVQNAPGNTFNIGYSRDRITPAEKEQQDFGQKNQLKARKKAQGKKDGKALQEFYGSWSPSPTTSGKFQNQLGSSVMQSSPASILGQQNQLQGPETIYLDITNTYISPPAYGSLTNTYSSISVQPPAQSGVLMQQPALSGYEASLGVMNPLNKSVGLVKPLTMTPQEKIEKLRRRQQMQAMLAIQKQQKEFGHQVLNTNKSINKRCAVEMHNQHCDGTDPEIEDLRTLPTLDLPTEQDDSSTISSAIDDHFVEDTILYMLQDVISKLDVNIRLCIRDSLFRLAQSAMLRNCASDTSSTNNSSREEILAVARAESSSKNRYARTTDVETKTNPIDRTVAHLLFHRPLELTENYSEKLESPISAKIQFESKKANLENFPIECLQNEDLKGNQQFSRQGLEHLPEEPFKTSHCIDTSENACDNEVVGAGDEVLEASQ >Vigun06g108700.1.v1.2 pep primary_assembly:ASM411807v1:6:23772564:23775268:1 gene:Vigun06g108700.v1.2 transcript:Vigun06g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRIASKILHSARNQSSKCQHRTIFATAQLQVSWMEKVKNVITGPKTTQSQEDGSQADSNSFTLLRFADEMKNASRIGAFKEFMVGRSSEATFSSAFEKYEAIIRYLGVLDPTGENLQTAQKQQAAKHCSCTIADVENALAKFTWAKEAQKKIEKLKEEGKPLPKSFAEVQKLVGSTPLDLARSNLAQSGQISRNALCPCGSKKRYKRCCGKD >Vigun08g163700.1.v1.2 pep primary_assembly:ASM411807v1:8:33613494:33614108:-1 gene:Vigun08g163700.v1.2 transcript:Vigun08g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVKTNSKMHNIGVVIMMMMIVLGCTNAKKCGAKCYFQCLIWLDPQCYADCMNKCHHDHDPPLNVYGECINSCSVTKSNIGDRVVPTATMNSCLQNCHVKFLNNV >Vigun03g102200.1.v1.2 pep primary_assembly:ASM411807v1:3:8804623:8809792:1 gene:Vigun03g102200.v1.2 transcript:Vigun03g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVESSTNSQRVSRVQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKLCIQPDINPNAHRSKNSRRERTRVQPPLLPGLPDDLAIACLIRVPRVEHGKLRSVCKRWHRLLSGNFFYSLRRSLGMAEEWVYVIKRDRDKRISLHAFDPIYQLWQSLPPVPGEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYNARTNKWHRAPDMLRKRHLFGACVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSFISEMTTAMVPFIGVVHNGTWFLKGLGSNRNVVCESYSQETDTWTPVSNGMVDGWRNPSISLNGQLYALDCQDGCKLKVYDRASDSWKKFMDSRLHLGSSRALDAAALVPLNGKLCIIRNNMSISLVDVSSANGRVENNPQLWENIAGKGHVRSLVRNLWSTIAGRGSLKSHIVHCQVLQA >Vigun03g102200.2.v1.2 pep primary_assembly:ASM411807v1:3:8804623:8809792:1 gene:Vigun03g102200.v1.2 transcript:Vigun03g102200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVESSTNSQRVSRVQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKLCIQPDINPNAHRSKNSRRERTRVQPPLLPGLPDDLAIACLIRVPRVEHGKLRSVCKRWHRLLSGNFFYSLRRSLGMAEEWVYVIKRDRDKRISLHAFDPIYQLWQSLPPVPGEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYNARTNKWHRAPDMLRKRHLFGACVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSFISEMTTAMVPFIGVVHNGTWFLKGLGSNRNVVCESYSQETDTWTPVSNGMVDGWRNPSISLNGQLYALDCQDGCKLKVYDRASDSWKKFMDSRLHLGSSRALDAAALVPLNGKLCIIRNNMSISLVDVSSANGRVENNPQLWENIAGKGHVRSLVRNLWSTIAGRGSLKSHIVHCQVLQA >Vigun07g142900.1.v1.2 pep primary_assembly:ASM411807v1:7:25367861:25371691:-1 gene:Vigun07g142900.v1.2 transcript:Vigun07g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMSISHNLKPSILVSPSLHHSSSFPILLSSKQPFSLTHPLNLTRRLFLPSVSGIWDALTGASNNGREAVLAIRRGMSLFRQGDVSGSLVEFDKAIQLDPRQKAYLWQRGLSLYYLNRFEEGAEQFRFDVAQNPNDTEESIWCFLCEAQLYGVDEARKLFLEVGIDPRPVMREAYSMFKDGGDPEKLVAAFSGGRDSEYFYASLYAGLYYESQNETDAAKIHIVAACQSSYGQRSDDYMAALSKFHCLCRNWVFN >Vigun07g142900.2.v1.2 pep primary_assembly:ASM411807v1:7:25367860:25371691:-1 gene:Vigun07g142900.v1.2 transcript:Vigun07g142900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMSISHNLKPSILVSPSLHHSSSFPILLSSKQPFSLTHPLNLTRRLFLPSVSGIWDALTGASNNGREAVLAIRRGMSLFRQGDVSGSLVEFDKAIQLDPRQKAYLWQRGLSLYYLNRFEEGAEQFRFDVAQNPNDTEESIWCFLCEAQLYGVDEARKLFLEVGIDPRPVMREAYSMFKDGGDPEKLHSLVEEIVSIFMLHYMLGFITNLRMKQMLLKFT >Vigun08g012000.1.v1.2 pep primary_assembly:ASM411807v1:8:1069283:1071310:1 gene:Vigun08g012000.v1.2 transcript:Vigun08g012000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQKHCNLTAIYALLKQSKAWFPTSHDLVKRLGGSNFEYYICHTSQPNRTHTITKISKLSNLVPIPVTMTFIKLTLLAILIAFFSVKLSCAHPGFRFGWGGHHGGISFGLSPQFYQFSCPQANDIVMSVLEKAIAKDMRMPASLLRLHFHDCFVQGCDASILLDDSRTIVSEKNAGPNKNSVRGFEVIDEMKSRLEEACPQTVSCADILALAARGSTVLSGGPNWELPLGRRDSKTASLSGSNKNIPPPNATIETLITFFKRQGLDEVDLVALSGAHTIGVARCATFKQRLYNQKGNNEPDENLEKSFYFDLKTMCPKSGGDNFISPLDFGSPRLFDNTYFKLILRGKGLLNSDQVLLVGNVKETRKLVKKYAEDESLFFEQFSTSMIKMANLRPLTGFNGQVRKNCRRVN >Vigun06g169200.3.v1.2 pep primary_assembly:ASM411807v1:6:29068717:29078864:-1 gene:Vigun06g169200.v1.2 transcript:Vigun06g169200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARQHFYQNRFERKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHVGGSHFFTPIMIIPIYSTGHLDSVISEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYFCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDTAYFFTEPLVTRWPFNKIREKALEVAIKGIRYEDESTRYIQGGCIDKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQAINSQSWDAGFMVQALLATGLIGDLGPTLEKAHDFIKKSQVVDNRSGDFKSMFHHISKGAWTFADRDHGLQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDSVNFILSLQSKNGGLSVWEPAKAQKWLENLNPAEFIADIVIEHEYIESTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWYGNWGVCFTYSSWFALGALAAAGYTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQVPLKSLSFEL >Vigun06g169200.2.v1.2 pep primary_assembly:ASM411807v1:6:29068717:29078864:-1 gene:Vigun06g169200.v1.2 transcript:Vigun06g169200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARQHFYQNRFERKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHVGGSHFFTPIMIIPIYSTGHLDSVISEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYFCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDTAYFFTEPLVTRWPFNKIREKALEVAIKGIRYEDESTRYIQGGCIDKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQAINSQSWDAGFMVQALLATGLIGDLGPTLEKAHDFIKKSQVVDNRSGDFKSMFHHISKGAWTFADRDHGLQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDSVNFILSLQSKNGGLSVWEPAKAQKWLENLNPAEFIADIVIEHEYIESTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWYGNWGVCFTYSSWFALGALAAAGYTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCLIHYAFYRNVFPLLALSEYRNNVLMHSTTV >Vigun06g169200.1.v1.2 pep primary_assembly:ASM411807v1:6:29068549:29078900:-1 gene:Vigun06g169200.v1.2 transcript:Vigun06g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFAGRQIWEFDSEAGTDEERAQVEEARQHFYQNRFERKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHVGGSHFFTPIMIIPIYSTGHLDSVISEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYFCRHVYMPMSYIYGKRFVCPVTPLITSLREELFTEPYDESTWKKARHKCAKEDLYYPHHWIQDLIWDTAYFFTEPLVTRWPFNKIREKALEVAIKGIRYEDESTRYIQGGCIDKSASLLACWVDDPNGDSFKKHLARVPDYLWLSEDGMCVQAINSQSWDAGFMVQALLATGLIGDLGPTLEKAHDFIKKSQVVDNRSGDFKSMFHHISKGAWTFADRDHGLQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDSVNFILSLQSKNGGLSVWEPAKAQKWLENLNPAEFIADIVIEHEYIESTGSAIQALVLFKKLYPNHRREEIEKFIVKATQYIEDQQLPNGSWYGNWGVCFTYSSWFALGALAAAGYTYTNCAAIRKAVKFLLSIQNEDGGWGESYLSCPMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGAYKSSCLIHYAFYRNVFPLLALSEYRNNVLMHSTTV >Vigun03g133700.2.v1.2 pep primary_assembly:ASM411807v1:3:13107947:13112048:1 gene:Vigun03g133700.v1.2 transcript:Vigun03g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGNKVYVLLSFFVTTLLLLSCDGVWGQTSAIFACDVEKNPALGGYAFCDKSLGVKERVADLVGRLTLQEKIGNLVNAAVDVSRLGIPKYEWWSEALHGVSNVGPGTRFSNVIPGATSFPMPILTAASFNTSLFEIIGRVVSTEARAMNNVGLAGLTYWSPNINIFRDPRWGRGLETPGEDPVLTSKYAAGYVKGLQQTDGGDPNRLKVAACCKHYTAYDVDNWKGIQRYTFNAVVSKQDLEDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGEWKLNGYIVSDCDSVEVLYKDQHYTKTPEEAAAKSILAGLDLNCGRYLGQYTEGAVKQGLIDEASINNAVSNNFATLMRLGFFDGNPSKQPYGNLGPKDVCTPANRELAREAARQGIVLLKNSPGSLPLNAKAIKSLAVIGPNANATRVMIGNYEGIPCKYTSPLQGLTALVPTSYAAGCLDARCPNPVLDDAKKIAASADATVIVAGASLDIEAESLDRTNILLPGQQQLLVTEVAKASKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGFHNPSGRLPMTWYPQSYVEKVPMTNMNMRPDPATGYPGRTYRFYKGETVFSFGDGLSYSSIVHKLIKAPQMVSVPLAEDHVCRFSECKSLDADGELCENLFIDINLRVKNKGNLNSGHTVFLFSTPPAVHNAPQKHLLGFEKVQLMGKSETLVRFKVDVCKDLSIVDELGNRKVALGQHLLHVGNLKHPLSVMI >Vigun03g133700.1.v1.2 pep primary_assembly:ASM411807v1:3:13107791:13111999:1 gene:Vigun03g133700.v1.2 transcript:Vigun03g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGNKVYVLLSFFVTTLLLLSCDGVWGQTSAIFACDVEKNPALGGYAFCDKSLGVKERVADLVGRLTLQEKIGNLVNAAVDVSRLGIPKYEWWSEALHGVSNVGPGTRFSNVIPGATSFPMPILTAASFNTSLFEIIGRVVSTEARAMNNVGLAGLTYWSPNINIFRDPRWGRGLETPGEDPVLTSKYAAGYVKGLQQTDGGDPNRLKVAACCKHYTAYDVDNWKGIQRYTFNAVVSKQDLEDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGEWKLNGYIVSDCDSVEVLYKDQHYTKTPEEAAAKSILAGLDLNCGRYLGQYTEGAVKQGLIDEASINNAVSNNFATLMRLGFFDGNPSKQPYGNLGPKDVCTPANRELAREAARQGIVLLKNSPGSLPLNAKAIKSLAVIGPNANATRVMIGNYEGIPCKYTSPLQGLTALVPTSYAAGCLDARCPNPVLDDAKKIAASADATVIVAGASLDIEAESLDRTNILLPGQQQLLVTEVAKASKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGFHNPSGRLPMTWYPQSYVEKVPMTNMNMRPDPATGYPGRTYRFYKGETVFSFGDGLSYSSIVHKLIKAPQMVSVPLAEDHVCRFSECKSLDADGELCENLFIDINLRVKNKGNLNSGHTVFLFSTPPAVHNAPQKHLLGFEKVQLMGKSETLVRFKVDVCKDLSIVDELGNRKVALGQHLLHVGNLKHPLSVMI >Vigun03g133700.3.v1.2 pep primary_assembly:ASM411807v1:3:13107718:13112040:1 gene:Vigun03g133700.v1.2 transcript:Vigun03g133700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGNKVYVLLSFFVTTLLLLSCDGVWGQTSAIFACDVEKNPALGGYAFCDKSLGVKERVADLVGRLTLQEKIGNLVNAAVDVSRLGIPKYEWWSEALHGVSNVGPGTRFSNVIPGATSFPMPILTAASFNTSLFEIIGRVVSTEARAMNNVGLAGLTYWSPNINIFRDPRWGRGLETPGEDPVLTSKYAAGYVKGLQQTDGGDPNRLKVAACCKHYTAYDVDNWKGIQRYTFNAVVSKQDLEDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGEWKLNGYIVSDCDSVEVLYKDQHYTKTPEEAAAKSILAGLDLNCGRYLGQYTEGAVKQGLIDEASINNAVSNNFATLMRLGFFDGNPSKQPYGNLGPKDVCTPANRELAREAARQGIVLLKNSPGSLPLNAKAIKSLAVIGPNANATRVMIGNYEGIPCKYTSPLQGLTALVPTSYAAGCLDARCPNPVLDDAKKIAASADATVIVAGASLDIEAESLDRTNILLPGQQQLLVTEVAKASKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGFHNPSGRLPMTWYPQSYVEKVPMTNMNMRPDPATGYPGRTYRFYKGETVFSFGDGLSYSSIVHKLIKAPQMVSVPLAEDHVCRFSECKSLDADGELCENLFIDINLRVKNKGNLNSGHTVFLFSTPPAVHNAPQKHLLGFEKVQLMGKSETLVRFKVDVCKDLSIVDELGNRKVALGQHLLHVGNLKHPLSVMI >Vigun06g019300.1.v1.2 pep primary_assembly:ASM411807v1:6:8800123:8806269:1 gene:Vigun06g019300.v1.2 transcript:Vigun06g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGLTVERFEEKMFCFESQKTVAAPFLTKTYELVDDPLTDHIVSWGEHQTTFVVWRPPEFSRDLLPNYFKHNNFSSFVRQLNTYGFKKVVVDRWEFANEYFKKGAKHLLCEIQRRKTPQQHHQLYLDQPPSQILQQDETLCWLDTPLSSPKPDTDILIALSEDNQRLRRKNLINFMLLSELAHMKTLYNDIIYFIQNHVKPPPPSFEQRIPKLVDKSLFTSSEESNSSVKLFGVLLSGRKRSNPDNINR >Vigun08g117100.1.v1.2 pep primary_assembly:ASM411807v1:8:28465204:28469446:-1 gene:Vigun08g117100.v1.2 transcript:Vigun08g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSSPKFQQSSQRKQNANLQVLATVNDEQRHSSALKYFKNAVKKCAQVFSLFLLGKRKSASYVGGNDDRKNTSKVRGVASSSTDLSSESNTKNSSKWKFSYSYASSSTASGQLGIGNFSFEEIYKSTAKFSPDNEIGQGGFGTVYRGKLSDGSIVAVKRAKKEVIHNHLHEFKNEIYTLSQIEHRNLVRLYGYLEHGNEKIIVVEYVGNGNLREHLDGIRGEGLEMGERLDIAIDVAHGITYLHMYADNPIIHRDIKASNILVTENLRAKVADFGFARLSDDPTATHISTQVKGTAGYMDPEYLRTYQLTEKSDVYSFGVLLVEMVTGRHPIEPKRPLDERVTIRWAMKMLKQGDAVFAMDPRLRRNPASIKAVKQVLKLAFQCVAPLKQSRPPMKNCAEVLWEIRKSFRDETNSDHPSLPSHHSADFPQREKNKHTVFGIEDDDSYKFVSVPNHIHS >Vigun04g014800.1.v1.2 pep primary_assembly:ASM411807v1:4:1077794:1081497:-1 gene:Vigun04g014800.v1.2 transcript:Vigun04g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MATVVKLHVFPDSLNRHKTRFFRRGFGSCPSPGVLGFGHDCDRFSVRECRAFRTEDGGDAKEKKSRNLKKNEVNSKRESGFWSSLQSILLRNFMVGSKSDDEYRQAVVKVEGLLSSIAIQIGRYIVTMMSTGVILSIGFQMSGGDSQMDALIWYSWLGGVIIGTMIGANMVLEEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSLESVQATVKELEENLKEGIANSVGSSLTKLSHAKVVGIACDVCEPHDVQRLADFAVKELGYVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRVMRNQANAGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQGSLLKECKRSKVGVHTASPGMVLTDLLLSGSTVQNRQMFNIICELPETVARTLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRRGRWFDDQGRALYAAEADRLRNWAEDRARFSFTDAMEMYTENTLLPVFSLSVVCAFIILSSTGNNMPGT >Vigun03g254600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42103358:42106492:1 gene:Vigun03g254600.v1.2 transcript:Vigun03g254600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPLPLPPSSSGDDGDPFGTWYGNIDYLLNISAIGSACCLLIFLFVKLRSDHRRIPGPAALASKLLAVWHATGREIARHCGADAAQFLLIEGGSCALLLSLAVLAVTVLLPLNLSAGTAVIDDGFSRTTITNIEKGSSLLWIHFLFAVVVVFLVHFGISATEERLRITRFRDGYGNLSDPTSNSTAIFTIMVQGLPKIIAADRVVLHEYFQYRYPGKVYKVIAPMDLCALDDLANELLRVRDEISWLVARMDSRLLPDDERDGGVSETGLWGSMVCCWKWLKGFCVDFMGRFGYSDEERLRKLQELRAELESELADYKEGRAPGAGVAFVMFRDVYTANKAVQDFQNEKRRRIGKFFSVMELRLRRNQWKVERAPLATDIYWKNMGTPRMSLKLRRVFVNTCLLLMLLFFSSPLAVITAAKSAGRIINAEAMDNAQLWLAWVQSSSWLASIIFQFLPNVIIFVSMYIVIPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRGLVESSLESTILKMGRCYLDGEDCKRIEQYMSASFLSKSCLSSLAFLITSTFLGISYDLLAPIPWIKRNLQKFRKNDMLQLVPEQSEEYPLEHQDIDSSLQRPLMHDNAYDIANGDNVEGQDLFVYPITGSSPAPKQTFDFAQYYAFNLTIFALTLVYCSFNPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVICIMRFCVDLFLLAMLLFFSARGDSTKLQAIFTLGLLVMYKLLPSSNDSIQPTLLEGIQTVDHVVDSRPIDYEVYSQPRFDWDTSQR >Vigun01g074350.2.v1.2 pep primary_assembly:ASM411807v1:1:20639698:20640572:-1 gene:Vigun01g074350.v1.2 transcript:Vigun01g074350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMVQIFFSFFRVPFMKFQFREFLSLFNGMFEQIMDVVSTSRLAPDATNKSISFPTYFLSTFHNQNK >Vigun01g074350.1.v1.2 pep primary_assembly:ASM411807v1:1:20639698:20640572:-1 gene:Vigun01g074350.v1.2 transcript:Vigun01g074350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMVQIFFSFFRVPFMKFQFREFLSLFNGMFEQIMDVVSTSRLAPDATNKSISFPTYFLSTFHNQNK >Vigun03g115400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10544554:10546176:1 gene:Vigun03g115400.v1.2 transcript:Vigun03g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPVPITNNTMRSITPFLFLFSALSFLFSATSDSPFETFLHCLSNQSSPSISKAIYTPNHPSFFSILHMHTHNHRFSAPTAPKPLAIVTALDESHVQGTVVCAKSNGLEIRIRSGGHDCEGLSYVSDVPFVVLDMFPLGSVDVDIAEGTAWVQAGSTLGQLYYHIAEKSGVHAFPAGVCPTVGAGGHFSGGGYGNLMRKYGLSVDNIIDAKMVDVNGNILDRKTMGEDQFWAIRGGGGGSFGVVLSWKIKLVSVTPKVTVFKVRKTLEDGARDLVYKWQLIATKLHEDIFIRVMHDVVHSSQKGKTIEVTFIGLFLGKSDEMLSLLNESFPELELKKSECIEMPWINSTLYWYNYPIGTPIEALLDVPKEPLSQSFKTMSDYVKRPVPKSGLKSMWEFMIKSESVRMEWNPYGGKMHEISASETPFPHRAGNLFLIEYLTSWGEDGVEAKNHYLNISKSFYEFMSPFVSHSPREAFLNYRDLDIGVNHPSNVTNVDIAQSFGSKYFKNNFKRLVRVKSRVDPHNFFRHEQSIPPLFGNL >Vigun04g012200.1.v1.2 pep primary_assembly:ASM411807v1:4:897317:899758:-1 gene:Vigun04g012200.v1.2 transcript:Vigun04g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDEEISRLYRIRKTVMQMLRDRGYLVGDFEINMSKHEFKSKYGEHMKREDLVINKSKKDNSGDQIYVFFPEEAKVGVKTMKTYTNRMNSENVFRAILVTQTNLTPFARTCISEISSKFHLEVFQEAELLVNIKEHELVPEHQVLTDAEKKTLIERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRFVV >Vigun08g006800.1.v1.2 pep primary_assembly:ASM411807v1:8:588084:593894:1 gene:Vigun08g006800.v1.2 transcript:Vigun08g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELVPPQGVPPKTDQQTKTESVSFFGLFATADSTDCVLMFLGSVGSCLHGAALPVFFILFGRMIDSLGHLSNNPHKLSSRVSEHALYLVYLGGVVLVSAWMGVAFWMQTGERQTARLRLKYLLAVLKKDISFFDNEARDANIIFHISSDAILVQDAIGDKTGHAIRYLSQFIVGFAIGFTSVWQLTLLTLAVVPLIALAGGAYTIIMSTLSEKGEAAYAEAGKVAEEVISQVRTVYSFVGEEKAIGSYSKSLDNALKLGKKGGVAKGVGVGFTYGLLFCAWALLLWYAGILVRHHKTNGGKAFTTIINVIFSGFALGQAAPNLGSIAKGRAAAANIMNMIASASSNSKKLDDGNVVPLVAGEIEFHEVCFSYPSRTNMIFEKLSFSVSAGKTIAVVGPSGSGKSTIVSLIQRFYDPTSGKILLDGYDLKNLQLKWLREQMGLVSQEPALFATTIAGNILFGKEDADMDKVIQASMAANAHSFIQGLPDGYQTQVGEGGTQLSGGQKQRIAIARAVIRNPKLLLLDEATSALDSESELIVQQALEKIMSNRTTIIVAHRLSTIRDVDTIIVLKNGQVVESGTHLELMSKNGEYVNLVSLQASQNLSNSRSISRSESSRNSSFREPAENMTLEEQLMLDTRGELESSDQHLPSKTTSAPTILDLLKLNAPEWPYAIFGSVGAILAGMEAPLFALGITHILTAFYSPQSSKIKQEVDRVALIFLGVAVITVPIYLLLHYFYTLMGEHLTARVRLLMFSAILNNEVAWFDKDENNTGSLTAMLAADATLVRSALADRLSTIVQNVALTVTAFVIGFTLSWKLTAVVVACLPLLIGASITEQLFLKGFGGDYSHAYSKATSLAREAIANIRTVAAFGAEDRISIRFASELNKPNKQALLRGHISGFGYGITQLLAFCSYALGLWYASVLIKKKESNFGDIMKSFMVLIITSLAIAETLALTPDIVKGSQALGSVFGILQRRTSITPDDPNSKIVTVVKGEIEFRNVSFKYPMRPDITIFQNLNLRVSAGKSLAVVGQSGSGKSTVISLVMRFYDPDSGSVLIDECDIKTLNLRSLRLRIGLVQQEPALFSTTVYENIKYGKEEASEIEVMKAAKAANAHEFISRMPEGYETEVGERGVQLSGGQKQRVAIARAILKDPSILLLDEATSALDTVSERLVQEALDKLMEGRTTILVAHRLSTVRDADSIVVLQNGSVAEMGSHERLMAKPRSIYKQLVSLQHESLDQEKH >Vigun03g053300.1.v1.2 pep primary_assembly:ASM411807v1:3:4384577:4386637:1 gene:Vigun03g053300.v1.2 transcript:Vigun03g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPSLHCVPTVNGGLRRPSVSPFALKSSFFSGSLNLHLHPNHRHLTSAPPRISMRVASKQAYICRDCGYIYNDRNPFEKLPDNYFCPVCGAPKRRFKPYAPAVSKDANSTAVRKARKADLQKDEAIGKALPIAVVVGIAVLAGLYFYLNSQY >Vigun03g333700.1.v1.2 pep primary_assembly:ASM411807v1:3:53154027:53161971:-1 gene:Vigun03g333700.v1.2 transcript:Vigun03g333700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVSRGTRVSTSRIHNGFAAFSFPRCVHSLQFATVEAEEISGSKPAEVLNLVQGKWVGASKWNTIVDPLNGDSFIKIAEVDETGIQPFVESLSSCPKHGVHNPFKAPERYLMYGDISTKAAHMLSLPKVSDFFTRLIQRVSPKSYQQAFGEVYVTQKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMLRLLHSCGLPVEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRVAEKLAVDLKGRVKLEDAGFDWKILGPDVHQEDYIAWVCDQDAYACSGQKCSAQSLLFMHENWSKTSLLSKLKDLAERRKLTDLTIGPVLTVTTDSMLEHVNKLLEIPGSKLLFGGRPLEDHSIPSIYGAIKPTAVYVPLEEIMKDKNFELVTKEIFGPFQVITDYKNSELSVVLDALERMHNHLTAAVVSNDVLFLQEVIGNSVNGTTYAGLRARTTGAPQNHWFGPAGDARGAGIGTPEAIKLVWSCHREVIYDIGPVPKNWEIPPST >Vigun07g015800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1430375:1431141:-1 gene:Vigun07g015800.v1.2 transcript:Vigun07g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIVRQGSFSSSKATRKGVEVPKGYLAVYVGVNMRRFVIPISYLNQSSFQDLLSKAEQEFGYDHPTGGLTIPCDEDEFLSLTSRLNELL >Vigun01g207600.1.v1.2 pep primary_assembly:ASM411807v1:1:38323499:38328709:1 gene:Vigun01g207600.v1.2 transcript:Vigun01g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFSSTSLPFSEASSFAFQRHPHLCSNPHTVASNNFHSVTYRGRLALNVGGGTSLCRRFRGFKLWVLERLNFQFQSPKQPKNIIRFESNLESSGLSNEKGPVSDSSSILRAPDDKITSMDSPSLLQTGLSATPMDVSRKPSLCISVIGATGELAKSKIFPALFALYYSGFLPENVGIFGYSRKDITDEDLRSIIASTLTCRVDHQENCEDKLDAFLRRTYHINGGCDNKYGMSMLNARMEQIEGGSKTNRIFYLSVPQEAVLDVTACLSSTAQTQTGWNRIIFEKPFGFDALSSYRMTQYLLSNFEERQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIDNVQVILSEDLTKHPGRYFSGYGIIRDIVHSHILQTIALLAMEPPVSLDGEDIRNEKVKVLRSIRKLEPKDVILGQYKASGGAKVDTCLNGLTPTYFAAALYIDNARWDGVPFFIKTGLGLIKHQMEIRIQFRNVPGNVYRECMGHNMDRAVNELILRDVPDEAILVRVNNKVPGLGLQLDSSELNLLYKDKYNMEVPDSYEQLLLDVIDGDNHLFMRSDELEAAWNILTPILNEIDKENISVELYEMGGRGPVGAYYLWAKHGVRWVED >Vigun01g088100.1.v1.2 pep primary_assembly:ASM411807v1:1:24649711:24653473:1 gene:Vigun01g088100.v1.2 transcript:Vigun01g088100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGINPDGTMPSDTSVGIAHDAFNTFFSETGSGKHVPRALFVDLEPSVIDEVRSGPYRQLFHPEQLISGKEDAANNFARGHYTVGREIVELCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRKSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNASVSNIKAKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDYKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGEEEEPEDAY >Vigun02g178500.1.v1.2 pep primary_assembly:ASM411807v1:2:31977943:31980686:-1 gene:Vigun02g178500.v1.2 transcript:Vigun02g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFAASSILLPFTTNPKSPHLFASFPCKNNTLLPSLRLRPISASASAASIPTPSVQVDNSPPSAAPSKVLPFRVGHGFDLHRLEPGYPLIIGGINIPHEKGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGCDSSVFIKESVRLMHEAGYEIGNLDATLILQRPKLSPHKDTIKANLSALLGVDSSVVNIKAKTHEKVDSLGENRSIAAHTVVLLMKK >Vigun02g066800.1.v1.2 pep primary_assembly:ASM411807v1:2:21674767:21676151:-1 gene:Vigun02g066800.v1.2 transcript:Vigun02g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSSSSGTSSQGKHDVFLSFRGEDTRYTFTGHLHASLTRLEVNTYIDYNLQRGEEISSSLLKAIEDAKLSVIVFSKNYGNSKWCLDELVKILECRKMRGQIVLPVFYDIDPSHVRNQTGTYAEAFEKHERQLPGQMEKLQKWREALREAANFSGWDCSVTRMESEVIDKIANDVLEKLNRVYVGDLDQQIAKLEKLAQLQYQFYTKIISVENLQNHRATVQRLNELKMERSVRMLRLSPDMLSHLTDSKSNSNYFDF >Vigun07g146700.1.v1.2 pep primary_assembly:ASM411807v1:7:25774101:25776213:-1 gene:Vigun07g146700.v1.2 transcript:Vigun07g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPPKQKKLLAALNPVLIKETLNKVDQCVARLQELQYTVTGGTKVVSGVTLSPRSTRGYLRTSLRCKQESLRIKNGASRKSPVGKFPANTGEWKRMSLPAMLVGETVGEILQASQFAREIVSAVGKKTVPDDPKTPMSERSNKKVELENTQLRIRRKKEKQTRAQNDGTPPLQRARSRINFKVSPPKVREFDKESNRYMANRVSPRNRPWARKTVLFPNPLFLSTHSSSQSQQQQFCKTRSPIISRNRATTSHKFLIKSPPSPPQKQQQSCKTKSPGVSRNRGGTPHKFLIKSPSSASKGPPTLSISPTRPVRLSRLSPPKRPSSSSTASKFRRSFSPSRIASRLVSLSPLRSRKTVQKNDGIVSGLKQRPASSMQFPVRGI >Vigun06g126300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25348072:25350049:1 gene:Vigun06g126300.v1.2 transcript:Vigun06g126300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPRPSSLEKKAKPQEQLNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKATSSKVPDLNPPSLSSVSAISSQNPKMQGVHDLNLAFPMDKYHGMSQYVEMPKLEIGGDNTSHHHSPSSAPTSLSPTYGMLPRGLNPYAPNSFMPSSDSLYTPIYPMQEIKPNLSFSVDGFGNRSHGGVQENGGGGRLLFPFGDIKHLSAGVEVEHNKEQGNNPTGYWSGIIGEGSSW >Vigun06g126300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25348072:25350049:1 gene:Vigun06g126300.v1.2 transcript:Vigun06g126300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPRPSSLEKKAKPQEQLNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKATSSKVPDLNPPSLSSVSAISSQNPKMQGVHDLNLAFPMDKYHGMSQYVEMPKLEIGGDNTSHHHSPSSAPTSLSPTYGMLPRGLNPYAPNSFMPSSDSLYTPIYPMQEIKPNLSFSVDGFGNRSHGGVQENGGGGRLLFPFGDIKHLSAGVEVEHNKEQGNNPTGYWSGIIGEGSSW >Vigun06g126300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25348072:25350049:1 gene:Vigun06g126300.v1.2 transcript:Vigun06g126300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPRPSSLEKKAKPQEQLNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKATSSKVPDLNPPSLSSVSAISSQNPKMQGVHDLNLAFPMDKYHGMSQYVEMPKLEIGGDNTSHHHSPSSAPTSLSPTYGMLPRGLNPYAPNSFMPSSDSLYTPIYPMQEIKPNLSFSVDGFGNRSHGGVQENGGGGRLLFPFGDIKHLSAGVEVEHNKEQGNNPTGYWSGIIGEGSSW >Vigun06g126300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25348069:25350049:1 gene:Vigun06g126300.v1.2 transcript:Vigun06g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGPRPSSLEKKAKPQEQLNCPRCSSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKATSSKVPDLNPPSLSSVSAISSQNPKMQGVHDLNLAFPMDKYHGMSQYVEMPKLEIGGDNTSHHHSPSSAPTSLSPTYGMLPRGLNPYAPNSFMPSSDSLYTPIYPMQEIKPNLSFSVDGFGNRSHGGVQENGGGGRLLFPFGDIKHLSAGVEVEHNKEQGNNPTGYWSGIIGEGSSW >Vigun01g033100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4233357:4233596:-1 gene:Vigun01g033100.v1.2 transcript:Vigun01g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun11g177600.2.v1.2 pep primary_assembly:ASM411807v1:11:38185380:38190562:-1 gene:Vigun11g177600.v1.2 transcript:Vigun11g177600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYREALNAPGCEAAHITEIEASIECDTFMPRVDTSLFQPWYSSFPLVEDNLRYSFTTYVRVRSSPAESLGQNADPVFDNGSDSLKFEVNKFSFLPKFIYERHEEFKYLSLVREIISEGTIKDDRTGTGTLSKFGCQMRFNLRRNFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSIGLREREEGDLGPVYGFQWRHFGAKYTDMHADYSGQGFDQLLDVINKIKHNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVASGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLIPGDFSHVIGDAHVYRNHVRPLQEQLQNQPKPFPILKINPKKKDIDSFVAADFKLIGYDPHQKIEMKMAV >Vigun11g177600.1.v1.2 pep primary_assembly:ASM411807v1:11:38185380:38190562:-1 gene:Vigun11g177600.v1.2 transcript:Vigun11g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSFVISNGNGNGKSEPPRQRTYQAVVIATRDMGISKDGKLPWTLPTDLKFFDEVTTITSDPGKKNAVVMGRKTWESIPPENRPLPGRLNVVLTRSGSFDIATAENVVICGSVSSAMELLAASPYCLSIEKVFLTGGGEIFREALNAPGCEAAHITEIEASIECDTFMPRVDTSLFQPWYSSFPLVEDNLRYSFTTYVRVRSSPAESLGQNADPVFDNGSDSLKFEVNKFSFLPKFIYERHEEFKYLSLVREIISEGTIKDDRTGTGTLSKFGCQMRFNLRRNFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSIGLREREEGDLGPVYGFQWRHFGAKYTDMHADYSGQGFDQLLDVINKIKHNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVASGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLIPGDFSHVIGDAHVYRNHVRPLQEQLQNQPKPFPILKINPKKKDIDSFVAADFKLIGYDPHQKIEMKMAV >Vigun03g068000.1.v1.2 pep primary_assembly:ASM411807v1:3:5613658:5622750:1 gene:Vigun03g068000.v1.2 transcript:Vigun03g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MDPIILSDEEEDPSTPFPFRSKKRRTEPDPNRTVLVIEDDPTPQKSVTPSIVPETPMSALFGSEVAIVKCTMPSDPTARVSPNKFSGISQMICLESDNESEHCGMDKRDETEPRDSGGISNSMGSGNSPGVFFESDNTLGNYGMDKRDENEARDSRWTSNSVASGSCLHVCLESDNELENCRAGEWDENEPKESIWNSKSLGSGSSPGICLESDNELENFGMGKRNTNEARDSRWTSHSMGSGSSPERHISHENAALTEMLANNLSNPTSLRVENMDVEKENTKNMKKSKVPSKNTTKAAGKTKMTKEERSRLMEEKKLQKEQEKLKKAALKAEAAELKKIEKEKQKWEKGKFAIKSIVAEIDAKVVEAGSIGGHLLTRFAEKGLTYHITSNPISGSILWTMKVPEQISQLSTEKIEIPYVLLIYEADKFCNLVTNDSLFDQLSSIRSLYPSYTVCYLTNRLLAYINKREQDKYKNPENNSCWRRPPIEEVLAKLTTNFNKVHSRQCVDEAELAEHVVGLTCSLASCQFRKKLTRLSVYANGALIPKDCVDRNLIKKSLWLKGLVSIPKVQPRFAIAIWKKYPTMKSLLSVYMDPSKSEHEKEFLLKDLMTEGLVGGDRRLGEVCSKRVYRILMAQSGSIRTDDVENGADFFERQL >Vigun03g068000.2.v1.2 pep primary_assembly:ASM411807v1:3:5613622:5620928:1 gene:Vigun03g068000.v1.2 transcript:Vigun03g068000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MDPIILSDEEEDPSTPFPFRSKKRRTEPDPNRTVLVIEDDPTPQKSVTPSIVPETPMSALFGSEVAIVKCTMPSDPTARVSPNKFSGISQMICLESDNESEHCGMDKRDETEPRDSGGISNSMGSGNSPGVFFESDNTLGNYGMDKRDENEARDSRWTSNSVASGSCLHVCLESDNELENCRAGEWDENEPKESIWNSKSLGSGSSPGICLESDNELENFGMGKRNTNEARDSRWTSHSMGSGSSPERHISHENAALTEMLANNLSNPTSLRVENMDVEKENTKNMKKSKVPSKNTTKAAGKTKMTKEERSRLMEEKKLQKEQEKLKKAALKAEAAELKKIEKEKQKWEKGKFAIKSIVAEIDAKVVEAGSIGGHLLTRFAEKGLTYHITSNPISGSILWTMKVPEQISQLSTEKIEIPYVLLIYEADKFCNLVTNDSLFDQLSSIRSLYPSYTVCYLTNRLLAYINKREQDKYKNPENNSCWRRPPIEEVLAKLTTNFNKVHSRQCVDEAELAEHVVGLTCSLASCQFRKKLTRLSVYANGALIPKDCVDRNLIKKSLWLKGLVSIPKVQPRFAIAIWKKYPTMKSLLSVYMDPSKSEHEKEFLLKDLMTEGLVGGDRRLGEVCSKRVYRILMAQSGSIRTDDVENGADFFERQL >Vigun05g134400.1.v1.2 pep primary_assembly:ASM411807v1:5:15841509:15847105:-1 gene:Vigun05g134400.v1.2 transcript:Vigun05g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSCNGLDRVHSLAQCGFSARPACVGPRRRRSGIAGFRSPGIRAFPVVAVVSDRDGAVASRVEAEAGTLADRLRLGSLTEDGLSYKEKFIVRSYEVGINKTATVETIANLLQEVGCNHAQSVGYSTDGFATTPTMRKLRLIWVTARMHIEIYKYPAWSDVVEIETWCQGEGRVGTRRDFIMKDFATDQVIGRATSKWVMMNQDTRRLQKVSDDVREEVLVYCPREPRLAIPEEDSNCLKKIPKLEDPAQYSKVGLVPRRADLDMNQHVNNVAYIGWVLESMPQEIIDSHELQSITLDYRRECGQRDVVDSLTGVEMIQGDAEAVPELKATNGSAISKEAKQQFLHLLRLSTNGLEINRGRTEWRKKAPR >Vigun04g057100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5620193:5624291:1 gene:Vigun04g057100.v1.2 transcript:Vigun04g057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANESGELPMTPEVTNSPGVEKRRHLPDKASSGSSVEKVVPNYLRASTGSCHDFCKYGKKHAHEVKERRSIPDRATRKQLHQSLQGSVGGITVSVARLSASVDSKLTKISTRKLKESVDSKMVSDTSDTPKQKRPTKSFDNPKESRNEVTVNRRKSTLAKVNPSLLRCHTSPPIRLEISSMKEVQSPSKSASKKVGTPSKSISRKVDSPSKPTSRKVEAQSKSTSRKVEAPSGSMANKMDSPLKPTFNEMRNPSKPISKVKTSSKPSSNVVKTSSQISSLKGKEANLFEKRLPSLNLSNVRRKQISSMNTPDVDVGQIYNKIKLEKGATSSKAGSKKLMAPQKALLSPRASLRRVASLNSRKLKSLKIASHLKHQQTPKKVEHEEHDNNNNNEVEEKTLYVIKMESENKPLQSDQNASYDDESYIPQLLSPKSSVSSISESFSAKDREESEYTTSEYEQNEQDEQDSFSGSHEVECIEKEKTLEDEKKSKNTKNVVAYSKNKDNKVVKLKFRRGKVVENPTEISSPRRIKFRRGKVLGGRASVKVDTRKSFERHESCADSNGATTAPEKVILRHQDMQDKRDAQGLFNNVIEETASKLAETRKSKVKALVGAFETVISLQEKKPSVNTLS >Vigun01g077900.1.v1.2 pep primary_assembly:ASM411807v1:1:21945415:21964244:-1 gene:Vigun01g077900.v1.2 transcript:Vigun01g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWKNLLLRIGDKSPEYGVSSDHKDHIDTCFGALRRELDHSQTDVLEFLLTCAEHLPHKIPLYGTLIGLINLENEDFGKQLVEKTKTMFQDALDTGNCNGVRVLMRLLTVMMCSKVLQPSSLVAVFETFLSSAATTVDEEKGNPLWQPCADFYITCILSCLPWGGAELTEQVPEDIDRVMVAVESYLSIRKHTTDTGLSFFENDDENGEGLNDKDFLEDLWDRIQVLSLNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPSLPKPPSVPSGVSTGKQKHEAELKYPQRIHRLNIFPPSKHEDIQPIDRFVMEEYLLDVLLFFNGCRKECASFMVGLPVSFRYEYLMAETIFSQLLMLPQPPFRPVYYTLVIIDLCKALPGAFPAVVAGAVRTLFERIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPRLEELLPPKSGPNFSFGSEDGKESNEHALSGKLNSMVKGKSPVREIISWIDESVFPNNGLEVTLRVIVQTLLNIGSKSFTHLITVLERYAQVFVKVCPDEDRQVMLIAEVSSFWKSNTQMTAIAIDRMMGYRLVSNLAIVRWVFSAENIEQFHTSDRPWEILRNAVSKTHNRISDLRKEILTIKKNISSAEEAAMEAKAELYAAESKLTLVDGEPVLGDNPVRLNRLKSHAEKTKEDVVTLTESLQSKEALLARAIEENEALFILLYKSFSNVLTERLPEGTRTLHELKSAQVDVMAVDAEEPPSMELDDENQRSQNSQSNGEKKGVVGEKEQWCITTLGYVKAFSRQYAAEIWPYVEKLDAEVLTEETPYLFRAAVYTGLRRPIHEA >Vigun06g110600.1.v1.2 pep primary_assembly:ASM411807v1:6:23952635:23956444:-1 gene:Vigun06g110600.v1.2 transcript:Vigun06g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELQTDSSASSEFAEVDPTGRYGRYNEILGKGASKTVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRQKHKRVNIRAVKHWCRQILRGLHYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEESYNELVDIYSFGMCVLEMVTFEYPYSECNHPAQIYKKVISGKKPEALYKVKDPEVREFVEKCVATVSLRLSARELLDDPFLQIDDYEYDLGPVESGTFDGKGSLIHQPYLNLHRSYSNMSTEHSNYFEYEGDWISHPAEIEPNGIELFKCHDDDEASEEDVDISIKGKKKDDGGIFLRLRIADKEGHIRNIYFPFDIEMDTALSVATEMVAELDITDQDVTSIADMIDGEIASLVPEWKPGPGIEETNHFVNNFFCNNCVSDDTSGGNIMDSHSHNQVGKNSQFPQCCRHGCTSMHGRFEEITFPSEYDNHVRGDTVTPIESNRLECQQYQELWNHQESCELSSVESDQSHSDEQYEQLDKSVLAEDKGKGVLGNKFAHDPGNPPRSLSGNYLSAISLLCPGSGSEYEKEVQQELRWLKAKHQMESRDLRDKSFGAAAKSSSHSRNKVHKTEQGIVVPLTETLNGVKNGIHLKPLCNFWDYDSSYRSLIQKSHSNLDMQSLKNCEVMCSHKEGIMATAKSFYTGSLRDTPYRTVSLPVDAVDI >Vigun09g188300.1.v1.2 pep primary_assembly:ASM411807v1:9:36267538:36271968:1 gene:Vigun09g188300.v1.2 transcript:Vigun09g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPERFQKNAMYVETPEVFDAEKNFDDDGRIKRTGTLTTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLLAFSFITYFTSTLLADCYRTPDPVHGKRNYTYSDAVESVLGGNKVKLCGLAQYINLVGVTIGYTITASISMVAVKKSDCFHKHGHQAKCSTSNNPYMILFACMQIVLCQIPNFSKLWWLSILAAVMSFAYSTIGLGLSIAKIAEGAPVRTTLTGVQVGVDVSASDKVWRTFQAIGNIAFAYAYSNVLIEIQDTLKSSPPENKVMKKSSLIGILTTTLFYVLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDIANICIAVHLVGAYQVFCQPIFGFVEKWSKEKWSNSEFINAEHEVKLPLCGIYSVNFFRLVWRTAYVIVTAVLAMLFPFFNDFLGLIGSVSFWPLTVYFPIEMYIKQSNMKKFSFTWTWLKILIWVCLIVSLIAAAGSIQGLVQDLRKYKPFQAEQ >Vigun11g082900.3.v1.2 pep primary_assembly:ASM411807v1:11:24681954:24688594:1 gene:Vigun11g082900.v1.2 transcript:Vigun11g082900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIQRSSSSHTKNFDVFVSFRGPDTRNGFTNHLFGALQRKGVIAFIDDQTIEKGRSMLPIFYDVDPSEVRKQSGEFAKAFAEHEERFKDDLEMVEKWREALKTSCDRSGCDVQNKKQYEEIENVVEKVIDILGRNQIWSFGDDLVDMHSRVEELEELLDLSANDIVRIVGICGMGGIGKTTVATSLFKKISPQYNSCCYIDDLNKIYCNFGATSAQKQLLSQALNEIKNMEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLVIISRDRHILQNYGVNEVYNVEVLDETQALQLFCKKVFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVSEWRNALARMKENPSKHIMDVLRISYDALENLEKEIFLDIACFFSNRNNYSYETRVKRLLEYRQFYPDIGMKVLIEKSLISCQDGEIEMHDLLKELGKSIVREKAPKEPRKWNRLWNYKDLQKVMKINKETENVEAIVIHQSEKEFLEDVDALSKMNQLELLILENVNCSGTLDCISNELRYLQWNHFPLMSLPSNFHPDQLVELILPHSNIKKLWEGKKFLPNLTMLDMSHSKYLIAVPDLSEVPRLESLDLEGCIQLVEIHPSIGILRELRCLNLKNCKNLVLNLNMLFGISSLSGLYLSGCSKLLNSKMLMEPRDTKHLEEIVKITNAIQFPTSSVYKLLMLPFNFLYPPKPEDSLGLVLSLSSVPCLVYLDISFCNLLRIPDEIGNLHSLVGLNLGGNKFVTLPSTIKQLSNLERLYLEYCKQLKYLPELPTIKQKNIGGYYGLGLYIFDCTKLSDMEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTLQDPMNLGERDDDHLSNLWFGVNNSKRRWYIGVPIYFKKDLVTVGLDHLVTVFYSRQQFIHLLSTPPNTMHDLYQTEFGTFIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSRKRKLLTSD >Vigun11g082900.2.v1.2 pep primary_assembly:ASM411807v1:11:24681954:24686203:1 gene:Vigun11g082900.v1.2 transcript:Vigun11g082900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIQRSSSSHTKNFDVFVSFRGPDTRNGFTNHLFGALQRKGVIAFIDDQTIEKGDFLDSELLYAIEGSQVFIVVFSKHYASSTWCLKELTKIVDWVQQTGRSMLPIFYDVDPSEVRKQSGEFAKAFAEHEERFKDDLEMVEKWREALKTSCDRSGCDVQNKKQYEEIENVVEKVIDILGRNQIWSFGDDLVDMHSRVEELEELLDLSANDIVRIVGICGMGGIGKTTVATSLFKKISPQYNSCCYIDDLNKIYCNFGATSAQKQLLSQALNEIKNMEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLVIISRDRHILQNYGVNEVYNVEVLDETQALQLFCKKVFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVSEWRNALARMKENPSKHIMDVLRISYDALENLEKEIFLDIACFFSNRNNYSYETRVKRLLEYRQFYPDIGMKVLIEKSLISCQDGEIEMHDLLKELGKSIVREKAPKEPRKWNRLWNYKDLQKVMKINKETENVEAIVIHQSEKEFLEDVDALSKMNQLELLILENVNCSGTLDCISNELRYLQWNHFPLMSLPSNFHPDQLVELILPHSNIKKLWEGKKFLPNLTMLDMSHSKYLIAVPDLSEVPRLESLDLEGCIQLVEIHPSIGILRELRCLNLKNCKNLVLNLNMLFGISSLSGLYLSGCSKLLNSKMLMEPRDTKHLEEIVKITNAIQFPTSSVYKLLMLPFNFLYPPKPEDSLGLVLSLSSVPCLVYLDISFCNLLRIPDEIGNLHSLVGLNLGGNKFVTLPSTIKQLSNLERLYLEYCKQLKYLPELPTIKQKNIGGYYGLGLYIFDCTKLSDMEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTLQDPMNLGERDDDHLSNLWFGVNNSKRRWYIGVPIYFKKDLVTVGLDHLVTVFYSRQQFIHLLSTPPNTMHDLYQTEFGTFIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSRKRKLLTSD >Vigun11g082900.1.v1.2 pep primary_assembly:ASM411807v1:11:24681954:24688594:1 gene:Vigun11g082900.v1.2 transcript:Vigun11g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIQRSSSSHTKNFDVFVSFRGPDTRNGFTNHLFGALQRKGVIAFIDDQTIEKGDFLDSELLYAIEGSQVFIVVFSKHYASSTWCLKELTKIVDWVQQTGRSMLPIFYDVDPSEVRKQSGEFAKAFAEHEERFKDDLEMVEKWREALKTSCDRSGCDVQNKKQYEEIENVVEKVIDILGRNQIWSFGDDLVDMHSRVEELEELLDLSANDIVRIVGICGMGGIGKTTVATSLFKKISPQYNSCCYIDDLNKIYCNFGATSAQKQLLSQALNEIKNMEIHNVFHGTMLIRTRLRHLKMLVVLDNVDEVEQLEKLGLRPEYLGAGSRLVIISRDRHILQNYGVNEVYNVEVLDETQALQLFCKKVFKSHDIPKEYKELTLEALKYANGLPLAIKVLGSFLHDRDVSEWRNALARMKENPSKHIMDVLRISYDALENLEKEIFLDIACFFSNRNNYSYETRVKRLLEYRQFYPDIGMKVLIEKSLISCQDGEIEMHDLLKELGKSIVREKAPKEPRKWNRLWNYKDLQKVMKINKETENVEAIVIHQSEKEFLEDVDALSKMNQLELLILENVNCSGTLDCISNELRYLQWNHFPLMSLPSNFHPDQLVELILPHSNIKKLWEGKKFLPNLTMLDMSHSKYLIAVPDLSEVPRLESLDLEGCIQLVEIHPSIGILRELRCLNLKNCKNLVLNLNMLFGISSLSGLYLSGCSKLLNSKMLMEPRDTKHLEEIVKITNAIQFPTSSVYKLLMLPFNFLYPPKPEDSLGLVLSLSSVPCLVYLDISFCNLLRIPDEIGNLHSLVGLNLGGNKFVTLPSTIKQLSNLERLYLEYCKQLKYLPELPTIKQKNIGGYYGLGLYIFDCTKLSDMEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTLQDPMNLGERDDDHLSNLWFGVNNSKRRWYIGVPIYFKKDLVTVGLDHLVTVFYSRQQFIHLLSTPPNTMHDLYQTEFGTFIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSRKRKLLTSD >Vigun03g369700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57241608:57242920:-1 gene:Vigun03g369700.v1.2 transcript:Vigun03g369700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLFSFSEPKEAVKIVWECGPDASVAVKHCSLMARQRYRFCDLLQPSVVVLFFDFRSVVVIDLSLSNSHPNIPRRQFLNVVFGRGVWSERREKRLCRSHRFFFSSLIPYIV >Vigun02g038100.1.v1.2 pep primary_assembly:ASM411807v1:2:15934573:15936343:1 gene:Vigun02g038100.v1.2 transcript:Vigun02g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTRFSIQLTLMVVFLTTQVVLSADTPMPSDKTQLGQWFSNNVKPLNNRKGTLDSQLVAAEQGQTVIKVRQDGKGQFKTITDALKSIPNGNKKRVILHIGPGTYKEKIVVPNNKPFITFYGTPGQMPTLTYGGTAKQYGTVESGTLSVLSDYFVGANIIIRNSAPRPGLNTVKGQAVALRISGDKATFYNCQIYSYQDTLLDDANRHFFKDCYIQGTVDYIFGSGKSLYVNCEIRTLGDSGLTFITAQARKSKKEDNGFSFVHCELTGTGTGAYLGRAWFGYSTVIFSYCNMGNIFNKAGWSNNNHKEYDKTLYFGEYMNTGPGADATGRSHLTRKLKYAEVKHYLGLGMIEGSKWLLPPPKV >Vigun03g433200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63721032:63723143:1 gene:Vigun03g433200.v1.2 transcript:Vigun03g433200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPIIISFLFLFSLSNAHSPVSFTIPVTKDAATLQYLTTLSYGTPLVPTKLVLDLGGSFLWLHCASRNIPSSSSLTTPHRSLQCFTAKTHKSANSFLSGSVDQHLYQPCRVFPENSITGTIATEGELVEDLMALQSAESKTIHEHQSRFTCSPNSLLHGLAKGARGMVGLARSRSSLPSQVFDNFSTQRKLTLCLSSSKGVLLLGNVAYESEILRSLTFTPLVTSFPSLEYFINVSSVKINGKRLALDASEFNEQEGGGGGALTLISSIVPYTTMQSSIYNSFKEAFLDAALSMNMTRVASVAPFELCFSSPQVGSSVPLIELVLQSEMVKWSVHGRNSMVRVSDKVACLGFFDGGVNPRNSIVIGGYQLEDVIVQFDLATSMVGFSSSLLTKNTKCSDFKFGSSIPADSI >Vigun07g294100.2.v1.2 pep primary_assembly:ASM411807v1:7:40515995:40519370:-1 gene:Vigun07g294100.v1.2 transcript:Vigun07g294100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMQSAPGSSGYLDVYPDRKISFFKSPFILGLTAVAGIGGMLFGYDTGVISGALLYIKDDFETVKQSNFLQETIVSMAIAGAIVGAATGGWINDVYGRKKATLIADVIFILGAIGMAAATDPTVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGSLVRGTWRWMLGVSAVPAVVQFFLMLSLPESPRWLFMKNRKNEAVDVLSKIYDIDRLEDEVDFLTALSEQDRQRRKNIKFGDVFRSEEVRLAFLVGAGLQAFQQFIGINTVMYYSPTIVQMAGFRSNEVALLLSLIVAAMNAAGTILGIYLIDHAGRKKLALSSLGGVFASLIILSVSFLNQSSKELYGWLAVLGLVLYIAFFAPGMGPVPWTMNSEIYPEEYRGICGGMSATVCWVSNLIVSQSFLSVADAIGTGSTFLILAAITVFAFLFVLLYVPETKGLTFDEVELIWKERARGHNADSQTLLAEGTQN >Vigun07g294100.3.v1.2 pep primary_assembly:ASM411807v1:7:40515995:40519370:-1 gene:Vigun07g294100.v1.2 transcript:Vigun07g294100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPTEKSRFLRVLLFSDSLLSLVLVACFLATTRETIVSMAIAGAIVGAATGGWINDVYGRKKATLIADVIFILGAIGMAAATDPTVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTRVRGTWRWMLGVSAVPAVVQFFLMLSLPESPRWLFMKNRKNEAVDVLSKIYDIDRLEDEVDFLTALSEQDRQRRKNIKFGDVFRSEEVRLAFLVGAGLQAFQQFIGINTVMYYSPTIVQMAGFRSNEVALLLSLIVAAMNAAGTILGIYLIDHAGRKKLALSSLGGVFASLIILSVSFLNQSSKELYGWLAVLGLVLYIAFFAPGMGPVPWTMNSEIYPEEYRGICGGMSATVCWVSNLIVSQSFLSVADAIGTGSTFLILAAITVFAFLFVLLYVPETKGLTFDEVELIWKERARGHNADSQTLLAEGTQN >Vigun07g294100.1.v1.2 pep primary_assembly:ASM411807v1:7:40515995:40519370:-1 gene:Vigun07g294100.v1.2 transcript:Vigun07g294100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMQSAPGSSGYLDVYPDRKISFFKSPFILGLTAVAGIGGMLFGYDTGVISGALLYIKDDFETVKQSNFLQETIVSMAIAGAIVGAATGGWINDVYGRKKATLIADVIFILGAIGMAAATDPTVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTRVRGTWRWMLGVSAVPAVVQFFLMLSLPESPRWLFMKNRKNEAVDVLSKIYDIDRLEDEVDFLTALSEQDRQRRKNIKFGDVFRSEEVRLAFLVGAGLQAFQQFIGINTVMYYSPTIVQMAGFRSNEVALLLSLIVAAMNAAGTILGIYLIDHAGRKKLALSSLGGVFASLIILSVSFLNQSSKELYGWLAVLGLVLYIAFFAPGMGPVPWTMNSEIYPEEYRGICGGMSATVCWVSNLIVSQSFLSVADAIGTGSTFLILAAITVFAFLFVLLYVPETKGLTFDEVELIWKERARGHNADSQTLLAEGTQN >Vigun06g098100.2.v1.2 pep primary_assembly:ASM411807v1:6:22898996:22901177:1 gene:Vigun06g098100.v1.2 transcript:Vigun06g098100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAHRRVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSQGYHAVAPDLRGYGDTEAPASISSYTCFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPEKVKAYVCLSVPFWPRNPKIKPVDAMRATYGDDYYICRFQEDLMYYASKFEKTGFTGGLNYYRNMNSNWELTAAWSGAQVKVPVKFITGNLDAVYTSLGTKNYIESGAFKKDVPNLEEVIVQEGVAHFNNQEAADDVSNHIYDFISKF >Vigun06g098100.1.v1.2 pep primary_assembly:ASM411807v1:6:22898996:22901177:1 gene:Vigun06g098100.v1.2 transcript:Vigun06g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAHRRVEVNGIKMHIAEKGEGPVVLFLHGFPELWYSWRHQILALSSQGYHAVAPDLRGYGDTEAPASISSYTCFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPEKVKAYVCLSVPFWPRNPKIKPVDAMRATYGDDYYICRFQEAGKVEGELAKISTEEFLKNLLINRTPGPPILPKEGMSSNLNTSIPLPSWLSQEDLMYYASKFEKTGFTGGLNYYRNMNSNWELTAAWSGAQVKVPVKFITGNLDAVYTSLGTKNYIESGAFKKDVPNLEEVIVQEGVAHFNNQEAADDVSNHIYDFISKF >Vigun04g092966.1.v1.2 pep primary_assembly:ASM411807v1:4:18213084:18214284:-1 gene:Vigun04g092966.v1.2 transcript:Vigun04g092966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQLVCLARVLLKKSKILVLNEATASVDIATNNIIQQTVKHHFAECTIFTIAHRITSIIDVVLFLNQGIRSQTCSY >Vigun03g306900.1.v1.2 pep primary_assembly:ASM411807v1:3:49766426:49774563:-1 gene:Vigun03g306900.v1.2 transcript:Vigun03g306900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMVMEEDEDAEIEHVFVAHEIDLDYEFDAARFFDFTRPETPAEAHQAEFWFQTAASYPPLPFVRRLVMRKDLFLDDFSDSPKSEHLNCTSNVDDEKSSVPLQTGILDTAFEDNGLTFGSKTIGNNLNSKAKSAVSKSSTLMKPTTSQLAKQNLPAKIVGSRFQKLLSQNEQSLSISSGVESQAAKRQKLEGGLLCKVPDVKPQTNFFHKTRMRDVMVEQNSTCSKLRLTIPREPDLRTAQRAHRIRPKNVAEAERVTVAAPRFKARPLNRKILEAPSLPPPKRSTPRLPEFQEFHLKTSERAIQHTSATSSSLHCYDSDKGWDKHTNVSLENRIKDLRRPTPGGAPTYDGLGFTHIFKARPLNKKITQSKGDGGFRNCRQETTLPMEFDLQSEKEVQQDPPTELFSKLSLASECQPNNGSHFKLPQHSGMCRKEKTFMFGASQTSRGNRGCINLMGGRRSLGIR >Vigun03g306900.2.v1.2 pep primary_assembly:ASM411807v1:3:49766426:49774563:-1 gene:Vigun03g306900.v1.2 transcript:Vigun03g306900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMVMEEDEDAEIEHVFVAHEIDLDYEFDAARFFDFTRPETPAEAHQAEFWFQTAASYPPLPFVRRLVMRKDLFLDDFSDSPKSEHLNCTSNVDDEKSSVPLQTGILDTAFEDNGLTFGSKTIGNNLNSKAKSAVSKSSTLMKPTTSQLAKQNLPAKIVGSRFQKLLSQNEQSLSISSGVESQAAKRQKLEGGLLCKVPDVKPQTNFFHKTRMRDVMVEQNSTCSKLRLTIPREPDLRTAQRAHRIRPKNVAEAERVTVAAPRFKARPLNRKILEAPSLPPPKRSTPRLPEFQEFHLKTSERAIQHTSATSSSLHCYDSDKGWDKHTNVSLENRIKDLRRPTPGGAPTYDGLGFTHIFKARPLNKKITQSKGDGGFRNCRQETTLPMEFDLQSEKEVQQDPPTELFSKLSLASECQPNNGSHFKLPQHSGMCRKDSK >Vigun10g144600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36181298:36185382:1 gene:Vigun10g144600.v1.2 transcript:Vigun10g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCIAFHSHSFMDNLVQPFHHLNPYYVQNSQTHMQPRSHSPTSVSLGMSEPQLVSSPQFSSPKFSPFFHPLDNLGELKTLNSVRELHAQMVKMPKRGSSVTMDGRMMKNYLEFGDFVSATKVFFVGFSRNYLLWNSFLEEFASFGGDSHEILEVFKELHNKGVEFDSKALTVVLKICLSLMELWLGMEVHACLVKRGFHVDVHLSCALINLYEKCLGIDRANQVFDETPLQEDFLWNTIVMANLRSERWEKALELFRRMQSASAQATDGIMVKLLQACGKLRALNEGKQLHGYVIKFGRLSNTSICNSVVSMYSRNNRLDLARAVFDSMEDHNLASWNSIISSYAVNGRLNGAWDILQKMQSSSFIPDIITWNSILSGHLLQGSYEMVLTSFRNLQKANFKPDSCSITSALQAVIELGCFNLGKEIHGYIMRSNLDYDAYVCTSLVDMYIKNISLDKAEVVFHHSKNKNICAWNSLISGYTYKGLFNNAENLLTQMQGEGIKPDLVTWNSLISGYSMWGHSEEALALMNRIKSLGLTPNVVSWTAMISGCCQNENYMDALQFFIQMQEENVKPNSTTICSLLRACAGPSLLKKGEEIHCFCMRHGFVDDIYVATALIDMYSKGGKLRVAHEVFRKIKEKTLPCWNCMMMGYATYGRGEEVFTLFDSMCKTGIIPDAITFTILLSACKNSGLVMEGWKHFDSMKTYYNITPTIEHYSCMVDLLGKAGFLDEALDFIHAMPQKADASIWGALLSACRIHKDLKIAEIAARNLFRLEPYNSGNYVLMMNIYSSFDRWGDVERLKDSMTSMGVKIPNVWSWTQVGQNIHVFSTEGEPHPEEGEIYFELYQLVSEIRKLGYVPDTHCVLQNIDEKEKEKVLLSHTEKLAMTYGLIKTSDGSPIRVVKNTRICQDCHTAAKYISLARNREIFLRDGGRFHHFMNGECSCHDRW >Vigun07g008400.1.v1.2 pep primary_assembly:ASM411807v1:7:717202:721477:-1 gene:Vigun07g008400.v1.2 transcript:Vigun07g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRLYYTEPGAPKPGTLPPRVQASVTGVALCGTLAGQLFFGWLGDKLGRKRVYGLTLVLMVVCSLASGLSFGSTPKGVLATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSYAYDQKYNLPTYEQNPEASIVHSFDYVWRIILMFGSLPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVELEAEEEKVQKLSQEESHRYGLFSKEFLRRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQKMNAIHEVYRIARAQTLIALCSTVPGYWFTVLLIDHIGRFAIQLMGFFFMTVFMFALAIPYDHWTKKANRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDAGYPTGIGIKNSLIMLGVINFFGMLFTLLVPESKGKSLEELSGENDAGADAEPEVSDRAIRV >Vigun03g055800.1.v1.2 pep primary_assembly:ASM411807v1:3:4562706:4563187:-1 gene:Vigun03g055800.v1.2 transcript:Vigun03g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKMLRFSMFLLFLVLAADVTVKRTEANDCWQPSKTFKGLCVNSDLCAKMCYNEGMTGGKCRGSPPSCDCFYVC >Vigun08g063400.1.v1.2 pep primary_assembly:ASM411807v1:8:8733112:8737500:1 gene:Vigun08g063400.v1.2 transcript:Vigun08g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFSLSCVNNIWVELHVFAKCGKANSTQRFVWLRKTEGGPGILISTCCLLVPNFHGSPHLKRSNES >Vigun08g061101.1.v1.2 pep primary_assembly:ASM411807v1:8:8139809:8141549:1 gene:Vigun08g061101.v1.2 transcript:Vigun08g061101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSGPSKHNNGSDDSEGSEGGDVGEFNDSTEIMFRHSCRTTYPSLLNKNLSEEQKLCIQTTPFWWFTLLNDSVKISRNVLGVLCKLWVERRDVCLGLGLRIVGDVVDLDDVVIESVCRNIFREKKVTVGVIYNYLLNHSECVAVDDFCRLYILIGISEFLLPNRNATVFPILFKIVDDLKSLCQYNWGRLWCFDHFVFVRRKGARIGNEFPRLLRRMNIKVGDGALKSSLEKNVIVADLCVSKEELLHAKVREAYELFGHDVSRSKRPTGGVDLGRRKVEVEVLIEKQEREIGELRQCLSLLEGVVHDRKTERTKDCNPITPSTNVEKDRGKTFEDQFYSQGGLQSHEAWSPLHSTVKRSVGVKVGEVNMDTPHGSNEELSKNVSHVQEPNPPHSNMYDRMKHHGRVRIKSRALRTPYTGNAPKKHGSQKFLLL >Vigun03g352300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55415953:55416204:-1 gene:Vigun03g352300.v1.2 transcript:Vigun03g352300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSISRASGEFLVDISATALAFPSLKMADSQGLPIHHNSASDVVNKKEMTLHGKPPPQKAVHLIPLVLIFCGFVLWIFSH >Vigun09g147600.1.v1.2 pep primary_assembly:ASM411807v1:9:31118199:31124194:1 gene:Vigun09g147600.v1.2 transcript:Vigun09g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYYYDQDYDSETVARTRPRYNHHYSAGAGNHRHRGGGARHAKAQDSQLSVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELMPGDEERIIEISDTRRRDPEGRMPTFSPAQEALLLIHERILESDAAFGVAEEEEEYNGRGGGGGRDRVATRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHNLPRCVSMSEEIVQVVGDVNAVKDAIANISSRLRESQHRDRSHFHGRVHSPERFFSPDNDYIPHVTSGSRRSSVDGATFGSRGSNTTSRNNSHSAMNYLMEPGAAPVADDVQGYYGEELVFRVLCPVERVDRIIGESGGIVEFLQNEVGVDVKVTDPVGGSDEQIVIITSEEGPDDELFPAQEALLHIQTRIVDLILDKDNTITTRLVVPSSEIECLDGKDASLSEIRRLTGANIQILPRDELPPCVAKADELVQIVGEIKAARDAVVEVTSRLRSYLYRDFFQRDIVPQVSLPGVEASSSNNLVPVTETLTTYQNMQTVAAALPSKETGGSSTEIGKQKESDRRDDVLSGLNRIAVPLVTRSTLEVVIPEYAVPKLIAKSKSKLAQISELSGANVTLVEDRPDVTQKIIQISGTPEQAERAQSLLQGFILSTQEDGP >Vigun11g009400.2.v1.2 pep primary_assembly:ASM411807v1:11:1093262:1107298:1 gene:Vigun11g009400.v1.2 transcript:Vigun11g009400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNDPQHQQHQYQQQQPPPHFHHQPPGQEFHRGPPPSSQAPPVMRQGSASSTNLAPEFHHPGPGGPPPPHYDVHSDGHGAKRIRKLTQRKAVDYTSTVVRYMQVRMWQRDSRDRTVLQPTPAAAIDMLPAVGYPDNPSTSFAAKFVHTSLNKNRCPINRVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEECSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQIEISNAHDNNVWDLAWHPIGYLLCSGSSDHTTKFWCRNRPGDTLRDRFNPGMQGYADPNPVAGRVGGNFPMAEGPTTPGPFAPGLTRNEGTIPGVGVAMPLSIPSLDMPQGEQKQPHPVSMGAPPLPPGPHPSLLNANQQQPYQQNPQQMPPQHQHQGLPQQMGPLPMPPNMPQLQHPSHSPMVPHQHLPRPPPQMPIGLPGSIPGISSVPTSHPMPMPGPMGMQGAMNQMGTPMPQGPYVGMNQMHSGSLPTSGGPPLGGFPGNMPNMQGPSSGNYPQGASFNRPQGGQMPLMQGYNPYQKNSEGQGIEG >Vigun11g009400.1.v1.2 pep primary_assembly:ASM411807v1:11:1093262:1107298:1 gene:Vigun11g009400.v1.2 transcript:Vigun11g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNDPQHQQHQYQQQQPPPHFHHQPPGQEFHRGPPPSSQAPPVMRQGSASSTNLAPEFHHPGPGGPPPPHYDVHSDGHGAKRIRKLTQRKAVDYTSTVVRYMQVRMWQRDSRDRTVLQPTPAAAIDMLPAVGYPDNPSTSFAAKFVHTSLNKNRCPINRVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEECSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQIEISNAHDNNVWDLAWHPIGYLLCSGSSDHTTKFWCRNRPGDTLRDRFNPGMQGYADPNPVAGRVGGNFPMAEGPTTPGPFAPGLTRNEGTIPGVGVAMPLSIPSLDMPQGEQKQPHPVSMGAPPLPPGPHPSLLNANQQQPYQQNPQQMPPQHQHQGLPQQMGPLPMPPNMPQLQHPSHSPMVPHQHLPRPPPQMPIGLPGSIPGISSVPTSHPMPMPGPMGMQGAMNQMGTPMPQGPYVGMNQMHSGSLPTSGGPPLGGFPGNMPNMQGPSSGNYPQGASFNRPQGGQMPLMQGYNPYQSGNQTGMPPNAQP >Vigun09g165100.1.v1.2 pep primary_assembly:ASM411807v1:9:33318495:33320616:-1 gene:Vigun09g165100.v1.2 transcript:Vigun09g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIESFASGLGQGIGKLFNSPIEFLSGKSCSSVCGPTWDFVCYIEIFCIANILKLTMVFMLSYIVLLFLYLVHKLGICGCLCRSSCKMMWTCFSSCFHVWEYSCTFFCIKMNNTRKTRRTRRVRVDMNKNFYIKTGKEDYSDESITSAKMSRLISRRRDYKAFHLRKSLQPKRHHARVEIRRDLRYKSKRNRSLGVPSYASNAIRNGNHIGTVNDIKVSHTSKFARKGITRRKRVMRRKRR >Vigun05g270600.1.v1.2 pep primary_assembly:ASM411807v1:5:46210108:46215755:-1 gene:Vigun05g270600.v1.2 transcript:Vigun05g270600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGNHQEHTHVSSSAPETSGAALAMKHNPGISLDWTAEEQTILEDGLSKYASESNIVRYAKIALQLQHKTVRDVALRVRWMNKKENSKRRKDDHNLTRKSKDKKERVSDPAVKSSNFAARSNVSPYAPPMITMDNDDGISYTAIGGPTGELLEQNAQALNQISTNLSAFQVQENINLFCQTRDNILKIVNELNDSPEVMKQMPPLPVKVNEELANSILPRTALPPQSS >Vigun03g042300.2.v1.2 pep primary_assembly:ASM411807v1:3:3329222:3334093:-1 gene:Vigun03g042300.v1.2 transcript:Vigun03g042300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTFLKLQNQPTKPKRRKYRETTISAAASPNHTQTIPHRRTPQSPICSQTPSQPEPEPEPPALPESPSTHRIRFSPGRFSPIMDFTHSSPTANGHHPSQPQAHDFPSSFSKFNSALTAGLLNPMSPPPDKTRSSPTLFEMMASEPDVHHRPTTHIPPTTVTAAAASSLHKPQILDRHALMMQRISDLLGSRSPGNQFNDAASSDIKLTLSSKDGISVSMNVHRQILVAHSRFFSVKLSDRWTKQQQQRSPVPYEVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEAVGAGEVLKRVSTDAATNGNEEGSDNEEVLLKLIRVVLEGKDEKARREMKGLVSKMLHENSSQNDLRKESLYLACDDCLQLLRHHFLQAAGSDLQDVSQIARQADNLHWILDILVDRQIAEDFLKTWASQADLAEAHSKVPAVHRFEIAQIFKEDLKFGGEGLSGRGMESKNGQDNYELQLHQLRTLEDRLFHCGKIGSKLLVSVTNISF >Vigun03g042300.1.v1.2 pep primary_assembly:ASM411807v1:3:3329222:3334093:-1 gene:Vigun03g042300.v1.2 transcript:Vigun03g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTFLKLQNQPTKPKRRKYRETTISAAASPNHTQTIPHRRTPQSPICSQTPSQPEPEPEPPALPESPSTHRIRFSPGRFSPIMDFTHSSPTANGHHPSQPQAHDFPSSFSKFNSALTAGLLNPMSPPPDKTRSSPTLFEMMASEPDVHHRPTTHIPPTTVTAAAASSLHKPQILDRHALMMQRISDLLGSRSPGNQFNDAASSDIKLTLSSKDGISVSMNVHRQILVAHSRFFSVKLSDRWTKQQQQRSPVPYEVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEAVGAGEVLKRVSTDAATNGNEEGSDNEEVLLKLIRVVLEGKDEKARREMKGLVSKMLHENSSQNDLRKESLYLACDDCLQLLRHHFLQAAGSDLQDVSQIARQADNLHWILDILVDRQIAEDFLKTWASQADLAEAHSKVPAVHRFEVSRVTARLFVGIGKGQLLASKDVRCLLLKTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNTILTLPLSWQQDILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEQERTRQLRITTTSAENP >Vigun07g046350.3.v1.2 pep primary_assembly:ASM411807v1:7:4717367:4722665:-1 gene:Vigun07g046350.v1.2 transcript:Vigun07g046350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPIFLQRCLRHKIEAKHKRRIQMTVCLKKIITNGKSVFPMYVCLARRVTDRGISTDRVVYHIGRIFVFRGDVDLNSAVQVKFTLPEMNKLAKEAISDTIDLLFLSAATVEDSNLSDGASSNLPPLDLNHRPSAEEYCLLGKITLKTLYGTWNYFPTFHMGRRTDFCTTVDLFPCVLKSNFQNDGSKVSIQVPSNYENMSVSELHVQISAEEFGSKKKSSRPFVLWE >Vigun07g046350.1.v1.2 pep primary_assembly:ASM411807v1:7:4717349:4722665:-1 gene:Vigun07g046350.v1.2 transcript:Vigun07g046350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPIFLQRCLRHKIEAKHKRRIQMTVCLKKIITNGKSVFPMYVCLARRVTDRGISTDRVVYHIGRIFVFRGDVDLNSAVQVKFTLPEMNKLAKEAISDTIDLLFLSAATVEDSNLSDGASSNLPPLDLNHRPSAEEYCLLGKITLKTLYGTWNYFPTFHMGRRTDFCTTVDLFPCVLKSNFQNDGSKVSIQVPSNYENMSYMSKFLLKSLGPKRNLPGLSCSGSEVFSSSFLI >Vigun07g046350.2.v1.2 pep primary_assembly:ASM411807v1:7:4717270:4722721:-1 gene:Vigun07g046350.v1.2 transcript:Vigun07g046350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPIFLQRCLRHKIEAKHKRRIQMTVCLKKIITNGKSVFPMYVCLARRVTDRGISTDRVVYHIGRIFVFRGDVDLNSAVQVKFTLPEMNKLAKEAISDTIDLLFLSAATVEDSNLSDGASSNLPPLDLNHRPSAEEYCLLGKITLKTLYGTWNYFPTFHMGRRTDFCTTVDLFPCVLKSNFQNDGSKVSIQVPSNYENMSVSELHVQISAEEFGSKKKSSRPFVLWE >Vigun02g076100.1.v1.2 pep primary_assembly:ASM411807v1:2:22812210:22818056:-1 gene:Vigun02g076100.v1.2 transcript:Vigun02g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGGTRRYSAKRESWRTVLTLAYQSLGVVYGDLSISPLYVFRSTFAEDIKHTESNDEVFGVLSLVFWTITLVPLVKYVFVVLKADDNGEGGTFALYSLLCRHARVSSLPNCQVADEELSEYKKDSRGAAPERSFALRLKSTFEKHKVLQRVLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSMSKETHAYVEVPASCIILIGLFALQHYGTHRVGFLFAPVIIVWLLCISSIGIYNIFHWNPHVYKALSPYYAFQLLRKTQKGGWMALGGILLCITGAEAMFADLGHFSQLSIKIAFTSVVYPSLILAYMGQAAYLSKHHDIEQDYHFGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALSCFPRVKVIHTSSKIHGQIYIPEINWLLMILCLAVTICFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHQNVLLALGFVFIFGTIEALFFSASLIKFLQGAWVPVALALVFLTFMYVWHYGTLKKYEYDVQNKVSINWLLGLGPSIGIVRVRGVGLIHTELVSGIPVIFSHFVTNLPAFHEVLVFLCIKHVPVPHVRPDERFLVGRVGPREFRLYRCIVRYGYRDVHKDDVEFENDLLCSIAEFIRTEKTGLNSSNDEPGKDDKMAVVGTCSTHSLLMSEENAESVENVENAGPSEVKEIKSPKVIKQQKKRVRFLVPESPKIDTEAKEELEEVMEAREGGVAYIIGQTHMRAKPGSSMVKKIAINIVYEFLRRNSRAPSFVTGVPHASSLEVGMMYQV >Vigun07g235600.1.v1.2 pep primary_assembly:ASM411807v1:7:35714105:35714593:1 gene:Vigun07g235600.v1.2 transcript:Vigun07g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHRFHWLKAFTTFSFLFFSSASAVTTPTPQLSGGAVNHLNREEKQNGSPAVPVERLVAGEKRFGGPGSSPPSCRSKCGWCSPCTPVHVPVQPGLIIRLEYYPEAWRCKCGNKLFMP >Vigun09g161000.1.v1.2 pep primary_assembly:ASM411807v1:9:32837628:32849792:-1 gene:Vigun09g161000.v1.2 transcript:Vigun09g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVTLGNTESFNNNADKDDLVRNGQVANSLVEGHTEITEKADGDVEEPCLAALPEKVVEALIEPINNKGMDPGTSPDSEVINSIPEVQVGERHEEDLHDAVLGSSKELNSKVDATVSKRGKKKDKLICSGVCITEDESQGPPRNRRGKQSKNRRGKKNCRDAVSSLELSTLTQISKSVSSKELCPESLSLSGETGLGRSAEALTVKNDMDINTSCKPSVDSGFLESQVSENMPSSARPLGRKLPKSLRPSKVSRTKFKASDSADRKKTTCTRKEKQKKPSIKSEDKRKGASLKITCEMEDRPHAEASIGNHKLVDAVGKINAEDNKVSVNNISSLDMPSGVGLGGQLPSPRNAWVRCDDCYKWRRIPAMLADLIDETNRTWTCKDSGDSAFADCAVPQEKSNAEINAELGLSDASGDEDAYEGSKNFKELDYRPPFVSQGSTFTHIFTNEFLHRSHKTQTIDEIMVCHCKATQEGKLGCGDECLNRMLNIECVQGTCPCGDRCSNQQFQKRKYASLRWFKCGKKGYGLKALGNVAKGQFLIEYVGEVLDMQTYEARQREYALKGHRHFYFMTLNGSEVIDASAKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKQDEELTFDYNYVRVFGAAAKKCYCGSPSCRGYIGGGDPLNAELIVQSDSEEEFPEPVMLTKNGEIEEAPKYFNNDDTESARNMLKGRDALEKSTSAIDSVGSPEKESSMNPASAVSLLHSSAEMEDSKGKLPSAVRVEEISQQMEDVTSKPMPAVQGYEKEKESEFADKTSSTQRLETTSPLTAASKILSNSTGSNRESKSEIIEGKKNPKLNGSVKKGKVHTNPPNSLKAEVTANRLQLSSVKPKRVEGSSNGRFEAVQEKLNELLDGDGGISKRKDATKGYLKLLFLTVASGDRSNGEAIQSNRDLSMILDALLKTKSRAVLNDIINKNGLQMLHNIMKQYRQDFKKIPILRKLLKVLEYLAASKILTPEQINGGPPCHGMESFRESMLSLTEHDDKQVHQIARSFRDRWFPRPNRKHGYLDRDDNRMESHRTFNGNRFSASHCHRHEQDLRAAESMDCSQQSMHVTTPVDADTQESCPAHSVDGVEIKGPKKRKRKSRWDQPADSNSLCDAVMSSIGESQNIHEDVPPGFSCPIGPLNASSLNSGNVVLQNASRSGCPSDLVVGHSKRKFNSHLPVAYGMPWSVAQQYGTPHTEFPERWVTAPGIPFVPFPPLPPYPRDNKDSQPSNNNNSAMIIDQPCEAMTRDHSAEVKEGDNSSMVTCCADDMIPSTTSANPQESNLLFEENEAKRMKGDSHDLVTKYYRQQKWNNSKIHRPWFRRNAWKCNENNSNGDMCSIDVDVPKESEDNCDAEDAICREEKGGNNIY >Vigun01g104300.1.v1.2 pep primary_assembly:ASM411807v1:1:27469118:27470479:-1 gene:Vigun01g104300.v1.2 transcript:Vigun01g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSASGSWSVKDNKAFEKALAVYDKDTPDRWYNVAHAVGGKTPEEVKRHYELLVQDVKHIESGRVPFPNYKKTSASDQEEKRLRNLNLQ >Vigun08g055200.7.v1.2 pep primary_assembly:ASM411807v1:8:6810576:6815378:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.5.v1.2 pep primary_assembly:ASM411807v1:8:6810283:6815378:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.6.v1.2 pep primary_assembly:ASM411807v1:8:6810283:6815378:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.1.v1.2 pep primary_assembly:ASM411807v1:8:6809812:6815384:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.3.v1.2 pep primary_assembly:ASM411807v1:8:6809812:6815384:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.4.v1.2 pep primary_assembly:ASM411807v1:8:6809812:6815384:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.8.v1.2 pep primary_assembly:ASM411807v1:8:6810576:6815378:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun08g055200.2.v1.2 pep primary_assembly:ASM411807v1:8:6809812:6815384:1 gene:Vigun08g055200.v1.2 transcript:Vigun08g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINLLPADGVEVVHQNGVHDEPSNSGEDGGAPYDLDPSVTETAETVASNGNFDNFHQSDSAAIDNSFVAEIKESNDIIDGNNVIIITKEEDTKISDQTKQSRAPKGLVKNKNAKAPSSSAVHASLVNKSKIGKDKEASSSVSNGTLALDSRPKQSIKSSRSFNDRQTQLSKPKHPLKSDEASSEVSMEKTKPKSLRKGPVKDQGEEDSSLSTNNEDAKPQRVGTLPNYGFSFKCGERAERRKEFYNKLEERIQAKEVEKSNLQAKTKETQDAEIKKLRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRPKSPKFGRKKTSANSESDGNTSSSSRVARLSLDEKVSESNPSKEPNPVLQKKPLRRSLPSRLTSEKNNVSKSTTALTPSKATKNEKSSVSRAAKKNINISNATGEEKTETIAATEEKNILSSETNDVVPLNVVPSDNKPSEEESHVNVDIAVEENPLSPLAQEPVATAEQ >Vigun01g077200.1.v1.2 pep primary_assembly:ASM411807v1:1:21775740:21781608:1 gene:Vigun01g077200.v1.2 transcript:Vigun01g077200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYWAVSLPVHNSASQLWNQIQERISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLAKSNNFVEGVTHKIRRQIEELERVSGVDSGGLTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDGIHSQVAKIEDDLKVRVSEYNNIRSQLNAINRKQTGSLAVRDLSNLVNPEDIITSENLTTLLAIVSKYSQKDWLSSYETLTNYVVPRSSKKLYEDNEYALYTVTLFSRVADNFRTSAREKGFQIRDFEYSQETHENRKQELDKLVQDQERLRASLLQWCFTSYGEVFSSWMHFCAVRLFAESILRYGLPPSFLACVLAPSVKSEKKVRSILEALSDSTNSGYWKVEDDVGAGMVGLAGDSDAHPYVSFTINLA >Vigun01g077200.2.v1.2 pep primary_assembly:ASM411807v1:1:21775740:21781608:1 gene:Vigun01g077200.v1.2 transcript:Vigun01g077200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYWAVSLPVHNSASQLWNQIQERISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLAKSNNFVEGVTHKIRRQIEELERVSGVDSGGLTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDGIHSQVAKIEDDLKVRVSEYNNIRSQLNAINRKQTGSLAVRDLSNLVNPEDIITSENLTTLLAIVSKYSQKDWLSSYETLTNYVVPRSSKKLYEDNEYALYTVTLFSRVADNFRTSAREKGFQIRDFEYSQETHENRKQELDKLVLGWLVLQVIPMPTLMFLSLSILLEH >Vigun07g138000.1.v1.2 pep primary_assembly:ASM411807v1:7:24788277:24791584:1 gene:Vigun07g138000.v1.2 transcript:Vigun07g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKPKTKTKGASASAVFFKPGTVVEVSSEDEGFRGSWFSGTVIRHLPSDRFLIEYHNLMADDKSSKRLREILDIRQLRPILPPEIGEVFKFGDEVDAYYNDGWWEGFITEELENDRFAVYFRASKEQLVFSKEQLRIHREWFDHKWVPPFQQEKDESNEAAKPDESKEAAKPDESNEAAKPDEFGQGATVEVSNDEDGFSGAWFEATIVEAKGNDKFVVEYQTLLADDDSNLLREEIDALHIRPPPPNTNLDGQFSLLDEVDALYNDGWWVGVISKVLADSKYVVYFRSSNEELEFHHSQLRLHQDWIHGKWIMPCKVMKL >Vigun05g062300.3.v1.2 pep primary_assembly:ASM411807v1:5:5359988:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKSRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDEC >Vigun05g062300.2.v1.2 pep primary_assembly:ASM411807v1:5:5359988:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDVRPDLRRMLMEVEGTRVGVFVSGPKKMKQEVAAICSSGLAENLHFESYSFSW >Vigun05g062300.4.v1.2 pep primary_assembly:ASM411807v1:5:5359988:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDEC >Vigun05g062300.6.v1.2 pep primary_assembly:ASM411807v1:5:5359479:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDEC >Vigun05g062300.1.v1.2 pep primary_assembly:ASM411807v1:5:5359988:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKSRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDVRPDLRRMLMEVEGTRVGVFVSGPKKMKQEVAAICSSGLAENLHFESYSFSW >Vigun05g062300.5.v1.2 pep primary_assembly:ASM411807v1:5:5359479:5363638:1 gene:Vigun05g062300.v1.2 transcript:Vigun05g062300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEVEKKTLSEEKYGRVESVIRWLVVVVFVGWIFVWIMTPTNTYQQKWKHRLQEKTESVFGAQGARLLVYTCPILFIAALGCVYVHIVKKGKGSNMEKRKKHEVSIWKRLVLVRGPLGIVSVTELAFLSMFIVLLLWSFSIYLHNGFAKITHKLAAEQGVKLWEKRLASAAVKLGLVGNICLAFLFFPVVRSSSVLPLLGLTQESCIKYHIWLGHIAMTLFTAHGILFIIYWALTHQLSKMLEWKRIGISNVSGEVSLVAGLLMWIAAIPRIRRKAFEVFFYTHYLYILFIVFFIFHVGFSYACTMLPAFYLFLVDRYLRFLQSRYQVPLISARVLPCKTLQLNFSKTHGLTYSPTSIMFINIPSISKLQWHPFTITSNSNLEPEVLSVVIKSEGTWSQKLYQMLSTPSAIDHLSVSVEGPYGPASTNYLRYDTLVMVSGGSGITPFISNIRELMYLNTAFICRTPKVILICAFKNSSYLSMLDLILPNSGTPFDISNMQLQIEAYITRKEEHELESQTHLQDIRFKPKATDAPISAILGPNSWLWLCAIISSSFIIFLVLIGIITRYIIFPVEYNSNKIFSQSLGSFLSMLAICVSITMAASVAVVWNKKHNDIEAKQIQNLEGSSSEVNVNGGDKEVESLPHQFLVQATKVHFDVRPDLRRMLMEVEGTRVGVFVSGPKKMKQEVAAICSSGLAENLHFESYSFSW >Vigun01g052900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:9447932:9448932:1 gene:Vigun01g052900.v1.2 transcript:Vigun01g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGCSRGPVKVVIINTQYVETDATSFKSVVQKLTGKDSDTSGAKAERNRHDKGGVPRSFLMRDVSFKDFDRLLREMPPINDLWAE >Vigun09g039250.1.v1.2 pep primary_assembly:ASM411807v1:9:3514282:3522431:-1 gene:Vigun09g039250.v1.2 transcript:Vigun09g039250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVMALKEKGIAADFLSSTKTADARVKIHEDLDSGKPSTRLLYVTPELIATPGFMSKLTKIYTRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRSHLPDVPILALTATAAPKVQKDVVESLRMQNPLILKSSFNRPNIYYEVRYKDLLDDAFADLSNTLKSLGDVCAIVYCLERSMCDDLSSNLSQSGISCAAYHAGLNNKMRTSVLDDWISSKIKVVVATVAFGYGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGIDDRKRMEFILSKSGSKKSQASSSQEESSKISLIAFNQMVEYCEGSGCRRKRILESFGEQVAASLCGKTCDACRHPNIVARCLEDLKTVCALRHKSGSSRVFITSATAAINGEQLSEFWNRGEEASGSEEDISDSEDGNDVVNNLTRSKLQSRLGVNEKLAMLQRAEENFYRNNNSYKQNNKVDKNAISDPMRGSSRQRLQNALKQVHQRLDNKIEVDTSASFLEDECYKKYGKAGKSFYYSQVASTVRWLTTASSSELMNRLCAINASAEAEQSLTPAEQPFKPPPTLDPCAEDDTSNEYSGNARSETSPCIVPMESCSFNNTNLPQIPSFSEFVNSRKTKGDQLNDTKKHSRIEKRMRMQ >Vigun04g087400.1.v1.2 pep primary_assembly:ASM411807v1:4:15049695:15051105:1 gene:Vigun04g087400.v1.2 transcript:Vigun04g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRSKRFSRTSSKLPNNGSKGERDAKNIGEIKWELRPGGMLVQKRESNNNNNQSSGEGVIAVRVSTVSKWHDISIDATSTFGELKLILSLVTNLDPREQRLLFKGKEREDSEFLHMVGVRDKDKVLMLEDPATKEMKLLGMARGQPINNTCCTITV >Vigun03g374400.1.v1.2 pep primary_assembly:ASM411807v1:3:57731909:57735274:-1 gene:Vigun03g374400.v1.2 transcript:Vigun03g374400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSLALGLLPCSSSSTRLSYTSLRFPASNSANSLHLSSSASISAPLLRQKLCTAPSPLPPTLRPLTIVSAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLVKKNTKRKLRLSKMHPVSRSDYDNVIGALPYLKVNRNAK >Vigun04g027250.1.v1.2 pep primary_assembly:ASM411807v1:4:2109524:2110064:-1 gene:Vigun04g027250.v1.2 transcript:Vigun04g027250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGLFSRSLPFLVLLITFLCFSAQFAPTTTTADLKMRKLGNMPSPPPSSMPGRQRVPGGNQPSPPFMTRNP >Vigun05g095000.1.v1.2 pep primary_assembly:ASM411807v1:5:9221829:9224367:1 gene:Vigun05g095000.v1.2 transcript:Vigun05g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNTNVSGERKSRKRRSSGTDSVENTLEKWKEYNRKQQLGSRENGVEVIQKAPAKGSRKGCMRGKGGPQNSDCKFRGVRQRIWGKWVAEIREPINGKLVGEKANRLWLGTFSTALEAALAYDEAAKAMYGSSARLNFPDPSVEPVHSNGSSSSSSSSSGCDKKSPSGSSENDGDVEKAGSERNLHQPHEEKPRFSEVGVFEVTQEKLVLSGGCVADDSIEELKEMTSGFEQCQTSEECKAITLKNIKSEIPEESEGIERELERVLKNSGIGEEGNNLLQNEPLDIAMNTGANYCSSSDAAEHDIVEKSEETRGESVEALKPCELSCSSHCLGCMHNMLPDSNSKPHSEHFSNNQTEASIARKHTEEVISEILGLCHSKSLKISHDQSPHEHYRTEHFDEMRTQLKCFECKLRAHSIHYKTQASMVGDSNYPSMQGIHMFGGGTVGPIESMSQIDAMNNINTSRNSLCLCDLSQQLHKLGGYLPEHWNNMQFADLEVGYDYSFLNPDYDFGLLEERKLLDVCFPQIGS >Vigun03g283800.1.v1.2 pep primary_assembly:ASM411807v1:3:46434266:46436704:1 gene:Vigun03g283800.v1.2 transcript:Vigun03g283800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPYGANTIQDFNISIPQTMEFRIPETSTNNTAHNLNCSCFFCILSETVPSVRRTRIAKYFKQMSLRNNQEHVLVLSGIWKIAMTKPNDPEFPSLGIFTCMAKLISKGVTHRSWLLRHQNIYIPYYAAHIIGSYTMTKPKFAKKAVKSSVIQPLLELLRGKISWVEQRVALRAMGHLARHKATFEALKAYEVEIIEAATNIASTCLNKVYDDFVGLKKTERLKYHRNLLTRGFGGFELENRKAEEWASQLQCWSLFLLHCFACRERSLRLICKKKFLKKLCGMWGGLSNPSSPSGIGLLKTLCHTQMGRESIASLQEVVESLCHVSRSSDKRQHMAIESLVQLLTDPVTRYKVIDTAAPALADLVELRDVKGKYKVGKKIMQVLLHDFHKIKVRELSLSSERTRRRLEEVWEMKVERVKREKVTSVQEMREKKALSRVLKEEGSERFFGGEIEEAVVKYSEALDLCPLKLRKERMVLHGNRAQCYLLLKDAEGAISDATRALCLSGVARPHSKSLWRRSQAYDMKGLARESLMDCLTFMNSKGFQMPYYAARFFNRQMNSTWLFASAQSKWYNKHPEMKELVANLGQKSRRKVKGMSWYIDVEEGLSKRRECKCRRVSEGRKLVTANVKKH >Vigun07g224400.2.v1.2 pep primary_assembly:ASM411807v1:7:34641839:34644312:1 gene:Vigun07g224400.v1.2 transcript:Vigun07g224400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSHHSDRVPYSEEVLKEKEKDGLEGKELDFFKERVERKEGNGVMFKVLESLTSTKYSLSRVIEGLEGEKNEASEEDGKRDCSGVEPMMLLEESRLISGLAVEVEKRVDRWKEARRKEKKELESSLISLTEENRDVNNLLRIALLEKEALEKRIKGHDHKKMPLLQFGWFMMGSGNTEQSTESSGAKSDSSECEEEVVSVASTVERMMKNLRFEITQLRRSLEESRSDTERLQCLTEKQTKEIVENKLYIKELEDRERILAQNVEEFLREMKAAEEEVGRWKEACELEVEAGKVAVLKQELHKTKTALEISNGKVRLKEELAMTAIAAQEAAERSLKLADARTVELRGRVEELTRQLEETEKCESNIHKVRRICWPWQVFKLTSGNVPNTRLGNAKRMLPEMQAMI >Vigun07g224400.3.v1.2 pep primary_assembly:ASM411807v1:7:34641839:34644312:1 gene:Vigun07g224400.v1.2 transcript:Vigun07g224400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSHHSDRVPYSEEVLKEKEKDGLEGKELDFFKERVERKEGNGVMFKVLESLTSTKYSLSRVIEGLEGEKNEASEEDGKRDCSGVEPMMLLEESRLISGLAVEVEKRVDRWKEARRKEKKELESSLISLTEENRDVNNLLRIALLEKEALEKRIKGHDHKKMPLLQFGWFMMGSGNTEQSTESSGAKSDSSECEEEVVSVVEEFLREMKAAEEEVGRWKEACELEVEAGKVEIEERDKMVAVLKQELHKTKTALEISNGKVRLKEELAMTAIAAQEAAERSLKLADARTVELRGRVEELTRQLEETEKCESNIHKVRRICWPWQVFKLTSGNVPNTRLGNAKRMLPEMQAMI >Vigun07g224400.1.v1.2 pep primary_assembly:ASM411807v1:7:34641839:34644312:1 gene:Vigun07g224400.v1.2 transcript:Vigun07g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSHHSDRVPYSEEVLKEKEKDGLEGKELDFFKERVERKEGNGVMFKVLESLTSTKYSLSRVIEGLEGEKNEASEEDGKRDCSGVEPMMLLEESRLISGLAVEVEKRVDRWKEARRKEKKELESSLISLTEENRDVNNLLRIALLEKEALEKRIKGHDHKKMPLLQFGWFMMGSGNTEQSTESSGAKSDSSECEEEVVSVASTVERMMKNLRFEITQLRRSLEESRSDTERLQCLTEKQTKEIVENKLYIKELEDRERILAQNVEEFLREMKAAEEEVGRWKEACELEVEAGKVEIEERDKMVAVLKQELHKTKTALEISNGKVRLKEELAMTAIAAQEAAERSLKLADARTVELRGRVEELTRQLEETEKCESNIHKVRRICWPWQVFKLTSGNVPNTRLGNAKRMLPEMQAMI >Vigun07g224400.4.v1.2 pep primary_assembly:ASM411807v1:7:34641839:34644312:1 gene:Vigun07g224400.v1.2 transcript:Vigun07g224400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSHHSDRVPYSEEVLKEKEKDGLEGKELDFFKERVERKEGNGVMFKVLESLTSTKYSLSRVIEGLEGEKNEASEEDGKRDCSGVEPMMLLEESRLISGLAVEVEKRVDRWKEARRKEKKELESSLISLTEENRDVNNLLRIALLEKEALEKRIKGHDHKKMPLLQFGWFMMGSGNTEQSTESSGAKSDSSECEEEVVSVASTVERMMKNLRFEITQLRRSLEESRHRAPPVSHRKTNQRNCRKQTLH >Vigun03g346000.1.v1.2 pep primary_assembly:ASM411807v1:3:54515482:54517843:-1 gene:Vigun03g346000.v1.2 transcript:Vigun03g346000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAMAASASPRPSLPTPSPHTVVTTFTKPQLRRTHIALPTSSTISLLALFAPPNEAKAAVSIAKDQIVSSLTQVEKTIDQVQEVGSSVFDTAQRIAEVIGNALKPGIETALPIVQQAGEEALKYASPAISEASKKAQEALQNSGVDTEPVITAAKTVADAAQQTTKVIDVAKPIASSTVETLSSSDPTVIAGTAGALFVAYLLIPPIWSVISSNLRGYKGDLTPAQALDLISAQNYVLIDIRSEKDKDKTGIPRLPSSAKNRMVAIPLEELPSKVRGQVKNVKKLEAEIVALKITYLKKINKGTNVVILDSYSDVAKTVGRTLTSLGFKNTWIVADGFSGNKGWLQSRLGTDSYNFSFAEVLSPSRIIPASVRGFGTTSQSSTKLLPGAD >Vigun04g174300.1.v1.2 pep primary_assembly:ASM411807v1:4:39860933:39863414:-1 gene:Vigun04g174300.v1.2 transcript:Vigun04g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRYAFGRADEATHPDSMRATLAEFASTFIFVFAGEGSGLALVKIYQDSAFSAGELLALALAHAFALFAAVSASMHVSGGHVNPAVTFGALIGGRISVVRAVYYWVAQLLGSIVAALVLRLVTNNMRPSGFHVAPGVGVGHMFILEIVMTFGLMYTVYATAIDPKRGAVSNIAPLAIGLIVGANILVGGPFDGACMNPALAFGPSLVGWRWHQHWIFWVGPLIGAALAALVYEYAVIPIEPPPHHHQPLASEDY >Vigun05g250700.1.v1.2 pep primary_assembly:ASM411807v1:5:44468972:44472230:-1 gene:Vigun05g250700.v1.2 transcript:Vigun05g250700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHTHTQTAITFLIFFSFFLRTQSEVITLTSDTFNDKIKEKDTAWFVKFCVPWCKHCKNLGSLWDDLGKTMEGEDEIEVGEVDCGMEKTVCSKVDIHSYPTFKVFYDGEEVARYQGTRDVESMKTFVLEEAEKAAAKALDSDKEL >Vigun05g250700.2.v1.2 pep primary_assembly:ASM411807v1:5:44468972:44472230:-1 gene:Vigun05g250700.v1.2 transcript:Vigun05g250700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHTHTQTAITFLIFFSFFLRTQSEVITLTSDTFNDKIKEKDTAWFVKFCVPWCKHCKNLGSLWDDLGKTMEGEDEIEVGEVDCGMEKTVCSKVDIHSYPTFKVFYDGEEVARYQGTRDVESMKTFVLEEAEKAAAKALDSDKEL >Vigun05g250700.6.v1.2 pep primary_assembly:ASM411807v1:5:44468972:44472230:-1 gene:Vigun05g250700.v1.2 transcript:Vigun05g250700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHTHTQTAITFLIFFSFFLRTQSEVITLTSDTFNDKIKEKDTAWFVKFCVPWCKHCKNLGSLWDDLGKTMEGEDEIEVGEVDCGMEKTVCSKVDIHSYPTFKVFYDGEEVARYQGTRDVESMKTFVLEEAEKAAAKALDSDKEL >Vigun05g250700.5.v1.2 pep primary_assembly:ASM411807v1:5:44468972:44472230:-1 gene:Vigun05g250700.v1.2 transcript:Vigun05g250700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTHTHTQTAITFLIFFSFFLRTQSEVITLTSDTFNDKIKEKDTAWFVKFCVPWCKHCKNLGSLWDDLGKTMEGEDEIEVGEVDCGMEKTVCSKVDIHSYPTFKVFYDGEEVARYQGTRDVESMKTFVLEEAEKAAAKALDSDKEL >Vigun05g121700.1.v1.2 pep primary_assembly:ASM411807v1:5:13385974:13390376:1 gene:Vigun05g121700.v1.2 transcript:Vigun05g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGMDYDKFKTQQKEHTNHMDALEENYLYDRRVMNLLSKDIREEMEKFQCKHSKNLEALMQENEEGRSVLSEKEMLDMEREKMDKTFKKLKICRMEREPKLERMKDHISELETGVKTVNMDNEVMIRDLSEKIENLK >VigunL086900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:7956:9827:1 gene:VigunL086900.v1.2 transcript:VigunL086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun04g060800.1.v1.2 pep primary_assembly:ASM411807v1:4:6272128:6275054:1 gene:Vigun04g060800.v1.2 transcript:Vigun04g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGSGEPASNVQSANQPASGARTQNAPAPAPAPAPATSAPSPPVEKQLSPAPVEKQIPAGIPGSLKNNYHRADGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGPPGN >Vigun09g146300.2.v1.2 pep primary_assembly:ASM411807v1:9:30956558:30958965:1 gene:Vigun09g146300.v1.2 transcript:Vigun09g146300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQQRLIEQSKRRKNNPENTTNIVQMPNRAPRLRMTSIRQMARSVTNAVPQRFAGRNDNDEEPSNTHITETLHERFPGRNHSEAGPSNTHITDRFPRHRFPGRNDNEAGPSNTHITGTEHECTFHTFGIYNTTLFTIPPIYHNNVDMLHGFPRTHNSARNFLNTVPMLKFQLSTPTTCPYCHARLFYHESRDMCCSGGKVLLPRVPPPHELLQIFSDQTSESRHFRQHIRSYNHVFSFTSLGVHMDETIVANGRGIYSFRAQGAIYHRIGGFYPNDGSRPRFLQLYIYDTEHELQNRMLENPQLHQTILAQEPNIQICSLLIKERPANQPQYNLPTASQVAAIIVTADTESMARGRDIKVVGHDGNLINIQETVGYYDPLQYPLLFPFGTYGWDTNTK >Vigun02g109900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26381749:26382999:-1 gene:Vigun02g109900.v1.2 transcript:Vigun02g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITAPVPTVPTHHLFFDQNLDLKNNNLGALPSTNHQLELLPPLRYPIHTFPRPLIPQTITFTKKEPKKKKRKDATTSDILHLMDVLPFPTPIDIYTSLIKECTVSGDPESAIELYTHISKSGIKPPLPFLNRILIMFVSCGLLENARNMFEKMRVRDFNSWATLFVAYYDNADYEEATAVFVNMLGQLGTLEFPPWIWACLLRACACTLNVPLGLQVHGWLLKLGTCDHVLLSSSLINFYGRFTSLEDASAVFNGVSRHNTLTWTAKIVSGCRERHFSEVFGDFREMGMRGVKKDCFTFSSVLKACGKMLNQERCGEQVHADAIKLGLVSDHYVQCSLIAMYGRCGLLRDAKQVFEIIREEKKVDCWNAMLSGYIRNGFHIEAVKFLYMMQAAGMQPGESLLKKLRIACGSTQT >Vigun05g046900.1.v1.2 pep primary_assembly:ASM411807v1:5:3923765:3924982:-1 gene:Vigun05g046900.v1.2 transcript:Vigun05g046900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIIISQSNHITVLPHTPRFWNSFLCSAIMDPFKGQGGIQMLLSAEQEAQHIVSNARNLRTQRLKQAKDEAEKEAAQYRSHMEDEYQNSISETTGTSGSNVKRLEEETEDKIKHLKKTSSEVSSEVVEMLLKCVTNIKI >Vigun05g046900.2.v1.2 pep primary_assembly:ASM411807v1:5:3923765:3924982:-1 gene:Vigun05g046900.v1.2 transcript:Vigun05g046900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIIISQSNHITVLPHTPRFWNSFLCSGQGGIQMLLSAEQEAQHIVSNARNLRTQRLKQAKDEAEKEAAQYRSHMEDEYQNSISETTGTSGSNVKRLEEETEDKIKHLKKTSSEVSSEVVEMLLKCVTNIKI >Vigun10g152500.1.v1.2 pep primary_assembly:ASM411807v1:10:37235989:37239452:1 gene:Vigun10g152500.v1.2 transcript:Vigun10g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQGPLNSCFFGDPLGVNCLDQVLVDEETLRLEEEERFLISSLEDKMPFLQMLQSVESPQFFPFKEPNFQTLLRLQHIKKPWEEMTYVPRMEAQVQAALELESCVTHDMLEMQSPVKSESNELQHPLSCVEKVSYECNQEPQKVSQSCPKSQPAATRERRKRKRTRPSKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKNEEGGGSSSSVLCKPPPTPSSSSPHGYGMRSSSSEEVNCGDEMKAENKSETADIKVTLIQTHVNLKIECQRKPGQLIKVIVALEDLRLTILHLNITSSETSVLYSLNLKIEEGCNLRSASDIAEAVHHIFNFINGS >Vigun10g152500.4.v1.2 pep primary_assembly:ASM411807v1:10:37236896:37239452:1 gene:Vigun10g152500.v1.2 transcript:Vigun10g152500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLQMLQSVESPQFFPFKEPNFQTLLRLQHIKKPWEEMTYVPRMEAQVQAALELESCVTHDMLEMQSPVKSESNELQHPLSCVEKVSYECNQEPQKVSQSCPKSQPAATRERRKRKRTRPSKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKNEEGGGSSSSVLCKPPPTPSSSSPHGYGMRSSSSEEVNCGDEMKAENKSETADIKVTLIQTHVNLKIECQRKPGQLIKVIVALEDLRLTILHLNITSSETSVLYSLNLKIEEGCNLRSASDIAEAVHHIFNFINGS >Vigun10g152500.2.v1.2 pep primary_assembly:ASM411807v1:10:37235983:37239452:1 gene:Vigun10g152500.v1.2 transcript:Vigun10g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLQMLQSVESPQFFPFKEPNFQTLLRLQHIKKPWEEMTYVPRMEAQVQAALELESCVTHDMLEMQSPVKSESNELQHPLSCVEKVSYECNQEPQKVSQSCPKSQPAATRERRKRKRTRPSKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKNEEGGGSSSSVLCKPPPTPSSSSPHGYGMRSSSSEEVNCGDEMKAENKSETADIKVTLIQTHVNLKIECQRKPGQLIKVIVALEDLRLTILHLNITSSETSVLYSLNLKIEEGCNLRSASDIAEAVHHIFNFINGS >Vigun10g152500.3.v1.2 pep primary_assembly:ASM411807v1:10:37236968:37239452:1 gene:Vigun10g152500.v1.2 transcript:Vigun10g152500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLQMLQSVESPQFFPFKEPNFQTLLRLQHIKKPWEEMTYVPRMEAQVQAALELESCVTHDMLEMQSPVKSESNELQHPLSCVEKVSYECNQEPQKVSQSCPKSQPAATRERRKRKRTRPSKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKNEEGGGSSSSVLCKPPPTPSSSSPHGYGMRSSSSEEVNCGDEMKAENKSETADIKVTLIQTHVNLKIECQRKPGQLIKVIVALEDLRLTILHLNITSSETSVLYSLNLKIEEGCNLRSASDIAEAVHHIFNFINGS >Vigun07g264800.1.v1.2 pep primary_assembly:ASM411807v1:7:38049084:38053045:-1 gene:Vigun07g264800.v1.2 transcript:Vigun07g264800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNQTVPSHSDAIAEGYVPLDSGHARLQQLGYKQELKRDLSVISNFAFSFCIISVLTGVTTLYNTGLNYGGTVSIVYGWFIASAFTMIVALSMAEICSSFPTSGGLYYWSAKLAGPRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIKVIILLSTGGKNGGGYEASKYVVMAFHGVILLLHGMINNLPISLLSFLGRLAAIWNVVGVFVLMIGIPSVATERASAEFVFTHFNTENEDGISSKPYIFLLGLLMSQYTLTGYDASAYMTEETREADINGPKGIISAVGISVIVGWCYILGITFAVTDIHYLLSEDNDAGGYAIAEVFYLVFKKRYGNGAGGIICLVIVAVAIFFCGMSSVTSNSRMVYAFSRDGALPFSSVWRKVNKQEVPIYAVWLSVSISFCMALTSLGSIVAFEAMVSIATIGLYIAYALPIFFRVTLAQRDFVPGPFNLGCYGVIVGWVAVLWVLIISILFSLPVSYPITMETLNYTPVAVGCLLILVVSFWLISGRHWFKGPITNI >Vigun11g206200.2.v1.2 pep primary_assembly:ASM411807v1:11:40282219:40285771:-1 gene:Vigun11g206200.v1.2 transcript:Vigun11g206200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNLSENPSKGSMVTTRISKGSKVVSKSESESQSPLQNSRLSVERSPRSVNSKPTIERKSPRPSATPPDKQPPRAAKGSELQNQLNLAQEDLKKAKELLILAEKEKLKAIDELKEAQRVAEEANGKLREALVAQKRAEENSEIERFRAVELEQAGIETVKKKEEEWKNEIESVRNQHALDMAALLSTTQELQRVKHELAMTCDAKNQALNHADDATKIAEIQAEKAEFLSAELLRLKALLDAKLETQAGENQVISKLKAEIEALNEELEKAKGYADKLSEKESFIEQLNVELEASKMAESYCRSLLEEWHKKVEELEMRIEEANRLEKSASESLESVMKQLEGSNDLLHEAESEVTTLKEKVGLLEMTIGRQRTDVEDSERQLRTAKEESVEKSKEVEALKSEIEKVKEEKAQALDDEKLAASSVQSLLEEKNKLISELENSRDEEEKSKKAMESLASALHEVSAEAREAKENLLNIQAERESYEAQIEDLKLVLKATNEKYESMLDEARHEIDVLVCSIENSKSVFENSKVEWEQREHHLVSCIKKNEEEKVSLEKEIKRLLYLLKETEEEANINREEEAQLKENLKEVETEAIQLQEALKEATAENMKLKENLLDKENELQSMFEENDELRVRESESIKKVEELSKLLEEATTINHTEENGDVSDSEKDYDLLPKVVEFSEENGHVGEEISKVESILSENQKELKQNIPEESIDKAENIASPKPEEVSEKLKEEEEDERKEKEDSVEVEFKMWESCKIEKKEFSPEREAEPESFEEEVESKVEEGGESFDKINGNAVTENIDEGGGSPPPKQQQQQQQLKKKKKPLLGKFGSLLKKKGGGGNQK >Vigun11g206200.1.v1.2 pep primary_assembly:ASM411807v1:11:40282219:40286295:-1 gene:Vigun11g206200.v1.2 transcript:Vigun11g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRPNLSENPSKGSMVTTRISKGSKVVSKSESESQSPLQNSRLSVERSPRSVNSKPTIERKSPRPSATPPDKQPPRAAKGSELQNQLNLAQEDLKKAKELLILAEKEKLKAIDELKEAQRVAEEANGKLREALVAQKRAEENSEIERFRAVELEQAGIETVKKKEEEWKNEIESVRNQHALDMAALLSTTQELQRVKHELAMTCDAKNQALNHADDATKIAEIQAEKAEFLSAELLRLKALLDAKLETQAGENQVISKLKAEIEALNEELEKAKGYADKLSEKESFIEQLNVELEASKMAESYCRSLLEEWHKKVEELEMRIEEANRLEKSASESLESVMKQLEGSNDLLHEAESEVTTLKEKVGLLEMTIGRQRTDVEDSERQLRTAKEESVEKSKEVEALKSEIEKVKEEKAQALDDEKLAASSVQSLLEEKNKLISELENSRDEEEKSKKAMESLASALHEVSAEAREAKENLLNIQAERESYEAQIEDLKLVLKATNEKYESMLDEARHEIDVLVCSIENSKSVFENSKVEWEQREHHLVSCIKKNEEEKVSLEKEIKRLLYLLKETEEEANINREEEAQLKENLKEVETEAIQLQEALKEATAENMKLKENLLDKENELQSMFEENDELRVRESESIKKVEELSKLLEEATTINHTEENGDVSDSEKDYDLLPKVVEFSEENGHVGEEISKVESILSENQKELKQNIPEESIDKAENIASPKPEEVSEKLKEEEEDERKEKEDSVEVEFKMWESCKIEKKEFSPEREAEPESFEEEVESKVEEGGESFDKINGNAVTENIDEGGGSPPPKQQQQQQQLKKKKKPLLGKFGSLLKKKGGGGNQK >Vigun01g221000.1.v1.2 pep primary_assembly:ASM411807v1:1:39464780:39472034:1 gene:Vigun01g221000.v1.2 transcript:Vigun01g221000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEEDRMGDFKPFSEGSSCSRSISETVNGSHQFTIKGYSLAKGMGAGKYIMSDTFSVGGYDWAIYFYPDGKNPEDNSMYVSVFIALASDGTDVRALFKLTLVDQSEKGNDKVHSHFDRPLDGGPYTLKYRGSMWGYKRFFRRTLLETSEYLKDDCLVMHCTVGVVKTRFEGSKQGVIVPHSDMGQNFKDLLDSEVGCDIVFKVKSESFKAHKLVLAARSPVFRAQFFGLVGDPSLEEVVVEDIEPFIFKAMLLFIYSDKLPDIYEVMDSMNVCSYAVMVQHLLAAADLYNLDRLKLLCESKLCEEINTDNVATTLALAEQHHCPQLKAICLKFIANPANLGAVMQSEAFVHLKESCPAMLLELLETFASVDDNSSLTLSRKRSGSSIYGQDLADGAAAESVNPSGRRLRRRT >Vigun11g155200.2.v1.2 pep primary_assembly:ASM411807v1:11:36457631:36461783:1 gene:Vigun11g155200.v1.2 transcript:Vigun11g155200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVRRLELLMVFVKVICFQVKVSSVVGEADQVQKGIVDLVTVHNIRKLVIGAITPENCMKIKRNSSKANFAAKNAPPFCEIWFVYKGKHIWTREASETPCFVPSHAQPETTTAESLSCRSFQYGTNELIHSECLQSNSARATRSTVPNVIIEAESTFSSKSSSCSSHCSPQHSELEEETIDSQLIEVNAATDKALVELLKCRALELKAIEAISKVNLFESAHAHEAKLRKEVEDAVSATIKEQRMLLEEKEEIASELERTTRSISLLGNCAHEINSKCDEAAKELSLIQASFSSLQNEKQEIRRQKMEALHWLERWKSCGHVGADHCDGIIGFAEEFPELAEFPLSDLQNATCNFSESFKAMEGGYGSIYKGEMLGRTVAIRKLHSHNMQGSTEFQQEVRVLGRLQHPNLVTLLGVCPEAWSFVYEYYPNGTLQDYLFRKGSLLPLTWSIRARWISEIARALCFLHSSRPETIIHGGLTLETVLLDTGLGCKLCEFGFSRLVKEESLSLPSFHLSTEPKGSFSYTDPELQRTGVLTPKSDVYSFGLIILQILTGRTPVGLAGEVRRAVSCGKLSSILDSSAGEWNCTASTRLAELGLQCCQLNSRDRPELTPSLVRELKQLYVSEEPPVPSFFLCPIFQEIMHDPQVAADGFTYERKAISEWLDNGHETSPMTNLKLSYLHLTPNHALRLAIQGWLCKS >Vigun11g155200.3.v1.2 pep primary_assembly:ASM411807v1:11:36456748:36461947:1 gene:Vigun11g155200.v1.2 transcript:Vigun11g155200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEYECDEKVHVAVGKSLKKGTTLIQWCFTHFRKAQICLLHVYQPSTMIPTLLGKLPASQASPEVVSAYRIEEREETKRLLEKYLSLCSAAKVKVSSVVGEADQVQKGIVDLVTVHNIRKLVIGAITPENCMKIKRNSSKANFAAKNAPPFCEIWFVYKGKHIWTREASETPCFVPSHAQPETTTAESLSCRSFQYGTNELIHSECLQSNSARATRSTVPNVIIEAESTFSSKSSSCSSHCSPQHSELEEETIDSQLIEVNAATDKALVELLKCRALELKAIEAISKVNLFESAHAHEAKLRKEVEDAVSATIKEQRMLLEEKEEIASELERTTRSISLLGNCAHEINSKCDEAAKELSLIQASFSSLQNEKQEIRRQKMEALHWLERWKSCGHVGADHCDGIIGFAEEFPELAEFPLSDLQNATCNFSESFKAMEGGYGSIYKGEMLGRTVAIRKLHSHNMQGSTEFQQELQQPQFISSIILSKGSSSW >Vigun11g155200.1.v1.2 pep primary_assembly:ASM411807v1:11:36456748:36461947:1 gene:Vigun11g155200.v1.2 transcript:Vigun11g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEYECDEKVHVAVGKSLKKGTTLIQWCFTHFRKAQICLLHVYQPSTMIPTLLGKLPASQASPEVVSAYRIEEREETKRLLEKYLSLCSAAKVKVSSVVGEADQVQKGIVDLVTVHNIRKLVIGAITPENCMKIKRNSSKANFAAKNAPPFCEIWFVYKGKHIWTREASETPCFVPSHAQPETTTAESLSCRSFQYGTNELIHSECLQSNSARATRSTVPNVIIEAESTFSSKSSSCSSHCSPQHSELEEETIDSQLIEVNAATDKALVELLKCRALELKAIEAISKVNLFESAHAHEAKLRKEVEDAVSATIKEQRMLLEEKEEIASELERTTRSISLLGNCAHEINSKCDEAAKELSLIQASFSSLQNEKQEIRRQKMEALHWLERWKSCGHVGADHCDGIIGFAEEFPELAEFPLSDLQNATCNFSESFKAMEGGYGSIYKGEMLGRTVAIRKLHSHNMQGSTEFQQEVRVLGRLQHPNLVTLLGVCPEAWSFVYEYYPNGTLQDYLFRKGSLLPLTWSIRARWISEIARALCFLHSSRPETIIHGGLTLETVLLDTGLGCKLCEFGFSRLVKEESLSLPSFHLSTEPKGSFSYTDPELQRTGVLTPKSDVYSFGLIILQILTGRTPVGLAGEVRRAVSCGKLSSILDSSAGEWNCTASTRLAELGLQCCQLNSRDRPELTPSLVRELKQLYVSEEPPVPSFFLCPIFQEIMHDPQVAADGFTYERKAISEWLDNGHETSPMTNLKLSYLHLTPNHALRLAIQGWLCKS >Vigun07g027800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2523451:2526266:1 gene:Vigun07g027800.v1.2 transcript:Vigun07g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEDFLLKETKPHLGGGKVSGDKLTSTYDLVEQMLYLYVRVVKAKDLPAKDVTGSCDPYAEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGRVWFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWMGTQADEAFPEAWHSDAATVGGSDALANIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAILGNQALRTRISHSRSINPMWNEDLMFVAAEQFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVEKRLDHKPVNTRWFNLERHIVVEGEKKKDTKFSSRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSGIGVLELGILNAHGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPRWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAGGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLGGLIAVGKWFDQICNWRSPVTTILIHILFIILVMYPELILPTIFLYLFLIGIWYYRWRPRQPPHMDTRLSHADSAHPDELDEEFDTFPTSRPNDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATSLFVLFCLVSAVVLYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Vigun01g220000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39383195:39389021:-1 gene:Vigun01g220000.v1.2 transcript:Vigun01g220000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGVPCIVEIFHFLCSLLNVTEHMGVNPRSNTMTFDEDVPLFSLTLINSAIELGGPSFRHHPRLLCLIQDELFFNLMQYGLSMSPLVLSMVCSIVLNLYQHLRQELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDISCSDVFEDIVNLLSKSAFPVNNPLSSMHILSLDGLIAVMQGISERIGSESLSSEQSPVNFEEYTPFWMEKCDSFDDPNDWVPFVCRRKYIKRKLMIGADHFNRDIKKGLEFLQGEHLLPNKPDSESVACFLRYTAGLDKNFIGDFLGDHDEFYIQVLHEFAKTFVFHDMTLDTALRVFLETFRLPGESQKIHRVLEAFSERYYEQSPDILANKDAALVLSYSIILLNTDHHNVQVKKKMTEEDFIRNNRLINDGNDLPREFLSEIYHSICKNEIRTTLEPGFGFPEMTPSRWISLMHEANKTSPYIVSDSRAYLDYDMFVLLSGPTIAAISVVFDNAESKEVYQRCMDGFLVAAKISAHYHLENVLDDLVVCLCKFITILDPLSVDDSVLALGDDTKVRIATETVFTIANSYGDYIRTGWRNILDCILIFYKLGLLPPHLASDAADESRITTEIGHGKYNSYSLVSSNFQYIPPKRSSGLISRFSQLLSLGSEEIQSIPTKEQLVAHEQATQAIQKCHIDSIFTESKFLQAESLLNLERALINAGSQHLKGNRILEDEESSVFCLELLVAITLNNRDRVVLLWTGVYEHISNIIQSTVIPCALVEKAIFGLLRICHRLLPYKDNITDELLRSLQLVLKLDALVADAYYEQITKEVGYLVRANASHIRSQSGWWTIIALLSITSRHLESSEAGFDALLFIMSDGAHLLPANYFLCVDAARQFAESRVGPVDRSIIALDLMASSVNSLEKWLNNAKKAAKQQEVEKMLQDIGEMWFRLVQGLGKVSLDQREEVRNHALLSLQKCLKGTVGTHLPRDLWLTCFDQVIFTVLEDLLEIAQSHSQKIYPNIEGTLVIALKLMSEIFLQLLLELSQLVAFCKLWEGMLSCMKKCVKMKIKGRRSEKLQELVPELLKYILLVMKSADILVEINNGSGENSLWELTWLHMKNIAPSLQSEVFPEQDTEQLQHRQVKAVESLGSDANISDPSNEKEGKDGVEISLF >Vigun01g220000.1.v1.2 pep primary_assembly:ASM411807v1:1:39383239:39389759:-1 gene:Vigun01g220000.v1.2 transcript:Vigun01g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTGVNVVEDQYEQCEAGYPNKTAIACMINAEISAVLAVMRRNVRWGIHYISDDDQSEHFLVQSLKTLRRQIFSWQNQWRAINPALYLQPFLDVIRSDETSAPITGVALSSVYKILTLDVIDKHTVNVGDTMHLVVDSVTSCRFEVTDPGSEEVVLMKILQVLLACVKGKASVMLSNQHVCTIVNTCFRIVHQAGTKGELFQRIARYTMHELVRSIFSHLQNFDNTKCGFINGSATLKQVTNGLNNDHALASRKLENERLNSARDAQPLSTIIASGAAPVGAANAVDENTAIASSGNETDPHELLLMTDGVPCIVEIFHFLCSLLNVTEHMGVNPRSNTMTFDEDVPLFSLTLINSAIELGGPSFRHHPRLLCLIQDELFFNLMQYGLSMSPLVLSMVCSIVLNLYQHLRQELKLQLEAFFSCVILRLAQSKYGASYQQQEVVMEALVDFCRQKTFMVEMYANFDCDISCSDVFEDIVNLLSKSAFPVNNPLSSMHILSLDGLIAVMQGISERIGSESLSSEQSPVNFEEYTPFWMEKCDSFDDPNDWVPFVCRRKYIKRKLMIGADHFNRDIKKGLEFLQGEHLLPNKPDSESVACFLRYTAGLDKNFIGDFLGDHDEFYIQVLHEFAKTFVFHDMTLDTALRVFLETFRLPGESQKIHRVLEAFSERYYEQSPDILANKDAALVLSYSIILLNTDHHNVQVKKKMTEEDFIRNNRLINDGNDLPREFLSEIYHSICKNEIRTTLEPGFGFPEMTPSRWISLMHEANKTSPYIVSDSRAYLDYDMFVLLSGPTIAAISVVFDNAESKEVYQRCMDGFLVAAKISAHYHLENVLDDLVVCLCKFITILDPLSVDDSVLALGDDTKVRIATETVFTIANSYGDYIRTGWRNILDCILIFYKLGLLPPHLASDAADESRITTEIGHGKYNSYSLVSSNFQYIPPKRSSGLISRFSQLLSLGSEEIQSIPTKEQLVAHEQATQAIQKCHIDSIFTESKFLQAESLLNLERALINAGSQHLKGNRILEDEESSVFCLELLVAITLNNRDRVVLLWTGVYEHISNIIQSTVIPCALVEKAIFGLLRICHRLLPYKDNITDELLRSLQLVLKLDALVADAYYEQITKEVGYLVRANASHIRSQSGWWTIIALLSITSRHLESSEAGFDALLFIMSDGAHLLPANYFLCVDAARQFAESRVGPVDRSIIALDLMASSVNSLEKWLNNAKKAAKQQEVEKMLQDIGEMWFRLVQGLGKVSLDQREEVRNHALLSLQKCLKGTVGTHLPRDLWLTCFDQVIFTVLEDLLEIAQSHSQKIYPNIEGTLVIALKLMSEIFLQLLLELSQLVAFCKLWEGMLSCMKKCVKMKIKGRRSEKLQELVPELLKYILLVMKSADILVEINNGSGENSLWELTWLHMKNIAPSLQSEVFPEQDTEQLQHRQVKAVESLGSDANISDPSNEKEGKDGVEISLF >Vigun09g167100.2.v1.2 pep primary_assembly:ASM411807v1:9:33519262:33522772:-1 gene:Vigun09g167100.v1.2 transcript:Vigun09g167100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLSNAKPYLLLLAVQFGSAGMFIFAMDAMRKGMSHYVFTVYRNLIAFLTLSPFAFLLERKVRPKMTVRIFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSVTFVMAVILKLEHMKMKETTCKAKVIGTIVSFGGTLLMALYKGPALSVMGSSTTQPENVNNPTTDHWIIGTCFLLIGCAGFSAFYILQVITLRKYPAEMSLATWVCFVGALQSSVVAFFAERHHPHAWSIAWDTRFFAPAYAVKT >Vigun09g167100.1.v1.2 pep primary_assembly:ASM411807v1:9:33519262:33522772:-1 gene:Vigun09g167100.v1.2 transcript:Vigun09g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLSNAKPYLLLLAVQFGSAGMFIFAMDAMRKGMSHYVFTVYRNLIAFLTLSPFAFLLERKVRPKMTVRIFSEIMALAFFEIILDQCFALLGMKFTSASFLSAVMNSAPSVTFVMAVILKLEHMKMKETTCKAKVIGTIVSFGGTLLMALYKGPALSVMGSSTTQPENVNNPTTDHWIIGTCFLLIGCAGFSAFYILQVITLRKYPAEMSLATWVCFVGALQSSVVAFFAERHHPHAWSIAWDTRFFAPAYAGIVSSGVQYYIQGVVIKSMGPVIVTAFNPLRMIIITTLACIVLSEKLYLGSIIGAVVVVLGLYLVVWGKYKECQKRIMPPSHANVISPQDQRQLPVTAPKNDSNNKT >Vigun04g194600.9.v1.2 pep primary_assembly:ASM411807v1:4:41922763:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.11.v1.2 pep primary_assembly:ASM411807v1:4:41928501:41931590:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.6.v1.2 pep primary_assembly:ASM411807v1:4:41922822:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKLMMESLLSSDLEALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.7.v1.2 pep primary_assembly:ASM411807v1:4:41922763:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.12.v1.2 pep primary_assembly:ASM411807v1:4:41930192:41931590:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.1.v1.2 pep primary_assembly:ASM411807v1:4:41922822:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKLMMESLLSSDLEALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.8.v1.2 pep primary_assembly:ASM411807v1:4:41922763:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.5.v1.2 pep primary_assembly:ASM411807v1:4:41922822:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKLMMESLLSSDLEALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.2.v1.2 pep primary_assembly:ASM411807v1:4:41922822:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKLMMESLLSSDLEALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun04g194600.10.v1.2 pep primary_assembly:ASM411807v1:4:41922822:41931930:1 gene:Vigun04g194600.v1.2 transcript:Vigun04g194600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSAVESDNFDWNTEDELEIENYQSSSSCLTVPNGDAVTGSGEASSSAVSANSKVFNHFIGMGFSREMVSKVIQEYGEESEDKLLEELLSYKALESSPQPQQRIERDPSSSENAGSSWDDLSDTDIFSDDEEIAKSSSQNDDTLQTLVKMGYKLEEAIIAIERLGRKSSLEELVDFIDVAQLAKAEDALLPPEEKLQYNDYTKSNKRRLYDCEVLGRKKPRGCEKKIINEDEEEALHLPNPMIGFGVPTESSFITHRRIPEDAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIQNRFPLLPLPPRTIHEAFPLTRKWWPSWDPRTKLNCLQTCIGSAKLTERIRKALENHAGDPPEHVQKYVIDQCRKWNLVWVGRNKVAPLEPDEVETLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKEMYPDGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEKSEVNRNIVRSWWEQTNQKGNLYDMDDVRELDGDRLEQLMSTFGGFDLVVGGSPCNNLAGSNRVSRDGLEGKESSLFFDYFRILDLVKNLTAKHR >Vigun11g166184.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37354404:37354556:-1 gene:Vigun11g166184.v1.2 transcript:Vigun11g166184.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKIYPFRTCTMY >Vigun02g159000.1.v1.2 pep primary_assembly:ASM411807v1:2:30437769:30439280:1 gene:Vigun02g159000.v1.2 transcript:Vigun02g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQVDPHDKMRARDVNKVARGEQAPRPAHEYGTVSPPPPPSSTHTIDDTKNKNKGEKSGIAENGAELTESNDYKTCYVKYVEYHRCVQQKGEKAPECQKLGTYFRSFCPTEWITEWDKEREEGN >VigunL004856.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000192.1:2924:21404:-1 gene:VigunL004856.v1.2 transcript:VigunL004856.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGFPQCADYSWNVRSR >Vigun11g125300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33263210:33264612:1 gene:Vigun11g125300.v1.2 transcript:Vigun11g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKLPSNPANPPFVFPTFPAFMLSFTPLNAHISSSISLTIPYPPWLLIMASGCPPFYPLHRITLLLRHLRQSTWLTHLHRYHPHPQSRNQVERLFRHIDQLQDYITRHRLLPTLLSHRPRRTPTHHHHNNNGHVLHLNNHHLVELRRIHQHQLRESLTRIRHCIRPLRQYLVFHQPDQLIRYHQSMNILDIFTTHL >Vigun10g097200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28474104:28478049:1 gene:Vigun10g097200.v1.2 transcript:Vigun10g097200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGGVLMQRYEIGRLLGQGTFAKVYHARNLITGMSVAIKIIDKEKILKVGMIEQIKREISVMRLIRHPHVVELYEVMATKTKIYFVMEYVKGGELFDKVSKGKLNQDDARRYFQQLISAVDYCHSRGVCHRDLKPENLLLDEDGNLKVSDFGLSALAETKHQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILYVLLAGFLPFRDPNLMEMYRKIGKGEFKFPNWFSPDVRRLLSKILDPNPKTRISMAKIMESSWFRKGLQKPTITQNKDEELSPLDTDGVFGCCENGDPIEPAKDSKPCNLNAFDIISYSSGFDLSGLFEETDRKKEARFTSDKPASIIISKLEEICKRLRLKLKKKDGGLFKLEGSKVGRKGPLGIDAEIFEITPVFHLVELKKSSGDTLEYQKLLKQEVRPALKDIVWNWQGEQPHQLQHGVLQNEEQPSHPAISELVPQATA >Vigun10g097200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28474605:28477890:1 gene:Vigun10g097200.v1.2 transcript:Vigun10g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGGVLMQRYEIGRLLGQGTFAKVYHARNLITGMSVAIKIIDKEKILKVGMIEQIKREISVMRLIRHPHVVELYEVMATKTKIYFVMEYVKGGELFDKVSKGKLNQDDARRYFQQLISAVDYCHSRGVCHRDLKPENLLLDEDGNLKVSDFGLSALAETKHQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILYVLLAGFLPFRDPNLMEMYRKIGKGEFKFPNWFSPDVRRLLSKILDPNPKTRISMAKIMESSWFRKGLQKPTITQNKDEELSPLDTDGVFGCCENGDPIEPAKDSKPCNLNAFDIISYSSGFDLSGLFEETDRKKEARFTSDKPASIIISKLEEICKRLRLKLKKKDGGLFKLEGSKVGRKGPLGIDAEIFEITPVFHLVELKKSSGDTLEYQKLLKQEVRPALKDIVWNWQGEQPHQLQHGVLQNEEQPSHPAISELVPQATA >Vigun07g251000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37026604:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37026615:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37026489:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37029763:37030674:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37027059:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37026603:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun07g251000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37027059:37031546:1 gene:Vigun07g251000.v1.2 transcript:Vigun07g251000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASGNLRAAFSHCVQQVRSYDYHHYLCLLELPPSMRKAAFAFCALNVETARAMDIASDPRIGLMRLVWWQEAIDKMFANKLIEHPTAQALSSVIAETRLSKIWLKRSVEARINDARREVTDMPETIGELEKYAEDTVSTMLYLTLQAGGIKSTAADHAASHIGKASGILLLLKSLPYHASLNRHFWYIPTAIASKHGLIVKQENGEERWVDSREGLCDAVYDMASVANAHLEKARKLAASVPAEALPVLLPAVPSQVLLDSLRKVQFDVFDPRLTGGVLGIPPLWYHLKLKWTSWRRKY >Vigun02g186701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32572497:32574800:1 gene:Vigun02g186701.v1.2 transcript:Vigun02g186701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVGASNLSALMLCSRKVVRYLFFKRCLLRNLCTSNAEPEILPSKIDAKIRALTTQGNIEEALSLLYTHSSLSLQTYASLLHACAQKKCLQHGMALHHYMLQKYPTIQNDLFLTNHILNMYCKCGHLSYARYVFDQMSRRNIVSWTVLISGYAQSGLIRECFSLFSGLLAHFRPNEFAFASLLSACEERNIEYGTQVHAVALKISLDANVYVANALIAMYSKHSGSTAGYDGRADDAWTMFKSMEFRNLISWNSMIAGFQLRGLGDKAIRLFTHMYCNGIGFDRATLLSVFSSLNQCGAFDNINVNLRKCFQLHCLAVKSGLITEIEVITALIKSYANLGGPISDCYRIFNDTSTQLDIVSWTALISVFAERDPEQAFLLFCQLHRKNYFPDWYTFSIALKACAYFVTEQHAMSVHSQIIKKGFQEDTVLCNALIHAYARCGSLALSEQVFDEMGYRDLVSWNSMLKSYAIHGKAKDALELFQQMDVCPDSATFVALLSACSHVGLVDEGVTLFNSMSVDHCVAPQLDHYSCMVDLYGRAGKIIEAEELIRKMPMKPDSVIWSSLLGSCRKHGETLLAKLAAEKFQELEPNNSMGYVQMSNVYSSAGSFTEACLIRKEMSNYKVRKEPGLSWVEIGKQVHEFGSGAQYHPHKGAILSQLEILIGKMKEMGYVPELSLALYDTEVEHKENQLLHHSEKMALVFAIMNEGSLPCGGNVIKIMKNIRICVDCHNFMKLASHLFQKEIVVRDSNRFHHFKYATCSCNDYW >Vigun04g195700.1.v1.2 pep primary_assembly:ASM411807v1:4:42037052:42044944:-1 gene:Vigun04g195700.v1.2 transcript:Vigun04g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLSVQSSTFCSFSSHETGTNPRYLPIKSSNFLCCSSKPRNSSGFLCLSTQNDGFRENSGEVGGGDAVIIVDHGSRRKESNLMLNEFVEMFKYKTGYEIVEPAHMELAEPSIRDAFQSCVQQGANRIIVSPFFLSPGRHWSQDIPSLSAEAAKEHPDVSYIVTAPLGLHELLVDVVNDRIKHCIKHVAGDADECSVCAGTGKCRLY >VigunL054800.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000394.1:46808:49055:-1 gene:VigunL054800.v1.2 transcript:VigunL054800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >VigunL054800.7.v1.2 pep primary_assembly:ASM411807v1:NBOW01000394.1:47435:49859:-1 gene:VigunL054800.v1.2 transcript:VigunL054800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >VigunL054800.5.v1.2 pep primary_assembly:ASM411807v1:NBOW01000394.1:47435:49859:-1 gene:VigunL054800.v1.2 transcript:VigunL054800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >VigunL054800.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000394.1:46842:49859:-1 gene:VigunL054800.v1.2 transcript:VigunL054800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSYYLQGWETCNLGWNPKDKLLRGNQNLGSDRALHFLGKAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >VigunL054800.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000394.1:46808:48226:-1 gene:VigunL054800.v1.2 transcript:VigunL054800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLPTLFMFQWNPKDKLLRGNQNLGSDRALHFLGKGEGKTIAWKMCGLDKSTCLIVMFDLSSSERTNTPGAVNPQLYLQFLTR >Vigun02g070400.1.v1.2 pep primary_assembly:ASM411807v1:2:22105148:22107564:-1 gene:Vigun02g070400.v1.2 transcript:Vigun02g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAVVSFDHVSPEDSHEVHVLAVDDSLVDRKVIERLLKISACKVTAVDSGIRALQFLGLDEQRRTSESEGFVPSLKVDLIITDYCMPEMTGYELLKKIKESSMFREIPVVIMSSENVLPRIDRCLEEGAEDFIVKPVKLSDVKRLKGYMTTTREVKVGSHDRGSGVVDDVGVEIEINNKRKLVEEEEEETSDMSSSSSPPSVSTLSSSPSVSSPSSSPTSSPIRRLKMSSSSSSSSD >Vigun04g103800.1.v1.2 pep primary_assembly:ASM411807v1:4:24306126:24308369:-1 gene:Vigun04g103800.v1.2 transcript:Vigun04g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVNENSSSVPQKEENINTGDTTQLPVLESEDKPEGEADGKPDGETEKATDSERDTEKEESKEEEEKEKEKNEEEKEETVEAEPESPIPSLEKVLDEIDQFLVTLQQKVDAKESTPLEIPNFIPVFLHLVEQKIVKYDTGETKWGETIEDDSSLLGCANRVSRLMNHFIEYSRSHAVEEQEEGEEKVVRIDFMVNGISVIQQRVMSFLEDEFRTLMEESRNPNKSDSKGKQQADSLESEPFEESILEFPGFAEEAIANLNKIAKEMVASGYENECSQVYALSRRHALEDGMNKILGYEKLSIDEIQRMQWETLEREIPTWINTWKECTSVWFPGERKLVEMVFGNEADIVVGLMGNISRSIVIQLLNFGESIAMTKRAGEKLFKLLDMYETLRDAIPIMETLFPDDIMGEIKTETTSAKCRLGEAAVLIFCDLENSIKSETGRTPVAGGAVHPLTRYIMNYLRLACEYKDTLEEVFKEHSKIERADSTSRPRYETDDSKSKSENRHREDESPFAAQLMRVMELLDSNLEGKAKLYKEVALSCIFMMNNGRYIVQKIKGSAEIYEVMGETWCRKRSTELRTYHKNYQVETWSKILSCLSPKGLSDHGKVQKPVLKERFKGFNAGFEEIHKTQSMWVVSDEQLQSELRVSISALVIPAYRSFLGRFSQYLDPGRQTEKYVKYQPEDIEAYIDDLFDGNAHARR >Vigun08g161000.1.v1.2 pep primary_assembly:ASM411807v1:8:33330543:33334486:-1 gene:Vigun08g161000.v1.2 transcript:Vigun08g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTQAEVYSPRTQQVWRALLSWLAFFFQIFFQIIRALGHYPLLSFSSSSSSSSSSSFKPLPSVELVEHDSPPPSALEITAVDYSPADRPIQKLTVVLDLDETLVCAYETSSLPAALRTQAIEGGLNWFELECVSIDKEGEGKPKINYVTVFERPGLKEFLKKLSEFADLVLFTAGLEGYARPLVDRIDAENRFSLRLYRPSTISTEYREHVKDLTCISKDLCRIVIVDNNPFSFVLQPVNGIPCIPFSAGQPHDTQLLDVILPLLKQLSELNDVRPLLYEKFHMPDWFQKQGIPASSWTL >Vigun10g064400.2.v1.2 pep primary_assembly:ASM411807v1:10:14016588:14021524:1 gene:Vigun10g064400.v1.2 transcript:Vigun10g064400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKFQMGSFFPKLKNMKLQSMKSLSKIWNPKLPSDSFSELDTLIIEECHKLVNAMERIFGSLCNLRVKNCRSMEAIFNICEKVGDVANNLQDVHLETLPKLKHVWKMNNKDQVGIPKFNNLKRILAKDCDSLEYIFPFYVANSLDNLESLVVCGCYGLNKIVVEREATNMDIARFNFPKLSTIKFSELPKLTSFYPITYDLSCPLKELSIELCNNLEPFNEGTQHGQGNHVRVFFFPEEVINNLKSMQIDSRCAKSSSSSMDKRNHQRDNLEELSLSRLIDSEILYSFLYRNPNLKSLSLNNCFFEKIVPPKEDTEIENFGIIPNLKSLMLIDSPNLEEIGFEQDIILERLELLILKNYPRMIIIIPSSVSFTRLTNLEVVNCDGLQSLMSASTAKSLVQLNTMK >Vigun07g149700.1.v1.2 pep primary_assembly:ASM411807v1:7:26024924:26031236:1 gene:Vigun07g149700.v1.2 transcript:Vigun07g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMTTPFKRLHLVRTLYRSSQIGESSSYLLGSCKTYSSAISKGSEGNFRSFYPHVLKGQDCFPFAGVKSLTLRSTMAAELSIFMNDKRMITTQVKAPPQARQVGQQMSLSSPGFIYEPYEPREKIPFWKRWFTMGGWRRTKHDIILELKSAYAIAKLRKKGYSKNQFYKEAVNMYKEINTLIANGDKRSLRKSVTEKMFSALKNEIKQRESAWSKVYWEMVEPVVTIRTLRARLIGVDRKDLDKTFIQLTLEILAKQKFEAYNSKGSVVAGDKSKEVLVRDIWVFEKSMFHPGARWRLCGRITPKAS >Vigun01g208800.2.v1.2 pep primary_assembly:ASM411807v1:1:38412264:38415042:-1 gene:Vigun01g208800.v1.2 transcript:Vigun01g208800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPGSSSSTRRKMYKTGIDAEDSRRRREKELVGIRKIKRHTALLKKREETRSSSDTLLDYVGEIVKRVCDEYPHKQLEAAAHIRAILTLDDPAPPVDEFVKHGILPRFLELLSREDAPYLQVEVLWVISDFVSTSPQHKSAVVEVGGVPLLINLLSSTHDDIREEAMWILGTIVGDSPEYRDLVLSHGALMPLLCQLQPHASLYILRPATWCLSVLFLGIPPVKFEQVKTVLPALERLIHATDEDVLTDTCWTLAYLSDDHIENIEAIIELGICQKLVQLLQYPSNGVILPALEALGNIAAAGEAQTQFVIDNQLLPCLHQLLTREYTKNIFKEASCIIAVITSRTPAQIQAVIDANIIPCLVNVVHNAEFEVKKEAACAIFNVTSKGSDDNIRVLAAEGCIEALCQLLTCPDPKLVTVCLDGLMNIWAVGEANKDDNGNIFAQRVQECGGLDKIETLHLHENNEIHTKALWFSDCFRPENDELEDTDMSVSLLDFFNRSKPT >Vigun11g140400.1.v1.2 pep primary_assembly:ASM411807v1:11:34964608:34965572:-1 gene:Vigun11g140400.v1.2 transcript:Vigun11g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEMKNIGVVVVMMIMLSFSEAKLTCQAQCGISCVLANLAYPICFAICVAKCPKLSEEASQCIGRCGIKKSINISIDDSGAVSEVMDSCLQNCPTN >Vigun04g080400.1.v1.2 pep primary_assembly:ASM411807v1:4:11486673:11494160:1 gene:Vigun04g080400.v1.2 transcript:Vigun04g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPSDYSQEPPRHPSLLINAKTPFNAEPPRSALVASYVTPSDFFYKRNHGPIPIVEDINRYSVFVSGLLERPRQIMMKDIWMLPKYNVTATLQCAGNRRTAMSKTKTVRGVGWDVSAIGNATWGGAKLSDVLELVGIPKLTSVTQFGGRHVEFVSVDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLEEINIIAEECQGFFMQKDYKMFPPSVNWDNIDWSTRRPQMDFPVQCVICSLEDVSTIKPGKVKISGYAASGGGRGIERVDVSIDGGKTWLEASRFQKSGVPYISDHANSDKWAWVFFEVTADIRQSTEIIAKAVDSAANVQPEKVEDIWNLRGILNTSWHRVKVQASHSNL >Vigun10g141600.1.v1.2 pep primary_assembly:ASM411807v1:10:35866867:35867909:-1 gene:Vigun10g141600.v1.2 transcript:Vigun10g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTRMLCASFTLIAPAIAVEYCVRKDLNFSNPKSSLPNTSSSSPTLRSGRFSVADLMIDIIVT >Vigun11g176600.1.v1.2 pep primary_assembly:ASM411807v1:11:38123319:38126427:-1 gene:Vigun11g176600.v1.2 transcript:Vigun11g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGGSSASAAAPVNQKWQELAKLFQYYLDKSTPHATYRWIGTLVIVSIFVLRVFYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFMMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQIAHMIKYKYIPFNIGKQKYTGKRSSPSSSGSRAD >Vigun11g176600.2.v1.2 pep primary_assembly:ASM411807v1:11:38123339:38126311:-1 gene:Vigun11g176600.v1.2 transcript:Vigun11g176600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGGSSASAAAPVNQKWQELAKLFQYYLDKSTPHATYRWIGTLVIVSIFVLRVFYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFMMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQIAHMIKYKYIPFNIGKQKYTGKRSSPSSSGSRAD >Vigun02g002450.1.v1.2 pep primary_assembly:ASM411807v1:2:1273839:1276511:-1 gene:Vigun02g002450.v1.2 transcript:Vigun02g002450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLTLDVHCEVQLRNDCVTVRTIVRTTAHPTSTALHPRCSLSRPSSQGFTVRMRFTNWVFFQERRNWRFSNPASTNFEALMANEMEKIDSGPCFSS >Vigun02g038400.1.v1.2 pep primary_assembly:ASM411807v1:2:16129859:16132460:-1 gene:Vigun02g038400.v1.2 transcript:Vigun02g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIASNMASKPTSFSIQLTLMVVFLTTQVVLSADTPMPSDKTQLGQWFSNNVKPLNNRKGTLDSQLVAAEQGQTVIKVRQDGKGQFKTITDALKSIPNGNKKRVILHIGPGTYKEKIVVPNNKPFITFYGTPGQMPTLTYGGTAKQYGTVESGTLSVLSDYFVGANIIIRNSAPRPGLNTVGGQAVALRVSGDKATFYNCQIYSYQDTLLDDANRHFFKDCYIQGTVDYIFGSGKSLYVNCEIRTLGDSGLTFITAQARKSNKEDNGFSFVHCELTGTGTGAYLGRAWFGYSTVIFSYCNMDNIFNKAGWSNNNHKEYDKTLYFGEYMNTGPGADATGRSHLTRKLKYAEVQHYLGLGMIEGSKWLLPPPKV >Vigun06g176200.1.v1.2 pep primary_assembly:ASM411807v1:6:29634982:29639270:1 gene:Vigun06g176200.v1.2 transcript:Vigun06g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSACFTPQSFARRNANRSKIRNPKFQKPVVPGGEATTYTRLPLKHDYSSSSPLSPPEIKLSEATPPIDHLLRNRKRQTQRQQGDVFEGNADSEPESDGEVEAFEYSGDENVEEESSSEKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGDVYVEVDGAMNSLLPFRNSVHFRAGRGSHGQGSMMNGAKGEDVVVKVPPGTLIRMSGEDDVLLEMVYPGQKALVLPGGRGGRGNASFKSGANKVPKIAEYGEEGHEMWLELELKLVADVGIVGAPNAGKSTLLSVVSAAKPEVANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSSLQPDLEFDAVRLELKLFNPELAEKPYVVAFNKMDLPEAYENWESFKENLQSRGITPFCMSAVTREGTHEVICAAYELLRKSKEENEEYEDGKDMVNLNHVADAVQRQRNASISDFEIFHENDSNIWHVVGSGLERFIQMTNWRYVDSERRFQHVLEACGVYKSLMKLGVKEGDTVVVGELKMIWHDSSGNSGAARMRKMSSDSLKWAEWK >Vigun05g089300.1.v1.2 pep primary_assembly:ASM411807v1:5:8564236:8566160:-1 gene:Vigun05g089300.v1.2 transcript:Vigun05g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLFSMNKPTTLKIHFFLFLCFFIGIVSSQLSSDFYSTTCPNALSTIKSGVDSAVSNEARMGASLLRLHFHDCFVQGCDASVLLNDTSSFTGEQSAGPNVNSIRGFGVIDSIKSELESLCPGVVSCADILAVAARDSVVALGGQSWTVQLGRRDSTTASFSGANSDLPGFSFSLQQLIDAFSKKDFTTEEMVTLSGGHTIGQASCSTFRTRIYNDTNIDSSFATSLQANCPSVGGDRNLAPLDTSPTTFDNAYFQDLQSQKGLLHSDQELFNGGSTDSQVNGYVSNPSSFQTDFANAMVKMGNLSPLTGSSGEIRTNCWKTN >Vigun07g230900.1.v1.2 pep primary_assembly:ASM411807v1:7:35257807:35259922:-1 gene:Vigun07g230900.v1.2 transcript:Vigun07g230900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPTTSPPTRKRKMGDVEDTTPKKPAAKWPLIKPKKNLQITQLRDFDLFTVQNFFSSAESKAFVKNAESIGFAHQGSLGPTYGEAYRDNDRISVDDPVLADTIWESGLSKMFSDIKIRGKVAVGLNPNIRFYRYKTGQRFGRHIDESVNLGDGKRTYYTLLVYLSGGPSELKSKPKIDSSNSSVSSVDPLVGGETVFYGPRNKIVAEVAPTEGTALLHLHGDKCLLHEARNVTKGIKYVFRSDVVFA >Vigun07g230900.2.v1.2 pep primary_assembly:ASM411807v1:7:35258258:35259923:-1 gene:Vigun07g230900.v1.2 transcript:Vigun07g230900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPTTSPPTRKRKMGDVEDTTPKKPAAKWPLIKPKKNLQITQLRDFDLFTVQNFFSSAESKAFVKNAESIGFAHQGSLGPTYGEAYRDNDRISVDDPVLADTIWESGLSKMFSDIKIRGKVAVGLNPNIRFYRYKTGQRFGRHIDESVNLGDGKRTYYTLLVYLSGGPSELKSKPKIDSSNSSVSSVDPLVGGETVFYGPRNKIVAEVAPTEGTALLHLHGDKCLLHEARNVTKGIKYVFRSDVVFA >Vigun07g050000.1.v1.2 pep primary_assembly:ASM411807v1:7:5228542:5233362:1 gene:Vigun07g050000.v1.2 transcript:Vigun07g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEDGVGVGGLNPSTGSRFFQTLLFIFINPTHTLHFSLCLCTRCSIAAFSLLSLLIFHIPIMYRFASSLASKARIARSGSKQVGSTLSWRRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSFGGPKVTKDGVTVAKSIEFNDKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNDKNQKCELEDPLILIHEKKISSINAVVKVLELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGALITEELGLKLEKVDLDMLGTCKKITISKDDTVILDGAGDKKAIEERCEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVLTIASNAGVEGAVVVGKLLEQGDNDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVSELPKDDKDTPAMAGGMGGMGY >Vigun11g034200.1.v1.2 pep primary_assembly:ASM411807v1:11:4592728:4596899:-1 gene:Vigun11g034200.v1.2 transcript:Vigun11g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAYANSSNDFESHIHKENQYTTNHTSISDYKTSREREIEQVFSKHNAKVTSKPTSKPHHVHNNNTYEFSTTEHPSPSTRMFLNHNHSTTTSPRTTTEDARNFLVPAKNTILGGHRSGSSSRSDSLESISIAHTKPHTPSTRMSSNHNPSTTTSPGATTEDARNFLVPTKNTILGCHKSVSSSRSDSLKSISIAHIKPHTLSTRMSSNHYPSTMTTLGATTEDVRNFLVPKKNTIQGGHRSGSSSRSDSLDSICCAHIKPHTGGDVRWDAINMVSNGNGLDLSHFKLLKRVGYGDIGSVYLVELKGTKAFFAMKVMDKAALASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKYYCLVMEFCSSGSLHCLRMKQPSKHFTEEATRFYCSEILLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSAHESSNGPYGGLLSHDQTIHGCMHHSSFFPRILPSKKNRKTKSDFGLVVGGCLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGITPFKGAGNRATLFNVVGQPLRFPEKPVVSKVARDLIQGLLVKEPKKRFAYKRGATEIKQHPFFHGVNWALVRSATPPIIPEPFDFSPYIRKTDVPPMDKRVADIIASHKSNHTQPDSSYEDFEYF >Vigun05g163900.1.v1.2 pep primary_assembly:ASM411807v1:5:26456332:26465376:1 gene:Vigun05g163900.v1.2 transcript:Vigun05g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAQPCPSWKRGSVPLSKRLTRRRFSGRITLTRLTPQAALVQAPPPPSAPRDNSSIQVLTLSRANDLQAEARAMARAANATVYNPQLIASMYGSQPVKVVRRTLQIVGALGSFGMKLLLDQRNGVLDKNRIVRASELKNIFTKLGPTFVKLGQGLSTRPDICPPEYLEELSELQDGLPTFPDEEAFACIERELGLSLDSIFSSISPSPIAAASLGQVYKAQLKYSGRLVAVKVQRPCIEEAIGLDFYLIRGLGIFINKYVDIITSDVVALIDEFARRVFQELNYVQEGQNARRFKKLYADKEDICVPDVFWDYTSAKVLTMEWVDGVKLNEQEAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARSAIIGHVVHLVNRDYEAMARDYYALDFLSPDVDVSPIVPALRDFFDDALNYTVSELNFKTLVDGLGNVLYQFPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFQDGRFRWGRLENLLVQGRMDRDFSAKEALQPVLKVLLSPDGEELRTLVIKEAVQVTEAFTLSTISDTYKSVPDFMKTLVFNGNANGPIIMSEVETQKMIELRDQVFRIWGLLQSSNDFDRDLLLPILQVLQQPEARRLGERVMGGISQRLAARFLQQVLRVPTPST >Vigun02g169700.1.v1.2 pep primary_assembly:ASM411807v1:2:31286579:31289967:-1 gene:Vigun02g169700.v1.2 transcript:Vigun02g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASPMTVQAGRIRTLKTSSSGEAGSGPVVYWMFRDQRVRDNWALIHAVAEANKANVPVAVVFNLFHSFLDAKSRHLGFMLRGLRQLGHRMQNTLQIPFFLFQGEAEETVPTFLRECGASLLVTDFSPLREIRRCKEEICKRVSDSVTVHEVDAHNVVPLWVASDKLEYSAKTIRTKINKRLSEYLIDFPEIEDNLPTRMWVLPENHSIDWDDLIADVLRRGAEVPEVDWCEPGEIAARDVLMGSENGFLTKRLKGYSVDRNNPCNPNALSGLSPYLHFGQISAQRCAFEARKLRNSHPQAIDTFLEELIVRRELADNYCFYEPHYDSIKGAWGWARNTLTEHATDKREHVYTREQLEKAQTADPLWNASQLEMVHKGKMHGFMRMYWAKKILEWTRGPEEALEISLYLNDKYELDGRDPNGYVGCMWSICGVHDQGWKERPIFGKIRYMNYAGCKRKFDVDKYIAYVNKLVRDLKKRKAENLLSQREKVLRSCVPED >Vigun02g169700.2.v1.2 pep primary_assembly:ASM411807v1:2:31286579:31289967:-1 gene:Vigun02g169700.v1.2 transcript:Vigun02g169700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASPMTVQAGRIRTLKTSSSGEAGSGPVVYWMFRDQRVRDNWALIHAVAEANKANVPVAVVFNLFHSFLDAKSRHLGFMLRGLRQLGHRMQNTLQIPFFLFQGEAEETVPTFLRECGASLLVTDFSPLREIRRCKEEICKRVSDSVTVHEVDAHNVVPLWVASDKLEYSAKTIRTKINKRLSEYLIDFPEIEDNLPTRMWVLPENHSIDWDDLIADVLRRGAEVPEVDWCEPGEIAARDVLMGSENGFLTKRLKGYSVDRNNPCNPNALSGLSPYLHFGQISAQRCAFEARKLRNSHPQAIDTFLEELIVRRELADNYCFYEPHYDSIKGAWGWARNTLTEHATDKREHVYTREQLEKAQTADPLWNASQLEMVHKGKMHGFMRMYWAKKILEWTRGPEEALEISLYLNDKVTCIVLVSVCVIS >Vigun02g169700.4.v1.2 pep primary_assembly:ASM411807v1:2:31286579:31289967:-1 gene:Vigun02g169700.v1.2 transcript:Vigun02g169700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASPMTVQAGRIRTLKTSSSGEAGSGPVVYWMFRDQRVRDNWALIHAVAEANKANVPVAVVFNLFHSFLDAKSRHLGFMLRGLRQLGHRMQNTLQIPFFLFQGEAEETVPTFLRECGASLLVTDFSPLREIRRCKEEICKRVSDSVTVHEVDAHNVVPLWVASDKLEYSAKTIRTKINKRLSEYLIDFPEIEDNLPTRMWVLPENHSIDWDDLIADVLRRGAEVPEVDWCEPGEIAARDVLMGSENGFLTKRLKGYSVDRNNPCNPNALSGLSPYLHFGQISAQRCAFEARKLRNSHPQAIDTFLEELIVRRELADNYCFYEPHYDSIKGAWGWARNTLTEHATDKREHVYTREQLEKAQTADPLWNASQLEMVHKGKMHGFMRRESINFSFQEEPYFVSSIIKSHVQNVLGKEDT >Vigun02g169700.3.v1.2 pep primary_assembly:ASM411807v1:2:31286579:31289972:-1 gene:Vigun02g169700.v1.2 transcript:Vigun02g169700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASPMTVQAGRIRTLKTSSSGEAGSGPVVYWMFRDQRVRDNWALIHAVAEANKANVPVAVVFNLFHSFLDAKSRHLGFMLRGLRQLGHRMQNTLQIPFFLFQGEAEETVPTFLRECGASLLVTDFSPLREIRRCKEEICKRVSDSVTVHEVDAHNVVPLWVASDKLEYSAKTIRTKINKRLSEYLIDFPEIEDNLPTRMWVLPENHSIDWDDLIADVLRRGAEVPEVDWCEPGEIAARDVLMGSENGFLTKRLKGYSVDRNNPCNPNALSGLSPYLHFGQISAQRCAFEARKLRNSHPQAIDTFLEELIVRRELADNYCFYEPHYDSIKGAWGWARNTLTEHATDKREHVYTREQLEKAQTADPYCFLANISSGMLLSWRWFTRGKCTDLCECIGQRRYLSGHEDLKKPLRYLYI >Vigun10g118600.1.v1.2 pep primary_assembly:ASM411807v1:10:32491603:32499354:-1 gene:Vigun10g118600.v1.2 transcript:Vigun10g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQAEGTVQNVLDQDSLKWVFVGGKGGVGKTTCSSILSILLASVRSSVLIISTDPAHNLSDAFQQRFTKIPTLVNGFSNLYAMEVDPTVDHEDIGGADGMDSLFSELAGAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPTVLEKGLAKVMSLKNKFGGLFNQMTRMFGMGEDFGDDAILGRLEGMKDVIEQVNKQFKDPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDDEDVESKLLKARMKMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALKAFSRHFTSPYQSLASGGDHVERLQRKLASLQRQIHETEEELERIRRGI >Vigun01g056200.1.v1.2 pep primary_assembly:ASM411807v1:1:11038337:11040756:1 gene:Vigun01g056200.v1.2 transcript:Vigun01g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSNKALPIDTTFKLPANLPLWPQGGGDGGGFATGTITIGGLKLFQISTFNRVWTTLEGGPGDAGATFFEPAGIPEGFFILGHYSQPNNKPLFGSVLVAKDEPSSGSNEALKKPVDYTLVWSSKSKKIKQDKDGYVWLPTAPDGYKALGHVVTTTSEKPSLDKIRCVRTDLTEQCEINSWIWGPDKSNDGKGFGVHEVRPSNRGIEAPGVVVGTFFAHNGETPSSLPIACLKNPNMEFSSMPNLTQIKALLQAYSPFMYLHPKEKFQPASVKWYFSNGALLFKKGEESKPVAIDPTGSNLPQGGSNDGEYWLDLPADKANKERVKKGDFKSFQAYVNAKPMFGGTFTDLAMWVFYPFNGPGTAKVGLIDIPLGVIGEHIGDWEHVTLRVSNFNGELKKVYVSQHSSGEWVEAPQLEFQSGNKPVIYSSLNGHAIYAKEGVVMQGLDGAGLKNESAKSDKVVDLGSGFEIVAGEYLGSAIVEPPWLNYLRQWGPKISYDTAKELDKLEKVFPALDSLQRILPNELFGEEGPTGPKLKRNWSGDEV >Vigun01g163600.1.v1.2 pep primary_assembly:ASM411807v1:1:34531381:34535819:1 gene:Vigun01g163600.v1.2 transcript:Vigun01g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGSGASFQKDVPWRASSSTAKPLPKIHLSPLLRVSQTPVTDYAVSVMRHPDPIGSGLGDEAIVEAAGPDCLVPGQKMPLQLLGLQVWPIHVDLKFLEPVGRELKMLGKFMDDAVELMNKSFIER >Vigun04g202500.1.v1.2 pep primary_assembly:ASM411807v1:4:42545648:42550023:-1 gene:Vigun04g202500.v1.2 transcript:Vigun04g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGAAKRVCVFISRNRSYSSSSSENVNLIKQLRERTSAPIKDVKAALVDSNWDIDAAQKELRKRGKVLASKKSSRIASEGLLALAQTPAKVALIELNCETDFVARNDIFRHLALCLAKQALSLDSSSSAFHVGPQSLDDTTLNLEHPKISGETTVQNAITEVAAMMGENVRLRRGYVLPTSSNGFISTYLHTSPQPGLGRIAGILSLEVDDGKTQVEALQRVGSELAMHVVAAKPLFLTKELVPSDALENEREILKSQAESSGKSQMAVEKMVEGRLRKYFEDVVFMDQKFIMNDTMNVKAVLDNLSKEVGSSVRVVNFLRMEVGEGIAGQETDDASETVAQVA >Vigun10g189700.1.v1.2 pep primary_assembly:ASM411807v1:10:40468669:40470161:-1 gene:Vigun10g189700.v1.2 transcript:Vigun10g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVGENQVVNHTASSSSSSTTTLSAVLSAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKRAGPRSDSSWIMMVELEKRNSDVDHDHDHPHDHDHGHSNHDYDRDYDHDQDQGPSSNSEVPVVDHHAPEKIKPEEGNGIDEEQRMALQMIEELLNRN >Vigun07g080400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11312080:11316874:-1 gene:Vigun07g080400.v1.2 transcript:Vigun07g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLKAVTLTHVRYQKGDRVGHFLAWISLVPVFISFGGFVSHFLFRRELQGMFFALGLILSQFINEVIKTTVQQARPATCALLEMCDSHGWPSSHCQYMFFFATYLTLLSLKGLTFWHVRDNPFLHLLTWSLALLTMFSRVYLGYHTVAQVLAGTALGVFLGAVWFRVVNSVLYPYFPLIEESAFGRRLYVKDTSHISNVLKFEYDMARAERRNLASNSKAD >Vigun08g216900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37710611:37711198:-1 gene:Vigun08g216900.v1.2 transcript:Vigun08g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSVALLTLLFAIAECQSTISFNQTDLEAAMTDMRNRSYYGFVILLKILNSQQNSFRSNDLTFLMPNDDELSQFSISLEKLHDFILSHSIPTPLLLSHLLRFPNGSVVPSSIPNRMINITNSGRTGLFVNNARIVTPNVCQSSSIRCHGISAALTFANMVSFHRSPEANDQTPMNRDMPHSAASVKKMNIPFF >Vigun09g187600.3.v1.2 pep primary_assembly:ASM411807v1:9:36140368:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQVFPGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun09g187600.2.v1.2 pep primary_assembly:ASM411807v1:9:36140368:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQVFPGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun09g187600.5.v1.2 pep primary_assembly:ASM411807v1:9:36140368:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun09g187600.4.v1.2 pep primary_assembly:ASM411807v1:9:36140047:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun09g187600.6.v1.2 pep primary_assembly:ASM411807v1:9:36140368:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun09g187600.1.v1.2 pep primary_assembly:ASM411807v1:9:36140368:36154645:-1 gene:Vigun09g187600.v1.2 transcript:Vigun09g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQETQQGRPRQQRRSKDRPVVPARVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHVWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGALAIIAAVLVIGHSADIGWWFGDTPHHRPWAVGVFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYAAGSYSGWYRVFPFTMTPACNISCANLKSAFYLDVGFIAVTTYISIMAAHEVPLSSSRAQPVEAAAGESGVAGEAFLWELFGTVRYFSTPVWTILSATALTWIGWFPFLLFDTDWMGREIYGGEPNEGPNYDIGVRMGAMGLLLNSVVLGITSVLMERLCRNRGAGFVWGISNILMAVCFVAMLIVTYLANRIGYIGKELPPTGIVIAALTIFTVLGFPLAITYSVPYALISTHTQSLGLGQGLSMGVLNLAIVLPQMVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGFIGILAIPRSGGQKSTSQA >Vigun04g155600.2.v1.2 pep primary_assembly:ASM411807v1:4:37655867:37664609:-1 gene:Vigun04g155600.v1.2 transcript:Vigun04g155600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWKLGPNFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYDQNGKGSDDRHGVNLFYIAT >Vigun04g155600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37651752:37664608:-1 gene:Vigun04g155600.v1.2 transcript:Vigun04g155600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWKLGPNFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGEILQPFQI >Vigun04g155600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37651320:37664609:-1 gene:Vigun04g155600.v1.2 transcript:Vigun04g155600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWKLGPNFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGEILQPFQI >Vigun04g155600.4.v1.2 pep primary_assembly:ASM411807v1:4:37651752:37664608:-1 gene:Vigun04g155600.v1.2 transcript:Vigun04g155600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWKLGPNFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYDQNGKGSDDRHGVNLFYIAT >Vigun04g155600.3.v1.2 pep primary_assembly:ASM411807v1:4:37651320:37664609:-1 gene:Vigun04g155600.v1.2 transcript:Vigun04g155600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSFFMVLFVYSLFMSTFSVCRETICIGSERETLLKLKHHLTDPSNRLSSWNVSVNPNCCEWDGVVCNNFTSHVAELHLRTSFPDFDYPLYYEDYEEALEDHSRRALGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATITSLTHLNLSYAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGSLSNLLHLHLRGGYYEESLFLENINWLSSLSKIRYLTLSSIWSRRGGMLIPSSLGSMNSLVHLDLSYSEFIGNFPPQIGNLSKLVYLDLSGAANGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFQLLHTLQALPSLMHLYLSRCTLPHYNQPSFLNFSSLLTLDLSEVYYHSAISFVPKWVFGLKKLVSLFLYANNFEGPILDDFRNLTLLENLDLKENSFSSSIPHWFYSSFPHLKFLDLSYNNLQGNISDALGNVTSLVTLDMSNNQLEGPIPTSFGSLCNLRVIQFSYLKLNQHINEILDILAPCISHGLKTLEVRSSQISGNLTNQFEVYKNIATLNFYENKIGGELPISLGKRSSLRSLSLSYNQLRGNPFESLRPLSELSYLDIGYNNFEGVVTKDHLTNLTSLYEFFAPGNNLTLKVGPKWYPTFQLTYLDMNSWKLGPNFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIGVDLSANKLSGKFPYLSNGVGFLDLSSNSFSKSMDDFLCKGQEKPMKLEFLNLASNNLSGEIPDCWDIWPYLMDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGESFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDFAQNNLTGNIPTCFNSLKTMTQMNKSTNALIYCSAINYTFEYSDYPVISVLLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQFSGHIPQNIGNMESLLAIDFSRNKLSGTQLQTFDASNFIGNNLCGPPLPISCISNDKSYDQNGKGSDDRHGVNLFYIAT >Vigun05g072900.1.v1.2 pep primary_assembly:ASM411807v1:5:6417853:6424111:1 gene:Vigun05g072900.v1.2 transcript:Vigun05g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDRLSSTDPQGLANKATAEPDEVVSQKKELPLRLFFGNGPRVHKKLCMAWGLANRRCEQCGKVGQLVCFSSNFRKWALRRVTVEELNQTCNICNERGHLDMECDLSFTTQKPAEVPVPKRRLVHCGLCGKVGHNRRTCMQAGRD >Vigun03g035600.1.v1.2 pep primary_assembly:ASM411807v1:3:2730735:2738482:-1 gene:Vigun03g035600.v1.2 transcript:Vigun03g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGENTALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIEEGSREYAEFLHLPRKRFTDFVAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVKDIEDMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVDMLEGRAYRLKFPWIGVVNRSQQDINKNVDMIAARRREREYFNSTPEYKHLAHRMGSEHLAKMLSKHLETVIKSKIPGIQSLINKTIAELEAELTRLGKPVAADAGGKLYSIMEICRSFDQIFKDHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLITIRGPAEAAVDAVHALLKDLVHKAISETVDLKQYPGLRVEVGAAAVDSLERMRDESKRATLQLVDMECGYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTILSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDHFFTDLGKMETKRLSSLLNEDPAIMERRSALAKRLELYRSAQAEIDAVAWSK >Vigun08g152900.1.v1.2 pep primary_assembly:ASM411807v1:8:32530967:32533239:1 gene:Vigun08g152900.v1.2 transcript:Vigun08g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTIIFVLYLVINAVNPAVSSVQDALTEEMEIQRQLNPIKTIHTKYGDIVDCMDMNKQLVFHHPLLKNHKLQKKPSFRKPIEETGIKNLQDKHLFGLDKDQCPRGTVPIRRTINKDIIQEKILSNSSILVKEVPGLHIAEMAVSSKFGPYYGVRGINSIYNPKVSKDQMSLSHLWIQNDGNAKISLGWQVSPGLYGDDRTHLYASWTNDNYYKTGCYNYQCPGFVQIHRRIYLGAPFIHSSSYGGQIYDFIIAINQDPLTKNWWINVKNYDIGYFPAKLFSNMNLATKVGWGGRTLTPQGSLSPPMGSGHFPDLNYAHASFFREISFRNTSKRNSGPEHYQVEEYIDKPTCFGFRFYGDVRESLHHFLQFGGPGGNCGP >Vigun03g433000.2.v1.2 pep primary_assembly:ASM411807v1:3:63707197:63710137:-1 gene:Vigun03g433000.v1.2 transcript:Vigun03g433000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEAIEQFDKCMNGQIEVIARVWHLFQAYKKDKIPFKIDEGLYLGSIGTAVNKAALKEHNITHILTVAGRIPPAHPDDFVYKIINVVDKDDEDLKKYFNECFDFIDEAKRLGGGVLVHCFAGRSRSVTIVVAYLMRTRGMSFFEALQHVRSIRPEARPNQGFICQLEDFEKSLQGASKSR >Vigun03g433000.1.v1.2 pep primary_assembly:ASM411807v1:3:63707178:63710218:-1 gene:Vigun03g433000.v1.2 transcript:Vigun03g433000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEAIEQFDKCMNGQIEVIARVWHLFQAYKKDKIPFKIDEGLYLGSIGTAVNKAALKEHNITHILTVAGRIPPAHPDDFVYKIINVVDKDDEDLKKYFNECFDFIDEAKRLGGGVLVHCFAGRSRSVTIVVAYLMRTRGMSFFEALQHVRSIRPEARPNQGFICQLEDFEKSLQGASKSR >Vigun04g007700.2.v1.2 pep primary_assembly:ASM411807v1:4:547381:551738:1 gene:Vigun04g007700.v1.2 transcript:Vigun04g007700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDSLDFFLFRVSTDFSSPLAIFIQAQGCLICLLLALGWACASFVRNREINRIKKNMRNGNSFAFLCHDINELEHSNQIDLPRVTVIMPLKGFGEHNLHNWKTQLTSLYGGPLEFLLVVESVEDPAYNAVSKLLADLGGSVDARIIVAGLSSTCSQKIHNQLVGVEAMHRGSKYVLFLDDDVRLHPGSIGALVREMQKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGQTFFLWGGCMMMHSEDFRKDNCGVVSGLRDGGYSDDMTLAAIAGAHKKLISSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYVTRVNQIMNRALFAVHCYLSWSFVAPYCMAVIHVAAALRFNARGNSIEELSYSSIGLNMVWLLVTCTFVSLFSMWNLTRIEVLLCNILSPEAPPLSLASYNWCKIERIPKRQDVAPVFTDLGGKHLYGRKGMPTRGSFLTSLSKCLFQWRQSKRSE >Vigun04g007700.1.v1.2 pep primary_assembly:ASM411807v1:4:547381:551738:1 gene:Vigun04g007700.v1.2 transcript:Vigun04g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDSLDFFLFRVSTDFSSPLAIFIQAQGCLICLLLALGWACASFVRNREINRIKKNMRNGNSFAFLCHDINELEHSNQIDLPRVTVIMPLKGFGEHNLHNWKTQLTSLYGGPLEFLLVVESVEDPAYNAVSKLLADLGGSVDARIIVAGLSSTCSQKIHNQLVGVEAMHRGSKYVLFLDDDVRLHPGSIGALVREMQKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGQTFFLWGGCMMMHSEDFRKDNCGVVSGLRDGGYSDDMTLAAIAGAHKKLISSPPVAVFPHPLASDLNFGRYWNYLRKQTFVLESYVTRVNQIMNRALFAVHCYLSWSFVAPYCMAVIHVAAALRFNARGNSIEELSYSSIGLNMVWLLVTCTFVSLFSMWNLTRIEVLLCNILSPEAPPLSLASYNWCKVFIAMLVDNCLYPLSAIRSHFSQSINWSGIIYYLKDGKINKIERIPKRQDVAPVFTDLGGKHLYGRKGMPTRGSFLTSLSKCLFQWRQSKRSE >Vigun09g266200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43001843:43002733:-1 gene:Vigun09g266200.v1.2 transcript:Vigun09g266200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLNPKSNSHGRSNSLPSRPHPLILECNEHLDSLRASKATTFSSLFLSQNIRCLQDLIESVEKLTHLPLTQDVLLHEREEKWVDEVLDGSLRLLDVCSAAKDALLHTKECTREVHSMIRRKRGGEVELAAEAKKFLTSRKVVKKAISKALASLNASSKSSNISSTTDKDHQTLSLITLLQDTEVATLSTFQTVLQFISASTEAKSNTWRSISKLIQAKRVGCSEVADENEFAQVDAALQSFVFAKTSKCEETKNLQRQLEKMESCIQDFEEGLEFLFRRLIKIRVSLLNVLNH >Vigun07g251500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37092360:37096270:-1 gene:Vigun07g251500.v1.2 transcript:Vigun07g251500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSLEVWKLGVVNYIDALKLQEKLALDRKLHRRRDTLLSLQHPPTYTVGKRQTVHNLLIPQSELETIGAELHYTQRGGDITFHGPRQAILYPILSLREIGLGARSFVEKIESTMIELAAMYGVKACPGQSGETGVWVGERKIGAIGVRISGGITSHGMAFNIDPDLSYFRHIVPCGIADKEVTSLKRETDVVLPEGEIVQEQLVSCFARIFGYDNLIWKEDASVYFDKETE >Vigun07g251500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37092360:37096270:-1 gene:Vigun07g251500.v1.2 transcript:Vigun07g251500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSLEVWKLGVVNYIDALKLQEKLALDRKLHRRRDTLLSLQHPPTYTVGKRQTVHNLLIPQSELETIGAELHYTQRGGDITFHGPRQAILYPILSLREIGLGARSFVEKIESTMIELAAMYGVKACPGQSGETGVWVGERKIGAIGVRISGGITSHGMAFNIDPDLSYFRHIVPCGIADKEVTSLKRETDVVLPEGEIVQEQLVSCFARIFGYDNLIWKEDASVYFDKETE >Vigun03g288700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47092433:47093234:1 gene:Vigun03g288700.v1.2 transcript:Vigun03g288700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSSFSSSNSSYLTQNPDESKGLMKLVYPLQSHNSWLHSVRKPSAKPRKKAPVAPMPPTPAKVYKVDAVNFRDVVQQLTGAPEQKPQPREQQLLQSNVARAGTSLGVPNVPQKQNEGSADTCTKWYQDLDFGMNLLSPTSYSTFFFLPLLSPRDVTSLEAGKVL >Vigun10g187900.1.v1.2 pep primary_assembly:ASM411807v1:10:40375724:40380220:1 gene:Vigun10g187900.v1.2 transcript:Vigun10g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFLSSQLKTLAAASSSSLTPRSASAAARFSALSRSYRYFSTQSEDATAIKKKVEDVMPIATGHEREELEAELEGRDILEIDHPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCAQYFVLEVVGPGGPPDGHGDDDHHH >Vigun10g187900.2.v1.2 pep primary_assembly:ASM411807v1:10:40375728:40380094:1 gene:Vigun10g187900.v1.2 transcript:Vigun10g187900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFLSSQLKTLAAASSSSLTPRSASAAARFSALSRSYRYFSTQSEDATAIKKKVEDVMPIATGHEREELEAELEGRDILEIDHPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCAQYFVLEVVGPGGPPDGHGDDDHHH >Vigun09g151700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31589679:31590235:1 gene:Vigun09g151700.v1.2 transcript:Vigun09g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTNMPKESVVEDCGRRRTSCGYCRSSNTIQYALKW >Vigun02g055800.1.v1.2 pep primary_assembly:ASM411807v1:2:19950732:19954473:-1 gene:Vigun02g055800.v1.2 transcript:Vigun02g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHVVSYTDSAPKELQQQSKASLKGNDGKKLIPARKPQSVIVAKRPDSGGQEGSVISLLANHFLVQFDPSQNIYHYNVEITPHPSKDIARAIKHKLVNNNSAVLSGATPAYDGRKNLFSPVEFQNDKLEFYISLPIPSAKLASPYGEISDLREKHEKLKLFRINIKLVSKINGKELTNYLSKEGDDWIPLPQDYLHALDVVLRESPTEKCIPVGRSFYSSSMGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIAYLQKRLEFLRDLSQRKTAQLTGEERKEVEKSLKNIRVFVCHRETVQRYRVYGLTEEATENLWFADRDGKNLRLVNYFKDHYNYDIQFRKLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPGERKTIIEGVMRGNVGPTSGDQEKEFKLHVSREMTKLTGRILFPPKLKLGDGGHVRNLTPSRHDRQWNLLDGHVSEGTTIERWALISFGGTPEQKSNVPRFVNQLSQRCEQLGIFLNKNTVIAPQFESNQILNNVTLLESKLKRIQRTASNKLQLLICIMERKHKGYADLKRIAETSVGVVSQCCLYPNLSKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFHIDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIQDLGAMVGELLDDFYQEVEKLPSRIIFFRDGVSETQFYKVLEDELQSIRCACTRFPGYKPSITFVVVQKRHHTRLFPLETDHSSTQNHFLYENIPPGTVVDSVITHPNEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLQRSESLGLFRNTSTLSRAAPPKTAPLPKLSENIKKLMFYC >Vigun07g095000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15535919:15536349:1 gene:Vigun07g095000.v1.2 transcript:Vigun07g095000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGLIWVRIFPDKPVTVRPTEICMGSGKGFPEYWVAVVKPGKIHYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun10g030900.1.v1.2 pep primary_assembly:ASM411807v1:10:4056515:4059643:1 gene:Vigun10g030900.v1.2 transcript:Vigun10g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGESTSDRSLNFEDMTVVSAVGRGAKGVVFLARAGGGRSSECVALKVMSKALIAQKRTRKNEEEYGRVSFEEEVLRRFDHPLLPRLRGVLETEKVVAFAIDYCHGGTLHSLRKKQTEKMFSDDTIRFYAVELVLALEYLHELGIVYRDLKPENVMIQENGHIMLVDFDLSKKLNPKSPHSLSQNSTPSPNSEKRSRKQQRLTRIYSFCNSGISPWDSDSEPPLSTVDSVRRTESDSVEKSNSFVGTEEYVAPEILSGKGHGFSVDWWSYGILLYEMLYGTTPFKGSNRKETFYRILTKEPELTGEKTALRDLIAKLLEKDPERRISVEEIKGHDFFKGVKWDEVLQIARPPYIPANEVENKVGFSKNDVEVFVNEVFFPTNDDDGVENNKKNDDFLIF >Vigun03g314100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50711286:50712555:-1 gene:Vigun03g314100.v1.2 transcript:Vigun03g314100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGGEYERVLRYLDEDGDGKISACELRNRIGMLGGEVGLREAEMVVAAVDSDGDGFLCLEDLVKMMEGVEEEEKVKDLREAFDLYDRERCGFITPKALKRMLKKLGESKSMDQCRAMIARFDLNGDGMLSFEEFRVMMN >Vigun10g040800.1.v1.2 pep primary_assembly:ASM411807v1:10:5610036:5613935:-1 gene:Vigun10g040800.v1.2 transcript:Vigun10g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSSMNAVTLLLFFFFISALVCAKKTADVTELQIGIKHKPATCEVQAHKGDRVKVHYRGKLTDGTVFDSSFERKNPIEFELGAGQVIKGWDQGLLGMCLGEKRKLKIPSRLGYGDQGSQPSIPGGATLIFDTELVGVNGISFDEEDDDSKTGSEL >Vigun11g074900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:21862936:21864397:1 gene:Vigun11g074900.v1.2 transcript:Vigun11g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDNNTLCPSSTSRTVPSPSSSNPNLHLPSNPRRSPAPATVEDLRREPWQHRPHRFASNLANASRVRAIFESGFLSHCCQSSSPLIADDLRCLLRPPSRCLHLQRTSVAVFVAFHSPIPNDRELPLPLGVPIAPFSFLRRFKTNQSHRATYCIWEWALTSPDIAVAEHIASQNLNKITTICDSSSPNTLF >VigunL054300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000033.1:11797:13649:1 gene:VigunL054300.v1.2 transcript:VigunL054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRKLWVISILIIQQFAFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADIIAIRLGLPMPPPYLGVPKSQRHQVVTGLNYASGSCGILNSTRSGDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDLCVQGGKPCANRNEYYFFDFAHPTEATNKIHVNECFSGTQLCLPYNIQKLIHAH >Vigun11g055900.1.v1.2 pep primary_assembly:ASM411807v1:11:10593676:10596792:-1 gene:Vigun11g055900.v1.2 transcript:Vigun11g055900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIPDKLQSLYNDGYKLVIFTNESNIERWKNKRQVAVDSKVGRLNNFIERVKVPVQVFIACGVGLKSGADKKNEDAFRKPKPGMWHLMEQHFNSDIVIDMDQSFYVGDAAGRKSDHSDADIKFAEAIGLKFYLPEDYFA >Vigun10g096800.1.v1.2 pep primary_assembly:ASM411807v1:10:28426699:28430158:1 gene:Vigun10g096800.v1.2 transcript:Vigun10g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYINGRISRRFLSTSCSSARGWWDHVKPAPKDPIVSVNEAFLSDPFPHKINLGMGTYRGDDGKPFIPQCVRDAEKKIQRCKLEELNCSAVRTKFVEECVKLAYGDDSYVVKEGFFAGVPTLSGTASCRLFAEFQRHFYPESQMYLPDPTWSNHHNIWRQAEVPVKTFHYYHPDTKGLDFSALVSDVKNAPDCSFFLLHPCAHNPTGVDPTEEQWREISYQFKVKNHFPFFDMAYQGFSSGDLDKDAMALRIFLEDGHLIGCSQSFSKNMGLSEHKVGCLSVLCQSTKQVAALKSQLQLMSHAIYSSIPFHGISLVAKILSDPDVEALWRKEIKVMANRIEAMRTTLRQYLENLESPFNWKHITSQVGMFCFSGLTPDQVKKLEKLFHIYMTLDGRISMAGVTTSNVAYLANAIHQVTRIHEEALSSCYV >Vigun04g069800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8076010:8078889:-1 gene:Vigun04g069800.v1.2 transcript:Vigun04g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNPSSIMLTGNEETAAGASDQTHRQIFDHYASHSLIPDHFLHAPTHSAVTTAAAATVEFTNHHHHFNPQSHHHQVNNHHHHHPFFDPRAFHGTSSASYPPPPSMLSLDPLPHVNAANCGPGPGPGPGGLLLVPKSEDVGRPMDFVGSRIGLNLGGRTYFSSSEDDFVSRLYRRSRPAESGSAASSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRLWWWRLSLPSPSEN >Vigun09g136300.1.v1.2 pep primary_assembly:ASM411807v1:9:29708728:29714199:-1 gene:Vigun09g136300.v1.2 transcript:Vigun09g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFVAMKLVFSVAVVGVLSWILYVYGSLWLESQRVRKRIQMQGIKGPPPSFLHGNLSDMQRIQAQASLAKASISTSNGSDQYVAHDCTATLFPYFEHWRKQYGLLYTYSTGMKQHLYINQPDLVREMNQCITLVLGKPTYLTKKLEPMLGNGILRANGISWSQQRKLVAAEFFMDKVKGMVDLMIESAQPILLKWEQTIESQGGATAEVKVDKDLRGLSADVISRVCFGHSYSKGKEVFSKLRSMQKAMFKHRGFLFGLGSFREKLSFKSKKQNEIANLEKEIESLIWELVEKRKRECSEASSSEKDLMQLLLEAAMSDQSLGKDFSKRFIVDNCKNIYFAGHETTAVAASWCLMLLGLHLEWQTRIRAEVAEHCPNGIPDSDSLPLLKTLGMVIQEVLRLYPPAAFVSREAYEDIQIGNLDVPKGVCLWTLIPTLHRDPEIWGADANEFKPERFSEGVSKACKYPQAYVPFGLGTRLCLGKNFAMVELKVVLALIISRFSFTLSPNYRHSPAYRMIVEPEYGVDIIIQKT >Vigun09g099800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16182376:16182710:-1 gene:Vigun09g099800.v1.2 transcript:Vigun09g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVDEAKKKVQKWEKEIECNKGRMRKFKRSTSNMEEDGASSAILLLACIAFCTFQPSNNACPA >Vigun03g141900.1.v1.2 pep primary_assembly:ASM411807v1:3:14283142:14284751:1 gene:Vigun03g141900.v1.2 transcript:Vigun03g141900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSSCFTFATAVLLLFSFHPSSADSHEQFVQCLYKYPHITNSISDVVYTKSNSSYSSVLDATIQNLRFFNISSKPQVIVTPLDVSHIQATIICAQRHDLQIRARSGGHDYEGLSYVARVPFVILDLINLPRIEVDAENRTAWISQKSKTLVFPAGECPLVGVGGHFSGGGYGYMMRKYGLAADHVIHAKIVDVNGNLLDKETMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNIARTLEENATEIIQKWQRVAIKFNENITMKANMERVNSSKSGNPKVEARFESLYLGRVDELIHMMQKSFPELGLVREECTEMSWIESILYKAGFPSGQSTVVLLNRTQLNGLMLLKAKSDYVRDPIPDVGLERLWHFLYEDAAKYGYIQFTPYGGRMNEISESETPFAHRSGYIFHIQYGVYWEEKGDEAAQRYMNWIRRVYKYMKPYVSESPRAAYMNYRDLDIGVNNNGYTSFSQASIWGFKYFGNNFNRLARVKTRVDPRNFFRNEQSIPPLMSNGGK >Vigun07g078800.1.v1.2 pep primary_assembly:ASM411807v1:7:10844724:10848914:1 gene:Vigun07g078800.v1.2 transcript:Vigun07g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPRVDPAKVDAKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSIVCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVEQKKSDYNQNKATDIVCKYFLDAVEKKQYGWFWECPNGGKNCHYRHALPPGYVLKSQMKALLEEEADKLSIEEEIENQRAKVKTTTPMTPDLFYEWKKKKIEERDANLAAQQAERAKNDRMSGRELFLSNASLFVDDAEAYEKYQREPEYDETEKNGNGKPTQDGPSTSAGADDEALDDIDDDDDELDLDELNELEASLAKTSIQIKETGAEA >Vigun05g160000.2.v1.2 pep primary_assembly:ASM411807v1:5:25598085:25598775:1 gene:Vigun05g160000.v1.2 transcript:Vigun05g160000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYTVLWGVFWVTYLNKVYDWFEERLEIQAIANDITIQVATGFAMTFYYCPTVTEAFASVQYIMTEANFGGFKKHRELTWVTSVVLAVLTTSFGVTSYSLPWDQIGYWSVKIVTGVPEAIPVIGSSLVELLRESSSVGQSTLTRFYSLHTFVLPLLTAVFMLMHFSMIRKQGISGPL >Vigun05g216900.1.v1.2 pep primary_assembly:ASM411807v1:5:40864192:40865259:1 gene:Vigun05g216900.v1.2 transcript:Vigun05g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSTSLHAAAFFILLLLCLPAFISARHINRSRSHGGEKYRKDGIRMSKVRRERLQHWEGRVGRKDTLEIAGSRLPDCSHACGSCSPCRLVMVSFVCASLAEAESCPMAYKCMCHNKSYPVP >Vigun01g224900.1.v1.2 pep primary_assembly:ASM411807v1:1:39795242:39797397:1 gene:Vigun01g224900.v1.2 transcript:Vigun01g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTMPSSSSSSSSSSHDAITVLSKCTVVPHQNSTLGDLKLSISDLNMLLSHYIQKGCLFTTPSLPSHALIPHIINALSRTLSLFPPFAGRLKTDHHGYVYIACNDAGVDFIHATADHISVTDLLSPSDVHPTVMHLFPFHHKISYTAHSSPIMAFQVTELADGVFIGCAVCHAVTDGASFWNFFNTFAAISRGETSCLSRLPDFRRDSILTSKIVLRLPKEIKVTFNVDAPFRERIFSFSRESIQKLKAAVNNGGKICWLENVEKNVELMGKLSHDTQVKTTEISSFQSLCALMWRSVTKARDLEETKVTTFRMAVNVRNRMEPKLGDHYFGNAIQSIATCAAAGDVVSSELGWCAEQLNKSVKAFDSATVCRNVENWEREPKCFELGNHDGATLQMGSSPRFPMYDNDFGWGRPLAVRSGGANKFDGKMSAFPGRSGGGSVDLEVVLAPHTMDKLHSDPEFMCYVSG >Vigun01g235100.1.v1.2 pep primary_assembly:ASM411807v1:1:40658579:40664298:-1 gene:Vigun01g235100.v1.2 transcript:Vigun01g235100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMETCKSEDLHMQVDVEKNAEDVRSQWVLNAPEPPSPWRVVADSVRKTISHYKQKLSSLSDQPCTTLLMSLLQLVFPILAWGRNYTATKFRKDLLAGLTIASLCIPQSIGYATLAHLDPQYGLYTSVVPPLVYAVMGSSREIAIGPVAVVSLLLSSMMEKLVDPASDPVAYTKLILLATLLAGIFQTSFGLFRLGFLVDFLSHASIVGFVGGAAIVIGLQQLKGLFGITHFTTKTDIVSVIKAVWEAVHNPWNPRNFILGCSFLVFILTTRFLGKKKKKLFWLASISPLVSVILSTLFVFLTKADKNGVKIVKHVKGGLNPISIHELDFNSPYIGEVAKIALVVAVVALTESIAVGRSFASIKGYQLDGNKEMMSIGLTNIIGSFTSCYVATGSFSRTAVNYAAGCETLVSNIVMAITVLVSLQFLTKLLYYTPIAILSSVILSALPGLIDVTEAYKIWKVDKIDFLACAGAFFGVLFASVEIGLLVAVVISFSKIILISIRPGTETLGKLPGTNLFCDVYQYPMAVKIPGVMIIRVKSALLCFANANFVRERIIKWVSEEESEDDKGNSRSTIQLVILDTSNLVNIDTSGIASLEELHKSLSSHGKQLAIANPRWQVIHKLKVSNFVSKFGGRVFLTVEEAVGCKSHC >Vigun01g164800.2.v1.2 pep primary_assembly:ASM411807v1:1:34653938:34714095:1 gene:Vigun01g164800.v1.2 transcript:Vigun01g164800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSIPASEAVQVLLSLLADDSSSVREASMSSLKNIAALNPLLVLDCCAVVSRGGRRRFGNMAGVFQVMAFGVRSLDAKDVDSAFMAKLAKIATAELISSKELNSDWQRAATSLLVAIGSHLPDLMMEEIFLHLSGTNSAVQAMVQILAEFASTDPMQFIPRWKGVLSRILPILGNVREMHRPIFSNAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRAQLKTALPRLIPTILDLYKKDQDIAFLATCSLHNLLNASLLSESGPPMLDFEDLTIVLSTLLPVISTNNDGKDQSDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLREEPLTFGALCILKHLLPRLSEAWHSKISLLVEAVKSLLEEQNLAVRKALSELIVVMASHCYLVGSSGELFIEYLVRHCAITDHNRSDLENIPNKRIEMKIGAVTPSELRAVCEKGLLLVTITIPEMEHILWPFLLRMIIPRTYTGAVATVCRCISELWRHRSYSNDMLSECKTRADIPTAEELLARLVVLLHNPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLAESLDVIQDADWVMSLGNVFAKHYELYASDDQHTALLHRCLGILLQKVNERAYVRHKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQGIFQRILSLFSDSFRTEESDDIHAALALMYGYAAKYAPSTVIEARINALVGTNVLSRLLHVRHPKAKQAVITAIDLLGNAVINAAESGSPFPLKRRDQLLDYILTLMGRDDEDGFADYNDLLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVDVVNPLIDNLITLLCAILLSGGEDGRSRAELLMLILRQIDQFVCSPIEYQRKRGCLAVHEMLLKFRMICVSGYCALGCHGSCAHNKQIDRTLFRNFSKLPSAFVLPSREALCLGDRVIMYLPRCADPNSEVRKVSAQILDLLFSISLSLPRPAGSSISAEVIELSYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLTKEEMVATLHGCSVAICDKIKQSAEGAIQAVVEFVTKRGGELTEIDISRTTQSLISAVVHATDKHLRVETLGAISSLAENTSPKTVFDEVLATAGRDTITKDISRLRGGWPMQDAFYAFSQHMELSVLFLEHVISVLSQIPILKADVDRVEDSPVDSHTEDGKLQAAIFALTAFFRGGGKVGKRAVEQNYASVLSELTLQLGSCHGLTYSGQHEPLRNLLTAFQAFCECVGDLEMGKILARDGELSGNERWISLIGDIACCISIKRPKEVQNICLFLKNSLYRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPLIHILKYTTQVLGVILALLDDSDESVQLTAVSCLLMILDSSPDDAVEPILLSLSIRLRNLQASMNAKMRATSFAVFGALSKYGTGALSEAFVEQVHAAVPRLVLHLHDEDVSVRSACRNTLKQVCPLMEIERLHAVLNTHSFLSDHRSDYEDFLRDIAKQFTQHLPIRVDSYMASSVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILSVYHSQVFGMLVGKMSRSPDAVVRATCSSALGLLLKSSNLCSWVDSTSRNNDAESMKN >Vigun01g164800.3.v1.2 pep primary_assembly:ASM411807v1:1:34653938:34714095:1 gene:Vigun01g164800.v1.2 transcript:Vigun01g164800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSIPASEAVQVLLSLLADDSSSVREASMSSLKNIAALNPLLVLDCCAVVSRGGRRRFGNMAGVFQVMAFGVRSLDAKDVDSAFMAKLAKIATAELISSKELNSDWQRAATSLLVAIGSHLPDLMMEEIFLHLSGTNSAVQAMVQILAEFASTDPMQFIPRWKGVLSRILPILGNVREMHRPIFSNAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRAQLKTALPRLIPTILDLYKKDQDIAFLATCSLHNLLNASLLSESGPPMLDFEDLTIVLSTLLPVISTNNDGKDQSDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLREEPLTFGALCILKHLLPRLSEAWHSKISLLVEAVKSLLEEQNLAVRKALSELIVVMASHCYLVGSSGELFIEYLVRHCAITDHNRSDLENIPNKRIEMKIGAVTPSELRAVCEKGLLLVTITIPEMEHILWPFLLRMIIPRTYTGAVATVCRCISELWRHRSYSNDMLSECKTRADIPTAEELLARLVVLLHNPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLAESLDVIQDADWVMSLGNVFAKHYELYASDDQHTALLHRCLGILLQKVNERAYVRHKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQGIFQRILSLFSDSFRTEESDDIHAALALMYGYAAKYAPSTVIEARINALVGTNVLSRLLHVRHPKAKQAVITAIDLLGNAVINAAESGSPFPLKRRDQLLDYILTLMGRDDEDGFADYNDLLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVDVVNPLIDNLITLLCAILLSGGEDGRSRAELLMLILRQIDQFVCSPIEYQRKRGCLAVHEMLLKFRMICVSGYCALGCHGSCAHNKQIDRTLFRNFSKLPSAFVLPSREALCLGDRVIMYLPRCADPNSEVRKVSAQILDLLFSISLSLPRPAGSSISAEVIELSYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLTKEEMVATLHGCSVAICDKIKQSAEGAIQAVVEFVTKRGGELTEIDISRTTQSLISAVVHATDKHLRVETLGAISSLAENTSPKTVFDEVLATAGRDTITKDISRLRGGWPMQDAFYAFSQHMELSVLFLEHVISVLSQIPILKADVDRVEDSPVDSHTEDGKLQAAIFALTAFFRGGGKVGKRAVEQNYASVLSELTLQLGSCHGLTYSGQHEPLRNLLTAFQAFCECVGDLEMGKILARDGELSGNERWISLIGDIACCISIKRPKEVQNICLFLKNSLYRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPLIHILKYTTQVLGVILALLDDSDESVQLTAVSCLLMILDSSPDDAVEPILLSLSIRLRNLQASMNAKMRATSFAVFGALSKYGTGALSEAFVEQVHAAVPRLVLHLHDEDVSVRSACRNTLKQVCPLMEIERLHAVLNTHSFLSDHRDYEDFLRDIAKQFTQHLPIRVDSYMASSVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILSVYHSQVFGMLVGKMSRSPDAVVRATCSSALGLLLKSSNLCSWVDSTSRNNDAESMKN >Vigun01g164800.5.v1.2 pep primary_assembly:ASM411807v1:1:34656232:34714095:1 gene:Vigun01g164800.v1.2 transcript:Vigun01g164800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIPRWKGVLSRILPILGNVREMHRPIFSNAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRAQLKTALPRLIPTILDLYKKDQDIAFLATCSLHNLLNASLLSESGPPMLDFEDLTIVLSTLLPVISTNNDGKDQSDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLREEPLTFGALCILKHLLPRLSEAWHSKISLLVEAVKSLLEEQNLAVRKALSELIVVMASHCYLVGSSGELFIEYLVRHCAITDHNRSDLENIPNKRIEMKIGAVTPSELRAVCEKGLLLVTITIPEMEHILWPFLLRMIIPRTYTGAVATVCRCISELWRHRSYSNDMLSECKTRADIPTAEELLARLVVLLHNPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLAESLDVIQDADWVMSLGNVFAKHYELYASDDQHTALLHRCLGILLQKVNERAYVRHKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQGIFQRFMPDLILSLFSDSFRTEESDDIHAALALMYGYAAKYAPSTVIEARINALVGTNVLSRLLHVRHPKAKQAVITAIDLLGNAVINAAESGSPFPLKRRDQLLDYILTLMGRDDEDGFADYNDLLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVDVVNPLIDNLITLLCAILLSGGEDGRSRAELLMLILRQIDQFVCSPIEYQRKRGCLAVHEMLLKFRMICVSGYCALGCHGSCAHNKQIDRTLFRNFSKLPSAFVLPSREALCLGDRVIMYLPRCADPNSEVRKVSAQILDLLFSISLSLPRPAGSSISAEVIELSYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLTKEEMVATLHGCSVAICDKIKQSAEGAIQAVVEFVTKRGGELTEIDISRTTQSLISAVVHATDKHLRVETLGAISSLAENTSPKTVFDEVLATAGRDTITKDISRLRGGWPMQDAFYAFSQHMELSVLFLEHVISVLSQIPILKADVDRVEDSPVDSHTEDGKLQAAIFALTAFFRGGGKVGKRAVEQNYASVLSELTLQLGSCHGLTYSGQHEPLRNLLTAFQAFCECVGDLEMGKILARDGELSGNERWISLIGDIACCISIKRPKEVQNICLFLKNSLYRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPLIHILKYTTQVLGVILALLDDSDESVQLTAVSCLLMILDSSPDDAVEPILLSLSIRLRNLQASMNAKMRATSFAVFGALSKYGTGALSEAFVEQVHAAVPRLVLHLHDEDVSVRSACRNTLKQVCPLMEIERLHAVLNTHSFLSDHRSDYEDFLRDIAKQFTQHLPIRVDSYMASSVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILSVYHSQVFGMLVGKMSRSPDAVVRATCSSALGLLLKSSNLCSWVDSTSRNNDAESMKN >Vigun01g164800.4.v1.2 pep primary_assembly:ASM411807v1:1:34655869:34714095:1 gene:Vigun01g164800.v1.2 transcript:Vigun01g164800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIFLHLSGTNSAVQAMVQILAEFASTDPMQFIPRWKGVLSRILPILGNVREMHRPIFSNAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRAQLKTALPRLIPTILDLYKKDQDIAFLATCSLHNLLNASLLSESGPPMLDFEDLTIVLSTLLPVISTNNDGKDQSDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLREEPLTFGALCILKHLLPRLSEAWHSKISLLVEAVKSLLEEQNLAVRKALSELIVVMASHCYLVGSSGELFIEYLVRHCAITDHNRSDLENIPNKRIEMKIGAVTPSELRAVCEKGLLLVTITIPEMEHILWPFLLRMIIPRTYTGAVATVCRCISELWRHRSYSNDMLSECKTRADIPTAEELLARLVVLLHNPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLAESLDVIQDADWVMSLGNVFAKHYELYASDDQHTALLHRCLGILLQKVNERAYVRHKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQGIFQRILSLFSDSFRTEESDDIHAALALMYGYAAKYAPSTVIEARINALVGTNVLSRLLHVRHPKAKQAVITAIDLLGNAVINAAESGSPFPLKRRDQLLDYILTLMGRDDEDGFADYNDLLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVDVVNPLIDNLITLLCAILLSGGEDGRSRAELLMLILRQIDQFVCSPIEYQRKRGCLAVHEMLLKFRMICVSGYCALGCHGSCAHNKQIDRTLFRNFSKLPSAFVLPSREALCLGDRVIMYLPRCADPNSEVRKVSAQILDLLFSISLSLPRPAGSSISAEVIELSYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLTKEEMVATLHGCSVAICDKIKQSAEGAIQAVVEFVTKRGGELTEIDISRTTQSLISAVVHATDKHLRVETLGAISSLAENTSPKTVFDEVLATAGRDTITKDISRLRGGWPMQDAFYAFSQHMELSVLFLEHVISVLSQIPILKADVDRVEDSPVDSHTEDGKLQAAIFALTAFFRGGGKVGKRAVEQNYASVLSELTLQLGSCHGLTYSGQHEPLRNLLTAFQAFCECVGDLEMGKILARDGELSGNERWISLIGDIACCISIKRPKEVQNICLFLKNSLYRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPLIHILKYTTQVLGVILALLDDSDESVQLTAVSCLLMILDSSPDDAVEPILLSLSIRLRNLQASMNAKMRATSFAVFGALSKYGTGALSEAFVEQVHAAVPRLVLHLHDEDVSVRSACRNTLKQVCPLMEIERLHAVLNTHSFLSDHRSDYEDFLRDIAKQFTQHLPIRVDSYMASSVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILSVYHSQVFGMLVGKMSRSPDAVVRATCSSALGLLLKSSNLCSWVDSTSRNNDAESMKN >Vigun01g164800.1.v1.2 pep primary_assembly:ASM411807v1:1:34654029:34714095:1 gene:Vigun01g164800.v1.2 transcript:Vigun01g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSIPASEAVQVLLSLLADDSSSVREASMSSLKNIAALNPLLVLDCCAVVSRGGRRRFGNMAGVFQVMAFGVRSLDAKDVDSAFMAKLAKIATAELISSKELNSDWQRAATSLLVAIGSHLPDLMMEEIFLHLSGTNSAVQAMVQILAEFASTDPMQFIPRWKGVLSRILPILGNVREMHRPIFSNAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRAQLKTALPRLIPTILDLYKKDQDIAFLATCSLHNLLNASLLSESGPPMLDFEDLTIVLSTLLPVISTNNDGKDQSDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLREEPLTFGALCILKHLLPRLSEAWHSKISLLVEAVKSLLEEQNLAVRKALSELIVVMASHCYLVGSSGELFIEYLVRHCAITDHNRSDLENIPNKRIEMKIGAVTPSELRAVCEKGLLLVTITIPEMEHILWPFLLRMIIPRTYTGAVATVCRCISELWRHRSYSNDMLSECKTRADIPTAEELLARLVVLLHNPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLAESLDVIQDADWVMSLGNVFAKHYELYASDDQHTALLHRCLGILLQKVNERAYVRHKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQGIFQRFMPDLILSLFSDSFRTEESDDIHAALALMYGYAAKYAPSTVIEARINALVGTNVLSRLLHVRHPKAKQAVITAIDLLGNAVINAAESGSPFPLKRRDQLLDYILTLMGRDDEDGFADYNDLLRTQALAISACTTLVSVEPKLTVETRNHVMKATLGFFAIPNDPVDVVNPLIDNLITLLCAILLSGGEDGRSRAELLMLILRQIDQFVCSPIEYQRKRGCLAVHEMLLKFRMICVSGYCALGCHGSCAHNKQIDRTLFRNFSKLPSAFVLPSREALCLGDRVIMYLPRCADPNSEVRKVSAQILDLLFSISLSLPRPAGSSISAEVIELSYSALSSLEDVIAILRNDTSIDPSEVFNRIVSSLCILLTKEEMVATLHGCSVAICDKIKQSAEGAIQAVVEFVTKRGGELTEIDISRTTQSLISAVVHATDKHLRVETLGAISSLAENTSPKTVFDEVLATAGRDTITKDISRLRGGWPMQDAFYAFSQHMELSVLFLEHVISVLSQIPILKADVDRVEDSPVDSHTEDGKLQAAIFALTAFFRGGGKVGKRAVEQNYASVLSELTLQLGSCHGLTYSGQHEPLRNLLTAFQAFCECVGDLEMGKILARDGELSGNERWISLIGDIACCISIKRPKEVQNICLFLKNSLYRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVEVLCRHVSDESSTVRRLCLRGLVQIPLIHILKYTTQVLGVILALLDDSDESVQLTAVSCLLMILDSSPDDAVEPILLSLSIRLRNLQASMNAKMRATSFAVFGALSKYGTGALSEAFVEQVHAAVPRLVLHLHDEDVSVRSACRNTLKQVCPLMEIERLHAVLNTHSFLSDHRSDYEDFLRDIAKQFTQHLPIRVDSYMASSVQAFDAPWPIIQANAIYFCSSMLSLSDNQHILSVYHSQVFGMLVGKMSRSPDAVVRATCSSALGLLLKSSNLCSWVDSTSRNNDAESMKN >Vigun08g081433.1.v1.2 pep primary_assembly:ASM411807v1:8:17078972:17079553:-1 gene:Vigun08g081433.v1.2 transcript:Vigun08g081433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFGLYINSHCDYDAGDISMVYPNGWNFSSAPTVHWIDEKSATDRWPLSLKTNHLIRTFFGGKSFGDLPFLRSVRGVVFFRVGQPLGFLSSWPLFTLTQHLVMFYCADKVYPGQRFTRYAILGDDVSIADENVASLYRQTVNDLGLAIRKGVR >Vigun08g026700.1.v1.2 pep primary_assembly:ASM411807v1:8:2361745:2370513:-1 gene:Vigun08g026700.v1.2 transcript:Vigun08g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASERFLANSMDTPVASAVFNFVNSTPVASAVFNFVNSTLDWVTFALDAPSARAVVFGVHIGGHLFIEVFLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPEPLIPTLNKEVLYEPPVLESAAGPHTIINGKEVVNFASANYLGFIGHPKLLDSCSSALAKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLHLSRSTVVYFKHNDMDSLRETLENITVKYKRTKNLRRYIVVEAVYQNSGQIAPLDEIIKLKEKYLFRVLLDESNSLGVLGSSGRGLTEYYGVPVEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPNLITKLKSNISLLRKELSKVSGITIASNPESPIVYLRLEQSTGSVKDDLRLLETIAERVLREESVFVVVSKRSTLDKCRLPVGIRLFVSAGHSEFDLLKASESLKRVTESVLGGYN >Vigun08g026700.2.v1.2 pep primary_assembly:ASM411807v1:8:2361776:2370513:-1 gene:Vigun08g026700.v1.2 transcript:Vigun08g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASERFLANSMDTPVASAVFNFVNSTPVASAVFNFVNSTLDWVTFALDAPSARAVVFGVHIGGHLFIEVFLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPEPLIPTLNKEVLYEPPVLESAAGPHTIINGKEVVNFASANYLGFIGHPKLLDSCSSALAKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLHLSRSTVVYFKHNDMDSLRETLENITVKYKRTKNLRRYIVVEAVYQNSGQIAPLDEIIKLKEKYLFRVLLDESNSLGVLGSSGRGLTEYYGVPVEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEENPNLITKLKSNISLLRKELSKVSGITIASNPESPIVYLRLEQSTGSVKDDLRLLETIAERVLREESVFVVVSKRSTLDKCRLPVGIRLFVSAGHSEFDLLKASESLKRVTESVLGGYN >Vigun10g152900.1.v1.2 pep primary_assembly:ASM411807v1:10:37270539:37272082:-1 gene:Vigun10g152900.v1.2 transcript:Vigun10g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSFTYAMQLVNTSVLSMAMYTVTELGIFDIIAKEGVGAKLSAKDIAEKLPCKNSEAATMLDRILRLLACHSVIHCTVVADEHGSHPQLKRLYGMNPVAKYFTSIHGAGSLGPFMRLSHDKASLRSWYQLKDAILEGGIPFNRAHGKHLFEYSDMNSSFNNLFTATMNNRATLIMNKIVESYKGFEKMNKLVDVGGGHGVSLNIITSKYPHIKGVNFDLPHVIQHASPYPGVEHVEGDMFESVPKGDDIFMMCVLHDWSDEMCLKVLRNCYDAIGSDGKVIVVDGVHPFEPKTTCAAKNMSQFDVLMMTTNPGGKERSEEEFMALAKGAGFRGIRYTCSVCDLWVMEFFK >Vigun02g163900.1.v1.2 pep primary_assembly:ASM411807v1:2:30878531:30879622:1 gene:Vigun02g163900.v1.2 transcript:Vigun02g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKTNNRGAWTVEEDQRLAQCIEIHGAKRWKTVALKSGLNRCGKSCRLRWLNYLRPNIKRGNISVEEEDLIIRLHKLLGNRWALIARRLPGRTDNEIKNYWNTCLCKKVNHQKMVKPETSMAQPTHSTQNTEEKVAVEHKEATCDGSGDSEVNFDVNEFFNFSIEGLYGFDWVF >Vigun06g023350.1.v1.2 pep primary_assembly:ASM411807v1:6:11005629:11006356:1 gene:Vigun06g023350.v1.2 transcript:Vigun06g023350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKINLFKLYFSFAFAIVEFRDLHSAFGFLESELPGRVWIWSGFGFVVDGSLVVILLWILACVADFDCAVLGARWQYVLKTETTIMALISSIGCA >Vigun09g088700.1.v1.2 pep primary_assembly:ASM411807v1:9:12158606:12163455:-1 gene:Vigun09g088700.v1.2 transcript:Vigun09g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKFGSSFSCSAASLSSSPLQRTRSAQLSLSQGLFVNQLTGAQLQMYTKEKSCQLKFMHGMLNFKGRLQKQHNALFVVSEDRELETTTLVPENNDIVVEGVSPASNLYFHLSGSDGKSGLISFYNRPYRRDSKILLSNPERSQNSILWFLGPAVLVASFIFPSLYLRKVLSIVFEDSLLTDFLILFFTEAIFYCGVAVFLFLLDHLRRPMLLDTATNNSDTPPPQLGQRVSSVATLVLSLVIPMVTMGFVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSRSAIPLIFQVYRLHQLNRAAQLVTALSFTVRGAEMTSHNMAINSSLGTLLNVLQFLGVICIWSLSSFLMRFIPYASTTMQ >Vigun07g039500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3855923:3856789:-1 gene:Vigun07g039500.v1.2 transcript:Vigun07g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVQPNPSPSEGEKHRQLNRDIRDMVSAITHRATDFHKSGSTHHNLEKDEKHGLSMVTLAGNNNGATLRSELDDKSGTHSDGDEPEALSTFVNSNFQAINNSLMFGGSYQANDPGVHLDISDFSTHPHHKEERHGKKGKKTEKKTSKPNDSSSDSD >Vigun05g164300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26779491:26780138:1 gene:Vigun05g164300.v1.2 transcript:Vigun05g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLFQFSLGTMFGVFLCRRTQNCLQCAGLSSKNDVNKKVFAIQSSTETKNPE >Vigun02g014400.1.v1.2 pep primary_assembly:ASM411807v1:2:5339825:5345916:1 gene:Vigun02g014400.v1.2 transcript:Vigun02g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSIPPVLPSAREDAVKLHKAFKGLGCDTSKVINILAHRNEEQRSLIQQEYETTFSELLSKRLSKELHGHLKKAMSLWMHDPATRDAKIVRKALRPGVADNQALTETICSRTPSQIRRLKEVYQSIYHSPLETDIEIQLSGDHKKLLLAYVTTPRYEGPELDPVIVEEDAKLLYKAGEKRLGTDEDVFIKIFSEKSSTHLAAVNSAYITSHGHSLEKAIKKETSGAFRYDLLTILRCATDSAFYFAKILRKSMKGVGTQDTRLIRVVVTRTEVDMYHIKIEYYKKYGKPLTHAVRSDTSGHYKEFLLNLIGSDY >Vigun05g169000.1.v1.2 pep primary_assembly:ASM411807v1:5:28977939:28982478:1 gene:Vigun05g169000.v1.2 transcript:Vigun05g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNEKKGGGRGRACVVVLGDIGRSPRMQYHALSLANQASLEVDIVAYGGSEPHTALLANPSIHFHIMKQWFTASQRLPKILQPIMLLLKPIVQFLMLLWFLCVKIPSPDVFIVQNPPSVPTLVAVKWASWLRKSSFVIDWHNFGYTLLALFEKHYGKMADASLCVTKAMQHELAQNWGINATVLYDQPPDIFHAASLNEDLFQPLGVRDCVSNGTSLIASRCQNESLFTMRTPDEDFGILLEAAVMYDRRVAAILGEDDSVDEEVVWKEISDGKQCSYPRLLFIITGKGPEKEKYEAKIKRLKLKRVAFRTMWLSADDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVFVLLLLFKGFPDDCDPLKVLKYGALETGSSARWTTEWEEHAKPLITEASSFY >Vigun05g170600.2.v1.2 pep primary_assembly:ASM411807v1:5:30261972:30264141:-1 gene:Vigun05g170600.v1.2 transcript:Vigun05g170600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKTTTEQYSYIKNCMASTFASTIPIVDLSKPDAKTLIVNACEEFGFFKVINHGVPMEAISQLETEALKFFSLPLNEKEKAGHPNPFGYGSKRIGPNGDVGWVEYLLLNKNQEHDFTLNGKNHEKFRCLLNSYMCSVKKMACDILELMAEGLKIQQKNAFSKLLRDKESDSIFRVNHYPACPEVAVNGQNMIGFGEHTDPQIISLLRSNNTSGLQIYLRDGSWISVPPDHNSFFINVGDSLQGFSKWVQVQAINDLLWRSTFE >Vigun05g170600.1.v1.2 pep primary_assembly:ASM411807v1:5:30261972:30264141:-1 gene:Vigun05g170600.v1.2 transcript:Vigun05g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKTTTEQYSYIKNCMASTFASTIPIVDLSKPDAKTLIVNACEEFGFFKVINHGVPMEAISQLETEALKFFSLPLNEKEKAGHPNPFGYGSKRIGPNGDVGWVEYLLLNKNQEHDFTLNGKNHEKFRCLLNSYMCSVKKMACDILELMAEGLKIQQKNAFSKLLRDKESDSIFRVNHYPACPEVAVNGQNMIGFGEHTDPQIISLLRSNNTSGLQIYLRDGSWISVPPDHNSFFINVGDSLQVMTNGRFRSVRHRVLANGFKSRLSMIYFGGPPLSEKIAPLPSLMKGKESLYKEFTWFEYKNSTYGSRLADNRLGHFERIAAS >Vigun02g125700.1.v1.2 pep primary_assembly:ASM411807v1:2:27791612:27796263:-1 gene:Vigun02g125700.v1.2 transcript:Vigun02g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGAARKNLSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWVVEVTGAPGTLYANETYQLQVDFPENYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTTKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >Vigun08g159500.1.v1.2 pep primary_assembly:ASM411807v1:8:33226734:33230264:1 gene:Vigun08g159500.v1.2 transcript:Vigun08g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTMAVILFMFILSVVSQNLLYMMPPTVALSLSSESDKLALLALKHELTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVSHLENQTWGGTLGPSLGNLSFLTTLILYNINLYGEIPTQIGQLKRLQLLDLSLNNLNGQIPIHLTNCSKLEAIGLLENKLTGKVPSWFGSGSMTRLKTLFLGTNDLVGTIPPSLGNLSSLQYISVKGNHLVGSIPHVLGQLSNLKRLDLGLNSLSGVVPYSLYNLSSIQSFALDANQLSGTFPSKMQLVFPNLRAFLVGGNQFNGTFPSSVFNITGLERFDISSNGFSGSIPPTLGTLNKLQLFHIAYNSFGSGRARDLDFLSSFTNCTHLRILILDGNGFGGEVPRLIGNFSTNLNILSTGSNQISGTIPEGIWQLISLADITMENNYLVGTVPDSIVRLKNLVRLNLGENKLSGNIPTAIGNLTMLSELYLYRNRFVGHIPLSLKYCMRMQSIGVSTNNLNGDIPNQTFGNLEDLTKLDLSYHSFTGSIPSDFGNLKHLSGLYLRGNKLSGELPQELGACYGLTELALESNFFRGSIPSFLGSLGSLEFLDLSNNNFSSTIPVELQKLSYLNTLNLSFNHLCGEVPTGGVFNNVTAISLIGNKDLCGGIPQLKLPACSKLSSKKHKWSFKTKLILIIAIVVGVGVVTSTLFISIYLFRKRPITPKTPSTSCSRKNKYVKVSYGDLHKATNGFSSSNLVGSGGFGSVYSGSLLPFETPIAVKVLNLERGGASKSFAAECKALGRIMHRNLVNILTCCSSIDYNGKDFKAIVFEFMPNGTLESLLHDKVEPKSKNLSLNLDLVVNIALDVANALDYLHHDSEEAVVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGHSSRDEVSSSAIRGTFGYIPPEYGTGCRVSAKGDMYSYGILVLEMLTGRKPTDSMFGEGVSLHKFCEMAIPEGITEIVDSRLLVPTAAEGRKVMESKIREYLVALARIGVGCSAELPVERMDMKDVVLELHTIKQSLSLTH >Vigun05g079500.6.v1.2 pep primary_assembly:ASM411807v1:5:7460415:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.1.v1.2 pep primary_assembly:ASM411807v1:5:7459905:7465053:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSGKENSKSDGYAVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.2.v1.2 pep primary_assembly:ASM411807v1:5:7459905:7465053:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.4.v1.2 pep primary_assembly:ASM411807v1:5:7460154:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.8.v1.2 pep primary_assembly:ASM411807v1:5:7459908:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.3.v1.2 pep primary_assembly:ASM411807v1:5:7460154:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSGKENSKSDGYAVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.7.v1.2 pep primary_assembly:ASM411807v1:5:7459908:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSGKENSKSDGYAVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun05g079500.5.v1.2 pep primary_assembly:ASM411807v1:5:7460415:7465004:1 gene:Vigun05g079500.v1.2 transcript:Vigun05g079500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNRKNSQQETTCHLLLKELQIIWDEVGESDSQRDAMLLEIEQKCLDLYRSKVDEAKLYRAQIQQEITDYVGEIAGICAALGEQSPHFDPKSCGSLKKAREKVVSQLEEMRKLKTEKKKQFAEVLYQLKNISIELHGSKVVNDHLDENNLSLKRLQELKKQLLQLQNEKANRLKQVSDQLNTLNSLCSVLGLDVKDKICDIFPTMVNSSLRKDVSDNTIKNLTSEIQSLREIKIHRMQKLQSLAASLLEMWDLMDTPLEEQQKFLHVTSKIAALESEFTESKILSIDSVIYVEKEVERLQELKSTKMKELLLKKKLELEEICRKTHLTPQTVFPGQHSLKLLDYDQIQHLITKANEEALGRKEILEKVEKWMAACQEESWLEEYNRDDNRYNAGRGAHLALKRAEKARALLSKIPGLVEAIILKVKAWEKERGQEFLYDGSRLLSMLEDYSILRQEKENERQRQRDQKKLQGQLMTEHETLFGTKPSPSSKSGYKAPRCSTGIPSIRKFSVGGAMLQDQRHASLIHQSNKKGIITNHKGSILRNKNIYHSTQSSGKENSKSDGYAVKSGSSAEKSIEILSSLTRKPLSPLSPAALSSSNISNLQQDLSKLQNVATQQKSQILTATTSPSRAYIAGAEENMTPKNMTLPVPTTPLTSVPMLTSTTPDTVYSGATASSKTTQSFEYSFEEVRAGFILPKTHAQ >Vigun07g121700.1.v1.2 pep primary_assembly:ASM411807v1:7:22448277:22451392:1 gene:Vigun07g121700.v1.2 transcript:Vigun07g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSLSLTILLSLLSVFLLHANAYNHPIHHRHPRFATHNYRDALTKSILFFEGQRSGKLPPNQRMSWRRDSGLSDGAAMRVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGELQNARVAIRWATDYLLKATAHPNTIYVQVGDAKKDHSCWERPEDMDTPRSVFKIDANTPGSEVAAETAAALAAASLVFRRTDPTYSKVLARRAIRVFQFADKYRGSYSNALKPFVCPFYCSYSGYQDELLWGAAWLHKATKNPMYLNYIKVNGQILGAAEFDNTFGWDNKHAGARILLSKEFLVQRVQSLHDYKGHADNFVCSLIPGTSFSSTQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSAHTVVNCGGIAVTPKRLRSIAKKQVDYLLGDNPLKMSYMVGYGPRYPRRIHHRGSSLPSIGVHPGKIQCRAGFGVMNSQSPNPNILVGAIVGGPDQHDRFPDERSDYEQSEPATYINSPLVGALAYLAHSFGQL >VigunL050700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:9071:10099:-1 gene:VigunL050700.v1.2 transcript:VigunL050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDVHAHLFTPGMMKRHPFWGPFMKPQGLTVGHFSLGTKQPAKAKDDAEAEANLLARMTHSARLAVMEQRGVDKLVLSTPSHAFMYWAGAFGTEYARICNDELSAFCQVMPDKFDFWAHANLADPDAAVEEIDRAVRVLGAKGLCVGGTNFDGVQTYDERLFPVWAKLAELDVPIMVHGFNQSIYLGERHHEDRFETTSIVGDCVDETLFFWYLICGGALDAFPTLKTYITHAGGMAVFQLGRLSELNGAMAPDARNQRPLMDYMKNFYFDLDVHHPALRRAVVEVIGPDQLLYGTNFGGAYDHGDLTAGIDLSETDREKIRSGNAMRLLKLDMGQAVRAA >Vigun03g424200.1.v1.2 pep primary_assembly:ASM411807v1:3:62959068:62966397:1 gene:Vigun03g424200.v1.2 transcript:Vigun03g424200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSERKTIDLEQGWDFMQKGITKLKNILEGVPETQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYIVSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYKEVNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDHYENDFETAMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKLHVTTEGMALVKQAEDAASNKKAEKKDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLASFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNNPNADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMIRCVEVFKEFYQTKTKHRKLTWIYSLGTCNISGKFEPKTVELIVTTYQASALLLFNLSDRLSYSEIMTQLNLSDDDVIRLLHSLSCAKYKILIKEPNTKTISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVVECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANMFKYLA >Vigun07g257900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37514740:37516633:1 gene:Vigun07g257900.v1.2 transcript:Vigun07g257900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSSIKTTFANPSQSMDSKDKTQEELHVLLVAFSAQGHINPLLRLGKSLLSRGLHVTLATTELVYHRIFKSSTADAATVPTSITINGIQVIFFADGFGNGQNKRTVDSYMDLIGTFGPVNLSNVIETHFLNSSKKLACIINNPFVPWVADVAANYNIPCACLWIQPCALYAIYYRFYNNLNQFPTLENPSISVNLPGLPLLKPQDLPSFVLPSNPFGSMPKVLAEMLRHMKKLKWVLANSFYELEKDVIDSMAELCPITTVGPLVPPSLLDEDENEDVGIEMWKPQDSCMEWLDHQPPSSVIYVSFGSLIAFTAQQLESIAKALKNSNKHFLWVIKNKEGEEAVPLPEGFVEESKEQGMVVPWCPQTKVLSHPAIACFLTHCGWNSMLEAITTGTPMIAWPQWTDQPTNAKLISDVFHLGVRLTPGSDGFVATEEVERAIEQVFTAEEFKRNASELKRAAREAVAQGGSSDRNIQSFVDEIFGRKLDT >Vigun07g005400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:424078:424817:1 gene:Vigun07g005400.v1.2 transcript:Vigun07g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIPFFLLIVVSNIAIANAIFESNEANIVVIDSAQQRLISNTKNKRFHVLPKGPVPPSAPSHGCSTPPCPPSNVVNNIKSKGFHVLPKGPVPPSAPSHGCSAPPCPPSNVVNNIKSKGFHVLPKGPVPPSAPSHGCNAPPCPPSNAVNNIKSKGFHVLPKGPVPPSARSTF >Vigun09g221600.1.v1.2 pep primary_assembly:ASM411807v1:9:39497851:39500141:-1 gene:Vigun09g221600.v1.2 transcript:Vigun09g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSLPPPTRIDNMNLSESPTTRHNLSRQSSKSKSGGCCRGFSSVRTVSSTAPTLQHHHLQQLYQKLELKVAGLEKEVQRQTELRVMYRKRMEKTQDYLKYCLQIAQQNGILDLIIHSNGEVSQSSISSPRALNSTLTPSHNHPNLIPIVDQAKINGWFINPSEIQLGEKIGQGTTAEIHRGTWRGFDVAVKCMSDSFFSKNENGVIFFAQEVETLSKQRHRFVLDLMGACLDPPHHAWVVTEYLNTTLKEWLHGPGKRPKHRTTPLPPLKERVMRALEIAQGMQYLHEQKPKVIHRDLKPSNIFLDDALHVRVADFGHARFLDDDEMALTGETGTYVYMAPEVIRCEPYDEKCDVYSFGIILNELLTGNYPYIETQLGPAKIAMEVVEDELRPLLASKDDGEEMEELIDLICLCWNASPSTRPSFATISHTLKSYVESLLQISN >Vigun09g221600.2.v1.2 pep primary_assembly:ASM411807v1:9:39497851:39500141:-1 gene:Vigun09g221600.v1.2 transcript:Vigun09g221600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSLPPPTRIDNMNLSESPTTRHNLSRQSSKSKSGGCCRGFSSVRTVSSTAPTLQHHHLQQLYQKLELKVAGLEKEVQRQTELRVMYRKRMEKTQDYLKYCLQIAQQNGILDLIIHSNGEVSQSSISSPRALNSTLTPSHNHPNLIPIVDQAKINGWFINPSELGEKIGQGTTAEIHRGTWRGFDVAVKCMSDSFFSKNENGVIFFAQEVETLSKQRHRFVLDLMGACLDPPHHAWVVTEYLNTTLKEWLHGPGKRPKHRTTPLPPLKERVMRALEIAQGMQYLHEQKPKVIHRDLKPSNIFLDDALHVRVADFGHARFLDDDEMALTGETGTYVYMAPEVIRCEPYDEKCDVYSFGIILNELLTGNYPYIETQLGPAKIAMEVVEDELRPLLASKDDGEEMEELIDLICLCWNASPSTRPSFATISHTLKSYVESLLQISN >Vigun07g253100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37194325:37196759:-1 gene:Vigun07g253100.v1.2 transcript:Vigun07g253100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSKSLMSNHPILQKLQKCHNLNTLKQAHAQMVATGLSLETYYLSHLLNTSSKFSSTYAITIFNRIPSPTVFLYNTLISSFTRHKDQIHLAFSLYNQILTHNTLQPNSFTFPSLFKACGFGPWLQHGPSLHAHVFKFLQPPYDHFVNNSLLNFYAKYGKLCVSRYLFNQINEPDLATWNTMLAAYAQSATHVSYSTSFQDADMSLEALYLFRDMQLSQRKPNEVTLVALITACSNLGALSQGAWAHAYVLRNNLELNRFVGTALVDMYSKCGCLNLACQLFDQLSERDAFCFTAMIGGFAVHGHVGQALELYRKMKLEGLAPGDATIVVTMFACSHGGLVEEGLEIFESMKVVHGMEPKLEHYGCLIDLLGRAGRLKEAKDMLQAMPMKPNAILWRSLLGAAKLHGNIEIGEAALKHLIELEPETSGNYVLLSNMYASIGRWNDVKRVRMQMKDRGVDKLPGFSLVDINGAMHEFLTGDKAHPFSKEIYLKIGDINRRLQEYGHKPRTSEVLFDVEEEDKEDVLSYHSERLAIAFALIASPSSLPIRIIKNLRVCGDCHAITKLISMAYKRDIIVRDRNRFHHFKDGSCSCLDYW >Vigun03g256500.1.v1.2 pep primary_assembly:ASM411807v1:3:42269765:42271936:-1 gene:Vigun03g256500.v1.2 transcript:Vigun03g256500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETKEDNETEDNKQASADVLFHYSRFALACIGCKIRPFNLRLHLMKEISGMPSSLNRESPQNAASPDPMGESSSTGTARLDRGDSFRAL >Vigun02g047100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18604159:18605413:-1 gene:Vigun02g047100.v1.2 transcript:Vigun02g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHQHLTYSGRPGTPATTPTTINDHLHRCITTPPRRLLTPETSPSFPAAQRLVLAHASTPTRKIVTSSSRHHRPQPSRATPVSTTVVGDESLCDLHSPSPHAQLELLLREPQGHCHWCLYSVQSSDSPPASPPAMVSTSLFIYAL >Vigun02g189300.1.v1.2 pep primary_assembly:ASM411807v1:2:32718432:32724621:-1 gene:Vigun02g189300.v1.2 transcript:Vigun02g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTYAGLSFIATLAIIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVFMCILWQLTKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHVRIVSFMGFLLLLDSLFLYSSLKHLIETWQASVSLFFCFEYMILATTTVSIFVKYIFYVSDMLMEGQWEKKPVFTFYLELIRDLLHLSMYMCFFLVIFVNYGIPLHLIRELYETFRNFKVRVADYIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTTGAVGPQGSQADGQRQGTGAGGESAAQNEATDNLSRHQARLQAAAAAASIYEKSYVYPSANSFVCSPGYTLHPPVTESTNTDSSGGQTSREQTQSRFLTACGPTNESYPPMQHFQFLPSQPYAALVNYGKGFENDPNIPSSHLEAHRKLLQFQIQILQNQLEILQKTRSDSSVDEGTSSSDSRGKSVISSSESGHAYTEEIQDGKA >Vigun03g116500.1.v1.2 pep primary_assembly:ASM411807v1:3:10792627:10794447:1 gene:Vigun03g116500.v1.2 transcript:Vigun03g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHSHYQPDLDADSVTSSPRSDHFHDAPPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRSISFSALILKLSKLSGMTNITAKYQLPNEDLDALISVTTDEDVENMMDEYDRVAQNQNPRSARLRLFLFPEGEDSRTSSISSLLNGSAKRENWFLDALNGGVSGLERGRSEASSMVSEVPDYLFGLDNSDETHQRDPRPKDRPVLQDNVSVSDPGSPAPIVSSPYCSTSSAPCVPSLPNLPPVKTKLSNSVSDSDSKENQIEPESEPQPNLNQNLYPGNPVVHYPQESPYSAHTVNPVPVFYVPGPVRPGTVSVPMQQTPYPYVQQPYHAMVPSQVPIGYHHLIPGSGQVYGAGVRPVSPLQPYNPSAAVVHDGLKQHVYHAVPNSGPLPVHPMMPMTGADELQRGGAEYVMGRGPNSLNN >Vigun11g130100.1.v1.2 pep primary_assembly:ASM411807v1:11:33788927:33790558:1 gene:Vigun11g130100.v1.2 transcript:Vigun11g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNHYVIVKFKDGVAVEELILGLEKMVSGIDQVKSFEWGKDIESHEMLRQGFTHVFLMAFNGKEEFNAFQTHPNHVEFSGVFSPAVEKIVVLDFPSKLVKAPA >Vigun06g163700.1.v1.2 pep primary_assembly:ASM411807v1:6:28603460:28605000:1 gene:Vigun06g163700.v1.2 transcript:Vigun06g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKPGPCLIVMKSAIFPRGCRVKTMRFAGLKREIKIKGKIEEVIQGF >Vigun06g052900.1.v1.2 pep primary_assembly:ASM411807v1:6:17841023:17844588:-1 gene:Vigun06g052900.v1.2 transcript:Vigun06g052900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKRVPTVVSNYQKEEGGEAPDGGCGRNCLKSCCIQGARLPLYALKRVDKVGEKELPLLGCKEHCPVAFLDSLILGEWEERMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDENKFNFTKVGQEEVLFQLEASEDGEAQFSPNAPIDVENSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHESFLLALKMAVEAGNPYFRLGYNSLGAFATINHLHFQAYYLAMPFPIEKAPTKKITKLSDGVKVSKLLNYPVRGLVFEGGQTLESLANAVSEACICLQHNNVPYNVLISDCGRQIFLLPQCYAEKQALGEVSAELLETQVNPAVWEISGHMVLKRKKDYDEASEANAWRLLAEVSLSEERYEEVTALVFQAIASVDLSVKPQCAEEVDSVSSSTQPAMVAGSQQCLVLQ >Vigun05g115500.1.v1.2 pep primary_assembly:ASM411807v1:5:12235293:12239263:-1 gene:Vigun05g115500.v1.2 transcript:Vigun05g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLSSIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHVCSRKQDDIDKCVEEWKKKGFNVTGSVCDVKHSHQRQKLMETVSEIFHGNLNILVNNAGIDITKNIVDHTAEDVSTIMATNFESAFHLTQLAHPLLKQSGSGSIVFISSIAGAKALPALSAYSATKGAMNQFTKNVALEWAKDNIRANAVGPGPIWTPLFESVRENTGAKEWDAIVTSTICLGRVGETNEVSPLVAFLCLPAASYITGQIFYVDGGFTS >Vigun09g262700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42728095:42729776:1 gene:Vigun09g262700.v1.2 transcript:Vigun09g262700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFRYLGERDSSNDSAVVDSDFVVILAALLCALICVLGLVAVARCGCLRRLRRFSSAPTPQPPPAAANKGVKKKVLRSLPKLTATAESAVKFADCAICLSEFAAGDEIRVLPQCGHGFHVSCIDAWLRSHSSCPSCRQILVVSRCDKCGGIPAPSSSSSAPPPQSESEARFKVREDNGNRFLP >Vigun05g237900.2.v1.2 pep primary_assembly:ASM411807v1:5:43121510:43124414:1 gene:Vigun05g237900.v1.2 transcript:Vigun05g237900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAKNCVNLKVFVHVSTAYVCGERGGLIVEDPHEFGVSLNGVRGLDIEMEKKKVEEKLNELKEEGATEHDIELAMKDLGSKRATRYGWPNTYVFTKAMGEMIVGSTKGNMNVVIVRPTIITSTYREPFPGWIEGLRTIDSLIVAYGKGKLTCFLADLKAVFDVIPADMVVNAILVSMVAHANQPSDIIYHVGSSVANPVRYLNFRDYSVRYFREKPWINKEGKPVKVGKVTILSNIDSFYRYMYIRYQLPLKGLELVNAASCHYFQEMCVDFNRKIRTIMRLVELYKPYLFFNGIFDDLNTEKLLSMAREGGVETELFYFDPKIIDWEDYFMNVHFPGIIKYAFK >Vigun05g237900.1.v1.2 pep primary_assembly:ASM411807v1:5:43120779:43124413:1 gene:Vigun05g237900.v1.2 transcript:Vigun05g237900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIIHFFQDKTILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRVKDTESATQRLDNEIIGKDLFRLLKEKLGTRFSSFVSEKLTVVAGDISHEDLNLKNSILREEICNQTDVIVNLAATTNFDERYDVALGINTLGVKHVMSFAKNCVNLKVFVHVSTAYVCGERGGLIVEDPHEFGVSLNGVRGLDIEMEKKKVEEKLNELKEEGATEHDIELAMKDLGSKRATRYGWPNTYVFTKAMGEMIVGSTKGNMNVVIVRPTIITSTYREPFPGWIEGLRTIDSLIVAYGKGKLTCFLADLKAVFDVIPADMVVNAILVSMVAHANQPSDIIYHVGSSVANPVRYLNFRDYSVRYFREKPWINKEGKPVKVGKVTILSNIDSFYRYMYIRYQLPLKGLELVNAASCHYFQEMCVDFNRKIRTIMRLVELYKPYLFFNGIFDDLNTEKLLSMAREGGVETELFYFDPKIIDWEDYFMNVHFPGIIKYAFK >Vigun05g237900.3.v1.2 pep primary_assembly:ASM411807v1:5:43120455:43124413:1 gene:Vigun05g237900.v1.2 transcript:Vigun05g237900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFADIYTNVLSQIFVEKILRVQPNVKKLYLLLRVKDTESATQRLDNEIIGKDLFRLLKEKLGTRFSSFVSEKLTVVAGDISHEDLNLKNSILREEICNQTDVIVNLAATTNFDERYDVALGINTLGVKHVMSFAKNCVNLKVFVHVSTAYVCGERGGLIVEDPHEFGVSLNGVRGLDIEMEKKKVEEKLNELKEEGATEHDIELAMKDLGSKRATRYGWPNTYVFTKAMGEMIVGSTKGNMNVVIVRPTIITSTYREPFPGWIEGLRTIDSLIVAYGKGKLTCFLADLKAVFDVIPADMVVNAILVSMVAHANQPSDIIYHVGSSVANPVRYLNFRDYSVRYFREKPWINKEGKPVKVGKVTILSNIDSFYRYMYIRYQLPLKGLELVNAASCHYFQEMCVDFNRKIRTIMRLVELYKPYLFFNGIFDDLNTEKLLSMAREGGVETELFYFDPKIIDWEDYFMNVHFPGIIKYAFK >Vigun02g136800.1.v1.2 pep primary_assembly:ASM411807v1:2:28636683:28638521:-1 gene:Vigun02g136800.v1.2 transcript:Vigun02g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTNTYKAMEAETYFLVIIISLFFLWHWLAKYQKLKPNQSHKLPPGPKKLPFIGNLHQLSGAGSLPHRAFYNLAHKYGPLMHLQLGEISAVVASSPDLAKEILKTNDTAFVQRPQFVFGDILSYGGMNIVFAPYGDYWRQIKKISVLELLSAKRVKSFSFIREEETAKFIDSIRKSAGSPINLTAKIYSLISDFVFRAAFGRKHKDQEFVVPLMRRVIEEAAGFGLVDFFPSLKFIHFITGKRAKLEKLQKQVDEVLDNIVKEHEEKRREAKEDGVEVEDEDIVDVLLTIQQNDNPNLKMTTTQIKALILDIFVAGTDTSASVLEWIMAEIVKNPRVMEKAQSEIREAFREKERIDESDVEELNYLKLVMKETLRLHPPVPLLLPRECSELSEVGGYEIPEKTRVMINAWSLGRDPEYWSDGEKFVPERFEGNGVDFKGNNFEYVPFGAGRRMCPGMTFGVASIMLPLALLLFHFNWELPNQMKAEDMDMSEHFGVVISRENQLRLIPSLYHP >Vigun07g109700.3.v1.2 pep primary_assembly:ASM411807v1:7:20309009:20316074:-1 gene:Vigun07g109700.v1.2 transcript:Vigun07g109700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRESRRPSMASSTRRRHRTIALRDSSEEGAVELREGVNKRGRNPDRDRDSVNRSKRRRGSHSQSTEESVGNEQDDDVVDVGVSKIRSPNNPTSFSSDQTHRRVFTPSKPPPFKITDEMIGVTVPRKARSASAKRSHESLVSASSGGGEEQNFRQRSDSSGGMSVEAASPSSSNVSLRKKMKEIEVVPKASTSSSSDIEIEIAELLYGLKTSKNHESSSEKPEAGVNHHNATVPCPSKDVEKKKMEDDNNYSTGVSNNSSEELVRIQIEQPADVDCHDRPSSEAPNVEDKMNSGAGFGDASVNGRSVFPSMKSPSNIKLDYDKQERASNRVISVAEGNSQRVGKFEIDLMAPPPMALSPERDELSSETKALALDAEMNGNSVKLETPVKKGRTPEEIEKAKMVTFKEKLDVLKHDLEKPNDDDKTNNKLEEKDRNQELLTVSSNPKVEKTVQSTSMPLSTAESGRSSSLSPIGYKPLSEPVVKTEKTTRSLAQQHVNFVLSQRQPKRCATHYYIACNILHQQFTKMNPPLPAATGSGSPCGTKPNKVNCVPSAESMAIGKQSQKHLTVVNQNSAQDKGWAATGNPSVTAAKSSNNANPMDSTHRVQLVFQHGPNPGPPGNLVHGPAFLYPPGQHQASVTATTNQAGAVNSPNSTSSYNISHSSVGGSLGTSSTLPVVAPAMSFSYPNFSANGSSPYMTIVHNNGYSFPFSSSLGAGATIRGASPPQATHVISGPFYSPQTFHPLQHPQSQALVQPSYLNASTSSSSSSHKQPQVNGSSILTSTTMEQQSQKRQTSLSHLRKHETETGGGNAHSVATRTSFSQKTVHGQNFTIPVQPVNYSFKPSAASDIVVGNSGSFGDKQQQQQQQALKGAVENIPSQAFAISFAAFNGTSVPSNLNFSSMAQNPVILHSVPDITWQGYQAASATQTTQQMNYSITETKSGGNSAHHEEEKKIANAKSSSNGPTTLVFDSSSKNLNFMLSTANGNWSSQPVASTSITSVPLSSNASSSQQPQHSLQVPKQHAGQQQQPTLANRYKASSTNSTPGPATKFANNAPVFSQTLTQCKSSSQASHAKASGRTADSHGHHSSIMTPNAPTFKSFSQEQGRILPGHMQISFGGNYITSLPPQGQQVHSSNQPMGTPPQGNIKSNSEGSKVGSSVNPSQFQQAENSAGSGQKSSPVCGRNVPSILSSCTSHLSELKY >Vigun07g109700.4.v1.2 pep primary_assembly:ASM411807v1:7:20309009:20315486:-1 gene:Vigun07g109700.v1.2 transcript:Vigun07g109700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRESRRPSMASSTRRRHRTIALRDSSEEGAVELREGVNKRGRNPDRDRDSVNRSKRRRGSHSQSTEESVGNEQDDDVVDVGVSKIRSPNNPTSFSSDQTHRRVFTPSKPPPFKITDEMIGVTVPRKARSASAKRSHESLVSASSGGGEEQNFRQRSDSSGGMSVEAASPSSSNVSLRKKMKEIEVVPKASTSSSSDIEIEIAELLYGLKTSKNHESSSEKPEAGVNHHNATVPCPSKDVEKKKMEDDNNYSTGVSNNSSEELVRIQIEQPADVDCHDRPSSEAPNVEDKMNSGAGFGDASVNGRSVFPSMKSPSNIKLDYDKQERASNRVISVAEGNSQRVGKFEIDLMAPPPMALSPERDELSSETKALALDAEMNGNSVKLETPVKKGRTPEEIEKAKMVTFKEKLDVLKHDLEKPNDDDKTNNKLEEKDRNQELLTVSSNPKVEKTVQSTSMPLSTAESGRSSSLSPIGYKPLSEPVVKTEKTTRSLAQQHVNFVLSQRQPKRCATHYYIACNILHQQFTKMNPPLPAATGSGSPCGTKPNKVNCVPSAESMAIGKQSQKHLTVVNQNSAQDKGWAATGNPSVTAAKSSNNANPMDSTHRVQLVFQHGPNPGPPGNLVHGPAFLYPPGQHQASVTATTNQAGAVNSPNSTSSYNISHSSVGGSLGTSSTLPVVAPAMSFSYPNFSANGSSPYMTIVHNNGYSFPFSSSLGAGATIRGASPPQATHVISGPFYSPQTFHPLQHPQSQALVQPSYLNASTSSSSSSHKQPQVNGSSILTSTTMEQQSQKRQTSLSHLRKHETETGGGNAHSVATRTSFSQKTVHGQNFTIPVQPVNYSFKPSAASDIVVGNSGSFGDKQQQQQQQALKGAVENIPSQAFAISFAAFNGTSVPSNLNFSSMAQNPVILHSVPDITWQGYQAASATQTTQQMNYSITETKSGGNSAHHEEEKKIANAKSSSNGPTTLVFDSSSKNLNFMLSTANGNWSSQPVASTSITSVPLSSNASSSQQPQHSLQVPKQHAGQQQQPTLANRYKASSTNSTPGPATKFANNAPVFSQTLTQCKSSSQASHAKASGRTADSHGHHSSIMTPNAPTFKSFSQEQGRILPGHMQISFGGNYITSLPPQGQQVHSSNQPMGTPPQGNIKSNSEGSKVGSSVNPSQFQQAENSAGSGQKSSPVCGRNVPSILSSCTSHLSELKY >Vigun08g177200.1.v1.2 pep primary_assembly:ASM411807v1:8:34735153:34738840:-1 gene:Vigun08g177200.v1.2 transcript:Vigun08g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVVSRSGREVVKGGVHLSDSATVADLQEAIHKQTKKHPSRQRLTLPVQPGSKERPVVLNYKKSLKEYTSGNSETLTVVFKDLGPQVSYRTLFFCEYLGPLLLYPVFYYFPVYKYFGYKGERVIHPVQTYALYYWCFHYAKRILETFFVHRFSHATSPLSNVFRNCAYYWSFGSYIAYYVNHPLYTPVSDLQIKIGFGFGILCQISNFYCHIILKNLRSPGGEGGYQIPKGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLLVATFIMTNWAFAKHRRLKKLFDGKDGRPRYPRRWIILPPFL >Vigun01g063501.1.v1.2 pep primary_assembly:ASM411807v1:1:15353663:15356091:1 gene:Vigun01g063501.v1.2 transcript:Vigun01g063501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKHWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGVIYDTWAPGGEIPSILFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMCSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Vigun01g144900.1.v1.2 pep primary_assembly:ASM411807v1:1:32688311:32691285:1 gene:Vigun01g144900.v1.2 transcript:Vigun01g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNGKRAQEEAGPSDGTKQEMTEKVSDALDDGRVEEEEVDEEEEGGTGVSKNGFFVPGPLVSLKEQIERDKEDESLRRWKEKLLGCLESDLDGQLDPEVKFHSIGILSEDFAEIVTPLPVDENRNGCTLFTLREGSRYQLKLKFSVLHNIVSGLTYSNIVWKGGLQVDQSKGMLGTFAPQKEPYVYALKEDTTPSGALARGVYSAKLKFEDDDRRCHMELKYLFEIKKSS >Vigun10g024800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2974284:2975649:1 gene:Vigun10g024800.v1.2 transcript:Vigun10g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLERCKPHWIIYDFAPYWLPPICSQLGIPCISFSTSCASILYSFTTFMRRKTRESPRGKYIEHCDRNESGVSDVFRTQITFDAAQLIASRSCMEMEGETLNLCKSAYSKPVMPVGLLPLSVKFSEDSNDENWDTILKWLDKQEKGSVVYVAFGSEVRLSDEDFTEITKGIEMSGFPFFWVLKRQNTSNVELQDLVVNNSGKGLVWRTWAPQMRILAHKSVGGFLTHCGWSSVIEGLQVGCPLVMLPFQYDQFIVARIMEEKRVGVKVQRSENDEKFTRESLANALKAVMLEKTYKSEAKEMSKIVGDKELHQKYIDDFVEYMEIHKPVLKD >Vigun10g046200.1.v1.2 pep primary_assembly:ASM411807v1:10:6801435:6807649:-1 gene:Vigun10g046200.v1.2 transcript:Vigun10g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSHVPKFGNWDSGENVPYTAYFDKARKGRTGARIINPNDPEENSDLGLDNPSSEQLPPSKPRVSSEDPSGKGSLPLEDDPKHFIDSPARHDNVSNRTSSRSHGVSSAENRRRHSTQSVGSEHSIERSPLHRQARPPGRDSPQWEPKNSYDNLQGTPGRSRLRQANRGDETPDKGAAVPKFGDWDVNNPASADGFTHIFNKVREERQGGPAQVPGTPNDRPQHNKGQFSDDKVQCCCFAWGKK >Vigun01g054000.2.v1.2 pep primary_assembly:ASM411807v1:1:10216930:10220383:1 gene:Vigun01g054000.v1.2 transcript:Vigun01g054000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAQTLVTLKEYHSALFDVNRLLELNPSSEVYQNLQARLKTQLSLAPIPESEEEFEEQEDEEPEVIIEEENEKEEIGEKYSSISNIMTEQKDELGKGIVITECAAHEAEPKFSMKQGGKQNHDSKKFIADAIAPKAPSRESNEEHSKGWQTIPKPKGHSALDYARWDSVEDDSSDDDGDSEEEESLPQYRFRVRTIGVRPVK >Vigun01g054000.1.v1.2 pep primary_assembly:ASM411807v1:1:10216930:10220383:1 gene:Vigun01g054000.v1.2 transcript:Vigun01g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRIERAHQMYREGRYEEALGFYTEAIAMAKTNPQKIALHSNRAACFLKLHDFKKAAEECTSVLELDHKHSGALMLRAQTLVTLKEYHSALFDVNRLLELNPSSEVYQNLQARLKTQLSLAPIPESEEEFEEQEDEEPEVIIEEENEKEEIGEKYSSISNIMTEQKDELGKGIVITECAAHEAEPKFSMKQGGKQNHDSKKFIADAIAPKAPSRESNEEHSKGWQTIPKPKGHSALDYARWDSVEDDSSDDDGDSEEEESLPQYRFRVRTIGVRPVK >Vigun10g014900.1.v1.2 pep primary_assembly:ASM411807v1:10:1657898:1660912:-1 gene:Vigun10g014900.v1.2 transcript:Vigun10g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEVPPEIQPQRTEKSYRQEFIEGMRRWFSRFDVDAELERKFELERKLKEFHDLFDGLIKDGLTKENFKVYKLQAHAAEINEKEFQPSHLEDRVAEMNEKLKGMDDLYQKLTLKKFKIKKRSFKVINIDGENEAIDGNPDITTSKKHEKQDNTHEAIDGNAETTIFKKHEKQDHTHEAIDGNPDIIGLKKHEKQDHTVWAGFLALEVGVASCLGNPFDKLPKLLESTGKVKQQSVINSGEALRVFAQPITWNAILFGSFIFSTIHAPLGFRVSANSIQMLLLVYCILAEAEALSDCAVMWGSTQIPALLFVCGWAIVPVLLIASIIAGLKYSRFSPK >Vigun10g199000.1.v1.2 pep primary_assembly:ASM411807v1:10:41141806:41144862:-1 gene:Vigun10g199000.v1.2 transcript:Vigun10g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTNSHSKPQPQEGNMALKTHSPSQPHSNKHGHGVFGDVYTITKDMHTDHHNPSFDFQIRLPPLPNFLGSREAREFFSGALAGAMTKAILAPLETIRTRMVVGVGSKNIAGSFVEVIQQQGWQGLWAGNMINMLRIVPTQAIELATFECVKRAMTSLQNKWEEDGHPKLQIGFIDFNLPLSWISPVAVAGAAAGIASTLVCHPLEVLKDRLTVSPEIYPTLGIAIRNIYKDGGVGAFYAGISPTLVGMLPYSTCYYFLYDTMKDSYCQTKNKKTLNRPEMLVIGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALSEVIREEGLKGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVQNGNPF >Vigun07g167800.1.v1.2 pep primary_assembly:ASM411807v1:7:28181956:28188302:1 gene:Vigun07g167800.v1.2 transcript:Vigun07g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRGTIRKLCFLSYCLQPGESPLILIPTEARSFSMSRIFSAWNKKKLHLSFLPSFFSSRHSTSTGLLRPRILRLYSSSNGEPPQDWHHDAAVPPQTPFSVNYVRAPAGPRQENTGWVGGSNLGRDFPTPKEICKGLDKFVIGQHRAKKVLSVAVYNHYKRIFNGSGEDAGISEGLDDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPIRANMRTGGVTHAAVTSSLLESVESADLISYGLIPEFIGRFPILVSLSALTEDQLMQVLTEPKNALAKQYKKLFDMNDVKLHFTEKALRLIAKKAMTKNTGARGLRALLEIILTEAMFEIPDVKAGNEMIDAVVVDEESVGSVNSIGCGGKILCGDGALDQYLATMESSVVNHDVAEPDLQEGESEISSRAMSM >Vigun11g103800.1.v1.2 pep primary_assembly:ASM411807v1:11:30162980:30164848:-1 gene:Vigun11g103800.v1.2 transcript:Vigun11g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRWLCHLLLRFLAFAATLSAVIMMATSHDRATIFTVSFEAKYTNSPAFKYFVIAYSVITVYGFFVLFLPAKSLLWQLVVALDLVFTMLLVSSLSAAIAIAQVGKKGNSYAGWLPICDSVPKYCDEATRALIAGFIAMIIYIILLLHSIHTIIDPLLLRKS >Vigun02g028464.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10601720:10602007:-1 gene:Vigun02g028464.v1.2 transcript:Vigun02g028464.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSVWAWMFLFGHLVWATGFMFLISWRRYWKELIETLAWAHKGTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun04g188500.1.v1.2 pep primary_assembly:ASM411807v1:4:41273439:41278395:-1 gene:Vigun04g188500.v1.2 transcript:Vigun04g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIPAGSGTLQFTVTNCKGNSCRKTALVSDAPIARFLRKDLSWSKAVSKVPQTHRCAFSAASLRKNHKLFKVISSCKVDGHTRCFDFSVIGSGIAGLRYALEVAKYGSVAVITKAESHECNTNYAQGGISAVLCPSDSVESHMKDTVVAGAYLCDEESVRVVCTEGPERVKELIAMGASFDHGEDGNLHLMREGGHSHHRIVHAADMTGKEIERALLEAVINNPNISVFEHHFAIDLLTCQDGSDIICLGVDTLNTKTLEVIRFLSKVTLLASGGAGHIYPKTTNPLVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIKPTKLREKAFLISEAVRGDGGILYNIGMERFMPMYDERAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPKEEILSHFPNISATCLQHGLDITRHPIPVVPAAHYMCGGVQAGLQGETNVKALYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVDCMKSSNLDLTASNLWPRPTVPLSNVTDKILSMTKESRAELQTIMWNYVGIVRSTMRLETAKQKIGNLEAKWEECLFQHGWKPTMAGLEICEMRNLFCCAKLVINSALSRHESRGLHYTVDFPHLEESKRLPTIIFPSSTVNSTWSSRQLHKQHQIVSQHI >Vigun04g188500.3.v1.2 pep primary_assembly:ASM411807v1:4:41273439:41277871:-1 gene:Vigun04g188500.v1.2 transcript:Vigun04g188500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTCIAMATCIPAGSGTLQFTVTNCKGNSCRKTALVSDAPIARFLRKDLSWSKAVSKVPQTHRCAFSAASLRKNHKLFKVISSCKVDGHTRCFDFSVIGSGIAGLRYALEVAKYGSVAVITKAESHECNTNYAQGGISAVLCPSDSVESHMKDTVVAGAYLCDEESVRVVCTEGPERVKELIAMGASFDHGEDGNLHLMREGGHSHHRIVHAADMTGKEIERALLEAVINNPNISVFEHHFAIDLLTCQDGSDIICLGVDTLNTKTLEVIRFLSKVTLLASGGAGHIYPKTTNPLVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIKPTKLREKAFLISEAVRGDGGILYNIGMERFMPMYDERAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPKEEILSHFPNISATCLQHGLDITRHPIPVVPAAHYMCGGVQAGLQGETNVKALYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVDCMKSSNLDLTASNLWPRPTVPLSNVTDKILSMTKESRAELQTIMWNYVGIVRSTMRLETAKQKIGNLEAKWEECLFQHGWKPTMAGLEICEMRNLFCCAKLVINSALSRHESRGLHYTVDFPHLEESKRLPTIIFPSSTVNSTWSSRQLHKQHQIVSQHI >Vigun04g188500.2.v1.2 pep primary_assembly:ASM411807v1:4:41273439:41278544:-1 gene:Vigun04g188500.v1.2 transcript:Vigun04g188500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIPAGSGTLQFTVTNCKGNSCRKTALVSDAPIARFLRKDLSWSKAVSKVPQTHRCAFSAASLRKNHKLFKVISSCKVDGHTRCFDFSVIGSGIAGLRYALEVAKYGSVAVITKAESHECNTNYAQGGISAVLCPSDSVESHMKDTVVAGAYLCDEESVRVVCTEGPERVKELIAMGASFDHGEDGNLHLMREGGHSHHRIVHAADMTGKEIERALLEAVINNPNISVFEHHFAIDLLTCQDGSDIICLGVDTLNTKTLEVIRFLSKVTLLASGGAGHIYPKTTNPLVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIKPTKLREKAFLISEAVRGDGGILYNIGMERFMPMYDERAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPKEEILSHFPNISATCLQHGLDITRHPIPVVPAAHYMCGGVQAGLQGETNVKALYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSVDCMKSSNLDLTASNLWPRPTVPLSNVTDKILSMTKESRAELQTIMWNYVGIVRSTMRLETAKQKIGNLEAKWEECLFQHGWKPTMAGLEICEMRNLFCCAKLVINSALSRHESRGLHYTVDFPHLEESKRLPTIIFPSSTVNSTWSSRQLHKQHQIVSQHI >Vigun09g271500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43355860:43356436:-1 gene:Vigun09g271500.v1.2 transcript:Vigun09g271500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIKRASKGAEIPPKGFLAVYVGDDKRRFVIPISYLNQPTFQDLLSQSEEEFGYSHPTGGLTIPCKEDVFLNVTSSFNGL >Vigun03g090800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7663047:7664201:1 gene:Vigun03g090800.v1.2 transcript:Vigun03g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLTRVKSFFSNQFLRFTSRNTLLILDRFHWNGETYDHDLFLLNVKEKKLKPLNVPKVTDSEMGFKMVGSCNGLLCAIHYSLDPNSTIILWNPTTGQTKRIIEPQTALLPYMVPPHCLVGFYFNKSDSDYQVLRVHSFEDTNEEVCLGDSLTKTCAVRVEKYSLREGLWSEIQYCDNQCVSVNGCLFWTENSVTVRETLFWMAMEISEKVSNEMIISFNACSYVLSKIGLPPLVAGDAEVHKKLTVYKDSVAVIICLETENVAQCLDLWVFYDKYEGVECWCRMHTIEMLLRLERPVGILKDEILMSTDKVIRSVSGTIAFLPEDDVGAEFSYNVFNYVENFLFDGNVVVEEDDSLENDGLSLLNSFISNIGKLSIEDCVCY >Vigun06g084100.1.v1.2 pep primary_assembly:ASM411807v1:6:21647808:21649768:1 gene:Vigun06g084100.v1.2 transcript:Vigun06g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRIHKRTAMYRNLQLLRSIRYSYSPPKASVLLDVSNCIQGLKQKLQELNELKVATARKIADYDPMPKLEVKMQEEGFVIKVLSQRSCQGLLVFILEAFEELGLDVLQARVSCADSFTLEALGNKEKNEDSRALDAQLVEEVVSKAIQNWREVSEI >Vigun06g009550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4505300:4505680:-1 gene:Vigun06g009550.v1.2 transcript:Vigun06g009550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKTGKKQTVDDSDSTSEPPETGIAMDSRLDMLEKEMTNLKYFMDAMRLQALENHKAMETLRVENADNQSRLLEILSKNVGGSWRTDSENSGNLIPKMDKTPDGGTKSSMHTMGSSGRGPKKL >Vigun01g174500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35609100:35610784:1 gene:Vigun01g174500.v1.2 transcript:Vigun01g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLVKKELKQEEQVTVTNGGGYLNHVVSLTSSTYGALMLDKEKPTDEDSKTAKTSPPRNREEPEVINAWELMEGLEEGVPIANHPKKSPKSTPFLRGFLATDAKTPLKFLNQFGSPKSLKKFTGKENKAQQGQANGGVRRLDYNVSPKGILKPSNFSSPLKGSPIRPRRNSFSSDTKRRSPSPLFDPELLASYEKELNEEEEQIKRMVWATPKTRRVRKSLDAQTFVNTFEEKCPPKGENCVVIYTTTLRGIRKTFEECNKVRAIVESYCVHVIERDVSMDSGFKEELRKLMATKQVKVPVVFVKGRLVGGAEEVVKLEEEGKLSVLFEGIPPKMMGDCEGCGGVRFVMCVECNGSCKVLDEDCKKNVRCGQCNENGLVQCPLCR >Vigun03g188100.1.v1.2 pep primary_assembly:ASM411807v1:3:25266811:25273614:-1 gene:Vigun03g188100.v1.2 transcript:Vigun03g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLLPLLGMLAFLGMQLPVIMADCPLNFTGSNFTLASSLCSNQGDRGKCCRYINTNVAIAVSRFANSTGNLGVSQNATDICLQTIFQTLQLYGVAQNATAFCGFGTKIPVNYECKGRTSVVQMLQSPRFTEVTKNCKAPLGEESKCKKCLNAGIGYLHHIGIEDNITLSTCRDATFAALASQVDEISTIDIAICFFGVQGLLRLPVLESTPSLPAPKASPSPPVSDGPSQPLLSAPLKGKHHSYRLTLVPGIAIAVTAVAVITLIVLIVLIRQKSRELDKSDNFGKPCSKTLPPCTTWKFQEGSSSMFRKFSYKEIKRATEDFSTVIGQGGFGTVYKADFSDGLVAAVKRMNRISEQGEDEFCREIELLARLHHRHLVALRGFCIQKRERFLLYEYMGNGSLKDHLHSPGKTPLSWRTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNTLLDENFVAKIADFGLAQASKDGSVCFEPVNTEIRGTPGYMDPEYVVTQELTEKSDIYSFGVLLLEIVTGRRAIQDNKNLVEWAQPYMESDTRLLELVDPNVRESFDLDQLQTVISIVAWCTHREGRARPSIKQVLRLLYETSEPMHSEFLQAVEDEECQGSQHRGRRSKGKMLRNEALFNSGEGRYLASSSSTSRSYCSRSFLLETGSPQSPPNMFSV >Vigun03g188100.2.v1.2 pep primary_assembly:ASM411807v1:3:25266854:25273614:-1 gene:Vigun03g188100.v1.2 transcript:Vigun03g188100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLLPLLGMLAFLGMQLPVIMADCPLNFTGSNFTLASSLCSNQGDRGKCCRYINTNVAIAVSRFANSTGNLGVSQNATDICLQTIFQTLQLYGVAQNATAFCGFGTKIPVNYECKGRTSVVQMLQSPRFTEVTKNCKAPLGEESKCKKCLNAGIGYLHHIGIEDNITLSTCRDATFAALASQVDEISTIDIAICFFGVQGLLRLPVLESTPSLPAPKASPSPPVSDGPSQPLLSAPLKGKHHSYRLTLVPGIAIAVTAVAVITLIVLIVLIRQKSRELDKSDNFGKPCSKTLPPCTTWKFQEGSSSMFRKFSYKEIKRATEDFSTVIGQGGFGTVYKADFSDGLVAAVKRMNRISEQGEDEFCREIELLARLHHRHLVALRGFCIQKRERFLLYEYMGNGSLKDHLHSPGKTPLSWRTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNTLLDENFVAKIADFGLAQASKDGSVCFEPVNTEIRGTPGYMDPEYVVTQELTEKSDIYSFGVLLLEIVTGRRAIQDNKNLVEWAQPYMESDTRLLELVDPNVRESFDLDQLQTVISIVAWCTHREGRARPSIKQVLRLLYETSEPMHSEFLQAVEDEECQGSQHRGRRSKGKMLRNEALFNSGEGRYLASSSSTSRSYCSRSFLLETGSPQSPPNMFSV >Vigun03g188100.3.v1.2 pep primary_assembly:ASM411807v1:3:25266854:25273465:-1 gene:Vigun03g188100.v1.2 transcript:Vigun03g188100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLLPLLGMLAFLGMQLPVIMADCPLNFTGSNFTLASSLCSNQGDRGKCCRYINTNVAIAVSRFANSTGNLGVSQNATDICLQTIFQTLQLYGVAQNATAFCGFGTKIPVNYECKGRTSVVQMLQSPRFTEVTKNCKAPLGEESKCKKCLNAGIGYLHHIGIEDNITLSTCRDATFAALASQVDEISTIDIAICFFGVQGLLRLPVLESTPSLPAPKASPSPPVSDGPSQPLLSAPLKGKHHSYRLTLVPGSSSMFRKFSYKEIKRATEDFSTVIGQGGFGTVYKADFSDGLVAAVKRMNRISEQGEDEFCREIELLARLHHRHLVALRGFCIQKRERFLLYEYMGNGSLKDHLHSPGKTPLSWRTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNTLLDENFVAKIADFGLAQASKDGSVCFEPVNTEIRGTPGYMDPEYVVTQELTEKSDIYSFGVLLLEIVTGRRAIQDNKNLVEWAQPYMESDTRLLELVDPNVRESFDLDQLQTVISIVAWCTHREGRARPSIKQVLRLLYETSEPMHSEFLQAVEDEECQGSQHRGRRSKGKMLRNEALFNSGEGRYLASSSSTSRSYCSRSFLLETGSPQSPPNMFSV >VigunL059817.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000662.1:77665:78024:1 gene:VigunL059817.v1.2 transcript:VigunL059817.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun01g180300.1.v1.2 pep primary_assembly:ASM411807v1:1:36114183:36117173:-1 gene:Vigun01g180300.v1.2 transcript:Vigun01g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIDKEEKIACVIGDRLTSDVIVRLRTPEGRDDWLYCHSENLVKNCKYFADRLSENWPTCQILDSRNCVDIYCQELDFDYHVNLIRLLYTVIDGSVDDLWHGVRNALGILQVAVELGCPQIITACVNYLEAVTWEEAEEEEILRIVPRMGLQAEPILARLQPVKQSAIINIFVSAIRFATSSPSPNMNDLKSSAQEQLEYMLTEDDDAPLLTADDNIKVEVKECVNRLFARFIDSVTGFFSGTTESLSEEGNFQLFQSYLTDLSWACQILSKLEIMREFVEYWSDASEKIVKVLNKEVLQLKSLR >Vigun05g104300.1.v1.2 pep primary_assembly:ASM411807v1:5:10408767:10412044:-1 gene:Vigun05g104300.v1.2 transcript:Vigun05g104300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFPTLQSLCLFLLLMVISLHAPFSSSLSLQHTEANALLKWKASLHNPSQKLLSSWNNGNTSCNWLGIASDHTGSVSNINLTNIGLSGTLQTLNFSSLPNILTLDISHNFFGGSIPPQVGVLSKLTHLDLSHNHLTGPIPSQITRLVSLQILYLESNVFDGSIPEEIGELKNLREITIRFANLTGTIPNSIGKLSFLSYLSFRNCSLTGSIPKSIGNLTSLLILDFSLNNLYGNIPQEIEMLTHLYQLDLSENLLSGTIPPTIGNLSNLLFVYLYGNYLSGSIPNEVGKLHSLLTIQLLGNNLSGPIPSSIGNLVNLDSIRLDRNKLSGTIPSTIGNLTKLTTLALFSNKLSGRIPIQMNMLNNLENLQLYDNNFIGQLPHNVCISGKLICFGVASNFFTGAVPKSLKNCFSLIRVRLQQNQLTGNITEDFGVYPNLDYIELSDNNFYGHLSTKWGKCHNLTSLKISNNNLSGSIPKELTLATNLHVLELSSNHLVGDIPEDLGNLTNLFKLSLNNNNLSGNVPIQIASLLDLDTLDLGSNSFSGLIPTQLGNLVKLLHLNLSRNKFTENISSEFGKLIHLQSLDLSMNTLSGKIPTLLGELKSLETLNLSHNYLSGDLSSLNEMISLISIDVSYNQLEGPLPNISAFNTATIEALRNNKGLCGNVSGLEPCPTSPHKYENHKSNRVILVFLPIGLGTSTLALFFFGVSYYLYRSSKTKEHQDEESPGQNLFSIWSFDGKMVYENIIEATEEFDNKHLIGVGGQGSVYKAELQTGQVLAVKKLHSVQDGEICNVKAFRSEIQALNEIRHRNIVKLYGYCSHSRFSFLVYEFLERGSIDKILKDDEEAIAFNWERRVDAIKGVANALSYMHHDCSPPIVHRDISSKNILLNLEYVAHVSDFGTAKLLNPSSTNWTSFVGTFGYAAPELAYTMEINEKCDVYSTGNSFWRAPWRVCNFVSVFMECDGVNK >Vigun08g142300.1.v1.2 pep primary_assembly:ASM411807v1:8:31483618:31488345:1 gene:Vigun08g142300.v1.2 transcript:Vigun08g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTATTTTTTTTYFPNLLPFFIFLTMMTLFVNSQDDVSVMLALKNSLNPPGWTGPDPCMWDHVRCSEDKRVTRIQIGRLNLQGTLPATLQNLTRLEQLELQYNNISGPIPSLNGLTNLRVFIASNNRFSAVPADFFSGMSQLQAVEIDNNPFEPWEIPLTLRNASELQNFSANSANVRGTLPDFFSSDVFPGLTVLHLAINNLEGSLPLSFSGSQIQSLWLNGQKSVNRLGGSVAVLQNMTFLTEVWLHSNAFTGPLPDLSGLKSLKVLGLRDNRFTGPVPPSLVGLKTLEVVNLTNNLFQGPMPVFGNGVEVDNDKDSNSFCLSGPGDCDSRVQVLLSVVGLMGYPQRFAESWKGNDPCADWIGISCGDANITVVNFQKMQLSGEISPDFSKIKSLQRIVLADNNLTGSIPVELTTLPRLSQLNVANNQLYGKVPSFRSNVVVSTNGNVDIGKDKSSQSPPGSVSPTAPNSKGENGGGSGNGGKKSSHVGVIVFSVIGALFVVSMIGFLVFCLFRMKQKKLSRVQSPNALVVHPRHSGSDNESVKITVAGSSVSVGGASETRTIPGSETGDIQMVEAGNMVISIQVLRNVTDNFSEKNILGQGGFGTVYRGELHDGTRIAVKRMECGAITGKGAAEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMHQGTLSRHLFNWPEEGLEPLEWNRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMSINKDSFRKAIDSTIDLNEETLASIHTVAELAGHCCAREPYQRPDMGHTVNVLSSLVELWKPSDQNSEDIYGIDLDMSLPQALKKWQAYEGRSQMESSASSSLLPSLDNTQTSIPTRPYGFADSFTSADGR >Vigun10g021500.1.v1.2 pep primary_assembly:ASM411807v1:10:2576807:2581738:-1 gene:Vigun10g021500.v1.2 transcript:Vigun10g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMVGESRKWVVLGASIWLQAFTGTNFDFSSYSPDLKSVLDITQLQLNYLSVASDMGKAFGWCSGLSLLHFPFWLVLFLAAFMGAFGYGFQWLLIHRFITLPYSLVFFLCLLAGCSICWFNTICYVLCIRHFPTNRSLALSLSVSFNGVSAALYTLIANAINSNDDTLYLLLNAIVPMLVSLLVLIPILHQPQPQPHSIDTIKHDSSIFLCLNILALVTGLYLIFLYSFSYTVSIARVILVGAIFLLLLLIFVPSVVYSMKSSSLARFALPTSFSFYYSVFTRADPDDNELYQELISIEDSARSKSALSTREKKSVWEREQLTMLGEEHSIKLLVHRWDFWLYYIAYFCGGTIGLAYSNNLGQISQSLGHYSHTSSLVTLYSTCSFFGRLLAAAPDFLNRRMHFARTGWFAAALVPTPIAFILLAISGSGAALHIGTALIGLSSGFVFSAAVSITSELFGPNSVGVNHNILITNIPLGSCLYGLLAALVYDSNAENSTHDIWLQEISMCMGRKCYLQTFIWWSCISMVGLLSSFLFFLRTKQAYDNFEKNDNKNNIAT >Vigun01g001200.1.v1.2 pep primary_assembly:ASM411807v1:1:230209:232455:-1 gene:Vigun01g001200.v1.2 transcript:Vigun01g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLSLCGRCMSQVQPRLPPGQQVPCFFIFGDSLVDNGNNNGILTLARANYRPYGIDFPGGATGRFTNGRTYVDALAQLLGFPRYIPPHSRARGLELLRGANYASGAAGIREETGSNLGAHTSMNEQVANFGNTVQQLRRFFRGDNDSLNSYLSKCLFFSGMGSNDYLNNYFMPDFYSTSSDFTVKAFGSALLQDYSRQLSQLYSLGARKVIVTAVGQIGCIPYQLARFHGNSTRCNEKINNAILLFNSGLKKWFKTSMEGSFQEQSLCSLIFIKAVQIYLQMEHHLDLM >Vigun01g174900.1.v1.2 pep primary_assembly:ASM411807v1:1:35641967:35644142:-1 gene:Vigun01g174900.v1.2 transcript:Vigun01g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNPAAFVNAELLHFYVGRRVRTLMQVVRSDGGVVIGKSTDEKQLVVKGLPPAPLTTFVEVFGIVNSDKSIAAEIWTNFGDSIDMISYNKLCQLANGELKYLFL >Vigun01g174900.2.v1.2 pep primary_assembly:ASM411807v1:1:35641967:35644142:-1 gene:Vigun01g174900.v1.2 transcript:Vigun01g174900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVRSDGGVVIGKSTDEKQLVVKGLPPAPLTTFVEVFGIVNSDKSIAAEIWTNFGDSIDMISYNKLCQLANGELKYLFL >VigunL000400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000103.1:63997:67734:1 gene:VigunL000400.v1.2 transcript:VigunL000400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQIRGCATTARTVMTDVSAVAMRWCERGRVAVFMVVDGDGAAGYALQVKVARRRKMVVVEAQGCCCANEDGGCSSLLQELAVVRESSRRRSAMEILVLEGEKSEMLSLVKKTFYSNKGIFLRELIINASNAHKNKYFKNDELIVRLIIHKANKTLSIIDNGIGMTKADLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPSYSCFLTTDINDQRLPRGTKITLFLKDDQVHKLNHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFYNNFISNQNSYFDDSSLLLCLGRNYDQYNDESSLYSLFGMMFQNSTILNWPNPRIKDHFPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTAPNPVSDVQYDFDVVIEYEGFGYDIARDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIEFLNCQGINNRFYIEGMCHWLCNNGDEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLLSLSFPIGTSNMGNILFETNDGELAWFDLRTNLIEKLGVNVQNRDCQIILYKKSLSNRRINT >Vigun10g106900.1.v1.2 pep primary_assembly:ASM411807v1:10:30567615:30568419:-1 gene:Vigun10g106900.v1.2 transcript:Vigun10g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLIFLTAFVTQLVYGGGEGSLTVQECPGACDYRCSKDHARKACLFYCNLCCEKCLCVPSETFGNKEECPCYNNRKTKEGKTKYP >Vigun08g030700.1.v1.2 pep primary_assembly:ASM411807v1:8:2818194:2821063:1 gene:Vigun08g030700.v1.2 transcript:Vigun08g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKRKEEEEEEALKTLEIENGLRLVPRVKLNLVIYPSTPLTLSHPIDEWKTRRALIEFLHTSLSLTLPEEDLHLTRNKNLKKRKRDDPVAAGTLRVWDLSSLPKETRFVEWRNRLVENLNAIELNLEGVKFKLAVTVPVSDDFDRMKKDWEEHSASRSRREPDTVVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVAEDNEFGKDENEGSGDLVSGLYCKIVVQFDKYKDCNDAMRVLCGRSMQKEGSRLKADYEVSWEKDDFFRNSRNEREEKKNSTVSTKPENVRARRFKE >Vigun06g207600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32106310:32107224:-1 gene:Vigun06g207600.v1.2 transcript:Vigun06g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSFRQYSEMNNQSQMNDVEAGKENVNLDKFFDEVENVKEDMRSVEQLYRKLQESNEESKIVHNAKTMKDLRAKMDQDVQQVLKRVKLIKGKLEALERSNAANRNLPGCGPGSSTDRTRTSVVSGLGKKLKDMMDDFQGLRARMQNEYKETVERRYFTITGEKADEDTIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDSVKEIEKNLMELHQVFLDMAALVESQGQQLNNIESNVAHASSFVRRGTEQLHEAREYQKSSREWTCYAILLGIVLLIVLLFPLLTSLLPHLLY >Vigun07g079850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:11108726:11109628:-1 gene:Vigun07g079850.v1.2 transcript:Vigun07g079850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGFLRNLQAFCTAHKVIFTIFMCLLVMPPSYFYSFFVTGHLSNVFLTNSENLSTFSDATFHYVSFIVQVEGFAVLAISMFFLLSPISRILLSEKKVDSEAEFSITKLILIITKPARSLLVTWFLVRIVKLSCILLGILVFHQRLALMISSSCEQQHEVSHILASNFGTLILVAGGILMFTYVGSFGNLAIDISVREEISGSKALKKASEVLEGKKKVIGFVMNLVVGVISVGLFLGYLYLKTCSKVSVEYKKYMMMMIWQNISLVEFFTWGLFSTLYSACKITQPQEAHSDGGRYPL >Vigun04g085400.1.v1.2 pep primary_assembly:ASM411807v1:4:14046893:14047551:-1 gene:Vigun04g085400.v1.2 transcript:Vigun04g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLKYLICIIFPSLVTRGSSYCNLNNINIATTKSGRTILGKPEWNVVVTNNCNCAQSQIKLLCQGFQTTEIVSPSILALQGDSCLLINGNPLKGFASVSFSYAWDVPYFLRPLTSHTTC >Vigun09g006400.1.v1.2 pep primary_assembly:ASM411807v1:9:473948:475780:-1 gene:Vigun09g006400.v1.2 transcript:Vigun09g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSTTNMFSFFTVMLLLQLLTINLHSADAQVGVCYGTLGDNLPPANEVVSLYQSNGITRMRIFDPNHDILNALRNSNIELILGVPNVDLQSLAASADNAIQWVQNNVLSYFPFVKIKYVAVGNEVNPAGGSSEFAPYVLRAIQNIYETIKAQGLQDQIKVTTAIDTTILGKSYPPSQGSFRDDVRSYIDPIIGYLVFANAPLLVNVYPYFSYSNNPNDISLPYALFTSPSVVVSDGQYGYQNLFDAILDSVHAAIDSIGIGSVEVVVSESGWPSDGGFAATYDNANTYLDNLVRRAKTSSPRRPSKPTEIYLFAMFDENQKNPDIEKHFGLFSPSKEKKYPFGF >Vigun09g116400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25460501:25461984:1 gene:Vigun09g116400.v1.2 transcript:Vigun09g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESHTNSSNGFITASPESPSLKSCNNSNSTNSSNSNNNKEQDRFLPIANVGRIMKKAIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGEDIIWAITTLGFEDYVEPLKSYLQKYKEIEGEKLNIPKQQRPEQKLHPQHQDQNNNLPLSSSVYSSPTLISQSSYVPTDQLFSLPFSPNSIQKQLQQQDQIDSVGQW >Vigun11g044800.1.v1.2 pep primary_assembly:ASM411807v1:11:6660420:6665633:-1 gene:Vigun11g044800.v1.2 transcript:Vigun11g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEAKLLCCADEAALCLECDEKVHAANKLATKHQRVPLSLSASHVPKCDICQEMVGYFFCLEDRALLCRNCDVSIHTANACVSGHQRFLLTGVRVGLEATERGASSSSVKSQSGEKTSDAKSSSISRNVTSMPQPSNFNEMLPSQMGGVEDFAPNKVSYGGGYTAGNISQWPMEEFLGLNEFSQYYNYMDGSSKADSGKLGDSDSSVLRSSEEEIDDDGFLGRVPDSSWTVPQIPSPPTASGLHCPELRRYTSDNAMFVPDICFSGERRQPRRVLHHSIHSKRRRNL >Vigun11g044800.2.v1.2 pep primary_assembly:ASM411807v1:11:6657346:6665477:-1 gene:Vigun11g044800.v1.2 transcript:Vigun11g044800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEAKLLCCADEAALCLECDEKVHAANKLATKHQRVPLSLSASHVPKCDICQEMVGYFFCLEDRALLCRNCDVSIHTANACVSGHQRFLLTGVRVGLEATERGASSSSVKSQSGEKTSDAKSSSISRNVTSMPQPSNFNEMLPSQMGGVEDFAPNKVSYGGGYTAGNISQWPMEEFLGLNEFSQYYNYMDGSSKADSGKLGDSDSSVLRSSEEEIDDDGFLGRVPDSSWTVPQIPSPPTASGLHCPELRRYTSDNAMFVPDICFSGERRQPRRVLHHSIHSKRRRNL >Vigun10g006000.1.v1.2 pep primary_assembly:ASM411807v1:10:607536:609157:-1 gene:Vigun10g006000.v1.2 transcript:Vigun10g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTHIAIVSVPVYSHLRSILEFSKRLVHLHHDIHVTCINPTFGSPCNNTKALFDSLPLTLTQMFLPPVNLEDLPHDTHPAIQVQFTISRSLPLIHDALKALHATSRLVAVISDGLITQVLPFGKELNVLSYTYFPSTAMLLSLCLYSSVLDKAISCEYRDLAEPIEIPGCIPIHGTDLPDPLQNRSGAAYKQFLEGNERFYLADGILVNNFLEMEEGTIRALQQEQEKGRIPCVYAVGPFVQEEPCREGSNDDTKYLRWLDKQQSNSVLYVSFGSGGTLSHEQIKELAWGLELSGQKFLWVLRPPNRFGIIADIGARNGDPFEFLPDGFVKRTEGHGLVVPYWASQVQILGHGAIGGFLCHCGWNSTLEGVVHGIPLIAWPLFAEQKMNAVLLSEDLKVALRVRVSENGIVEREEIRRIIRKLMVGEEGKGIRQRMKILKNAAFDAVKDEGSSTVTLTQLALKWKSLSF >Vigun07g244900.1.v1.2 pep primary_assembly:ASM411807v1:7:36581696:36585410:-1 gene:Vigun07g244900.v1.2 transcript:Vigun07g244900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGREHSIVEFGSTERKQGTEEKESLNVFGVMDPLPAALRWRATAIPFLVAVLLLSTVSTSRAIYCDEDDCYDLLGVSQSANASEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDPRAVLVGLLIILSGFQYLNQSTRYNQAVAMVKKTPAYKNKLRALELERSGGITNKKKSQKNTVKKVEQDLSNELDLQITGAERASVWKLLGVRFVLLPYTLGKLLLWSACWFWRYRVKKHSYSWEDASYLTQRSLGIPLDRWRHIDEATREDLVLKRLWEKSNMESYVADMRKESKRRR >Vigun03g357600.2.v1.2 pep primary_assembly:ASM411807v1:3:55967044:55968152:1 gene:Vigun03g357600.v1.2 transcript:Vigun03g357600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEILFLLLTLKLMSLPYITEGEEHVVGGNSGWIIPVNNPSLYSSFAASTTFRVNDTLVFNFRTGAHNVVTLSQKHYESCNVTDIMELFDMSPAKITLNRAGDFYFACAFPSHCSLGQKLSIHVTAGGSSSLTPSEAPLPPPPHSRAPTLLAVTVPVLVMAVATNLLFHCY >Vigun03g357600.1.v1.2 pep primary_assembly:ASM411807v1:3:55967040:55968236:1 gene:Vigun03g357600.v1.2 transcript:Vigun03g357600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEILFLLLTLKLMSLPYITEGEEHVVGGNSGWIIPVNNPSLYSSFAASTTFRVNDTLVFNFRTGAHNVVTLSQKHYESCNVTDIMELFDMSPAKITLNRAGDFYFACAFPSHCSLGQKLSIHVTAGGSSSLTPSEAPLPPPPHSRAPTLLAVTVPVLVMAVATNLLFHCY >Vigun05g204400.1.v1.2 pep primary_assembly:ASM411807v1:5:39291199:39291408:-1 gene:Vigun05g204400.v1.2 transcript:Vigun05g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTIEKMFAAESSLYSISNKHLSSAVKLCNLLKNKFQND >Vigun09g198400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37327289:37331080:-1 gene:Vigun09g198400.v1.2 transcript:Vigun09g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLPATTESIVLANEAASPTEAISILYRVLDDPSSSPDALRMKEQAITLLTKHLTEENRGEDLRSLLTQLRPFFSLIPKAKTAKIVRGIIDSVAKIPGTSDLQIALCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALDDPKAVFSLKYMLLCKIMVNQADDVGGIISSKAGLQYLGPDLDAMKAVADAHSKRSLRLFEIALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVERKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNIGKVVDSLYVRSAKIMA >Vigun09g089200.6.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260429:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIKGEIERVNGKELSYSEFVERYMEKNKPVVLTGLMDPWRAHTDWVTLHSQPNFQFFSTHFGASKVQVADCDTREFTDQKREEMLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVGLQ >Vigun09g089200.5.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260428:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWWNLLLRDYNEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFYDFFTFMSYFTLANLVLLRYINRRYVSTTGSSSTIAQHLSMNLGCLRKIVSEMKHVRALEGNHNCVVDIIKAFEDPRFSKLCMHVGRTYVMVHEQSHLASDFESTTMSDLVDFNDSKSYTSQIYTPEDLIKFIDNVVAEEEPSALRVA >Vigun09g089200.2.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260432:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIKGEIERVNGKELSYSEFVERYMEKNKPVVLTGLMDPWRAHTDWVTLHSQPNFQFFSTHFGASKVQVADCDTREFTDQKREEMLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVVI >Vigun09g089200.1.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260428:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIKGEIERVNGKELSYSEFVERYMEKNKPVVLTGLMDPWRAHTDWVTLHSQPNFQFFSTHFGASKVQVADCDTREFTDQKREEMLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWNLLLRDYNEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFYDFFTFMSYFTLANLVLLRYINRRYVSTTGSSSTIAQHLSMNLGCLRKIVSEMKHVRALEGNHNCVVDIIKAFEDPRFSKLCMHVGRTYVMVHEQSHLASDFESTTMSDLVDFNDSKSYTSQIYTPEDLIKFIDNVVAEEEPSALRVA >Vigun09g089200.3.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260428:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIKGEIERVNGKELSYSEFVERYMEKNKPVVLTGLMDPWRAHTDWVTLHSQPNFQFFSTHFGASKVQVADCDTREFTDQKREEMLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWWNLLLRDYNEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFYDFFTFMSYFTLANLVLLRYINRRYVSTTGSSSTIAQHLSMNLGCLRKIVSEMKHVRALEGNHNCVVDIIKAFEDPRFSKLCMHVGRTYVMVHEQSHLASDFESTTMSDLVDFNDSKSYTSQIYTPEDLIKFIDNVVAEEEPSALRVA >Vigun09g089200.4.v1.2 pep primary_assembly:ASM411807v1:9:12255425:12260428:-1 gene:Vigun09g089200.v1.2 transcript:Vigun09g089200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDFIARCLHLEEASAVQCNSETCASNGASVSVPYLKDWHFVKEYPEYVAYDTPRFFCDDWINLYLDNFRIHIDSDAQQPNEEICCSDYRFVYIGVKGSWTPLHADVFRSYSWSSNVCGKKRWLFLDPSQCHLIFDRNMKNCVYNIFDEVSNSKFPGFSKAIWLECTQDAGEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWNLLLRDYNEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFYDFFTFMSYFTLANLVLLRYINRRYVSTTGSSSTIAQHLSMNLGCLRKIVSEMKHVRALEGNHNCVVDIIKAFEDPRFSKLCMHVGRTYVMVHEQSHLASDFESTTMSDLVDFNDSKSYTSQIYTPEDLIKFIDNVVAEEEPSALRVA >Vigun10g140001.1.v1.2 pep primary_assembly:ASM411807v1:10:35671605:35671862:1 gene:Vigun10g140001.v1.2 transcript:Vigun10g140001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTEQNPATTEPQRQSLLSFLHTETEVGVLCTPNLKGYDGKPLLLVHGYLWFRNNSVSTTSRVCMTWEMSLL >Vigun05g014500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1180380:1181693:1 gene:Vigun05g014500.v1.2 transcript:Vigun05g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPENLLLLPHRSEKCTVGCPVLDRCLNGGVPCHSITEFVGESGSGKTQLCLQLALSAQLPSSHGGLSASSIFIHTEFPFPFRRLRQLSGAFRTSHPDLPDPCDRVFVRAVHSADELLHLIPTIETFLVYSRSRWRPVRIVVIDSIAALFRSDFENTGSDLRRRSSLFFGISGGLRRLAKRFGLAVVVTNQVVDFIGDGNVRVGNLSDGLYSSGRRVCPALGLAWAHCVNSRVFLSRDENGPVRRRRMSVVFAPHLPHSSSQFLIKGEGVFGVEDIQSEPDKTV >Vigun09g218000.2.v1.2 pep primary_assembly:ASM411807v1:9:39151447:39156939:-1 gene:Vigun09g218000.v1.2 transcript:Vigun09g218000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMENDCIEDMDIEALPSMWPEDIGTDVGKQFIEKPGRDQDMLEEVTIMEEPTIVDFKRLMELTNFTEKGSSQLAYLMKHWEYKQANVVRLLREELDNLSKQRQDVELRKLEILEEHRFEEERYGGDKRPVSILDDVYDGIWHDIPCRKSDAVVQNKKNEIDAEYDTVMYWKQRALQLEKQLEASIQREQILEEKLQESIANIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKDLRYCFIYNHFPSLQEEDIIGKTDVEIFTGAGVKESQEFKREVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDITDQVRKRERMAKIREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEVLSNTKLDWEQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAVSLQKVLTLEGHVTDDVPIEVVGDVLRMRQILTNLISNAVKFTHEGKIGINLYVVPEPSFAKAECMQKMTSEHSTISVNGLKEDKYLSTPQSGSNRKPLGDDCPSQNHAFNDECRSPVKSECSMNGDTEEQPYSAGTTVWIRCDVYDTGIGIPEDAIPTLFKRYMQVSADHSRKYGGTGLGLAICKQLVELMGGRLTVSSKEHYGSTFTFILPYKVSNACDNSDDQDELSDVENNDAASDDTIESFFQFQPRTLGSLFSSNGCSRTQMLLPNKIGYTSSHKLGGFSDSLYAILSNDIMSKETCSVDDSSSVVDGPEMSESASSSGHSSEAKNRSLVSRDKLQQDKAHTWSLNGYADSSEATVASGETAAATKSCESQQTCQGQGKTSTTIQSSNCTPGVTKSSSRPKILLVEDNKINIMVTQSMMKQLGHSIHVVNNGVEAVRAVQRCSFDLILMDVCMPVMNGLQATKLIRSFEETGNWDAARNAGIELSLSDSYYECSEPSRKRIPIVAMTANALSESAEECYANGMDSFVSKPVTFQKLKECIEQYLR >Vigun09g218000.3.v1.2 pep primary_assembly:ASM411807v1:9:39151447:39157303:-1 gene:Vigun09g218000.v1.2 transcript:Vigun09g218000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMENDCIEDMDIEALPSMWPEDIGTDVGKQFIEKPGRDQDMLEEVTIMEEPTIVDFKRLMELTNFTEKGSSQLAYLMKHWEYKQANVVRLLREELDNLSKQRQDVELRKLEILEEHRFEEERYGGDKRPVSILDDVYDGIWHDIPCRKSDAVVQNKKNEIDAEYDTVMYWKQRALQLEKQLEASIQREQILEEKLQESIANIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKDLRYCFIYNHFPSLQEEDIIGKTDVEIFTGAGVKESQEFKREVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDITDQVRKRERMAKIREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEVLSNTKLDWEQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAVSLQKVLTLEGHVTDDVPIEVVGDVLRMRQILTNLISNAVKFTHEGKIGINLYVVPEPSFAKAECMQKMTSEHSTISVNGLKEDKYLSTPQSGSNRKPLGDDCPSQNHAFNDECRSPVKSECSMNGDTEEQPYSAGTTVWIRCDVYDTGIGIPDAIPTLFKRYMQVSADHSRKYGGTGLGLAICKQLVELMGGRLTVSSKEHYGSTFTFILPYKVSNACDNSDDQDELSDVENNDAASDDTIESFFQFQPRTLGSLFSSNGCSRTQMLLPNKIGYTSSHKLGGFSDSLYAILSNDIMSKETCSVDDSSSVVDGPEMSESASSSGHSSEAKNRSLVSRDKLQQDKAHTWSLNGYADSSEATVASGETAAATKSCESQQTCQGQGKTSTTIQSSNCTPGVTKSSSRPKILLVEDNKINIMVTQSMMKQLGHSIHVVNNGVEAVRAVQRCSFDLILMDVCMPVMNGLQATKLIRSFEETGNWDAARNAGIELSLSDSYYECSEPSRKRIPIVAMTANALSESAEECYANGMDSFVSKPVTFQKLKECIEQYLR >Vigun09g218000.1.v1.2 pep primary_assembly:ASM411807v1:9:39151447:39157303:-1 gene:Vigun09g218000.v1.2 transcript:Vigun09g218000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMENDCIEDMDIEALPSMWPEDIGTDVGKQFIEKPGRDQDMLEEVTIMEEPTIVDFKRLMELTNFTEKGSSQLAYLMKHWEYKQANVVRLLREELDNLSKQRQDVELRKLEILEEHRFEEERYGGDKRPVSILDDVYDGIWHDIPCRKSDAVVQNKKNEIDAEYDTVMYWKQRALQLEKQLEASIQREQILEEKLQESIANIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKDLRYCFIYNHFPSLQEEDIIGKTDVEIFTGAGVKESQEFKREVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDITDQVRKRERMAKIREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEVLSNTKLDWEQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAVSLQKVLTLEGHVTDDVPIEVVGDVLRMRQILTNLISNAVKFTHEGKIGINLYVVPEPSFAKAECMQKMTSEHSTISVNGLKEDKYLSTPQSGSNRKPLGDDCPSQNHAFNDECRSPVKSECSMNGDTEEQPYSAGTTVWIRCDVYDTGIGIPEDAIPTLFKRYMQVSADHSRKYGGTGLGLAICKQLVELMGGRLTVSSKEHYGSTFTFILPYKVSNACDNSDDQDELSDVENNDAASDDTIESFFQFQPRTLGSLFSSNGCSRTQMLLPNKIGYTSSHKLGGFSDSLYAILSNDIMSKETCSVDDSSSVVDGPEMSESASSSGHSSEAKNRSLVSRDKLQQDKAHTWSLNGYADSSEATVASGETAAATKSCESQQTCQGQGKTSTTIQSSNCTPGVTKSSSRPKILLVEDNKINIMVTQSMMKQLGHSIHVVNNGVEAVRAVQRCSFDLILMDVCMPVMNGLQATKLIRSFEETGNWDAARNAGIELSLSDSYYECSEPSRKRIPIVAMTANALSESAEECYANGMDSFVSKPVTFQKLKECIEQYLR >Vigun03g113300.11.v1.2 pep primary_assembly:ASM411807v1:3:10396793:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.4.v1.2 pep primary_assembly:ASM411807v1:3:10396793:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSILKMKQLPFMVVVCTVMLFVVYRTLKYQYYEEEIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.8.v1.2 pep primary_assembly:ASM411807v1:3:10396019:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSLQYFHFFGNVTWMHIRFHIGAFDATLQAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.7.v1.2 pep primary_assembly:ASM411807v1:3:10396003:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYMTLHSGTSCSNLNFLLVFHLYYYQIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.6.v1.2 pep primary_assembly:ASM411807v1:3:10395999:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYMTLHSGTSCSNLNFLLVFHLYYYQIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.9.v1.2 pep primary_assembly:ASM411807v1:3:10395999:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSILKMKQLPFMIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.3.v1.2 pep primary_assembly:ASM411807v1:3:10395999:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.5.v1.2 pep primary_assembly:ASM411807v1:3:10395998:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSILKMKQLPFMVVVCTVMLFVVYRTLKYQYYEEEIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.10.v1.2 pep primary_assembly:ASM411807v1:3:10396793:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSILKMKQLPFMIDKRWSFQGEQEAYPVTSGNLKGLPRGIIHDTSDLELRPLWSRSSLRSKVSVYSDRHLLAIPVGMKQKHNVDAMVQKFLPENFTIILFHYDANMDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun03g113300.12.v1.2 pep primary_assembly:ASM411807v1:3:10396003:10402379:1 gene:Vigun03g113300.v1.2 transcript:Vigun03g113300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWWDLSWSSKAIHIIAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVKHFSPSRYIEIVKEEGLEISQPALDPDSGHIHHKITVRAKTKRVHRRVYELRGNTRCSEASTGPPCTGFVEGMAPVFSRSAWDCAWHLIQNDLVHGWGLDMKIGYCAQGDRTQNVGVVDEEYVVHKGIPSLGGSGDQTAKVLSRGRPTPRHRASAFDERIEIRRQSTWELEVFKGRWNKAITEDKTWVDPFTSDEKKRLRHRKKNNRFS >Vigun01g136000.1.v1.2 pep primary_assembly:ASM411807v1:1:31587734:31589208:-1 gene:Vigun01g136000.v1.2 transcript:Vigun01g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRMKMLLRLCFVVALWGATSAQPQSSCTNVFISLAPCLDYVTGNASTPSSSCCSQLSYVVTSQPLCLCEVVSGAASSIAASFNINQTRALSLPTSCNIQTPPLSTCTASASSSPAFSVSNFPNSPSGIGSDTVSSATGGSRSVGGSSHGNSSSSTKLSCSWFLMFALATALTFTFKSTTYTTSLNLF >Vigun09g157850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:32406083:32406957:-1 gene:Vigun09g157850.v1.2 transcript:Vigun09g157850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKDLVTREDMLPDRMTLTAVLLACNYGLLVDEGIEIFSLMEIKFGVKPGEEHYECVVAILSKAGKPKEAIAIIETMPYRSTSGHLRSILSACSVYGDLQIIEGVAKKIIDSETQTSLPYLVLAQTYQMRGRWETMVRMRKAEEKTNYNIMVARIFICC >Vigun11g214800.1.v1.2 pep primary_assembly:ASM411807v1:11:40921204:40925088:-1 gene:Vigun11g214800.v1.2 transcript:Vigun11g214800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQDANGYRGSLYCQLILFIVWLPTFQDVTAREVHADHRRISSLVELAKQPASGESGLFDPIEISPAVLPKFPYPSESLPPMYPTFPTRYEPVLTGKCPVNFSHPGISNILDKTASDCSGPLAALVGNVICCPQFSSLIHIFQAFYNMKSDDLVLPNAVANHCFSDIISILASRGANSTIPTLCSIKSSNFTGGSCPVKDDITLEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMDAALQISGRQMTINNNENMAGEVNHTDYLNDCKSVVYSYLSKKLSFEAANTAFRILSACKVNKVCPLSFKEPAEVISSCQNVAAPSPSCCSSLNAYIAGIQKQMLITNKQAIICATLFGSMLRGGGVMTNIYELCDVDLKDFSIQAYGQQGCLLRSLPGDVVFDNSSGFSFTCDLSDNIAAPWPSSSSITSLSLCAPEMSLPALPTSQTLKNNGCNSGGVGLLLLIFSFFIFSTLLC >Vigun03g373100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57560506:57562967:1 gene:Vigun03g373100.v1.2 transcript:Vigun03g373100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDSSVQNDMKLWPFKVVPGPGDKPMIVVTYKGEEKKFSAEEISSMVLIKMREVAEAFLGHSVKNAVITVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVTEFKRKHKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEEMNMDLFRKCMEPVEKCLRDAKIDKSNVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIEKMVKDAERYKAEDEEVKKKVEAKNSLENYAYNMRNTIKDEKIGGKLGADDKQKIEKAVEDAIQWLEGNQLAEVDEFEDKQKELEGICNPIIAKMYQGGAGGDVPMADDMPGGGSGSGSGAGPKIEEVD >Vigun10g109800.1.v1.2 pep primary_assembly:ASM411807v1:10:30920413:30927416:1 gene:Vigun10g109800.v1.2 transcript:Vigun10g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTRVHNLAQSTQIFGHSSNPNKPKSVNSVSLRPRFWGASKSRSLLHKNGSFVGNCNVGMRNSGVFKVSASVAATEKPSTAPEIVLEPIKEISGAITLPGSKSLSNRILLLAALSEGTTVVDNLLYSEDIHYMLGALRTLGLRVEDDKTTKQAIVEGCGGLFPTAGESKDEINLFLGNAGTAMRPLTAAVVAAGGNASYVLDGVPRMRERPIGDLVAGLKQLGADVDCVLGTNCPPVHVNGKGGLPGGKVKLSGSVSSQYLTALLMAAPLALGNVEIEIVDKLISVPYVDMTLKLMERFGVYVEHSGNWDRFLVHGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTMTVEGCGTSSLQGDVKFAEVLEKMGAQVTWTENSVTVTGPPRDSSGRKVLQGIDVNMNKMPDVAMTLAVVALFANGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTSIDTYDDHRMAMAFSLAACGDVPVTIKDPGCTRKTFPDYFEVLERFTKH >Vigun11g219400.1.v1.2 pep primary_assembly:ASM411807v1:11:41221735:41226999:-1 gene:Vigun11g219400.v1.2 transcript:Vigun11g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFPHFSSHFSSLSSFPNVRSLSPTRRYCSMKATGTAILWFKHDLRTDDHPALLAASTFPSLLPIYVFDHRILSRFSDETLELLLLALQDLRKSLKDRGSDLMIRFGNADSVIQQLATQVNAACVFAEQEVEYELRLVIDVLKQRLESVNVPQGSPRIELWQTPFYEVKDLKKLPASYDEFKKLRLPVTTPLQLSESTLPGVEIELDWGVLPSYDDIKGFMTSNQRKSGENWRLIKEASAETVLRRKALESGDRIERSSSSEQTLSRERSGSVFVTKEGNAVGGGTNNVLNALAAYLRYLEGTARDDWQEVHEKLRASESRNGASFIGLFGPALSLGIVSRRRVHYEAIKYEQERNAGFLSPFGYSAATIAAAVDAVCSMEWYWLMALRNQINNDGVYSTRIWKWKGFLIEYTVAGEDGPAVLLVHGFGAFWEHYRDNINGLAESGNRVWAITILGFGKSEKPNVVYTELLWAELLRDFIIDVVGEPVHIVGNSIGGYLAAIVAGIWSDLIKSLVLINSAGNVIPSYSFIPLSRVQDRQTSGASWLGSRILLYYLRLRTQELVKKCYPTRVERADDRLINEMLRASYDPGVLVVLESIFSFNLSIPVNFLLEDVKEKVLIIQGMKDPISDSNSKVAMLKEHCDGVIIKELDAGHCPHDEVPERVNTIICEWIIGVESKILVECPV >Vigun09g142300.1.v1.2 pep primary_assembly:ASM411807v1:9:30457033:30460317:1 gene:Vigun09g142300.v1.2 transcript:Vigun09g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRLILLLCFLFTHFHHILCDSNTDREALLSFKSQVTDPKNALSAWSTNSSHCMWYGVTCSKLGNRVQSLNLPGLSLSGKLPPHLSNLTFLHSLDLSNNNFHGQIPTHFAHLSLLNVIHLSSNNLSGTIPSQLGYLHRLQILDFSVNNLTGQIPPSFADLSSLKNLSLARNRLDGDIPTELGNLLNLSILQISENNFSGKFPTSIFNISSLVFLSVTQNKLTGELPQNFGLFLPNLKYLYLATNMFEGVIPNSISNASQLQEIDLSNNKFTGPIPLFYNLKNLTHLILGNNSLSSTTLLNLQFFDSLRNSTKLQELLIYENHLTGELPKSFANLSSNLQHLCVADNYFIGNIPQGMKKFENIISLSFENNLFTGELPSEIGALNNLQQLLIYSNRLSGDIPDIFGNLTNLFSLAMGNNQLSGRIHQSIGQCKILSFLDLSMNRLGGTVPNEIFQLSGLGQLYLAGNSLQGPLPPKVGTMKQLEVMDISGNQLSGNIPEEIGECSTLKSLTMARNKFNGSIPTKIGNLASLENLDLSLNSLSGPIPQSLEKLEYMQKLNLSFNHLEGEVPKKGLFTNLTKFDVRGNNQLCSLNKEIVQNLRVHLCAVAKKKRKILLPIVLAVVGAIALFISTLFAFWTMMSREKKREEGKTSVSSAPPKGLPHNISYGDILTATNNFAAENLIGKGGFGSVYKGVFSFSTGETATLAVKVLDLQQSRASKSFIAECEALKNVRHRNLVKVITSCSSLDYKGEEFKALVMQFMPNGNLDMKIHPEDEESGCFLTLMQRLNIAIDVASAMEYLHHGCDPPIVHCDLKPANVLLDENMVAHIADFGLARFLSQNTSEKQSTIGLKGSIGYIAPEYGQGGKASTEGDVYSFGTLLLEMFTAKKPTDEIFKEGLSVSKFLSGMDENEMLKVVDRRLIDGYEYWKQSSSSEDYSDELSSKRDWMHKAEECIADVIRVGLCCTADQPKERCSMRDASSKLQTIKHSMLSFQL >VigunL059937.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:10482:10604:-1 gene:VigunL059937.v1.2 transcript:VigunL059937.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGYGAELRANLDPTKGVGRLRQQDGGHGSRNPLRSV >Vigun03g270100.4.v1.2 pep primary_assembly:ASM411807v1:3:44335798:44340659:-1 gene:Vigun03g270100.v1.2 transcript:Vigun03g270100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTRMEQGQTKIKNVPIAVTPEGFWCCPSPVVFQKSLKAQNPLNKPKPSSPPPKTSVQKKPVSVPVYERRTGAAAPSRLVLSDDQQCSTAPERPPPPTSSMAAAERGPRPKIESLPKKVAIEFGEPGTCDMKVILLGKQGFCVKLSVHRNVLTEKSNFFSEKLSEQSGLSCLQIGDCEDVEIYVETVGLMYCKEMKQRLMKQSVSRILRILKVAEFLGFSSCIQSCLEFLEAVPWVGEEEEEKVVSTVLQLQAEGIGVNPVLKRVSSDISNVPKDTLSHIIDLVLKSNEERGRREMKSIVLKLLRENNSLPSYARTTDVCNDTIYRSCRRCLDSLLALFKQAAEPSENRDSLAKCIALEADNLTWLLEILVDKQAGDEFAVMWGKQQELAVLHAKLPTVLRYYVSCVSGRLYVGIGRGELLPSKETRRLLLQTWLQPLMNDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQQSILLSWLGSFLKTGDSCPNLQRAFEVWWRRTFIRPYVENLGNVAVSVSDSSMLPKEHE >Vigun03g270100.2.v1.2 pep primary_assembly:ASM411807v1:3:44335773:44340659:-1 gene:Vigun03g270100.v1.2 transcript:Vigun03g270100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTRMEQGQTKIKNVPIAVTPEGFWCCPSPVVFQKSLKAQNPLNKPKPSSPPPKTSVQKKPVSVPVYERRTGAAAPSRLVLSDDQQCSTAPERPPPPTSSMAAAERGPRPKIESLPKKVAIEFGEPGTCDMKVILLGKQGFCVKLSVHRNVLTEKSNFFSEKLSEQSGLSCLQIGDCEDVEIYVETVGLMYCKEMKQRLMKQSVSRILRILKVAEFLGFSSCIQSCLEFLEAVPWVGEEEEEKVVSTVLQLQAEGIGVNPVLKRVSSDISNVPKDTLSHIIDLVLKSNEERGRREMKSIVLKLLRENNSLPSYARTTDVCNDTIYRSCRRCLDSLLALFKQAAEPSENRDSLAKCIALEADNLTWLLEILVDKQAGDEFAVMWGKQQELAVLHAKLPTVLRYYVSCVSGRLYVGIGRGELLPSKETRRLLLQTWLQPLMNDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQQSILLSWLGSFLKTGDSCPNLQRAFEVWWRRTFIRPYVENLGNVAVSVSDSSMLPKEHE >Vigun03g270100.3.v1.2 pep primary_assembly:ASM411807v1:3:44335969:44340659:-1 gene:Vigun03g270100.v1.2 transcript:Vigun03g270100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTRMEQGQTKIKNVPIAVTPEGFWCCPSPVVFQKSLKAQNPLNKPKPSSPPPKTSVQKKPVSVPVYERRTGAAAPSRLVLSDDQQCSTAPERPPPPTSSMAAAERGPRPKIESLPKKVAIEFGEPGTCDMKVILLGKQGFCVKLSVHRNVLTEKSNFFSEKLSEQSGLSCLQIGDCEDVEIYVETVGLMYCKEMKQRLMKQSVSRILRILKVAEFLGFSSCIQSCLEFLEAVPWVGEEEEEKVVSTVLQLQAEGIGVNPVLKRVSSDISNVPKDTLSHIIDLVLKSNEERGRREMKSIVLKLLRENNSLPSYARTTDVCNDTIYRSCRRCLDSLLALFKQAAEPSENRDSLAKCIALEADNLTWLLEILVDKQAGDEFAVMWGKQQELAVLHAKLPTVLRYYVSCVSGRLYVGIGRGELLPSKETRRLLLQTWLQPLMNDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQQSILLSWLGSFLKTGDSCPNLQRAFEVWWRRTFIRPYVENLGNVAVSVSDSSMLPKEHE >Vigun04g179200.1.v1.2 pep primary_assembly:ASM411807v1:4:40274983:40276917:-1 gene:Vigun04g179200.v1.2 transcript:Vigun04g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLSYMNSTTIGLLSLTLFCLFLYNNFKLFPCKEAPTAAGAWPVLGHLPLLSASKTPHRMLGALAEKYGPIFTIKLGSKKALVINNWEIAKECFTTNDTVVSSRPKLVAMELMGYNQAIFAFSPYGPYWRELRKITTFEILSSRRVEQLEHVRVSEVQNSIKELYNVWCSQKGESGYALVELNQWFSHLAFNMVLRMVVGKRFFGGENMDDEKAQRCVKAVDEFMRLLGVFAVGDAIPWLRWFDFGGHERAMKETAKDLDIVLGEWLEEHRQKKGLDEVQDFMDVMISLFDGRTVDGFDADTMIKSTVLSVIAGGADTSNIVLTWIISLILKNPSVLKKVKIELDIQIGKEKLVSESDISKLTYLQAIVKETLRLYPPSPLSGSREFTENCTLSGYNIKKGTRLITNLWKIHTDHNVWKDPLEFKPERFLTTHKDIDIKGQHFELLPFGSGRRICPGVSFGLQMVHFTLATFLHSFEILSSSSYPIDMAESFGLTNTKATPLKILIKPRLSFSCCESH >Vigun07g072300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9046057:9046650:1 gene:Vigun07g072300.v1.2 transcript:Vigun07g072300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQQSRKKLIIKIYSSCPDNSEGVCELKNKKGYYAKAIWVDVKNVSKSCESNQKVEHKECDKTKDKEIMHKEWKKREKKRKVEVAMRMDNCKRMQCWTMMKRLMVGKYAWIFKKNDQNKKVMNLRNIELKLKRLEYSKVDDFGDDMRKVFSYPLGYPFKSEVYKIAREISQAFELNWSNMKKKWIFQEKIEKQNL >Vigun07g291500.1.v1.2 pep primary_assembly:ASM411807v1:7:40304122:40305882:-1 gene:Vigun07g291500.v1.2 transcript:Vigun07g291500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSVKGVIDGKFESGYIVTVRMGSETLKGILYEVALGVHESKKSERKKRVAVHPKHSRSGYNFFFAQQHATLKPLHPGKEGEIPRIIAELWSNLKVSQKMIYQEMAKKDKERYKVEMEDYLEKLKMGPVITDAVPLRQWPPKLDEVEANKGNSSRENVNKAADRVFDMNAMPQMMEIETNYMGSKENSLEEGL >Vigun08g080600.2.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMGEGHSVSFTVPHNSDMKGMALCVVYSSTSKIIEPECLSSVLIANYTKCTCQIYNHGTIISFKDEDWNGIVSNLGFGDKVEIFVTFDQGLVVKNTTIYLIYGESNGLEMDPCPEPKVNALDKFIKKMVRCDYW >Vigun08g080600.6.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMDIQPWHNNFL >Vigun08g080600.1.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMGEGHSVSFTVPHNSDMKGMALCVVYSSTSKIIEPECLSSVLIANYTKCTCQIYNHGTIISFKDEDWNGIVSNLGFGDKVEIFVTFDQGLVVKNTTIYLIYGESNGLEMDPCPEPKVNALDKFIKKMVRCDYW >Vigun08g080600.13.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738377:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQDYQVLKSSF >Vigun08g080600.7.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPY >Vigun08g080600.3.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIRRMILEKHWKKLHAKAIQENNWNFHCPSGAVHSPQPQVSLVWISEISDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMGEGHSVSFTVPHNSDMKGMALCVVYSSTSKIIEPECLSSVLIANYTKCTCQIYNHGTIISFKDEDWNGIVSNLGFGDKVEIFVTFDQGLVVKNTTIYLIYGESNGLEMDPCPEPKVNALDKFIKKMVRCDYW >Vigun08g080600.8.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMDIQPWHNNFL >Vigun08g080600.4.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16740290:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMGEGHSVSFTVPHNSDMKGMALCVVYSSTSKIIEPECLSSVLIANYTKCTCQIYNHGTIISFKDEDWNGIVSNLGFGDKVEIFVTFDQGLVVKNTTIYLIYGESNGLEMDPCPEPKAPFPSHLIDHHISDRQLCS >Vigun08g080600.12.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQDYQVLKSSF >Vigun08g080600.10.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738493:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPY >Vigun08g080600.5.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16740290:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSSFLKSESNFIYDAFVNFGGEDIRRKFVSHLHYVILQAKVKTLIKEENLHEGMKMEEHLRAIASSKIAIIVFSKTYTESTCCLLELEQIIECVATFGQIVLPVFYEIDPLDVRHQKDDFGKALEETARKSYSGEQLELSLSKWSRALTTAAGITGLDLRNFRHDAELVEAIVSRVQTLLDYSELPITRFPVGLESHVKRVIRCIENHSTEVCMIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMDIQPWHNNFL >Vigun08g080600.9.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738377:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPYWYAHMDIQPWHNNFL >Vigun08g080600.11.v1.2 pep primary_assembly:ASM411807v1:8:16733913:16738377:1 gene:Vigun08g080600.v1.2 transcript:Vigun08g080600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGSGKTTVAKAIYNRIYRTFIGKSFIENIRDWDLVNSKNVDLQENLLYEVLKSKFGFKSTWMGRTIIENELCRKKVLIVLDDVNEFSQLENLCGSCEWFGQGSVIIITTRDVQLLNRLKVNYVYEMDVMNQNDSLELFSWHAFREAKPRKDLNELARNIVVYCGGLPLALKVLGSFLYGRTMEEWENVPSKLKVISIDQVQEKLKISFDDLREMEKDIFLDICCFFIGKERNYVTDVLNGCGLHANIGIKVLIERGLIKVGRNNKLKMHPLFRDMGREIIFQRCPKEPWKRSRLWFQDDVKDVLKNNTGTEATQGLSLKLHSTSTDCFEAHAFKEMKRLRLLQLDRVQLNGDYGYVSKQLRWICWQGFLSKYIPNNFHMENVIAIDLKRSHLQLVWKQPQVLEWLKFLNLSHSKFLRETPDFSGLPSLEKLILKDCPSLYKVHESIGNLCNLLLINLKDCTSLISLPREVYKLKSLRTFILSGCFKIDILKEDIVKMESLITLVTENTALKQVPCSILSSKSIAYISLYGFGGLSHHIIPSAIRSWMLPTRNPQSFIRPFCVDKENNNWGDLAPLHNSLANIRSVLVQFDTEFQLYRHLKTILFECGADFTQSKISKDQLRFSSIGIGSYKEFFNTLSDSVSEGLTNSESCYVSLPSDNYPY >Vigun03g205100.1.v1.2 pep primary_assembly:ASM411807v1:3:33174055:33177575:1 gene:Vigun03g205100.v1.2 transcript:Vigun03g205100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISDHGTVPFFLCFFIFLHFHFPGVHSKASLSATPTTLSKSGDFVHLQWSGVEGPSDFDFLAIYSPPTSPHDNFVGYRFLSESPTWESGSGNISFPLVDLRYNYSFRIFRWTRSEINPKRKDHDNNPLPSTRRLLAFSGEVAFAPNRGPGQIHLAFGDQPDAMRVMYVTPNPQETYVRYGEKEDALDAVVLARVERYGKEHMCDAPANSSVGWRDPGYIHNALLTDLKKGLRYYYKVGNDDGGWSATHSFVSRNSDSDETIAFLFGDMGTSVPYNTFVRTQEESLSTMKWILRDVEALGDKPAFVSHIGDISYARGYSWVWDHFFNQIEPVSSKVAYHVCIGNHEYDWPLQPWKPDWASYGKDGGGECGVPYSLRFNMPGNSSEPTGTEAPPTRNLYYSFDMGVVHFVYISTETNFLPGSNQYNFLKHDLESVDRNKTPFVVVQGHRPMYTTSHENRDAALRGKMLEHLEPLFVNNKVSLALWGHVHRYERFCALNNFTCGGNVGQSTGDKKGYTVHMVIGMAGQDWQPTWEPRPDHPNDPIFPQPNWSLYRGGEFGYTRLVASKQKLVLSYVGNHDGIVHDTVEILASGEVVSGNGDCSIDVNSKAENKIVESTLSWYVKGGSVLFLGAFMGYVLGFVTSARKKSEETRSNWTPVKTTET >Vigun06g027750.1.v1.2 pep primary_assembly:ASM411807v1:6:12313998:12315420:1 gene:Vigun06g027750.v1.2 transcript:Vigun06g027750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLESLNFFSFSPIFPHESSQLWVLSSPNVRGKHKRSVNFCNGGRCRSINVMPNCYCGDKAMLRTAKTAKNKGERFWGCPNFKSGGEECGGCNYFSWFVEYGIEEGGSASTIVIEDKCCGDVKNEEKVGGNVKIEENAVGSDTNEALAGGSLNSQEMRRSCVMAQEVVADIKICIKSLEKRIKVLISVVVQLVNVVVMVQGLAVVMAE >Vigun03g062400.1.v1.2 pep primary_assembly:ASM411807v1:3:5136302:5137943:1 gene:Vigun03g062400.v1.2 transcript:Vigun03g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSVNLPPGFCFSPTDEELLLHFLYPKTSLPCHPNIIPDLDLSLHHPWQLNGKALSSGNQHYFFTRVKENRSTENGYWKEIGVTEAIVSASEKVGFKKYLVFNHGEAPQATATSWFMQEYHICSSSFSTASCGSTRGRRKSDQCRSKWVLCKVYEKKSCEPKQGVNCYNDENDNGTELSWLDEFYMSLDDDLEEVMSLPN >Vigun07g034400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3314773:3316937:1 gene:Vigun07g034400.v1.2 transcript:Vigun07g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGENLAQKTQVVVVLIAFPAQGHLNQLLHLSNLISSHNIPVHYVSTVTHIRQATLRHHKSISNIHFHAFEVPPFVSPPPNSNTSETDFPSHLIPSFEASMHLRDPVRKLLQSLSAQGKRVVVIYDSLMGSVAQDATNMPNSENYTFHSTCAFTNFLYFWEEKGKPSVNGVRLPEFPSLEGCFPTEFIDFISEKIEFLKFSDGNIYNTSRAIEDPYIKLVENIEGGKKVWALGPLNPLAVEKKESKVWHPCLEWLDKQEPDSVIYVSFGTTTALREEQIQEVATGLEQSKQKFIWVLRDADKGNIFDEKEAKRHDLPNGFEERVKGMGVVVRDWAPQLEILSHPSTGGFMSHCGWNSCLESLSMGVPMATWPMHSDQPRNAVLVTEVLKVGLVVKEWSQRKSLVSASVVESGVRRLMGTREGDEMRERALRLKNAIQRSKDEGGDSRMEMESFIAHITK >Vigun08g113900.3.v1.2 pep primary_assembly:ASM411807v1:8:28057750:28061858:1 gene:Vigun08g113900.v1.2 transcript:Vigun08g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRITVSPRPCCGRRVLAKKRPRLVDGFVNSVKKLQRREISSNRYRAFSMTDAQERFRNIRLQEEYDTHDPKGPSTAVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRVKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKSSSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTSELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEVNAGSINVSNILTGKCLAKIRASNGFPVMKECSCVDENCSCSGCNLGKRKLSSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun08g113900.2.v1.2 pep primary_assembly:ASM411807v1:8:28057877:28062040:1 gene:Vigun08g113900.v1.2 transcript:Vigun08g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRITVSPRPCCGRRVLAKKRPRLVDGFVNSVKKLQRREISSNRYRAFSMTDAQERFRNIRLQEEYDTHDPKGPSTAVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRVKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKSSSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTSELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEVNGSINVSNILTGKCLAKIRASNGFPVMKECSCVDENCSCSGCNLGKRKLSSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun08g113900.1.v1.2 pep primary_assembly:ASM411807v1:8:28057877:28062040:1 gene:Vigun08g113900.v1.2 transcript:Vigun08g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRITVSPRPCCGRRVLAKKRPRLVDGFVNSVKKLQRREISSNRYRAFSMTDAQERFRNIRLQEEYDTHDPKGPSTAVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRVKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKSSSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTSELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEVNAGSINVSNILTGKCLAKIRASNGFPVMKECSCVDENCSCSGCNLGKRKLSSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun08g113900.4.v1.2 pep primary_assembly:ASM411807v1:8:28057750:28061858:1 gene:Vigun08g113900.v1.2 transcript:Vigun08g113900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRITVSPRPCCGRRVLAKKRPRLVDGFVNSVKKLQRREISSNRYRAFSMTDAQERFRNIRLQEEYDTHDPKGPSTAVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRVKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKSSSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTSELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLSEVNGSINVSNILTGKCLAKIRASNGFPVMKECSCVDENCSCSGCNLGKRKLSSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Vigun07g190100.2.v1.2 pep primary_assembly:ASM411807v1:7:30829484:30833218:1 gene:Vigun07g190100.v1.2 transcript:Vigun07g190100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSVRGGLGQTFALAKHNESEGRKTRIRRSKEERKAMVESFIKKYQHSNNGNFPSLNLTHKEVGGSFYTVREIIRDIIQENRVLGPAKFTLEELNTDKFFEQNPLGSIARVPETFSTASSNENHCEPDKVLDRNKTTISVSDGSYTEVVDQVVDKGQVISFGHIDVTDKEPIEAVVVAEGRDTRAEHPVVDQGHPKNVSRIDVTNNESVDTSVVSDGCCTGNEYKIVDNGHVLNDSQVNIGNKESNEIAIPEMQLSNSSTLKPKVEQELAAAKKPMTKVNAATEDLIVETFPLSSGSMTSDGIRSPEGLMDSGNSAETDMKMLELRQGEEKSELNGVEPSKNFNLLDKKFEDAPGNQILKNTSNTGLDKEERQDVSEESSNHTTHKQHYEFEDRIDSQVEVSHKNTITIDQSKNTDGVKTNTQTNYLTKTSSEEDDDLLKADKHIVDGQLGGNSQRSGTTVDRIHLESWDGAAKNSGKREPNPLLAAWKVFVDAFVKFWSV >Vigun07g190100.1.v1.2 pep primary_assembly:ASM411807v1:7:30829426:30833225:1 gene:Vigun07g190100.v1.2 transcript:Vigun07g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSVRGGLGQTFALAKHNESEGRKTRIRRSKEERKAMVESFIKKYQHSNNGNFPSLNLTHKEVGGSFYTVREIIRDIIQENRVLGPAKFTLEELNTDKFFEQNPLGSIARVPETFSTASSNENHCEPDKVLDRNKTTISVSDGSYTEVVDQVVDKGQVISFGHIDVTDKEPIEAVVVAEGRDTRAEHPVVDQGHPKNVSRIDVTNNESVDTSVVSDGCCTGNEYKIVDNGHVLNDSQVNIGNKESNEIAIPEMQLSNSSTLKPKVEQELAAAKKPMTKVNAATEDLIVETFPLSSGSMTSDGIRSPEGLMDSGNSAETDMKMLELRQGEEKSELNGVEPSKNFNLLDKKFEDAPGNQILKNTSNTGLDKEERQDVSEESSNHTTHKQHYEFEDRIDSQVEVSHKNTITIDQSKNTDGVKTNTQTNYLTKTSSEEDDDLLKADKHIVDGQLGGNSQRSGTTVDRIHLESWDGAAKNSGKREPNPLLAAWKVFVDAFVKFWSV >Vigun03g391000.5.v1.2 pep primary_assembly:ASM411807v1:3:59725876:59729225:-1 gene:Vigun03g391000.v1.2 transcript:Vigun03g391000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHASQVGRSLIKQLACCNKTTREKTLRLLLKSWLPSQSQPLSNDDAKKLWKGLFYCMWHSDKPLVQADLVDRLSSLLLSLHLPLSVQYFSIFLLTMRREWSGIDALRLDKFYLLIRRFVSKFFSLLKTNSWDLQLVESLMGVFDEGTLSAQENKAHGNGVNYHIATVFLEELRPFLPLKQQVLEVLLKPFISALGRVHDKVLVGKIRSGVFDLLLKNGKALLGVKKSGGEVVDSSDEVVVLGTVALTMGFSGRLYEMGSSAECWQGNRKVLYALHSEFLKLEKEAVKLGVEFSIPDVVDEEEVPELVPLGPAEVVGNGEVLKKCEKDKEVSVNGGESTERRRKKKKKGDSSDLASAEQSGKENVASENGDNANDGNAMVFSETVIANLQKQFEKVAEETGLDEEEAASVSDSPEVVSPTGKTVSKKRKRTKSLKGKASQDSADLNGVVGKESAVAKSGEKSAKKASL >Vigun03g391000.1.v1.2 pep primary_assembly:ASM411807v1:3:59726246:59729230:-1 gene:Vigun03g391000.v1.2 transcript:Vigun03g391000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHASQVGRSLIKQLACCNKTTREKTLRLLLKSWLPSQSQPLSNDDAKKLWKGLFYCMWHSDKPLVQADLVDRLSSLLLSLHLPLSVQYFSIFLLTMRREWSGIDALRLDKFYLLIRRFVSKFFSLLKTNSWDLQLVESLMGVFDEGTLSAQENKAHGNGVNYHIATVFLEELRPFLPLKQQVLEVLLKPFISALGRVHDKVLVGKIRSGVFDLLLKNGKALLGVKKSGGEVVDSSDEVVVLGTVALTMGFSGRLYEMGSSAECWQGNRKVLYALHSEFLKLEKEAVKLGVEFSIPDVVDEEEVPELVPLGPAEVVGNGEVLKKCEKDKEVSVNGGESTERRRKKKKKGDSSDLASAEQSGKENVASENGDNANDGNAMVFSETVIANLQKQFEKVAEETGLDEEEAASVSDSPEVVSPTGKTVSKKRKRTKSLKGKASQDSADLNGVVGKESAVAKSGEKSAKKVRFSMKNNLVWKPHSPLPPQSLRLPPSATPRGSALKKGVPPGPIREMPPPTKKQKMKKARKAIKGKSIGSLTLAVHWYHDDNQPVHTSRDVLRKQGRNTRALWNCGTQASW >Vigun03g391000.3.v1.2 pep primary_assembly:ASM411807v1:3:59725876:59729225:-1 gene:Vigun03g391000.v1.2 transcript:Vigun03g391000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHASQVGRSLIKQLACCNKTTREKTLRLLLKSWLPSQSQPLSNDDAKKLWKGLFYCMWHSDKPLVQADLVDRLSSLLLSLHLPLSVQYFSIFLLTMRREWSGIDALRLDKFYLLIRRFVSKFFSLLKTNSWDLQLVESLMGVFDEGTLSAQENKAHGNGVNYHIATVFLEELRPFLPLKQQVLEVLLKPFISALGRVHDKVLVGKIRSGVFDLLLKNGKALLGVKKSGGEVVDSSDEVVVLGTVALTMGFSGRLYEMGSSAECWQGNRKVLYALHSEFLKLEKEAVKLGVEFSIPDVVDEEEVPELVPLGPAEVVGNGEVLKKCEKDKEVSVNGGESTERRRKKKKKGDSSDLASAEQSGKENVASENGDNANDGNAMVFSETVIANLQKQFEKVAEETGLDEEEAASVSDSPEVVSPTGKTVSKKRKRTKSLKGKASQDSADLNGVVGKESAVAKSGEKSAKKASL >Vigun03g391000.2.v1.2 pep primary_assembly:ASM411807v1:3:59725876:59729225:-1 gene:Vigun03g391000.v1.2 transcript:Vigun03g391000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHASQVGRSLIKQLACCNKTTREKTLRLLLKSWLPSQSQPLSNDDAKKLWKGLFYCMWHSDKPLVQADLVDRLSSLLLSLHLPLSVQYFSIFLLTMRREWSGIDALRLDKFYLLIRRFVSKFFSLLKTNSWDLQLVESLMGVFDEGTLSAQENKAHGNGVNYHIATVFLEELRPFLPLKQQVLEVLLKPFISALGRVHDKVLVGKIRSGVFDLLLKNGKALLGVKKSGGEVVDSSDEVVVLGTVALTMGFSGRLYEMGSSAECWQGNRKVLYALHSEFLKLEKEAVKLGVEFSIPDVVDEEEVPELVPLGPAEVVGNGEVLKKCEKDKEVSVNGGESTERRRKKKKKGDSSDLASAEQSGKENVASENGDNANDGNAMVFSETVIANLQKQFEKVAEETGLDEEEAASVSDSPEVVSPTGKTVSKKRKRTKSLKGKASQDSADLNGVVGKESAVAKSGEKSAKKVRFSMKNNLVWKPHSPLPPQSLRLPPSATPRGSALKKGVPPGPIREMPPPTKKQKMKKARKAIKGKSIGSLTLAVHWYHDDNQPVHTSRDVLRKQGRNTRALWNCGTQASW >Vigun03g391000.4.v1.2 pep primary_assembly:ASM411807v1:3:59726246:59729225:-1 gene:Vigun03g391000.v1.2 transcript:Vigun03g391000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHASQVGRSLIKQLACCNKTTREKTLRLLLKSWLPSQSQPLSNDDAKKLWKGLFYCMWHSDKPLVQADLVDRLSSLLLSLHLPLSVQYFSIFLLTMRREWSGIDALRLDKFYLLIRRFVSKFFSLLKTNSWDLQLVESLMGVFDEGTLSAQENKAHGNGVNYHIATVFLEELRPFLPLKQQVLEVLLKPFISALGRVHDKVLVGKIRSGVFDLLLKNGKALLGVKKSGGEVVDSSDEVVVLGTVALTMGFSGRLYEMGSSAECWQGNRKVLYALHSEFLKLEKEAVKLGVEFSIPDVVDEEEVPELVPLGPAEVVGNGEVLKKCEKDKEVSVNGGESTERRRKKKKKGDSSDLASAEQSGKENVASENGDNANDGNAMVFSETVIANLQKQFEKVAEETGLDEEEAASVSDSPEVVSPTGKTVSKKRKRTKSLKGKASQDSADLNGVVGKESAVAKSGEKSAKKASL >Vigun02g065700.1.v1.2 pep primary_assembly:ASM411807v1:2:21522055:21523864:1 gene:Vigun02g065700.v1.2 transcript:Vigun02g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDRHYFSRHDAAAKRRRPLPEPVEEERPTTRPALPSAVVVMGLPQDCSVLDLKSRFEIYGAISRIRIDRDAVGYITYRSKESADAAIAAGVDPSFGITVSSKKVQVLWATDPLAMWREGVGNSKDKGHMSKLVRAEAPLSKRGRGNRLASAIGNPKHGENSSRSPVLEVPFKGREIVAYDDIL >Vigun07g290600.1.v1.2 pep primary_assembly:ASM411807v1:7:40251722:40255470:1 gene:Vigun07g290600.v1.2 transcript:Vigun07g290600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSASSSGNNTNATPPKSTNKQKAWDSVSEIGMNNQAYDLRRSRSLSSSACQFRDPTRSPSSSIVSDPYHQFERSSRCQAPNYEKQKRDKPTQVSSVQNLHRYERPGSTSSSRSHHESSGNSSTCSSKVVDRYIDGEQHPEESRPRNNSQRNNSRHGSYGMKLPPKVQLTAPNSPTHGIKDKPRVHSFREAKATRHRFSSRDWTENCVAPESPRSLAKNVIERLSQSCDIPKTCSQNVTTDNPITIEDIYSRSVNGHYDSDFDDARPKNNLLDESYRMTNGYHGIDGNFEGLSCDEPEEDADADLIRRSKEAEERVILLSKKLERESFFPDGGYDVSVLIQTIRHLLEEKISLALEVSTHLRSQISDRVSAREELSRVKTELEYRNQRLEKEKNEMQSALEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMESKSVMAYTDQQLKVLTDNTEIMKKEILDLQQNLLELQEKYKVSEENRDCILRNFEEKEKECKELHKSLTRLLRTCSEQEKTITGLQDGFSEELHKTQPMESADKQTTKMRMEQMRLTGVELALRKELESCRFEADSLRHENIMLLNRLKGDRKECVATTYRLDKELWARIYCLQNQGLTMLNESTYLCSKLLEFVKGKGNRLRQNVQLDQEVIGNGLDGQFIVESETKIQGLKSGTEGLTRSLQTMSSLLKDKSNPLTSKFQSECIEAGKLATINDQLSEDILRTELKAECLLTSLLRESLYSKELQAEQMEAELATAVRGNDILRSEVRNALDNLSSVTHKLKDLELQMLKKDESRNCLQNDLQESNRELTIMRGKLPKVTEERDYMWEQVKQYSEQNMLLNAEVNVLKKKIETLEENNLEKEGQISILQDSLAKRPFDDLLGSPDHKFFSTKLL >Vigun07g290600.3.v1.2 pep primary_assembly:ASM411807v1:7:40251241:40255490:1 gene:Vigun07g290600.v1.2 transcript:Vigun07g290600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSASSSGNNTNATPPKSTNKQKAWDSVSEIGMNNQAYDLRRSRSLSSSACQFRDPTRSPSSSIVSDPYHQFERSSRCQAPNYEKQKRDKPTQVSSVQNLHRYERPGSTSSSRSHHESSGNSSTCSSKVVDRYIDGEQHPEESRPRNNSQRNNSRHGSYGMKLPPKVQLTAPNSPTHGIKDKPRVHSFREAKATRHRFSSRDWTENCVAPESPRSLAKNVIERLSQSCDIPKTCSQNVTTDNPITIEDIYSRSVNGHYDSDFDDARPKNNLLDESYRMTNGYHGIDGNFEGLSCDEPEEDADADLIRRSKEAEERVILLSKKLERESFFPDGGYDVSVLIQTIRHLLEEKISLALEVSTHLRSQISDRVSAREELSRVKTELEYRNQRLEKEKNEMQSALEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMESKSVMAYTDQQLKVLTDNTEIMKKEILDLQQNLLELQEKYKVSEENRDCILRNFEEKEKECKELHKSLTRLLRTCSEQEKTITGLQDGFSEELHKTQPMESADKQTTKMRMEQMRLTGVELALRKELESCRFEADSLRHENIMLLNRLKGDRKECVATTYRLDKELWARIYCLQNQGLTMLNESTYLCSKLLEFVKGKGNRLRQNVQLDQEVIGNGLDGQFIVESETKIQGLKSGTEGLTRSLQTMSSLLKDKSNPLTSKFQSECIEAGKLATINDQLSEDILRTELKAECLLTSLLRESLYSKELQAEQMEAELATAVRGNDILRSEVRNALDNLSSVTHKLKDLELQMLKKDESRNCLQNDLQESNRELTIMRGKLPKVTEERDYMWEQVKQYSEQNMLLNAEVNVLKKKIETLEENNLEKEGQISILQDSLAKRPFDDLLGSPDHKFFSTKLL >Vigun07g290600.2.v1.2 pep primary_assembly:ASM411807v1:7:40250663:40255493:1 gene:Vigun07g290600.v1.2 transcript:Vigun07g290600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSASSSGNNTNATPPKSTNKQKAWDSVSEIGMNNQAYDLRRSRSLSSSACQFRDPTRSPSSSIVSDPYHQFERSSRCQAPNYEKQKRDKPTQVSSVQNLHRYERPGSTSSSRSHHESSGNSSTCSSKVVDRYIDGEQHPEESRPRNNSQRNNSRHGSYGMKLPPKVQLTAPNSPTHGIKDKPRVHSFREAKATRHRFSSRDWTENCVAPESPRSLAKNVIERLSQSCDIPKTCSQNVTTDNPITIEDIYSRSVNGHYDSDFDDARPKNNLLDESYRMTNGYHGIDGNFEGLSCDEPEEDADADLIRRSKEAEERVILLSKKLERESFFPDGGYDVSVLIQTIRHLLEEKISLALEVSTHLRSQISDRVSAREELSRVKTELEYRNQRLEKEKNEMQSALEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMESKSVMAYTDQQLKVLTDNTEIMKKEILDLQQNLLELQEKYKVSEENRDCILRNFEEKEKECKELHKSLTRLLRTCSEQEKTITGLQDGFSEELHKTQPMESADKQTTKMRMEQMRLTGVELALRKELESCRFEADSLRHENIMLLNRLKGDRKECVATTYRLDKELWARIYCLQNQGLTMLNESTYLCSKLLEFVKGKGNRLRQNVQLDQEVIGNGLDGQFIVESETKIQGLKSGTEGLTRSLQTMSSLLKDKSNPLTSKFQSECIEAGKLATINDQLSEDILRTELKAECLLTSLLRESLYSKELQAEQMEAELATAVRGNDILRSEVRNALDNLSSVTHKLKDLELQMLKKDESRNCLQNDLQESNRELTIMRGKLPKVTEERDYMWEQVKQYSEQNMLLNAEVNVLKKKIETLEENNLEKEGQISILQDSLAKRPFDDLLGSPDHKFFSTKLL >Vigun07g290600.4.v1.2 pep primary_assembly:ASM411807v1:7:40251363:40255470:1 gene:Vigun07g290600.v1.2 transcript:Vigun07g290600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSASSSGNNTNATPPKSTNKQKAWDSVSEIGMNNQAYDLRRSRSLSSSACQFRDPTRSPSSSIVSDPYHQFERSSRCQAPNYEKQKRDKPTQVSSVQNLHRYERPGSTSSSRSHHESSGNSSTCSSKVVDRYIDGEQHPEESRPRNNSQRNNSRHGSYGMKLPPKVQLTAPNSPTHGIKDKPRVHSFREAKATRHRFSSRDWTENCVAPESPRSLAKNVIERLSQSCDIPKTCSQNVTTDNPITIEDIYSRSVNGHYDSDFDDARPKNNLLDESYRMTNGYHGIDGNFEGLSCDEPEEDADADLIRRSKEAEERVILLSKKLERESFFPDGGYDVSVLIQTIRHLLEEKISLALEVSTHLRSQISDRVSAREELSRVKTELEYRNQRLEKEKNEMQSALEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSLSEREMESKSVMAYTDQQLKVLTDNTEIMKKEILDLQQNLLELQEKYKVSEENRDCILRNFEEKEKECKELHKSLTRLLRTCSEQEKTITGLQDGFSEELHKTQPMESADKQTTKMRMEQMRLTGVELALRKELESCRFEADSLRHENIMLLNRLKGDRKECVATTYRLDKELWARIYCLQNQGLTMLNESTYLCSKLLEFVKGKGNRLRQNVQLDQEVIGNGLDGQFIVESETKIQGLKSGTEGLTRSLQTMSSLLKDKSNPLTSKFQSECIEAGKLATINDQLSEDILRTELKAECLLTSLLRESLYSKELQAEQMEAELATAVRGNDILRSEVRNALDNLSSVTHKLKDLELQMLKKDESRNCLQNDLQESNRELTIMRGKLPKVTEERDYMWEQVKQYSEQNMLLNAEVNVLKKKIETLEENNLEKEGQISILQDSLAKRPFDDLLGSPDHKFFSTKLL >Vigun06g211100.1.v1.2 pep primary_assembly:ASM411807v1:6:32389670:32391120:1 gene:Vigun06g211100.v1.2 transcript:Vigun06g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYHSVTHFGFEGQQYKFLAMVTKVYVVYYSTYGHVEKLAKEIEKGAASVEGVEAKLWQVPETLSEEVLRKIGAPEKGEAPIIKPRELAEADGFLFGFPTTFGAMAAQFKTFLDGTVSLWRRQALSGKPAGFFYSTSSQGGGQETTPLTSITQLVHHGMIFVPIGYSFGEGMFEMEKLKGGSPYGAGTYAGDDGSRQPSELELAQAFHQGKYFAAIAKKLKGSPSF >VigunL044400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:461424:461943:-1 gene:VigunL044400.v1.2 transcript:VigunL044400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFNQYQQCSSSNDKLNLNYRAMTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun10g177900.1.v1.2 pep primary_assembly:ASM411807v1:10:39626122:39632621:1 gene:Vigun10g177900.v1.2 transcript:Vigun10g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASSFRSHLKGHGCKLGSTRSSTSAAVVARTTQGGLFSWLTGERSSSLPSLDIPLGGVVLPDPLPDSVEQSKTKITTLSNGLKIASETSPNPAASIGLYLDCGSIYETPFSSGASHLLERMAFKSTTNRSHFRIVREVEAIGGNIGASASREQMGYTFDALKTYVPQMVELLVDCVRNPAFLDWEVNEELRKVKAELGELSNNPQGLLLEAIHSAGYSGALAYPLLAPEAALNRLDGPSLEEFVAENYTAPRMVLAAAGVEHEELVSIAEPLLSDLPNVPRPDEPKSVYVGGDFRRHGESGGTHVALAFEVPGGWHKEKDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYASTSPDFAPKAVDIAAKELIAIASPGQVTQVQLDRAKKSTKSAVLMNLESRMIASEDIGRQILTYGERKPLEQFLKAVDEITLNDITKISQKIISSPLTMASYGDVLSVPSYESVNSKFHAK >Vigun09g189700.5.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463130:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNENGVVDGDKAQDLTLDCLESEESESTNHFSNCKAGVVDMDQQLDKACNFITRDECSDYVPNASSQNLSCEISDSEVL >Vigun09g189700.4.v1.2 pep primary_assembly:ASM411807v1:9:36457186:36463190:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNEVSC >Vigun09g189700.1.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463130:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNENGVVDGDKAQDLTLDCLESEESESTNHFSNCKAGVVDMDQQLDKACNFITRDECSDYVPNASSQNLSCEPDFRLRSVVKLKMG >Vigun09g189700.6.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463147:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNENGVVDGDKAQDLTLDCLESEESESTNHFSNCKAGVVDMDQQLDKACNFITRDECSDYVPNASSQNLSCEGDVCVADPDQF >Vigun09g189700.7.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463130:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNEVSC >Vigun09g189700.8.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463130:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNEVSC >Vigun09g189700.2.v1.2 pep primary_assembly:ASM411807v1:9:36457224:36463130:1 gene:Vigun09g189700.v1.2 transcript:Vigun09g189700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDSPSGASTPPQQEPANKYGFTKPLSLAGPTDADLNRNNELEKFLLDSGLYESNDESAARKEVLHRLDQIVKTWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREEDFFIILHNILAEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISHGSVLYDVDEPTVRSLNGCRVADQILKLVPNIEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFPIWDPRRNPRDRFHTMPIITPAYPCMNSSYNVSASTLRVMMEQFRYGNKICDEIDLNKAQWSALFQPYVFFEAYKNYLQVDIVASDTDDLLAWKGWVESRLRLLTLKIERDTNGMLQCHPYPHEYIDTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYIYWKPGMEIFVSHVRRKQLPAFVFPDGYKRTRMPRHISHPTEKTGDDATKCYSGSGPSERCTKRKSYPEMVEKKPDKPDKRASISPQRLECVSPESCTSKSGGSAQMSIDSMEGVWVAGSTTKYANSNCEIKSSDVLPGSGLSSVVADMQISEPGFVDTTHDMLKTRSVEVPNENGVVDGDKAQDLTLDCLESEESESTNHFSNCKAGVVDMDQQLDKACNFITRDECSDYVPNASSQNLSCEGDVCVADPDQF >Vigun02g059000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20389404:20390927:1 gene:Vigun02g059000.v1.2 transcript:Vigun02g059000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVQLSPTAHSQFSSHPNPPTTSDRGILFHEKVRYLKALKVNPEKAFHLNPTLRSSPLAALESVARCLYSLGVPRAALGRILDMHPVLLTCDPYSQLYPLLDFLLHEVPIPFPDVHLCILRCPRLLVSSIDDQLRPTLFFLRELGFCGPHSLTCQTTLLLVSSLEDTLLRKIEFLKSLGFTHIEVSNMVVRSPGLLTLSVKKNLMPKLEFFLKEMNGDVAELKRFPQYFSFSLERRIRPRFGMLRQLGVSMPLEDMLKVSDGEFNARLLELRFKTLEFGVGQ >Vigun01g110300.1.v1.2 pep primary_assembly:ASM411807v1:1:28353482:28355423:-1 gene:Vigun01g110300.v1.2 transcript:Vigun01g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLSIVVIFFVQMLLLVVENHAEIVVSTVEAPAPQPHKNTTHFAPPPQPNKNTTHFPNRGITEGSLKPQECGPRCRGRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >Vigun02g184100.1.v1.2 pep primary_assembly:ASM411807v1:2:32404493:32407581:1 gene:Vigun02g184100.v1.2 transcript:Vigun02g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVAVRPALQESVQWQGHCPGESILIYITVDGAVTPMRVSESDSIASVKMRIQTCQGIPGKKHKLVHDGRELARKDALIKDYGVTASNVLHLVLRLSDMVFIVVRTTGGKEFEFQIDRHRNVGYLKQRIKKKGKDFIDLEGCQDLFCDGEELQDQSLFRDFCNADNGVIHLVAQKSAKVDAKPVHKDLELSVVADDSDVGGNQVTQKTEPPSGDFWLEPAFVNPNVNFFPFLWDIIGSTFNGLKQRNHPIRSSEGSGGTYFMQDSTGMEYVSVFKPIDEEPNAVNNPQGLPVSSNGEGLKIGTRVGEGAMREVAAYILDHPRSGPRLVSGEAIGFAGVPPTVMVQCLHQQFNHPDGFECSPKHSKVGSLQAFMKNDGNCEDLGPRYFSVEEVHKITVLDLRMANADRHAGNILFRKDSDGRITLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPDTVHYIKSLNAEKDIELLKYYGWDVPVECARTLRISTILLKKGVERGLSPYDIGSIMCRENMNKKSVIEEIICEAQESMEPGMGESVFLEFVSQIMDSRLDKLSK >Vigun02g184100.2.v1.2 pep primary_assembly:ASM411807v1:2:32403929:32407581:1 gene:Vigun02g184100.v1.2 transcript:Vigun02g184100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVAVRPALQESVQWQGHCPGESILIYITVDGAVTPMRVSESDSIASVKMRIQTCQGIPGKKHKLVHDGRELARKDALIKDYGVTASNVLHLVLRLSDMVFIVVRTTGGKEFEFQIDRHRNVGYLKQRIKKKGKDFIDLEGCQDLFCDGEELQDQSLFRDFCNADNGVIHLVAQKSAKVDAKPVHKDLELSVVADDSDVGGNQVTQKTEPPSGDFWLEPAFVNPNVNFFPFLWDIIGSTFNGLKQRNHPIRSSEGSGGTYFMQDSTGMEYVSVFKPIDEEPNAVNNPQGLPVSSNGEGLKIGTRVGEGAMREVAAYILDHPRSGPRLVSGEAIGFAGVPPTVMVQCLHQQFNHPDGFECSPKHSKVGSLQAFMKNDGNCEDLGPRYFSVEEVHKITVLDLRMANADRHAGNILFRKDSDGRITLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPDTVHYIKSLNAEKDIELLKYYGWDVPVECARTLRISTILLKKGVERGLSPYDIGSIMCRENMNKKSVIEEIICEAQESMEPGMGESVFLEFVSQIMDSRLDKLSK >Vigun02g184100.4.v1.2 pep primary_assembly:ASM411807v1:2:32404493:32407581:1 gene:Vigun02g184100.v1.2 transcript:Vigun02g184100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVAVRPALQESVQWQGHCPGESILIYITVDGAVTPMRVSESDSIASVKMRIQTCQGIPGKKHKLVHDGRELARKDALIKDYGVTASNVLHLVLRLSDMVFIVVRTTGGKEFEFQIDRHRNVGYLKQRIKKKGKDFIDLEGCQDLFCDGEELQDQSLFRDFCNADNGVIHLVAQKSAKVDAKPVHKDLELSVVADDSDVGGNQVTQKTEPPSGDFWLEPAFVNPNVNFFPFLWDIIGSTFNGLKQRNHPIRSSEGSGGTYFMQDSTGMEYVSVFKPIDEEPNAVNNPQGLPVSSNGEGLKIGTRVGEGAMREVAAYILDHPRSGPRLVSGEAIGFAGVPPTVMVQCLHQQFNHPDGFECSPKHSKVGSLQAFMKNDGNCEDLGPRYFSVEEVHKITVLDLRMANADRHAGNILFRKDSDGRITLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPDTVHYIKSLNAEKDIELLKYYGWDVPVECARTLRISTILLKKGVERGLSPYDIGSIMCRENMNKKSVIEEIICEAQESMEPGMGESVFLEFVSQIMDSRLDKLSK >Vigun02g184100.3.v1.2 pep primary_assembly:ASM411807v1:2:32404493:32407581:1 gene:Vigun02g184100.v1.2 transcript:Vigun02g184100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVAVRPALQESVQWQGHCPGESILIYITVDGAVTPMRVSESDSIASVKMRIQTCQGIPGKKHKLVHDGRELARKDALIKDYGVTASNVLHLVLRLSDMVFIVVRTTGGKEFEFQIDRHRNVGYLKQRIKKKGKDFIDLEGCQDLFCDGEELQDQSLFRDFCNADNGVIHLVAQKSAKVDAKPVHKDLELSVVADDSDVGGNQVTQKTEPPSGDFWLEPAFVNPNVNFFPFLWDIIGSTFNGLKQRNHPIRSSEGSGGTYFMQDSTGMEYVSVFKPIDEEPNAVNNPQGLPVSSNGEGLKIGTRVGEGAMREVAAYILDHPRSGPRLVSGEAIGFAGVPPTVMVQCLHQQFNHPDGFECSPKHSKVGSLQAFMKNDGNCEDLGPRYFSVEEVHKITVLDLRMANADRHAGNILFRKDSDGRITLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPDTVHYIKSLNAEKDIELLKYYGWDVPVECARTLRISTILLKKGVERGLSPYDIGSIMCRENMNKKSVIEEIICEAQESMEPGMGESVFLEFVSQIMDSRLDKLSK >Vigun08g060000.1.v1.2 pep primary_assembly:ASM411807v1:8:7919392:7926810:1 gene:Vigun08g060000.v1.2 transcript:Vigun08g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGSLNGSQHVIEIAGSSDASTSTATHHNSNFNGTDVTVHEERVTGARTPLSHPSVSVASLSNGSNSRNSSFMRRGDTRRNRSPVHSGLWISIELVLLVSQIVASIVVLSLSRHERPRTPLFQWIIGYASGCVATLPLLYWRYYHHNHTREQDSSQSRQTSPRINDPSGTLLFSSRTSGGEDGQVVASSRSNQASVLMNRRMKTLVEYFKISLDCFFAVWFVVGNVWIFGGHSSADEAPNLYRLCIVFLAFSCIGYAMPFILCSTICCCLPCIISILGVREDMSQNRGATSESINALPTYKFKMKKNKRNGEGNSTEGGVVAAGTEKERVISGEDAVCCICLAKYENNDELRELPCSHLFHKDCVDKWLKINSLCPLCKNDVGENLTGLVSSEDASQHRSESRIENGLANTSL >Vigun07g161500.1.v1.2 pep primary_assembly:ASM411807v1:7:27299101:27301004:1 gene:Vigun07g161500.v1.2 transcript:Vigun07g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDFNNLPEGCIATILSLTSPKDACRLSLVSSTFQSAAESDAVWHKFLPSDFHTLLSQSPSSSFPSKKHLYIHLCHNPLLIDHGKKSFQLDKVYGKKCYMLSARTLFIVWGDTPRYWGWTSLPDARFSEVAELVSVCWLEIRGWINTGMLSPKTLYGAYLVFKPSPAGIFGFDYQSVEVSVGIAGGEASKRTVFLDAERGRRLRYQIVPRRAGIFNRARFLTSVETPPAQHNSNINNNNNSSNNNNSVDLQYPKERGDGWMEVELGDFFNDGEDDKEVEMGVCEIKSGDWKGGLFLQGIEIRPKTVPSIS >Vigun01g177300.1.v1.2 pep primary_assembly:ASM411807v1:1:35835243:35836502:1 gene:Vigun01g177300.v1.2 transcript:Vigun01g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTNRRLEGKVALITGGASGIGKRTAEVFAQHGAKVVIADIQDELGDSVAESIGPSTCSYVHCDVTDEDQVKNAVHITVQTYGKLDIMFNNAGIADPNKNRIIDNDKADFERVLSVNVTGVFLGIKHAAQAMIPARSGTIISTASISSYVGGAASHAYCCAKHAVVGLTKNAAVELGQFGIRVNCLSPYALATPLATNFVGANDEQLETIMNSLANLKGVTLKTDDVANAALYFASDDSSYVSGHNLLIDGAFSIVNPSFHMFQYPQS >Vigun06g050600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17616765:17618420:-1 gene:Vigun06g050600.v1.2 transcript:Vigun06g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEIQVPTPFDPFAEARESDAPGAKEYVHIRIQQRNGKKSLTTVQGLKKEFSYEKILKDLKKEFCCNGNVVQDKELGKIIQLQGDQRKNVSHFLVQAGLVRKDQIKIHGF >Vigun05g099500.1.v1.2 pep primary_assembly:ASM411807v1:5:9740199:9747329:1 gene:Vigun05g099500.v1.2 transcript:Vigun05g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIESMGLILKAFAVLELVLVIVHPSAHALSRDEFPPDFVFGASASAYQVEGAANEDGRKPSIWDTFSHSGNGNMYVGDGDVACDQYHKYKEDVQLMANMGLEAYRFSISWSRIIPDGRGQVNPKGLQYYNNLINELISHGIEAHVTLNHWDLPQTLEDEYGGWVSRRVVKDFTAYADVCFREFGDRVRYWTTVNEGNVHAAFGYDVGFLPPQRCSLPIANCSRGNSSTEPYLAAHHMLLAHASAARLYRKKYQGLQHGLIGFNLLPFGLLPRTNSTEDIAATQRLQDFFIGWFMNPFTFGDYPDIMKKNAGPRLPSFTQKESDLVRGSIDFLGINFYYSFYVRDSPSSLLNRNRDYLTDMSVEFERIDVNDTSFSGEVPVTPGIFLRMLDSLRNAYGNIPIYIHENGQETPRSSSLDDWSRVNYLHAYIESMVDALRSGLNVKGYFVWSFIDAFELLVGYESSYGLYYVDMNDPNRRRQPKVSAEWYSNFLKGKPMDPKITKETQKNASELSHKPSLHSATYSNQNTFKIQSE >Vigun06g166700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28888066:28888209:-1 gene:Vigun06g166700.v1.2 transcript:Vigun06g166700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLSLRSPKFQLWKRFSRLFREQKTRFYIIWRCIVILLRWQERL >Vigun09g198900.1.v1.2 pep primary_assembly:ASM411807v1:9:37357866:37360645:-1 gene:Vigun09g198900.v1.2 transcript:Vigun09g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVNCVGGDTHNVFVYGSLLADEVVHTLLKRLPPTAPAILHDYQRFKIKGRVYPAILPVHNNKVNGRVLLGLSGVELHILDEFEDDEYTRTDVEVFLADKSEKLQVYAYVWANRNDPNLYSEWDFEEWKQVHMNDFVKMTNGFMQELESPESKPRVQTYEAFYNEENDKPLQP >Vigun03g190800.1.v1.2 pep primary_assembly:ASM411807v1:3:26284980:26286345:1 gene:Vigun03g190800.v1.2 transcript:Vigun03g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPASDIKDLNKGAWSKQEDQKLIDYINKHGEVCWRTLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIKRKLISKGIDPNNHRLDHKVPFLQNPPMSEDASEPFRTKLVEKNEPCKSPRHVYGDVSDDASGEAQSSYALPDLNLDLSIAIPSESRTVSENNLKPFRQSYSSRKLPHDSPSTLLLFQ >Vigun05g060700.1.v1.2 pep primary_assembly:ASM411807v1:5:5217101:5221041:1 gene:Vigun05g060700.v1.2 transcript:Vigun05g060700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNKSFELLSEIATNDKHGENSPYFDGWKAYESNPFHPTQNPQGVIQMGLAENPLSLDLIEEWIKKNPQASICTREGVNWFRYIANFQDYHGLPEFRNAVANFMSKVRGERVRFDPDRIVMGGGATGANELIMFCLANPGDAFLVPSPYYPAFVRDLCWRTKAQLIPVECHSSNNFKITMEALEEAYAKAKDGNINVKGLIITNPSNPLGTTMDEETLRSIVGFINENNIHLVCDEIYSATVFRAPCFVSVSEVMQEMEHCNKDLIHIVYSLSKDLGIPGLRVGIVYSYNDEVVNNARKMSSFGLVSTQTQFFLAALLSDNEFVERFLAESARRLGARHNHFTKGLEKVNITCLPSNAGLFFWMNLRSLLKEKTFEGEMMLWRVIINEVKLNVSPGSAFNCPEPGWYRVCFANMDDETVDVALKRIRAFVGKETGKKPVELKRWKSNLRLSFSSRMFDESVMSPHMMSPHSPMPHSPLVRAT >Vigun02g067800.1.v1.2 pep primary_assembly:ASM411807v1:2:21782459:21783601:1 gene:Vigun02g067800.v1.2 transcript:Vigun02g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRFLCESNGNLLAMKKKNFMALAPLPEAFLHFLKAKIHSVFPIPPFLHLLHNRLSISLFFSYLCLRRMKPGSEACIEEVEAEYQTGELGSEERMNVFKEWFSTIFV >Vigun02g067800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21782447:21783573:1 gene:Vigun02g067800.v1.2 transcript:Vigun02g067800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRFLCESNGNLLAMKKKNFMALAPLPEAFLHFLKAKIHSVFPIPPFLHLLHNRLSISLFFSYLCLRRMKPGSEACIEEVEAEVKCNIEKTRVVTELFHTFIINLNNN >VigunL078800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000585.1:25795:31146:1 gene:VigunL078800.v1.2 transcript:VigunL078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYTPIGGIFGPLVDAKCNTKQQQNLAKIMNKNSKQTMPKALVSSHSSKKLMAQNFPKYGEANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQNVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPNEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNMFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun03g086100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7136727:7140225:1 gene:Vigun03g086100.v1.2 transcript:Vigun03g086100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAMDEPQTEKYASHDPNYIVRDKVYNFMKFINMGSIFVLLIFLVASNPSSATPSPTSSPSNNSTCPVSMNYVQTVPWNISYCHNFQPLQSQYQTSTSLCCQTLLSLFGVALAQNLKKNSLFQLPNLPTSISCLQHFQSNLTSLSLPNNLVSSCFDPQQFVITPDICARIQNMEDWFARLGSTPQLDTACKPDLSDRNQCTKCEAEGDKVQQKLSAIDGNESHSQDCFYFTALYMAGVANQYGPESRGALSCILILLLNSQVDSRDSHRALRLGLFIASLAVLVIVLLGLGVYFWYIKRRSVENLLAYADLQEERFRLRLRPNTVLTWFEIESLVRATNNFSPQNFIGRGGFGTVYKGILPDGTMVAVKRLEESNYQADDLFYSEVEIVSNLKHRNLVPLRGCCVVDENHNLEYRGRYLVHQYMPNGSLQDHLFPTELDNQYTKKSLTWTQRKSIVLDVANALVYLHFGVKPAVYHRDIKSTNILLDADMRARVGDFGLVKRSSESTSHLNTIVAGTRGYVAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALELSSSGAPVFLITDWVWSLMRSGNIGEALDASLLVDKNCARNIMERFLLVGILSSHVMFASRPTILNALKMLEGDIEIPPIPDRPLMHGHYVMYDGDGSGMSSECGFVSS >Vigun01g219100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39300476:39301363:-1 gene:Vigun01g219100.v1.2 transcript:Vigun01g219100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLNMNNKEQRCPSWTRVQNKQFEKALVLYGEDTPDRWHNIAKEVCDKSVEEVKKHYAILLEDVSRIESGQVPIPEYKLSDA >Vigun01g219100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39299635:39301363:-1 gene:Vigun01g219100.v1.2 transcript:Vigun01g219100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLNMNNKEQRCPSWTRVQNKQFEKALVLYGEDTPDRWHNIAKEVCDKSVEEVKKHYAILLEDVSRIESGQVPIPEYKLSDA >Vigun10g162600.1.v1.2 pep primary_assembly:ASM411807v1:10:38141531:38144172:-1 gene:Vigun10g162600.v1.2 transcript:Vigun10g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPFVGFSCILVLLAFASASCSSTYGSMEMERTLAIIKPDGLIGNYTDDIKRTIVEYGFTIFKEKIVQLDQATVEKFYAEHSSKGFFSRLTKYMTSGPVLAMILEKDNAIADWRALMGPTDASKAKITHPHSIRARCGLDIERNCVHGSDSPKSAQREISFFFKELSADVVAEHDEL >Vigun10g162600.2.v1.2 pep primary_assembly:ASM411807v1:10:38141531:38144172:-1 gene:Vigun10g162600.v1.2 transcript:Vigun10g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPFVGFSCILVLLAFASASCSSTYGSMEMERTLAIIKPDGLIGNYTDDIKRTIVEYGFTIFKEKIVQLDQATVEKFYAEHSSKGFFSRLTKYMTSGPVLAMILEKDNAIADWRALMGPTDASKAKITHPHSIRARCGLDIERNCVHGSDSPKSAQREISFFFKELSADVVAEHDEL >Vigun03g270700.1.v1.2 pep primary_assembly:ASM411807v1:3:44466228:44468170:-1 gene:Vigun03g270700.v1.2 transcript:Vigun03g270700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATFMQPTKLGRTNTLQLKSPHSISKAFGLEPAGAKLSCSLQTDLKDLAQKCVDATKIAGFALATSALVVSGASAEGVPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGVDSFAFKAGKYNAKKLCLEPTSFTVKAEGVAKNAPLEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGQFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELAKENNKSASSSTGKITLSVTKTKPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLES >Vigun09g197100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37216330:37217378:1 gene:Vigun09g197100.v1.2 transcript:Vigun09g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKYWFGSRSQRTQDIKLGRSYTKPHYDGTKTVWQMLWRKLKRSADKKRVFSSPTSMEGVYDPQTYSMNFDQGMGWMEPDNLPRSFSARFADPSRILPPKHLLD >Vigun02g041050.1.v1.2 pep primary_assembly:ASM411807v1:2:16730284:16733604:1 gene:Vigun02g041050.v1.2 transcript:Vigun02g041050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSSTMTECKIVSLVKSEGDVLSKGDSVVVVESDKADMDVETFYDGILAAIVVAEGQANSAPTPSEPSPPPVSEVSNAPRKVVATPHAKKLAKQHKIDVGSVVGTGPNGRIIPADVEKAAGVTPPERNVSAAEVASSPPKAAAAASPASPANASAPIPGSSVVAFTTMQSAVARTWWRVSLCQRSVLGAILGGASTEHSIGSISNCEPKGVTMTAILAKAAAMALVQHPVVNASCKDGKNFAYNSNINIAIAVAINGGLITPVLRDADKVCGCLVNWRFLYLLSQKWKELVEKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVLAEKDGFFSIGLTEEIKKFICGLHHSSDEN >Vigun06g207400.1.v1.2 pep primary_assembly:ASM411807v1:6:32094881:32095895:1 gene:Vigun06g207400.v1.2 transcript:Vigun06g207400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWKLLLLWFALMFAAMGVKVSLSIAATHLPLLWTFLLLCFKPPYLFLLLNTIIISILASSRFHHSKHQSDPTPPPPLQEQEVEKEVIHQEVTVKRTDSTEIPSLMEKTPVSASFTPRKFLKSTSEGGRTLRVVANAKRHETLESTWKAITEGRSVPLSRHMKKCDTWQIRGNLAPSLENKSETFTDVSTAGRLRKEPSLSQEELNRRVEAFIRKFNEDMMLQRQQSLEQYIQMINRGAA >Vigun11g087000.1.v1.2 pep primary_assembly:ASM411807v1:11:26000480:26002983:-1 gene:Vigun11g087000.v1.2 transcript:Vigun11g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINNFLFAIISMLSLLAFSSNAQLSPSFYARTCPKLQSIVRTTMRQAVDTEPRMAASILRLFFHDCFVQGCDASVLLDDTANFTGEKNAFPNINSLRGFNVIDNIKTNVEAACNATVSCADILALAARDGVVRVGGPSWPVPLGRRDSRTANQSAANSDLPAPFANLSSLISAFAAKGLTPKDLTALSGAHTIGKAQCLLFRTRIYNETNIDPNFAATRRTTCPFTGGNSNLAPLDLVTPTDFDNEYYANLLNQRGLLHSDQELFNGGSQDALTSTYAANNTAFFKDFAIAMVKMGNISPLTGTNGEIRRNCRVVN >Vigun03g274400.1.v1.2 pep primary_assembly:ASM411807v1:3:45021843:45027285:1 gene:Vigun03g274400.v1.2 transcript:Vigun03g274400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSTLSFTHSCSSSSSSAAAADHRRKAWLFGSSSKKRACHGENDARWHEDDSPFSSPGTPQPLPLPELASSSALIRNRDGKCRLPSPKEAATATTYTGCRMRSAFAGQDTRRSMEQAETRSSRMVHQDACGCCESARDNSNTNNSNLASIPRRSSFSSIPFASPSILSPKKTKNGESVPYYYASPRGNQFWSAPEMSTCETGLPPPAFFDLSVLSTEASPSPTSHPSPQRRSPGHHTKTLSGPLSPIHSKLSLEISMVRRESTAPPVSVHPLPLPPGAPLPSPSSASTFSNARAESLPMKNQWQKGKLIGRGTFGSVYVATNRETGALCAMKEVEMFPDDPKSAECIKQLEQEIKVLSHLQHSNIVQYFGSETVEGRFYIYLEYVHPGSINKYVREHCGAITESVIRNFTRHILSGLAYLHSKKTIHRDIKGANLLVDSAGVVKLADFGMAKHLNGFEANLSLRGSPYWMAPELLQAVMQKDNNPDLAFAIDIWSLGCTIIEMFTGKPPWSEYEGAAALFKVMKETPPIPETLSPEGKDFLRCCFKRNPAERPAAAVLLEHRFLKNSQQLDVSSPTQLYNGTGFMDKPRSPIKQFEYKHDQLSISCAKIAKVKAADRRGFRISSLEILPASFNQ >Vigun05g006000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:492244:495790:-1 gene:Vigun05g006000.v1.2 transcript:Vigun05g006000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFFLNNTNLKKIAWKESSKQIRNPNLKLEEEEEETMSGVKRALRQFTFGSGKTAGRNSSGRITSFHRGGGAKRLQRTVDLKRNTTSSLGVVERIEYDPNRSSDIALVRWVHGVYHRQRRDAADAAGSSKLLALNPSVTDSNSIRGVFALNSMLPRVGTSTRDVFLSAFSSKAKGRDTKSELESVSSLGLPRIAVAASRAPFFAPRGKGEETLEVRKWRRNSDAWAHRNKRKVAVSWQIIAR >Vigun05g006000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:492245:495790:-1 gene:Vigun05g006000.v1.2 transcript:Vigun05g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFFLNNTNLKKIAWKESSKQIRNPNLKLEEEEEETMSGVKRALRQFTFGSGKTAGRNSSGRITSFHRGGGAKRLQRTVDLKRNTTSSLGVVERIEYDPNRSSDIALVRWVHGVYHRQRRDAADAAGSSKLLALNPSVTDSNSIRGVFALNSMLPRVGTSTRDVFLSAFSSKAKGRDTKSELESVSSLGLPRIAVAASRAPFFAPRGKGEETLEVRKWRRNSDAWAHRNKRKVAVSWQIIAR >Vigun08g054200.1.v1.2 pep primary_assembly:ASM411807v1:8:6531560:6536873:1 gene:Vigun08g054200.v1.2 transcript:Vigun08g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPRKYLFSPILALILLSFIVVVVLRPVQLLKFTTIAGSKRGHSVPLDRLRMKLSGENQLHAVVSSIDLRRNKFSDRTAARKVGKLEQTLAAARASMRKAASESEGERSNHSVAATVHDGGDRHYVPAGAIYRNARLFHRSYQEMEKIFKVYVYPDGDLPIAHDGPCKDIYSIEGRFLHEMEHGPGRFRTNDPNAAHVYFLPFSVTWMVKYLYTPLSYNLTPLKHFVSDYVRLISMRHPFWNTTRGADHFMLSCHDWAPHASQGNPFLYNTSIRVMCNANTSEGFNPRKDVSLPEIHLYGGDVSPKLLSPPSNSTPRRYLAFFSGGLHGPIRPTLLRHWKNHDGDIRVYEYLPKDLDYYSFMLDSKFCLCPSGHEVASPRIVEAIYAECVPVILSKYYVLPFSDVLQWEAFSVQVDVSDIPRLKEILSAISEDEYWKLKEGVKAVRKHFTLNRPAKRFDVFHMILHSIWLRRLNIQLR >Vigun08g054200.2.v1.2 pep primary_assembly:ASM411807v1:8:6531560:6537145:1 gene:Vigun08g054200.v1.2 transcript:Vigun08g054200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPRKYLFSPILALILLSFIVVVVLRPVQLLKFTTIAGSKRGHSVPLDRLRMKLSGENQLHAVVSSIDLRRNKFSDRTAARKVGKLEQTLAAARASMRKAASESEGERSNHSVAATVHDGGDRHYVPAGAIYRNARLFHRSYQEMEKIFKVYVYPDGDLPIAHDGPCKDIYSIEGRFLHEMEHGPGRFRTNDPNAAHVYFLPFSVTWMVKYLYTPLSYNLTPLKHFVSDYVRLISMRHPFWNTTRGADHFMLSCHDWAPHASQGNPFLYNTSIRVMCNANTSEGFNPRKDVSLPEIHLYGGDVSPKLLSPPSNSTPRRYLAFFSGGLHGPIRPTLLRHWKNHDGDIRVYEYLPKDLDYYSFMLDSKFCLCPSGHEVASPRIVEAIYAECVPVILSKYYVLPFSDVLQWEAFSVQVDVSDIPRLKEILSAISEDEYWKLKEGVKAVRKHFTLNRPAKRFDP >Vigun07g097840.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17070649:17071580:-1 gene:Vigun07g097840.v1.2 transcript:Vigun07g097840.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEECVTVVVIFVPRKCIEYEEGKFLCVNDSLRGCEHDEGSEWRERWRWKPNGDGGDFGGTVVLRMGEIKVECEAHDLWLWMGDESVNNGEAKKIMVMCVYVDL >Vigun11g120900.1.v1.2 pep primary_assembly:ASM411807v1:11:32713739:32717584:1 gene:Vigun11g120900.v1.2 transcript:Vigun11g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNENQKTGLGRALVKQHNQMIQQSKDKSRFYKKKVLESFTEVSDIDAVIEQSLESLPEHAVASTTIISLDPAEMTPEETRKQEEALHASSLRVPRRPPWTPDMSPQELHDSETQAFLVWRRSLARLEENKKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDSRDPLFYRCPDLEAYAREVDEHKRTLLLVNKADLLPASVREKWAAYFRAHDILFIFWSAKAATAALEGKNLGSSWDDDNMGRTNSPDTKIYGRDELLARLQSEAEEIVNRRRNSGTSDAGPSNIKSPAENTAGSSSSSHVVVGFVGYPNVGKSSTINALVGEKRTGVTSTPGKTKHFQTLIISDKLTLCDCPGLVFPSFSSSRYEMIACGVLPIDRMTEHRESIQVVADRVPRHLIEQIYRITLPKPKPYESQSRPPLASELLRAYCGSRGYVASSGLPDETRGTRQILKDYIDGKLPHYELPPGTSEEEHALEDPTRHDSVDLHASDSSDVEDSSDVESGLAPNLEHVLDDLSSFDMANGLAPKSTTLKKPKASHKHHKKPQRKKDRAWRAGNDDADGTPVVRFFQKPANTGSLKD >Vigun07g140300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25064641:25066597:1 gene:Vigun07g140300.v1.2 transcript:Vigun07g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNPKPFVFSAVAMSGPPERKIPTAAENYPVPLSPPLPTISKQIELSRAMTASSNSSIFSLSPSDILYHDDHLIAVNKHQGIYCETLLSSLASQSHELHLANRLDRDTSGILLLTKSHKVAAKLVKAFTEHKVKKTYIALCTGPPPDWEQVTVRSGHGRSKFGAWRVYAASDVGRILPGGSVVRSMETSFEVLSVNGNGSFREVSDSGDEGNVLVVEEKAVKEDGNASEIVVRAYPRSGRTHQIRLHCQYLGISIVGDVKYEGEYEWKGTTHDAHHLHAETLSFAHPVTGLDVMLRAPLPLWATEAFQH >Vigun06g210300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32339287:32340485:1 gene:Vigun06g210300.v1.2 transcript:Vigun06g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTSQTGEGSKRKGSNLLRYLAMVILALIIVVGIAVIIVWLVVKPKTLEYSVENAAIHNFNLTDANHLYANFDFTIRSYNPNSRVSIYYDTVEVSVRYEDQTLATNAVQPFFQAHKNVTRLHVGLTAQTVALYESVPKDLKLEKSSGDIELDVLVRARIRFKVGSWKSKHRVLRIFCSPVLVHFSKAKSFQRVPCEVEL >Vigun10g048800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7514531:7517996:-1 gene:Vigun10g048800.v1.2 transcript:Vigun10g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNDAASPTKKPQPIPWTHQETVHLIRAYQEKWYALKRGPLRHNQWEEVAVVVAARCGYDLAYPAKSALQCRHKMEKLRQRHRAEKKHVAATLRPAAWQYNALMEDLECGPLPISALAPFQNDTDSEPDDEGAYQNGNDGDSFVKSKSINCILGERPVRMRSGERGFLREHVREERDEVDDGDRDDDDDVLALPAEIRAFAERFVGMESLKMEMMKETERCRLEMEKKRIQMILDSQRRIVDSIGRAFGSNKRIKITQQI >Vigun01g217800.1.v1.2 pep primary_assembly:ASM411807v1:1:39160680:39165838:-1 gene:Vigun01g217800.v1.2 transcript:Vigun01g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTILQSLSSCRNTQNQDHFFFYFTYTMPFISKIQRQSDYTLFSSATPIVIDNGASYFRIGWAGETQPRVVFRSIVQRPRHKATGEIVTIVGDHDPSLMKYFDCSRSGPRSAFDSNVVYQFEMMEYIDHPVLITECVCNPIQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQQHGVCDKDGLALCPGFNTTHVIPFVDGEPIYKGCCRTNVGGFHVTDYLKQLLSLKYPYHMARFTWEKVDDLKMEHCYIAPDYASEARLFQKKSKEAKEKTRCWQLPWVPPPTEEPPSEEEIARKAAIRERQGQRLREMAEAKRSSRINELENELHGLEFLLKQLEQVEDSDIPSFLAETGYVSRQEIESARIKVTQSLRKAKGEPKSEQAETEKPDSAANEKYSLINIPDDMLSPEQLVEKKKQFSLKSMSDGRQRMKQKRYEEELERERKQQLEEEKRLENPELYLEQLRAKYKDLSEKVEQRKRLKTNGDHSNGNNLSGGIGRGERLSAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDGDEGPDEDDTELARISSKLQDLDPTFVPKSENGSSQPAEASRVRPLTKEDFQIVFGVERFRCPEILFNPNWIAVDQAGLDEMAGVSIRRLSCKDKGLEQRLTNSILVTGGSSIFPGIIERLEAGIRMIRPCGSPIKVVRALDPIMDAWRGAAEYATIPQFHTQTFTRMDYFEKGEDWLRSYQLKYSL >Vigun01g217800.3.v1.2 pep primary_assembly:ASM411807v1:1:39160679:39165838:-1 gene:Vigun01g217800.v1.2 transcript:Vigun01g217800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTILQSLSSCRNTQNQDHFFFYFTYTMPFISKIQRQSDYTLFSSATPIVIDNGASYFRIGWAGETQPRVVFRSIVQRPRHKATGEIVTIVGDHDPSLMKYFDCSRSGPRSAFDSNVVYQFEMMEYILDFGFDRLGATGSEIDHPVLITECVCNPIQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQQHGVCDKDGLALCPGFNTTHVIPFVDGEPIYKGCCRTNVGGFHVTDYLKQLLSLKYPYHMARFTWEKVDDLKMEHCYIAPDYASEARLFQKKSKEAKEKTRCWQLPWVPPPTEEPPSEEEIARKAAIRERQGQRLREMAEAKRQEIESARIKVTQSLRKAKGEPKSEQAETEKPDSAANEKYSLINIPDDMLSPEQLVEKKKQFSLKSMSDGRQRMKQKRYEEELERERKQQLEEEKRLENPELYLEQLRAKYKDLSEKVEQRKRLKTNGDHSNGNNLSGGIGRGERLSAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDGDEGPDEDDTELARISSKLQDLDPTFVPKSENGSSQPAEASRVRPLTKEDFQIVFGVERFRCPEILFNPNWIAVDQAGLDEMAGVSIRRLSCKDKGLEQRLTNSILVTGGSSIFPGIIERLEAGIRMIRPCGSPIKVVRALDPIMDAWRGAAEYATIPQFHTQTFTRMDYFEKGEDWLRSYQLKYSL >Vigun01g217800.2.v1.2 pep primary_assembly:ASM411807v1:1:39160680:39165838:-1 gene:Vigun01g217800.v1.2 transcript:Vigun01g217800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTILQSLSSCRNTQNQDHFFFYFTYTMPFISKIQRQSDYTLFSSATPIVIDNGASYFRIGWAGETQPRVVFRSIVQRPRHKATGEIVTIVGDHDPSLMKYFDCSRSGPRSAFDSNVVYQFEMMEYILDFGFDRLGATGSEIDHPVLITECVCNPIQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQQHGVCDKDGLALCPGFNTTHVIPFVDGEPIYKGCCRTNVGGFHVTDYLKQLLSLKYPYHMARFTWEKVDDLKMEHCYIAPDYASEARLFQKKSKEAKEKTRCWQLPWVPPPTEEPPSEEEIARKAAIRERQGQRLREMAEAKRSSRINELENELHGLEFLLKQLEQVEDSDIPSFLAETGYVSRQEIESARIKVTQSLRKAKGEPKSEQAETEKPDSAANEKYSLINIPDDMLSPEQLVEKKKQFSLKSMSDGRQRMKQKRYEEELERERKQQLEEEKRLENPELYLEQLRAKYKDLSEKVEQRKRLKTNGDHSNGNNLSGGIGRGERLSAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDGDEGPDEDDTELARISSKLQDLDPTFVPKSENGSSQPAEASRVRPLTKEDFQIVFGVERFRCPEILFNPNWIAVDQAGLDEMAGVSIRRLSCKDKGLEQRLTNSILVTGGSSIFPGIIERLEAGIRMIRPCGSPIKVVRALDPIMDAWRGAAEYATIPQFHTQTFTRMDYFEKGEDWLRSYQLKYSL >Vigun02g003800.1.v1.2 pep primary_assembly:ASM411807v1:2:2010467:2013967:-1 gene:Vigun02g003800.v1.2 transcript:Vigun02g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGNVKGEEAFRTTRVPPWTKQITVRSVVTSFVLSLVFNFIVCKLNFTTGIIPSLNVAAGLLGFAVIKSYTTLLNSCGLLREPFTRQENTVIQTFVVASSGIAFSSGMGSYLLGMSPYIAAQVDGGNTPINTKSLSLGWMFGFLFVVSFVGLFSIVPLRKMMILKYKLTYPSGTATALLVNSLHTPKGAKLAKKQVAMLFKSFCGSFAFGFFQWFFTAGDGCGFNTFPTFGLQAYSKRFYFDFSSTYVGVGMICPYLINVSLLLGAVISWGILWPWIEKKKGIWYSADIPASSLSSIQGYRVFTAIAMMLGDGLYHCVMMLIRVAYSLITEHLEKKKSRHLNPDNVDKTPSADLDTQRRTEYFLKDQIPSWAAFSGYIVLAVVSIITVSHIFPQLKWYHVLITYLIAPILAFCNAYGCGLTDWSLASNYGKVAIIIFSSWVGLENGGIIAGLASCGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVLGTATGCLVSPLMFWFFHKAYTLGDPQGSYPAPYGEVYRGMALLGAKGFSSLPKHCLQLAIIFFFLAVFINIVRDLLVHYETKYRIYRFVPNAMALAIPFYLGGYFAIDMCIGSLILFLWEKKNKSNANDFGPALASGLICGDSLWSVPAAIMSLAGATPPICMKFLSSAVNKKVDTFLNGGP >Vigun04g177200.1.v1.2 pep primary_assembly:ASM411807v1:4:40036664:40039309:1 gene:Vigun04g177200.v1.2 transcript:Vigun04g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNDVVTYASGLPLALEVIGSNLFAKSVEQWKSAINQYKRIPNNKIQEILKVSFDALEEEEKCVFLDIACCFEGYELTKVEVMLRALYDDCMKYHIGVLVQKSLIKINYPGIIVMHDLIGDMGKQIDQRESSKKPGKGRRLWLPKDIIQVLMDNTGTSETEILCLDLSISEKEETLEWNANAFRRMKNLKILIIRNGKFSKGPNYFPESLRILEWHGYPSNCLPSNSHPNKLVTCKLPNSSFTSFGFNGSSKKFKNLTELNFDNCKILTRIPDVSDLPNLEKLSFERCERVIAVDDSIGFLNKLKILKAQHCTKLRRFPPLNLPSLKVLQLSHCYSLENFPEILGKMGNIREPFLFKVGIEELPVSFQHLTGLHELYAECDFLQLNSSVLTSSLTGFFANGCKEWKWINSKDGEEVGSRVSSTLRSFEQLHEAGKTDFVLPGGSIPEWLDKESWGPSISFWFRNQFPPKVLCFFIASVRNDIIYHLVRPVVLINGKVQGYKAGYYMHEVMAELDHMQLFDLHVLPFSEDLMKMASEKEWKHVEITYQGLLINSFIKAMGIHIVKSERRGMEDIRYDDPNTTTKVWPCNFSVTFLPFFFRFLFALILFLTLLIHLDFVNLSSLCVFNLRF >Vigun07g240300.1.v1.2 pep primary_assembly:ASM411807v1:7:36154363:36158578:1 gene:Vigun07g240300.v1.2 transcript:Vigun07g240300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTELGDHKETAKVEAVLELLRKQTPLTVKQEKFCNYACVKRFLKAKGDSVKKASKQLKACLAWRESVITDHLIADDFSAELADGLAYVAGHDDESRPVMIFRLKQDYQKLHSQKMFTRLMAFTMEVAISTMPKNVEQFVMLFDASFYRSASAFMNQLLPALKIVAEYYPGRLCKAFVIDPPSLFAYLWKGVRPFVELSSWTTVVSSLDFEESLDFNDFAAYPRASSLRFDASSVKSTAKIGSCSSSRFSFTVSHHLDSLKPWYLSLTDTSASKVGPTSPSPALISPLNARSLSFASPVARTPRGPPATRKGLFPSTPLPQRVTAPPRTSFLQSPATFFRRESHVSVKGERCRESFLPYVKFYRRPYDEMVYRSKMRPPLGGLISIVSPHIRRRHVSVSQRF >Vigun07g272300.3.v1.2 pep primary_assembly:ASM411807v1:7:38786418:38790636:1 gene:Vigun07g272300.v1.2 transcript:Vigun07g272300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVQGFTKSLAMTILSEIGDKTFFAAAILAMRHPRRLVLSGCLSSLIVMTILSALVGWAAPNLISRTWTHHITTLLFLVFGLWSLKDALFEQGEAEELAEVEAKLDKDWKANNGAAKNSNKVDDATKKEQRSFLSQFFSPIFLQAFSITFFGEWGDKSQVWLQMRIHLVWFSGEFWDKRCAPLLLLLEGRV >Vigun07g272300.2.v1.2 pep primary_assembly:ASM411807v1:7:38786418:38790636:1 gene:Vigun07g272300.v1.2 transcript:Vigun07g272300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVQGFTKSLAMTILSEIGDKTFFAAAILAMRHPRRLVLSGCLSSLIVMTILSALVGWAAPNLISRTWTHHITTLLFLVFGLWSLKDALFEQGEAEELAEVEAKLDKDWKANNGAAKNSNKVDDATKKEQRSFLSQFFSPIFLQAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTSAAVIGGKSLASQISEKIVALSGGILFIVFGIQSFLSPVES >Vigun07g272300.1.v1.2 pep primary_assembly:ASM411807v1:7:38786279:38791167:1 gene:Vigun07g272300.v1.2 transcript:Vigun07g272300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVQGFTKSLAMTILSEIGDKTFFAAAILAMRHPRRLVLSGCLSSLIVMTILSALVGWAAPNLISRTWTHHITTLLFLVFGLWSLKDALFEQGEAEELAEVEAKLDKDWKANNGAAKNSNKVDDATKKEQRSFLSQFFSPIFLQAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTSAAVIGGKSLASQISEKIVALSGGILFIVFGIQSFLSPVES >Vigun07g272300.4.v1.2 pep primary_assembly:ASM411807v1:7:38786248:38790247:1 gene:Vigun07g272300.v1.2 transcript:Vigun07g272300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVQGFTKSLAMTILSEIGDKTFFAAAILAMRHPRRLVLSGCLSSLIVMTILSALVGWAAPNLISRTWTHHITTLLFLVFGLWSLKDALFEQGEAEELAEVEAKLDKDWKANNGAAKNSNKVDDATKKEQRSFLSQFFSPIFLQAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTSAAVIGGKSLASQISEKIVALSGGILFIVFGIQSFLSPVES >Vigun07g144100.1.v1.2 pep primary_assembly:ASM411807v1:7:25478228:25481516:-1 gene:Vigun07g144100.v1.2 transcript:Vigun07g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKSQVESLRKWVVEHKLRAVGCLWLSGITGSIAYNWSRPNMKPSVKIIHARLHAQALTLGALVGAALVEYYDVKKGTKTF >Vigun07g144100.2.v1.2 pep primary_assembly:ASM411807v1:7:25478756:25481086:-1 gene:Vigun07g144100.v1.2 transcript:Vigun07g144100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAQASSWCLWLSGITGSIAYNWSRPNMKPSVKIIHARLHAQALTLGALVGAALVEYYDVKKGTKTF >Vigun11g002700.1.v1.2 pep primary_assembly:ASM411807v1:11:262641:266712:1 gene:Vigun11g002700.v1.2 transcript:Vigun11g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKVVLLLATLSLFHSAASLNRSSFPSDFFFGTASSAYQYEGAAREGGKGPSIWDTFTHNHPDRIADHSNGDVAIDSYHRYKGDVAMMKDIGFNAYRFSISWPRILPRGNLQGGVNREGIAYYNNLINDLIANGQQPFITLFHSDFPQALEDEYGGFLNPKIVQDFADYAEVCFREFGDRVKHWITLNEPVLYSTQGYGDGGSPPNRCSKWFANCTAGDSTTEPYVVTHHLILSHAAAVKVYREKFQVSQKGQIGVTLNSAWVLPLSQSKEDREAAYRGLAFMYDWFMEPLYSGAYPAIMVKNVGGRLPKFTRSEYLMVKGSFDFIGLNYYTSAYATSAPCPRERPTAFTDACVTLTSRRNGVLIGPKAASDWLYVYPPGIQGLLEYTKEKFNNPVIYITENGIDEVNDGKMVLNDRARIDYYSHHLVYLHKAIRNGVRVKGYFAWSLLDNFEWRAGYSLRFGLVYVDYKNGLKRYRKRSALWFKIFLHQ >Vigun07g094500.1.v1.2 pep primary_assembly:ASM411807v1:7:15342115:15350558:-1 gene:Vigun07g094500.v1.2 transcript:Vigun07g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLFNGRVLAHRSTTMAAEDSPGIRVRGMQFSYETQQPPLFLDFNLNIEPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCSGDLAYLGGSWSKNVGSAGEIPLQGDFSAEHMIFGVEGADPDRRDKLIELLGIDLQWRMHKVSDGQRRRVQICLGLLYPYKVLLLDEVTVDLDVVTRMDLLEFFREECEQREATIVYATHIFDGLEAWATHLAYIQDGELRIAEKISDVNELKSSTNLLSVVEAWLRAETKVVKKKPVQKTFASSPFFSSRHMAYYR >Vigun03g384400.1.v1.2 pep primary_assembly:ASM411807v1:3:58928644:58932174:-1 gene:Vigun03g384400.v1.2 transcript:Vigun03g384400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPINAESTPPPVIGKIGPYTVFMTPPSTPKPSDDTATTTTTTKIAPPPPQIPKTVPSPKPLSGSDDSLFGIFRNAVNKVQTAHSSLDDHVARWFGLNQSKYQWALDDYYESKGMEKGDIKMKEVSSKVQNV >Vigun08g110200.1.v1.2 pep primary_assembly:ASM411807v1:8:27355838:27362652:-1 gene:Vigun08g110200.v1.2 transcript:Vigun08g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVKLYVEEDQVVMDNGIVQVYLSNPGGFVTRIQYNGIDNLLETRNKIGDRGYWDVVWSVAGSTGTTGTFERIVGTSFSVIMKNDKQVEISFTSKWDPSLKGEKAPLNIDKRYVMLCNSSGFYSYTIFEHLQEWPAFNIPQIRTVYKLSKDKFHYMVVSDNRQRFMPLPDDRLPGRGEELVPPEAVLLVNPLEPEFKGEVDDKYQYSSENKDLMVHGWISSQSQTDPFVGFWVIIPSNEFRSGGPVKQNLTSHVGPISLAMFLSAHYAGEDIVLKLQPKEPWKKVFGPTFVYLNTSLDGDDPKVLWEDAKDKTKAEIQSWPYDFPASEDFQKSSQRGSVCGTLLVQDRFLSDEYIIAEGAYIGVAAPGEAGSWQRECKGYQFWSKANEKGYFSIENIRSGDYNLYAWVPGFIGEYWSNVLLTITPGCEIDVGEIVFEAPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLFVHHPDKYRQYGLWERYAELYPNEDLIYTVGVSDYAKDWFFAQVTRKKEDGSYQGTTWQIKFNLDDTEASKIYKLRLALASANVSELQVRVNDPEQDPPLFTTGVIGKDFAIARHGIHGLYWLFNIDVPGFLLEKGDNTVFLTQTVATGPLARFQGIMYDYIRLEGPKPISAIKEY >Vigun04g061800.1.v1.2 pep primary_assembly:ASM411807v1:4:6438857:6441593:-1 gene:Vigun04g061800.v1.2 transcript:Vigun04g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPCLLLAIILPLCYCVDAACSNGICKLNDECSSNGDCGTGLYCFSCPLGFSGSRCVRSSVTDQFKLINNSLPFNKYAFLTTHNSFAIDGEPSNTGVPRATITNQEDSVTQQLKNGVRGLMLDTYDFDGDVWLCHSFRGHCHDFTAFEPAIDTLKEVAAFLSTNPEEIVTLILDDYVKTPKGLTRVFTEAGLMKFWFPVTRMPEKGGDWPLVSDMVAKNQRLLVFTSRKSKEQSEGIAYQWNYMVENQYGDGGRKAGRCPNRKESSPLDDKSKSLVLINYFRTFPLKPITCEDNSGGLTDMLKTCHGAAGNRWANYVAVDYYKRSEGGGPFKAVDTLNGKLLCGCDDVHACVSGSTSQACSAQKA >Vigun04g159600.1.v1.2 pep primary_assembly:ASM411807v1:4:38186566:38192671:-1 gene:Vigun04g159600.v1.2 transcript:Vigun04g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLECNPGLAKYSTFGGLNSPLHFAASKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVMKADYLSGRTALHFAAINGHARCIRLVVADFVPSAPFESLHARMDVEGDGSNVKNTHEQSVLSRFVNKTADAGITALHMAALNGYFDCVQLLLDLDANVSAATFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRMALNCNGWLPLDVARMWGRHWLEPLLAPTSQATISTFPSSNYLSLPLMSVLNIARDCGLQSTATSSSEVDVCAVCLERSCSVAAEGCGHELCVRCALYLCSTSNVSSETCVPPGSIPCPLCRHGIVSFVKLPGSQAKENKMHVSLSLCTPCMLHPRDLDHSSSLSHTPEIRRNRVASVPSEMLCPVTCTPFPSVAIPLCTCNDGPCPTFESHEAADEPVRRSQASSTMDQEKMEGPRLDKTTCSGMFWGRRSCSREHQCNSEINA >Vigun05g068500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5922275:5923157:-1 gene:Vigun05g068500.v1.2 transcript:Vigun05g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINFLSKATFLLLVFLFLSNCLNTEAEKCHPSGRVKGGKIPSGHCNEEDDLCCVPGKTYPTYTCSPPVSSHTKAYLTLNSFEKGGDGDSPSKCDNQYHSDDKPVVALSTGWFNHKSMCLHNITISGSGRSVVAMVVDECDSSQGCDKHHGYQPPCANNVVVASMAVWKALGIPMNQWGWMDITWSHA >Vigun11g078850.1.v1.2 pep primary_assembly:ASM411807v1:11:23186647:23187443:-1 gene:Vigun11g078850.v1.2 transcript:Vigun11g078850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQLEHENVTKLVLVTLRNGIEEEERFSNRTRKQIQ >Vigun07g274200.1.v1.2 pep primary_assembly:ASM411807v1:7:38996086:39002009:-1 gene:Vigun07g274200.v1.2 transcript:Vigun07g274200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKNSNILEDLETLRLLSKLVPEYSYSLDEEGICKHAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFGPLQSMGSGRIENSFSDLSISSSGTGFGSGSGFGLATDVDSFSTKPKGRPTSSATAPPKGLGMKLGKSQRTNQFLESLKAEGEVILEDVQPKLSQSRGAAPPPTDPITLTVEEKLNVTLKRDGGVSNFDVQGTLSLQILNQEDGHIQVQVQTGDNQAVAFKTHPNMNKELFSNDSILGLKDPNRPFPTGQASDAGGVGLLKWRMQSTDESMVPLTINCWPSSSGNETYVSIEYEASSLFDLRNVVIFVPLPALREAPSVRQIDGEWRYDSRNSILEWSVLLIDNSNRSGSMEFVVPLADSSAFFPISVRFMATDTFSDLKVTNIIPLKGGNPPKFAQRTQLITDNYQVV >Vigun04g105233.1.v1.2 pep primary_assembly:ASM411807v1:4:25218124:25220003:1 gene:Vigun04g105233.v1.2 transcript:Vigun04g105233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILTFANNPRNLSKFIRNVVVNKLKRNGFQGIREFLVEETSNCSNVHSGGSTYSTFDQ >Vigun09g098300.1.v1.2 pep primary_assembly:ASM411807v1:9:15396792:15406795:1 gene:Vigun09g098300.v1.2 transcript:Vigun09g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATEKKSGIMGGGSEGSADVLPWLKSMPVAPEYRPTAAEFQDPIGYIFKIEKEASKYGICKIIPPFPPSPKKTAIANLNRSLAVSGSTFTTRQQQIGFCPRRPRPVQRPVWQSGDHYTFTEFESKAKSFEKAYLKRHTRKGPGPGPGLTPLETETLFWKATLDKPFSVEYANDMPGSAFSPKCRHTGDPTSLADTPWNMRAVSRATGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRVHGYGGEINPLVTFAILGEKTTVMSPEVFISAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRVAKDAAIRRASLNYPPMVSHFQLLYDLALALCSRIPASISAEPRSSRLKDKKKGEGETVIKELFVQDVLQNNDLLHILGKGSAVVLLPRSSVDISICSKLRVGSQQSINVSNSEGMHSSKGFVSDDLVFNRSHGIKQEKSFYSVKDKFSTMYERNRISSFDVNGNLSTSSTKPLQRDTERETSEEDGLSDQRLFSCVTCGILSFSCVAIVQPRDPAARYLMSADCSFFNDSVVGSGVSNSKLTTAPEEATIPVPNMYTGWMKNNVQDGMQDVSAQSSQYALSIESEKGNTALALLASAYGNSSDSEEDQISVDGHETNVLISASESLLSHTQDSHASPVSALDTGDNIPLRSASCEGLMHGRFECNLSHQSLDHPLKKQDYNITSGVRFENMKVPNSTSNCSQDANDAERSFSKMSMVPFDNKNASMVLQSDEDSSRMHVFCLEHAAEAEKQLRPIGGAHIFLLCHPDYPKIEAEAKVVAEDLGIDYTCKSIAYRHASKEDEERIQSALDSEEAIPGNGDWAVKLGINLFYSAHLSRSPLYSKQMPYNSVIYCAFGCSSPASSPEEPKVYQRRVNRQKKVVAGKWCGKVWMSNQVHPLLAKRDSEDAEDEKILLGWILPDERIERSESTPKGETTSRKSGKKRKMAAENGRTRKGSYAKKNVVSYNSTEDKPNSQPRGIHRSKKARNVERDRAALKGDTSAYHHRKPISKQTNCTESDAVSDDSLDDEDDHMQHGRNFDIDNDVVSDDTGDCDSDWQQREEHSSKEDEDMEGDAISEDSLDVGSLQLQRKISEGKYAKCISEEDIISDDQMESCFQKRQRRTPKSRQAKYLTGKSIISDNQLDLKMQKQQRQNPKSRQTKYLNEEDIPSDDQLEGHYRRYQRRNPKGRQAKCVAEEDEMSGDQLEDHCQKLQTSFSRKKQNKGIDREVKYVMSDDQLEDHFPKQQRRIPKSRQNKYPDKEVMDDSAENNSRLLHRTPKRKQAKCMDEDDLNSDDEMEDDQKLRRTLRSKQSKPKTLQQMKQANSVHAKSQASRSIKRVSRVLVKSKTPQQIKPRNKQSTNSREFSLLMEDEEEGGPSTRLRKRATKAQGTEGKLKEKQTKRKKMKNDSTAKVSVGHVKGKDEEADYQCDIDGCSMSFGSKQELLHHKKNICPVKGCGKKFFSHKYLVQHRRVHEDERPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSAKKSRQ >Vigun09g243400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41247994:41249088:-1 gene:Vigun09g243400.v1.2 transcript:Vigun09g243400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPRRPKSKQPPLLFFLILSLFSLSNEAKSTATTTLTHQFKEAPEFYNSPDCASITDTQDNLNNHQNADTYICSEEAVHVAMTLDTTYIRGSMAAILSVLQHSSCPQNIFFHFVCSSGASLLRAAISNSFPYLNFHLYTFDDSQVSGLISTSIRSALDCPLNYARSYLPNLLPLCVRRVVYLDSDLILVDDIAKLADTPLGDKAVLAAPEYCNANFTAYFTPTFWSNPSLSLTFADRKPCYFNTGVMVIDLERWREGDYTTKIEEWMELQKRIRIYDLGSLPPFLLVFAGNIASVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLNTPFSLDS >Vigun02g084700.1.v1.2 pep primary_assembly:ASM411807v1:2:23867086:23874205:-1 gene:Vigun02g084700.v1.2 transcript:Vigun02g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKEVYERVFPEKFSFSLSNSAHAMEFPSSSIFSPRPSDDTVFYAIYPDSSTATATATATATLHSLHLEILQTISPFTQDYIWQHQPFTLSVSTPPNPSCPCSSSSNLPHLHGHLRYGDNLDDEWFAVFLLFQISLRFPSLSLRLWDSDGDFLLIEAAFHLPRWLNPDTSHHRLFLRHGNLHIVPRDRLPHPSLVDSLAFVANSGHESLASDAVQRAVKKRIEDYPERARRNMHRVRIRVPVSVAQVLKHEPRLISLAVEGFYDRDVDTMKFAAGMERFVERGVTEELVCVSVKMSRAMYAQLVQQRFQAPKCYPTMPGRAEREGFVEAELGMKIACGLEMMYQQRKRDGVEGQGSTWEAFKNSLENSGYFQGLLPGSSEYQRLVQSAQEYYRNTSLHSQASELMNAPVRRIDEILALPHSVDDFKDQEVPPSDDDSWLYGGEEELNSVLMERQKEMELYDLKHKKKGKAKEDHDTGLSSALNADEFDPGDIAKTMQSFVHKLSSYKGAEAPEDRNMEVDLDVDQFIKDMGSIMKYPDNEAANSNIEEGSSSDPDFDESDSDVGELGEDGDDTFMRSYSDAMNEELKATTLQKSFVRANEQIPRNQGTSNSSGHNMDEDFSPVDVDVNLVKSFLDSFSSQQGLPGPASNLLGLMGLQLPQDTTKKGN >Vigun08g049400.1.v1.2 pep primary_assembly:ASM411807v1:8:5534515:5536880:-1 gene:Vigun08g049400.v1.2 transcript:Vigun08g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTILSIILLLPIICEGSCSLRDLSITQTLTGVKVEGKPEWIVKITNNCACVQLNVILNCKGFQSVEKIDSSVLRNSSGRCLVNNGQSVYGEDAVQFKYASDKQFPFTPISSQIACS >Vigun05g113600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12005364:12005881:1 gene:Vigun05g113600.v1.2 transcript:Vigun05g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVIFIIVVVCVFTLLVILTTMCRLATGRPGYGSGGGGGFDHHNNHRLHNEMMMGGIIGGTAGGMAGGIIGDTTVSGGGGGGGGGGGGCGGGGGSAC >Vigun01g115100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29147619:29148356:-1 gene:Vigun01g115100.v1.2 transcript:Vigun01g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCMEPKAEEDALSPEPQRRSICSNCDRPTRVCLCHALPFPPIQTATRILIVQHPHEARHKLSTTPILNKSLLRASSVTSRRLRHGLSPILDRSPAALYLFPSSSSSTTPALHISAVRPSADLVLIAFDATWQHAREMVRASEDFLSGFATRVCLDVDESVGGGSIYDSELILRKEPFAGCVSTMEAVARALRVLEPNGPEIEEKLVGVLREMVRLQAGFLKPVKPRPKLLKKKTEENEKKEGS >Vigun03g120200.1.v1.2 pep primary_assembly:ASM411807v1:3:11211508:11214764:-1 gene:Vigun03g120200.v1.2 transcript:Vigun03g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFHSLSFFVLTFISFNPCNAEEAQTIVDTLGRNHFLQKIYEWGNGAQGFEEAEIQFSGPIVVAGVLCFIASSISSAGGIGGGGLFIPILTIVAGLDLKIASSLSAFMVTGGSVANVMCNLCATSPKFGGKSLIDYDIALLSEPCMLLGVSVGVICNLVFPEWLITMLFAVFLTWSTSKTCNSGVLFWKIESEERRKRDGFEGLENGLLEHGSGEEREGGVQGNKEKEGMKSVEEQVLVPEENIRVRIPWLKLVVLLLVWFTFFSLYLLRGNKYGQSIIPMEPCGVGYWILSSAQIPLALFFTAWIVYRKDSHQDQNLMHEDPCLSSRGPSNKLIFPIMALLAGILGGVFGIGGGMLISPLLLHVGIAPEVTAATCSFMVFFSSTMSALQYLLLGMDHIETAIMLAIICFVASLIGLLVVQRAIQSYGRPSIIVFSVSIVMTLSVVLMTSFGMIRTWKDYVSGRYMGFKLPC >Vigun06g221500.1.v1.2 pep primary_assembly:ASM411807v1:6:33089508:33095244:-1 gene:Vigun06g221500.v1.2 transcript:Vigun06g221500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSQLLRLLSLTLTFFIALSSSISQSNQFCDAEAGAGAPSSKLLIKGGTVVNAHHQQVADVYIEDGIIVAIKPTITVGDEVTVIDATGKFVMPGGIDPHTHLEFEFMDTVTVDDFFSGQAAALAGGTTMHIDFVIPHKGSLTAGFEAYEMKAKKSCMDYGFHMAVTKWDETVSREMEIMVKEKGINSFKFFMAYKGALMINDELLLEGFKKCKSLGALAMVHAENGDAVDEGQKKMIELGITGPEGHALSRPAVLEAEATARAIRLADFVNTPLYVVHVMSIDAMEEIARARKSGQRVIGEPVASGLVLDESWLWHPDFETAAKYVMSPPIRKRGHDKALQAALSTGILQLVGTDHCAFNSTQKALGIDDFRKIPNGVNGIEERMHVVWDTMVESGQISVKDYVRLTSTECARIFNIYPRKGAILPGSDADIIILNPNSSFEITAKSHHSRLDTNVYEGRRGKGKIEVTIAGGRVVWENNELKVAPGTGRYIKMSPFSYLFDGIEKRDDFYLKSLQAPVKRAKSSS >Vigun06g221500.2.v1.2 pep primary_assembly:ASM411807v1:6:33089508:33095244:-1 gene:Vigun06g221500.v1.2 transcript:Vigun06g221500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSQLLRLLSLTLTFFIALSSSISQSNQFCDAEAGAGAPSSKLLIKGGTVVNAHHQQVADVYIEDGIIVAIKPTITVGDEVTVIDATGKFVMPGGIDPHTHLEFEFMDTVTVDDFFSGQAAALAGGTTMHIDFVIPHKGSLTAGFEAYEMKAKKSCMDYGFHMAVTKWDETVSREMEIMVKEKGINSFKFFMAYKGALMINDELLLEGFKKCKSLGALAMVHAENGDAVDEGQKKMIELGITGPEGHALSRPAVLEAEATARAIRLADFVNTPLYVVHVMSIDAMEEIARARKSGQRVIGEPVASGLVLDESWLWHPDFETAAKYVMSPPIRKRGHDKALQAALSTGILQLVGTDHCAFNSTQKALGIDDFRKIPNGVNGIEERMHVVWDTMVC >Vigun01g123650.1.v1.2 pep primary_assembly:ASM411807v1:1:30054196:30055632:1 gene:Vigun01g123650.v1.2 transcript:Vigun01g123650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLGTCFLYKRKFDTENGTEVCTENSSDKQRQRILKGTRRKIKEQEETSEDSKEFERGSMDQMLSKIEKEAYYKTLRAFRIQSNDLSWEKEAVLTNLREQLRISNDVHGELLRNLEFDNALQNAIRQREKPTNHGGDVQDATGNKSPRPRTRRISELYLVEDTRVQGREPGGLGQYNNIPVAGRIVRHENVGWKRAEQETQKDWSMRWVELWLQFM >Vigun03g448200.1.v1.2 pep primary_assembly:ASM411807v1:3:64923604:64933204:-1 gene:Vigun03g448200.v1.2 transcript:Vigun03g448200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFHLTLSYGTDDPPENIARTSSSSRSSSSSTSSLSSSASTSSIISQDQDLGFRIELDWSASEDEDQVALKLQSQLMVALPMPQDTVVVELSPRDEDENVVDLEMKVVKRREPLRAVTMSKVVASGQQSDGTGVLIRLLRSDLPSPTPPSSPPVAADAAVAGSGNHWTSLSVLSLCGCGLSVFPVELTLLPHLEKLYLDNNRLSVLPPELGVLRSLKVLRVDSNMVVSVPAELRQCMQLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVNVQIEMENSSYFGASRHRLSAFFSLIFRFSSCHHPLLASALGKIMQDEGNRAFIGKDENAVRQLISMISSDNSHVVEQACSALSSLASGDSVALHLMKADIMQPIRTVLKSSGLEEVISVLQVVVKLAFTSDSVAEKMLTKDILKSLKNLCAHKDPEVQRLALLAVGNLAFCLENRRILVTSESLRELLLRLTVATEPRVYKAAARALAILGENENLRRAIKGRQVAKQGLRILAMDGGGMKGLATVQMLKEIEKGSGKRIHELFDLICGTSTGGMLAVALGIKLMTLEECEDIYKNLGKLVFADSVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSAEQFERLLKEMCADEDGDLMIDSAVKNVPKVFVVSTLVSMMPAQPFIFRNYQYPAGTPEVALTTSDGSGINVLSSTVGEQVGYRRSAFIGSCKHQVWKAIRASSAAPYYLDDFSDDLNRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSVGCGSVRTRPRKGGWRYLDTGQVLIESSCSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTNWLKLESAVEEYIQKNHQAFENACERLLLPFHHEEKWSENMRQKLPKTKESLEGANGPTLGWRRNVLLVEAAHNPDSGKAIHHARELESFCARNGIRLSLVEGLSGIVKTLPSTTFPTPFASPLFTGSFPSSPLMYSPDLGQRIGRIDLVPPLSLDGQLGKTVASPPVSPRGLRQLSFPIKSLHEKLQNSPQVGVIHLSLQNDSDGSIVSWHNDVFVVAEPGELAEKFLQNVKFSLLSTMRSHRRKGANLLANISTISDLVAFKPYFQIGGIVHRYLGRQTLVMEDDQEIASYMFRRTVPSMHLSPEDVRWMVGAWRDRIIICTGTHGPTAALIKAFLDSGAKAIVCPSNEPPESQTSNVDGYKELNAVENGKFEIGEDEADDEAVPNSPVSDWEDSDPERYGDRTLSFWDDDEEELSNFVCHLYDSLFREGASINVALQHALASYRRMRYVCHLPGVQ >Vigun01g037700.1.v1.2 pep primary_assembly:ASM411807v1:1:4982306:4984094:1 gene:Vigun01g037700.v1.2 transcript:Vigun01g037700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSGKVACVTGASGYIASWLVKFLLEHGYNVKATVLDPNDPRMVDHLLNLQGAKERLHLFKANLLEEGSFHSAVQGCHAVFHTASPIFNNAKDPQTELLDPAVKGTLNVLRSCVNSPTLKRVVLTSSFTAVGFSDRPKTPDVVVDETWHSDPEFCKRMESWYNLSKTLAEDAAWKFVKENNIDMVTMNPALVIGPLLQPELNTSAAVVLNLVNGAPTFKNDCFKWVDVRDVAIAHVLAYENASANGRYLLVERVIHYSDVVKMISHMCHCLMFRRKKRRVWGLNLLLWK >Vigun11g052650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:9169882:9170843:1 gene:Vigun11g052650.v1.2 transcript:Vigun11g052650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNGEECAVFSVCGQIFHCDCIKHWLEEKPTCPNCRLCVASSATVFGKHGFSVVCESFLAQSKFFFSEESQ >Vigun02g026900.1.v1.2 pep primary_assembly:ASM411807v1:2:9244774:9250491:-1 gene:Vigun02g026900.v1.2 transcript:Vigun02g026900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGASRSSSAADTYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGTDIKDLQVKSSPPVQPPPQVNNDPAIIQSHYPRPVTTSTSLPSAVSGPLTDLNSHNPQLGLAGSNFQGPLPLYQPGGNIGSWGASPPAPNANGGRLAMPMYWQGYYGTPNGLPQLQQQSLLRPPPGLSMPSSLQQPMQYPSFSHSLPTVTSNLPELPSSLLPVSTTTPSVTSASLPPSNLPPAPSALPPAPSALPSALPPAPSATLASEILPASVTNKTPNVSTSATMLAASLPTLTSLTNSGPEMNAMVPPINSKPNAISGSSLAYQTGSQLSPAVGSSTSIHTETSAPSLITPGQLLQPGPSIVTSAQPSQAPHKDVEVVQVSSMSSSESSLPVFADVQPPILPLPVTSRPNHRPGGAPTQTHHSYGYRGRGRGRGIGGPVTQFTEDFDFMAMNEKFKKDEVWGHLGKSKSHSKDGEEIAYDEDYQDEENNDLSNLDVKPIYNKDDFFDSLSSNVHDNTSRNGRTRYSEQVKIDTETFGDFVRYHGNRGGRGRGPGGRMRGGYYGRGGYGYSGRGRGRGSGGGAMPGRAL >Vigun11g209000.1.v1.2 pep primary_assembly:ASM411807v1:11:40477506:40482336:-1 gene:Vigun11g209000.v1.2 transcript:Vigun11g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIGLSGGKRLLSSSYHYSDIIEKLSYGSDFGSTQYQIVSTKSVIVAKKSSNCTPTFPASNRQNQSIKALKEHVDDAGAATVADPWFQSFSSSDLEVESSEMGYSVEALLLLQKSMLEKQWSLSFEREVLTERSSKSKIRRKVAAVTCSGVSARQRRMNAKRKTVVKTGGAVQLKSKISPEPLQNRLKGYVKGVVSEELLSHAEVVILSEKIKVGLSLEEHKSRLKERLGCEPSDDEIATSLKMSRTELREKMLECSLAREKLAMSNVRLVMSIAQRYENSGAEMGDLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSKALIENSRTLRLPAHLHERLSLIRNAKFRLEERGVTPTIDRIAKHLNMSQKKVRNATEAISKTISLDREAFPSLNGLRGDTHHSYIADNRVENIPWKGVDEWALKDEVNKLMNVTLKEREREIIRLYYGLGKECLTWEDISKRMGLSRERVRQVGLVALEKLKHAARKGELEAMLLKH >Vigun11g209000.2.v1.2 pep primary_assembly:ASM411807v1:11:40477506:40482336:-1 gene:Vigun11g209000.v1.2 transcript:Vigun11g209000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIGLSGGKRLLSSSYHYSDIIEKLSYGSDFGSTQYQIVSTKSVIVAKKSSNCTPTFPASNRQNQSIKALKEHVDDAGAATVADPWFQSFSSSDLEVESSEMGYSVEALLLLQKSMLEKQWSLSFEREVLTERSSKSKIRRKVAAVTCSGVSARQRRMNAKRKTVVKTGGAVQLKSKISPEPLQNRLKGYVKGVVSEELLSHAEVVILSEKIKVGLSLEEHKSRLKERLGCEPSDDEIATSLKMSRTELREKMLECSLAREKLAMSNVRLVMSIAQRYENSGAEMGDLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSKALIENSRTLRLPAHLHERLSLIRNAKFRLEERGVTPTIDRIAKHLNMSQKKVRNATEAISKTISLDREAFPSLNGLRGDTHHSYIADNRVENIPWKGVDEWALKDEVNKLMNVTLKEREREIIRLYYGLGKECLTWEDISKRMGLSRERVRQVGLVALEKLKHAARKGELEAMLLKH >Vigun05g227500.1.v1.2 pep primary_assembly:ASM411807v1:5:42058795:42059939:1 gene:Vigun05g227500.v1.2 transcript:Vigun05g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFGPKLRHFNQFKDPQNTKYLNDEDPATHKAQTKLQLKKMHVADGQNYESAYYAVINQCFISYACCWLCFFQVVAVCYAEQVVKLMVSFLVNKDVNTQKMGASLIHKRVTFRDMLL >Vigun01g003800.2.v1.2 pep primary_assembly:ASM411807v1:1:445716:456292:-1 gene:Vigun01g003800.v1.2 transcript:Vigun01g003800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLRKAWDMVLWRSDSSPSSSSSSSISTATTTATRFSLEVAHSDMGELEQLPSDILMQILKLLGPKATTLSSGYPLPAYVGHWPQLSFKHIYGQRAQVPGSIIIDGGSGYCKFGWSKYECPSGRSATFLEFGNIESPMYTRLRHFFATIYNRMQVKPNKQPVIVSVPICHYEDTQSAKASRQQLKEAIYASLFDMNVPAVCAVNQATLALYAAKRTSGIVVNIGFQVTSIVPILNGKVMRKVGVEVVGLGALKLTGFLREKMQLNNLTFQSLYTVRTLKEKLCYVALDYEAELLKDTNASYEAAGEGWLPSQKRGFRQQRQAMGLHQAIALCVKHCHFADLPGDNDWYKTIVLSGGTACLPGLAERVEKELHTLLPPYMFNGIRVIPPPYGADTPWFGAKIIGNLSTFPGHWCVTKSSSVRSLDSASYVTVIVRRLPQLSAAAVRRAVRRRRRRRRRVYSGEVRVRCASRSATHPRKSRPGNLRTRRHAPLLSGDLHARVHHAPPSRRRNRAAPPSFVSPEPPGLISALGSLFR >Vigun01g059033.1.v1.2 pep primary_assembly:ASM411807v1:1:12293856:12296686:-1 gene:Vigun01g059033.v1.2 transcript:Vigun01g059033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHDEFSRRIVRDKGPIVMDKSGYTEKGFKRKIAVQALFGKVFYLSDLPEFCSRDSSLVVKEIFGVTDEDAGKLRIHTVSEAGSLDALEKMVDGSDSEDASDDA >Vigun07g144000.1.v1.2 pep primary_assembly:ASM411807v1:7:25472905:25474882:-1 gene:Vigun07g144000.v1.2 transcript:Vigun07g144000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGVTAAKGKKKGVTFTIDCAKPVEDKIMDIASLEKFLQERIKVAGKAGALGDTVAVAREKTKIIVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >Vigun02g172800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31546016:31546660:-1 gene:Vigun02g172800.v1.2 transcript:Vigun02g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTSPLDHDPEASCETDSPTTVQSSGYSDEEVILASSRPKKRAGRRVFKETRHPVYRGVRRRNNNKWVCEMRVPNNNNSRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSVWRLAVPDSTDAEEIRRAAVEAAAAFVDEEVSQQQRSEEIEEPPRLQQEEMSEELHDLLLRMANEPLMSPPPCVRDGRDWNDVEIFDAEVSLWSFSI >Vigun05g184600.1.v1.2 pep primary_assembly:ASM411807v1:5:35643247:35647066:-1 gene:Vigun05g184600.v1.2 transcript:Vigun05g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTDPEVSHESLVTDAEWVCKSPNSGISATSSGWPPFDDSKSSSLAYSLSAEEQATVAVLQLQHKALEACQKFFAGDAGSDGDEDESNDEDEEEWVDNFDPKECEEYRFFERVFAEDGDLRRYYENNHREGDFYCLVCGGIGKKVWKRFKDCASLIHHSTAILRTTRKRAHRAYAQVISKVVGCNIDQLPAIELKGLDSSLEGSRKLLGFEKEGDNLDGKVSEPSSENGREIVKESHESVVADAGWVTGNANSDFSSTASGWPSFNDTKSSSLTHSPSAEEKATMAVLQLQHKALASCRKFLVGDASSDSDEDGKDDINSDSEDDEDEDEEEEDGLVDSYDSKECKEYKFFEKVFAEDDDLRKYYENNHRDGDFYCLVCGGIRKKVWKRFKDSIALIQHSTSILRTKRKRAHRAYAQIICKVVGWDIDQLPAIVLKDLDSSKAGSKKLLVEPTKPAEGCIDDSNAEPET >Vigun04g062450.1.v1.2 pep primary_assembly:ASM411807v1:4:6560026:6562584:-1 gene:Vigun04g062450.v1.2 transcript:Vigun04g062450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTFTASFILLFFLLHFVTHLLAYTPEEVFTINCGSTGNSSDGQRRWTGDADTKYLSFQDGSVSGKATTQSPSTNQIPFSTARLSRSQFNYSFHVSAGTKFVRLFFYPAEYPSFPRTDASFSVQSNQFTLLNAFNASLNADAQATDTIFKEYVVNVNDGERLILTFTPSYPNSYAFINGIEVLSMPTDLYYTVDDTGFTFIDSNTLYSLGTSFALQTEYRIKAGGPEIPPQNDSGLFRDWTDEQGYFIKYNPKNNDLPGDMDGKMNITVNPDYVAPKELFRTARNMGRNATLNKMSNLTWEFPVDAGFTYVLRLHFCELDPKINEIGDRQFFIYIANRLAGRSVDVMSLSQKQKGFAVERNYAVFIPKNGTQKKFNLLLEMHPKESAAETKYSDAFLNGLEIFKISEAGSNNLAGPNPDPVQTPHNSISGQNVKAHSGSGTTIIDVVVGVGISVVFISLVILLVIVLRRKRSTKTNFKDYKSKSFTATQKASLPSNICRNFSLVEIEAATRDFDEVLIVGAGGFGHVYKGYIDGGSSPVAIKRLKPESQQGAQEFLNEIEMLSQLRHFHLVSLIGYCNDNNEMILVYDFMARGTLRDHLYGGKHMIIHRDVKTTNILLDDKWVAKVSDFGLSRIGPTDTDKSHVSTHVKGSFGYIDPEYYKRFRLTEKSDVYSFGVVLFEILCGRPALIHSAETQQVSLSNWVKHCDRNGSIAEIVDPTLKKKIAPECLKKFCEIGISCLLEDGTKRPCMKDVVAMLEFTLRLQEGAEQRATEKGEEISEDSFSTTDLNVTTTTFTSEDNGYSNNTVLSWTPFSEIMDPHPR >Vigun07g105500.1.v1.2 pep primary_assembly:ASM411807v1:7:19322552:19324710:1 gene:Vigun07g105500.v1.2 transcript:Vigun07g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKDKKRPISRSSRAGIQFPVGRIHRQLKQRVQANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTVKD >Vigun05g147650.1.v1.2 pep primary_assembly:ASM411807v1:5:21072655:21073467:-1 gene:Vigun05g147650.v1.2 transcript:Vigun05g147650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRESFFVELNQVPPNDLVFHYEFPSIPLRNRRLEVDWTEIPINLHDADRITHSSSCPSLASFHQVDTPKTTAVGKKKRLNRRSSSHAP >Vigun03g395700.1.v1.2 pep primary_assembly:ASM411807v1:3:60219089:60224868:1 gene:Vigun03g395700.v1.2 transcript:Vigun03g395700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARPKKPDESDPNNATSAAKSQAIKSLTSQIRDMALKASGAYKHCAPCTGPAAQGRVRSNTESDADSDRFRWSYRRTGSSSSTTTRTWGKEMEARLKGISSGEGTPNSASGRRAEPLVLFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWADNYDKVMELYNVQRFSRQAFPLPTPPRSEDESTKLESAEASPVTPPLTRERLPRTLYRPTGMGMGYSSSDSFDHQSMQSRHFYDSNGLNSTPKVSTISAAKTEISSMDASIRSSSSREADRSGDLSISNASELETEWVEQDEPGVYITIRALPGGARELKRVRFSREKFGEMHARLWWEENRARIHEQYL >Vigun05g156751.1.v1.2 pep primary_assembly:ASM411807v1:5:25529670:25531335:1 gene:Vigun05g156751.v1.2 transcript:Vigun05g156751.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PLFVTWKKVVNGGDPRLRGCIGTLEARSLINGIKDYALTSALRDRRFPPIQANELPFLECTVSILTDYETAINYLDWEIEKHGIIIEFYDPVYSTRRSATYLPEVAANEGWTKTEAIDSLIRKSGYNGPITDELRMQLQLTRYQSTLFTMHYSEYVSYVKERRSEAPILAAKSPHY >Vigun07g173000.11.v1.2 pep primary_assembly:ASM411807v1:7:28826495:28829447:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.5.v1.2 pep primary_assembly:ASM411807v1:7:28826574:28829204:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGFMLGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.10.v1.2 pep primary_assembly:ASM411807v1:7:28826495:28829491:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.13.v1.2 pep primary_assembly:ASM411807v1:7:28826574:28829294:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.7.v1.2 pep primary_assembly:ASM411807v1:7:28826495:28829447:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGFMLGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.8.v1.2 pep primary_assembly:ASM411807v1:7:28826495:28829491:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGFMLGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.6.v1.2 pep primary_assembly:ASM411807v1:7:28826574:28829204:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.9.v1.2 pep primary_assembly:ASM411807v1:7:28826574:28829204:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGFMLGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun07g173000.12.v1.2 pep primary_assembly:ASM411807v1:7:28826574:28829204:-1 gene:Vigun07g173000.v1.2 transcript:Vigun07g173000.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYLNLKFKAFRLKRFLLGRGGRKRKHKVAKKPSWMMPMTYGYHVVEHDMSRDDSDNSDFDSVVAQREEMNQTELWYFGIFDALIGDRVSKYMQSHFFDKKLQESRLSSKSKEALKRAYLGVRAKIREEHKLEETCRMGSASVILINGEKLVVANMGDYRTVVCRDGIAHQTTGTYRRLEKMHWSRRLFAGRGSGNAAGAKHYRGSDFVVRSEVLDSGTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLAKEALIRMTKSNISCLIIRFE >Vigun10g108500.1.v1.2 pep primary_assembly:ASM411807v1:10:30796682:30802512:1 gene:Vigun10g108500.v1.2 transcript:Vigun10g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESDVPENTLSCANCGKPANLQCPKCMELKLPREGSAFCSQECFKSSWSSHKSVHLKAKLSSPDTQNSGSVGEGWLYCMKRGQARTPKLPYFDWTGSLRPYPISSKRIVPGQIDKPDWADDGIPKIEPNSALQHTVEVKTPDQIERMRETCRIAREVLDAAARIIKPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEIICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEESRQLVKCTYECLEKAISIVKPGIRFREIGEVINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTDMGVEVLTGRLETSPNFFLG >Vigun10g108500.2.v1.2 pep primary_assembly:ASM411807v1:10:30796682:30802512:1 gene:Vigun10g108500.v1.2 transcript:Vigun10g108500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESDVPENTLSCANCGKPANLQCPKCMELKLPREGSAFCSQECFKSSWSSHKSVHLKAKLSSPDTQNSGSVGEGWLYCMKRGQARTPKLPYFDWTGSLRPYPISSKRIVPGQIDKPDWADDGIPKIEPNSALQHTVEVKTPDQIERMRETCRIAREVLDAAARIIKPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEIICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEESRQLVKCTYECLEKAISIVKPGIRFREIGEVINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYATVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTDMGVEVLTGRLETSPNFFLG >Vigun08g130200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30057636:30059648:1 gene:Vigun08g130200.v1.2 transcript:Vigun08g130200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDIQLTRWLRQTAVWRFVGFFSTVVGLVCYGRSSSFTLVFGEWSLFKIFLYTVFSLFLCFWSLLHAKVCPRSTCPLFKAHSALLLLTLTSVYSYFTDKAANGKPDVYSLISCAAFAIMSFSLSRQTQCGFEVDLLNFFLGFLILLLMKITLALAVVGVGFSYALIILRSSLDTTSYENCGTQDEHPVVIDMNQARMMQHLVDCAKALGKDNSNLANTLYKHVKEFVEDDSKRQVIDRNFVIDALPLGKTNDFRKTIQLMMGVGLRKECCKVYCNWRRESLKECLMSLLRFPEINTEGNGEGVEFKDYVIRRRLKAVRVALTILVPSERRLCDSVFSGIPSVADLCFTDVCRGATIQLLNIAVVTASGIHSNWRLFEILGMLQVWCKIIPSFRSLFPQLMVKKAMAMAIFQDELGKASMANFIKVRNMISHHPTFSIMANHCGVLVTTINVMRYLISTCLPQQTSEHNVAGTSSSSVYIDRIMKHFERKLVAESKRMNPARRYLSMMNTWRFVELSAEMSGLDCLKKYSAKVQQNLKFYQSTWSTVLEFLKLENNTLVEPNAYAESLKSNINLFNNAFEHIYSTQSTWVVFDMQQREQIIMSLQNILLPAYGSFIGRFQNILGKDAFNHIRYGMFDIQNQLNNWFLEGTKMIYQREKEGLLKLVQTKI >Vigun07g199700.2.v1.2 pep primary_assembly:ASM411807v1:7:32022934:32028554:1 gene:Vigun07g199700.v1.2 transcript:Vigun07g199700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGKRFLSVPVLAVFSLMCFVYYSSIFVFLHDWLNLQSSPATLNAYLFSLFAFLSLFSFFSCVFTDPGHVPSSYAPDVEFSKDSEAPKKCDKCFVYKPPRTHHCRVCRRCILKMVIFISCVFQKDWDLIKGSSLKIFYVLYGTMMVGLTITLLTLFGWHVYLVLHNMTTIEYHEGNRAKWLAMKSGQSYRHPFNIGAYRNITSILGPNMLKWLCPTEVSHLKEGVSFPTPRDNS >Vigun07g199700.1.v1.2 pep primary_assembly:ASM411807v1:7:32022934:32028554:1 gene:Vigun07g199700.v1.2 transcript:Vigun07g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGKRFLSVPVLAVFSLMCFVYYSSIFVFLHDWLNLQSSPATLNAYLFSLFAFLSLFSFFSCVFTDPGHVPSSYAPDVEFSKDSEAPKKCDKCFVYKPPRTHHCRVCRRCILKMDHHCLWINNCVGYWNYKAFFVFVLYATISSIYATVIFISCVFQKDWDLIKGSSLKIFYVLYGTMMVGLTITLLTLFGWHVYLVLHNMTTIEYHEGNRAKWLAMKSGQSYRHPFNIGAYRNITSILGPNMLKWLCPTEVSHLKEGVSFPTPRDNS >Vigun03g197300.1.v1.2 pep primary_assembly:ASM411807v1:3:28068836:28070121:-1 gene:Vigun03g197300.v1.2 transcript:Vigun03g197300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATQSQEQPQSQTRFQLQSQHHQQQQPPRHEAATRESWSLSPEGNTKSSKNSTKMVNNATKLNETQSSMPVKAWNFEGQIPHNYEHILKDADSPLANSSNWGNLLDQLYAGVFLAHKTKKYWVEKKSNSNCFVLYARALSITWAENQNYWKWEQQKVENGTMIDLAKLKMVCWLEVHGKFDIGMVSPGILYQVSFIVMVKDSAEGWELPVNVRVVLPGGRKQQHRENLMEKPRERWIEVPVGDFVASQKDVGEMEISMYEYDGGMWKTGLVIQGVAIKPKN >Vigun03g389500.1.v1.2 pep primary_assembly:ASM411807v1:3:59602266:59604942:1 gene:Vigun03g389500.v1.2 transcript:Vigun03g389500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLAGVAKIRMEEQIAIEEAASAGLKSMEHLIRVLSSQIPSSSASASASSSSSTHHHRLNLNHLDCTEITDFTVSKFKQVINLLNRTGHARFRRAPSHPSPSLPSPPHPQAQKPTLHFANPKLKSNPNPNPSSTDLSVSQYSKTKDTTFSISPPISTTTSSFMSSVTADGSVSDGKIGPAIIASGKPPLSSSHRKRCHDATLSAGKTSSSAHCHCSKRRKSRMKRMIRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAQDDPNMLIVTYEGEHRHPQPRLPETAVPAPVGFGAQAV >Vigun06g081500.1.v1.2 pep primary_assembly:ASM411807v1:6:21328827:21332718:1 gene:Vigun06g081500.v1.2 transcript:Vigun06g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALIHHSHSYSLFLRHASSTSLSAMSSSLILPSAPFLAPSSSNGRATLPLTRSRVSMSSVSTGSQPSVQQTLFADYKPSTSFLFPGQGAQAVGMGKEAQNVPAAALLFSKANDILGFDLLDICINGPKEKLDSTVISQPAIYVTSLAAVELLRAREGGQQIIDSVDVTCGLSLGEYTALAFAGSFSFEDGLKLVKLRGEAMQDAADAAKSAMVSVIGLDSEKVQQLCDAANEEVSEAEKVQIANYLCPGNYAVSGGLKGIEVVESKAKSFKARMIVRLAVAGAFHTSFMEPAVSRLEAALAATEIRTPRIPVISNVNAQPHTDPVTIKKTLARQVTSPVQWETTVKTLLTKGLKKSYELGPGKVISGIVKRMDKGTDIENIGA >Vigun03g362200.1.v1.2 pep primary_assembly:ASM411807v1:3:56567436:56570342:1 gene:Vigun03g362200.v1.2 transcript:Vigun03g362200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSFRLVLLGDESCLRSIAHKIMDSLSLSDRGTVQVVELNMEDQNESLFQHSVDQACQILGKLDAFVNCYSYEGKMQEHIELAESEFKKIVKINFMAAWFLLKAVGRRMRDFNAGGSVVFLTSILGAERGLYPGAAAYASVLAGVQQLVRASALEIGKYQIRVNAIARGLHLQDEFPLSVGRERAEKLVKEAAPLERWLDAKNDLASTVIYLISDGSRYMTGTTIYVDGAQSITRPRMRSFM >VigunL085700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000654.1:17016:18372:1 gene:VigunL085700.v1.2 transcript:VigunL085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKQISSERCRKTTLARRKRGLMKKMWEFSKRCGGEQCLIVYDDDGDVEAVTSPQNPIEIHSMIQKYYETQLKNGRPHKTYGIQEFFENRKNMIEAEISKVHKEISSIKYPTWDPSFVNMEEDELRAFCAHVDAKIQACDEGIKLLKNKNVPNLMQNFDENSYLLRNMEEGGFSFVPNMPQENISQSQPLLQDFMELYDKNYEAVDVPLNSTNQLSELEFEELIWELSNCDSSYQPCHLPHQSLLPTISAQYQNQTNYYSMLSFY >Vigun07g045600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4628447:4629379:1 gene:Vigun07g045600.v1.2 transcript:Vigun07g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWKRLGVLGEGSYAIVYLAVVISQKEHTSNVVAVKSSKSCCYVSLQKEQRILELFKGCEEILQCYFYQDTIENGRRTYNLFMEYTPCGTLDDLIRKGSLSEKEVITYTRMLLKGLCSIHKEGIIHCDLKPANILLFPSSDDNAKYQLKIADFGLSNTIGDANVGLGEIMFRGTPIYMSPESIIGLMGTALDIWSLGCIVIEMMTGFPPWTNIQSIEELMWKLGLLQEVPKIPDELNWDCKNFLSKCFAKDPRERWSAAILLDHPFIQKEYPTSSTFNPSSFFSYDIVSSSMFNSLSFFSYDIVSYVSH >Vigun10g054400.1.v1.2 pep primary_assembly:ASM411807v1:10:9111302:9113336:-1 gene:Vigun10g054400.v1.2 transcript:Vigun10g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAEIVSKSTIKPSSTTPNKLRHFKLSLIDQLAPPFYVPILLFYSASDATNTKTISQKLKASLSEVLTLYYPFCGTLRGNSTVECNDEGVVFTECRVPMELSSLLKDPDLHQINHLFPCDPFNPARETLTGNMAVQLNQFTCGGLALGVCFSHKITDASTASSFLTSWAATSRGEGNKLILPQLEEAALVFPPRKIEMSLIRGMMGHKNIVTKRFVFNRTNISRLKQKLGSFDFTPTSVEAVTALIWKSSLEAAKANSEEGKIPASMISHAVNIRSRMAQTFSEHSMGNLWQQAVSPVVEVEGEVGLRDLGERVRETIRKVDGKYLSMVQGDEFYKVMECLKEARTMVAEKGVPCYSFSSWVRFGFYETDFGWGKPSYVRTIGVPIKNVVIFMATKVGDGIEAWITLTSRDMVHFEKNPELLQFVSFDS >Vigun07g154200.3.v1.2 pep primary_assembly:ASM411807v1:7:26529717:26532878:1 gene:Vigun07g154200.v1.2 transcript:Vigun07g154200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTASLIHQVQVADSSSSNASKTAQNLVICVYQCRIRGKCCLITITWSKSLMGQGLSVGIDDSSNQCLCKVDIKPWVFSKRRGSKSLEAYSCKIDVYWDLSSARFGVGPEPLEGFYVGVVVDRQMVLLLGDLRKEAFKKSSAIPLPSNAVFVAKKEHVFGKKMFGNKAVFCDNGQIHDLVIECDTSGVSDPCLIIRIDSKTVMQVKRLKWKFRGNHTILVDGLAVEVFWDVYNWLFGTSLGNAVFMFRTCISEEKLWAGQPLSDANVLQWSFSQRFSETKSQGLGFSHILYAWKND >Vigun07g154200.2.v1.2 pep primary_assembly:ASM411807v1:7:26529717:26532878:1 gene:Vigun07g154200.v1.2 transcript:Vigun07g154200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTASLIHQVQVADSSSSNASKTAQNLVICVYQCRIRGKCCLITITWSKSLMGQGLSVGIDDSSNQCLCKVDIKPWVFSKRRGSKSLEAYSCKIDVYWDLSSARFGVGPEPLEGFYVGVVVDRQMVLLLGDLRKEAFKKSSAIPLPSNAVFVAKKEHVFGKKMFGNKAVFCDNGQIHDLVIECDTSGVSDPCLIIRIDSKTVMQVKRLKWKFRGNHTILVDGLAVEVFWDVYNWLFGTSLGNAVFMFRTCISEEKLWAGQPLSDANVLQWSFSQRFSETKSQGLGFSHILYAWKND >Vigun07g154200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26530204:26532878:1 gene:Vigun07g154200.v1.2 transcript:Vigun07g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENAVQVADSSSSNASKTAQNLVICVYQCRIRGKCCLITITWSKSLMGQGLSVGIDDSSNQCLCKVDIKPWVFSKRRGSKSLEAYSCKIDVYWDLSSARFGVGPEPLEGFYVGVVVDRQMVLLLGDLRKEAFKKSSAIPLPSNAVFVAKKEHVFGKKMFGNKAVFCDNGQIHDLVIECDTSGVSDPCLIIRIDSKTVMQVKRLKWKFRGNHTILVDGLAVEVFWDVYNWLFGTSLGNAVFMFRTCISEEKLWAGQPLSDANVLQWSFSQRFSETKSQGLGFSHILYAWKND >Vigun11g090600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27078516:27079087:1 gene:Vigun11g090600.v1.2 transcript:Vigun11g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPIIRRALFAVNQASSKAVDAQKGHLAVYVGEKMKRFVIPVSYWNQPLFQELLSKAEEEFGYDHPLGGLTIPCSEDVFQRITSSLN >Vigun09g144700.1.v1.2 pep primary_assembly:ASM411807v1:9:30757543:30759240:-1 gene:Vigun09g144700.v1.2 transcript:Vigun09g144700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTKEEVGWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPRSMGARVRVFMYPSNI >Vigun05g070000.1.v1.2 pep primary_assembly:ASM411807v1:5:6032867:6038285:1 gene:Vigun05g070000.v1.2 transcript:Vigun05g070000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTEEAVHLISNVTHSLMENDVENKLNNHPEQQQDQEDEVEDEEDEEVLSSSDSEIGDVLDCLYSKEEDEGSFSLTSRRPNAHGGHHLHSSTLQPLANRNQKFSHHIRASPLEEWEGRMNIGMSNSVTTAIRGSVRESAVGKTKTTDKADRATVEQAIDQRTRMVLYKMMNRGVFDDINGCISTGKEANVYHATKSGDQELAIKVYKTSILVFKDRDRYVQGDFRFRNGYSKHNPRKMVQKWAEKEMRNLMRLKAAGIRCPTAYILRLHVLVMEFIGKSGWAAPRLKDAALSLDKLREGYVEIIIAMRTMYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDPEHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDASIADDAVDSYLEEMQQKILARGDVSVEDEIADSVFVQSFIPNTLEDVKNAEEDVQRITSGKDTKDLYYQTITGLKHALSLTQSSQQKSNATKDSPNISDDKSNNLEDDAEVQSDEDQDDESDSEEDSSSESETMDPADRKAARKEARKDNKKKVKEEKREARKTKVPKAVKKRKKKLAKSRKTR >VigunL073160.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:98387:98719:1 gene:VigunL073160.v1.2 transcript:VigunL073160.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSDISLYQFPSPTKSFTLSKIRLIGIIGNESPKSSLYNLSAVPIFLRRSKRSHSLPNLSRAIGAISQVRSRSSHSKSPAIYSRVQLCRPLMAFTSQPKISTYGARRR >Vigun11g025400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3291704:3292816:1 gene:Vigun11g025400.v1.2 transcript:Vigun11g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAEDEIILSSLPIERGFGTPSMCLFQEFWCPSTLVKGLNIFHKQFEAKDSDIFVASFPKSGTTWLKAITFAIVNRQSLSSIDNHPLLTSNPHQLIPFIEIMCRDDADWPSTEPRVFGTHTPLPSLPNSIMECNCKIIYICRNPFDIFVSAWIFFDNIKQESLTPLKIEEAFEMYCDGIIEFGPWWSHMLGYWKESLAKPDKVLFLKYEDLKDDANFQVKRIAEFLGFPFIQEEENNQVIESIIKLCSFEKMKNLEVNKSGITELWRTSAFFGKEKPEIG >Vigun02g093400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24860142:24861598:1 gene:Vigun02g093400.v1.2 transcript:Vigun02g093400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVIRERRHKQALSLSLPKSLSIPSSNSDSPHQIHVPVLTSPSSSSSSSHVHSSPSIIKDLSDLEKREVLGHGNGGTVYKVYHTKTRSFYALKVLRLNGIRQPVEAEILKRVDSPYIVKCHAVFDSGNSNNDGDKRGDISFVMEYMEGGSLNDVLRQHRILSEKVISVLARRVLEGLGYLHGMNIVHRDIKPSNLLINGKGEVKIADFGVSHVVEGRFEGNENDAGTCAYMSPERIDPERWGGENADEFAGDVWSMGVVMLECLLGYFPLIGAGQRPDWATLMCAICFGEKLEMPEKASPEFQNFVRRCLEKNWRKRATVLELLHHPFVS >Vigun02g188500.1.v1.2 pep primary_assembly:ASM411807v1:2:32667750:32672487:-1 gene:Vigun02g188500.v1.2 transcript:Vigun02g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNTDMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKQEFQTIINDPFMLNSVILVFANKQDLRGAMTPMEVCEGLGLFDLKNRKWHIQGTCALKGDGLYEGLDWLASTLKERKATGFSSIGASSF >Vigun03g418700.1.v1.2 pep primary_assembly:ASM411807v1:3:62511455:62517360:-1 gene:Vigun03g418700.v1.2 transcript:Vigun03g418700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDVRGILTAFNPSQDFFAITAGDGRVKIWDTLSGQVQTEFADIASTHSTTTSQNKSINGHLALDYTCIKWFSFERKRKRKHVSSLLVLGTGSGDVLALDVDAGHLSWRITDCHPGGVRAIASSANVSSIYTAGADGMVCAIDFMTGNLLEKFKASTKAVSCMCVSPDGKTLATAAAQLKIFNCSDHKKIQKFSGHPGSIRCMVFTEDGKYILSSAVGERYVAVWRVDGPKTQSASCVLAMEHPAVFLDSRCIDSAEDDMPGICVLAISEIGICYLWFGNSIEELRNAKATKISLSLEDMPSRNYRGTLPAIYAAKLQGIQKPASGQVFLVYGLLVKPSFRKILVHSGTDVKLNVSRDGVLLPTSQALVKSKKGANAQTVTALDRANAEDALLPIPKVFDSHENEKEKAFQKPLDKDIIDDLWRSTNDDSVETEEDMAHSEADDLCMEDLLKTLGMLSSESEYASNIKLCSKLLKGIDVEATVPVKKIRAAVLSMEPSKAFMLLEALLTAWESRSSSGKYILPWIYSILVNHAQNVIAEGSDTRTLDTLERISNFRGAALQPLLQLSGRLQLVTSQIDKASQTISHSGHELQAEESEEDEDETKDEYYHEGDDTSEISTDDES >Vigun06g204350.1.v1.2 pep primary_assembly:ASM411807v1:6:31852621:31853299:-1 gene:Vigun06g204350.v1.2 transcript:Vigun06g204350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSILLMKFFFFSFQILSMDKAEIMQDESQKAIHRFMHINHPTYFW >Vigun05g095500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9259103:9262751:1 gene:Vigun05g095500.v1.2 transcript:Vigun05g095500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYAYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYTSDPSGNYGGWKAAAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVLLSPSGKVKYQVCSPENLTKLLVKFGVTQPATETA >Vigun05g095500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9258962:9262751:1 gene:Vigun05g095500.v1.2 transcript:Vigun05g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYAYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYTSDPSGNYGGWKAAAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVLLSPSGKVKYQVCSPENLTKLLVKFGVTQPATETA >Vigun09g143300.1.v1.2 pep primary_assembly:ASM411807v1:9:30599542:30606513:1 gene:Vigun09g143300.v1.2 transcript:Vigun09g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKNIAVNNETVPPDHRPKTPHYAPPSPPPASGSSLSGATPGRNTPAHSFSTSPFPSPLPPGVAPSPAKTPGRKFRWPLPPPSPAKPIMAALLRRQGKARPKEGPIPEEQGEGGGEGERSLDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTSAIAIEDVRREVKMLKALSGHKNLVKFYDAFEDVNNVYIVMELCEGGELLDRILDRGGRYPEDDAKAILVQILDVVAFCHLQGVVHRDLKPENFLFVSKDEDAVMKVIDFGLSDFVRPEQRLNDIVGSAYYVAPEVLHRSYSVEGDLWSIGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEAKDFVKRLLNKDHRKRMTAAQALAHPWLRNEKNPIPLDISIYKLVKAYVRASPLRRAALKALARALTEDELFYLRAQFNLLEPKDGCVSLENFRVALMKNATDAMKESRAPDILNLMEALSYKKMDFEEFCAAAISVYQLEVHPEWDRIATTAFEYFEETGNRVISVEELAQEMNLGPSAYSLMGDWIRKSDGKLSLVGYTKFLHGVTMRSSNTRHRQLV >Vigun09g143300.2.v1.2 pep primary_assembly:ASM411807v1:9:30600961:30606513:1 gene:Vigun09g143300.v1.2 transcript:Vigun09g143300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAIAIEDVRREVKMLKALSGHKNLVKFYDAFEDVNNVYIVMELCEGGELLDRILDRGGRYPEDDAKAILVQILDVVAFCHLQGVVHRDLKPENFLFVSKDEDAVMKVIDFGLSDFVRPEQRLNDIVGSAYYVAPEVLHRSYSVEGDLWSIGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEAKDFVKRLLNKDHRKRMTAAQALAHPWLRNEKNPIPLDISIYKLVKAYVRASPLRRAALKALARALTEDELFYLRAQFNLLEPKDGCVSLENFRVALMKNATDAMKESRAPDILNLMEALSYKKMDFEEFCAAAISVYQLEVHPEWDRIATTAFEYFEETGNRVISVEELAQEMNLGPSAYSLMGDWIRKSDGKLSLVGYTKFLHGVTMRSSNTRHRQLV >Vigun01g025700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2952373:2954782:-1 gene:Vigun01g025700.v1.2 transcript:Vigun01g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIESTSNVPTSFHIISSHHRMHNPCGGTSHPPRSPRIPTKNDVVFLPHLQPYRRPHDPAPSPHMLTNAAVAASSWLRRRRIRYFFLFLCSPLLLLLLCAALPFLCAAELCLRLRLWRKLLRRDSAAADRLRRCEEGFCEEQPQEKGLLHRYLEDQLFLVGSMYECGDDDDNNCNDEVEASRSVEDVVRLGSSTARNPLLR >Vigun03g422500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62805190:62807999:-1 gene:Vigun03g422500.v1.2 transcript:Vigun03g422500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTFFSVFFLAFAILAVHVAQSSPSTLDFTGDLSTFLLPLKSGCRGSVAECGLLVGQDDDAEFLMDSETSRRILAGRTYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSAITRCRR >Vigun03g422500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62805991:62807999:-1 gene:Vigun03g422500.v1.2 transcript:Vigun03g422500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTFFSVFFLAFAILAVHVAQSSPSTLDFTGDLSTFLLPLKSGCRGSVAECGLLVGQDDDAEFLMDSETSRRILAGRTYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSAITRCRR >Vigun09g274800.1.v1.2 pep primary_assembly:ASM411807v1:9:43535970:43546184:1 gene:Vigun09g274800.v1.2 transcript:Vigun09g274800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSAAPSSSSTSRSAKRSRLSSSSSSIPNTPTVNTRSRSARTNTTATNSVSLMDPTNESSGSRRDRRGKNLERDNSDKGKEKEQDVGIRDVERERALALNMEGEGVGDDDDNYSDSGVHRNLTSASSALQGLLRKLGAGLDDLLPATAMSGSASSSHQSGRLKKILAGLRADGEEGRQLEALSQLCDMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDVMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPPDAADFVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDMLSGSGVSSITSVSPALSRPADQIFEIVNLANELLPPLPHGTISLPVSSNLFVKGYFVKKCPSGSSRQEDTINGNVHEISAREKLLNDQPELLQQFGMDLLPVLMQIYGASVNGPVRHKCLSVIGKLMYFSTAEMIQSLLSITNISSFLAGVLAWKDPHVLVPALQIAEILMEKLPGTFSKMFVREGVVHAVDQLILAGNSTNISIQTSAEKDSDSVSGTHSQPRHYRLRSGNSNPDANYLDDLMRSSVPVNVGLPTSSVETPTTGSSIRESISSVARGFKDKYFPSDPGSTEVGVSDDLLHLKNLCSKLNTCVDDQKTKAKGKVKASEFGLDENSTNTEEYLIGVISDLLKELGKGDGVSTFEFIGSGVVEALLSYLSCGYFAKDRMSETSLPKLRQQALARFKSFVAIALPLSIDNGALAPMTVLVQKLQNALSSLERFPVMLSNSSRSSSGSARLSSGLSALSQPIKLRLCRAQGEKSLKDYSSNVVLIDPLASLAAIEEFLWTRVQRSESGQKSTVPGDNTESGTAPTGAGVSSPSSYTPSTTRRHSAMYRSSFSMEDTPTKKTSQDKSTSSSKSKGKAVLKAAQEEARGPQTRNAVRRRAALDKNAQMKPVNGESTSEDEELDISPVEIDEALVIEDDDISDDEDEDHEDVLRDDSLPVCLPDKVHDVKLGDSVEESTVAPATSDGQTNAASGSSSKAVTARGSDSADFRSGYSSSSRGAMSFAAAAMAGLGYANNRGFRGGRDRHGRLLFGTSNDPPKLIFTAAGKQLNRNLTIYQAIQKQLVLDEDDDERFAGSDYVSSDGSSLWGDIYTITYQRAENQTDKASTGGSSSNTSKPAKSGSASNSGPEAKLHQTSVLDSILYGELPCDLEKSNPTYNILALLRVLECLNQLAPRLRAQVVSDSFAEGKISNFDQLVVTTDTRVVPEEFISGKLTPKLARQIQDALALCSGSLPLWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTTEREIRVGRLQRQKVRVSRNRVLDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTILSHDLQKVRLQMWRFHSSNKHEMEVDGDEKREHSVGSRPDLARDKELVQAPMGLFPRPWPTNSDASEGSPFAKAIEYFRLLGRVMAKALQDGRLLDLPLSVAFYKLVLGQDLDLHDILFIDAELGKTLQELNALVRRKHYVESVGGSYTDTLFNLHFHGAPVEDLCLDFTLPGFPEYTLKPGDETVDINNLEEYISLVVDATVKTGIMRQIEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYNAKSPPIVNLLEIMGEFTTEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTAVNTSSNGNGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAINEGQGSFDLS >Vigun04g189600.2.v1.2 pep primary_assembly:ASM411807v1:4:41376120:41379673:-1 gene:Vigun04g189600.v1.2 transcript:Vigun04g189600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFDRLASPKVVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFRDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFTSTFSSFNKKIELEMKEILEKLEYLAKQKDALGLKEGIYSADGSGSKEPQKLQSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDTKLEEAKFDITAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISEKKFFLVLDDVWNERQEKWEAVRTPLICGAPGSRILVTTRGEKVASIMRSKLHRLKQLQKDICWNVFEKHALRDDEHELNDEKKEIGRMIVEKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSNLKRCFAYCALFPKDYEFDKKELILLWIAQDFLHCSQQSNSLEEIGEQYFNDLLTRSFFLQSDFKTCFSMHDLLNDLEKYVCADFCFRMKFDKGNCIPKTTRHFSFAFDDVECFDGFGSLTDAKKLRSFFPIKEFGRRDIDYYPLQFKILVHELFSNFKLLGVLSLDQYSELREVPDSIGDLKHLHSLDLSRTGIQKLPDSTCLLYNLLILKLNYCSSLEELPLNLHKLTKLRCLEFKKTKVTKMPTHFGELKNLQVLNALEANLNNKHLVKLELRWKSDHIPDDPRKEKKVLENLKPSKNLEHLSIKSYGGTEFPSWVFDNSLSNLVFLKLKDCKYCLCLPPLGLLSSLKALQIIGFDGIVSIGAEFYGNSSSSFTSLERLTFSKMKELEECERKTVAFPRLEMLKVYECPKLKGLPDQLVNVKHLYITGSMKASFLERCEHIISHNSLEDLNFCAFPIMNIPMGRGFDLLEEIQIICGCDSLTNFRLDFFPKLKVLSLVNCRNLQMISQDHTHNHLKHLSISLCSRFESFPSEGLSAPRLWAIEIYGAENLKLLPKRMRILLPSLNGLRIMYCPKVEMFSVGGLPSTVNNVSLSSFKLIASLRETLGTNTCLGSLWIENIDVEFFPDEVLLPHSITSLRIYDCPNLKKMHYKGLCHLSSLTLSSCPNLQCLPEDGLPKSISSLEIRNCPLLEQRCQNPQGQDWKKIAHIQKLSVRSEV >Vigun06g065200.3.v1.2 pep primary_assembly:ASM411807v1:6:19363174:19367531:-1 gene:Vigun06g065200.v1.2 transcript:Vigun06g065200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNQEAVKQLQSMIENVDEQLKNTFQNMHQGYPAETLIRFLKARDWNVGKAHKMLSDCLNWRVENEIDKVLTKPIPADLYRGIRDSQLIGMSGYSKEGLPVIAVGVGLSTYDKASDKYYIQSHIQINEYRDRVILPTATRKHERYIGTCVKVLDMTGLKFSALNQLRLLTAISTIDDLNYPEKTDTYYIVNAPYVFSACWKVVKPLLQERTRRKIQVLQGCGKEELLKVMDYASLPHFCRKEDSKSSKHNASGNTENCFSLNHVFHQQLYNHIKHQAIIMESISPPIRQGSFYVDIPEPDPDDAKIAKTIETEFHKLENQKNGFTNSRNGVAVNGHRNTSY >Vigun06g065200.4.v1.2 pep primary_assembly:ASM411807v1:6:19363174:19367505:-1 gene:Vigun06g065200.v1.2 transcript:Vigun06g065200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNQEAVKQLQSMIENVDEQLKNTFQNMHQGYPAETLIRFLKARDWNVGKAHKMLSDCLNWRVENEIDKVLTKPIPADLYRGIRDSQLIGMSGYSKEGLPVIAVGVGLSTYDKASDKYYIQSHIQINEYRDRVILPTATRKHERYIGTCVKVLDMTGLKFSALNQLRLLTAISTIDDLNYPEKTDTYYIVNAPYVFSACWKVVKPLLQERTRRKIQVLQGCGKEELLKVMDYASLPHFCRKEDSKSSKHNASGNTENCFSLNHVFHQQLYNHIKHQAIIMESISPPIRQGSFYVDIPEPDPDDAKIAKTIETEFHKLENQKNGFTNSRNGVAVNGHRNTSY >Vigun09g064900.1.v1.2 pep primary_assembly:ASM411807v1:9:6860301:6863464:-1 gene:Vigun09g064900.v1.2 transcript:Vigun09g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKEESPSALPLLRSSERDDGSGSGSKSGSGSGSGSGSKASLSGSVFNLSTTIIGAGIMALPAAMKVVGVSVGVASIVFLAFLTHTSLEILLRFSRAAKATTYAHLMGDAFGSSGALLFHVAVLLNNFGILVVYVIIIGDVLSGTSSSGVHHFGVLEGWFGQCFWTDRTFVLLLATLFVFAPLAFFKRIDSLRHSSALAVALAIVFLLITAGITFFKLFNGSITSPRMLPNITDVSSIWNLFTAVPVLVTAFVCHYNVHTIENELGEPSLMQPVIRASLVLCSSIYILTALFGFLLFGESTLDDVLANFDSDLGIPYSSLLNDIVRISYALHLMLVFPVIFFSLRFNLDDLIFPSARSLDLDHRRFVLITIGLITSIYVAANFLPSIWDVFQFTGATATVCLGFIFPAAISIRDPHGIATKKDKVLSIVMIFLAVFSNLVALYSNAEALFRKHVDPHQ >Vigun03g282100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46236829:46238271:-1 gene:Vigun03g282100.v1.2 transcript:Vigun03g282100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKVHVLMVSMSIQGHINPMFKFAKHLLSKGVHVTIATTEDGRHRMLKNTKPSNLTNNTNLNNSDNSNNDSGIELVFFSDGLALDFDRSDTESLVNTIREKGPQNLSILVTDLTKVHNYSCVIVNPFVPWAIDVVVEHGIPCALLWIQACAAYSIYYRYLKNINPFPNMDDLHEKVHLPGLPPFEVRDSPSFILPSTPYHFKTVIKELFEAMDKVTWVLGTSCYEIEEEIVNSMASLTPIYPVGPLVSPFLLGEKERSDDVSVDMWVPEDSCIEWLETMPDSSVIYVSFGSLLSLSQKQVENIAVALKNSNKAFLWVIKPSNGESNYVAELPVEFLEETKERGLVVKWCPQEKVLMHPSVACFVTHCGWNSTLETLVTGVPVIAWPFWSDQHTNAMLMEKVFRNGVRVKYGEDRIVETEEIERCIKDVTEGPRAEEFKKRAMEMKELAVKTLQEDGTSNKNLNKFIIDLIVGNPARA >VigunL028266.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000642.1:43364:44430:-1 gene:VigunL028266.v1.2 transcript:VigunL028266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun01g001000.2.v1.2 pep primary_assembly:ASM411807v1:1:161768:169548:-1 gene:Vigun01g001000.v1.2 transcript:Vigun01g001000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEERKMKVRSEREKTKVVIRHLPPSLTQSDLFQHIDSHFASRYNWFSFRPGNTSHKRLRHSRAYIDFNSPHDVFEFAEFFDGHVFVNERGAQHKAIVEYALPSVFQSQLPRRTDVKEPSTKVHPDYLEFLKLIAKPHEHLPSAEIQLERKEAEQAGANKETPIVTPLMEYVRQKRAVDTGMQASSAVAKVSRRSRAALPGKPGSGNTKRGSEKKKYVQKDNAKSAARKESKDKSSFIVVPRREDLSAESIKMPDMQSGMTSAFVSEVSCIAIILLAAYGLVPRLFHSGIPLSSDSGKKKILLLKGKQREIPSATEGTVKQQNVQSGNSPISTPAKQNQRRENSGRLIRSILLNNDARQSQSITGTQHKIQILSSENGKRPPRPFGSRDPHGLGSGEKAEKRTRNKDRPDRGVWTPLRRSDVPHAGVTTHHPQWHNLLCQILNPLKGNEFSASAGGRGNPSIENGSQRNFARRGASYVVKDDGAVSISEGKPSRKVLDTVLMRSKSGFRNLLQGLKICDYVYSVNLSLRDLKVK >Vigun09g153300.1.v1.2 pep primary_assembly:ASM411807v1:9:31843658:31847090:-1 gene:Vigun09g153300.v1.2 transcript:Vigun09g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLCDIFDDKEFGSDHFGGDDLFAILESLESDLTHFPPINQEAVAVSKENEDVSRLVSQKSTSSSAPQDYSETEFETSSKTKRQKLTPTTSEEANPDGQQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQALEAKKQRKVYSEVLSPRLVSSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYRPRLQQGYNNMISPTMSNSLDPSPTSSANSSINDNINELVANSKSATADVEVKFSGPHVLLKTVSQRIPGQALKIITALEDLALEILHVNINCAADDTMLNSFTIKIGIECQLSAEELAQQIQQTFC >Vigun02g119100.1.v1.2 pep primary_assembly:ASM411807v1:2:27180885:27183734:1 gene:Vigun02g119100.v1.2 transcript:Vigun02g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPNPCPLRSSSLGNLVERVKQLGTLAVSAVIGNIFSAILTFCFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQNQMGAVETSFDEVQNIFDTGGAKGLSGDLVEKIPKIKITTDNNFDASGDRVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLFRHGSCPLCRRDL >Vigun08g030200.1.v1.2 pep primary_assembly:ASM411807v1:8:2753348:2756271:1 gene:Vigun08g030200.v1.2 transcript:Vigun08g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSHSQFINLRFSQLPHQPLSLLHINLAPNLTYKPQHEPPVRILIPLMGTESYTYLGRNFSQLSINDASSSSAFSDCNSDRSGEFATASSQTRRFLITCATENSDDLIRQLVADLHSASLDDQKQAAMEIRLLAKNKPENRVKIAKAGAIKPLISLISSPDLQLQEYGVTAILNLSLCDENKEAIASAGAIKPLVRALSTGTATAKENAACALLRLSQVEENKAAIGRSGAIPPLVSLLASGGFRAKKDASTALYSLCTVKENKLRAVKAGIMKVLVELMADFESNMVDKSAYVVSVLVTVPEARAALVEEGGVPVLVEIVEVGTQRQKEIAVVILLQVCEDSLACRSRVAREGAIPPLVALSQSGTNRAKQKAEKLIELLRQPRSSNGGGGGLASEVAV >Vigun01g118100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29442213:29447562:-1 gene:Vigun01g118100.v1.2 transcript:Vigun01g118100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQSDCRDVCKVLVCLTHSRSLPKGLQLHAYAIKLGFEALPLVCHHLINFYSKTNLPHSSHKLFDSFPHKSATTWSSVISAFAQNDLPHLALRFFRRMLRHGLLPDDHTLPTATKSCAALSSLPTAHSLHALALKSANHFDVFVGSSLVDMYAKCGEINIARKVFDEMPHKNVVSWSGLIYGYSQLGLDEEALNLFKCALQQDYDIRVNDFTLSSVLRVCSASTLFELGKQVHGLCFKTSFDSSCFVASSLISLYSKCGVVEGGYKVFEELKVRNLGMWNAMLIACAQHAHTGKTFELFEEMGSVGMKPNFITFLCLLYACSHAGLVERGKHYFELMKDHGIEPGSQHYATMVDLLGRAGKLAEAVRVIEHMPMEPTESVWGALLTGCRIHGNTELASFVADRVFEMGAVSSGINVLLSNAYAAAGRWEEAARARKMLRDQGIKKETGLSWVEEGNRVHTFAAGDRSHGKTKEIYEKLEELGEKMAKAGYVADTSFVLKEVDGDEKSQTIRYHSERLAIAFGLITFPQERPIRVMKNLRVCGDCHTAIKFISKCTQRVIIVRDNNRFHRFEEGKCTCGDYW >Vigun01g118100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29442142:29447694:-1 gene:Vigun01g118100.v1.2 transcript:Vigun01g118100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQSDCRDVCKVLVCLTHSRSLPKGLQLHAYAIKLGFEALPLVCHHLINFYSKTNLPHSSHKLFDSFPHKSATTWSSVISAFAQNDLPHLALRFFRRMLRHGLLPDDHTLPTATKSCAALSSLPTAHSLHALALKSANHFDVFVGSSLVDMYAKCGEINIARKVFDEMPHKNVVSWSGLIYGYSQLGLDEEALNLFKCALQQDYDIRVNDFTLSSVLRVCSASTLFELGKQVHGLCFKTSFDSSCFVASSLISLYSKCGVVEGGYKVFEELKVRNLGMWNAMLIACAQHAHTGKTFELFEEMGSVGMKPNFITFLCLLYACSHAGLVERGKHYFELMKDHGIEPGSQHYATMVDLLGRAGKLAEAVRVIEHMPMEPTESVWGALLTGCRIHGNTELASFVADRVFEMGAVSSGINVLLSNAYAAAGRWEEAARARKMLRDQGIKKETGLSWVEEGNRVHTFAAGDRSHGKTKEIYEKLEELGEKMAKAGYVADTSFVLKEVDGDEKSQTIRYHSERLAIAFGLITFPQERPIRVMKNLRVCGDCHTAIKFISKCTQRVIIVRDNNRFHRFEEGKCTCGDYW >Vigun01g118100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29442209:29447694:-1 gene:Vigun01g118100.v1.2 transcript:Vigun01g118100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQSDCRDVCKVLVCLTHSRSLPKGLQLHAYAIKLGFEALPLVCHHLINFYSKTNLPHSSHKLFDSFPHKSATTWSSVISAFAQNDLPHLALRFFRRMLRHGLLPDDHTLPTATKSCAALSSLPTAHSLHALALKSANHFDVFVGSSLVDMYAKCGEINIARKVFDEMPHKNVVSWSGLIYGYSQLGLDEEALNLFKCALQQDYDIRVNDFTLSSVLRVCSASTLFELGKQVHGLCFKTSFDSSCFVASSLISLYSKCGVVEGGYKVFEELKVRNLGMWNAMLIACAQHAHTGKTFELFEEMGSVGMKPNFITFLCLLYACSHAGLVERGKHYFELMKDHGIEPGSQHYATMVDLLGRAGKLAEAVRVIEHMPMEPTESVWGALLTGCRIHGNTELASFVADRVFEMGAVSSGINVLLSNAYAAAGRWEEAARARKMLRDQGIKKETGLSWVEEGNRVHTFAAGDRSHGKTKEIYEKLEELGEKMAKAGYVADTSFVLKEVDGDEKSQTIRYHSERLAIAFGLITFPQERPIRVMKNLRVCGDCHTAIKFISKCTQRVIIVRDNNRFHRFEEGKCTCGDYW >Vigun01g118100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29444919:29447562:-1 gene:Vigun01g118100.v1.2 transcript:Vigun01g118100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQSDCRDVCKVLVCLTHSRSLPKGLQLHAYAIKLGFEALPLVCHHLINFYSKTNLPHSSHKLFDSFPHKSATTWSSVISAFAQNDLPHLALRFFRRMLRHGLLPDDHTLPTATKSCAALSSLPTAHSLHALALKSANHFDVFVGSSLVDMYAKCGEINIARKVFDEMPHKNVVSWSGLIYGYSQLGLDEEALNLFKCALQQDYDIRVNDFTLSSVLRVCSASTLFELGKQVHGLCFKTSFDSSCFVASSLISLYSKCGVVEGGYKVFEELKVRNLGMWNAMLIACAQHAHTGKTFELFEEMGSVGMKPNFITFLCLLYACSHAGLVERGKHYFELMKDHGIEPGSQHYATMVDLLGRAGKLAEAVRVIEHMPMEPTESVWGALLTGCRIHGNTELASFVADRVFEMGAVSSGINVLLSNAYAAAGRWEEAARARKMLRDQGIKKETGLSWVEEGNRVHTFAAGDRSHGKTKEIYEKLEELGEKMAKAGYVADTSFVLKEVDGDEKSQTIRYHSERLAIAFGLITFPQERPIRVMKNLRVCGDCHTAIKFISKCTQRVIIVRDNNRFHRFEEGKCTCGDYW >Vigun07g187500.1.v1.2 pep primary_assembly:ASM411807v1:7:30417756:30422648:-1 gene:Vigun07g187500.v1.2 transcript:Vigun07g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGVKKNKEPPPSIQDASERITKRGDTVDDKIKKLDAELSRYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVQFASEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEDELMGELDALELDMGNETEADGVPSYLQPDKEPDLEAELNLPPAPTGQTAVPPGRSNAQTEDELGLPAVPRASIRG >Vigun02g188300.2.v1.2 pep primary_assembly:ASM411807v1:2:32649426:32660136:-1 gene:Vigun02g188300.v1.2 transcript:Vigun02g188300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTQYLTMQRQVQKAIAFARKAHRGQMRKTGDPYLTHCIHTGRVLAALVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIQAEFGDDVVKLVASVSRLSYINQLLRRHRRVSVNQGVLGQEEASNLRVMLLGMVDDPRVVLIKLADRLHNMRTIHALPLQKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQKMRADLASMWSPTSRTGNLRRFSVKGSLIHLNENNSTSFYDGSLTFNGDVSMKDLLEAVVPFDILLDRRKRANYLNSIGSNLGTCTKPKVVQDAGLALASLVICEEALEREMIISASYVPGMEITLSSRLKSLYSLYSKMKRKDTSIDKVYDARALRVVVGDKNGTLHGSAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHECAEHGLAAHWLYKETGNPFLSIDRMDEPETEASSYFSKDLEGGNSSDILLSKYKSFKAGHPVLRVEGSHLLAAVIISVENDERELLVAVSFGLAASEAVADRRSFHVKRWEAYARLFKKVSDEWWFEPGHGDWCTCLEKYILCRDGMYHKQDQFGRLLPTFIQVINFTEQEESEYWAVVSAVFEGRQVNWITSHSKFDLVASTSAEAGINNKVKLLRTMLSWEEQLRSEVSVKQTKYDSKLYDLHSSLAEVVIICWPHGEILRLKAGSTATDAAQRVGLEGKLVLINGQLVLPNTKLKDGDVVEVRI >Vigun02g188300.3.v1.2 pep primary_assembly:ASM411807v1:2:32649426:32660136:-1 gene:Vigun02g188300.v1.2 transcript:Vigun02g188300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTQYLTMQRQVQKAIAFARKAHRGQMRKTGDPYLTHCIHTGRVLAALVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIQAEFGDDVVKLVASVSRLSYINQLLRRHRRVSVNQGVLGQEEASNLRVMLLGMVDDPRVVLIKLADRLHNMRTIHALPLQKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQKMRADLASMWSPTSRTGNLRRFSVKGSLIHLNENNSTSFYDGSLTFNGDVSMKDLLEAVVPFDILLDRRKRANYLNSIGSNLGTCTKPKVVQDAGLALASLVICEEALEREMIISASYVPGMEITLSSRLKSLYSLYSKMKRKDTSIDKVYDARALRVVVGDKNGTLHGSAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHECAEHGLAAHWLYKETGNPFLSIDRMDEPETEASSYFSKDLEGGNSSDILLSKYKSFKAGHPVLRVEGSHLLAAVIISVENDERELLVAVSFGLAASEAVADRRSFHVKRWEAYARLFKKVSDEWWFEPGHGDWCTCLEKYILCRDGMYHKQDQFGRLLPTFIQVINFTEQEESEYWAVVSAVFEGRQVNWITSHSKFDLVASTSAEAGINNKVKLLRTMLSWEEQLRSEVSVKQTKYDSKLYDLHSSLAEVVIICWPHGEILRLKAGSTATDAAQRVGLEGKLVLINGQLVLPNTKLKDGDVVEVRI >Vigun02g188300.1.v1.2 pep primary_assembly:ASM411807v1:2:32649426:32660136:-1 gene:Vigun02g188300.v1.2 transcript:Vigun02g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVLSCQRSKMLTAQNKSPFLRRFRSFKPHRSRFRCLLDQIAVPTSLTPVLNSDNVIAAAAKAASVHSAVSSAITQVAVTAVAIASGACLSTKVDFLWPKLQEQPGTVTLDGVDVTGYPIFNDAKVQKAIAFARKAHRGQMRKTGDPYLTHCIHTGRVLAALVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIQAEFGDDVVKLVASVSRLSYINQLLRRHRRVSVNQGVLGQEEASNLRVMLLGMVDDPRVVLIKLADRLHNMRTIHALPLQKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQKMRADLASMWSPTSRTGNLRRFSVKGSLIHLNENNSTSFYDGSLTFNGDVSMKDLLEAVVPFDILLDRRKRANYLNSIGSNLGTCTKPKVVQDAGLALASLVICEEALEREMIISASYVPGMEITLSSRLKSLYSLYSKMKRKDTSIDKVYDARALRVVVGDKNGTLHGSAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHECAEHGLAAHWLYKETGNPFLSIDRMDEPETEASSYFSKDLEGGNSSDILLSKYKSFKAGHPVLRVEGSHLLAAVIISVENDERELLVAVSFGLAASEAVADRRSFHVKRWEAYARLFKKVSDEWWFEPGHGDWCTCLEKYILCRDGMYHKQDQFGRLLPTFIQVINFTEQEESEYWAVVSAVFEGRQVNWITSHSKFDLVASTSAEAGINNKVKLLRTMLSWEEQLRSEVSVKQTKYDSKLYDLHSSLAEVVIICWPHGEILRLKAGSTATDAAQRVGLEGKLVLINGQLVLPNTKLKDGDVVEVRI >Vigun02g188300.5.v1.2 pep primary_assembly:ASM411807v1:2:32649426:32660136:-1 gene:Vigun02g188300.v1.2 transcript:Vigun02g188300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTQYLTMQRQVQKAIAFARKAHRGQMRKTGDPYLTHCIHTGRVLAALVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIQAEFGDDVVKLVASVSRLSYINQLLRRHRRVSVNQGVLGQEEASNLRVMLLGMVDDPRVVLIKLADRLHNMRTIHALPLQKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQKMRADLASMWSPTSRTGNLRRFSVKGSLIHLNENNSTSFYDGSLTFNGDDLLEAVVPFDILLDRRKRANYLNSIGSNLGTCTKPKVVQDAGLALASLVICEEALEREMIISASYVPGMEITLSSRLKSLYSLYSKMKRKDTSIDKVYDARALRVVVGDKNGTLHGSAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHECAEHGLAAHWLYKETGNPFLSIDRMDEPETEASSYFSKDLEGGNSSDILLSKYKSFKAGHPVLRVEGSHLLAAVIISVENDERELLVAVSFGLAASEAVADRRSFHVKRWEAYARLFKKVSDEWWFEPGHGDWCTCLEKYILCRDGMYHKQDQFGRLLPTFIQVINFTEQEESEYWAVVSAVFEGRQVNWITSHSKFDLVASTSAEAGINNKVKLLRTMLSWEEQLRSEVSVKQTKYDSKLYDLHSSLAEVVIICWPHGEILRLKAGSTATDAAQRVGLEGKLVLINGQLVLPNTKLKDGDVVEVRI >Vigun02g188300.4.v1.2 pep primary_assembly:ASM411807v1:2:32649426:32660136:-1 gene:Vigun02g188300.v1.2 transcript:Vigun02g188300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVLSCQRSKMLTAQNKSPFLRRFRSFKPHRSRFRCLLDQIAVPTSLTPVLNSDNVIAAAAKAASVHSAVSSAITQVAVTAVAIASGACLSTKVDFLWPKLQEQPGTVTLDGVDVTGYPIFNDAKVQKAIAFARKAHRGQMRKTGDPYLTHCIHTGRVLAALVPSSGKRAVDTVVAGILHDVVDDTCQSLQDIQAEFGDDVVKLVASVSRLSYINQLLRRHRRVSVNQGVLGQEEASNLRVMLLGMVDDPRVVLIKLADRLHNMRTIHALPLQKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQKMRADLASMWSPTSRTGNLRRFSVKGSLIHLNENNSTSFYDGSLTFNGDDLLEAVVPFDILLDRRKRANYLNSIGSNLGTCTKPKVVQDAGLALASLVICEEALEREMIISASYVPGMEITLSSRLKSLYSLYSKMKRKDTSIDKVYDARALRVVVGDKNGTLHGSAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDSSPLEVQIRTQRMHECAEHGLAAHWLYKETGNPFLSIDRMDEPETEASSYFSKDLEGGNSSDILLSKYKSFKAGHPVLRVEGSHLLAAVIISVENDERELLVAVSFGLAASEAVADRRSFHVKRWEAYARLFKKVSDEWWFEPGHGDWCTCLEKYILCRDGMYHKQDQFGRLLPTFIQVINFTEQEESEYWAVVSAVFEGRQVNWITSHSKFDLVASTSAEAGINNKVKLLRTMLSWEEQLRSEVSVKQTKYDSKLYDLHSSLAEVVIICWPHGEILRLKAGSTATDAAQRVGLEGKLVLINGQLVLPNTKLKDGDVVEVRI >Vigun05g117900.2.v1.2 pep primary_assembly:ASM411807v1:5:12608677:12609135:-1 gene:Vigun05g117900.v1.2 transcript:Vigun05g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMKMNSPMKSAVRFVFVMLLVLFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFTNNKFIQSP >Vigun03g348300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54834440:54835315:-1 gene:Vigun03g348300.v1.2 transcript:Vigun03g348300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAATSNSPKRQNHGFIVAISAILALLTKRGGRKPKQNKDDDWKMDPKSQKSKPKKLLSNISNKALFHNHKKCNNKQSSMEEENDGGWGNGGVWQKEILMGGKCEPLDFSGVIYYDGNGKQLSEIPLRSPRASPLPGYLTRLTPQRTR >Vigun07g240500.1.v1.2 pep primary_assembly:ASM411807v1:7:36168781:36171562:-1 gene:Vigun07g240500.v1.2 transcript:Vigun07g240500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSHFICQLLQSIPFLHHALHYIRANCVLEIDHKMKVTLLSIVVLFWSFAECSNPHPLDPLTPSEINLVQTIVQKAYPTSHNLTFNYVGLDEPDKLKVLSWDSSNPKPEPKPKPKPPPPPPRRAFVIVRSQKQSHEITVDLSKRSIVSTKVYQGNGYPPLTAGEIEEATQLPLNHEPFKESLRKRGLNDVSQVQCVAFTLGWYGEAKTRRIVKIKCHYRNGTVNFYARPVEGVEMVVDIDDMKIVGYNDRYVVALPKAEGTEYRASKLKPPSAPKPKQDHGPGFTIDGHSVSWDSWDFHVGYDSRAGPIISLASYYDVQRKKHRTVLYKGFISELFVPYQDPTEEWYYKTFFDGGEYGLGRFMSPLKASTDCPSDASFINAYYASSDGTPVEIPNAFCIFEKYAGGIMWRHTEAAIPNELITETRSEKTLVVRTVSTVANYDYITDWEFTPSGSIKSVVGLTGILALKATNYTNTEEIKKDIYGTLVADNTIGVNHDHFFTYSLDFDVDGVANSFVKYNFETVRVKDRSIPRKSYWTVVSETAKTEADARINLGSKPTELLVVNPNKKTKQGNKIGYRLIPGPMAHPLLVGDDFPQIRGAFTDYDVWVTPYNKSEKWVAGLYVDRSRGDDTLAVWSRRNRRIENRDIVMWYTMGFRHVPCQEEFPIMPTLSGGFELRPTNFFERNPVLETK >Vigun07g240500.2.v1.2 pep primary_assembly:ASM411807v1:7:36168781:36171562:-1 gene:Vigun07g240500.v1.2 transcript:Vigun07g240500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSHFICQLLQSIPFLHHALHYIRANCVLEIDHKMKVTLLSIVVLFWSFAECSNPHPLDPLTPSEINLVQTIVQKAYPTSHNLTFNYVGLDEPDKLKVLSWDSSNPKPEPKPKPKPPPPPPRRAFVIVRSQKQSHEITVDLSKRSIVSTKVYQGNGYPPLTAGEIEEATQLPLNHEPFKESLRKRGLNDVSQVQCVAFTLGWYGEAKTRRIVKIKCHYRNGTVNFYARPVEGVEMVVDIDDMKIVGYNDRYVVALPKAEGTEYRASKLKPPSAPKPKQDHGPGFTIDGHSVSWDSWDFHVGYDSRAGPIISLASYYDVQRKKHRTVLYKGFISELFVPYQDPTEEWYYKTFFDGGEYGLGRFMSPLKASTDCPSDASFINAYYASSDGTPVEIPNAFCIFEKYAGGIMWRHTEAAIPNELITETRSEKTLVVRTVSTVANYDYITDWEFTPSGSIKSVVLCFKIGWTYRDISTQGNELYEHRGNKKGYIRHIGSR >Vigun06g167700.1.v1.2 pep primary_assembly:ASM411807v1:6:28952229:28954289:1 gene:Vigun06g167700.v1.2 transcript:Vigun06g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Vigun08g052700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6285086:6285994:-1 gene:Vigun08g052700.v1.2 transcript:Vigun08g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGFKEKLGEGGFGFVFKAKLRSGPFVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCASGSKRALVYEFMSNGSLDKLIFSRGSIDLSYKKIYNISIGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVTMTTTRGTIGYMAPELFYNNVGGISHKADVYSFGMLLMEMASKRKNLNPHVEHSSQLYFPLWIYNHIREEEDIDIKDLTKEEKIIAKKLIIVALWCIQLKPNDRPSMNKVVEMLEGGIEDLEIPPKPTLFPDEMSLEDQTTSSI >Vigun06g205900.1.v1.2 pep primary_assembly:ASM411807v1:6:31992380:31999878:1 gene:Vigun06g205900.v1.2 transcript:Vigun06g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKLELHVGIFVTCVVILAGTFCVGDTDVVDVGAINSLYVSLGSPPLQGWKSVGGDPCLELWQGVACVFSNITAIHLGSMNLGGQLGSNLNFPSILELDLSNNHIEGPIPFTLPPTLRSLSLSGNRLNGSIPDALSLLTQLSNLDLSNNNLSGQLPSSTGSLSSLTTLHLQNNQLSGTLYVLQDLPLQDLDIENNLFSGPIPPKLLSIPNFSKNGNPFNTTIIPSPPLAAPAPVAMVPSPRESPWTVAYGPSALTARVPASARRSFMAKSIIWIAGAGLFVFIVLGVCLLMLCCIKRRPQKKNAKKLDDVGVFGGPLNKSACNDYVFGATNQEEKGKCEVPNRSTNSSPKVQEEGDIYVKVVSATSECNSGHQSMNTGGGSKLSPLQPPPKHSFPTISGEKITINPAITTKEAEKQVMTNSIKVYTVALLQQYTNNFSQENCIGEGTLGPVYRAELPDGKLLAVRKLDATASMGQSHEQFLQLVSSISNIQHANIARLVGYCVEHSQRLLVYEYCSNGTLHNVLHGDDNQRIKLPWNARIRVALGAARALEYLHENFRPPIVHRNFRSNNVLLNDNLEVCISDCGLGPLLSSGSTGQLSGRLLTAYGYSAPEFESGSYTQQSDVFSFGVVMLELLTGRKSHDKSRPRAEQFLVRWAVPQLHDIDTLSKMVDPCLSGAYPMKSLSRFADIVSSCLQREPEFRPAMSEIVQDLLRMM >Vigun01g145200.1.v1.2 pep primary_assembly:ASM411807v1:1:32725748:32728263:-1 gene:Vigun01g145200.v1.2 transcript:Vigun01g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIEEKGPANGNEDYTQDGTVDLKGRPVLRTETGKWKACSFIVGYEVFERMAFYGIQSNLVIYLTRKLHEGTVKSSNDVSNWVGSVWMMPLAGAYIADAFLGRYKTFIIASCIYVAGMCLLTLAVSLPALRPPQCDEGENCPEASSLQYGIFFLALYIIAIGTGGTKPNISTMGADQFDEFEPKERSYKLSFFNWWFFSIFFGTLFANTFLVFIQERVGWTIGYGLPTLGLAVSVLVFLVGTPFYRHKLPSGSPITRMLQVYVAAVKKWKLRVPDDPKELHELSIEQYASGGRNRIDRSSSLSFLDKASIKNGQTSPWRLCTVTQVEETKQMTKLIPVLLTTIIPSTLIVQASTLFVKQGTTLDRRMGPHFHIPPACLNAFVTIAMLITVVLYDRVFVPAIRRYTKNPRGITMLQRLGIGLVLHCIIMVIACFIERKRLRVARENHLFSAKDTIPLTIFILLPQYALGGVADNFVEVAKMELFYDQAPDGMKSLATSYFTTTLGIGSFLSSFLLSTVADITKRNGHGGWILDNLNISRLDYYYAFMAVLSFLNLLCFLVVAKFFVYNVDVTQTKPGFEMNSASSQPQNNTGVNQSTPQADGKS >Vigun01g197700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37436503:37437372:-1 gene:Vigun01g197700.v1.2 transcript:Vigun01g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMNSLQKKTKDLFNGITAKEIFYIFTFTILTLLLPLSFMLLAKFSDAQYYLQTLTWYHSPQHFPYVLTLALHINPLILYVLVSIISVASFIHVLTGKIVTLSDPSPPSSTVVQPRLYTAWVLLCTFQVCVGLGIEGSIEAGLYDSDESSFGVERSFLSRVIFLVGLHQTTQAWARMVVRPVVDDTVFGVEREVRLVERVVVAASLGGLWWWRLREDVESLVVMVEAKKEQLMDVRVSDFVGWWLYYLTLTIGMVKIVRVLIGMATVFLCRTSATISKVEHCEIDDKV >Vigun07g058500.1.v1.2 pep primary_assembly:ASM411807v1:7:6461322:6464827:1 gene:Vigun07g058500.v1.2 transcript:Vigun07g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESTWAIYFLILLLTSIFFFNFVYPFDRQFLSRFAFLSPSYFAPKKSPFIGTCDYSRGHWVWDETYTHRLYDENCPFLDPGFRCHQNGRKNETFRKWRWQPDDCDIPRFNASVVLEGNRNGRIVFAGDSVGRNQWESFLCMLTQGVSNLSRIHEVNGNPISKHKGYLAMRFQEYNMTVEYYRTPFLCVIGRPPINSSNHIRRTIRLDELHWYSKRWVGADILIFNSGHWWNPDKTIKSRNFFQEGGRVRMINVKEAFRRSLLTWKSWALNNLHPTSFVFFRSFSPVHFRNGTWNDGGQCDKQTEPENDPTTLEPEPYHNIFISGVVKEMQYESRKAQFLNITYLSEFRRDGHPSKFREPGTPPNAPQDCSHWCLPGVPDTWNELLYAQLLSGKFGMNKKIPERG >Vigun05g113150.1.v1.2 pep primary_assembly:ASM411807v1:5:11954283:11955069:-1 gene:Vigun05g113150.v1.2 transcript:Vigun05g113150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTFIFCCYFLLHTGHNIHVSSRSDCIQPTSTHLAILYLGLGLTAVGSRGPRHCNIAFGAHQFHTKTEEGKAPLESFCNWWYLLFT >Vigun09g223400.10.v1.2 pep primary_assembly:ASM411807v1:9:39634909:39635313:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.4.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39637965:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.8.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39637965:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.7.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39637988:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.3.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39638019:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.2.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39638019:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.5.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39637965:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >Vigun09g223400.6.v1.2 pep primary_assembly:ASM411807v1:9:39634727:39637988:1 gene:Vigun09g223400.v1.2 transcript:Vigun09g223400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKASKSLSSTSPCATLRDAYHNCFNRWYAEKFMKGHWDKQECVSEWQKYRACLSQHLEDKHLIRFLQAEGIVQDSGVTSQ >VigunL060615.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000660.1:97048:104562:-1 gene:VigunL060615.v1.2 transcript:VigunL060615.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRNGWRNQRGKKTLLSLTLVRLLLRGTMAA >Vigun10g140300.1.v1.2 pep primary_assembly:ASM411807v1:10:35739979:35743746:1 gene:Vigun10g140300.v1.2 transcript:Vigun10g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNSDLFDPVVAMESDWSRAGSTSDADFAFAFNDSNFSDRVLRIEIMADPADAGPDSDGCATIADWARHRKRRREDIRKDTGTEPAAVPDEQILNGNQPDVDERENQDEEAVAMVEEPHSGDEATNSHDSDWSMDCSAGAVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVSLRINASEEAALMELLNFMYSNTLTTTTAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPDSALLYLELPSSVLMADAVQPLTDAAKQYLAGRYKDITKFQEEVMALPLAGVEAILSSDDLQVASEDAVYDFVLKWSRQQYPKLEERREVLGAHLARLIRFPYMTCRKLKKVLTCSDFDHDVASKLVLEGLFFKAEAPHRQRALAAEDSASSNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSRPTEEFVSKYKGNYMFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >Vigun03g144200.1.v1.2 pep primary_assembly:ASM411807v1:3:14602768:14604038:-1 gene:Vigun03g144200.v1.2 transcript:Vigun03g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVGKLRKICFTCACPFKEWISLEGEKETEGNYGYVDHRGATLAALVTSGESYSYTRTQHHFF >Vigun09g176600.1.v1.2 pep primary_assembly:ASM411807v1:9:34852553:34854374:-1 gene:Vigun09g176600.v1.2 transcript:Vigun09g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MPNDAVLRTCLLWLAAVILAVGIFTHSFKKMMVTYVFGVLGIAAVLLPDWDYFNRDFSRWTYPVTAEERANSPHAQGSGFLRFAHSPLRVIVYSVVYGCAMYKWWEYVSS >Vigun10g116100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32111065:32112612:1 gene:Vigun10g116100.v1.2 transcript:Vigun10g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPQPPFSSSAMDPLGLLKNKLNPDGSLTRNDVIPRVPPSPTPPTSEPSLSVDIPLNAAANTSLRLFLPHPPPPEKLPLILHFHGGGFILYHPSSVIFHHFCASLAASLPAVIASLDYRLSPEHRLPAAYDDALEALLWAQTQARDPAQAHPWLRDHVDFSKCFLLGSSAGGNIAYFAALRALDHDLSPLKIRGILMNAPFFGGSQRSDSELRLVNDRILPLSVTDLMWSLSLPEGADRDHEYCNPTAAEAAHGEKIGQLPACFINGYGGDPLVDKEKELVKILEARGVRVEKRFVEDGYHVVEIFDEAKALVFYQDIKNFVFSFTSQSSI >Vigun08g038300.1.v1.2 pep primary_assembly:ASM411807v1:8:3699949:3706389:-1 gene:Vigun08g038300.v1.2 transcript:Vigun08g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSAGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEDLDSNLDLSKLRAHPLKPVIH >Vigun06g019000.1.v1.2 pep primary_assembly:ASM411807v1:6:8731025:8738375:-1 gene:Vigun06g019000.v1.2 transcript:Vigun06g019000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGDYFLSRKLNSQGMVQGDPSRKKKDNDDYGFTNLIFSWSIENVLDEDLYRNKVEKIEQTFRSIDHYLGSYTYPLLEETRAQLCSSMDIIHQAPYAEVIGLKEAKPAQNKLYKLTINSWKNRFTHSGELYKTLPGDVLMLCDYKPEAIKDLQRIGRMWSFVSVVKTTEDGDEEDFMLKVKASKEFYPTNWRNKPLFLIFLTNVIPNKRIWAALHMPGNLKILKQILCTKEDEECSNCSSLANALSDDTPYQLLLSELNISQQEAISSCLSGLGCNHKTAVKLIWGPPGTGKTSTLATLLFALMKMKYRVLVCASTNVAIKEVATRVVNIMKEAHSKESDDLFCSMGEVLLIGNNERLKIGKDVADVYLDHRVQELTKCLFPSTTGFRSCIKSMIDLLEYCASDYHLFVEMLKNNRSHKSFLDFLREKFQSAKRLLESCIYILCTHVGRSLLKHNFRKLVCLSEALESLQDYLFQSNLIPKELEKIFTYKNLPDMASMSFDGAAHKLYMKRIECLNALKTVVDSIDEFILIKSYNYESIRQFCFQTSSLIFSTASGSHKLHSLTMKPFDILVIDEAAQLKESESIIPLLLPGIKQAILVGDELQLPSMDAPNVERYDFGKKYLPGPMFGPYSFINVVGGKEQFDDEGRSYKNLAEVAVVMKILKNLHKAWLTSKQEFSIGIVTPYAGQVAAIQEKLGKIYESHDGFNVVVMSVDGFQGGEKDIIMLSTVRTSSRSSLEFISSPQRTNVALTRARHCLWILGNEWAITNNENVWKAIVLDAKNRKCFFNANQDEEMAKAILDSKKEADQFDDLLDTNSVLFRSKLWKVYFSDKFLRSFKRLKSEVTKNRVINLLERLSGGWRPKWSNVDLCCENSSHILKRFKVENNYVICSIEIVKGSRYIQVLKIWNILPLDDIQQLAKRLDNEFKRYTNEYIRMCKKKGTNNLRDMEFPLNWPLSANIQKYENDFNNANDGNANGNDTSEADKRRAEYEECTLLMKYCSISRGYRLHGQESLQVDLPYEVTDEQRNIILFSKSTFVLGRSGTGKTTVLITKLIQNEILHRKGVQQFNGSETERPVLRQLFVTLSAGLCRKVQHHVSLSKRSLGEGSPIAESTAEQFENIPDSFDGLSYDLYPLVITFRKFLLMLDGTLGNSYFDRFHNMNLGSRLDASETLMMKEVNYERFESLYWPHFKVQLRKKLDSYLVFTEIMSHIKGGTRTIEHGMLSREEYCDVSENRTSGLSTETRVMIYDIFQNYEKKKMQYGDFDLGDIVIDLHSRLRDQRYKGDQMNFVYIDEVQDLTMAQIALFRYICRNIEEGFVFCGDTAQTVGRGIDFRFQDVRSIFYKKFFLESESWNHDKRKGKGRISDIFVLSKNFCTNAEVLQLSQSIIELLHHFFPHSIDMLKEETGLRVGKIPLVIRSRNDANSLLKAFGQSRCKGVILVRDNLAREEVLRVAGKEALVLTVLECKGLEFEDVLLYNFFTSSPLQRRWRVIFDYMKEHHVGTQTYSRGYFDQDSNQSVLCSELKQLYVGVTRTRSRLWIYEDAEEFSTPMFDFWKMKNLVQFQ >Vigun11g052801.1.v1.2 pep primary_assembly:ASM411807v1:11:9207182:9209626:1 gene:Vigun11g052801.v1.2 transcript:Vigun11g052801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSSPWRKRSSDQFGPVYTNESCRVVEPHQNCMRNGRPDSGYLYWRWSPRSELPKFNPKKLLKFMRNKSFSLVIQSLVIMCCPYSAFSQRLQEKLVHLKRLRRNLKKGVEELTWRLRLEKSLRKSSPAQYYSIQGGGLNFHTSGYCYGVCKWWRAL >Vigun11g052801.3.v1.2 pep primary_assembly:ASM411807v1:11:9207182:9209626:1 gene:Vigun11g052801.v1.2 transcript:Vigun11g052801.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSSPWRKRSSDQFGPVYTNESCRVVEPHQNCMRNGRPDSGYLYWRWSPRSELPKFNPKKLLKFMRNKSFSLVIQSLVIMCCPYSAFSQRLQEKLVHLKRLRRNLKKGVEELTWRLRLEKSLRIDENVAREIINHRSLRQHNIIRFKEGG >Vigun11g052801.2.v1.2 pep primary_assembly:ASM411807v1:11:9207182:9209626:1 gene:Vigun11g052801.v1.2 transcript:Vigun11g052801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSSPWRKRSSDQFGPVYTNESCRVVEPHQNCMRNGRPDSGYLYWRWSPRSELPKFNPKKLLKFMRNKSFSLVIQSLVIMCCPYSAFSQRLQEKLVHLKRLRRNLKKGVEELTWRLRLEKSLRIDENVAREIINHRSLRQHNIIRFKEGG >Vigun05g234300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42797267:42799251:-1 gene:Vigun05g234300.v1.2 transcript:Vigun05g234300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMDATSSSSSDITILHPDIIQSHILNRLDGPTLASASSATHHLRRLCTDHSLWRSICAATWPSLNQPHAAALIETFPAAHRSVFSDSFPSIQYSPPPHPTPTRLPPEFVSAVDLYYKGRPVFSRVITTETHKGWFLSSPLWVDLLDPNEVVPTPLIFAKCDEESSWLAHLEENLGMSWIVIDPTRKRAANVSSRRPVAARRHWLTGDLEVLFAVTMDTVQWVIRVTCCGKAGGAVHVREASLTMEDTEGRHVTGRDSFVILQDAMENGARRKPDPEEAKARFDKFCRLKREIRERKLRRDSAMDMVAMLVSFTIFVSLFWFMVFGF >Vigun04g030900.1.v1.2 pep primary_assembly:ASM411807v1:4:2471733:2476972:1 gene:Vigun04g030900.v1.2 transcript:Vigun04g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKKGTGEAEFFTEYGEASRYDILEVIGKGSYGVVCSAVDTHAGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDVYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNEAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPPAETISKIRNEKARRYLGSMRKKQPVPFTKKFPNADPLGLHLLERLLAFDPKDRPQAEEALSDPYFNGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEENPGKGERGTPLLRHHASLPRERVPAPKDENDQNDNAENPNAAVDESDSGNPDAQNGPSKPNCSARSLLKSASISGSKCIDVKKSHDQEEEPLTEVSDETLDELTKVASLHT >Vigun04g016300.1.v1.2 pep primary_assembly:ASM411807v1:4:1194634:1195557:1 gene:Vigun04g016300.v1.2 transcript:Vigun04g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNFVAFPLLTLLFIAISHLGHAQDLPSPAPSPTSDGTTIDQGIAYILMLVALGITYMIH >VigunL006601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:36059:36220:1 gene:VigunL006601.v1.2 transcript:VigunL006601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSLCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >Vigun09g106200.1.v1.2 pep primary_assembly:ASM411807v1:9:20038646:20042414:1 gene:Vigun09g106200.v1.2 transcript:Vigun09g106200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVGNQLNMILVMLCFTIVLGQSHINVGEQPLAKIAIHKTVLALHSSATITASPFVLGIKGEDIEWVTVEIESPEPYVDDWVGIFSPANFNSSTCPYTGGVGWVEEPYICTAPIKYKYANDSNSKYTKTGKATLKFQLINQRSDFSFALFSGGLSNPRLVSVSNSISFANPKAPVYPRLALGKSWDEMTITWTSGYDIKEAVPFVEWGPKGRKQARSPAGTLTFNRNSMCGAPARTVGWRDPGFIHTSFLKELWPNLRYTYRLGHMLSDGTYIWSKKYSFKASPYPGQNSLQRVVIFGDMGKAERDGSNEYANYQPGSLNTTDQLIKDLDNYDIVFHIGDLPYANGYISQWDQFTAQVQEISSTVPYMIASGNHERDWPNTGSFFDTPDSGGECGVPAETMYYFPAENRAKFWYKADYGLFRFCIADSEHDWREGSEQYKFIEQCLATVDRKHQPWLIFSAHRPLGYSSNLWYGMEGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERVCPIYQNQCVNSEKHHYSGTVNGTIHVVVGGGGSHLSDFTSAPPVWSLYRDRDFGFSKLTAFNHSYLLFDYKKSSDGKVYDSFTISRDYKDVLACVHDGCEKTTLAT >Vigun01g146300.1.v1.2 pep primary_assembly:ASM411807v1:1:32788693:32795842:-1 gene:Vigun01g146300.v1.2 transcript:Vigun01g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVIAAFSAMANLGIHESKVKPVLKKLLKLYDKNWELIEEESYRALADAIFEEEENKLLEPDQNNKNKKDGEVDNEEAHMHEEPMRPLKRLRLRGQEGQSSRPLTNRGHSSAAFPLKTPKLEEGTVPEISSRLQPQCSAALSDGNARNDAPHVPPQDAIVNRGKQPVSPQVTPRGGRSMSDHTSLAEPLKESVAEPRAAPLANNKMLVPFTLIKPKDEPVDDLPDCEIPLAMIPPEPSSGGDSLMDAAEKQDDHDTLGSQCRDEDVGHEYSSSIEERTSYVDVALPSIGEEQCVKIKQTDDVSKESETNVSPSVRRNKDPVIENEISVRSFSALAEPEVPSSLPCPSDQDEAVLASKKVRTNGFLQRNGGKDPEDPISTNSGPLVVIPKCEFTTENDARAVHNVNDLAKGEERVNISWVNNTTNDLPPPFHYIPQNLVYRDAYVNFSLSRIGNEDCCSACKGNCVLSSKPCPCTNKTGGEFAFTAHGLLKEAFLDECIAINRDFQNYFYCKACPFEQSKNDDCLEPCKGHLKQKFIKECWSKCGCGKHCGNRVVQRGISCKLQVFLTSDGKGWGLRTLEDLPKGTFVCEFVGEILTLNELHERNMKNPKGGKYTFPVLLDADWDLGVVKDREALCLYAASYGNVARFINHRCLDANLIQIPVEVECPTHRYYHFAFFATRKIAAQEELTWDYGINFDDHDESVELFRCRCGSKFCRNIKRSNRSIRSSVA >Vigun01g146300.2.v1.2 pep primary_assembly:ASM411807v1:1:32788693:32795842:-1 gene:Vigun01g146300.v1.2 transcript:Vigun01g146300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEPMRPLKRLRLRGQEGQSSRPLTNRGHSSAAFPLKTPKLEEGTVPEISSRLQPQCSAALSDGNARNDAPHVPPQDAIVNRGKQPVSPQVTPRGGRSMSDHTSLAEPLKESVAEPRAAPLANNKMLVPFTLIKPKDEPVDDLPDCEIPLAMIPPEPSSGGDSLMDAAEKQDDHDTLGSQCRDEDVGHEYSSSIEERTSYVDVALPSIGEEQCVKIKQTDDVSKESETNVSPSVRRNKDPVIENEISVRSFSALAEPEVPSSLPCPSDQDEAVLASKKVRTNGFLQRNGGKDPEDPISTNSGPLVVIPKCEFTTENDARAVHNVNDLAKGEERVNISWVNNTTNDLPPPFHYIPQNLVYRDAYVNFSLSRIGNEDCCSACKGNCVLSSKPCPCTNKTGGEFAFTAHGLLKEAFLDECIAINRDFQNYFYCKACPFEQSKNDDCLEPCKGHLKQKFIKECWSKCGCGKHCGNRVVQRGISCKLQVFLTSDGKGWGLRTLEDLPKGTFVCEFVGEILTLNELHERNMKNPKGGKYTFPVLLDADWDLGVVKDREALCLYAASYGNVARFINHRCLDANLIQIPVEVECPTHRYYHFAFFATRKIAAQEELTWDYGINFDDHDESVELFRCRCGSKFCRNIKRSNRSIRSSVA >Vigun01g146300.3.v1.2 pep primary_assembly:ASM411807v1:1:32788693:32795842:-1 gene:Vigun01g146300.v1.2 transcript:Vigun01g146300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVIAAFSAMANLGIHESKVKPVLKKLLKLYDKNWELIEEESYRALADAIFEEEENKLLEPDQNNKNKKDGEVDNEEAHMHEEPMRPLKRLRLRGQEGQSSRPLTNRGHSSAAFPLKTPKLEEGTVPEISSRLQPQCSAALSDGNARNDAPHVPPQDAIVNRGKQPVSPQVTPRGGRSMSDHTSLAEPLKESVAEPRAAPLANNKMLVPFTLIKPKDEPVDDLPDCEIPLAMIPPEPSSGGDSLMDAAEKQDDHDTLGSQCRDEDVGHEYSSSIEERTSYVDVALPSIGEEQCVKIKQTDDVSKESETNVSPSVRRNKDPVIENEISVRSFSALAEPEVPSSLPCPSDQDEAVLASKKVRTNGFLQRNGGKDPEDPISTNSGPLVVIPKCEFTTENDARAVHNVNDLAKGEERVNISWVNNTTNDLPPPFHYIPQNLVYRDAYVNFSLSRIGNEDCCSACKGNCVLSSKPCPCTNKTGGEFAFTAHGLLKEAFLDECIAINRDFQNYFYCKACPFEQSKNDDCLEPCKGHLKQKFIKECWSKCGCGKHCGNRVVQRGISCKLQVFLTSDGKGWGLRTLEDLPKGTFVCEFVGEILTLNELHERNMKNPKGGKYTFPVLLDADWDLGVVKDREALCLYAASYGNVARFINHRCLDANLIQIPVEVECPTHRYYHVRICVLCNQKNSSTGRTHLGLWHQL >Vigun03g164800.1.v1.2 pep primary_assembly:ASM411807v1:3:18801502:18806682:1 gene:Vigun03g164800.v1.2 transcript:Vigun03g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTCLVILMASNAVGVLSFCFELLFVILQLPFSSVLPSSTTQGFSIQEATVYDLQLAFQKKQLSSKQVVEFYLKQIETQNSVLRGVLELNPDALAQAEKADKERKSKAPGSLSPLHGIPILVKDTIATKDRMNTTAGSFALLGSVVPRDAGVVTRLRKAGAIIIGKATLSEWSHYRSVAAPDGWSARGRHGKNPYTMDSPCGSSSGSAISVAANLVAVSLGTETDGSILCPSDSNSVVGIKPTVGLTSRAGVVPVSPRQDTVGPICRTVADAALLLQTIAGIDTNDKATIIASKYIPKGGYAQFLRKDGLRGKRLGVLRYFYAFGNDTFLHETFELHLKTLRERGAVLVDNLEIENIDEVYSGPSEGIAVAFEFKSSLNAYLKDLVASPVRSLADVIAFNNKHSKLEKVKEYGEDLMVNAQNIRGSGTLEEALSNLTRLSKDGFEKVMIGNKLDAVVVPCASFSSVLAIGGYPGVTVPAGYQNGRPFGICFGGLRGSEPNLIQIAYSFEQATMIRKPPPLPKL >Vigun02g037200.2.v1.2 pep primary_assembly:ASM411807v1:2:15420568:15423281:1 gene:Vigun02g037200.v1.2 transcript:Vigun02g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPFSYISSSPATNAFLEQPHHHHHHHQEVVDEASISLSLGPPGQHHHHHPKGGSSSSSSNLHHYRHNPTSDDQRGVTVALHIGLPNTTASPNTPTTTTTTTKPDLHLASAPIQGQYWIPSPAQILIGPTQFSCTVCNKMFNRFNNMQMHMWGHGSQYRKGPESLRGAKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVESCDQIGEDDLFGDEDEEELDLEDDDEEREGCEKMETNSEMKAKVLAFNGRSDSLI >Vigun02g037200.1.v1.2 pep primary_assembly:ASM411807v1:2:15420555:15422607:1 gene:Vigun02g037200.v1.2 transcript:Vigun02g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPFSYISSSPATNAFLEQPHHHHHHHQEVVDEASISLSLGPPGQHHHHHPKGGSSSSSSNLHHYRHNPTSDDQRGVTVALHIGLPNTTASPNTPTTTTTTTKPDLHLASAPIQGQYWIPSPAQILIGPTQFSCTVCNKMFNRFNNMQMHMWGHGSQYRKGPESLRGAKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVESCDQIGEDDLFGDEDEEELDLEDDDEGYNSNEVVFGFN >Vigun09g076600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8756934:8757308:1 gene:Vigun09g076600.v1.2 transcript:Vigun09g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAYTILMALELTSISIKYGGSNTNPFQHSTPILLLFLTAACSHLLASTAQTSFPTIFIFHVSGVVGCEALLWVLIAPEFLWCYVINLFLLLLASFCFNYNHINQLIRHTTHDPNFEPRVQD >Vigun05g212500.1.v1.2 pep primary_assembly:ASM411807v1:5:40341734:40344060:-1 gene:Vigun05g212500.v1.2 transcript:Vigun05g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKREAETPDVAVDHYKILEVEKNAKEEELRRSYKRLAMKWHPDKNLTNKEEAESMFKKVSEAYEVLSDSHKRALFDCSLMARVAPAAPAASSTQKPLQKAPPIERKLSCTLEELYTGTTKKVNIWRKFGELGGKDEGEVLVVEVKPGWKNGTKIKYLEMGIRVPNMIPADLVFIIEEELHRVYTRVGADLLVTKTISLVEALTGYTVKLVTLDGRNLTVPIDNVIHPEYEEIVENEGLPHHKDPTKKGNLRIRFKITFPPDLTLHQKVEMRKFLTD >Vigun07g087700.1.v1.2 pep primary_assembly:ASM411807v1:7:13570370:13575164:-1 gene:Vigun07g087700.v1.2 transcript:Vigun07g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIDKEMSKQKDSKHYLPNVVAKLMGLETLPRGEPSFSMERSYRSAYPQQMHGPVGFPFRHWQQEDRFMDREMLHEVHPNTEQFAYRDMYEIWQQSERANHGSGKVPDRGRWSEDVDGKRMALIRQKFIEAKHLSTDERMRQSKQFKDALEVLSSNSDLLMRLLDSQNVYEFYSSPRTDTKRITLIKPLKMDDNDKPARKEKRNNRLTKKSPNVDQASGWENKNSGNSPDSQKVDESPVRTTRIVLLKPSPGRTPDQKDAVSPITTSSLNLKSGNCHQGPEYDDAIESIRVAKEMTQQMHKGLRSYQKDKTPHYSSVFSNGYSDDESSFNKSYHEYASANFSDLEAMSMSPLPRLSWDYNYINGCSSPYSTMSLGRIPCSPESSVCREAKKRLSERWTMMASDNKGPQEQMNVRRNSTLGEMLSLTHMKKSVTSVVESIKEDKEPGKSVSCSHSFNAEISIEGSPKNLPRSNSVPASSTVNETELTVQVADPKTGKSQASRAQIKSKKRSTFKEKVASLLFSKSKKSIKEKPSSPQSKDASQPAPIVTQASVLPAYAPEVLRDDESQIINVDSFEEFSLVALCESSEKTSTNSVSNRQEEDMITLEPGLTVAGSMMLEINSSESPDQPSPFSVLQPPFEDDNNNVSHESLDRMKNDQPGSQVELKSNLIDKSPPIESIARTLSWDDSSAEVLKPLMVPSLDSKVEEKEWLLLVHNLLSAAGLDDQKQYDSFYSRWYSLESPLDPSLRDTLYINLNEKESEPMHEGRRRKMRSNHKLVFDYINAALLELVGFGSEKGLKGSGKQCRVLVQESAPESTLLVDHIVAKIKELMASGVRCEWDSNSLVVENVVRKEIVQIGWVELMELEIEVLGKEIEGDLIQELVENAVVDFTSRD >Vigun11g109400.1.v1.2 pep primary_assembly:ASM411807v1:11:31059806:31062464:-1 gene:Vigun11g109400.v1.2 transcript:Vigun11g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARGAASLTRVGLSSSKTPIQLIHRRGLAGAADHHGPPKVNFWKDPMSPSKWKEEHFVIISLAGWGLLVYGGYKLFTGGKGKKEENLVKEASH >Vigun03g333800.1.v1.2 pep primary_assembly:ASM411807v1:3:53176679:53180917:1 gene:Vigun03g333800.v1.2 transcript:Vigun03g333800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSERSGDGGSGLKEENRTHDYTVADDEDGPRKQQILVEEPPIEEAGPSTSADKKEEVDDQLESTKAEMGVVREENQRLKRSLHKIMNEYRTLEMQFQDILKQGTKRKLVDKGNGNEEDTVEESDLVSLSLGRVPSNQRNDEKIKVYKALKDDEGFNQELTLGLECKSKSGSTTEALPNPSPENSSEVPKEEAGETWPPSKETQKAIRDTEDEVAQQNPMKKPRVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVRKQVQRCVDDMSILITTYEGTHNHTLPPSATAMASTTSAAASMLLSGSSTSLSASAPSSTATTSATNLHGLNFYLSDGAKPRQLYLSNPALSSSPSHPTITLDLTSHPASSSSSPFVRFTSNYNQPRYPSSSSLSFSSSSEINALSWSNGFLTNNNNTIQPTYNSRNILGNVNFGRQQVENIYQSYMQKNNSNIPSVPQGGVPADTISAATKVITADPNFQSALAAALTSFIGGGVRGNQGGNSVGESPSLGQNLKWGEVFAASNNSSSTLSCSTSKVNGCASSFLNKTPANNTQTKSLMFPPPSSLPFSTPKSASASPADNSDTTN >Vigun04g155900.2.v1.2 pep primary_assembly:ASM411807v1:4:37754762:37760182:-1 gene:Vigun04g155900.v1.2 transcript:Vigun04g155900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNGSGHFRHSSKEGMWLPIIKSLPRSAVVLVFPVVVIVGVLAYTRVIDTPPTLSGISSTKSALSTKIPFSIQRETPLNCGVYNLTRTCPTNQNPIQQDDQSRSSSATCPEYFRWIDEDLRPWAHTGITQEMVERAKATANFKLVILKGRAYLETYQKSFQTRDVFTLWGILQLLRRYPGKVPDLELMFDCVDWPVVLANQYSGPVSQQPPPLFRYCGNDDTLDIVFPDWSFWGWAEVNIKPWEILLGELKEGNKRIPWLNREPYAYWKGNPSVAETREDLMKCNVSENHDWNARLYAQDWKRETEEGFKKSDLANQCTHRYKVYIEGSAWSVSEKYILACDSTTLLVKPHYYDFFTRGLIPGHHYWPIKEDDKCRSIKFAVDWGNSHKPRAHQIGKAASEFIQEELKMDFVYDYMFHLLNAYAKLFTYKPSISGNATELCVESMVCGAEGSEKKFMMESLVKGPADTDPCTMPAPFDPPSLYAQLQRKKNSIERVESWERSYWQNQNITS >Vigun04g155900.3.v1.2 pep primary_assembly:ASM411807v1:4:37754762:37759504:-1 gene:Vigun04g155900.v1.2 transcript:Vigun04g155900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNGSGHFRHSSKEGMWLPIIKSLPRSAVVLVFPVVVIVGVLAYTRVIDTPPTLSGISSTKSALSTKIPFSIQRETPLNCGVYNLTRTCPTNQNPIQQDDQSRSSSATCPEYFRWIDEDLRPWAHTGITQEMVERAKATANFKLVILKGRAYLETYQKSFQTRDVFTLWGILQLLRRYPGKVPDLELMFDCVDWPVVLANQYSGPVSQQPPPLFRYCGNDDTLDIVFPDWSFWGWAEVNIKPWEILLGELKEGNKRIPWLNREPYAYWKGNPSVAETREDLMKCNVSENHDWNARLYAQDWKRETEEGFKKSDLANQCTHRYKVYIEGSAWSVSEKYILACDSTTLLVKPHYYDFFTRGLIPGHHYWPIKEDDKCRSIKFAVDWGNSHKPRAHQIGKAASEFIQEELKMDFVYDYMFHLLNAYAKLFTYKPSISGNATELCVESMVCGAEGSEKKFMMESLVKGPADTDPCTMPAPFDPPSLYAQLQRKKNSIERVESWERSYWQNQNITS >Vigun04g155900.1.v1.2 pep primary_assembly:ASM411807v1:4:37754762:37760182:-1 gene:Vigun04g155900.v1.2 transcript:Vigun04g155900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNGSGHFRHSSKEGMWLPIIKSLPRSAVVLVFPVVVIVGVLAYTRVIDTPPTLSGISSTKSALSTKIPFSIQRETPLNCGVYNLTRTCPTNQNPIQQDDQSRSSSATCPEYFRWIDEDLRPWAHTGITQEMVERAKATANFKLVILKGRAYLETYQKSFQTRDVFTLWGILQLLRRYPGKVPDLELMFDCVDWPVVLANQYSGPVSQQPPPLFRYCGNDDTLDIVFPDWSFWGWAEVNIKPWEILLGELKEGNKRIPWLNREPYAYWKGNPSVAETREDLMKCNVSENHDWNARLYAQDWKRETEEGFKKSDLANQCTHRYKVYIEGSAWSVSEKYILACDSTTLLVKPHYYDFFTRGLIPGHHYWPIKEDDKCRSIKFAVDWGNSHKPRAHQIGKAASEFIQEELKMDFVYDYMFHLLNAYAKLFTYKPSISGNATELCVESMVCGAEGSEKKFMMESLVKGPADTDPCTMPAPFDPPSLYAQLQRKKNSIERVESWERSYWQNQNITS >Vigun04g166500.1.v1.2 pep primary_assembly:ASM411807v1:4:39112894:39116276:-1 gene:Vigun04g166500.v1.2 transcript:Vigun04g166500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPTLSPSLPKLFPHSTPHSNSNHCTLPPTSLFGTRITLQRATSSSCSLPNTNCRATSATVSFSLPSPKPLSDAPEKTPKWSARAIKSYAMAELEARKIKFPNTGTEAILMGILVEGTSRAAKFLRANGITLFKVREETLNLLGKSDLYYFSPEHPPLTEPAQLALEWAIQEKLKSGEGGEINVTHMLLGIWSQKESAGQIILASLGFDDEKAKELSKTIDGDVDLSFKRQT >Vigun11g007500.2.v1.2 pep primary_assembly:ASM411807v1:11:810679:813577:-1 gene:Vigun11g007500.v1.2 transcript:Vigun11g007500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLPELVPSPTQDSERLRKAFQGFGTDERALILVLGHRNAQQRKEIAETYKQLYNESLIDRLHSELSGDFRNAIILWTYEPPERHARLANSALKAKRKGIKQLQVLVEITCASNPNHLVAVRQAYCSLFDSSLEEDIIANVAAPLKKLLVSLVSSYRYDKVAVNLEVAKSEASKLHEAINNKKLDDDHIVWTLSTRNLFQLRETFACYKNLYGNTLEQGIKSCGNGDLETLLQLVILCIDSPEKHFAKVIRDSIVGFGTDEDSLNRAIVTRAEVDLLKVRFEYSNMFKCSLDDDVIGDTSGDYKDFLMTLLGRGPEGE >Vigun11g007500.1.v1.2 pep primary_assembly:ASM411807v1:11:810657:813502:-1 gene:Vigun11g007500.v1.2 transcript:Vigun11g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLPELVPSPTQDSERLRKAFQGFGTDERALILVLGHRNAQQRKEIAETYKQLYNESLIDRLHSELSGDFRNAIILWTYEPPERHARLANSALKAKRKGIKQLQVLVEITCASNPNHLVAVRQAYCSLFDSSLEEDIIANVAAPLKKLLVSLVSSYRYDKVAVNLEVAKSEASKLHEAINNKKLDDDHIVWTLSTRNLFQLRETFACYKNLYGNTLEQGIKSCGNGDLETLLQLVILCIDSPEKHFAKVIRDSIVGFGTDEDSLNRAIVTRAEVDLLKVRFEYSNMFKCSLDDDVIGDTSGDYKDFLMTLLGRGPEGE >Vigun06g199400.1.v1.2 pep primary_assembly:ASM411807v1:6:31459231:31466603:1 gene:Vigun06g199400.v1.2 transcript:Vigun06g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSETVSCARNFAAMVRVRGPDPKGLKMRRHAFHQYRSGETTLSASGMLVPDTLYDAQVAARLYGDNCKDRVLVVTVASVVEPFLSPQQRENIPQGRPDLISGVRIDVMTENTKGHSNQGTPCWLVAQLLSLVDIPTSSDCLQSLIEASLGLPEFEWEVGWSLASYNNDSQRSRDVFQTQERLVTGGSGSASLVYKSLTRMAILSVSLSFRDLLDTKLSAMNKRGDFLLAVGSPFGVLSPMHFFNSISVGCIANSYPSHSSGGSLLMADIRCLPGMEGSPVFSEHACLTGVLLKPLRQKTYGAEIQLVIPWEAIVSASSGLLRNRPENTEKGLYNQGGDLYAAGTGSFSDTDKLDVCSRIKREHLYFGSSSPLPIEKAMTSVCLITIGDGVWASGVLLNCQGLILTNAHLLEPWRFGKEHVNGRGYGTNSEKSSSTSEGTASLGNRVEGNQVSQTSQLKMPIVYPFTANEHGGYKLSNPTYDNHRNIRVRLDHIKPWIWCDAKVVYVCKGPWDVALLQLESVLDDLLPITMDFSRPSTGSKAFVIGHGLFGPKRGFFPSVCSGVVAKVVEAKTPQSYLSIQPEHLHNREFFPAMLETTAAIHPGASGGAVINSDGHMIGLVTSNARHSGGTVIPHLNFSIPSAALAPIFKFSKGMEDLSLLKILDEPNEYLSSVWALMRPSYPNPHPTHDPPHSATHHKSKEKGSRFAKFIAERKDVFNVGKSGVLSKEVIPSKL >Vigun07g177900.1.v1.2 pep primary_assembly:ASM411807v1:7:29395141:29403644:-1 gene:Vigun07g177900.v1.2 transcript:Vigun07g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVLIVSAGLIVAAAAFSYLQSGGKEREKKKVVPKKKSGKTEGFSHYVARQLGFQDAEEVPHLCSLVQDYLKRSEECDGRIYEYISHSNGENIDSLYIKLVDEIEKCILSYFSFNWNEAPSIINQALSVKSPPIRKLREIILAATRKIRFERVIMSLRVTRVFSTLVEEMKALQCNQEMVPANLPERSPVLLFLGGGMGAGKSTIREAILKEAFWSQIATNAVIVEADAFKEKDDIYKALNSTTVQLGRDHNEGLESAETVHEFSTRAASSLLVTALNEGRDVIMDSTLSWEPFVKQTITMARNVHRCKYRMGEGYKENEDGTVIENYWVEDEHGETPPTGESNTRQPYRIELVGVVCDSYIAIVRGIRRAIATGRAVRVNAQLKSHQRFARAFPKYCELVDNARLYFTNAIDHPPKLIGWKDGVEDLLVHPRDFKCMERIANLNVKADCIYNLYKEPNTVMESGSIWSEIILAPSRIEDQKELRKAIEKSEKYDG >Vigun02g188650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32687398:32687730:-1 gene:Vigun02g188650.v1.2 transcript:Vigun02g188650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWHNMVQQKGVKQLHFLLTVGDFLWQYGLRNFVLMIRAKTLEKLIYVKSALNFDPNLLAGVVSGQVWHILRHCFKIVLCWLLVFLTIVFSHPSDCSQLSTFTPLFFFFF >Vigun03g437400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64107446:64112155:-1 gene:Vigun03g437400.v1.2 transcript:Vigun03g437400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNDDVTNPSTVKPTFLTKAQREQLALQRRQEEVAEQKRRQEQLLSHNHSSDPKPSSDRDRDRDRERDRDRDNRERDRDRDRDRDRDRARDRDRDREPERRNRDREREEDNRARERARSEKLAERERARDRDREAERRNREREREEETRARERARLEKLAEREREKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREQIRKKDGLEEKPEEADAQRRKEAAADLYDTFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKIPRPMRSWIESKLSQELLKAVEKAGYKTPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPMSEDNEAEGPYAVVMAPTRELAQQIEDETVKFAQYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKFYKLQRLLDELNDKTAIVFVNTKKNADMVAKNLDKEGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIETYTHRIGRTGRAGKTGVATTFLTLQDSDVFYDLKQMLVQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >Vigun04g085466.2.v1.2 pep primary_assembly:ASM411807v1:4:14202477:14205221:-1 gene:Vigun04g085466.v1.2 transcript:Vigun04g085466.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ILSLSIIFAGVGHCNLYNINIGTTRRGRIILGKPEWNVVVTNNCNCTQSQIKLLCQGFHTTEIVSPSILALQWDSCLLINGNPLKGFASVSFSYAWDVPYSLRPLTSHTTC >Vigun04g085466.1.v1.2 pep primary_assembly:ASM411807v1:4:14202477:14205224:-1 gene:Vigun04g085466.v1.2 transcript:Vigun04g085466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLSIIFAGVGHCNLYNINIGTTRRGRIILGMPYGRTILGKPEWNVVVTNNCNCTQSQIKLLCQGFHTTEIVSPSILALQWDSCLLINGNPLKGFASVSFSYAWDVPYSLRPLTSHTTC >Vigun03g449600.1.v1.2 pep primary_assembly:ASM411807v1:3:65075766:65076798:1 gene:Vigun03g449600.v1.2 transcript:Vigun03g449600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKVKERKMKTGRTVLITGVGKGLGRALAVELAGRGHTIIGCSRSQHNLDSLQTQLSSSNRNHNHLFFNTDVRSDDGVQQMARLVMDQKAVPDIIVNNAGTINKNNKLWEVPAEEFEEVIDTNVKGTSNVLRHFIPLMIALKNAEGIIVNMSSGWGRSGAALVAPYCASKWAIEGLSKSVAKEVGQGMAVVALNPGVINTDMLTSCFGSSASLYQSPHSWALKAATLILNLTPADNGASLTV >Vigun03g309700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50185193:50187442:1 gene:Vigun03g309700.v1.2 transcript:Vigun03g309700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFVFILLTFVLSFHIHLAQRSELPPTTSSSKTYIVHVKGPRDRALAQLDDLESWYNSFMPPTVMSSEEQPRIIYSYRHVISGFSARLTEQELREVEKKNGFICARPERMLHPQTTHTPQVLGLQQQTGVWKESNFGKGVIVGVLDSGITPEHPSFNDAGMPPPPPRWRGRCELNATTCNKKLIGARSFIRALEAREGTEAPIDDDGHGTHTASTAAGAFVDYAEVLGNAKGTASGIAPYAHVAVYKVCVGGDCLESDILAALDAAVEDGVDVLSLSLGPSESPPFFDDGTAIGTFAAMQKGIFVSCAAGNSGPFHGSVVNGAPWILTVGASTVDRSIVATAKLGNGQEFDGESVFQPSSFSPTLLPLAYAGNNGGHEAAFCGNGSLNDVDFSGKIVLCMRGGDAGRIAQGKEVKRVGGAAMIIMNDESSGFSVFADAHVLPATHVSYEAGVKIKGYMDSTATPTATILFKGTVIGNSVSPAVSSFSSRGPNLPSPGIVKPDIIGPGANILAAWPFSLNNSTDSKSTFNIASGTSMSCPHLSGVAALLKSSHPQWSPAAIKSAIMTSADIMNSENKLIVDETLQPADIFATGSGHVNPSKANDPGLVYDITPDDYIPYLCGLGYSDTEVAIIAHKTIQCSEISSIPEGELNYPSFSVVLGSPQTFTRTVTNVGDANSSYDVQVTAPEGVNVKVEPNKLNFSEANQKETYSVSFSRTESGNETEDFAQGFLQWVSAKHTVRSPILVIFV >Vigun08g078540.1.v1.2 pep primary_assembly:ASM411807v1:8:15483304:15484356:1 gene:Vigun08g078540.v1.2 transcript:Vigun08g078540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPLCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun10g078900.3.v1.2 pep primary_assembly:ASM411807v1:10:21516865:21523592:1 gene:Vigun10g078900.v1.2 transcript:Vigun10g078900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEFATENFNPNDTKGKTITCRAAVAYGPGEPFVVERVLVHPPQKMEVRIKILFTSICHTDLSAWQGENEAARVYPRIFGHEASGIVESVGEGVSEMKEGDLVVPIFNGECGDCKYCKSEKTNKCERFGVDIMKKVMDCDGGTRFSTMDRKPIFHFLNTSTFTEYTVLDSACVVKIDHNGHGNFNPFIKTLTLLSCGVSTGVGAAWNTANVHSGSTVAVFGLGAVGLAVAEGARARGASKIIGVDINSDKFIKGRAMGITHFINPRDDEKPVYERIREMSDGGVHYSFECAGNLNVLRDAFLSAHEVYVSIYLHSHKFMI >Vigun10g078900.2.v1.2 pep primary_assembly:ASM411807v1:10:21516865:21532714:1 gene:Vigun10g078900.v1.2 transcript:Vigun10g078900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEFATENFNPNDTKGKTITCRAAVAYGPGEPFVVERVLVHPPQKMENEAARVYPRIFGHEASGIVESVGEGVSEMKEGDLVVPIFNGECGDCKYCKSEKTNKCERFGVDIMKKVMDCDGGTRFSTMDRKPIFHFLNTSTFTEYTVLDSACVVKIDHNGHGNFNPFIKTLTLLSCGVSTGVGAAWNTANVHSGSTVAVFGLGAVGLAVAEGARARGASKIIGVDINSDKFIKGRAMGITHFINPRDDEKPVYERIREMSDGGVHYSFECAGNLNVLRDAFLSAHEGWGLTVVLGVHTSPKLLPIHPMDLLEGRRIVGSVFGGFKGKTQLPHFAKECMDGVVKLDGFITHELPLEEINQAFDLLIAGKSLRCLLRF >Vigun10g078900.1.v1.2 pep primary_assembly:ASM411807v1:10:21516865:21532714:1 gene:Vigun10g078900.v1.2 transcript:Vigun10g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEFATENFNPNDTKGKTITCRAAVAYGPGEPFVVERVLVHPPQKMEVRIKILFTSICHTDLSAWQGENEAARVYPRIFGHEASGIVESVGEGVSEMKEGDLVVPIFNGECGDCKYCKSEKTNKCERFGVDIMKKVMDCDGGTRFSTMDRKPIFHFLNTSTFTEYTVLDSACVVKIDHNGHGNFNPFIKTLTLLSCGVSTGVGAAWNTANVHSGSTVAVFGLGAVGLAVAEGARARGASKIIGVDINSDKFIKGRAMGITHFINPRDDEKPVYERIREMSDGGVHYSFECAGNLNVLRDAFLSAHEGWGLTVVLGVHTSPKLLPIHPMDLLEGRRIVGSVFGGFKGKTQLPHFAKECMDGVVKLDGFITHELPLEEINQAFDLLIAGKSLRCLLRF >Vigun10g032100.1.v1.2 pep primary_assembly:ASM411807v1:10:4217582:4219557:-1 gene:Vigun10g032100.v1.2 transcript:Vigun10g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVPSMEFASSTSKLPQMYDVLINFNGEDIRRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLHQIIKWHETYCRHVLPVYYEIQPSDVRLQKGDFGEAFKATAQQTFSPQQLEHGMSRWSHALAKAANFYGWDESTYRSDAELVDKIVKSVLNLSVLSATNFPVGLQSRMEEVIQLIKNESREVCRIGICGMGGSGKTTLAKAIYNQILGTFTVKIFIEDVAQVIQTRGHAHLRKQLLSNVLKTDIHSVKGMRGNMIRKRLSKRVLIVLDDVDDHYFSCLDETEYGPALWYHFAEGSVIIITTRDVVDIHLKKNCSVFQINKMNPDESVELLSWHAFREAKPKEECHFLAKMVVDYCGGLPLALEVIGSCLYERPKEEWNKVLSRLESIPRHEVLQILKISFDGLLNQSEKDLFLDVCCFFVGKDITYVTKILNVCGVDPDRGIRVLIERSLIKVEKNNKVGMHPLLQEMGTKIVRDFSRKRNLRGTIIFWLMKISNMRCQRNIKNIEPKILIQVN >Vigun05g050000.1.v1.2 pep primary_assembly:ASM411807v1:5:4301090:4302478:-1 gene:Vigun05g050000.v1.2 transcript:Vigun05g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIQFHNMYPSYFYPSSFCGAFAINFTNLWFNRRGIAIEPFCDPPNCTSNLMANSGATQRTPSTTTTPSSSTLDTYEPKEHHEKLYTDFKIYWPFNMPLTSEAAALRVIRNLENLGLYYTLFVWIILFIVLIPHLFAAIVQLILTEAGIHLAVTLACAVPLVLVHAVLWVSHHAFEIEDDSCTREVAPVASNNRCTCGAKGIENV >Vigun03g186600.3.v1.2 pep primary_assembly:ASM411807v1:3:24693758:24697055:-1 gene:Vigun03g186600.v1.2 transcript:Vigun03g186600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVFLLWLVTLPVLAYSAQTSVPPLGYYLDCGGSKEVTVDDLKYVPDGSYIKVGNTSPINKPGLLPTLSTLRYFPDASARKYCYSLPVIKGSKYLVKTMYYYGGFDGGTQPPVFEQIVEGTRWGVVNTTEDYAKGLSSYFDITVVPSGKTLSVCLARNSHTGNSSPFISALEVRILEPSLYNPTDFSKYALVTVARSVFGGEDIIGFPDDKFNRIWQPHKDQNPVVESQSNVTSSDFWNLPPVKVFNTGVTTSRGKTLEIEWPSMPLPSTYYYICLYFQDNRQPSPYSWRAFDVFINGHLFFSNLNVTSKGLTVYAAQWPLFGQTKISLTPSVGVPVGPVINAGEIYQILPLGGRTHTRDVIAMEDLARSIQNPPPDWNGDPCLPKGNSWTGVTCSNGFHARVLTLNLTNAGISGILPPTIDNLSALAHLWLGGNKLSGVIPDLSGLKDLETLHLEKNNFEGALPPTIGKLPRLREIHSDF >Vigun09g162701.1.v1.2 pep primary_assembly:ASM411807v1:9:33033260:33033656:-1 gene:Vigun09g162701.v1.2 transcript:Vigun09g162701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPFKHSKPKLINHFLPKKILMNILLSNYSFQKVIILSMLTLQLISSFISFYLFIQSTYFVVNAFHCCSSSLVCLLYLSNAILCSYFRMVGGNK >Vigun04g009700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:736811:738723:-1 gene:Vigun04g009700.v1.2 transcript:Vigun04g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTRTMNQLGVILFVLSVLFLHVRAQTAAPSGDAVSNFQPSLAVVIGILGVMFLLTFFLLMYAKFCQHRGGSTSLGDQENLPTFVRSRSRFSGIDKTVIESLPFFRFSSLKGSKEGLECAVCLSKFEDIEVLRLLPKCKHAFHIDCIDHWLERHSSCPICRHKVNPEDHTTFTYSNSLRRLANQSGLGEESNLEIFVQREEEHHGSSRFSVGSSFRKMGKGVKEEELLIQKGAEDSDGNQKGYHKHNHMITISDVVFKHRWSNVSSSDLMFLNSEMLNAASSNRFSNFESNADMTSSPREVVENGEIKNIKEEMERKISFESKVSALSNTKSVSEKGPPFTSDSAGKSSLAPKYANPGEKRSMSEITAVSRFGDFGTKMRVFKDSSSVQDNLKEEKMRQIWFPIAKRTAQWFVNRERRSQLSLDKQLPLDV >VigunL033900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:258260:258637:1 gene:VigunL033900.v1.2 transcript:VigunL033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGCLVPILIVLFEA >Vigun02g124600.3.v1.2 pep primary_assembly:ASM411807v1:2:27655915:27662610:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.5.v1.2 pep primary_assembly:ASM411807v1:2:27655961:27662598:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.1.v1.2 pep primary_assembly:ASM411807v1:2:27655963:27662713:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.6.v1.2 pep primary_assembly:ASM411807v1:2:27655962:27662553:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.8.v1.2 pep primary_assembly:ASM411807v1:2:27655713:27661945:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.7.v1.2 pep primary_assembly:ASM411807v1:2:27655963:27662553:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.4.v1.2 pep primary_assembly:ASM411807v1:2:27655962:27661882:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun02g124600.2.v1.2 pep primary_assembly:ASM411807v1:2:27655915:27662713:-1 gene:Vigun02g124600.v1.2 transcript:Vigun02g124600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGGEEAIHERTGHDERILVSVRLRPLNEKELARNDLSDWECINDTTIIYRSNLSASDRSLYPTAYSFDNVFRSDCSTRQVYEKAAKEVALSVVGGINSSIFAYGQTSSGKTYTMSGITEYTVADIFKYIEMHTEREFVLKFSAIEIYNESVRDLLSPDCTPLRLLDDPERGTVVEKLTEETIRDWEHFTELISFSEAQRQIGETALNEASSRSHQILRLTIESSSCEFLGNDKSSSLSASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHFPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLLFASCAKEVTTNAQVNVVVSDKALVKQLQKELARLEDELRNSGPPHVTSDAAAILREKDRQIEMLKKEVKELTLQRDLAESRINEMMRVHDGDVVSTIDMLQVESLDTQYQNLHVRNSWNIENHREEPNVLSLDGEESVRSFDASQYSDGHSFSSDDNLFQLPDLEKNLLVRSSSPGPPVTRNDSVPNDLDQHEEDNCKEVRCIELEDLSANTHKQSNPEDSRSNAYTNSNASSPRESTSISGLIVADSNNNNRDREKVALSSSGSKEDKRVNHLPQDFVLSSPKEISVCMTGNSRSGTSRAVKLSRSRSCKASLMRNFSSDWFEDEDDVQNTPPIGNEKHFPGRPNGFPKNIYALNYNARPEGLSWNGHGNFEQNSAADIVQNVKSSTNKESKYNSPLPPEGKETENLKRLNLLADHEVPETGLDPMMSAQDVKDVGLDPMQDRESVSDWPSKFKRLQREIIEFWGACNVSLVHRTYFFLLFKGDQTDSFYMEVELRRLSYLKQAFSQGNQTVEDGRILKPESSMRYLRKERQMLSKQMHKRLSKDDRQNLYLKWGLRLSSKNRSLQLAHRLWTDTKDMDHIRDSASIVAKLVGLVEPEQAFKEMFGLNFTPQPTSRKSFSWTASVRHIL >Vigun07g175000.1.v1.2 pep primary_assembly:ASM411807v1:7:29037415:29037962:-1 gene:Vigun07g175000.v1.2 transcript:Vigun07g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKGKSSWPELVGVEGPVAVATIERENPYVDAETVLKGSIVTTDFRCDRVRVWVTKEGIVYQAPTIG >Vigun09g170600.2.v1.2 pep primary_assembly:ASM411807v1:9:33997574:34001651:-1 gene:Vigun09g170600.v1.2 transcript:Vigun09g170600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDNRPFTAHRHGVVRTYKPAPELPRPMKLPLPTPLSDSSSDEEEETTAMKELILKSSVEVESSIFDPRDDGTADHWVKRNASLIRLTGKHPLNSEPPLDRLMHHGFITPVPLHYVRNHGPVARARWEDWTVEVTGLVSRPTCFTMEQLLHDFPSREFPVTLVCAGNRRQEQNMVKQSIGFNWGPAVVSTSVWRGVPLRSLLKKCGIYSRTKGALHVCFEGAEDLPADGGSKYGTSIRREVALDPSRDIILAYMQNGELLSPDHGFPVRMIIPGFVGGRMVKWLKRIIVSTQESQSYYHYMDNRVLPSHVDAELANAEAWWYKPEYCINEVNINSVITTPSHEEILPINSWTTQLPYFIKGYAYSGGRKVTRVEVTLDGGETWRVCSVERPEKPNKYGKYWCWCFWSLEVEVLDLLAAKEIAVRAWDEALNTQPQKLIWNVLGMMNNCWFRVKTNVCRPKKGEIGIVFEHPTQQGNQSGGWMARERQLEKSSESNPSLKKSVSSPFMNTTTKTFSISEVRKHNNRDSAWIIVHGNVYDCTRFLKDHPGGEDSILINAGTDCTEEFDAIHSGKAKEMLEAYRIGELMTTDYTSSDSSSPNSTVHGNSETTHLAPIREVALNPREKIPCKLLSRTSISHDVRLLRFALPSEDQLMGLPVGKHVFLCATVDEKLCMRAYTPTSSVDEVGFFDLVVKVYFKGVHPKFPNGGIMSQHLDTLPIGSFLDVKGPLGHIEYTGRGNFLVQGKPRFAKRLAMLAGGTGITPIYQVVQAILKDPEDRTEMHMVYANRTEDDILLRDELDEWAKKHDRLKVWYVVQESVREGWEFSVGFITETILREHVPLASPDTLALTCGPPPMIQFAVQPNLEKLGYDIHNDLLVF >Vigun09g170600.1.v1.2 pep primary_assembly:ASM411807v1:9:33997574:34001650:-1 gene:Vigun09g170600.v1.2 transcript:Vigun09g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDNRPFTAHRHGVVRTYKPAPELPRPMKLPLPTPLSDSSSDEEEETTAMKELILKSSVEVESSIFDPRDDGTADHWVKRNASLIRLTGKHPLNSEPPLDRLMHHGFITPVPLHYVRNHGPVARARWEDWTVEVTGLVSRPTCFTMEQLLHDFPSREFPVTLVCAGNRRQEQNMVKQSIGFNWGPAVVSTSVWRGVPLRSLLKKCGIYSRTKGALHVCFEGAEDLPADGGSKYGTSIRREVALDPSRDIILAYMQNGELLSPDHGFPVRMIIPGFVGGRMVKWLKRIIVSTQESQSYYHYMDNRVLPSHVDAELANAEAWWYKPEYCINEVNINSVITTPSHEEILPINSWTTQLPYFIKGYAYSGGGRKVTRVEVTLDGGETWRVCSVERPEKPNKYGKYWCWCFWSLEVEVLDLLAAKEIAVRAWDEALNTQPQKLIWNVLGMMNNCWFRVKTNVCRPKKGEIGIVFEHPTQQGNQSGGWMARERQLEKSSESNPSLKKSVSSPFMNTTTKTFSISEVRKHNNRDSAWIIVHGNVYDCTRFLKDHPGGEDSILINAGTDCTEEFDAIHSGKAKEMLEAYRIGELMTTDYTSSDSSSPNSTVHGNSETTHLAPIREVALNPREKIPCKLLSRTSISHDVRLLRFALPSEDQLMGLPVGKHVFLCATVDEKLCMRAYTPTSSVDEVGFFDLVVKVYFKGVHPKFPNGGIMSQHLDTLPIGSFLDVKGPLGHIEYTGRGNFLVQGKPRFAKRLAMLAGGTGITPIYQVVQAILKDPEDRTEMHMVYANRTEDDILLRDELDEWAKKHDRLKVWYVVQESVREGWEFSVGFITETILREHVPLASPDTLALTCGPPPMIQFAVQPNLEKLGYDIHNDLLVF >Vigun09g247200.1.v1.2 pep primary_assembly:ASM411807v1:9:41511914:41515792:-1 gene:Vigun09g247200.v1.2 transcript:Vigun09g247200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQAIDRLGSRLQGGYFFQEQLSRHRTLMNIFDKAPVVDKDVFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSIRVGSGTNIQDNSLVHVAKSNLSGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFVGMGAVLLDGVVVEKNAMVAAGALVRQNTRIPSGEVWAGNPAKFLRKLSNEEITFISQSAVNYTNLAQVHAAENSKSYDEIEFEKVLRKKYARKDEEYDSMLGVVREIPPELILPDSVLPDKAEKSLKK >VigunL010000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:28725:30264:1 gene:VigunL010000.v1.2 transcript:VigunL010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLIMYLTRKSIMLLRKYLLVTESQVSKCGFHIVKKKGDVLYPKRTKFSKYRKGRCSRGCKPDGTKLGFGRYGTQSCRAGRLSYRAIEAARRAIIGHFHRAMSGQSRKNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSTGQVLFEMDGVSLSNARQAATLAAHKPCSSTKFVQWS >Vigun10g050100.1.v1.2 pep primary_assembly:ASM411807v1:10:7772972:7776891:-1 gene:Vigun10g050100.v1.2 transcript:Vigun10g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDLRFFELNTGAKIPSVGLGTWLAQPGVVTYALATAINVGYRHIDCAHFYGNEKEVGNVLEKLFAEGVVKREDMFITSKLWCNDHLPEDVPKALERTLRDLKLDYLDLYLIHWPVSIKDGKITKADIPSTWRAMEALYSSGKTRAIGVSNFSLKKLQDLLNVANVPPAVNQVELHPSLQQPKLHAFCESKGVHLSGYSPLGKGYSESNILKNPVLLTAAERLGKTPAQIALRWGLQMGHSVLPKSIHDARLRENFDLFDWSIPADLLANFSQIEQERIVTGSDFVYKTSPGYKTTEELWDGE >Vigun10g192800.1.v1.2 pep primary_assembly:ASM411807v1:10:40710977:40715571:-1 gene:Vigun10g192800.v1.2 transcript:Vigun10g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLVSILVLSWIFFSPCHAENSGSPKGKSAHENNSIIPLAASRTYRIDPSNNFNYYDGGWDITNTHYYMSLAFSGVPPVAVAVLWFVMVAISFTIIAFLSCCGCCKGKPRTEYSRPIYAVSLSFIVLFLIMAAIGGFFLYTGLQSFEITANDVSDVLVTKANSIFNIVESVITNLAAAKNIQVAGLTLPDDIKQGIEMAEDFTNQADIIKSQAEQTARISMEFLNAISESLLIVGSMMLILAVIGFMVSVFGWKVVVYILLLFGWILVTITYLLSSLTLVVHNGVADTCDAIDEWVQHPRSESALSKLLPCMDEATTQTTLDISKNTSYLVVNLVNEFVTNVANNDNPPMADKDIKYNQSGPALPLICNPFYPNMTERKCETLEITLTGAPTAYKSFLCNTSPSGTCITVGRLTPSLYSKVMVATNLSDSLYRNGPVFALLLNCSLVIETFDEINNNDCPSFKINSHQIYIGLALVSTAVMCSVILWVVFVKERQVQISSKKTMTTTTTGVNPQYHDHDVVPQDQEHHSTL >Vigun03g425100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63074253:63076731:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073057:63076722:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073057:63076722:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073290:63076560:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073086:63076730:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073290:63076565:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun03g425100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63073086:63076730:-1 gene:Vigun03g425100.v1.2 transcript:Vigun03g425100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKLVTLMKQCSTVKQAKQIHAHILINGFTFLQPLLIHHMLLWDVTNYRTVAHYAYSMLHHLHNPDSFSWGCVIRFLSQKGLFTEAVSLYVEMSRMRLYPTSHAISSALKSCARIQNVLGGVSIHGQVHVFGFDTCVYVQTALLDLYSKMGDMRTARHVFDEMAERSVVSWNSLLSGYLKAGNLDEAQDFFGQIPNKDVISWNSMVSGYAKAGNMDQACTLFQLMPERNLTSWNAMITGFIDCGSIVSARELFDSMSRRNCVSWITMIAGYSKGGDVDSARKLFDQMDHKDLLSYNAMIACCAQNSKPKEALELFNDMLKPNIYIHPDKMTFASVISACSQLGDLEHWSWIESRMNDFGIVLDDHLATALIDLYAKCGSIGMAYELFHSLRKRDLVAYSAMIYGCGINGKASDAIKLFEQMLAECIIPNLVTYTGLLTAYNHAGLVEKGYQCFNSMKNYGLVPSIDHYGIMVDLLGRAGYLDEAYKLITNMPMQPNAGVWGALLLACRLHNNVDLGEIAVQHCIKLESDTTGYCSLLSSIYATVGKWDDSKKMRTGVEAKEIIRVPGCSWTTNLTSP >Vigun09g224100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39707562:39708583:1 gene:Vigun09g224100.v1.2 transcript:Vigun09g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPVETLLFIHHPVIYLSFFAAGLAASMAIITALCSGFGRKPATPPPTLDPTELPKESDTSPTSNSEDKDNNNENENENEKEKLPLPPALQQLPKDPFISDRMKRVTSERRAPLSLSIKMPRSVSVARNWDHKDHREDKIKGPKLKAEDSVWMKTIILGEKCVPDAEDDPVIFEGRGKRISAYHTKRHNATAVPTQPQDQDDADVSSSSPPPLPLPENPTQDEQIISKKA >Vigun06g111200.1.v1.2 pep primary_assembly:ASM411807v1:6:24012712:24015664:1 gene:Vigun06g111200.v1.2 transcript:Vigun06g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVSDQFHQFIAPRTTLPLHLSFPLHASSTSPTTFLPFDPYNPSQSQFQTNLFHPSLHPPSSPTHKHKLPSIHQTQLPDLTDSWTNDELLALFRIRSTMENWFPEHTWDHVSRKLAELGFKKSAEKCKEKFEDESGYFDNISNFGKSNYRFLSELEELCQNPDSGGGDGDDATVRSEKTLLHLGGDNMGHGHGQCDGTEDKVVVAEKSKERKRKRRDRFEMFKGFCESVVNKMMAQQEEIHNRLLEDMVKRDQEKLAREEAWKKQEMERMNKELDIMAQEQAIAGDRHAIIIEFLKKCAKTAATTSATSPSPSSLPNRSLLHSLNPNSPSNEDNNLDPTPPSPETLLNHDQTKLRPENPNSSETLLLQVPSSSNSSPNPHNPSSSLNSHNNNIIPLLESNSVSTYKTTSTTPQASTENSKDDVGRRWPRDEVLALINLRCTSVSNNNSEEKEGNKGPLWERISQGMSELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSLNSRTCPYFHQLSCLYGQGKIVAAQSEREGNYMNPTANSGQVPPDFGVDDEDESSHVGSGGLDHYAC >Vigun10g093301.1.v1.2 pep primary_assembly:ASM411807v1:10:26936154:26936573:1 gene:Vigun10g093301.v1.2 transcript:Vigun10g093301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVSGICETDVDIHADIRFYLLDFFYANSFNVGSCVQFDRTGALLGDEAREPFACDPKNAATRGLPFCKATVATAERVKDLIGRFMVEEKVSLLVNNAAAVPRLEIKGYD >Vigun05g164600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26875378:26876272:1 gene:Vigun05g164600.v1.2 transcript:Vigun05g164600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHSKAVCTFILLNLFFIGCFAWDNLPCPPKSATPPPSTAPQKCPINTLKFGVCGSWLGLVREEIGSKPSEKCCSVVKGVADLEAALCLCTAIKANVLGSVKLKVPVAVTLLINACGKKVPSGFVCA >Vigun01g089600.1.v1.2 pep primary_assembly:ASM411807v1:1:24899849:24911265:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.8.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24911201:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.7.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24911201:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.6.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24911201:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.5.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24911201:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.9.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24911201:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.4.v1.2 pep primary_assembly:ASM411807v1:1:24899849:24911264:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.3.v1.2 pep primary_assembly:ASM411807v1:1:24900834:24911272:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.2.v1.2 pep primary_assembly:ASM411807v1:1:24900834:24911272:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun01g089600.10.v1.2 pep primary_assembly:ASM411807v1:1:24900840:24910299:-1 gene:Vigun01g089600.v1.2 transcript:Vigun01g089600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSPQLQKQNSQITGRKILCVGSQKDLWLVVREGSLNDVELALASLKKSGGNINLRNTFGLTPLHIASWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAAASILLQHGASITLEDSKSRIPVDLLSGSVFQALGNEHSSVATEVFSWGSGANYQLGTGNAHIQKLPCKVDSLGGSFIKLISAGKFHSVALTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGSRRVMAIAAAKHHTVIATQGGEVFTWGSNREGQLGYPSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKTLTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVVSRNLKKSGNTPIKFHRKERLNVVSIAAGMVHSMALTDDGALFYWVSSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPLVATRLHGVKKATSVSVGETHLLIVASLYHPVYDPNMIQNSQKLQLNKGDDIEELYEDILFEDIDSSKMISSVQNDTSRQRSTPSLKSLCEKVAAECLVEPRNAVQLLEIADSLGADDLKKYCEEIVMRNLDYIFTVSSHTIASASPDVLANLERLLDQRSSEPWSHRRLPTPTATLPAIINSEEDDSEIEFQRTRDKPLKMEKVQRVDSFLQPQDDSDKEISKVIRAIRKKLQQIEMLEDKQSNGHLLDDQQIAKLQSKSALESSLAELGVPVETSQNKESSSTLQEGKGSKKGKVSKKQRRKSGKSNIGQAEIESVHSKSEAIPKSEDLLDIHIMGISDPKVEEDAVCEQITVDQGAKDLAFVVQKNDALELLKAKGPSPKASKKKSKKGGLSMFLSGALDEAPKEVAPPPPPTPKNDGPAWGGAKFMKGSASLREIQDEQGKIKINKPAGSKDKVEDLSDFGSGVKIKLSSFLLSSPIPVTPTRSSQVSDGEINTPPWAASGTPPHPSRPSLRDIQMQQGKKQQSLSHSPKTSTAGFSIATGQGGSPSETTGVSRWFKPEVETPSTIRSIQIEEKAMKDLKRFYSSVKIVRKQS >Vigun02g115100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26875668:26877484:1 gene:Vigun02g115100.v1.2 transcript:Vigun02g115100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATSPHTALFQNRTTPFRLSSPRPTFFKPTSIKCATQLHTQPPPLTHAQDRVFNFAAGPATLPEKVLLRAQSELYNWGGSGMSVMEMSHRGKEFLSIIQKAESDLRTLLQIPPEYSVLFLQGGATTQFAAVPLNLCSPDDAVDFIVTGSWGDKAAKEAQKYCKPNVIWSGKSEKYTKVPSFGDLKQNPGARYLHICANETIHGVEYKEYPVSQSGVLVADMSSNFCSKPVDVSKFGVIYAGVQKNVGPSGVTIVIIKKDLIGNAQGLTPVMLDYKIQDDNNSLYNTPPCYGIYMCGLVFEHLLELGGLVEVEKNNKKKAEILYNTIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAVFVKEAAKEKMVELKGHRSVGGIRASIYNAMPLAGVEKLVAFMKDFQARHA >Vigun11g087800.1.v1.2 pep primary_assembly:ASM411807v1:11:26247740:26248280:1 gene:Vigun11g087800.v1.2 transcript:Vigun11g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFVPRMLPSRISISRRLLLALLNFKCGQAVCKWLNCEWLYISCRGAVQSWFQNQVSLLRKKDS >Vigun03g052100.1.v1.2 pep primary_assembly:ASM411807v1:3:4222198:4227767:-1 gene:Vigun03g052100.v1.2 transcript:Vigun03g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSIKGNSVNGKGENSSGGFNGGNDVRNAAGELQNASSSPSARDAETALYRELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVAEQHMPVYDLPPKILCRVINVMLKAEPDTDEVFAQVTLLPEPNQDENAVEKEGPPAPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAILTGTMFTVYYKPRTSPAEFIVPYDQYMESLKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWPNSKWRSLKVRWDETSNVRRPERVSQWKIEPALAPPALNPLPMPRPKRPRSNVVPSSPDSSVLTREASSKVSVDPLPASGFQRVLQGQELSTLRVNFAESNESDTAEKSAWSSAADDEKIDVVSTSRRYGSESWMSMGRHEPTYPDLLSGFGVHGDQASHPSLVDQNGPVANLSRKHFFDREGKHNILSPWPVVPSSLSLNLLDSNTKGSAHVGDTTSQVRGRFGSAFGDYTVLHGHKVDHSHGNFLMPPPLSTQYESPRSRELLPKPISGKPSEVSKPKDSDCKLFGISLLSSPIALDPSVSQRNVAIEPLGHMHTTHQHRTYDNDPKSENSRGLKPADGVLIDDHEKPTQTSQPHLKDVQPKSNSGSARSCTKVHKKGIALGRSVDLTKFSAYDELIAELDELFEFGGELTSPQKDWLIVYTDNEGDMMLVGDDPWQEFVAMVRKIYIYPKEEIQKMSPGTLSSKNEDNQSGSEGADGQQENKCQLNHSASEA >Vigun03g052100.2.v1.2 pep primary_assembly:ASM411807v1:3:4222198:4227767:-1 gene:Vigun03g052100.v1.2 transcript:Vigun03g052100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSIKGNSVNGKGENSSGGFNGGNDVRNAAGELQNASSSPSARDAETALYRELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVAEQHMPVYDLPPKILCRVINVMLKAEPDTDEVFAQVTLLPEPNQDENAVEKEGPPAPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAILTGTMFTVYYKPRTSPAEFIVPYDQYMESLKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWPNSKWRSLKVRWDETSNVRRPERVSQWKIEPALAPPALNPLPMPRPKRPRSNVVPSSPDSSVLTREASSKVSVDPLPASGFQRVLQGQELSTLRVNFAESNESDTAEKSAWSSAADDEKIDVVSTSRRYGSESWMSMGRHEPTYPDLLSGFGVHGDQASHPSLVDQNGPVANLSRKHFFDREGKHNILSPWPVVPSSLSLNLLDSNTKGSAHVGDTTSQVRGRFGSAFGDYTVLHGHKVDHSHGNFLMPPPLSTQYESPRSRELLPKPISGKPSEVSKPKDSDCKLFGISLLSSPIALDPSVSQRNVAIEPLGHMHTTHQHRTYDNDPKSENSRGLKPADGVLIDDHEKPTQTSQPHLKDVQPKSNSGSARSCTKVHKKGIALGRSVDLTKFSAYDELIAELDELFEFGGELTSPQKDWLIVYTDNEGDMMLVGDDPWQEFVAMVRKIYIYPKEEIQKMSPGTLSSKNEDNQSGSEGADGQQENKCQLNHSASEA >Vigun08g045100.3.v1.2 pep primary_assembly:ASM411807v1:8:4726893:4730079:-1 gene:Vigun08g045100.v1.2 transcript:Vigun08g045100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREFLRVKKGTSMWDSHVCLRLWQFPTWKEDGNTNTPLSSFPPTIFFSSLHCQFINTLHPLSSSLSFVCYLKYKPQKGSLITFQFQFPQPHFISCTLIPRMAKADENVYTLASVRENLIRQEDTIIYGLIERAKFPSNSHTYDDKYAQIPGFSGSLVEFVIKNTEDVQAKAGRYTNPEENPFFPEKLPPSSVPSYPFSQFLHPGAASININKSIWKMYLQDLLPLLANSGDDGNYAQTAATDLSLLQAISRRIHYGKFVAEVKFRDAPQDYEPLIRAKDREGLMKLLTFTSVEETVRKRVEKKATVFGQEVSLDSDNDGKEKSKFDPTVASRLYKDWVIPLTKEVQVEYLLRRID >Vigun08g045100.1.v1.2 pep primary_assembly:ASM411807v1:8:4726893:4730079:-1 gene:Vigun08g045100.v1.2 transcript:Vigun08g045100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRFPLVILVLTICGVRCRMAKADENVYTLASVRENLIRQEDTIIYGLIERAKFPSNSHTYDDKYAQIPGFSGSLVEFVIKNTEDVQAKAGRYTNPEENPFFPEKLPPSSVPSYPFSQFLHPGAASININKSIWKMYLQDLLPLLANSGDDGNYAQTAATDLSLLQAISRRIHYGKFVAEVKFRDAPQDYEPLIRAKDREGLMKLLTFTSVEETVRKRVEKKATVFGQEVSLDSDNDGKEKSKFDPTVASRLYKDWVIPLTKEVQVEYLLRRID >Vigun07g070650.1.v1.2 pep primary_assembly:ASM411807v1:7:8722011:8722464:1 gene:Vigun07g070650.v1.2 transcript:Vigun07g070650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPRGVPVATVTVNNATNAGLLAVRMLGVANDNILSRMSQYQEDQKEIVLSKGDKLEKHGWESYLKNS >Vigun06g059000.7.v1.2 pep primary_assembly:ASM411807v1:6:18562288:18572105:1 gene:Vigun06g059000.v1.2 transcript:Vigun06g059000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARQHVFPKLLEAVNMMRDLPKEVAELKDELESFQYFINETDEVVEAEEDSNRRDRMSKRLMKLREATFRMEDVIDEYVLIEENQPQEDPRCVALLCEAVEFIKTQISRLQIAHKIRDVKSLASAARDGFETHFPLDPRPSNSRGNENVNWDKLRMDPLFVKEDEVVGLDGPRTILTNWLREGRNGRTVISVIGIPGVGKTTLAKQVFDKVHNDFECHALITVSQSYSVEELLRDMIQKLCKERKEDPPQNVSKMGRSSLIEEVRDRLHEKRYVVLFDDVWNEKFWDEIESALIDDNNKSRIIITTRYEKVAVVCKKSSFIEVHKLEEPLSEDESFRLFCRKAFMYGSSGGCPEELKDISLEIVRKCKGLPLAIVAIGGVLSQKDESPHEWKLFNQNLSLELERNSELNNITKILGLSYHDLPMHLRSCLLYFGMYPEDCEIESDRVIRQWMAEGFVRHEIGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHEMILRKAKDSGFCGYIGRNDECVSSGIVRRLIITAEDDLIASVESSLIQSILFIEERRTTLTEEDFVRKIISSSVWTEDMVRKILANYRSLKVLDFEGAYISCVPENFEHLIHLRYLSLRRTGAMSLPSIGKLHNLETLDIRDTNVRYIPTGVYNLRKLRHFLADEVTEIEWMYIGRLKSLQKIPIVSTTDLGEAIREVGKLKQLRVLRVKHIGKDDEKTLCSAINEMQQLEALHIEAADYYISDVIDLNITSPLSRLRKLFLDIKLKGLPNWIPQLQNLVKLNLRRTVLTNDELQSLKDMPRLLILHLCFGFKVEHYILWNICG >Vigun06g059000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18562287:18572620:1 gene:Vigun06g059000.v1.2 transcript:Vigun06g059000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARQHVFPKLLEAVNMMRDLPKEVAELKDELESFQYFINETDEVVEAEEDSNRRDRMSKRLMKLREATFRMEDVIDEYVLIEENQPQEDPRCVALLCEAVEFIKTQISRLQIAHKIRDVKSLASAARDGFETHFPLDPRPSNSRGNENVNWDKLRMDPLFVKEDEVVGLDGPRTILTNWLREGRNGRTVISVIGIPGVGKTTLAKQVFDKVHNDFECHALITVSQSYSVEELLRDMIQKLCKERKEDPPQNVSKMGRSSLIEEVRDRLHEKRYVVLFDDVWNEKFWDEIESALIDDNNKSRIIITTRYEKVAVVCKKSSFIEVHKLEEPLSEDESFRLFCRKAFMYGSSGGCPEELKDISLEIVRKCKGLPLAIVAIGGVLSQKDESPHEWKLFNQNLSLELERNSELNNITKILGLSYHDLPMHLRSCLLYFGMYPEDCEIESDRVIRQWMAEGFVRHEIGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHEMILRKAKDSGFCGYIGRNDECVSSGIVRRLIITAEDDLIASVESSLIQSILFIEERRTTLTEEDFVRKIISSSVWTEDMVRKILANYRSLKVLDFEGAYISCVPENFEHLIHLRYLSLRRTGAMSLPSIGKLHNLETLDIRDTNVRYIPTGVYNLRKLRHFLADEVTEIEWMYIGRLKSLQKIPIVSTTDLGEAIREVGKLKQLRVLRVKHIGKDDEKTLCSAINEMQQLEALHIEAADYYISDVIDLNITSPLSRLRKLFLDIKLKGLPNWIPQLQNLVKLNLRRTVLTNDELQSLKDMPRLLILHLCFGFKGETLHFQCGGFQRLKKMHLDYLLNLNSILIDSGALHSLEYLWLKRLRKLKTVPVGIQHLKNLKLVQITSMPTEFELSILPIAEQQQWILQ >Vigun06g059000.8.v1.2 pep primary_assembly:ASM411807v1:6:18562287:18565620:1 gene:Vigun06g059000.v1.2 transcript:Vigun06g059000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARQHVFPKLLEAVNMMRDLPKEVAELKDELESFQYFINETDEVVEAEEDSNRRDRMSKRLMKLREATFRMEDVIDEYVLIEENQPQEDPRCVALLCEAVEFIKTQISRLQIAHKIRDVKSLASAARDGFETHFPLDPRPSNSRGNENVNWDKLRMDPLFVKEDEVVGLDGPRTILTNWLREGRNGRTVISVIGIPGVGKTTLAKQVFDKVHNDFECHALITVSQSYSVEELLRDMIQKLCKERKEDPPQNVSKMGRSSLIEEVRDRLHEKRYVVLFDDVWNEKFWDEIESALIDDNNKSRIIITTRYEKVAVVCKKSSFIEVHKLEEPLSEDESFRLFCRKAFMYGSSGGCPEELKDISLEIVRKCKGLPLAIVAIGGVLSQKDESPHEWKLFNQNLSLELERNSELNNITKILGLSYHDLPMHLRSCLLYFGMYPEDCEIESDRVIRQWMAEGFVRHEIGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHEMILRKAKDSGFCGYIGRNDECVSSGIVRRLIITAEDDLIASVESSLIQSILFIEERRTTLTEEDFVRKIISSSVWTEDMVRKILANYRSLKVLDFEGAYISCVPENFEHLIHLRYLSLRRTGAMSLPSIGKLHNLETLDIRDTNVRYIPTGVYNLRKLRHFLADEVTEIEWMYIGRLKSLQKIPIVSTTDLGEAIREVGKLKQLRVLRVKHIGKDDEKTLCSAINEMQQLEALHIEAADYYISDVIDLNITSPLSRLRKLFLDIKLKGLPNWIPQLQNLVKLNLRRTVLTNDELQSLKDMPRLLILHLCFGFKVEHYILWNICG >Vigun06g059000.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18562288:18572105:1 gene:Vigun06g059000.v1.2 transcript:Vigun06g059000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARQHVFPKLLEAVNMMRDLPKEVAELKDELESFQYFINETDEVVEAEEDSNRRDRMSKRLMKLREATFRMEDVIDEYVLIEENQPQEDPRCVALLCEAVEFIKTQISRLQIAHKIRDVKSLASAARDGFETHFPLDPRPSNSRGNENVNWDKLRMDPLFVKEDEVVGLDGPRTILTNWLREGRNGRTVISVIGIPGVGKTTLAKQVFDKVHNDFECHALITVSQSYSVEELLRDMIQKLCKERKEDPPQNVSKMGRSSLIEEVRDRLHEKRYVVLFDDVWNEKFWDEIESALIDDNNKSRIIITTRYEKVAVVCKKSSFIEVHKLEEPLSEDESFRLFCRKAFMYGSSGGCPEELKDISLEIVRKCKGLPLAIVAIGGVLSQKDESPHEWKLFNQNLSLELERNSELNNITKILGLSYHDLPMHLRSCLLYFGMYPEDCEIESDRVIRQWMAEGFVRHEIGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHEMILRKAKDSGFCGYIGRNDECVSSGIVRRLIITAEDDLIASVESSLIQSILFIEERRTTLTEEDFVRKIISSSVWTEDMVRKILANYRSLKVLDFEGAYISCVPENFEHLIHLRYLSLRRTGAMSLPSIGKLHNLETLDIRDTNVRYIPTGVYNLRKLRHFLADEVTEIEWMYIGRLKSLQKIPIVSTTDLGEAIREVGKLKQLRVLRVKHIGKDDEKTLCSAINEMQQLEALHIEAADYYISDVIDLNITSPLSRLRKLFLDIKLKGLPNWIPQLQNLVKLNLRRTVLTNDELQSLKDMPRLLILHLCFGFKGETLHFQCGGFQRLKKMHLDYLLNLNSILIDSGALHSLEYLWLKRLRKLKTVPVGIQHLKNLKLVQITSMPTEFELSILPIAEQQQWILQ >Vigun06g059000.2.v1.2 pep primary_assembly:ASM411807v1:6:18562288:18572619:1 gene:Vigun06g059000.v1.2 transcript:Vigun06g059000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSLARQHVFPKLLEAVNMMRDLPKEVAELKDELESFQYFINETDEVVEAEEDSNRRDRMSKRLMKLREATFRMEDVIDEYVLIEENQPQEDPRCVALLCEAVEFIKTQISRLQIAHKIRDVKSLASAARDGFETHFPLDPRPSNSRGNENVNWDKLRMDPLFVKEDEVVGLDGPRTILTNWLREGRNGRTVISVIGIPGVGKTTLAKQVFDKVHNDFECHALITVSQSYSVEELLRDMIQKLCKERKEDPPQNVSKMGRSSLIEEVRDRLHEKRYVVLFDDVWNEKFWDEIESALIDDNNKSRIIITTRYEKVAVVCKKSSFIEVHKLEEPLSEDESFRLFCRKAFMYGSSGGCPEELKDISLEIVRKCKGLPLAIVAIGGVLSQKDESPHEWKLFNQNLSLELERNSELNNITKILGLSYHDLPMHLRSCLLYFGMYPEDCEIESDRVIRQWMAEGFVRHEIGKTLEEVAEEDLLGLIRRNLVQVSSSSIDGKVKRCRVHDLIHEMILRKAKDSGFCGYIGRNDECVSSGIVRRLIITAEDDLIASVESSLIQSILFIEERRTTLTEEDFVRKIISSSVWTEDMVRKILANYRSLKVLDFEGAYISCVPENFEHLIHLRYLSLRRTGAMSLPSIGKLHNLETLDIRDTNVRYIPTGVYNLRKLRHFLADEVTEIEWMYIGRLKSLQKIPIVSTTDLGEAIREVGKLKQLRVLRVKHIGKDDEKTLCSAINEMQQLEALHIEAADYYISDVIDLNITSPLSRLRKLFLDIKLKGLPNWIPQLQNLVKLNLRRTVLTNDELQSLKDMPRLLILHLCFGFKVEHYILWNICG >Vigun11g032500.1.v1.2 pep primary_assembly:ASM411807v1:11:4280846:4282522:-1 gene:Vigun11g032500.v1.2 transcript:Vigun11g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKITTEIGVHATAAKWFNLFAKQLHHVQNLAERVHGTKLHRGQDWHHPESIKQWTYVIDGKVTTCQESIESVDEANKTITFKVFNGDIDHQFKVFKFIFQAIDKNSGGAIIKWTIEYERVSEDVDPPYGYIEYLHKSTRDIDAHLLKA >VigunL007502.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:34960:36107:1 gene:VigunL007502.v1.2 transcript:VigunL007502.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDINGIREPVSGSLLYGNNIISGAIIPTSTAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFAAPYSVLCMVPW >Vigun05g045000.3.v1.2 pep primary_assembly:ASM411807v1:5:3716655:3719741:1 gene:Vigun05g045000.v1.2 transcript:Vigun05g045000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAEAYHVVAATVPLYVTMILAYVSVKWWKIFTPDQCSGINKFVAKFSIPLLSFQIISSNNIYKMSLKLLYADFVQKLLAFLVFIAITKISGRGGLKWIITGLSLTTLPNTLILGIPLMKAMYKGDAVVLLAQIIFLQSMIWYNLLLFLYELDAVNTRPAVAAPPSQGSADTDREVQSKGEEDVDPRTKRKLMKVLPILAKVGKKLIKNPNTYATLTGFIWSSIHFRWGLHMPEIVNQSIEILSNGGLGMAMFSLGVFMASQSSMIACGPRMTMVAVVLKFVVGPGLMTVASLLIGLRCTLFKVTIVQAALPQGIVPFVFAKEYNVHPAVLSTAILLGMVMALPVELGFYFLLAI >Vigun05g045000.2.v1.2 pep primary_assembly:ASM411807v1:5:3716655:3719740:1 gene:Vigun05g045000.v1.2 transcript:Vigun05g045000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAEAYHVVAATVPLYVTMILAYVSVKWWKIFTPDQCSGINKFVAKFSIPLLSFQIISSNNIYKMSLKLLYADFVQKLLAFLVFIAITKISGRGGLKWIITGLSLTTLPNTLILGIPLMKAMYKGDAVVLLAQIIFLQSMIWYNLLLFLYELDAVNTRPAVAAPPSQGSAETDTDREVQSKGEEDVDPRTKRKLMKVLPILAKVGKKLIKNPNTYATLTGFIWSSIHFRWGLHMPEIVNQSIEILSNGGLGMAMFSLGVFMASQSSMIACGPRMTMVAVVLKFVVGPGLMTVASLLIGLRCTLFKVTIVQAALPQGIVPFVFAKEYNVHPAVLSTAILLGMVMALPVELGFYFLLAI >Vigun05g045000.1.v1.2 pep primary_assembly:ASM411807v1:5:3716656:3719740:1 gene:Vigun05g045000.v1.2 transcript:Vigun05g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAEAYHVVAATVPLYVTMILAYVSVKWWKIFTPDQCSGINKFVAKFSIPLLSFQIISSNNIYKMSLKLLYADFVQKLLAFLVFIAITKISGRGGLKWIITGLSLTTLPNTLILGIPLMKAMYKGDAVVLLAQIIFLQSMIWYNLLLFLYELDAVNTRPAVAAPPSQGSAGETDTDREVQSKGEEDVDPRTKRKLMKVLPILAKVGKKLIKNPNTYATLTGFIWSSIHFRWGLHMPEIVNQSIEILSNGGLGMAMFSLGVFMASQSSMIACGPRMTMVAVVLKFVVGPGLMTVASLLIGLRCTLFKVTIVQAALPQGIVPFVFAKEYNVHPAVLSTAILLGMVMALPVELGFYFLLAI >Vigun11g020433.1.v1.2 pep primary_assembly:ASM411807v1:11:2540821:2553258:1 gene:Vigun11g020433.v1.2 transcript:Vigun11g020433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQMLFDKLNSHQVLGFFRGRNLDEKLLKRLKRKLMDVNAVIDDAEQKQFSNSLVKEWLGEVRDVLYDAEDLLQQIHYEFFKSELEAEFHTRARKLNINKCPGVELSEGCLPSNLKEMNLWNCSKHVASLKGAWGANPSLECLHIAEMDVEFFPGEGLLPLSLTRLIIDNCRNLKKLDYKGLCHLSFLRKLSLHNCPILQCLPEEGLPKSISVFEISHCSLLKGRCKKQDGEDWEKIAHIKTIWVDDELQVAT >Vigun08g187200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35619041:35619925:1 gene:Vigun08g187200.v1.2 transcript:Vigun08g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAFAALLIFLVLTTTTSEPAPPLGDDTISKPPKSIVITSNPPTKPVTSSGSPRFVVASNPPTKTVTSSGSPKFFVASNPPTKTVTSSGSPRFVVASNPPTKTVTNSGSPRFVVASNPPTKTVTSSGSPRFVVASNPPTKTVTSSGSPMFVVASNPPTKTITNSGSPMFVVASNPPIKTVTSSDSPRFVVASNPPTKIVTSLGSPRFVVASNPPTKTVTNSGSPRFVVASNPPTKTITSS >Vigun07g098300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17170160:17170264:-1 gene:Vigun07g098300.v1.2 transcript:Vigun07g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRLLSGTIGPPLKRRARLRRKQAGRGSYRGS >Vigun01g159200.1.v1.2 pep primary_assembly:ASM411807v1:1:34093337:34098642:-1 gene:Vigun01g159200.v1.2 transcript:Vigun01g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSSGGANHRRRHSGAIRRSHPPPPPPVTPQPEIAANPFVYHGAAPYPNPTLHYPQYHYPGYYPPAPPIPHQHHHQQHQHHHLQHQHHHPQNQHQHHLHMDPAWIPGRYPCGPVMPNPAPFVEHQKAVTIRNDVNIRKESLRLEPDEGNSSHFLISFSFDATVSGSVTIYFFAKEGEDSILTPVKENNPAPLTVNFEQGLGQKFKQPSGTGIDFSVFEESELLKVGDMDVYPLAVKADASPGNHDESDRTPASGSTNSQITQAVFEKEKGEFRVKVIKQILWVNGMRYELQEIYGIGNSTEADLDESDQGKECVICLSEPRDTIVHPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGSEE >Vigun05g294900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48026875:48030038:-1 gene:Vigun05g294900.v1.2 transcript:Vigun05g294900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLRWGTSFLCVFLLFSSTCLAKDQHIHQIYPGFSASQPEWSDHNGFFLISNNSAFGLGFFTTLDVSSFVLVVLHLSSYKVVWTANRGLLVGKSAKFELDRGGNAYLKGDDAVVWATNTTGNKVRAMELLDSGNLVLVGENGTAIWQSFSHPTDTLLPGQEFVEGMMLKSFHNSLNMNYYLSYKEADLFLYAGFEPPQMYWSLSEEAHRSSKNGTTKVHSASLVSNSWRFFDPNGALLWKINFSEHSDPNSLWAATLDPTGAITFYDLNKGRSPTPEAVKVPQDPCGTPQPCDPYYVCFFENWCICPKLLRTSFNCKPPNISTCSSTSTELVYVGEELDYFALKYTTPVSKSTLNSCKESCVGNCSCRVLFFENSTGRCFHFDDTGSFQRSKGGTGGYVSYMKVSIGGGGDDGHGNKNGRKDTVLVLVIAVLTVLVIVGLVTVFLYYYKRKKNDTRYPEDTFEEDDDFLDSISGMPARFTFAALCRATKDFSTKIGEGGFGSVYLGELEDGTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARGSLDKWIFKNSENSFLLNWETRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFTAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIIGGRKNYDQWEGAEKAHFPSYVFRMMDEGKLKEVLDPKIDIDEKDERVEAALKVALWCIQDDVSLRPSMTKVAQMLEGLCTVPDPPSLSQAGTYSAFMKLSSGEATSSGQASFFSNVPMSCVQLSGPR >Vigun05g294900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48026836:48030074:-1 gene:Vigun05g294900.v1.2 transcript:Vigun05g294900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLRWGTSFLCVFLLFSSTCLAKDQHIHQIYPGFSASQPEWSDHNGFFLISNNSAFGLGFFTTLDVSSFVLVVLHLSSYKVVWTANRGLLVGKSAKFELDRGGNAYLKGDDAVVWATNTTGNKVRAMELLDSGNLVLVGENGTAIWQSFSHPTDTLLPGQEFVEGMMLKSFHNSLNMNYYLSYKEADLFLYAGFEPPQMYWSLSEEAHRSSKNGTTKVHSASLVSNSWRFFDPNGALLWKINFSEHSDPNSLWAATLDPTGAITFYDLNKGRSPTPEAVKVPQDPCGTPQPCDPYYVCFFENWCICPKLLRTSFNCKPPNISTCSSTSTELVYVGEELDYFALKYTTPVSKSTLNSCKESCVGNCSCRVLFFENSTGRCFHFDDTGSFQRSKGGTGGYVSYMKVSIGGGGDDGHGNKNGRKDTVLVLVIAVLTVLVIVGLVTVFLYYYKRKKNDTRYPEDTFEEDDDFLDSISGMPARFTFAALCRATKDFSTKIGEGGFGSVYLGELEDGTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARGSLDKWIFKNSENSFLLNWETRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFTAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIIGGRKNYDQWEGAEKAHFPSYVFRMMDEGKLKEVLDPKIDIDEKDERVEAALKVALWCIQDDVSLRPSMTKVAQMLEGLCTVPDPPSLSQAGTYSAFMKLSSGEATSSGQASFFSNVPMSCVQLSGPR >Vigun05g294900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48026851:48030069:-1 gene:Vigun05g294900.v1.2 transcript:Vigun05g294900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLRWGTSFLCVFLLFSSTCLAKDQHIHQIYPGFSASQPEWSDHNGFFLISNNSAFGLGFFTTLDVSSFVLVVLHLSSYKVVWTANRGLLVGKSAKFELDRGGNAYLKGDDAVVWATNTTGNKVRAMELLDSGNLVLVGENGTAIWQSFSHPTDTLLPGQEFVEGMMLKSFHNSLNMNYYLSYKEADLFLYAGFEPPQMYWSLSEEAHRSSKNGTTKVHSASLVSNSWRFFDPNGALLWKINFSEHSDPNSLWAATLDPTGAITFYDLNKGRSPTPEAVKVPQDPCGTPQPCDPYYVCFFENWCICPKLLRTSFNCKPPNISTCSSTSTELVYVGEELDYFALKYTTPVSKSTLNSCKESCVGNCSCRVLFFENSTGRCFHFDDTGSFQRSKGGTGGYVSYMKVSIGGGGDDGHGNKNGRKDTVLVLVIAVLTVLVIVGLVTVFLYYYKRKKNDTRYPEDTFEEDDDFLDSISGMPARFTFAALCRATKDFSTKIGEGGFGSVYLGELEDGTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARGSLDKWIFKNSENSFLLNWETRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFTAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIIGGRKNYDQWEGAEKAHFPSYVFRMMDEGKLKEVLDPKIDIDEKDERVEAALKVALWCIQDDVSLRPSMTKVAQMLEGLCTVPDPPSLSQAGTYSAFMKLSSGEATSSGQASFFSNVPMSCVQLSGPR >Vigun05g294900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48026836:48030074:-1 gene:Vigun05g294900.v1.2 transcript:Vigun05g294900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLRWGTSFLCVFLLFSSTCLAKDQHIHQIYPGFSASQPEWSDHNGFFLISNNSAFGLGFFTTLDVSSFVLVVLHLSSYKVVWTANRGLLVGKSAKFELDRGGNAYLKGDDAVVWATNTTGNKVRAMELLDSGNLVLVGENGTAIWQSFSHPTDTLLPGQEFVEGMMLKSFHNSLNMNYYLSYKEADLFLYAGFEPPQMYWSLSEEAHRSSKNGTTKVHSASLVSNSWRFFDPNGALLWKINFSEHSDPNSLWAATLDPTGAITFYDLNKGRSPTPEAVKVPQDPCGTPQPCDPYYVCFFENWCICPKLLRTSFNCKPPNISTCSSTSTELVYVGEELDYFALKYTTPVSKSTLNSCKESCVGNCSCRVLFFENSTGRCFHFDDTGSFQRSKGGTGGYVSYMKVSIGGGGDDGHGNKNGRKDTVLVLVIAVLTVLVIVGLVTVFLYYYKRKKNDTRYPEDTFEEDDDFLDSISGMPARFTFAALCRATKDFSTKIGEGGFGSVYLGELEDGTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARGSLDKWIFKNSENSFLLNWETRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFTAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIIGGRKNYDQWEGAEKAHFPSYVFRMMDEGKLKEVLDPKIDIDEKDERVEAALKVALWCIQDDVSLRPSMTKVAQMLEGLCTVPDPPSLSQAGTYSAFMKLSSGEATSSGQASFFSNVPMSCVQLSGPR >Vigun09g205200.3.v1.2 pep primary_assembly:ASM411807v1:9:37968938:37971572:-1 gene:Vigun09g205200.v1.2 transcript:Vigun09g205200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESEILVLPCLDFGKGGMTLEAGSEEWKEMSMKVREACESYGCFILKYDDMSIKGVREFIFKDLKPLFELPEETKQKHKHSTPFNSYTNMTLGNLSYQTFGINQVTIDNFTNIMWPQGNPSFCEALKGMSLKMSEINSYLLKMIMEGYGPQHSVDLESMKCGTYVRFNKYIVSGNGGDEKAKRFAHTDRNSLTILCDNGVQALQVLSKTGKWIEVNIPQDGFVVIIGDALKAWSNGRLHSPTHKVEMSGDRYSFGVFTAPNSEVMIEPRELVDDKLYPLRYRAFDYGKFMSYCTSNITPDAVDVFAGI >Vigun09g205200.2.v1.2 pep primary_assembly:ASM411807v1:9:37968938:37971231:-1 gene:Vigun09g205200.v1.2 transcript:Vigun09g205200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESEILVLPCLDFGKGGMTLEAGSEEWKEMSMKVREACESYGCFILKYDDMSIKGVREFIFKDLKPLFELPEETKQKHKHSTPFNSYTNMTLGNLSYQTFGINQVTIDNFTNIMWPQGNPSFCEALKGMSLKMSEINSYLLKMIMEGYGPQHSVDLESMKCGTYVRFNKYIVSGNGGDEKAKRFAHTDRNSLTILCDNGVQALQVLSKTGKWIEVNIPQDGFVVIIGDALKAWSNGRLHSPTHKVEMSGDRYSFGVFTAPNSEVMIEPRELVDDKLYPLRYRAFDYGKFMSYCTSNITPDAVDVFAGI >Vigun09g205200.4.v1.2 pep primary_assembly:ASM411807v1:9:37968938:37971208:-1 gene:Vigun09g205200.v1.2 transcript:Vigun09g205200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESEILVLPCLDFGKGGMTLEAGSEEWKEMSMKVREACESYGCFILKYDDMSIKGVREFIFKDLKPLFELPEETKQKHKHSTPFNSYTNMTLGNLSYQTFGINQVTIDNFTNIMWPQGNPSFCEALKGMSLKMSEINSYLLKMIMEGYGPQHSVDLESMKCGTYVRFNKYIVSGNGGDEKAKRFAHTDRNSLTILCDNGVQALQVLSKTGKWIEVNIPQDGFVVIIGDALKAWSNGRLHSPTHKVEMSGDRYSFGVFTAPNSEVMIEPRELVDDKLYPLRYRAFDYGKFMSYCTSNITPDAVDVFAGI >Vigun10g043301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:6075850:6076206:1 gene:Vigun10g043301.v1.2 transcript:Vigun10g043301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LFFSLNPPKHSPLNHCSLLFSVPTNSLFSFNRHTRSKETYCSFFFLQHRITPQFLILRQPSHRTHSSSHPTITSTHQPPISGLRRASSCGCASDWGFPSEPPRLSPLEPPPSRSLRRL >Vigun11g060900.1.v1.2 pep primary_assembly:ASM411807v1:11:13416198:13422588:1 gene:Vigun11g060900.v1.2 transcript:Vigun11g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSICVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRFTYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDEYGPQLYKCDPAGHYFGHKATSAGLKDQEAINFLEKKMKNDPSFTYEETVQTAISALQSVLQEDFKATEIEVGVVRKDNPEFRVLTTEEIDEHLTAISERD >Vigun11g060900.2.v1.2 pep primary_assembly:ASM411807v1:11:13416198:13422588:1 gene:Vigun11g060900.v1.2 transcript:Vigun11g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSICVVTQKKVPLLDQTSVTHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRFTYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDEYGPQLYKCDPAGHYFGHKATSAGLKDQEAINFLEKKMKNDPSFTYEETVQTAISALQSVLQEDFKATEIEVGVVRKDNPEFRVLTTEEIDEHLTAISERD >Vigun05g299400.1.v1.2 pep primary_assembly:ASM411807v1:5:48358831:48362799:1 gene:Vigun05g299400.v1.2 transcript:Vigun05g299400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPFVNMPTLPLHFFTHSFFLSHSAINSTNHYIYTCLSSLLFSPHTNISHLSLWGMDNNDEIPSTPATPGTPGAPLFGGFTNRTNNGNNKKSLLKSCRCFTVEDWTIEDGALPPVSCSLPPPPTVPLARKVGAEFIGTFILMFAATAAAIVNQKTNGSETLIGCAATTGLAVMIVILATGHISGAHLNPAVTLSFAALKHFPWKHVPMYIGAQVLASICAAFALKGVYHPFMSGGVTVPSGGYGQSFALEFIIAFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPVSGGSMNPVRTLGPAIAANNYKAIWVYLVAPVLGALAGAGTYTAVKLPEEDDDAKAKASISFRR >Vigun07g220000.1.v1.2 pep primary_assembly:ASM411807v1:7:34267917:34273750:1 gene:Vigun07g220000.v1.2 transcript:Vigun07g220000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTISSLPFSLPLHHNSRVSSFYLPSSSIPSQSSITFPTKKWRFLCFKHENTSSEINGSELKDDELAEDLVKHEDDQSDDLKKDWFAAIHSVITVIHDVKPWTVPWTAKTIVQVMLLWIASFWFVGSWIVPFLAYTAGFRKESLTYRGQALYSLLTDVAEGVVGIAILRHCLAKFQPLSSDWFKFELKGKWQFDVGLGCLMFPLINQLSQMNLNLLPVLQYTPVPVSSVEQSIVARDPVAMALYAVVVSVCAPIWEEIVFRGFLLPSLTKYMPVWSAILVSSVCFALAHFNIQRILPLVFLGMVMGTVFVRSRNLLPSMLLHSLWNAFVFLDLMK >Vigun08g166200.1.v1.2 pep primary_assembly:ASM411807v1:8:33817835:33818650:-1 gene:Vigun08g166200.v1.2 transcript:Vigun08g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVEVKVGLHCDECIKKILKAIKKIEDIETYNADKQLNKVMVTGDVTTEEVIRVLHKIGKNATPWEDAQP >Vigun05g246732.1.v1.2 pep primary_assembly:ASM411807v1:5:43986879:43989520:-1 gene:Vigun05g246732.v1.2 transcript:Vigun05g246732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVPYCLSTTQAPSISSTKHLRDANKHDTPFRNPPNFNPSSFTREFIHSLPNNLPIETLQERATKLEEKVRFMINGTDMEPLSLLELIDDIERLGLFFRFQDWINKTLLTLVSIQNFKDRTTTSLHETALRFRILRRHGFDVSQDIFRSFKDEEGKFKAEISNDVQGMLSLYRASYYTLEGENLWEASAFSRTHLINLMEERSMDAKMAEKVKHVLEELPYHKTCCRLVAKHYINTYDKTEPHNLLLLELAKLEFNMDQSSYQNELRELSRWWWDIDLSRKLKFSRDRLVEIFIWALAVFPEPQFAYYRKVISKLTILIPFIDDIYDIYGTLEELELFTNVIASKAYLQEAKWFHDKSIPPFDVYLENARISITAGVILTHCYFLITQDITEEVIHYLTNNDHDMLHSAYIIFRLTNDLGTSTDEIERGETSNSIVSYMHETGLPEENARQHFKTLMEKEWKNLNKYLIKNSIFPKSFVQVVINLAWSGHFIYQYGDGFGRQTNTLKNTIKSVLMYPFQL >Vigun03g253600.1.v1.2 pep primary_assembly:ASM411807v1:3:42006897:42009093:1 gene:Vigun03g253600.v1.2 transcript:Vigun03g253600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSYGFPIPSSLLPSDASPEWNNKADNAWQLTAATLVGLQSVPGLVILYGSMVKRKWAVNSAFMALYAFACVLICWVSWAHGMAFGSELLPFVGKPNHALSGKFLLAKSSAGYFPMADFVFYQFAFAAITVVLLAGSLLGRMNFYAWMLFVPLWLTFSYTVGAFSIWGKNGFLQGKIIDYAGGFVIHLSSGIAGFTAAYWVGPRISYDRQNFPPNNIIHVLGGAGFLWMGWTGFNGGATFQVGEIASLAIFNTHLCTATSLLVWLTLDMMVYTKSSVIGAVQGMITGLVCITPGAGLVDSWAAVLMGALSGSIPWYTMMVLHKKSAFFQSVDDTLGVFHTHAVAGVLGGLLSGVFAKPGLLRILYSDDQYGPGLIYSFSKGESVGEGMRQIWYQLVGAGFIIVWNVVVTSLVCVLISHIVDLRMQEEELEVGDDAAHGEEAYALWGDGERMRVPLRLHISPTIPSLCRRRFSIPLTRNEEE >Vigun03g392950.1.v1.2 pep primary_assembly:ASM411807v1:3:59922623:59923555:1 gene:Vigun03g392950.v1.2 transcript:Vigun03g392950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKVWKRVRFENFGGKVWRRRRKETTIEGTPWKLRSSLNGGDSNWMSMENVTIETVSRRRDRKAGKTLEDP >Vigun11g161301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36869144:36870313:-1 gene:Vigun11g161301.v1.2 transcript:Vigun11g161301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVQTRHLPRLIYALIFCIVSTIVAADDYPYYGGGQPYNNPYYKPTYEPKYPPNYDPKYPSYDPKYPSYDPKYPPYYYKSPPPPSPPPYYNQPKYPPYYYKSPPPPPPSYYEPKYPPYYEPKYPPYYYYKSPPPPPPPYVYKPPPYNYYKPPYNNYSPPPYEYKPPPYNYYKPPYNNYSPPQYDQYKPPSSPPYEYKPPSSPPYEYKPPSSPPYK >Vigun09g185300.1.v1.2 pep primary_assembly:ASM411807v1:9:35896374:35901130:-1 gene:Vigun09g185300.v1.2 transcript:Vigun09g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKHFLFLMLQFLVVLGGDTKPGVRLDIQDKHVVMDNGIVQVNLSNPEGIVTGIRYNGIDNLLEVLNEEYDRGYWDIVWDEGGENRTEKGAKGKGRFDRMEATNLTVIVENEEQVELSFTRTWNASFQGKLPPLNIDKRFILLRGFSGFYTYAIYEHLKEWPAFDIDNTRIAFKPRKDKFHYMSIADNRQRFMPLPDDRIPPRGQVLAYPEAVRLVDPVEPEFKGEVDDKYEYSCESRNDRVHGWMSIDPLNSTGFWLITPSYEFRSAGPLKQYLTSHVGPTTLSVFHSTHYSGADLIMKFGPNEPWKKVYGPIFLYLNSLSNGVSPVKLWEDAKQQMVNEVESWPYTFPASKDFLSAEQRGKVQGRLLVRDRKVSDAFIPVSGAYVGLAAIGEVGSWQRECKGYQFWTITDDKGYFSIINIRPGDYNLYSWVNGYIGDYQFDQIINVTSGSEINVHELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPMYINKLYVNHTDRFRQYGLWERYADLYPNKDLVYTVGVSDYRKDWFFAQVNRKKDNGTYQGTTWQINFNLDRVNTHGSYTLRVALASVHNAELQIRINKLEADPPLFSSGVIGKENTIARHGIHGLYWLFSLDVDDTLLVQGNNTIFLTQTMNTSPFIGIMYDYIRLEYKR >Vigun09g185300.2.v1.2 pep primary_assembly:ASM411807v1:9:35896374:35901130:-1 gene:Vigun09g185300.v1.2 transcript:Vigun09g185300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIVQVNLSNPEGIVTGIRYNGIDNLLEVLNEEYDRGYWDIVWDEGGENRTEKGAKGKGRFDRMEATNLTVIVENEEQVELSFTRTWNASFQGKLPPLNIDKRFILLRGFSGFYTYAIYEHLKEWPAFDIDNTRIAFKPRKDKFHYMSIADNRQRFMPLPDDRIPPRGQVLAYPEAVRLVDPVEPEFKGEVDDKYEYSCESRNDRVHGWMSIDPLNSTGFWLITPSYEFRSAGPLKQYLTSHVGPTTLSVFHSTHYSGADLIMKFGPNEPWKKVYGPIFLYLNSLSNGVSPVKLWEDAKQQMVNEVESWPYTFPASKDFLSAEQRGKVQGRLLVRDRKVSDAFIPVSGAYVGLAAIGEVGSWQRECKGYQFWTITDDKGYFSIINIRPGDYNLYSWVNGYIGDYQFDQIINVTSGSEINVHELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPMYINKLYVNHTDRFRQYGLWERYADLYPNKDLVYTVGVSDYRKDWFFAQVNRKKDNGTYQGTTWQINFNLDRVNTHGSYTLRVALASVHNAELQIRINKLEADPPLFSSGVIGKENTIARHGIHGLYWLFSLDVDDTLLVQGNNTIFLTQTMNTSPFIGIMYDYIRLEYKR >Vigun09g185300.3.v1.2 pep primary_assembly:ASM411807v1:9:35896374:35901130:-1 gene:Vigun09g185300.v1.2 transcript:Vigun09g185300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKHFLFLMLQFLVVLGGDTKPGVRLDIQDKHVVMDNGIVQVNLSNPEGIVTGIRYNGIDNLLEVLNEEYDRGYWDIVWDEGGENRTEKGAKGKGRFDRMEATNLTVIVENEEQVELSFTRTWNASFQGKLPPLNIDKRFILLRGFSGFYTYAIYEHLKEWPAFDIDNTRIAFKPRKDKFHYMSIADNRQRFMPLPDDRIPPRGQVLAYPEAVRLVDPVEPEFKGEVDDKYEYSCESRNDRVHGWMSIDPLNSTGFWLITPSYEFRSAGPLKQYLTSHVGPTTLSVFHSTHYSGADLIMKFGPNEPWKKVYGPIFLYLNSLSNGVSPVKLWEDAKQQMVNEVESWPYTFPASKDFLSAEQRGKVQGRLLVRDRKVSDAFIPVSGAYVGLAAIGEVGSWQRECKGYQFWTITDDKGYFSIINIRPGDYNLYSWVNGYIGDYQFDQIINVTSGSEINVHELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPMYINKLYVNHTDRFRQYGLWERYADLYPNKDLVYTVGVSDYRKDWFFAQVNRKKDNGTYQGTTWQINFNLDRVNTHGSYTLRVALASVHNAELQGRFG >Vigun09g098000.1.v1.2 pep primary_assembly:ASM411807v1:9:15268573:15275778:1 gene:Vigun09g098000.v1.2 transcript:Vigun09g098000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFGVAAVAEESSNSRVLHHTSAKLVVLADLNVDPPEADDDDSSLVHPPSIATLANDESGQDKSLLSKDTDSIEGESKKLNKLGKCRSRLSKTESSLDCGADADGDQHVQGPPSSREEKVSSVKTGLVHVAKKMPKNAHAHFILGLMYQRLSQPQKAVLAYEKAEQILLRPEAEIDRPELLSLVQIHHAQCLLLESSSENSSDKELEPHELEEILSKLKESVQSDVRQTAVWNTLGFILLKTGRVQSAISVLSSLLSIAPENYDCLGNLGIAYLQIGNLELSAKCFQQLILKDQNHPAALVNYAALLLGKYASVVAGPGASASEGAMADQIMAAKVAKECLLAAIKADSKSAHLWANLAYAFSVSGDHRSSSKCLEKAAKLEPNCMSTRYAVAIHRIKEAERSQDRSELLSCAGNEMASIIRDGDSSLVEIPIAWAGLAMVHKAQHEIVAAYESEQHGLREVEERALCSLKQAIAEDPNDAVQWHQLGVHSLCARQFKTSQKYFKAAVACDSHCSYAWSNLGVSLQLSEEASQAEEVYKKALSLATSEQAHAILSNLGILYRHQKQYQRAKAMLTKSLELHSGYAPAFNNLGLVFVAEGLLEEAKYCFNKALQSDPLLDAAKSNMIKAVVMSKLCKGLTSCTLKE >Vigun10g188200.1.v1.2 pep primary_assembly:ASM411807v1:10:40395478:40399954:1 gene:Vigun10g188200.v1.2 transcript:Vigun10g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEAKKHVLTAFTPKGDYAAILSAKGTAKIWNTSTGHLLAEWKPSDGDNDLRYSCIASSYIGKKFRKEQGTFLLALGTIDGRVLAVDVSTGERKLITSYPGEICGLSFANKGRVLRIVGRNGMAYEVNSETGQLLKEFKVSKKSITSLAFSHDEKYLAIVSSKIRVISWEIGKEVLKFPNDLENVQHISISNDAKNLVASDFEGKHLHVWKCDLSSGNVSRGPTLPIRHPPVALDCRCGYNKEEVTVLLAVSGRGSAYIWNLNAFSEDQIQPTKLTTKTKIVETDKENGGSSKKRQTSIIASRLQPIEEDKQVKALVTYCSVDHPQFSVVNINNSGETIVLNVEDETDSVHQHDSPSSKAIPTESKKTKKRQAPSDPDVTTDVVDLDQHEAAKGVLLDNDLDEPTMGEKLASLSLLEENKFKSDKEQEDSVSVKPPSADSVHVLIKQALNADDRTLLLDCLYTQDEKVIRKSIAQLNPSNVLKLLYSLISIIESRGAILACALPWLKYLLLQHASGILSQESSLKALNSLYQLIESRVSTFKSAIQLSSCLDILYSGVIDEEDDEDEIVPVIFEDKDSSEEESDEEAMETGQDITDEEESEQELDGASEMMEED >Vigun03g340600.2.v1.2 pep primary_assembly:ASM411807v1:3:53909086:53919468:-1 gene:Vigun03g340600.v1.2 transcript:Vigun03g340600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFGQENLSQGQYHSQAVDGNWPGLSNNLWAGSQRPTGAPFISNLKNFNIQQSDFEQGHTSTPHLRHGLNLAQSNLRSDTGRNQLPNQQTTVNGYMQGHQVFQSRQNEANILGMDTEADLHGISNLSRGMSVLESQQGPGLQHYKKHMTRTDASESPVNYDFFGSQQQMSGRHSGMLQSFPRQQPGMNDMQLLQQQAMLNQMQELQRQQQLHQLEARQQSSMNPAASISKQTVAGHSASLINGIPINEAPNLVWQQPEVMPNANWLQHGASAVMQGSSNGLVLSPEQLRLMGLVPNQGEQSLYGLPISGSRSNLYSHVQADKPAASQVPIQHQYSRIQGDKPALPHISASGHSFPAHQYASISDQTNTNDGNSVSRQDIQGKGMFGSLAQGINSGLNMDNLQQVNSEQRDVPIEDFNGRQELGGSSDTSQDKVVVQVPPSQNVATLDPTEEKILFGSDDSLWDGIGFNMLDGADSLGGVPSIQSGSWSALMQSAVAETSGSEMGIQEEWSGLSFRNNERSGTERPSTMDTSKQQSVWADNNLQSTPNINSRPFLRPDDLSSRPSTTVNYSGLPGFHQSGADTAQEQQDRLQTDSSQRSIPQFLERGKWLDCSPQQKPIAEGSHSYGNAANTSGLEVNDKVISGSWTHQQTLSSPSSRGEQFNRSNGWNAIKSPTPNNNSHIKIRENESVLQPHHDKAVQEDMNQVPAMWEPDSDTNSSGVLEHAKSSGNMQVCGEDSGMNGIAGIANSGATWVSRQSNHQLPNVDVWRQTDSVGSYRRNEGAGKYRHHLEKNPLVLESLKNEKSEGEAHDMENFNKKDKSVDGLVSNSSHHRTGSLRESPSFDGDLHSPKLSGQGNRRPPVTRKFQYHPTGVVGVDIEPYGNKHTLNSQPTPHQPIGGFKGQDQGHPGQSKYSHSDGIYNETEKVDSKPIDDNASKSMISGHIPKTLTTYDRSVGNYASNKTASPRVMDTESSDGSSVHPQRNQGSLSQGFGLQLAPPTQRLPMTSSHATPQHVASETGDKGPTWLSATHTFPPRESSHELRNNVGSSGQLFDKASQYSALGNIPQGFAAGFPFPRIHTQNQNVANLGGQVANTQSDNAMFFDRTASSNQVDEYCERAQTSQSELQSAQEMSQMDSMNQIRAGDPFMKSSALEAGIAPHSSVTSSPQGAHSKVLHNVWTSVSSKQHPNALKIPSHPQPNNIYETTIGPQKPGIEDSENDGNISMQQVLSESVDAVEETANASHTKEHVKYTSDAPQSSPAATSKDIEDFGRSLRPNNFLHQNVSMLNQVQSMKNVDIDPSNRDVKRFKVSDNMMEKQQIDSISNRGQQSYGYNNIVKDVSDNSSSVQPSDPNLVNFSTKVGDARDTNASSQEVIGYGQRNALNVGNNNKVTAVGSEHSVINPQMAPSWFEQYGNFKNGKMLQMYDMRTMTPPKILEQPLIMRNQSGSLHLANSMEQVNSLNDAGQNPMLTSVSNEHLPSQSLLPPAVEPDLSSSMRPKKRKSSTSELIPWHKELSQDSERLQDISAAELDWAQAANRLVEKIEDEAELIEDVPMKSKRRLVLTTQLMQQLLNPPSAVVLSADVKLHYESLVYSVARLVLGDACSSISQTGNDTIMSPGSKSLLHDKLKASGKFDQYNLKVEDFDGRARKLENDILRLDSRASILDLRLECQDLERFSVINRFAKFHGRGQNDGAETSSSDSTANAQKSYPQKYVTAVPMPRNLPDRVQCLSL >Vigun03g340600.3.v1.2 pep primary_assembly:ASM411807v1:3:53909178:53918872:-1 gene:Vigun03g340600.v1.2 transcript:Vigun03g340600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFGQENLSQGQYHSQAVDGNWPGLSNNLWAGSQRPTGAPFISNLKNFNIQQSDFEQGHTSTPHLRHGLNLAQSNLRSDTGRNQLPNQQTTVNGYMQGHQVFQSRQNEANILGMDTEADLHGISNLSRGMSVLESQQGPGLQHYKKHMTRTDASESPVNYDFFGSQQQMSGRHSGMLQSFPRQQPGMNDMQLLQQQAMLNQMQELQRQQQLHQLEARQQSSMNPAASISKQTVAGHSASLINGIPINEAPNLVWQQPEVMPNANWLQHGASAVMQGSSNGLVLSPEQLRLMGLVPNQGEQSLYGLPISGSRSNLYSHVQADKPAASQVPIQHQYSRIQGDKPALPHISASGHSFPAHQYASISDQTNTNDGNSVSRQDIQGKGMFGSLAQGINSGLNMDNLQQVNSEQRDVPIEDFNGRQELGGSSDTSQDKVVVQVPPSQNVATLDPTEEKILFGSDDSLWDGIGFNMLDGADSLGGVPSIQSGSWSALMQSAVAETSGSEMGIQEEWSGLSFRNNERSGTERPSTMDTSKQQSVWADNNLQSTPNINSRPFLRPDDLSSRPSTTVNYSGLPGFHQSGADTAQEQQDRLQTDSSQRSIPQFLERGKWLDCSPQQKPIAEGSHSYGNAANTSGLEVNDKVISGSWTHQQTLSSPSSRGEQFNRSNGWNAIKSPTPNNNSHIKIRENESVLQPHHDKAVQEDMNQVPAMWEPDSDTNSSGVLEHAKSSGNMQVCGEDSGMNGIAGIANSGATWVSRQSNHQLPNVDVWRQTDSVGSYRRNEGAGKYRHHLEKNPLVLESLKNEKSEGEAHDMENFNKKDKSVDGLVSNSSHHRTGSLRESPSFDGDLHSPKLSGQGNRRPPVTRKFQYHPTGVVGVDIEPYGNKHTLNSQPTPHQPIGGFKGQDQGHPGQSKYSHSDGIYNETEKVDSKPIDDNASKSMISGHIPKTLTTYDRSVGNYASNKTASPSQNILELLHKVDQSREHGIATNTSTSNRPLSSRVMDTESSDGSSVHPQRNQGSLSQGFGLQLAPPTQRLPMTSSHATPQHVASETGDKGPTWLSATHTFPPRESSHELRNNVGSSGQLFDKASQYSALGNIPQGFAAGFPFPRIHTQNQNVANLGGQVANTQSDNAMFFDRTASSNQVDEYCERAQTSQSELQSAQEMSQMDSMNQIRAGDPFMKSSALEAGIAPHSSVTSSPQGAHSKVLHNVWTSVSSKQHPNALKIPSHPQPNNIYETTIGPQKPGIEDSENDGNISMQQVLSESVDAVEETANASHTKEHVKYTSDAPQSSPAATSKDIEDFGRSLRPNNFLHQNVSMLNQVQSMKNVDIDPSNRDVKRFKVSDNMMEKQQIDSISNRGQQSYGYNNIVKDVSDNSSSVQPSDPNLVNFSTKVGDARDTNASSQEVIGYGQRNALNVGNNNKVTAVGSEHSVINPQMAPSWFEQYGNFKNGKMLQMYDMRTMTPPKILEQPLIMRNQSGSLHLANSMEQVNSLNDAGQNPMLTSVSNEHLPSQSLLPPAVEPDLSSSMRPKKRKSSTSELIPWHKELSQDSERLQDISAAELDWAQAANRLVEKIEDEAELIEDVPMKSKRRLVLTTQLMQQLLNPPSAVVLSADVKLHYESLVYSVARLVLGDACSSISQTGNDTIMSPGSKSLLHDKLKASGKFDQYNLKVEDFDGRARKLENDILRLDSRASILDLRLECQDLERFSVINRFAKFHGRGQNDGAETSSSDSTANAQKSYPQKYVTAVPMPRNLPDRVQCLSL >Vigun03g340600.1.v1.2 pep primary_assembly:ASM411807v1:3:53909084:53919468:-1 gene:Vigun03g340600.v1.2 transcript:Vigun03g340600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFGQENLSQGQYHSQAVDGNWPGLSNNLWAGSQRPTGAPFISNLKNFNIQQSDFEQGHTSTPHLRHGLNLAQSNLRSDTGRNQLPNQQTTVNGYMQGHQVFQSRQNEANILGMDTEADLHGISNLSRGMSVLESQQGPGLQHYKKHMTRTDASESPVNYDFFGSQQQMSGRHSGMLQSFPRQQPGMNDMQLLQQQAMLNQMQELQRQQQLHQLEARQQSSMNPAASISKQTVAGHSASLINGIPINEAPNLVWQQPEVMPNANWLQHGASAVMQGSSNGLVLSPEQLRLMGLVPNQGEQSLYGLPISGSRSNLYSHVQADKPAASQVPIQHQYSRIQGDKPALPHISASGHSFPAHQYASISDQTNTNDGNSVSRQDIQGKGMFGSLAQGINSGLNMDNLQQVNSEQRDVPIEDFNGRQELGGSSDTSQDKVVVQVPPSQNVATLDPTEEKILFGSDDSLWDGIGFNMLDGADSLGGVPSIQSGSWSALMQSAVAETSGSEMGIQEEWSGLSFRNNERSGTERPSTMDTSKQQSVWADNNLQSTPNINSRPFLRPDDLSSRPSTTVNYSGLPGFHQSGADTAQEQQDRLQTDSSQRSIPQFLERGKWLDCSPQQKPIAEGSHSYGNAANTSGLEVNDKVISGSWTHQQTLSSPSSRGEQFNRSNGWNAIKSPTPNNNSHIKIRENESVLQPHHDKAVQEDMNQVPAMWEPDSDTNSSGVLEHAKSSGNMQVCGEDSGMNGIAGIANSGATWVSRQSNHQLPNVDVWRQTDSVGSYRRNEGAGKYRHHLEKNPLVLESLKNEKSEGEAHDMENFNKKDKSVDGLVSNSSHHRTGSLRESPSFDGDLHSPKLSGQGNRRPPVTRKFQYHPTGVVGVDIEPYGNKHTLNSQPTPHQPIGGFKGQDQGHPGQSKYSHSDGIYNETEKVDSKPIDDNASKSMISGHIPKTLTTYDRSVGNYASNKTASPSQNILELLHKVDQSREHGIATNTSTSNRPLSSRVMDTESSDGSSVHPQRNQGSLSQGFGLQLAPPTQRLPMTSSHATPQHVASETGDKGPTWLSATHTFPPRESSHELRNNVGSSGQLFDKASQYSALGNIPQGFAAGFPFPRIHTQNQNVANLGGQVANTQSDNAMFFDRTASSNQVDEYCERAQTSQSELQSAQEMSQMDSMNQIRAGDPFMKSSALEAGIAPHSSVTSSPQGAHSKVLHNVWTSVSSKQHPNALKIPSHPQPNNIYETTIGPQKPGIEDSENDGNISMQQVLSESVDAVEETANASHTKEHVKYTSDAPQSSPAATSKDIEDFGRSLRPNNFLHQNVSMLNQVQSMKNVDIDPSNRDVKRFKVSDNMMEKQQIDSISNRGQQSYGYNNIVKDVSDNSSSVQPSDPNLVNFSTKVGDARDTNASSQEVIGYGQRNALNVGNNNKVTAVGSEHSVINPQMAPSWFEQYGNFKNGKMLQMYDMRTMTPPKILEQPLIMRNQSGSLHLANSMEQVNSLNDAGQNPMLTSVSNEHLPSQSLLPPAVEPDLSSSMRPKKRKSSTSELIPWHKELSQDSERLQDISAAELDWAQAANRLVEKIEDEAELIEDVPMKSKRRLVLTTQLMQQLLNPPSAVVLSADVKLHYESLVYSVARLVLGDACSSISQTGNDTIMSPGSKSLLHDKLKASGKFDQYNLKVEDFDGRARKLENDILRLDSRASILDLRLECQDLERFSVINRFAKFHGRGQNDGAETSSSDSTANAQKSYPQKYVTAVPMPRNLPDRVQCLSL >Vigun05g194700.1.v1.2 pep primary_assembly:ASM411807v1:5:37685635:37690358:1 gene:Vigun05g194700.v1.2 transcript:Vigun05g194700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEENDALRKNVTASEKENEAPSRRDSKKVRENSEVDSETERRRSSSRRKSRGGSSDSDDGDRPHRKKRKSRRRYSSESDSGSGSDSSSEESESEPERSGSDSEYSESENEEERKRREKRRRREKEEERERKRRRREKEKKRRKREKEEERRKKEKLKKKKKKKEREERGKKGAVTNSWGKYGVIRETDMWTKRPEFTAWLAEVKQVNLENMSNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYYRRQMEKEMKKGFKKVHATERTVFNDEEQRRQELLQAREKHKEEQVMALKHSMQTGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDAAI >Vigun05g194700.2.v1.2 pep primary_assembly:ASM411807v1:5:37685635:37690324:1 gene:Vigun05g194700.v1.2 transcript:Vigun05g194700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEENDALRKNVTASEKENEAPSRRDSKKVRENSEVDSETERRRSSSRRKSRGGSSDSDDGDRPHRKKRKSRRRYSSESDSGSGSDSSSEESESEPERSGSDSEYSESENEEERKRREKRRRREKEEERERKRRRREKEKKRRKREKEEERRKKEKLKKKKKKKEREERGKKGAVTNSWGKYGVIRETDMWTKRPEFTAWLAEVKQVNLENMSNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYYRRQMEKEMKKGFKKVHATERTVFNDEEQRRQELLQAREKHKEEQVMALKHSMQTGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDAAI >Vigun05g114500.1.v1.2 pep primary_assembly:ASM411807v1:5:12117205:12122065:1 gene:Vigun05g114500.v1.2 transcript:Vigun05g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSITSLLKGFCDHTRWKYAVFWKLNHHFPMNLTWENGYQKRNEVEESMWDDVNFKSPDELYFSRGESTDYSGDYSVRLLMIEMSHRKYNLGEGVVGKVALARDHCWVSCEDILTSKFDTDLITECPDEWFLQIACGIKTIVLVPVLPLGVLQFGSFEEVAEDLEFVTTVKEKLQSIDCMEANISPLNMGTDYQDWSFSDLMHNLMNSLDESSSVTKTVWKSEVSTSTASNSANGSTGLDPTMLSFIQDDCSVSRQNLLKSLKRENVNDIGSSSIDMSTVPRHISKMETKPNHMEAEMWSWSVFEEMSNGLDSFSVKNMTEKQFGGTESGYYDAKNFNDFTFPSESELHKALGSVAYSVGDAYHTSCLITNKKESDHIKGFGFPEDLDPEYLLDAVVGNLCSAADDTSSISNSIRSLTTMPTEISGSLQPKKFSEESYTLMVDDSDVKNDLVPAVSVKRKYEFSNHFSSSFEGNGSLLIDEVPQEKEDCHMLPITGPKLSSTNKKRTKVANNQKARPRDRQLIMDRMKELRELVPDGGRCSIDNLLERTIKHMLYLRKITSQAEKLKRFANRTVGESKRQKMNGGHPGRSCAFDFESELAWPIVIEDLECTGHMLIEMICNEHGLFLEIAQVIRKLDITILKGILENRSSDSWACFIVEVPRGFHRMDVLCPLLHLLQLRRNPISYKS >Vigun04g045600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3962284:3963505:-1 gene:Vigun04g045600.v1.2 transcript:Vigun04g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLAFSILFIGVAVAAPEPVVDTSGQKLRTGVKYYILPVLRGKGGGLTVSTSGNDTCPLFVVQEKLEVLNGTPVTFTPYNAKTGVILTSTDLNIKSYGTTTSCDKPPVWKLLKVLTGVWFLSTGGVEGNPGIDTVVNWFKIEKADKDYVLSFCPSVCKCQTLCRELGLFNGDDGNKHLSLSDQVPSFKVKFKRA >Vigun03g146300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14916914:14920061:1 gene:Vigun03g146300.v1.2 transcript:Vigun03g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAINPNPKSKGNAPSRAASFKNYGSGQQNFEDAKIKSESCSCVLRRNGSDKVVYHGGAGQKFKNAVVNGVKVESETTSSSVTKTTSSKIYCTTCGSFTNLGTGYQNFKDCDIRIG >Vigun07g108700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20122038:20123272:1 gene:Vigun07g108700.v1.2 transcript:Vigun07g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKTLKPFAEKRSQRGVVSSAPTKKLLRLPHVFSKILELPCPSDDDVFVEETPQSFRFVASCNAGGVRALAIEILPGITKIVIKRMDGTDVAVAGQRQYGSLGVGLWRFRLPPWTQPEMVTAVCSGGKLMVTVPKNKNRGN >Vigun07g022000.1.v1.2 pep primary_assembly:ASM411807v1:7:1870253:1877256:1 gene:Vigun07g022000.v1.2 transcript:Vigun07g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIVPINSSFEDYQALYEEWFNYVDADGDGRFTGKEAIKFFAMSNLSRQELKQVWAIADARREGYLGFREFVIAMQLVSLGQCGYSITHDLLTSDAMKYVKPPTMEGLDALVAKKKRKNSKDLSVSPQPSPSSNWFHTKSKKEVSAASVTSVIDGLKKLYLQKLKPLETAYQYNDFVSPFLTNSDFDAKPMILLLGQYSTGKTTFIKHMLRTTYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLDYITFVDSPGVLSGEKQRTQRQYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLRGNDDKIRVVLNKADQVDPQQLMRIYGALMWSLGKVLNVPEVMRVYIGSFNERSVLDSICPLENELFQKEQDDLLSDLKDIPKKACDRKINEFVKRARSAVIHAYIMGHLKKQMPSMIGKAKAQQKLIDNLDDEFVKIQREFHLPAGDFPDVEQFKETLSCYNIDKFERLNKKMIQTVEDMLAHDIPKLLTTFRNPYG >Vigun04g110700.1.v1.2 pep primary_assembly:ASM411807v1:4:27761796:27764396:1 gene:Vigun04g110700.v1.2 transcript:Vigun04g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQTLFRYNYKPLTCPKIEATVYFAGLRTHLRTSYRTVIAPLFINNGSNPPLTTNFAPCKAIPENDANIVNNKDEISDGKSFWGAVSLIIGTAVGPGMLGLPALTIKSGPFPSTIIILASWVYVISSIMIVAELCFDFMEEHKVEEVSFTSLSTNTLGTGFGAFVAVVYSSLSFSLLVACVAGIGSILSPWFSKVNVLVVHAMFPLLVGTLIAFFPFSTIDVANRLLCFLMLFSITGLVAVGIFLARANIVNSVAVASWRLSSILPIIPVAVLTLGFHVITPFMCKVAGNTLHEARKAILIGGSVPLVMVLSWNLIVLGLAGSNSTFSDPISLLLSVNPSALSAVQGFAFSALATSLIGYSVSLPKQLLDTLELVSGSAKVCNEHSNTNGRVGLAFYSAGSCVGNSGKVWFKGSRNENIVGPKMRSNERTYDPVKVLITLSLLGFSVLIASFFRSTFSAALDFAGVYANCFLFGIIPPVMAYMQQSKKKIRQSIIPGGNGTLLLLFIISVVLGIWH >Vigun03g100500.1.v1.2 pep primary_assembly:ASM411807v1:3:8643251:8647321:1 gene:Vigun03g100500.v1.2 transcript:Vigun03g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFSCPFAKYNDVEDGLDSVVVKSINFGNDEIKTPVRSISFKKEDLEPTILKSVGSGKMTIETSVSFKRKDIDDIISTNTLSFDKEENMPISRTTKMSKEMDDDLPFKSENQVETIQSALLNPSSPKHIAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKRSSISFFDIEKHETAVSRWSRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHFYYDKWLRCQSREPFFYWLDIGEGKEVNLEKCPRFKLQQQCIKYLGPMERLAYEVVVEDGKFFYKQSGELLNTTGKDAHAKWIFVLSTSKTLYVGKKSKGSFQHSSFLAGGATSSAGRLVVEHGVLKAVWPHSGHYRPTEENFKEFISFLQENNVSLSDVKMDSVDEGDELRSVRSGHLRSHSSEEDLTENMNGLEIEDTMNVTSAAAEKANLIQTKTASAVVTPSPRQFQILGRELSNLEIPKRGNVFEGLEKEVEGFQMESLSVDEETAQEKNSCDDNDDVESIPPESILKRINSHKEMKSYQLGKQLSCKWTTGAGPRIGCVRDYPCELQFRALEQVNLSPRSGSRHKSSFTPRSTTLLNSSLSNLCGDIAIEPLHNSSRSECSPLFRGSSVIPVIHTS >Vigun05g076500.1.v1.2 pep primary_assembly:ASM411807v1:5:7005474:7012895:-1 gene:Vigun05g076500.v1.2 transcript:Vigun05g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSCWLLPLFLALLLFLIPLTSILNTDFSVFTAAIKFICRQRHKPFHGLTATSSNQRDKNIDHSFSISRAISLNISHCFPVELPSNPITGTHCCPPTPSFSKLKDFKDFASPNATLRVRKPFHMLDEQYIAKFEKGIALMKALPQDDPRSFIQQAKVHCAYCNGAYHFYPPFQDTKFDIHRSWFFFPFHRWYIYFFERILGNLIGDPHFALPFWNWDSIEGMQMPSCFTDRNSSLYHQLRHQKHLPPHVVDLNYDLEDRHVPSQQQVSYNLATMYKQMVLASTTELFMGSPFRLGDNPHPGIGSVEDAPHNTVHSWVGEADTPHREDMGTFYTAARDPIFYGHHSNLDRMWAIWKTLGEGRRDYSDEDWLDSEFYFYDENADFVRVKVRDCIDIKKLGYVYQDIDLPWLRTPPTSRKSKLLRQRKKDEVLSSKPIKFPLVLDSVTSVIVKRPKKIRSREEKEKDEEVLVMEGIEFGSDKFVKFDVHVDDDEERLSNPDETEFVGSFVNVPHGHGHNITTTFKVAISKVLENLEAAEDDDVLVTLVPHVGKGDIILGNVKIQFIPRESKD >Vigun07g092700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:14786622:14788653:1 gene:Vigun07g092700.v1.2 transcript:Vigun07g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDGAAGTRATTPTSFSRTTEPVDYGVFRGSDDQPTSLPPPSFYTGAVAAVVMIELSPAATRPSLEQPPLPSTLHLAAPLELAAAHLRTAGVVANAMLVIETFSLVTVARKPLEHVAKMLLCSSSDPPAALPPTIPFLPHA >Vigun01g199800.1.v1.2 pep primary_assembly:ASM411807v1:1:37627219:37629561:-1 gene:Vigun01g199800.v1.2 transcript:Vigun01g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Vigun02g013500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5082230:5084378:-1 gene:Vigun02g013500.v1.2 transcript:Vigun02g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCSAEWSLACTNCCRATQHLHAVIPTCHFPSWCTVSPSHPRFFHVNFSASPTPILEEEPSNTPIIHFDVNFAGVQQYSKPEAENLNEFLCGLFEDPKTRELAFDYYQRLKERPEFRPNKSTLRYVIRYLMSLKEWDLILSVSEDFKVYHVLPDRATCSSLIKFCIKRRKFRIADVLLDAFNSDTKVAFLACSSAMGSYNKLHMFRNTVLLYERMKSTGILLDSVGYLHIMEAYSKLNECDKVVQLFHEFKSRKLRTSARYLSQIYGILCESLGKFGRAFEALDYFREMTRKGISEHSIYSTLIYSFASLRKVDVAEELFREAKSKTVIKDPEVYLRLMLMYIDEGLLEKTLEILKAMDDADVKVSDSIICTIVNGFSKKRGFSAAVNVFEDLVSKGYEPGQVTYASVINAYWRLGQCSKAEEVFVEMEQRGFDKCVYAYSSMIVMYGRTGKVGSATRLMAKMKERGCKPNVWIYNSLIDMHGREKDLKQLEKLWREMKRRKVAPDKVTYTTIISAYNKAGEFESCVKVFDEYRMNGGLIDRAMAGIMVGVYTKIGQVDDLVKLLQDMKTEGTRLDQRLYQSAWNAFKDAGLQLQAKWMKESFLVA >Vigun02g013500.2.v1.2 pep primary_assembly:ASM411807v1:2:5080954:5084458:-1 gene:Vigun02g013500.v1.2 transcript:Vigun02g013500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCSAEWSLACTNCCRATQHLHAVIPTCHFPSWCTVSPSHPRFFHVNFSASPTPILEEEPSNTPIIHFDVNFAGVQQYSKPEAENLNEFLCGLFEDPKTRELAFDYYQRLKERPEFRPNKSTLSSAMGSYNKLHMFRNTVLLYERMKSTGILLDSVGYLHIMEAYSKLNECDKVVQLFHEFKSRKLRTSARYLSQIYGILCESLGKFGRAFEALDYFREMTRKGISEHSIYSTLIYSFASLRKVDVAEELFREAKSKTVIKDPEVYLRLMLMYIDEGLLEKTLEILKAMDDADVKVSDSIICTIVNGFSKKRGFSAAVNVFEDLVSKGYEPGQVTYASVINAYWRLGQCSKAEEVFVEMEQRGFDKCVYAYSSMIVMYGRTGKVGSATRLMAKMKERGCKPNVWIYNSLIDMHGREKDLKQLEKLWREMKRRKVAPDKVTYTTIISAYNKAGEFESCVKVFDEYRMNGGLIDRAMAGIMVGVYTKIGQVDDLVKLLQDMKTEGTRLDQRLYQSAWNAFKDAGLQLQAKWMKESFLVA >Vigun06g009700.1.v1.2 pep primary_assembly:ASM411807v1:6:4519482:4520939:-1 gene:Vigun06g009700.v1.2 transcript:Vigun06g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSVVSGHDRHYNSQRLLCVSLWVFLSLPFSLYPTSESFQIGVGRKENSHTHLCFAMESRRRSVKEKAQEEEEEEQAVVLKTTKVVEYLVPKMSIELLCKFPDNSAYDFDYSQSTIWSPLLPTPCSPMNLDLITPKKLSYEMGLGARCSVKKMGSKLRKKFNLNVHFINKHGKSKNKKLSSDFSPTPFKGACNPIMNKRWARALKAASKQFKKWKTTRDPIAHVMLPK >Vigun03g013900.1.v1.2 pep primary_assembly:ASM411807v1:3:931438:932623:-1 gene:Vigun03g013900.v1.2 transcript:Vigun03g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSQDAQPPYNEAAATGFPAAYNPKAPAKVEWSTGLCDCFSNFKNCCITCLCPCITFGQIAEIVDKGSTSCGASGALYALVSCLLGCGCVYSCFYRSKMRRQYMLKEAPCWDFFVHCCCETCALCQEYRELQNRGFDMTIGWEGNVERGNHGVSKVPTAPAVQPMTR >Vigun02g032300.1.v1.2 pep primary_assembly:ASM411807v1:2:13606719:13607134:1 gene:Vigun02g032300.v1.2 transcript:Vigun02g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLLLLIFLKFIIAAMFIVLFLSGVSSKPSCVGACTKFPDCAPNFLIVHQIS >Vigun07g209000.1.v1.2 pep primary_assembly:ASM411807v1:7:33064800:33069625:-1 gene:Vigun07g209000.v1.2 transcript:Vigun07g209000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNPTTTSSSAPPPENFNFSPDSPSPITLTADQVSLCTQALNLLKDKLQAPHTITREFAHLQANRITPSEMRRRCTVALDGVNLNKNRYTDVLPFDNNRVVLRSSSDYRPAAQGYINASLVSTSSSGNVSQFIATQGPLPHTYEDFWEMIIQYRCPAIIMLTRLVDNYKMVKCGDYFQAEDGPREVGNISVIGKWVNTTETSLVLRLLEVNHREVEDAPISVLHIQYPEWPDHGVPKDTLAVREILKRLYHLPPNLGPIVVHCSAGIGRTGTYCTIHNTIQRILAGDMSAVDIANTVAVFRAQRIGMVQTQDQYIFCYKAIIDELEDLVSQR >Vigun02g190700.1.v1.2 pep primary_assembly:ASM411807v1:2:32839139:32841433:1 gene:Vigun02g190700.v1.2 transcript:Vigun02g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTPSSDESGLKKGPWSPEEDKILVDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSDQEEQLIINLHAVLGNKWAAIASHLPGRTDNEIKNLWNTHLRKKLLQMGLDPVTHRPRTDHLNLLTNLQQILAAAKIVSTLTNPSDINNVLRLHSDAKFQLLQNMFQLTPSSNSFLPLNSLTDGFMNHCNNDLPNLLHDGNSVSEIHPSFQNFEALHHPTQENSLPNLVSASPERSPLKEAENVNANSKECSNPSSTTFELAWGDFMDEDATENYWKGFIDQHCNQTWSTVQ >Vigun10g172000.1.v1.2 pep primary_assembly:ASM411807v1:10:39073018:39074923:1 gene:Vigun10g172000.v1.2 transcript:Vigun10g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDAIIPPLATFSDFHTPSTTNIASMETFFPFSANPNLILNFHHYTDQFYLPPTPSPFFDHNSNDMKLAFNTYNANFLHIQNPAAVAETALPCSNQITKSDTVLDKFINPTALQEHEYQLVEEPEPLVPITSENIEDYVCSSNYPQEQHEATTKFQCYPQDLAVAVNQLEYIDAFMSLLPSSTTSSSSVSSTQKVPNPIIPSGWEP >Vigun10g172000.2.v1.2 pep primary_assembly:ASM411807v1:10:39073018:39074923:1 gene:Vigun10g172000.v1.2 transcript:Vigun10g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNENPAAVAETALPCSNQITKSDTVLDKFINPTALQEHEYQLVEEPEPLVPITSENIEDYVCSSNYPQEQHEATTKFQCYPQDLAVAVNQLEYIDAFMSLLPSSTTSSSSVSSTQKVPNPIIPSGWEP >Vigun11g060400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:13235942:13236253:-1 gene:Vigun11g060400.v1.2 transcript:Vigun11g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun10g200701.1.v1.2 pep primary_assembly:ASM411807v1:10:41318616:41320030:1 gene:Vigun10g200701.v1.2 transcript:Vigun10g200701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLGVSGIEFRVSGLWFRVWGFRCRVLGLWFRF >Vigun05g124600.1.v1.2 pep primary_assembly:ASM411807v1:5:13909429:13909985:1 gene:Vigun05g124600.v1.2 transcript:Vigun05g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFCHPFLFSVLFVTLILVSGEVAVAEAAKGTVDADLKCFGACTPTCKDDCQGKGFMNGYCIQQGSLLQCCCL >Vigun06g122800.1.v1.2 pep primary_assembly:ASM411807v1:6:25033477:25039230:-1 gene:Vigun06g122800.v1.2 transcript:Vigun06g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRSAPVGVRRENRVESSTRRVNSSSGRRNIVFFFVISSIIAIVAYRFRKSSPSQYQESYVYEQGLVTTHANYQHVLTENSKVSENTSQRHYNYPVLGYITPWNSRGYELAKRFNSKFTHISPVWYDLKSQQTSLVLEGRHNADRGWISALRTSGEALILPRVVLEASPAVLLGKEKQRNEAINLIVTECKEMGYDGIVLESWSRWAAYGILHDPNMRNLALRFVKQLGDALHSIGSEKISGQKLQLVYVIGPPSSEKLQEHDFGPKDLETLSEAVDEFSLMTYDFSNPHNPGPNAPLKWIQIVLQLLLGNSGSRAQSLAPKILLGINFYGNDFSLSRDAGGGGAIIGRDYLALLEKHRPELQWDKNSGEHFFTYNDDKDIKHAVFYPSLKSISLRLEEARSWGCGISIWEIGQGLDYFFDLL >Vigun07g162300.1.v1.2 pep primary_assembly:ASM411807v1:7:27363035:27367540:1 gene:Vigun07g162300.v1.2 transcript:Vigun07g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSRALSGGDSKSKRSCLYAMFPAVCLICAIVIFTSLMFSSQPGLSSWKMDAQQSATVDKCKNQCRPKGSEALPAGIVSSTSSFDLRPLWDPPMPRKRGHHHTVEPPEAAAEVKLNASSSLFAMAVGIKQKDLVNKMVKKFLESNFTVMLFHYDGTVDGWNDLEWNSRVIHVSVNGQGKWWFAKRFLHPDIVEDYDYIFLWDEDLGVEHFHPDKYVSIIKLEGLEISQPALDPKISEVHHQITARLRRSTVHRRTYKAGNDGKGCDNNSTAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDSEYIIHYNRPTLGGVDQTVLNPQEKDHRVDVRRLSYRELDIFRKRWEKAVNEDECWVDPFPPSSE >Vigun07g162300.2.v1.2 pep primary_assembly:ASM411807v1:7:27363035:27367540:1 gene:Vigun07g162300.v1.2 transcript:Vigun07g162300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSRALSGGDSKSKRSCLYAMFPAVCLICAIVIFTSLMFSSQPGLSSWKMDAQQSATVDKCKNQCRPKGSEALPAGIVSSTSSFDLRPLWDPPMPRKRGHHHTVEPPEAAAEVKLNASSSLFAMAVGIKQKDLVNKMVKKFLESNFTVMLFHYDGTVDGWNDLEWNSRVIHVSVNGQGKWWFAKRFLHPDIVEDYDYIFLWDEDLGVEHFHPDKYVSIIKLEGLEISQPALDPKISEVHHQITARLRRSTVHRRTYKAGNDGKGCDNNSTAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDSEYIIHYNRPTLGGVDQTLNPQEKDHRVDVRRLSYRELDIFRKRWEKAVNEDECWVDPFPPSSE >Vigun01g012200.1.v1.2 pep primary_assembly:ASM411807v1:1:1340353:1341944:1 gene:Vigun01g012200.v1.2 transcript:Vigun01g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQGGGDNNEKQLLHHPLVQQNSLYGLTLNEVQNQLGNTGKPLSSMNLDELLKNITKASLSLTSSLCKKTVDEVWRDVQESKDNKEKKFQERQLTLGDIKLEDFLVKAGVVVEPSSTAIVVNPNVATPQFPQHSTLMKYPQAQYQLPQQGLMGIYMTSQNITQSLGNATSNGYDKTFERRQKRMIKNRESAARSRARKQAYTIELEHKVSRLEEENEKLRRQKEVEDILSTVPPQKPRYQIRRTTSALF >Vigun03g139400.1.v1.2 pep primary_assembly:ASM411807v1:3:13734764:13736868:1 gene:Vigun03g139400.v1.2 transcript:Vigun03g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLQKQHQREREKEMVKISVGGYDDGEGPSNRNQKRRREEEDEEEERNTRRSFEIVDRSIELQEREDHPPAPPNRATPNGSASNATRVRSIPIFVSDPDVLDCCICYEPLSAPVFQCENGHIACSNCCVRLKNKCPMCLMPIGYNRCRAIEKVLDSIKMSCPNANYGCTETFCYSKKNDHEKECIYLPCLCPHAGCDFVASSKELFLHVSHRHVDSGTLFTYDKFFTVIFSADQKTIVLQEKNDGNLFIVHNNHEQLGHKVRISCIGPKSMGEFQYEVLARFRGSTLILQSFTKIIQGQYVDPPSNAFLLIPSGVFGPLQLKLDIRIKSH >Vigun05g194300.1.v1.2 pep primary_assembly:ASM411807v1:5:37619183:37626214:1 gene:Vigun05g194300.v1.2 transcript:Vigun05g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSLNIPKTFVYDTLSPLALSAADSPFPDNGESYSVFRNEISVDTPQRASPSTTTVDFFSLDVASEAYGGVSLPQPLAAVPEPKTPTPVPEAKLESVWFGGNGKFKSPMLQLHKEIVDFCEFLSPTVEEKAARDMAIESVFGVIKHIWPHCQVEVFGSFRTGLYLPTSDIDVVILKSGLPNPQIGLNAISKALSQRNMAKKIQVIGKARVPIIKFVEKKSGLAFDISFDIDNGPKAAEYIQEAVLKWPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLMAMLRNLRLSQASAEHNLGVLLVQFFDFYGRKLNTSDVGVSCNGTGTFFLKSSKGFLNKSRPSLISIEDPQAPENDIGKNSFNYFQIRSAFSMAFKNLTNPKIIMGLGPNRSILGTIIRPDPVLLGRKGGLNGDVTFDKLLPGAGEPLQQQYGEQDMLCNWQLDYEEEPLPRGGDASAEPSSRSTKKRKSGSKNSSKKLKENDSIQIKGNEENESRKEKDKKKRRKRKSQLGDNGS >Vigun07g273332.1.v1.2 pep primary_assembly:ASM411807v1:7:38870552:38874592:-1 gene:Vigun07g273332.v1.2 transcript:Vigun07g273332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITIKTLMSFFQFFCIIFLLTSGVRSDYTPTPAGDVCQIEGYCLSEEKCKQYCTFFGYKKGGFCIPQGGEKCCCV >Vigun01g017800.1.v1.2 pep primary_assembly:ASM411807v1:1:1919538:1920445:-1 gene:Vigun01g017800.v1.2 transcript:Vigun01g017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRCFIVSVTLLVALFIMNSDLCMIKAEARGIIYRLPCDNHKQCQVGCKNPNCGCSSICIEHVCQCPHDLTSIDNVKSPHQAPPPHQAPSPHHHSPPHHGSPHHPPHHHLPHHHQHDHKAPAPHHHQHHHQAPTPQHHQHHQAPTPHHHQHHHQAPAPHHHPHHHQAPPPHHQAPPPHHAS >VigunL059007.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000119.1:1492:2246:1 gene:VigunL059007.v1.2 transcript:VigunL059007.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFPGVVKVRWKLALTAVQGSAVVAEREMVVRGEVGDGAVLQKLRFVSGVVVFAAGRRKWRRHDGARRRRWLMEMTARMEEDGGGARSCWTREEDGVAVRGGRKRCDGEVRRCGGGCHGGGRREEN >Vigun01g027000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3168971:3173507:1 gene:Vigun01g027000.v1.2 transcript:Vigun01g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVKLITMVSTCHKSHKEAYITSLLILLLVYSALQHHIESKEAMAFVGEAFLSAAVEVLLNRIISCEFQDFFHSKKLDFLPLRKLRITLLSLQAVLNDAEERQITNPAVKEWLDELTQAVFDAEDLLDEINTEALRCKLEANSLSQSTTDQVLNFFSSPFNNFQKVTNSKIQELFQRLEQFTLQKDILQLKEGVSSRVWHRTPTSSVVDESTIYGRDGDRQKLVSYLLSEDAGGCKVGVISIVGMGGLGKTTLAKLLYNDPNAQEKFDLKAWTHISDDFDVCKVTKTILESVSFKSNDINNLNILQVELKQSLSNKRFLLVLDDIWDGSYVDWNNLMDIFSAGKMGSRIIITTRDESVARAMQTFLPIHHLTSLTSEDCWSLLARHAFGANNCSQHSTLEEIGKEIAKKCDGLPLAAVTVGGLLRTKLSENYWTKVLKSNIWDLPNVKVLPALLLSYHYLPGPLKRCFAYLSIFPKNSKIEKEMVVRLWIAEGLVCQSKSDKTMEEIGDEYFDELVSRSLIHRSLTWHAKFKMHDLINGLATMISSTYCVRREDTMSHASVERIRHFSYNRGEYDSFNKFDHFYESKGLRSFIALPLRLWWIRNGRFSAQHLSNKVVHDLLPAMTKLRVLSLSHYVNITELPNCLGNLIFLRYLDLSNTRIQRLPEVTCKLYNMQTLLLSNCWSLTELPEDIGNLVNLQHLDNSGTRLNKMPKQIATLQNLQTLSTFVISKPQDGLMVGELKNFPRLQGKLSILKLQNVVDPSEAFQANLKNREQINELALEWDCGTTEDTQTERLVLEQLQPPANLKKLTIKCYGGTSFPNWLGDPAFDNMVHLRISGCDHCWSLPPLGRLVSLKELYISDMKLVKTVDTEFYGSTSPSFQPFPSLVILSFEGMLGWEEWNMIGGTVIEFPSLSDLSLKNCPKLKGTLPTNCPYPIDFELSGCPLLFAMVCPELKESNLHSSIELQSTSNILNLTISGVTSPASLRDGLPTTLKYLTLRNCKKLEFLPHETLNNYTSLKELTIFNSCHSLTSFTLGCLPVLESLSISGCKNLKSISIAENSSPSLSLLQWLSIRSCPELDSFPAGGLLIPNLTTLAMSSCDKLNSLPVPINTLAPLQTLSIQNLPNLESFAQEGLPIRLRSFTVWSRGSFLTTTIGEWGLQKLTCLSSLRIGGDEILNAFMRMKMPLLPTSLVSLSICNSFGIKCLDGKWLQHLTSLEKLEIQCFRQLEFLPEEGLPSTLSVLTIWKCPSLEVSCSNGGKNWPKISHIPCIIINKEVII >Vigun03g217700.1.v1.2 pep primary_assembly:ASM411807v1:3:36252713:36254163:1 gene:Vigun03g217700.v1.2 transcript:Vigun03g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPRFKFTPIFFITVSLSLGLFSFIFCVAAEIKRNKEKDLRWIGKLCSLPSSKAFGLGIAALVSFFLAQIIANSILLEYCCWRRKRESQYKMPAFAKVLVFISWVSFGFAAILLIAATSMNRRQEYRVGWLNGECYVVKGGTYAGSAMLVLFTVCSVNGSVFSTLKSTQPNQSTKVHKPMG >Vigun03g423100.1.v1.2 pep primary_assembly:ASM411807v1:3:62869849:62873245:1 gene:Vigun03g423100.v1.2 transcript:Vigun03g423100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVARGTVVLAEYTEFTGNFPAIAAQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERVKADFRKRYGGGKADTAVAKSLNKEFGPVMKEHMKYIIDHAEEIEKLIKVKAQVSEVKSIMLENIDKAIDRGENLTILADKSETLHSQAQEFRKKGTQVRRKMWYQNMKIKLVVLGILLLLVLVIWLSVCHGFNCAN >Vigun03g423100.2.v1.2 pep primary_assembly:ASM411807v1:3:62869849:62872427:1 gene:Vigun03g423100.v1.2 transcript:Vigun03g423100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVARGTVVLAEYTEFTGNFPAIAAQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERVKADFRKRYGGGKADTAVAKSLNKEFGPVMKEHMKYIIDHAEEIEKLIKVKAQVSEVKSIMLENIDKAIDRGENLTILADKSETLHSQVS >Vigun05g029900.1.v1.2 pep primary_assembly:ASM411807v1:5:2375469:2380971:-1 gene:Vigun05g029900.v1.2 transcript:Vigun05g029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLVSSWYNLHSAVPSSYVQPPETRPDNAVFASGKLVPVIDLEGHDRPDIIRNILKSSQDYGFFQVINHGVSKDIVDATLNIFKEFHAMPEDVKIKESSKDPNGRCKLYTSSGRATKDVVKYWKDSLSHPMGEFMEYWPDKPLGYREVVGKYTEELRKVGVKILELFSEGLGLNTEYLCGGLSEDPVVLSHYYPPCPQPGLTLGTSIHKDPNLITILLQQVGITALQVFKDGEWIAVDPIPNAFVVNIGIVLQIISNGRLVAAKHRVITNSSSGRHSVAYFVNPTKESLVEPAKSLISPTSPPVFRSMTFGEMTTNFLTKGIQFEEELRTVNFQK >Vigun09g213700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38783553:38785181:1 gene:Vigun09g213700.v1.2 transcript:Vigun09g213700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPSCFRPSPTTDLPPPPPPPPPPHSAISNVTIYHTDTGPVSLTWSRSVVGRSLHIQLHRQSFDSISYQLHIRPFVFWKKHGVKKIAPDTVLFWNLAKAKFGSNPEPVSGFYVALVVNSHMSLLLGDAARDAYSKSRAHQPATPQLLLLKKEHVFADRVYSTRATFGGRAREIQIDCGFRDHSKLSFSVDGEKVLQIKRLKWKFRGNERVVVDGVHVQISWDLYNWLFDKNNADAHAIFMFKFEDEEEEAVADRNLTGLWNLGVCEWGKTWSSSSVSSSGGSFGASSSVLEWSSVEENELVVPVGFSLLVYAWKR >Vigun09g213700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38783553:38786250:1 gene:Vigun09g213700.v1.2 transcript:Vigun09g213700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPSCFRPSPTTDLPPPPPPPPPPHSAISNVTIYHTDTGPVSLTWSRSVVGRSLHIQLHRQSFDSISYQLHIRPFVFWKKHGVKKIAPDTVLFWNLAKAKFGSNPEPVSGFYVALVVNSHMSLLLGDAARDAYSKSRAHQPATPQLLLLKKEHVFADRVYSTRATFGGRAREIQIDCGFRDHSKLSFSVDGEKVLQIKRLKWKFRGNERVVVDGVHVQISWDLYNWLFDKNNADAHAIFMFKFEDEEEEAVADRNLTGLWNLGVCEWGKTWSSSSVSSSGGSFGASSSVLEWSSVEENELVVPVGFSLLVYAWKR >Vigun02g026100.1.v1.2 pep primary_assembly:ASM411807v1:2:8898031:8902881:-1 gene:Vigun02g026100.v1.2 transcript:Vigun02g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPQQEKTVTPDIPAIPNRPENSSVHAEPPSSAANHIHNTNNSHQQPQPQPNISAPRFSVLRQSLRPITLKFEDVSYSITFGRDKNGCVSSPKQKHTRTVLNGVTGMVGPGEVMAMLGPSGSGKTTLLTALAGRLTGKLSGAVTYNNHPFSSSMRRNIGFVSQEDVLYPHLTVIETLTYAAMLKLPRSLTREEKMEQAEMIIGELGLSRCRNNLVGGGAAVFRGISGGERKRVNIGQEMLVNPSLLLLDEPTSGLDSTTAQRIVAMLHSLALSGRTVVTTIHQPSSRLFWMFDKVVLLSDGYPIFTGQAGRAMDYFESVGFVPTLNFINPADFLLDLANGIVVDVKEDEHLNHHEDQASIKQFLVSSYKNNVYPLLKQEIQQNHRELASFSSRTFRSPENQWTTSWWEQVMVLLKRGLKERRHESFSRLRIFQVLSVSILSGLLWWHSDPLHIQDQVGLLFFFSIFWGFFPLFNAIFAFPLDRPILIKEISSGMYHLSSYYVARIVGDLPMELVLPTIFVTISYWMGGLKPSLVTFVFTLLIMLFNVLVSQGIGLALGAILMDAKQATTLASVTMLVFLLAGGYYIQQIPFFISWLKYISFSHYCYKLLVGVQYSINEVYECGPGLHCKTRDFPAIKCLGLDNLWKDVVVLTIMLIGYRVVAYLALKKVLHH >Vigun09g106000.2.v1.2 pep primary_assembly:ASM411807v1:9:19828729:19831373:1 gene:Vigun09g106000.v1.2 transcript:Vigun09g106000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGNWSEKTHTFLKHCVNAGNVDACYTLGMIRFYCLENRGSGLSLMAKAAMKLHAPALYSLAVIQFNGSGGSKHDKDLRAGVALSARASLLGHIDALRELGHCLQDGYGARQNVAEGRRMLVQANVRELAYVLREVTPSASDSLMLTWRTAVTCQRDVTALLSDYGYRIPVPEVQPVNRFLREWFESGKGKLEEGLRLCSHIGCGRPETRPHEFRRCSVCGKVNYCSRGCQAMDWKLKHKMECSPTEHYAEEGGGVDLNNEFAIPNDAV >Vigun09g106000.1.v1.2 pep primary_assembly:ASM411807v1:9:19828729:19831373:1 gene:Vigun09g106000.v1.2 transcript:Vigun09g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLKHTKKKTDLFECLPDDLVVFILSKLSSTASSPSEFINIVLTCKRLNRLGLHRLVLSKAGPRVFAMKPGNWSEKTHTFLKHCVNAGNVDACYTLGMIRFYCLENRGSGLSLMAKAAMKLHAPALYSLAVIQFNGSGGSKHDKDLRAGVALSARASLLGHIDALRELGHCLQDGYGARQNVAEGRRMLVQANVRELAYVLREVTPSASDSLMLTWRTAVTCQRDVTALLSDYGYRIPVPEVQPVNRFLREWFESGKGKLEEGLRLCSHIGCGRPETRPHEFRRCSVCGKVNYCSRGCQAMDWKLKHKMECSPTEHYAEEGGGVDLNNEFAIPNDAV >Vigun06g006600.1.v1.2 pep primary_assembly:ASM411807v1:6:3450022:3452781:-1 gene:Vigun06g006600.v1.2 transcript:Vigun06g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVECEAIVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLIFCRTESDCPMENCYAHLVEGIHVLVDIQNMVILEFEDRKLVPLPLADPLRNYTSGETREGVDRSDVKPLQIIQPEGPSFRVNGHFIEWQKWNFRIGFTHREGLVIHSVAYID >Vigun07g295100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40561239:40562180:-1 gene:Vigun07g295100.v1.2 transcript:Vigun07g295100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRNREGYSDCSEINFAAAFGAMQEDNNAAGALVQKFKGVVPQQNGNWGAQIYANHQRIWLGTFKSEREAAMAYDSASIKLRSGDSHRNFPWNDQTVQEPQFQSHYSTARMLSMIRDGTYPSHFATFLRTRETQQGGVPKHVTLKGHGTEQLCCTQLFQKELTPSDVGKLNRLVIPKKHAVTYFPNVCDGGAESHEENGSVVDVEVAFYDRLMRLWKFRYCYWKSSQSYVFTRGWNRFVKDKKLKAKDTIAFFMCEPVDCRTGEGREAFGLIDVIYNSEERGFGDMICSEEDEETKDENTEKGLRLFGVFIK >Vigun02g001250.1.v1.2 pep primary_assembly:ASM411807v1:2:835826:837195:-1 gene:Vigun02g001250.v1.2 transcript:Vigun02g001250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRIRNLLKSVPSTPEIEHISSSSEHSVGNYVQDTSRQPSTQQGQASIQLGQPTTQPGEQGIESADATSEPAQPLEPSQPASESSQPSSEFSQPTSQYIQPNSEAEPVVIIPKKGRQSNHYWFVDAIDEDGVSKNLKVKVKDAHNLPNGLRVVVNYDDKYQPIGEAFGLLAGVCGQLATNCILFPISFERWSSVPDTYKDKVWESLKPRFCFKINEDLAKRDVMSRIGKLWREYIWKLWNEFYDPLLSRNDLIKNVPDEITMDQWALFVDYRLKPSTMEMGNRNRDIRKRQIIPHTGGAMSLSRRRENLKLELGKNIGRAEMWKVTHKRKNGTYVNDEAMEIGVTLLISLSC >Vigun06g099600.2.v1.2 pep primary_assembly:ASM411807v1:6:23006258:23011299:-1 gene:Vigun06g099600.v1.2 transcript:Vigun06g099600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGGSCFYQLQGLKPQTWYEVKISYPASIPASFSIQLKRNRSDVMLNNNRRLLNTEKLIFKNNNQEEFQLVLVTVEPEGFPAKQHVPERQFIIYNIVCDELLFGLPHEAWWVVALAVLGLGIAFIVPSFLPLYLLPKNQVPGTNDHVSKTS >Vigun06g099600.1.v1.2 pep primary_assembly:ASM411807v1:6:23006258:23011299:-1 gene:Vigun06g099600.v1.2 transcript:Vigun06g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLGILLIIVNGPTPCFGNNVEDKILKVGEELWKETMPLQGGSCFYQLQGLKPQTWYEVKISYPASIPASFSIQLKRNRSDVMLNNNRRLLNTEKLIFKNNNQEEFQLVLVTVEPEGFPAKQHVPERQFIIYNIVCDELLFGLPHEAWWVVALAVLGLGIAFIVPSFLPLYLLPKNQVPGTNDHVSKTS >Vigun09g019900.1.v1.2 pep primary_assembly:ASM411807v1:9:1541494:1542258:-1 gene:Vigun09g019900.v1.2 transcript:Vigun09g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTLMNTINNLMSELCCIVVVLSQEAFPTRALYFHGQRNTFQNDEIVVLLNGDHCFCDSHG >Vigun11g127000.2.v1.2 pep primary_assembly:ASM411807v1:11:33409153:33413466:-1 gene:Vigun11g127000.v1.2 transcript:Vigun11g127000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHHLILLLLCATLQFSSSSPSDHFYNVGELVPLFVNKVGPFNNPSETYEYYDLPFCAPVPIVKKKESLGEVLNGDRLSNALYEFKFREDKIDETLCPKKLTVDEIGFFKRAIDREFYFQFYLDDLPFWGFIGKLEEDSWTPGGGGQNYYLFTHVQFEVLYNGNQIIQVNAFGDPNRAVDITKDVGVDVKFTYSVTWNATKTRFENRMDRYSRASLMSVHQQVHWFSFINSIVIILLLTGLLALLYMRHLRNDLKKYSDANEEDRDVGWKCLHGDVFRPPPNSSLLFAVVGTGTQLLILICVLLFLALIGTLYPYNRGALLNWLVLLYALFSVFAGYTAASFHGQYAENGWERTVGLAGVLYTGPVFVAASIINIVAISYRATVGLPFGSIVVILVLLTFLAVPLLAFGGVIGYRFRSKFQSPSATKRHPRDIQQHAWYRRTPFLMFVGGLVPFSAIVLQLHQVYASMWGYKIYTLPSILFVTFIPVIVIIALVSIGLTYIQLSVEDHEWWWRYVVLLISILEIFDP >Vigun11g127000.1.v1.2 pep primary_assembly:ASM411807v1:11:33409153:33413466:-1 gene:Vigun11g127000.v1.2 transcript:Vigun11g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHHLILLLLCATLQFSSSSPSDHFYNVGELVPLFVNKVGPFNNPSETYEYYDLPFCAPVPIVKKKESLGEVLNGDRLSNALYEFKFREDKIDETLCPKKLTVDEIGFFKRAIDREFYFQFYLDDLPFWGFIGKLEEDSWTPGGGGQNYYLFTHVQFEVLYNGNQIIQVNAFGDPNRAVDITKDVGVDVKFTYSVTWNATKTRFENRMDRYSRASLMSVHQQVHWFSFINSIVIILLLTGLLALLYMRHLRNDLKKYSDANEEDRDVGWKCLHGDVFRPPPNSSLLFAVVGTGTQLLILICVLLFLALIGTLYPYNRGALLNWLVLLYALFSVFAGYTAASFHGQYAENGWERTVGLAGVLYTGPVFVAASIINIVAISYRATVGLPFGSIVVILVLLTFLAVPLLAFGGVIGYRFRSKFQSPSATKRHPRDIQQHAWYRRTPFLMFVGGLVPFSAIVLQLHQVYASMWGYKIYTLPSILFVTFIPVIVIIALVSIGLTYIQLSVEDHEWWWRSVLCGGSTAIFMFGYCIYFYVRSNMSGFLQLSFFIGYNACLCYAFFLIFGAISFGVSFLFVRFIYHNVKRE >Vigun03g105300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9093028:9093894:1 gene:Vigun03g105300.v1.2 transcript:Vigun03g105300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSLFGRRRSNVFDPFSLDVWDPFKDFPFPNSLSSSLPDSVTQNSAFVSTRVDWKETPEAHVFKADIPGLKKEEVKVEIQDDNVLQISGERNVENEDKNDTWHRVERSSGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKPEVKAIEISG >Vigun10g096100.1.v1.2 pep primary_assembly:ASM411807v1:10:28256836:28259235:1 gene:Vigun10g096100.v1.2 transcript:Vigun10g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWYFSVLLHAFVFATVASLGFSQLTPNYYDYSCPNALSTIKSVVEAAVQRENRMGASLLRLHFHDCFVNGCDGSILLDPSPTIDSEKNAFANVQSVRGFEVVDEIKQAVDQACGKPVVSCADILAIVARDSVVALGGPTWEVQLGRRDATTASRDAANANIPGPFFSLSQLITNFKNHGLDEKDLVVLSGGHTIGYARCFNFKAHIYNDSNIDPSFAQYLKYICPINGGDQNLAPLDSTPANFDVSYYSNLVNKIGLLHSDQELFNGVSTDALVQQYSYDNQAFFRDFANSIVKMGNIQPLTGNQGEVRVNCRKVNSY >VigunL007601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:65474:66248:1 gene:VigunL007601.v1.2 transcript:VigunL007601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGVEQSTQNWYGQGESDCLIKTKHCDGPCGC >Vigun10g163900.1.v1.2 pep primary_assembly:ASM411807v1:10:38315109:38321163:1 gene:Vigun10g163900.v1.2 transcript:Vigun10g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQEQALVSLLSQLALSFDGAVLGFALAYAAIRTLFKFSATSAALRKLRRAPYLSVSDLRSLLADTPSDADSTSDGGTIVIVRGTVDAKSAVDGSWKTLRPGVLVSRESGDKGVILQRTQTCIYNEWKGLFGWTSDLRAIFARSWRQQESTSLRKVPFVLIDVGRRPSTPEYVVVNMDGSRHPLPLTTVYHKLQPINASPYTFLQALFGHEYPVGLLDEEKILPLGKDITAVGLGSLNNGVVEIKSCKDLPYFLSDLSKDQMIVDLSIKTKILFWGGVALGSMSVGILGYAVVRNWNKWKQWKLQRQLQQQRQAVSDVEPQVDDEIEDVPDGQLCVICLMRRRRSVFIPCGHLVCCQGCAISVEREVAPKCPVCRQEIRNSVRIFES >Vigun10g163900.2.v1.2 pep primary_assembly:ASM411807v1:10:38314435:38321136:1 gene:Vigun10g163900.v1.2 transcript:Vigun10g163900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQEQALVSLLSQLALSFDGAVLGFALAYAAIRTLFKFSATSAALRKLRRAPYLSVSDLRSLLADTPSDADSTSDGGTIVIVRGTVDAKSAVDGSWKTLRPGVLVSRESGDKGVILQRTQTCIYNEWKGLFGWTSDLRAIFARSWRQQESTSLRKVPFVLIDVGRRPSTPEYVVVNMDGSRHPLPLTTVYHKLQPINASPYTFLQALFGHEYPVGLLDEEKILPLGKDITAVGLGSLNNGVVEIKSCKDLPYFLSDLSKDQMIVDLSIKTKILFWGGVALGSMSVGILGYAVVRNWNKWKQWKLQRQLQQQRQAVSDVEPQVDDEIEDVPDGQLCVICLMRRRRSVFIPCGHLVCCQGCAISVEREVAPKCPVCRQEIRNSVRIFES >Vigun01g192400.1.v1.2 pep primary_assembly:ASM411807v1:1:37040013:37040812:1 gene:Vigun01g192400.v1.2 transcript:Vigun01g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTNAKTFSSFFLHGFSNSLTRRGYAVASQNATKGGVASMSSKIATTSGEDKGVSPYKVSWVPDPVSGYYKPENINEVDVAELRAALLAKKFNNASQSVNLKN >Vigun02g142700.1.v1.2 pep primary_assembly:ASM411807v1:2:29127298:29129745:1 gene:Vigun02g142700.v1.2 transcript:Vigun02g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSSPEIPVSKDENFITVLSIDGGGIRGIIPGVLLAYLESQLQELDGEDARLADYFDVIAGTSTGGLVAAMLTAPNPNANKRPLFSAKEIVPFYLQKSPQIFPHTRGVFGWLKNIAKTLTGPKYDGKYLHELIRKKLGDTKLHQTLTNVVIPTFDIKTLQPTIFSSFKLATEAALDVELSDICIATSAAPTFLPAHYFTKQDERGNVVREFNLVDGGVAANNPTLVAIRELTKQIIRKPNEIGISPLNYDRFLVLSLGTGSNKKEHKYDAKMVSKWGILSWVFKSGFTPIIHCFSEASNDMVDYHNCVVFRALQSEDNYLRIQDDTLKGDLASLDVATEENLNNLVIVGEKLLKKKVTRVNLDTGLYEPVPDKGTNEEALKRFAKSLSDARKKKKFDSQDRN >Vigun10g107900.1.v1.2 pep primary_assembly:ASM411807v1:10:30703381:30707186:-1 gene:Vigun10g107900.v1.2 transcript:Vigun10g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSFSLVISFLLVPFLFSSIAFSYSLKDIQSWCNQTPYPQPCEYYLTNHAFNKPIKSKSDFLKVSLELALERAQRGQLNTNSMGPKCRNVHEKSAWNDCIQLYEYTVQRLNKTLDPYTKCTQTDTQTWLSTALTNLETCRTGFYELGVTDYILPLLSNNVTKLLSNTLSLNKDPNNQFRPPSTQEGFPTWVKPGDRKLLQSSSAASKANVIVAKDGSGKYTTVKAAVDAAPKSSSGRYVIYVKSGVYNEQVEVKSKNIMLVGDGIGKTIITGSKSVGGGSTTFNSATVAAVGDGFIAQDITFRNTAGAANHQAVAFRSGSDLSVFYRCSFEGYQDTLYVHSERQFYRECDIYGTVDFIFGNAAVVLQNCNIYARTPPQKTITVTAQGRTDPNQNTGIIVHNSKVTGASGFNPSSIKSYLGRPWKQYSRTVFMKTNLDSLINPAGWMEWDGNFALNTLYYAEYANTGPGSNTANRVTWKGYHVLTSASQATPFTVGNFIAGNSWIPNTGVPFTSGL >Vigun03g292450.1.v1.2 pep primary_assembly:ASM411807v1:3:47735228:47738842:1 gene:Vigun03g292450.v1.2 transcript:Vigun03g292450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDECESDDAWRRRGKVDGDTSYLKMWRKALCMTIWRRRPVSSTSFYKSLPKSGPRSTTNDSANWVREPECGVGLFLCKSQQLREMVCLALIFGPGLLPWRVTFLQMRTVSNFARCNCGEGADSAISLYSICEFTFSKTTE >Vigun06g015550.1.v1.2 pep primary_assembly:ASM411807v1:6:7232362:7234101:1 gene:Vigun06g015550.v1.2 transcript:Vigun06g015550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIELVGSSKMMENHVIVVLGLLVCGWSCHGEYLIGVGSYDMTGPAADVNMMGYANLEQNTAGIHFRLRARTFIVGESPDGPRFVFVNLDAGMASQLLTIKVLERLNSRSTNLKAESTKFWPQIYTI >Vigun11g158600.1.v1.2 pep primary_assembly:ASM411807v1:11:36676759:36679191:1 gene:Vigun11g158600.v1.2 transcript:Vigun11g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNLESGFHLSQLAHPLLKASESASIIFISSIAGVVAINLVSVIYSATKGAMNQMTKNLACEWAKDNIRTNCIAPGPIRTPLLEKLCKEETAMNAVISQIPLGRIGEAEEVSSLVAFLCLSAASYITGQTICVDGGFTVNGLNML >Vigun05g183100.1.v1.2 pep primary_assembly:ASM411807v1:5:35206032:35209467:-1 gene:Vigun05g183100.v1.2 transcript:Vigun05g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLLVHMNNFSVMLFKFWPIYIPLISLLALNANINVFALGDETDHLSLLKFKESISTDPYGMLLSWNTSTHFCNWHGITCNLTLQRVTGLNLHGYKLKGSISPYVGNLSHLRIFDLGNNSFHGKIPPELGRLSQLRLLSVGNNSLEGKIPTNLTGCTSLKRLRLHGNNLIGEIPIQIGSLRKLQYLLLYNNWLTGGIPSFLGNLLSLTVLSVGTNNLGGDIPQELCNLKHLFFLSLPVSNLTGTFPSCLYNISSLVAISATKNQLNGSLPPNMFHTLPNLQVLELSENKFSGPIPPSITNSSLLYIFDLSYNHFVGQVPSLGKLQHVFLLSFSSNNLGNNSTYDLEFLKTLTNCSELHELGISNNNFGGHLPDTMGNLSTQLSQLALGGNQISGKIPASIGNLVDLTLLTMYDNRMEGIIPTTFGKFRKMEVLGLRGNKLSGEIGAFIGNLSHLFYLEMSANMLEGTIPPSIGYCQKLQYLSLAQNNLKGTIPFEVFHLSSLTIYLDLSENSLSGYISKEVGNLKNIDILDMSKNHLSGDIPGTIAECIMLEYLFLQGNSLDGIIPFSLASLKGLRSLDLSQNRLSGSIPHVLQNISFLEYFNVSYNMLEGEVPTEGVFRNASEVVVTGNSKLCGGISELHLPPCPVKGKKLVKHHKFRLIPVLVSVIVFLLILSITLTFYWMRKRSKKSSLDSPTIDQLAKVSYQSLHNATDGFSTTNLIGSGNFSSVYKGTLELEDKVVAIKVLNLQRKGAPKSFFAECNALKNVKHRNLVPILTCCSSTDYKGQEFKALIFEYMKNGSLEQWLHPRTLVTDNPRTLKLDQRLNIMIDVASALHYLHHECEQSIIHCDLKPNNVLLDDNMIAHVSDFGIARLLSTINNKKSSTLGIKGTIGYAPPEYGMGSEVSMTGDVYSFGILMLEMLTGRRPTDEIFEDGQNLHNLVANSFPENLLQILDPLLVVKEAEISTEEEIQNLTPTTEECLVSLFHIGLACVAESPKERISMVDVTRELSKIKRAFISGKVNRK >Vigun02g087900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24263659:24264480:-1 gene:Vigun02g087900.v1.2 transcript:Vigun02g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSTQNPFTVSLMLLVFCLSLITTAKSDSFSFNLPSFETGLRSILVGDDAKTVDGVLQLTNKDTSGNPTQQSVGLAAYFGPLHLSDAKTGKLADFDTEFSFVVNTHGASLHGDGFTFFLASVDFEFPDNSTGGFLGLFNQETAFNTSLNQVVAVEFDSFANEWDPNFPQSDSPHIGIDINSIRSVATAPWPLDIQQGSIGKARISYQSSAKILSVSVAYPDSSTSPNTAVLSYPVNLGSVLSEWVLIGFSGTTGDLVETHDILSWSFNSFL >Vigun03g302900.4.v1.2 pep primary_assembly:ASM411807v1:3:49263143:49270588:-1 gene:Vigun03g302900.v1.2 transcript:Vigun03g302900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGVGAAKAITMAIAARPLRLKPYAATTALAFLSLHKSLFLPPSPFPSRRSFCAAALRTSGSRVEQFRKKLRVSEIKEGDGADVLGRDLVVQGWVRTLRLQSSVTFIEINDGSCLSNMQCVLNSEAEGYDQVESGLVTTGASVWVQGVVVKSQGSKQKVELKVNKIVLIGKSDPSFPIQKKRASREFLRTKAHLRSRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSQDTTDSPVDAIPKKNDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQFVIKYVLDNCKEDMEFFDTWISKGIIARLSDVADKDVVQITYTEAIDLLSGANKKFEFPVKWGCDLQSEHERYITEEAYNGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPGLIVNLVLKKYVVSLSLPGMFA >Vigun03g302900.2.v1.2 pep primary_assembly:ASM411807v1:3:49263143:49270588:-1 gene:Vigun03g302900.v1.2 transcript:Vigun03g302900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGVGAAKAITMAIAARPLRLKPYAATTALAFLSLHKSLFLPPSPFPSRRSFCAAALRTSGSRVEQFRKKLRVSEIKEGDGADVLGRDLVVQGWVRTLRLQSSVTFIEINDGSCLSNMQCVLNSEAEGYDQVESGLVTTGASVWVQGVVVKSQGSKQKVELKVNKIVLIGKSDPSFPIQKKRASREFLRTKAHLRSRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSQDTTDSPVDAIPKKNDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQFVIKYVLDNCKEDMEFFDTWISKGIIARLSDVADKDVVQITYTEAIDLLSGANKKFEFPVKWGCDLQSEHERYITEEAYNGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPGVIHKISLSYYYHQIVFVNKIFSTINNPFS >Vigun03g302900.3.v1.2 pep primary_assembly:ASM411807v1:3:49263645:49270588:-1 gene:Vigun03g302900.v1.2 transcript:Vigun03g302900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGVGAAKAITMAIAARPLRLKPYAATTALAFLSLHKSLFLPPSPFPSRRSFCAAALRTSGSRVEQFRKKLRVSEIKEGDGADVLGRDLVVQGWVRTLRLQSSVTFIEINDGSCLSNMQCVLNSEAEGYDQVESGLVTTGASVWVQGVVVKSQGSKQKVELKVNKIVLIGKSDPSFPIQKKRASREFLRTKAHLRSRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSQDTTDSPVDAIPKKNDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQFVIKYVLDNCKEDMEFFDTWISKGIIARLSDVADKDVVQITYTEAIDLLSGANKKFEFPVKWGCDLQSEHERYITEEAYNGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPGIGELIGGSQREERLEYLEARLDELKLNKDAYWWYLDLRRYGSGQMSFSLSLFTYLYHAVQDVNHIKNKRLPVLLLINFLCLSFGNSHFIFWGKDFAAFIQLAL >Vigun03g302900.1.v1.2 pep primary_assembly:ASM411807v1:3:49263143:49270588:-1 gene:Vigun03g302900.v1.2 transcript:Vigun03g302900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGVGAAKAITMAIAARPLRLKPYAATTALAFLSLHKSLFLPPSPFPSRRSFCAAALRTSGSRVEQFRKKLRVSEIKEGDGADVLGRDLVVQGWVRTLRLQSSVTFIEINDGSCLSNMQCVLNSEAEGYDQVESGLVTTGASVWVQGVVVKSQGSKQKVELKVNKIVLIGKSDPSFPIQKKRASREFLRTKAHLRSRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSQDTTDSPVDAIPKKNDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQFVIKYVLDNCKEDMEFFDTWISKGIIARLSDVADKDVVQITYTEAIDLLSGANKKFEFPVKWGCDLQSEHERYITEEAYNGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPGIGELIGGSQREERLEYLEARLDELKLNKDAYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDVIPFPRTPGSAEF >Vigun07g116700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21579141:21579935:-1 gene:Vigun07g116700.v1.2 transcript:Vigun07g116700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIRLSAERGVVVFTKSSCCLCYAVNILFQELGVDPVVHEIDKDPEGKEMEKALTRLGCSAPVPAVFIGGTLVGSTNEVMSLHLSGSLTKMLKPYRSLS >Vigun04g123900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31522810:31527512:-1 gene:Vigun04g123900.v1.2 transcript:Vigun04g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSINQMEGEPRDLAIEMKFLEKKWTKMLQNVEPPEMDEIHRQCIYRVPPNIRDHNPKAYTPQTVSIGPYHHKNCEGMEELKLKYLKGFLNRTELPIMKFLAKIKDLEEKIRVCYAYPIIYDSHDFLNMILVDAYFIIELLLRWHEYHDWKKKDPLLQKPLLVQYIEHDLLLLENQLPFFVLEQLYSLTGMDEKLLDITFDYFESAQLGNVCPTESPKHLTDLIRSSIISSSELGLGKPKKGKEVNHVYSASQLMEAGLKFRISPNKSFLDLTYSKHGVLSMPIFNIDDSTEVLLKNMMAYEQCHPSAGDIIKQYVAILDFLINTEKDVDVVIDKKIIVNLMGDANKVVIMINNLSSNLPAPDFNSHYFSICNSLNEFYENPRNKYKAIFIHEYFNTPWKIASTIAAIVLLLLTFIQTVCSILSLF >Vigun02g096700.1.v1.2 pep primary_assembly:ASM411807v1:2:25239896:25242348:-1 gene:Vigun02g096700.v1.2 transcript:Vigun02g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYGINSVRVERRVLEAPKNLMQVILILAKAMALLCYTERRYIFYLPRAIVHAVLDKDKKTIGSECRERSDCEEVKGREILKELQEFERLLNLARICSRGKRFPARLCTAGFHEEDVLYRETTSRIMKPAFTVIRDKESKCLLVFIRGTRSIKDTLTDALCAPVSFDHNMVSGHAHRGMVAAADWIRKRCIPVLLEALHQYPNFKIKIVGHSLGGGTAALLTYKLREMEELSSTRPTCVTFGSAACVTLKLAELGKPFITSIVNGSDIVPTLSASSIHDFIAEDRKDYKNILGAMRARLSSAKAIAKDAVNYCTEVLSLFLSESIDDDDDEYDWSEGSDHDDSDDDNIDDKEEDIIEEDSVSQITESTRRHLYPPGRIMHMITSHMSENSNSNHSDADEKHVCLYQTPTQLYGKLRFSRGMIRDHRTERYLEKLQQLINTLEKE >Vigun10g148700.3.v1.2 pep primary_assembly:ASM411807v1:10:36700729:36706734:-1 gene:Vigun10g148700.v1.2 transcript:Vigun10g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDFLSSGSTGTAISQTVETIAEFIVTAKDVLVKKDSFNELGAYMERIKPILEELKKGKVSDSESFNKAIETMNKEIKDAKLLALECSKKSKVYLLMNCRSIAKKIEDHTKQLSWALSLLPLATTGLSSGIVEDIEKLCDSMQKSGFKAALDEEAILEKIDSGIRENNVDRSHANKMLLLIAEAVGIRNERSTIKLELEEFKSEIEKARDRKELAEAMQMDQIIALLERADAASSPREKELRYFAKRQSLGSQILEPLQSFYCPITQDVMVDPVEISSGQTFERSAIEKWFAEGNKLCPLTLIPLDTSVLRPNKMLKKSIQEWKDRNTMITIATLKEKILSGNDEEVLHDLQIVQNLCEEKEQHREWVILEGYILTLIQSLSRNRDIRRHSLSILGMLAKDSEEAKVKISTADGAIESIVRSLGRNTVERKLAVALLFELSKYDLALEHIGKVQGCILLLVTMSSGDDNQAARDATELLENLSYSDQNVIQMAKVNYFKHLLQRLSTGPEDVKMIMAKHLAEMDLTEHNREALFDGGVLAPLLLMFSHNDLQVKTVAIKALKNLSNSKKNGQEMIRQGAARPLLNLLFNQSIYTASLWEDLATIIVQLAASTISQDSQTPVLLLDSDDDVFNLFNLVNVSEPVVQQNIIQTFYALCQTPSASCIRTKLKELPAVPKLVELCENENQNLRASAVKLFSCLVENCDEAIIQESVNQRCINTLLRIIKSSSDDEEILSAMGIICYLPETDLITQWLLDAGALEIIKSSVQVGKDRDHQRRTLVENAIGALCRFTVPTNLEWQKSAAETGIITVLVQLLENETTLTKQRVAQCLAQFSRSSFVLSRPIPKRKGLWCFSARADIGCMVHGGICSVKSSFCLLEANAVGPLTRTLEESDPGVCEASLDALLTLIEGERLQHGSKVLADANAIPLIIKYLGSHSPGLLEKSLNALERIFRLVEFKQKYGASAQMPLVDLTQRGNATFAFLTDITGFLSAIP >Vigun10g148700.2.v1.2 pep primary_assembly:ASM411807v1:10:36700614:36706752:-1 gene:Vigun10g148700.v1.2 transcript:Vigun10g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDFLSSGSTGTAISQTVETIAEFIVTAKDVLVKKDSFNELGAYMERIKPILEELKKGKVSDSESFNKAIETMNKEIKDAKLLALECSKKSKVYLLMNCRSIAKKIEDHTKQLSWALSLLPLATTGLSSGIVEDIEKLCDSMQKSGFKAALDEEAILEKIDSGIRENNVDRSHANKMLLLIAEAVGIRNERSTIKLELEEFKSEIEKARDRKELAEAMQMDQIIALLERADAASSPREKELRYFAKRQSLGSQILEPLQSFYCPITQDVMVDPVEISSGQTFERSAIEKWFAEGNKLCPLTLIPLDTSVLRPNKMLKKSIQEWKDRNTMITIATLKEKILSGNDEEVLHDLQIVQNLCEEKEQHREWVILEGYILTLIQSLSRNRDIRRHSLSILGMLAKDSEEAKVKISTADGAIESIVRSLGRNTVERKLAVALLFELSKYDLALEHIGKVQGCILLLVTMSSGDDNQAARDATELLENLSYSDQNVIQMAKVNYFKHLLQRLSTGPEDVKMIMAKHLAEMDLTEHNREALFDGGVLAPLLLMFSHNDLQVKTVAIKALKNLSNSKKNGQEMIRQGAARPLLNLLFNQSIYTASLWEDLATIIVQLAASTISQDSQTPVLLLDSDDDVFNLFNLVNVSEPVVQQNIIQTFYALCQTPSASCIRTKLKELPAVPKLVELCENENQNLRASAVKLFSCLVENCDEAIIQESVNQRCINTLLRIIKSSSDDEEILSAMGIICYLPETDLITQWLLDAGALEIIKSSVQVGKDRDHQRRTLVENAIGALCRFTVPTNLEWQKSAAETGIITVLVQLLENETTLTKQRVAQCLAQFSRSSFVLSRPIPKRKGLWCFSARADIGCMVHGGICSVKSSFCLLEANAVGPLTRTLEESDPGVCEASLDALLTLIEGERLQHGSKVLADANAIPLIIKYLGSHSPGLLEKSLNALERIFRLVEFKQKYGASAQMPLVDLTQRGNGSVRSMSAKILAQLNVLHDQSSYF >Vigun10g148700.1.v1.2 pep primary_assembly:ASM411807v1:10:36700729:36706734:-1 gene:Vigun10g148700.v1.2 transcript:Vigun10g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDFLSSGSTGTAISQTVETIAEFIVTAKDVLVKKDSFNELGAYMERIKPILEELKKGKVSDSESFNKAIETMNKEIKDAKLLALECSKKSKVYLLMNCRSIAKKIEDHTKQLSWALSLLPLATTGLSSGIVEDIEKLCDSMQKSGFKAALDEEAILEKIDSGIRENNVDRSHANKMLLLIAEAVGIRNERSTIKLELEEFKSEIEKARDRKELAEAMQMDQIIALLERADAASSPREKELRYFAKRQSLGSQILEPLQSFYCPITQDVMVDPVEISSGQTFERSAIEKWFAEGNKLCPLTLIPLDTSVLRPNKMLKKSIQEWKDRNTMITIATLKEKILSGNDEEVLHDLQIVQNLCEEKEQHREWVILEGYILTLIQSLSRNRDIRRHSLSILGMLAKDSEEAKVKISTADGAIESIVRSLGRNTVERKLAVALLFELSKYDLALEHIGKVQGCILLLVTMSSGDDNQAARDATELLENLSYSDQNVIQMAKVNYFKHLLQRLSTGPEDVKMIMAKHLAEMDLTEHNREALFDGGVLAPLLLMFSHNDLQVKTVAIKALKNLSNSKKNGQEMIRQGAARPLLNLLFNQSIYTASLWEDLATIIVQLAASTISQDSQTPVLLLDSDDDVFNLFNLVNVSEPVVQQNIIQTFYALCQTPSASCIRTKLKELPAVPKLVELCENENQNLRASAVKLFSCLVENCDEAIIQESVNQRCINTLLRIIKSSSDDEEILSAMGIICYLPETDLITQWLLDAGALEIIKSSVQVGKDRDHQRRTLVENAIGALCRFTVPTNLEWQKSAAETGIITVLVQLLENETTLTKQRVAQCLAQFSRSSFVLSRPIPKRKGLWCFSARADIGCMVHGGICSVKSSFCLLEANAVGPLTRTLEESDPGVCEASLDALLTLIEGERLQHGSKVLADANAIPLIIKYLGSHSPGLLEKSLNALERIFRLVEFKQKYGASAQMPLVDLTQRGNGSVRSMSAKILAQLNVLHDQSSYF >Vigun10g148700.4.v1.2 pep primary_assembly:ASM411807v1:10:36700729:36706734:-1 gene:Vigun10g148700.v1.2 transcript:Vigun10g148700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDFLSSGSTGTAISQTVETIAEFIVTAKDVLVKKDSFNELGAYMERIKPILEELKKGKVSDSESFNKAIETMNKEIKDAKLLALECSKKSKVYLLMNCRSIAKKIEDHTKQLSWALSLLPLATTGLSSGIVEDIEKLCDSMQKSGFKAALDEEAILEKIDSGIRENNVDRSHANKMLLLIAEAVGIRNERSTIKLELEEFKSEIEKARDRKELAEAMQMDQIIALLERADAASSPREKELRYFAKRQSLGSQILEPLQSFYCPITQDVMVDPVEISSGQTFERSAIEKWFAEGNKLCPLTLIPLDTSVLRPNKMLKKSIQEWKDRNTMITIATLKEKILSGNDEEVLHDLQIVQNLCEEKEQHREWVILEGYILTLIQSLSRNRDIRRHSLSILGMLAKDSEEAKVKISTADGAIESIVRSLGRNTVERKLAVALLFELSKYDLALEHIGKVQGCILLLVTMSSGDDNQAARDATELLENLSYSDQNVIQMAKVNYFKHLLQRLSTGPEDVKMIMAKHLAEMDLTEHNREALFDGGVLAPLLLMFSHNDLQVKTVAIKALKNLSNSKKNGQEMIRQGAARPLLNLLFNQSIYTASLWEDLATIIVQLAASTISQDSQTPVLLLDSDDDVFNLFNLVNVSEPVVQQNIIQTFYALCQTPSASCIRTKLKELPAVPKLVELCENENQNLRASAVKLFSCLVENCDEAIIQESVNQRCINTLLRIIKSSSDDEEILSAMGIICYLPETDLITQWLLDAGALEIIKSSVQVGKDRDHQRRTLVENAIGALCRFTVPTNLEWQKSAAETGIITVLVQLLENETTLTKQRVAQCLAQFSRSSFVLSRPIPKRKGLWCFSARADIGCMVHGGICSVKSSFCLLEANAVGPLTRTLEESDPGVCEASLDALLTLIEGERLQHGSKVLADANAIPLIIKYLGSHSPGLLEKSLNALERIFRLVEFKQKYGASAQMPLVDLTQRGNATFAFLTDITGFLSAIP >Vigun05g073800.1.v1.2 pep primary_assembly:ASM411807v1:5:6504000:6509330:1 gene:Vigun05g073800.v1.2 transcript:Vigun05g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGNFWELLKPYARREGFDFLRNKRVAVDLSFWIVQHENAMKATHVRKPHLRLTFFRTINLFSKFGALPVFIVDGTPSPLKAQSRIARYFRSSGIELTSLPVSEGVSADRSSLFSSRVQECVELGKLLGMPVLQAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKCVIKCFCPNSKEPFECYNMSDIEAGLGLKRKHLIAISLLVGNDHDMNGVRGIGLDNALHFAKAFNEDDILNRLREIGKGGNTSQIPSCTIFEDNKDVDGNCTNIKQPHCSLCGHPGSKKDHMKFSCEYCVKKDDEGCRRKPEGFKCDCCSCVLNRRHKEQKREENWYSKICLKIAEEPNFPKDEIIDMYLCNDNGYFSASDGPRIIWGKPNIEMLIDFLNFHQRWDPSYIRRTMFPMMSTIFLRDMSTTPTGETLLFGQYEFDSVERVKMRYGYQFYVVKWKRAGVNINTSKVPSNESSAQQDVVELDEMVDLLDDAPEIHVDGCSFLFTDENMDLVGTAFPAEVKSFLQEQERKRRKNSKSRCQENENSVSPNSRSTQLNITEFFPSTKIKHRQSKQREESSNDADSEGSKSSKIKRKVSNSDNLPKSVRRRLLFD >Vigun05g073800.4.v1.2 pep primary_assembly:ASM411807v1:5:6506259:6509330:1 gene:Vigun05g073800.v1.2 transcript:Vigun05g073800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MSDIEAGLGLKRKHLIAISLLVGNDHDMNGVRGIGLDNALHFAKAFNEDDILNRLREIGKGGNTSQIPSCTIFEDNKDVDGNCTNIKQPHCSLCGHPGSKKDHMKFSCEYCVKKDDEGCRRKPEGFKCDCCSCVLNRRHKEQKREENWYSKICLKIAEEPNFPKDEIIDMYLCNDNGYFSASDGPRIIWGKPNIEMLIDFLNFHQRWDPSYIRRTMFPMMSTIFLRDMSTTPTGETLLFGQYEFDSVERVKMRYGYQFYVVKWKRAGVNINTSKVPSNESSAQQDVVELDEMVDLLDDAPEIHVDGCSFLFTDENMDLVGTAFPAEVKSFLQEQERKRRKNSKSRCQENENSVSPNSRSTQLNITEFFPSTKIKHRQSKQREESSNDADSEGSKSSKIKRKVSNSDNLPKSVRRRLLFD >Vigun05g073800.3.v1.2 pep primary_assembly:ASM411807v1:5:6504000:6509330:1 gene:Vigun05g073800.v1.2 transcript:Vigun05g073800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGNFWELLKPYARREGFDFLRNKRVAVDLSFWIVQHENAMKATHVRKPHLRLTFFRTINLFSKVLYPNNFGALPVFIVDGTPSPLKAQSRIARYFRSSGIELTSLPVSEGVSADRSSLFSSRVQECVELGKLLGMPVLQAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKCVIKCFCPNSKEPFECYNMSDIEAGLGLKRKHLIAISLLVGNDHDMNGVRGIGLDNALHFAKAFNEDDILNRLREIGKGGNTSQIPSCTIFEDNKDVDGNCTNIKQPHCSLCGHPGSKKDHMKFSCEYCVKKDDEGCRRKPEGFKCDCCSCVLNRRHKEQKREENWYSKICLKIAEEPNFPKDEIIDMYLCNDNGYFSASDGPRIIWGKPNIEMLIDFLNFHQRWDPSYIRRTMFPMMSTIFLRDMSTTPTGETLLFGQYEFDSVERVKMRYGYQFYVVKWKRAGVNINTSKVPSNESSAQQDVVELDEMVDLLDDAPEIHVDGCSFLFTDENMDLVGTAFPAEVKSFLQEQERKRRKNSKSRCQENENSVSPNSRSTQLNITEFFPSTKIKHRQSKQREESSNDADSEGSKSSKIKRKVSNSDNLPKSVRRRLLFD >Vigun05g073800.2.v1.2 pep primary_assembly:ASM411807v1:5:6504553:6509330:1 gene:Vigun05g073800.v1.2 transcript:Vigun05g073800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MPVLQAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKCVIKCFCPNSKEPFECYNMSDIEAGLGLKRKHLIAISLLVGNDHDMNGVRGIGLDNALHFAKAFNEDDILNRLREIGKGGNTSQIPSCTIFEDNKDVDGNCTNIKQPHCSLCGHPGSKKDHMKFSCEYCVKKDDEGCRRKPEGFKCDCCSCVLNRRHKEQKREENWYSKICLKIAEEPNFPKDEIIDMYLCNDNGYFSASDGPRIIWGKPNIEMLIDFLNFHQRWDPSYIRRTMFPMMSTIFLRDMSTTPTGETLLFGQYEFDSVERVKMRYGYQFYVVKWKRAGVNINTSKVPSNESSAQQDVVELDEMVDLLDDAPEIHVDGCSFLFTDENMDLVGTAFPAEVKSFLQEQERKRRKNSKSRCQENENSVSPNSRSTQLNITEFFPSTKIKHRQSKQREESSNDADSEGSKSSKIKRKVSNSDNLPKSVRRRLLFD >Vigun01g070200.1.v1.2 pep primary_assembly:ASM411807v1:1:18730614:18733035:-1 gene:Vigun01g070200.v1.2 transcript:Vigun01g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEHNQNQQLGFPFWKPLRRKFGPDSPFFAPGNLERELLAKQVALELTEEKEQLEKWMQEEEGREIFCPIVGCGARLTSMDDFENHYNARHTASCSVCSRVYPTSRLLSIHVSEVHDSFFQAKVARGYDMYECLVEKCGLKFKSYNRRHQHLVDKHKFPTSFEFFKKAKPSKKQRLKSQQKKTLPKEKDAGMMEVENAAMDDLVSAVSKLSTSDSTPSNISFGRRSKGLSFVPRTVQRRDQSNSAASGTKR >Vigun03g080700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6728831:6730610:1 gene:Vigun03g080700.v1.2 transcript:Vigun03g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRETNPHFSRSSQPELLVDDDDKEQPHIPFGPRQKRRAQPPPQVVAAAPIPEALSRPQKNEDRVPLRPPPQHEDHVIPSQHSQEPRSKRSPSKFPTNQGLRPLKSSKVNFQEPPPSASAPPPPPPSDHPPAPRRKPLSYPRHSGIKLPEEQKSQPLTWLGACLCVIFWLIIIIGGLIVLIVYFVFRPQSPHFDVSSVTLNAAYLDLGYLLNADLTMLANFTNPNKKVHVDFSSVIIYLYYGSTLIATQYVEPFSAARLQSRFAMIHMVSSQVQLPLKESQRLVKQMEGNGVILEVRGVFRARSKLGTILRYSYNLYGRCSVMLTRPPDGILLKKKCRTKR >Vigun03g295800.1.v1.2 pep primary_assembly:ASM411807v1:3:48232939:48235760:-1 gene:Vigun03g295800.v1.2 transcript:Vigun03g295800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFLNRNNGQELLQYSLQQQQQQQGSLFTQPHMLYNNNHDNGSNNVVNNNNNNNSRKRGREDSTVANNTITTTPSNLINPFSLQSQPPQLIHLSQLHNHQQNVVSTGLRLSFDDQQHQQRLHLHHNQQPQQQQLSLHASHSSAFSSLLSQAFASQIKQQRDEIDQFLLAQGEQLRRTLAEKRQKHYNALLSAAEEAVARRLREKEAEVEKATRKNAELEARAAQLSVEAQVWQAKARAQEATAATLQAQLQQTIMCQGGEEAAAGVSCAVEGQAEDAESAHIDPERVVLAAARPKCRGCTKRVASIVVLPCRHLCICTECDAHFRACPVCLTPKNSTVEVFLS >VigunL081100.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:2394:2937:-1 gene:VigunL081100.v1.2 transcript:VigunL081100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLLRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPSNLVARKAHNLEVTGSNPVSATF >VigunL069600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:86329:86439:1 gene:VigunL069600.v1.2 transcript:VigunL069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Vigun06g006500.2.v1.2 pep primary_assembly:ASM411807v1:6:3396615:3398499:1 gene:Vigun06g006500.v1.2 transcript:Vigun06g006500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYDLNDVYYVVSLYCGDRYFRMRLFDLDWTEVVYPGRRNNGLARDPLSSVRFFQAFRVQFIPNTLLIILPEYFQMFCQDKISFNHLVKLYDPLGKKFEIFVDTDEGGRMVLFGFCQYVAYYEFVSPCFLHLNYVGNNVFVHRIFSVEGVEIDYSRDLQAGGSNQLEVGSVDCEKILSSYDVHSSSLYLDSKFVKEGLVKGRKNYVLTNGHAQFWNCKIRWTGRSSFECYLTCGWKKYCQENGLVAGDRVRFMVQTDQSNVIQILKI >Vigun06g006500.3.v1.2 pep primary_assembly:ASM411807v1:6:3397222:3398499:1 gene:Vigun06g006500.v1.2 transcript:Vigun06g006500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYDLNDVYYVVSLYCGDRYFRMRLFDLDWTEVVYPGRRNNGLARDPLSSVRFFQAFRVQFIPNTLLIILPEYFQMFCQDKISFNHLVKLYDPLGKKFEIFVDTDEGGRMVLFGFCQYVAYYEFVSPCFLHLNYVGNNVFVHRIFSVEGVEIDYSRDLQAGGSNQLEVGSVDCEKILSSYDVHSSSLYLDSKFVKEGLVKGRKNYVLTNGHAQFWNCKIRWTGRSSFECYLTCGWKKYCQENGLVAGDRVRFMVQTDQSNVIQILKI >Vigun05g039100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3123267:3124733:-1 gene:Vigun05g039100.v1.2 transcript:Vigun05g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATVASTLVQMAQRCTCMRDLKLLHAHAFRTHLDDHVVVLGKLFRFAAVSPLGDLSYAHRMFDIMPNRTTFFYNTLIRAHSHSTSPSLSSLFFNVMRQNDVAPDQFSFTFLLKSRSRTTPLTHHNDIHGAVLKFGFCSHLHVQNGLIHLYAHRGMTLLARRVFEDVLRLGLEVDVVSWSGLLVAHVKAGELDVARRVFDEMPQRDVVAWTAMLSGYSRARRPRDALELFREMRHAGVWPDEVTMVSVISACATLGDVETGRMVHHFVEENGFGWMVALCNALIDMYGKCGCLEEAWYVFHGMTRRSLITWNSMMTVCANHGNADEAFRLFEWMVCSGVVPDSVTLLALLVAFAHKGLVDDGIRLFERMERDYGVEPRIEHYGAVVDMLGRAGRIQEAYDLLANISIPCNDVVWGALLGACRIHGDVDMGEKIINKLLELKSDEGGYYILLRDIYVAAGRTVEANEMRLAMLASGARKNPGCSWVEG >Vigun07g194900.1.v1.2 pep primary_assembly:ASM411807v1:7:31417749:31420441:-1 gene:Vigun07g194900.v1.2 transcript:Vigun07g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFTEKMQRLKMGFPDYIQALEEERRKIQVFCKELPLSLELVTQAIEACRQQLSGTTSEYNLNGQSECSERTTSSEGPVLEEFIPIKKRASSSPCCDEDDEDNEQHSLRQRVLNENNKNSDKRKSDWLRSVQLWNPDPPAEEDATRKVPGIELKRSGCGGGAFQPFHKEEKAAKATESSCKAPSLTLVAAASSSAGELTPEKNQSEGQRKQRRCWSQDLHKRFLHALQQLGGADSATPKQIRELMNVDGLTNDEVKSHLQKYRLHTRRPSPLVHNSSSQQAAPFVLVGNIFVQSPEYAAVATSTASREVNTVPAPAGVYAPVATHPTPVSQPSADSIKKPQFKKFKHSEHSNSDERAHHSEGAVHSNSPTSSSSTHTSASPGY >Vigun07g049600.1.v1.2 pep primary_assembly:ASM411807v1:7:5147615:5150377:1 gene:Vigun07g049600.v1.2 transcript:Vigun07g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDICLNMPPMVQNFDPEDLFSRRCIWVNGPVIVGAGPSGLAVAAGLKDQGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPEDFPEYPTKFQFISYLESYATHFNIAPQFNETVQSAKYDETFGLWRVKTISRRKGPSSVSAAECEVEYICRWLVVATGENSEKVVPEFEGLGEFGGRVMHACEYKSGEGFAGQKVLVVGCGNSGMEVSLDLCNHNAKPSMVVRSSVHVLPKEVFGKSTFEVAVMLMKRLPLWMVDKILLILARLILGNVEKYGLKRPSVGPLEFKHTAGKTPVLDIGALQKIRSGKIKVVPGIRRFLQGKVELVDGQVLQIDSVVLATGYHSNVPSWLKENEFFSNDGTPRNPFPNGWKGKGGLYAVGFTRRGLSGASLDAINVAHDIAKSWKEETKQKRKTVAARHRRCISHF >Vigun09g096600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14680126:14680748:1 gene:Vigun09g096600.v1.2 transcript:Vigun09g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAIAVAMLLLAVMIRSSEGIPNPIEQCLRQRLNPVAPPPLDSALYGVFTFTEIVCKDMFRGVAHSLRITGELPLDYVLALCDIFGDDEQKVQSHVTLVFNTHDYHTLAGGRTCAIIRTRQRANPHNSYLW >Vigun11g079400.1.v1.2 pep primary_assembly:ASM411807v1:11:23358508:23365437:1 gene:Vigun11g079400.v1.2 transcript:Vigun11g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLGVIKKRAVSCPAFRGHYTFRHGSTLVVAEHEGGVIKPPSLSALAAATCLPDTDSSVSVLLAGSGPSLHQAASHAASSYPSISKVLVADSDKFKDPLAEPWAKLVHLVQQSGGYSHIFAAANSFGKNIMPRAAALLGVSPITDVTGISDSNTFVRPIYAGNALCTVRYTGASPCILTIRSTSFPALQKSVDSNEASISQVDLSTLDEDLGRSTYISQSSQDDERPDLGNARIVVTGGRALKSAENFKLIEALAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNNDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >Vigun05g172900.1.v1.2 pep primary_assembly:ASM411807v1:5:31479900:31483903:1 gene:Vigun05g172900.v1.2 transcript:Vigun05g172900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWATIVTLIVILVLIWGWKILNWLWLKPKRLEKLLREQGFQGNPYKLFVGDSKEFLQMRKEALSRPMNLSDDIVPRVSSYIHHSVNTHGKKSFIWLGPTPRVTILDPEQIKDVLNKMYDFPKPDSTNPLVKLLATGLIDHEGEKWSKHRRLINPAFNLEKLKTMLPLFFESCNDLVNKWEGMLSSDGSCEIDAWPFLQNLASDVIARSAFGSSFEEGRRIFQLQREQAKLALQLILKIQIPGWRFLPTKSHRRMKEIDRDIKASLKDMIYKREKALKAGEASNNDLLGILLESNQKEIQEHGNGDNKNVGMSLEDVIQECKLFYFAGQETTSVLLVWTMVLLSRYPNWQQRAREEVFQVFGNQKPGFDGLNRLKIVTMILNEVLRLYPPVLGLTRFVKRDTKLGNITLPAGVQVLLPTALVHHESEFWGEDAKQFKPERFSEGVLKATNGRVSFFPFGWGPRICIGQNFSLLEAKMALSMILQNFSFALSPTYAHAPAMLLTLHPQYGAHIILHKVKM >Vigun03g107400.1.v1.2 pep primary_assembly:ASM411807v1:3:9588665:9590528:1 gene:Vigun03g107400.v1.2 transcript:Vigun03g107400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLSSALNSLSLSASSRFSLLPSPPHTVSLLFAKPQHHPSLLCAKSKPSDDIDTSFFDNVNPLEDIDFNPPEPPEGFVAPPSFDDGPLETEEEIAAAYEELYGPAFSGVSVLGNDVFETDALVKQDTGFGSGSKKEKVRDGFEERVVQVRRVTKVVKGGKQLRFRAVVIVGDKKGQVGVGVGKAKEVVAAVQKSASNARRNIVKVPMTKYSTFPHRSDGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVIAVQKMKQFREVAEERGIPMEELWK >Vigun10g099650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29173638:29173847:1 gene:Vigun10g099650.v1.2 transcript:Vigun10g099650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWSLLNLLSWLLPRFKKEPLLKCSIMDVAYLSPRCHFLVLKETQSLFRLLDWKIVKIVSEEDHLRKG >Vigun08g069000.1.v1.2 pep primary_assembly:ASM411807v1:8:10776801:10786392:1 gene:Vigun08g069000.v1.2 transcript:Vigun08g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVAAGRMTSSETFRSVEEDDSTANKKRDVFYDVYGPEGKADVVFKSPEDNSVLDLKDIQGLVTWVLGEGFMPSWVFIKNKPLIPKVVMLYMPGLDAALFLSLSKMLHNLKKICGKPRPVLALSCVSDSMQTIDALLTCKMKRKRDENRPALTSHQEEGCHDTDSLTFKELTKDIPFPVTYYTLTEKELEENGYSFNQPGFLSTLPAPPGSSFYEMLALDCEMCITNEGFELTRITLVDIKGKVLIDRLVKPSNAIIDYNTRFSGITPEMLDGVTTSLRDIQQLFLKFVYEETILVGHSLENDLLALKISHDLIIDTAVLYRHPRGTSHKKALRFLAKKFLAREIQQSANGHDSIEDARATLELALLKIKNGPDFGSPPSFTRKKFLSILSESGKTSSLIDDISIVKRYASESSNAIPVISDDEALAKTKKEVKNDKVHFIWTQFSELHSYLKKQAEDSDSLNERLAEMMALQTCQNNSAKQKGFKLKAPAELKEIMARMDARIYNLYSSLPTNAMMIIFTGHGDTAIVRRLRRMLAEQNESNLCREKIVEILAEFQAHAEVALCFVGVKH >Vigun08g069000.2.v1.2 pep primary_assembly:ASM411807v1:8:10776801:10786392:1 gene:Vigun08g069000.v1.2 transcript:Vigun08g069000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVAAGRMTSSETFRSVEEDDSTANKKRDVFYDVYGPEGKADVVFKSPEDNSVLDLKDIQGLVTWVLGEGFMPSWVFIKNKPLIPKVVMLYMPGLDAALFLSLSKMLHNLKKICGKPRPVLALSCVSDSMQTIDALLTCKMKRKRDENRPALTSHQEEGCHDTDSLTFKELTKDIPFPVTYYTLTEKELEENGYSFNQPGFLSTLPAPPGSSFYEMLALDCEMCITNEGFELTRITLVDIKGKVLIDRLVKPSNAIIDYNTRFSGITPEMLDGVTTSLRDIQQLFLKFVYEETILVGHSLENDLLALKISHDLIIDTAVLYRHPRGTSHKKALRFLAKKFLAREIQQSANGHDSIEDARATLELALLKIKNGPDFGSPPSFTRKKFLSILSESGKTSSLIDDISIVKRYASESSNAIPVISDDEALAKTKKENDKVHFIWTQFSELHSYLKKQAEDSDSLNERLAEMMALQTCQNNSAKQKGFKLKAPAELKEIMARMDARIYNLYSSLPTNAMMIIFTGHGDTAIVRRLRRMLAEQNESNLCREKIVEILAEFQAHAEVALCFVGVKH >Vigun06g047500.1.v1.2 pep primary_assembly:ASM411807v1:6:17208132:17212316:1 gene:Vigun06g047500.v1.2 transcript:Vigun06g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTHAAARDQFRLLGENVAKIRTDLMKEQLATFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRVHNGGLINLQELCHLLRQRRKSDRGVVSEDDCLRAISKLKVLGSGFEVITVGKKKLVRSVPTELNKDHNEILELAQAQGFVTVDEVERRLSWSSGRAIDALDTLLDLMQEGLAMIDDGHRDGRRRYWFPCVSPISSSTAVDS >Vigun06g047500.2.v1.2 pep primary_assembly:ASM411807v1:6:17208132:17212316:1 gene:Vigun06g047500.v1.2 transcript:Vigun06g047500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTHAAARDQFRLLGENVAKIRTDLMKEQLATFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRVHNGGLINLQELCHLLRQRRKSDRGVVSEDDCLRAISKLKVLGSGFEVITVGKKKLVRSVPTELNKDHNEILELAQAQGFVTVDEVERRLSWSSGRAIDALDTLLDEGLAMIDDGHRDGRRRYWFPCVSPISSSTAVDS >Vigun07g120500.3.v1.2 pep primary_assembly:ASM411807v1:7:22277494:22288316:-1 gene:Vigun07g120500.v1.2 transcript:Vigun07g120500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIVDRRDHLTAEAPISDECTFKLAPDISFIDPSDRELIERIIVLGFYYREMERFSAKCRNLSWIRSANTNPLEKKEKGSVYRRALANGIVEVLSVYRSAVLHIEQKLLSETMPILATVTQGLNKFFCLLPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQTCMQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRQEDRDVGSNSSHPDISEKLARMSIDDASLSDWHLGFHISLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFKSGDAVHPQMPKSLQKIHGLAGRFHFHREPAINMGIGDDLLPQTEADKIEAMLLNLKESSEFHKRSFECAVDSVQTIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDLFQCFLEESRQLMRLPPRQSTAEADLTVPFQLAALKTIGEEDKYFSKVSLRMPSFGITVKPSLIDLPKPTSSVDGGIGASLSNALSEVSVDGWDGIALGYSVDWPLHLFFTQEVLSKYLRIFQYLLRLKRTQMELEKLWASVMHQYHSDFAKRRNDQEKSSETQQKQQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNILQSHIQESHDFTELVGFHQE >Vigun07g120500.1.v1.2 pep primary_assembly:ASM411807v1:7:22277494:22288316:-1 gene:Vigun07g120500.v1.2 transcript:Vigun07g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIVDRRDHLTAEAPISDECTFKLAPDISFIDPSDRELIERIIVLGFYYREMERFSAKCRNLSWIRSANTNPLEKKEKGSVYRRALANGIVEVLSVYRSAVLHIEQKLLSETMPILATVTQGLNKFFCLLPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQTCMQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRQEDRDVGSNSSHPDISEKLARMSIDDASLSDWHLGFHISLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFKSGDAVHPQMPKSLQKIHGLAGRFHFHREPAINMGIGDDLLPQTEADKIEAMLLNLKESSEFHKRSFECAVDSVQTIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDLFQCFLEESRQLMRLPPRQSTAEADLTVPFQLAALKTIGEEDKYFSKVSLRMPSFGITVKPSLIDLPKPTSSVDGGIGASLSNALSEVSVDGWDGIALGYSVDWPLHLFFTQEVLSKYLRIFQYLLRLKRTQMELEKLWASVMHQYHSDFAKRRNDQEKSSETQQKQQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNILQSHIQESHDFTELVGFHQEYLSALISQTFLDIGSVSRILDSIMKLCLQFCWNIENQDNSSNTSELEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNLNSFFESTARGVLNVVRPRPTLPALNQQ >Vigun07g120500.2.v1.2 pep primary_assembly:ASM411807v1:7:22277494:22288316:-1 gene:Vigun07g120500.v1.2 transcript:Vigun07g120500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIVDRRDHLTAEAPISDECTFKLAPDISFIDPSDRELIERIIVLGFYYREMERFSAKCRNLSWIRSANTNPLEKKEKGSVYRRALANGIVEVLSVYRSAVLHIEQKLLSETMPILATVTQGLNKFFCLLPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQTCMQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRQEDRDVGSNSSHPDISEKLARMSIDDASLSDWHLGFHISLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFKSGDAVHPQMPKSLQKIHGLAGRFHFHREPAINMGIGDDLLPQTEADKIEAMLLNLKESSEFHKRSFECAVDSVQTIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDLFQCFLEESRQLMRLPPRQSTAEADLTVPFQLAALKTIGEEDKYFSKVSLRMPSFGITVKPSLIDLPKPTSSVDGGIGASLSNALSEVSVDGWDGIALGYSVDWPLHLFFTQEVLSKYLRIFQYLLRLKRTQMELEKLWASVMHQYHSDFAKRRNDQEKSSETQQKQQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNILQSHIQESHDFTELVGFHQEYLSALISQTFLDIGSVSRILDSIMKLCLQFCWNIENQDNSSNTSELEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNLNSFFESTARGVLNVVRPRPTLPALNQQ >Vigun09g008400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:652047:652973:-1 gene:Vigun09g008400.v1.2 transcript:Vigun09g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMECGHYVGHGYVSNWGMQCENMRRRRGTSNAPPSTVVSGYTNYMNRTESEMKRQWRVGKDNSYETEMNGSLRKIPWLTKKKYGTVTHNC >Vigun11g062032.1.v1.2 pep primary_assembly:ASM411807v1:11:13904518:13905758:-1 gene:Vigun11g062032.v1.2 transcript:Vigun11g062032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVCYLKAKKNEWMMLLIKCTISSFTGQTLQYTRKEENQNADV >Vigun09g213000.1.v1.2 pep primary_assembly:ASM411807v1:9:38717929:38722792:-1 gene:Vigun09g213000.v1.2 transcript:Vigun09g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKEMSNSVINGNDSLTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRVMDHPNVITLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKHYSNANQRMPIIYVKLYMYQIFRGLAYIHTVPKVCHRDLKPQNILVDPLTHQVKLCDFGSAKVLVQGEANISYICSRFYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQPLFPGENAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQELTGASPELVNKLIPDHVKRQIGLQFVHLGGS >Vigun02g004600.2.v1.2 pep primary_assembly:ASM411807v1:2:2276867:2280495:1 gene:Vigun02g004600.v1.2 transcript:Vigun02g004600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALKTLHIPLPGRHPPSPCLSNGSSTPGYSDHNSPTTQAHHDLLDSFPSLKMKSSERKVSLAMSSLQGYYGLKGSSTPSEDGYFRNSPTSDRPLSHHRKSKSLVNVILEEIMEKSNETSAALTREVDSSKWNYNLVQRRQKSVADFTRIPELLLREDNSSPGVLPSRTGMGLALRQKAASRTNLASDSDQNGSSPVAMGMVDTTLNEGSSRVRKSSSTSCLHDQDNSGSSSIWSTSMQALSTAAIGKPIFDGLPKPLTGRKNKAALD >Vigun02g004600.1.v1.2 pep primary_assembly:ASM411807v1:2:2276311:2280495:1 gene:Vigun02g004600.v1.2 transcript:Vigun02g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKWKENCNINSNNNGNTNGYFYDRFVCDDYDGEVPSAERSPAKPSSLSSSPPRLGYIEHHVSKYDTLAGVAIKYGVEVADVKRLNSLVTDHQMFALKTLHIPLPGRHPPSPCLSNGSSTPGYSDHNSPTTQAHHDLLDSFPSLKMKSSERKVSLAMSSLQGYYGLKGSSTPSEDGYFRNSPTSDRPLSHHRKSKSLVNVILEEIMEKSNETSAALTREVDSSKWNYNLVQRRQKSVADFTRIPELLLREDNSSPGVLPSRTGMGLALRQKAASRTNLASDSDQNGSSPVAMGMVDTTLNEGSSRVRKSSSTSCLHDQDNSGSSSIWSTSMQALSTAAIGKPIFDGLPKPLTGRKNKAALD >Vigun10g173600.1.v1.2 pep primary_assembly:ASM411807v1:10:39224033:39227963:1 gene:Vigun10g173600.v1.2 transcript:Vigun10g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMMASTAISLVFTALFLFSSVNSFYLPGVAPRDFQIGDPLSVKVNKLSSTKTQLPYDYYFLNYCKPLKILNSAENLGEVLRGDRIENSVYAFQMRKEQSCTVVCHYKLDPESAKSFKEKIDDEYRVNMILDNLPVAVRRQRRDGSQSTTYEHGFRVGFKGNYQGSKEEKYFINNHLSFRVMYHKDPETGSARIVGFEVTPNSINHEYKEWNDKDPQVTTCNKDTKNLMQGSTVPQEVDTGKDVVFTYDVSFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPVNSNLLCVYVGTGVQIFAMTVVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPTIEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSIFYFFTKLEISKLVSGILYFGYMIIVSYAFFVLTGTIGFYACLWFVRKIYSSVKID >Vigun11g022300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2697098:2701909:-1 gene:Vigun11g022300.v1.2 transcript:Vigun11g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQVLFDKLDSHQVLDYFRGRKLDEKLLKNLRRKLVSINAVVDDAELKQFTDAYVKAWLDEVRDVLFDAEDLLEEIDYEFSKSASQLEYQSSASKVCSFESKLKEVLDDLESLLNQKDDLGLKKAASVRSELGNKVLERKNESSSLVADDVFYGRDDDKEIILNWLTSDSNNHNQLSILSIVGMGGMGKTTLAQHVYNDPKIKEARFHEKAWVCISDEFDVLKASKAIIGAFTKSKDDSETIEMVHGKMKEKLTGKKFLLVLDDVWNEDRNQWKALQTPLRCGAKGSKILVTTRSNKVASIIQSGYIHQLKQLQEDHSWHVFAKHAFQDENSMLNSELEEIGMKIVEKCKGLPLALETLGCLLHTKSSVSEWEGVLKSETWDLAIEDSKIIPALLLSYYHLPSNLKRCFAFCALLPKDRMFDKESIILLWMAQNFLQCSQWSKSPEEVGEQYFNDLLSRSFFQQLDNEMSYETHFIKLNKKLFIMHDLLNDLAKYVSGEMCFRLGVDRAEKVPKTTRHFSSVFNLLKYSECRSLCDAKRLRTFLSINGNCGISIQELISNFKFLRVLSLERCFNIEEVPNTIGNLIHLRSLNLSGTKIERLPDSTCSLCNLQLLKLNHCFNLQELPSTLHELTNLRRFEFEGTPLRKAPLLLGKLKNLQVWMGEFEVGKSNESSIQQLGELNLHGRLSISHLENIVNPSDALAVNLKDKTHLIRLCLEWDFKQNIDDSRKEREILENLQPSRHLEHLSIVGYGGTGFPRWLSDNSLSNVVSLSLSNCKYCQSLPSLGILTFLKHLTIDGLHHIVKINADFYGNSSSSFASLKMLDISNMKELEEWQCMTGAFPNLQSLYVRYCPKLKGHLPEQLSCLKELTIESCEQLVASIPRAAIEIHNVNMQPSSFDMTGPLLSDIPLELLRIVFCPGMNIPINHCYHSLKELEIIHGCDSLTTFPLDLFPKLCNLELDVCRNLQMISQGHPHNNLKSLKIEKCSQFQSFPNEGLFAPELKILFIEGLEKLKSMPKRMSTLLPSLNHVNINDCPGVELSEGCLPSNLKEMNLWNCSKLVASLKGAWGTNPSLECLHIAEMDVEFFPGEGLLPLSLSTLGIYCCPNLKKLDYMGLCHLSSLQKLDLSNCPSLQCLPEEGLPKSILELRISHCPLLKQCCRKQEGEDWEKIAHIKTIWVDDELQVEN >Vigun10g098200.1.v1.2 pep primary_assembly:ASM411807v1:10:28764289:28765291:1 gene:Vigun10g098200.v1.2 transcript:Vigun10g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKCACVVALLCLVVATAPTAHAITCGQVTTSLASCINFLKNGGQVPPSCCAGVKSLNSAAKTTPDRQAVCNCLKSAAASISGFNANNAAILPGKCGVSIPYKISTSTNCATIKF >Vigun07g205000.1.v1.2 pep primary_assembly:ASM411807v1:7:32649764:32659265:-1 gene:Vigun07g205000.v1.2 transcript:Vigun07g205000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRSRRVELPPAQENIEKLEKVVNEGNYYGAQQMYKSISARYVSAQRYSEALDILHSGTCIQLSNGQVTCGAELALSFVEILGKGKVPYDEEILERLKKIYKSFPRVPLPQHLWDVDDMQQLSESIGSAKTRVEGCSSFLKAAIRWSAENGAGINGSPELHIMLAEYIFSESPEVDMAKVTYHYVKGNNPKKFATTLVSFLGKCYPGEDDLAIARAVLRYLSVGNLRDASILMEEIKTQVESAEATFPKSELMQFINYLLRTLERDALPLFNMLRVNFRPSIEREPAFNEMLDDTAERFFGVQRKNPMGMFGDIFKLMGAE >Vigun08g222900.1.v1.2 pep primary_assembly:ASM411807v1:8:38063270:38068541:-1 gene:Vigun08g222900.v1.2 transcript:Vigun08g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKLDDLPAVALCRERCVFLDEAIHQRYALAAAHIAYINSLKAIGHSLHSFVHHDTQRPPPPSPSPPSSSPSPPHKTHQLSKHASPTHSDSGGSHLHFHSDSDDLASLHHSPQSSPIRLPAFYDNSHPPDHHLHINYMKNKAAPSIVYEQRPLSPETGYLGESSSSFYPYPSSYPSYPYTYDPYSAPPFAGSSQPQPQRTPNSKPPPPPPSPPRASTWDFLNFFDNSDDKYYPQPHYTPTPSRDSRELREEEGIPDLEDEDYHHEVVKQVHGDQKLVDPKPEPPNPHHNHLHDEDDDEEEDEDEVEYEVHVVDKKVVDADNDANNKPKDHAAFRSRRPGSRNPLEVAKEIQDLFQRASDSGALIAKILEVGKLPHNRKHAAYQASSKMLQVVSPSLSLISSQPSTSKDAESASNVDLDADLTTGARNLSSTLHKLLLWEKKLYNEVKAEEKMRVIHDRKCRKLKRMDDRGADFHKVDATRTLIRSLSTKIRMAIQVVDKISMTINKIRDEELWPQLKELIQGLTRMWKSMLECHHNQCEAIREARILGSVGSRIKSGDSHLQATKQLEQELINWTFQFSGWISAQKGYVRALNNWLLKCLLYEPEETPDGIVPFSPGRIGAPQIFVICNQWSQALDRISEKEVVDSMHVFTMSVLQIWEQDKLEMHRQMNQNKDLERKVRNIDRDDQKLQKQIQALERKVLLLSGEGKGLSVSENIIYQSDKSSSLQASLQRIFEAMERFTDESVRAYEELLQRSEEESAARNRE >Vigun09g040000.1.v1.2 pep primary_assembly:ASM411807v1:9:3570316:3571762:-1 gene:Vigun09g040000.v1.2 transcript:Vigun09g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVSSTALSSLPNREIRQKVNLAERTGTWLGLSRRTTTNATKGVSAVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLKWFAQAELVHGRWAMLAVFGILVPELLERLGYVENFNWYDAGAREYFTDNTTLFVVQMGLMGWVEGRRWADMLNPGCVDIEPKVPHITNPTPDVGYPGGLWFDPMDWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAIYVGADPVENLMAHLADPGHCNVFSAFTR >Vigun03g151300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15923012:15924013:-1 gene:Vigun03g151300.v1.2 transcript:Vigun03g151300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKKLDWIKNFIQKNRRISSGVEGIGEIKIQKRIYLIQVIIYMGFPKLLIEGKPKKIKELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQTGTKGVQVQIAGRIDGKEIARVEWIREVRVPLQTIRAKIKYCYTVRTIYGVLGIKVWIFSK >Vigun06g091900.1.v1.2 pep primary_assembly:ASM411807v1:6:22390057:22393202:-1 gene:Vigun06g091900.v1.2 transcript:Vigun06g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRWRWCAGGGFLRQRVSAIGSVSNGYREKLHPFDPPLLGLRGGVRRSGGGGGGGVGMTPLSFLLNQIKRGVSTSTGGGGVSNGNEREDFISFSEAKKLMRLVNVESLKMKLGMEGKEVICYSELLEACESMGIARSPEEAAAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTAENDPIREEFKILQEKKEEIDVLAHKHVRRILWSGLGFGLVTVSLFFRLTFWEFSWDVMEPIAFFTTTTGLVIGYAYFLFTSRNPTYQDLMKRLFLSRQKKLFKSHNFDIQRFKELQCKCKTPLHASTNLKNRIGVELDLEDALHSRD >Vigun06g091900.2.v1.2 pep primary_assembly:ASM411807v1:6:22390056:22393202:-1 gene:Vigun06g091900.v1.2 transcript:Vigun06g091900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRWRWCAGGGFLRQRVSAIGSVSNGYREKLHPFDPPLLGLRGGVRRSGGGGGGGVGMTPLSFLLNQIKRGVSTSTGGGGVSNGNEREDFISFSEAKKLMRLVNVESLKMKLGMEGKEVICYSELLEACESMGIARSPEEAAAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTAENDPIREEFKILQEKKEEIDVLAHKHVRRILWSGLGFGLVTVSLFFRLTFWEFSWDVMEPIAFFTTTTGLVIGYAYFLFTSRNPTYQDLMKRLFLSRQKKLFKSHNFDIQRFKELQCKCKTPLHASTNLKNRIGVELDLEDALHSRD >Vigun07g123500.1.v1.2 pep primary_assembly:ASM411807v1:7:22840677:22844764:1 gene:Vigun07g123500.v1.2 transcript:Vigun07g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNLGGTPSTSSPSAKRTRDPEEEVYLDNLRSHKRYLSEIMASSLNGLTVGDSLPDNLMESPARSESMFSFRDDISLQYSPMSEDSDDLRFCETPVHSCSSQPDSLPSSPVSSPHRYQKSQNVLSSAPSSSSNASHGSTVSAATCPQPRQRGSDSSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPSSASLDLPFGSNQDPAPNIDPEERSCSYMKSLVDEREY >Vigun05g028900.3.v1.2 pep primary_assembly:ASM411807v1:5:2307145:2311997:1 gene:Vigun05g028900.v1.2 transcript:Vigun05g028900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQVPHFESMYKYMKFILVVLMHGSVNENTLLVSVLIQKRGRPGPVGREKECHWLRYRSDCMIDQQMRGDERERQISDPNCKRIYASIFRRGEPHAIPSSLQGTASMTSTASLDNSLSDMYRSPPRPLPYDSDPRFFRSQRDGLVSRREKGSSHLNEESEPLRGDVDADSESLNLGGKWNDTSEDGSKEYRKSSVRLSSAKLTTGAGVVYSSSEVEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSDNCPVCGKEMVFDETT >Vigun05g028900.4.v1.2 pep primary_assembly:ASM411807v1:5:2305044:2311997:1 gene:Vigun05g028900.v1.2 transcript:Vigun05g028900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCCFNVDDFEDYMNPNSPVYRNCMCLSCFLQNFLTVYASIFRRGEPHAIPSSLQGTASMTSTASLDNSLSDMYRSPPRPLPYDSDPRFFRSQRDGLVSRREKGSSHLNEESEPLRGDVDADSESLNLGGKWNDTSEDGSKEYRKSSVRLSSAKLTTGAGVVYSSSEVEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSDNCPVCGKEMVFDETT >Vigun05g028900.2.v1.2 pep primary_assembly:ASM411807v1:5:2305007:2311997:1 gene:Vigun05g028900.v1.2 transcript:Vigun05g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCCFNVDDFEDYMNPNSPVYRNCMCLSCFLQNFLTVYASIFRRGEPHAIPSSLQGTASMTSTASLDNSLSDMYRSPPRPLPYDSDPRFFRSQRDGLVSRREKGSSHLNEESEPLRGDVDADSESLNLGGKWNDTSEDGSKEYRKSSVRLSSAKLTTGAGVVYSSSEVEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSDNCPVCGKEMVFDETT >Vigun05g028900.1.v1.2 pep primary_assembly:ASM411807v1:5:2305023:2311997:1 gene:Vigun05g028900.v1.2 transcript:Vigun05g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCCFNVDDFEDYMNPNSPVYRNCMCLSCFLQNFLTVYASIFRRGEPHAIPSSLQGTASMTSTASLDNSLSDMYRSPPRPLPYDSDPRFFRSQRDGLVSRREKGSSHLNEESEPLRGDVDADSESLNLGGKWNDTSEDGSKEYRKSSVRLSSAKLTTGAGVVYSSSEVEDVCPTCLEEYTEENPKIVTKCSHHFHLGCIYEWMERSDNCPVCGKEMVFDETT >Vigun11g207100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40336455:40337888:1 gene:Vigun11g207100.v1.2 transcript:Vigun11g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEREREVNGNGDGERTLIFTYGTLKRGFSNHPLLQDLIRTGDASFVGTYRSAGKYPLVCGPYRVPFLLNIPGSGRSVHGELYSVSTRGLARMDELEGTSRAHYERLPIKVVPAREGEAEEAEEAEGETVAEVTCGEAYYAHRNYAMEMWKKNGKRGLRCYSQKETIGYVKRKDRPQNLTFLDHIRFFLAAN >Vigun11g067264.1.v1.2 pep primary_assembly:ASM411807v1:11:18717649:18718852:1 gene:Vigun11g067264.v1.2 transcript:Vigun11g067264.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTCNLMKLQTHEMREMGSFLRYVARKQIWKRIMFGVPETLSAEELIDLRAPPKSEIPVINQIKLPEADGFIFGFPARFGLMASQFKAFLDSTQFLRKAQMLAP >VigunL010900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:7614:8752:-1 gene:VigunL010900.v1.2 transcript:VigunL010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYRGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPVIILQHRIG >Vigun08g024200.1.v1.2 pep primary_assembly:ASM411807v1:8:2078741:2083535:1 gene:Vigun08g024200.v1.2 transcript:Vigun08g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAFNSSSCFLQMEDVEDKPPPETSVIMAEQKPLVETPEENSEVMMAGTGFPTEFSDLEVSLNASNDKTIAQVEHLPTGDSASTPEATIHGTEETHQEEDIFNRQKEGVSAVTASSDVDNNLMNPSTSSSETKELQSGSIELITDSPQTMVADVAEDNSASTSKGSVHETEQSNQGAMPANSEAGKLEDMSNRQQDGSDADNQMEPSTSSFKEKELQNVPQTVDTDVVEEAVGSHSVAAGNDDVDNSSEKIELLNDHKELKIELPQTEVEAVEPPAASDEMASSSEQVELQNDEEEQKTDPSQDNVTDVALRGDDSPSASDDSEMKLLQDDQKEQKTDPSQTSITDTTVGATDSPSFVRQMTVRRTLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKHVEHEHEKAQQLIPECRKKAEAAEKEKMRVLQELDTTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIADDSSVAAKAQLEVARARYSSAVTELTSIKEELDALRGEYASLVVEKEEAVKKSEEAIASSKQVEKTVEDLTIELIATKEALESAHAAHMEAEEQRIGTVMAKDQDCLLWETELNQAEEEVQSLNQKILSAKDLKSKLQAASALLLNLKAELSLYMESKPDPEGGDEGVSKEGQDKPAKKTHSEIQEAVASAKKELEEVKLNIEKATTEVNYLKVAVASLKTELENEKLSFASMRQREGMASIAAASLEGELENTVSETALVQMKEKEAREKIAVLPKKLQEAVEENNEAKLLAQEAREELQRVEEEAEQLKASASTLQSKLLAAEMEIEAARASERLAIAAGKALQESESPKSSKTEVDSSNWVTLSVEEYYNLSKQAHDAEKEANMRVATANSRIEAAKESELRTLEKLNGVTREMAARRESLKMAMEKAEKAREGKLGVEQELRKWRADHEQRRKAGSAVGKELVKHQSSKSSDGILERHKTHRLPMPLRYFSSSKSYVHSNGGAAVSSPDSKSGKKKKKSFFPWVLMFFGKKKSHTTHSG >Vigun08g024200.3.v1.2 pep primary_assembly:ASM411807v1:8:2078606:2083535:1 gene:Vigun08g024200.v1.2 transcript:Vigun08g024200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKPPPETSVIMAEQKPLVETPEENSEVMMAGTGFPTEFSDLEVSLNASNDKTIAQVEHLPTGDSASTPEATIHGTEETHQEEDIFNRQKEGVSAVTASSDVDNNLMNPSTSSSETKELQSGSIELITDSPQTMVADVAEDNSASTSKGSVHETEQSNQGAMPANSEAGKLEDMSNRQQDGSDADNQMEPSTSSFKEKELQNVPQTVDTDVVEEAVGSHSVAAGNDDVDNSSEKIELLNDHKELKIELPQTEVEAVEPPAASDEMASSSEQVELQNDEEEQKTDPSQDNVTDVALRGDDSPSASDDSEMKLLQDDQKEQKTDPSQTSITDTTVGATDSPSFVRQMTVRRTLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKHVEHEHEKAQQLIPECRKKAEAAEKEKMRVLQELDTTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIADDSSVAAKAQLEVARARYSSAVTELTSIKEELDALRGEYASLVVEKEEAVKKSEEAIASSKQVEKTVEDLTIELIATKEALESAHAAHMEAEEQRIGTVMAKDQDCLLWETELNQAEEEVQSLNQKILSAKDLKSKLQAASALLLNLKAELSLYMESKPDPEGGDEGVSKEGQDKPAKKTHSEIQEAVASAKKELEEVKLNIEKATTEVNYLKVAVASLKTELENEKLSFASMRQREGMASIAAASLEGELENTVSETALVQMKEKEAREKIAVLPKKLQEAVEENNEAKLLAQEAREELQRVEEEAEQLKASASTLQSKLLAAEMEIEAARASERLAIAAGKALQESESPKSSKTEVDSSNWVTLSVEEYYNLSKQAHDAEKEANMRVATANSRIEAAKESELRTLEKLNGVTREMAARRESLKMAMEKAEKAREGKLGVEQELRKWRADHEQRRKAGSAVGKELVKHQSSKSSDGILERHKTHRLPMPLRYFSSSKSYVHSNGGAAVSSPDSKSGKKKKKSFFPWVLMFFGKKKSHTTHSG >Vigun08g024200.2.v1.2 pep primary_assembly:ASM411807v1:8:2078580:2083535:1 gene:Vigun08g024200.v1.2 transcript:Vigun08g024200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKPPPETSVIMAEQKPLVETPEENSEVMMAGTGFPTEFSDLEVSLNASNDKTIAQVEHLPTGDSASTPEATIHGTEETHQEEDIFNRQKEGVSAVTASSDVDNNLMNPSTSSSETKELQSGSIELITDSPQTMVADVAEDNSASTSKGSVHETEQSNQGAMPANSEAGKLEDMSNRQQDGSDADNQMEPSTSSFKEKELQNVPQTVDTDVVEEAVGSHSVAAGNDDVDNSSEKIELLNDHKELKIELPQTEVEAVEPPAASDEMASSSEQVELQNDEEEQKTDPSQDNVTDVALRGDDSPSASDDSEMKLLQDDQKEQKTDPSQTSITDTTVGATDSPSFVRQMTVRRTLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKHVEHEHEKAQQLIPECRKKAEAAEKEKMRVLQELDTTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIADDSSVAAKAQLEVARARYSSAVTELTSIKEELDALRGEYASLVVEKEEAVKKSEEAIASSKQVEKTVEDLTIELIATKEALESAHAAHMEAEEQRIGTVMAKDQDCLLWETELNQAEEEVQSLNQKILSAKDLKSKLQAASALLLNLKAELSLYMESKPDPEGGDEGVSKEGQDKPAKKTHSEIQEAVASAKKELEEVKLNIEKATTEVNYLKVAVASLKTELENEKLSFASMRQREGMASIAAASLEGELENTVSETALVQMKEKEAREKIAVLPKKLQEAVEENNEAKLLAQEAREELQRVEEEAEQLKASASTLQSKLLAAEMEIEAARASERLAIAAGKALQESESPKSSKTEVDSSNWVTLSVEEYYNLSKQAHDAEKEANMRVATANSRIEAAKESELRTLEKLNGVTREMAARRESLKMAMEKAEKAREGKLGVEQELRKWRADHEQRRKAGSAVGKELVKHQSSKSSDGILERHKTHRLPMPLRYFSSSKSYVHSNGGAAVSSPDSKSGKKKKKSFFPWVLMFFGKKKSHTTHSG >Vigun08g024200.4.v1.2 pep primary_assembly:ASM411807v1:8:2079608:2083535:1 gene:Vigun08g024200.v1.2 transcript:Vigun08g024200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDKPPPETSVIMAEQKPLVETPEENSEVMMAGTGFPTEFSDLEVSLNASNDKTIAQVEHLPTGDSASTPEATIHGTEETHQEEDIFNRQKEGVSAVTASSDVDNNLMNPSTSSSETKELQSGSIELITDSPQTMVADVAEDNSASTSKGSVHETEQSNQGAMPANSEAGKLEDMSNRQQDGSDADNQMEPSTSSFKEKELQNVPQTVDTDVVEEAVGSHSVAAGNDDVDNSSEKIELLNDHKELKIELPQTEVEAVEPPAASDEMASSSEQVELQNDEEEQKTDPSQDNVTDVALRGDDSPSASDDSEMKLLQDDQKEQKTDPSQTSITDTTVGATDSPSFVRQMTVRRTLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKHVEHEHEKAQQLIPECRKKAEAAEKEKMRVLQELDTTKRLIEELKLNLERAQTEERQARQDSELAKLRVEEMEQGIADDSSVAAKAQLEVARARYSSAVTELTSIKEELDALRGEYASLVVEKEEAVKKSEEAIASSKQVEKTVEDLTIELIATKEALESAHAAHMEAEEQRIGTVMAKDQDCLLWETELNQAEEEVQSLNQKILSAKDLKSKLQAASALLLNLKAELSLYMESKPDPEGGDEGVSKEGQDKPAKKTHSEIQEAVASAKKELEEVKLNIEKATTEVNYLKVAVASLKTELENEKLSFASMRQREGMASIAAASLEGELENTVSETALVQMKEKEAREKIAVLPKKLQEAVEENNEAKLLAQEAREELQRVEEEAEQLKASASTLQSKLLAAEMEIEAARASERLAIAAGKALQESESPKSSKTEVDSSNWVTLSVEEYYNLSKQAHDAEKEANMRVATANSRIEAAKESELRTLEKLNGVTREMAARRESLKMAMEKAEKAREGKLGVEQELRKWRADHEQRRKAGSAVGKELVKHQSSKSSDGILERHKTHRLPMPLRYFSSSKSYVHSNGGAAVSSPDSKSGKKKKKSFFPWVLMFFGKKKSHTTHSG >Vigun09g151200.4.v1.2 pep primary_assembly:ASM411807v1:9:31551679:31559657:-1 gene:Vigun09g151200.v1.2 transcript:Vigun09g151200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTHQRLYDFAKTALINVFAHPYATVCDLYCADSGSDNWVDAQIGHYIGIDASSSGIEQMREAWESHRKSYTAQFFQVDPSVENIETHLEEKISVADVVCCLQHLQLCFETEEKARKLLHNVSSLLKPGGYFLGITPDSSTIWAKYQRNVEAYHNKSSSMKPNIVPNCIRTENYMITFEVEEEKFPLFGKRYQLKFANDISAETNCLVHFPSFIRLAREAGLEYVEIQNLTEFYDDNRAQLAGLLTHYVPNLLDPRGRLLPRSYDALDSQILYFPFQCRSLYHFYISKA >Vigun09g151200.1.v1.2 pep primary_assembly:ASM411807v1:9:31551679:31559657:-1 gene:Vigun09g151200.v1.2 transcript:Vigun09g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTHQRLYDFAKTALINVFAHPYATVCDLYCADSGSDNWVDAQIGHYIGIDASSSGIEQMREAWESHRKSYTAQFFQVDPSVENIETHLEEKISVADVVCCLQHLQLCFETEEKARKLLHNVSSLLKPGGYFLGITPDSSTIWAKYQRNVEAYHNKSSSMKPNIVPNCIRTENYMITFEVEEEKFPLFGKRYQLKFANDISAETNCLVHFPSFIRLAREAGLEYVEIQNLTEFYDDNRAQLAGLLTHYVPNLLDPRGRLLPRSYDALGLYTTFIFQKPDPEIAPPVATPLLQDASYNHDEGTNCRDDEIINGHVEPAIGLGKISEQKGILGPGPADLRFPEAL >Vigun09g151200.5.v1.2 pep primary_assembly:ASM411807v1:9:31551679:31559657:-1 gene:Vigun09g151200.v1.2 transcript:Vigun09g151200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAWESHRKSYTAQFFQVDPSVENIETHLEEKISVADVVCCLQHLQLCFETEEKARKLLHNVSSLLKPGGYFLGITPDSSTIWAKYQRNVEAYHNKSSSMKPNIVPNCIRTENYMITFEVEEEKFPLFGKRYQLKFANDISAETNCLVHFPSFIRLAREAGLEYVEIQNLTEFYDDNRAQLAGLLTHYVPNLLDPRGRLLPRSYDALGLYTTFIFQKPDPEIAPPVATPLLQDASYNHDEGTNCRDDEIINGHVEPAIGLGKISEQKGILGPGPADLRFPEAL >Vigun05g206800.1.v1.2 pep primary_assembly:ASM411807v1:5:39596754:39601081:-1 gene:Vigun05g206800.v1.2 transcript:Vigun05g206800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPRRTQSKLEQFNLFPHLITFYPINVPHVEGLPHGAETTSDVSFSLAPLIMTAMDRTEKDIELLLNQLKPQIVFFDFTHWLPNLTRPMGIKSFQYSIVGPATVSYVRSPPRMSQNMTERDLMQPPFGYPVSSIKLHAHEAKFLASIGNWEFGSGVLFYDRLNTGLILSDAIGFKGCREIEGPYVDYLAKQFGKSVLLSGPIIPEPPNTVLEEKWSAWLGRFEDGSVVFCALGSEWKLPHDQFLELVLGLELTGLPFLAVVKVPIGFETIEAALPEGFKERVEGRGIVDSGWIQQQLILQHPSVGCFITHCGAGSLTEALVNKCQMVLLPQLGGDHVINARMMGRNLKVGVEVEKGEEDGLFTKESVSIAVKIVMDEENDVGKEVRANHAKLRNFWEAGFINLNMQPGSFKLQTEKNGCIFIAHRNVSMVCHGTFNTLSPPLQQISKKRT >Vigun01g115200.1.v1.2 pep primary_assembly:ASM411807v1:1:29181065:29191676:-1 gene:Vigun01g115200.v1.2 transcript:Vigun01g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNGSGCQSGSCYKDEDATSNQPIKSQETDSTIPTNVCIKCKINVAVSGYGGIDDGRFCADCFKTNLFGKFRFAVTSNAMITPTDKVLVAFSGGPSSRVALQFVHDMQERAQRNFDASRDRSLPVFGVGVVFIDESAVLSIPSSEMEEAVEFIREVVSCLVPPRKELHIVPIETIYSSDSGDGKDRLIKVMNTVTDPTGREDMLLCMRMLALQKVASECGYNRIVLGSCISRIACHVISATVKGQGYSLPADIQYVDARLEVPVVLPLRDCFAQEINMLCHLDGLKTVKLSTGPCSSINSLVSSFVSLLQEENPSRESTIVRTAGKLTPFQFNRIPEIIDGNVPLATRRRQKRYNLKSNESVSSESFCTLCNSPLNKSEIVDRSNMDSHRSSDNFYNTCCSSCQFQILPSDSTSIEQFYMDLPQSMVGRAKQSSDGNLSLLREQIQDFLLSDGEDEK >Vigun03g387800.1.v1.2 pep primary_assembly:ASM411807v1:3:59390225:59395798:1 gene:Vigun03g387800.v1.2 transcript:Vigun03g387800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDPIQNGTTNPLLSENPDPAEPPSTAADDPTPEVAAEKRWPGWPGLCVFRLIVPVLKVGSIIGRKGELIKKTCEETKARIRVLDGAVGTPDRIVLISGKEEPETPLSPAMDAVIRIFKRISGLSEIDGENKAAGLAFCSVRLLVASTQAINLIGKQGSLIKSIQENTSASVRVLSGDEVPFYAAADERIVELQGEAMKVLKALEAVVGHLRKFLVDPSVLPLFEKSYNATISQERQVDSTWVDKQSLHSASQPSIANDIPLSSKRDSLFADRESHLDSLLPPSTMSVYGQDSSLSSLRSSALGRTSAPPIVTTVIQTMQIPLSYAEDIIGIQGTNIDYIRRTSGAILTVQESRVPDEIIVEIKGTSSQVQTAQQLIQEVISNHKEPVGSNYSRLDTGLRSSYPQLGSSSYSSSSLSSQPYSGYGSSGLGGYSTFRL >Vigun01g062300.1.v1.2 pep primary_assembly:ASM411807v1:1:13748382:13750523:1 gene:Vigun01g062300.v1.2 transcript:Vigun01g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHGSWVKLDQRGEGQGARSSHAIAVVAQKVYAFGGEFVPRVPVDNSLHVFDLETLTWSVADASGDIPPPRVGVTMAAVGETIYVFGGRDGEHNELNELYSFDTRANKWALISRGDIGPPNRSYHSMTADDRHVYVFGGCGVHGRLNDLWAFDAVEGKWVEFPSPGESCKGRGGPGLAVALGKIWVVYGFSGEEMDDVHCFNLAHKTWTQVETSGLKPTARSVFCTLGNGKHIIVYGGEIDPSDQGHLGAGQFSGEVYALDTDKLAWRKLEDKANSDSHPGPRGWCAFAGAWRGGRQGLLVYGGNSPSNDRLDDIFFLALSQELVN >Vigun05g264500.1.v1.2 pep primary_assembly:ASM411807v1:5:45660641:45669744:1 gene:Vigun05g264500.v1.2 transcript:Vigun05g264500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGTFSEVVDINQTIMTLEPEKPTSGGLYVPGKDRVVYVPPERKSRLGLDALASAKRGGSQYDGGFKLPKERMMTSIAASAEDEDKSELSVVEESEQGGIVSRPRHSGRRYRDTTSETSYAESSVSEDHYGDTNKVRSTEQAVSNVPPSPSGYDREDHRSERRHSRDDSRSGSGRVRHQNYYERKGGSYSERDSHSRYDRDHGRKRSRYEDSRRTPGRSDWDDGRWEWNETPRRDNVSSSRRHQPSPSPMFLGASPDARLVSPWLGGNTPHSSFNSSSPWDHVSPSPIPIRASGSSAKSSLSRHNGRSHQLNFRSETSNSFQDEVADKSELGEEHKYEITESMRLEMEYDADRAWYDREEGSTLFEGDSSSLFLGDEASFQKKEADLAKRLVRRDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEEHKVILLVHDTKPPFLDGRVVFTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEDGEIDFKEEAKFSQHLKKGEAVSDFAKSKTIAEQRQYLPIFSVREELLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTIGGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILWSKTPVEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQMMSSSNKVVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDSGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLSSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLTGDLLGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCWPDTDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGMGCTPEYVVYHELILTTKEYMQCATAVEPQWLAELGPMFFSVKESDTSLLEHKKRQKQEKTAMEEEMENLKKVQAEFERERKQKEKEKTAKHQQQISMPGLRKGSSTFLRPKKFGL >Vigun05g149200.1.v1.2 pep primary_assembly:ASM411807v1:5:21684629:21709162:-1 gene:Vigun05g149200.v1.2 transcript:Vigun05g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSDSDRKRRHFSSLSPTPAAATAKKLPFLPVSEDKKLDIVVLQYQNQKLTQKLETQKLEYVALDNRFAQLKERQKSYDPTLSLVKKSWEQMVNDLELCSEHVRESSGKSNYRFVSIMEDGGPSTVQDVFLSRLMQTGATECATAYNFANQTEEHQEIITEKAKSILKNMATAVNNVWVLTDGLHTALLKKLPVDVFCRQKSSSDLNVKVKNLRLEFSELHSKHKSLSSEFQIQRDIDAKNKAELERLKGELASAVAELEESNHKLATLKAERDAAKGAVLPVLNVGSTHIPSDKIRDKQKDLQDMESTLKELLDRSSTRLIELKSLHEERIRILQQLCDLQNTLKNFKCITSSHAYQLVRDQIEKSKSDVLEYQALYEKLQVEKDNLTWREREWYIKNDLADIFQRSVAVSDFRVAHLHSEIQKKIEEGNMIENKLKEQAREPGRKQIIAEFKSLVSSFPEEMGSMQSQLRKYKESASDIHSLRADVQSVSSILDRKVKECDAFSVRAASQLAEIKRLHGVFQALRESEQDLKLMLEMFRRESIDSRDVMDARETEYRAWAHVQSLKSSLDEHNLELRVKKANEAEARSQQKLAAGEAEIADMRQKLEYSKRKMCDLSDILKSKNKQNENYLSEIESIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQKQDSLLMEKRVIEQDIQQANTSLNLYDIKASRIEDQLKFCSDQLQRLAEDKLQCSVTSENTQRRLSDIRRQTQQIRDTVVELQSKIGSNRVTRMELQVELEKERFAKKRTEEDLEVARRKFSRLKEQNEGSSITEKLQQELEEYREIIKCSICHDRAKEIYHYTSSNAIWRAHEGWYLYRALINSNHAKFPLKFTAKLPPTCLASLVLLGQILVSGICTYVRF >Vigun05g149200.4.v1.2 pep primary_assembly:ASM411807v1:5:21684598:21709162:-1 gene:Vigun05g149200.v1.2 transcript:Vigun05g149200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSDSDRKRRHFSSLSPTPAAATAKKLPFLPVSEDKKLDIVVLQYQNQKLTQKLETQKLEYVALDNRFAQLKERQKSYDPTLSLVKKSWEQMVNDLELCSEHVRESSGKSNYRFVSIMEDGGPSTVQDVFLSRLMQTGATECATAYNFANQTEEHQEIITEKAKSILKNMATAVNNVWVLTDGLHTALLKKLPVDVFCRQKSSSDLNVKVKNLRLEFSELHSKHKSLSSEFQIQRDIDAKNKAELERLKGELASAVAELEESNHKLATLKAERDAAKGAVLPVLNVGSTHIPSDKIRDKQKDLQDMESTLKELLDRSSTRLIELKSLHEERIRILQQLCDLQNTLKNFKCITSSHAYQLVRDQIEKSKSDVLEYQALYEKLQVEKDNLTWREREWYIKNDLADIFQRSVAVSDFRVAHLHSEIQKKIEEGNMIENKLKEQAREPGRKQIIAEFKSLVSSFPEEMGSMQSQLRKYKESASDIHSLRADVQSVSSILDRKVKECDAFSVRAASQLAEIKRLHGVFQALRESEQDLKLMLEMFRRESIDSRDVMDARETEYRAWAHVQSLKSSLDEHNLELRVKKANEAEARSQQKLAAGEAEIADMRQKLEYSKRKMCDLSDILKSKNKQNENYLSEIESIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQKQDSLLMEKRVIEQDIQQANTSLNLYDIKASRIEDQLKFCSDQLQRLAEDKLQCSVTSENTQRRLSDIRRQTQQIRDTVVELQSKIGSNRVTRMELQVELEKERFAKKRTEEDLEVARRKFSRLKEQNEGSSITEKLQQELEEYREIIKCSICHDRAKEVVITKCYHLFCYSCIQKVGGSRHRKCPLCGTSFGANDVKSVYL >Vigun05g149200.3.v1.2 pep primary_assembly:ASM411807v1:5:21684484:21709162:-1 gene:Vigun05g149200.v1.2 transcript:Vigun05g149200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSDSDRKRRHFSSLSPTPAAATAKKLPFLPVSEDKKLDIVVLQYQNQKLTQKLETQKLEYVALDNRFAQLKERQKSYDPTLSLVKKSWEQMVNDLELCSEHVRESSGKSNYRFVSIMEDGGPSTVQDVFLSRLMQTGATECATAYNFANQTEEHQEIITEKAKSILKNMATAVNNVWVLTDGLHTALLKKLPVDVFCRQKSSSDLNVKVKNLRLEFSELHSKHKSLSSEFQIQRDIDAKNKAELERLKGELASAVAELEESNHKLATLKAERDAAKGAVLPVLNVGSTHIPSDKIRDKQKDLQDMESTLKELLDRSSTRLIELKSLHEERIRILQQLCDLQNTLKNFKCITSSHAYQLVRDQIEKSKSDVLEYQALYEKLQVEKDNLTWREREWYIKNDLADIFQRSVAVSDFRVAHLHSEIQKKIEEGNMIENKLKEQAREPGRKQIIAEFKSLVSSFPEEMGSMQSQLRKYKESASDIHSLRADVQSVSSILDRKVKECDAFSVRAASQLAEIKRLHGVFQALRESEQDLKLMLEMFRRESIDSRDVMDARETEYRAWAHVQSLKSSLDEHNLELRVKKANEAEARSQQKLAAGEAEIADMRQKLEYSKRKMCDLSDILKSKNKQNENYLSEIESIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQKQDSLLMEKRVIEQDIQQANTSLNLYDIKASRIEDQLKFCSDQLQRLAEDKLQCSVTSENTQRRLSDIRRQTQQIRDTVVELQSKIGSNRVTRMELQVELEKERFAKKRTEEDLEVARRKFSRLKEQNEGSSITEKLQQELEEYREIIKCSICHDRAKEVVITKCYHLFCYSCIQKVGGSRHRKCPLCGTSFGANDVKSVYL >Vigun05g149200.2.v1.2 pep primary_assembly:ASM411807v1:5:21684484:21709162:-1 gene:Vigun05g149200.v1.2 transcript:Vigun05g149200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSDSDRKRRHFSSLSPTPAAATAKKLPFLPVSEDKKLDIVVLQYQNQKLTQKLETQKLEYVALDNRFAQLKERQKSYDPTLSLVKKSWEQMVNDLELCSEHVRESSGKSNYRFVSIMEDGGPSTVQDVFLSRLMQTGATECATAYNFANQTEEHQEIITEKAKSILKNMATAVNNVWVLTDGLHTALLKKLPVDVFCRQKSSSDLNVKVKNLRLEFSELHSKHKSLSSEFQIQRDIDAKNKAELERLKGELASAVAELEESNHKLATLKAERDAAKGAVLPVLNVGSTHIPSDKIRDKQKDLQDMESTLKELLDRSSTRLIELKSLHEERIRILQQLCDLQNTLKNFKCITSSHAYQLVRDQIEKSKSDVLEYQALYEKLQVEKDNLTWREREWYIKNDLADIFQRSVAVSDFRVAHLHSEIQKKIEEGNMIENKLKEQAREPGRKQIIAEFKSLVSSFPEEMGSMQSQLRKYKESASDIHSLRADVQSVSSILDRKVKECDAFSVRAASQLAEIKRLHGVFQALRESEQDLKLMLEMFRRESIDSRDVMDARETEYRAWAHVQSLKSSLDEHNLELRVKKANEAEARSQQKLAAGEAEIADMRQKLEYSKRKMCDLSDILKSKNKQNENYLSEIESIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQKQDSLLMEKRVIEQDIQQANTSLNLYDIKASRIEDQLKFCSDQLQRLAEDKLQCSVTSENTQRRLSDIRRQTQQIRDTVVELQSKIGSNRVTRMELQVELEKERFAKKRTEEDLEVARRKFSRLKEQNEGSSITEKLQQELEEYREIIKCSICHDRAKEVVITKCYHLFCYSCIQKVGGSRHRKCPLCGTSFGANDVKSVYL >Vigun03g072500.2.v1.2 pep primary_assembly:ASM411807v1:3:5973174:5975060:-1 gene:Vigun03g072500.v1.2 transcript:Vigun03g072500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVCEFLYELYAKTIVLVTYMLIQLILIIRYLKSNTPAISTTQYLSFIEEKNPAIRCTTRLKAEHIDCRVCLSEFQEGEKVRNLNCRHTFHKDCLDQWLQQYCATCPLCRHKVLPDHVVANYNLLQNQLDAAESTSDIHQKGDVFEKPSLFSFSTDDSV >Vigun08g078000.1.v1.2 pep primary_assembly:ASM411807v1:8:15433849:15436272:1 gene:Vigun08g078000.v1.2 transcript:Vigun08g078000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLLLLALVSPTASLSRRTNATTIYEVLSDYGLPMGLFPKGVKDFGLAHDGSFWVHLDEACNAKFENELHYERNVSGHLSCGMIDALTGLQAQDLFLWLEVMSIRVDVPTTGLIYFDVGAAFKRFPLSLFETPPECVAVRSNQHHAPSQGQSQSGRDVL >Vigun03g067100.2.v1.2 pep primary_assembly:ASM411807v1:3:5531370:5535333:1 gene:Vigun03g067100.v1.2 transcript:Vigun03g067100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDLPRKEVNVLKGHEGAVLAARFNGDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQIFYWDVATGRVIRKFRGHDGEVNGVKFNEYSSVVVSAGYDQLLRAWDCRSHSTEPIQIIDTFADSVMSICLTKTEIIGGSVDGTVRTFDIRIGREISDNLGQPVNCVSMSNDGNCILAGCLDSTLRLLDRSTGELLQEYKGHTNKSYKLDCCLTNTDAHVTGGSEDGYIYFWDLVDASVVSRFRAHTSVVTSVSYHPKENCMVTSSVDGTIRVWKT >Vigun03g067100.1.v1.2 pep primary_assembly:ASM411807v1:3:5531370:5535515:1 gene:Vigun03g067100.v1.2 transcript:Vigun03g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDLPRKEVNVLKGHEGAVLAARFNGDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQIFYWDVATGRVIRKFRGHDGEVNGVKFNEYSSVVVSAGYDQLLRAWDCRSHSTEPIQIIDTFADSVMSICLTKTEIIGGSVDGTVRTFDIRIGREISDNLGQPVNCVSMSNDGNCILAGCLDSTLRLLDRSTGELLQEYKGHTNKSYKLDCCLTNTDAHVTGGSEDGYIYFWDLVDASVVSRFRAHTSVVTSVSYHPKENCMVTSSVDGTIRVWKT >Vigun06g003400.1.v1.2 pep primary_assembly:ASM411807v1:6:1556622:1557405:-1 gene:Vigun06g003400.v1.2 transcript:Vigun06g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIDYSGFINSSLSNLSEINYFKDMYMLTHTNMMNMIMVNCLNVAYHPSSASPPPVGYPTQDIPQYPHNSSMVETKSKGDGFWKGCCAAICCCCVLDACF >Vigun02g070200.2.v1.2 pep primary_assembly:ASM411807v1:2:22086215:22090742:1 gene:Vigun02g070200.v1.2 transcript:Vigun02g070200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAASNPSLQVSVSFGRFENDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKQKENDSFRSEEQDEIDLGGNTDVQLDKSDTQGFDEGVTQETSSVAEIHRTHVNDSEEEVAVSRDYESSPVEMENKEVESISHGSFQMDEPEDVCVKQEESPNIEDEDVKEISHVVYKDTAKASEVEAKDVKLVQPKESKVTSVNKGSHAAKTKKKPMLHTSKASQISTPKSSKPASTPTKTVTPASSTKKGSSPSLSRRQITSSGESRKFANKPLHMSLSLAPSNPEPAPQATMRRSLIMEKMGDKDIVKRAFKTFQNSFNQPKASGEDKSLIKKQVPSRGTVSKVPTSTALRKENGRPTKVESADKSGNAVRTSFGPKSDIRAEKGKESSRKIEEKSNAREVERMRLQSKVKEEKKEAEIKRLKHNVKGTSTPAFYRGQKVVKSRPEKGDAKSKS >Vigun02g070200.5.v1.2 pep primary_assembly:ASM411807v1:2:22085934:22090742:1 gene:Vigun02g070200.v1.2 transcript:Vigun02g070200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAASNPSLQVSVSFGRFENDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKQKENDSFRSEEQDEIDLGGNTDVQLDKSDTQGFDEGVTQETSSVAEIHRTHVNDSEEEVAVSRDYESSPVEMENKEVESISHGSFQMDEPEDVCVKQEESPNIEDEDVKEISHVVYKDTAKASEVEAKDVKLVQPKESKVTSVNKGSHAAKTKKKPMLHTSKASQISTPKSSKPASTPTKTVTPASSTKKGSSPSLSRRQITSSGESRKFANKPLHMSLSLAPSNPEPAPQATMRRSLIMEKMGDKDIVKRAFKTFQNSFNQPKASGEDKSLIKKQVPSRGTVSKVPTSTALRKENGRPTKVESADKSGNAVRTSFGPKSDIRAEKGKESSRKIEEKSNAREVERMRLQSKVKEEKKEAEIKRLKHNVKGTSTPAFYRGQKVVKSRPEKGDAKSKS >Vigun02g070200.1.v1.2 pep primary_assembly:ASM411807v1:2:22085907:22090749:1 gene:Vigun02g070200.v1.2 transcript:Vigun02g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAASNPSLQVSVSFGRFENDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKQKENDSFRSEEQDEIDLGGNTDVQLDKSDTQGFDEGVTQETSSVAEIHRTHVNDSEEEVAVSRDYESSPVEMENKEVESISHGSFQMDEPEDVCVKQEESPNIEDEDVKEISHVVYKDTAKASEVEAKDVKLVQPKESKVTSVNKGSHAAKTKKKPMLHTSKASQISTPKSSKPASTPTKTVTPASSTKKGSSPSLSRRQITSSGESRKFANKPLHMSLSLAPSNPEPAPQATMRRSLIMEKMGDKDIVKRAFKTFQNSFNQPKASGEDKSLIKKQVPSRGTVSKVPTSTALRKENGRPTKVESADKSGNAVRTSFGPKSDIRAEKGKESSRKIEEKSNAREVERMRLQSKVKEEKKEAEIKRLKHNVKGTSTPAFYRGQKVVKSRPEKGDAKSKS >Vigun02g070200.4.v1.2 pep primary_assembly:ASM411807v1:2:22085907:22090742:1 gene:Vigun02g070200.v1.2 transcript:Vigun02g070200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAASNPSLQVSVSFGRFENDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKQKENDSFRSEEQDEIDLGGNTDVQLDKSDTQGFDEGVTQETSSVAEIHRTHVNDSEEEVAVSRDYESSPVEMENKEVESISHGSFQMDEPEDVCVKQEESPNIEDEDVKEISHVVYKDTAKASEVEAKDVKLVQPKESKVTSVNKGSHAAKTKKKPMLHTSKASQISTPKSSKPASTPTKTVTPASSTKKGSSPSLSRRQITSSGESRKFANKPLHMSLSLAPSNPEPAPQATMRRSLIMEKMGDKDIVKRAFKTFQNSFNQPKASGEDKSLIKKQVPSRGTVSKVPTSTALRKENGRPTKVESADKSGNAVRTSFGPKSDIRAEKGKESSRKIEEKSNAREVERMRLQSKVKEEKKEAEIKRLKHNVKGTSTPAFYRGQKVVKSRPEKGDAKSKS >Vigun05g008600.1.v1.2 pep primary_assembly:ASM411807v1:5:723827:727232:-1 gene:Vigun05g008600.v1.2 transcript:Vigun05g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPCFIFLLLLISTNVPTYLCEDDAQYSNCSNAFTCGNTNLDLRYPFFGENRDSYCGEERLACERGVPKITLNDAKYRILDWHNTTQTVTIARDDYWDGICVSEYKNNTFDNTHFRYDHQYNDLANLTLFYCPSNSPPSISTNPLGPLICGAADRYVYYTSQSELSYTGSCTVVVIPIFETNASLVIDNKISEALQNGFELNWVGNYDDCNKCSDSGGECGVDGDGGFQCFCKDGPHSATCPGKGKVSLRKWILIVVSTTVSMTFIFLCYYLIKRKPKKIFLCSYLIKRKSKKSIKSLLRENFGNESVTLEPLQFNLATLKAATNNFSDENRIGKGGFGEVYKGILLDGQHIAVKRLSKNSSQGAKEFKNEVSVIAKLQHRNLVTFVGFCLEEQNKILIYEYVPNKSLDYFLFDSRRSKLLSWMERYDIIRGIARGIFYLHELSRFKVIHRDLKPSNVLLDENMVPKISDFGLARIVEINQDQVGTNRIVGTFGYMPPEYAMLGQFSEKSDVFSFGVMVLEIITGKKNLSSHEPHLVAKGLLSYVWRQWRDQTWLDILDPNIKETYSEIEVTKCIQIGLLCVQQNPEIRPTMTNVLSYLSSYFNDLPSPQEPAFFLNERTNPATFARESNINQPINNSTPLSINKISVSEFLPR >Vigun03g148800.1.v1.2 pep primary_assembly:ASM411807v1:3:15266888:15270395:1 gene:Vigun03g148800.v1.2 transcript:Vigun03g148800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASHGEHHHHHHHDHDHDHNHHHEHDHDGEKGEGKSWVGKDGRVYHSHDGLAPHSHEPIYSPGFFSRRAPPLNRNFNERAFTVGIGGPVGTGKTALMLALCEYLRDNYSLAAVTNDIFTKEDGEFLVKHKALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAIGADLAVMQRDALRMRDGGPFVFAQVKHKVGVDEIVNHVLQAWEATTGNKRH >Vigun08g091900.1.v1.2 pep primary_assembly:ASM411807v1:8:21437556:21441376:1 gene:Vigun08g091900.v1.2 transcript:Vigun08g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKRSIWRLNTITDFLWAIINFIGVFFTTMFSMEKSDAYRKGAVGKKWDGGAPGGGPGGGGGGGPYGGGPGGPRGGLDNVRGLDSIRGRDHNSLPACGSCCG >Vigun07g031100.3.v1.2 pep primary_assembly:ASM411807v1:7:2862444:2872686:-1 gene:Vigun07g031100.v1.2 transcript:Vigun07g031100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMFFSGIRNALADDELGLEILHISLPTTLALAADPIASLIDTAFIGHIGPVELAAVGVSIAIFNQISKITIIPLVSVTTSLVAEEDAAEQRHQSEKEILLEESVVNESVKVDIEGQEDKPGNTFSRNVDKRDALGRGKAYIPSASSGIVIGGVLGVLQTLFLIFTAKPMLNYMGVDSNSPMFKPAQQYLTLRSFGAPAVIISMAIQGVFRGIRDTKTPLYATVMGDATNIILDPLLMFVVRLGVSGAAIAHIFSQYLISILLLWSLMKQVVLLPPTMKDFQFGKILKNGFLLLVKVGAATFCVTLSASLAARKGSTTMAAFQICLQIWMATSLLADGLAVAGQAIIASEFAKRDYKKVIESASRVLQLGLILGLVLSVLLISLLPFGSKLFTNDRSVLQLISIGIPYVAATQPINALAFVFDGINYGASDFTYSAYSMIMVAVVSICSLYVLSSSFGFTGIWIALSIYMALRIFAGFWRIGTASGPWSFLTENSNLCQ >Vigun07g031100.1.v1.2 pep primary_assembly:ASM411807v1:7:2862444:2867193:-1 gene:Vigun07g031100.v1.2 transcript:Vigun07g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENFHWLSVDQLLNTRRKVVNLCKRIPLFERKSFSRIMSLLMFFSGIRNALADDELGLEILHISLPTTLALAADPIASLIDTAFIGHIGPVELAAVGVSIAIFNQISKITIIPLVSVTTSLVAEEDAAEQRHQSEKEILLEESVVNESVKVDIEGQEDKPGNTFSRNVDKRDALGRGKAYIPSASSGIVIGGVLGVLQTLFLIFTAKPMLNYMGVDSNSPMFKPAQQYLTLRSFGAPAVIISMAIQGVFRGIRDTKTPLYATVMGDATNIILDPLLMFVVRLGVSGAAIAHIFSQYLISILLLWSLMKQVVLLPPTMKDFQFGKILKNGFLLLVKVGAATFCVTLSASLAARKGSTTMAAFQICLQIWMATSLLADGLAVAGQAIIASEFAKRDYKKVIESASRVLQLGLILGLVLSVLLISLLPFGSKLFTNDRSVLQLISIGIPYVAATQPINALAFVFDGINYGASDFTYSAYSMIMVAVVSICSLYVLSSSFGFTGIWIALSIYMALRIFAGFWRIGTASGPWSFLTENSNLCQ >Vigun07g031100.2.v1.2 pep primary_assembly:ASM411807v1:7:2862444:2872686:-1 gene:Vigun07g031100.v1.2 transcript:Vigun07g031100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMFFSGIRNALADDELGLEILHISLPTTLALAADPIASLIDTAFIGHIGPVELAAVGVSIAIFNQISKITIIPLVSVTTSLVAEEDAAEQRHQSEKEILLEESVVNESVKVDIEGQEDKPGNTFSRNVDKRDALGRGKAYIPSASSGIVIGGVLGVLQTLFLIFTAKPMLNYMGVDSNSPMFKPAQQYLTLRSFGAPAVIISMAIQGVFRGIRDTKTPLYATVMGDATNIILDPLLMFVVRLGVSGAAIAHIFSQYLISILLLWSLMKQVVLLPPTMKDFQFGKILKNGFLLLVKVGAATFCVTLSASLAARKGSTTMAAFQICLQIWMATSLLADGLAVAGQAIIASEFAKRDYKKVIESASRVLQLGLILGLVLSVLLISLLPFGSKLFTNDRSVLQLISIGIPYVAATQPINALAFVFDGINYGASDFTYSAYSMIMVAVVSICSLYVLSSSFGFTGIWIALSIYMALRIFAGFWRIGTASGPWSFLTENSNLCQ >Vigun08g077800.1.v1.2 pep primary_assembly:ASM411807v1:8:15332750:15347859:1 gene:Vigun08g077800.v1.2 transcript:Vigun08g077800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSSWFFTTLLCFLDVTFAGDPYVFYDWTVSYTSASPLGVKQKVIGINGQFPGPTLNITTNWNVVVNVKNNLDEPLLLTWNGIQHRKNSWQDGVSGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQKAAGGYGGVIINNRPVIPVPFGWPDGDITLFLSDWYTKSHKDLRKDVENGVDLGVPDGVLINGLGPYRYDDNLVQNGISYQIINVEPGKTYRLRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASTDYYIVASPRFVNSSWARATGVAILHYSNSLGPASGPLPSLLGEDDPSFSINQARSIRWNVSAGAARPNPQGSFKYGDITVTDVYVILNRPPELINGKWRTTLNGISYLPPSTPLKLAQQFKILGVYKIDFPNRLMNRPPKVDTSLINGTYRGFMEIIFQNNDTAVQSYHLDGYAFFVVGMDFGVWTENSRSTYNKWDGVARSTTQVFPGAWTAILVSLDNAGIWNLRAENLNSWYLGQEVYVHVVNPEKDTDESNLPDNAIFCGLLSSLQRDQSHKFQFSRGSPFCSNSLILLFMLFLALLESLFGMSGVEL >Vigun11g097600.1.v1.2 pep primary_assembly:ASM411807v1:11:28652620:28654402:-1 gene:Vigun11g097600.v1.2 transcript:Vigun11g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGGDNVSQLLRAQTHVWNHIFKFINSLSLKCATELGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISRLMRILTHSGFFSEHHGTPNESEVLYVLTDASKLLLKDHPSSMTSLLQLIVDPVYINPWYQLSTWFTNEDPTPFYTENGMTFWDSARCEPKFNHFFNDAMASDSKWVSGVVIEKCEGVLNASKSLVDVGGGTGTMAKVIAESFPHLKCTVLDLPHVVADLQGTENIEYVGGDMFQAIPSADSIMLKWIMHNWMDEECVKILKNCKESIASKGRVIIIDMVMENKKEDHELTEMQLFLDMQMMMLFSAKERNEKEWANLIFSAGFSNYNITPIGLFSIIEVYP >Vigun05g226900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41954000:41954690:1 gene:Vigun05g226900.v1.2 transcript:Vigun05g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTRPSISQSLHKYLKPGALARIRDSRISARSHRLNTILRHQISLRRPPSPLPLPPADQTQAAAADFPFFVARIYGPRYPQRKKLMAAKSVLFLPVSPTTDSPDLVDALAADFLVAN >Vigun01g008700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1034980:1036404:-1 gene:Vigun01g008700.v1.2 transcript:Vigun01g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHPFSHNHYTFTHAIKACSSHHAHSKALEIHARLIKSGHHVDIFIQNSLLHSYLARNDVVSASNLFRSIPSPDVVSWTSLISGLAKSGFEAQALQHFSAMSSEPRIVEPNAATLVGALCACSSLRTLRLGKSVHAYGVRMIANTNIVFDNAVLDLYAKSGSLKYAKNLFDKMSVRDVISWTTLLMGYARGGHCEEAFGVFKRMVHSAEAEPNEATVVTVLSACASIGALSLGEWVHSYIDSRHDLVVDGNIGNALLNMYVKCGDMQVGFRVFDMIEHKDVISWGTVISGLAMNGYGKRTLEVFSRMLVEGVEPDDVTFIGVLSACSHAGLVDEGVMFFKAMKDFYGIVPQMRHYGCVVDMYGRAGLFEEAEAFLKSMPVEAEWSIWGALLRACKIHGNEKMSEWIKGQLRGKSVGVGTLALLSNMYASSERWDDANKIRKSIRGTGVKKVAGCSWVELEVYNNRSDSNVCAA >Vigun03g292300.1.v1.2 pep primary_assembly:ASM411807v1:3:47710676:47716236:-1 gene:Vigun03g292300.v1.2 transcript:Vigun03g292300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRPQPPSIMGGPTSYAPTNIGPNSSGAVMMAPATARFPFGVVPPPQQQPPPASEPFPVSPSAAYDGSSSPMKPCSLAKKKRGRPRKYSPDGNIALGLAPTHTSPPPPSSAAASGGGIGGDSAVTASADASAKKHRGRPPGSGKKQLDALGAGGVGFTPHVILVESGEDITAKIMSFSQQGPRTVCILSAIGAICNVTLRQPALSGGTATYEGRFEIISLSGAMQQSESNGERSRTCTLNVTLAGSDGRVLGGGVAGTLTAASTVQVIVGSFIVDGKKSNSNVLKSGPSSAPTPQMLTFGAPISPTSPTSQGPSTESSEEHDHTPFCRGPGSGPGPGLYNNTSQPVHNMPMYHHPLWAGQTHQ >Vigun08g009400.1.v1.2 pep primary_assembly:ASM411807v1:8:831279:832005:-1 gene:Vigun08g009400.v1.2 transcript:Vigun08g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGVSMMMMMFMLVLIGSDCNGSFESKNVSNEANNCTAKCVFECFPLIGLPTFYLLCVKGCCKKCCRKHYKGSNCNSICVLSNNSIHINKTDTRDLKASVVNSGLQESEKKKRFP >Vigun09g234400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40498363:40498629:-1 gene:Vigun09g234400.v1.2 transcript:Vigun09g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKSLCISLVTIVFVLSSQFCSVHSRVLRSKVLTEVAEDCVELKGSGFSLWRGFFAVSSNNSTIRVSKRSLSFRLASGPSKKGPGH >Vigun04g048100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4145585:4147610:1 gene:Vigun04g048100.v1.2 transcript:Vigun04g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGELWTQMGSLMATVVFMYTIFEKFFPPSFRDRVQAYAQKVTNHFNPYIQITFPEFSGERLKKSEAYNAIQTYLSANSSQRAKRLKAEVVKDSQSPLVLSMDDNEEITDEFQGVKVWWSANKVSSNPQRYNPFSYYGSSDEKRFYKLTFHRSYRDIITMSYIKHVLDEGKEIEMTNRQLKLYTNNPSSGWYGYKQSKWSHIVFEHPASFETLAMDQKRKQDILKDLAKFKKGKEYYSKIGKAWKRGYLLYGPPGTGKSTMIAAIAKFMNYDVYDLELTAVKDNTELRKLLIETPSKSITVIEDIDCSLDLTGQRKKKKEEVESDEPKDPMRKNEEESSKSSKVTLSGLLNFIDGIWSACGGERIIIFTTNYVEKLDPALIRRGRMDKHIEMSYCCFDAFKVLAKNYLDVESHLLFGRIERLLKETEMSPADVAENLMPKSEDEDVETCLENLIMAIEKNKEEKAKKKAEEEAHKKLEEEKAKEESIQNEERDKEKTRENGVKENGFC >Vigun05g094600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9179701:9180870:1 gene:Vigun05g094600.v1.2 transcript:Vigun05g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEILSRLPVKSLVRFMCVSKYFQSLISDPLFVTMHLQNSPKSTNFLLRNLHEDKYCCFVVPSPVTSLFENSKPLFTVDIAHGSKDQVLGSHNGLVCLAKWNEKGPMFHLWNPATQGVFGNLILPEVKELEMLGFGYDKSSHIYKVVAIVVHRNSKDYPLQTLIGNLNDESGWREIQDFPADSTIVVGDGICLNNTINWLGIPNYKDCYDEVDGYDDDEDENVISFDEVVIASLDLETETYTQMLLPRELNGVFVRDFYSLGEVLHCNEAPLIGVLGGCLSLYLHNRDTKYLSIWQMKEFGNRRSWTLLLNISLQDLGVNLYSLLLPICIIENDRNIVIIHSSFQDCIKQTIIYNTKDKTVTSRNMTENLLWIYPFHYVESLVSLLHR >Vigun09g182100.1.v1.2 pep primary_assembly:ASM411807v1:9:35567658:35568281:-1 gene:Vigun09g182100.v1.2 transcript:Vigun09g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNALDKSDPS >Vigun07g018300.1.v1.2 pep primary_assembly:ASM411807v1:7:1506296:1507455:1 gene:Vigun07g018300.v1.2 transcript:Vigun07g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHAFIIQNLIKHLTFNMKGMKNKFHGKLRLIPTISNLEPGLVHNDNKLAEQEIGLKESENDNHYVEYKMGFQVTPIKGSKDKELVDENLVVSSPSKIMQQIAGNNECPSLADFDEIHPPGGSQAVILYTTSMRGIRKTFQDCNTVRFLLRSFKIIYHERDVSMHLEYREELWKILGGKVIPPRLFIKGRYIGGADEVVGLHEMGWLGKLLEGTPIDFVDASCNGCACTRFVICYSCNGSCKVFTTYGDNKNECFIRCLECNENGLVKCPICY >Vigun10g127700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33567292:33568945:-1 gene:Vigun10g127700.v1.2 transcript:Vigun10g127700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNERKPEEDRISQLSDDVLCHILLFLTTKEAIATSLLSTRWRFLWRMLPFLDIHCSKPIIKSHESVNKFLALRITQNITRFHLKCNSHSCCSHYVEQWVSAVIARIVEHVDVSLCMCHRSVLNFATLFTCATLVTLKLEGLFNLSIPSGVHLPKLKSLHLHIHAFTSFSSIRNLISGSPALELFHLRQNWYLSHFNELKIVRNSRVIQLFQRKWFYNLVIQSDRDYDFVPDYLESGSRSNIVKAKVYMTVFGGIKDFYANQFVCETLKELCNVEFLSLGDFRVETYPFSLDLPLLKNLVELRVSLENRNSLYMELPGKCPKLEVLEVHIMEDRCGANQRCKYRIRGGVREQDLSIVPFSPEITLLES >Vigun07g221600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34425990:34427003:-1 gene:Vigun07g221600.v1.2 transcript:Vigun07g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNKDETSSPNASSSPIFISADSLCSILTHHTLISHFRSTLPQASTILQTTIRQHYPLSSSSSLLLMPCWSSSPSLPYVGVKLVTHFPQNSALNLPGVQGSYVLFSSTTGQTLASMDSTELTLYRTSCVSGLASKYLARQDSQVLVMVGAGALAPHLIKAHLSAVPSLRKVFIWNRTVEKATTLAIKLKESGEFAEVSFEGCGCLDEVVGLGDIVSCATNSEKPLVKGERMKAGAHLDLVGSFKPSMMECDDEAMRRGRVFVDNEAAIVEAGELVGAFERGTITKDEIGGCLVELVRGDKIGRTTSEQITVFKSVGSAVVDLITAQLVYETYIRS >Vigun03g227000.1.v1.2 pep primary_assembly:ASM411807v1:3:37749858:37757469:-1 gene:Vigun03g227000.v1.2 transcript:Vigun03g227000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRALLARFIQKSSTVSPFQFNQQRALHSRNKKALEFIAKGWNALKEVDRVIDYCELNDKRLIPLLRTAKENFELALEADNTNTHARYWLSRLHMKYHVPGANKAVGAALLVEAAEMGDPDAQYALGCHLRVENDYVHSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIACGSLLLKGVEIPESLIKFSKKRGAAAHRRGKSKGSVAIEPVEMAREKFEIAAKAGCNLGFKWLARLEEEERRALTEGH >Vigun03g221650.1.v1.2 pep primary_assembly:ASM411807v1:3:36816563:36817152:1 gene:Vigun03g221650.v1.2 transcript:Vigun03g221650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSQARNPEETDQGKHKRNVRRTEYVCFFHLSGKATNNEISEFRYLTKQRK >Vigun10g021200.1.v1.2 pep primary_assembly:ASM411807v1:10:2526401:2555660:-1 gene:Vigun10g021200.v1.2 transcript:Vigun10g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKATSRESPSQKALHVLDTDLRTHWSSATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLRYKPETFQKVRPRCEAPRRDMTYPTNYTPCRYVRISCLRGNPIAIFFVQLIGVSVPGLEAEFQPVVNYLLPHILSHKQDPHDTHLQLLQDVTSRLLVFLPQLETDLSSFPDNPESNLRFLAMLAGPLYPILHVVNERTNSKPPGNISDLDVSKSSQLSPILTVSSNFEPRRSRSASPLILSPYRAIVFRPDAIFVLLRKAYKYSELGSVCRMASKIMHKLINPDTDHDISNPQDEVTSLLEDKLNLELSNPFTLVDYSNLFGDEFRMPDEQWDFSYLNVLDMGVVEEGILHVLYSCASQPVLCSKLAERSSDFWAALPLIQALLPALRPWVSNSFDVVDDTFSQWKQPIVQQALSQIVATATSATYRTLVHACAGYLSSYSPSHARAACVLIDLCSGVLAPWMTQVIAKVDLALELLEDLLGIIQDAHNSLVRARAALKYIVLALSGHMDDILGKYKEVKHKILFLVEMLDPFLDPAIAVSKSKIAFGDLASSFPEKQEQNCTIALNIIRTAVRKPAVLPSLESEWRNGSVAPSVLLSILEPHMFLPPDVDLCKSVLRPTDHETASISPLSSGINGGGTFSKSIGQDDSDGKTDVSETTGKSDSAEDRSLLFSPPELQSMTLTNFSNVPKQNSSISSIGDMNLESKNVAEKHSTDHFLTNILDAGLGFEYFNLQADYFQLLNYNDSELRASEFRRLALDLHSQSDVTNESHDAAIDALLLAAECHVNPYFISSIGASSKLTDLLNINECKTVQPRDKVKVKRTYGKNKPNLETIAHIERKRDKLVFQILLEAAELDRKYNLRVSGGEIGPYSAEGFDEKIIKLSPLDVQYADALTLVRQNQALLCNFLIQRLQGDQISMHEILLQSLVYFLHTGTKLYCPPEHVIDIIIKYAEDLNRLLASFHHQLKESNLYLTQERMKGVERRWLLLQRLVIAASGVGEEQSFGNNIQNNYLCGNLIPSSAWMQRISHFSGSLYPLVRFLGWMAISRNAKQYLKDHIFLAFDLSQLTYLLSIFADDLAVVDDVVSKKYEEVKVEDSQVGNSSAKREFERGNQCDEERSFCAIYPELWKFFPNMKRQFKSFGEAILEAVGLQLRSVSSTLVPDVLCWFSELCLWPFSFVSSIGSDQLKGYNAKNARAIILYILEAIIAEHMEAMVPEAAKLVQVLVSLSSSTYCDVSFLDSVLRLLKPILSYSLSKISHDENLLDGDSCLNFEELCFNTLLMKIKQKSEVGHNSEDNGYNTALAIFILASIFPDLSIRYRREFLQSLLNLANFTAFAPTTSFFDYLSAFQCVMDNCKLLLVNNLKEFGVVPLQLPPYPHANGDGLSEDNLKPNSWFLSDVCLIVYESDVHNVDSNNSIADVGHCDLPSDYLEGFCKDIEGLISKLNPAIERCWNLHHQISRKLSIASAECFVFSKCLTSVSQKFHKAEDDQNSSTKSSDMFTLHWRIGLQGLCELAVMLQENSCWEVSCLMLDCLLGVPYSFCLDGVVGMICSTIKNVSCCAPKMSWRLQSDKWLTSLIARGIYNSQESEVPLIDLFCTLLVHAEPEQRIIAVKHLGILLGQCTNGERAVMNSKICSDFIPNKLVLSIPDYVLSRLVSSTWDEVVVLASSDLSLHLRVHAMALLSNYIPFAERHHLQSFLVAADGICCLCNAQPSQDGPILQLSLTLIAYACLYSPAEDISLIPQNLWENIETLGSTKHDGKLGDLEKRTCQVLCRLRDEGEEAKEALKEVLSSNSSKQYDPDFANTRESINQVLGNLTAVHSYFDLFSQKIEQDDMELEEAELELDIIRKEHSFPGRMEDSEELNQIPANKKDVSRLRQIKECIRSLEKSKLKEDIVARRQKKLLMRHARQKHLEEAALREAELLQELDRERTVEMEKELERQRLLEIERAKTKELRHNLDMEKERQTQRELQREIEQAESGLRPSRRDFSSSTHSSRPRDRFRERENGRSGNEGSTRAGSGSLQPEIPSTSSSIVPSPTIVLSGSRTFTGQLPTILQSRDRQDDTGSMYEENIDGSKDSGDTSSIGDPELVSAFEGPSAGYGSQRHGSRGSKSRQVGERRDRDSRREGKWERKHS >Vigun10g021200.2.v1.2 pep primary_assembly:ASM411807v1:10:2526401:2555660:-1 gene:Vigun10g021200.v1.2 transcript:Vigun10g021200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKATSRESPSQKALHVLDTDLRTHWSSATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLRYKPETFQKVRPRCEAPRRDMTYPTNYTPCRYVRISCLRGNPIAIFFVQLIGVSVPGLEAEFQPVVNYLLPHILSHKQDPHDTHLQLLQDVTSRLLVFLPQLETDLSSFPDNPESNLRFLAMLAGPLYPILHVVNERTNSKPPGNISDLDVSKSSQLSPILTVSSNFEPRRSRSASPLILSPYRAIVFRPDAIFVLLRKAYKYSELGSVCRMASKIMHKLINPDTDHDISNPQDEVTSLLEDKLNLELSNPFTLVDYSNLFGDEFRMPDEQWDFSYLNVLDMGVVEEGILHVLYSCASQPVLCSKLAERSSDFWAALPLIQALLPALRPWVSNSFDVVDDTFSQWKQPIVQQALSQIVATATSATYRTLVHACAGYLSSYSPSHARAACVLIDLCSGVLAPWMTQVIAKVDLALELLEDLLGIIQDAHNSLVRARAALKYIVLALSGHMDDILGKYKEVKHKILFLVEMLDPFLDPAIAVSKSKIAFGDLASSFPEKQEQNCTIALNIIRTAVRKPAVLPSLESEWRNGSVAPSVLLSILEPHMFLPPDVDLCKSVLRPTDHETASISPLSSGINGGGTFSKSIGQDDSDGKTDVSETTGKSDSAEDRSLLFSPPELQSMTLTNFSNVPKQNSSISSIGDMNLESKNVAEKHSTDHFLTNILDAGLGFEYFNLQADYFQLLNYNDSELRASEFRRLALDLHSQSDVTNESHDAAIDALLLAAECHVNPYFISSIGASSKLTDLLNINECKTVQPRDKVKVKRTYGKNKPNLETIAHIERKRDKLVFQILLEAAELDRKYNLRVSGGEIGPYSAEGFDEKIIKLSPLDVQYADALTLVRQNQALLCNFLIQRLQGDQISMHEILLQSLVYFLHTGTKLYCPPEHVIDIIIKYAEDLNRLLASFHHQLKESNLYLTQERMKGVERRWLLLQRLVIAASGVGEEQSFGNNIQNNYLCGNLIPSSAWMQRISHFSGSLYPLVRFLGWMAISRNAKQYLKDHIFLAFDLSQLTYLLSIFADDLAVVDDVVSKKYEEVKVEDSQVGNSSAKREFERGNQCDEERSFCAIYPELWKFFPNMKRQFKSFGEAILEAVGLQLRSVSSTLVPDVLCWFSELCLWPFSFVSSIGSDQLKGYNAKNARAIILYILEAIIAEHMEAMVPEAAKLVQVLVSLSSSTYCDVSFLDSVLRLLKPILSYSLSKISHDENLLDGDSCLNFEELCFNTLLMKIKQKSEVGHNSEDNGYNTALAIFILASIFPDLSIRYRREFLQSLLNLANFTAFAPTTSFFDYLSAFQCVMDNCKLLLVNNLKEFGVVPLQLPPYPHANGDGLSEDNLKPNSWFLSDVCLIVYESDVHNVDSNNSIADVGHCDLPSDYLEGFCKDIEGLISKLNPAIERCWNLHHQISRKLSIASAECFVFSKCLTSVSQKFHKAEDDQNSSTKSSDMFTLHWRIGLQGLCELAVMLQENSCWEVSCLMLDCLLGVPYSFCLDGVVGMICSTIKNVSCCAPKMSWRLQSDKWLTSLIARGIYNSQESEVPLIDLFCTLLVHAEPEQRIIAVKHLGILLGQCTNGERAVMNSKICSDFIPNKLVLSIPDYVLSRLVSSTWDEVVVLASSDLSLHLRVHAMALLSNYIPFAERHHLQSFLVAADGICCLCNAQPSQDGPILQLSLTLIAYACLYSPAEDISLIPQNLWENIETLGSTKHDGKLGDLEKRTCQVLCRLRDEGEEAKEALKEVLSSNSSKQYDPDFANTRESINQVLGNLTAVHSYFDLFSQKIEQDDMELEEAELELDIIRKEHSFPGRMEDSEELNQIPANKKDVSRLRQIKECIRSLEKSKLKEDIVARRQKKLLMRHARQKHLEEAALREAELLQELDRERTVEMEKELERQRLLEIERAKTKELRHNLDMEKERQTQRELQREIEQAESGLRPSRRDFSSSTHSSRPRDRFRERENGRSGNEGSTRAGSRTFTGQLPTILQSRDRQDDTGSMYEENIDGSKDSGDTSSIGDPELVSAFEGPSAGYGSQRHGSRGSKSRQVGERRDRDSRREGKWERKHS >Vigun10g021200.3.v1.2 pep primary_assembly:ASM411807v1:10:2528948:2555660:-1 gene:Vigun10g021200.v1.2 transcript:Vigun10g021200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKATSRESPSQKALHVLDTDLRTHWSSATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLRYKPETFQKVRPRCEAPRRDMTYPTNYTPCRYVRISCLRGNPIAIFFVQLIGVSVPGLEAEFQPVVNYLLPHILSHKQDPHDTHLQLLQDVTSRLLVFLPQLETDLSSFPDNPESNLRFLAMLAGPLYPILHVVNERTNSKPPGNISDLDVSKSSQLSPILTVSSNFEPRRSRSASPLILSPYRAIVFRPDAIFVLLRKAYKYSELGSVCRMASKIMHKLINPDTDHDISNPQDEVTSLLEDKLNLELSNPFTLVDYSNLFGDEFRMPDEQWDFSYLNVLDMGVVEEGILHVLYSCASQPVLCSKLAERSSDFWAALPLIQALLPALRPWVSNSFDVVDDTFSQWKQPIVQQALSQIVATATSATYRTLVHACAGYLSSYSPSHARAACVLIDLCSGVLAPWMTQVIAKVDLALELLEDLLGIIQDAHNSLVRARAALKYIVLALSGHMDDILGKYKEVKHKILFLVEMLDPFLDPAIAVSKSKIAFGDLASSFPEKQEQNCTIALNIIRTAVRKPAVLPSLESEWRNGSVAPSVLLSILEPHMFLPPDVDLCKSVLRPTDHETASISPLSSGINGGGTFSKSIGQDDSDGKTDVSETTGKSDSAEDRSLLFSPPELQSMTLTNFSNVPKQNSSISSIGDMNLESKNVAEKHSTDHFLTNILDAGLGFEYFNLQADYFQLLNYNDSELRASEFRRLALDLHSQSDVTNESHDAAIDALLLAAECHVNPYFISSIGASSKLTDLLNINECKTVQPRDKVKVKRTYGKNKPNLETIAHIERKRDKLVFQILLEAAELDRKYNLRVSGGEIGPYSAEGFDEKIIKLSPLDVQYADALTLVRQNQALLCNFLIQRLQGDQISMHEILLQSLVYFLHTGTKLYCPPEHVIDIIIKYAEDLNRLLASFHHQLKESNLYLTQERMKGVERRWLLLQRLVIAASGVGEEQSFGNNIQNNYLCGNLIPSSAWMQRISHFSGSLYPLVRFLGWMAISRNAKQYLKDHIFLAFDLSQLTYLLSIFADDLAVVDDVVSKKYEEVKVEDSQVGNSSAKREFERGNQCDEERSFCAIYPELWKFFPNMKRQFKSFGEAILEAVGLQLRSVSSTLVPDVLCWFSELCLWPFSFVSSIGSDQLKGYNAKNARAIILYILEAIIAEHMEAMVPEAAKLVQVLVSLSSSTYCDVSFLDSVLRLLKPILSYSLSKISHDENLLDGDSCLNFEELCFNTLLMKIKQKSEVGHNSEDNGYNTALAIFILASIFPDLSIRYRREFLQSLLNLANFTAFAPTTSFFDYLSAFQCVMDNCKLLLVNNLKEFGVVPLQLPPYPHANGDGLSEDNLKPNSWFLSDVCLIVYESDVHNVDSNNSIADVGHCDLPSDYLEGFCKDIEGLISKLNPAIERCWNLHHQISRKLSIASAECFVFSKCLTSVSQKFHKAEDDQNSSTKSSDMFTLHWRIGLQGLCELAVMLQENSCWEVSCLMLDCLLGVPYSFCLDGVVGMICSTIKNVSCCAPKMSWRLQSDKWLTSLIARGIYNSQESEVPLIDLFCTLLVHAEPEQRIIAVKHLGILLGQCTNGERAVMNSKICSDFIPNKLVLSIPDYVLSRLVSSTWDEVVVLASSDLSLHLRVHAMALLSNYIPFAERHHLQSFLVAADGICCLCNAQPSQDGPILQLSLTLIAYACLYSPAEDISLIPQNLWENIETLGSTKHDGKLGDLEKRTCQVLCRLRDEGEEAKEALKEVLSSNSSKQYDPDFANTRESINQVLGNLTAVHSYFDLFSQKIEQDDMELEEAELELDIIRKEHSFPGRMEDSEELNQIPANKKDVSRLRQIKECIRSLEKSKLKEDIVARRQKKLLMRHARQKHLEEAALREAELLQELDRERTVEMEKELERQRLLEIERAKTKELRHNLDMEKERQTQRELQREIEQAESGLRPSRRDFSSSTHSSKRMCWIST >Vigun09g224000.1.v1.2 pep primary_assembly:ASM411807v1:9:39698899:39701869:-1 gene:Vigun09g224000.v1.2 transcript:Vigun09g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYSKSKFKTVCVFCGSNSGNRQIFSDAAIQLGNELVKRNIGLVYGGGSVGLMGLISQRVYDGGCHVLGIIPKALMPHEISGETVGEVRIVSDMHERKAAMAQEADAFVALPGGYGTMEELLEMITWAQLGIHRKPVGLLNVDGYYNCLLALFDNGVKEGFIKPGARNIVVSATSAKELMMKMEHYTPSHQHVAPHESWQMKQLGDYPDAE >Vigun09g211700.7.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKGKKQQFRIESLAALEKSIGDVLTVLGLMPASYSEVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.5.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACISISTFFTGRYFKHLGFEVCYVRNFTDVDDKIIARAKELGEDPISLSRRYCEEFCRDMITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKGKKQQFRIESLAALEKSIGDVLTVLGLMPASYSEVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.2.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSLLKCYRPFFSTLFPRSAPSTPHAAIFRKKSPPSFCACSSPPFTAEKDCGKSGAQGFTLKKPSPEVWLHNTMSKTKQLFKPKVESKVGMYVCGVTAYDLSHIGHARVYINFDLLYRYFKHLGFEVCYVRNFTDVDDKIIARAKELGEDPISLSRRYCEEFCRDMITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.3.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACISISTFFTGRYFKHLGFEVCYVRNFTDVDDKIIARAKELGEDPISLSRRYCEEFCRDMITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.4.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.6.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKGKKQQFRIESLAALEKSIGDVLTVLGLMPASYSEVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun09g211700.1.v1.2 pep primary_assembly:ASM411807v1:9:38586604:38591468:1 gene:Vigun09g211700.v1.2 transcript:Vigun09g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSLLKCYRPFFSTLFPRSAPSTPHAAIFRKKSPPSFCACSSPPFTAEKDCGKSGAQGFTLKKPSPEVWLHNTMSKTKQLFKPKVESKVGMYVCGVTAYDLSHIGHARVYINFDLLYRYFKHLGFEVCYVRNFTDVDDKIIARAKELGEDPISLSRRYCEEFCRDMITLNCLPPSVEPKVSEHMPQIIDMIEKILNNGYAYVVDGDVYFNVEKFPEYGKLSSRDLEDNRAGERVAVDLRKKNPADFALWKSSKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACNKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVIDIYHPLALRYFLMSAHYRSPVNYSNVQLESASDRIFYIYETLHECKSLLNQHDQTTRKDSIPPDTLNIIDKFQDVFLTSMSDDLHTPVVLAGMSDPLKSINDLLHTRKGKKQQFRIESLAALEKSIGDVLTVLGLMPASYSEVLQQLKEKALKRANLTEEKVLEKIEERAVARIEKDYAKSDAIRKDLAILGITLMDSPDGTTWRPTIPLPLQHLL >Vigun11g124700.1.v1.2 pep primary_assembly:ASM411807v1:11:33206435:33208589:-1 gene:Vigun11g124700.v1.2 transcript:Vigun11g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLATVAGLGGAALGSTASRLTSGFVRAPVSARNPLRQGVAMGKGGRVTCFERNWLRTDYSVIGFGLIGWLAPSSIPAIDGKSLTGLFFESIGAELAHFPTPPALTSQFWLWLVTWHLGLFLCLTFGQIGFKGRTEDYF >Vigun11g217300.1.v1.2 pep primary_assembly:ASM411807v1:11:41084921:41088391:-1 gene:Vigun11g217300.v1.2 transcript:Vigun11g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEGLLALTLVLNVFVVCNGGSSSSFTRKTEKTVDMPLHSDVFSVPPGYNAPQQVHITQGDLVGRGMIVSWVTMDEPGSSEVRYWSEKSGKKKIAEGEIVTYRFFNYSSGFIHHTTIRDLEYNTKYYYEVGLRNTTRQFWFVTPPEIGPDVPYTFGLIGDLGQSFDSNVTLTHYELSPRKGETVLFVGDLSYADNYPNHDNVRWDTWGRFTERSVAYQPWIWTAGNHEIDFAPELGETVPFKPFTNRYHVPYKASESSSPFWYSIKRASAHIIVLSSYSAYGKYTPQYKWLEQELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEAWFVQYKVDVVFAGHVHAYERSERVSNIAYNVVNGVCTPIKDQSAPVYITIGDGGNLEGLATNMTEPQPEYSAFREASFGHAIFDIKNRTHAHYSWNRNHDGVAVEADSVWFFNRYWHPVDDSIAHVSH >Vigun03g041800.5.v1.2 pep primary_assembly:ASM411807v1:3:3280665:3283411:1 gene:Vigun03g041800.v1.2 transcript:Vigun03g041800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSSSSAAASASGDAKIKRVLTHGGKYAQYNVYGNLFEVSSKYVPPIRPIGRGVNGIVCAAVNSETHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMEHENIIGIKDIIRPPKRETFNDVYIVYELMDTDLHHIIHSDQTLGEEHCQLLGSPDDASLEFLRSDNARRYIRQLPQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNINDEPVCPRPFSFDFDQPTCTEEHVKELIWRESVKFNPDPPCQ >Vigun03g041800.2.v1.2 pep primary_assembly:ASM411807v1:3:3280364:3283469:1 gene:Vigun03g041800.v1.2 transcript:Vigun03g041800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSSSSAAASASGDAKIKRVLTHGGKYAQYNVYGNLFEVSSKYVPPIRPIGRGVNGIVCAAVNSETHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMEHENIIGIKDIIRPPKRETFNDVYIVYELMDTDLHHIIHSDQTLGEEHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLEFLRSDNARRYIRQLPQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNINDEPVCPRPFSFDFDQPTCTEEHVKELIWRESVKFNPDPPCQ >Vigun03g041800.4.v1.2 pep primary_assembly:ASM411807v1:3:3280521:3283469:1 gene:Vigun03g041800.v1.2 transcript:Vigun03g041800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSSSSAAASASGDAKIKRVLTHGGKYAQYNVYGNLFEVSSKYVPPIRPIGRGVNGIVCAAVNSETHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMEHENIIGIKDIIRPPKRETFNDVYIVYELMDTDLHHIIHSDQTLGEEHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLEFLRSDNARRYIRQLPQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNINDEPVCPRPFSFDFDQPTCTEEHVKELIWRESVKFNPDPPCQ >Vigun03g041800.1.v1.2 pep primary_assembly:ASM411807v1:3:3280527:3283326:1 gene:Vigun03g041800.v1.2 transcript:Vigun03g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSSSSAAASASGDAKIKRVLTHGGKYAQYNVYGNLFEVSSKYVPPIRPIGRGVNGIVCAAVNSETHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMEHENIIGIKDIIRPPKRETFNDVYIVYELMDTDLHHIIHSDQTLGEEHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLEFLRSDNARRYIRQLPQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNINDEPVCPRPFSFDFDQPTCTEEHVKELIWRESVKFNPDPPCQ >Vigun03g041800.3.v1.2 pep primary_assembly:ASM411807v1:3:3280364:3283633:1 gene:Vigun03g041800.v1.2 transcript:Vigun03g041800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGSSSSAAASASGDAKIKRVLTHGGKYAQYNVYGNLFEVSSKYVPPIRPIGRGVNGIVCAAVNSETHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMEHENIIGIKDIIRPPKRETFNDVYIVYELMDTDLHHIIHSDQTLGEEHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCILGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLEFLRSDNARRYIRQLPQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNINDEPVCPRPFSFDFDQPTCTEEHVKELIWRESVKFNPDPPCQ >Vigun04g068250.1.v1.2 pep primary_assembly:ASM411807v1:4:7767040:7768556:1 gene:Vigun04g068250.v1.2 transcript:Vigun04g068250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLGWSNKYRDNLEIAAELAVSSLQALLHRTLSDYKNAGHDSQSTSLEIRLIQSQDDIRNPEVNFKAEIYS >Vigun01g138800.1.v1.2 pep primary_assembly:ASM411807v1:1:31909372:31912037:1 gene:Vigun01g138800.v1.2 transcript:Vigun01g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLNNDNMAFEETELRLGLPGGNAEELLRKRGFSETQTQDHTATVDLMLNLSSKEPAPGPDPSHKHSDNTLLPADPAKPPAKAQVVGWPPVRSFRKNMLAVQKSIGEESEKNNSPNASFVKVSMDGAPYLRKVDLKMYKSYRELSDSLGKMFSSFTIGNCESQGMKDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAIGLGLAPRAMAKSKNRS >VigunL044601.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000091.1:18731:18984:1 gene:VigunL044601.v1.2 transcript:VigunL044601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLTIFFFNFLWSFVCARKTTACCSLAIGEDEVGTIHFYSRFSNGM >Vigun09g087850.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:11943831:11945133:-1 gene:Vigun09g087850.v1.2 transcript:Vigun09g087850.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKVGGEIRVSHTIKNTKREHVASQHKAFHDDIISSKATFKNVSLFSNYNSIKRNDDLGQF >Vigun09g087850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:11943831:11945046:-1 gene:Vigun09g087850.v1.2 transcript:Vigun09g087850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKVGGEIRVSHTIKNTKREHVASQHKAFHDDIISSKATFKNVSLFSNYNSIKRNDDLGQF >Vigun07g064800.1.v1.2 pep primary_assembly:ASM411807v1:7:7552361:7555802:1 gene:Vigun07g064800.v1.2 transcript:Vigun07g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSRGFIAYLVSGFSCAVLSVLFLTNHFHHGQNSPLLASSLSGTLRVWPDLQPSWRLVLATVIGFLGSACGTVGGVGGGGIFVPMLNLLLGFDTKSAAAVSKCMIMGASASSVWFNLRVPHPTKEVPILDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFFKGIGMWKEETIYKKEKTKQRATLINSHDGDKTVRIDTKCEPLIPKEEKSTVEILCLNLRWKRILVLVVVWACFLLVQVIKNDVESCSIWYWVLFGLQFPIALLVFGYEAVKLYKEHKRRMSTGNSECICGASIEWTAVNLAFCALCGIVGGVVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLTSVSILAGFWGQFFVRRIVAFLGRASIIVFILSGVIFASALTMGVVGIENSIEMINNHEFMGFLGFCSSQ >Vigun09g067400.3.v1.2 pep primary_assembly:ASM411807v1:9:7162219:7177817:1 gene:Vigun09g067400.v1.2 transcript:Vigun09g067400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNLVLSSTLTLSHCSSDVDVAAAADAPAKTLPNAFASGPAFEPRVLKRTRGSVDRVKKSASDKAFQDRLKDPFSYPFLRECCFCRHFIYPGEELLCSVRGCDARCHSECAKDSVGATGLKKYKCPQHVCFICKQKKHLRCVRCKIAFHSKCAPWPDAVLPLKDHPGLAVCWRHPSDWRLDRKPDTSTSDISEVFCRLPLPYISEEFKIDSSWKDMDSKMEQPPPYVHIRRNVYLVKKKRSNVDDGAGCTSCSSTCSDDCVCRVQCISCSKACRCSENCNNRPFRKEKKIKIVKTEHCGWGVEAAETIDKGGFIVEYIGEVIDDALCEKRLWDMKYMGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVDGETRVGVFAARSIQSGEPLTYDYRFVQFGPEVKCNCGAANCQGFLGTKKKIGKLDLCWGSKRKRTSNACITLVTTV >Vigun09g067400.2.v1.2 pep primary_assembly:ASM411807v1:9:7162219:7177817:1 gene:Vigun09g067400.v1.2 transcript:Vigun09g067400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNLVLSSTLTLSHCSSDVDVAAAADAPAKTLPNAFASGPAFEPRVLKRTRGSVDRVKKSASDKAFQDRLKDPFSYPFLVGAPKLRECCFCRHFIYPGEELLCSVRGCDARCHSECAKDSVGATGLKKYKCPQHVCFICKQKKHLRCVRCKIAFHSKCAPWPDAVLPLKDHPGLAVCWRHPSDWRLDRKPDTSTSDISEVFCRLPLPYISEEFKIDSSWKDMDSKMEQPPPYVHIRRNVYLVKKKRSNVDDGAGCTSCSSTCSDDCVCRVQCISCSKACRCSENCNNRPFRKEKKIKIVKTEHCGWGVEAAETIDKGGFIVEYIGEVIDDALCEKRLWDMKYMGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVDGETRVGVFAARSIQSGEPLTYDYR >Vigun09g067400.1.v1.2 pep primary_assembly:ASM411807v1:9:7162219:7177817:1 gene:Vigun09g067400.v1.2 transcript:Vigun09g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNLVLSSTLTLSHCSSDVDVAAAADAPAKTLPNAFASGPAFEPRVLKRTRGSVDRVKKSASDKAFQDRLKDPFSYPFLVGAPKLRECCFCRHFIYPGEELLCSVRGCDARCHSECAKDSVGATGLKKYKCPQHVCFICKQKKHLRCVRCKIAFHSKCAPWPDAVLPLKDHPGLAVCWRHPSDWRLDRKPDTSTSDISEVFCRLPLPYISEEFKIDSSWKDMDSKMEQPPPYVHIRRNVYLVKKKRSNVDDGAGCTSCSSTCSDDCVCRVQCISCSKACRCSENCNNRPFRKEKKIKIVKTEHCGWGVEAAETIDKGGFIVEYIGEVIDDALCEKRLWDMKYMGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVDGETRVGVFAARSIQSGEPLTYDYRFVQFGPEVKCNCGAANCQGFLGTKKKIGKLDLCWGSKRKRTSNACITLVTTV >VigunL059558.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:21819:22253:1 gene:VigunL059558.v1.2 transcript:VigunL059558.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRSLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFRVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFRF >Vigun02g139100.1.v1.2 pep primary_assembly:ASM411807v1:2:28840816:28843351:-1 gene:Vigun02g139100.v1.2 transcript:Vigun02g139100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGVFVLSDSIPLLGWIDNNGYKKAMKRTASEIDTLVEGWLEEHKRKREVSTNGKEEQDVMDVMLNVHHDLKVSGYDSDTIIKATCLNLILAGGDSIMVALTWALSLLLNNETELKKAQEELDTHVGKNRKVEESDIKKLVYIQAIVKETMRLYPPSPILTLRAAMEECTFSCGYHVPAGTHLIVNTWKIQRDGRVWHDPLDFKPERFLTSHKDVDVRGQNYELFPFGSGRRACPGVSLALRVVHLTLATLLHSFNVASPSNQAVDMTESIGLTNLKATPLEVLLTPRLDSKLYED >Vigun03g195400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27508890:27510401:1 gene:Vigun03g195400.v1.2 transcript:Vigun03g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQATLMEAWFIIVVSACVCVIVRAIFSLQTKTINTPPGPLHIPILTSIQWLLKSFSQLEPILRNLHAKFGPIVTIRIGSQRAIFIADRTLAHQALVQNGSVFSDRPKALAASKILTSDQRNISSASYGPTWRILRRNLTSEMLHHSRVKSFSGIRNWVLHTLLTHLKSDSQSNDSVKVIDHFQYAMFCLLVFMCFGERLDEGKVRDVERVQRQLLLGFSRYNILNFWPKVTRVLLRERWEEMLRFRQEQEDVMIPLIRARKQKQGKEEGVVSYVDTLLGLELPEEKRKLNEEEIVTLCNEFLNGGTDTTSTALQWIMANLVKYPHVQERLVGEIREVMGEREDGEVKEEDLEKLAYLKAVILEGLRRHPPGHFVLPHAVSEDVVLNDYLVPKNGTVNFMVAEMGWDPKVWEDPMAFKPERFMNDEGFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFEWKIPEGGDVDLSEKQEFTVVMKNPLQVHLSPRI >VigunL075300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:219698:220123:-1 gene:VigunL075300.v1.2 transcript:VigunL075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIALNDGSHGVDPTNAMFSPCRKDDRNNGLPQACCLELDHGLSLEREKRWFTDLFQVLAELQQDLVLDEVSFWYTLWLIVYESQASLADIYD >Vigun03g094700.1.v1.2 pep primary_assembly:ASM411807v1:3:8004345:8006679:-1 gene:Vigun03g094700.v1.2 transcript:Vigun03g094700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSTTNHEVVLDVNKDVSTTTEDSNSCLNVFFLQKLVAEVVGTYFLIFAGCASVVVNKNNDDVVTLPGISIVWGLVVMVLAYSVGHISGAHFNPAVTIAFASTKRFPWKQVPIYVVAQVVGSTLASGSLRLIFSGHENQFPGTLPAGTYAQAFAVEFIITFLLMFVISGVATDNRAIGELAGIAVGATVLLNVLFAGPITGASMNPARSLGPALVHNEFRGIWIYLVSPTIGAVFGAWVYNSIRYTDKPLREITQSASFVKGKGDR >Vigun03g094700.2.v1.2 pep primary_assembly:ASM411807v1:3:8004345:8006594:-1 gene:Vigun03g094700.v1.2 transcript:Vigun03g094700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAYSVGHISGAHFNPAVTIAFASTKRFPWKQVPIYVVAQVVGSTLASGSLRLIFSGHENQFPGTLPAGTYAQAFAVEFIITFLLMFVISGVATDNRAIGELAGIAVGATVLLNVLFAGPITGASMNPARSLGPALVHNEFRGIWIYLVSPTIGAVFGAWVYNSIRYTDKPLREITQSASFVKGKGDR >Vigun03g094700.3.v1.2 pep primary_assembly:ASM411807v1:3:8004345:8006542:-1 gene:Vigun03g094700.v1.2 transcript:Vigun03g094700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAYSVGHISGAHFNPAVTIAFASTKRFPWKQVPIYVVAQVVGSTLASGSLRLIFSGHENQFPGTLPAGTYAQAFAVEFIITFLLMFVISGVATDNRAIGELAGIAVGATVLLNVLFAGPITGASMNPARSLGPALVHNEFRGIWIYLVSPTIGAVFGAWVYNSIRYTDKPLREITQSASFVKGKGDR >Vigun11g149401.1.v1.2 pep primary_assembly:ASM411807v1:11:35946879:35948732:1 gene:Vigun11g149401.v1.2 transcript:Vigun11g149401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKQGVVTLVQGSECRNPDMQEKQGVVTLVQGSGCRNPDMQEKQGVVTLVQRSGCHNPDMQEKQGVVTLVQRSGCHNPDMQEKQGVVTLVQRSGCHNPDMQEKQGVVTLVQRSGCRNPDMQEKQGVVTLVQRSGCRNPDMQEKQGVVTLVQRSGCRNPDMQEKQGVVTLVQRSGVS >Vigun03g356300.1.v1.2 pep primary_assembly:ASM411807v1:3:55760115:55760792:1 gene:Vigun03g356300.v1.2 transcript:Vigun03g356300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNSKGSVAKQTHIQCLTDQPNQSETREIGMAPPCLHPPFITPTCFCYHWTTHVNFSCHWLQLDPIIFHPRDKQSKGSSD >Vigun03g425400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63108281:63108980:1 gene:Vigun03g425400.v1.2 transcript:Vigun03g425400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKLASQKAVVIFSKSSCGMCHAIKRLFYELGVAPAIYEVDEVSRGKEIEWCLMKLGCNPSVPAVFIGGKFVGAANTVMTLHLNGSLKKMLRDAGALWL >Vigun11g038600.2.v1.2 pep primary_assembly:ASM411807v1:11:5354915:5358048:1 gene:Vigun11g038600.v1.2 transcript:Vigun11g038600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFLRKAPSYRLAFQYLKHPMRLILQKLLLPFMHLWLCWSSCVHVHAAHDSLKPGDTLNSSSPSLYSKSRKYFLRFYTIGIADGDFTYLTVQSAYENQIVWKGNREQPINDDAVLSLNFSGVLKIESESVKKPIILYSPPQPINNTVATLLDTGNFVLQHLHPNGRLSYNNKEGYVARADLCYGYNTEGGCQRWQDIPKCRSPGDVFTKKILFSNYENQTFEFNQNIIHSDCEAACWSDCNCNGFRELSDDGIGCDFYHWNSSKDIIVDGTTSGEDVYILETKGKIITPHHHGKKSWIWISTVIAATILLICASILFVAIKKRKHVLQEKKRKEMAMKLSEIDDFGNDFKKGHGLKVFDYTLVVEATNGFSSENKLGQGGFGPVYKGTLPTGEEVAIKRLSKSSTQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDFGMARMFTQQDSVSNTNRVVGTYGYMSPEYAMEGVFSTKSDVYSFGVLMLEIVCGRKNTSFYDDDHPINLIGHVWELWKDDKCVESVDPSLMESLDSDDVQRCIHAGLLCVEHYANDRPTMSDVISMLTNKSERVSLPKRPAFYVQREMLNENLSSAGLCTASTVEITTSLEIELSQ >Vigun11g038600.1.v1.2 pep primary_assembly:ASM411807v1:11:5354915:5358048:1 gene:Vigun11g038600.v1.2 transcript:Vigun11g038600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFLRKAPSYRLAFQYLKHPMRLILQKLLLPFMHLWLCWSSCVHVHAAHDSLKPGDTLNSSSPSLYSKSRKYFLRFYTIGIADGDFTYLTVQSAYENQIVWKGNREQPINDDAVLSLNFSGVLKIESESVKKPIILYSPPQPINNTVATLLDTGNFVLQHLHPNGRLSYNNKEGYVARADLCYGYNTEGGCQRWQDIPKCRSPGDVFTKKILFSNYENQTFEFNQNIIHSDCEAACWSDCNCNGFRELSDDGIGCDFYHWNSSKDIIVDGTTSGEDVYILETKGKIITPHHHGKKSWIWISTVIAATILLICASILFVAIKKRKHVLQEKKRKEMAMKLSEIDDFGNDFKKGHGLKVFDYTLVVEATNGFSSENKLGQGGFGPVYKGTLPTGEEVAIKRLSKSSTQGIVEFKNEVTLICELQHMNLVQLLGCCIHEEEKILIYEYMPNKSLDFYLFDCTRSKLLDWNKRFNIIQGISQGLLYLHKYSRLKVIHRDLKASNILLDENMNPKISDFGMARMFTQQDSVSNTNRVVGTYGYMSPEYAMEGVFSTKSDVYSFGVLMLEIVCGRKNTSFYDDDHPINLIGHVWELWKDDKCVESVDPSLMESLDSDDVQRCIHAGLLCVEHYANDRPTMSDVISMLTNKSERVSLPKRPAFYVQREMLNENLSSAGLCTASTVEITTSLEIELSQ >Vigun03g415700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62246895:62249085:-1 gene:Vigun03g415700.v1.2 transcript:Vigun03g415700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKSQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDLKPAMSASGGAAQCQKCFQSGHWTYECKNERVYISRPSRTQQLKNPKLRVNVAETYDLDDSVVDDAKEENAKASSKQTKRKHRFDSDSGSDSEDSVFETDSGSSSVTGSDYSSGSSSGYSSCSDSEEERRQRRKKQKRGRRRRYSTSSESSDSDSDSASDSDSDDKSSQRKKRHNRRR >Vigun08g095600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:22845497:22846182:1 gene:Vigun08g095600.v1.2 transcript:Vigun08g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGFGLPEAYMARKLFMEKRKKSAREDEEKSTQMKISTIKGASEGKTASGCFSWVSNQHHKKISRISDCNHTQPADS >Vigun11g203500.1.v1.2 pep primary_assembly:ASM411807v1:11:40098319:40101402:1 gene:Vigun11g203500.v1.2 transcript:Vigun11g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKALIVCCVVGFLGLLAAATSFAAEATRIKASQVHFVSINQCTYPRSPALALGLIAALALMVSQIIINVATGCVCCRRSSQIPDSNWKVALACFVLSWFTFVIGFLLLLTGAALNDQRGEESVYFGYYYCYVVKPGVFAGGAILSLASAAFGIVYYISLTEKKNGIQYPYPNQGVIAMAQPQIPSQTNQDPVFVHEDTYVRRQFT >Vigun01g056050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:10996130:10996324:1 gene:Vigun01g056050.v1.2 transcript:Vigun01g056050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGTGQESYFMMDYGLNGFEHMVAGKKVSQAPKIQRTISPLALQRKRARIANKKMRIAKAKS >Vigun01g236200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40761944:40764022:1 gene:Vigun01g236200.v1.2 transcript:Vigun01g236200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLYLRCRNLFLLSLLFFLFVSLCFFTVSSESHPQSRALSSGTARRMFELEEENEEFEQPLKKKSTKNKTTSLIKPSNSSKNQTKAVKFSNLNSSKNQTKTVKSSYPNSFKNQTKLAKPKPKTKTELITDTEVVVKKLNSTALKSKKLNSTSTKKPSSLDLAKTSGGGGKNKTTKATATKDKEASKKTKLDEAESDKKSGGGKKKQSQPSWVLEDEFDDDLVSEFRDLPKKFQQTLIPDLERISTTSKAYITKANKEMTKGFKPYVGNKYAPTIATLLSCAFALIPLLLASLLFNKIKAYFSLQKLLIFIQAYLAIYFSILCLSSFITGVEPLRFFFSTSRSTYLCLQVLQTLAYVLYLLLLVMYLVLVFSTESGLGSKFLGLAQTFVGFSVGLHYYMTVFHRVVLKQPPKTNWKIHAIYATCFCLICVIAGADRRKKTYLEEGGEEGKKN >Vigun06g155500.1.v1.2 pep primary_assembly:ASM411807v1:6:27908509:27910481:-1 gene:Vigun06g155500.v1.2 transcript:Vigun06g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGIVFTVAMVVICLSGKGVAGQEHHVVGADRGWDPTSDLVSWSSGRVFRVGDQIWLAYSVAEGLVAELKSKEEYEACDVRNPIKMYTEGLHGIPLESEGMRYFVSTQPDNCKKGLKLHIEVLPQGQGITNNKYPTLSDFQAAAPMTPSASTRYGQSTVLLLTVLFFVIIYLAY >Vigun11g098900.1.v1.2 pep primary_assembly:ASM411807v1:11:29070755:29074658:-1 gene:Vigun11g098900.v1.2 transcript:Vigun11g098900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKALAKSKRSHTQHHSKKSHHSHKPRAQSSSSSDPKDAAKNPPGKQQANEEKKKKSHHSALPSNWDRYGEEEDDSGSEIASKTLDVVLPKSKGADYRHLVAEAQSQAETSSEGFPAFDDLLPGEFGVGLSSMLASRGEGIISWNGDDNFVVEDKTSGNQEASFLSLNLLALADNFAKIDLSKRLFIESDLLSTELCVEELAVNSNVEHKELETKVDNELTNSMSKEFNLDNNAADQFAPSSSSTSSHPSSTFPLSNDFNIPVKSVITEFQQASSTGKHKPFVLSSDASLYSTEHIRGKQYSTFEAAAAEKELDMLLDSFTDTKILDSPVSLGTSSGYPQILKKDPVPSKIASFTANLDDALDDLLEETSTLMKPNVLLLPQEEKPVNNSMKSSSHSGSESKVTDDFDSWFDNL >Vigun03g341500.1.v1.2 pep primary_assembly:ASM411807v1:3:54032080:54035590:-1 gene:Vigun03g341500.v1.2 transcript:Vigun03g341500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGRLGNLLRQTASRQVTSELRSSPSLFQAIRGFSSAPSSKLFIGGVSFSTDEQSLREAFSKYGEVVDARIIMDRETGRSRGFGFITYTSVEEASSAIQALDGQDLHGRQIRVNYANERPRGGGYGGGYGGGYGAGGGGGYGGAYGGGGGYGGNVAGGYGGGGGYGGNAGGGYGGGSYGGNAGGGYGGGAGGYASGGNFGDSGSGNNYPAADNFGTSGAGGSYSGNFGDAGGIGNHESSGGFGSSGYDGSAVDGSGASTGTSFADGSGGLGGGQFDSKASSNGDEDFGDYRDDKDDADNFAKRA >Vigun03g341500.3.v1.2 pep primary_assembly:ASM411807v1:3:54032080:54035580:-1 gene:Vigun03g341500.v1.2 transcript:Vigun03g341500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGRLGNLLRQTASRQVTSELRSSPSLFQAIRGFSSAPSSKLFIGGVSFSTDEQSLREAFSKYGEVVDARIIMDRETGRSRGFGFITYTSVEEASSAIQALDGQDLHGRQIRVNYANERPRGGGGYGGNAGGGYGGGSYGGNAGGGYGGGAGGYASGGNFGDSGSGNNYPAADNFGTSGAGGSYSGNFGDAGGIGNHESSGGFGSSGYDGSAVDGSGASTGTSFADGSGGLGGGQFDSKASSNGDEDFGDYRDDKDDADNFAKRA >Vigun03g341500.2.v1.2 pep primary_assembly:ASM411807v1:3:54032080:54035580:-1 gene:Vigun03g341500.v1.2 transcript:Vigun03g341500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGRLGNLLRQTASRQVTSELRSSPSLFQAIRGFSSAPSSKLFIGGVSFSTDEQSLREAFSKYGEVVDARIIMDRETGRSRGFGFITYTSVEEASSAIQALDGQDLHGRQIRVNYANERPRGGGYGGGYGGGYGAGGGGGYGGAYGGGGGYGGNVAGGYGGGGGYGGNAGGGYGGGSYGGNAGGGYGGGAGGYASGGNFGDSGSGNNYPAADNFGTSGAGGSYSGNFGDAGGIGNHESSGGFGSSGYDGSAVDGSGASTGTSFADGSGGLGGGQFDSKASSNGDEDFGDYRDDKDDADNFAKRA >Vigun01g250700.1.v1.2 pep primary_assembly:ASM411807v1:1:41724415:41726073:1 gene:Vigun01g250700.v1.2 transcript:Vigun01g250700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTGTKFYALLLVVVVATSMVAKCGANFNQDFDLTWGDHRAKIFGGGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNIPIRVFKNAEVAGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATEFSVSSNSFSDAALQSTELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGLPAECKR >Vigun07g157850.1.v1.2 pep primary_assembly:ASM411807v1:7:26961922:26963169:1 gene:Vigun07g157850.v1.2 transcript:Vigun07g157850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSLKISRTVLSQLCIKWVERRGGFDVGGEVVDFSLLDVCLGLGLTVVGEKIDLNEEVVESETWNTFGRQRVYVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPVIFKIVDDMENIGKYNWGTLVYEYLVFSLCTASLALQNEPSRFEFYVVRCAYLLELWSFDHLVVCQSTFKCKMNLFPQFLNWMNVSVGDKVMKTVFDYDMAIVYVAVSKEELDHAIVREAFEQFGTEYKTQDLKDKEEVEHLLEDHEAEIVDLEQSMSALDDLVAKWKGQQSKDEFRDEVGDDVFNDPRDDVMSDEKDDDAQQSNMYDRMKARPRMRFKSVATKTPYSVYGKKKLKSLQIG >Vigun02g127200.1.v1.2 pep primary_assembly:ASM411807v1:2:27935116:27939635:-1 gene:Vigun02g127200.v1.2 transcript:Vigun02g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFKQKLRNSLTKKGRRSSKVMSVEIEDVHDAEELKIVDEFRQALILDELLPAKHDDYHMMLRFLKARKFDVEKTKEMWTEMLKWRKEFGADTIQEDFDFKEIDEVLQYYPQGHHGVDKEGRPVYIERLGQVDAGKMMQVTTMDRYIKYHVKEFERTFDVKFAACSIAAKKHIDQSTTILDVQGVGLKSFNKHARELVTRLQKIDGDNYPETLNRMFIINAGSGFRILWNTVKSFLDPKTTAKINVLGNKFDTKLLEIIDASELPEFLGGTCTCADKGGCLRSDKGPWTDPEILKMVQSGAHKCSRRGDSHGEEEKSTAEEPETPKCGATSPQVTPVEVPATEASKPVELAPVDTSMQKVDDNSPQPNDAGTAVDPTAAAAAAAAAAAAAAASTVYMKGGSAQSLLTNLPASGLSTAEFSTVMKRMAELEEKMVTMNKQSASMPPEKEQMLNATMTRADDLEQQLLATKKALEDSLVKQEELSAYIEKKKKKKKRFYFF >Vigun02g127200.2.v1.2 pep primary_assembly:ASM411807v1:2:27934858:27939705:-1 gene:Vigun02g127200.v1.2 transcript:Vigun02g127200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFKQKLRNSLTKKGRRSSKVMSVEIEDVHDAEELKIVDEFRQALILDELLPAKHDDYHMMLRFLKARKFDVEKTKEMWTEMLKWRKEFGADTIQEDFDFKEIDEVLQYYPQGHHGVDKEGRPVYIERLGQVDAGKMMQVTTMDRYIKYHVKEFERTFDVKFAACSIAAKKHIDQSTTILDVQGVGLKSFNKHARELVTRLQKIDGDNYPETLNRMFIINAGSGFRILWNTVKSFLDPKTTAKINVLGNKFDTKLLEIIDASELPEFLGGTCTCADKGGCLRSDKGPWTDPEILKMVQSGAHKCSRRGDSHGEEEKSTAEEPETPKCGATSPQVTPVEVPATEASKPVELAPVDTSMQKVDDNSPQPNDAGTAVDPTAAAAAAAAAAAAAAASTEFSTVMKRMAELEEKMVTMNKQSASMPPEKEQMLNATMTRADDLEQQLLATKKALEDSLVKQEELSAYIEKKKKKKKRFYFF >Vigun03g345400.1.v1.2 pep primary_assembly:ASM411807v1:3:54459234:54472599:-1 gene:Vigun03g345400.v1.2 transcript:Vigun03g345400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTVFGADSPRRRLLRDQVQVVKRKDSDRYEIVPIQDSLSFEKGFFIFIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLENIQGLKAGKPVQVPVYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHLKIINKFNPFSGFQNPTYILKSARTVTVDQIKEIIAAQHTETKEETYDIFLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFRDDKVTIKTDWLEQLNRTYVQVQGKDRIYCKFVAEKLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKSKLSIDDDVVSSPKEALSRASADRRMKYLNRGISHSYSTQRDKILPKLTKLAINNRRFDGRTLESPASIANNGSSLNFLIKFPH >Vigun03g345400.4.v1.2 pep primary_assembly:ASM411807v1:3:54459234:54472599:-1 gene:Vigun03g345400.v1.2 transcript:Vigun03g345400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLMGLKAGKPVQVPVYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHLKIINKFNPFSGFQNPTYILKSARTVTVDQIKEIIAAQHTETKEETYDIFLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFRDDKVTIKTDWLEQLNRTYVQVQGKDRIYCKFVAEKLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKSKLSIDDDVVSSPKEALSRASADRRMKYLNRGHHSTF >Vigun03g345400.3.v1.2 pep primary_assembly:ASM411807v1:3:54459234:54472599:-1 gene:Vigun03g345400.v1.2 transcript:Vigun03g345400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTVFGADSPRRRLLRDQVQVVKRKDSDRYEIVPIQDSLSFEKGFFIFIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLENIQGLKAGKPVQVPVYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHLKIINKFNPFSGFQNPTYILKSARTVTVDQIKEIIAAQHTETKEETYDIFLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFRDDKVTIKTDWLEQLNRTYVQVQGKDRIYCKFVAEKLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKSKLSIDDDVVSSPKEALSRASADRRMKYLNRGHHSTF >Vigun03g345400.5.v1.2 pep primary_assembly:ASM411807v1:3:54462700:54472599:-1 gene:Vigun03g345400.v1.2 transcript:Vigun03g345400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTVFGADSPRRRLLRDQVQVVKRKDSDRYEIVPIQDSLSFEKGFFIFIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLENIQGLKAGKPVQVPVYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQEPEEIIHQISETVYPMYKAYIEPDLQTAHLKIINKFNPFSGFQNPTYILKSARTVTVDQIKEIIAAQHTETKEETYDIFLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFRDDKVTIKTDWLEQLNRTYVQWLFFA >Vigun01g023700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2576839:2580892:1 gene:Vigun01g023700.v1.2 transcript:Vigun01g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSELPKDLLNLISQRLQSPLYLLRFRSVCSSWRSSSSSSSSSSPFPFSHSITLTPTFSLYHRSLLLLTPPSTAPNCRPWLVKISHDPRARTARLFHTLSRSQPKRPRFALDLLRLHVLDLGCEFRLSSACPSTESLYDEKLVLLSAGNDGFALLTIHISGKLALFLRGDSGWTIIPDMPTPYDDVCAFRGTFYAVDGNGRTVTVGLDASLRVVTERVFGGDKKFLVECNGALMLVDLYLFADYENLDEEDVGVIGWERTVRFDVFRLDEEVGRWVEVASLGEWVLFLGDDCAFSASAKDLGVERGNYVVFRDDGLGAVRVANGVGVFDLDDGRISPLSQCPGFSELFWPPPVWVRTH >Vigun01g023700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2576841:2580887:1 gene:Vigun01g023700.v1.2 transcript:Vigun01g023700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSELPKDLLNLISQRLQSPLYLLRFRSVCSSWRSSSSSSSSSSPFPFSHSITLTPTFSLYHRSLLLLTPPSTAPNCRPWLVKISHDPRARTARLFHTLSRSQPKRPRFALDLLRLHVLDLGCEFRLSSACPSTESLYDEKLVLLSAGNDGFALLTIHISGKLALFLRGDSGWTIIPDMPTPYDDVCAFRGTFYAVDGNGRTVTVGLDASLRVVTERVFGGDKKFLVECNGALMLVDLYLFADYENLDEEDVGVIGWERTVRFDVFRLDEEVGRWVEVASLGEWVLFLGDDCAFSASAKDLGVERGNYVVFRDDGLGAVRVANGVGVFDLDDGRISPLSQCPGFSELFWPPPVWVRTH >Vigun01g023700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2576839:2580892:1 gene:Vigun01g023700.v1.2 transcript:Vigun01g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSELPKDLLNLISQRLQSPLYLLRFRSVCSSWRSSSSSSSSSSPFPFSHSITLTPTFSLYHRSLLLLTPPSTAPNCRPWLVKISHDPRARTARLFHTLSRSQPKRPRFALDLLRLHVLDLGCEFRLSSACPSTESLYDEKLVLLSAGNDGFALLTIHISGKLALFLRGDSGWTIIPDMPTPYDDVCAFRGTFYAVDGNGRTVTVGLDASLRVVTERVFGGDKKFLVECNGALMLVDLYLFADYENLDEEDVGVIGWERTVRFDVFRLDEEVGRWVEVASLGEWVLFLGDDCAFSASAKDLGVERGNYVVFRDDGLGAVRVANGVGVFDLDDGRISPLSQCPGFSELFWPPPVWVRTH >Vigun09g133600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29279788:29280999:-1 gene:Vigun09g133600.v1.2 transcript:Vigun09g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQVERQKRSKEMKRKSSRVKKTNKIVTCCRCPCRAASCMFRGIGRCVFVSCYPVVRCLGLDEHRHRHHHHHGKHFDW >Vigun04g203000.1.v1.2 pep primary_assembly:ASM411807v1:4:42571471:42574362:-1 gene:Vigun04g203000.v1.2 transcript:Vigun04g203000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLYQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun04g203000.2.v1.2 pep primary_assembly:ASM411807v1:4:42571471:42574362:-1 gene:Vigun04g203000.v1.2 transcript:Vigun04g203000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLYQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun02g193400.2.v1.2 pep primary_assembly:ASM411807v1:2:32995756:33003620:1 gene:Vigun02g193400.v1.2 transcript:Vigun02g193400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSDSRRSYSWWWDSHLPKNSKWLQENLTDIDAKVKAMIKLIDEEADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHAMGELRHAHKTMAEAFPNQPQYMLNDDSPCVESHTPGVPSAIYSESELSEKADGEVQTLRKALAKIQSDKNAIFLQYQKSMEKLSEMERNLNKAQQDAGGLDERASKAEIETKVLKEALAQLKSEKDAGQVQYNQCLESIAKLETMLSLAQMDAKEFDERSSKAEIEAKKLRQELGQLEAQKDAGLLKYKQNLEKVSVLEAKITLAEENSRMLNEQLGRAEAEIIALRKNLAELNEEKESLAVLYHRCLEKISKMENEILHAQENSEKLSREIEKGAEKLKTAEENCDTLERSNQSLRLEAENLLQKIAMKDQALLEKHAEIERLQNLMHEEHSHFLEIESTLQTLQKVYSKSQQEQGTLVMELKNGLQLLKDLELSKPGFKDEMQESVEENRMLSELTFSSTRSLFRRQQMEISKLKEIKEKLEREFVANSEENNALHQEAHQIKNDIQHLNNRYHAMLEQLQTLGLDPRCFAASVKDLQNENANLKEVCKVERNEKEALREKSKDMDELLLQNAFMEFSLSSLNDELDGLKVTVKKFQESCQVLQEEKSTVVDEKSALLSQLQIVTESMQKLLEKNALLEKSLSDSKFELEGLKAKSSDLEEFCKLLNDEKYDLLNERSILVSQLESVELKLSNLEKMFTKLEEKYADSEKDKESTCNQVRELHASILVQTEKHANHKQLSEVRLTNLENIFHALQEELRLGKIEFEKEVDKAVNAQVEMFILQSCIEDLEEKNLALLSECEKHVEASKFSNKVISELETENFMQLIEEEVLLNEIRKLKMAIHQVCGALQIDSYGGHDKGTKQEEIPILHILDIIEGFKSSSVKNQEEKQQLLLQHRSEREKMESEKKIMQQEFESLREKNAMLQKEKVELLEKNTQLRTEVANGEERANASKSKLAALRAELNDLQRTNQLFQEENSKILEEKNLLLRSVLDLKDAISVAEDENSTILQEVLALSNLNLVYERLVSDKVIEQKALSEYLNSNLSRLNSDLHQELGILRKKIEVKETENVYLNESTERMDKELHEIKGANCRLSHQVKNSENLLEKKDVELLEMVKRLRAAETLNEEFCRYIEELKMDQEESRLTRLSLDRQILDLSENCMNKKKEIEHLHEENRSLQSVMKSLLQEVEQHKAREQALNSELLDKTNEFQLWEAEAAAFYLQLQISSISEELLKSKVTELTGVCKRLDDEGAGKDLVIEQMIERVNLLEKENRGLKGQLSAYTPTVTSLKEDFASLEHTYLRCTKNSFAGGNRGKKDVAIETCPQENTHQSLRGNESILIPDVVEDLLSIQKRIRGVEKLMMEELERRMKQEKVTGNFEAEAASEMTEHSNLEAATYPEIDNKKLVMKIKKDNSTRGHNAWRTKSQKRLIMIDIPLDNYKDDPDYNKYCKRELSRSNDHMLELCETDQHDVTEDTKLDSTSVEDANTWYDSEKCQNYSSELEREKELGVDKLELWKTGKETSEDGKRRILERLASDSQKLAILKMTLQDLKKKPETKKKSNKVNEIEYEAVKRHIEDVEEAVTQQIGMYDQLARDFELCTPSPSDTNTKKLEKQQVQMIRKKLSEQARRGSEQIGRLQFEVQNIQYILLKLADMKNNNRICRPTTGVLLKDFIRIGKKNSRRRRKGCACVCSKPSTNEE >Vigun02g193400.1.v1.2 pep primary_assembly:ASM411807v1:2:32995756:33003620:1 gene:Vigun02g193400.v1.2 transcript:Vigun02g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSDSRRSYSWWWDSHLPKNSKWLQENLTDIDAKVKAMIKLIDEEADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHAMGELRHAHKTMAEAFPNQPQYMLNDDSPCVESHTPGVPSAIYSESELSEKADGEVQTLRKALAKIQSDKNAIFLQYQKSMEKLSEMERNLNKAQQDAGGLDERASKAEIETKVLKEALAQLKSEKDAGQVQYNQCLESIAKLETMLSLAQMDAKEFDERSSKAEIEAKKLRQELGQLEAQKDAGLLKYKQNLEKVSVLEAKITLAEENSRMLNEQLGRAEAEIIALRKNLAELNEEKESLAVLYHRCLEKISKMENEILHAQENSEKLSREIEKGAEKLKTAEENCDTLERSNQSLRLEAENLLQKIAMKDQALLEKHAEIERLQNLMHEEHSHFLEIESTLQTLQKVYSKSQQEQGTLVMELKNGLQLLKDLELSKPGFKDEMQESVEENRMLSELTFSSTRSLFRRQQMEISKLKEIKEKLEREFVANSEENNALHQEAHQIKNDIQHLNNRYHAMLEQLQTLGLDPRCFAASVKDLQNENANLKEVCKVERNEKEALREKSKDMDELLLQNAFMEFSLSSLNDELDGLKVTVKKFQESCQVLQEEKSTVVDEKSALLSQLQIVTESMQKLLEKNALLEKSLSDSKFELEGLKAKSSDLEEFCKLLNDEKYDLLNERSILVSQLESVELKLSNLEKMFTKLEEKYADSEKDKESTCNQVRELHASILVQTEKHANHKQLSEVRLTNLENIFHALQEELRLGKIEFEKEVDKAVNAQVEMFILQSCIEDLEEKNLALLSECEKHVEASKFSNKVISELETENFMQLIEEEVLLNEIRKLKMAIHQVCGALQIDSYGGHDKGTKQEEIPILHILDIIEGFKSSSVKNQEEKQQLLLQHRSEREKMESEKKIMQQEFESLREKNAMLQKEKVELLEKNTQLRTEVANGEERANASKSKLAALRAELNDLQRTNQLFQEENSKILEEKNLLLRSVLDLKDAISVAEDENSTILQEVLALSNLNLVYERLVSDKVIEQKALSEYLNSNLSRLNSDLHQELGILRKKIEVKETENVYLNESTERMDKELHEIKGANCRLSHQVKNSENLLEKKDVELLEMVKRLRAAETLNEEFCRYIEELKMDQEESRLTRLSLDRQILDLSENCMNKKKEIEHLHEENRSLQSVMKSLLQEVEQHKAREQALNSELLDKTNEFQLWEAEAAAFYLQLQISSISEELLKSKVTELTGVCKRLDDEGAGKDLVIEQMIERVNLLEKENRGLKGQLSAYTPTVTSLKEDFASLEHTYLRCTKNSFAGGNRGKKDVAIETCPQENTHQSLRGNESILIPDVVEDLLSIQKRIRGVEKLMMEELERRMKQEKVTGNFEAEAASEMTEHSNLEAATYPEIDNKKLVMKIKKDNSTRGHNAWRTKSQKRLIMIDIPLDNYKDDPDYNKYCKRELSRSNDHMLELCETDQHDVTEDTKLDSTSVEDANTWYDSEKCQNYSSELEREKELGVDKLELWKTGKETSEDGKRRILERLASDSQKLAILKMTLQDLKKKPETKKKSNKVNEIEYEAVKRHIEDVEEAVTQQIGMYDQLARDFELCTPSPSDTNTKKLEKQQVQMIRKKLSEQARRGSEQIGRLQFEVQNIQYILLKLADMKNNNRICRPTTGVLLKDFIRIGKKNSRRRRKGCACVCSKPSTNEE >Vigun09g230000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40167733:40169424:-1 gene:Vigun09g230000.v1.2 transcript:Vigun09g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSKHSLTNPTPTTVFFLFFALQISTILAGSPHVINFRSPNLFPEGLAWDPTGQHFLVGSLRHRTISAISDAGVVETLVSDPSLPENVTILGLAVDSRNNRVLAALHAGAPLPPFNALAAYDLRSGRRLFLSALPSAEGDEKRAIANDVAVDFKGNAYVTNSVGNYIWKVSEKGEAWIFSNSARFTEHPVIIDEKFSSCGLNGLVYNGKGYLLVVQSNTGKMFKVDEDDGVARLVLLNEDLVGADGVALRNDGVVLVVSLKRVWLVKSNDGWSQGVVFDKIDLDDEGFPTSIVVRERERAYVLHGRVMEGILGNWSESFKIEEVRSPREGESENVWMYVMVGIGLAYFLFWRFQMKQLVNNMNKKIN >Vigun05g085300.2.v1.2 pep primary_assembly:ASM411807v1:5:8070519:8071738:1 gene:Vigun05g085300.v1.2 transcript:Vigun05g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTMQTQMITPTTGAPKIRPRSGRTPLQLKNSPADPIQPSAKPKPKPDRSYFEISLIDKENNPMAAVLIPAAAPPETSLAEELSAVKKKLERMKADKDRTEKILNEKHAMLDVKMKEMEERGEIQKNLEIEVDRLFRLKELKNRCMRVSPMRTLREKEQGKIVNEAPSTSEVKTEETVASESDSESESVGRECQVLQSPGSACSQTNTTTHTKSDS >Vigun05g085300.1.v1.2 pep primary_assembly:ASM411807v1:5:8070519:8071738:1 gene:Vigun05g085300.v1.2 transcript:Vigun05g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTMQTQMITPTTGAPKIRPRSGRTPLQLKNSPADPIQPSAKPKPKPDRSYFEISLIDKENNPMAAVLIPAAAPPETSLAEELSAVKKKLERMKADKDRTEKILNEKHAMLDVKMKEMEERGEIQKNLEIEVDRLFRLKELKNRCMRVSPMRTLREKEQGKIVNEAPSTSEKVKTEETVASESDSESESVGRECQVLQSPGSACSQTNTTTHTKSDS >Vigun03g096800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8199172:8199915:1 gene:Vigun03g096800.v1.2 transcript:Vigun03g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKAVLDLFDSCWFQVNILKENSNPDTSIRSGKENLEYQKKEEKEEEEELEPKLPLIRTSHTRSMSDQSMTSTRFNHDSLSPDSVLLPPPKLQTILSGKEVTDSERESPTQVRLREVLCPENRKSCKISGNGTGKRRESKSLSDLEFEELKGFMDLGFVFLEEDKNTSLASIIPGLQRLGKKEEEGDCDELNVPRPYLSEAWEVQEYGRRKKENPLVNWKIPALNNETDMKDSLRWWAHTVASTVR >Vigun06g185600.1.v1.2 pep primary_assembly:ASM411807v1:6:30488310:30490999:-1 gene:Vigun06g185600.v1.2 transcript:Vigun06g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVADNSANSGNHQVVLNVNGETPKKCDPSANQDCVPLLQKLVAEVVGTYFLIFAGCASVVVNLDKDRVITQPGISIVWGLTVMVLVYSVGHISGAHFNPAVTIAHASTRRFPLKQVPAYVIAQVVGSTLASGTLRLIFNGRNDHFAGTLPAGSDLQSFVIEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVLLNVMFAGPITGASMNPARSLGPAIVHNEYRGIWIYLVSTTLGAVAGAWAYNFIRYTNKPVREITKSASFLKGAQTD >Vigun01g150400.1.v1.2 pep primary_assembly:ASM411807v1:1:33265807:33266637:-1 gene:Vigun01g150400.v1.2 transcript:Vigun01g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFLVLVLALAVATSARNAPTDAGLKDQKNFITYGGVGGYSGIGSNGLPFGGAGAGIGGGFGAGGGLGGGLGGTTGIGGIGGIGGLGGTGGGVGGGVGGGVGSGIGGGSSVSCNVSFHLSFT >Vigun10g027600.2.v1.2 pep primary_assembly:ASM411807v1:10:3337453:3341373:-1 gene:Vigun10g027600.v1.2 transcript:Vigun10g027600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFDEKDFVSCCGSTRFAKDMVLASPFSSLQHAVSVARDVWFNKVDVNGWLQAFSAHPQIGHTHAPSVASEASAHWSKGEQSTALSTATGSSLQELSEWNARYWEKFGFVFLICASGRTTDEILAELKRRYTNRPIVEFEIAAQEQMKITELRLAKLFSSAEEISSRTDKYSTAKKAEDRISIISSHVTAASEGSSGKPIHHSARTRPPITTHVLDVSRGSPAAGIEVLLEVWRGVHPRPTFGATGGGSWVFQGSSATDQDGRSGQLLSIVEDVSPGIYRISFNTGKYIPNGFFPYVSIVFEIKDSQKREHFHVPLLLSPFSFSTYRGS >Vigun10g027600.1.v1.2 pep primary_assembly:ASM411807v1:10:3337453:3341373:-1 gene:Vigun10g027600.v1.2 transcript:Vigun10g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFDEKDFVSCCGSTRFAKDMVLASPFSSLQHAVSVARDVWFNKVDVNGWLQAFSAHPQIGHTHAPSVASEASAHWSKGEQSTALSTATGSSLQELSEWNARYWEKFGFVFLICASGRTTDEILAELKRRYTNRPIVEFEIAAQEQMKITELRLAKLFSSAEEISSRTDKYSTAKKAEEDRISIISSHVTAASEGSSGKPIHHSARTRPPITTHVLDVSRGSPAAGIEVLLEVWRGVHPRPTFGATGGGSWVFQGSSATDQDGRSGQLLSIVEDVSPGIYRISFNTGKYIPNGFFPYVSIVFEIKDSQKREHFHVPLLLSPFSFSTYRGS >Vigun03g419800.1.v1.2 pep primary_assembly:ASM411807v1:3:62588713:62590614:-1 gene:Vigun03g419800.v1.2 transcript:Vigun03g419800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVNARRTLASSVARALSSSPARASPSGCRFAFALLPTKQTVPVAQWANFPVRSKSSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPDNPKPSEEHMVSTYVKTLAHVLGSEEEAKKKIYSVSTSTYTGFGALISEELSYKVKELPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIPRPQYRYSERQPSRSRPRPRHDRRRETMHVERRDQQNWSQGHGGPLQPSTPMNSHNLASDREN >Vigun02g170200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31327542:31329074:-1 gene:Vigun02g170200.v1.2 transcript:Vigun02g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGKVGDNLSLNDETNQPLSPLPPPPLQAQNYTANSVKMVISELRVQRGIALPMMAMNLAWFAKTAITTAFLGRLGELSLAGGALGFTFANVTGFSVLNGICGAMEPICGQAHGAKNFRLLHKTLLMTIILLLLVSLPITFLWLNVDKIFIHFGQQQDISIVAGTYASFLIPDLFVASLFCPLKAYLSSQSITLPTMFSSAVALAFHIPVNIVLSKTMGLRGVAMAVWITDLIVVVLLAIYVLIIENKKGSMWKEGGWWDQSVEDWIRLVKLCGSCCLNTCLEWWCYEILVLLTGHLTNAKQAVGVLAIVLNFDYLLFSVMLSLATCVSTRVSNELGANQAALAYRSAYVSLTLGFISGCIGSLLMVAARGIWGPLFSHDRGIIKGVSKTMLLMAVVEVFNFPLAVCGGIVRGTARPWLGAYANLGGFYFLALPLGIVFAFKLRLGLVGLFIGLLVGIVTCLTLLLVFIARLNWVEEAAKAQTLTSNEQVKELPKYDAEKRIDAREKV >Vigun03g447700.1.v1.2 pep primary_assembly:ASM411807v1:3:64878823:64883196:-1 gene:Vigun03g447700.v1.2 transcript:Vigun03g447700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGGPGMAQQPNQQYPQQQQQQQQPYMMMPPQPQPPQMWAPSAQPPPQSVAPPQPTSADEVRTLWIGDLQYWMDENYLYTCFAHTGEVASVKVIRNKQTSQSEGYGFIEFTTRAGAERILQTYNGTIMPNGGQNFRLNWASFSAGDRRHDDSPDHTIFVGDLAADVTDYLLQETFRARYPSVKGAKVVIDRLTGRTKGYGFVRFGDESEQVRAMTEMQGVLCSTRPMRIGPASNKNPSTQSQPKASYQNPQGAQNEHDPNNTTIFVGNLDPNVTDDHLRQVFGQYGELVHVKIPAGKRCGFVQFADRSCAEEALRVLNGTLLGGQNVRLSWGRSPSNKQAQPDANQWNGSSGGYYGYAAQGYENYGYAPAGQDPNMYGSYPGYANYQPPQQQQQIGYS >Vigun03g447700.2.v1.2 pep primary_assembly:ASM411807v1:3:64878852:64883196:-1 gene:Vigun03g447700.v1.2 transcript:Vigun03g447700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPNQQYPQQQQQQQQPYMMMPPQPQPPQMWAPSAQPPPQSVAPPQPTSADEVRTLWIGDLQYWMDENYLYTCFAHTGEVASVKVIRNKQTSQSEGYGFIEFTTRAGAERILQTYNGTIMPNGGQNFRLNWASFSAGDRRHDDSPDHTIFVGDLAADVTDYLLQETFRARYPSVKGAKVVIDRLTGRTKGYGFVRFGDESEQVRAMTEMQGVLCSTRPMRIGPASNKNPSTQSQPKASYQNPQGAQNEHDPNNTTIFVGNLDPNVTDDHLRQVFGQYGELVHVKIPAGKRCGFVQFADRSCAEEALRVLNGTLLGGQNVRLSWGRSPSNKQAQPDANQWNGSSGGYYGYAAQGYENYGYAPAGQDPNMYGSYPGYANYQPPQQQQQIGYS >Vigun07g257000.1.v1.2 pep primary_assembly:ASM411807v1:7:37448738:37451586:1 gene:Vigun07g257000.v1.2 transcript:Vigun07g257000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPATRAGSFTAVPLSASAATAPRISQPLLRPNSLPSLPLNLSRSSSSFGSSISRQPWKLPFTASASSQVSKAFTASNEESEKAKLEQVAKRLEKTARYFKRLGSLGFWGQLVCTVVAAVILSFSVVITGKVTSPATFYATAGGIVAAFISVFWSFGYIRLSEKLRKTANDPSKAPPRADVVKGLKNGIVMNLLGMGAAILGMQATVGLLVAKALTTSANPYYQGISPGNSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPPSEATPFPKFA >Vigun05g143300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19050308:19051105:1 gene:Vigun05g143300.v1.2 transcript:Vigun05g143300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTLFSLFLLCAFTSNLPSTTADVVDTDGKLVENGGIYFLRPVIITGNGGGVEFAATGNETCPLSVIQNPDPFSNGQPVQISSPFRIRYIYEGLILNFGFAVVPPCAPTPSFWIPVKGEEEELSVKLNGYGNAVRGWFKIKSVPYDIGGYNLLFCPLDSSSCGYVGIQFDAARNRHLVVTQNADSALWVRFQRVSPSSSATDLHEPSLLLKSHV >Vigun10g178700.1.v1.2 pep primary_assembly:ASM411807v1:10:39690696:39693818:-1 gene:Vigun10g178700.v1.2 transcript:Vigun10g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFKGLLGMKKEKDHSDNSGSLAPDKKEKKRWSFAKPGKDAPSSVPATDNTWLRSYIADTENEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGALFSGSREKWAAVKIQTFFRGYLARKALRALKGLVKIQALVRGYLVRKRAAATLHSMQALIRAQTAVRSQRARRSLSKESRFLPEVLARKSVERFDETRSESHSKRLPTSYETSLNGFDESPKIVEIDTYKTRSRSRRFTSTMSECGEDMSCQAMSSPLPCHVPGRISVPDCRHLQDFDWYFNVDECRFSTAHSTPRFTNYARPNAPATPAKSVCGDTFFRPCSNFPNYMANTQSFKAKLRSHSAPKQRPEPKKRLSLNEVMAARNSISSVRMQRPSSNFFQTQESWNF >Vigun10g178700.3.v1.2 pep primary_assembly:ASM411807v1:10:39690696:39692779:-1 gene:Vigun10g178700.v1.2 transcript:Vigun10g178700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFKGLLGMKKEKDHSDNSGSLAPDKKEKKRWSFAKPGKDAPSSVPATDNTWLRSYIADTENEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGALFSGSREKWAAVKIQTFFRGYLARKALRALKGLVKIQALVRGYLVRKRAAATLHSMQALIRAQTAVRSQRARRSLSKESRFLPEVLARKSVERFDETRSESHSKRLPTSYETSLNGFDESPKIVEIDTYKTRSRSRRFTSTMSECGEDMSCQAMSSPLPCHVPGRISVPDCRHLQDFDWYFNVDECRFSTAHSTPRFTNYARPNAPATPAKSVCGDTFFRPCSNFPNYMANTQSFKAKLRSHSAPKQRPEPKKRLSLNEVMAARNSISSVRMQRPSSNFFQTQESWNF >Vigun03g235700.1.v1.2 pep primary_assembly:ASM411807v1:3:39223884:39227953:1 gene:Vigun03g235700.v1.2 transcript:Vigun03g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Vigun03g050400.3.v1.2 pep primary_assembly:ASM411807v1:3:4069807:4073968:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIALTLFVLITLIVTSGRDPGIVPRNSHPPEPDDYNWTENSNNGQISTSRFPRTRDVIVNGITVKVKYCDTCMLYRPLRASHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYMFVFSATLLCLYVHAFCWVYIVRIKDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g050400.5.v1.2 pep primary_assembly:ASM411807v1:3:4069857:4073956:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVYWTDIGFEKLLNNIFYYSLLVCTLPQRNYRFYYMFVFSATLLCLYVHAFCWVYIVRIKDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g050400.2.v1.2 pep primary_assembly:ASM411807v1:3:4069807:4073956:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPPPANSDSADTSAPSLVRTYRVWQGSNVFLFGGRLIFGPDVKSIFISIFLVIVPIAVFCALVARKLLDDFPHHSGWSIMGVVIALTLFVLITLIVTSGRDPGIVPRNSHPPEPDDYNWTENSNNGQISTSRFPRTRDVIVNGITVKVKYCDTCMLYRPLRASHCSVCDNCVERFDHHCPWVGQCIGLDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g050400.6.v1.2 pep primary_assembly:ASM411807v1:3:4070232:4073956:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVYWTDIGFEKLLNNIFYYSLLVCTLPQRNYRFYYMFVFSATLLCLYVHAFCWVYIVRIKDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g050400.1.v1.2 pep primary_assembly:ASM411807v1:3:4069807:4073956:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPPPANSDSADTSAPSLVRTYRVWQGSNVFLFGGRLIFGPDVKSIFISIFLVIVPIAVFCALVARKLLDDFPHHSGWSIMGVVIALTLFVLITLIVTSGRDPGIVPRNSHPPEPDDYNWTENSNNGQISTSRFPRTRDVIVNGITVKVKYCDTCMLYRPLRASHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYMFVFSATLLCLYVHAFCWVYIVRIKDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g050400.4.v1.2 pep primary_assembly:ASM411807v1:3:4070232:4073956:1 gene:Vigun03g050400.v1.2 transcript:Vigun03g050400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIALTLFVLITLIVTSGRDPGIVPRNSHPPEPDDYNWTENSNNGQISTSRFPRTRDVIVNGITVKVKYCDTCMLYRPLRASHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYMFVFSATLLCLYVHAFCWVYIVRIKDFEKVSIWRAMSKTIASTALIIYTFVCVWFVGGLAVFHTYLISTNQSTYENFKYRYDPQTNPYNRGIFNNFREVFCSRIPPSKNKFRSKIPREPLDSYRRTDIRPLSPLMKRTTRHMELLVGNSVYNEHEEEESHHRDGSENETRSKDSESKDSGLTDKSLDLSKILHAERVDGQESSVGRYPPWETTPQVPDSIIEVGESNWNTGPRYSTQETV >Vigun03g064900.1.v1.2 pep primary_assembly:ASM411807v1:3:5358265:5361767:-1 gene:Vigun03g064900.v1.2 transcript:Vigun03g064900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSLFSCSLSSSLHSSSLLCFPKTLSPSFTSTLGNSTRHANFVVRSSYSEMGSSPDPIEFRKPIPSRAHLELSMNENNKASYKWRRVLLKVSGEALAGDNSQNIDPKITMAIAREVAAVTRLGIEVALVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPKRNPQARLHDTLTYQDVTSRDLSVMDMTAITLCQENNIPVVVFNLNKPGNIEKAIKGERVGTLIGASRNSTVYEHENI >Vigun09g220400.2.v1.2 pep primary_assembly:ASM411807v1:9:39433069:39434717:1 gene:Vigun09g220400.v1.2 transcript:Vigun09g220400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGVSSPELNPTKMGANNTNDSSTEIEKTQKKVSHCWGNAQIPFALPFLTLPNSNFATFLSPPQLHTSFTAAADHFLTLLHSLASQNPFLNKIISLPSQFHTLCVQIRKQDSVRLVGNHNFAAVLPGDSVAGLVVANGVLNFLNIYNTLLIVRLVLTWFPNTPPSIVSPLSTICDPYLNIFRGLIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPPTERSQQGLAPPLQPSNVTTSSQKKWMRRLLGNKSRTPGAAK >Vigun09g220400.1.v1.2 pep primary_assembly:ASM411807v1:9:39433056:39434820:1 gene:Vigun09g220400.v1.2 transcript:Vigun09g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGVSSPELNPTKMGANNTNDSSTEIEKTQKKVSHCWGNAQIPFALPFLTLPNSNFATFLSPPQLHTSFTAAADHFLTLLHSLASQNPFLNKIISLPSQFHTLCVQIRKQDSVRLVGNHNFAAVLPGDSVAGLVVANGVLNFLNIYNTLLIVRLVLTWFPNTPPSIVSPLSTICDPYLNIFRGLIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPPTERSQQGLAPPLQPSNVTTSSQKKWMRRLLGNKSRTPGAAK >Vigun09g068300.7.v1.2 pep primary_assembly:ASM411807v1:9:7283149:7289009:1 gene:Vigun09g068300.v1.2 transcript:Vigun09g068300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKSSGKTTASRASTVEAPPSISVSEAFIVNALCVLGLAFAFWAANTVFSIDLVSHPSLTLFLISIAELPIVILLYSRYRQNPRQCSYLRAVGRGVLGVPVGALLNFLGAIALGAPVKFQYLPKTINWALMMSVFTTVPASCVLGSSWAEWRRIFAQTKPNGSIEYLICLPAHGAVIGAWFGAWPMPLDWERPWQEWPISVSYGTIVGYLVALVASLGFVLACFRSLHIKSE >Vigun09g068300.5.v1.2 pep primary_assembly:ASM411807v1:9:7282619:7291194:1 gene:Vigun09g068300.v1.2 transcript:Vigun09g068300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWRKEGLRCESPISEALCDWAEPISNRGSTSTFNSIQKFNLRRSSSMDRRKSSGKTTASRASTVEAPPSISVSEAFIVNALCVLGLAFAFWAANTVFSIDLVSHPSLTLFLISIAELPIVILLYSRYRQNPRQCSYLRAVGRGVLGVPVGALLNFLGAIALGAPVKFQYLPKTINWALMMSVFTTVPASCVLGSSWAEWRRIFAQTKPNGSIEYLICLPAHGAVIGAWFGAWPMPLDWERPWQEWPISVSYGTIVGYLVALVASLGFVLACFRSLHIKSE >Vigun09g068300.6.v1.2 pep primary_assembly:ASM411807v1:9:7282172:7291267:1 gene:Vigun09g068300.v1.2 transcript:Vigun09g068300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKSSGKTTASRASTVEAPPSISVSEAFIVNALCVLGLAFAFWAANTVFSIDLVSHPSLTLFLISIAELPIVILLYSRYRQNPRQCSYLRAVGRGVLGVPVGALLNFLGAIALGAPVKFQYLPKTINWALMMSVFTTVPASCVLGSSWAEWRRIFAQTKPNGSIEYLICLPAHGAVIGAWFGAWPMPLDWERPWQEWPISVSYGTIVGYLVALVASLGFVLACFRSLHIKSE >Vigun09g068300.2.v1.2 pep primary_assembly:ASM411807v1:9:7282172:7291267:1 gene:Vigun09g068300.v1.2 transcript:Vigun09g068300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKSSGKTTASRASTVEAPPSISVSEAFIVNALCVLGLAFAFWAANTVFSIDLVSHPSLTLFLISIAELPIVILLYSRYRQNPRQCSYLRAVGRGVLGVPVGALLNFLGAIALGAPVKFQYLPKTINWALMMSVFTTVPASCVLGSSWAEWRRIFAQTKPNGSIEYLICLPAHGAVIGAWFGAWPMPLDWERPWQEWPISVSYGTIVGYLVALVASLGFVLACFRSLHIKSE >Vigun01g184100.4.v1.2 pep primary_assembly:ASM411807v1:1:36442044:36451302:-1 gene:Vigun01g184100.v1.2 transcript:Vigun01g184100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNMRPNIVSQAGLPTRMNQWWESIPFLTSAVVVVCGVIYLVCLLVGYDSFAEVCFLPSAVVSRFQVYRIYTSIIFHGSLLHVLFNMMALVPLGSELERIMGSVRLLYVTILLATSNAIFHVLIALLVAHNPVLTYDYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPGTTFYSSIESSSWFSSCVRRPKFIVCTGGNPSGYIPTHTSQNSTSGLLSGNIWRNLSSLMPQREVSTQSTEDSRFPGRGRTLGSGQGQAPSHLHSDSNLQERLLDDSSPNSPLVSSTPSNTHQLSEGRHSAVNVAPTTAVPQHQGAVVSEEGIKKLVSMGFDRTQVEVALAAADGNLNVAVEILMSQQVLVHHSFFIYNSSRLRVYLFSLKNSWACLQS >Vigun01g184100.3.v1.2 pep primary_assembly:ASM411807v1:1:36442044:36451302:-1 gene:Vigun01g184100.v1.2 transcript:Vigun01g184100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALVPLGSELERIMGSVRLLYVTILLATSNAIFHVLIALLVAHNPVLTYDYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPGTTFYSSIESSSWFSSCVRRPKFIVCTGGNPSGYIPTHTSQNSTSGLLSGNIWRNLSSLMPQREVSTQSTEDSRFPGRGRTLGSGQGQAPSHLHSDSNLQERLLDDSSPNSPLVSSTPSNTHQLSEGRHSAVNVAPTTAVPQHQGAVVSEEGIKKLVSMGFDRTQVEVALAAADGNLNVAVEILMSQQVLVHHSFFIYNSSRLRVYLFSLKNSWACLQS >Vigun01g184100.5.v1.2 pep primary_assembly:ASM411807v1:1:36442044:36451302:-1 gene:Vigun01g184100.v1.2 transcript:Vigun01g184100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNMRPNIVSQAGLPTRMNQWWESIPFLTSAVVVVCGVIYLVCLLVGYDSFAEVCFLPSAVVSRFQVYRIYTSIIFHGSLLHVLFNMMALVPLGSELERIMGSVRLLYVTILLATSNAIFHVLIALLVAHNPVLTYDYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPGTTFYSSIESSSWFSSCVRRPKFIVCTGGNPSGYIPTHTSQNSTSGLLSGNIWRNLSSLMPQREVSTQSTEDSRFPGRGRTLGSGQGQAPSHLHSDSNLQERLLDDSSPNSPLVSSTPSNTHQLSEGRHSAVNVAPTTAVPQHQVPLHTYHDLNSPVFV >Vigun01g184100.1.v1.2 pep primary_assembly:ASM411807v1:1:36442042:36451302:-1 gene:Vigun01g184100.v1.2 transcript:Vigun01g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNMRPNIVSQAGLPTRMNQWWESIPFLTSAVVVVCGVIYLVCLLVGYDSFAEVCFLPSAVVSRFQVYRIYTSIIFHGSLLHVLFNMMALVPLGSELERIMGSVRLLYVTILLATSNAIFHVLIALLVAHNPVLTYDYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPGTTFYSSIESSSWFSSCVRRPKFIVCTGGNPSGYIPTHTSQNSTSGLLSGNIWRNLSSLMPQREVSTQSTEDSRFPGRGRTLGSGQGQAPSHLHSDSNLQERLLDDSSPNSPLVSSTPSNTHQLSEGRHSAVNVAPTTAVPQHQGAVVSEEGIKKLVSMGFDRTQVEVALAAADGNLNVAVEILMSQQS >Vigun01g184100.2.v1.2 pep primary_assembly:ASM411807v1:1:36442042:36451302:-1 gene:Vigun01g184100.v1.2 transcript:Vigun01g184100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRNMRPNIVSQAGLPTRMNQWWESIPFLTSAVVVVCGVIYLVCLLVGYDSFAEVCFLPSAVVSRFQVYRIYTSIIFHGSLLHVLFNMMALVPLGSELERIMGSVRLLYVTILLATSNAIFHVLIALLVAHNPVLTYDYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLCGILSGFAYTYGLFNFLIPGTTFYSSIESSSWFSSCVRRPKFIVCTGGNPSGYIPTHTSQNSTSGLLSGNIWRNLSSLMPQREVSTQSTEDSRFPGRGRTLGSGQGQAPSHLHSDSNLQERLLDDSSPNSPLVSSTPSNTHQLSEGRHSAVNVAPTTAVPQHQGAVVSEEGIKKLVSMGFDRTQVEVALAAADGNLNVAVEILMSQQS >Vigun07g062000.2.v1.2 pep primary_assembly:ASM411807v1:7:7059674:7062280:1 gene:Vigun07g062000.v1.2 transcript:Vigun07g062000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGTGGASIIAGIAKSMGVLTVGIVTTPFSFEGRKRAIQVQEGITALRDNVDTLIVIPNDKLLTAVSQSTPVSQAFNLADHILRQGVRGISDIITKVIVKRKKTVCLQATKNLVLLFKISSPKLIKLLINDYFIKKCTFFLKNV >Vigun02g011400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4442773:4443482:-1 gene:Vigun02g011400.v1.2 transcript:Vigun02g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPRDMWTKLKEKGETIFSGAGRNPSSREPGFHGIQEKEKSSSTGLPMVSSRQRMQVHTPWLTCSEASLSMLVDCFSA >Vigun03g173300.1.v1.2 pep primary_assembly:ASM411807v1:3:21194353:21195985:-1 gene:Vigun03g173300.v1.2 transcript:Vigun03g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIARKWRELSGRSNWKSLVEPLDIDLRRYIIHYGELAQATYDGFNSEKASKFAGNSRYSRKEFFGKVGLEKGNPFKYLVTKFLYATSKASDSEAFLLRSFSKDAWSLQSNWIGYVAVATDEGKEALGRRDIVVAWRGTIQAAEWVKDFHFHLDPAPAIFGDAASAQVHHGFYSLYTSMNPGSKFTNTSARNQVLDEVGRLVEEYKNEEISISVTGHSLGAALATLNAADIAAQGLNKPRDKPQKACPVTAFAYASPRVGDSNFEDAFNGYKDLRALRIRNETDIVPVSLFLGFSDVGQELVIDTTKSKFLKEGVSAHNLEAYLHGVAGTQGKKGGFNLEVNRDIALLNKSIEALKDEYLVPVGWRVHENKGMVQQSDGTWKLMEENEDAFQSIHSSL >Vigun02g128900.1.v1.2 pep primary_assembly:ASM411807v1:2:28054265:28056147:-1 gene:Vigun02g128900.v1.2 transcript:Vigun02g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDRILVLGPTGAIGRHIVWASLKAGNPTYVLVRDTPPTVNKPRLVTAANPETREELLQNFQNSGVFLIQGDMNDHQSLVNAIKQVDIVICSFGRLLIEDQVKIIAAIKEAGNVKRFFPSEFGLDVDRHDAVEPVREVFEEKAKIRRVIEAEGVPYTYLCCHAFTGYFLRNLAQIDITVPPRDKIYIQGDGNVKGAYVTEADVGTFTIEAANDPNALNKAVHLRLPANYLTLNQIVSMWEKKIGKTLEKIYVPEEEVLKQIKESSFPNNYLLALYHSQQIRGDAVYEIDPARDVEASEAYPYVKYSTVDEYLDQFV >VigunL059251.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000345.1:47544:48965:1 gene:VigunL059251.v1.2 transcript:VigunL059251.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISPLTQPQPLASRKSTRAAQPSAKGQVWARASSRLTASSALARFKNSPSTEASNKPFSAPKAARDPLRAGEGPLDLLVFGIGLGSVERLQLVTRAGDHHLGEVAFLAVLVGVVLVADLALDIDLRALGEGTRGEPLDRRGAPDLDLVPGGEVLALAVLLGVAAGGDPQDRQGLAARGGANFSVRAGEADQLNLVEHGISPSSAGKGPGGSRSLPRSRVLAGRGRRAGPKPADGGHRAARSAAEEGRRLRRPAPRLRAAPCVSPRFAAAGADGRGSPPPARPGPIRRLDPPTLTGDGARGLQRAKRPSPERARVGCRIRHAHCGVGGQRFHQATGRRSGADGAGRVTAERAEEPTARPRGGSGRIIAKRRNESPTARLGVETGHRRNISRFVRALFHPTWRRPRPSA >Vigun04g073600.1.v1.2 pep primary_assembly:ASM411807v1:4:8720277:8722956:-1 gene:Vigun04g073600.v1.2 transcript:Vigun04g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHTELECGNTTPKQTVSNISKVVCIIFVIFSATTAVLKMNKFKVAFGDLKEPESLPSTSVKKCEIFSGEWVPNPEAPYYTNTTCWAIHEHQNCMKHGRPDSEFIKWRWKPNECELPIFNPFQFLEIMRGKSLAFVGDSLARNHMQSMICLLSRVEWPIDVSNTNVFAFKQWKYSTYNFTLANYWTPHLVRAKKVDSNSTLFNVFIDEFDETWTTHIKEFDYVIINGGQWFLGPLVFYEKQKLVVGCQHCDLDNITHSNLYYGYRKVFNTTFKSIISLENFKGTTFLRTFSPSHFENGLWNKGGNCVRTKPFRSNETKLEGYDLELHKIQLDEFRMAKKEGIKKGLKFMLLDTTQAMLLRPDGHPNRYGYWPNQNMTLYNDCVHWCLPGPIDSWSDFLLEMLKMESVTSTSKNMIHS >Vigun06g224100.1.v1.2 pep primary_assembly:ASM411807v1:6:33272367:33274101:1 gene:Vigun06g224100.v1.2 transcript:Vigun06g224100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFTLSIHNIMKMEGGNNLWKKELLLKLVLVAMGVVNTVHGHGTRVGFYSRTCPGVESIVTSTVESHVRSDPTLAASILRLHFHDCFVRGCDASVLIAGSATERTAPPNVNLRGYEVIDDAKDKLEALCPGVVSCADILALAARDSVVLSGGRSWKVPTGRKDGRVSIGSETSSLPGPNDTVSVQKRKFRDKGLNTIDLVVLAGGHTIGTSACQFFADRIYNPNGTTDPSIDPSFVPFLRQICPQNQPTKRVALDTHSQFKFDTSYFANLKRGRGVLRSDQALWTDPSTRPFVQKYLATAPFNYQFGNSMVKMGNIGVKQGDEGEIRNKCSAIN >Vigun10g086800.2.v1.2 pep primary_assembly:ASM411807v1:10:24827323:24829252:1 gene:Vigun10g086800.v1.2 transcript:Vigun10g086800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDLIKDIDEKKETLKLAVRVKDLWFVQNRDTNRHMEFILLDQKGDMIPAMVKKEDIDLWEEKLVEGHTYIMHNFKIMKNQGQFRVCDHPYKLLFIGATTIKEQPISSIPLNIYNFKNIIGVVDNVRCNPQSKNVVFHIRDLSFVVIGCTFWDSYYFKFMSNWRGEPVSFVVVVMLTQAKIKSSSGWWPVSISNSWNGSKLFMGDECSELVRFKEQWIHQSQEASQLCSPSQYSEHENFMYKAVVSTISEITTMKEEVYCVTVATTVKFNLGNDGWCYPVCNGCKKKNEEISVFKCVMCGFNNEKPRIRYKLELQVCDGDSYANFVIWDQDCINLIGVSAVKLMNKMIEDGEDDPKCFSEDIDVMLGCTLAFKVRVQPKNRSSSVMKASNNLETIAFIRSKLESKM >Vigun01g048800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7294194:7294715:1 gene:Vigun01g048800.v1.2 transcript:Vigun01g048800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTTWVDFGRVVLYDLYPPMIYIYGYVKVKNKHRNIRDQRKMSGAQGAQPKGSKTATIYESVGGGENRTRTELRSREDQGCIQVEKLQDKVTDPAGKGGPVFGAGKEEDKQDLGVTGTG >VigunL030200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:163542:165495:1 gene:VigunL030200.v1.2 transcript:VigunL030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGKEISL >Vigun05g071401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6115132:6115587:-1 gene:Vigun05g071401.v1.2 transcript:Vigun05g071401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEIRVSDFGSRVLGFGCRVWGFRFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFWVSRLGFRVTCFWFRVLGFGFRVSSFGFRVSSFGFWVEGYGLGLRVSGFGFRV >Vigun04g173000.1.v1.2 pep primary_assembly:ASM411807v1:4:39699216:39701293:1 gene:Vigun04g173000.v1.2 transcript:Vigun04g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIFNSESDEDCWVIQINDMVSESHFSLLKRIPVCIFHVPKSLICGKPEAFAPQFVAIGPYTHFRPELYPMERFKIFAAKAVLDHFQKHELKQVVQEFHDTATFIRASYHKYLDLKDQTLLYTVAIDSLFLLNFFHNYLNEKLSGSFMRGLGDQIQLRGLTLTKDAIVRDILMVENQIPIYSLLKILRFESSEPPHSVQEYLGSMLLSFCQQHSPLKITNSPTCSEAVSKHYHLLDLMYHLVVSNAVKSETPIPDPSEEGIAAVQKSSNSEAIQISFKKVKGVLTWTLGSLKKLKDVNIPLGKPLKQQLDRVIKVSSQLDILSSNPNGSEEEGEEEEEKKDEEAPMIVNIPCVRELDSVGVRFQPLEGGNMAIEFDENKGIFYLPVVKLDVNSEVIMRNLVAYEALTQPDFLIFTRYTELMKGIVDTVEDVKLLVKAGIIGSSSTLSVEETEELFNGMSKSIAPTKTQKLDETIKKVKKYYDYKRKTNLLRASTDYVYRSWKFFTLLSTFILLALTAIDTVCSAYDCQGYFKTK >Vigun08g108900.1.v1.2 pep primary_assembly:ASM411807v1:8:27064865:27069382:-1 gene:Vigun08g108900.v1.2 transcript:Vigun08g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVNKQGRVKVPFSGEDTASLLQRYDAPTVLTLLQELANYPHSKFNWYDLAAKTSTGISKAREYQMLWRHLAYGHSMENLDDDAQPLDDDSDLENEREALPRLNRETATEAAAFVKVIIASFKLGESSPSSSVIEAPLTINALSTTDNNESWSNTDIIFPVTVKRQTLPNTSSTRVVEASGSVRSNTFIKKKREPWSEQEDLQLRDAVQRWGEGNWATMIKKDDFPIKRSTSQLSKRWSTLRKREGDIN >Vigun08g108900.3.v1.2 pep primary_assembly:ASM411807v1:8:27064865:27069382:-1 gene:Vigun08g108900.v1.2 transcript:Vigun08g108900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRHLAYGHSMENLDDDAQPLDDDSDLENEREALPRLNRETATEAAAFVKVIIASFKLGESSPSSSVIEAPLTINALSTTDNNESWSNTDIIFPVTVKRQTLPNTSSTRVVEASGSVRSNTFIKKKREPWSEQEDLQLRDAVQRWGEGNWATMIKKDDFPIKRSTSQLSKRWSTLRKREGDIN >Vigun08g108900.2.v1.2 pep primary_assembly:ASM411807v1:8:27064865:27069382:-1 gene:Vigun08g108900.v1.2 transcript:Vigun08g108900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRPKRQPEFLKLESIKCCGDIWRMVTPWKIWTTMLNLWFDDDSDLENEREALPRLNRETATEAAAFVKVIIASFKLGESSPSSSVIEAPLTINALSTTDNNESWSNTDIIFPVTVKRQTLPNTSSTRVVEASGSVRSNTFIKKKREPWSEQEDLQLRDAVQRWGEGNWATMIKKDDFPIKRSTSQLSKRWSTLRKREGDIN >Vigun03g398100.1.v1.2 pep primary_assembly:ASM411807v1:3:60471141:60473962:1 gene:Vigun03g398100.v1.2 transcript:Vigun03g398100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGWGSIFSSCFRSESVSPSPKPTTKEVATKGGSSSNRVSIMDLSFPGSTLSEDLSVSLVGSNLHVFSLAELKIITQSFSSSNFLGEGGFGPVHKGFIDDKVRPGLEAQPVAVKLLDLDGSQGHKEWLTEVVFLGQLRHQHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFRRFTASLPWSTRMKIAAGAAKGLAFLHEAKKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLEILTGRRSVDKGRPPREQNLVEWARPVLNDPRKLGRIMDPRLEGQYSEVGARKAAALAYQCLSHRPRSRPLMSTVVSVLEPLKDFDDVPIGPFVYTVPTDHNETPKEVETPKDRKRDHHKNGHRHHDHDEANKDANGHHHHHRNRRHNRPHPLKSPKTPVSSSESHNSEHRNARRSGSNSPDTPIASEAQGSMA >Vigun04g054400.1.v1.2 pep primary_assembly:ASM411807v1:4:5192515:5200903:-1 gene:Vigun04g054400.v1.2 transcript:Vigun04g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRSGYGKRPHSQSDYDNGPNKRRNHGEDREQFVIDSEDTVFRYVCPGRKIGSVIGRGGEIVKQLRMETKAKIRIGETVPGCEERVVTIYSPSDETNSFEGGGNYVSPAQDALFKVHDRVVAEDLHGDQDDDGGHQVTAKLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDHLPLCALSSDELVQITGDATVVKRALHQIASRLHDNPSRSQHLLTSAVPGIYPAGSSLIGPTPGAPIVGIAPLVGAYGGYKGDTGDWPSRSMYSAPRDEGSSKEFSVRLVCPTGNIGGVIGKGGMIINQIRQDSGATIKVDSSPTEGEECLITILTKEFFEETFSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVSTSRIGCLIGKGGSIITEMRRLTKANIRIISKENLPKIASEDDEMVQISGDLDVAKEALVHVLTRLRANIFDREGALSAFLPVMPYLPVSADGSDGLNYDGRDGKRHGRGHSYSSGYGGSSDLTGGDIYGSYGGSQLGSSSAYGAYGSYSLGRSGTAGMSTQSGVSRRRNHAY >Vigun06g188901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30712400:30713710:1 gene:Vigun06g188901.v1.2 transcript:Vigun06g188901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPPPRALSPALIVVLLLLLLSTPIVTSVSLPDPPAPSPTSSPSSPSTSTTSTLDPKQAIALESLNIPTSRDPCAQPSFHNATICDSAKPFRHLISLRLANCSSYLSLSFTALKSLSTLRSLSLLNCPLSPVRLPPELAYSLTSFSSVNSFRHISGVWLSQLQNLTSLTVSGVQIKASGPFVILAHMTKLKTLTISGANLTGSLPGHLHSNLTFIDFSNNRLKGNIPPSITMLDSLELLNLSSNSLSGEIPSSIGDLISLTNLSLASNSFSGSIPDSISALPGILHMDLSSNQLNGTIPKFISQMKSLRYLNLANNNLRGVLPFNLTFIKRLEVFKVGGNTNLCYNHSVISSKMKLGISPCDKYGMPVTPPSKDSSADDSTDDDYDDGDAEGIRHKKEHHHGPNKFVLGVAIALSSIVFLIIFLILCSKCCR >Vigun08g125200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29519845:29522393:-1 gene:Vigun08g125200.v1.2 transcript:Vigun08g125200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLWLVVVGGGFSYSLLQLRDYPSDRQGENLQLQVQNQVIIQVDDSGSVSSSSPVTMSQQANADVESTLGTSPEDGDFRFQDHLLTQSNSPSQDGSVDDVLIIQQQLMNCVKELEKENQRLVPMVCSHVEKYLKVVFDSKEVPHPDVNLVMDALPSGIMGRLKENVKLMVEAGFTEECSDIYSKWRREFLKQCLQALRLQFETPNNEDVEKWLKTCKAAGKILFPNERRLCDYLFSRFSVAAADVSFEKVCKELTINVVNFADTTITTGTYLPNLLFNIVPKMSESLGELIQDFISPALFDKLSFVDDIEDVRQRLATVNRVGNIIYHTTVQAPVTDGGLHLITKEVMKHILGFCDFKLEPAALSYRVRIASFWVVIGKMVELLESELEVKSKDYYADPALGFVFMLNNLNYIAQKTKFLKMDDDWFRRNRAKVQQKRNLYLRRSWNKIVEFLKIGKNESAEADVVAELMKEKLHLFNLHFEETCTTQSTWTVSDMQLKRRIIKSIEEFLLPQYGKFCDRFRVVFGNQAYHYIKFGFLDIQNCLNHLFLLDEEMNTKDKKNI >Vigun08g125200.5.v1.2 pep primary_assembly:ASM411807v1:8:29519845:29522393:-1 gene:Vigun08g125200.v1.2 transcript:Vigun08g125200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFVIKFSSLGFSRPKMDTLCLWWVNGWMQTFILNQILRWLKKPKIDLLYFFCGGLTVQLMKIKLWLVVVGGGFSYSLLQLRDYPSDRQGENLQLQVQNQVIIQVDDSGSVSSSSPVTMSQQANADVESTLGTSPEDGDFRFQDHLLTQSNSPSQDGSVDDVLIIQQQLMNCVKELEKENQRLVPMVCSHVEKYLKVVFDSKEVPHPDVNLVMDALPSGIMGRLKENVKLMVEAGFTEECSDIYSKWRREFLKQCLQALRLQFETPNNEDVEKWLKTCKAAGKILFPNERRLCDYLFSRFSVAAADVSFEKVCKELTINVVNFADTTITTGTYLPNLLFNIVPKMSESLGELIQDFISPALFDKLSFVDDIEDVRQRLATVNRVGNIIYHTTVQAPVTDGGLHLITKEVMKHILGFCDFKLEPAALSYRVRIASFWVVIGKMVELLESELEVKSKDYYADPALGFVFMLNNLNYIAQKTKFLKMDDDWFRRNRAKVQQKRNLYLRRSWNKIVEFLKIGKNESAEADVVAELMKEKLHLFNLHFEETCTTQSTWTVSDMQLKRRIIKSIEEFLLPQYGKFCDRFRVVFGNQAYHYIKFGFLDIQNCLNHLFLLDEEMNTKDKKNI >Vigun08g125200.1.v1.2 pep primary_assembly:ASM411807v1:8:29519845:29522393:-1 gene:Vigun08g125200.v1.2 transcript:Vigun08g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLWWVNGWMQTFILNQILRWLKKPKIDLLYFFCGGLTVQLMKIKLWLVVVGGGFSYSLLQLRDYPSDRQGENLQLQVQNQVIIQVDDSGSVSSSSPVTMSQQANADVESTLGTSPEDGDFRFQDHLLTQSNSPSQDGSVDDVLIIQQQLMNCVKELEKENQRLVPMVCSHVEKYLKVVFDSKEVPHPDVNLVMDALPSGIMGRLKENVKLMVEAGFTEECSDIYSKWRREFLKQCLQALRLQFETPNNEDVEKWLKTCKAAGKILFPNERRLCDYLFSRFSVAAADVSFEKVCKELTINVVNFADTTITTGTYLPNLLFNIVPKMSESLGELIQDFISPALFDKLSFVDDIEDVRQRLATVNRVGNIIYHTTVQAPVTDGGLHLITKEVMKHILGFCDFKLEPAALSYRVRIASFWVVIGKMVELLESELEVKSKDYYADPALGFVFMLNNLNYIAQKTKFLKMDDDWFRRNRAKVQQKRNLYLRRSWNKIVEFLKIGKNESAEADVVAELMKEKLHLFNLHFEETCTTQSTWTVSDMQLKRRIIKSIEEFLLPQYGKFCDRFRVVFGNQAYHYIKFGFLDIQNCLNHLFLLDEEMNTKDKKNI >Vigun05g131800.2.v1.2 pep primary_assembly:ASM411807v1:5:15374189:15378073:1 gene:Vigun05g131800.v1.2 transcript:Vigun05g131800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDYKEPWDWHREDYYLQKSFNYEISQGLWNEVPQNEDLSCVFNDETTPVKACGDFSYNVNNSESNDLQNEPDKCLKTSYQFKRRRMLQFNTQNGCHYPSNEQMSSAYLKVKGKEDPNEEIFSEFSQWVSGASGSASASSYEDLESAEGWLADCFAEADMQLCPDDLNFSGADDVHLDVADLCNIQTVQEQNPVQHRATKIPKNIVFKGTGGKSSVETPTKISASVAYPFAFIKPSGAHGDVTLKEINQRLLSPPPLKSKPSVEDPSTYPKSAFSGKPVVGKTKIHTEGGKGSITIMRTKG >Vigun05g131800.4.v1.2 pep primary_assembly:ASM411807v1:5:15374189:15378024:1 gene:Vigun05g131800.v1.2 transcript:Vigun05g131800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGAREPWDWHREDYYLQKSFNYEISQGLWNEVPQNEDLSCVFNDETTPVKACGDFSYNVNNSESNDLQNEPDKCLKTSYQFKRRRMLQFNTQNGCHYPSNEQMSSAYLKVKGKEDPNEEIFSEFSQWVSGASGSASASSYEDLESAEGWLADCFAEADMQLCPDDLNFSGADDVHLDVADLCNIQTVQEQNPVQHRATKIPKNIVFKGTGGKSSVETPTKISASVAYPFAFIKPSGAHGDVTLKEINQRLLSPPPLKSKPSVEDPSTYPKSAFSGKPVVGKTKIHTEGGKGSITIMRTKG >Vigun05g131800.1.v1.2 pep primary_assembly:ASM411807v1:5:15374189:15378023:1 gene:Vigun05g131800.v1.2 transcript:Vigun05g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERGRGERTLISDYCFLVEIATSEMDYSDYKEPWDWHREDYYLQKSFNYEISQGLWNEVPQNEDLSCVFNDETTPVKACGDFSYNVNNSESNDLQNEPDKCLKTSYQFKRRRMLQFNTQNGCHYPSNEQMSSAYLKVKGKEDPNEEIFSEFSQWVSGASGSASASSYEDLESAEGWLADCFAEADMQLCPDDLNFSGADDVHLDVADLCNIQTVQEQNPVQHRATKIPKNIVFKGTGGKSSVETPTKISASVAYPFAFIKPSGAHGDVTLKEINQRLLSPPPLKSKPSVEDPSTYPKSAFSGKPVVGKTKIHTEGGKGSITIMRTKG >Vigun05g131800.3.v1.2 pep primary_assembly:ASM411807v1:5:15374189:15378073:1 gene:Vigun05g131800.v1.2 transcript:Vigun05g131800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWDWHREDYYLQKSFNYEISQGLWNEVPQNEDLSCVFNDETTPVKACGDFSYNVNNSESNDLQNEPDKCLKTSYQFKRRRMLQFNTQNGCHYPSNEQMSSAYLKVKGKEDPNEEIFSEFSQWVSGASGSASASSYEDLESAEGWLADCFAEADMQLCPDDLNFSGADDVHLDVADLCNIQTVQEQNPVQHRATKIPKNIVFKGTGGKSSVETPTKISASVAYPFAFIKPSGAHGDVTLKEINQRLLSPPPLKSKPSVEDPSTYPKSAFSGKPVVGKTKIHTEGGKGSITIMRTKG >Vigun09g126500.1.v1.2 pep primary_assembly:ASM411807v1:9:28031866:28035875:1 gene:Vigun09g126500.v1.2 transcript:Vigun09g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFQTKQLLAVRVQRHQLWHDSRDVKVSVWWDFENCQVPAGVDASKVAPAITKAVRASGIKGPLRINAFGDVLLLSKSNQQALSHTGIDFTHIPGGKNSADRFILMNLMDWVSQNPPPAHLFLISADGDFARMLHQLRMHNYNILLASTRNAPGVLCSAATIIWQWSSLIKGEHLSGKHLNLPPDGLFSSWYGNYNVIPDKPFSDVEKSASSQKVNIYKPSLDLQTVPKKVVIQVCRILRSHPKGISIGDLLIELKKRKVNFGKKFYGCGTFSRFLLSTLHVELEPLGFGNFHVCLISSESPKPFEGKDVESVSSCIKMDEKGSVATPKLNGEDKNKVRKANWTPLTASSHERSMDDDSKSSLLSVESPDALQKFSVHSGKVVDMTTEQLSGFQPQHRHNQLSKTKPDSLKLSSSSKTLSGCDTVGSEDAIYRIQEKYTTSRNHSAGNNQTAKEDIVFVTYESANVRAMNKYENSTRKEVDEVCHCPYSTEADHSLLDKIPSGSDKTNRNGPTFFVWIRNWWQFWKGNAESDVSADCHNKVVSHFKDSNPSELVEQTVQVVSDFKEPNLSELDQPELFSSGCFWDDIETFVSTLKGSFIVSQSKNREDMAYKLLNDGPPVLRSLTEEDILQLVELLISEKKWLEEIPSQIFPFRVIKPVQGKSLTGQSQAANGLRSLFLSRSSQSKLQKSSEHKSIPKSGVSATDTETKYKGMSIKDILEDC >Vigun05g262100.1.v1.2 pep primary_assembly:ASM411807v1:5:45455151:45457855:-1 gene:Vigun05g262100.v1.2 transcript:Vigun05g262100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPELCSGKDSNKFRKGLWSPEEDEKLMNYMLNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHFHSFLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNMSSTTSPNASETSSEPSNKDLNMGGFIPTQHHHHHHQHANFVPVFGSSPSQSMQTTVFNTMIDRLDHELNMPHNVGEYLEGTGPCFSQSEAHNKGSYSENGVFGSDIFVPPLENLNTSINHNTCKRETNSSYFDDINSILNNCNIGNGIASENKEGVENLFQHDAEWDFEELMKDVSSFPFVDFSF >Vigun05g039700.1.v1.2 pep primary_assembly:ASM411807v1:5:3183282:3184272:1 gene:Vigun05g039700.v1.2 transcript:Vigun05g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLSGVRKGAWSQTEDELLRECVQLYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLKPNIKRGDFSEDEVDLIIRLHKLLGNRWSLIAGRLPGRTSNDVKNYWNTNMRRKVQSHSKDEENNDNVKESERSWKPHQVIKPVPRALPKASPLVQSQFMSCSKVGVSEAVSAASENWWETLLDENEDKIAVNDSTCFPRAKDGSFELWNEELGSIASEFLAEGETWSDFLLN >Vigun03g121200.1.v1.2 pep primary_assembly:ASM411807v1:3:11307581:11308106:1 gene:Vigun03g121200.v1.2 transcript:Vigun03g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEGCSCSSWGSQKQSVSSTGGSYRVLGECPLCFCGENAVLRVAKTVRNASKQFWGCPKYKRSGGNEVFKGCNYFKWLNEDNEDEKDATIGRQRRKIYTLKKAVATSDRWVKILSVIIMFLLFVNVILVCKLVQKSC >Vigun09g263200.2.v1.2 pep primary_assembly:ASM411807v1:9:42759939:42761515:1 gene:Vigun09g263200.v1.2 transcript:Vigun09g263200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSNLLLLACSFCLFSSLAFGRHFSIVGYSPEDLNSMDKLIQLFESWMSRHGKIYESIEEKLLRFHIFKDNLKHIDERNNVITNYWLGLNEFADLSHQEFKNKYLGLKVDNSRRRESPEEFTYKDVELPKSVDWRKKGAVTQVKNQGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDRTYNSGCNGGLMDYAFSFIVQNGGLHKEEDYPYIMEEGTCEMTKEETEVVTISGYHDVPQNNEQSLLKALANQPLSVAIEASSRDFQFYSGGVFDGHCGSDLDHGVAAVGYGTSKGVDYIIVKNSWGSKWGEKGYIRMKRNIGKPEGICGIYKMASYPTKKK >Vigun09g263200.1.v1.2 pep primary_assembly:ASM411807v1:9:42759939:42761515:1 gene:Vigun09g263200.v1.2 transcript:Vigun09g263200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSNLLLLACSFCLFSSLAFGRHFSIVGYSPEDLNSMDKLIQLFESWMSRHGKIYESIEEKLLRFHIFKDNLKHIDERNNVITNYWLGLNEFADLSHQEFKNKYLGLKVDNSRRRESPEEFTYKDVELPKSVDWRKKGAVTQVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDRTYNSGCNGGLMDYAFSFIVQNGGLHKEEDYPYIMEEGTCEMTKEETEVVTISGYHDVPQNNEQSLLKALANQPLSVAIEASSRDFQFYSGGVFDGHCGSDLDHGVAAVGYGTSKGVDYIIVKNSWGSKWGEKGYIRMKRNIGKPEGICGIYKMASYPTKKK >Vigun04g136200.1.v1.2 pep primary_assembly:ASM411807v1:4:34088096:34098293:-1 gene:Vigun04g136200.v1.2 transcript:Vigun04g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLANYGNANRDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSSDESSLIWITSSGERNLKLSSVSRIIPGQRTAVFQRYLRPEKDYLSFSLIYGNGKRSLDLICKDKAEAEVWIAGLKGLISSGQGGRSKIDGWSDGGLILDDNRDLKSKSPSESSASTSRGISSPDISVSLPNTSPKSFQPDNTISERSHAPPDPTNMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDHVKIGADKNVNYFSPRTDVLLPRPLEANVVLDVHHIACGVRHASLVTRQGEVFTWGEESGGRLGHGVGKNLVQPRLVEALTSTTIDFVACGEFHSCAVTMAGELYTWGDGTHNAGLLGHGSDVSHWIPKRIANSLEGLQIAYVACGPWHTALITSTGQLFTFGDGTFGVLGHGNRENVSYPKEVDSLSGLRTISVACGVWHTAAVVEVMATHSSTSVSSGKLFTWGDGDKNRLGHGDKEARLKPTCVPALIDYNFHKIACGHSLTAGLTTSGRVFTMGSTVYGQLGNPQSDGKLPCLVGDKIAGECVEEIACGAYHVAVLTSKNEVYTWGKGANGRLGHGDIEDRKTPALIEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCYAKLNKVAEASNSNRRNALPRLSGENKDRLDKSDLRLSKAIIPSNVDLIKQLDNKAAKQGKKNDTFSLVRTSQPPSLLQLKDVVMSTALDLRRTVPRPVVAPSGVSSRSVSPFSRRPSPPRSATPIPTTSGLSFSKSISDSLKKTNELLNQEVQKLHTQVEGLRQRCELQELELQRSAKKTEEAMSLAAEESAKCKAAKEVIKSLTAQLKDLAEKLPPGVYATENIRPAYLPNGLEPNGIHYPDSNGEQQHSGAESISGSSLASMGLESSLLNRTARNSPGTNGTSLHQQIRSPVISNGTNNYPDVKLPNGSGVIQAGSGSTVDDGRDSGNFHNDESGLKSRNAVPAANTNQIEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENRDKVYERYNVRSADKSTGQAARSSEGAGSPVSQT >Vigun02g078700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23085830:23087576:-1 gene:Vigun02g078700.v1.2 transcript:Vigun02g078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKADA >Vigun07g074600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9649787:9649960:-1 gene:Vigun07g074600.v1.2 transcript:Vigun07g074600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESNISQSNHHQHQQVCDPCKSFGKKCSHLVKKQRAKFYILRRCIAMLLCWHEH >Vigun05g229000.4.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQRRNTVLLVLMETMQMLEGSRSTSPQFLW >Vigun05g229000.2.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQGLVLWIAISVFVIYNIHTLLEYLTIGLSIRHWWNNQRMCVMRTTSAWFIGFLSAMLKLSRISDTLFEITEKEHSASGADGNNADAGRFTFDESPVFVVGTTILLVHLTAMLIMFLRLQPNPFGNGCGVGEFICSTYLVLCYWPYLKGLFSRGKYGIPLSTIFMSSVFALVFVHFCRSNII >Vigun05g229000.7.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKAGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQV >Vigun05g229000.6.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCFHMRILVHLHLDCHSQRQVESCSHHNLPRSSLAKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKAGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQGLVLWIAISVFVIYNIHTLLEYLTIGLSIRHWWNNQRMCVMRTTSAWFIGFLSAMLKLSRISDTLFEITEKEHSASGADGNNADAGRFTFDESPVFVVGTTILLVHLTAMLIMFLRLQPNPFGNGCGVGEFICSTYLVLCYWPYLKGLFSRGKYGIPLSTIFMSSVFALVFVHFCRSNII >Vigun05g229000.3.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKAGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQRRNTVLLVLMETMQMLEGSRSTSPQFLW >Vigun05g229000.8.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQV >Vigun05g229000.1.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEHDLPLYEKVWLKRTFQRVIDSLILFLLLFLLSNRVLSSHNFTFPWLLAFICESWFTFTWIVILNAKWSPAVTITYPDRLLQRVQELPPVDLLVTTADPVLESPIITVNTVLSLLALDYPANKLACYVSDDGCSPVTFYALVEASKFAKFWVPFCKKHSLQVRAPFRYFSDVTINNNQHSLQFKQEWLRVKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKAGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQGLVLWIAISVFVIYNIHTLLEYLTIGLSIRHWWNNQRMCVMRTTSAWFIGFLSAMLKLSRISDTLFEITEKEHSASGADGNNADAGRFTFDESPVFVVGTTILLVHLTAMLIMFLRLQPNPFGNGCGVGEFICSTYLVLCYWPYLKGLFSRGKYGIPLSTIFMSSVFALVFVHFCRSNII >Vigun05g229000.9.v1.2 pep primary_assembly:ASM411807v1:5:42247740:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQGLVLWIAISVFVIYNIHTLLEYLTIGLSIRHWWNNQRMCVMRTTSAWFIGFLSAMLKLSRISDTLFEITEKEHSASGADGNNADAGRFTFDESPVFVVGTTILLVHLTAMLIMFLRLQPNPFGNGCGVGEFICSTYLVLCYWPYLKGLFSRGKYGIPLSTIFMSSVFALVFVHFCRSNII >Vigun05g229000.5.v1.2 pep primary_assembly:ASM411807v1:5:42247258:42251193:1 gene:Vigun05g229000.v1.2 transcript:Vigun05g229000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCFHMRILVHLHLDCHSQRQVESCSHHNLPRSSLAKEMYDNLSQKIEEVIHKTIPLQLDGEFAVFSNTEQRNHPSIVKVILENKEGRFDGLSHLIYVSREKRPQYHHNYKAGAMNVLTRVSGLMSNAPFMLNVDCDMVVNNPKIVLHALCIFMDSQKGKDVAFVQCFQQFYDGIKDDPFGNQWVAAFEYIIRGMAGLQGPFYGGTNTFHRRNTIYGLYPHEIETGIKGKLEEDILIQQFGSSKEFINSAAHVLGGSAYSANEITPSNFIEAATQVANCEYECDTFWGKKLGWLYGSISEDVPTGLNIQRKGWRSECCTPDPIAFKGCAPRGLLSTVIQQKRWGSGLTVVFFGKHSPLVCMLFGKVQFRAGLSYFWLTNWGLRAAFLVSYVALIAYCMIANTSIFPQGLVLWIAISVFVIYNIHTLLEYLTIGLSIRHWWNNQRMCVMRTTSAWFIGFLSAMLKLSRISDTLFEITEKEHSASGADGNNADAGRFTFDESPVFVVGTTILLVHLTAMLIMFLRLQPNPFGNGCGVGEFICSTYLVLCYWPYLKGLFSRGKYGIPLSTIFMSSVFALVFVHFCRSNII >Vigun05g120201.1.v1.2 pep primary_assembly:ASM411807v1:5:13188690:13190162:1 gene:Vigun05g120201.v1.2 transcript:Vigun05g120201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNAMMYCHPFWVSIFNPTKESITLADAFSRFWGPTFASDNFEMIDPVGNIHQIIISRGFLHMRFITKGIPQFIKYYDIKNDHVLRLTYMGDNKFIVRIFDLAGDEITYITKSTDECSSTMESNDENAFYFSTEKTLTEYDIRSSSLYLDAQFADVTLVKSQKIYNLSNENGDLWECNIRWSNRSGMGCYITQGWNQFCIDNGVEAGNKVMFGVDKNRSRTIHVLIT >Vigun09g023500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1897873:1898977:-1 gene:Vigun09g023500.v1.2 transcript:Vigun09g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPIFREYIIGSKEVPPIEGFPVEIINRRIPEFHFILAFAAEDYVEDGSGKKVGKGNFSANWNVTKFSAKKIRELKEDYEHVKVVISLGGRGTNFPFNPQDKEIWIDNAKKSLNQIITVLYKFHHETVIDGIDINYEHIESSEDEFAYCIGKVIDYLKSNISGVVVSIAPSFPVLSHYQQLFKANPHRIQWVNYQYYDQKVPSTHEFVNLHKTLIDTFGVEKLLAGFSTDPNDKGNISAEVFVEGVLQLLASGSLAGIFVSDAQSSLLSEPPLYLEKKSQEILTGTN >Vigun03g407900.1.v1.2 pep primary_assembly:ASM411807v1:3:61495285:61496779:-1 gene:Vigun03g407900.v1.2 transcript:Vigun03g407900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRSKRVNHCIQKRPTFCITCYYIREREGAEIVYGSEECYRHSIELLEELGFPKGVLPLQDLVECGRVRETGFVWMKQKAPYEHFFEGTNTRVSYAVEVTGYVEKFRMKKMTGIKSKQMLLWVPISEMCIEDPKAKKILFKTPVGIGKSFPIIAFMTPEEKEKHLLLQNKVIEE >Vigun03g407900.2.v1.2 pep primary_assembly:ASM411807v1:3:61495345:61496563:-1 gene:Vigun03g407900.v1.2 transcript:Vigun03g407900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRSKRVNHCIQKRPTFCITCYYIREREGAEIVYGSEECYRHSIELLEELGFPKGVLPLQDLVECGRVRETGFVWMKQKAPYEHFFEGTNTRVSYAVEVTGYVEKFRMKKMTGIKSKQMLLWVPISEMCIEDPKAKKILFKTPVGIGKSFPIIAFMTPEEKEKHLLLQNKNMETLE >Vigun03g180000.1.v1.2 pep primary_assembly:ASM411807v1:3:22745872:22752651:1 gene:Vigun03g180000.v1.2 transcript:Vigun03g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWLSIVVDGSTAMAPYWRKIVSEYLVNIVRSFFDNSRGEGGYPTCEVGLIMYNPNPSQGRSIHYINWTNNMDQFLALLPFLSFKGHNLNHTAAEGLAEALGMFPRERMTEKEYFECERHCVLVPTGEPVAERMLVPLPRVHDGKFIHGQIRILLANFLDVAKMFVPLRISLSVITPTLHPLFVSIFNEGNSLDKSTPIMDYGNGHITVLLSNNFKEAKNVHYSKGIESMKTTTSIPINFSNTHQGDQYSANSMNIGQPSIQGFQNVRREFGSKLPLVASQAATTTNTNGCFLPMDSYAEVMSLLNDDNNDYEEDQPRKKSKTSGPTSEEEDSLAYLFQLDPPVSLDEILGQDQTLFSNTQLGGESSQLLRDIVPQTVTRGTPSVGEGSSNGLPQQLGGNMGVVNPMFSSELNSSNSTLSLPQNNPIFWPPQTAAPFGDSTFLNTIGNSNTQFGSAARAGSDFGNSLTQFQFPNSSVNPYDCAGAGDGNSFSQFQPPSFPVHCYGSLTAGVACASASTDAIVVASAANGSASSYGSHQQFTKHNPFEMRGIDPLGLPSAMVPNPLVSPVPIMSQYNQTQVLPRQPFLPDFKDSIPAWEGYLVGSIHPYCAFFNQAKAWRKKTSPVALTYQWSTTLEIALYLPKKAVMHTMRTYGGLLDDVLFEVTQFDNLDLYHHLISYNLCAKIALPSHTLILSTTENKHCYTASIFPGDAVFVEPL >Vigun03g078300.2.v1.2 pep primary_assembly:ASM411807v1:3:6459735:6467750:-1 gene:Vigun03g078300.v1.2 transcript:Vigun03g078300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHESSKSGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCRLNRNYERMELDHSIGQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNTGMGHGLHSLQVLIAMRGISLLKIGGRMVYSTCSMNPIENEAVVAEVLRRCGGSVKLVDVSSELPQLIRRPGLKRWKVYDKGSWFVSYNDVPKYRRSVILSSMFPSGRGHPDVVDSSCNVNVEVGTNGIHENAGDINESAGDSVQPVLNPVMSESAEEVSDFPLEHCMRIVPHDQNTGAFFIAVLQKVSPLPVIPEKPKVKINDQCVDPANQSLNDAQVQQITSPENAHEEDFKAVLEENVDDNEPNTEDMEDDPVACEEQKSKETVVPDNVQNTMKRIPGKRKLQIQGKWRGVDPVVFFKDETIINSIRDFYGIDERFAFDGHLVTRNSDTNHVKRIYYISKSVKDVLELNFKVGQQLKITSIGLKMFERQTAREGSSAPCSFRISSEGLPLILPYITKQILHASPADFKHLLQNKEVKFEDFTDAKFGEKAANLLPGCCVVILSVGNTTSVESLQVDESTIAIGCWKGRARLSVMVTAMDCQELLERLLIRFDNVNGSSGHDDKSSNTEEEIRPVQE >Vigun03g078300.1.v1.2 pep primary_assembly:ASM411807v1:3:6459735:6467750:-1 gene:Vigun03g078300.v1.2 transcript:Vigun03g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRGGRSRTQRKHFRQGRENVWKRSKSDPDPSSTENNPSWTPFATENAAFDFYYKEQGIVDSQQWDQFVAVLRTPLPATFRINSSSQFSDDIRSQLENDFVHSLRDEVGEGGETEAIRPLLWYPGNFAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHESSKSGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCRLNRNYERMELDHSIGQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNTGMGHGLHSLQVLIAMRGISLLKIGGRMVYSTCSMNPIENEAVVAEVLRRCGGSVKLVDVSSELPQLIRRPGLKRWKVYDKGSWFVSYNDVPKYRRSVILSSMFPSGRGHPDVVDSSCNVNVEVGTNGIHENAGDINESAGDSVQPVLNPVMSESAEEVSDFPLEHCMRIVPHDQNTGAFFIAVLQKVSPLPVIPEKPKVKINDQCVDPANQSLNDAQVQQITSPENAHEEDFKAVLEENVDDNEPNTEDMEDDPVACEEQKSKETVVPDNVQNTMKRIPGKRKLQIQGKWRGVDPVVFFKDETIINSIRDFYGIDERFAFDGHLVTRNSDTNHVKRIYYISKSVKDVLELNFKVGQQLKITSIGLKMFERQTAREGSSAPCSFRISSEGLPLILPYITKQILHASPADFKHLLQNKEVKFEDFTDAKFGEKAANLLPGCCVVILSVGNTTSVESLQVDESTIAIGCWKGRARLSVMVTAMDCQELLERLLIRFDNVNGSSGHDDKSSNTEEEIRPVQE >Vigun09g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3886935:3887765:-1 gene:Vigun09g041900.v1.2 transcript:Vigun09g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVFGRQKQSRAFKEYYLEWFNTLKNNLLPLLRRSIAGESSTILSSHVEMLHQHFQSYYHALDAAATTDPTQLLSQDWRNNLEKPLLWLADLHPFLFTNLVRSFLHQQSPPETHRNNPRRHLPLPFPDRPWHVALAWSNSSDSLTACMDQIECRLRHIVATLSDRLKHAESAFMECVVGNWFQCWEEQNIAAGKEAVGADVKAHMEEVVNVVLYANRVRRSVLVDIMSATTVYQAALFFEGLAQFLIGFRDHDLLNAVEQCKVLPNAKHSRTSSH >Vigun11g006400.1.v1.2 pep primary_assembly:ASM411807v1:11:674092:675929:-1 gene:Vigun11g006400.v1.2 transcript:Vigun11g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVELRDEHGNPVKLTDAYGNPVWLTDEKGNPVHLTGVATVADHTPQHIPLHSNSTSTSFSFEDNRTKEKCAAEGEGEMKEHSHSKASLKEKLEEEEEEKLEASLKEKLEEEEEKLEALLREKLEEELEEEKKEYSRMKTSPKEIKLEDDEEKKKRTEDSDSRSYRNSDDGNIDEHRSTKKGIIEMIKERLPGQGNY >Vigun01g009700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1128298:1130573:1 gene:Vigun01g009700.v1.2 transcript:Vigun01g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNLARSAFGLGAAATALSSSLYTVDGGQRAVLFDRFRGILDDTVGEGTHFLIPWVQKPYIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEIGKLPVIVKNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRSQVSALVRDSLIRRAKDFNIVLDDVAITHLSYGSEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATASAGMGLIELRRIEASREIASTLAKSPNVSYLPGGQNLMMALNASR >Vigun04g133800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33641741:33642397:1 gene:Vigun04g133800.v1.2 transcript:Vigun04g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERYGAQLHRSRKLILRSIDLSCNNLKGEMPKEITYMIGLVSLNLSRNNLNGEIPSEIGNLSSLYSLDLSRNNLSGKIPPTLSNIDRLSVLDLSNNHLSGRIPWGRQLQTFDASNFEGNLDLCGKPLEESCPGDETLIKSKGPEEHDEDDNSGFYGALYMSLGLGFFTGFWGLLGPLLLWQTSRIAYLRFLNKLTDCILEMAEVNIAKCQRWLKDE >Vigun01g075900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:21339328:21339618:1 gene:Vigun01g075900.v1.2 transcript:Vigun01g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLCFLFSGVAPTTSASFLDHRGQGRHRSVAVVNWNTIGDSSSALSFLTLTWLNPSTSSRTTRIKATKLRLAGGNAAGDDFISLTVLCFRLDACD >Vigun02g102900.1.v1.2 pep primary_assembly:ASM411807v1:2:25764316:25766127:-1 gene:Vigun02g102900.v1.2 transcript:Vigun02g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGKKTKPTVADLLKTPSPVGSPVGSPEADSPAIFTRAASTSKRAKRATLATSSSPPRSHRAPSNISDLRNFTSSGVEDLKRRIDYSHSEFLKDLEGSNSRLHKRFKMQTQAYQQVMDEAEKEYKKVSERITESRDAMKASYEEFMLDAQASASRACKTSIVELSQSFEKAIDSLRKRYGVSSN >Vigun05g133000.1.v1.2 pep primary_assembly:ASM411807v1:5:15630928:15632923:-1 gene:Vigun05g133000.v1.2 transcript:Vigun05g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRRTVDGEADKIDRISALPQSVLLSILSRLDLKEAAATSVLSTTWRDLFLQLPKIELTFDIYGNPSDHPRLFHIFTLLAHRVLRERNPEAPIRSLNVSVRNFTERMEKDYRSLLMSAAAAVSTYKVQQFDLSLMFRLITKSLKIFIPPAIFTSETLTSLRLTLSAGWDVPENVWLPNVTVVHFLPFLLVDENCVQRFLDRCPRLEDMMFKMKDEGKVKNLCMSSSTVKSVMLGWDLKDESETSITVKSESLLRLTLSLNRTHTVNVNAPNLKFFSIKGKALELNMIQSVPSIEEAVIASDCMLEFSNLNNFYSRSEKACTFFGELQNLTLLHISEPIMKALYVSKPELPTFRNMYKIKLIPDYSLDDFSRYWIAKVLFNLFQNCPNLKVLSFEKAFDNYFGEVDLESVFPTSMVQNLKELEIFNYRGRDMEYKLVEFFMNNGRSLEIVYLRKYDLMPNTSTWKRKQRERISSFLTSSEDCEIIFR >Vigun05g133000.2.v1.2 pep primary_assembly:ASM411807v1:5:15630928:15632923:-1 gene:Vigun05g133000.v1.2 transcript:Vigun05g133000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRRTVDGEADKIDRISALPQSVLLSILSRLDLKEAAATSVLSTTWRDLFLQLPKIELTFDIYGNPSDHPRLFHIFTLLAHRVLRERNPEAPIRSLNVSVRNFTERMEKDYRSLLMSAAAAVSTYKVQQFDLSLMFRLITKSLKIFIPPAIFTSETLTSLRLTLSAGWDVPENVWLPNVTVVHFLPFLLVDENCVQRFLDRCPRLEDMMFKMKDEGKVKNLCMSSSTVKSVMLGWDLKDESETSITVKSESLLRLTLSLNRTHTVNVNAPNLKFFSIKGKALELNMIQSVPSIEEAVIASDCMLEFSNLNNFYSRSEKACTFFGELQNLTLLHISEPIMKPLLDCKGVIQLVSKLSKP >Vigun08g224750.2.v1.2 pep primary_assembly:ASM411807v1:8:38245422:38251827:-1 gene:Vigun08g224750.v1.2 transcript:Vigun08g224750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGAAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLVLDYLRQMHAENPNFFYAVQGDEDQSINNVFWADPKARMNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRPPVSITTDHDSVIRSAIIQVFPETRHRFCKWHIFKKCQEKLSHIFLKYPNFEAEFHKCVNLTESIEEFESCWSTLVDKYDLRDHEWLQAIYSSCRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYINASTNLNQFFKLYEKALESRNEKEVRADYDTMNTLPVLRTPSPMEKQASEFYTRKIFMRFQEELVGTLTFMASKADDNGDVITYNVAKFGEEHKGYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSPYILKRWTRNAKSNVVLEEHSCDVYTYYLESHTVRYNTLRHEAFKFVDEGAQSAETYDVAMDALQEAAKRVSQVMQNEGRIPISNGKLRSHVMNDESHANYTSACQEECLSQHTSKISSWFCLESSIN >Vigun08g224750.1.v1.2 pep primary_assembly:ASM411807v1:8:38245422:38251827:-1 gene:Vigun08g224750.v1.2 transcript:Vigun08g224750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGAAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLVLDYLRQMHAENPNFFYAVQGDEDQSINNVFWADPKARMNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRPPVSITTDHDSVIRSAIIQVFPETRHRFCKWHIFKKCQEKLSHIFLKYPNFEAEFHKCVNLTESIEEFESCWSTLVDKYDLRDHEWLQAIYSSCRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYINASTNLNQFFKLYEKALESRNEKEVRADYDTMNTLPVLRTPSPMEKQASEFYTRKIFMRFQEELVGTLTFMASKADDNGDVITYNVAKFGEEHKGYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSPYILKRWTRNAKSNVVLEEHSCDVYTYYLESHTVRYNTLRHEAFKFVDEGAQSAETYDVAMDALQEAAKRVSQVMQNEGRIPISNGKLRSHVMNDESHANYTSACQEECLSQHTSKDDLDTNIRKLLNELESANRKCEIYRSNLLSVLKAVEDHKLELSVKVENIKISMKDGI >Vigun08g224750.3.v1.2 pep primary_assembly:ASM411807v1:8:38245996:38251827:-1 gene:Vigun08g224750.v1.2 transcript:Vigun08g224750.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGAAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLVLDYLRQMHAENPNFFYAVQGDEDQSINNVFWADPKARMNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRPPVSITTDHDSVIRSAIIQVFPETRHRFCKWHIFKKCQEKLSHIFLKYPNFEAEFHKCVNLTESIEEFESCWSTLVDKYDLRDHEWLQAIYSSCRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYINASTNLNQFFKLYEKALESRNEKEVRADYDTMNTLPVLRTPSPMEKQASEFYTRKIFMRFQEELVGTLTFMASKADDNGDVITYNVAKFGEEHKGYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSPYILKRWTRNAKSNVVLEEHSCDVYTYYLESHTVRYNTLRHEAFKFVDEGAQSAETYDVAMDALQEAAKRVSQVMQNEGRIPISNGKLRSHVMNDESHANYTSACQEECLSQHTSKVFTVDEV >Vigun06g211000.1.v1.2 pep primary_assembly:ASM411807v1:6:32385402:32387988:1 gene:Vigun06g211000.v1.2 transcript:Vigun06g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIIYYSTYGHVEKLAREIEKGAASVEGVEAKLWQVPETLPEEVLQKLGAPPKSDVPIITPHELPEADGFLFGFPTRFGSMAAQFKAFFDATGGLWRSQSLSGKPAGFFYSTASQGGGQETTPLTSITQLVHHGMIYVPIGYTFGAGMFELEKLKGGSPYGAGTYAGDGSRQPSELELAQAFHQGKYFAGIAKKLKGSQ >Vigun08g161200.1.v1.2 pep primary_assembly:ASM411807v1:8:33365251:33368357:-1 gene:Vigun08g161200.v1.2 transcript:Vigun08g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSSPQDWPEPIVRVQSLSERCTDSIPDRYIKPISDRPSSAVLDDDANIPVIDLAGLYGEPEARASTLSQISEACNEWGFFQIVNHGVSPELMDMARETWRQFFHLPVEVKQQYANSPKTYEGYGSRLGIEKGAILDWSDYYFLHYLPLSLKDCNKWPSQPPSSREVCDEYGREVVKLCGRLMKVLSINLGLEEEVLQKGFGGEDVGACMRVNYYPKCPRPELTLGLSSHSDPGGMTLLLSDDQVPGLQVRKADKWITVKPLPHAFIVNIGDQIQVLSNGNYKSVEHRVMVNSNKERVSLAFFYNPKSDIPIEPVKELVNPEKPALYTPMTFDEYRLFIRLRGPCGKSHVESLKSPR >Vigun08g114500.1.v1.2 pep primary_assembly:ASM411807v1:8:28122182:28123859:-1 gene:Vigun08g114500.v1.2 transcript:Vigun08g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVSWKFQTFCVILFTFLSYSFSSIFTITNNCPYTIWPGTLSGSGSPPLPTTGFRLDSGQMIKLTSVPGWSGRIWARTGCTFDATGIGKCETGDCGGRLECDGNGAAPPTSLFEITLGKGNDQDFYDVSMVDGYNLPLLVQPRGVYGSGVCNATGCVTDINRGCPKELQVVGGDGYQDGVVGCKSACEAFGSDEYCCSGEFANPSTCQPSYYSTLFKQACPKAYSYAFDDATSTFICKAFEYDIVFCPNTNRARKPNAPVPPLPPSIGWPDQKVQQQLHHSSSDILLPFPVTLFLFVPLSLCLQQSLRH >Vigun08g114500.2.v1.2 pep primary_assembly:ASM411807v1:8:28122106:28123859:-1 gene:Vigun08g114500.v1.2 transcript:Vigun08g114500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVSWKFQTFCVILFTFLSYSFSSIFTITNNCPYTIWPGTLSGSGSPPLPTTGFRLDSGQMIKLTSVPGWSGRIWARTGCTFDATGIGKCETGDCGGRLECDGNGAAPPTSLFEITLGKGNDQDFYDVSMVDGYNLPLLVQPRGVYGSGVCNATGCVTDINRGCPKELQVVGGDGYQDGVVGCKSACEAFGSDEYCCSGEFANPSTCQPSYYSTLFKQACPKAYSYAFDDATSTFICKAFEYDIVFCPNTNRARKPNAPVPPLPPSIGWPDQKQSLRH >Vigun07g111100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20626379:20627869:-1 gene:Vigun07g111100.v1.2 transcript:Vigun07g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPNFLRLIALFQLFFIAPSFAQQSFRPKALVVPVTKDASTLQYVTQINQRTPLVPLNLVLDIGGQFLWVDCDTNYISSTYRPARCRSAQCSLARSTACGDCFSAPRPGCNNNTCGLTPDNTITHTATSGELAEDTVSVQSTNGFNPGRNVTVSRFLFSCAPSFLLQGLATGVSGMAGLGRAKIALPSQLASAFSFHRKFAVCLSSSKGVAFFGDGPYVLLPNIDASQLLTFTPLLLNPVSTASAFSQGEPSAEYFIGVKSIRIDEKAVRFNTTLLSINSNDGVGGTKISSVNPYTVLEASIFKAVTEAFVKASAARNITRVAGVAPFEVCFSSENVLATRLGASVPTIELVLQNQKTIWRIFGANSMVSVSDKVLCLGFVNGGETPRTSIVIGGYQLENNLLQFDLAASRLGFSSLLFGIRTTCANFNFTSTF >Vigun03g239650.1.v1.2 pep primary_assembly:ASM411807v1:3:39972452:39976627:1 gene:Vigun03g239650.v1.2 transcript:Vigun03g239650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHSQELPPSSQQSDPPNPTTEPVGVVGGEHDVPHSQNTKKRKLNASGKKTTSVVWDYFTRSLESTYSIATCNHCGKRFRCDPKIHGTSNLLNHLKRLCPKYLFAAINDPNQTTLTFKSGDNNSLLATPLKYNVEACRKAIAMFVILDEQPFKVVEGEGFKILCRQLQPLFVVPSRFTIARDCFQLYMDEKVRLKEFFKSNCVRVALTTDCWTSIQNLGYLTLTAHFIDNDWRMKNMGGLVMDGQFFHMRCCAHILNLVVSDGLKELHNSITSIRNAVRFVRSSPQRLAKFRECIEFSKIECKKLLCLNVPTRWNSTYMMLDAAIKFQVAFEKLDNEDSSYMAFFGDEGPPSPSDWKHAQDFVKFLKLFYEATKSFSTSLHVSIHIAFHQMVTIHFALDKTTMNLNSILAPTSYDMRLKYHKYWGNVDNINHLLYFGVIFDPRYKFEYVIWNFQEMYKHDSNKAVELTNCVKDSLKKMYDWYKSLHDEQHRPEQPLEHQFHASTIPEIHVYLARADAFKKHLREKDTIDRKNELERYLNEFVVDGDDQLDILVWWKINSSRFPILSRMVRDVLATPISTIASESAFSTGGRVLDTLRSSLNPPMVEALICAQNWLRTTITQFDESNGNTMDGSGVGSSSHSNQCCDS >Vigun11g158500.1.v1.2 pep primary_assembly:ASM411807v1:11:36674481:36676650:1 gene:Vigun11g158500.v1.2 transcript:Vigun11g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNSLINPTSYLPLPSNRTTTSFIFNHGRKKSKSNCIRSQSTQQRWTLQGMTALVTGGTRGIGRAIVEELTGFGARVHTCARNEHDLTECLKKWNDSGFEVTGSVCDVSVPKQREALMESVSSLFNGKLNILINNVGTNIRKPVTDFTSTEFSTLIDTNLGSVFHICQLAYPHLKASGMGSVVFVSSVSGFVSLKSMSVQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVLSNKDYLEEVYSRTPLRRLGDPAEVSSVVAFLCLPASSYITGQIICIDGGMSVNGFYPTTQF >Vigun01g000601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:136905:137405:-1 gene:Vigun01g000601.v1.2 transcript:Vigun01g000601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMAADNRRSYTDRVAGRHPGLCFWSSQNVVQSMMKGETGMVISREKKPFEKEETPWRKNTISQYHTQGDNKETSHTVFFHNLTERTGKKERNFKNSYPEQPSNKRIGRTRTGEPPLITPYKHRETTRGKYQLNVNLGMNMILCYVGCLNEYDLVFCWICYVLLV >Vigun01g143200.1.v1.2 pep primary_assembly:ASM411807v1:1:32429108:32431958:-1 gene:Vigun01g143200.v1.2 transcript:Vigun01g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKLAQLGERLKTGGAQMGRMVSGKVKEMLQAPTPESKMVDEATLETMEDPNWGINLRICAMINSDQFNGSEVVKAIKRKINHKNPLVQTLSLDLLEACAMNCDKVFSEIASEKLLDDLVRLIDNPQAHHSTRRRAFQLIRAWGDSEDLAYLPVFRQTYMRLKEGRDDPLDMAGGNSPSIPYASESYAHQYPVDPPERYPIPEAELAIDDPAAFSSNYQHISVEEKKEHLVVARNSLELLSSILNSEAEPKPLKEDLTVSLLGKCKQSLSIIKGIVESTTDDEATLFEALYLNDELQQVVSNYEKLEAAQMSGTQEPQNAEPAKHDAEAVQNPNEVPVRFEIDDSEESEADQNLDRKVPQKSNTLKVNATEVEGNGLAETEIVKDTTEKNGESSFKRNTE >Vigun07g119100.1.v1.2 pep primary_assembly:ASM411807v1:7:22075641:22087816:-1 gene:Vigun07g119100.v1.2 transcript:Vigun07g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGESMETPHTNAFILSFLLLNLAFGRVAGADTYSRDDFPVDFIFGSGTSAYQVEGAVNTEGRSSSIWDTFTHAGYMQGENGDVACDGYHKYKEDVKLMVETGLEAYRFSISWSRLLPNGRGPVNPKGLQYYNNLINHLISNGIQPHVTLHNYDLPQVLEDEYGGWLSRDIIGDFTYYADVCFREFGDRVLYWTTVNEPNVFGLCGYDQGTCPPQRCSPPFCAIKSNRGNSTYEPYLAVHHILLAHSSAVKLYRRKYRNKQHGFVGTTVFLYAISPQTNTEKDRAATERARDFLVGWVMEPLVYGDYPISMKTNAGVRIPAFTPRESEQLKDSFDFIGVNYYTGINVTDNSDSLKNPLRDFTADTATMFSGVDLFSNEEYPIIPWIMLEELNKFKLLYGNPPIFIHENGQRTPSNSSLQDVSRVKYLHGHIGGVLDALRDGINIKGYFVWSFLDLFELLDGYKSSYGLYYVDREDPELKRYPKLSAKWYSRFLKNRSSFIVGSVELEKDPSLVSIGHLFE >Vigun09g097500.2.v1.2 pep primary_assembly:ASM411807v1:9:15028345:15029896:-1 gene:Vigun09g097500.v1.2 transcript:Vigun09g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETVPLKESLGKVNISPSQVALIVDNYLCANNLSHTRATFRMEASSLFSGSPFNQVCKPSLNLGRILEDYISLKRQNLILNQENLILNQERVAMMQEQFRVQKLVQDVQNVVNAYHTFQRLIPVADKTPSGVCTGMASVQNTNTDNKVQWQESHKRKNSEAIDAPTIAKKPRGRPPGKKNQFKGLNMLPSVGTQSLIANSTVTRSQVPTNSSIIETHPGTATNKSVTTCNKDKDVVTHCEAVMVCTEEEIIYKPSLHSSSINSDTDTTNKRELICNVSRIFQEDLIPNELSIPHKETQEEQSQMDLLHINLSDLDMEYWSNFSLKDMGILEEDLFDHPASTYCPASTHLQVDA >Vigun02g098300.5.v1.2 pep primary_assembly:ASM411807v1:2:25381333:25386402:1 gene:Vigun02g098300.v1.2 transcript:Vigun02g098300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHFMASQRRRVEQFTVVVRNIPNMPGHAVSDSVEGFFQTNHPNHYIGHQAVYNANKFAKLAKRRERLQNWLDYYQLKSERHPDKSSTVKTGLFGLWGRKVDAVDYYKHAVKELDNLMTLERMKIIKDPKAILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRRLVISLSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELNFIKSFLQGFLPGLALKVFLYILPTVLMIMSKIEGHIALSTLERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIYHLKNMFIVKTERDRGKAMNPGSVDFPETIPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNQQYESAAAFWPLVHSRIIASMLISQFLLLGLLSTKKAAKSTPLLVILPILTFAFHKYCQRRFEPAFRKYPIEEAMSKDMLEKSTEPDLNIKAYLADAYLHPIFRSFEVEEEEMIEVRVDKHQTNVAPSSPPSSEPSSPSPPHHLHQSFPAHHLHLPSPPHHLQEPPPPPHHLQEPPPPHHLPESSSSHDLPEPSLPHDFPEPSQPHHFPELSQPHHLPELSPPHHHLLEPSLPPHMHQPFPPPPYNEYPPGYYYQYHPQSPPHYVYQ >Vigun02g098300.3.v1.2 pep primary_assembly:ASM411807v1:2:25381042:25386402:1 gene:Vigun02g098300.v1.2 transcript:Vigun02g098300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHFMASQRRRVEQFTVVVRNIPNMPGHAVSDSVEGFFQTNHPNHYIGHQAVYNANKFAKLAKRRERLQNWLDYYQLKSERHPDKSSTVKTGLFGLWGRKVDAVDYYKHAVKELDNLMTLERMKIIKDPKAILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRRLVISLSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELNFIKSFLQGFLPGLALKVFLYILPTVLMIMSKIEGHIALSTLERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIYHLKNMFIVKTERDRGKAMNPGSVDFPETIPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNQQYESAAAFWPLVHSRIIASMLISQFLLLGLLSTKKAAKSTPLLVILPILTFAFHKYCQRRFEPAFRKYPIEEAMSKDMLEKSTEPDLNIKAYLADAYLHPIFRSFEVEEEEMIEVRVDKHQTNVAPSSPPSSEPSSPSPPHHLHQSFPAHHLHLPSPPHHLQEPPPPPHHLQEPPPPHHLPESSSSHDLPEPSLPHDFPEPSQPHHFPELSQPHHLPELSPPHHHLLEPSLPPHMHQPFPPPPYNEYPPGYYYQYHPQSPPHYVYQ >Vigun02g098300.4.v1.2 pep primary_assembly:ASM411807v1:2:25381333:25386402:1 gene:Vigun02g098300.v1.2 transcript:Vigun02g098300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHFMASQRRRVEQFTVVVRNIPNMPGHAVSDSVEGFFQTNHPNHYIGHQAVYNANKFAKLAKRRERLQNWLDYYQLKSERHPDKSSTVKTGLFGLWGRKVDAVDYYKHAVKELDNLMTLERMKIIKDPKAILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRRLVISLSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELNFIKSFLQGFLPGLALKVFLYILPTVLMIMSKIEGHIALSTLERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIYHLKNMFIVKTERDRGKAMNPGSVDFPETIPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNQQYESAAAFWPLVHSRIIASMLISQFLLLGLLSTKKAAKSTPLLVILPILTFAFHKYCQRRFEPAFRKYPIEEAMSKDMLEKSTEPDLNIKAYLADAYLHPIFRSFEVEEEEMIEVRVDKHQTNVAPSSPPSSEPSSPSPPHHLHQSFPAHHLHLPSPPHHLQEPPPPPHHLQEPPPPHHLPESSSSHDLPEPSLPHDFPEPSQPHHFPELSQPHHLPELSPPHHHLLEPSLPPHMHQPFPPPPYNEYPPGYYYQYHPQSPPHYVYQ >Vigun02g098300.1.v1.2 pep primary_assembly:ASM411807v1:2:25380042:25386402:1 gene:Vigun02g098300.v1.2 transcript:Vigun02g098300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKVKQQQRTQLFYFHPAIKNWSYQESVILSLLILLFNSLPLEHPISSLSLSLWALLVSAMASLADIGVSATINILSAFAFLLAFALLRIQPINDRVYFPKWYLSGGRSSPRSSGNFVGKFVNLNFKTYLTFLNWMPQALRMSESEIISHAGLDSAAFLRIYTLGLKIFVPITVVALLILIPVNVSSGTLFFLKRELVVSDIDKLSISNVPSESIRFFVHIALEYLFTLWICFLLYKEYDYVSSMRLHFMASQRRRVEQFTVVVRNIPNMPGHAVSDSVEGFFQTNHPNHYIGHQAVYNANKFAKLAKRRERLQNWLDYYQLKSERHPDKSSTVKTGLFGLWGRKVDAVDYYKHAVKELDNLMTLERMKIIKDPKAILPVAFLSFNSRWGASVCAQTQQSKNPTLWLTDWAPEPRDVYWQNLAIPFVSLSIRRLVISLSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELNFIKSFLQGFLPGLALKVFLYILPTVLMIMSKIEGHIALSTLERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIYHLKNMFIVKTERDRGKAMNPGSVDFPETIPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNQQYESAAAFWPLVHSRIIASMLISQFLLLGLLSTKKAAKSTPLLVILPILTFAFHKYCQRRFEPAFRKYPIEEAMSKDMLEKSTEPDLNIKAYLADAYLHPIFRSFEVEEEEMIEVRVDKHQTNVAPSSPPSSEPSSPSPPHHLHQSFPAHHLHLPSPPHHLQEPPPPPHHLQEPPPPHHLPESSSSHDLPEPSLPHDFPEPSQPHHFPELSQPHHLPELSPPHHHLLEPSLPPHMHQPFPPPPYNEYPPGYYYQYHPQSPPHYVYQ >Vigun09g012700.1.v1.2 pep primary_assembly:ASM411807v1:9:944871:950584:-1 gene:Vigun09g012700.v1.2 transcript:Vigun09g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAKKSIAGPLTVRPNTDDRKPSPLPAVPLKKVIIKSADMIPDMQKEAVDIAVAAFEKYNVEKDVAEQVKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >VigunL037800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:317351:319000:1 gene:VigunL037800.v1.2 transcript:VigunL037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGAITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRIQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQNLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Vigun03g300900.1.v1.2 pep primary_assembly:ASM411807v1:3:48974477:48984607:1 gene:Vigun03g300900.v1.2 transcript:Vigun03g300900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDHLAFERNKTQFDVEEMKIVWAGSRHALEVSDKMARLVASDPVFRKDDRPVLGRKELFKNTMKKAVYAWKRIIELRLTEEEAAMLRTFVDQPAFTDLHWGMFIPAIKGQGTEEQQQKWLPLAQRMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGNGAYNSMDNGVLRFDHVRIPRNQMLMRVSQVTREGKYAQSSVPRQLVYGTMVYVRQTIVRDASSALARAVCIATRYSAVRRQFGSKKGGLETQVLDYKTQQARLFPLLASAYAFRFVGEWLKWLYMDVMQRLQANDFSTLPEAHACTAGLKSLTTSITADGIEECRKLCGGHGYLCSSGLPELFAVYIPTCTYEGDNIVLQLQVARHLIKTISKLGSGKKPVGTTSYIGRVEQLMLYHSDVQKAEDWLQPNAVLGAFEARAAKKLVACAKNLSKFTNPEQGFQELAADLVETAVAHCQLIVVSKFIEKLQQDIPGKGVKHQLQLLCSIYALSLLHKHSGDFLSTGCITPKQGSLANDLLRSLYSQVRPNAIGLVDAFNYTDHYLGSVLGRYDGDVYPKLYEEAWKDPLNDSVVPDGFQEYIRPMLKQQLRNARL >Vigun11g017250.1.v1.2 pep primary_assembly:ASM411807v1:11:2168593:2169578:-1 gene:Vigun11g017250.v1.2 transcript:Vigun11g017250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSDKQANEENELLLSPFPGIGVWVKVPISTYFKIFGTTWLKALTFVIVNHQRFPSFENHPLLSSNPHELVSFPEFILSHDLHDQILSLSNMSEPRLLATHLPFPSLPNSITKSNCKIIYICRNPFDTFVSAWEFFSKTKSVSSPDALTFEEAFEKYCNGIMGFGPWWSHMLGYWNESITRPDKVLFLKYEDLKEDAVFHVKRIVEFLDSPIAQEGESSAVIENIINLCRFEKMKDLEVNKSGEVDNIAEKKNFFRKGKIGDWVNYFSPSMIEKLSKIIEEKLSGSGLSFKVHS >Vigun09g134800.1.v1.2 pep primary_assembly:ASM411807v1:9:29470648:29475526:-1 gene:Vigun09g134800.v1.2 transcript:Vigun09g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSRASSQKITQFQALIRGTINQRHPIRRPSFSDAAAFRSPSPIFHPQQRLGNSTFRFFSSNFDKGLAQKVFDKPAAAVSSAFSRYREAIGLQIEAFCKRNYLFLLGASGVILCGILWRILFGVANLFVGISEGLAKYGFLALSSAIVAFTGLYIRSRFTINPDKVYRMAMTRLNTSAGILEVMGAPLSGTDLRAYVMSGGGLTLKKFKPSIRSKRCFLIFPITGSEKKGLVNVEVKKKNGQYDMKLLAVDVPMASGPDQRLYLIGDENEYKVGGGLISELRDPVVKAMAATKEFDALDEIEEEEDAERERLEDERKQREEIEKLEKSGT >Vigun05g231300.1.v1.2 pep primary_assembly:ASM411807v1:5:42487041:42491862:-1 gene:Vigun05g231300.v1.2 transcript:Vigun05g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDINHAATVNDDLLLKNFFAEVSEVERDNEVLRILSCFKLNPFEYLNLSFDSSMDDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQLLLDQNERDYLLSQVNSAKEELRAKRKKQLKKDTASKIKSLVEEGKYDKQYEQSEEFQQELKVKVRELLTEQEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEEWEGTREQRVSSWRDFMKGGKKNKKGEIRPPKLKTEDPNKSYVQRPVKRG >Vigun02g024900.1.v1.2 pep primary_assembly:ASM411807v1:2:8320792:8322386:1 gene:Vigun02g024900.v1.2 transcript:Vigun02g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGKVEAEVEIKASAEKFFHVFRKQLQHLPNISSQRIHSAVVHEGDWENVDAVKHWEFTVEGKKTSAKEKIEVVDDANKTIIFSIFDGEIGESYKSLRATLQTIDKENGGIVKWTYEYEKLSENITAPSPQSFLDFVILVTKDIDDHLVKP >Vigun07g186000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30280883:30281299:-1 gene:Vigun07g186000.v1.2 transcript:Vigun07g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSFRYSDIPYQQSLCTQVAKAGTAVTAGGSLLVLGALVLTGTVICLTAVTPLFVIFSPVLVPAVMGVGVLSIGFLVSGGLGVMAMTVLAWIYRYVSGKHLPGAHQLDTARHMLFNKAREIKDYGHHQISGGALAS >Vigun05g106600.1.v1.2 pep primary_assembly:ASM411807v1:5:10778164:10783582:-1 gene:Vigun05g106600.v1.2 transcript:Vigun05g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSRAPASEGSAGVKQSPVENVTVACPDHLVLADLPVAKGIGAATATSLVKTLGRRSRRQLGERVHFCVRCDFPVAIYGRLSPCEHAFCLDCSRSDSMCYLCDERIQKIQTIKMMEGILICAAPHCLKSFLKKADFESHIQDSHANLLRPNADKEDGNDSEAQSVRQSTASDSTARGPQRTVFSPGSNSQQHDLEDKSRRQTPREQPPSRQTLQPKPPYYGQQHPSDTMSGSVGGGQQGFHQQSFDMQQPPQEPSQFSDRQQAVGPETPFPEYATMHPAQPSNVPSLVTSNPMLNPPLPFGYPPYPNERAQPFYGGPYDMPRPDSGADIGGEQSSLLGFPQGAPNGPNFPGNYPQPWNSGIGGVPFEQTQGGMVVDPREGKGILAPQPMPLPPPPPPPPNMSHLKQNYYSGELGHDGQGYGWQHDNRDGFGSQG >Vigun01g001500.1.v1.2 pep primary_assembly:ASM411807v1:1:245885:249905:-1 gene:Vigun01g001500.v1.2 transcript:Vigun01g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSVLPLFIPTPPPTTTSKSLQLGSRNWALQRLRIVAKSKSDASPSSSTSTSLLSFLCPLLTLLSGRDPSQPRNFTFELASSSLASLSRFAWGKKSIAESSLSKEITSELPFSLQLFEFEACPFCRRVREALTELDLSVEVYPCPKGSVRHREVVSRTGGKEQFPFLIDKKKGISMYESGDIVKYLFEQYGEGRSPSLGLLESTIFTGWMPTIFRAGRGMARWVYARPDPPPGKLELFSYENNPNARIVREALCELELPYILQNVGEGSHRMKLLLNASGSKEVLSSLITTLDFNLETAQQSYRICSKPIQQFIL >Vigun02g089800.8.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEQKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.2.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWCDAMRMDGGVEGNRNFWNHNVEDLPLAFPPFQNRLHFALINNYHNTHHCTTSAMRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.4.v1.2 pep primary_assembly:ASM411807v1:2:24469503:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWCDAMRMDGGVEGNRNFWNHNVEDLPLAFPPFQNRLHFALINNYHNTHHCTTRHAPSIPFSFSSLHHSIPSLTSITSVFHSTIPIYAYVAYHLPPKFISTAMRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFK >Vigun02g089800.3.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.6.v1.2 pep primary_assembly:ASM411807v1:2:24469503:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWCDAMRMDGGVEGNRNFWNHNVEDLPLAFPPFQNRLHFALINNYHNTHHCTTRHAPSIPFSFSSLHHSIPSLTSITSVFHSTIPIYAYVAYHLPPKFISTAMRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEQKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.5.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475155:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.10.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEQKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.9.v1.2 pep primary_assembly:ASM411807v1:2:24469489:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.1.v1.2 pep primary_assembly:ASM411807v1:2:24469503:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWCDAMRMDGGVEGNRNFWNHNVEDLPLAFPPFQNRLHFALINNYHNTHHCTTRHAPSIPFSFSSLHHSIPSLTSITSVFHSTIPIYAYVAYHLPPKFISTAMRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun02g089800.7.v1.2 pep primary_assembly:ASM411807v1:2:24469503:24475150:1 gene:Vigun02g089800.v1.2 transcript:Vigun02g089800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRWCDAMRMDGGVEGNRNFWNHNVEDLPLAFPPFQNRLHFALINNYHNTHHCTTSAMRGYDRDGYDDYEDDGLEEGDEYEEDGEEEYVEEEPRKPTKEEQDYLELRKKLKESIRKQMKKENSNSLLDSARKNKLPYDNYGSFFGPSQPVIAQRVIQESKSLLENQHLSSRLSNSSDINKSSNKVSNGVLNSSVRHNIRPKVSEQKQAKAQKLKVTRDYSFLLSDDVELPAPKKETPSHKTLVHNSERSGQVTGKSVSGGKIVRGSDENRKHVSGPGHLAPKSGSNYKISSINRNSKASVDSRKQLSSNSGNGLGRPLKMPVSTIGNKSLAPDMKNPVNGVHKALSSKLHSASGAQKPLSSKLHSVNGVQKPLSSKLHSVLPKQSVEQRNSLREQNKPKMISKQPVASTKVQINKPLKQIPKQSNLQDQRPKNKVRKRFDDETEDEMDVSRMIRSMFNYNPNKFVDEDDDADMEVGFDEIMKEEKRSAKIAREEDEEQLRLIQEEEERERRRLLAKRRKLGH >Vigun01g035300.1.v1.2 pep primary_assembly:ASM411807v1:1:4596975:4598345:1 gene:Vigun01g035300.v1.2 transcript:Vigun01g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNCGEENDPCLSAMLLSFSPMVYTAVLNAAIELNLFDIIAKTTTKAPFGVSASEIASRLPIQHKKLPQRLDRMLSLLASHSLLTCSTRTNQDGKVQRLFQLSPSGKYFTNAEATASLALFTTFMNHPNLVKAFLNFKEIVLDCDNGLYMKVHGMPIFEGIQSDPAWNHIFNEAMANICTTEMRKILEIYSGFEGISLLIDVGGGVGQSLNIIISKYPSIKGVNFDLPQVIQQAPPYPGIEHVEGDMFESVPKGDAILLKGILHNWSDENCLKILNNCYKALPENGKVVVVDFIMPEAIGSSEADKMVTSFDNLMFLDGGSERTEKEFMNLCQISEFSSFRVVSRAFTALGVMEFYK >Vigun10g130050.1.v1.2 pep primary_assembly:ASM411807v1:10:33835898:33837000:1 gene:Vigun10g130050.v1.2 transcript:Vigun10g130050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLSLREHEPSPKSSTAVTGARAPPPRRILPRRRLQSPQLWPRGPPPSRGDLRGRADQSSFRVSDFQRDGAHAEAVLGGEGEAGGSIAGWNGGTGDSIAGWNGGTGGDGGRESRGDGVILGCSTLLQFVFWFECRVIKHAGLDLKQFVEGIKEGAAGSKALEIFGERMIEGDFRPGGFAEYQVANGQGKLGTQGVISVIQNINGMNQ >Vigun02g028217.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10313156:10314232:-1 gene:Vigun02g028217.v1.2 transcript:Vigun02g028217.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYGGCRGGCGDGGARGGGSGGGHGGGVHRNGGGAGIGYGGGGCGGCRGIGCVDGGGGGGDGCRGCSGIFYGGGGGVRGDGGSGGGDCRGSGGGGRDGVGCGDGGGCGGGGYSDGSQCKGVAVVMAVVVGLVAVVVVVVGCGSGGDGGGGGGRGGGCRGGRGCCGSSGDGGGGDGGGKSGGRRVGGHGGGCGGGCGCGGGVIGHGGRCGGCGGGGGGGHGGGCSSHGGGGGCRGCLMVVMDVDGGGGGVGGGGGGGGGGGSGGVGGGGDCVRGYGSGGGCSGGDHGDDFGSCGDSGVVVVVLVVVVVVVVVVALVALVVAVVVLAVMEVVVVVVMVVVVVMVGVLVVVVVVIAVVVW >Vigun06g105600.1.v1.2 pep primary_assembly:ASM411807v1:6:23506178:23510366:-1 gene:Vigun06g105600.v1.2 transcript:Vigun06g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGSEASESSAPLLSTNKDGVDFHEIEQSEDSQMTFMLVFTAVSATIGSYVFGYALGYSSPAQYGIVHDLHLSVAEYSTFGSILTIGAIVGATLSGRIADYVGRRLAMGFSEVFCIVGSVIIAFSKVSWWLYIGRMLIGCGVGIISYVVPVYIAEITPKNLRGAFTEGHLLMTCCGLSLTYLIGAFLNWRILAVIGTVPSIVHLLTLPFIPDSPRWLAKVGRWKESESALQRLRGKHADVYQEATEIREYTEALQQHTEKGNFIVLFKLQYLRTLTVGVGLMMLQQFGGISGILFYTNSIFISAGFSDTIGTIAVGVFKVSFSSLGVLLMDKCGRRPLLLASSAGACVGSFLTALSFFLKDLQEWKSISPFIALVGVLLYMGLFSLGMSGIPSVIMSEIFPINVKGTAGSLVILVNWTCSLIVAYTFNFLMSWSSSGTFFLFSGICAITVLFVAKLVPETKGRTLEEIQASLNSL >Vigun01g016800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1845516:1846052:-1 gene:Vigun01g016800.v1.2 transcript:Vigun01g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASPPPSAAVTTAGVGLGYGIAIAVSILVLISSIMLASYACVRFKSNSPRTRNNTHYDQTEQNHNIHDSGGPEPVVLGLEKPAIEAYPKIVVGESGRLPRPNDSGSCAICLCDYLPKDTVRCVPSCHHCFHADCVDAWLKMSATCPLCRNSPVPSPSPSPSPTPMLELVPLAFHAR >Vigun05g073750.1.v1.2 pep primary_assembly:ASM411807v1:5:6495642:6497304:-1 gene:Vigun05g073750.v1.2 transcript:Vigun05g073750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERVFGSGCFHYSAPVRESKHIGARPKGHNFLPRLRTEAIESPLASILGTPEAIWAFLIPFWPRFWMHPRPYGTASSSRVPARRALESPTNPLLGSPNPDTEIPVFCPQKHNRVSYNNQSTSEQKTPRHCWHQIGSRFSQMKQACPERACERDRARERERRRDAKWRGLAHWPEVVAGGRRWSTVVGGCGGSGCNRERESTRERQRARESARERERAMVAGGGGRVVVALAGRCGAAERGSRERERDRVAEAGAGRKKRVRDF >Vigun08g126500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29640976:29643000:-1 gene:Vigun08g126500.v1.2 transcript:Vigun08g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKENLEAARKCLTASLETSSAIASALDESGSRLELLNQRYLGLQASLRPISMQKCSFVNIEHGIDSVLCSAAALLKVLDSVHQLEQSLLTDPSSDLDTYVSDTKKLEEALKLLTDNCRLAVGWLKDIYELFQDKAITNELCVLKVKKYLRILQELQVKEEGARLDEGLLSTAFDKLELEFHRLLIANSVPLSLVSLTSPHTGQQTSIGKHILPLTGSLAGKLHSIIERLNANGRLHKCQSIYVEVRGMNARRSLKTLDLSYLDIPTTEIEGVQSIESYIDQWGCHLELFAKQLLDIEWRFSTTVFQKIGPEAGMGCFAKIAIESGILSFIRFGRIVTERKNDPFKLLNLLSIFRVLNDLRLKFNQLFSAKACKEIRTVIEDLIKKVVNGANEIFWQLPAQVKLQRPTSPPPDGSVPRLVSFVTDYCNQLLGDAYRPHLTQVVRIHLSWRKEAYEEGIILCQMYNAIKEVAVNLDAWSKTYEDITLSYLFMMNNHCHFCNLRGTVLGNMMGDSWLRAHEQYRDYYAALYLRNSWGKLMSILVVQKDILSPSSASVTCQDLAKRLTAFNLAFEERYKKQSDWVISDEILRENVCKHLTKGIIPIYRAYVKNYCLTTENDAKVDKLMKYSAESLENKIRSLFQTKQRKGSSIKQADLISKIKGLSHQFRLTLAAI >Vigun06g111700.1.v1.2 pep primary_assembly:ASM411807v1:6:24053227:24060521:-1 gene:Vigun06g111700.v1.2 transcript:Vigun06g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTFQVVHDDTDFDINYDTDDGFEVFQFQLYSLTSVPPHQQKIFGAEQDTPVTTDSDLIAISEKLRLVSVKDAEPEPEPEPEPRYSDLLKSDEELARLLQAEEEALLLQQYMSSENPREFDSRVRSYISQVRMYEDPTRQEAARKSVPVEELEEKALVSLAKEGNFKPSKIEQDHAFMLQLLFWFKKSFRWVNSPSCHDCGKETAGQGMTSPLPSEILYGASRVELYRCTFCSKLTRFPRYNDPMKLVETREGRCGEWANCFTLYCRAFGYDSRLILDFTDHVWTECFSQFLGRWMHLDPCEGIYDQPLLYEKGWGKKLNYVIAIAKDGVYDVTKRYTRKWHEVLSRRIMLTEPSASSVLRNITEEIRRGLPSELISIIQARDMEENEELERSLHANDDESLSLPGRRSGNEEWRKSRLEIGSDELSSSKCPVRLCIDEHVTRIYNAFHAVLDHFVGEELTKSEAVEVLRITKGILLDLHNSPYKNRRTSINSVLNKPKFQKLFPSFDDLLGALSLEKKVNTDGTVEIGLVADPVVTSLALPVALDALDDMIYNLDKCENYGKDMFLLPLPKLNRIHSGSVLASSEELPFGIITSAFDGIRLSKWEEPNGARGCWIVYRTFDYKMFELVAYDLMSANDAPERDPMDWILEGSSDKGISWQVLDKQTSQFFEDRFQRKTYKINSASFPCNVFRFRFLAVRDVHSTSRLQIGSIDLYAKST >Vigun07g176400.1.v1.2 pep primary_assembly:ASM411807v1:7:29154871:29158255:-1 gene:Vigun07g176400.v1.2 transcript:Vigun07g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAHILAHFRGNIVFCFIPLNCVYKYGSNSIFITRQGFLYSQTQTSSEDTKLVITMALALLNSSASAITPQQKDQLPNPFELQDSQIRYKVYLTHVNDDKEYDGDVLFNLVSNILNSTSAQVSATAVSVTSFKPDFPTLKRLSCQMITTRGTPECAHQTTLKILQQLSGFSWGAKAVIALAAFSLEYGEFWRLDRVQVADQFGNSLKQLNQVQISRKVPADMTDLVTVLGEVLNYINLWAKWSSMDYDTEAVPSLLAAMQEIPLAVYWTIASIVASVGNLVGISEHKLSNFKDRLNKIVIKLKTHMENGRWEIGRIQDYKIRIDIRYPKIKDVVDLLDILIIPASDSGTSIPKIFEGGVQIKNGIEVFKQKYVMLFISGLDSIGDEILLLSSIYRRLQEHAGEERKGFKKGDFRILWIPIVDDWNTDNIRREKFYNLKKNMKWHVLEYFEKLPGYEIIVEKLKYDGKPIVTVVNPQGQIMNENALQIIFEWEIEAFPFRQIDADDLNKKWKWFWNLLEKTDDKAKLLGRDNTSCVFIYGGNDSNWVQNMKNAIGKIEKHEINNVDVNIERYQLGEHNPDHVPSFWIGLDGKKKNKECKGRVDCEIQEVVRTLLCLKQDPLGWVVLSRGRNLKLLGHGEPMYQTVLEFEKWKNTVLEKETFDVAFKEHYDVVKERYGSRPYDHTSSVLATITCPNSSCGRVMEVTSIQYRCCHGSANSCNV >Vigun05g017900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1451679:1452812:1 gene:Vigun05g017900.v1.2 transcript:Vigun05g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMKNSHPFYRTHQSTGEPGYYRVPSKVVSIPVHFVGSERSRTESAIKIQRMLRGFLVRNAMKKIGAMRVELERIESGIRVEVLRREEKERVRVIETIMNLLLRLDSVRVLHYSGLRECRKSVIKKAIAVQEMLDEMSVLGSEDCLVKEEECNAMDEEGKEGEITCEEQKEEEKIEVLRNEEVEGKEDDEEGKSLVKGDCETEEGDEKKKKKKEMLLEKLVEDNQKMMEMMTQLFQRNETETTLLNSLSQRVEQIERAFVSEKLRSKKKKSKAKQTRPKNGFH >Vigun07g224600.3.v1.2 pep primary_assembly:ASM411807v1:7:34647906:34651842:-1 gene:Vigun07g224600.v1.2 transcript:Vigun07g224600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFKDFDSSCASDTDNSTTKLKENPSFIEPRNMSNIDDEPLPVICEQQDEIIGSSGNRSSAIFNTLCILADDESVLVNIDVNTQSNKTTSDPEVFLEEDDPSVSTKGNLDLNNMPQVSDKSSFEEQNFSQNDLLTKSFVSSTNTFVDGLVKNDDNEVNKCRSESSNGAFYSAPGIPAPSVVSPAVQVFPGKLLVPAVVDQGQGQALAALQALKVIETDIEPSDLCTRREYARWLVSATSALSRNTISKVFPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLIESRLSRCNRQLDTDEHNGPFYFSPESPLSRQDLVSWKMVLEKRQLPEADGKMLYQLSGFIDADKIHSDAFPALVADVSAGEHGIIALAFGNTRLFQPHKPVTKAQAAVALATGDAFDRVNGELARVDAESLADNAVASHSVLVAQVEKDIIASFEQKLSIEREKINVVEKMAKEATCELERLRAKREEDRISLIKERAAIESERVVFSRLRHETEDHLQNLMSEKDEIAHEKERISKLREVAENEEKEIKRVQYELEVERKALSMARAWAEDEAKRVNAYAVGLEKARDGWERNGIKAVDDDFLEDSIGVTVVNPEQQLSVQDTVDRGQNLLDTLKKMAADVGGRARDMIDEIILIISQFISRLKEWTRKSGKQAQELRQTVIAKAGNSAHEFGFTIKNGAKRVAGDCIEGVEKLTQKFRT >Vigun07g224600.1.v1.2 pep primary_assembly:ASM411807v1:7:34647906:34653131:-1 gene:Vigun07g224600.v1.2 transcript:Vigun07g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTPNSLQIRLAFTPFNSTKINPILFHTRFTNVDHRRRVRLLCIANQGNGSCSVPIRAGSDNRSVSEFKKNESYGGVVGVGVAGIFLLSGIAFAALLVSRRNGARQVKPLTTYQVVLLSSDDCNDKTEHVNADNTVEQGDINVEGQIDISRSCSSPESDKIPSCHRIVNDSDIESQLVHDDNADAIVYISVQEELQHGSVVDDGYVVPRESTVALNDPESETPIDSLDMYRFKDFDSSCASDTDNSTTKLKENPSFIEPRNMSNIDDEPLPVICEQQDEIIGSSGNRSSAIFNTLCILADDESVLVNIDVNTQSNKTTSDPEVFLEEDDPSVSTKGNLDLNNMPQVSDKSSFEEQNFSQNDLLTKSFVSSTNTFVDGLVKNDDNEVNKCRSESSNGAFYSAPGIPAPSVVSPAVQVFPGKLLVPAVVDQGQGQALAALQALKVIETDIEPSDLCTRREYARWLVSATSALSRNTISKVFPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLIESRLSRCNRQLDTDEHNGPFYFSPESPLSRQDLVSWKMVLEKRQLPEADGKMLYQLSGFIDADKIHSDAFPALVADVSAGEHGIIALAFGNTRLFQPHKPVTKAQAAVALATGDAFDRVNGELARVDAESLADNAVASHSVLVAQVEKDIIASFEQKLSIEREKINVVEKMAKEATCELERLRAKREEDRISLIKERAAIESERVVFSRLRHETEDHLQNLMSEKDEIAHEKERISKLREVAENEEKEIKRVQYELEVERKALSMARAWAEDEAKRVNAYAVGLEKARDGWERNGIKAVDDDFLEDSIGVTVVNPEQQLSVQDTVDRGQNLLDTLKKMAADVGGRARDMIDEIILIISQFISRLKEWTRKSGKQAQELRQTVIAKAGNSAHEFGFTIKNGAKRVAGDCIEGVEKLTQKFRT >Vigun07g224600.2.v1.2 pep primary_assembly:ASM411807v1:7:34647906:34653131:-1 gene:Vigun07g224600.v1.2 transcript:Vigun07g224600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFKDFDSSCASDTDNSTTKLKENPSFIEPRNMSNIDDEPLPVICEQQDEIIGSSGNRSSAIFNTLCILADDESVLVNIDVNTQSNKTTSDPEVFLEEDDPSVSTKGNLDLNNMPQVSDKSSFEEQNFSQNDLLTKSFVSSTNTFVDGLVKNDDNEVNKCRSESSNGAFYSAPGIPAPSVVSPAVQVFPGKLLVPAVVDQGQGQALAALQALKVIETDIEPSDLCTRREYARWLVSATSALSRNTISKVFPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLIESRLSRCNRQLDTDEHNGPFYFSPESPLSRQDLVSWKMVLEKRQLPEADGKMLYQLSGFIDADKIHSDAFPALVADVSAGEHGIIALAFGNTRLFQPHKPVTKAQAAVALATGDAFDRVNGELARVDAESLADNAVASHSVLVAQVEKDIIASFEQKLSIEREKINVVEKMAKEATCELERLRAKREEDRISLIKERAAIESERVVFSRLRHETEDHLQNLMSEKDEIAHEKERISKLREVAENEEKEIKRVQYELEVERKALSMARAWAEDEAKRVNAYAVGLEKARDGWERNGIKAVDDDFLEDSIGVTVVNPEQQLSVQDTVDRGQNLLDTLKKMAADVGGRARDMIDEIILIISQFISRLKEWTRKSGKQAQELRQTVIAKAGNSAHEFGFTIKNGAKRVAGDCIEGVEKLTQKFRT >Vigun01g235400.1.v1.2 pep primary_assembly:ASM411807v1:1:40693585:40696720:-1 gene:Vigun01g235400.v1.2 transcript:Vigun01g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIENFKVESPNVKYTETEIQSVYNYETTELVHENRNGTYQWIVKPKSVKYEFKTNTHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIQQANYFGSLTQASAIRVGSFQGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMGRAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQEERANNVIKGTKKEQVAQIIKDIKEFKAATKVDRVVVLWTANTERYSNLVVGLNDTTENLLAALDRNEAEISPSTLYAIACVMENVPFINGSPQNTFVPGLIDFAIEKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNALLYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEKEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Vigun01g249900.2.v1.2 pep primary_assembly:ASM411807v1:1:41653791:41664074:-1 gene:Vigun01g249900.v1.2 transcript:Vigun01g249900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRRGSTEVHEFEAKFLGSPAYFKVTSVIGHVFSVDFPAKYQDWSATDPLDLFQAQVIKNESNPKAHICRHLSQEARGCRYLVLWLDCDREGENICFEVIQCTGFKTNDTYRARFSSVTEKDVVKALDNLVRPNKDEAMAVDARQEIDLKVGVAFTRFQTGYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWSLHPYIIQNGYEIQLEWHRGRLFDINVAMMFQKLVSEDGLLEVTEISEKQETKSRPVGLNTVNLLKVASSALGFGPQMAMQIAERLYTQGFISYPRTESTAYPPSFDFRGVLSAQTNNPTWGNYVHGLLTTGYQKPRLGTDVGDHPPITPMRSAAEDMLGNDAWKLYQYICQHFIGTVSPDCKYIRKKVEFSIGGEAFHCTGQHVVTKGFTSIMPWLALNDKNIPSFVKGQKIEVSKLELYEGSTSAPDYLTESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRKLVPTPLGITLVRGYQTIDPDLCLPDIRSFIEQQITLIAKGQVDHRHVVQHVIQQFTQKFSYFVKKIEDMDALFEAQFSTLTDSGRILSKCGKCLRYMKYISTQPSRLYCGTCEEVYYLPQKGTIKLYKELSCPLDNFELLICSTPGPDVKSFPLCPYCYSNPPFEGIEALINTAKTGNAGKIGKGSGMPCSLCPHPTCPNSLVSQGVCACPECSGTLVLDPISAPRWKLCCNMCNCLVFLAQGAHRISTTKERCGECDSSIIEVDFNKKTTPLEDGATLHRGCILCDELLHSLVEMKHGRSFKHMSSRGRGRGARKGGRGRGRGGGKVMDPKMSFRDF >Vigun01g249900.1.v1.2 pep primary_assembly:ASM411807v1:1:41653791:41664074:-1 gene:Vigun01g249900.v1.2 transcript:Vigun01g249900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIPKVLMVAEKPSIALSIASVLSRAQMFTRRGSTEVHEFEAKFLGSPAYFKVTSVIGHVFSVDFPAKYQDWSATDPLDLFQAQVIKNESNPKAHICRHLSQEARGCRYLVLWLDCDREGENICFEVIQCTGFKTNDTYRARFSSVTEKDVVKALDNLVRPNKDEAMAVDARQEIDLKVGVAFTRFQTGYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWSLHPYIIQNGYEIQLEWHRGRLFDINVAMMFQKLVSEDGLLEVTEISEKQETKSRPVGLNTVNLLKVASSALGFGPQMAMQIAERLYTQGFISYPRTESTAYPPSFDFRGVLSAQTNNPTWGNYVHGLLTTGYQKPRLGTDVGDHPPITPMRSAAEDMLGNDAWKLYQYICQHFIGTVSPDCKYIRKKVEFSIGGEAFHCTGQHVVTKGFTSIMPWLALNDKNIPSFVKGQKIEVSKLELYEGSTSAPDYLTESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRKLVPTPLGITLVRGYQTIDPDLCLPDIRSFIEQQITLIAKGQVDHRHVVQHVIQQFTQKFSYFVKKIEDMDALFEAQFSTLTDSGRILSKCGKCLRYMKYISTQPSRLYCGTCEEVYYLPQKGTIKLYKELSCPLDNFELLICSTPGPDVKSFPLCPYCYSNPPFEGIEALINTAKTGNAGKIGKGSGMPCSLCPHPTCPNSLVSQGVCACPECSGTLVLDPISAPRWKLCCNMCNCLVFLAQGAHRISTTKERCGECDSSIIEVDFNKKTTPLEDGATLHRGCILCDELLHSLVEMKHGRSFKHMSSRGRGRGARKGGRGRGRGGGKVMDPKMSFRDF >Vigun05g036900.1.v1.2 pep primary_assembly:ASM411807v1:5:2995359:2995864:-1 gene:Vigun05g036900.v1.2 transcript:Vigun05g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILKYIRSSVINHYISINPILNCTLATSSLVVATAATFTVFYSMHLFTKLSLLREVGFSRVWRLCRKRVK >Vigun11g223900.1.v1.2 pep primary_assembly:ASM411807v1:11:41461538:41472016:-1 gene:Vigun11g223900.v1.2 transcript:Vigun11g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEASDIERRREKEREMGCIVNLNSAATASLRLPTPTEGDKKERGFCCFPRRRHHRRHHQFRPPSAPTATNSRSHSLTVTNEALNVMISGAPASGKGTQCHLIADKYGLVHIAAGDLLRAEIATGSENGKRAKQYMEKGQLVPDEIAVMMVKDRLLKPDSKERGWLLDGYPRSLSQATALKGFGFEPHTFLLLEVSEDLLVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKVKLRLNTHHQNVESVLALYKDITVKINGNVSKEEVFAQIDSVLSSLVEQRKAASGSVVA >Vigun11g073633.1.v1.2 pep primary_assembly:ASM411807v1:11:21024745:21025591:1 gene:Vigun11g073633.v1.2 transcript:Vigun11g073633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATEMRRSKEVVDGDERMGAGTGYVEGGVATAWCGGERERRVRGERRTRVCVTGRACLSVAGSEEGEEEENDDDGGFRI >Vigun10g023200.1.v1.2 pep primary_assembly:ASM411807v1:10:2750530:2754348:1 gene:Vigun10g023200.v1.2 transcript:Vigun10g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLMSGILILGFVSATLCLSSNAETKVKYFNVMDYGAHADAKTDDSNAISNAWKDVCGEEGPATLLIPSNKIFLVKRLNLNGPCKAPNVGIKFEGKIVAPSMNEWVGDSFSWIQVFYVNGLTIEADGGMIDGSGSTWWEKCRRCRRPTSLRFHSCNGLTVKSLSMSNSPGAHISVNGCDGALFSHININSPPKSPNTDGFDIAVSKHVVIEDSILATGDDCIAINGGSSYINATRLFCKGGHGISIGSLGRNKSHETVEEVHVQNCSFIDTTNGARIKTWPGGSGYARKITYENIILQDVKNSIIIDQYYGIKTLSEVEEDAVRVSEVIYRGFIGTSASEKAINLNCSPSGCSNITLEHIYIASSKSIKHVYAFCKNIVNGTIGSTVPKVSCK >Vigun01g002200.3.v1.2 pep primary_assembly:ASM411807v1:1:298633:303704:1 gene:Vigun01g002200.v1.2 transcript:Vigun01g002200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGNLVKHVEKQTTRVLFCGPHFPASHEYTTEYLQNHANIKVDVLSFEDVRKDIAKYHVCIVKSMKLDSDIISRAVQMQLIMQYGVGLEGIDIDAATKHGIKVARIPGDATGNSASCAEMAIYLMLGLLRKQNELQVSIQQKKLGEPITDTLFGKTIFILGFGNIGVDLAKRLQPFGVQVMATKRSWASYAQDVSKLNRNDERDLVDVKGSHEDIYDFARKADIVVCCLGLNKETAGIINNSSYLL >Vigun01g002200.1.v1.2 pep primary_assembly:ASM411807v1:1:298633:303704:1 gene:Vigun01g002200.v1.2 transcript:Vigun01g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVFGRTTQRLHHLHRTTTKSFFEYLHSTGLMESNGNLVKHVEKQTTRVLFCGPHFPASHEYTTEYLQNHANIKVDVLSFEDVRKDIAKYHVCIVKSMKLDSDIISRAVQMQLIMQYGVGLEGIDIDAATKHGIKVARIPGDATGNSASCAEMAIYLMLGLLRKQNELQVSIQQKKLGEPITDTLFGKTIFILGFGNIGVDLAKRLQPFGVQVMATKRSWASYAQDVSKLNRNDERDLVDVKGSHEDIYDFARKADIVVCCLGLNKETAGIINNSSYLL >Vigun09g121000.1.v1.2 pep primary_assembly:ASM411807v1:9:26886740:26892734:-1 gene:Vigun09g121000.v1.2 transcript:Vigun09g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTACFIIVSRNDIPIYEAEVGVAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKSVDRFNELMVSVYVTAGHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >Vigun03g287900.1.v1.2 pep primary_assembly:ASM411807v1:3:46979719:46981444:-1 gene:Vigun03g287900.v1.2 transcript:Vigun03g287900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDHHQQPPVGVPPPQGYPGKDSYPPPGYPAQGYPPAGYPPPGYPPQGYAPQYVQQPPPPRQETGFLEGCLAALCCCCLLDACF >VigunL069400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000489.1:81673:83212:-1 gene:VigunL069400.v1.2 transcript:VigunL069400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWFNSKLLNRKFEYRCELSKSMESLGPIENTSLSEEPKILTDIDKKIQRWDDRDNSMNKKFFSSYLNTVIKSDYDHSMYDTPFSWNNYINSCIDSYFHSQICVDSCIFGNIVEYNDSYFYSYFLGKVRNCSESENSSFSLITSTNDTNDSDSTLGENYKNLHESEKLTHLWIECENCYELNYKKFWKSKRNICEYCGYHLKMDSSDRIEFSIDSGTWNPMDEDMVSLDPIEFHSEEEPYKDRIDSYQRKTGLTEVVQTGTGQVNGIPVAIGIMDFKFMGGSMGSVVGEKITRLVEYATNQLLPLILVCSSGGARMQEGSLSLMQMAKISSALYYYQTIQKLFYVSILTSPTTGGVTTSFGMLGDIIIGEPNAYIAFAVPKDSQVAESLFHKGLIDSIVPRNLLKGVVTELLQFHNFFSLTKKERNYGIEIQNQNIQDQSNKIKE >Vigun04g141450.2.v1.2 pep primary_assembly:ASM411807v1:4:35060466:35061757:1 gene:Vigun04g141450.v1.2 transcript:Vigun04g141450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNLSKDEEDKDNNVYFLKNDDPNLIIRSRKYQRRNLYDRRGNLRIKSLGLFPNKCCSKFHICNFLNWRCATYKGKTVVDYLVKVLNLLFHNINLFLNVSQDRYR >Vigun04g141450.1.v1.2 pep primary_assembly:ASM411807v1:4:35060466:35061757:1 gene:Vigun04g141450.v1.2 transcript:Vigun04g141450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNLSKDEEDKDNNVYFLKNDDPNLIIRSRKYQRRNLYDRRGNLRIKSLGLFPNKCCSKFHICNFLNWRCATYKGIDEVQLIMKTFMNSSTFINSSKVEPDRYKEG >Vigun08g025500.1.v1.2 pep primary_assembly:ASM411807v1:8:2217629:2220482:-1 gene:Vigun08g025500.v1.2 transcript:Vigun08g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQHHFQRHYQTQQQQPQTKPFRNLQAIDAQMPQQVAFYNPTDLQDQSQHPPYIPPFHVVGFAPGPVPPADGSDGGVDLQLQWNYGLEPERKRLKEQDFLENNSQISSVDFLQPRSVSTGLGLSLDNTRLTSTGDSALLSLIGDDIERELQQQDVEIDRFLKVQGERLRQSILEKVQATQLQSVSLIEDKVLQKLREKEAEVESINKRNMELEDRMEQLTVEAGSWQQRARYNENMIAALKFNLQQAYVQSRDSKEGCGDSEVDDTASCCNGRTLDFHLLSKENTDMKEMMTCKACRVNEVTMVLLPCKHLCLCKDCESKLSFCPLCQSSKFISMEVYM >Vigun05g032400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2588665:2592206:-1 gene:Vigun05g032400.v1.2 transcript:Vigun05g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGKTGRQLEQPGPWRRRKVGGGDDFARAIAKIAVAQVCESEGFQAFQQSALEALSDVVARYIFNVGKSAHCHANLAGRTDCHAFDVIQGLEDMGSVQGFAGASEVDHCLESSGVIREIFHFVNEGEPVVFAHPIPRFPVVKERVLNPSFLQKGEEPPGDHIPAWLPAFPDPQNFSQSPVVNGRGTEPRAVKFEQERENGSKGEWPVLNLKQQMVSNMFEKSALVDPADTKAKRVAAEGNPFLAAPLKIEDKEIASVPPAAKLFNDVVLDNPVVENFVENEPISALETFAPAIEAMKSTCFDSKEGQTKNFVNEKPIVRFKIGIKNKLVGRSIGLIPQTEEHNKTLPWFAMEDEKDDRKRRAEKILRESLENPDQLVQL >Vigun05g032400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2589667:2592206:-1 gene:Vigun05g032400.v1.2 transcript:Vigun05g032400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGKTGRQLEQPGPWRRRKVGGGDDFARAIAKIAVAQVCESEGFQAFQQSALEALSDVVARYIFNVGKSAHCHANLAGRTDCHAFDVIQGLEDMGSVQGFAGASEVDHCLESSGVIREIFHFVNEGEPVVFAHPIPRFPVVKERVLNPSFLQKGEEPPGDHIPAWLPAFPDPQNFSQSPVVNGRGTEPRAVKFEQERENGSKGEWPVLNLKQQMVSNMFEKSALVDPADTKAKRVAAEGNPFLAAPLKIEDKEIASVPPAAKLFNDVVLDNPVVENFVENEPISALETFAPAIEAMKSTCFDSKEGQTKNFVNEKPIVRFKIGIKNKLVGRSIGLIPQTEEHNKTLPWFAMEDEKDDRKRRAEKILRESLENPDQLVQL >Vigun05g032400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2589791:2592210:-1 gene:Vigun05g032400.v1.2 transcript:Vigun05g032400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGKTGRQLEQPGPWRRRKVGGGDDFARAIAKIAVAQVCESEGFQAFQQSALEALSDVVARYIFNVGKSAHCHANLAGRTDCHAFDVIQGLEDMGSVQGFAGASEVDHCLESSGVIREIFHFVNEGEPVVFAHPIPRFPVVKERVLNPSFLQKGEEPPGDHIPAWLPAFPDPQNFSQSPVVNGRGTEPRAVKFEQERENGSKGEWPVLNLKQQMVSNMFEKSALVDPADTKAKRVAAEGNPFLAAPLKIEDKEIASVPPAAKLFNDVVLDNPVVENFVENEPISALETFAPAIEAMKSTCFDSKEGQTKNFVNEKPIVRFKIGIKNKLVGRSIGLIPQTEEHNKTLPWFAMEDEKDDRKRRAEKILRESLENPDQLVQL >Vigun09g171300.1.v1.2 pep primary_assembly:ASM411807v1:9:34103403:34107730:1 gene:Vigun09g171300.v1.2 transcript:Vigun09g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSPPSQPQHPGKLEPAIWQACAGPSVEIPKPNSMVYYFPQGHFDQASSAPRNLSTHLLAKPFITCSVVSVDFLADPLTDEVFTKMVLQPVGNNFPAESFHTPAAPPPNDDKDNDVVSFAKILTPSDANNGGGFSVPRFCADSVFPKLNFGADPPVQNLSVTDVHGFTWEFRHIYRGTPRRHLLTTGWSTFVNNKKLVAGDSVLFMKSAAGRLFVGIRRTIRSFPERGNCVKLRLIEEEDKEEEEEEDEEKEKRVFKRDGKGKLSAKVVAEAAELAARNMPFEVVYYPKAGWSEFVVKTEVVDAAMRIAWSDGMRVKMSVETDDSSRMTWFQGTVSAVSFQDNGQWCGSPWRMLQVTWDEPEGLRNAKWVSPWQVELVSPTPVLHPAFPSPKRLRTADGYGVLTNGEGGTFSVARVTNSAMVHLNQALLGYSHFPAGMQGARHDVFSASSFPNYPRDMSHPCIINSFGNNTFSRLKNLPTEPHVGGNSQSDELSPDSSFHSCVTDTLENRNNSIKPAPDSFQLFGAVIRKEQPVQNGLTDTGCPITGDKVLDR >Vigun07g284000.1.v1.2 pep primary_assembly:ASM411807v1:7:39756571:39758406:1 gene:Vigun07g284000.v1.2 transcript:Vigun07g284000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTRKRVDAVDSRSASVLVRAKDGSAFARCDECKKNVPVALIDMHNCSLEAKIKMNLDAQVVDQAAEPKKQERKKPKSKEPKAKKAKVEKVKKVKDPNKPKRPSTAFFVFLDEFRKTFKEANPDSKDVKRVGKEAGEKWRSMTDEDKKPYLDKVAELKAEYEKAMESYKAGEVEANEAGSDKETATKEVEEELTDEE >Vigun09g131700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29018899:29019228:1 gene:Vigun09g131700.v1.2 transcript:Vigun09g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun09g210900.1.v1.2 pep primary_assembly:ASM411807v1:9:38497212:38500941:1 gene:Vigun09g210900.v1.2 transcript:Vigun09g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEENGLKGDPRLQAISQAIRVVPHFPKHGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMHISVVAGIEARGFMFGPSIALGIGAKFVPLRKPRKLPGEVISEKYALEYGTDCLELHVGAVQPGERAIVIDDLVATGGTLSAGVKLLERVGAEVVECACVIGVPDVKGQCRLIGKPLYVLVEPRKADKCY >Vigun07g079200.1.v1.2 pep primary_assembly:ASM411807v1:7:10963689:10966360:1 gene:Vigun07g079200.v1.2 transcript:Vigun07g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPASPGGGGSHESGDHSPRSNFREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEEYVDPLKIYLASYREVILGDSKGSAKGGESSSKRDVYPNPNVQVENLAHQGSFSQGVNYTNSQPQGPPHMIVPMQGQE >Vigun01g005100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:639083:640660:-1 gene:Vigun01g005100.v1.2 transcript:Vigun01g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSYLAVFLILLLPISCAASTLVDKKFNECLMTKLDGNSESIAKIIFTTSSSLYTPVLESLEQNPRWLNSSRKPLLILTPFHESEIQAAIQCSKQLGLQIRVRSGGHDYEGLSYLSKDPFVMVDLINMRSIQVNIADETAWVQAGASLGELYYKISKASKVHGFPAGTCPSVGIGGHISGGGQGVMLRKHGLAADHVVDAYIIDANGEIHDRKSMGEDVFWAIRGGSATSFGVILAWKIRLVRVPPIVTVFNVERTLGEGANNLIHRWQYIGHELHEDLFIRVVAQNSGDKSKTFKAVFNSLFLGGIERLIPLMNESFPELGLQGKDCTEMSWIQSVLFFGGFNKDDPLELLLNRTTSFKSSFKAKSDFVKEPIPKTGLEGAWKIVSEEDTLAMLLMEPYGGRMNEISESETPFPHRKGNLYNIQYLVKWEVNSKEAYKKHEEWAKMIYKYMTPYVSKSPRASYFNYKDLDLGQNKHHNTSYSEASVWGKKYFKGNFRRLAQIKTEFDPENFFWNEQSIPPLTS >Vigun04g050100.1.v1.2 pep primary_assembly:ASM411807v1:4:4406539:4410411:1 gene:Vigun04g050100.v1.2 transcript:Vigun04g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADVYHVVTATVPLYVTMVLAYISVKWWKLFTPEQCSGINKFVANFSVPILSFQVISSNNIYKMSLKLVYADCVQKLLAFLIFTAIIKIKGRGGLKWIITGLSLSTLPNTLILGIPLMKAMYRDEASLLLPQVIFLQSMVWYNLLLFLHELDAAIPARTMPVVAPPSQDSGESETSQEIQSKEEEEEHRTQSKTRMLIILMKVGNKLIINPNTYATFIGLIWASIHFRWGVDMPDVVNQSIEILASGGLGMATFSLGLFMASNNRIIACGPRMTMVAMGLKFLVGPAIMAVASIVIGLRDRMLKVAIIQAALPQGIVPFVFAREYNVHPGILSTGVLLGMLMALPVALTYYVLLSLCF >Vigun04g050100.2.v1.2 pep primary_assembly:ASM411807v1:4:4406539:4410411:1 gene:Vigun04g050100.v1.2 transcript:Vigun04g050100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADVYHVVTATVPLYVTMVLAYISVKWWKLFTPEQCSGINKFVANFSVPILSFQVISSNNIYKMSLKLVYADCVQKLLAFLIFTAIIKIKGRGGLKWIITGLSLSTLPNTLILGIPLMKAMYRDEASLLLPQVIFLQSMVWYNLLLFLHELDAAIPARTMPVVAPPSQDSGESETSQEIQSKEEEEEHRTQSKTRMLIILMKVGNKLIINPNTYATFIGLIWASIHFRWGVDMPDVVNQSIEILASGGLGMATFSLGLFMASNNRIIACGPRMTMVAMGLKFLVGPAIMAVASIVIGLRDRMLKVAIIQLYPKELFLLFLLESIMFIQEF >Vigun01g185700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36586478:36587844:-1 gene:Vigun01g185700.v1.2 transcript:Vigun01g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKNPSDFPSNYMLLEASGDSETDCNPTMEEHGSDDDAQSCIHDSSETCNAAELKGYEFWKNDHDVDDVEDDEKKSEVHGTSYCDDDDDEMQEHQKSCVSDESGHEVLDEMEKNRRFWEACLAS >Vigun06g121000.1.v1.2 pep primary_assembly:ASM411807v1:6:24854737:24858708:1 gene:Vigun06g121000.v1.2 transcript:Vigun06g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >Vigun06g121000.2.v1.2 pep primary_assembly:ASM411807v1:6:24854737:24857773:1 gene:Vigun06g121000.v1.2 transcript:Vigun06g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVMTALNFLMLIFYGFTCEGKEVLQYSSNAYNHKYF >Vigun03g023700.2.v1.2 pep primary_assembly:ASM411807v1:3:1757724:1759942:1 gene:Vigun03g023700.v1.2 transcript:Vigun03g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKEFFPKVYRRKNMHLNETDYCKYDDQVLTLFTSSLYISALVMTFFASPLTRSKGRRASIIVGAVSFLIGAILNAAAKNIAMLIIGRVFLGGGIGFGNQAVPLYLSEMAPAKNRGAVNQLFQFTTCAGILVANLVNYITNKIHPHGWRISLGLAGVPAVLMLIGGLMCAETPNSLVEQGRLEEARKVLEKVRGTKNVDAEFEDLKEASEEARAVKSPFKTLLKRKYRPQLIIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGANAALFSSFITNGALLVATVISMFMVDKFGRRKFFLEAGFEMICCMVITAVVLAVEFGHGKVLGKGISAFLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSMVVCVNMIFTALVAQLFLLSLCHLKYGIFLLFGGLIFCMSCFVFFLLPETKKVPIEEIYLLFENHWFWKNVISDQTQDQKASNGKPVATV >Vigun03g023700.1.v1.2 pep primary_assembly:ASM411807v1:3:1756251:1759942:1 gene:Vigun03g023700.v1.2 transcript:Vigun03g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVESGATGKRAHLYEHKFTHYFAFTCVVGALGGSLFGYDLGVSGGVTSMDDFLKEFFPKVYRRKNMHLNETDYCKYDDQVLTLFTSSLYISALVMTFFASPLTRSKGRRASIIVGAVSFLIGAILNAAAKNIAMLIIGRVFLGGGIGFGNQAVPLYLSEMAPAKNRGAVNQLFQFTTCAGILVANLVNYITNKIHPHGWRISLGLAGVPAVLMLIGGLMCAETPNSLVEQGRLEEARKVLEKVRGTKNVDAEFEDLKEASEEARAVKSPFKTLLKRKYRPQLIIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGANAALFSSFITNGALLVATVISMFMVDKFGRRKFFLEAGFEMICCMVITAVVLAVEFGHGKVLGKGISAFLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSMVVCVNMIFTALVAQLFLLSLCHLKYGIFLLFGGLIFCMSCFVFFLLPETKKVPIEEIYLLFENHWFWKNVISDQTQDQKASNGKPVATV >Vigun11g003900.2.v1.2 pep primary_assembly:ASM411807v1:11:371376:377900:-1 gene:Vigun11g003900.v1.2 transcript:Vigun11g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVHQFNNITLGGRGGTNPGQMKVYSGGIVWKRQGGGKLIEVDKSDIEGVTFMKVPKTNQLGVQIKDGLYYKFTGFRDQDVASLTNFFQNTCGITVREKQLSVSGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPSSNTQFVGDEKTPPAEVFRSRIMSMADVGAGGEDAVVTFESIAILTPRGRYIVELHMSFLRLQGQANDFKIQYSSVVRLFLLPKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYEFISAKGLKIMNLGDGQPTAGIKKVLENDDDDAVDPHLERIKNAAGDDESDEEDSDFVADKDDEGSPTDDSGGDDSDASKSGDEKEKPVKKEIKKDLPPKASTSKKKPKDDEDGKKKKQKKKKDPNAPKRAMSGFMFFSKLERENLKKTNPGISFTDVGRVLGEKWKKMTAEEKEPYEAKAREDKQRYKDEISGYKNPQPMNIDSANVTDSA >Vigun11g003900.1.v1.2 pep primary_assembly:ASM411807v1:11:371376:377900:-1 gene:Vigun11g003900.v1.2 transcript:Vigun11g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVHQFNNITLGGRGGTNPGQMKVYSGGIVWKRQGGGKLIEVDKSDIEGVTFMKVPKTNQLGVQIKDGLYYKFTGFRDQDVASLTNFFQNTCGITVREKQLSVSGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPSSNTQFVGDEKTPPAEVFRSRIMSMADVGAGGEDAVVTFESIAILTPRGRYIVELHMSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFESDYVVQSELTMNEDLYNTKYKDKLELSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYEFISAKGLKIMNLGDGQPTAGIKKVLENDDDDAVDPHLERIKNAAGDDESDEEDSDFVADKDDEGSPTDDSGGDDSDASKSGDEKEKPVKKEIKKDLPPKASTSKKKPKDDEDGKKKKQKKKKDPNAPKRAMSGFMFFSKLERENLKKTNPGISFTDVGRVLGEKWKKMTAEEKEPYEAKAREDKQRYKDEISGYKNPQPMNIDSANVTDSA >Vigun04g071800.1.v1.2 pep primary_assembly:ASM411807v1:4:8355494:8356237:1 gene:Vigun04g071800.v1.2 transcript:Vigun04g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNAGQAKGQAQEKASNMMDKASDAAHSAQDSMQQGGQQMKEKAQGAADGIKNTLNSNN >Vigun05g119851.1.v1.2 pep primary_assembly:ASM411807v1:5:13121302:13123375:-1 gene:Vigun05g119851.v1.2 transcript:Vigun05g119851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQTRSNNTYVRTIERAKRYLKIKVGTQKGSCRSYTIEDFKLNQSNTIELRNKKKNLLKKVTGFQYSPGDPSQVLITSGDCRTRVVEATQVVQKFIGFRNASSQISASFSPNGKYIICASEDSQVYVWKHEEMHYSGKGRNVIANQAHEHFPCKDVSVAIPWPCNVKGDLPPSIAAQNQKKNSTPPAPAPAAANNKKNLPPLPKKSNNNQATENSPGSPEKDHAALSRTESGVGDSKRNAPPKKSNNHAMEGDSIEEELEAITRSENGSGDSLSASPSGRHGGDPASISAAATPSGSSWSSNYSSGDGSNGDWSTNPSAWGMVIVTAGFGGEIRCYQNFGLPRRMRQNTLFMGPTL >Vigun02g093200.1.v1.2 pep primary_assembly:ASM411807v1:2:24849340:24849958:1 gene:Vigun02g093200.v1.2 transcript:Vigun02g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSWQERINQRQVVGQLQAELLPQGGLACPICRQFNVKMVFEEKLRQMWLMEGDICRIRCSCSPELLCVFPFS >Vigun03g424600.3.v1.2 pep primary_assembly:ASM411807v1:3:63001210:63032860:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun03g424600.8.v1.2 pep primary_assembly:ASM411807v1:3:63001250:63032697:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun03g424600.6.v1.2 pep primary_assembly:ASM411807v1:3:63001250:63032697:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun03g424600.7.v1.2 pep primary_assembly:ASM411807v1:3:63001250:63032697:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun03g424600.5.v1.2 pep primary_assembly:ASM411807v1:3:63001250:63032777:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun03g424600.2.v1.2 pep primary_assembly:ASM411807v1:3:63001210:63032860:-1 gene:Vigun03g424600.v1.2 transcript:Vigun03g424600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSSVRETASSKDKITENASKNKLKASPGIQKKRRLADMLGPQWNKDELGHFYEAYRKFGKDWKKVALAVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVLGGSDSGKESNDDAEISKRPQKRLRGKHLSDNKALDGHFSDHSQSHSVASGDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDIGERFFSSARQGSKQMVDTNDVTHKIALALTEASQRGGSSKNSGSPDKKFLSSPGLKSGKKHSKSEKAGSKFCSSELDDGSSELSLGSTEGNNEDYSRKTIHRSGREITGRGRNEEKKIKQYGKNLEPEGNEGNLNKHLNDIKEASSGTDDGKNFIKSNFNTDFVDAKNARSSYKGSRTKSKKPLLEKDEGSAFDALKTLADLSLMLPVTNPDTESSAQFKEGNRDVVDESKMESHKVFPKIESTASSKFSKVFSDNGVVPEAEGTPHLSAGFRKRRQRFYLKNDETHTGSHLSGSQKTKPTDEVKKSLVKGKRSSVCTPHPRQLKVGKSPGNLSSSTNDKRERDDSFFSPIKVSSTNEVSPLDRGRPRRKMEKPKPMTQDLVASRTTFSSQHNKPIASLPDGSFSQKGKLINCLSSYQMRRWCTFEWFYSAIDYPWFSKREFVEYLDHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLHEYRKSVRSHYAEILAGTKEGLPTDLAQPLIVGQRVIAIHPKTREIHDGSVLIVDHCRYRVQFDQPELGVEFVMDVDCMPLYPLENMPTSLIQHNTSSAQINENFVELKPNGKMKQRKVAGHTILSPGENSDTSKSLHISPTLHGPGALSKQVFSSSSKPQPKFVCSEIGNPQLPSSSQPSLLEHVHSKEADTLAISDLNRALDKKELILSELKHMNDGISESQKYGDNLVKDSELFKRNYASVLKQLTEANEQVSSALFCLRQRNTYQASSSVFSLKPMANFDDPSGQASSSNCSACHIQESISQSHIAEIVESSRRKARTMVVQASQAMSNLRTTERIEDAINFINNQLSVDEPTAASATTFPPADPFSLASQDQLAASVLNPLTSCHVQDAELNSSPDQNEMKIPSELISHCLATLFMIQKCTERQFPPADVAQVLDSAVTSLQPLCSKNIPIYGEIQKCMGIIRNQILALIPT >Vigun07g134132.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24375885:24382667:1 gene:Vigun07g134132.v1.2 transcript:Vigun07g134132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRVWGLGFRVSGFGFRVSSLWFRVSGFRFLVLGFRFEVSGLGLQVTGFGSRFSSFGFQDFSFGFRVSGFGLRVSGFGFGVSVLGFGFSVTDFGFGVWGFEFGVWGFGLWV >Vigun02g003100.1.v1.2 pep primary_assembly:ASM411807v1:2:1597489:1600748:-1 gene:Vigun02g003100.v1.2 transcript:Vigun02g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRKPSPTTPLHSLPSQTPSFSKSKDHHNNHIITNKLSYTLFLSLFLFSWLLLLRHHLTPLQNHTTATCHGSGPLFYIYALPSRFNLGLLQRCQNLNIYTNMCPHVANNGLGQPLATPSWYATHQFIGDMIVHARLQNHPCRTSHPHAARLFYVPFYAGLYSSSVFRQHNLTLRDALAVDLVHFLHRQPWWKRNNGKDHFVALGRTAWDFMRTEDGPDFGANIFLNLPTVRNMSVLTVERHPWQGHNQFAIPYPSYFHPKTLSEMLTWQDTVRQRPRPFLFSFVGGTRPNLAKAKVRDHIVTQCDASERCVLVQCASGDPRCHNPMNVVEVMSKSTFCLQAPGDSFTRRSTFDSVLAGCIPVFFSEHTAYTQYVWHFPTERDTYSVFIDEREVNEGKKRIEDVLAGIEEKEVAKMREVVIGLIPKLTYTHPNASGDVGFEDVVDVALQRLSQLVLNETTSLGSADI >Vigun11g076600.2.v1.2 pep primary_assembly:ASM411807v1:11:22528590:22568000:1 gene:Vigun11g076600.v1.2 transcript:Vigun11g076600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMAKALEYTLKYWLKSFSRDQFKLQGRTAQLSNLELDGEALHSSLGFPPMLSVTTAKVGKLEIMLPSVSNVQTEPIIFQVDRLNLALEENSDFQPSENPTSNTTSSSSSKGSGYGFSDKIADGMTIQIRTVNLLIETRGSACCQGEPTRAPPVASITMHNFVLYTTNESWEVVNLKEAREFSSNKKYIYVFKKLEWESMSFDLLPHPDMFTDPTLGRSQEGSNPRDEDGEKRVLFGAERLIEGISGEAFITVQRTELNSPFALEVQFHVTEAVCPALSEPGLRAFLRFKTGLHVCLSRGNVDLKAKKRSTEAARNSIVSIVVDHIFLCIKDSDFQLELLMQSLHYSRASQSEGDSHSSLTRIIVGGVFLRDTISFPPCTLVQPSTQSVIGDAFQVPTFARSFCPSIYPLGEQQWQSIVGTPLICLHSVQIVPALPPSFACQTVIDCQPLMIHLQEETCLKISSFLADSIVVNHGDILPDFSVKSFNFTLKGLDLTVPLDKICLDISKTNINNTVNTSFAGARLRIENLFFLDSPSLILKILNLEKDPACFCLWEGQPIDASQKKWTAGAFQVTLSLEVCTDKPPHQSSLGWTSGLWRCVDLKDARIEVAMVTADGNPLLKVPPPGGIVRLGIACEQYLSNTSLEQLYFVLSVYDYFRSVSEKIAIAGKKGQLKDVRNKYLSGNLMEKIPSDTSVSLTIKNLQLQFLESSSVNSKGMPLVQFVGDDFFVSATHRTLGGAIAVSSTLNWERVQIDCLDSEEHFTCENDSPCSTSENLPSISDHEHLKLRPVFWVHNKKRLLNGNAHSYPFLDIHMEQVIPFCVVDTEVHTLNLSASISGVRLGGGMNYSEALLHRFGVLGPDGGPGNGLSKGWENLQTGPLAKLFKTTSPIDDNLENVETTTEGKGTSFSHLKKPDTVDITIQLRDWLFALEGEHDMAERWWFSTYDANREERCWHTTFHILRVNAKSCPKRALERKTQSHRIQLYPVDVVTVGVQGLKIMKPQAQKDIPSSVITENGVKQFTEEVEGIDLEVGMILREDNEDDEIFNWEMENLKFYIRQTVMGPSYLKYVVIDFFY >Vigun04g008300.1.v1.2 pep primary_assembly:ASM411807v1:4:591539:596710:-1 gene:Vigun04g008300.v1.2 transcript:Vigun04g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILKPLRDGESVLDLSPRSTVSGGVEDVYGEDHATEDQLVTPWTFSVASGYSLLRDPQYNKGLAFTEKERDAHYLRGLLPPTIISQKLQEKQLIHNIRQYQVPLQKYIAMMELQEMNERLFYKLLVDNVEELLPIVYTPVVGEACQKYGSIFKRPQGLFISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLRQKRATGQEYSELLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLADHTFLFLGAGEAGTGIAELIALETSKQTKKPIEETRKKIWLVDSKGLIVSSRKHSLQHFKKPWAHEHEPVDTLLEAVKVIKPTVLVGSSGVGKTFTKEVIEALSSINEKPLILALSNPTSQSECTAEEAYQWSEGRAIFASGSPFDPIEYKGKVYYSGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAKLVTEENYEKGLIYPPFSDIRTISANIAADVAAKAYELGLATRLPRPQNLVKYAESCMYTPVYRNYR >Vigun11g116750.1.v1.2 pep primary_assembly:ASM411807v1:11:32197532:32198072:-1 gene:Vigun11g116750.v1.2 transcript:Vigun11g116750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCHSCSSCTCNGWGKKKPSLSSRGAGGSRSFGSLPICYYGEKSVLRTAKTTKNRGKQFWGCSKYKSGSEDGRCNYFKWWIEDAIKEIGNSENCEGRGEILVKTEESDGDRKTITNLEKSVAIFEKWMKVLIGMIIFVCVVNVTVLSMLIKNA >Vigun07g259400.5.v1.2 pep primary_assembly:ASM411807v1:7:37627599:37633688:1 gene:Vigun07g259400.v1.2 transcript:Vigun07g259400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDHSNFVNCVRFSPDGNKFITVSSDRKGIIYDGKTGNKLGELSPEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWNIVEDGSSGTLHKTLSCTESGEVEDMLVGCLWLNDFLLTISLGGRTNLYSAKDLDKSPLSICGHMKNVTILTLLKKSEKMLLTSSYDGVIIRWIPGKGYAGKVDNKQFGLIKLLVAGEDGVIASGFDNKVYRVPLEGDTLGLAETVDVGSQPKDLSLALDHPELAIVAVESGVVLLKGAKVLSTVKLDFIVTTAALSPDGSEAIVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFASADLNREAVVWDRASKEVKLNNMLFNTARINNLAWSPDSTLVATGSLDTCVIIYEVGKPASSRRTIKGAHLGGVYGLTFIDHDRVVSSGEDGCVRLWSLISE >Vigun07g259400.4.v1.2 pep primary_assembly:ASM411807v1:7:37628532:37633712:1 gene:Vigun07g259400.v1.2 transcript:Vigun07g259400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDHSNFVNCVRFSPDGNKFITVSSDRKGIIYDGKTGNKLGELSPEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWNIVEDGSSGTLHKTLSCTESGEVEDMLVGCLWLNDFLLTISLGGRTNLYSAKDLDKSPLSICGHMKNVTILTLLKKSEKMLLTSSYDGVIIRWIPGKGYAGKVDNKQFGLIKLLVAGEDGVIASGFDNKVYRVPLEGDTLGLAETVDVGSQPKDLSLALDHPELAIVAVESGVVLLKGAKVLSTVKLDFIVTTAALSPDGSEAIVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFASADLNREAVVWDRASKEVKLNNMLFNTARINNLAWSPDSTLVATGSLDTCVIIYEVGKPASSRRTIKGAHLGGVYGLTFIDHDRVVSSGEDGCVRLWSLISE >Vigun07g259400.3.v1.2 pep primary_assembly:ASM411807v1:7:37626764:37633688:1 gene:Vigun07g259400.v1.2 transcript:Vigun07g259400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLETYSCAPSTERGRGILISGDTKSNNILYCTGRSVIIRNLENPLQVSVYSEHAYPVTVARYSPNGEWIASADVSGTVRIWGTRNEYVLKNEFRVLSGRIDDLQWSFDGMRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVTCGEDFLANFYDGPPFKFNMSIRDHSNFVNCVRFSPDGNKFITVSSDRKGIIYDGKTGNKLGELSPEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWNIVEDGSSGTLHKTLSCTESGEVEDMLVGCLWLNDFLLTISLGGRTNLYSAKDLDKSPLSICGHMKNVTILTLLKKSEKMLLTSSYDGVIIRWIPGKGYAGKVDNKQFGLIKLLVAGEDGVIASGFDNKVYRVPLEGDTLGLAETVDVGSQPKDLSLALDHPELAIVAVESGVVLLKGAKVLSTVKLDFIVTTAALSPDGSEAIVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFASADLNREAVVWDRASKEVKLNNMLFNTARINNLAWSPDSTLVATGSLDTCVIIYEVGKPASSRRTIKGAHLGGVYGLTFIDHDRVVSSGEDGCVRLWSLISE >Vigun07g259400.1.v1.2 pep primary_assembly:ASM411807v1:7:37626763:37633711:1 gene:Vigun07g259400.v1.2 transcript:Vigun07g259400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLETYSCAPSTERGRGILISGDTKSNNILYCTGRSVIIRNLENPLQVSVYSEHAYPVTVARYSPNGEWIASADVSGTVRIWGTRNEYVLKNEFRVLSGRIDDLQWSFDGMRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVTCGEDFLANFYDGPPFKFNMSIRDHSNFVNCVRFSPDGNKFITVSSDRKGIIYDGKTGNKLGELSPEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWNIVEDGSSGTLHKTLSCTESGEVEDMLVGCLWLNDFLLTISLGGRTNLYSAKDLDKSPLSICGHMKNVTILTLLKKSEKMLLTSSYDGVIIRWIPGKGYAGKVDNKQFGLIKLLVAGEDGVIASGFDNKVYRVPLEGDTLGLAETVDVGSQPKDLSLALDHPELAIVAVESGVVLLKGAKVLSTVKLDFIVTTAALSPDGSEAIVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFASADLNREAVVWDRASKEVKLNNMLFNTARINNLAWSPDSTLVATGSLDTCVIIYEVGKPASSRRTIKGAHLGGVYGLTFIDHDRVVSSGEDGCVRLWSLISE >Vigun07g259400.2.v1.2 pep primary_assembly:ASM411807v1:7:37626764:37633705:1 gene:Vigun07g259400.v1.2 transcript:Vigun07g259400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLETYSCAPSTERGRGILISGDTKSNNILYCTGRSVIIRNLENPLQVSVYSEHAYPVTVARYSPNGEWIASADVSGTVRIWGTRNEYVLKNEFRVLSGRIDDLQWSFDGMRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVTCGEDFLANFYDGPPFKFNMSIRDHSNFVNCVRFSPDGNKFITVSSDRKGIIYDGKTGNKLGELSPEDGHKGSIYAVSWSPDSKQVLTVSADKSAKVWNIVEDGSSGTLHKTLSCTESGEVEDMLVGCLWLNDFLLTISLGGRTNLYSAKDLDKSPLSICGHMKNVTILTLLKKSEKMLLTSSYDGVIIRWIPGKGYAGKVDNKQFGLIKLLVAGEDGVIASGFDNKVYRVPLEGDTLGLAETVDVGSQPKDLSLALDHPELAIVAVESGVVLLKGAKVLSTVKLDFIVTTAALSPDGSEAIVGGQDGKLRIYSVSGDTITEQTVLEKHRGAITVIKYSPDVTMFASADLNREAVVWDRASKEVKLNNMLFNTARINNLAWSPDSTLVATGSLDTCVIIYEVGKPASSRRTIKGAHLGGVYGLTFIDHDRVVSSGEDGCVRLWSLISE >Vigun11g110000.1.v1.2 pep primary_assembly:ASM411807v1:11:31132280:31141787:1 gene:Vigun11g110000.v1.2 transcript:Vigun11g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSSRFFHTASRVFNEYPSWSKSILVCTVISGGGAVAFCDAKPEYGNEPPKKKVVVLGTGWAGTSFLKNMKSNSYDIHVVSPRNYFAFTPLLPSVTCGTVEARSVVEPIRSITRTSGVNINFSEAECYKIDQKNNKVFCRAVKEKNSGEREEFSIDYDYLVIAMGGRSNTFNTPGVEEHAHFLKEVDEALKIRRKVVELFERASLPSLPVEEKKKLLSFVVVGGGPTGVEFSAELHDFVHEDLAYLYPELEQYVNITLLEAGDHILNMFDQRITEFAEHKFARDGINVKLGSMVVKVGENEITSKERSTGEIVTMPHGMVVWSTGIGARPEIVEFMKQLGQINRRALVTDEWLRVEGSDNIYALGDCATINQRMVMEDIAVIFSKADKNNSGKLDLKEFKDVVDDIIERYPQVEIYLKKNQMKDMASLLKKSQESGITVDIEYFKEALSKVDSQMKNLPATAQVAAQQGVYLADCFNRMELCERYPEGPLRFRGVGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWISIGQSTQWLWYSVYTSKQVSWRTRFLVVGDWGRRFMFGRDSSQI >Vigun11g110000.3.v1.2 pep primary_assembly:ASM411807v1:11:31132279:31141787:1 gene:Vigun11g110000.v1.2 transcript:Vigun11g110000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSSRFFHTASRVFNEYPSWSKSILVCTVISGGGAVAFCDAKPEYGNEPPKKKVVVLGTGWAGTSFLKNMKSNSYDIHVVSPRNYFAFTPLLPSVTCGTVEARSVVEPIRSITRTSGVNINFSEAECYKIDQKNNKVFCRAVKEKNSGEREEFSIDYDYLVIAMGGRSNTFNTPGVEEHAHFLKEVDEALKIRRKVVELFERASLPSLPVEEKKKLLSFVVVGGGPTGVEFSAELHDFVHEDLAYLYPELEQYVNITLLEAGDHILNMFDQRITEFAEHKFARDGINVKLGSMVVKVGENEITSKERSTGEIVTMPHGMVVWSTGIGARPEIVEFMKQLGQINRRALVTDEWLRVEGSDNIYALGDCATINQRMVMEDIAVIFSKADKNNSGKLDLKEFKDVVDDIIERYPQVEIYLKKNQMKDMASLLKKSQESGITVDIEYFKEALSKVDSQMKNLPATAQVQVHTANTTSQ >Vigun11g110000.2.v1.2 pep primary_assembly:ASM411807v1:11:31132279:31141787:1 gene:Vigun11g110000.v1.2 transcript:Vigun11g110000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSSRFFHTASRVFNEYPSWSKSILVCTVISGGGAVAFCDAKPEYGNEPPKKKVVVLGTGWAGTSFLKNMKSNSYDIHVVSPRNYFAFTPLLPSVTCGTVEARSVVEPIRSITRTSGVNINFSEAECYKIDQKNNKVFCRAVKEKNSGEREEFSIDYDYLVIAMGGRSNTFNTPGVEEHAHFLKEVDEALKIRRKVVELFERASLPSLPVEEKKKLLSFVVVGGGPTGVEFSAELHDFVHEDLAYLYPELEQYVNITLLEAGDHILNMFDQRITEFAEHKFARDGINVKLGSMVVKVGENEITSKERSTGEIVTMPHGMVVWSTGIGARPEIVEFMKQLGQINRRALVTDEWLRVEGSDNIYALGDCATINQRMVMEDIAVIFSKADKNNSGKLDLKEFKDVVDDIIERYPQVEIYLKKNQMKDMASLLKKSQESGITVDIEYFKEALSKVDSQMKNLPATAQVQVHTANTTSQ >Vigun06g136200.1.v1.2 pep primary_assembly:ASM411807v1:6:26231891:26235629:1 gene:Vigun06g136200.v1.2 transcript:Vigun06g136200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIINLNAIIFYLSTLNTMATPWNTQLTPTIFTVCVFLIFSCASSEEKPVGYGYTISTVNNFPITNSLIANLNLIKSSSVFGPDIPHLSLSASFENKDRLRVRITDSNHQRWEIPQHVIPRASSSQYHPLRFLSTKQGFKNSLTLTHPDSDLVFTLHNTTPFGFTLSRKSSNDVLFNAAPDPSNPQTFLVFKDQYLQLSSSLPPQRASLYGLGEHTKSSFKLRPNQTLTLWNGDIPSATPDVNLYGAHPFYLDVRSPSSDGRVKAGTSHGVLLLNSNGMDIVYGGDRITYKAIGGVFDLYFFAGSSPELVLEQYTELIGRPAPMPFWSFGFHQCRYGYKNVSDLQGVVANYAKAAIPLEVMWTDIDYMDAYKDFTFDPINFPLEKMRSFVDTLHKNGQKYVLILDPGISVNETYETYVRGLKADIYIKRNGSNYLGKVWPGEVYYPDFLNPGSQAFWGGEIKLFRDQLPFDGLWIDMNELSNFITSPSIPSSNLDNPPYKINNVGDQRSINDRTVPATSLHYDNVTEYNAHNLYGLLEAKVTNKALVDITGKRPFVLTRSTFVSSGKYAAHWTGDNAATWNDLAYSIPSILNSGIFGIPMVGADICGFGGNTTEELCRRWIQLGAFYPFARDHSELSSIRQELYIWESVAASARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFSFPEDVTTYEINSQFLLGKGVLVSPVLRSGATTVDAYFPKGTWFDLFNVSNSVIAESGKYVTLDAPPDHINVHVGEGNVLALQGEALTTDAARKTAFELVVVISGGGNSYGEVYLDDGEELDVAGVKYEWTLVSFYGAVHNNSVVVTSKVTNGRFALDQRWIIDKVTLLGIPKHQKLNRMDLSGKELNIVNGTSSMTNAVMKSDFDSSSGFVSVQVSKLSLLMGEEFKLEIEMK >Vigun04g151200.1.v1.2 pep primary_assembly:ASM411807v1:4:36774529:36785745:1 gene:Vigun04g151200.v1.2 transcript:Vigun04g151200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIPKLVLGSVAFAIFWMLAVFPAVPFLPIGRTAGSLLGAMLMVIFQVISPDQAYEAIDLPILGLLFGTMVVSVYLERADMFKYIGKLLSWKSRGAKDLLFRICVISAVSSALFTNDTACVVLTEFILKIAKQHNLPPHPLLLALATSANIGSAATPIGNPQNLVIAVQGKISFGQFLIGVLPAMLVGVVVNALILMVMYWRVLSIQKDEEDPLASHAAEEEVNSHHFSPATMSHFSSLNSEQWNTHMENFNLPSSPHVQTLRNRSVVGDGEIDRVLSNTLDSTRNSNASKEETNGMSYETREETTSPSKTDVKVVKPVVETVLNTLEVKDRLSVRWRYILWKLCVYIITLGMLVAMLLGLNMSWSAISAALALVVLDFQDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPGALWEVMEPYSRVDRASGVAILALVILILSNVASNVPTVLLLGGPVAASAAAISQESEKKAWLILAWASTVAGNLSLLGSAANLIVCEQARRAPNIAYTLTFWNHLKFGLPSTLIITAIGLTLIR >Vigun10g115400.1.v1.2 pep primary_assembly:ASM411807v1:10:31939684:31957717:1 gene:Vigun10g115400.v1.2 transcript:Vigun10g115400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNETTRAGKKSKEQFCCISHEFFRTAYANPNKIAVIHATGGAHLCREFRRENSATTDFNGDIATLLEKHVESISPPFYDGDRCFTYSHVLDAVRSLSSRLRSILLGGDDPHLITAQSPGSNGVNREKRTVQKPESLETVMPSEEALAESSEEYRPKIVGIYMPPSVEYIVAVLSVLRCGEAFLPLDPFWPNERILFVASSSNVDLIIGSQSSFGKRNLEKLDESHWLVKSSSCPVLNYSIDQKLLDCSGPTNLAWPCANEKQRSFCYVLYTSGSTGKPKGVCGTEQGLSNRFSWMQGMYPLNGQELLLFKSSVSFIDHLQEFLSGILTACVLVIPPFNELKENLYSIIDFLQAYFINRLTAVPSLMKTILPGLKTNANMAVENSLKLLVLSGETFSLTLWEILSTILPKTSILNLYGSTEVSGDCTYFDCKRMPLIFKEERLTSVPIGLPIPNCDVILLSENGASNEGELYVGGHCIFRGYYNEFKEMSYAFAKLLPRYSCGDFVKGCQDQLYFRTGDLVKQLPSGDFVFLGRKDRIVKINGQRIALEEVEDLLRKHPHINDAAVVCRNNKAELMLVEAFIILKKKESLGESLVPAIRSWMMNKLPSVVLPNRFFFIESFPLSSSGKVNYELLVGSELLTKNVKDEVGNIDCGNLLQLIKKAFHDALMIEKVCDVDDDFFMMGGNSLSAAHVAYSLGIDMRFLYYYPSALKLCMALLQKKGSCTLHNSLDCCLQINTDRQDNHISTNHTENSSPLESRMTSKDNNDGPIPSKRLKRGLTNVTSWDDESLPWYSPSLLSFSLNRCNKVLHKGQLQVIDMHQNTWFADIPRGNRGHMKDFWKVYMESCVDASPMLVFKGTHIYLFIGSHSHKFLCINARSGSVQWEIKLEGRIESTAAIVSDFSQVVVGCYNGKIYFLDFLNGHICWIFQTSGEVKSQPVVDKRRQLIWCGSHDRNLYALDYKKHCCVFKLPCGGSIYGSPAIDEARDLLYVASTGGRITAISISASPFTILWLNELEVPVFGSLAVAHNGTVICCLVDGHVFALSPNGSIVWKKTTDGPIFAGSCIPSVLPDEVLVCSRSGSVYSFKLEEGDLLWEYNVGHPITASAYVDEHLLLESDASNSSDRLICICSSSGGIHLLRVNMNTNSSKDAYQQRSYVEEFARLNLAGDIFSSPLMIGGRIFVGCRDDYLHCIALEIPKQHET >Vigun09g260500.2.v1.2 pep primary_assembly:ASM411807v1:9:42578261:42583020:-1 gene:Vigun09g260500.v1.2 transcript:Vigun09g260500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFVSRRKDVRRVEIDNGTRSATAASSEGERKRESSEAKGKSVSSGKGSTAAASFGFRELAAATRGFKEVNLIGEGGFGRVYKGRLSTGELVAVKQLSHDGRQGFQEFVTEVLMLSLLHHSNLVKLIGYCTDGDQRLLVYEYMPMGSLEDHLFDPTQDKEPLSWSSRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNEFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDATRKPGEQNLVSWSRPYFSDRRKFVHMVDPLLQGNFPLRCLHQAIAITAMCLQEQPKFRPLIGDIVVALEYLASQSITEVHRYGGRSSPQQPSSEIDRN >Vigun09g260500.3.v1.2 pep primary_assembly:ASM411807v1:9:42578261:42583020:-1 gene:Vigun09g260500.v1.2 transcript:Vigun09g260500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFVSRRKDVRRVEIDNGTRSATAASSEGERKRESSEAKGKSVSSGKGSTAAASFGFRELAAATRGFKEVNLIGEGGFGRVYKGRLSTGELVAVKQLSHDGRQGFQEFVTEVLMLSLLHHSNLVKLIGYCTDGDQRLLVYEYMPMGSLEDHLFDPTQDKEPLSWSSRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNEFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDATRKPGEQNLVSWSRPYFSDRRKFVHMVDPLLQGNFPLRCLHQAIAITAMCLQEQPKFRPLIGDIVVALEYLASQSITEVHRYGGRSSPQQPSSEIDRN >Vigun05g052700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4505969:4507948:-1 gene:Vigun05g052700.v1.2 transcript:Vigun05g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHTLDLSSTVGVCASCLRERLIALVAAQAQAQAHHHHQAQLTRVISRASDDCSRTSDPNPPPPLIFPRSVSPYVSRRKSDYAAAWQGCDDRHDRRERLFYSTPQLGPTFCAGDAAYHGDSRSFKKRLNKFRIFARLFRSRSDKFQSDPSCEQSSYASPSWFSSLFPARRRNKDRTGAPEEYSSAARRRFRPSDRGMSPVRTEDFGDECDQSPSGSGYISEASPWWRRTPTVAPSARRSRFGHAKSASGSGMALCLSPLVRASPNRRWNHKGLPPEMAAAAEVRAAAAKPHLSAAASFCANRSRKLADFGRVNHNR >Vigun04g164200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38830604:38832039:-1 gene:Vigun04g164200.v1.2 transcript:Vigun04g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSFSHYKFLWRCSIAFAFFLTLFFIANSLTLQPQSTSTPCNKDPYKQLNLILNQNGTVTRPNKPPDSPPAADPSLLVLSKDLSINQSKGTWARVYLPRAAQDHRSKLPLVVFFHGGGFIFLSAASTIFHEFCFNMANDVDAVVVSVEYRLAPEHRLPAAYDDAVEALQWIKASQDNWLKNLVDYSNVFLMGSSAGGNIAYNAGLRVAAAADDKIPEIKGLILVQPFFSGTHRTGSERRLANEPHLGLCFNDAMWELSLPVGVDRDHKYCNPTAGKGRARLELIKRLGWWVLVTGCGGDPLVDRQVHLVKLMQEKGVKVVGHFTPGDYHGIQDSEPLKAKVLYGVIKTFISALN >Vigun07g241600.1.v1.2 pep primary_assembly:ASM411807v1:7:36305791:36306081:-1 gene:Vigun07g241600.v1.2 transcript:Vigun07g241600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTEMGLTMGTCAETGIEKRRETENRFSNSHWQKKLQGIKIQITNYLLDAKL >Vigun03g325800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52227993:52228715:1 gene:Vigun03g325800.v1.2 transcript:Vigun03g325800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLYEYDIYWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun07g240600.1.v1.2 pep primary_assembly:ASM411807v1:7:36184640:36190800:-1 gene:Vigun07g240600.v1.2 transcript:Vigun07g240600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTRKAMDATTKVTLLSVMLFWSCVECDHPHPLDPLTFSELNLVQTIVQNAYPASKTTNHNLTFNYVGLDEPNKSQILSWISSNPKTKPSSPPPRRAFVVVRFEKHSHQITVDLSTRSIISKDVYEGNGYPMLTFGEQTVASQLPFSYEPFKESLRKRGLNASQVLCASFTIGWFGEAKTKRNVKVKCYYTNGTANLYARPLEGVAAVVDLDDMKIVGYNDRYVIPVPNAEGTEYRASKLKPPFGPKLKGIAVTQHGGPGFTIEGHSVSWANWDFHVGFDIQAGPIISLASIYDLEKQKRRRVLYRGFISELFVPYQDPTEEWYYTTYFDCGEYGFGQSMSSLQPFTDCPPNAAFIDAYYAASDGSPVKIPNAFCIFEKYAGDIMWRHTEISIPNEVITEVRSDVSLVVRIVSTVGNYDYVIDWEFKPSGSIKIGVGLTGILGLKAGTYTHTDEIKEDIYGTLLADNTIGIYHDHFFTYYLDLDIDGEVNSFVKSKLETVKVKDENIGRKSRWTVVSETAKTEADARINLGLKPSELLVVNPNRKTKQGNKIGYRLLPGPAAHPLLLDDDYPQIRGAFTNYNVWVTPYNKSEKWAAGSYVDRSRGDDTIAVWSTRDREIEEKDIVLWYVMGFHHVPSQEDFPVMPTLSGGFELRPTNFFESNPVLKASSPKPLQWPNCTSQH >VigunL009300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:14611:14883:1 gene:VigunL009300.v1.2 transcript:VigunL009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >Vigun02g077400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22979589:22980771:-1 gene:Vigun02g077400.v1.2 transcript:Vigun02g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSRSPSPSVFRDSSHEFAESSGKFEHDFIAKLKIDEHKNEEEHNEGEVEEQIEDEEEDEEEFSFMLVNSDGSPISADDAFDNGQIRPLFPIFNQDLLFSDDYDGGVHPPLTLFVEHPEESLSPSPSPSETDKLMTAPPPEGSYCEWNPKSAVKSNSTGFSKLWRFREVKLRSNSDGKDTFVFLNHAPAAKPAEKARNVVVKKVEVKKGKTAASSAHEKHYVMNRARKENDKRKSYLPYRQDLFGFFANSHGLSRNVHPY >Vigun11g038050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5229948:5231252:-1 gene:Vigun11g038050.v1.2 transcript:Vigun11g038050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKSTARLPTTEPSKGKRRRHASSSSPERPIINRFRDSEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVDLTKKIRPAITKRFIEHFCTHPEDLEQQEEPQLDQQDEDQPAAEQLAREEQQTGPTQQPQLNMNNEVLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLHQNVRGPYPGMTPPEFFTYLQWPGDSPIFPGGGGPDAGVGPSGAADADGANIEDEIDFGGD >Vigun01g214300.1.v1.2 pep primary_assembly:ASM411807v1:1:38797797:38800695:1 gene:Vigun01g214300.v1.2 transcript:Vigun01g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLLASKVLRPTSRLLHSSQNPTIFLRTIVSKPQLCNPQASAAQREQPPRTPDELSIEEEAERKVGWLLKTIFFVTAGVAGYHFFPYMGDNLMQQSISLLRVKDPLFKRMGASRLTRFAVDDERRKKIIEMGGAQELLNMLSTAKDDRTRKAALQALDALLHSDEALASMHQVGAVSVIRSVPNSLEDAEVEGFKLSLMKRFQDLRYDVSS >Vigun09g024600.1.v1.2 pep primary_assembly:ASM411807v1:9:1938649:1941536:-1 gene:Vigun09g024600.v1.2 transcript:Vigun09g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPFSLLRNCSPLKLATPVARKASNFNLLLPLQPRRLGFSTHATNNEEAAAKAAAVNFDSEAPTIFDKIINKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKRRDGLTQLGKADSRHGEILGQLLYAAKIVAEKEGIVDGFRVVINNGPSACQSVYHLHLHVLGGRQMNWPPG >Vigun06g022900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10635958:10636422:-1 gene:Vigun06g022900.v1.2 transcript:Vigun06g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGILMFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMELCVSGP >Vigun02g163100.1.v1.2 pep primary_assembly:ASM411807v1:2:30801953:30805740:1 gene:Vigun02g163100.v1.2 transcript:Vigun02g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFPLSTTSSSERERDRENNTTRTSVYLNVYDLTPINNYLYVLGLGIFHSGIQVHDIEYGFGAHEYSSSGVFEVEPRSCPGFIFRRSVLLGSTDMSNSEFRSFIERLSAKYHGDTYHLIAKNCNHFTDEVCQHLTGSSIPGWVNRMARIGSFCNCLLPENLQVAAVRHHPERLAFSDDDGSGSDGHSASTDSEEDEPNHHLLTAPNGDVAFLKEKPVRLARENL >Vigun02g163100.2.v1.2 pep primary_assembly:ASM411807v1:2:30801920:30805800:1 gene:Vigun02g163100.v1.2 transcript:Vigun02g163100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFPLSTTSSSERERDRENNTTRTSVYLNVYDLTPINNYLYVLGLGIFHSGIQVHDIEYGFGAHEYSSSGVFEVEPRSCPGFIFRRSVLLGSTDMSNSEFRSFIERLSAKYHGDTYHLIAKNCNHFTDEVCQHLTGSSIPGWVNRMARIGSFCNCLLPENLQVAAVRHHPERLAFSDDDGSGSDGHSASTDSEEDEPNHHLLTAPNGDVAFLKEKPVRLARENL >Vigun08g178500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34829565:34834023:1 gene:Vigun08g178500.v1.2 transcript:Vigun08g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFEDIGFCGDLDLLSCPLGDEDIVAVRQTDPDPVVEDDYSDEEIDMDELEKRMWRDKVRHKRLKEQQKAKEGTDAVKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGYEEWWPQIGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDYCPPFSSGAGNGSMVINDCSEYDVDGAEEEPNFDVEDRKPDPLHPSNLGGMERITGRLPLQISHPFKGDVVTNLDFIRKRKIPGDFNLMMDPKIYTCEHPQCPYSEPRLGFPDRSARDHHQLNCAYRNSASDYGGGPNFHDAEVKPVIFPQSFVQPHTTSQAASVVQPSFGVSGLGVPEDGQKMINDLMTIYDTNVVGNNNLSSNNFVAAENHNFSQTMLQQQQQDSRESYFTGQGMVTEGNFFAREEGQFERFKAMNMNAPFDTNHMVFSPQCDLSSFDFKDMQGVGMDTVHRQQQEVSIWFQ >Vigun10g071500.1.v1.2 pep primary_assembly:ASM411807v1:10:17636535:17651404:-1 gene:Vigun10g071500.v1.2 transcript:Vigun10g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFLPWNPSRFHTLTFASLPNRALTLSASLESLSQQVSARERRRERSERRERKSGANWREEVEMKLIEKPKKKGSWMDELNLNNLAKLGPQWWVIRVLRIRGHEIAQLLARSLALNYPDVEFKIYVPSVNEKRRLKNGSYSIKPRQLFPGCVFLRCVMNKELHDFIREYDGVGGFIGSTVGNTKRQINRPKPLSDEDVDAIFRQAKEEQEKTDQAFEEEQKRAALISGIRNTELQPDDVLNAIVDTKSKKRHKKTSHQVSVTDASSNRNKYKLFVPGSTVHVVSGTFSGFTGTLKKLNRKTKMATVHFTLFGKENIADIDVNEIDLETNRGL >Vigun10g071500.2.v1.2 pep primary_assembly:ASM411807v1:10:17636535:17651404:-1 gene:Vigun10g071500.v1.2 transcript:Vigun10g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFLPWNPSRFHTLTFASLPNRALTLSASLESLSQQVSARERRRERSERRERKSGANWREEVEMKLIEKPKKKGSWMDELNLNNLAKLGPQWWVIRVLRIRGHEIAQLLARSLALNYPDVEFKIYVPSVNEKRRLKNGSYSIKPRQLFPGCVFLRCVMNKELHDFIREYDGVGGFIGSTVGNTKRQINRPKPLSDEDVDAIFRQAKEEQEKTDQAFEEEQKRAALISGIRNTELQPDDVLNAIVDTKSKKRHKKTSHQGLQAPSRS >Vigun01g245000.1.v1.2 pep primary_assembly:ASM411807v1:1:41355726:41359683:-1 gene:Vigun01g245000.v1.2 transcript:Vigun01g245000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGSNCVALRTANFAASGNRKVCQIRQWSPVLPNHRSVSGLRHQSSAPFRSSGVRAQVATLEEAGTGAPQKVEAPVVVVTGASRGIGRAIALSLGKAGCKVLVNYARSSKEAEEVSKEIKEFGGQAITFGGDVSNEADVEAMIKTAVDAWGTVDVLINNAGITRDGLLMRMKKPQWQEVIDLNLTGVFLCIQAAAKVMMKKRREG >Vigun03g368800.1.v1.2 pep primary_assembly:ASM411807v1:3:57174500:57176319:-1 gene:Vigun03g368800.v1.2 transcript:Vigun03g368800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNMLRFLFLSIFFVITVTSSTSDIKAIYWLQQPLFPPSAINTSLFTHVYYAFLAPNNATYQLDVPDSTAATLATFTAALRPSVATLISIGGANSNPDLFAAIASNAAARAAFINSTIAVARAFGFHGVDLDWEFPRTANEMKDLGQLFKEWRAAIASEASASARKPLLLTAAVYFSVDFFLSTTTSLRYPVRSINDNLDWINVMSYDLNGPWSNRTGPPAGLFDPKSNASVSYGLRSWIRSGVVPKKVVMGLPLYGRTWQLLDPSVHGIGAPAVGAGTGIDGAMALFQVLEFNNETGANVVYDKKTASVYSYSGSNWVGYDDPVTVAAKVGFAQSLYLGGYFFWAAGLDTNDWKISTQALNSWMLCINERGSVN >Vigun06g237400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34232995:34235064:1 gene:Vigun06g237400.v1.2 transcript:Vigun06g237400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLFFITHFLHTNQAKMRPKPHQPFNSKLLLLPLLVAFFLLFVLRSNLSTFKDMQEETPNATSQPSHSVKTQNCSPSCKKIPVSLSQTLIHYSTSTITPQQTLKEISVSARVLEKKSPCNFLVFGLGHDSLMWSALNHGGRTVFLEEDEAWMEQIRRRFPTVESYHVRYDSKVKEAENLMEVGRGEECTAVGDPRYSVCQLALKGLPSVVYETEWDLIMVDAPTGYYQDAPGRMSAIYTAGMMSRNKESGETDVFVHDVNREVEDTFSKAFLCECFINKQEGRLRHFTIPSFRHHPHLLRGPFCPPPPPPS >Vigun08g197700.1.v1.2 pep primary_assembly:ASM411807v1:8:36320082:36340961:1 gene:Vigun08g197700.v1.2 transcript:Vigun08g197700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLASQLETLRSFAKADAEPLKRPFTRPSILFDPKEAADIDIETLFSIALEGLEVLIGKDERFRNYKNDLFSHRSKELDRELLGIEQNNQLNVSIASYLRLLSGYFLLRPALKTIEYLIRRHKIHVYNVEELILCSLPYHDTHPFVRIVQILDTRNTKWGFLDGVKASGAPPPRMVIVQQCIRDKGILDALCNYASPSKKSQPSRLFIGFCTAVFVEVLGTVVTVDDDLVKRILPFVVSGLQPGTNGVSDHKAGSLMIIGLLGNKAALAPKLLNGLIRSVAEVARGEAIEMTDIYWFRLSLITLINLVQFQNVEILPTKALEVLNKIRDLAGVLLELSKEFNIEKFLRVLLDSLIDCSSDEYCQQTLLSLVEIVPINSFVSHVVTTILSTCVKLSQKVGDSTSSMSAGWAKKILIIFNTKYPSELRGAVHHFLQENKVRSKKDDSLYKVLCKLLDGNLDSALDISDSKVWFGLYHPKADVRRATLLDLDYSVIPETKAGSKNLINVQEAILRLLDDKELTVVQAALRVDGLPNVIDSSKLLDALLNVLKRCMDKLLSGSADNDSLNGEVALTCLKKTISYFSDHSDYLKNVAAMIFPLLIVLPQTQSLNLRALALVNKINWPLYKNVSMASSGEVASIAGSLSSINLNIVNKMAENFLVHPEEHVIWFVECCSDLELSKTLFIFVLLQSLCIKPKDEDICALFECLFPILKAEWETQVAADVVLDEFNSEMLEWECKDFFKDLLYANLRPINVKVMICIFWRLLELLLSVAPSDILLRDGDKWVSKIRNLFVFFASSKLKHAFRKHLHHLAVQCRISPSCLLSKFFTEEGVSAAVQVESLQCYAYLCSLEPDNWKLGLLAEFPSVLVPLASDTQNIRVAAMDCIDSLYTLWCHFEHAGKKNGNNASWFHFVGELLSLMSQLKTFILSDKKFLPSLFASALSSSFTNNLEHQNILVPQNVEKRFDQPTKTRIIGFILGSTLKLSNYGKLMILSLFKGIGNALMNVPEVGSLLFTFLKQYYEELGLSCPKLSDNEIQITSLLLESCAMSSPSGGNDLQDLLLKALRFGGLNSDDPACVKPCITVLNKLNSKFYVELKNEVKEHLFCELVFLWHNGNGDVQRATKEALMRIDISFSTVGYMLDHILAPKSFKVISSNKKAVKKKKLVGHQEAEDPSNSIWRRDNPFYILSSLLDVLLLKKDITNRHLLLGPLFKLLSKVFSEEWVNESFIPVQRLSQKSSPSEANNSTIYHIQQTLLIILEDIIISLKSIASQNEKIKSEINIKLLIKCAQNSDVITRNHIFSVLSAITRVYPEEILEYMLDILVVIGEAAVTQIDDHSRIVFEDLISAIVPCWLSKTDDVEKLLKIFMEKFPEIVEHRRLSFVLYLLRTLGEGKSLASLLILLFRSLISKKASCFLNAETADDLTFYTREWEYRFAVQICEQFTSKIWLPSLVMLLQQRVNRDVDQTQFLEMFIVMQFSLQKLQDPEFVFKLESREDAVVIQKALGELMEQVVLFLQLVDARKKQLNIPVIMRKELKETMRAVIRNLTAVMIPYVYINSVIKLLHNADKNVGKKALGLLCEAARSHKNVSLKLKDNKGSRSTSTSLLLHMNETSPESLNKLCVEIIRVLDDSSDTSLKVAAISALEVVAEIFPSNNSIFSLCLQSVARYIASHNLAVTSSCLRATAALINVLGPKALAELPKIMDNVMKSFRRVLSNLDMKPKNSDVLSASMESYFYLLITLEAVVDKLGGFLNPYLENIMEILVLYPELLSGMHAKVESRAHGVRKLFAERIPVRLALPPFLKLYPAAIKAGDKSLTIVFDMLGIIIGTMDRSSIVAFHGKVFDFCLVALDLRRQSPPSIQNIDLVEKGVLNTLTLLTLKLTESMFKPLLIKSIEWVESEVDGTSSTGSIDRAISFYGMVNKLTENHRSLFVPYFKHLLGGCVHHLSGDVKVSTGNQKKRAKIVDDGNIKETGSVSIKVWHLRALVLSSLHKCFLYDTGTLKFLDSSNFQMLLRPIVSQLVIDPPTLLDDSMNIPSVKDVDDLVVSCIGQMAVTAGSDLLWKPLNHEVLMQTRSDKMRAKMLGLRIVKYFVQNLKEEYLVLLAETIPFLGELLEDVEISVKSLAQDILQEMESLSGESLRQYL >Vigun09g196000.2.v1.2 pep primary_assembly:ASM411807v1:9:37049992:37056393:-1 gene:Vigun09g196000.v1.2 transcript:Vigun09g196000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWIVLLFCSACVFAMASAERSITRMEVARFNVTEDPAQNFLTRVVNFLWQSEDTGYHHVWPDLEFGWQIVVSTFVGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTMNKPIIDYDLALLIQPMLMLGISIGVAFNVVFPDWIVTILLIVLFLGTSTKAFCKGVETWKKETIMKKEAARRQQSNAEVEYKPLPSGPNGVAVKDIKSVTIEHEVTIIENVCWKEFGLLMFVWVSFLALQIAKENYTTTCSTWYWVLNLLQIPVSIGVTAYEAAALFSGRRVIASIGEEGKDFTVLQLFVYCVFGILAGVVGGMLGLGGGFVMGPLFLELGVPPQVSSATATFAMTFSSSMSVIEYYLLKRFPVPYAGYFTLVAVIAAVVGQHIVRKMIILFGRASLIIFILASTIFISAVSLGGVGIANMIHKIENHEYMGFENLCKYG >Vigun09g196000.1.v1.2 pep primary_assembly:ASM411807v1:9:37049992:37056393:-1 gene:Vigun09g196000.v1.2 transcript:Vigun09g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWIVLLFCSACVFAMASAERSITRMEVARFNVTEDPAQNFLTRVVNFLWQSEDTGYHHVWPDLEFGWQIVVSTFVGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTMNKPIIDYDLALLIQPMLMLGISIGVAFNVVFPDWIVTILLIVLFLGTSTKAFCKGVETWKKETIMKKEAARRQQSNASAEVEYKPLPSGPNGVAVKDIKSVTIEHEVTIIENVCWKEFGLLMFVWVSFLALQIAKENYTTTCSTWYWVLNLLQIPVSIGVTAYEAAALFSGRRVIASIGEEGKDFTVLQLFVYCVFGILAGVVGGMLGLGGGFVMGPLFLELGVPPQVSSATATFAMTFSSSMSVIEYYLLKRFPVPYAGYFTLVAVIAAVVGQHIVRKMIILFGRASLIIFILASTIFISAVSLGGVGIANMIHKIENHEYMGFENLCKYG >Vigun05g200700.1.v1.2 pep primary_assembly:ASM411807v1:5:38803483:38805668:-1 gene:Vigun05g200700.v1.2 transcript:Vigun05g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHLERDATVINEHGKEDGELPMFDLSTIRSATNGFSLNNKLGEGGFGPVYKGILDDGREIAVKRDSKNSSQGLQEFKNEVMHIAKLQHRNLVRLLGYCIQSGERLLVYEFMANKSLDSILFDEKKSLLLDWPRRLLIINGIGRGLLYLHEDSRHRIVHRDLKAGNVLLDCEMNPKISDFGLARSFLGNETEANTKRVVGTYGYMSPEYIIDGAYSTKSDVFSFGVLILEIVSGKRNRGFGHQDNLLAHAWRLFTEGKCTEIVDATIRDSVNLSSAVRLIHVGLLCVQLSPDDRPNMSSVVLMLSTESTLPQPKLPGLFTDRNLVEDSSSSSTHKQYSNNDMTVSIMSAR >Vigun06g112600.1.v1.2 pep primary_assembly:ASM411807v1:6:24107772:24111193:-1 gene:Vigun06g112600.v1.2 transcript:Vigun06g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGTTDYGAYTYQNLEREPYWPTEKLRISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLTVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPYGTWKGGREKAPAAFCRKTLTSKDQFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKTIPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKASGVDLSVYGSSKVVQTQAPVQLGSLRAADGKE >Vigun01g066000.2.v1.2 pep primary_assembly:ASM411807v1:1:17444973:17446469:-1 gene:Vigun01g066000.v1.2 transcript:Vigun01g066000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEVHSVVEFSLDAKWLIDPKQLFVGPKIGEGAHAKVYEGKYKNQNVAVKIINKGETPEQISRREARFGREIAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLWGIRPNCLDMRVAVAFALDIARAMECLHSHGIIHRDLKPGICIRLSLASVVNYSQ >Vigun01g066000.3.v1.2 pep primary_assembly:ASM411807v1:1:17443544:17446470:-1 gene:Vigun01g066000.v1.2 transcript:Vigun01g066000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEVHSVVEFSLDAKWLIDPKQLFVGPKIGEGAHAKVYEGKYKNQNVAVKIINKGETPEQISRREARFGREIAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLWGIRPNCLDMRVAVAFALDIARAMECLHSHGIIHRDLKPGET >Vigun01g066000.1.v1.2 pep primary_assembly:ASM411807v1:1:17444442:17446473:-1 gene:Vigun01g066000.v1.2 transcript:Vigun01g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEVHSVVEFSLDAKWLIDPKQLFVGPKIGEGAHAKVYEGKYKNQNVAVKIINKGETPEQISRREARFGREIAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLWGIRPNCLDMRVAVAFALDIARAMECLHSHGIIHRDLKPGICIRLSLASVVNYSQ >Vigun10g102766.1.v1.2 pep primary_assembly:ASM411807v1:10:29522524:29522746:-1 gene:Vigun10g102766.v1.2 transcript:Vigun10g102766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIGTTKGTKETRTTTTNSSANIFPKSRKLRDKDPHRNMQLM >Vigun04g044300.14.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823931:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun04g044300.12.v1.2 pep primary_assembly:ASM411807v1:4:3820715:3823884:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.3.v1.2 pep primary_assembly:ASM411807v1:4:3819886:3823938:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun04g044300.5.v1.2 pep primary_assembly:ASM411807v1:4:3819713:3823930:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.1.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823891:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.10.v1.2 pep primary_assembly:ASM411807v1:4:3819713:3823935:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQVFALFLMNLI >Vigun04g044300.15.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823884:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.7.v1.2 pep primary_assembly:ASM411807v1:4:3819713:3823930:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQVFALFLMNLI >Vigun04g044300.2.v1.2 pep primary_assembly:ASM411807v1:4:3819886:3823938:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun04g044300.19.v1.2 pep primary_assembly:ASM411807v1:4:3819787:3823954:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.4.v1.2 pep primary_assembly:ASM411807v1:4:3819886:3823938:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun04g044300.16.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823935:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.21.v1.2 pep primary_assembly:ASM411807v1:4:3819777:3823935:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.18.v1.2 pep primary_assembly:ASM411807v1:4:3820715:3823884:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun04g044300.9.v1.2 pep primary_assembly:ASM411807v1:4:3819886:3823938:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.13.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823955:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.17.v1.2 pep primary_assembly:ASM411807v1:4:3819777:3823955:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQVFALFLMNLI >Vigun04g044300.6.v1.2 pep primary_assembly:ASM411807v1:4:3819713:3823930:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.11.v1.2 pep primary_assembly:ASM411807v1:4:3819751:3823891:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCKSLIAVDDSIGFLNKLKILKARGCTKLRRFPPLNLPSLEKLKLSYCSSLENFPEILGNMGNIEKLKLSQLPMIKELPVSFQNLTGLHRLFVACDFIRLNSLALTPSLTDFEAYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.8.v1.2 pep primary_assembly:ASM411807v1:4:3819886:3823938:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFKGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEKITPALQKSIEESRIAIVVLSQNYASSSFCLDELATILHCHTQGLLVLPVFYKVQPSDVRHQKGSYGEELTKHQRRFKDKEKLQKWKMALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLCFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQQLHEAGETDFIFPGGSIPRWLDKESRGPSISFWFRNEFPPKVLCLLIAPEPVDITVEFNTPVVLINGKVQEYRWNSMEREVRMLESDHIHLFDLHVLPFRYKLMEMYSENEWKHVEITYQGLIHTSLIKGMGIHVVKEERRGMKDIRYDDPYTTIKMCITSLPFLFCFYLALILFSSHGLLNPTMTTLFGFMLYKIYLKSIDIG >Vigun04g044300.20.v1.2 pep primary_assembly:ASM411807v1:4:3819787:3823955:1 gene:Vigun04g044300.v1.2 transcript:Vigun04g044300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYHFEDCDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVTEKSNKHGLEHLQNILLSKILGDKDINIASEHEGISMIQQRLQRKKVLLILDNVDKCEQLQTLAGSPDWFGPGSRVIITTRDTHLLASHQVKTTYEVKTLNKDDALQLLKWKAFKTKHVDPRYVEVLNDVEIYASGLPLALEVIGSNLFAKTVEQWKSAINQYKRIPNSQILDKLKVSFDALEEEEKGVFLDIACCFKGYILRDVEDILGAIYDDCMKHHISVLVDKSLIKIGQWSTVEIHDLIEEMGRQIDQQESPEGSGKRRRLWLPKDITEVLKDNTGTSRIEILCFHISISEKEETLDWNRNAFGKMEKLKILIIRNGKFCKGPNSFPESLRVLEWHGYPSNCLPSNFDPSKLVTCKLPNSHFTSFGFLGSSKKLENLTELNFDNCQLLTRMPDVSDLPNLEKLSFDLSYGCKEWKWVNPKDGEEVGSTVSSNILEFSLTACNLNDDFFSAGFTQLGTVKNLNLSRSNITFLPGCIKEFHCLNYLNVNRCKRLQEIRGLPPNLKRLRAIKCTSLTSSASSMLLNQEEVFQGGWIKKAGDLQFLSGFVMNSLPKFFVFLLHLNRLISLWNLIHPWC >Vigun08g042800.1.v1.2 pep primary_assembly:ASM411807v1:8:4419962:4422295:1 gene:Vigun08g042800.v1.2 transcript:Vigun08g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEMVTTLVLVLALHSPFLNTVESLNFNITNFEDSESAKNMAYVGDGKASNGTVELNVVSYLFRVGRALYAKPLHLWDPSSSVVTDFTTRFTFSIDRDTNGTYADGFAFYMAPHGYPIPPNSGGGTFALFNTTSNTFIRQNHVLAVEFDTFNGTIDPPVQHVGIDDNSLKSVAFAKLDVDKNLGKKCNVLITYTASNKTLFVSWSFNGTATSHSSSSLSYQIDLMEILPEWVDVGFSASTGELTEHNVIHSWEFSSTLNSDATDNSSGEGNGKRKVWVIAVATSSAVLVAVVASVAVRAMMTKKRRNNKVDMNNDGEGGGNSVKFDLDRATIPRRFDYKELLAATNGFANDRKLGRGASGQVYKGVLSYLGRVVAVKRIFANFSNSERVFTNEFVGWCHEQGEFLLLFEYMPNGSLDTHLFGDKKPLTWDVRYKVALGVAAGVRYLHEDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKFVDPRLRTQMTGVVGTYGYLAPEYMNGGRASKESDIYSFGVVALEIACGRRTYKDGEFHVPLVNWVWQKYLGGNVLNVADEKLNMDFNVDEMRSLIIVGLWCTNPNDKERPTATQVIRVLELEAPLPELPLDMHDHLPPSLLTHSQQTNSQSIPSLPFTDSFISDGR >Vigun03g132400.1.v1.2 pep primary_assembly:ASM411807v1:3:12919069:12922717:-1 gene:Vigun03g132400.v1.2 transcript:Vigun03g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIQGYSSAEDEPDQDQTNNSDLEHSDDDAPAPAAAVGHPSLGDRSIFDHLPNPPSASGLPSAFDAFSEIAGPPQFLNNSVDEYNSNPSRDGDEQQGRQGNRRRRNDKKDLPTGAVVEAKPQLIGIHERVRSDIDGGSQQPPTSATSATSEGVKRVPTATNPNAEDAAELLRMCLQCGIPKTFSSARGMVCPVCGDRPPNDSSTESKKKGSTVKDKEKSKRMKGQSSHATWKSETEMQLRQQFD >Vigun07g217400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33937294:33941394:-1 gene:Vigun07g217400.v1.2 transcript:Vigun07g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPQGGIIQGGTSFGGFDLPGSIRVQHQAQHPHAMHQHQTHPRQGSSVHSTVHDVFPLTMGTLQNCDQTISMTEFSKGDRCKNSASEEDEPSFTEDGVDCHHEASRGKKGSPWQRVKWTDKMVKLLITAVSYIGEDVTVDGGGSGRRKFAVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDFLSDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRNRDDHDNDDMRRSHHDDHGEDDPDVEIDDHDDLEENCASHGDSRGIYAPLGGPVKKLKQGQGQGQEDGNTFGNSINSQDYNRSSYPHGQMIQSDVNQGLPESMRAAWLQKQWVESRSLQLEEQKLQIQVEMLELEKQRFKWQRFSKKKDRELEKLSLENERMKLENERIALELKRKEMSTGFK >Vigun04g152200.5.v1.2 pep primary_assembly:ASM411807v1:4:36897516:36906441:-1 gene:Vigun04g152200.v1.2 transcript:Vigun04g152200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVYHFAAEFNSTSLPLNILINNAGICAPPFMLSKDNIELQFAVNYLGHFLLTNLLLDNMKKTTKESKIQGRIVNVSSMGHRFTYPKGILFDKINDPPRYKEYSRSICDSLPRAICVEKCAARSINNMLFSIAPTSEWNEWQVFCR >Vigun04g152200.3.v1.2 pep primary_assembly:ASM411807v1:4:36897516:36906441:-1 gene:Vigun04g152200.v1.2 transcript:Vigun04g152200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFNRKGDSGFSSSSTAEEVTLRIHSTSLTAIVTGASSGLGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVYHFAAEFNSTSLPLNILINNAGICAPPFMLSKDNIELQFAVNYLGHFLLTNLLLDNMKKTTKESKIQGRIVNVSSMGHRFTYPKGILFDKINDPPRYKEYSRSICDSLPRAICVEKCAARSINNMLFSIAPTSEWNEWQVFCR >Vigun04g152200.4.v1.2 pep primary_assembly:ASM411807v1:4:36897516:36906441:-1 gene:Vigun04g152200.v1.2 transcript:Vigun04g152200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFNRKGDSGFSSSSTAEEVTLRIHSTSLTAIVTGASSGLGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVYHFAAEFNSTSLPLNILINNAGICAPPFMLSKDNIELQFAVNYLGHFLLTNLLLDNMKKTTKESKIQGRIVNVSSMGHRFTYPKGILFDKINDPPSYNKWRAYGQSKLANILHANELARRFKV >Vigun04g152200.1.v1.2 pep primary_assembly:ASM411807v1:4:36897516:36906441:-1 gene:Vigun04g152200.v1.2 transcript:Vigun04g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFNRKGDSGFSSSSTAEEVTLRIHSTSLTAIVTGASSGLGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVYHFAAEFNSTSLPLNILINNAGICAPPFMLSKDNIELQFAVNYLGHFLLTNLLLDNMKKTTKESKIQGRIVNVSSMGHRFTYPKGILFDKINDPPSYNKWRAYGQSKLANILHANELARRFKEDGVDITANSLHPGVITTNIYLHNRLLTGIKNILGPFVIRYLERFVLKNVQQGASTTCYLALHPQVSGMSGKYFADNNVSETSLDGRDMDLAKKLWDFSMNLTNQK >Vigun04g191116.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41497973:41501760:-1 gene:Vigun04g191116.v1.2 transcript:Vigun04g191116.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGALLSAFLQVAFDRLASPNVVDFFRGRKLDEKLLGNLNIMLHSINALAHDAEQKQFTDPHVKAWLFAVKEAVFDAEDLLNEIDYELTRCQVEAGSDPQTLASKVSNFFNSTFSSFNKKIESEIREVLEKLEYLAKQKGALGLKEGIYSGDSSGSKEPQKLPSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGKTTLAQHVYNDPKIDEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKKKISRRKFLLVLDDVWNERREEWEAVRTPLSYGAPGSKILVTTRGEKVASNMMSEVHRLKQLEEEECWKLFKKHALKNDDHELNDEKKKIGRRIVEKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLHHSNKIKSAQEIGEQYFDDLLTRSFFLQLSSEMRQIKKVREIGEQYFHDQLTRSFFLESSFEMRFVMHDLLNDLAKYVCADFCFRLKFDKGSCIPNTTRHFSFSFGDVEYFDGLGNLTDAKRLRSFHSITNNCSYKFNPCQFNILVHELFSKFKFLHVLSLNGYAQLIEVPNSVGDLKHLCSIDLSYTHIQKLPDSICLLYNLLIMKMNFCSFLEELPSNLHKLTKLNCLEFEHTNVRKMPMHFGELKNIQVLSTFSVDRDSKVINIKQLGGLNLHGRLSINEVQNIVNPLDALEANLKNQHLVELKLKWTLNHMPDDPKKERKVLENLQPPKHLEHLSIENYGGTKFPSWVFDNSLSNLVFLHLENCKYCLWLPPFGLLSSLKSLEITGLDGIVSIGAEFYGSDSSSFRSLETLKFYNMKELEEWECKTTSFPRLQNLHIYHCRKLKCLPNQLLHLKNLDISCCDKLVISVNKMFTSSLQLLSVMLCPLVNMPRTNYDFLEAMEINSNCVYFTIFPLDFFPNLRLLQLSWCQNLKAVSQEHAHNHLKVLRIGDCPQFESFPSEGLSAPRLQIISIRGAESLKLMPKRMQFLLPSLTDLVIIDCPKVEMFPDEGLPSNLKKMSLSSLKLIASLRDTLDANTCLESLIVEKLDVESFPGEVLLPRSLTTLHIRFCPNLKKLDYNGLSHISSVIYYGCPNLHQRKLQSS >Vigun05g052600.1.v1.2 pep primary_assembly:ASM411807v1:5:4493915:4497815:1 gene:Vigun05g052600.v1.2 transcript:Vigun05g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQLPNIKKWIVMYPVYINSKKTMAEGRRIAVAKACENPTCAEIGDCCSYLKLPFAIEIDKAYPRDFMQRGRVRVLLKKEDETLINPSILSRKQLMLRVAEMVPRHHGRTKKQETASTSTTGPSHKSGKGGKRRR >Vigun11g085050.1.v1.2 pep primary_assembly:ASM411807v1:11:25470191:25472840:-1 gene:Vigun11g085050.v1.2 transcript:Vigun11g085050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISISPISWNVVGMVIYLVLVSDGRHEDFQRMPTLDDGILCAKVDLRRENEAGSHDGGSNSAEPGAQAKTSELEA >Vigun05g020000.2.v1.2 pep primary_assembly:ASM411807v1:5:1655995:1669450:1 gene:Vigun05g020000.v1.2 transcript:Vigun05g020000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFQEAGGGDEDATVDVHTTRGTPIRYLPLDHLYSATSPCRVTASGSSNVMSKKVKARKLTTLAAHHHFGNHDHKKTTSSSSSSSQPPSSKPPLLFVYSRRRKRHSPSTAPFYDSLCKTEGKVNADENEDEKRLLKKRKIGSTELERLGVDLNTAIGDADGPRLRECRNQFGNSGAAGNVKCGSLENLPKMSPDSRPVKKWVGLSFDDADPEVFVGLRCKVYWPMDLKAYTGYVRGYDKETKIHHVKYDDGDEENLILSNENIRFHVSRDEVKHLNLNLAKVRDNNVSDYDVEEMLAMAASLDDCQDFEPGDIIWAKLTGHAMWPAVVLDESLASNCKGLKMSLGGRSVPVQFFGTHDFARVRLQQVKSFLSGLLTDLHSKCKKHSFIEGLEEAKRYLNEQKLPLEMLELQKRCAADNCNNVSREEGGCTDSGDDCLNGERTLMALENVETFPYELGDLQILSLGKIVEDSAFRDGRFIWPEGYTAVKKFTSVADPEVFAPYKMEVLRDPESKVRPLFRVTVDGGEQFSGNTPSACWSEIFKKIKKMEKNASEGSLAKGGVEKGYLSGSDMFGFSNPKVLKLIQGLSKSKISSRKSCKLGSQRHNNLPLGYRQVHINWFDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVNGVLWLCNLCRSGAPPPPCCLCPLIGGAMKPTTDGRWAHLACAMWIPETCLADVKRMEPIDGLSRISKDRWKLLCSICGVSYGACIQCSNSSCRVAYHPLCARAAGLCVELENEDRLYLLSVDDDEDQCIRLLSFCKRHRQPSNEHSVADDRIVRVAGLCSDYEPPPNPSGCARSEPYDYFGRRGRKEPEALAAASLKRLFVENQPYLVGGYCQHGSLNDPEPSGRGVCSKFFCSQQRLRTSLIDASNNILSISEKYEYMRETFRKRLAFGKSRIHGFGIFAKHPHKGGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCAPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFSIDERLACYCGFPKCRGIVNDTEAEERVATLYAPRRELIDWRGE >Vigun05g020000.1.v1.2 pep primary_assembly:ASM411807v1:5:1656051:1670185:1 gene:Vigun05g020000.v1.2 transcript:Vigun05g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFQEAGGGDEDATVDVHTTRGTPIRYLPLDHLYSATSPCRVTASGSSNVMSKKVKARKLTTLAAHHHFGNHDHKKTTSSSSSSSQPPSSKPPLLFVYSRRRKRHSPSTAPFYDSLCKTEGKVNADENEDEKRLLKKRKIGSTELERLGVDLNTAIGDADGPRLRECRNQFGNSGAAGNVKCGSLENLPKMSPDSRPVKKWVGLSFDDADPEVFVGLRCKVYWPMDLKAYTGYVRGYDKETKIHHVKYDDGDEENLILSNENIRFHVSRDEVKHLNLNLAKVRDNNVSDYDVEEMLAMAASLDDCQDFEPGDIIWAKLTGHAMWPAVVLDESLASNCKGLKMSLGGRSVPVQFFGTHDFARVRLQQVKSFLSGLLTDLHSKCKKHSFIEGLEEAKRYLNEQKLPLEMLELQKRCAADNCNNVSREEGGCTDSGDDCLNGERTLMALENVETFPYELGDLQILSLGKIVEDSAFRDGRFIWPEGYTAVKKFTSVADPEVFAPYKMEVLRDPESKVRPLFRVTVDGGEQFSGNTPSACWSEIFKKIKKMEKNASEGSLAKGGVEKGYLSGSDMFGFSNPKVLKLIQGLSKSKISSRKSCKLGSQRHNNLPLGYRQVHINWFDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVNGVLWLCNLCRSGAPPPPCCLCPLIGGAMKPTTDGRWAHLACAMWIPETCLADVKRMEPIDGLSRISKDRWKLLCSICGVSYGACIQCSNSSCRVAYHPLCARAAGLCVELENEDRLYLLSVDDDEDQCIRLLSFCKRHRQPSNEHSVADDRIVRVAGLCSDYEPPPNPSGCARSEPYDYFGRRGRKEPEALAAASLKRLFVENQPYLVGGYCQHGSLNDPEPSGRGVCSKFFCSQQRLRTSLIDASNNILSISEKYEYMRETFRKRLAFGKSRIHGFGIFAKHPHKGGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCAPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFSIDERLACYCGFPKCRGIVNDTEAEERVATLYAPRRELIDWRGE >Vigun09g016500.1.v1.2 pep primary_assembly:ASM411807v1:9:1190983:1199835:1 gene:Vigun09g016500.v1.2 transcript:Vigun09g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSERKTIDLEQGWDFMQKGILKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYIVSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLHEVGLTCFRDLVYKELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDHYEIDFEAAMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGMALVKLAEDAVSTKKAEKKDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNNANADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFDPKTVELIVTTYQASALLLFNSSDRLSYSEIMTQLNLSDDDVIRLLHSLSCAKYKILNKEPNTKTISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLNYQQLVMECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANLFRYLA >Vigun07g274700.2.v1.2 pep primary_assembly:ASM411807v1:7:39031463:39034701:1 gene:Vigun07g274700.v1.2 transcript:Vigun07g274700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSKPRAASSQPSETSSKRKRGVFQKELQHMMYGFGDDPNPLPESVTLMEDIVVEYVTELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDEPVEG >Vigun07g274700.1.v1.2 pep primary_assembly:ASM411807v1:7:39031463:39034704:1 gene:Vigun07g274700.v1.2 transcript:Vigun07g274700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSKPRAASSQPSETSSKRKRGVFQKELQHMMYGFGDDPNPLPESVTLMEDIVVEYVTELVHKAQDIGSQRGKLSVEDFLYLIRKDLPKLNRCTELLSMNEELKQARKVFESDEEKLRKVFEVDEPVEG >Vigun02g066600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21653408:21660657:1 gene:Vigun02g066600.v1.2 transcript:Vigun02g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVVSFVLPNRALFFSSTPENPTLYSFLQPSVFALTKNKQQPISEPSPKAPTCLSPSQLSTLETTLHKSLLSSNTDEAWKSFKALTTHQAFPPKPLTNSLLSHLSSHGDTLNLKRAFASALFLMEKNPLLLQHDTLHHMLLSMKGANTPAPAFALVRSMLRFRFFVPFHVWGPVLVEISRNCGNLAAFLRLFEENCRVALEERVEFMKPDVGACNAALEGCCCELESVSDAERVVGTMSSLGVRPDEFSFGFLGYLYALKGLEEKIRELEVLMGGFGCFNKKGFYCNLIKGYVKSENLASVEATIVRFLNDGCGKDWGFGVETFCEVIKVYFQKGNIKGLANLIVEAQKIEGSNIVVDRSIGYGIVNACVSIGLSDKAHSILDEMDVQGASVGLGVYIPILKAYCKENRTAEATQLVMEISNSGLQLDVGTYDALIEASMCSQDFQSAFSLFRDMREARIPDLKGSYLTIMTGLMEKHRPELMAAFLDEVVEDPRIDVGTHDWNSIIHAFCKAGRLEDARRTFRRMMFLQFEPNDQTYLSMINGYVLAEKYFLVLMLWNEVKRKLSMDVQKGIKFDQNLVDAFLYAMVKGGFFDAVMQVVEKANEMKIFVDKWRYKQAFMETHKKLRVAKLRKRNFRKMEALIAFKNWAGLNA >Vigun07g264750.1.v1.2 pep primary_assembly:ASM411807v1:7:38045140:38047726:1 gene:Vigun07g264750.v1.2 transcript:Vigun07g264750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESISLIKHVPSFARGFRILLVHDDKISRSYLSAILQLYSFKVTATNNASAAASMIWHQEGTFKLIMAKADMADMDIPSFLDVAVEKDVPIIFIYSGVFDDVNRKALATGLCYFLQEPITPNDLRYLWQHLYHSRPCSPKNTQNAGLQNTQVTKTQCQVLGEKRGRSDADTWTLNNTMPTTQCKGNKAYGKRNHDHCEGKKKEKRYVLHEKPDSLGSAMMNINNPNEHFRMSESKKRLSVWTPELHQKFLDAVKELGENIARPKQILVRMNVSESYLTVRQVASHLQKYRLRLKVEESSRNSYLPKVSSSRHKPNSSSSEGSTLGTKDLAAPNVFLNVNHNQDQIPVNSVGMEFTDSWDSQPMTGASVDSNIPRTEFCDFVETFLEDSDCFNLYKYETNLAAVDQCAEMLRKVLEGRGSS >VigunL009101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:107343:107510:1 gene:VigunL009101.v1.2 transcript:VigunL009101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun01g114500.2.v1.2 pep primary_assembly:ASM411807v1:1:28971676:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.7.v1.2 pep primary_assembly:ASM411807v1:1:28973348:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQVCYD >Vigun01g114500.6.v1.2 pep primary_assembly:ASM411807v1:1:28973336:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.8.v1.2 pep primary_assembly:ASM411807v1:1:28972584:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.3.v1.2 pep primary_assembly:ASM411807v1:1:28972440:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.5.v1.2 pep primary_assembly:ASM411807v1:1:28972554:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.4.v1.2 pep primary_assembly:ASM411807v1:1:28971676:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun01g114500.1.v1.2 pep primary_assembly:ASM411807v1:1:28973336:29037221:-1 gene:Vigun01g114500.v1.2 transcript:Vigun01g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWRQLKVMLRKNCLLKIRHPFVTAAEILLPTIVLLLLVAVRTKEDTQIHPAQPHIQKDMFVEVGKDISPNFPMVLQSLLDKGEYLAFAPDTDETKLLIDVVSIKFPLLKHVSRVYKDEVELETYIRSDAYGTCNQARNCSTPKIKGAIVFYEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAIPTMQYSISGFLTLQQMVDSFIILIAQQSDLNLNAESLDLPLPGFNNSNFSLKNPWTQFNPAHIRIVPFPTREYTDDQFQSIIKKVMGILYLLGFLYPISRLISYSVFEKEQKIKEGLYMMGLKDGIFHLSWFITYALQFAISSGILTACTMYNLFKYSDKTLVFAYFFVFGLSAIMLSFLISTFFKRAKTAVAVGTLSFLGAFFPYYTVNDEGVSIILKVIASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLACLLMMILDTLLYCAIGLYFDKVLPREYGRRYTWSFIFQRDFWRKKKIVKHGSSGSNVKVSGKISESEGNISREYTSRPAIEAISLDMKQQELDSRCIQIRNLHKVYATEKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVEEHLLDNAVINMADEVGMADKINSIVRTLSGGMKRKLSLGIALIGNSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHYYGVGYTLTLVKSAPTASIASDIVYRHVPNATCVSEVGTEISFRLPMASSSAFERMFREIEGCMKKPVSNMELSGKSEKDNIGIESYGISVTTLEEVFLRVAGCDADEVECFEENNHSLISDSVASLPTNEDASTKISCLKILGNYKRIPGLMSTMLGRACRLTFATFFSFINFLGMHCCSCCLITRSTFWQHFKALFIKRAISARRDHKTIIFQLMIPTLFLFVGLLFLKLKPHPDQQSLTLSTSHFNPLLSGGGGGGPIPFNLSFPIAEKVAQNVMGGWIQRFKSSSYRFPDSEKASADAVEVAGPTLGPALLSMSEYLMSSFNESYQSRYGAIVMDDQSNDGSLGYTVLHNCSCQHAAPTFINLMNSAILRLATQDTNMTIRTRNHPLPTTQSQRLQRHDLDAFSAAVIVNIAFSFIPASFAVSIVKEREVKAKQQQLISGVSILSYWASTYIWDFVSFLFPASVAIVLFYIFGLEQFVGGVSLLPTILMLLEYGLAIASSTYCLTFFFFDHTMAQNVVLLIHFFTGLILMVISFVMGLMPSTMSTNSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVFDWNVTGASICYLAVESFSYFLLTLALEILPSIKLTSFMIKKWWEKINIFRHDSPYSEPLLESSSETVVTDFDEDVDVKTERNRVLSGSLDNSIIYLRNLRKVYFEEKHHGRKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARRYIGYCPQFDALLEYLTVQEHLELYARIKGVPDFAMENVVMEKLSEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQAIQERLLEVPSHPRSLLNDLEICIGGTDSVTSGNTSIAEISLTREMISLIGHWLGNEERVKTLISCTPVFEGASQEQLSEQLFRGGGIPLPVFSEWWLSKQKFSEIDSFILSSFHGARCQGCNGLSIRYQLPYNEEFSLADVFGLLERNRNTLGIAEYSISQSTLETIFNHFAANP >Vigun08g109200.3.v1.2 pep primary_assembly:ASM411807v1:8:27163998:27167777:1 gene:Vigun08g109200.v1.2 transcript:Vigun08g109200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDVFHFVFFCISLNKSWVFFTSQCFLLDPAKWGVLSLPEKQRLVHEIARQSKDASSMLQTFTRRELLEIICAELGKERKYTGYTKSQMIEHLLKIISKNSNLHITGNMPATTKSCIGSKRKKKPASEDLHHAPLEDIKEEIVKTFLCQNVACKATLNPEDSFCKRCSCCICHCYDDNKDPSLWLTCSSDLPNEESCGMSCHLQCALSNQMSGILKGSCGIKLDGAFCCVSCGKSNELMKTWRKQLLVAKEARRMDILSLRISLAHRILVGTEMYKELQKIVETALKLLENEVGSLDHVYARMTRGIVSRLSCGAEVQRLCSTAIECFDSKFSDLFSVSVVKKDAPTCSIRFEECLPSSVVIVVEYNDKLLKNFLGCRLWHRVSTTDYPEQPTFIVLRPEKRFKLENLHPSTVYFCKASLFSSTGILGAAEAKWVTQCEPTNSARRTSCAGNRFTMEMCGQDHPTESGISDMKLSSRQHPGKPISLNIRGRFEEFLSKPQSLEPFSYKNLAAAVSPSTPCKSYEMRQIPGLNARKRSKENDYEYSVRVVKWLEHLGHIDEIFRVRFLTWFSLKATQQERRVVSAFVDALIDDPASLADQLIHTFSDEICCEQKPL >Vigun08g109200.1.v1.2 pep primary_assembly:ASM411807v1:8:27163995:27167919:1 gene:Vigun08g109200.v1.2 transcript:Vigun08g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVFFICIIALVVCVVGCFYIPIFITQLLEKWEICKGFLLDPAKWGVLSLPEKQRLVHEIARQSKDASSMLQTFTRRELLEIICAELGKERKYTGYTKSQMIEHLLKIISKNSNLHITGNMPATTKSCIGSKRKKKPASEDLHHAPLEDIKEEIVKTFLCQNVACKATLNPEDSFCKRCSCCICHCYDDNKDPSLWLTCSSDLPNEESCGMSCHLQCALSNQMSGILKGSCGIKLDGAFCCVSCGKSNELMKTWRKQLLVAKEARRMDILSLRISLAHRILVGTEMYKELQKIVETALKLLENEVGSLDHVYARMTRGIVSRLSCGAEVQRLCSTAIECFDSKFSDLFSVSVVKKDAPTCSIRFEECLPSSVVIVVEYNDKLLKNFLGCRLWHRVSTTDYPEQPTFIVLRPEKRFKLENLHPSTVYFCKASLFSSTGILGAAEAKWVTQCEPTNSARRTSCAGNRFTMEMCGQDHPTESGISDMKLSSRQHPGKPISLNIRGRFEEFLSKPQSLEPFSYKNLAAAVSPSTPCKSYEMRQIPGLNARKRSKENDYEYSVRVVKWLEHLGHIDEIFRVRFLTWFSLKATQQERRVVSAFVDALIDDPASLADQLIHTFSDEICCEQKPL >Vigun08g109200.2.v1.2 pep primary_assembly:ASM411807v1:8:27163662:27167950:1 gene:Vigun08g109200.v1.2 transcript:Vigun08g109200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETKGSAFLGANTSYSGFLLDPAKWGVLSLPEKQRLVHEIARQSKDASSMLQTFTRRELLEIICAELGKERKYTGYTKSQMIEHLLKIISKNSNLHITGNMPATTKSCIGSKRKKKPASEDLHHAPLEDIKEEIVKTFLCQNVACKATLNPEDSFCKRCSCCICHCYDDNKDPSLWLTCSSDLPNEESCGMSCHLQCALSNQMSGILKGSCGIKLDGAFCCVSCGKSNELMKTWRKQLLVAKEARRMDILSLRISLAHRILVGTEMYKELQKIVETALKLLENEVGSLDHVYARMTRGIVSRLSCGAEVQRLCSTAIECFDSKFSDLFSVSVVKKDAPTCSIRFEECLPSSVVIVVEYNDKLLKNFLGCRLWHRVSTTDYPEQPTFIVLRPEKRFKLENLHPSTVYFCKASLFSSTGILGAAEAKWVTQCEPTNSARRTSCAGNRFTMEMCGQDHPTESGISDMKLSSRQHPGKPISLNIRGRFEEFLSKPQSLEPFSYKNLAAAVSPSTPCKSYEMRQIPGLNARKRSKENDYEYSVRVVKWLEHLGHIDEIFRVRFLTWFSLKATQQERRVVSAFVDALIDDPASLADQLIHTFSDEICCEQKPL >Vigun09g252100.1.v1.2 pep primary_assembly:ASM411807v1:9:41911826:41914031:1 gene:Vigun09g252100.v1.2 transcript:Vigun09g252100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSRVKIEFNALDPRTASCMEFLAQCNSRKAKESNPACEVEVKRGKVECAPQITVTFVNGVEELFDATATPAQSIRNLILEKGRQLETEQMFREAGESWPVIIPDEELSQIAPPTKPRKAEDKKQ >Vigun04g133200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33397130:33402100:1 gene:Vigun04g133200.v1.2 transcript:Vigun04g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYFLKTFYVLVLLSLLASGITVTFNNSSESDEAKCIDRERQALLSFKRGLIDNFGVLSTWTNNTDCCKWKRILCNQQTGHIQLLDLHGNSFNTPYLRGAIDVTLLIHLPYIQHLDLSHNLFELSYIPEFTISFSNLRYLDLSHSDFAGRIPSTLGNLSQLRYLDLGDNYLWGEIPTQIGNLEHLQYLDLGLFYLSGKIPSQIGNLSNLQYLSVGCNTPVYASSSSNRISNSLSGEIPFRIGNLPLLHTLRLVGNFDIKPKDAQWLSTLRSLTILELSSLNSLGSSHQWLPTITKIIPNLREMRLVDSNLLDIDVQSLFQSHSSNKSTSLAVLDLSSNMLTSSTLQLLFNFSLHLQELYLSHNNISLSPLCPNFPSLKILELSYNNLSSSMFRGNFNISSKMKQLYLVNCSITDRSFLVSSTSTSTRSSLSSLLYLDLSDNLLKSCPIFHWLSNFTTNLRTLRLGYNFLEGPIPDEFGKVMNSLEYLSLPNNKLKGKVPSFFRIMCRLQRLDLSNNKFEGEFPSFIQNSSWCTRHTLRILNISYNQITGKIPESILLLSKLENLSVGENSLEGDVTESHLSNFSRLLYLDLSYNSLSLKFDSKWVPPFQLNYLYLASCKLGPNFPSWIQTQNSLIHLDISDNGLTDLVPKWVWNKLQIMYTLNMSHNNLIGSIPNMKLKLPFRPSIIINSNKFEGKIPLFLLDASELLLSENKFSDFFCGNNTAANLATLDLSYNQIKGKLPDCWKFVDRLLFLDLSSNELSGKIPNSMGTLVKLEALVLRNNSLMGELPSSLKNCKNLIMLDMSENMLSGPIPSWVGESMSQLIILIMRGNHFSGNIPLHICYLQRIQLLDLSRNKLSEAIPACLNNFTALSGKSIDRVETESRVHWYNSTYSEIYNFFSASYYTFHITWTWKGVERSFRHPELILQSIDLSCNNLTGEMPKEITYLLGLVSLNLSRNNLNAEIPSEIGNLSSLDSLDLSRNNLSGKIPATLSNIDRLSVLDLSNNHLIGRIPWGRQLQTFDASSFEGNLDLCGKPLQKTCPADETTIKSEGPEEHDEDDNSGFYGALYMSLGVGFFTGFWGLLGPLLLWQPWRIAYLSFLNRLIDYIIVMAEVNTTKCQRWLKD >Vigun03g086850.1.v1.2 pep primary_assembly:ASM411807v1:3:7198797:7200826:1 gene:Vigun03g086850.v1.2 transcript:Vigun03g086850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLHMGLYIFSSSGMQMYYVYGYMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYMKTKMSGFFQTSFYFGYTLMFSLGLGILCGAGAVSFLGSNIFVGRTLQKSER >Vigun09g266400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43010287:43011710:1 gene:Vigun09g266400.v1.2 transcript:Vigun09g266400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSPLNPKSNSHGRSNSLPSRPHPLILECNEHLDSLRASKATTFSSLFLSQNIRCLQDLIECVEKLTHLPLTQDVLLHEREEKWVDGVLDGSLRLLDVCSAAKDALLHTKECTREVHSMIRRKRGGEVELAAEAKKFLTSRKVVKKAISKALANLNATSKSSNISSTTDKDPQTLSLITLLQDTEVATLSTFQTLLQFISASTQQKSNGWLSISKLIQAKRVGCSEVADENEFAQVDAALQSFVFAKTSKSEETKNLQRHLEKMESCIQEFEEGLEFLFRRLIKIRVSLLNVLNH >Vigun05g186600.1.v1.2 pep primary_assembly:ASM411807v1:5:36117015:36121632:1 gene:Vigun05g186600.v1.2 transcript:Vigun05g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKVLVPIADGTEPMEAVIIADVLRRAGAIVTIASASASLTVLARFDVKIVADVFVSDIVDTSFDLIAIPGGIPGVENLRDCKVLEGLVKKHVEEGRLYAAVCAAPAVVLGPWGLVNGLKATCFPSLMEKLASYGATTVESRVQVDGKLVTSRAPGTTMEFAVALIEQLLGKVKAEHVAGPLVMRYNHDDEHTFKEFNLVQWTCDNPPKILVPITYGSEEMETVIIIDILRRAKANVVVTAAIREEVTGLHGVNLVADTLIKEAVQLSYDLVVLPGGDNGAASLTNEYYLVKLLEKQRDSNKYYGAICASVTTIFERHGLLKGKKATAYPLKCNMLLDQSAAENRVVVDGNLITSKSPGTGIEFALAIVEKLFGRQLALDIAKALVF >Vigun02g069650.1.v1.2 pep primary_assembly:ASM411807v1:2:22000779:22002164:-1 gene:Vigun02g069650.v1.2 transcript:Vigun02g069650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKCLIVLVHFFGLPHVKSSMPFLYLRTSSIHGVLPLSQTTLTWANTMILINTLIKTLHLTSYLLFLHLLLLPTSLSSLQIHTLLGIDGEKQCSCFQRSSENLQHH >VigunL060837.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:22907:23107:1 gene:VigunL060837.v1.2 transcript:VigunL060837.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun03g349800.1.v1.2 pep primary_assembly:ASM411807v1:3:55053398:55058100:1 gene:Vigun03g349800.v1.2 transcript:Vigun03g349800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSPESGGAGNKLVGGPANLGGLKSKPVTSGGLKAKAQAPSKINGSTVATSVESLKLEDDLPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRENFPIRSYEIGADRTASIETVMNHLQETALNHVKSAGLLGDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGDIVQVDTWVSGSGKNGMRRDWVLRDCKTGEILTRASSVWVMMNKLTRRLSKIPEEVREEIGSYFVDSAPILEEDSRKLTKLDDNTADYIRTGLSPRWSDLDVNQHVNNVKYIGWILESAPQSILESHELSSMTLEYRRECGRDSVLDSLTAVSGANIGNLADSGHVECKHLLRLENGAEIVRGRTEWRPKPVNNFGFMNEVPAEST >Vigun05g041600.1.v1.2 pep primary_assembly:ASM411807v1:5:3357289:3361118:-1 gene:Vigun05g041600.v1.2 transcript:Vigun05g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTCTSLPLPTFSLINPILMDCFHFLKKNTVEEKVKKEDYTSDGAIDRHGHPAVREKTGDWVAAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYITCAIFQVIFVIGLVSLSVSSYIFLLRPSGCGDKELPCGSHSSYQTVLFYVSIYLIALGNGGYQPNIATFGADQFDEGDPREQHSKIVFFSYFYLALNVGSLFSNTILNYFEDDGLWTLGFWASAGSAALALALFLCGTPRYRYFKPSGNPLPRFCQVFVAAARKWNVKVLQDDKLYQADQQIPNNEGRKMLHTQGFRFLDKAAFMTSKNLKQMEENKCSPWSLSTVTQVEEVKCILRLLPIWLCTILYSVVFAQMASLFVEQGDAMDTRISSFHIPPASMSTFDILSVAVFIFVYRRVLDPLVARTMKSKGLTELQRMGIGLVLAIMAMVSAGLVEHFRLKHAIEDCNECEGSSSLSIFWQVPQYVLVGASEVFMYVGQLEFFNGQTPDGLKSFGSALCMTSISLGNYVSSLLVAIVMKISARDEMPGWIPGNLNKGHLDRFYFLLAALTTADLAIYVAMAKWYKYVKFQGINQNEINREDPELGV >Vigun11g097000.1.v1.2 pep primary_assembly:ASM411807v1:11:28364875:28366649:1 gene:Vigun11g097000.v1.2 transcript:Vigun11g097000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGGDNASQLLRAQTHVWNHIFKFINSLSLKCATELGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISRLMRILTHSGFFSEHHGTPNEGEVLYVLTDASKLLLKDHPSSMTSLLQLIVDPVYINPWYQLSTWFTNEDPTPFYTENGMTVWDFFRCKPKFNHLFNDAMASDSKWVSSVVIEKCEGVLNASKSLVDVGGGTGTMAKVIAESFPHLKCTVLDLPHVVADLQGTENIEYVGGDMFQAIPSADSIMLKWVLHDWKDEECVKILKNCKEAMASEGRVLIIDIVMENKKEDHELTETQFFFDMQMMMILTGKERNEKEWASLILSAGFSNYNITPIGLYSVIEVYP >Vigun11g097000.2.v1.2 pep primary_assembly:ASM411807v1:11:28364875:28366649:1 gene:Vigun11g097000.v1.2 transcript:Vigun11g097000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGGDNASQLLRAQTHVWNHIFKFINSLSLKCATELGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISRLMRILTHSGFFSEHHGTPNEGEVLYVLTDASKLLLKDHPSSMTSLLQLIVDPVYINPWYQLSTWFTNEDPTPFYTENGMTVWDFFRCKPKFNHLFNDAMASDSKWVSSVVIEKCEGVLNASKSLVDVGGGTGTMAKVIAESFPHLKCTVLDLPHVVADLQGTENIEYVGGDMFQAIPSADSIMLKWVLHDWKDEECVKILKNCKEAMANDDDSNWKRKK >Vigun06g070550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20075702:20076403:1 gene:Vigun06g070550.v1.2 transcript:Vigun06g070550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSMDYWKELSADWYDLIGQPSHTFHVPAALQSQPVAVAMETPLMLQSPSLPLSSSSLSSLSSALPSSALPFPSSALPLPSSSLLSSLTFQSNKRKRITWTEAEHKRFLEGLHKYGKGDWKNISAYIQTKTAIQVASHAQKYFIRQAQTQHQKKRKSIHDMVMENAFHMQNSSSSGASSQFSDVQPLPFNPHVNVPHPPELQPMREMMNQNPMNPNNMIHHPAYFNQMQPC >Vigun08g100600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24763714:24776480:1 gene:Vigun08g100600.v1.2 transcript:Vigun08g100600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPLHFLSLTKPSRHNGNQEVSASFLAHRQRDMSEVLDPTVRLMYLTNEGDLDGIEELLDTGVTPISPTLTAALRSTSLPARNAPTLLTCCYDKTLKLIHETTRAAS >Vigun08g100600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24763720:24766699:1 gene:Vigun08g100600.v1.2 transcript:Vigun08g100600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPLHFLSLTKPSRHNGNQEVSASFLAHRQRDMSEVLDPTVRLMYLTNEGDLDGIEELLDTGVTPISPTLTAALRSTSLPARNAPTLLTCCYDKTLKLIHETTRAAS >Vigun08g100600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24763755:24764084:1 gene:Vigun08g100600.v1.2 transcript:Vigun08g100600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPLHFLSLTKPSRHNGNQEVSASFLAHRQRDMSEVLDPTVRLMYLTNEGDLDGIEELLDTGVTPISPTLTAALRSTSLPARNAPTLLTCCYDKTLKLIHETTRAAS >Vigun10g009200.1.v1.2 pep primary_assembly:ASM411807v1:10:878948:889213:1 gene:Vigun10g009200.v1.2 transcript:Vigun10g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAAAARSEPPSQAVDPSPFSSPDVGDRSDSFNSTANNFSDNEVQLPTPDALKSEEYRQLFHLPPEEVLIEDFNCAIQENLLIQGHMYLFVNFICFYSNIFGYETKKVIPFPEVTSVKRAKTAGIFPNAIEIQAGNRKHFFASFLSRDEAFKIINDGWSRHGNGAIIMEQKETVSELSSQDNGIVAVENVNSSDIPDNASLSTDLSKDAASPSIVGDVPVLVGDSEIEQQVGELELNSDAPSESWKWNEEDIDAPSIDEAFTCVAEAVFPIKVEDFFRYFFSDDAVNFLESFHKNCGDKDFKCGSWHPQEKFGYARELSFQHPIKIYLGAKFGGCNEVQKFRVYKNSHLVIETSQEVSDVPYADYFRVEGLWNVDTDKDESKECCIMRVYVNVSFSKKTIWKGKIVQSTIDECRDAYTTWMNMAHERLKEKNLEKQDQNGEINLGRDVKTGESSEGSQGQSNLTKILPTCNALDATTTTHSVGSHLQGHFTEPSSVPLFIKLMTKFRSSLKSHSNLSLLLVTIIALIFFIQQFSILLLLARPQHIHMNTPVDFINTMNNDVTRNPSDIAWLEKRIHHLKDEMYMVESRIERMRYEHSLLKKQLKDLEQHK >Vigun10g009200.2.v1.2 pep primary_assembly:ASM411807v1:10:878948:889213:1 gene:Vigun10g009200.v1.2 transcript:Vigun10g009200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAAAARSEPPSQAVDPSPFSSPDVGDRSDSFNSTANNFSDNEVQLPTPDALKSEEYRQLFHLPPEEVLIEDFNCAIQENLLIQGHMYLFVNFICFYSNIFGYETKKVIPFPEVTSVKRAKTAGIFPNAIEIQAGNRKHFFASFLSRDEAFKIINDGWSRHGNGAIIMEQKETVSELSSQDNGIVAVENVNSSDIPDNASLSTDLSKDAASPSIVGDVPVLVGDSEIEQQVGELELNSDAPSESWKWNEEDIDAPSIDEAFTCVAEAVFPIKVEDFFRYFFSDDAVNFLESFHKNCGDKDFKCGSWHPQEKFGYARELSFQHPIKIYLGAKFGGCNEVQKFRVYKNSHLVIETSQEVSDVPYADYFRVEGLWNVDTDKDESKECCIMRVYVNVSFSKKTIWKGKIVQSTIDECRDAYTTWMNMAHERLKEKNLEKQDQNGEINLGRDVKTGESSEGSQGQSNLTKILPTCNALDATTTTHSVGSHLQGHFTEPSSVPLFIKLMTKFRSSLKSHSNLSLLLVTIIALIFFIQFSILLLLARPQHIHMNTPVDFINTMNNDVTRNPSDIAWLEKRIHHLKDEMYMVESRIERMRYEHSLLKKQLKDLEQHK >Vigun09g241000.2.v1.2 pep primary_assembly:ASM411807v1:9:41084545:41090259:-1 gene:Vigun09g241000.v1.2 transcript:Vigun09g241000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANCNKPSSPQPTIKQRRLTRQGRLRYLTDKDAALHSTTSLPASPLPSFKSGSASDHWSSSAVPQPLPRPDSPLTRRYDHHQLGSPPFEHPGFAFRRSVDHDAVRSIRSTSNLGRPSFDPAASNAKHDLRVNIPPARVLAGNTSSCKDHIEHSQDNDSENVSDLRLHFSAKSAPNSIFSSPVTSPRRSSNVDFYDPSIIFHQDFNDILRVSPAKTAQSPDRTPLRSPGSLLNPEGCQSQLHKHSSRVLPDNNHVDAHPLPLPPRASPPPAQSSPQHQPSIVHLTVDNSPSMKGQWQKGKLIGRGSYGSVYHATNLETGASCAMKEVEMFPDDPKSADCIKQLEQEIRILRQLHHPNIVQYYGSEVDGDRLYIYMEYVHPGSLDKFMHDHCGAMTESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDATGMVKLADFGVSKILTEKSYELSLKGSPYWMAPELMKAAIKKESSPDVAMAIDIWSLGCTIIEMLTGKPPWREYEGPQAMFKVLHKSPKIPENLSSDGQDFLQQCFRRNPADRPSAALLLTHAFVQNLHDQDALLHSQGQNCPRGDPGSGDDSSRHSPAHSSRSNNRVVPASIGARFLHKIQNLIGDTSKKYDSEESNHSSRNSPCSMTEINSPQSSALNYMANSSNIPLTTVMRIIRHI >Vigun09g241000.1.v1.2 pep primary_assembly:ASM411807v1:9:41084545:41090259:-1 gene:Vigun09g241000.v1.2 transcript:Vigun09g241000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANCNKPSSPQPTIKQRRLTRQGRLRYLTDKDAALHSTTSLPASPLPSFKSGSASDHWSSSAVPQPLPRPDSPLTRRYDHHQLGSPPFEHPGFAFRRRSVDHDAVRSIRSTSNLGRPSFDPAASNAKHDLRVNIPPARVLAGNTSSCKDHIEHSQDNDSENVSDLRLHFSAKSAPNSIFSSPVTSPRRSSNVDFYDPSIIFHQDFNDILRVSPAKTAQSPDRTPLRSPGSLLNPEGCQSQLHKHSSRVLPDNNHVDAHPLPLPPRASPPPAQSSPQHQPSIVHLTVDNSPSMKGQWQKGKLIGRGSYGSVYHATNLETGASCAMKEVEMFPDDPKSADCIKQLEQEIRILRQLHHPNIVQYYGSEVDGDRLYIYMEYVHPGSLDKFMHDHCGAMTESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDATGMVKLADFGVSKILTEKSYELSLKGSPYWMAPELMKAAIKKESSPDVAMAIDIWSLGCTIIEMLTGKPPWREYEGPQAMFKVLHKSPKIPENLSSDGQDFLQQCFRRNPADRPSAALLLTHAFVQNLHDQDALLHSQGQNCPRGDPGSGDDSSRHSPAHSSRSNNRVVPASIGARFLHKIQNLIGDTSKKYDSEESNHSSRNSPCSMTEINSPQSSALNYMANSSNIPLTTVMRIIRHI >Vigun03g446700.4.v1.2 pep primary_assembly:ASM411807v1:3:64809782:64816509:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLMHIGSVSSPGSGLSISHFDIVGEPAGMLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALRREGGVLHVHGNTKDSEESQWIDHVAKSINDIARSEGYCWEISIEHVERVKWYAPHIRHVVVDVRCRQIQR >Vigun03g446700.2.v1.2 pep primary_assembly:ASM411807v1:3:64808306:64816508:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALRREGGVLHVHGNTKDSEESQWIDHVAKSINDIARSEGYCWEISIEHVERVKWYAPHIRHVVVDVRCRQIQR >Vigun03g446700.6.v1.2 pep primary_assembly:ASM411807v1:3:64808306:64816491:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALRREGGVLHVHGNTKDSEESQWIDHVAKSINDIARSEGYCWEISIEHVERVKWYAPHIRHVVVDVRCRQIQR >Vigun03g446700.5.v1.2 pep primary_assembly:ASM411807v1:3:64809782:64816491:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSNEICTDAYCHFDIVGEPAGMLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALRREGGVLHVHGNTKDSEESQWIDHVAKSINDIARSEGYCWEISIEHVERVKWYAPHIRHVVVDVRCRQIQR >Vigun03g446700.1.v1.2 pep primary_assembly:ASM411807v1:3:64808306:64816491:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAATLASLVSTESDKSPKGSLDAPIVPLLNALNQNPSYFTTSSCSGRISILSQPISEVPNPKKKARGGTWLFVSHDPADPDSVLSLLFPSEPTRSPFVSELVFRFEPLIIALECRDLSAAHSLVSLAISCGFRESGITNAKKRVIIAIRCSIRMEVPLGDTRTVMVTPEYVRYLVQVANEKMEANRKRTQRFFQVMQSTGSLIADNGDRLLSTNEACNHLQLEDESQLGNGNAETSEGSVSSPGSGLSISHFDIVGEPAGMLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALRREGGVLHVHGNTKDSEESQWIDHVAKSINDIARSEGYCWEISIEHVERVKWYAPHIRHVVVDVRCRQIQR >Vigun03g446700.3.v1.2 pep primary_assembly:ASM411807v1:3:64808351:64815551:1 gene:Vigun03g446700.v1.2 transcript:Vigun03g446700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWGHSACGLNKAGDKKLIIFGGFGGLGRHARRNDLLLLDPYSGNLEILSTVGCASPTPRLGHTASMVGNSMFVIGGRTGPDKILSDVWILDTTMNSWKLLQCTENLFPPRHRHAAAIMGSNIYVFGGLDNDTIFSSFYVFDTKTLHWKEIPVSGDWPCARHSHAMVASDSQIFMFGGYNGGKALGDLYSFDVQKGQWTKERTTGRNPHARFSHSIFVHKNYLGVLGGCPVRQHFEELALLDLKLRLWKHVTINSVGKDLFVRSTINVVGDDLVIVGGGASCYAFGTKFSEPAKVNLLHIIHSRDGHMSVKNKKCVINQDEGTNVNNIESSCQPQLELGPNVFEDESLCSNDNLPCLNDQSHMIALHYILQLKKEYAKLGKDILKKFGWLDLGRKARTEEGGVHICFPVHQDFFALFHERNHHLVDASDGKNEAPFLDPRNQHKYLLNELSYSEALTILHEYGAILLEDKVVEERKAAKSPLKMMTEAVTSLIEHKGLPVWLLEELPTRWDRLGDIIILPINSFKDSLWDSIAGELWPIVAKSLKAHRLARQGPVAATGTRDSTLQILVGDGGWVNHRENGVLYSFDATKCMFSWGNLSEKIRMASLDCREEVVVDLFAGIGYFVLPFLVRATAKFVYACEWNPHAVEALRHNLQANSVADRCIILEGDNRITAPRSVADRVCLGLIPSSELSWVTAVRALS >Vigun07g136100.1.v1.2 pep primary_assembly:ASM411807v1:7:24625929:24630930:-1 gene:Vigun07g136100.v1.2 transcript:Vigun07g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAAMAMALAEAQYSLAKTSVWWDIENCQVPKGCDPHAIAQNISSALVRMNYCGPVSISAYGDTTGITASVQHALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPQKASAPLVAAAKSVWLWTSLLAGGPPLTNGESQVGNNDIQLSSDTVQSPVHNSFQIPQYVESHSEVHTGNQKFPGTGRQLDSRHHGKTNWRNSSKPNGHKVMNPAPVGLQENYGYINSSRPGNYTHSVPSGSTPNFTHNVPPSGSSPNFTHNVPPSGSTTNFTHNVPLSGSTTNFTHNVPPSGSTPNFTHNVPPSGSAPNFTRGKSDQMRGNNGNLQGNHQNPHSQALRSNSFPMQPPFAPSSSFSPNSQTFATSVVPPRTGGPSFSAAPLTKVPDIGNISGYPSNAHDSHPVKHLNGDLRRSSYTNSSNPIKLIDEPNGHMIQNAQHLYNGHPHGPEHQPTSSATVGNNNLPGNGMWGSPGFPKPSEYVQGLIGVVLLALNTLKTEQIIPTESNITDCIRCGDPKHRNTDVKKALESAVEQQMVVKQKVGALQLFVGKNDKVWKCVNPIGGNPKKHSKETWNEIKKFLSTSSGRLAIMSTQCKYEAGIVIKNMCLKNHALGDVLQILNIAITVKKWIVHQQLGWQPLKITLTEVNSDSEVVSCQ >Vigun01g051200.1.v1.2 pep primary_assembly:ASM411807v1:1:8383928:8385252:-1 gene:Vigun01g051200.v1.2 transcript:Vigun01g051200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVILLNFWLSPYGIRVRIALEEKGIKYENREEDFSKKSPLLLQMNPVHKKIPVLIHNGKPICESLIAVEYIDEVWNEQSPLLPSNPYQRAQARFWANYVDTEVYKRAMRFWTTEGEEREAAGQEFLECLKLLEEQLGDEPYFGGNNFGFVDVALVPLFCYFYTFSLYGNFISEVQYPKIISWAKRCTQRESVFKSFPQEQRVKEHVSHKRNKG >Vigun01g227800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40035460:40036738:-1 gene:Vigun01g227800.v1.2 transcript:Vigun01g227800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTADDATSFGWAVDNAIRSETASNLGASPAHSFASVVDYALRSSSASEADLHPSFGSRVDYVIRTSNGERTGGRAEGSSPEASNVTENVVKGFQLFSKAELVAATNNFSLDNKIGGGAVFIGKLLDGREVVIKRGQKASIKSKLAFLSRLHHENLVGLIGFCERRHEKLLVYEYMKNGSLYDHLHEKKNVEKGSSVLNDWKMRIKIALDAARGIQYLRNHAVPSIIHRNIISPNILIDATWTARVSVSDFELCLMSSEVDRDHPLGYGLDVLTGKSDVYGFGVVLLELLTGKRAIFKYGEDGGIFGTFMSVVDFAVPRILAGELVEILDPRVGPPDVDEVDAVELLAYLAIDCVNWKGKDRPTLSVILVHLERRVSYFESIL >Vigun03g326900.1.v1.2 pep primary_assembly:ASM411807v1:3:52282671:52284244:-1 gene:Vigun03g326900.v1.2 transcript:Vigun03g326900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDFLEQGKKRVRNPFVPIGALVTAGVLTAGLISFRQGNSQLGQKLMRARVVVQGATVALMVGTAFYYGENPWRSS >Vigun05g216600.1.v1.2 pep primary_assembly:ASM411807v1:5:40852588:40855983:-1 gene:Vigun05g216600.v1.2 transcript:Vigun05g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGILFGWSKASKCKRTIKRARCRLGLLKNKRLAIARQLRKDLAELIQSGHEETALNRVGQLIADESLASAYELLDEFCEFVLKQLSYIRRHRDRPNDINEAVSSLIFASARCGELPELGIIRNLFGQRYGERFATIAVELFPGNLVNKQLKEKLSVKSVPDEIKYRMLDEIARDNCLQQKVLAIEYYPLWKHLQMNEDKGYQLVESDAHKIDSVAGSKVYPSEIEEKKRDVTCANSFSSKTSDSSLPESASAMVPVVQQYPPYIVSFPSEKEVVEIDFPELVSSVSFDTQNKGERMALTCSSQSAPYPEEMVQYVDDMEEFSEAKDCVRQDQMLLKFRCSGFDESDVGEDGSESENSSTRSCRKSKRKQEKRSRRRSLVENLGRMDIGYMIYYHKPCKSLSAQKHRTHYHRKQHKPFVEGILPPSYDGQKRLMLQGFSEEGPRREVFNFQTSGCSLNQPCYFCLYNDEELVTIPQRPNRRSYSNAAEYGAFNYSDCVAANRNHEMCASPKVYNERNSWCSRRIETKGGYSRAVSMPQERHQNGKDNMLRTYSCFSQHPNHVHPKLPDYDEIAAKFTALKRERLENMNCSGDQNK >VigunL060126.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:25257:25424:1 gene:VigunL060126.v1.2 transcript:VigunL060126.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun03g228000.1.v1.2 pep primary_assembly:ASM411807v1:3:37874382:37876878:1 gene:Vigun03g228000.v1.2 transcript:Vigun03g228000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGLEHSRKALGWAARDKSGVLSPFDFTRRETGEKDVAIKVLFCGICHTDLHIIKSEWSESTYPLIPGHEIAGEVIEVGSKVQKYKVGDRVGVGCMVNSCRSCNSCDEDLENYCPKSIPTYGAKDIDGSITQGGYSDLVVVDEHFVISIPDNLPLEAAGPLLCAGITVYSPLIFFGIDKPGLHVGVVGLGGLGHMAVKFAKAFGAKVTVISTSPNKKKEAIERLGADSFLVSRNQDELEAAKGTLDGIIDSVSAHHSLVPLINLLKTGGKLVMVGLPDLPLELPVFPILPRKSVAGSNIGGIKETQEMINYAAKHNIHPDIEIIPVDYVNTAMERLRKADVKYRFVIDIGKTMKPSS >Vigun03g135200.1.v1.2 pep primary_assembly:ASM411807v1:3:13257366:13258142:1 gene:Vigun03g135200.v1.2 transcript:Vigun03g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPARRVLPLYAAILVVFSLILVGAESRFENGVMDLAELMNKKDVPTEFKCYTENQISSCIPNTPDDKRCNQLCLVPCEKDGIFLWHK >Vigun05g098700.1.v1.2 pep primary_assembly:ASM411807v1:5:9659362:9662938:-1 gene:Vigun05g098700.v1.2 transcript:Vigun05g098700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISTKANQFRYSTRLLFSHLLQHGRPATLSTPFSPHLQLLHHPHQARIQGFGNGSLGLCQRFLSSSAVNVSGEKSATDSDHSAKDVMLRGGGGGEQDQKSYARKPVRGGPISWLSFVLLVLTGAGLVFYYDREKKRHIEEIRSNTETVKQGPSVGKAAIGGPFRLINHEGKHVTEKDFLGKWTLLYFGFTHCPDICPEELQKLAAAVDKIKEKGGIETVPVFISVDPERDTVEQVGEYVKEFHPKLIGLTGSPDEIKNVARAYRVYYMKTAEENSDYLVDHSIVIYLMSPDMEFVKFFGKNNDVDSLADGVIKEVKQYKK >Vigun04g168600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39304880:39305945:1 gene:Vigun04g168600.v1.2 transcript:Vigun04g168600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFALAPLILLVLAGFSWKAKGSLQPFTDRPGALWADIWSDRFLDPYRVLEQIPFGVGKDQALSPARVDWKETAEGHVIMMDVPGLKKDEIKIEVEGNRVVRVSGERKKEEEKEGDHWHRVERSYGRFWRQFKVPENVDFDSLKAKLENGVLTLTMAKLAPDKIRASRVVSIAEDDGGKQDL >Vigun01g044600.1.v1.2 pep primary_assembly:ASM411807v1:1:6424098:6426873:-1 gene:Vigun01g044600.v1.2 transcript:Vigun01g044600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDSSRPLLGFMEKPREASNQLGLGFSTTLSIGPVITAQKDHQDEQEDFEEEEEQETKPKKSNNTHTTEDPSEPNPVLHQLDLLPQLYLPWHPPSENGSLSSELGGSSRGLDVNAQPPQAEDEAEEVAALSSSPNSAASSFQMDLCIYNRGGSFYKRNYEGEAYDQRASSRASDEDDNNGSGGGNARKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKSSNPFYMQLPATTLTMCPSCERVATNSTSTCSSLSAPNATMNANSGAMAIVKSSASNNDSPSKPIGFPFGKPRFHPFVHTSQQHQSPSS >Vigun02g031100.1.v1.2 pep primary_assembly:ASM411807v1:2:13082781:13138747:1 gene:Vigun02g031100.v1.2 transcript:Vigun02g031100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCICGKQISTVVVSQGTFLNRRMRYCNFLPWKMCYRLKHKFAEKKFVRGVGSGSKLRKKVVAVMDYNLSDLIGNGSGEMKSEMSPKDALDDVDISLICKRFPSITLGSAPRVDLYDGTTSCSETMNSFATENFENCFSNSSEARWVQSGLSEEWPSLYVKHSTVSSSTSGKDDSFPSSLLPDLMSSIQERNSDQITREDCQMKVEMESLPNPKLSELFLDKSINCIPGLSKRHYQKLEDCGFHTLRKLLLHFPRSYANMRNGHAKIDDGQYLIFVGKVLSSRGVKANYSLSFLEVVVGCEIAESECGFEHVTTDGIDVQERTIYLHLKKFFRGSRFTFKAFLQRLAEKYQEGDIVCVSGKVRTMRAKDHYEMREYNIDVLEDVKDLSFFAKERPYPIYPSKGGLNPNFLRDTIARALQALPVNVDPIPKGITEQFGIPSLHDAYFGIHKPKDISEADLARKRLIFDAFFYLQLGRLFQMLESLGTQIEKDGLLDKYRRPENNAVSNEEWSSLTKRVIEVLPYTLTTSQQHAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAIQHYDHLLKLLEKLDDGMCKPTVALLTGSTPLKQSRIIRKGIQTGEISMVIGTHSLIAESVEFLALRIAVVDEQHRFGVIQRGRFNSKLYYASSNSNMEEALADDSAKSDAYMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVQTFTIEGNDKGFEDVYKMMLDELEDGGKVYLVYPIIELSEQLPQLRAASADFEVISHRFQGYNCGLLHGKMRSEEKEETLRKFRSGEIHILLATQVIEIGVDVPDASMMVVLNSERFGIAQLHQLRGRVGRGTKQSKCILVTSTASSLNRLKVLEQSSDGFYLANMDLLLRGPGDLLGKKQSGHLPEFPIARLEVDGNILQDAHIAALKILSASHDLEQFPSLKLELSMRQPLCLLGD >Vigun10g119700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32621165:32622640:1 gene:Vigun10g119700.v1.2 transcript:Vigun10g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEATKHDSTTSPTTTATTNMATRSSKPATMIRRFIGVRQRPSGRWVAEIKDSSQHVRLWLGTYDTPEEAARAYDEAARALRGENARTNFAPMNQATGQSGSTLLTGDGKHGLSFASLKAKLSKNLQNIMARASDHNKSSKSRVSDHFTFASIFHRRSYQIPEGDMRNIEKVVQPSIIVPPVEGAVDYASAANWESASSVSDCSSEWVGFGKLGLDQSDGSDIGEFSVGDQGFLEQLMGWVESPDVSDQSECSRSKRFKVSSSVLVPPTFTASPYDCGSPYSGYASPYNGYASPYNGYASPYCASPSNGCASPYYNKK >Vigun01g081600.1.v1.2 pep primary_assembly:ASM411807v1:1:23154553:23160089:1 gene:Vigun01g081600.v1.2 transcript:Vigun01g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESGTSTSRNPSQLSWVNLSRNLILAYQSFGVVYGDLSTSPLYVFTSAFRGKLLDHHDEETIFGTFSLIFWTLTLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFNLLPNQQAADEELSSYKYGPSSQAAASSPLKRFLEKHKRLRTALLVVVLFGACMVVGDGVLTPAISVLASVSGLKVTEKKLTDGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSIFSVGLYNTIHWNPQIVRAISPYYIIKFFSKTGKEGWVSLGGILLCITGTEAMYADLGHFTALSIRLAFAFVIYPCLVVQYMGQAAFLSKNLNSVDNSFYDSIPEPVFWPVFVIATLAAIVGSQAVITATFSIIKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMILTLAITIGFQDTTIIGNAYGLACMTVMFVTTFLMTLVAIFVWQKSVFIAIAFLLFFWVIEGVYLSSAFIKVPQGGWVPLVLSFFFMAVMYVWHYGTRRKYSYDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYTELATGIPAIFSHFVTNLPAFHRVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENHLIQSIAEFIQMEAVEPQFSSSEASSLDGRMAVISSRNLEYTSSLIVSEHEDIGVDISIPSSRSVTLRSLQSVYDDESPQIRRRRVRFQLPENPGMNPDVKEELLDLIQAKDAGVAYIMGHSYVKARKSSSFLKKLVIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Vigun09g152900.2.v1.2 pep primary_assembly:ASM411807v1:9:31770639:31773052:-1 gene:Vigun09g152900.v1.2 transcript:Vigun09g152900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARDFRTYGSGLAKNRLEYINAGLYLIASILLLSGITAQFSAEARSGLVLIIAAFGFIFLINLHDLFAHLAGIDFQLHLFSFDLQLAFVEFAVPVVQMLGTLLSLVGVLFLFLQEEKGYGYSKLERHALNMLVAGPVLWVIGSIHNSCQIYEKADGHVQILQQCVCIPFFTGSLLFMISAILNHREKSKGTHHGTHLLGSTWIWLGIFGSLLLLIGGLTNLIKVFKMQQMNGIRLEKLRGGAHERLGELSLLL >Vigun09g152900.1.v1.2 pep primary_assembly:ASM411807v1:9:31770729:31772913:-1 gene:Vigun09g152900.v1.2 transcript:Vigun09g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARDFRTYGSGLAKNRLEYINAGLYLIASILLLSGITAQFSAEARSGLVLIIAAFGFIFLINLHDLFAHLAGIDFQLHLFSFDLQLAFVEFAVPVVQMLGTLLSLVGVLFLFLQEEKGYGYSKLERHALNMLVAGPVLWVIGSIHNSCQIYEKADGHVQILQQCVCIPFFTGSLLFMISAILNHREKSKGTHHGTHLLGSTWIWLGIFGSLLLLIGGLTNLIKVFKMQQMNGIRLEKLRGGAHERLVSSREGHVPLIIEPQTISHEPQETKITLPIPMPTPTPYKDVLIGSTKS >Vigun07g270900.2.v1.2 pep primary_assembly:ASM411807v1:7:38662541:38666446:1 gene:Vigun07g270900.v1.2 transcript:Vigun07g270900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEAQPQDTVSEVKKSIETIQGADVYPAAQQMLIHQGKVLKDATTLEENNVAENSFIVIMLSKSKSTSGEGSTTAPSTKTPQTSAPPTSTAPVSSAPQAPDTTEAPPTTVTAPVSSAPAPASISSGTPVEGFDIYGQAASNLVAGSNLEGTIQQIIDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPLVARAPAGAQPANPPAAAAPQTAQPAPITSTGPNANPLDLFPQGLPNMGSGAAGAGSLDFLRNSHQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIRDHQADFLRLINEPVEGGEGNILGQLAGGMPQAVTVTPEERQAIERLEAMGFDRAIVLEVYFACNKNEELAANYLIDHMHEFDEHQ >Vigun07g270900.1.v1.2 pep primary_assembly:ASM411807v1:7:38662791:38666450:1 gene:Vigun07g270900.v1.2 transcript:Vigun07g270900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEAQPQDTVSEVKKSIETIQGADVYPAAQQMLIHQGKVLKDATTLEENNVAENSFIVIMLSKSKSTSGEGSTTAPSTKTPQTSAPPTSTAPVSSAPQAPDTTEAPPTTVTAPVSSAPAPASISSGTPVEGFDIYGQAASNLVAGSNLEGTIQQIIDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPLVARAPAGAQPANPPAAAAPQTAQPAPITSTGPNANPLDLFPQGLPNMGSGAAGAGSLDFLRNSHQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIRDHQADFLRLINEPVEGGEGNILGQLAGGMPQAVTVTPEERQAIERLEAMGFDRAIVLEVYFACNKNEELAANYLIDHMHEFDEHQ >Vigun06g163800.1.v1.2 pep primary_assembly:ASM411807v1:6:28605024:28612125:-1 gene:Vigun06g163800.v1.2 transcript:Vigun06g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCLFAFKYLLLHIPSNTHNMELPLLPLLALSFSLTILFGWVSADFLSLKHHSTSSFPPNFLFGTASSSYQIEGAYLADGKGLNNWDVFTHKPGTITDGSNGDVADDHYHRYKEDVDLMEGIGINSYRFSISWARILPKGRFGKVNWAGIDYYNSLIDTLLSRGIEPFVTISHYDIPQELQERYKGWLSREIEKDFKYYADICFKNFGDRVKYWITFNEPNVAFICGYRKGLWPPGRCSGSFGNCTSGGDSEREPFIAGSNLLLSHATAVHLYRTKYQKNQGGRIGVAMNTKWFEPFTNSSEDKKAAERAQSFYMNWFLDPIILGEYPREMREILGEDLPPVPESLKRMKPAIDFIGVNHYTSYFVKDCIHSVCEPGEGSTRTEGFALTSAKMNGLSIGEPTQLEWLYVYPQGIEKIVTYIKERYNNIPMFITENGVGMKENSNLTTKEMINDLERVEYLRAYLDSLATAIRKGADVRGYFLWSFLDNFEWTHGSSIRFGLHRVDYDTLDRTPRMSASWYKDFIALHATKPSHTQE >Vigun03g255100.1.v1.2 pep primary_assembly:ASM411807v1:3:42140241:42146747:-1 gene:Vigun03g255100.v1.2 transcript:Vigun03g255100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAKEKDLKDKRRKVKDNLSSKHSAPNDGKVISDPRYAKAHTDPRFREAPKRETKVAIDSRFNRMFTHKSFLPSSAPVDKRGKPKNKPTSQLGSMRHYYKIDEKETEQSSDEEEEIEEELVKANRLKPGSDKSSELEETSESESSAESEEASESESASDTDTDEGADEVVYEEEASDVQEDIAEIEKETHRLAVVNMDWRFVKAVDLYALLSSFLPPNGLIKSVTIYPSEFGLQRMKEEEIHGPIGLFDDENDESDEDNNNDDIDNEKLRAYEKSRMRYYFAVVECNSIATADYIYKECDGVEFMQTSNALDLRFIPDDMEFKHPPRDVATEVPANYECKDFYSRALQHSDVTLTWEDEEPLRSKILNRNLNDEQLAQMELKELLNSDDSESDDSKVNSEPDDQPDKIEKKRAKYRALLQSGDDSDGGGEHDNVQDMEVTFNTGLEDLSKHILEKKDKKSETVWDAYLRKKREKKKARKNKAKNSSDDDSDDTDQEATEEADDFFVEEPSVKKRKKAQSTEDEEHKPQDKVSKEELELLLADDKGTDTGLKGYNLKFKKGKGKRKENAFDEEKIPSNAFDDPRFSALFSSNYAIDPTDPQFKRSATYARQLAQKQQKDSADPPAEREPTKPKGMQLSSDDSGMVKEGEEKPLEVSISKKDKYEISSLVKSIKMKAKQVQLPSGSKARKEEKSHIKDMKKKRR >Vigun08g006600.1.v1.2 pep primary_assembly:ASM411807v1:8:574333:578647:-1 gene:Vigun08g006600.v1.2 transcript:Vigun08g006600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSTITTSLGFGVGTSIGLVIGYYMFIYFQPTDVKDPIIQPLVEVDPKALQQMFPEIPSWVKNPDYDRLDWLNKFIEYMWPYLDKAICKTARTIAKPIIAEQIPKYKIDSVEFEELNLGCLPPTFQGMKVYTTDEKELIMEPSVKWAGNPNITVVVKAFGLRATVQVVDLQVFASPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADVMSIPGLYRIVQEIIKDQVAKMYLWPKALEVQIMDPTKAMKLPVGILHVKVVGAEKLKKKDILGASDPYVKLKLTEEKLPSKKTTVKYKNLNPEWNEEFSIVVKDPESQVLELIVYDWEQIGKHEKMGMNVIPLKEITPDEPKVMTLNLLKTLDPNDPENEKSRGQLTVEVLYKPFKEDELPHNAEDSNAIEKAPEGTPASGGLLVITVHEAEDVEGKHHTNPFARLLFKGEERRTKHVKKNRDPRWGESFQFMLEEPPSNERLYVEVQSVSSKLGLLHPKESLGYVDIKLSDVVTNKRINEKYHLIDSRNGRIQIELQWRTP >Vigun08g050800.1.v1.2 pep primary_assembly:ASM411807v1:8:5854121:5868627:1 gene:Vigun08g050800.v1.2 transcript:Vigun08g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVSIEGSAMIQVAVVPVGAVPSNVLRDYYCMLLPLHTIPLSAISSFYTEHQKSPFAVQPWDSGSLRFKFVLGGAPPSPWEDFQSSRKTLGVVGIVHCPSSPDLDAAVDLFTNVCKSFPSSLVERCFAFCPNDSQLEEGSKKGGNLRLFPPADRPTLEFHLNTMMQEIAASLLMEFEKWVLQAESSGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELSRLTGDYFWYAGALEGSVCALLIDRMGQKDSALKEEVRYRYNSVIVNYKKSQDNAQRVSPLTFELEATLKLARFLCRRELAKEVVELLTTAADGAKSLIDASDRLILYIEIARLYGSLGYHRKAAFFSRQVAQLYLQQENRLAAISAMQVLAMTTKAYHVQSRSSISDHSLHSNGIVSNHADSGKTNHQSAVSLFESQWSTLQMVVLREILLSAVRAGDPLTAWSAAARLLRSYYPLITPAGQNGLANALSNSADRLPPGTRCADPALPFVRLHSFPLHPTQVDIIKRSSAREDWWAGAAPSGPFIYTPFSKGETNNVKKHELIWIVGEPVEVLVELANPCGFDLRVDSIYLSVHSGNFDAFPVSVSLLPNSSKVITLSGIPTSVGPVSIPGCIVHCFGVITEHLFKEVDNLLLGASQGLVLSDPFRCCGSPKLKNVSVPNISVVPPLPLLVSHVVGGDGAIILYEGEIRDVWIRLANAGTVPIEQAHISLSGKNQDSVISYSSETLKSCLPLRPGAEVTFPVTLRAWQVGLLDADAGAGKTVSGNNLRHSKDGSSPSLLIHYAGPTKTSEETTTNGSTVPPGRRLVVPLQICVLQGLSFVKAQLLSMEFPAHVGESLPKLDDVNNKSTDENVNSETKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEINVSVKLENSSSENNHLADQGATEFVYPKTRIDRDCSARVLVPLEHFKLPVLDDSFFMKDTHLDGNGGRNASFSEKNTKAELNACIKNLISRIKVRWHSGRNSSGELNIKEAIQAALQTSVMDVLLPDPLTFGFRLVRDGSESRTADPDKESESAASKGSVIAHEMTAMEVVVRNNTKDMLKLSLNITCRDVAGENCVDGTKATVLWTGVLSDIAMEIPPLQQIRHSFCLHFLVPGEYTLLAAAVIEDANDILRARAKTTSASEPIFCRGPPYHVRVLGTA >Vigun08g182200.2.v1.2 pep primary_assembly:ASM411807v1:8:35183822:35190896:1 gene:Vigun08g182200.v1.2 transcript:Vigun08g182200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKVMLYLSCFCSSCCFLLNWVYMEVDKVGEDLVANPETELPSLLVTISDLFSTIEASIGHLHAVRESDSSVDGTYSIPLLFEKVPKIHQEGSQWTECEIRNAINSIYQNLDKLDAYISLLVFKHRKPTKITQYWIHYTCGAVGLSVCSIWLLRHSRLVGSSDLDNWIQEAKNSTIGFFKNHVEQPILSIRDELFETFRKRHQGIMELEEVQLTSNSLHRMLLAFSEQAKGQNIPANASDQEMLEIVMDRYEKELMHPIQNLLNGELVRAILIQVQKLKLDTETAMLELNQILRANEINFAVLTALPAFFLSLLLIMVVRAWFKQDTKAEGRGRVARIQRRLLVAEIKKRIVRYQHYVEQGRERDAQCMFGLALYSLNRLYHSVKWHAEASGEWESLREDIVDLAAPGLQTSDKLSVISHMVTYDCLLPSQSRR >Vigun08g182200.1.v1.2 pep primary_assembly:ASM411807v1:8:35182521:35190896:1 gene:Vigun08g182200.v1.2 transcript:Vigun08g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPSETESTENTRFLSQFYSHYLRNRIHALYPFFPKNFFSIFAIPFRSTPRRECLPLPLPSSSFDSPLLITKRSRVHGIVEGILERVLMNLHSVQKNLQFWQFRAKRSDSEKARFMIFERGPRAFVDETIKLLRGFTAQDSSSRSLCQSASDFIDERVAVLSSLRYSLATFLAQVYMEVDKVGEDLVANPETELPSLLVTISDLFSTIEASIGHLHAVRESDSSVDGTYSIPLLFEKVPKIHQEGSQWTECEIRNAINSIYQNLDKLDAYISLLVFKHRKPTKITQYWIHYTCGAVGLSVCSIWLLRHSRLVGSSDLDNWIQEAKNSTIGFFKNHVEQPILSIRDELFETFRKRHQGIMELEEVQLTSNSLHRMLLAFSEQAKGQNIPANASDQEMLEIVMDRYEKELMHPIQNLLNGELVRAILIQVQKLKLDTETAMLELNQILRANEINFAVLTALPAFFLSLLLIMVVRAWFKQDTKAEGRGRVARIQRRLLVAEIKKRIVRYQHYVEQGRERDAQCMFGLALYSLNRLYHSVKWHAEASGEWESLREDIVDLAAPGLQTSDKLSVISHMVTYDCLLPSQSRR >Vigun07g170100.1.v1.2 pep primary_assembly:ASM411807v1:7:28437250:28439750:-1 gene:Vigun07g170100.v1.2 transcript:Vigun07g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTRDSGTVSVEPSRERTKLPNFLLSVRLKYVKLGYHYLISNAMYLLLIPLIGVASAHLSTFSYQDVAQLWQNLKFNLVSVTLCSSLIVFLVTFYFMSRPRGVYLVDFACYKPDQDCTCTRETFMDRSVKTGVFSEENLAFQKKILERSGLGQKTYLPPAILSLPPNPCMAEARKEAEQVMFGAIDQLLAKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIQSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAVLLSNRSSDRRRAKYQLIHTVRTHKGADDKCYGCVFQEEDEKKTIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLNRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTINPAKEKNPWMDEIHEFPVHVPKVAPIGS >Vigun03g205900.1.v1.2 pep primary_assembly:ASM411807v1:3:33360995:33369313:1 gene:Vigun03g205900.v1.2 transcript:Vigun03g205900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSHSDKEKEKEKQRVSRTSLILWHAHQNDAAAVRKLLQEDPSLVTARDYDNRTPLHVASLHGWIDVAKCLIEFGADVNAQDRWKNTPLADAEGARKTDVIELLQSHGGLSYGQTGSHFEPKPVPPPLPNKCDWEIEPTELDFSTSTRIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKDKGALSPATAINFSMDIVRGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLITVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVYSFGMILYEMLEGEPPFANLEPYDGAKRAAEGQRPSFRSKGYINELQELTEQCWAHDVNQRPPFLEILKRLEKIKENLHSDHHWHLFAS >Vigun07g067300.1.v1.2 pep primary_assembly:ASM411807v1:7:7980306:7982224:1 gene:Vigun07g067300.v1.2 transcript:Vigun07g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKSKYQDELIANAAYIGTPGKGILAADESTGTIGKRLSSISVENNETNRRVLRELLFTAPGCAECLSGVILFEETLYQKTATGVPFVDVMKKGGILPGIKVDKGTVELAGTNGETTTQGLDDLAQRCQKYYEAGARFAKWRAVLKIGPTEPSPLAIHENAYGLARYAAICQENGLVPIVEPEILSDGPHEIEKCADVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDGKRVGSEVIAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATVNLNAMNMLKGKKPWSLTFSYGRALQQSTLKAWSGKDENIKKAQEALLVRCKANSQATLGSYKGDAKGDGASESLHVKDYKY >Vigun01g186300.1.v1.2 pep primary_assembly:ASM411807v1:1:36643230:36648099:-1 gene:Vigun01g186300.v1.2 transcript:Vigun01g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKAERVIVVGAGPSGLSAAACLRKHSIPFIILEREDCFASLWKKYSYDRLHLHLRKHFCQLPHKPFPPSFPPYVPKNHFLDYLDDYVSHFAIAPLYRRTVELAQYDGARRCWTVEARNGESGEVEKYCGRFLVVATGETSDPFVPAVEGLSSFPGKVIHSTRFKSGKEFKDENVLVVGSGNSGMEIALDLVNHGAKTSILVRSPVHFLSREMVSLGLFLLKYLSLSMVDSLMVILSTMVYGDVTKYGVRRPTEGPFYMKVKHGKYPVIDVGTYKKIKSQELKVFAAEIESVRGKDVLFKNGELHPFDSIVFCTGFKRSTNKWLKGDDYLLNDDGLPKPSYPRHWKGNNGLYCVGLSRRGFYGAAADAENIANDISFFMQQP >Vigun01g186300.2.v1.2 pep primary_assembly:ASM411807v1:1:36643285:36647975:-1 gene:Vigun01g186300.v1.2 transcript:Vigun01g186300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKAERVIVVGAGPSGLSAAACLRKHSIPFIILEREDCFASLWKKYSYDRLHLHLRKHFCQLPHKPFPPSFPPYVPKNHFLDYLDDYVSHFAIAPLYRRTVELAQYDGARRCWTVEARNGESGEVEKYCGRFLVVATGETSDPFVPAVEGLSSFPGKVIHSTRFKSGKEFKDENVLVVGSGNSGMEIALDLVNHGAKTSILVRSPEQVHFLSREMVSLGLFLLKYLSLSMVDSLMVILSTMVYGDVTKYGVRRPTEGPFYMKVKHGKYPVIDVGTYKKIKSQELKVFAAEIESVRGKDVLFKNGELHPFDSIVFCTGFKRSTNKWLKGDDYLLNDDGLPKPSYPRHWKGNNGLYCVGLSRRGFYGAAADAENIANDISFFMQQP >Vigun06g200400.1.v1.2 pep primary_assembly:ASM411807v1:6:31557901:31559292:-1 gene:Vigun06g200400.v1.2 transcript:Vigun06g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPSPPRVDRKFIERNRRNQMKTLFHKLNSLVPHQKRSKAVSLPDQLEEATNYIKKLQMNMEKMEKKKNMLLGIERPSVRMNGGGEKVGFKSPRIEIQQMGSALVVVLITGLDSQFMFSETIRVLHEEGVDIVNASYNVIEDAVFHSIHCQDQKSANGAARISERLKNFIYESSYCAF >VigunL006401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:28957:29220:1 gene:VigunL006401.v1.2 transcript:VigunL006401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun06g105400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23499353:23501093:-1 gene:Vigun06g105400.v1.2 transcript:Vigun06g105400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSFLLIPSFSSSLSKIPSHQFLQLLLRFDYDYDYCYYAMTTSIGISLYPPFLFSIVFPAMIKLFKRNTSTDTCHHHHHYHHHPLLGLELATTDIHRPPNVLESAMLRPNSNPPQPKKTQIGFLDDDVVCTVSGGLASCTENLGFESSDQINSNCMDEDVIDDEEDEEVFRRRKTVRAEGRGKVRTFPPPLSSLNRNGKPSFYLRPVRKDGRLELTEVRIERAEILHAWRENGRLTLRLVSDLEEEEMMEEEEDEEEEKRIEEENVGEWRLRGVGGSSEGLRRCHEMVNHHHHHHVHGSMRMCGISIV >Vigun01g099100.1.v1.2 pep primary_assembly:ASM411807v1:1:26586205:26589791:1 gene:Vigun01g099100.v1.2 transcript:Vigun01g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIEATLISTPSEAPTVFDQIINKEIPSTVVYEDDKVLAFRDIAPQAPTHILIIPKVRDGLTGLSKAEERHCEILGRLLHTAKLVAKQEGLDDGFRIVINDGQDGGQTVFHIHVHLIGGRQMGWPPF >Vigun03g064700.1.v1.2 pep primary_assembly:ASM411807v1:3:5349698:5351246:-1 gene:Vigun03g064700.v1.2 transcript:Vigun03g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEDELVQMVQDFIESESTSPTISTSSNCHTLSHRTQFFILQDILRSEGDAAAETKVLKCVVKHMRGRKGAEKTTNLSRWLAMRMKMDGLNASICHTSWATSLGCPAGEYEYIEVVVEDEDYGKALRVIVDIDFRSQFEVARPTEHYKELTESVPEIFVGSEKKVCNIISLLCSAAKQCLREKGLHVPPWRTASYMQAKWLCVSRGEGKYEGSKGDVIGDEDSDIIGNWVPPLLKPKKRDLGGGSSLSNQLSNMSSVNYCC >Vigun03g419000.1.v1.2 pep primary_assembly:ASM411807v1:3:62536803:62543977:1 gene:Vigun03g419000.v1.2 transcript:Vigun03g419000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKQDAGNTKKRKLLDTKTHESPSKSKTSNSDASKRHKPHSVAKDTKQQKPPLTGRERRLHAKELADARKKKRKRHYTLEQELARLWEKMRRHEIAKEDRAKLVTEAVQKMKGKIPEIAGSHISSRILQTCVKHCSQAERDAVFEELSPHFLNLAYNAYAVHLVKKMLDNASKKQLAGFISTLHGHVAPLLRHMVGSVVVEHAYELANAAQKQELLLELYSTELQLFKDLVSLKESRLLDVMSKLKLQKGSVLRHMTSVIQPILEKGIVDHSILHRVLLEYFSIADKSSVTDIIQQLSSPLIVRMIGTKDGAKIGTLCVKYGNAKERKKIIKGLKGHVDKTAYHQYGCMVLVCILSVVDDTKLITKVIIRELQSVLKELVLDKNGRRPLLQLLHPNSSRYFSPDDLATLSLSIPSLSLKDQSEAGSLTETTKVSLGDQESEEDMAVDEVNIDKISMDDSDLAESGKKDPIVRRQELLIKSGLADSLLDVCIESVGELLRSNFGKEILYEVATGGSEGIMRPTLDDKINSLHDAVASLAAMPKPADSQEEHVLENFHSSRTIRKLILDCPNFASTLWEKAFKGKSELWAHGHSCKIVSAFLESPDMKVQKLVEKELKPLIDRGVLKNPKLKEQANQ >Vigun04g193050.1.v1.2 pep primary_assembly:ASM411807v1:4:41713117:41715181:-1 gene:Vigun04g193050.v1.2 transcript:Vigun04g193050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTIKTEESAPFPRRRFHVEPGPREKALLAEDSILKPFKSYKKSVKQLKRIGDVLTVVVVAGMCL >Vigun01g141800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32289889:32290248:-1 gene:Vigun01g141800.v1.2 transcript:Vigun01g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQEFRTPQHPSLGTNNWGGASPLLAREVPKESLEQKYSRLNTVRTRDEIFPAHYVSEINTIDENEPSGKRRNKRIKVLFKMIFVRKEKNTIRLGSRLKKRIRIPRLDPKTRWPQGWC >Vigun01g136500.5.v1.2 pep primary_assembly:ASM411807v1:1:31639492:31646825:1 gene:Vigun01g136500.v1.2 transcript:Vigun01g136500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHFQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYNLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLVQAEENARIIKEQADDAKNEIESMKLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQAEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLEQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLIMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNCQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLYTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLDSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSASRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun01g136500.4.v1.2 pep primary_assembly:ASM411807v1:1:31639325:31646825:1 gene:Vigun01g136500.v1.2 transcript:Vigun01g136500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHFQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYNLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLVQAEENARIIKEQADDAKNEIESMKLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQAEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLEQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLIMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNCQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLYTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLDSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSASRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun01g136500.1.v1.2 pep primary_assembly:ASM411807v1:1:31639492:31646825:1 gene:Vigun01g136500.v1.2 transcript:Vigun01g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHFQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYNLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLVQAEENARIIKEQADDAKNEIESMKLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQAEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLEQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLIMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNCQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLYTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLDSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSASRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun01g136500.2.v1.2 pep primary_assembly:ASM411807v1:1:31639492:31646825:1 gene:Vigun01g136500.v1.2 transcript:Vigun01g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHFQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYNLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLVQAEENARIIKEQADDAKNEIESMKLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQAEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLEQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLIMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNCQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLYTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLDSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSASRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun01g136500.3.v1.2 pep primary_assembly:ASM411807v1:1:31639492:31646825:1 gene:Vigun01g136500.v1.2 transcript:Vigun01g136500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGVSYMETEPHTPETFTFSRSFLDSDELQKDASTHFQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDVEEINAQDNESQDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYNLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLVQAEENARIIKEQADDAKNEIESMKLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQAEVYKLNCKINDGVEKLHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKVKVENKTLNELKLSSSLSIKKMKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLEQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLIMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNCQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLYTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLDSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSASRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun01g047700.4.v1.2 pep primary_assembly:ASM411807v1:1:7122997:7125657:1 gene:Vigun01g047700.v1.2 transcript:Vigun01g047700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGFASGINTFITLGGPHLFLSKRKLLNCIEIERYYSKTTFEILAIK >Vigun01g047700.1.v1.2 pep primary_assembly:ASM411807v1:1:7122997:7125657:1 gene:Vigun01g047700.v1.2 transcript:Vigun01g047700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGFASGINTFITLGGPHLFLSKRKLLNCIEIERYYSKTTFEILAIK >Vigun01g047700.3.v1.2 pep primary_assembly:ASM411807v1:1:7122997:7125657:1 gene:Vigun01g047700.v1.2 transcript:Vigun01g047700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGFASGINTFITLGGPHLFLSKRKLLNCIEIERYYSKTTFEILAIK >Vigun01g047700.2.v1.2 pep primary_assembly:ASM411807v1:1:7122997:7125657:1 gene:Vigun01g047700.v1.2 transcript:Vigun01g047700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGFASGINTFITLGGPHLFLSKRKLLNCIEIERYYSKTTFEILAIK >Vigun04g058300.1.v1.2 pep primary_assembly:ASM411807v1:4:5784830:5786736:-1 gene:Vigun04g058300.v1.2 transcript:Vigun04g058300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHDFVKAYASHLKRSGKMELPEWTDIVKTAKFKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFQRIYGGSKRNGSRPPHFCKSSGAIARHILQQLEIMNIVEIDTKGGRKITSSGRRDLDQVAGRIVVAA >Vigun04g058300.2.v1.2 pep primary_assembly:ASM411807v1:4:5784795:5786736:-1 gene:Vigun04g058300.v1.2 transcript:Vigun04g058300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHDFVKAYASHLKRSGKMELPEWTDIVKTAKFKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFQRIYGGSKRNGSRPPHFCKSSGAIARHILQQLEIMNIVEIDTKG >Vigun02g131900.1.v1.2 pep primary_assembly:ASM411807v1:2:28275705:28282099:-1 gene:Vigun02g131900.v1.2 transcript:Vigun02g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCIARGLRGAASTTRSTSNHPLGSHLSRLFSSGVNSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFKTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLNYGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLLMNNDGTCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGIPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHYGEVVTIKGDNPDAVIPGLMAAGETACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKDAGQKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQSNAAVFRTQETLEEGCQLIDKTWESFRDVKVEDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFKVRDDENWMKHTVGYWENEKVRLDYRPVHLNTLDDEVESFPPKARVY >Vigun08g223900.1.v1.2 pep primary_assembly:ASM411807v1:8:38166490:38169619:-1 gene:Vigun08g223900.v1.2 transcript:Vigun08g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYFCFCCTHTCPFHPIGSAKANFNYWTQKSEDQEAAPRSGLHRSKEPQNCSFGTVSMGNCWGSQPHSEVTPTTTGNLSTATPATSQTISGSYTTTTTTTTTTSGSGNSSVNSKFSVSSDDQPYPTGQILPTSNLRIFSFADLKAATRNFRADTVLGEGGFGKVFKGWLEEKGASKGGSGTVIAVKKLNSESLQGIEEWRSEVNFLGRLSHPNLVKLLGYCLEETELLLVYEFMQKGSLENHLFGRGSAVQPLPWDIRLKIAIGAARGLAFLHTSEKVIYRDFKASNILLDGSYNAKISDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDSNRPSGQHNLTEWVKPYLHDRRKLKGIMDSRLEGKFPSKAAFRIAQLSLKCLASEPKQRPSMKEVLESLERIQAANEKPVEPKLRSNHTRQAHQAVHHRSPLKDGSY >Vigun11g152800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36253130:36253549:1 gene:Vigun11g152800.v1.2 transcript:Vigun11g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQAKVGESGEFKCKTCNKQFLSFQALGGHRASHKKLKPMLSNLSCSMVAPKLHRCSICGLVFGIGQALGGHMRKHRVSSNDSLPPTIRDQDLPESNKKIRLLLDLNLTPHENDLNLNLRTPVLHLFL >VigunL036000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:301238:301432:-1 gene:VigunL036000.v1.2 transcript:VigunL036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun11g130900.1.v1.2 pep primary_assembly:ASM411807v1:11:33877575:33888736:1 gene:Vigun11g130900.v1.2 transcript:Vigun11g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPNNRNRLAPSPPEPKPMPPSSWAKKTGFRPKFSGETNATDSGPITTPPPNVDIEAGRVRTPATTNGVAQGDKPPVPVPPPPAMAKKRRDSDGVQKNSVPSTNGQATAAAEQPPPRRNARHEEVVDGLPVEVEEFVSRHAHMKYELRDSPGLVPIGVYGVQHYFSMLGSLVLIPLVIVPAMGGTHEETSMVVSTVLFVSGVTTLLHIAFGSRLPLIQGPSFVYLAPALAIINSPEFQGLNGNKFKHIMKELQGAIIIGSAFQALLGYTGLMSLLVRLINPVVVAPTIAAVGLSFYSYGFPLVGTCIEIGAVQILVAIVFSLYLRKISVLGHRIFLIYAVPLGLAITWAFAFLLTEAGAYSYKGCDINIPASNMVSEHCRKHFSRMRHCRVDTSQALLSSPWFRFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGTYHASSLLVASRPPTTGVLSRGIGLEGLSSVLAGLWGTGAGSVTLTENVHTIAVTKMGSRWAVQLGACFLIVLSLVGKVGGFIASIPEVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISPNSNLSVPNYFQPYIVASHGPIHSKYGGLDYVLNTLFSLHMVIAFLVAVILDNTVPGSKVERGVYVWSEAEVARREPAVANDYELPLRIGRIFRWVKWVGL >Vigun11g130900.3.v1.2 pep primary_assembly:ASM411807v1:11:33877575:33888736:1 gene:Vigun11g130900.v1.2 transcript:Vigun11g130900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIQGPSFVYLAPALAIINSPEFQGLNGNKFKHIMKELQGAIIIGSAFQALLGYTGLMSLLVRLINPVVVAPTIAAVGLSFYSYGFPLVGTCIEIGAVQILVAIVFSLYLRKISVLGHRIFLIYAVPLGLAITWAFAFLLTEAGAYSYKGCDINIPASNMVSEHCRKHFSRMRHCRVDTSQALLSSPWFRFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGTYHASSLLVASRPPTTGVLSRGIGLEGLSSVLAGLWGTGAGSVTLTENVHTIAVTKMGSRWAVQLGACFLIVLSLVGKVGGFIASIPEVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISPNSNLSVPNYFQPYIVASHGPIHSKYGGLDYVLNTLFSLHMVIAFLVAVILDNTVPGSKVERGVYVWSEAEVARREPAVANDYELPLRIGRIFRWVKWVGL >Vigun11g130900.2.v1.2 pep primary_assembly:ASM411807v1:11:33877575:33888736:1 gene:Vigun11g130900.v1.2 transcript:Vigun11g130900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPNNRNRLAPSPPEPKPMPPSSWAKKTGFRPKFSGETNATDSGPITTPPPNVDIEAGRVRTPATTNGVAQGDKPPVPVPPPPAMAKKRRDSDGVQKNSVPSTNGQATAAAEQPPPRRNARHEEVVDGLPVEVEEFVSRHAHMKYELRDSPGLVPIGVYGVQHYFSMLGSLVLIPLVIVPAMGGTHEETSMVVSTVLFVSGVTTLLHIAFGSRLPLIQGPSFVYLAPALAIINSPEFQGLNGNKFKHIMKELQGAIIIGSAFQALLGYTGLMSLLVRLINPVVVAPTIAAVGLSFYSYGFPLVGTCIEIGAVQILVAIVFSLYLRKISVLGHRIFLIYAVPLGLAITWAFAFLLTEAGAYSYKGCDINIPASNMVSEHCRKHFSRMRHCRVDTSQALLSSPWFRFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGTYHASSLLVASRPPTTGVLSRGIGLEGLSSVLAGLWGTGAGSVTLTENVHTIAVTKMGSRWAVQLGACFLIVLSLVGKVGGFIASIPEVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISPNSNLSVPNYFQPYIVASHGPIHSKYGGLLILCSWTMC >Vigun02g003000.1.v1.2 pep primary_assembly:ASM411807v1:2:1550050:1551906:1 gene:Vigun02g003000.v1.2 transcript:Vigun02g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLKILWVFSIIITQQLAFLANASHSRKRIVPALYVFGDSTVDAGNNNNLNTLAKANAFPYGIDFNNCSTGRFSNGKTFADLIAIRLGLPMPPPYLGVPKFERHKVITGLNYASGSCGILNSTRAGDCLSLDKQIEYFTSTMTNDLPRSIHIKTKLRHYLANSIYLLSIGSNDYMLNYLKYPNGTNNNLNPEKYAEYLLEQLASRIKRIYDLGARKFVVSRIGQIGCTPTCVIRTPYFQKCNEDINQKVKHYSDKLPGKLRELQTQLPHSLFINLDNYNFSQKIRKSPENFGFKNIIDSCVQGRKPCANRNEYYFFDFAHPTEATNKIYANECFSGTQLCLPYNIPKLIHAH >Vigun03g043000.1.v1.2 pep primary_assembly:ASM411807v1:3:3428015:3430841:1 gene:Vigun03g043000.v1.2 transcript:Vigun03g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDLMKLMMSDYKVEMINDDMQEFFVEFHGPKESLYEVGVWKVKVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNGDAAALMIRDHATYEQRVKEYCEKYAKPEDIGAVEEKDSSEDELSEDGYASSDDAIVGQPDP >Vigun10g166650.1.v1.2 pep primary_assembly:ASM411807v1:10:38552822:38553698:-1 gene:Vigun10g166650.v1.2 transcript:Vigun10g166650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEANYFLHGETAEDESHACTRAFCKQSG >Vigun01g101300.1.v1.2 pep primary_assembly:ASM411807v1:1:26885310:26891804:1 gene:Vigun01g101300.v1.2 transcript:Vigun01g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGALCAHAHKFPLAYRALNLNLCRQHATRLHFHTRSLLLSHLSNFNQSATPFKPSLIRIRNSRLFSLSEGGSGGNGARGGGGSNGPNSGGPGGSNFGGGGSSDGGEKWSLLSWYLALLEKYPVAVKALTCALLNLGGDLICQLAIDQVQSLDLKRTFVFSFLGFALVGPTLHFWYLYLSKLVTLPGASGALLRLGLDQFLFSPIFIGVFLATLVTLEGNPSQAVPKLKQEWFSAVQANWKLWIPFQFLNFRFVPQQFQVLAANVIALAWNVILSFKAHKEVLPK >Vigun03g107200.1.v1.2 pep primary_assembly:ASM411807v1:3:9557297:9559885:-1 gene:Vigun03g107200.v1.2 transcript:Vigun03g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGDSDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKLSTASKPTVTA >Vigun09g140300.3.v1.2 pep primary_assembly:ASM411807v1:9:30219561:30225654:-1 gene:Vigun09g140300.v1.2 transcript:Vigun09g140300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVMSGEKSVRVEKEESGSGSKGELKGLMRWEKFLPRMILRVLLVEADDSTRQIIAALLRKCSYKVAAVPDGLKAWELLKGRPGNVDLILTEVDLPSISGYALLTLIMEHDICKNIPVIMMSSQDSISTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRRQSSATGINGPQDESVAQQKVEATAENNAASNRSSGDAACIQRNMELIEKGSDAQSSCTKPDLEAESGPVDNMQEFSPLKCGEEYPSGAETQEVETCIRLGQTLVMNGSHAGGLTMHRNGEASTTNDKDADPEHLGNASISGEAHDNHYVQINSSKEAIDLIGAFHTHPNCTVKSPTVDSTSKVDFSPQLDLSLRRSRPSSFENELTEERHTLMHSNASAFKRYTNRQLQVLTPTALVNLSDHQRDHSANGEKSISHIVNGCNSDSSAPGMQRCIVSPTTVQSKESEVATSHSQQGHSLPIPVKGVRFNDLCTAYRSVLPSMFSTQSGPQAMPSPSSVVLLEPNFQNAFYQSNIKESSSEQLYQPLGPNGNSSQNHIVYTQEHKPEHAEDRRHFSPTTDQSVSSSFCNGNASHLNSIGYGSNCGSSSNADQVTVVRVASESKNEDLTNNVNNHRSIQREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHPDSLVAEQDGLTSKLFLKSAYERVSKVIPVPPPPPIYSFPTKEELKNRKRSSNKAFAFFIQFVDKIQEEMCADRNRIHSLEMDKW >Vigun09g140300.1.v1.2 pep primary_assembly:ASM411807v1:9:30220285:30225871:-1 gene:Vigun09g140300.v1.2 transcript:Vigun09g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVMSGEKSVRVEKEESGSGSKGELKGLMRWEKFLPRMILRVLLVEADDSTRQIIAALLRKCSYKVAAVPDGLKAWELLKGRPGNVDLILTEVDLPSISGYALLTLIMEHDICKNIPVIMMSSQDSISTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRRQSSATGINGPQDESVAQQKVEATAENNAASNRSSGDAACIQRNMELIEKGSDAQSSCTKPDLEAESGPVDNMQEFSPLKCGEEYPSGAETQEVETCIRLGQTLVMNGSHAGGLTMHRNGEASTTNDKDADPEHLGNASISGEAHDNHYVQINSSKEAIDLIGAFHTHPNCTVKSPTVDSTSKVDFSPQLDLSLRRSRPSSFENELTEERHTLMHSNASAFKRYTNRQLQVLTPTALVNLSDHQRDHSANGEKSISHIVNGCNSDSSAPGMQRCIVSPTTVQSKESEVATSHSQQGHSLPIPVKGVRFNDLCTAYRSVLPSMFSTQSGPQAMPSPSSVVLLEPNFQNAFYQSNIKESSSEQLYQPLGPNGNSSQNHIVYTQEHKPEHAEDRRHFSPTTDQSVSSSFCNGNASHLNSIGYGSNCGSSSNADQVTVVRVASESKNEDLTNNVNNHRSIQREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHPDSLVAEQDGKEYDHSQISDSLERRA >Vigun09g140300.2.v1.2 pep primary_assembly:ASM411807v1:9:30220285:30225895:-1 gene:Vigun09g140300.v1.2 transcript:Vigun09g140300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDICKNIPVIMMSSQDSISTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRRQSSATGINGPQDESVAQQKVEATAENNAASNRSSGDAACIQRNMELIEKGSDAQSSCTKPDLEAESGPVDNMQEFSPLKCGEEYPSGAETQEVETCIRLGQTLVMNGSHAGGLTMHRNGEASTTNDKDADPEHLGNASISGEAHDNHYVQINSSKEAIDLIGAFHTHPNCTVKSPTVDSTSKVDFSPQLDLSLRRSRPSSFENELTEERHTLMHSNASAFKRYTNRQLQVLTPTALVNLSDHQRDHSANGEKSISHIVNGCNSDSSAPGMQRCIVSPTTVQSKESEVATSHSQQGHSLPIPVKGVRFNDLCTAYRSVLPSMFSTQSGPQAMPSPSSVVLLEPNFQNAFYQSNIKESSSEQLYQPLGPNGNSSQNHIVYTQEHKPEHAEDRRHFSPTTDQSVSSSFCNGNASHLNSIGYGSNCGSSSNADQVTVVRVASESKNEDLTNNVNNHRSIQREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHPDSLVAEQDGKEYDHSQISDSLERRA >Vigun06g079600.1.v1.2 pep primary_assembly:ASM411807v1:6:21144984:21149889:1 gene:Vigun06g079600.v1.2 transcript:Vigun06g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKLTERFKSIQVHALSSTSSETNGGNGNKGSETICGNSSKTKPRNNLNRNRSLIPSWSKTKSSTNNNSTSVFANLIPLHLPSTDTIEPSLEPYFKPINLVETLSEFYQRMEFCSQSNKAVMCVEQWSLLRGLGDQKILRRCLRTACQNAEDVLSKAVLSAWLRFERRDDELVGLCSMDCGGYVLECPKKNLEPGFRPCSVNDHCQCQQELIKETCTEGVCESDEESDILFCVGSEEISCVRCRIAALSYPFNAMLYGGFAESKMSKIDFSGNGICPKGMRAVEFYSRTKRLDLFCPMTVLELLSFANRFCCEEMSSACDAHLASIVVNVEDALMLIEYGLEERATLLVVACLQVFLRELPNSLHNPKVAKILCSCEAQERLASVGCASFLLYYFLSQVAMEESMVSKTTLMLLERMGECATEKWQTAIAFHQLGCVLVERKEYKEAQNCFEVAVQEGHVYSLAGVARTKYKQGQPYSAYKLISSLIFEHKPAGWMYQERALYNMGKEKSFDLDVATELDPSLSFPYKYRALAKVEEKHIEEGIAELNRFIGFKLSPDCLELRAWLNVALEDYDSAMRDIRAMLTIEPNYVTSHGKIKGEYLLQLVNRGVQQKSQADCWMQLYQQWSCVDDIGSLAIIHQMLENEPGKSLLEFRQSLLLLRLNCQKAAMHSLRLARNHSSSMQERLIYEGWILYDTGYREEALARADRSIAIQRSFEAFFLKAYVMADTTLDPESSSFVIQLLKEALKCPSDGLRKGQAWNNLGSIYVDCGKLELAKECYKNALAIRHTRAHQGLARVYHQKNQRKAAYDEMTRLIEKAESNASAYEKRSEYCDREMAKVDLDVATQLDPLRTYPYRYRAAVMMDEQKETEAVEELTKAIKFKPDMQMLHLRAAFYESMGDLSSALQDCQASLCLDPNHAGTLDLYRRIRKLNF >Vigun09g235700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40626523:40628787:1 gene:Vigun09g235700.v1.2 transcript:Vigun09g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYPSKKPKRLLTNIDDLNDDCLASVFRLLGTVDRNSCSLVCRRWLKVDGDNRHSLSLIAESDLSGFIPSLFLRFNTVTEVILRYVGYEEEEETINAQTVIHISQLCPNLTRLEVHAPCFLTDQYLELLARNCKALKKIKLRSYFSEGRGMHAFMHHCKDLEDLSIDGFRGTENEWAVAPRKPKLRAISLKDTVLFYPFIGAKNLRVLKLVRCDGDWDRLFHVLTNEVTGIVELHLVLLEISDIGLEAIAKCSNLEVLHLIRSPKCSDEGLVAVAKGCNKSLRKLRVGWDMQEIGSIGLMGVAEYCVNLKQLVMIGLNPSKECFEMLVSNCEGLEQLGVCGSETVGDSEMNCMAEKCEALREVYMEDCPISDEGVWALLLGGGCPKLEIVEVWKCEGVRDRDLEEVECGQRTVRVKCTLQSLPEIPSPIIPDPFAEVTQTSDWCIN >Vigun04g029500.2.v1.2 pep primary_assembly:ASM411807v1:4:2286686:2291702:1 gene:Vigun04g029500.v1.2 transcript:Vigun04g029500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWKKEIAEESKKQLWLAGPMIFVCVFQFSLQLISLMFVGHLNELFLASVSLSTSIVNATGYNVMMGLSSALDTFCGQAYGAKQYHMVGVHTQGAMVVLILISIPVSIIWVFLEPILILLHQNKEVAALAQLYARYLIPSLSANALLRCITKFLQTQNIVFPMVLATGLTSLLHLLLCWLFIQKLDYGIKGSAIAICISNWFNTIIFALYIRFSPSCKQTWTGFSKESLHNIPKFLRLAFPSAVMVCLESWTFEIIVILSGALANAKLETSVLSICLNTSGIFWMIPFGVSAAGSTRISNELGAGKPKAAYLAVKVTMFLALLVGLVEFSVLMSLWKVWGRVFTNVHEVLTYVISMMPIVASAAFVDSIQTAFQESNYRM >Vigun04g029500.1.v1.2 pep primary_assembly:ASM411807v1:4:2286686:2291702:1 gene:Vigun04g029500.v1.2 transcript:Vigun04g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWKKEIAEESKKQLWLAGPMIFVCVFQFSLQLISLMFVGHLNELFLASVSLSTSIVNATGYNVMMGLSSALDTFCGQAYGAKQYHMVGVHTQGAMVVLILISIPVSIIWVFLEPILILLHQNKEVAALAQLYARYLIPSLSANALLRCITKFLQTQNIVFPMVLATGLTSLLHLLLCWLFIQKLDYGIKGSAIAICISNWFNTIIFALYIRFSPSCKQTWTGFSKESLHNIPKFLRLAFPSAVMVCLESWTFEIIVILSGALANAKLETSVLSICLNTSGIFWMIPFGVSAAGSTRISNELGAGKPKAAYLAVKVTMFLALLVGLVEFSVLMSLWKVWGRVFTNVHEVLTYVISMMPIVASAAFVDSIQTAFQGVARGCGWQKLGAFINLGSYYILGLPFSIVSAFVFHMKGQGLYLGIVLALTVQVVCFLLVTLRANWEKEAKKAAARVGGSGVQIEDQNVHTSS >Vigun09g251100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41825338:41827543:1 gene:Vigun09g251100.v1.2 transcript:Vigun09g251100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVIFFTLRLVAFFAVLTITTTAAQNTTALEIQALTAFKRNLHDPLGSLDGWDPSTPAAPCDWRGIVCHNNRVHHLRLPRLQLAGEISPSLSNLLQLRKLSLHSNNLNSSIPPSLSQCLFLRALYLHNNRLSGHLPRQLLNLTNLQILNLAHNLFTGKIPDQLSISLRFLDLSDNAFSGDIPANFSSKSQLQLLNLSYNRLSGGIPGSLGKLQNLEYLWLDSNNIYGTFPSAIANCSSLVHLSAEDNVLTGLLPLTLVTIPKLQVLSLSRNQLSGLVPKSVFCNTHLQSLNLGFNSFTGFSAPQSEECNSILEVLDVQGNHIAPTRFPSWLTRTTKSLKVLHLSGNFFTGSLPADIGNFLALEELRVANNLLSGGVPPSIVNCRLLKVFDLEGNRFSGLIPEFLGDMRNLKEFSLAGNLFTGSIPSSFGALSALETLNLSDNKLTGVVPEEIMKLGNVSELNLSNNKFSGQLWSNIGDITGLQVLNLSQCGFSGKVPASLGNLLRLTVLDLSKQNLSGELPLEVFGLPSLQVVALQENRLSGKVPEGFSSIVSLRYLNLSSNEYVGDIPITYGFLSF >Vigun05g031500.2.v1.2 pep primary_assembly:ASM411807v1:5:2504269:2507976:-1 gene:Vigun05g031500.v1.2 transcript:Vigun05g031500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLCLLVAILPLLYNIAAACSNGTCKLLDECSSDGDCGAGLYCFSCPLGFSGSRCVRSTATNQFKLINNSLPFNKYAFLTTHNAYAIDGEPSHTGVPRITITNQEDTVTQQLNNGVRALMLDTYDFDGDVWLCHSFEGQCHDITAFEPAIDTLKEIEAFLSANPSEIVTVILEDYVHAPNGLTKVFTEAGLMKYWFPLASMPRNGQDWPLVSDMVAKNQRLLVFTSIKSKEQSEGIAYQWNFMVENQYGDGGRKAGSCPNRAESSPLNDKSKSLVLVNYFRSAPIKPITCEDNSGELINMIHTCYGAAGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDVHTCVPGSTSQACSSS >Vigun05g031500.1.v1.2 pep primary_assembly:ASM411807v1:5:2505159:2507920:-1 gene:Vigun05g031500.v1.2 transcript:Vigun05g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLCLLVAILPLLYNIAAACSNGTCKLLDECSSDGDCGAGLYCFSCPLGFSGSRCVRSTATNQFKLINNSLPFNKYAFLTTHNAYAIDGEPSHTGVPRITITNQEDTVTQQLNNGVRALMLDTYDFDGDVWLCHSFEGQCHDITAFEPAIDTLKEIEAFLSANPSEIVTVILEDYVHAPNGLTKVFTEAGLMKYWFPLASMPRNGQDWPLVSDMVAKNQRLLVFTSIKSKEQSEGIAYQWNFMVENQYGDGGRKAGSCPNRAESSPLNDKSKSLVLVNYFRSAPIKPITCEDNSGELINMIHTCYGAAGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDVHTCVPGSTSQACSSS >Vigun05g031500.3.v1.2 pep primary_assembly:ASM411807v1:5:2504269:2507910:-1 gene:Vigun05g031500.v1.2 transcript:Vigun05g031500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLCLLVAILPLLYNIAAACSNGTCKLLDECSSDGDCGAGLYCFSCPLGFSGSRCVRSTATNQFKLINNSLPFNKYAFLTTHNAYAIDGEPSHTGVPRITITNQEDTVTQQLNNGVRALMLDTYDFDGDVWLCHSFEGQCHDITAFEPAIDTLKEIEAFLSANPSEIVTVILEDYVHAPNGLTKVFTEAGLMKYWFPLASMPRNGQDWPLVSDMVAKNQRLLVFTSIKSKEQSEGIAYQWNFMVENQYGDGGRKAGSCPNRAESSPLNDKSKSLVLVNYFRSAPIKPITCEDNSGELINMIHTCYGAAGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDVHTCVPGSTSQACSSS >Vigun09g049000.2.v1.2 pep primary_assembly:ASM411807v1:9:4800178:4801768:1 gene:Vigun09g049000.v1.2 transcript:Vigun09g049000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILTWLQDYFVIFIFSAMNTTAHQLNSTIQHSPPPCCNPRSTNHRANFPKPPTTKIAPRETFKPNRRSRSHLALQIELQQNSSTTVSNRGKTIVSAPCWKTQHPIREDEPDLPPTKKMNQICLCNQRSPETNNQLLPWCGGVVAAEREERKAEVR >Vigun09g212100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38629202:38631847:-1 gene:Vigun09g212100.v1.2 transcript:Vigun09g212100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTKSSGPVLRSLSPSTRFCSYTTSKTPFSSPSSAFASSTGSTFSSPSSTFFTNTRHQNSHTNHHTHHRAASPTRVNLYSPTPPSSSVRFSIDPRSISPNRSISNQIITKNSRPISGHKKTCMCSPTTHPGSFRCSLHKSTGSNNHHADSYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKAQDL >Vigun09g212100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38628771:38631381:-1 gene:Vigun09g212100.v1.2 transcript:Vigun09g212100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTKSSGPVLRSLSPSTRFCSYTTSKTPFSSPSSAFASSTGSTFSSPSSTFFTNTRHQNSHTNHHTHHRAASPTRVNLYSPTPPSSSVRFSIDPRSISPNRSISNQIITKNSRPISGHKKTCMCSPTTHPGSFRCSLHKSTGSNNHHADSYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKAQDL >Vigun09g212100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38628771:38631456:-1 gene:Vigun09g212100.v1.2 transcript:Vigun09g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTKSSGPVLRSLSPSTRFCSYTTSKTPFSSPSSAFASSTGSTFSSPSSTFFTNTRHQNSHTNHHTHHRAASPTRVNLYSPTPPSSSVRFSIDPRSISPNRSISNQIITKNSRPISGHKKTCMCSPTTHPGSFRCSLHKSTGSNNHHADSYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKAQDL >Vigun09g212100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38628844:38631381:-1 gene:Vigun09g212100.v1.2 transcript:Vigun09g212100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTKSSGPVLRSLSPSTRFCSYTTSKTPFSSPSSAFASSTGSTFSSPSSTFFTNTRHQNSHTNHHTHHRAASPTRVNLYSPTPPSSSVRFSIDPRSISPNRSISNQIITKNSRPISGHKKTCMCSPTTHPGSFRCSLHKSTGSNNHHADSYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKAQDL >Vigun09g212100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38629202:38631381:-1 gene:Vigun09g212100.v1.2 transcript:Vigun09g212100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTKSSGPVLRSLSPSTRFCSYTTSKTPFSSPSSAFASSTGSTFSSPSSTFFTNTRHQNSHTNHHTHHRAASPTRVNLYSPTPPSSSVRFSIDPRSISPNRSISNQIITKNSRPISGHKKTCMCSPTTHPGSFRCSLHKSTGSNNHHADSYPSNRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKAQDL >Vigun10g170000.1.v1.2 pep primary_assembly:ASM411807v1:10:38922935:38928005:1 gene:Vigun10g170000.v1.2 transcript:Vigun10g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIGNKKHKIKGTVVLMPKNVLDFNAISSAVPKSVGDVVDGAVGAVGGILGGVVHVAGGIIDAATAFLGRNVSMQLISATQTHGGKGKVGEEKYLNSHLPTLPTLGARQEAFSIFFEWDSDFGIPGAFYIRNFKTDEFFLVSVTLDDIPNHGSIHFVCNSWVYNFRSYKKDRIFFVNNTYLPSATPAPLVWYRQEELETLRGDGTGRRKEQDRIYDYDVYNDIGNPDGGEPRPILGGSSNYPYPRRVRSGRDKTRKDPNSEKPGEIYVPRDENFGHLKSSDFLTYGLKALSQNVFPLLKSAIFDLRVTSSEFDSFDDVRSLYEGGIKLPTDIISQISPLPVIKEIFRTDGENVLQFPPPHVIRVSKSAWMTDEEFARETIAGVNPNVISRLQEFPPKSSLDPTIYGDQTSTITKEQLEINTDGVPVEEALATNRLFILDYHDAFIPFLTGINNLPTSKAYATRTILFLKDDGSLKPIVIELSKPHPGGDNLGVVSKVVLPAAEGVESTIWLLAKAHVIVNDCGYHQLISHWLKTHAVVEPFAIATNRHLSVLHPIYKLLYPHYRDTININGLARQSLINAGGFIEQAFLPGKYSMEISAAVYKNWVFTDQALPADLVKRGLAVEDPSAPHGLRLVIKDYPYAVDGLEIWEAIKAWVQEYVSLYYPTDVAVQQDTELQAWWKEVVEKGHGDLKDKPWWPKMHTPEDLIKSCSIIIWSASALHAAVNFGQYPYGGYIVNRPTLSRRFIPEPGTKEYDEMVKNPQKAYLNTITPKFESLVDISVLEILSRHASDEVYLGERDSPYWTTDTKALEAFKKFGSKLTEIEAKITARNNDPSLSSRRGPVELPYTLLLRSSEEGMTFRGIPNSISI >Vigun10g170000.2.v1.2 pep primary_assembly:ASM411807v1:10:38924353:38928005:1 gene:Vigun10g170000.v1.2 transcript:Vigun10g170000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAGGKGKVGEEKYLNSHLPTLPTLGARQEAFSIFFEWDSDFGIPGAFYIRNFKTDEFFLVSVTLDDIPNHGSIHFVCNSWVYNFRSYKKDRIFFVNNTYLPSATPAPLVWYRQEELETLRGDGTGRRKEQDRIYDYDVYNDIGNPDGGEPRPILGGSSNYPYPRRVRSGRDKTRKDPNSEKPGEIYVPRDENFGHLKSSDFLTYGLKALSQNVFPLLKSAIFDLRVTSSEFDSFDDVRSLYEGGIKLPTDIISQISPLPVIKEIFRTDGENVLQFPPPHVIRVSKSAWMTDEEFARETIAGVNPNVISRLQEFPPKSSLDPTIYGDQTSTITKEQLEINTDGVPVEEALATNRLFILDYHDAFIPFLTGINNLPTSKAYATRTILFLKDDGSLKPIVIELSKPHPGGDNLGVVSKVVLPAAEGVESTIWLLAKAHVIVNDCGYHQLISHWLKTHAVVEPFAIATNRHLSVLHPIYKLLYPHYRDTININGLARQSLINAGGFIEQAFLPGKYSMEISAAVYKNWVFTDQALPADLVKRGLAVEDPSAPHGLRLVIKDYPYAVDGLEIWEAIKAWVQEYVSLYYPTDVAVQQDTELQAWWKEVVEKGHGDLKDKPWWPKMHTPEDLIKSCSIIIWSASALHAAVNFGQYPYGGYIVNRPTLSRRFIPEPGTKEYDEMVKNPQKAYLNTITPKFESLVDISVLEILSRHASDEVYLGERDSPYWTTDTKALEAFKKFGSKLTEIEAKITARNNDPSLSSRRGPVELPYTLLLRSSEEGMTFRGIPNSISI >Vigun08g157100.1.v1.2 pep primary_assembly:ASM411807v1:8:32980134:32981853:-1 gene:Vigun08g157100.v1.2 transcript:Vigun08g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1 MEGKEQDVSLGANKFPERQPIGTAAQSQDDGKDYQEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVMGVLRSPTKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQVLGAIAGAGVVKGFEGKTRYGALNGGANFVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >Vigun08g157100.2.v1.2 pep primary_assembly:ASM411807v1:8:32980134:32981853:-1 gene:Vigun08g157100.v1.2 transcript:Vigun08g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1 MEGKEQDVSLGANKFPERQPIGTAAQSQDDGKDYQEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVMGVLRSPTKCQSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQVLGAIAGAGVVKGFEGKTRYGALNGGANFVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPVSVYLFLPLN >Vigun05g067400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5826350:5827566:1 gene:Vigun05g067400.v1.2 transcript:Vigun05g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTKVLLKVASLIFLLGFTATTVDAGFNPSSLITQLLSKEDVDWYTKPTEKACCDKCICTRSIPPQCHCNDVGETCHSECKRCICTRSIPPSCRCADITDSCYKPCHSDEDAKPNSV >VigunL029800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:157166:157642:-1 gene:VigunL029800.v1.2 transcript:VigunL029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPRLRRILMPENWIGWPLRKDYIAPNFYEIQDAH >Vigun03g271800.3.v1.2 pep primary_assembly:ASM411807v1:3:44655819:44661856:1 gene:Vigun03g271800.v1.2 transcript:Vigun03g271800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLRFACVARRHYKAAPPPSPPKAPKKPQKFSFNGITWEDPYSWMSKVSDKVAMRHMDVYMEQEEKYSEAVMSDSEKLLNKLHFEMASRMPFDLSTPPLRWGPWLYYRRVEEAKPYPILCRRLASLNDDFISHNYPPAGFDFTTGKAIEQKLVDYNLEAERFGGYAYEELSEVSPNHQFLAYTMYDKENDYFKLSVRNLNSGSLCSKPQTERVSNLAWAKDGHALLYVVTDQKMRPYRIYYSLIGSTDEDVLLLEESDESVHINIRHTKDFQYVTVNTFSPTSSKVFLINAADPLSGLKVVWECDVIAHCIIEHHQGYLYLFTDAPKGGQSVDYHYLLRSSVDNRSSTRKWEEVLVDDPDLIIEDVDFSDKYLALIVRESKKVQLCSVGLPLPFGKVMEENLLYLGPLKLRKLDLQYLSLPKHVCQISPGPNYDFFSSVTRFIISSPVMPDAVVDYDLATGRWNIIQQQNMLHDRTRILYGKNSASISMESSNSKHSNPVNASFEDYHLWNDLSEFYACEQYEVPSFDGTFIPLTIVFSRNNKTQAKKSGILHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGYGKKWHNDGRRTKKHNSINDYISCAKFLIENDIVHENKLAGWGYSAGGLLVASAINRSPDLFRAAVLKLLIMKSLATLGISMIFLQFENILHMIIYERMSFIQLY >Vigun03g271800.4.v1.2 pep primary_assembly:ASM411807v1:3:44655786:44661873:1 gene:Vigun03g271800.v1.2 transcript:Vigun03g271800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLRFACVARRHYKAAPPPSPPKAPKKPQKFSFNGITWEDPYSWMSKVSDKVAMRHMDVYMEQEEKYSEAVMSDSEKLLNKLHFEMASRMPFDLSTPPLRWGPWLYYRRVEEAKPYPILCRRLASLNDDFISHNYPPAGFDFTTGKAIEQKLVDYNLEAERFGGYAYEELSEVSPNHQFLAYTMYDKENDYFKLSVRNLNSGSLCSKPQTERVSNLAWAKDGHALLYVVTDQKMRPYRIYYSLIGSTDEDVLLLEESDESVHINIRHTKDFQYVTVNTFSPTSSKVFLINAADPLSGLKVVWECDVIAHCIIEHHQGYLYLFTDAPKGGQSVDYHYLLRSSVDNRSSTRKWEEVLVDDPDLIIEDVDFSDKYLALIVRESKKVQLCSVGLPLPFGKGPLKLRKLDLQYLSLPKHVCQISPGPNYDFFSSVTRFIISSPVMPDAVVDYDLATGRWNIIQQQNMLHDRTRILYGKNSASISMESSNSKHSNPVNASFEDYHLWNDLSEFYACEQYEVPSFDGTFIPLTIVFSRNNKTQAKKSGILHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGYGKKWHNDGRRTKKHNSINDYISCAKFLIENDIVHENKLAGWGYSAGGLLVASAINRSPDLFRAAVLKLLIMKSLATLGISMIFLQFENILHMIIYERMSFIQLY >Vigun03g271800.2.v1.2 pep primary_assembly:ASM411807v1:3:44655819:44661856:1 gene:Vigun03g271800.v1.2 transcript:Vigun03g271800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLRFACVARRHYKAAPPPSPPKAPKKPQKFSFNGITWEDPYSWMSKVSDKVAMRHMDVYMEQEEKYSEAVMSDSEKLLNKLHFEMASRMPFDLSTPPLRWGPWLYYRRVEEAKPYPILCRRLASLNDDFISHNYPPAGFDFTTGKAIEQKLVDYNLEAERFGGYAYEELSEVSPNHQFLAYTMYDKENDYFKLSVRNLNSGSLCSKPQTERVSNLAWAKDGHALLYVVTDQKMRPYRIYYSLIGSTDEDVLLLEESDESVHINIRHTKDFQYVTVNTFSPTSSKVFLINAADPLSGLKVVWECDVIAHCIIEHHQGYLYLFTDAPKGGQSVDYHYLLRSSVDNRSSTRKWEEVLVDDPDLIIEDVDFSDKYLALIVRESKKVQLCSVGLPLPFGKVMEENLLYLGPLKLRKLDLQYLSLPKHVCQISPGPNYDFFSSVTRFIISSPVMPDAVVDYDLATGRWNIIQQQNMLHDRTRILYGKNSASISMESSNSKHSNPVNASFEDYHLWNDLSEFYACEQYEVPSFDGTFIPLTIVFSRNNKTQAKKSGILHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGYGKKWHNDGRRTKKHNSINDYISCAKFLIENDIVHENKLAGWGYSAGGLLVASAINRSPDLFRAAVLKVPFLDATNTLLYPILPLTAADYEEFGYPGDLDDFLAIREYSPYDNIRKDVLYPAVLVTSSFNTRFGVWEAAKWVARVRDLTVYDPKRPILLNLTTDLVEENRYLQSKESALEATFLIKMMES >Vigun03g271800.1.v1.2 pep primary_assembly:ASM411807v1:3:44655786:44661881:1 gene:Vigun03g271800.v1.2 transcript:Vigun03g271800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLRFACVARRHYKAAPPPSPPKAPKKPQKFSFNGITWEDPYSWMSKVSDKVAMRHMDVYMEQEEKYSEAVMSDSEKLLNKLHFEMASRMPFDLSTPPLRWGPWLYYRRVEEAKPYPILCRRLASLNDDFISHNYPPAGFDFTTGKAIEQKLVDYNLEAERFGGYAYEELSEVSPNHQFLAYTMYDKENDYFKLSVRNLNSGSLCSKPQTERVSNLAWAKDGHALLYVVTDQKMRPYRIYYSLIGSTDEDVLLLEESDESVHINIRHTKDFQYVTVNTFSPTSSKVFLINAADPLSGLKVVWECDVIAHCIIEHHQGYLYLFTDAPKGGQSVDYHYLLRSSVDNRSSTRKWEEVLVDDPDLIIEDVDFSDKYLALIVRESKKVQLCSVGLPLPFGKGPLKLRKLDLQYLSLPKHVCQISPGPNYDFFSSVTRFIISSPVMPDAVVDYDLATGRWNIIQQQNMLHDRTRILYGKNSASISMESSNSKHSNPVNASFEDYHLWNDLSEFYACEQYEVPSFDGTFIPLTIVFSRNNKTQAKKSGILHGHGAYGELLDKRWRSELKSLLDRGWVVAYADVRGGGGYGKKWHNDGRRTKKHNSINDYISCAKFLIENDIVHENKLAGWGYSAGGLLVASAINRSPDLFRAAVLKVPFLDATNTLLYPILPLTAADYEEFGYPGDLDDFLAIREYSPYDNIRKDVLYPAVLVTSSFNTRFGVWEAAKWVARVRDLTVYDPKRPILLNLTTDLVEENRYLQSKESALEATFLIKMMES >Vigun07g106100.1.v1.2 pep primary_assembly:ASM411807v1:7:19412263:19415163:1 gene:Vigun07g106100.v1.2 transcript:Vigun07g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCVSHTKFNRHIKIGSMASVMLSGTEKLTLRTLTGNGLGFTASDLHGKAFPRVSFVATSSARIPNSRSMVVPKCSVSASRPTSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPAGLNDLNSRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFKKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVEKPVNPFVFLMRFVLGALAATWFVLVPIYMWLKDQIVPKGQPI >Vigun07g106100.2.v1.2 pep primary_assembly:ASM411807v1:7:19412514:19415163:1 gene:Vigun07g106100.v1.2 transcript:Vigun07g106100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMLSGTEKLTLRTLTGNGLGFTASDLHGKAFPRVSFVATSSARIPNSRSMVVPKCSVSASRPTSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPAGLNDLNSRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFKKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVEKPVNPFVFLMRFVLGALAATWFVLVPIYMWLKDQIVPKGQPI >Vigun01g074100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:20562655:20565639:1 gene:Vigun01g074100.v1.2 transcript:Vigun01g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITNPVRHFNKHLANQVLVLVIKDLPLDAHPPSPSPSPSATPWTDDAVTEVLRSISRYTLQSPRSIGRQSGFRHRTPLRQRNLNLEHNKLCNNTLVLGPAAHLDPYKVYLGPLKALEFFHWVEARFAFAHSEATCRELACLLARASTTKPLWNFLKQCPYVTTATVTCVIKLLGEQGLADEALLTFHRMKQFRCKPDTHSYNALIHALCCVGNFTKARFLLQQMELPGFWCPPDTFTYTILISSYCRYGRLTGCRKATRRRIYEAGRLFRWMLFRGLVPDVVTYNALIDGCCKTLRVERALELFDDMKTRGVVPNRVTYGSFIRYYSAVNEIDKGVEMLRQMQRLRHGVPSSSSYTPIIHALCEAGRVVEAWGFLVELVDGGSVPREYTYGLVCDALRAAGEGGLLLEEDGVHKRIKDGIWNRYRQMMKVKPVMARKGYPEMEEPV >Vigun06g105800.1.v1.2 pep primary_assembly:ASM411807v1:6:23535643:23536989:-1 gene:Vigun06g105800.v1.2 transcript:Vigun06g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEYRFPPQKQYTQPGKEYLMNPPPQYSSPDYKPSNKLQGKVAVVTGGDSGIGRAVCNLFSLEGATVIFTYVKGQEDIDARDTLEIIRKGKSGDAKEPMAIAVDLGYEENCKRVVDEVINAYGCIDVLVNNVAVQFQSDSLEEIDDKRLDKVFRTNIFSYFFMTKYAVRHMKEGSSIINTTSVVAYQGFATLVDYASTKGAIVGFTRALALQLVSKGIRVNGVAPGPIWTPLQVASLTVEQIVVLGSDSTPMKRAGQPIEVAPSYVFLASNQCSSYITGQVLHPNGGIIVNA >Vigun08g185000.2.v1.2 pep primary_assembly:ASM411807v1:8:35422639:35425960:-1 gene:Vigun08g185000.v1.2 transcript:Vigun08g185000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTFLSTFLLPFILLAPRHKRVGMDSFNQNCQNSSFRYSPNLNTGTHADDEEDEFSGILDIFVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPTFNENMRMNITQIDAVLKCEIWMFSRARIHMEDQLLGFALVPISQVLGKGKVTEDYSLSSTDLFHSPAGTVQLTLSLDPSLALNSSVNLIPESAKTSSISSEVIFLDRKISEVMLDPVEYARIEFPDISVVKENQKMVSEYFNLAATSRSNNGRSLPFLHLGASPQLDDHEMSMSPPDENHVGSLSPNESIQNSCFLGSTITTLSDDRNSADSVEKKNHLNSVTVEATQNCGGACPDTPTSKKEGEAPDEKDATFSTSKDKESKISSKNTTEASKFGQVFSAPLGNINLEAEQAAMQKQIVDMYMRSMQQFTESLAKMKLPMDLDKPEKVDHGDGDVIQNHENSKLETDKKKKDGSRVFYGSRAFF >Vigun08g185000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35422639:35425960:-1 gene:Vigun08g185000.v1.2 transcript:Vigun08g185000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNQNCQNSSFRYSPNLNTGTHADDEEDEFSGILDIFVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPTFNENMRMNITQIDAVLKCEIWMFSRARIHMEDQLLGFALVPISQVLGKGKVTEDYSLSSTDLFHSPAGTVQLTLSLDPSLALNSSVNLIPESAKTSSISSEVIFLDRKISEVMLDPVEYARIEFPDISVVKENQKMVSEYFNLAATSRSNNGRSLPFLHLGASPQLDDHEMSMSPPDENHVGSLSPNESIQNSCFLGSTITTLSDDRNSADSVEKKNHLNSVTVEATQNCGGACPDTPTSKKEGEAPDEKDATFSTSKDKESKISSKNTTEASKFGQVFSAPLGNINLEAEQAAMQKQIVDMYMRSMQQFTESLAKMKLPMDLDKPEKVDHGDGDVIQNHENSKLETDKKKKDGSRVFYGSRAFF >Vigun08g185000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35423872:35426365:-1 gene:Vigun08g185000.v1.2 transcript:Vigun08g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNQNCQNSSFRYSPNLNTGTHADDEEDEFSGILDIFVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPTFNENMRMNITQIDAVLKCEIWMFSRARIHMEDQLLGFALVPISQVLGKGKVTEDYSLSSTDLFHSPAGTVQLTLSLDPSLALNSSVNLIPESAKTSSISSEVIFLDRKISEVMLDPVEYARIEFPDISVVKENQKMVSEYFNLAATSRSNNGRSLPFLHLGASPQLDDHEMSMSPPDENHVGSLSPNESIQNSCFLGSTITTLSDDRNSADSVEKKNHLNSVTVEATQNCGGACPDTPTSKKEGEAPDEKDATFSTSKDKESKISSKNTTEASKFGQVFSAPLGNINLEAEQAAMQKQIVDMYMRSMQQFTESLAKMKLPMDLDKPEKVDHGDGDVIQNHENSKLETDKKKKDGSRVFYGSRAFF >Vigun05g112400.1.v1.2 pep primary_assembly:ASM411807v1:5:11783615:11784427:1 gene:Vigun05g112400.v1.2 transcript:Vigun05g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVKFACVVGFVVLVSIAKVDSAGECGKSTTPDNEAIKLIPCASAAQDENASVSQSCCAQVKKIGQNPSCLCAVLLSNTAKMAGVNPQIAITIPKRCNLANRPVGYKCGPYTLP >Vigun10g036200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4834557:4834964:-1 gene:Vigun10g036200.v1.2 transcript:Vigun10g036200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHYFCVPLVPQRLLVVLLAHTTVGSSTGVKS >Vigun11g084200.1.v1.2 pep primary_assembly:ASM411807v1:11:25166872:25168174:1 gene:Vigun11g084200.v1.2 transcript:Vigun11g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQKQYVLALFLLFAVGISQVMSRKLHETSLRERHEQWMQVHGKVYKNTAEKEKRFQIFKDNVEFIESFNAAGNKPYKLGVNHFADLTVEEFKASRNGFKKPHEFSTTPFKYENVTAIPAAIDWRKKGAVTPIKNQGSCWAFSTVAATEGIHQIATGKLVSLSEQELVDCDTKGVDQGCEGGYMEDGFEFIIKNGGITSEAKYPYKAAGGTCNRGSTPVAEIKGYEKVPPNSEKALQKAVANQPVSVSIDADGAGFMFYSSGIYSGECGTELDHGVTAVGYGIANGTEYWLVKNSWGTQWGEEGYVRMKRGVAAKHGLCGIALDSSYPTV >Vigun06g018666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8638597:8638785:1 gene:Vigun06g018666.v1.2 transcript:Vigun06g018666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVSLSLCLFPTPATLSPPLVSFSFLFFAFQTLTLGLGDCSVPLIEEIQIRFSCHMHFGK >Vigun07g158400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:27023827:27026037:1 gene:Vigun07g158400.v1.2 transcript:Vigun07g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSESANVSSPRYKMETPTTNPTAGTSAVSSPSSGSNSGSTTPSRYENQKRRDWNTFCQYLRNHRPPLSLALCSGAHVLEFLHYLDQFGKTKVHNHPCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRPETNPFGARAVRIYLRDVRDFQAKARGVSYEKKRKRPKPKLTPAPT >Vigun08g024900.1.v1.2 pep primary_assembly:ASM411807v1:8:2145780:2154749:-1 gene:Vigun08g024900.v1.2 transcript:Vigun08g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGETTEEVVVVPVSNSDGVGDEQMFPHSPRQCDSEEESPHESLRKTKASIENAVAEIIALKKHAEPKPLLTLRLRELLTQTFLHFVTLRQANRSILLEEEHARTETERAKAPLELTTQQLQNLMYEKSHYVKAIKACNDFKSKYPDIEVVSEGEFFRDAPQDMKDSVLCSHSEHNLVLKRLNFELFQRKELCKLHDKLEQKKKTLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVQHTKKLKRHHSAELLPPPLYVIYSQLLAQKEAFGESIDVEIVGSVKDAQNFAHIQAHKDTGISTTVESPKLENDEPDEDGQRRSKRPRRFQGKESLDQPGIFQVHPLTVNLHIYDDEVSDLESAKLVTLNFEYMMQLNIICVGIKASNVGPENDILSNLFPDDTGLELPHQSAKLYVGDAVMFNGDRTSRPYKWAQHLAGIDFLPEVAPLLLARRETPDGGEGAKGEDFLPSLSHYRQHNRLQTVLQKIRSRIKARLVLQ >Vigun01g236400.4.v1.2 pep primary_assembly:ASM411807v1:1:40776588:40797945:1 gene:Vigun01g236400.v1.2 transcript:Vigun01g236400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLQLTRHGQSILASRRKTLLLASGILIAGGTAAYMQSRFRVSKPDLFGHCNGQNSDREITEEVGVNVSKNKQKKGLKSLQLLASIILTDMGKLGARDLLGLVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLLSTIHSTSKYITGTLSLHFRRILTKLIHSHYFENMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGGVIRNFSPSFGKLMSKEQQLEGEYRQLHSRLRTHSESVAFYGGERKEEAHIQQKFKTLVRHVHNVLHDHWWFGMIQDLLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLSRLSGYADRICELMAVSRDLSLVDEKSSLQRKASRNCTSEANYIEFDGVKVVTPTGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPITVDQEIEPLTDRGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKREGSPTEMEIDTMKTSETKRQSDAKAVQRAFSTSKKDSAFSNPKEQSYFSEVISSSPSMNHTISPSVVPQLRCNTRVLPLRVAAMCRVLVPTILDKQGAQLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKASFIRLIGLSVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLENYLRNNAFYKVFHMASKNIDAEQRITQDLEKLTSDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYAYMLLGLGFLRTVTPDFGDLISQEQQLEGMFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLSHSKYLLKKKWLFGILDDFITKQLPHNVTWLLSLLYAMEHKGDRASINTQGELAHALRFLASVVSQSFLAFGDILELNRKFVELSGGINRIFELEELLDAAQSGDSINGSVTFPMRDYHAKDAISFSKVDIVTPSQKMLARELTWDIELDRSLLVTGPNGSGKSSIFRVLRGLWPIACGRLSRPSDDADLEAGSGSGIFYVPQRPYTCLGTLRDQIIYPLSHEEAELRALKMYGKGENHDPRKLLDKHLQVILDNVRLNYLLERDNRGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKKMGITVVTSSQRPALIPYHSMELRLIDGEGNWELRSIKQ >Vigun01g236400.5.v1.2 pep primary_assembly:ASM411807v1:1:40780346:40797945:1 gene:Vigun01g236400.v1.2 transcript:Vigun01g236400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRFRVSKPDLFGHCNGQNSDREITEEVGVNVSKNKQKKGLKSLQLLASIILTDMGKLGARDLLGLVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLLSTIHSTSKYITGTLSLHFRRILTKLIHSHYFENMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGGVIRNFSPSFGKLMSKEQQLEGEYRQLHSRLRTHSESVAFYGGERKEEAHIQQKFKTLVRHVHNVLHDHWWFGMIQDLLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLSRLSGYADRICELMAVSRDLSLVDEKSSLQRKASRNCTSEANYIEFDGVKVVTPTGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPITVDQEIEPLTDRGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKREGSPTEMEIDTMKTSETKRQSDAKAVQRAFSTSKKDSAFSNPKEQSYFSEVISSSPSMNHTISPSVVPQLRCNTRVLPLRVAAMCRVLVPTILDKQGAQLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKASFIRLIGLSVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLENYLRNNAFYKVFHMASKNIDAEQRITQDLEKLTSDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYAYMLLGLGFLRTVTPDFGDLISQEQQLEGMFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLSHSKYLLKKKWLFGILDDFITKQLPHNVTWLLSLLYAMEHKGDRASINTQGELAHALRFLASVVSQSFLAFGDILELNRKFVELSGGINRIFELEELLDAAQSGDSINGSVTFPMRDYHAKDAISFSKVDIVTPSQKMLARELTWDIELDRSLLVTGPNGSGKSSIFRVLRGLWPIACGRLSRPSDDADLEAGSGSGIFYVPQRPYTCLGTLRDQIIYPLSHEEAELRALKMYGKGENHDPRKLLDKHLQVILDNVRLNYLLERDNRGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKKMGITVVTSSQRPALIPYHSMELRLIDGEGNWELRSIKQ >Vigun01g236400.1.v1.2 pep primary_assembly:ASM411807v1:1:40776588:40797945:1 gene:Vigun01g236400.v1.2 transcript:Vigun01g236400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLQLTRHGQSILASRRKTLLLASGILIAGGTAAYMQSRFRVSKPDLFGHCNGQNSDREITEEVGVNVSKNKQKKGLKSLQLLASIILTDMGKLGARDLLGLVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLLSTIHSTSKYITGTLSLHFRRILTKLIHSHYFENMVYYKISHVDGRITNPEQRIASDVPRFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGGVIRNFSPSFGKLMSKEQQLEGEYRQLHSRLRTHSESVAFYGGERKEEAHIQQKFKTLVRHVHNVLHDHWWFGMIQDLLLKYLGATVAVILIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISARRLSRLSGYADRICELMAVSRDLSLVDEKSSLQRKASRNCTSEANYIEFDGVKVVTPTGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPITVDQEIEPLTDRGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYKREGSPTEMEIDTMKTSETKRQSDAKAVQRAFSTSKKDSAFSNPKEQSYFSEVISSSPSMNHTISPSVVPQLRCNTRVLPLRVAAMCRVLVPTILDKQGAQLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKASFIRLIGLSVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLENYLRNNAFYKVFHMASKNIDAEQRITQDLEKLTSDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYAYMLLGLGFLRTVTPDFGDLISQEQQLEGMFRFMHERLCTHAESVAFFGGGAREKAMVESRFRELLSHSKYLLKKKWLFGILDDFITKQLPHNVTWLLSLLYAMEHKGDRASINTQGELAHALRFLASVVSQSFLAFGDILELNRKFVELSGGINRIFELEELLDAAQSGDSINGSVTFPMRDYHAKDAISFSKVDIVTPSQKMLARELTWDIELDRSLLVTGPNGSGKSSIFRVLRGLWPIACGRLSRPSDDADLEAGSGSGIFYVPQRPYTCLGTLRDQIIYPLSHEEAELRALKMYGKGENHDPRKLLDKHLQVILDNVRLNYLLERDNRGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKKMGITVVTSSQRPALIPYHSMELRLIDGEGNWELRSIKQ >Vigun05g222650.1.v1.2 pep primary_assembly:ASM411807v1:5:41463614:41464187:-1 gene:Vigun05g222650.v1.2 transcript:Vigun05g222650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSLKLGLEFDNLEDAWKFWDNYGGSKGFEVRKHYPNKNKKDASYIYVCCKEGCVARMKVKRVNERYRVVDFVDDHNHPLHPPETVHLLPSQRKITDCQAYDLEMAEQAGIEQKASFDLMSKYVGGRENLGYTREDVKNYLNSKRRRDMAYGEARSLLQYFPTTKRRK >Vigun08g201100.1.v1.2 pep primary_assembly:ASM411807v1:8:36547703:36549762:1 gene:Vigun08g201100.v1.2 transcript:Vigun08g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVAEVERILCYRFKNRKLLEEALTHSSFTEDVSYERLEFIGDPIIGLAISNHLFVTYPKLDPGRLSILRSANISTEKLARVAVRHGFHRFIRHSAQPLMDQVLRFVEAVARENHSVVSHGGSVKAPKILADIVESIAGAVYVDVAYDLEILWKYFRKILEPIVTAGDLEQQPQPVSTLFEICQKRGKHIDFKYVRTKTSTIANVFVDGQFIASASSSQKDLAKLEAAKIALDILPSLVPPTSKPCSREIPLNFSTDEDGNTIVEAAKHRLNEYCENKKWSKPVYRVEKDSGPSHDRRFVYSVEINIAEEGRVLQASGYEKSRVKDAQNSAASSMLLTLIGR >Vigun08g201100.2.v1.2 pep primary_assembly:ASM411807v1:8:36547703:36549762:1 gene:Vigun08g201100.v1.2 transcript:Vigun08g201100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVAEVERILCYRFKNRKLLEEALTHSSFTEDVSYERLEFIGDPIIGLAISNHLFVTYPKLDPGRLSILRSANISTEKLARVAVRHGFHRFIRHSAQPLMDQVLRFVEAVARENHSVVSHGGSVKAPKILADIVESIAGAVYVDVAYDLEILWKFIASASSSQKDLAKLEAAKIALDILPSLVPPTSKPCSREIPLNFSTDEDGNTIVEAAKHRLNEYCENKKWSKPVYRVEKDSGPSHDRRFVYSVEINIAEEGRVLQASGYEKSRVKDAQNSAASSMLLTLIGR >Vigun02g167000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31094975:31095776:-1 gene:Vigun02g167000.v1.2 transcript:Vigun02g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSLSHVMATALGLYIAQNYKVPDIKALASTAYSMATQVEHTFRKKSNKKDDD >Vigun01g131900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30999361:31001000:-1 gene:Vigun01g131900.v1.2 transcript:Vigun01g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLCCRFSFLAFSFFLSLTPTLQIPLVAPITKDPSTQLYTLSLFLKTPLQHTTLHLDLGSSLTWLLCDSTYTSSSSHQIPCNTSPCDSFPSNACSNDTCALFPENPLTRTTLLDTALIDSLALPTYDTTSETPLVLISDFIFSCAVAHLLQGLATNVTGLAALGRSNYSLPAQISTALSSPHSFSLCLPASSNTGAAIFASTSISYFFSSKIDLTYTPLILNPVADTVVTHNSQPSDEYFINLTSIKINGKALPINASILTLDQTGFGGTKISTAEPYTVLESSIYKLFVQFFVNESSAFNLSVTEAVEPFGVCYSAGDLAETRVGPAVPTVDLVMHSEEVFWRIFGGNSMVRIEKGGVDVWCLGFVDGGKRRRTAVVIGGYQMEDNVVQFDVDSNRFGFSSSLLLQGAKCANLNLTDLSNPIN >Vigun03g334700.1.v1.2 pep primary_assembly:ASM411807v1:3:53294459:53296113:-1 gene:Vigun03g334700.v1.2 transcript:Vigun03g334700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFRYGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNQKAAAKKAAGGDGDEEDED >Vigun04g191132.1.v1.2 pep primary_assembly:ASM411807v1:4:41502466:41503173:-1 gene:Vigun04g191132.v1.2 transcript:Vigun04g191132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMNLKFEITHQDGSRICWWLTSWFPLKFLDLFCGRKLAKKLLGNLHITLHSIKGPR >Vigun06g043200.1.v1.2 pep primary_assembly:ASM411807v1:6:16683834:16689047:-1 gene:Vigun06g043200.v1.2 transcript:Vigun06g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASHITLECALQTHPNITIIGEEVAAKKLTLKRSCKESTGHGSGSSRMRTFLSFVDEKEEIVKSRVKRKKIEGMSYRSTREVGSSITSNKGYSQASSNRIDSATEI >Vigun01g072100.1.v1.2 pep primary_assembly:ASM411807v1:1:19923481:19928630:1 gene:Vigun01g072100.v1.2 transcript:Vigun01g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQSNKFFVKPKISKVAENTKGFESDQGTAGLTRKPNKEILEHDRKRQIELKLVILEDKLIDQGYTDAEIAEKLEEARQNLEAAAATDETDELASASDKKVSDTQTHQIAARKEKQMESLKAALGIVSSEFNENADEADGHVNDGKNGSNMDGKHNSKSEHAFLDRDFSRKKQMVEDQKDEKVKKSLRDTRHHKKKIGTREKKSEDDSSDSSDSETSSSNEKRSTKKRGKKYTSSSDESDSDSDAKRKVKAKKKMKIPKHNKKGRVEDSDSDDSDDSNIARKSYKKRLESQKRHTSEYDSDDHDDVPKHKTKEGIKHSKMSKRHDSEEESDIDSEEKKYGRPGKTRTRRHNSEDEDSDRDHVKHASGHVKNVKRGSYSSSENSSDTDSDRNYSDQRYEKKGSSAVAKKGKGYDMKENKSRDSGAEKGKNSVNIDALDSLKRSYGNDFTQGPNSRTQEMIHSDRKTRTEKDREPFPFAKHDGDDRKPETESKSVRLSGRDDDTYEERGRDYYNKDVESHHVGQAARYGEDHLARRHRRDDHNHRDNDDGGERKRGRDEDRRERNHLKDEGVGERKHARDEDESKEEKHGRHEDGRGVNRTGRKHSRYEDDLGERKQARDDDDSKEGNRRRDEDDHGERKNLRDVVDRVERKRGRDEDYQTGRNYARDEDEHRERKFRRDEDDHAARKFRREDDYGEKKHLRDESDVHMEAKHNRVEDGRGERRNSREDDDREKRHSKDEDGRRERKHRRDDDDGHEERKRRRDDDDGRGERKHRREEEDRRRDERESRGDYSRRAKY >Vigun01g072100.2.v1.2 pep primary_assembly:ASM411807v1:1:19923899:19928611:1 gene:Vigun01g072100.v1.2 transcript:Vigun01g072100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQSNKFFVKPKISKVAENTKGFESDQGTAGLTRKPNKEILEHDRKRQIELKLVILEDKLIDQGYTDAEIAEKLEEARQNLEAAAATDETDELASASDKKVSDTQTHQIAARKEKQMESLKAALGIVSSEFNENADEADGHVNDGKNGSNMDGKHNSKSEHAFLDRDFSRKKQMVEDQKDEKVKKSLRDTRHHKKKIGTREKKSEDDSSDSSDSETSSSNEKRSTKKRGKKYTSSSDESDSDSDAKRKVKAKKKMKIPKHNKKGRVEDSDSDDSDDSNIARKSYKKRLESQKRHTSEYDSDDHDDVPKHKTKEGIKHSKMSKRHDSEEESDIDSEEKKYGRPGKTRTRRHNSEDEDSDRDHVKHASGHVKNVKRGSYSSSENSSDTDSDRNYSDQRYEKKGSSAVAKKGKGYDMKENKSRDSGAEKGKNSVNIDALDSLKRSYGNDFTQGPNSRTQEMIHSDRKTRTEKDREPFPFAKHDGDDRKPETESKSVRLSGRDDDTYEERGRDYYNKDVESHHVGQAARYGEDHLARRHRRDDHNHRDNDDGGERKRGRDEDRRERNHLKDEGVGERKHARDEDESKEEKHGRHEDGRGVNRTGRKHSRYEDDLGERKQARDDDDSKEGNRRRDEDDHGERKNLRDVVDRVERKRGRDEDYQTGRNYARDEDEHRERKFRRDEDDHAARKFRREDDYGEKKHLRDESDVHMEAKHNRVEDGRGERRNSREDDDREKRHSKDEDGRRERKHRRDDDDGHEERKRRRDDDDGRGERKHRREEEDRRRDERESRGDYSRRAKY >Vigun04g188900.7.v1.2 pep primary_assembly:ASM411807v1:4:41297382:41300402:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSV >Vigun04g188900.4.v1.2 pep primary_assembly:ASM411807v1:4:41297383:41301630:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGWCLSSISPAKTSLKKATLRPSVFATLTTTPSSPSSSSSFPSLIQDKPVFAAPSHIITPTVREDMAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSV >Vigun04g188900.2.v1.2 pep primary_assembly:ASM411807v1:4:41297383:41301630:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGWCLSSISPAKTSLKKATLRPSVFATLTTTPSSPSSSSSFPSLIQDKPVFAAPSHIITPTVREDMAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSV >Vigun04g188900.9.v1.2 pep primary_assembly:ASM411807v1:4:41297382:41300050:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSVKDVATILHWKL >Vigun04g188900.8.v1.2 pep primary_assembly:ASM411807v1:4:41297383:41300402:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSV >Vigun04g188900.1.v1.2 pep primary_assembly:ASM411807v1:4:41297383:41301630:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGWCLSSISPAKTSLKKATLRPSVFATLTTTPSSPSSSSSFPSLIQDKPVFAAPSHIITPTVREDMAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSVKDVATILHWKL >Vigun04g188900.6.v1.2 pep primary_assembly:ASM411807v1:4:41297382:41300402:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSVKDVATILHWKL >Vigun04g188900.5.v1.2 pep primary_assembly:ASM411807v1:4:41297383:41301630:-1 gene:Vigun04g188900.v1.2 transcript:Vigun04g188900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSINGWCLSSISPAKTSLKKATLRPSVFATLTTTPSSPSSSSSFPSLIQDKPVFAAPSHIITPTVREDMAKDYEQAIEELQKLLKEKTELKATAAEKVEQITASLGTSSSDGIPSSEASDRIKSGFLYFKKEKYDKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANIVPPYDQSKYSGTGAAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGTYSTDFIEEWVKVGLPAKAKVKTQHGDAPFAELCTHCEKEAVNVSLGNLLTYPFVRDGLVNKTLALKGGYYDFVKGSFELWSLNFGLANSFSV >Vigun03g353200.1.v1.2 pep primary_assembly:ASM411807v1:3:55485305:55486510:1 gene:Vigun03g353200.v1.2 transcript:Vigun03g353200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNVAANSSFERTSLASLDQKLALAKRCSHEGVMAGAKAAVVATIATAIPTLASVKMLPWAKANLNHSAQALIISTVAGAAYFIVADKTVLGTARKNSFDPPSNQ >Vigun07g122300.1.v1.2 pep primary_assembly:ASM411807v1:7:22565437:22569615:1 gene:Vigun07g122300.v1.2 transcript:Vigun07g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVTVLLLIVLSTGVGGQDDHGPCKQKVLNPRPHSVSILEFGAVGDGKTLNTVAFQNAVFYAKSFADKGGAQLFVPSGKWLTGSFNLTSHLTLFLERGATIIASQDYAHWPAVDPLPSYGRGIDVPGGRYSSLIYGRNLSDVVITGDNGIIDGQGSVWWDLIRTHSLNHSRPHIIELVNSDDIVISNLTLLNSPASSIHPVYCSNVRIQNITAHAPPEFPYTSGIVPDSSEHVCIDNSNISTGHDAIVLKSGWDQYGVAYGKPTSNVHIKGVYLQSSSGAGLAFGSEMSGGISGITAEHLYIINSTIGIELKTTRGRGGYMRNISISSTTLENIYLGISMTGFSGSHPDDKYDPNAVPVVGNVTFENVIGANVAIAGNFSGIVDSPFTPIFLSNVVFSTGSESFSPWFCSNVNGVSKEVFPEPCPDLQNTLSNFSSIFSSLHPSYSYVSSA >Vigun02g035200.1.v1.2 pep primary_assembly:ASM411807v1:2:14667562:14671338:1 gene:Vigun02g035200.v1.2 transcript:Vigun02g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYEVEHPRKAYGWAARDASGVLSPFFFSRRKTGVKDVTFKVLYCGICHSDLHKLKNEWSDSIYPLVPGREIVGEVTEVGSKVEKFKVGDKVAVGCLVGSCSSCQNCVNNLENYCQQGIPTYGGKYVDGSITYGGFSDFMVADEHFVVNIPNGLPLDAAAPLLCAGITVYSPLRYFGIDKPGMHLGVVGLGGLGHLAVKFAKALGLKVTVISTSPNKKQEAIQHLGADSFVLSTDQDQMKAAMCTLDGIIDTVYAVHPLMPLIDLLKSHGKLVTVGVPLKPVELLLPSLVLGRRSVAGSYFGGIKETQEMMDFAAEHNVRPEIEIIPMDYVNTAMERLLKADVKYRFVIDVGNTLKSYPSTTLE >Vigun03g231000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:38487594:38488354:1 gene:Vigun03g231000.v1.2 transcript:Vigun03g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTAVKVFVVFGLLATACLAQAPGPAPTQPPSATPSPPTSAPAPSPTANAPPPAAVPTPAPATPTPTPTPTPTPPPSTPPPTPSSPAQAPGPNTSSPPAPSPSGPTPGPAPEQPASEPPSAAFSISKAYIAATALVGTFLAVTLA >Vigun02g166600.1.v1.2 pep primary_assembly:ASM411807v1:2:31069401:31071648:1 gene:Vigun02g166600.v1.2 transcript:Vigun02g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIPSCVFCAIAAKSTSDNTLLHSDDKVVAFQDINPSAFRHYLVVPVAHIPTVKDLQRKTDDHSLVNHMLEVGKTLLLRDAPQCQQYRFGFHQPPLNTVNHLHLHCLALPYTPRWRSIKYLSFGPLGFIEAEKFLEKIKPLSTFQ >Vigun02g166600.2.v1.2 pep primary_assembly:ASM411807v1:2:31069496:31071538:1 gene:Vigun02g166600.v1.2 transcript:Vigun02g166600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIPSCVFCAIAAKSTSDNTLLHSDDKVVAFQDINPSAFRHYLVVPVAHIPTVKDLQRKTDDHSLVNHMLEVGKTLLLRDAPQCQQYRFGFHQPPLNTVNHLHLHCLALPYTPRWRSIKYLSFGPLGFIEAEKFLEKIKPLSTFQ >Vigun04g175100.1.v1.2 pep primary_assembly:ASM411807v1:4:39921058:39930042:1 gene:Vigun04g175100.v1.2 transcript:Vigun04g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDKYGQLQAIVGRPDLFGPGSRVIITTRDKQLLSSYGVTKTYEVKVLNKNNALDLLSWKAFKTKNIDASYKEVLNDVVIYASGLPLALEVIGSNLFGKTKEEWKSAIKQYKRIPSNQILEILKVSFDYLEEEEKSVFLDICCLNIYALSKLENLLHAHYGYCMKYHIGVLVDKSLIKFSCYRQETRIALHSLIEDMGKEIVRQESPKDPGKRSRLWLPEDIIRVLEDDKNFVNLRVLNFDQCKCLTEIPDMSVLQNLEELSFEDCVNLITVHNSVGLLDKLKTLSANGCRKLKTFPPIKLTSLEKLELSHCSILESFPEILMKMENIRELHLGYSLITELPLSFQNLTGLRTLDMFYLNSAIVKVPSSIIMMPELTDIFVCGLKGLQWLKQEEGEEQMGPVVLPSKVEWLSVLSCNLNDDFFSIDFTRFALVKELALHENNFTILPECLKQCQFLWYLNVSGCKHLQEIRGIPPNLRHFFAKKCISLASSSKRMLLNQGLHEARSTVFCLPGAGIPKWFNHWNRGTSISFWFRNKFPDKVLCVLVAPIQDNFFRSRVFINGKEYTGYSHHHLTGKHHVYLFDMRELRFSNSPYEEPFESEWNHAKVTFPRGKYTSINHAKIGIHVVKQENRMEDVTFTDPCSKTKSDVDDINSSDSQSTSC >Vigun04g093920.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:18905243:18905623:-1 gene:Vigun04g093920.v1.2 transcript:Vigun04g093920.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGCYCSPGECWKITSSSISISLATIIFPSSPSPARLPSQNPNTTPQTTRITFICHHHCHILVFTNTRLAHERANICLDSPSSSSTCTKIRITTLTHAIQYCIGSKPHSSLIFSDQSHPIIAQR >Vigun06g162400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28479490:28480397:1 gene:Vigun06g162400.v1.2 transcript:Vigun06g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATESSTTNWGGDDWGSLSSRRRSMSSGKVFDEVHESSLDNVEKEKLLGALRASSDANGKVKIKISKKELAQLLGGKESNKHLGEEGHASAEQVLARLIHARDHSSNEYHDVHHRPWRPVLQSIPEVN >VigunL085432.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:44783:46672:1 gene:VigunL085432.v1.2 transcript:VigunL085432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGENNDNCKEREKEREARREEKPKKATLLEKLEDGILLLQACCRALKLIMKKKLLEQYCSILLSNASILRSSSKVDSIEVVRDVLILTRKCCSHP >Vigun08g037400.1.v1.2 pep primary_assembly:ASM411807v1:8:3586068:3590062:-1 gene:Vigun08g037400.v1.2 transcript:Vigun08g037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATAWSISPLPSRRNRLTISPIPLFGIHTTTLTTSLISFSSTTTSNSNNNAPQTDCPVPMEQQPINEYHSLSTSFPFSWAALDAVEYASRLFVTGTSFALLVGLPVAWFGSAGAQAEPLKRLLCAASSGLFAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLSRLKITLVSLATSLLVCAIILINIDGNQNLTSKEPRVRVVPGVYNDDSARLFEPDAFRDESELQ >Vigun07g163700.1.v1.2 pep primary_assembly:ASM411807v1:7:27532711:27544239:-1 gene:Vigun07g163700.v1.2 transcript:Vigun07g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADDSVFPMDNVASCIQLPPEEENRIVSELIKESELNLKEGNLYYVVSNSWFSRWQSYVGPCVGMLSIDKQSSDGRHANMTHTKIANRPGPIDNSDIISKGDNFDSSSLDICRMLEEGTDYVLVPEKVWERLLEWYKGGPALPRKLISQGIEHKQYSVEVYPLSLKVTDARDNSLLIVKLSKKATIGELHELVCKIKHVEQKKACIWDYFNSTKHSLLTVSEQTLEEANLLMDHDILLEVLPDGDQSSHSGMDSMGNELALVPLEPSRSSVSIAGGPTMSNGHSTGSSFSLYQGTSVSSSLTNMDDKHDIYKGERGGLAGLQNLGNTCFMNSAIQCLVHTPPLVEYFLQDYSDEINMENPLGMHGELALAFGDLLRKLWSSGRNAIPPRAFKSKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVASECWRNHMARNDSLIVDVCQGQYKSTLVCPVCEKISITFDPFMYLSLPLPSTVSRTMVVTVFYCDGSGLPMPYTVTVLKHGSCRDLCQALGTSCCLKSDEMLLLAEVYDHRIYRYLDPVESLNSIKDDEHIVAYRIKRGASETKLEVIHRWVDNMKGGEGKPFGTPLVTYLAEDPQFGANIEASVLQLLAPLRKAYSSTKSHDGKENGFVSAGSDEQPIISDTHSESQSLTIGSKEQAGTSCGESSFQLVLTTESSEPIGKASFTKPSKLIRVFLDWTERENELYDSNYLKDLPEVHKTGFTSKKTKQEAISLFSCLEAFLTEEPLGPDDMWYCPRCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKSKDGQSYVYDLYAISNHYGGLGGGHYTAYCKLIEDNKWCHFDDSHVTPVTEAEIKSSAAYVLFYQRVRTKGEMDGETSV >Vigun10g033566.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4483182:4483961:1 gene:Vigun10g033566.v1.2 transcript:Vigun10g033566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVIIFLFLSLFRPCNTQTCSSNNTNPCPPFSSHPPFPFSSTPGCGHPSFHITCSSPHSFISINNFSFSILSYNSNTSSITLSPRPNPTPHPNCPSFSFIPTRHINLSATPFRVSAATCSRLSFLRPCSPPSLPTAPTAPPSATSSNLPPTFSLTADPPTTPLSTQTPPASPTSSASSNSSSRTESNSTGTRAVTPTSLIAPSAAPTTAPAGLGPSTSRSSATAVLVVVATGFAPAERNGGAARARKKGAAVLSPN >Vigun11g168500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37523059:37524169:1 gene:Vigun11g168500.v1.2 transcript:Vigun11g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKACTLLNLVAVVVFVAILIGESRVEARVLSHSQEFAFARANNLQTYTSSAYEQAKKTMAFWMQRLASGPSPKGLGH >Vigun03g090000.2.v1.2 pep primary_assembly:ASM411807v1:3:7573338:7583116:1 gene:Vigun03g090000.v1.2 transcript:Vigun03g090000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGFDFVQYAINIVGPEFSTPQDEAIYEKFEDIVQSKDGDEVSYKELRGLVRLRDFISLMLTYPVKLEEDGVLRSWISAFPEWKAELFSTGGYPVIYKPWSLLTEKALRCMKGFMPVEIMAEIESGERSIFNRDNDVILEGLLPLIVDLAVNQLIQTLFSGDYCARYIRLLTRNSAEKESVVSKIVSALEDKHNLFGIDKDFLMAVWINALTRETDAEVQVQEEINKIMGSISITEGDDMLTTLDTDEKKRRNRLLVIVVDADSNRKKLDLQKVQFPTGIVVLITTESSTQAVMDDGIASTMDLNIWTQDHLLPWKLFNTYVGSCISCSTVGSSTTIQTIAVEIVKKIHGHLLAIVLVAKHLRNVKDDKYWELVLDKLSSRNPFYDYQDCDRIGISRVMVNAFVNIIWEDIDDELKLCLQLSLPVRNIKNGVRDDILVSYWANILRYTQEVGEYKRQLQYYLEELLDCFVLLEFESGDVYLPIEAYDIIKSLHISQPSIIRHSALGLTEIGQWHSLIQLELIDNKICELPQSPDCPKLKVLLLQGNADLLDIPDSFFDHMPLLQHLDLSYTSIRDLPPSLTKLIQLKKLYLKGCDLFMEISPQIFQLKNLEELDLDGTLITHLPKDIQELINLQRLALCFDAYHHVLSRGKKGMQISNTLIIPPGVISNLTRLNYLSLDVDPEDEQWTENVNSVLLEILGLEKLKTVSIYVPETDLLELIPGTKSLNFRLVVGHHIRRLISRVPPELETKFKQCNYSMKFVNGVNVPNGVKMNLERFKALYLDRHMTIKSLSDFNLSNLGRLKVCILAECNEMETIFDGGKSSDEPVSLMLEFLSVFYMKNLRNICQECCPFSSIKYIALHTCPMLTTIFTISTFISIPLLEEISVEDCPKVTTLIIHDSPEERRSAFSLPKLRVISLLYLPNLVNIFNGLRVEHALEEMIFYCCPKLQSLSRSELPWEYLKFIKGESMWWEALDWSVSEWGYAGRPKFLEQFFKPITMEADMKSPPAAHQETQLNEYHGTMYQGVSSSTELMTKLHLETPLLSLSGSKEGEAQKRKAVIEPIIFSPPLLKRKPNESFRRRTPQPSMKVIRTKNLDDGYSWRKYGQKEILGAKYTRGYYRCTYRNTHGCQATKQVQRFDEKPTTFEVNYRGTHTCTQKQDLIAESGDEEVFEDFIAKPDSSSATNKNDIFSWEEITAELEEPSQISFGSSGVGSSEIVDPSTPSNGSP >Vigun03g090000.1.v1.2 pep primary_assembly:ASM411807v1:3:7573338:7583116:1 gene:Vigun03g090000.v1.2 transcript:Vigun03g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFVSFHHEDINFITGCVLDPLRNRGIHVFVKGESKTFDLFQAIERSRLFIVVLSKNYASSICCLRELVAIINGVESSPRSVLPIFYGVHQSEVLFQNGCYGKAFSKHEERFREHKKRMEEVQTWREALTRVAVFRGLHMKNATGHGGFDFVQYAINIVGPEFSTPQDEAIYEKFEDIVQSKDGDEVSYKELRGLVRLRDFISLMLTYPVKLEEDGVLRSWISAFPEWKAELFSTGGYPVIYKPWSLLTEKALRCMKGFMPVEIMAEIESGERSIFNRDNDVILEGLLPLIVDLAVNQLIQTLFSGDYCARYIRLLTRNSAEKESVVSKIVSALEDKHNLFGIDKDFLMAVWINALTRETDAEVQVQEEINKIMGSISITEGDDMLTTLDTDEKKRRNRLLVIVVDADSNRKKLDLQKVQFPTGIVVLITTESSTQAVMDDGIASTMDLNIWTQDHLLPWKLFNTYVGSCISCSTVGSSTTIQTIAVEIVKKIHGHLLAIVLVAKHLRNVKDDKYWELVLDKLSSRNPFYDYQDCDRIGISRVMVNAFVNIIWEDIDDELKLCLQLSLPVRNIKNGVRDDILVSYWANILRYTQEVGEYKRQLQYYLEELLDCFVLLEFESGDVYLPIEAYDIIKSLHISQPSIIRHSALGLTEIGQWHSLIQLELIDNKICELPQSPDCPKLKVLLLQGNADLLDIPDSFFDHMPLLQHLDLSYTSIRDLPPSLTKLIQLKKLYLKGCDLFMEISPQIFQLKNLEELDLDGTLITHLPKDIQELINLQRLALCFDAYHHVLSRGKKGMQISNTLIIPPGVISNLTRLNYLSLDVDPEDEQWTENVNSVLLEILGLEKLKTVSIYVPETDLLELIPGTKSLNFRLVVGHHIRRLISRVPPELETKFKQCNYSMKFVNGVNVPNGVKMNLERFKALYLDRHMTIKSLSDFNLSNLGRLKVCILAECNEMETIFDGGKSSDEPVSLMLEFLSVFYMKNLRNICQECCPFSSIKYIALHTCPMLTTIFTISTFISIPLLEEISVEDCPKVTTLIIHDSPEERRSAFSLPKLRVISLLYLPNLVNIFNGLRVEHALEEMIFYCCPKLQSLSRSELPWEYLKFIKGESMWWEALDWSVSEWGYAGRPKFLEQFFKPITMEADMKSPPAAHQETQLNEYHGTMYQGVSSSTELMTKLHLETPLLSLSGSKEGEAQKRKAVIEPIIFSPPLLKRKPNESFRRRTPQPSMKVIRTKNLDDGYSWRKYGQKEILGAKYTRGYYRCTYRNTHGCQATKQVQRFDEKPTTFEVNYRGTHTCTQKQDLIAESGDEEVFEDFIAKPDSSSATNKNDIFSWEEITAELEEPSQISFGSSGVGSSEIVDPSTPSNGSP >Vigun05g303500.2.v1.2 pep primary_assembly:ASM411807v1:5:48603435:48612067:-1 gene:Vigun05g303500.v1.2 transcript:Vigun05g303500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCWHSLGVVPISQSQSLFAFSQTHGIFARQRVPCSLLQEMSVVEPSSQYQYQQQNYHTSISRNPSVGGDDDGDEEEGISKIGVPRQKHIPVSKSQLVDAILSIMFNQSQDDAHHFRLLTSCLDSILHAEHKSILEEMRSDYQLANPLQTHSSLTQLVNVPNVNTKDSDTLIDDNDNIAIQEDANLYDDQQSVFPYPALDLTALLRSLDIAATKKDYDSGSRVTIGTRFQHAFMKLLSNAQFEELSARDLMLTSALNTDYLLTLPIYVDWKRAYESNAIIFRRGYATEKQKGLLIVEKLDYLQSKILRGTFFVISKPLTKLGSWISELYENGSPHEIQNWTERLRLWLKELSVFQKSLLYNDPASDEHIGINQEPNAELPIWLAAQRAVARYEGILSPVGPRGRLLRRMLSKIGLIPPLPETPFEVHNDNNTPEPHLRPTFLSRISLNDIWRPATRKYCGNDPWKTLKTSISILFSQSVLQEPAFEELILLYTKEVGETNGNNKADVSSLQLKIYERIPIPDLAVIFPDKKLSFRIIDTVRLDVATILGLSAYFINYKFENVLSSPCSEKYYQTNG >Vigun05g303500.3.v1.2 pep primary_assembly:ASM411807v1:5:48603435:48612067:-1 gene:Vigun05g303500.v1.2 transcript:Vigun05g303500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCWHSLGVVPISQSQSLFAFSQTHGIFARQRVPCSLLQEMSVVEPSSQYQYQQQNYHTSISRNPSVGGDDDGDEEEGISKIGVPRQKHIPVSKSQLVDAILSIMFNQSQDDAHHFRLLTSCLDSILHAEHKSILEEMRSDYQLANPLQTHSSLTQLVNVPNVNTKDSDTLIDDNDNIAIQEDANLYDDQQSVFPYPALDLTALLRSLDIAATKKDYDSGSRVTIGTRFQHAFMKLLSNAQFEELSARDLMLTSALNTDYLLTLPIYVDWKRAYESNAIIFRRGYATEKQKGLLIVEKLDYLQSKILRGTFFVISKPLTKLGSWISELYENGSPHEIQNWTERLRLWLKELSVFQKSLLYNDPASDEHIGINQEPNAELPIWLAAQRAVARYEGILSPVGPRGRLLRRMLSKIGLIPPLPETPFEVHNDNNTPEPHLRPTFLSRISLNDIWRPATRKYCGNDPWKTLKTSISILFSQSVLQEPAFEELILLYTKEVGETNGNNKADVSSLQLKIYERIPIPDLAVIFPDKKLSFRIIDTVRLDVATILGLSAYFINYKFENVLSSPSCSEKYYQTNG >Vigun05g303500.1.v1.2 pep primary_assembly:ASM411807v1:5:48601289:48612067:-1 gene:Vigun05g303500.v1.2 transcript:Vigun05g303500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCWHSLGVVPISQSQSLFAFSQTHGIFARQRVPCSLLQEMSVVEPSSQYQYQQQNYHTSISRNPSVGGDDDGDEEEGISKIGVPRQKHIPVSKSQLVDAILSIMFNQSQDDAHHFRLLTSCLDSILHAEHKSILEEMRSDYQLANPLQTHSSLTQLVNVPNVNTKDSDTLIDDNDNIAIQEDANLYDDQQSVFPYPALDLTALLRSLDIAATKKDYDSGSRVTIGTRFQHAFMKLLSNAQFEELSARDLMLTSALNTDYLLTLPIYVDWKRAYESNAIIFRRGYATEKQKGLLIVEKLDYLQSKILRGTFFVISKPLTKLGSWISELYENGSPHEIQNWTERLRLWLKELSVFQKSLLYNDPASDEHIGINQEPNAELPIWLAAQRAVARYEGILSPVGPRGRLLRRMLSKIGLIPPLPETPFEVHNDNNTPEPHLRPTFLSRISLNDIWRPATRKYCGNDPWKTLKTSISILFSQSVLQEPAFEELILLYTKEVGETNGNNKADVSSLQLKIYERIPIPDLAVIFPDKKLSFRIIDTVRLDVATILGLSAYFINYKFENVLSSPSAILLDVVAISALIIYGSRVVLGYKQTWDRYQLLVNKTLNEKTLASGFGSVHFLLDASEQQQYKEAVLAYAILLNAEKGQVISQQTVGEKCERFLYEVFKVKVEMPIDKALNTLLRLGLATETCIDGKRGLLAIPCPKAYEALKERWNILLC >Vigun03g074500.1.v1.2 pep primary_assembly:ASM411807v1:3:6144295:6147732:1 gene:Vigun03g074500.v1.2 transcript:Vigun03g074500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHDSSDGLFKIRPRLADVTNCPSKRPFSLVSSDGGDSQFTKQMRLGGESLAKKKIQMQLGAQAHHSNEVLLQPKENQPILFPFCDDISSSYHKPCSPSEGSREQNLLGLKKYEFGEKDEGIAPIDCAVESGDKDICVVDNSGSPRCGAVQMPSISASNDSNFRGLKPCSGHKGDGASNPVTDAADIKSCTCSLCSKAAYIWSDLHYQDAKGRLSAIRKSQKEAKLVIQKFSGLEDTVMHGQHQSEESLNLELSLVHQWKSLFVQMQNMYAQESSHLESSFESLKDLRERCKTDLDSNDNSHSEKQ >Vigun03g074500.3.v1.2 pep primary_assembly:ASM411807v1:3:6144295:6147732:1 gene:Vigun03g074500.v1.2 transcript:Vigun03g074500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHDSSDGLFKIRPRLADVTNCPSKRPFSLVSSDGGDSQFTKQMRLGGESLAKKKIQMQLGAQAHHSNEVLLQPKENQPILFPFCDDISSSYHKPCSPSEGSREQNLLGLKKYEFGEKDEGIAPIDCAVESGDKDICVVDNSGSPRCGAVQMPSISASNDSNFRGLKPCSGHKGDGASNPVTDAADIKSCTCSLCSKAAYIWSDLHYQDAKGRLSAIRKSQKEAKLVIQKFSGLEDTVMHGQHQSEESLNLELSLVHQWKSLFVQMQNMYAQESSHLRSSASR >Vigun03g074500.2.v1.2 pep primary_assembly:ASM411807v1:3:6144295:6147732:1 gene:Vigun03g074500.v1.2 transcript:Vigun03g074500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHDSSDGLFKIRPRLADVTNCPSKRPFSLVSSDGGDSQFTKQMRLGGESLAKKKIQMQLGAQAHHSNEVLLQPKENQPILFPFCDDISSSYHKPCSPSEGSREQNLLGLKKYEFGEKDEGIAPIDCAVESGDKDICVVDNSGSPRCGAVQMPSISASNDSNFRGLKPCSGHKGDGASNPVTDAADIKSCTCSLCSKAAYIWSDLHYQDAKGRLSAIRKSQKEAKLVIQKFSGLEDTVMHGQHQSEESLNLELSLVHQWKSLFVQMQNMYAQESSHLLHHVFSLGASTGFAL >Vigun09g139700.1.v1.2 pep primary_assembly:ASM411807v1:9:30138793:30140732:1 gene:Vigun09g139700.v1.2 transcript:Vigun09g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGETQITPSHVSDEEANLFAMQLASASVLPMVLKSAIELDLLEIIAKAGPGVHLSPSHIASQLPTQNPEAPVMLDRILRLLASYNILTFTLRTLPDGKVERLYGLAPVAKYLVKNEDGVSLAALNLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMADHSTITMKKILETYTGFEGLKSLVDVGGGTGAIINMIVSKYPNIKGINFDLSHVIEDAPSYPGVEHVGGDMFVSVPKADAIFMKWICHDWSDEHCLKFLKNCYDALPENGKVIVAECILPVAPDSSLATKGVVHIDVIMLAHNPGGKERTEKEFEALAKGSGFQGFRVLCSAFNTYIMEFLKKV >Vigun04g175700.1.v1.2 pep primary_assembly:ASM411807v1:4:39981286:39984947:1 gene:Vigun04g175700.v1.2 transcript:Vigun04g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEAHNNFLAIRLLYRLLEDNSIALQNSNPKHLVEWARNLLKSLLDVAVESVFETHLKWQESNSLVAANISQSSVKYLTCSKRTSEKDEQPQLRLISRKQLSELSKFSISDDGGTKCKTLCCIGEESNKMQPNTVKATDLSNDNDSLPNTESIHSKQQQNDVNPLYGDGVDAKESLQMFDAKDDEQNEENNALLLNRIHEAQRNSNAAGQVEHPDQKGDFSDDLNSAGHLTSHEAANSDSPVIQRKSGVSGSQISSGKSLLKGHRLMSQKTFKLSFKDENSISASAFEESFFPGKESLSQSQMANQNSWLHNSAESAKNIDVPKQIGTQMVSRGECLSSHERIQNSERNIAIDSVKPTDGLVSGDAYSETRASKGIQGSRVPLNQDNKNKKHSMLVSKTNRESSARKNSVAWSKTDQNPREMSSESSYTQKSVRSRTISAMREKPPPHQMIMKPTLLDQRSSEIKVNSHKHRDRRKTLNTSHLEPRNTRVLQQHLEQEESSSSSSSKSDSDSSRWSSQQGSPNSSSSDSEDYSLSDGTQCPSSGRIVDYEGSSEETNNSYLEMDDDPSRFGSFKSYRHHYERHHKETTGRLRRLRNKLGLIFHHHHHHHHHHDDHVNTHSREGHGLTTWNHLQNVFHHKDNHGVLTKKVEKTKGGAAAKVLSRNQVGQFNRLAEGVLRHIRHSKKPKPPKLDVVKQSSNKPHGHNQKKLRWLQILRKQQQGEKMKNQRRANALKNY >Vigun08g096000.1.v1.2 pep primary_assembly:ASM411807v1:8:23060823:23061579:1 gene:Vigun08g096000.v1.2 transcript:Vigun08g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGFGLPEAYMARKLFMEKRKKCAREDEEKSTQMKISTIKGASEGKTANMYTIEF >Vigun01g247900.1.v1.2 pep primary_assembly:ASM411807v1:1:41546314:41548356:1 gene:Vigun01g247900.v1.2 transcript:Vigun01g247900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSRVFAVMFVVAVAWCAVEGADEMATKCGKVIQDVIPCMGFASGKEAKPAEKCCDATSSIKQSNPECLCYIIQQVHKGSPEVKQMGIQEDKLLQLPSLCSVKNASITDCPKLLGLAPNSPDAAIFTNSSKVTPATSNTPSTTPQSQNASHGSMVVPSIPMLISLTVVLVAISPVFVSIYT >Vigun01g156700.2.v1.2 pep primary_assembly:ASM411807v1:1:33888190:33891406:1 gene:Vigun01g156700.v1.2 transcript:Vigun01g156700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSPSDNNYTPPLSIAQMESSGSFNSSVVNAADTDDSCSYGDAVAYNFAILNNNTASSSAGESSVRTIQLFPATDSGASPTWLDFSSKVDQHGAAAEQRITPRQPLVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELEAARAYDRAAIKCNGREAVTNFEPSIYEGEVISQSDNEDGKQNLDLNLGIAPPSYSDSQIKNTPSNGSGLKGPPPSWDDIPVEKRFMFEHSGSRSLNVQPSHGISRVSGHHPSAWNGSNFFPIYEETAIEKRMEAGPMPNWAWQVQGPYGGATLTPPFSTAASSGFPSTIIPSAADTQIHFPNMTFLHSHFPPSITNPGTISPFFIGS >Vigun01g156700.1.v1.2 pep primary_assembly:ASM411807v1:1:33888239:33891406:1 gene:Vigun01g156700.v1.2 transcript:Vigun01g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNADSPSDNNYTPPLSIAQMESSGSFNSSVVNAADTDDSCSYGDAVAYNFAILNNNTASSSAGESSVRTIQLFPATDSGASPTWLDFSSKVDQHGAAAEQRITPRQPLVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKVYCCRYIYLGLFDSELEAARAYDRAAIKCNGREAVTNFEPSIYEGEVISQSDNEDGKQNLDLNLGIAPPSYSDSQIKNTPSNGSGLKGPPPSWDDIPVEKRFMFEHSGSRSLNVQPSHGISRVSGHHPSAWNGSNFFPIYEETAIEKRMEAGPMPNWAWQVQGPYGGATLTPPFSTAASSGFPSTIIPSAADTQIHFPNMTFLHSHFPPSITNPGTISPFFIGS >Vigun04g131300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32843599:32844462:1 gene:Vigun04g131300.v1.2 transcript:Vigun04g131300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALGEEPGDEPGEEPGEEPEEEPGVKPGEEPGEEPGVKPGEEPGDEPGEEPGEEPEEEPGVKPGEEPGDEPGEESGEEPGVKPGEEPGDEPGEEPGEEPEEEAGVKPGEEPRDELGEEPREEPRDEPGEEPGEDTLSP >Vigun04g086850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:14724975:14730500:-1 gene:Vigun04g086850.v1.2 transcript:Vigun04g086850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEPDVQRHPLEDAENECPISAEVVLGQENQTGNPCDSSVEDAIKIIDTENECTGPNVCPVDHSHIKINDECQTSNIFHDDKFHNDNVSSGELCENPCATSVNISMTSTDVKNEDEIYVAPKIGMEFESEDNAYKCYSMYGVLKGFSIRKDFVNKSRINGAVVSRRYTCHRQGYRPNKNGTYLRKFQQETRTGCLAHMTIARQPNGKFQVTHFETEHNHEFVTPCTAHMLPSQKKLSVAQAIEANLTISGLDETPKLGMGFDSDEDAYEFYNAYAGRVGFSVRKDYVNRSKIDGAVASRRFTCFREGFRQKDKRDMNAKKPRKDTRIGCLAQMVISRQPDGRYHVTHFEEKHNHELVDACRVHMLRSQQKGLTATQIEKNIMDGLPTSTSETNCKARLDYDPVNREYKLPFKCSSNMKEGDIEKIKLHFQIKQTKNPSFFYAFQVDADDQITNIFWVDTKMIVDYTDFGDVVCFDSSYKYYKDSRPFVPFLGINNHQQMTIFGAALLQNESVESLKWLFTVFLEAMSGRKPKTILTDLDVVTAEAINVILPQTNHRVCVWHVYQDALKHLSHVSAGSDSFVNDLRSCLFHHEEEDYFVNEWNALLDKYNLWENKWLQQIFGSRNRWAIAYGRQYFCADIESMLLRENLTGNLKRYLKHDSDILPLFKYLVKVVTDWHYKELEANYDMNQRMPPLLGDIVTLKHARAPYTPKIFELFQKEYEASLNLVIKHCTENGSLYNYKVSVYEQAREYSVTFDSSDETISCSCMKFEYVGMLCCHALKVLDYVNIRIVPSRYILKIWTKDARV >Vigun05g190400.2.v1.2 pep primary_assembly:ASM411807v1:5:37008959:37023498:-1 gene:Vigun05g190400.v1.2 transcript:Vigun05g190400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAGLVEASGSRFTSLELIGQGSFGDVYKAFDRELNKEVAIKVIDLEESEDEIDDIQKEIAVLSQCRCPYITEYYGAYLNQTKLWIIMEYMAGGSVADLLQAGHPLDEMSIACILRDLLHAVDYLHSEGKIHRDLKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNTDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDDHFSRPMKEFVALCLKKVPAERPSAKELLKDRFIRNARKSSKLAERIRERPKYQIKEEDLETPRKASRGIGEGSDTVKVARDVRGEENNRSSDQGKTLKSAGWDFNIGGSQGTGTFRNVSRPPQFREKKAEASHNQLTQRKAPESGYQGGPANRRALSESLESSSGRDRVPHHVEHRDNHTEDDELSGNGSGTVVIRSPKGSRSSVFGDQSSQYSSSHSSFDDASTSGTVVLRGQHDEPGSPQPLRSRLGLNDRNSNSSMEDSSANLAEAKAAIQGGLRKVGSRERLARGKINNDRQEDKKDGKASSFDSSRGMSRSHHANNDEGSAKIMSSSVPLSALLVPSLKEAIADDPEGAVLRAVINSLINMEGSKPKSCEALLRQLLQRLASSKEDSLKDLQRLAGELFSKTKSAEETRNAQADNRKKQQSKEYNPNSNLSPLARFLLSRWQGQTSRDLNSS >Vigun05g190400.1.v1.2 pep primary_assembly:ASM411807v1:5:37008825:37023543:-1 gene:Vigun05g190400.v1.2 transcript:Vigun05g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAGLVEASGSRFTSLELIGQGSFGDVYKAFDRELNKEVAIKVIDLEESEDEIDDIQKEIAVLSQCRCPYITEYYGAYLNQTKLWIIMEYMAGGSVADLLQAGHPLDEMSIACILRDLLHAVDYLHSEGKIHRDLKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNTDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDDHFSRPMKEFVALCLKKVPAERPSAKELLKDRFIRNARKSSKLAERIRERPKYQIKEEDLETPRKASRGIGEGSDTVKVARDVRGEENNRSSDQGKTLKSAGWDFNIGGSQGTGTFRNVSRPPQFREKKAEASHNQLTQRKAPESGYQGGPANRRALSESLESSSGRDRVPHHVEHRDNHTEDDELSGNGSGTVVIRSPKGSRSSVFGDQSSQYSSSHSSFDDASTSGTVVLRGQHDEPGSPQPLRSRLGLNDRNSNSSMEDSSANLAEAKAAIQGGLRKVGSRERLARGKINNDRQEDKKDGKASSFDSSRGMSRSHHANNDEGSAKIMSSSVPLSALLVPSLKEAIADDPEGAVLRAVINSLINMEGSKPKSCEALLRQLLQRLASSKEDSLKDLQRLAGELFSKTKSAEETRNAQADNRKKQQSKEYNPNSNLSPLARFLLSRWQGQTSRDLNSS >Vigun07g005900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:467347:468637:1 gene:Vigun07g005900.v1.2 transcript:Vigun07g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLINAAIPSSRPYQVYFFAKNKYVRLYYTPGDTGDEIMTPLQLVSSGFSSLAGTAFAEDGIDCSFDTEGSKAYIFSSDICAYIDYAPHSSKDKILVGPTTIAQMFPTLRNTVFAEGIDAAFRSTKGKEVYLFKGIDYCRIAYDSKELVGSIGNITDGFPHLKGTIFESGIDASFGSVKKGFAYLFKEDKYTLLRESDGTFNQVRDILAGWPCLRGVFPVTE >Vigun05g202200.1.v1.2 pep primary_assembly:ASM411807v1:5:39032567:39035259:-1 gene:Vigun05g202200.v1.2 transcript:Vigun05g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSTLDSTQNAEAQLKRPCVSYAPTMWRDTFLPYASKSKEMDNNVKQQAEKLKEKVKSIFQSSMNQNIVQKLNFIDSVQRLGVSYHFQQEINQELEQIYNTSTKNNTINEDGDYHFIALLFRLLRQQGYGISSAVFNKFKNGQGIFNETLASDVQGLCSLYEASYLRIRGDDVLEEACDFSKTKLKSLEKQLSPSVAAQINHCLRRPLYKSVPRFETRHYMTLFEQDPSHNETLLIFAKQDFNLLQKLHQKEIGRITKWGKDSNFVTLVPYARDRSVEGYLWSLAMSYKPEYSNARTFVGKLIHVICLLDDTYDVYGTVQELELFTKAIQRWDISYIRSLPECMKVVFETVLQLCEEIELLTRENGKSSFVVPRFKQAVFNLTKGYMVEAKWCHEHYIPTYDEYKANGVLTSCLPCMITSFISLGEFATESVLDWIFSDPRIIAAASVIGRVMDDMASHKFEQERVHVASAVECCMKQYRISEAEAYNLIHKDVEDCWKVINEEYMKSSDIPKGVLDCVVNLARISEVSYENHEDKYTNPELLKDCVSSLLLDPVAMD >Vigun05g288000.1.v1.2 pep primary_assembly:ASM411807v1:5:47498155:47502571:-1 gene:Vigun05g288000.v1.2 transcript:Vigun05g288000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSLGSNSNTQTDQHPLLMEQPENHNGRQHVIDITMNGEALTMSSHIDQHSEMHLPHNGDQPAGDTQDSTHQASSSSASRLNSRNSASLRRGEGYGHRGRSPLNSGLWISVELFVTVSQIIASIVVLSLSRNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNQSNEQDSSQPSQGSSGSNPPDRTYTAIYVSHVSDEENGNATQSATRNTIIPGAFTSRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHTSPSDAPQLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGIREDFSHNRGATVESINALPIFKFKLKNNENVGDQDANAVMDEGGILAAGTEKERAISGEDAVCCICLAKYADDDELRELPCSHVFHVVCVDKWLKINASCPLCKTEVGTNNGESPSAWESSTH >Vigun05g288000.2.v1.2 pep primary_assembly:ASM411807v1:5:47498155:47502571:-1 gene:Vigun05g288000.v1.2 transcript:Vigun05g288000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSLGSNSNTQTDQHPLLMEQPENHNGRQHVIDITMNGEALTMSSHIDQHSEMHLPHNGDQPAGDTQDSTHQASSSSASRLNSRNSASLRRGEGYGHRGRSPLNSGLWISVELFVTVSQIIASIVVLSLSRNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNQSNEQDSSQPSQGSSGSNPPDRTYTAIYVSHVSDEENGNATQSATRNTIIPGAFTSRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHTSPSDAPQLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGIREDFSHNRGATVESINALPIFKFKLKNNENVGDQDANAVMDEGGILAAGTEKERAISGEDAVCCICLAKYADDDELRELPCSHVFHVVCVDKWLKINASCPLCKTEVGTNNGESPSAWESSTH >Vigun05g235600.1.v1.2 pep primary_assembly:ASM411807v1:5:42882671:42886332:-1 gene:Vigun05g235600.v1.2 transcript:Vigun05g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLLSPPHFPSSSSPTTTKTTSTTTNSSPSPSSSSSFSRNAFLRPHLSLLALLLTLFRKSFLPRKTISSVMDIGSPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASASVFGVSTESMQLSYDSRGNSVPTILLLMQRHLYAQGGLQVEGIFRINADNGQAEHVRDQLNMGVVPEGIDVHCLAGLIKAWFRELPTGILDSLSPEQVMQCQTEEECAEIVRHLPHTEASLLDWAINLMTDVVQHEHVNKMNARNIAMVFAPNMTQMADPISALMYAVQVMNFLKTLVLRTLRERKDSVVESNPRFYAEPSDGNGSQRLLESLQEDTHAENEVSQGNIVLEKTGLECSPESVQNNSTEGESVTLTNSCENLVSNEELYCEFPPVGNMGKGKSGQSSKSNGRKESKKTRSILP >Vigun05g235600.2.v1.2 pep primary_assembly:ASM411807v1:5:42882710:42886332:-1 gene:Vigun05g235600.v1.2 transcript:Vigun05g235600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLLSPPHFPSSSSPTTTKTTSTTTNSSPSPSSSSSFSRNAFLRPHLSLLALLLTLFRKSFLPRKTISSVMDIGSPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSARCVSERDASVFGVSTESMQLSYDSRGNSVPTILLLMQRHLYAQGGLQVEGIFRINADNGQAEHVRDQLNMGVVPEGIDVHCLAGLIKAWFRELPTGILDSLSPEQVMQCQTEEECAEIVRHLPHTEASLLDWAINLMTDVVQHEHVNKMNARNIAMVFAPNMTQMADPISALMYAVQVMNFLKTLVLRTLRERKDSVVESNPRFYAEPSDGNGSQRLLESLQEDTHAENEVSQGNIVLEKTGLECSPESVQNNSTEGESVTLTNSCENLVSNEELYCEFPPVGNMGKGKSGQSSKSNGRKESKKTRSILP >Vigun10g028200.1.v1.2 pep primary_assembly:ASM411807v1:10:3454524:3456980:-1 gene:Vigun10g028200.v1.2 transcript:Vigun10g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDNKGLVIGIDLGTTYSCVAVWQQQNNRVEIIHNDQGNNTTPSCVAFTDHQRLIGDAAKNQAAANPENTIFDAKRLIGRKYSDPLVQKDKMLWPFKVVAGVNDKPMISVKYKGQKKKLCAEEVLSMVLSKMRDIAEAYLEQPVKSAVITVPAYFNDSQRSSTTDAGDIIGLNVIRIINEPTAAAIAYGLDKRNDCVGKRNIFVFDFGGGTFDVSLLTISNKVFQVKATGGNTHLGGEDIDNKMVKYFLEEMKRKNKVDLSGNPRALRRLKNACERVKRTLSCVVTTDIEVDCLFQGIDFRSSISRAKFEEINMDIFEECMETVDRCLRDANMDKNNVHDIVLVGGSSRIPKVQELLQHFFNGKDLCHSLNPDEAVAYGAAVQAALLSGGIKNVPDLVLKDVTPLSLGVLVSEDVMSVVIPRNTSIPVKKKKQYKTTIDNQSLVSINVYEGERARASDNNLLGSFVISGFPPAPRGYLFDVTFVLNDNGILTVTGEEKSTGNRNEITITNVKGRLSTAEINIMIQEAAKYKSEDEKFLKKAKTMNDLDYHIYKIRKDLKKEDISSKLSSKEMEDINFTISRATDMLDGLIKIEDIIALEDCLKELKAIFKRITVMEKVE >Vigun05g165433.1.v1.2 pep primary_assembly:ASM411807v1:5:27082184:27083121:-1 gene:Vigun05g165433.v1.2 transcript:Vigun05g165433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQFSIIHLQDEVVGFVDRAFAILYDDDLQRQWTLRDEEGNKHVVAYNKNLQKPMLIGGWTELRHIYDLHDFHTIYFGYIGDSCFHITIFPSKCKPLSIARFLKRIQADQPLFNGPKLHFKIFLNPNQCNASHLDLPADFGNYLRQGSFKYIYLYGPRKTVKCKLLLRNHPKKSSKIGSGWKEFCTAHGFHQTIDLVFEVDHMKSNQNVKVLTYCNF >Vigun03g218300.1.v1.2 pep primary_assembly:ASM411807v1:3:36367630:36374982:1 gene:Vigun03g218300.v1.2 transcript:Vigun03g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKLQGVKNNLRISASLQDLSSYRNIDPEHGLLRDALNASFSKTKPLQLPNPVRRKCIAPIAIVAFLLLLIFILLYTFYSHQASPKYYVVLDSGSTGTRVYVYKAEVQQPHSTNLPIAIQSLKDGLRKNPASGRAYDRMETEPGLDKLVRNVTGLKTALNPLLVWAQTQIPEASHSSTSLFLYATAGVRRLPFDDSKWLLDNAWKVLKGSPFVCRRNWVKIISGTEEAYFGWIALNYDSGNIGVKPRKETYGALDLGGSSLQVTFEGYNQQDFNSETSLYVRIGSVNHHLTAYSLAGYGLNEAFDKSVARVFKEFGHSVADAVKGDVEVKHPCLQSGYKERYTCSHCAALEKGGESPKVEGNENVLEKKEGLKTTVTLVGVPNWQECSALAKVAVNLSEWSDVSPGLDCEIQPCALRDNLTRPMGHFYVISGFFVVYRFFNLSSEATLEDVLEKGREFCDKRWDVAKNSVAPQPFIEQYCFRAPYIASLLREGLHITDKSITVGSGGITWTLGVALLEAGRAYSVRFGLRGFGLLQMKMNIPFLVPILILSFIILLCALSWVVNWMPRFFRRQYLPLFRHNSGSSASVLNIPSPFRFQRWSPINSGDRIKTPLSPRAADSQGRPSNLGQGLGDNSDNIQLMESPFHPSAGNFLHSYSSNNLGQLQVDSSSIGAFWSSHRSQTRLQSRRSQSREDLHSSLAETHMVKV >Vigun03g218300.2.v1.2 pep primary_assembly:ASM411807v1:3:36367630:36375032:1 gene:Vigun03g218300.v1.2 transcript:Vigun03g218300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKLQGVKNNLRISASLQDLSSYRNIDPEHGLLRDALNASFSKTKPLQLPNPVRRKCIAPIAIVAFLLLLIFILLYTFYSHQASPKYYVVLDSGSTGTRVYVYKAEVQQPHSTNLPIAIQSLKDGLRKNPASGRAYDRMETEPGLDKLVRNVTGLKTALNPLLVWAQTQIPEASHSSTSLFLYATAGVRRLPFDDSKWLLDNAWKVLKGSPFVCRRNWVKIISGTEEAYFGWIALNYDSGNIGVKPRKETYGALDLGGSSLQVTFEGYNQQDFNSETSLYVRIGSVNHHLTAYSLAGYGLNEAFDKSVARVFKEFGHSVADAVKGDVEVKHPCLQSGYKERYTCSHCAALEKGGESPKVEGNENVLEKKEGLKTTVTLVGVPNWQECSALAKVAVNLSEWSDVSPGLDCEIQPCALRDNLTRPMGHFYVISGFFVVYRFFNLSSEATLEDVLEKGREFCDKRWDVAKNSVAPQPFIEQYCFRAPYIASLLREGLHITDKSITVGSGGITWTLGVALLEAGRAYSVRFGLRGFGLLQMKMNIPFLVPILILSFIILLCALSWVVNWMPRFFRRQYLPLFRHNSGSSASVLNIPSPFRFQRWSPINSGDRIKTPLSPRAADSQGRPSNLGQGLGDNSDNIQLMESPFHPSAGNFLHSYSSNNLGQLQVDSSSIGAFWSSHRSQTRLQSRRSQSREDLHSSLAETHMVKV >Vigun03g351200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55255543:55258258:1 gene:Vigun03g351200.v1.2 transcript:Vigun03g351200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARQGTASMASSSRSLPDFKKSIKLKYVKLGYHYLITHGMYLCLTPLVVLIAAQLSTFSFQDFYDIWEHLQYNLISVILCSTLLVFLSTLYFLTRPRPVYLVNFSCYKPEESRRCAKRTFIDHSRMTGSFTEENLEFQRKILERSGLGETTYLPEAVLNIPPNPSMEEARKEAEAVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLSAMIVNHYKLRGNIRSYNLGGMGCSAGLISIDLAKDLLQANPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAVLLSNRSSDRRRSKYRLITTVRTHKGADDKCFSCVTQEEDANGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGKKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSPWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTIDSAKEKSPWIDEIDQFPVDVPRVSSF >Vigun03g351200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55255526:55258258:1 gene:Vigun03g351200.v1.2 transcript:Vigun03g351200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARQGTASMASSSRSLPDFKKSIKLKYVKLGYHYLITHGMYLCLTPLVVLIAAQLSTFSFQDFYDIWEHLQYNLISVILCSTLLVFLSTLYFLTRPRPVYLVNFSCYKPEESRRCAKRTFIDHSRMTGSFTEENLEFQRKILERSGLGETTYLPEAVLNIPPNPSMEEARKEAEAVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLSAMIVNHYKLRGNIRSYNLGGMGCSAGLISIDLAKDLLQANPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAVLLSNRSSDRRRSKYRLITTVRTHKGADDKCFSCVTQEEDANGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGKKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSPWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTIDSAKEKSPWIDEIDQFPVDVPRVSSF >Vigun03g150300.1.v1.2 pep primary_assembly:ASM411807v1:3:15673588:15687790:-1 gene:Vigun03g150300.v1.2 transcript:Vigun03g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVDTDEDSDIKGSMWDLDQKLDQPMDEEAGRLKNMYREKKFSALLLLRLSFQSLGVVYGDLGTSPLYVFYNTFPNGVKDQEDVIGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKIKTLPNQHRTDEELTTYSRSTFHERSFAAKSKRWLEEQESARSVILILVLVGTCMVIGDGILTPAISVLSAVGGIKVNQPRMSSGVVVLVAVVILVGFFSMQHYGTDKVSWLFAPIVLLWFLLIGSIGIFNIWKYGSSVLKAFSPVYIYRYFRKGGKEGWTSLGGIMLSITGTEALFADLAHFPVSAVQLAFTLVVFPCLLLAYSGQAAYLMNNLSHSQDAFYRSIPDKIYWPVFIVATLAAIVASQATITATFSIIKQALALGCFPRVKVVYTSKKFLGQIYVPDINWILMVLCIAVTAGFENQNQIGNAYGTAVVIVMLVTTFLMILIMILVWRCHWILVLIFTSLSLIVECTYFSSVLFKVDQGGWVPLAIAGAFLVIMSVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHIFRCVARYGYKDLHKKDDDFERKLFDNLFTFVRLESMMEGCSDSDEYSLYGQQIEHPRDGLLHNNGSTVSSNMDLTMSSVDSIVPVRSPHHMNITVRSSGQTSNQTEVDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Vigun03g150300.2.v1.2 pep primary_assembly:ASM411807v1:3:15673588:15687724:-1 gene:Vigun03g150300.v1.2 transcript:Vigun03g150300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVDTDEDSDIKGSMWDLDQKLDQPMDEEAGRLKNMYREKKFSALLLLRLSFQSLGVVYGDLGTSPLYVFYNTFPNGVKDQEDVIGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKIKTLPNQHRTDEELTTYSRSTFHERSFAAKSKRWLEEQESARSVILILVLVGTCMVIGDGILTPAISVLSAVGGIKVNQPRMSSGVVVLVAVVILVGFFSMQHYGTDKVSWLFAPIVLLWFLLIGSIGIFNIWKYGSSVLKAFSPVYIYRYFRKGGKEGWTSLGGIMLSITGTEALFADLAHFPVSAVQLAFTLVVFPCLLLAYSGQAAYLMNNLSHSQDAFYRSIPDKIYWPVFIVATLAAIVASQATITATFSIIKQALALGCFPRVKVVYTSKKFLGQIYVPDINWILMVLCIAVTAGFENQNQIGNAYGTAVVIVMLVTTFLMILIMILVWRCHWILVLIFTSLSLIVECTYFSSVLFKVDQGGWVPLAIAGAFLVIMSVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHIFRCVARYGYKDLHKKDDDFERKLFDNLFTFVRLESMMEGCSDSDEYSLYGQQIEHPRDGLLHNNGSTVSSNMDLTMSSVDSIVPVRSPHHMNITVRSSGQTSNQTEVDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Vigun10g009600.1.v1.2 pep primary_assembly:ASM411807v1:10:950489:954398:1 gene:Vigun10g009600.v1.2 transcript:Vigun10g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGDDAEDPSQLIAAEQLKAAAAPKKEQGKPGPRGGAVAQQSKPAQLPSKPVPPTQAVREAKNETSFGGRGGGRGGGRGYGRGRGGGSGFGRDFSNDENSSAPANQGSFEGEAGNPSERRGYGAPRGAYRGGGGGRGGRGRFSNGEVGDDGRPRRAFERHSGTGRGNEFKRDGAGRGNWGNQSDEIAQVTEEVADETEKNFGDEKPVGEEDAADGNKDSPANENEEKEPEDKEMTLEEYEKVLEERRKALQALKTETRKVDIKEFASMQPLSNKKDNDEIFIKLGSEKDKRKDALEKEERSKKSVNINEFLKPAEGERFYNTSGRGRGRGRGGGGRGGGYSGNAGSNVAAPSIEDPGQFPTLGGK >Vigun05g112200.5.v1.2 pep primary_assembly:ASM411807v1:5:11764684:11766045:-1 gene:Vigun05g112200.v1.2 transcript:Vigun05g112200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLLGVMGSPFVCRVHIALKFKGVEYKFLEENLGNKSELLLKYNPVHKKVPVLVHGEKSVSESLVIVEYIDETWKNNPILPSDPYQRALTRFWAKFIDDKVVGAAWKSVFSVDEKEREKNVQEALEGLKFLEDEIKGKKFFGGEEIGLVDIGGVFIAFWIPIIQEIAGLQLFNSEKFPNLYKWSQELTKHSVVKEALPPREPLFAFFKGRYENLIASA >Vigun05g112200.4.v1.2 pep primary_assembly:ASM411807v1:5:11764684:11766045:-1 gene:Vigun05g112200.v1.2 transcript:Vigun05g112200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVPQTMATNQEEVKLLGVMGSPFVCRVHIALKFKGVEYKFLEENLGNKSELLLKYNPVHKKVPVLVHGEKSVSESLVIVEYIDETWKNNPILPSDPYQRALTRFWAKFIDDKVVGAAWKSVFSVDEKEREKNVQEALEGLKFLEDEIKGKKFFGGEEIGLVDIGGVFIAFWIPIIQEIAGLQLFNSEKFPNLYKWSQELTKHSVVKEALPPREPLFAFFKGRYENLIASA >Vigun05g112200.1.v1.2 pep primary_assembly:ASM411807v1:5:11764661:11766109:-1 gene:Vigun05g112200.v1.2 transcript:Vigun05g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLLGVMGSPFVCRVHIALKFKGVEYKFLEENLGNKSELLLKYNPVHKKVPVLVHGEKSVSESLVIVEYIDETWKNNPILPSDPYQRALTRFWAKFIDDKVVGAAWKSVFSVDEKEREKNVQEALEGLKFLEDEIKGKKFFGGEEIGLVDIGGVFIAFWIPIIQEIAGLQLFNSEKFPNLYKWSQELTKHSVVKEALPPREPLFAFFKGRYENLIASA >Vigun05g112200.2.v1.2 pep primary_assembly:ASM411807v1:5:11764661:11766109:-1 gene:Vigun05g112200.v1.2 transcript:Vigun05g112200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLLGVMGSPFVCRVHIALKFKGVEYKFLEENLGNKSELLLKYNPVHKKVPVLVHGEKSVSESLVIVEYIDETWKNNPILPSDPYQRALTRFWAKFIDDKVVGAAWKSVFSVDEKEREKNVQEALEGLKFLEDEIKGKKFFGGEEIGLVDIGGVFIAFWIPIIQEIAGLQLFNSEKFPNLYKWSQELTKHSVVKEALPPREPLFAFFKGRYENLIASA >Vigun05g112200.3.v1.2 pep primary_assembly:ASM411807v1:5:11764658:11765921:-1 gene:Vigun05g112200.v1.2 transcript:Vigun05g112200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQEEVKLLGVMGSPFVCRVHIALKFKGVEYKFLEENLGNKSELLLKYNPVHKKVPVLVHGEKSVSESLVIVEYIDETWKNNPILPSDPYQRALTRFWAKFIDDKVVGAAWKSVFSVDEKEREKNVQEALEGLKFLEDEIKGKKFFGGEEIGLVDIGGVFIAFWIPIIQEIAGLQLFNSEKFPNLYKWSQELTKHSVVKEALPPREPLFAFFKGRYENLIASA >Vigun02g104301.1.v1.2 pep primary_assembly:ASM411807v1:2:25881548:25882831:-1 gene:Vigun02g104301.v1.2 transcript:Vigun02g104301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLIVETRCRLEKMQGSNDHCAEDTSRNNGDWRRFNIVAYQDKADDVDWIFYFETDVQ >Vigun05g238600.1.v1.2 pep primary_assembly:ASM411807v1:5:43164369:43164709:1 gene:Vigun05g238600.v1.2 transcript:Vigun05g238600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPFRPREKIIEKQKYFQSVHKHTYLKGPYDKITSVAIPLALAASSLYLIGRGIYNMSHGIGKKE >Vigun05g238600.2.v1.2 pep primary_assembly:ASM411807v1:5:43163325:43164946:1 gene:Vigun05g238600.v1.2 transcript:Vigun05g238600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPFRPREKIIEKQKYFQSVHKHTYLKGPYDKITSVAIPLALAASSLYLIGRGIYNMSHGIGKKE >Vigun05g230200.1.v1.2 pep primary_assembly:ASM411807v1:5:42350286:42354367:1 gene:Vigun05g230200.v1.2 transcript:Vigun05g230200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRINGASDLRIFCTVVFILCVFPQALTLRESSPSSPTAQINSNSILVALLDSHYTELAELVEKAMLLQKLEETVAAHNITIFAPTNEALDRNLDSDFKRFLLEPANLHSLQTLLLSHIIPTRIGFQTLFRRVTTLSNHQIRLSENLTTVDQARVTHPDVLARPDGVIHAIDRLLLPRSVEDDFNRRRTLRSISAVKPEGAPEVDPRTHRLKKPPPPTNPGSPPALPIYDAMSPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIHTLLHYGGYNEMADILVNLTSLAVEMGRLVSEGYILTVLAPNDEAMAKLTTEQLSEPGAPERIMYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPMEEEEEAERVKTATQSGQPAAKVVVKHRRGKLLEAACWMLGTFGQHSRFTSCQ >Vigun05g230200.3.v1.2 pep primary_assembly:ASM411807v1:5:42350910:42354186:1 gene:Vigun05g230200.v1.2 transcript:Vigun05g230200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRINGASDLRIFCTVVFILCVFPQALTLRESSPSSPTAQINSNSILVALLDSHYTELAELVEKAMLLQKLEETVAAHNITIFAPTNEALDRNLDSDFKRFLLEPANLHSLQTLLLSHIIPTRIGFQTLFRRVTTLSNHQIRLSENLTTVDQARVTHPDVLARPDGVIHAIDRLLLPRSVEDDFNRRRTLRSISAVKPEGAPEVDPRTHRLKKPPPPTNPGSPPALPIYDAMSPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIHTLLHYGGYNEMADILVNLTSLAVEMGRLVSEGYILTVLAPNDEAMAKLTTEQLSEPGAPERIMYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPMEEEEEAERVKTATQSGQPAAKVVVKHRRGKLLEAACWMLGTFGQHSRFTSCQ >Vigun05g230200.2.v1.2 pep primary_assembly:ASM411807v1:5:42350286:42354367:1 gene:Vigun05g230200.v1.2 transcript:Vigun05g230200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRINGASDLRIFCTVVFILCVFPQALTLRESSPSSPTAQINSNSILVALLDSHYTELAELVEKAMLLQKLEETVAAHNITIFAPTNEALDRNLDSDFKRFLLEPANLHSLQTLLLSHIIPTRIGFQTLFRRVTTLSNHQIRLSENLTTVDQARVTHPDVLARPDGVIHAIDRLLLPRSVEDDFNRRRTLRSISAVKPEGAPEVDPRTHRLKKPPPPTNPGSPPALPIYDAMSPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIHTLLHYGGYNEMADILVNLTSLAVEMGRLVSEGYILTVLAPNDEAMAKLTTEQLSEPGAPERIMYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPMEEEEEAERVKTATQSGQPAAKVVVKHRRGKLLEAACWMLGTFGQHSRFTSCQ >Vigun06g133900.7.v1.2 pep primary_assembly:ASM411807v1:6:26027118:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.2.v1.2 pep primary_assembly:ASM411807v1:6:26027118:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.8.v1.2 pep primary_assembly:ASM411807v1:6:26027118:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.3.v1.2 pep primary_assembly:ASM411807v1:6:26027443:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.4.v1.2 pep primary_assembly:ASM411807v1:6:26027444:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.6.v1.2 pep primary_assembly:ASM411807v1:6:26027467:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.1.v1.2 pep primary_assembly:ASM411807v1:6:26027118:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFCPTKTQIVGQIQKINYSHIDFRFWRNLIQTERVSNSYCVSESASLSTSLSLFCRISSHNMGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.5.v1.2 pep primary_assembly:ASM411807v1:6:26027468:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.9.v1.2 pep primary_assembly:ASM411807v1:6:26027504:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGKIFSRLCAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun06g133900.10.v1.2 pep primary_assembly:ASM411807v1:6:26027468:26029962:1 gene:Vigun06g133900.v1.2 transcript:Vigun06g133900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMEILPRSFNHCRTLCITSFLFCTMFNNMIIYAGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDRLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKPSFK >Vigun07g176300.1.v1.2 pep primary_assembly:ASM411807v1:7:29147811:29151241:-1 gene:Vigun07g176300.v1.2 transcript:Vigun07g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGADATSKQQKPQLPNPFDLIDSEILEKVYVTHLHDDDKCDVDVLFDVVSSVVLKTRLTESKAYQTVFQPEFRTMKLISCQMITTPRGERYVHQATMCILHHLRNYSWDAKALVTLAAFALEYGNLLHLSDVATPDNLLTNSLKQLNQIQIRKDPATILVALVMKVLNDIQEWTRLSSFGYDILDVPSLSDALQEVPVVVYWIIASLIAATGNLVGVSDYVLSHFVERLSSSASRFQEHLKLSREQKGYADEYYKRKKAFSNPKDIVELLRLLIQHNGSKVQIYDGSIKTTTDIEIFDQKYVLLFISSLDKIEDEISLLNSLYDRLQENPSEIIKNYKKEDFKILWIPIVTFWDDKQRLKFNALKDTIKWYAVEFFTELPGTDLIKEKFNYRGKPIIPVLTPLADTLNEDAMDLIFQWGIDAFPFRKSDGIDLTLKWKWFWDATKKANLGIQQVTGDRYIFIYGGADKKWIQDFAVAVEKTKGHAAILNTDTIIDHYELGKDDPRVVPRFWIEIERKRLKKHKDVVDCEIQKIVRTLLCLKQDQQGWAILTKGSNVRVLGHGEPMRQTLVEFDAWKDKVFQKEGFDVAFDEYYKIKLNELYARQQCAIVKNNADVLVTITCPNPTCGRVMEVTSVNYKCCHRDAPNSGNI >Vigun03g360000.6.v1.2 pep primary_assembly:ASM411807v1:3:56286583:56293178:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun03g360000.2.v1.2 pep primary_assembly:ASM411807v1:3:56286398:56293301:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun03g360000.5.v1.2 pep primary_assembly:ASM411807v1:3:56286795:56293178:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKLIQRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun03g360000.4.v1.2 pep primary_assembly:ASM411807v1:3:56286795:56293178:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKLIQRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun03g360000.1.v1.2 pep primary_assembly:ASM411807v1:3:56286514:56293270:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKLIQRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun03g360000.3.v1.2 pep primary_assembly:ASM411807v1:3:56286504:56293178:1 gene:Vigun03g360000.v1.2 transcript:Vigun03g360000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLPSSSPSSTANRDASSGTETEDGVFTVAVALAKDAALHFQSGKFAECAEVLNQLLQKKQDDPKVLHNIAIAEFFRDGCSDPKRLLEVINGVKRKNDELALALGDQGESVNNVGNKVVLGSKGSNASAHQFSGSNSTGTIYSDEFDSSVAMLNIAIIWFHLHDYAKTLSVLEPLFQNIEPIDETTALHICLLLLDASLACHDASKSADVLTYLEKAFGVSSVSQGDSGNTAQQQAANLVTKSAPVAISASATDASSSDLGSSANASENHLSRALSEDTLDYEAMILDMGGQNLARPMGPSSNDISRALVDRFSTVDLKLKLQLYKVRFLLLTRNLKLAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAVKLLMASNNRTDTAFSSIFNNNLGCIYYQLGKYQTSSLFFSKALTNCSSLRKDQSLKLPTFSQDNSLLIIYNCGVQYLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSSRVPSDKLGVGVCVVGIGKWRQLVVEDQIPGKGHMDSSEEDDSCPSEDGRLKLSMSLARQCLLNALNLLDSNSANCLKSGLPSNSSVEENDGSEVSPSKNSNLKTLHGIDSKAFSVGVGLGQVNANGDTKEQKGGNSQELVQNSLSYYENVRKRENQLVKQAVLANLAYVELELDNPLKALSVARSLLELPECSRIYIFLGHVYAAEALCLLNRPKEAAEHLSFYLSGGNNVDLPFSLEDCEKWQPERTAEFEELNVGSVAAKNSSLEGAQSIVFLKPEEARATIYANFAVMSAMQGEFEKSSILITQALSILPNSPEATLTAVYLDLLLGKPQEALTKLKRCSRIRFLPSGVTLNKSS >Vigun02g199500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33408862:33410753:-1 gene:Vigun02g199500.v1.2 transcript:Vigun02g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRILVGNLAVKSPASSKPSSFSGKVHPSTSSLFCKIQLKGVDCEDSLSSSNVCSVPLITECDPQPRSLAASFDFSKPKILKASKKAQIKISVYKGAATPSCIFTSAKLIGKISIPLDLTLAESRACTFHKGWLPLTKTTNQAQLLHLTVRADPDPRFVFRFDGEPECSPQVFQIKGDVKQPVFTCKFSFRDKNPAHFPSPNANNTTAERKGWSITVHDLSGSPVAAASMATPFVPSPGSQRVSRSNPGAWLIIRPDGDGTWKPWGRLEAWREPNNSNAVGYRFQILPATADPVTLAGSTISSQHGGKFTIDATSGVTPLSTPRGSWDLGSGSGSGSSSDFGFEQNSFFNKGFVMSATVDGEGKCSKPEVEVGVQHVSCTEDAAAFVALAAALDLSMDACKLFSHKLRKELRQ >Vigun07g086500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13135797:13138678:-1 gene:Vigun07g086500.v1.2 transcript:Vigun07g086500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLSMENHHPSTLLSMDSSASSHEELDLEMNRQIILSRPPDINLPLSAERSPPPQPWNSEPCDILDVGLGTQGYETESFINLPKAGRKCAKRVDSIWGAWFFFSFYFKPSLNDKSKAKIVRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFARSHRMQRKHYRGLSNPQCVHGIEVVSAPNLMTLDEDDRKRWIELTGRDLNFTIPPEASDFSSWRTLPNTDFELERPPPSIKNGPNAHPKKLLNGSGLNLSTHLSNHSNGDGLDLSPVSSKKRKDFFPHGNEEECYLAVNPPSDRLPDIEMHPSEPHWLNDFSGVIKSVYGPVTAAKTIYEDEQGYLIIISLPFVDLPSVKVSWRNTLTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEILVPKHRVGPEEHEVRVCLRPHLGGNDLKLT >Vigun07g086500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13135797:13138678:-1 gene:Vigun07g086500.v1.2 transcript:Vigun07g086500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLSMENHHPSTLLSMDSSASSHEELDLEMNRQIILSRPPDINLPLSAERSPPPQPWNSEPCDILDVGLGTQGYETESFINLPKAGRKCAKRVDSIWGAWFFFSFYFKPSLNDKSKAKIVRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFARSHRMQRKHYRGLSNPQCVHGIEVVSAPNLMTLDEDDRKRWIELTGRDLNFTIPPEASDFSSWRTLPNTDFELERPPPSIKNGPNAHPKKLLNGSGLNLSTHLSNHSNGDGLDLSPVSSKKRKDFFPHGNEEECYLAVNPPSDRLPDIEMHPSEPHWLNDFSGVIKSVYGPVTAAKTIYEDEQGYLIIISLPFVDLPSVKVSWRNTLTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEILVPKHRVGPEEHEVRVCLRPHLGGNDLKLT >VigunL060715.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:97878:98078:1 gene:VigunL060715.v1.2 transcript:VigunL060715.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun09g128000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:28418196:28422384:1 gene:Vigun09g128000.v1.2 transcript:Vigun09g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALYRGSLFLIFSFIFFFTGTSSSSSSSSALPTTDPSHQLLSFKASLPNPALLPNWLPNQSPCSFTGITCNQTHLTSIDLTSIPLNTNFSIVATYLLTLDHLQFLTLKSTNLSGPPTIPLSHSKCTSSLSALDLSQNTLSGSLNDMSFLASCSNLQSLNLSSNLLEFNSSSHWKLNLRVADLSNNKISGPGIVLWLLNPDIEHLALKGNKITGDVDFSASTSLRHLDLSSNNFSVAIPSFGDCSSLTYLDLSANKYFGDIASTLSPCKALLYLNVSSNQFTGLLPSLPLGSLQFVYLAANHFHGLIPPALADLCSTLVQLDLSSNNLTGDLPRAFGACSSLESLDISSNLFSGALPMEVLTQMSSLRELAVAFNEFGGPVPVSLSKLSSLELLDLSSNNFSGPILGTLCGGDAGNNLKELYLQNNRFTGFIPPTLSNCSNLVALDLSFNFLTGTIPPSLGSLSKLRDLIIWLNQLHGEIPQELMYMKSLENLILDFNELSGNIPSGLVNCTKLNWISLSNNRLSGPIPAWIGKLSNLAILKLSNNSFSGQIPPELGDCNSLIWLDLNTNSLTGPIPPELSKQSGNIAVNFINGKTYVYIKNDGSKECHGAGNLLEFAGISQQQLNRISTKNPCNFTRVYGGKLQPMFNHNGSMIFLDISHNMLSGSIPKEFGVMYYLTILNLGHNNVSGSIPQELGKMKNLNILDLSYNRLEGQIPQSLTGLSLLTEIDLSNNMLSGTIPETGQFDTFPAPRFQNNSNLCGVPLAACGSDSANNPSAQHMKSHRRQASLAGSVAMGLLFSLFCIFGLIIIAIETRKRRKKKEAALEAYMDGNSHSGPANVSWKHTSTREALSINLATFEKPLRKLTFQDLLDATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDQKKAGIKLNWSIRRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKISDIFDQELMKEDPNLEMELLQHLKIACSCLDDRPWRRPTMIQVMAMFKQIQVGSGIDSQSTIATDEEGFNAVEMVEMSIKEAPELSKH >Vigun05g120800.1.v1.2 pep primary_assembly:ASM411807v1:5:13249823:13256671:-1 gene:Vigun05g120800.v1.2 transcript:Vigun05g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIALAAIMSLCVIPASIMVNRIVHEPYMDEIFHIPQAKQYCKGNFGSWDRNITTPPGLYYLSLAHVASLFPGLYFVQAVSSFSDMCSVAILRSVNGVLAIVCSVILYNIIMHLKPTLTKRKAMLQAVVLSLYPLHWFFTFLYYTDVAAVTTVLAMYLASLKKNYWFSALIGAVAVVIRQTNVIWVLLVACTAIINISVTHGKQNTKSIKSDVSVKHGLEYATGTNSEGFNLRKRKIVKSGNTIERSSSSDSSPSSYSGFADELWTILLTLWYMKWEILISFSPFLMVVVAFIVFVYWNGSIVLGAKEAHAVTPHFSQMLYFSLVSVLAQAPMQITITQAVDLFRMFRKSRPLLFFQMFLALVVGMLSVHFFSVAHPYLLADNRHYPFYLWRKVIMAHWSIKYLLVPVYICSWFSIINMLGKSRSKIWVLAYFLATAAVLVPAPLIEFRYYTIPFYFLVLHCENSDDQSWILTGILYIGVNIFTMAMFLFRPFHWDHERGIQRFIW >Vigun05g120800.3.v1.2 pep primary_assembly:ASM411807v1:5:13249823:13256671:-1 gene:Vigun05g120800.v1.2 transcript:Vigun05g120800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAILRSVNGVLAIVCSVILYNIIMHLKPTLTKRKAMLQAVVLSLYPLHWFFTFLYYTDVAAVTTVLAMYLASLKKNYWFSALIGAVAVVIRQTNVIWVLLVACTAIINISVTHGKQNTKSIKSDVSVKHGLEYATGTNSEGFNLRKRKIVKSGNTIERSSSSDSSPSSYSGFADELWTILLTLWYMKWEILISFSPFLMVVVAFIVFVYWNGSIVLGAKEAHAVTPHFSQMLYFSLVSVLAQAPMQITITQAVDLFRMFRKSRPLLFFQMFLALVVGMLSVHFFSVAHPYLLADNRHYPFYLWRKVIMAHWSIKYLLVPVYICSWFSIINMLGKSRSKIWVLAYFLATAAVLVPAPLIEFRYYTIPFYFLVLHCENSDDQSWILTGILYIGVNIFTMAMFLFRPFHWDHERGIQRFIW >Vigun05g120800.2.v1.2 pep primary_assembly:ASM411807v1:5:13249823:13256671:-1 gene:Vigun05g120800.v1.2 transcript:Vigun05g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIALAAIMSLCVIPASIMVNRIVHEPYMDEIFHIPQAKQYCKGNFGSWDRNITTPPGLYYLSLAHVASLFPGLYFVQAVSSFSDMCSVAILRSVNGVLAIVCSVILYNIIMHLKPTLTKRKAMLQAVVLSLYPLHWFFTFLYYTDVAAVTTVLAMYLASLKKNYWFSALIGAVAVVIRQTNVIWVLLVACTAIINISVTHGKQNTKSIKSDVSVKHGLEYATGTNSEGFNLRKRKIVKSGNTIERSSSSDSSPSSYSGFADELWTILLTLWYMKWEILISFSPFLMVVVAFIVFVYWNGSIVLGAKEAHAVTPHFSQMLYFSLVSVLAQAPMQITITQAVDLFRMFRKSRPLLFFQMFLALVVGMLSVHFFSVAHPYLLADNRHYPFYLWRKVIMAHWSIKYLLVPVYICSWFSIINMLGKSRSKIWVLAYFLATAAVLVPAPLIEFRYYTIPFYFLVLHCENSDDQSWILTGILYIGVNIFTMAMFLFRPFHWDHERGIQRFIW >Vigun02g127400.1.v1.2 pep primary_assembly:ASM411807v1:2:27952535:27954456:-1 gene:Vigun02g127400.v1.2 transcript:Vigun02g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSKNLFSSFTSASSWFEVYAAFSTLMILLRTAINDLIPHQFRSFVISKLEGFFKYQPHSIVSIKINQFWDEGNHERNELFDAALAYLPTKITHTYKSLKVGRPQNQKHIELAVDGSEDVMDEFEGVKFTWKLDEGSNEESSFRKLNFVLAFNEKQRQRVLDRYIPHVFKTYEAMKNERRILKLYSRIRGYWNESELSHPATFDSLALSPELKNDIIDDLDRFLRRKDFYKKVGKPWKRGYLLYGPPGTGKSSLIAAIANHLKFDVYDLELSSIDSNSELMRAMRDASHRCIIVIEDIDCNKEVHARSKPNATSEDRDSESENEGSKMKSEKFTLSGLLNYMDGLCSSGGEERIVIFTTNHKEKIDHALLRPGRMDMHIHLSFLKGKAFRVLASNYLGIEGDHPLLHQIDDLLEKIEITPAVVGEQLLRYEDPDLSLEELVQFLKQKVKVKDRNCD >Vigun10g169100.1.v1.2 pep primary_assembly:ASM411807v1:10:38804048:38807799:1 gene:Vigun10g169100.v1.2 transcript:Vigun10g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVERKKLVKGRVVLIRKSVLQTISTVKGVVATGIKTINDAVELDFTKSVSFKLISRTKSENPSNPAGKVGKETHLENNVSVLRTLGERKEEFDVYFEWDDKDMGTPGAFYVKNEMNDEFFLVSMTLEYPTQQITELEDQNHIHFDCNSWVHNHKSYKRIFFANIPYLPGKTPVQLQTYRKEELKNLRGDGSGKREKWDRIYDYDFYNDLGFVDGDGAEDHPVLGGNENYPYPRRVRTGRKPIYKNKNGDKYEEQDDEDYYVPRDENFSQGKRTEFTQIGMKGLGGRVVPLLTSLYLKSTSNEFNGFEEVLKMYEGGVNLPLSITGNATPPSALNVPTPDVIKESKFAWVTDEEFAREMIAGVNPVVIRLLKEEDFFVPANLVCNCTQPSTVTKKDLEANMDDVKVDEAIKNRKLFVLDYYETFMAYLTKINDLPSIKAYATRTFLILKDDGTLKPLAIELSKPYICPCGLQLVETTVVLPAERGVESTIWLFAKAHVVVNDTNYHQLISHWLNTHAVIEPFAIATHRNLSVLHPIYKLLHPHFRDTININALARKSLINAGSIIEQTFLPGPYSMEMSSAVYKNWVFTDQALPKDLIKRGLAFEDGNGDLKLVIEDYPYAVDGLQIWNATKLWVQDYVALYYSDDNAVKEDTELQEWWKEVVEKGHGDLKGQWLKMESSKVLVDTCTTIIWIASALHAAVNFGQYPYGGYIINRPTQSRRWIPEPGTQEYEEMTKNPQKAFLKTITPKYQTIIDLSVMELLSTHASDEVYLGRRDSPNWTSHQRAQELFERFTEHLEKIEEEISDRNKKKELRNRTGPINLRYTVLLPTSGPGITYRGIPNSVSI >Vigun07g091800.3.v1.2 pep primary_assembly:ASM411807v1:7:14313570:14314996:-1 gene:Vigun07g091800.v1.2 transcript:Vigun07g091800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun10g021600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2584942:2585367:-1 gene:Vigun10g021600.v1.2 transcript:Vigun10g021600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFPSSIRQLKMPVMKRYVLRLFISLKYITANVVDRSNGRIVATSSTAEHSIKESLECGRSCNAKAATVVGEVLARRLKVEGLDEGEGRGIHANIGKEVGKKGFKNQTKVWAVMNALKNNGVKLILDDENDDKNSWPSSS >Vigun10g044500.1.v1.2 pep primary_assembly:ASM411807v1:10:6406025:6410171:1 gene:Vigun10g044500.v1.2 transcript:Vigun10g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGCRRCPQHTTHLQPQQHCLHCPLRRHCHHCPLHNPHFHAVNLLKPQFQPCFAPFQKMHSNFEPLHSHHHQQQQQPIPSNSPIPQELEHIDHDGEEEDDDPVFVLTDEWREFFAKSEARRKLEKKKKQGKKGKK >Vigun04g198900.2.v1.2 pep primary_assembly:ASM411807v1:4:42336986:42340015:-1 gene:Vigun04g198900.v1.2 transcript:Vigun04g198900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTELLSGGTLRKYLLNMRPKCLDMPVAVGFALDIARAMECLHSHGIIHRDLKPDNLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNTRPSAEDLPEDLALIVTSCWKEDPSDRPNFSEIIQMLLRYLSTISPPEPVLHLRMTSSDNVVLPPESPGTSALMLGRDDSGETSTDKAEDRPKGFFFCFNQCY >Vigun04g198900.1.v1.2 pep primary_assembly:ASM411807v1:4:42336986:42340015:-1 gene:Vigun04g198900.v1.2 transcript:Vigun04g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNEVHSVEEFNLESKWLVDPKQLFVGPKIGEGAHAKVYEGKYKNQNVAVKIINKGETPEEISRRQARFGREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLSGGTLRKYLLNMRPKCLDMPVAVGFALDIARAMECLHSHGIIHRDLKPDNLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNTRPSAEDLPEDLALIVTSCWKEDPSDRPNFSEIIQMLLRYLSTISPPEPVLHLRMTSSDNVVLPPESPGTSALMLGRDDSGETSTDKAEDRPKGFFFCFNQCY >Vigun07g064000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7403196:7405307:-1 gene:Vigun07g064000.v1.2 transcript:Vigun07g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDLLVKLLGTCCSKISVTQLHSQCLKVGLAHDSFVATKLNVLYARYASLFHAHRLFEETTCRTAYLWNALLRSYFMEGRWVETLSLFYQMSANAVSSEERPDNYTLSIALKSCAGLRKLEQGKMIHGFLKKGKIDNDMYVGSALIELYSKCEQMNDAVNVFTEYSKPDVVLWTSIVTGYEQNGCPELALAFFSRMVVLEQVSPDPVTLVSAASACAQLSDFNTGRSVHGFVKRRGFDTKLCLANSMLNMYGKLGSIKSATNLFREMPNKDIISWSSMIACYADNGAETNALDLFNEMIDKRIEPNRVTVVSALRACASSSNLEEGTHIHKLAINYGFELDIIVSTALIDMYMKCFSPAKAIDLFNRMPNKDVVSWAVLFSGYAEVGMAHMSLGFFCNMLSSGTRPDAIALVKILTASSELGILQQAVCLHAFVTKSGFENNEFVGASLIELYAKCSSIDDANKFFKGLAHKDVVTWSSMISAYGFHGQGEEALKLFYEMTNHSDVQPNEVTFVSILSACSHAGLIEDGIKMFHVMVNEYQLMPNSEHYGIMVDLLGRMGELDRALDLINGMSMQAGPHVWGALLGACRIHENIKMGEFAAQNLFLLDPNHAGYYILLSNIYCVDNNWLDAAKVRTLIKENRLKKIVGQSMVEIKDKVYSFIAGDRLHCESDQIYEMLRKLDVKMREEGYDRPKLSQEIQF >VigunL003000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:7849:8499:-1 gene:VigunL003000.v1.2 transcript:VigunL003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun10g014600.4.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1598736:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAILNCIAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFN >Vigun10g014600.2.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1599524:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGSSFVAVRRIPQGETCHSNSAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFN >Vigun10g014600.3.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1598883:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAILNCIAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNAVMKATRRQLEKEILVEDITRLEDLPSYKLLSR >Vigun10g014600.6.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1598734:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVGPHPAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFN >Vigun10g014600.1.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1599523:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGSSFVAVRRIPQGETCHSNSAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNAVMKATRRQLEKEILVEDITRLEDLPSYKLLSR >Vigun10g014600.5.v1.2 pep primary_assembly:ASM411807v1:10:1593886:1598734:-1 gene:Vigun10g014600.v1.2 transcript:Vigun10g014600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVGPHPAEVVAGSAAWLGRGLSCVCVQRRDSDASNSFDLTLAQEECLQRLQRRIDVPYDSSIIEHQDALRALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLYFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITYMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNAVMKATRRQLEKEILVEDITRLEDLPSYKLLSR >Vigun06g014900.20.v1.2 pep primary_assembly:ASM411807v1:6:6993251:7033571:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYSFSAAIVYTQCDPIRKPLSFYKAKTKTKTKPQNLSCSFRHPYNKLRVSALKSDPSESHQTGVADDEGAEKLPLRQNDANFDSGWLPAFPHVLVASMSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.7.v1.2 pep primary_assembly:ASM411807v1:6:6993846:7033640:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.27.v1.2 pep primary_assembly:ASM411807v1:6:6993359:7033640:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMVYILFCNWSWTRNWHHYIRT >Vigun06g014900.14.v1.2 pep primary_assembly:ASM411807v1:6:6993910:7033639:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMVYILFCNWSWTRNWHHYIRT >Vigun06g014900.19.v1.2 pep primary_assembly:ASM411807v1:6:6993359:7033571:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYSFSAAIVYTQCDPIRKPLSFYKAKTKTKTKPQNLSCSFRHPYNKLRVSALKSDPSESHQTGVADDEGAEKLPLRQNDANFDSGWLPAFPHVLVASMSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.9.v1.2 pep primary_assembly:ASM411807v1:6:6993910:7033645:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMVYILFCNWSWTRNWHHYIRT >Vigun06g014900.26.v1.2 pep primary_assembly:ASM411807v1:6:6993251:7033639:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.22.v1.2 pep primary_assembly:ASM411807v1:6:6993251:7033571:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYSFSAAIVYTQCDPIRKPLSFYKAKTKTKTKPQNLSCSFRHPYNKLRVSALKSDPSESHQTGVADDEGAEKLPLRQNDANFDSGWLPAFPHVLVASMSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.3.v1.2 pep primary_assembly:ASM411807v1:6:6993359:7033645:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.23.v1.2 pep primary_assembly:ASM411807v1:6:6993942:7033571:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYSFSAAIVYTQCDPIRKPLSFYKAKTKTKTKPQNLSCSFRHPYNKLRVSALKSDPSESHQTGVADDEGAEKLPLRQNDANFDSGWLPAFPHVLVASMSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.21.v1.2 pep primary_assembly:ASM411807v1:6:6993919:7033571:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYSFSAAIVYTQCDPIRKPLSFYKAKTKTKTKPQNLSCSFRHPYNKLRVSALKSDPSESHQTGVADDEGAEKLPLRQNDANFDSGWLPAFPHVLVASMSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.25.v1.2 pep primary_assembly:ASM411807v1:6:6993942:7033621:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.5.v1.2 pep primary_assembly:ASM411807v1:6:6993359:7033645:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMVYILFCNWSWTRNWHHYIRT >Vigun06g014900.15.v1.2 pep primary_assembly:ASM411807v1:6:6993846:7033645:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.28.v1.2 pep primary_assembly:ASM411807v1:6:6993942:7033639:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMVYILFCNWSWTRNWHHYIRT >Vigun06g014900.24.v1.2 pep primary_assembly:ASM411807v1:6:6993359:7033639:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun06g014900.13.v1.2 pep primary_assembly:ASM411807v1:6:6993846:7033640:-1 gene:Vigun06g014900.v1.2 transcript:Vigun06g014900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIFGYHIGVMNGPIVSIARELGFEGNSLIEGLVVSIFIVGAFIGSISSGSLVDRLGCRLTFQIDSIPLILGAIISAQAHSLNEVIGGRFLVGLGIGVNTVLVPIYISEVAPTKYRGALGSLCQIGTCLGIITSLILGIPSENDPHWWRTMLYIASIPGILVAIGMQFTVDSPRWLCKAGRINDAKTVIRELWGESEIDSAIEEFQSVCKSDGSDSDSRWSEILEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQEVGVESGAVASLFVGLTNFAGALCALYLIDREGRQKLLIGSYLGMAISMFLVSSAVFCPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIISELSSTRTRGKIMGLSFSTHWVCNFIVGLFFLELVEKFGVAPIYASFGAVSLSAATFAYYFIVETKGRSLEEIERSLNVKA >Vigun09g023900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1906941:1907462:1 gene:Vigun09g023900.v1.2 transcript:Vigun09g023900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFTLMSRRGTAEEKTAKSDPIYRNRLLNMLVNRILKHGKKSLAYQILYRAMKKIQPKIETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun01g010300.1.v1.2 pep primary_assembly:ASM411807v1:1:1164841:1167328:-1 gene:Vigun01g010300.v1.2 transcript:Vigun01g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTPYLHSSLHKMKAIAGTTTMALADEERGYNGKITCIVILSCMVAATGGIIYGYDIGISGGVTSMVPFLEKFFPKVYLKMKQDSNISNYCKFDSQLLTTFTSSLYIAGLVASFFASSVTRTFGRKPSILTGGAAFLIGAALGGAALNIYMLILGRVMLGVGIGFANQSVPLYLSEMAPPRYRGAINIGFQLCVGIGVLSANLTNFGTEKIKDGWGWRISLAMAAVPASMLTLGSLFLPETPNSIIQHSRDHHKAKQMLQRIRGTNDVEQELEDLVEASHISNSIKHPFKNILHRKYRPQLVMAIAIPFFQQFTGINVISFYAPILFLTIGLGESASLLLSAIVTGVVGTTSTFISMLMVDKLGRRVLFISGGIQMFLSQVIVGSIMATQLGDHGEISKTYAYLILLLICIYVAGFAWSWGPLGWLVPSEIFQMEIRSAAQSITVAVNFLFTFIVAQTFLSMLCHFKSGTFFFFGGWVVVMTVFVYLLLPETRNVPIEQMDRVWREHFFWKRIVGEI >Vigun06g009200.2.v1.2 pep primary_assembly:ASM411807v1:6:4353927:4362350:-1 gene:Vigun06g009200.v1.2 transcript:Vigun06g009200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMALHGYCYNNIKLGNQRRALHNLSFPGSILVRKLPNNRRSKSDKLENDKFPRFLVEMRQTELPPSKYGTNGRVAKMVPANEIVKRKTMSENKVEMGSSSKQAVNGASLVRRDPSPALTKTVKSRTSKELPPLEELKVLPSDEGFSWANENYNSVQRSIDVWSFVFSLRIRVLLDNAKWAYLGGFTEEKQKRRRQKTAAWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKRARGFIESELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETLGGPTRDWVGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTASKVLALEYVPGIKINEVDMLTSRGYDRLRISSRTIEAYLIQILKTGFFHADPHPGNLAVDVDEAIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQCLIDLGALQPTGDLSSVRRSVQFFLDNLLSQTPDQQQTLSAIGEHKISLSVFHLPSPLSLEHFLRLKVWSFLFPVLFPESVIILPKYFYSFNIL >Vigun06g009200.3.v1.2 pep primary_assembly:ASM411807v1:6:4353928:4362350:-1 gene:Vigun06g009200.v1.2 transcript:Vigun06g009200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMALHGYCYNNIKLGNQRRALHNLSFPGSILVRKLPNNRRSKSDKLENDKFPRFLVEMRQTELPPSKYGTNGRVAKMVPANEIVKRKTMSENKVEMGSSSKQAVNGASLVRRDPSPALTKTVKSRTSKELPPLEELKVLPSDEGFSWANENYNSVQRSIDVWSFVFSLRIRVLLDNAKWAYLGGFTEEKQKRRRQKTAAWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKRARGFIESELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETLGGPTRDWVGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTASKVLALEYVPGIKINEVDMLTSRGYDRLRISSRTIEAYLIQILKTGFFHADPHPGNLAVDVDEAIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQCLIDLGALQPTGDLSSVRRSVQFFLDNLLSQTPDQQQTLSAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGLVLSLPCSFP >Vigun06g009200.1.v1.2 pep primary_assembly:ASM411807v1:6:4342629:4362350:-1 gene:Vigun06g009200.v1.2 transcript:Vigun06g009200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMALHGYCYNNIKLGNQRRALHNLSFPGSILVRKLPNNRRSKSDKLENDKFPRFLVEMRQTELPPSKYGTNGRVAKMVPANEIVKRKTMSENKVEMGSSSKQAVNGASLVRRDPSPALTKTVKSRTSKELPPLEELKVLPSDEGFSWANENYNSVQRSIDVWSFVFSLRIRVLLDNAKWAYLGGFTEEKQKRRRQKTAAWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKRARGFIESELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETLGGPTRDWVGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTASKVLALEYVPGIKINEVDMLTSRGYDRLRISSRTIEAYLIQILKTGFFHADPHPGNLAVDVDEAIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQCLIDLGALQPTGDLSSVRRSVQFFLDNLLSQTPDQQQTLSAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGLGYILNPDFSFVKIAAPYAQELLDIRQKQRTGPQLVEEIRKQADDARTNSISMPYRVQRIEEFVKQLESGDLKLRVRVLESERAARKATILQMATMYSVLGGTLLNLGITLSSQGNQTFANGSFLGAGIFAALLLRSMQRVKKLDKFEKMM >Vigun06g178100.1.v1.2 pep primary_assembly:ASM411807v1:6:29810299:29812479:1 gene:Vigun06g178100.v1.2 transcript:Vigun06g178100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQPALNQFLLLKPCKSTPLFTGIPVVDLTHPDAKNLIVEACRDYGFFKLVNHGVPLELVANLENEALRFFKKPQSEKDRAGPPDPFGYGSKRIGPNGDVGWVEYLLLNTNPDVISPKSLSIFRENPHHFRAVVENYITAVKKMCYVVLELMAEGLGIAQRNSLSRLLKDEKSDSCFRMNHYPPCPEVPALNRNLVGFGEHTDPQIISVLRSNSTSGLQICLTDGTWVSVPPDQTSFFINVGDALQVMTNGRFKSVKHRVLADTTKSRLSMIYFGGPALSEKIAPLPSVMLKGEESLYKEFTWLEYKKAAYTSRLADNRLAPFQKSAAD >Vigun05g008200.2.v1.2 pep primary_assembly:ASM411807v1:5:703267:707801:-1 gene:Vigun05g008200.v1.2 transcript:Vigun05g008200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQGTFFSILVITITLNRTPTSCADDEHYLKCSSPFHCANLKNLSYPFWGYGRPPYCGHPAFNLQCTGEVATLTLMSETYRVLEVNDSDHRFKLVRTDYWNNICPTILRNITVDGTFLNYGSDSQNLYFYYDCPSFPQSDTVFPWFNCSVNGTQMINYFVTEGMLENSESGEIMAACKSRVVVPILESEAKLLERNSTTENLKAVLDNGFDAEWDANNSLCEKCQNSGGYCGYNPSSGEFSCYCSNGSSPSTCKNSGKRNNVGVAIGATVGGVAALVVILGCVYCMVQRRRKTYQKSRSMELFIAPTSSGDTFASTTITSQSLSSYQSSKTDPVARSYYFGVQVFTYEELEEATKNFDASRELGEGGFGTVYKGELKDGRTVAVKRHYESNSRRIMQFMNEVQILARLRHKNLVTLFGCTSKHSRELILVYEFIPNGTVAYHLQGRGSKSTLLPWPVRLNIAVETAEALAYLHANDVIHRDVKTNNILLDDQFCVKVADFGLSRDFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDINRNRSDVNLSNMAIKKIQNQELHELVDPYLGFEKDHGIRRMTTAVAELAFRCLQQERDMRPCMDEVVEILRGIKSDGVGAREETETLDIRTDEVILLKKAHSPVSPNSVVDNWISGSSVSNSS >Vigun03g428500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63327801:63328834:1 gene:Vigun03g428500.v1.2 transcript:Vigun03g428500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESSSQKPNSSRTRRASNTTISAAGSLVKLLPTGTVFVFQFLNPVATNSGQCNTTNRWLSAILLLLCGFSCAFSSFTDSYTGSDKQRHYGFVTRKGIWPSPASDSVDLSGYRLGFADFVHAALSLLVFAVLGLLDTNTVHCFYASFESTQKSLLQVLPTVVGVFAGGVFMIFPNTRHGIGYALTSDPNETTPKSSDTTDPQKNSVENA >Vigun11g166000.11.v1.2 pep primary_assembly:ASM411807v1:11:37317888:37322521:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGGVGYTMHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISK >Vigun11g166000.8.v1.2 pep primary_assembly:ASM411807v1:11:37317888:37322817:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHAGGVGYTMHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISKVAHSFGRGYTHSNKKLGGIFERFQLSNN >Vigun11g166000.6.v1.2 pep primary_assembly:ASM411807v1:11:37317785:37323094:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISK >Vigun11g166000.3.v1.2 pep primary_assembly:ASM411807v1:11:37317785:37323070:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISKVAHSFGRGYTHSNKKLGGIFERFQLSNN >Vigun11g166000.4.v1.2 pep primary_assembly:ASM411807v1:11:37317785:37323070:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISK >Vigun11g166000.10.v1.2 pep primary_assembly:ASM411807v1:11:37317888:37322817:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHAGGVGYTMHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISK >Vigun11g166000.2.v1.2 pep primary_assembly:ASM411807v1:11:37317785:37323070:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISKVAHSFGRGYTHSNKKLGGIFERFQLSNN >Vigun11g166000.9.v1.2 pep primary_assembly:ASM411807v1:11:37317888:37322521:-1 gene:Vigun11g166000.v1.2 transcript:Vigun11g166000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGGVGYTMHIGLKKAKSPGRSFDIHLGKMRDSTVLICHQNNSGIVEASAPSVEKDFASTVKAATEAPPSSFEFYVWSDVGVSLHVDLNLSPTDWTNRFRNEVCISENMHENKSRSLWQDLSDLAENSTQGKSSFLWSTNSGQIDEHDSQARSPSSLKLTKDGATDLDQQNTDGSPLICNSLTPCSMTVKVKDNLQEKHSTLSAEVRNGALNTFICGAESFARDKSRKITDSNATNMPFIKSICDSVVKSPSYPGILELQNSKPDNECFEDCALLNDSCFANPSSVCAGASVSSSVGVQNSEVINCRKNGSVSLYDNDDSLDLSDPKSTFPDMEQGRLVKSEIIFETDSNNFTSLTDEWEGGRIVDRRESSECSQFGDPPKRSNLDCDNRDSTMEHSKKRKSRDSEIQVSNGTTRVLRSMKNSGMKLPRRSMRLISKVAHSFGRGYTHSNKKLGGIFERFQLSNN >Vigun06g178700.1.v1.2 pep primary_assembly:ASM411807v1:6:29865651:29869303:-1 gene:Vigun06g178700.v1.2 transcript:Vigun06g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFNPPLTAFPATNKLHLPSPIAARKGQIFVVRSDAGMNHLLSRGAHKSRTPKLLTNAVATKADSSTSSKAGHELLLFEALREGLEEEMERDPRVCVMGEDVGHYGGSYKVTKGLAPKFGDLRVLDTPIAENAFTGMGIGAAMTGLRPIVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFNDYLDAPIICLSSQDVPTPYAGTLEEWTVVQPAQIVAAVEQLCQ >Vigun05g107300.2.v1.2 pep primary_assembly:ASM411807v1:5:10961762:10964643:1 gene:Vigun05g107300.v1.2 transcript:Vigun05g107300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQFSLVLYLSLAGLLTLSSLTNLDLSYNGIQNLVPMKLIQITNFSDSKSLSRLDVLDLDENMIDGTKLRESLRALSSFIIEISMSYNYFNGTIIAGDFHDLSNFEYLRLDGSTITENEFFKNIENLTSLKILSVSNCYIDNILPPTDWFRRKNLEELYLSHNLFVALLPTSFLNMTSLQIQFDSNIASFTSLEYFGFKQNQFEVPISFTPFANHSNLKFIYGEDNKFILDLQPSLQTWIPKFQLQVLSLPSMIKNNLSELDFSSCRLEGVFPHWLLEKNTKLTKLYVRNCSFTGTMQLPLHSLLYLQDIDMSDNNIIGEIPSKNITSIYPNLKYLNMSRNHIQGSIPFELGRMKLLNTLDLSNNKLSGEIARDIFEDGHQLYSLDISNNKFEGPIFSMLTNLKFLSLNDNKFSGRLSSNIFNTPIISLDVSNNHLDCPKNCSRRILLYNIQDMIQDLSHSKLNFLLLKGNYIVGDIPKKLCQLVYLTIGIPHCLGKMPFDIENLDSSLKAFDQGLIQNSSFNEKKKEKAKSLDLSFNMLHGQILPKLNILTSLEVLSVAHNNLLGPIPKGTNQFATFGENIYKDNPFLCGPPLQKSCHPTPTTIPDNVDIDKDNDRLVDIYIFCVSFVVSYTLALLTIATALYINPYWKQAWFYYMELVTLNCYYFIVDNFYRFCNITNM >Vigun02g185600.1.v1.2 pep primary_assembly:ASM411807v1:2:32489820:32498800:-1 gene:Vigun02g185600.v1.2 transcript:Vigun02g185600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSQSSPCCTAAAVAAVKSSDRKTKFVSPALRNCKFTSPVLRLSENDKFNVSRLALCHSRRAVKGQTIAMALVGNTVGEKGEVATSSSILAYDLIQGELVRWSSVMDRSLPDPPTAVFLHGILGCRKNWGSFARRLALEFPTWQFLLVDLRCHGDSASMKKRGPHTVASAASDVLKLVRDLRITPRVLVGHSFGGKVVLSMVDQAAKPLARPVRAWVLDATPGKVRAGGDGEDHPPELISFLRTLPKEISTKQEVVRALIQQGFSNDVAQWVVTNLRPSSSGGSQSSSFSWVFDLRGIAEMYQSYEETNLWQLVEDVPRGVHMNFLKAERSLHRWALEDLRRIHAAEEVAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFQGGKT >Vigun05g298300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48278574:48281054:-1 gene:Vigun05g298300.v1.2 transcript:Vigun05g298300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPEHIVWEILSRMKKTNDRNAVSLVCKRLFYLDNAQRQCIKVGCGMDPADEALTCLCIRFQNLSKVEITYSGWMSKLGKQLDDKGLLILAKHCPLLSDLSLSYCTFITDVGLRYLASSSKLSSLRLNFTPRITGCGILSLVVGCKNLSRLHLIRCLNVSSVEWLEYLGKLGTLEDLSIKNCRAIGEGDLIKLGHGWQKLRRLQFEVDANYRYMKVYDRLSVDRWQKQHVPCENMLELSLVNCIISPGRGLACVLTKCKNLQKIHLDMCVGVRDFDIICLSERSSDLKSVSFRVPSDFSLPSLVNNPLRLTDESLKALAQNCSKLESVRISFSDGEFPSSSSFTLSGILCLINKCPVRQLALDHVYSFNDVGMEALCAAEYLETLELVRCQEISDEGLQLVSQFPRLCILRLSKCLGISDDGLKPLIGSLKLDFLAIEDCPQISERGVQGAAKSVSFRQDLSWMY >Vigun05g298300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48278574:48281027:-1 gene:Vigun05g298300.v1.2 transcript:Vigun05g298300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPEHIVWEILSRMKKTNDRNAVSLVCKRLFYLDNAQRQCIKVGCGMDPADEALTCLCIRFQNLSKVEITYSGWMSKLGKQLDDKGLLILAKHCPLLSDLSLSYCTFITDVGLRYLASSSKLSSLRLNFTPRITGCGILSLVVGCKNLSRLHLIRCLNVSSVEWLEYLGKLGTLEDLSIKNCRAIGEGDLIKLGHGWQKLRRLQFEVDANYRYMKVYDRLSVDRWQKQHVPCENMLELSLVNCIISPGRGLACVLTKCKNLQKIHLDMCVGVRDFDIICLSERSSDLKSVSFRVPSDFSLPSLVNNPLRLTDESLKALAQNCSKLESVRISFSDGEFPSSSSFTLSGILCLINKCPVRQLALDHVYSFNDVGMEALCAAEYLETLELVRCQEISDEGLQLVSQFPRLCILRLSKCLGISDDGLKPLIGSLKLDFLAIEDCPQISERGVQGAAKSVSFRQDLSWMY >Vigun11g216400.1.v1.2 pep primary_assembly:ASM411807v1:11:41015802:41016200:1 gene:Vigun11g216400.v1.2 transcript:Vigun11g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIINILLIFWLKIILKLGGFNSTLQGKRRLLVLGSCVSTIIIAMFVLLLLLQALSRSSYGSQPLLCNYSISIHFSPLNGNNKYFTNLLVKDHS >Vigun04g106900.1.v1.2 pep primary_assembly:ASM411807v1:4:25722324:25723487:-1 gene:Vigun04g106900.v1.2 transcript:Vigun04g106900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMETVWRALCCIAFVVVLQCVARGSAEDSSCLNKLSPCLRYLNGGEEPPDSCCEPLKSVIESDAECLCSLVSNRGTRQAEQAGINVTQAQQLPARCGQHVNPLSCLTSSPGPTNSERNSATKFMHVSNGVFMIIIFWSIIARV >Vigun11g041900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6055174:6055707:1 gene:Vigun11g041900.v1.2 transcript:Vigun11g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIVRVKNPNRRQITFSKRRTGLFKKANELSIMCGAKIAIVVFSPGNKPYSFSHPGVHVVATEFLQQDLRSNQLQRGPSFDVYRNHHFNLQLLDVMRQLAEEESKSSMLDEAIDHGRGTQLSELRKFHTSLSDINSKVKSEIEAAETMILLSQEGVEFPVTTRNENISDKFL >Vigun05g021100.1.v1.2 pep primary_assembly:ASM411807v1:5:1767450:1770676:-1 gene:Vigun05g021100.v1.2 transcript:Vigun05g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEFEASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIKVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPRSELHPSLPLMADKYESHMQSDQGDTPFK >Vigun09g193400.1.v1.2 pep primary_assembly:ASM411807v1:9:36822862:36823595:-1 gene:Vigun09g193400.v1.2 transcript:Vigun09g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGSSREATQPDCIQALVVEFIATFLFVFVGVASSMLTEKLGGDALVGLFVVAVAHALVVAVMISAAHISGGHLNPAVTIGLLAGGHITVFRSILYWIDQLVASAAASYLLYFLSGDRKLRFIRWPPELGTVKG >Vigun06g168050.1.v1.2 pep primary_assembly:ASM411807v1:6:28988834:28989157:1 gene:Vigun06g168050.v1.2 transcript:Vigun06g168050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLLSLKPGTLNSVRQFLRKWLIDHPTFLSNEVYIGGDSYSGIPIPVIVQEISQGKKKLYALQIGSTNHR >Vigun03g214800.1.v1.2 pep primary_assembly:ASM411807v1:3:35672253:35680895:-1 gene:Vigun03g214800.v1.2 transcript:Vigun03g214800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSELKVIGKWSSPYAMRVKIALNIKALEHEHFEETLNPKSDLLLQSNPVYGKVPVLFHHGRPICESLIIVQYIDETWTTDPPILSSHPHDRALARFWAAYIDDKWFPSMKSIMTVEGEEERKPYFEVLEEVLERVEGAFSECSKGKPFFAGDRIGFLDIAFGCFLGWLSVIEHRYERKLLVEAKAPGLVKWAEKFAADPAVKGLIPETDKLVEISKSLQIKWRAAIRKN >Vigun09g029800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2458886:2459646:1 gene:Vigun09g029800.v1.2 transcript:Vigun09g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTKFFWVLVLCVVAMTYQATALETVETLKGESLIQKVCTLSATRNLCIEVLSSDRYKSPNANLKDLAIISLRFAATNASGILAETKILIDDDKLSPDVQQGLADCKETILDAESQLEDSIAAIMIDSKGETQLWLKAALAAIDTCDASIPGDDDILSVESVAFRKLCNISITVTKLLLHPIKL >Vigun09g075750.1.v1.2 pep primary_assembly:ASM411807v1:9:8615620:8616423:1 gene:Vigun09g075750.v1.2 transcript:Vigun09g075750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQVYESTSSSFVSKEISSTDSLAFAFGSLHCGRVRGLGLGPFPSKVFGSKGHSYNGTSSSYPSNAQLQNQVSTLTAQLNEMKAMVNFLIQNYQGELPRDFTMHHAPTVSDQGSVPNEETNYQHPTPH >Vigun04g000700.2.v1.2 pep primary_assembly:ASM411807v1:4:85234:94386:-1 gene:Vigun04g000700.v1.2 transcript:Vigun04g000700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFAEGGSPWLRSFNNHVGRQVWEFDPKLGSPQDLLEIEKARQNFHENRFTTKHSSDLLMRLQFARENPIHEVLPKVEVKDIQDLTEDVVTQTLRRAVTFYSTLQCHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLTEEHKKEICRYLYNHQNKDGGWGLHIEGPSTMFGSVLSYVTLRLLGEGPNDGEGEMEKARDWILVHGGATHITSWGKMWLSVLGVYEWSGNNPLPPEIWLIPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELYTVPYHDIDWDRARNLCAKEDLYYPHPLVQDILWGSLHNFLEPILMHWPGKKLREKALTSTMEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRVYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLIEEFGPTIRKAHTYIKNSQVLEDCPGDLNKWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLSLSKIAPEVVGEPLEVKRLYDSVNVILSLQNEDGGFATYELKRSYNWLELINPAETFGDIVIDYPYVECTSAAIQALASFRKLYPGHRREEIQCCIEKATAFIEKIQASDGSWYGSWGVCFTYGAWFGVKGLIAAGKSFSNCPSIRKACEFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRCHVVNTGWAMLALMDAGQAKRDPIPLHRAAAYLINSQMESGDFPQQEIMGVFNKNCMISYSAYRNIFPIWALGEYRSHVLQAR >Vigun04g000700.1.v1.2 pep primary_assembly:ASM411807v1:4:84999:94451:-1 gene:Vigun04g000700.v1.2 transcript:Vigun04g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFAEGGSPWLRSFNNHVGRQVWEFDPKLGSPQDLLEIEKARQNFHENRFTTKHSSDLLMRLQFARENPIHEVLPKVEVKDIQDLTEDVVTQTLRRAVTFYSTLQCHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLTEEHKKEICRYLYNHQNKDGGWGLHIEGPSTMFGSVLSYVTLRLLGEGPNDGEGEMEKARDWILVHGGATHITSWGKMWLSVLGVYEWSGNNPLPPEIWLIPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELYTVPYHDIDWDRARNLCAKEDLYYPHPLVQDILWGSLHNFLEPILMHWPGKKLREKALTSTMEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRVYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLIEEFGPTIRKAHTYIKNSQVLEDCPGDLNKWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLSLSKIAPEVVGEPLEVKRLYDSVNVILSLQNEDGGFATYELKRSYNWLELINPAETFGDIVIDYPYVECTSAAIQALASFRKLYPGHRREEIQCCIEKATAFIEKIQASDGSWYGSWGVCFTYGAWFGVKGLIAAGKSFSNCPSIRKACEFLLSKQLPSGGWGESYLSCQNKVYSNLEGNRCHVVNTGWAMLALMDAGQAKRDPIPLHRAAAYLINSQMESGDFPQQEIMGVFNKNCMISYSAYRNIFPIWALGEYRSHVLQAR >Vigun10g003100.1.v1.2 pep primary_assembly:ASM411807v1:10:271946:275155:1 gene:Vigun10g003100.v1.2 transcript:Vigun10g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLCLAILIPLIFFHNLHASSSFSLSVENFKEEVIVSSPKPTFTVGFYAVGKNAFCFAVWYTHSPDTLVWIANRDQPVNGKRSTLSLLKTGNLVLTDAGQFQVWSTNTATASEQVRLHLHDSGNLVLLEDSSDNAVLWQSFDFPTDTLLPDQPLQGSTNLVSSRSGSNYSSGFYRLFFDFENVLRLMYKGPRVSSVFWPYAWLQSNNFGNGNGNGRSIFNDSRVVVMDDLGYLVSSDNFTFKTSDYGMRLLRRLTLDHDGNVRFYSMKDGEDKWSVSGLFRQQPCFIHGICGPDSICSYEPRNGRKCSCSPGYRWFDSEDWSQGCIPSFQLWCNNTEQKSRFLSLPGVDFYGYDYGLFDNHTNRQCASLCSQLCECKGFQYKPVRGANGQCYLKTQLLNGHRAPSFTNSFFLRLPLLLHDYDESASNNGLVCGRNGGGIIELERPYVEEKENELVKFMLWFAISFGGIEVVCIFMVWCFLFRNNRMLPRQGYVLAAATGFRKFSYSELKHATKGFSEEIGRGGGGTVYKGFLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLICMLGYCAEGKHRLLVYEYMENGSLAQNLSSASNVLDWSKRYNIALGTARGLAYLHEECLEWILHCDIKPQNILLDSDYKPKVGDFGLSKLLNRNNLNNSSFSRIRGTRGYMAPEWVFNLPITSKVDIYSYGIVVLEMITGRSPTTGAQITEIEAETPHHERLVTWVREKRMKGSENGSSWVDQIVDPALGTNYDMNEVEILATVALECVKEDREGRPNMSQVAERLQIHEHDS >Vigun08g036700.3.v1.2 pep primary_assembly:ASM411807v1:8:3517730:3522349:1 gene:Vigun08g036700.v1.2 transcript:Vigun08g036700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVSSDVSCFQGLLFCPEAYYLLLHNFCIYHISPPGHELGAVPIDSDYPVLSVDDLADQIAEVLNFFGLSAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCKEPSWAEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEIRGGTQLPESDIVKACRRLLDERQSLNVWRFLEAINGRPDISEGLRKLQCRSLIFVGDMSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYKPSKLSVSPRSPLSPSCISPELYSPESMGLKLKPIKTRISLKI >Vigun08g036700.1.v1.2 pep primary_assembly:ASM411807v1:8:3517730:3522349:1 gene:Vigun08g036700.v1.2 transcript:Vigun08g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTSSDSLSLDIDSFSPSPQEHIIRTRHGSISVSVYGDQDKPALITYPDLALNYVSCFQGLLFCPEAYYLLLHNFCIYHISPPGHELGAVPIDSDYPVLSVDDLADQIAEVLNFFGLSAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCKEPSWAEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEIRGGTQLPESDIVKACRRLLDERQSLNVWRFLEAINGRPDISEGLRKLQCRSLIFVGDMSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYKPSKLSVSPRSPLSPSCISPELYSPESMGLKLKPIKTRISLKI >Vigun08g036700.2.v1.2 pep primary_assembly:ASM411807v1:8:3517730:3522349:1 gene:Vigun08g036700.v1.2 transcript:Vigun08g036700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTSSDSLSLDIDSFSPSPQEHIIRTRHGSISVSVYGDQDKPALITYPDLALNYVSCFQGLLFCPEAYYLLLHNFCIYHISPPGHELGAVPIDSDYPVLSVDDLADQIAEVLNFFGLSAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCKEPSWAEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEIRGGTQLPESDIVKACRRLLDERQSLNVWRFLEAINGRPDISEGLRKLQCRSLIFVGDMSPFHSEALHMTSKLDRRFSALVEVLKIVY >Vigun11g128550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33605642:33605950:-1 gene:Vigun11g128550.v1.2 transcript:Vigun11g128550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGYVGILSSIDLMWFSIFIFCKFCMVYVKVVPLNHFMNSLLLITSNNCVSNSESRLTWFDILSPIFESSIIFLLFRMQISYALLIIQFRDEWFILFMTIS >Vigun09g195800.1.v1.2 pep primary_assembly:ASM411807v1:9:37034922:37038433:-1 gene:Vigun09g195800.v1.2 transcript:Vigun09g195800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSLDEETTKKVIRQVEFYFSDSNLLTDGFMRKNITESEDGLISLALICSFNRMRTHLSLGDVKLEEVPEDIVNAVAQTLRNSASLKVSEDGKKVGRTTELPRPEVVEQVEIRTLAVSPFEYDLKLEDVEKFFGQYAKVNSVRLPPHVGDKRFFCGTALVEFSSDEEVEKVMKEKLVYGGAELELKLKKDFDAEREKELEDFKKSRPPLGSNHQNNSKEEEESYPKGLIIAFKLKSISDDIPSDQSVADQNNSPVPKTGEKPEIAAGENDRKVDEESNETKEAKETGSQNNNEVKEAKETGSEDNNEMREAKETGSQDNNEMEEEKETESKENNETKENESPEKKPQTGEKFSAAAYKDNMDVVSREDLKSVFEKFGTVKYIDFKIGEVSGYIRFEETEASMKARAAAVISEKCGLVVKNFIAILDPLTGDAEKEYWSRLRGSQGKHRQFMNKQGRGGWHGRGSKHARSRENGSTFGRANKAKKIGAA >Vigun06g023700.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123839:11126369:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123831:11126399:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123824:11126369:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123831:11126389:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123824:11126397:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123820:11126369:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123820:11126398:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun06g023700.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11123962:11126388:1 gene:Vigun06g023700.v1.2 transcript:Vigun06g023700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATYWCYTCRQPIRIAGRDAICPYCNEDFLQELNDVQGVVEQRGSEPRNGFRDAVDNFMRQIMGGRYINFGIRIGRSGSTQLPERTWSVFIFPRQESSADLEESSYQHATDYQFGASQSSINAVPTIKITREHLNFDSLCPVCIERFEVGSEARKMPCDHIYHSGCIVPWLVRHNSCPVCRRKLPPERHSSSRGTQIWGRNNANGNSDNAISRGWENRQQNNGWRNLLSFFTFCSHSVLLIQILNRLC >Vigun05g276100.2.v1.2 pep primary_assembly:ASM411807v1:5:46623711:46625449:-1 gene:Vigun05g276100.v1.2 transcript:Vigun05g276100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSLSSLSSPLKFAVRASWDTKQRLPYNPNAPRKLKKNTVPIPTPISPAPIIRKRDQYISDLLKRATPLPTIAHVEEEQDESYLGYEKWLPSPPKVVKPRSVFNAATLAYIGDCIYELYARRHFLFPPLSIEEYNVRVMAVVRCEAQVYFLSLLFILSSCTMYSMELDK >Vigun05g276100.1.v1.2 pep primary_assembly:ASM411807v1:5:46619910:46625449:-1 gene:Vigun05g276100.v1.2 transcript:Vigun05g276100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSLSSLSSPLKFAVRASWDTKQRLPYNPNAPRKLKKNTVPIPTPISPAPIIRKRDQYISDLLKRATPLPTIAHVEEEQDESYLGYEKWLPSPPKVVKPRSVFNAATLAYIGDCIYELYARRHFLFPPLSIEEYNVRVMAVVRCEAQDALLQKLLESSFLSDQERDVLRWGKNIVSSKTKTKKRAGTAVYSRASSLETLVGYLYLTNVNRLEKLMLELGFSVDSSETLNIEEIIADELNNR >Vigun10g150000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36889032:36889826:1 gene:Vigun10g150000.v1.2 transcript:Vigun10g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCESEENNLGEVAVTNFPCPHHHPQRKKVVIRDIPKGFLPIKVGQGEEQEKIVMPVMYLNHPLFSRLLKEAEEEYGFHQQGTMIIPCHVKDFRYVQDLIHKDMSSTQHHQHQNQHHVIRCFRSP >Vigun03g068400.1.v1.2 pep primary_assembly:ASM411807v1:3:5638681:5641251:-1 gene:Vigun03g068400.v1.2 transcript:Vigun03g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSVATRLDEVDRTMYSTFCSTANSLSHLYTHAMNQQKLSFQAGERHALEKLYQWILRQQQEGSRVTTIDIVTHLQNELEYGGEEAPASPRQPMHQQNSQTSMHTNFGGSIASNAFGATVAGQGIRAGQPDQQAKNSVFSNALSSPIRRSLQPYHLAQGSFPSGNIMSSGNGNGNGTRNSEMSYPNGQNRDSNSSNSNDCMDMHSDSPGHDFSY >Vigun09g222300.1.v1.2 pep primary_assembly:ASM411807v1:9:39528711:39531580:1 gene:Vigun09g222300.v1.2 transcript:Vigun09g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGAPYNPRSVNEVFRDFKGRRAAIVKALTTDVELFYQQCDPDKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMEEKDWLSLVAVHSDTWLISVAMYFGARFGFDKADRKSLFSMINDLPTIFEVVAGKAKKQGKEKSSVSNHDNNKSKSNSKRGSESQEKYSKSMQPKDEGALAKDDEEHGEALCGACGENYALDDEFWIGCDICEGWFHGKCVRITPAKAESIQQYKCPSCSNKRVRQ >Vigun05g175400.1.v1.2 pep primary_assembly:ASM411807v1:5:32238288:32242513:-1 gene:Vigun05g175400.v1.2 transcript:Vigun05g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGTDSRKQAKLVIMELANMISVPMALNAVVRLNVADAIWNAGANTPISAAQILPRILPAGDAENLQRLLRILTSYGVFHEHIDAGERKYSLTDVGKTLVTDEQGLSYGAYVLQHHQDALMRAWPLVHEAVVDPTKEPFERANGEGAYGYYLKKEEMNELMVKAMSGVSVPFIKAMLEGYDGFQGVERLVDVGGSGGDCLRMILQKHPTIKEGINFDLPEVVAKAPPTPYVTHVGGDMFKSIPQGDAIFMKWVLTTWTDEEIKQIMRNCHKALPEGGKLIACEPVLPEHSDESHRTRALLEGDIFVMTIYRAKGKHRTEEQFRLLAIDAGFPHFRAFNVDHFYTVLEFQK >Vigun08g065600.4.v1.2 pep primary_assembly:ASM411807v1:8:9527656:9536127:-1 gene:Vigun08g065600.v1.2 transcript:Vigun08g065600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRRKFTRGVWPIRTLSSNPEMKEFLNYLDSLKNFEKSGVPRGAGTDSDEGFDLGRMRRLMERFGNPHNKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHILTIRERILLGRSGDPVSAKVLNDLFHRIKPELEQAMKEENGCISHFEVFTAMAYILFADENVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFVPHIERIIRDKAATMESPVVSAYDTGNRCTVKCFSILNGRPCQICDIVIQVGQDLKMSCKLHDVKLKMLGDHQLRNAATATCVALCLRNLGWSISDESIRSGLEHTHLLGRSQFLSSEEAEVLGLTGATVLLDGG >Vigun08g065600.2.v1.2 pep primary_assembly:ASM411807v1:8:9527656:9536127:-1 gene:Vigun08g065600.v1.2 transcript:Vigun08g065600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRRKFTRGVWPIRTLSSNPEMKEFLNYLDSLKNFEKSGVPRGAGTDSDEGFDLGRMRRLMERFGNPHNKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHILTIRERILLGRSGDPVSAKVLNDLFHRIKPELEQAMKEENGCISHFEVFTAMAYILFADENVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFVPHIERIIRDKAATMESPVVSAYDTGNRCTVKCFSILNGRPCQICDIVIQVGQDLKMLKMLGDHQLRNAATATCVALCLRNLGWSISDESIRSGLEHTHLLGRSQFLSSEEAEVLGLTGATVLLDGAHTKESAKALMNTIKTAFPKARLVFVVAMASDKDHVGFAREILSGGHVETVLLTEAAIAGSVTRTTPASLLRDCWIKACDEVGIEIFDDGMAEYDELINESNLGDAMTILSTESSLKSCLITANKILSRRGKEEKSVLVFTGSLHIAASVLASLAG >Vigun08g065600.5.v1.2 pep primary_assembly:ASM411807v1:8:9527656:9536127:-1 gene:Vigun08g065600.v1.2 transcript:Vigun08g065600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRRKFTRGVWPIRTLSSNPEMKEFLNYLDSLKNFEKSGVPRGAGTDSDEGFDLGRMRRLMERFGNPHNKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHILTIRERILLGRSGDPVSAKVLNDLFHRIKPELEQAMKEENGCISHFEVFTAMAYILFADENVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFVPHIERIIRDKAATMESPVVSAYDTGNRCTVKCFSILNGRPCQICDIVIQVGQDLKMLKMLGDHQLRNAATATCVALCLRNLGWSISDESIRSGLEHTHLLGRSQFLSSEEAEVLGLTGATVLLDGG >Vigun08g065600.3.v1.2 pep primary_assembly:ASM411807v1:8:9527656:9536127:-1 gene:Vigun08g065600.v1.2 transcript:Vigun08g065600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRRKFTRGVWPIRTLSSNPEMKEFLNYLDSLKNFEKSGVPRGAGTDSDEGFDLGRMRRLMERFGNPHNKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHILTIRERILLGRSGDPVSAKVLNDLFHRIKPELEQAMKEENGCISHFEVFTAMAYILFADENVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFVPHIERIIRDKAATMESPVVSAYDTGNRCTVKCFSILNGRPCQICDIVIQVGQDLKMSCKLHDVKLKMLGDHQLRNAATATCVALCLRNLGWSISDESIRSGLEHTHLLGRSQFLSSEEAEVLGLTGATVLLDGAHTKESAKALMNTIKTAFPKARLVFVVAMASDKDHVGFAREILSAFGLVYKC >Vigun08g065600.1.v1.2 pep primary_assembly:ASM411807v1:8:9527656:9536127:-1 gene:Vigun08g065600.v1.2 transcript:Vigun08g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLRRKFTRGVWPIRTLSSNPEMKEFLNYLDSLKNFEKSGVPRGAGTDSDEGFDLGRMRRLMERFGNPHNKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHILTIRERILLGRSGDPVSAKVLNDLFHRIKPELEQAMKEENGCISHFEVFTAMAYILFADENVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFVPHIERIIRDKAATMESPVVSAYDTGNRCTVKCFSILNGRPCQICDIVIQVGQDLKMSCKLHDVKLKMLGDHQLRNAATATCVALCLRNLGWSISDESIRSGLEHTHLLGRSQFLSSEEAEVLGLTGATVLLDGAHTKESAKALMNTIKTAFPKARLVFVVAMASDKDHVGFAREILSGGHVETVLLTEAAIAGSVTRTTPASLLRDCWIKACDEVGIEIFDDGMAEYDELINESNLGDAMTILSTESSLKSCLITANKILSRRGKEEKSVLVFTGSLHIAASVLASLAG >Vigun10g137700.1.v1.2 pep primary_assembly:ASM411807v1:10:35428233:35430956:-1 gene:Vigun10g137700.v1.2 transcript:Vigun10g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIPEIHNGLSPTIKHNIISSNIKQLRRGIHSVHQSSFRYLKRLKALGCDDLQCVIPSHLLFCFENLEELDIQNCSATRVIFNIGAKSRTKASGIFRLKILSLSRLQQLEHVWDKDPEGIIGLKVLQEVHVEECGRLESLFPASVVKDLTRLQVLQVTKCLELLEIFRNDEKGGEEEGTTQHSLFPCLTTLTLQKLSRLKYSIHSKEEVRLTKFES >Vigun10g014200.1.v1.2 pep primary_assembly:ASM411807v1:10:1533628:1540695:-1 gene:Vigun10g014200.v1.2 transcript:Vigun10g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTEVEKKNLEEEAEQVVNPWEVSSKGKIDYDKLIDRFGCQKLDDALISRLERLTSRTPHVFLRRHVFFAHRDFAEILDAYERGDKFYLYTGRGPSSESLHLGHLIPFMFTKYLQDVFKVPLVIQLTDDEKFFWKNLTVEECRRLARENAKDIIACGFDISKTFIFSDFNYVGGSFYKNMCEVASRVTYNQAVGIFGFAGEDHIGKVSFPPVQAVPSFPTSFPHLFSGKENLRCLIPCAIDQDPYFRMTRDVAPRMGFNKPALIESLFFPALQGETGKMSASDPNSAIYVTDSAKDIKNKVNKHAFSGGQDSVEKHRQLGANLEVDIPIKYLTFFLEDDAELEHIKKEYGEGRMLTGEVKQRLIQVLTEIVERHRRARASVTEEMVDAFMAVRPLPYMFD >Vigun09g209601.1.v1.2 pep primary_assembly:ASM411807v1:9:38407685:38412096:1 gene:Vigun09g209601.v1.2 transcript:Vigun09g209601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDIMVWKESAGQTLNLESNGMRKGRSEEFHRLIVDNFMLVENFPEGELK >Vigun06g142500.1.v1.2 pep primary_assembly:ASM411807v1:6:26811999:26825663:-1 gene:Vigun06g142500.v1.2 transcript:Vigun06g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGSCATQLIDGDGEFNVAGLDNFIKNVNLASCGLSYAVVAIMGPQSSGKSTLMNHLFHTSFREMDAFRGRSQTTKGIWIAKCVGIEPTTIAMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEHLEPILREDIQKIWDSVRKPQVHQHTPLSEFFNVEVTALSSYEDKEDKFNEEVAQLRQRFFHSIAPGGLAGDRRGVVPASGFSISAQQIWKVIRENRDLDLPAHKVMVATVRCEEIANEKLNQLQSDKDWLELEEAVQLGPVRGFGEKLSSIIDASLSQYDEEAIFFEESVRNAKRKQLESKALDLVYPAYTTLLEHIHSKALNDFKTELEQSLNNGEGFASSVRTWTQTIMDGFDKGSADAAVRHANWGASKVRDKLRHDIDSHASSVRAAKLSEITTNLEKKLTNALTKPVESLFESGGKDTWLSIRALLKRETGSAISEFSASVAGFELDEETVEKMEQSLRDYARKVVENKAREEAGKILIRMKDRFSTVFNHDNDSLPRIWTGKEDIRAITRDARSASLKLLSDMAAIRLDEKPDPIGSILHSSLIDKTSASTSSQLITREASADTLASSTWEEVSPEDVLITPIQCKALWRQFLGETEYTVTQAISAQEAFKRSNNWLPPPWAIMAMVILGFNEFMMLLKNPLYLLFIFVAYLLGKAIWVQMDIAGEFRHGTLPGLLSISSRFLPTVMNLIKRLAEEAQGNQTPQESQGSASQTQIFRNQVHKPDSVSSSISNISSVGSSSNDDNEYSTTNLSQRRRTNAPQAEFS >Vigun11g019401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2420478:2423196:1 gene:Vigun11g019401.v1.2 transcript:Vigun11g019401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGAVLQLLFDKLDSHQVLGYFRQRDLDEKQLKKLKRKLMDINAVIDDAEQKQFTNSLVKEWLDEVRDILYDAEDLLEQIHYEYSKTKLEAEFHTSSSKVHIFESKIIALLDDLESLLNQRIVRDFKIYSGDRSGLGSKVSEKKVESTSLVVEEDIYGRDEDKEMIFNWLMSDTNDNKLSILSIVGMGGMGKTTLAQHVYNDMNTKEVKFDEKAWVCVSDEFDVFKLTRAIFEAIHKSTDDSRNLDTVQGRLKEKLTGRKFLLVLDDVWNEDRDQWKSLQTPLKYGAKGSKILITTRSNKVASAVGSNNTHELKQLREDHSWQVFAKHAFQDENCELNSELKEIGMQIVEKCKGLPLALETLGCLLRTKSSVSDWEGVLRSNIWDLPIENSKIMPALLLSYYHLPSHLKRCFAYCALYPKDHHFDKEKLIFSWMSQNFLHCSQESKSLEDVGEHYFNDLLSRSFFQQIITDIETYFVMHDLLNDLAKYVSGEICYSLDDREKRISRKTRHFLMAFDHVQYRESLYDAKGLRTFICISHYCMISIQELISNFKFLRVLSLYRCSEVPDTIGNLIHLRSLDLSYTYIERLPDSTSSLCNLQVLKLNGCFYLKELPSTLYELTNLRRLELMGTTLIKVPPLLGNLKNLQVWMDTFEVGKSCEFSVHQLQEVDLHGELSVRNLENMVNCCALGADFKNKTHLAELALEWDLERDIEDSTKERQVLEDLQPSRHLEELSINGYGGTQFPRWLSDNSLLNMVSLTLKHCKHCLWLPSLGLLTFLKHLTIEDLDGIESIGVDFYGNSSCAFASLEMLSF >Vigun01g057900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:11630742:11632112:-1 gene:Vigun01g057900.v1.2 transcript:Vigun01g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFVVTTLIFSFCSVLLVDASKTLMGFSIDLIPRHSPMSPLYNSKMTQTELVKGAAMRSIARSKLLDFIGQNESSPPSPPSPSSPSPPSSSSSSSSSAESAITPVPDHGEYLMRFSLGTPQVERLAIFDTGSDLSWVQCSPCKTCYPQDAPLYDPTQSSTYMDVACDSQACTLIPQNQRDCGNSKQCIYLQQYGESSITIGKLSSDTVSFGSTGMGQAATFPESVFGCAFYSNFTFEISSKANGIVGLGPGPLSLASQLGDQIGHKFSYCMVPFSSTSTGKLRFGSNPNQAPTNGVVSTPFIINPSLPSYYLLNLEGITVGQKKMLTGQSGGNIIIDSVPILTHLEQRIYADFISSVKEAFNVEAAEDAPNPFEFCVSNPSSMNFPEFVFHFTGADVVLNPNNLFIALDNNMICMTVVPTTGISIFGNWAQINFQVEYDLGEKKVSFAPTNCSSY >Vigun09g192100.1.v1.2 pep primary_assembly:ASM411807v1:9:36687698:36688191:1 gene:Vigun09g192100.v1.2 transcript:Vigun09g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLHKSAALAYIYIYIYIYIYNVKSQFTINASLFIHTKKKLHKLQSTNGLYYTIPAFHTSRRGNPIQRNLTKE >Vigun10g161000.2.v1.2 pep primary_assembly:ASM411807v1:10:37988997:37995813:1 gene:Vigun10g161000.v1.2 transcript:Vigun10g161000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAVVVVGAYLQITCWRLVGARSAQRIRSEYLRAVLRQDITFFDTDINTGDIMHGIASDVAQIQEVMGEKMAHFIHHIFTFICGYTVGFKRSWKVSLVVFSVTPLTMFCGMAYKALYGGLTAKEEASYRKAGSVAEQAISSIRTVFSFVAESQLAGKYDELLQQSAPIGAKVGFAKGIGMGVIYLITYSTWALAFWYGSVLISKNELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFHVIERIPEIDPYSPEGRKLSGVRGRIELKSVSFAYPSRPDSLILHSLSLIIPSSKTVALVGASGGGKSTIFALIERFYDPTEGIITLDGHDLRTLQVKWLRNQIGMVGQEPILFATSILENVMMGKDNATKEEAIAACIAADAHSFISSLPLSYDTQVGDRGTKLSGGQKQRIALARAMMKDPKILLLDEPTSALDAESESAVQRAIDKISAGRTTIVIAHRIATVKNAHTIVVLEHGSVSEIGDHRQLMSKAGAYYNLVKLATEAISKPLAIDNGIQKANDLSIYDKSAAALSGSRYLVDIPSPKDLLSMHEVEKQEDMEDDEQYTKAKKYKLSEVWKLQKPELVMLFSGLILGMFAGAILSLFPLVLGISLEVYFGHDTHKMRRDVAHLCLTLVGLGIGCILSMTGQQGLCGWAGSKLTQRVRDILFQSILKQEPGWFDFEENSTGVLVSRLSLDCVSFRSVLGDRFSVLLMGLSSAAVGIGVSFAFNWRLTLVAAAVTPFALGASYINLIINIGPRVDNDSYAKASNIASGAVSNIRTVTTFSAQEQIVKSFDRALSEPRRKSLRSSQLQGLMFGLFQGSMYGAYTLTLWFGSYLVEHDKAKLGDVFKIFLILVLSSFSVGQLAGLAPDTSMAATAIPAVQDIIKRRPLIDNDRTKGRKVDRSKPLNIEFKMVTFTYPSRPEVTVLRDFCLKVKGGSTVALVGPSGSGKSTVIWLTQRFYDPDQGKVMMNGTDLREIDVKWLRRQMALVGQEPALFAGSIRENIAFGDPNASWSEIEEAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKHIQEALKNVAKDATTIIVAHRLSTIREADKIAVMRDGEVVEYGSHDTLMASTKNGLYATLVRAETEANAFS >Vigun10g161000.3.v1.2 pep primary_assembly:ASM411807v1:10:37989010:37995813:1 gene:Vigun10g161000.v1.2 transcript:Vigun10g161000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAVVVVGAYLQITCWRLVGARSAQRIRSEYLRAVLRQDITFFDTDINTGDIMHGIASDVAQIQEVMGEKMAHFIHHIFTFICGYTVGFKRSWKVSLVVFSVTPLTMFCGMAYKALYGGLTAKEEASYRKAGSVAEQAISSIRTVFSFVAESQLAGKYDELLQQSAPIGAKVGFAKGIGMGVIYLITYSTWALAFWYGSVLISKNELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFHVIERIPEIDPYSPEGRKLSGVRGRIELKSVSFAYPSRPDSLILHSLSLIIPSSKTVALVGASGGGKSTIFALIERFYDPTEGIITLDGHDLRTLQVKWLRNQIGMVGQEPILFATSILENVMMGKDNATKEEAIAACIAADAHSFISSLPLSYDTQVGDRGTKLSGGQKQRIALARAMMKDPKILLLDEPTSALDAESESAVQRAIDKISAGRTTIVIAHRIATVKNAHTIVVLEHGSVSEIGDHRQLMSKAGAYYNLVKLATEAISKPLAIDNGIQKANDLSIYDKSAAALSGSRYLVDIPSPKDLLSMHEVEKQEDMEDDEQYTKAKKYKLSEVWKLQKPELVMLFSGLILGMFAGAILSLFPLVLGISLEVYFGHDTHKMRRDVAHLCLTLVGLGIGCILSMTGQQGLCGWAGSKLTQRVRDILFQSILKQEPGWFDFEENSTGVLVSRLSLDCVSFRSVLGDRFSVLLMGLSSAAVGIGVSFAFNWRLTLVAAAVTPFALGASYINLIINIGPRVDNDSYAKASNIASGAVSNIRTVTTFSAQEQIVKSFDRALSEPRRKSLRSSQLQGLMFGLFQGSMYGAYTLTLWFGSYLVEHDKAKLGDVFKIFLILVLSSFSVGQLAGLAPDTSMAATAIPAVQDIIKRRPLIDNDRTKGRKVDRSKPLNIEFKMVTFTYPSRPEVTVLRDFCLKVKGGSTVALVGPSGSGKSTVIWLTQRFYDPDQGKVMMNGTDLREIDVKWLRRQMALVGQEPALFAGSIRENIAFGDPNASWSEIEEAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKHIQEALKNVAKDATTIIVAHRLSTIREADKIAVMRDGEVVEYGSHDTLMASTKNGLYATLVRAETEANAFS >Vigun10g161000.4.v1.2 pep primary_assembly:ASM411807v1:10:37989008:37995813:1 gene:Vigun10g161000.v1.2 transcript:Vigun10g161000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAVVVVGAYLQITCWRLVGARSAQRIRNINTGDIMHGIASDVAQIQEVMGEKMAHFIHHIFTFICGYTVGFKRSWKVSLVVFSVTPLTMFCGMAYKALYGGLTAKEEASYRKAGSVAEQAISSIRTVFSFVAESQLAGKYDELLQQSAPIGAKVGFAKGIGMGVIYLITYSTWALAFWYGSVLISKNELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFHVIERIPEIDPYSPEGRKLSGVRGRIELKSVSFAYPSRPDSLILHSLSLIIPSSKTVALVGASGGGKSTIFALIERFYDPTEGIITLDGHDLRTLQVKWLRNQIGMVGQEPILFATSILENVMMGKDNATKEEAIAACIAADAHSFISSLPLSYDTQVGDRGTKLSGGQKQRIALARAMMKDPKILLLDEPTSALDAESESAVQRAIDKISAGRTTIVIAHRIATVKNAHTIVVLEHGSVSEIGDHRQLMSKAGAYYNLVKLATEAISKPLAIDNGIQKANDLSIYDKSAAALSGSRYLVDIPSPKDLLSMHEVEKQEDMEDDEQYTKAKKYKLSEVWKLQKPELVMLFSGLILGMFAGAILSLFPLVLGISLEVYFGHDTHKMRRDVAHLCLTLVGLGIGCILSMTGQQGLCGWAGSKLTQRVRDILFQSILKQEPGWFDFEENSTGVLVSRLSLDCVSFRSVLGDRFSVLLMGLSSAAVGIGVSFAFNWRLTLVAAAVTPFALGASYINLIINIGPRVDNDSYAKASNIASGAVSNIRTVTTFSAQEQIVKSFDRALSEPRRKSLRSSQLQGLMFGLFQGSMYGAYTLTLWFGSYLVEHDKAKLGDVFKIFLILVLSSFSVGQLAGLAPDTSMAATAIPAVQDIIKRRPLIDNDRTKGRKVDRSKPLNIEFKMVTFTYPSRPEVTVLRDFCLKVKGGSTVALVGPSGSGKSTVIWLTQRFYDPDQGKVMMNGTDLREIDVKWLRRQMALVGQEPALFAGSIRENIAFGDPNASWSEIEEAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKHIQEALKNVAKDATTIIVAHRLSTIREADKIAVMRDGEVVEYGSHDTLMASTKNGLYATLVRAETEANAFS >Vigun10g161000.1.v1.2 pep primary_assembly:ASM411807v1:10:37987368:37995813:1 gene:Vigun10g161000.v1.2 transcript:Vigun10g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMDDSFSFDGDSFSSHQRRHYPTPASYHSVSRSGSFIPSSFHSDATSTTRARRNRVPTTPFASDDDHSWQEEVSWNFEATGWHHYSTNFGSLLTPWPASSPSDHSRIFRRSANDFYLSRTSGFRGIASSSYEHSGYGRVELKSYVARDNDHSYFDRGLSTSGLIHQQSSRKSKSSPLAVEDELSMIDYSITEEHVISPNGNGHDLESPYLKHGHGDYVGHGLPSHDGNHVYGSGGGYAYRHHDIEKYSYDEEGEEDMDEEDASPPKAVGLFSLFRYSTKWDLMLVFVGCLGALINGGSLPWYSYLFGDLVNKISEADKDKKQMMKDVEKICVFMTGLAAVVVVGAYLQITCWRLVGARSAQRIRSEYLRAVLRQDITFFDTDINTGDIMHGIASDVAQIQEVMGEKMAHFIHHIFTFICGYTVGFKRSWKVSLVVFSVTPLTMFCGMAYKALYGGLTAKEEASYRKAGSVAEQAISSIRTVFSFVAESQLAGKYDELLQQSAPIGAKVGFAKGIGMGVIYLITYSTWALAFWYGSVLISKNELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFHVIERIPEIDPYSPEGRKLSGVRGRIELKSVSFAYPSRPDSLILHSLSLIIPSSKTVALVGASGGGKSTIFALIERFYDPTEGIITLDGHDLRTLQVKWLRNQIGMVGQEPILFATSILENVMMGKDNATKEEAIAACIAADAHSFISSLPLSYDTQVGDRGTKLSGGQKQRIALARAMMKDPKILLLDEPTSALDAESESAVQRAIDKISAGRTTIVIAHRIATVKNAHTIVVLEHGSVSEIGDHRQLMSKAGAYYNLVKLATEAISKPLAIDNGIQKANDLSIYDKSAAALSGSRYLVDIPSPKDLLSMHEVEKQEDMEDDEQYTKAKKYKLSEVWKLQKPELVMLFSGLILGMFAGAILSLFPLVLGISLEVYFGHDTHKMRRDVAHLCLTLVGLGIGCILSMTGQQGLCGWAGSKLTQRVRDILFQSILKQEPGWFDFEENSTGVLVSRLSLDCVSFRSVLGDRFSVLLMGLSSAAVGIGVSFAFNWRLTLVAAAVTPFALGASYINLIINIGPRVDNDSYAKASNIASGAVSNIRTVTTFSAQEQIVKSFDRALSEPRRKSLRSSQLQGLMFGLFQGSMYGAYTLTLWFGSYLVEHDKAKLGDVFKIFLILVLSSFSVGQLAGLAPDTSMAATAIPAVQDIIKRRPLIDNDRTKGRKVDRSKPLNIEFKMVTFTYPSRPEVTVLRDFCLKVKGGSTVALVGPSGSGKSTVIWLTQRFYDPDQGKVMMNGTDLREIDVKWLRRQMALVGQEPALFAGSIRENIAFGDPNASWSEIEEAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKHIQEALKNVAKDATTIIVAHRLSTIREADKIAVMRDGEVVEYGSHDTLMASTKNGLYATLVRAETEANAFS >Vigun04g188600.1.v1.2 pep primary_assembly:ASM411807v1:4:41282892:41288874:1 gene:Vigun04g188600.v1.2 transcript:Vigun04g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWLFSREPKPPPQVVLVPPLFDFPPMAARNRMLHSAYDVAFGKIALASLFTDYFHPARHFSTRLMLKPIDDPHVDLIATVTGPLDRKPHDSIMGDALFRWQSDVNDPHTFMDLYVSTSDPILQMRSCAYYPKYGFGAFGVFPLLLRKRVSSQDYGLMGMRYGSGNLSFGVTLMPFALKDEFPKSAWLVSKMGRVTAGVQFEPQKRKAKFSNLKNWSCALGYGIGSGSPLCPSFNFNLELVKSSQFIASFYQHMVVQRRVKNPFEENSVVGITNYIDFGFELLTSVDEAIAASNISDASFQIGASWQANKNFLLKAKAGPRSSSVALAFKSWWKPSFTISISATRDRGDGKMQYGFGIQSESLREASYQRADPNFVMLTPSKEHLAEGIVWETGKRPMFKSDIDAGHFDGLPKELRPTDKIL >Vigun07g232066.1.v1.2 pep primary_assembly:ASM411807v1:7:35401272:35402287:-1 gene:Vigun07g232066.v1.2 transcript:Vigun07g232066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTMVTAFSIYLILIHASMLANSAMDPYKALNIILNPNGTLTRLSIPPQSPPSPDPSLPTPVLSKDLPINKFNHTWARIYLPHKALHHSPRPKLPLIVFYHGGGFIFDSPASIYSHDFCIRMTNATQSVVVSVDYRLAPEHRLPAAYEDSVEALHWIKASNDAWLRHADYSRCYLMGESAGGTLPTRKRSPSEIRLAEKTIIPLLITDLIWKLSLPVGADRDHPYSNPTVNGGDKILDKFKVYGWRVAVFGCEGDVLVDRERELVKLLEQKGVHVVGKFYEGGRHGIFVGDPSMSVQVFRLLKTLH >Vigun05g123100.1.v1.2 pep primary_assembly:ASM411807v1:5:13644569:13648669:1 gene:Vigun05g123100.v1.2 transcript:Vigun05g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLTYPVVVDDKDLDDAALWAMIDSASASHSSSKRKALVAAKPPPRQSPSPISYPSPPPSKFRKVFRDSGDSGEVVQDRWPYLPPRKAPRLCASEESSPLALIRSVQRTPPPKDFSSPDAQELSCYTEVSPRQCFARNEAEEKESGIGHSLLGMFPSVSLFKEYQNAAMAILEKTDYTLISGNPFIKKSGWRKISCYFNISYEIRDRNIEFDDNRNVQRAEFVIRAHMQGGRFSDGWGSCDRREKRFQKPNHDIPSTAETRAKNKACQDLLGIGEYRASASNQFH >Vigun05g123100.2.v1.2 pep primary_assembly:ASM411807v1:5:13644569:13648669:1 gene:Vigun05g123100.v1.2 transcript:Vigun05g123100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLTYPVVVDDKDLDDAALWAMIDSASASHSSSKRKALVAAKPPPRQSPSPISYPSPPPSKFRKVFRDSGDSGEVVQDRWPYLPPRKAPRLCASEESSPLALIRSVQRTPPPKDFSSPDAQELSCYTEVSPRQCFARNEAEEKESGIGHSLLGMFPSVSLFKEYQNAAMAILEKTDYTLISGNPFIKKSGTEILSLMIIAMFSVLSL >Vigun06g036400.1.v1.2 pep primary_assembly:ASM411807v1:6:15102614:15108736:1 gene:Vigun06g036400.v1.2 transcript:Vigun06g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKVQDSDDTKKGEEVVLPGFRFHPTDEELVGFYLQRKVEKKPLKIELIKQVDIYKYDPWDLPKVNSIGEKEWYFFCIRGRKYRNSVRPNRVTRSGFWKATGIDKSIYCVKEPHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPNIANVTNNLHEAEVWTLCRIFKRIPSFKKYTPNLKDTKSNTVNSNTSTIDSDNCKPYLICRDTEMMQQLKPVFGQVDEKKHLYLQPPSSTPYPTFWNHNVEDYTFANENWDDLRSVVQFAIHPSSVFDCTETHFPPYFS >Vigun06g036400.2.v1.2 pep primary_assembly:ASM411807v1:6:15103436:15108736:1 gene:Vigun06g036400.v1.2 transcript:Vigun06g036400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIFCPYEALLSVFMVYDDVLYFNLCHLYPTFEEVNSIGEKEWYFFCIRGRKYRNSVRPNRVTRSGFWKATGIDKSIYCVKEPHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPNIANVTNNLHEAEVWTLCRIFKRIPSFKKYTPNLKDTKSNTVNSNTSTIDSDNCKPYLICRDTEMMQQLKPVFGQVDEKKHLYLQPPSSTPYPTFWNHNVEDYTFANENWDDLRSVVQFAIHPSSVFDCTETHFPPYFS >Vigun03g291150.1.v1.2 pep primary_assembly:ASM411807v1:3:47469201:47469815:-1 gene:Vigun03g291150.v1.2 transcript:Vigun03g291150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWEKITYLGIVSCTGLSPYKSIKWPLKQKNLLHTHICKSAIRNSFRVCLQFHAVIYIPCSFPGSSSYSSIICV >Vigun05g007400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:650797:651857:-1 gene:Vigun05g007400.v1.2 transcript:Vigun05g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTNEHNMAISEACNVSLQQHTNPTVDTISLISLTSAQMQGFLMMDLERGPRYQAYAELRERKLRMKNMRQQEHEEEEEGVEMEVEAKVLTPPRKKQVKFQGSGVSGRKGSSSSLVAQSVPDFSAALRKENRKPVNGNVLPTLMELTPPLKSACGVLSSARGSKSANAGEKKRGGGVLMPRKSYASIDELKSLSSATANAINGESRGGGRSSRVMTRKSVIGYRQHI >Vigun09g215100.1.v1.2 pep primary_assembly:ASM411807v1:9:38953102:38962239:-1 gene:Vigun09g215100.v1.2 transcript:Vigun09g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKIVGYVTAYLKKKGFTQTEKIFQEEFQHNKTTNSSSSNSLLEPDIANHLLAFSQLESGPARFHDGYSKLRTWTYSSLDLYKHELLRVLYPVFIHCFMDLVAKGHVQEARNFFNTFREDHEMMHLRDLQKLEGVLSPTHLEEMEFAHSLRQSKFNIKICEYSYELLLQHLHSTQSTTILGIINEHINFQVSPGQPSLISDDPEAVTLTGSSQEAANRINQKEIHWGLLEDSLEERLEKAGALLSDSEKGEGETKEGENDETKKRSIEGGKQGGSVKKVKKDKGGSATGKSAKPEASTVPAAPRVKPELPLPLIPTEVEQSILEDLRNRVQLSSVALPSVSFYTFINTHNGLSCSSISHDGSLIAGGFSDSSLKVWDMAKLGQPAANSLLQGENETPPNDQMFGLGVGKRQYTLFQGHSGPVYAASFSPVGDFILSSSADSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRVFVGHRGMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCLTPLIGHTSCVWSLAFSSEGSILASGSADSTVKLWDVNTSTKVSRAEEKSGNANRLRSLKTLATKSTPVYSLRFSRRNLLFAAGALSKSG >Vigun07g124300.1.v1.2 pep primary_assembly:ASM411807v1:7:23031118:23034334:-1 gene:Vigun07g124300.v1.2 transcript:Vigun07g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTPYLNATEKKHWWLTNRKVVEKYIRDARTLMATQEQSEIASALNLLDAALAIYPRLDEALELRARSLLCLRRFKEVADMLQDYIPSLKMANDESVSSDSSSQQLSREGAKLLSSDTSGSDQSFKCFSVSDLKKKVMAGLCKSCDKEGYWRYLVLGKACCHLGLMEDAMVLLQTGKRLASAAFRRESVCWSDDSFSLSNPLFSGDSSPPRVPLPESEAVTQLLAHIKLLLRRRAAALAALEAGLHSEAIRHFSKIVDGRRGAPQAFLAECYMHRASAHRSAGRIADSIADCNRTLALDPTCIQALETRASLLESIRCFPDSLHDLEHLKLLYNTILRDRKLAGPAWKRQNVRAREIPGKLCALTTKMQELKQRVASGDTSNVDYHGLIGLRRGCGRSELQRAHLLLSLKHKPDKAMCFIERCELADERDLDSVKERARMSSLLLYRLLQKGYTNVMGAIMDEEAAEKQRKKKVLEALEAQAKVEKLENKKCTVSSPSTANPAVFQGVFCRDLAVVGNLLSQTGFNRSIPVKYEALSC >Vigun07g176500.1.v1.2 pep primary_assembly:ASM411807v1:7:29172781:29176072:-1 gene:Vigun07g176500.v1.2 transcript:Vigun07g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNGTSTTALIPQSGTASIQHRASLPNPFDLTDDQILDIVYLAHLNDDEICDTDNLYNLVSNIALRSQSLISASSLKPEFVTLKLISCQMISTRAAAHCVHQTTMWILQHLKCYSWDAKALIAIAALSLEYGSFVHLSQFQTNDVLVNSLRQLNQVQSRNVSAVAELVSYTVTVFQQIKEWARYAADGYDPEDVPDLTEAFQAILVVVYWTIAATVSSTGNLVGVSSYKLSEYRFRLSAAVEKLTTHLGNCSVQIGNVRDYVIIRNIYDRPKDIVDFLKALIYPQQKGPENPKIFQGSNLVTKGIEVFRLKHVLLFISGLDSVEDEISLLNSMYDRLQEDPKEAKGFKKEDFKILWIPIVEEWNEGSREHFKALKSGIKFYAVEYFNELPGLKIIKDRERLNFEIQPIAPLLSPRGAIMNENALEVIFEWGIEAFPFRKIDGEELTLKWKWLWDLILKATPGLQVKENRYIFIYGGSNSAWIQNFTHELSRIKMNESIQRADIIIEYYQLGKGKSEPNNSVPSFWIGVERKKQNKKHQEAVDCEIQKIVKCLFCLKRDPQGWAILSKGHNIKHLCHGQAVYQTVAEFQNWKGKVFEREGFDIAFKEYYDAKEKEISAIQPCEDYTSTSSVIATITCPNPTCGRVMEVSSVNYKCCHRDDALNC >Vigun08g127200.2.v1.2 pep primary_assembly:ASM411807v1:8:29757135:29763164:1 gene:Vigun08g127200.v1.2 transcript:Vigun08g127200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIHGGDSRIHISDGQHPIHVPYVQEHEHHGLHHMSNGNGIDEDQNDGGDTNCGGSESVEGDIPSSHGNLPDNHAVIMDQGGDAGDQLTLSFQGQVYVFDSVSPEKVQAVLLLLGGREIPPTMPTMPVSPHHNNRGFTGTPQKFSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKSNHDESASAATNWGANENWSAENNGSQQQDIVCRHCGISEKCTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSRAAPISGPIKNENKSLEANQIVVHRVAGEADDSS >Vigun08g127200.1.v1.2 pep primary_assembly:ASM411807v1:8:29756613:29763239:1 gene:Vigun08g127200.v1.2 transcript:Vigun08g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIHGGDSRIHISDGQHPIHVPYVQEHEHHGLHHMSNGNGIDEDQNDGGDTNCGGSESVEGDIPSSHGNLPDNHAVIMDQGGDAGDQLTLSFQGQVYVFDSVSPEKVQAVLLLLGGREIPPTMPTMPVSPHHNNRGFTGTPQKFSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKSNHDESASAATNWGANENWSAENNGSQQQDIVCRHCGISEKCTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSRAAPISGPIKNENKSLEANQIVVHRVAGEADDSS >Vigun04g037000.1.v1.2 pep primary_assembly:ASM411807v1:4:3116361:3119899:1 gene:Vigun04g037000.v1.2 transcript:Vigun04g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNLSPSSVPTSEPFPCTENATATNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGRLRPESQPLQPSACLSRTASSPSPSSETNFSTAPWPTRIIIPKPTEPPTIFMNNPTAHNIITAETSSKNHKLHPNLDLQLSTPTNTNNNNNNIIINNNNNSSNTANPIDASISTSLSPKRDHHHHHENHSTHLQLSIGSSDVSDKNESNRNSSEKSSNSNNGKPSNMALLRVQEQAREHLRIAMAEKAYAEEARKQAKRQIELAEQEFTNAKRIRQQAQAELDKAYALKEHAMKQINSTMLQITCHACKQQFQARNATPDENSLVLSYVSSAITTEGGEVENDNGKEHGKNN >Vigun04g037000.2.v1.2 pep primary_assembly:ASM411807v1:4:3117112:3119899:1 gene:Vigun04g037000.v1.2 transcript:Vigun04g037000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCVYADPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGRLRPESQPLQPSACLSRTASSPSPSSETNFSTAPWPTRIIIPKPTEPPTIFMNNPTAHNIITAETSSKNHKLHPNLDLQLSTPTNTNNNNNNIIINNNNNSSNTANPIDASISTSLSPKRDHHHHHENHSTHLQLSIGSSDVSDKNESNRNSSEKSSNSNNGKPSNMALLRVQEQAREHLRIAMAEKAYAEEARKQAKRQIELAEQEFTNAKRIRQQAQAELDKAYALKEHAMKQINSTMLQITCHACKQQFQARNATPDENSLVLSYVSSAITTEGGEVENDNGKEHGKNN >Vigun04g037000.3.v1.2 pep primary_assembly:ASM411807v1:4:3116361:3119899:1 gene:Vigun04g037000.v1.2 transcript:Vigun04g037000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGRLRPESQPLQPSACLSRTASSPSPSSETNFSTAPWPTRIIIPKPTEPPTIFMNNPTAHNIITAETSSKNHKLHPNLDLQLSTPTNTNNNNNNIIINNNNNSSNTANPIDASISTSLSPKRDHHHHHENHSTHLQLSIGSSDVSDKNESNRNSSEKSSNSNNGKPSNMALLRVQEQAREHLRIAMAEKAYAEEARKQAKRQIELAEQEFTNAKRIRQQAQAELDKAYALKEHAMKQINSTMLQITCHACKQQFQARNATPDENSLVLSYVSSAITTEGGEVENDNGKEHGKNN >VigunL059215.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:15506:15812:1 gene:VigunL059215.v1.2 transcript:VigunL059215.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTRFTNDTCLWGPGPLLLVGKQTTGARIASSPDSDLEAFSHNPTHGSFAPLAFQPSAMTNCANQRFLSY >Vigun10g102350.1.v1.2 pep primary_assembly:ASM411807v1:10:29511840:29513655:1 gene:Vigun10g102350.v1.2 transcript:Vigun10g102350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSPNIDEYYRVATGNSLALTRDIQEEQIVPARYRQEFLTIAWEQVHLRSIYPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSESEKCIVGTGLECQVALDSGVSAIAEHEGNIVYTDTDRIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDATHMTSYGSERITNKIPHLEAKLLRNLDKNGIVILGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQGGSSYNPETIRISILQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQIFECSLGLSGGMLDRHYRITPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSKIFDGRTGNSFKQPALMGKTYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIQTRQEVLGTTIIGGTIPKPTDAPESFRLLVRELRSLAMELNHFLISEKNFRIDRKEA >Vigun06g022175.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:10466839:10467192:-1 gene:Vigun06g022175.v1.2 transcript:Vigun06g022175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHYFPALMMLSDDRHSLVEEFLLEFGIDPAAEAAPIQYLGMIPASDEAVQTSLQKCRVVTENECCSICLEEMNVNDECHKMPCNHAFHHTCILTWLRTSHVCPLCRFPLQTNKE >Vigun09g077550.1.v1.2 pep primary_assembly:ASM411807v1:9:8890994:8895468:-1 gene:Vigun09g077550.v1.2 transcript:Vigun09g077550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFSCNASMFEVYSTLTMPQPSQLQCDVTTTTTRGYLGYMVIQTDDPTMS >Vigun04g096600.1.v1.2 pep primary_assembly:ASM411807v1:4:20563443:20566765:-1 gene:Vigun04g096600.v1.2 transcript:Vigun04g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSLLHLLRSQSSRHFCRTTPSAYQCFRSSTCGRPITPNPSHKTSSHLAVFQRRWASPAPAAEEDDKISIGPRGGGQSVEPDKETGIVYHGPISNTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASSVIFLSASTTAALHWFVSPYIHKLRWQPGSDSFEVEMLSWLATSIPKTIKFSDIRPPETQRPFVTFKANGNFYFVDAEHCHNKALLARLTPQKGTHDSAFKNL >Vigun09g049600.1.v1.2 pep primary_assembly:ASM411807v1:9:4878532:4887820:1 gene:Vigun09g049600.v1.2 transcript:Vigun09g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVNLQLNPERYTGYTGASARRIWDAVYSENCPKCSYEKFIWGLTLKPQSQSLTPLFSYPSHLSSIKTVAVSGSVVASGGDDDTIHLYDLSAASSLGSLHQHSASVTALSFYAPPNLPFPRNLISADAAGSLSIFDADGFVHLTTLSVHRNAAINDLALHPSGERALTVARDNCLAVVNLVRGRRNCCLRLDKEATLVKFNASGDHFFMAAKEKVSVHQTEDARILFELECSKPVLCAAPARNGLLYTGGEDKSITAWDIKTGKVAYCLEEAHTSRVKGIVVLTDTDGATGDDDPYLVASASSDGSIRVWDVRMVAREKPLAEYNTRSRLTCLAGTSLRFRRQKPQDGSKSKIVKRQRVEGGKKVKVDDETIEG >Vigun03g320900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51556823:51559150:1 gene:Vigun03g320900.v1.2 transcript:Vigun03g320900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLLPQEAKDKATADKAPLLDVTQFGYFKVLGKGVLPQNQPLVVKAKLVSKIAEKKIKEAGGAVVLTA >Vigun03g320900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51557002:51557442:1 gene:Vigun03g320900.v1.2 transcript:Vigun03g320900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLLPQEAKDKATADKAPLLDVTQFGYFKVLGKGVLPQNQPLVVKAKLVSKIAEKKIKEAGGAVVLTA >Vigun01g080800.2.v1.2 pep primary_assembly:ASM411807v1:1:22709954:22712222:-1 gene:Vigun01g080800.v1.2 transcript:Vigun01g080800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEEIRNAQRSHGPATILAFGTATPSNCVSQEDYPDYYFRITNSEHMTDLKEKFKRMCEKSMIKKRYMHLTEEFLKENPNMCAYMAPSLDVRQDIVVVEVPKLGKEAARKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDAHLDSLVGQALFGDGAAAMIIGADPDRSVERPIFEMVSASQTILPDSDGAIDGHLREVGLTFHLLKDVPGIISKNIEKSLTEAFAPIGINDWNSIFWIAHPGGPAILDQVEEKLRLKPEKLRSTRHVLSEYGNMSSACVLFILDEMRKKSKEEGKGSTGEGLEWGVLFGFGPGLTVETVVLHSVPLEG >Vigun01g080800.1.v1.2 pep primary_assembly:ASM411807v1:1:22710080:22712335:-1 gene:Vigun01g080800.v1.2 transcript:Vigun01g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEEIRNAQRSHGPATILAFGTATPSNCVSQEDYPDYYFRITNSEHMTDLKEKFKRMCEKSMIKKRYMHLTEEFLKENPNMCAYMAPSLDVRQDIVVVEVPKLGKEAARKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDAHLDSLVGQALFGDGAAAMIIGADPDRSVERPIFEMVSASQTILPDSDGAIDGHLREVGLTFHLLKDVPGIISKNIEKSLTEAFAPIGINDWNSIFWIAHPGGPAILDQVEEKLRLKPEKLRSTRHVLSEYGNMSSACVLFILDEMRKKSKEEGKGSTGEGLEWGVLFGFGPGLTVETVVLHSVPLEG >Vigun06g140300.4.v1.2 pep primary_assembly:ASM411807v1:6:26568353:26572100:-1 gene:Vigun06g140300.v1.2 transcript:Vigun06g140300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLSQKVKAQKFNAAVTVPVGSDLSLRRSAFKAPNLNFRDKSWAPVLTLDLKANSSCLRSRNVVCMSVQQASVPKVSVSPLELEDAEEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIKITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPNYKFGGLAWLFLGVANSDSLLYDEEFSKYLKDYPNNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEEKLSQLKKNKQWHVEVY >Vigun06g140300.3.v1.2 pep primary_assembly:ASM411807v1:6:26568353:26572112:-1 gene:Vigun06g140300.v1.2 transcript:Vigun06g140300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLSQKVKAQKFNQAAVTVPVGSDLSLRRSAFKAPNLNFRDKSWAPVLTLDLKANSSCLRSRNVVCMSVQQASVPKVSVSPLELEDAEEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIKITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPNYKFGGLAWLFLGVANSDSLLYDEEFSKYLKDYPNNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEEKLSQLKKNKQWHVEVY >Vigun06g140300.2.v1.2 pep primary_assembly:ASM411807v1:6:26568353:26572100:-1 gene:Vigun06g140300.v1.2 transcript:Vigun06g140300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLSQAAVTVPVGSDLSLRRSAFKAPNLNFRDKSWAPVLTLDLKANSSCLRSRNVVCMSVQQASVPKVSVSPLELEDAEEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIKITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPNYKFGGLAWLFLGVANSDSLLYDEEFSKYLKDYPNNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEEKLSQLKKNKQWHVEVY >Vigun06g140300.1.v1.2 pep primary_assembly:ASM411807v1:6:26568353:26572100:-1 gene:Vigun06g140300.v1.2 transcript:Vigun06g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLTLSQQAAVTVPVGSDLSLRRSAFKAPNLNFRDKSWAPVLTLDLKANSSCLRSRNVVCMSVQQASVPKVSVSPLELEDAEEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIKITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPNYKFGGLAWLFLGVANSDSLLYDEEFSKYLKDYPNNFRYDRALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEEKLSQLKKNKQWHVEVY >Vigun11g099600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29241981:29242598:1 gene:Vigun11g099600.v1.2 transcript:Vigun11g099600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVSNLQNLQPLLENVVAEKTPKTPAQKTMRKAFKGTAHLSKLLPTGTVLIFQTLSPLFTHQGQCKTVSNKVMTIVLLSLCSISCFVLSFTDSFRDERGKVRYGVASANGIWVMDASVKLPAEEAQKYRLRFIDFLHAFMSILVFLAIALFDGSVVSCFAPKPSEETKELLMILPVGIGTVCSLFFVAFPTQRHGIGFPLSRN >Vigun10g015300.1.v1.2 pep primary_assembly:ASM411807v1:10:1686887:1690904:-1 gene:Vigun10g015300.v1.2 transcript:Vigun10g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMENPLVSLATVSLLFIGFMLIYQCFSYFSNQAILRQKEPLFDQSNLNKSNTEACGDTLECALAKASMGNKTVIIAVVNRAYAEQDVESDTTMLDIFLNSFWLGEGTRSLIHHILIVAVDQIAYDRCQFLKLNCFRLETDGVDFKGEKVYMSQDFVKMMWKRTQFLLEVLKHGYNFIFTDTDVVWLRDPFTMLGKNETEDLQISLDGYLGDPWLQSSPINTGFYFVRSNKKTISLYKKWYAQKDNSTGKKEQDVLSDLIKGGIINQLGLRVRFLDTLYFSSFCQDSKDIRAVITFHAACCRSITAKERDLKVLLSDWKRFKKFQHNNSSQTTKWSTHTWCTQSWGNSLKVKGN >Vigun10g015300.2.v1.2 pep primary_assembly:ASM411807v1:10:1686926:1692323:-1 gene:Vigun10g015300.v1.2 transcript:Vigun10g015300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMENPLVSLATVSLLFIGFMLIYQCFSYFSNQAILRQKEPLFDQSNLNKSNTEACGDTLECALAKASMGNKTVIIAVVNRAYAEQDVESDTTMLDIFLNSFWLGEGTRSLIHHILIVAVDQIAYDRCQFLKLNCFRLETDGVDFKGEKVYMSQDFVKMMWKRTQFLLEVLKHGYNFIFTDTDVVWLRDPFTMLGKNETEDLQISLDGYLGDPWLQSSPINTGFYFVRSNKKTISLYKKWYAQKDNSTGKKEQDVLSDLIKGGIINQLGLRVRFLDTLYFSSFCQDSKDIRAVITFHAACCRSITAKERDLKVLLSDWKRFKKFQHNNSSQTTKWSTHTWCTQSWGNSLKVKGN >Vigun05g075401.1.v1.2 pep primary_assembly:ASM411807v1:5:6831823:6833117:-1 gene:Vigun05g075401.v1.2 transcript:Vigun05g075401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HMELVEYPELTRVRHGKPIFPDNFFCCLKTLEFVAASKIQIVIPSYVLPYMKNIEELKVNSCGAAQVIFDIVDTETRHKSIVFLLKRLTLESLSNLECVWNKNPKGIASFPNLQEVVVNSCDSLKSLFPSSLPRNLGKLKTLRISWCNKLEEIVGKEDAMEHGVSETFEFPSLLSLNLLHLPRLSCFYPGKHHLECPRLETLYVHVCSKLKLFTSEFHCHKETVIHSDQNIVPIMRLQQPLFSVEKVVPKLKELNVNEENITFLSEAHLPRNLLCKLSILYVIFEGYDNNKGTLLFDFLHKVPNLKKLLVSGCYGLTEIFPHEKLQVHDGILVGLELEHPWVKSLSEKLESLTLNNCPRLEKLVPCDVSFVNLKELILTHCKRMEYVCTFSTVKSM >Vigun09g191300.1.v1.2 pep primary_assembly:ASM411807v1:9:36588167:36588746:1 gene:Vigun09g191300.v1.2 transcript:Vigun09g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSSTLRKIKILLVSYMEQNEQKLRKAVSDVSSEIEKYYSELKLERIEEVEQAECQCCGLKEDCTSVYITEVEECYCGKWVCGLCSEAVKEKVGRNPSTVAMQEALNSHRDFCQEYNATRLNPQLSLTLSMREIAKRSFQNRKSKGLSRLSRTTSYP >Vigun06g088000.1.v1.2 pep primary_assembly:ASM411807v1:6:22033178:22035281:1 gene:Vigun06g088000.v1.2 transcript:Vigun06g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDPYISCLHLQSLLISLLVVICGFTIIKVFKNHIIQKPKLPPGPKPWPIVGNLPEMLSNKPVFQWIHNLMQDMNTEIACIRLGNVHVIPVTCPSIACEFLRKHDAEFASRPLTMATDIMSSGYVTIAIVPFGEQWKKMRRIFVNDLFSPLRHHSFQHKRNEEADNIMFYVYNRCNNVNGKGLVNVRDVTQHYCCNVTRKMIFNTRYFGKGRVDGGPGPEEVEQVNAIFKLLKHVYAFSVSDYVAWLRAFDLDGHKRKVKEGMRRMEKYHDPMIEERMKQWKNGAKSVEEDLLDVLISLKDHNNNPTLTTKEIKALTIELMLGGADNPSNAVECTLAEMVNQPHILQQAIDEVDKVVGKQRMVQESDIPNLNYLKACLREAFRLHPVVPFNPPHVSSNDTMVANYFIPKGSHVLLSRLGLGRNPKVWSEPHKFKPERHQKSDGSMVVLSELDLKFISFGTGRRGCPAIILGSTMTVMLLARLIHAFSWSAPPNLSSINTFKSDSGGMLAEPLVLEAKPRLASKLYYN >Vigun03g246200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:40955364:40966524:1 gene:Vigun03g246200.v1.2 transcript:Vigun03g246200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKIGKLVVNYSPKRLHRVAEEKLISLLSSCETCERLHKIQAQIVTYGLQGNDYVTPSFITACMRLGRIDDARRVFDTTVQPNGATWNAMFRGFARLECPFEVVVLFAQMHRAGASPNCFTFPMVVKSCAQTNAVREGEQVHCVVAKRGFKSNAFVGTALIHMYSARGEVFVGDANKVFGEMCEKNVFAWTAIITAHVACRDMASARRLFDLAPLRDVVLWNVVVSGYIELGDMVAARALFDKMPNRDVMSWNTVLNGYACNSEVELFEKLFDEMPERNVYSWNGLIGGYVRNGLFNEALESFKRMLMLPKQEGEGGDVVVVPNDYTIVAVLSACSRLGDLEMGKWVHVYAESIGYKGNLFVGNALIDMYAKCGVIEKALDVFNWLDVKDIITWNTIINGLAMHGHADYALSLFERMKSAGEKPDGVTFVGILSACTHIGLVRDGFLHFQSMVDNYSIVPQIEHYGCMVDLLGRAGLIDQAVDFVRKMPMKPDAVIWAALLGACRMYKNVEIAEVALEHLIELEPNNPANFVMLSNIYKDLGRLEDVARLKIAMRDTGFKKLPGCSVIRCNDSVVEFYSLDERHLETESIYWTLKGLTTLLRLNGYVPNLVDVAHGN >Vigun03g158600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17408051:17409715:1 gene:Vigun03g158600.v1.2 transcript:Vigun03g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWERAAGAIKDKNSIWVAKFARKSEYHNPDLEAVVIKATSHDDQRINSKNVQKVFHWLRTSPVYLKPIVWSLSMRMQKTRSWVVALKGLMLIHGIFCCDIPMVQRMGRLPFDLSNFSDAHLSAEKAWGFNDFIRSYFAYLDQRSAFVSSEVKKLKKNDKEMEVEETLMEELEGLQKLQGLVDMLLQIRPQDENINVGLVLEAMDCVIVEVFGVYSQFCNKIAKVLLRIYEMGGRMEASIGLKVLQKASVQREELSSFFEFCKDIGVLNASQCPQIERISQEDIKDLERIINGASSNKKGGVVANDEDKAIVIRDCSAIVPVSHQKGLMTVITHQWELFDDDYDVIVGGRGMHAVSGEKNIRNTTNPFEESYHIVPYVPAQPLPDLISF >Vigun08g035300.1.v1.2 pep primary_assembly:ASM411807v1:8:3401671:3408220:-1 gene:Vigun08g035300.v1.2 transcript:Vigun08g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLHFSAMRSTIFILLWLLITVHGGSPSRHKILEVERKLKHLRRHSLKTIKSEDGDIINCIDINKQPAFDNPALKDHKIQMAPTHNSAKKDLTVGSRTSRYGKKMNESLIVTSQVWQKSGKCAEGTIPVRRIRKRELLKADSVEEYGRKKPSFSHHEHGEVNKNLDSFVQLKNHSKAILFTVGYRYLGGKADLRVCNPFVEKDDEYSTTQVALLTGPHNDFECVQSGWAVNPSVYGDRQTRLFVYWTADGSKETGCFDLTCPGFIQTNNEIALGAAIYPISIPGGLPYVITIYIFKDPCTNNWWMQYGEKTNIGYWPPELFETILYNAQSVEWGGEVYSARVAQTPHTATQMGNGQFASVLDYSSAITRMRIHDNSEALKIPEWTYDFSDEYNCYDVWYMSEYIYDPQFYYGGPGRNPKCP >Vigun11g166128.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37348704:37348856:-1 gene:Vigun11g166128.v1.2 transcript:Vigun11g166128.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKIYPFRTCTMY >Vigun09g185700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35929756:35933641:1 gene:Vigun09g185700.v1.2 transcript:Vigun09g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVPEQFCSFHRFHVIRARVSDNTVSRPFILSADTGSHFTKLKVTRSRKRSDSRVFAASKSETSGLNGRLQQIVSTSNGDLNGIAMESSASGVNGSRNFEEFASNIHLRKLVRNGELEEGLKFLERMIYQGDIPDVIACTSLIRGFCKGGRTKKATRVMEILENSGAVPDVITYNVLIGGYCKSGDIDKALQVLERMSVAPDVVTYNTILRSLCSSGKLKEAMEVLDRQLQRECYPDVITYTILIEATCNESGVGQAMKLLDEMRKKGCKPDVVTYNVLINGICKEGRLDEAIKFLNNMPSYGCPPNVITHNIILRSMCSTGRWMDAERLLADMLRKGCAPSVVTFNILINFLCRKRLLGRAIDVLEKMPKHGCVPNSLSYNPLLHGFCQEKKMDRAIEYLELMVSRGCYPDIVTYNTLLTALCKDGKVDAAIEILNQLSSKGCSPVLVTYNTVIDGLAKVGKTESAVELLEEMRRKGLKPDIITYSSLLRGLGREGKVDKAIKIFRDMEGLNIKPNAITYNSIMFGLCKAQQTSRAIDFLAYMVEQGCRPTEVTYTILIEGIADEGLAEEALELLNVLSSRGFVKKSSAEQVAVKM >Vigun06g089800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22207187:22209149:-1 gene:Vigun06g089800.v1.2 transcript:Vigun06g089800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTLDAILQDCAFKAFLRPKTGVPYDAQVPRNLTGIRVSAMRLRSGSLRTRGVERYKEFQIPIGVFEQPYVERLVLVYHNLGNWSEKFYPLPGYSYLAPVLGLMSYSGATLNASELPELEIRASDNPILIKFPHVKPPPSGSVPKCVFFDLHGSVQFDILVHGNVCSTVQQGHFSIVVESNAPSPAPAAVAVAADVGKGGSKNKHRVWIIVASFLGGCVLVILLSLLIVKVRRTKQGMKIQQLEWVAESNETLHMASIGGTKAPLAVGTRTRPTIENDYVP >Vigun06g089800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22207190:22209149:-1 gene:Vigun06g089800.v1.2 transcript:Vigun06g089800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGTIFLLPLLLSLPLSIAQLPPDTAMSSRTLDAILQDCAFKAFLRPKTGVPYDAQVPRNLTGIRVSAMRLRSGSLRTRGVERYKEFQIPIGVFEQPYVERLVLVYHNLGNWSEKFYPLPGYSYLAPVLGLMSYSGATLNASELPELEIRASDNPILIKFPHVKPPPSGSVPKCVFFDLHGSVQFDILVHGNVCSTVQQGHFSIVVESNAPSPAPAAVAVAADVGKGGSKNKHRVWIIVASFLGGCVLVILLSLLIVKVRRTKQGMKIQQLEWVAESNETLHMASIGGTKAPLAVGTRTRPTIENDYVP >Vigun06g089800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22207147:22209149:-1 gene:Vigun06g089800.v1.2 transcript:Vigun06g089800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTLDAILQDCAFKAFLRPKTGVPYDAQVPRNLTGIRVSAMRLRSGSLRTRGVERYKEFQIPIGVFEQPYVERLVLVYHNLGNWSEKFYPLPGYSYLAPVLGLMSYSGATLNASELPELEIRASDNPILIKFPHVKPPPSGSVPKCVFFDLHGSVQFDILVHGNVCSTVQQGHFSIVVESNAPSPAPAAVAVAADVGKGGSKNKHRVWIIVASFLGGCVLVILLSLLIVKVRRTKQGMKIQQLEWVAESNETLHMASIGGTKAPLAVGTRTRPTIENDYVP >Vigun02g034400.2.v1.2 pep primary_assembly:ASM411807v1:2:14484303:14486360:1 gene:Vigun02g034400.v1.2 transcript:Vigun02g034400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRIEAVVILSLMLVLPNAEGWGKDGHAIVCKIAQARLDTAAAEAVSKLLPKSAENDLASQCSWPDDVRKVIPWSSALHFADTPDSVCSYDHTMNLRLAQGGVRLAAALNRIFNTKYAAEM >Vigun02g034400.1.v1.2 pep primary_assembly:ASM411807v1:2:14484303:14486360:1 gene:Vigun02g034400.v1.2 transcript:Vigun02g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRIEAVVILSLMLVLPNAEGWGKDGHAIVCKIAQARLDTAAAEAVSKLLPKSAENDLASQCSWPDDVRKVIPWSSALHFADTPDSVCSYDHTMPKMPVNGPIKMPLKVQYLMMNTSCLVFR >Vigun01g044101.2.v1.2 pep primary_assembly:ASM411807v1:1:6323158:6330535:-1 gene:Vigun01g044101.v1.2 transcript:Vigun01g044101.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTVKPFEKGGDSGTRGSSLAGGRRYHFKNTVHIGRKKHILKEKKENKKRRVGGDRRGLGEQDKATVKRERGCTSEGASRGELGDA >Vigun01g044101.1.v1.2 pep primary_assembly:ASM411807v1:1:6323158:6330535:-1 gene:Vigun01g044101.v1.2 transcript:Vigun01g044101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTVKPFEKGGDSGTRGSSLAGGRRYHFKNTVHIGRKKHILKEKKENKKRRVGGDRRGLGEQDKATVKRERGCTSEGASRDDVSGELGDA >Vigun06g102000.2.v1.2 pep primary_assembly:ASM411807v1:6:23214078:23221475:-1 gene:Vigun06g102000.v1.2 transcript:Vigun06g102000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWLQVAELALIPRQFLFTWDAAEELKGVISKKDHELVYLYNSNLVDEIWKESRPRPPNNPVRVHDLKYAGLDVASKLSSLRSELVSAGSSAIVISMLDEIAWLLNLRGSDIPHSPVVYAYLIVEIDGAKLFVDDSKVTEEVRDHLKKADIQIRPYNSIISEVERLAAQGAALWLDTSSVNAAIVNAYGAACDRYYRNRENKHKTRTNGLDGSIESSDLPFAVHKVSPVSISKAIKNESELEGMQNCHLRDAAALARFWDWLETEITKNRTLTEVEVSDKLLDFRSKQAGFLDTSFDTISGSGPNGAIIHYKPEPESCSFVDANKLFLLDSGAQYVDGTTDITRTVHFGKPTAREKECFTRVLQGHIALDQSVFPENTPGFVLDAFARSFLWKVGLDYRHGTGHGVGAALNVHEGPQSISHRFGNLTPLVKGMVVSNEPGYYEDHAFGIRIENLLFVKNVETPNRFGGVQYLGFEKLTYVPIQIKLVDLSLLSVAEIDWLNNYHSVVWEKVSSLLDGSALQWLWNNTRPIIHEKI >Vigun06g102000.1.v1.2 pep primary_assembly:ASM411807v1:6:23214078:23221475:-1 gene:Vigun06g102000.v1.2 transcript:Vigun06g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLALISSSFSLSTRSFFFPLPLLHSRPNPIFFKLKWKKSSLTVQNCSSSSFKVKPSSEIRKPLAESQPDAKLTALRRLFSNPGVDIDAYVIPSQDAHQSEFIAKCYTRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSNWILMRAGNPGVPTTGEWLNEVLAPGGRVGIDPFLFTWDAAEELKGVISKKDHELVYLYNSNLVDEIWKESRPRPPNNPVRVHDLKYAGLDVASKLSSLRSELVSAGSSAIVISMLDEIAWLLNLRGSDIPHSPVVYAYLIVEIDGAKLFVDDSKVTEEVRDHLKKADIQIRPYNSIISEVERLAAQGAALWLDTSSVNAAIVNAYGAACDRYYRNRENKHKTRTNGLDGSIESSDLPFAVHKVSPVSISKAIKNESELEGMQNCHLRDAAALARFWDWLETEITKNRTLTEVEVSDKLLDFRSKQAGFLDTSFDTISGSGPNGAIIHYKPEPESCSFVDANKLFLLDSGAQYVDGTTDITRTVHFGKPTAREKECFTRVLQGHIALDQSVFPENTPGFVLDAFARSFLWKVGLDYRHGTGHGVGAALNVHEGPQSISHRFGNLTPLVKGMVVSNEPGYYEDHAFGIRIENLLFVKNVETPNRFGGVQYLGFEKLTYVPIQIKLVDLSLLSVAEIDWLNNYHSVVWEKVSSLLDGSALQWLWNNTRPIIHEKI >Vigun04g163400.1.v1.2 pep primary_assembly:ASM411807v1:4:38729478:38732521:-1 gene:Vigun04g163400.v1.2 transcript:Vigun04g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTSSDHSNYLRKEECKETGSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFMGKVGADEFGYMLADILKQNNVETSGMRFDSNARTALAFVTLREDGEREFLFFRNPSADMLLQESELDKNLLKQARIFHYGSISLIDEPCKSAHLAAMRIAKNSGCILSYDPNLRLALWPSADAARKSIMDIWDQADVTKISEDEITFLTGGDDPYDDNVVLKKLFHPNLKLLIVTEGSQGCRYYTKAFKGRIGGVKVKPVDTTGAGDAFVSGILYSIATDQTIFQDEKRLRKALYFANICGALTVTERGAIPALPTREAILQFLLEAAVI >Vigun04g163400.2.v1.2 pep primary_assembly:ASM411807v1:4:38729478:38732599:-1 gene:Vigun04g163400.v1.2 transcript:Vigun04g163400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTSSDHSNYLRKEECKETGSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFMGKVGADEFGYMLADILKQNNVETSGMRFDSNARTALAFVTLREDGEREFLFFRNPSADMLLQESELDKNLLKQARIFHYGSISLIDEPCKSAHLAAMRIAKNSGCILSYDPNLRLALWPSADAARKSIMDIWDQADVTKAFKGRIGGVKVKPVDTTGAGDAFVSGILYSIATDQTIFQDEKRLRKALYFANICGALTVTERGAIPALPTREAILQFLLEAAVI >Vigun05g053500.1.v1.2 pep primary_assembly:ASM411807v1:5:4590359:4594800:1 gene:Vigun05g053500.v1.2 transcript:Vigun05g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNLLGFSLSPQEHPSTQDHSQTAPSRFGFNPGGISNTDVAGNCFDLTSDSTPHLLNLPSYGIYEAFHRNNDIPNTTQDWKENYNSQNLLLGTSCSDQNMNNNQHHQQQQQPKLENFLGGHSFGEHDQTYGGNSASTEYMFPTQPVAGNGGSSTSNSNSIGLSMIKTWLRNQPAPSENNNNNNESGGNSGNSVQTLSLSMSTGSQSNTSLPVLSASVENGKSSSDNKQPPPTTAALDTTTTTTPTGATESAPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPINHYEKEVEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLSAVTNFDMSRYDVKSILESTTLPIGGAAKRLKDMEQVELNVEAHRTDQEDHSTTILNSHLPHGGISNNFAGTTAAAATNHHNWHSGLSFHQPQPCTTTMHYPYGQRLWCKQEQHDNSDTSHPLSYPDIHQLQLGSNGTHNFFHTNSVLHPMMNMDSASIDNSSSSNSVVYDGYGGGGGGYVMPLGTGTVVASEGDHSNQRFGDYESVYGSNDAYHAHARNLYYLSQQQSGSVDQGSACNTWVPTGIPTLAPRSLCHGAPPFSLLHE >Vigun03g436000.1.v1.2 pep primary_assembly:ASM411807v1:3:63982369:63991665:1 gene:Vigun03g436000.v1.2 transcript:Vigun03g436000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSNPISPADGVDVIGGGKEQQAAGVGILLQIMMLVLSFVLGHILRRKKVYVLPEASASLLIGLLVGTLANISHTQTSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTFLASIVTGVLVYMAGLMHLIYRLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMTVIKSNPSGQNFFMVVVRFLETFVGSLSAGVGVGFVSALLFKYSGLDIDNLQNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSRSSQRFVSAFFELISSLAETFVFIYMGFDIAMEKHSWSHVGFIFSSIIFIGIARAANVFSCAYLVNLVRPAYRQIPRKHQKALWYSGLRGAMAFALALQSIHDLPNGHGQIILTATTAIVVLTVLLIGGSTGTMLEALQVVGSDSPIIQSPLASVTRSTFGGTNGYLSPEDEESSSGNKIKMRLQEFQRSAASFTALDKNYLTPFFTSQNEDEAMPLTSENMGLDDDDRENYSS >Vigun08g181800.3.v1.2 pep primary_assembly:ASM411807v1:8:35140160:35143139:-1 gene:Vigun08g181800.v1.2 transcript:Vigun08g181800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSNNGTYSTCFFTIDV >Vigun08g181800.2.v1.2 pep primary_assembly:ASM411807v1:8:35140160:35143139:-1 gene:Vigun08g181800.v1.2 transcript:Vigun08g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSNNGTYSTCFFTIDV >Vigun08g181800.1.v1.2 pep primary_assembly:ASM411807v1:8:35140160:35143139:-1 gene:Vigun08g181800.v1.2 transcript:Vigun08g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKLIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTPQLTMARDGKQLTALHRLARMPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSNNGTYSTCFFTIDV >Vigun07g249400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36888471:36889651:1 gene:Vigun07g249400.v1.2 transcript:Vigun07g249400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTKKSRGRQKIEMKKMSNESNLQVTFSKRRTGLFKKASELCTLCAADVALIVFSPGEKVFSFGHPNVDAVIDRYLARAPTQDMGTMQFIEAHRLANVRDLNAQLTQINAQLEAGRQRGEELNRMKKESQAQLWWAQPIEKMSLAQMEQYKAALEELKKLVARLADMAMLESATNQGRHQFFPGAPSSSNLVHYPHPSPVFPQPLIQSPMLQNFMFPDGSIVGHQGFNHMEMGGYAPGPGPAGAFF >Vigun07g219100.3.v1.2 pep primary_assembly:ASM411807v1:7:34186098:34193031:1 gene:Vigun07g219100.v1.2 transcript:Vigun07g219100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSMFCGEAGCSVPGGNACIYDFEFLKDPSSCINHLLFICIDVLLLIMISFAILKKSSEKPSQGVIRVQSYSKLQLVSAIANGSLGLIHLCSGIWLLEENLRKAQTAFPLDWWILEFIQGITWLLLGFTISLQLKNLPRAWLFMFSVVIFLVSGILCVLSLIYSIDNRKLSLKIFLDVLSFPGIILLLLCIYKESKCRDTERENSGSLYTPLTEETNKDDSVGYVTLFSKAGFFSRMSFWWMNPLMKRGKEKILQEEDIPKLREEDQAESCYFLFLDQLNRQKQKEPSSQPSVLTTIVMCYWKEILISGLFALLKVITLSCGPLLLNSFILVAEGHGSFKYEGYVLAISLVFTKIIESLSQRQWYFRTRLIGIKVRSLLIAAIYKKQLRLSNAARLTHSGGEIMNYVNVDANRIGEFPYWFHQTWTTSVQLCIALVVLFRAVGLATFASLAVIVLTVLCNTPLAKLQHKFQRKLMVAQDERLKATSEALVSMKVLKLYAWETNFRNAIEKLRDVELERLSVVQLRRSYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARIVKFLEAPELQSENARRGFSEDTRGSILINSANFSWEDNMSKPTLRNINLEVRPGKKVAICGEVGSGKSTLLAAILREVPITRGTIEVHGKFAYVSQTAWIQTGTIRENILFGAAMDAEKYQETLHRSSLIKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPCSAVDAHTATNLLNDYIMEGLAGKTVLLVTHQVDFLPAFDSVLLMSNGEIIQAAPYHHLLSSSQEFQDLVNAHKETAGSDKLVDVTSSSRNSNTVTEISKIQMENQCETSQGTQLIKKEERERGNRGFKPHLQYLTQNKGYIYFSVASISHLIFTIGQIFQNLWMASSVDNPYVSTLKLIAVYLLIGFISACFLFIRSLVVVAMSIRTSKSLLLQLLDSLFRAPMSFYDSTPLGRILSRVSSDLSTVDLDVPFGLIFAVGATTTCYSNLAVIAAITWQVLFISVPMLYIAFRLQRYYYATAKELMRMNGTTKSYVANHLAESIAGVVTIRAFEEEDRFFAKNLDLIDVNASPYFHTYAANEWLMLRLETISAVVFASAALCMVVLPPGTFNSGFIGMALSYGLSLNSSLVFSIQNQCTLANQIISVERLNQYMHIPSEAPEVIEGNRPPTNWPAEGKVEIHDLKIRYQPDSPLVLRGITCTFEGGHKIGVVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDICSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLAHHSDQEIWEVLGKCQLREVVEEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIKGEFADCTVITVAHRIPTVMDCTKVVAIHEGELVEYDEPMNLMKREESLFGQLVKEYWSHLQAAESH >Vigun07g219100.5.v1.2 pep primary_assembly:ASM411807v1:7:34185700:34193011:1 gene:Vigun07g219100.v1.2 transcript:Vigun07g219100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSMFCGEAGCSVPGGNACIYDFEFLKDPSSCINHLLFICIDVLLLIMISFAILKKSSEKPSQGVIRVQSYSKLQLVSAIANGSLGLIHLCSGIWLLEENLRKAQTAFPLDWWILEFIQGITWLLLGFTISLQLKNLPRAWLFMFSVVIFLVSGILCVLSLIYSIDNRKLSLKIFLDVLSFPGIILLLLCIYKESKCRDTERENSGSLYTPLTEETNKDDSVGYVTLFSKAGFFSRMSFWWMNPLMKRGKEKILQEEDIPKLREEDQAESCYFLFLDQLNRQKQKEPSSQPSVLTTIVMCYWKEILISGLFALLKVITLSCGPLLLNSFILVAEGHGSFKYEGYVLAISLVFTKIIESLSQRQWYFRTRLIGIKVRSLLIAAIYKKQLRLSNAARLTHSGGEIMNYVNVDANRIGEFPYWFHQTWTTSVQLCIALVVLFRAVGLATFASLAVIVLTVLCNTPLAKLQHKFQRKLMVAQDERLKATSEALVSMKVLKLYAWETNFRNAIEKLRDVELERLSVVQLRRSYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARIVKFLEAPELQSENARRGFSEDTRGSILINSANFSWEDNMSKPTLRNINLEVRPGKKVAICGEVGSGKSTLLAAILREVPITRGTIEVHGKFAYVSQTAWIQTGTIRENILFGAAMDAEKYQETLHRSSLIKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPCSAVDAHTATNLLNDYIMEGLAGKTVLLVTHQVDFLPAFDSVLLMSNGEIIQAAPYHHLLSSSQEFQDLVNAHKETAGSDKLVDVTSSSRNSNTVTEISKIQMENQCETSQGTQLIKKEERERGNRGFKPHLQYLTQNKGYIYFSVASISHLIFTIGQIFQNLWMASSVDNPYVSTLKLIAVYLLIGFISACFLFIRSLVVVAMSIRTSKSLLLQLLDSLFRAPMSFYDSTPLGRILSRVSSDLSTVDLDVPFGLIFAVGATTTCYSNLAVIAAITWQVLFISVPMLYIAFRLQRYYYATAKELMRMNGTTKSYVANHLAESIAGVVTIRAFEEEDRFFAKNLDLIDVNASPYFHTYAANEWLMLRLETISAVVFASAALCMVVLPPGTFNSGFIGMALSYGLSLNSSLVFSIQNQCTLANQIISVERLNQYMHIPSEAPEVIEGNRPPTNWPAEGKVEIHDLKIRYQPDSPLVLRGITCTFEGGHKIGVVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDICSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLAHHSDQEIWEVLGKCQLREVVEEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIKGEFADCTVITVAHRIPTVMDCTKVVAIHEGELVEYDEPMNLMKREESLFGQLVKEYWSHLQAAESH >Vigun07g219100.1.v1.2 pep primary_assembly:ASM411807v1:7:34185492:34193031:1 gene:Vigun07g219100.v1.2 transcript:Vigun07g219100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSMFCGEAGCSVPGGNACIYDFEFLKDPSSCINHLLFICIDVLLLIMISFAILKKSSEKPSQGVIRVQSYSKLQLVSAIANGSLGLIHLCSGIWLLEENLRKAQTAFPLDWWILEFIQGITWLLLGFTISLQLKNLPRAWLFMFSVVIFLVSGILCVLSLIYSIDNRKLSLKIFLDVLSFPGIILLLLCIYKESKCRDTERENSGSLYTPLTEETNKDDSVGYVTLFSKAGFFSRMSFWWMNPLMKRGKEKILQEEDIPKLREEDQAESCYFLFLDQLNRQKQKEPSSQPSVLTTIVMCYWKEILISGLFALLKVITLSCGPLLLNSFILVAEGHGSFKYEGYVLAISLVFTKIIESLSQRQWYFRTRLIGIKVRSLLIAAIYKKQLRLSNAARLTHSGGEIMNYVNVDANRIGEFPYWFHQTWTTSVQLCIALVVLFRAVGLATFASLAVIVLTVLCNTPLAKLQHKFQRKLMVAQDERLKATSEALVSMKVLKLYAWETNFRNAIEKLRDVELERLSVVQLRRSYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARIVKFLEAPELQSENARRGFSEDTRGSILINSANFSWEDNMSKPTLRNINLEVRPGKKVAICGEVGSGKSTLLAAILREVPITRGTIEVHGKFAYVSQTAWIQTGTIRENILFGAAMDAEKYQETLHRSSLIKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPCSAVDAHTATNLLNDYIMEGLAGKTVLLVTHQVDFLPAFDSVLLMSNGEIIQAAPYHHLLSSSQEFQDLVNAHKETAGSDKLVDVTSSSRNSNTVTEISKIQMENQCETSQGTQLIKKEERERGNRGFKPHLQYLTQNKGYIYFSVASISHLIFTIGQIFQNLWMASSVDNPYVSTLKLIAVYLLIGFISACFLFIRSLVVVAMSIRTSKSLLLQLLDSLFRAPMSFYDSTPLGRILSRVSSDLSTVDLDVPFGLIFAVGATTTCYSNLAVIAAITWQVLFISVPMLYIAFRLQRYYYATAKELMRMNGTTKSYVANHLAESIAGVVTIRAFEEEDRFFAKNLDLIDVNASPYFHTYAANEWLMLRLETISAVVFASAALCMVVLPPGTFNSGFIGMALSYGLSLNSSLVFSIQNQCTLANQIISVERLNQYMHIPSEAPEVIEGNRPPTNWPAEGKVEIHDLKIRYQPDSPLVLRGITCTFEGGHKIGVVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDICSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLAHHSDQEIWEVLGKCQLREVVEEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIKGEFADCTVITVAHRIPTVMDCTKVVAIHEGELVEYDEPMNLMKREESLFGQLVKEYWSHLQAAESH >Vigun07g219100.4.v1.2 pep primary_assembly:ASM411807v1:7:34186098:34193032:1 gene:Vigun07g219100.v1.2 transcript:Vigun07g219100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSMFCGEAGCSVPGGNACIYDFEFLKDPSSCINHLLFICIDVLLLIMISFAILKKSSEKPSQGVIRVQSYSKLQLVSAIANGSLGLIHLCSGIWLLEENLRKAQTAFPLDWWILEFIQGITWLLLGFTISLQLKNLPRAWLFMFSVVIFLVSGILCVLSLIYSIDNRKLSLKIFLDVLSFPGIILLLLCIYKESKCRDTERENSGSLYTPLTEETNKDDSVGYVTLFSKAGFFSRMSFWWMNPLMKRGKEKILQEEDIPKLREEDQAESCYFLFLDQLNRQKQKEPSSQPSVLTTIVMCYWKEILISGLFALLKVITLSCGPLLLNSFILVAEGHGSFKYEGYVLAISLVFTKIIESLSQRQWYFRTRLIGIKVRSLLIAAIYKKQLRLSNAARLTHSGGEIMNYVNVDANRIGEFPYWFHQTWTTSVQLCIALVVLFRAVGLATFASLAVIVLTVLCNTPLAKLQHKFQRKLMVAQDERLKATSEALVSMKVLKLYAWETNFRNAIEKLRDVELERLSVVQLRRSYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARIVKFLEAPELQSENARRGFSEDTRGSILINSANFSWEDNMSKPTLRNINLEVRPGKKVAICGEVGSGKSTLLAAILREVPITRGTIEVHGKFAYVSQTAWIQTGTIRENILFGAAMDAEKYQETLHRSSLIKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPCSAVDAHTATNLLNDYIMEGLAGKTVLLVTHQVDFLPAFDSVLLMSNGEIIQAAPYHHLLSSSQEFQDLVNAHKETAGSDKLVDVTSSSRNSNTVTEISKIQMENQCETSQGTQLIKKEERERGNRGFKPHLQYLTQNKGYIYFSVASISHLIFTIGQIFQNLWMASSVDNPYVSTLKLIAVYLLIGFISACFLFIRSLVVVAMSIRTSKSLLLQLLDSLFRAPMSFYDSTPLGRILSRVSSDLSTVDLDVPFGLIFAVGATTTCYSNLAVIAAITWQVLFISVPMLYIAFRLQRYYYATAKELMRMNGTTKSYVANHLAESIAGVVTIRAFEEEDRFFAKNLDLIDVNASPYFHTYAANEWLMLRLETISAVVFASAALCMVVLPPGTFNSGFIGMALSYGLSLNSSLVFSIQNQCTLANQIISVERLNQYMHIPSEAPEVIEGNRPPTNWPAEGKVEIHDLKIRYQPDSPLVLRGITCTFEGGHKIGVVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDICSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLAHHSDQEIWEVLGKCQLREVVEEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIKGEFADCTVITVAHRIPTVMDCTKVVAIHEGELVEYDEPMNLMKREESLFGQLVKEYWSHLQAAESH >Vigun07g219100.2.v1.2 pep primary_assembly:ASM411807v1:7:34186098:34193032:1 gene:Vigun07g219100.v1.2 transcript:Vigun07g219100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSMFCGEAGCSVPGGNACIYDFEFLKDPSSCINHLLFICIDVLLLIMISFAILKKSSEKPSQGVIRVQSYSKLQLVSAIANGSLGLIHLCSGIWLLEENLRKAQTAFPLDWWILEFIQGITWLLLGFTISLQLKNLPRAWLFMFSVVIFLVSGILCVLSLIYSIDNRKLSLKIFLDVLSFPGIILLLLCIYKESKCRDTERENSGSLYTPLTEETNKDDSVGYVTLFSKAGFFSRMSFWWMNPLMKRGKEKILQEEDIPKLREEDQAESCYFLFLDQLNRQKQKEPSSQPSVLTTIVMCYWKEILISGLFALLKVITLSCGPLLLNSFILVAEGHGSFKYEGYVLAISLVFTKIIESLSQRQWYFRTRLIGIKVRSLLIAAIYKKQLRLSNAARLTHSGGEIMNYVNVDANRIGEFPYWFHQTWTTSVQLCIALVVLFRAVGLATFASLAVIVLTVLCNTPLAKLQHKFQRKLMVAQDERLKATSEALVSMKVLKLYAWETNFRNAIEKLRDVELERLSVVQLRRSYSNFLFWASPVLVSAASFGACYLLDVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFARIVKFLEAPELQSENARRGFSEDTRGSILINSANFSWEDNMSKPTLRNINLEVRPGKKVAICGEVGSGKSTLLAAILREVPITRGTIEVHGKFAYVSQTAWIQTGTIRENILFGAAMDAEKYQETLHRSSLIKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPCSAVDAHTATNLLNDYIMEGLAGKTVLLVTHQVDFLPAFDSVLLMSNGEIIQAAPYHHLLSSSQEFQDLVNAHKETAGSDKLVDVTSSSRNSNTVTEISKIQMENQCETSQGTQLIKKEERERGNRGFKPHLQYLTQNKGYIYFSVASISHLIFTIGQIFQNLWMASSVDNPYVSTLKLIAVYLLIGFISACFLFIRSLVVVAMSIRTSKSLLLQLLDSLFRAPMSFYDSTPLGRILSRVSSDLSTVDLDVPFGLIFAVGATTTCYSNLAVIAAITWQVLFISVPMLYIAFRLQRYYYATAKELMRMNGTTKSYVANHLAESIAGVVTIRAFEEEDRFFAKNLDLIDVNASPYFHTYAANEWLMLRLETISAVVFASAALCMVVLPPGTFNSGFIGMALSYGLSLNSSLVFSIQNQCTLANQIISVERLNQYMHIPSEAPEVIEGNRPPTNWPAEGKVEIHDLKIRYQPDSPLVLRGITCTFEGGHKIGVVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDICSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLAHHSDQEIWEVLGKCQLREVVEEKEEGLDSSVVEAGANWSMGQRQLFCLGRALLRKSRILVLDEATASIDNATDLILQKTIKGEFADCTVITVAHRIPTVMDCTKVVAIHEGELVEYDEPMNLMKREESLFGQLVKEYWSHLQAAESH >Vigun06g025000.1.v1.2 pep primary_assembly:ASM411807v1:6:11555531:11564566:-1 gene:Vigun06g025000.v1.2 transcript:Vigun06g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPGQLNVNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHNANLTNRVITLWYRPPELLLGTTRYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKTPWYNQFKPTRPMKRRLREVFRHFDRHALELLEKMLTLDPAQRITAKDALDGEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEENAKRLKMQHPQQHSRLPPIQQAGQQHPQMRQGPNHAIHGSQSGVTTGPSHHYGKPRGPSGGPGRYPPGGNPGGGYNHPNRGGQGGGGGSYGSGPYPPQGRGASYGSSGMPGGPNGGPRGGGGSGYGVGAPNYPQGAPYGGSAAGRGSNMMGGNRNQQYGWQQ >Vigun03g158300.2.v1.2 pep primary_assembly:ASM411807v1:3:17322111:17327658:1 gene:Vigun03g158300.v1.2 transcript:Vigun03g158300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLTVPFVQEIAKEALTSVPERYVRPLHERPTLSSTTTPLPQVPVIDLSKLFSQDLKESELENLHSACKEWGFFQLINHGVSNSLVEKVKRGVHELFNLPIEEKKMFAQREGEGVEGYGQAFVVSEEQKLEWADMFFMLTLPPQVRKPYLFPKLPLPFRDDLERYCGELKKLAMKIIELMGSALSVERKEIRELFGEGVQSMRMNYYPPCPEPEVVMGLNPHSDGGGLTILLQANEMEGLQINKDGMWIPVKPLPNSFIINLGDMLEIMTNGIYRSIEHRATVNSEKERISIATFYNPGMEVTLGPAPSLVTPKTPPLFRSIKVTEYYAGYLSRELKGRSYLDTMRIPNNDHKTSSHCFDSKHTHSTNI >Vigun03g158300.3.v1.2 pep primary_assembly:ASM411807v1:3:17322000:17328633:1 gene:Vigun03g158300.v1.2 transcript:Vigun03g158300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLTVPFVQEIAKEALTSVPERYVRPLHERPTLSSTTTPLPQVPVIDLSKLFSQDLKESELENLHSACKEWGFFQLINHGVSNSLVEKVKRGVHELFNLPIEEKKMFAQREGEGVEGYGQAFVVSEEQKLEWADMFFMLTLPPQVRKPYLFPKLPLPFRDDLERYCGELKKLAMKIIELMGSALSVERKEIRELFGEGVQSMRMNYYPPCPEPEVVMGLNPHSDGGGLTILLQANEMEGLQINKDGMWIPVKPLPNSFIINLGDMLEIMTNGIYRSIEHRATVNSEKERISIATFYNPGMEVTLGHMEAVHFNKHGLLHQENKSGKSKKTCVN >Vigun03g158300.1.v1.2 pep primary_assembly:ASM411807v1:3:17322000:17328633:1 gene:Vigun03g158300.v1.2 transcript:Vigun03g158300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLTVPFVQEIAKEALTSVPERYVRPLHERPTLSSTTTPLPQVPVIDLSKLFSQDLKESELENLHSACKEWGFFQLINHGVSNSLVEKVKRGVHELFNLPIEEKKMFAQREGEGVEGYGQAFVVSEEQKLEWADMFFMLTLPPQVRKPYLFPKLPLPFRDDLERYCGELKKLAMKIIELMGSALSVERKEIRELFGEGVQSMRMNYYPPCPEPEVVMGLNPHSDGGGLTILLQANEMEGLQINKDGMWIPVKPLPNSFIINLGDMLEIMTNGIYRSIEHRATVNSEKERISIATFYNPGMEVTLGPAPSLVTPKTPPLFRSIKVTEYYAGYLSRELKGRSYLDTMRIPNNDHKTSSHCFDSKHTHSTNI >Vigun02g177900.1.v1.2 pep primary_assembly:ASM411807v1:2:31931546:31932852:-1 gene:Vigun02g177900.v1.2 transcript:Vigun02g177900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSVALVLPPKCKFWQKYSMNGSRGLLTTSTPKKQEENEASKTRIKLADPIVAYSKPPPLPPVIGPLVVLSLLGSTDERDK >Vigun06g142400.1.v1.2 pep primary_assembly:ASM411807v1:6:26805870:26811594:1 gene:Vigun06g142400.v1.2 transcript:Vigun06g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPRTLASSILHSHTLPRAPCRFRFHFRFPSTFCTLTFSPSKFPAKSSSRLTVFSASSTDHHHSTPQDLAVLLEVDGVLMDAHRVGNRIAFNKAFERLGLDCANWTEPVYSDLSKRAAGDEEKMVFLYFNRIGWPASLPTNEQGLFAKRVLQQKEKALEEFVMSKNLPLRPGLEQFIDDAYNEGIPVVILTAYSKSGDSIAGSIMEKLGKDRSIKVIIVGNKEVDQSLYGQLVSGKVIASDLDEELAKEANRAVSAEKQRLAKEVASMLKLSVEIDTNSSESLAKIVTALRAGAEYAGLPVCNCVLVAGSQSGVSGATQVGMPCVVLRSSLTSRAEFPLANATVDGFGGADLTIPKLRNIRPKNEQRTE >Vigun08g213000.1.v1.2 pep primary_assembly:ASM411807v1:8:37417633:37425837:1 gene:Vigun08g213000.v1.2 transcript:Vigun08g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDVTIYTNSTIKKVKRQRQRECTTVCCCYIFISPFFRFSFTPFLFRLPTILSLQRSLSMGTKSAVDLMESSSEVHFSGVYMDGIDQRNAGTEQPTTSATDMNKQPFVIGVAGGAASGKTSVCDMIIQQLHDQRVVLVNQDSFYHNLSEAELIRVQDYNFDHPEAFDTEHLLRVMDKLKRGQAVDIPKYDFKGYKSGVFPARRVNLADVIILEGILVFHDPRVRALMNMKIFVDTDADIRLARRIKRDTADNARDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRMKLGQHDLCKIYPSLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYSGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPKDISDRHVLLLDPILGTGNSAVQAISLLLKKGVPEFNIIFLNLISAPQGVHVVCKSFPKIKIVTSDIEIGLNEDFRVIPGMGEFGDRYFGTDDDQQVLLPSH >Vigun08g213000.2.v1.2 pep primary_assembly:ASM411807v1:8:37417916:37425837:1 gene:Vigun08g213000.v1.2 transcript:Vigun08g213000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMGTKSAVDLMESSSEVHFSGVYMDGIDQRNAGTEQPTTSATDMNKQPFVIGVAGGAASGKTSVCDMIIQQLHDQRVVLVNQDSFYHNLSEAELIRVQDYNFDHPEAFDTEHLLRVMDKLKRGQAVDIPKYDFKGYKSGVFPARRVNLADVIILEGILVFHDPRVRALMNMKIFVDTDADIRLARRIKRDTADNARDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRMKLGQHDLCKIYPSLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYSGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPKDISDRHVLLLDPILGTGNSAVQAISLLLKKGVPEFNIIFLNLISAPQGVHVVCKSFPKIKIVTSDIEIGLNEDFRVIPGMGEFGDRYFGTDDDQQVLLPSH >Vigun05g116900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12491956:12495814:1 gene:Vigun05g116900.v1.2 transcript:Vigun05g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSSSFWCYRCNRVVRVSLAAEAQRDPTILCPDCHSGFLEELHTPPHSRRSTRAGSPFNPVIVLRGGNGNENASANENENDVASGNFELYYNDGVSGPGPSGLRPLPAGVTDFLMGSSGFDHLLDQLDGTAVRLDRAASKAAIESMPVVKILASHAHAESHCAVCMENFQVDCDAREMPCGHVYHSECIVPWLSVRNSCPVCRRVVPSDEVDDNNTMGLTIWRLPGGGFAVGRLIGGRELPLVYTEMDGAFNASNGVPRRVSWDSSIGRSRESRGFASAFRNLVSYFGRVRSSFSRGTRNSRLNGRSRSATTIFSRFRSRSRF >Vigun05g116900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12491923:12495814:1 gene:Vigun05g116900.v1.2 transcript:Vigun05g116900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSSSFWCYRCNRVVRVSLAAEAQRDPTILCPDCHSGFLEELHTPPHSRRSTRAGSPFNPVIVLRGGNGNENASANENENDVASGNFELYYNDGVSGPGPSGLRPLPAGVTDFLMGSSGFDHLLDQLDGTAVRLDRAASKAAIESMPVVKILASHAHAESHCAVCMENFQVDCDAREMPCGHVYHSECIVPWLSVRNSCPVCRRVVPSDEVDDNNTMGLTIWRLPGGGFAVGRLIGGRELPLVYTEMDGAFNASNGVPRRVSWDSSIGRSRESRGFASAFRNLVSYFGRVRSSFSRGTRNSRLNGRSRSATTIFSRFRSRSRF >Vigun05g116900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12491923:12495814:1 gene:Vigun05g116900.v1.2 transcript:Vigun05g116900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSSSFWCYRCNRVVRVSLAAEAQRDPTILCPDCHSGFLEELHTPPHSRRSTRAGSPFNPVIVLRGGNGNENASANENENDVASGNFELYYNDGVSGPGPSGLRPLPAGVTDFLMGSSGFDHLLDQLDGTAVRLDRAASKAAIESMPVVKILASHAHAESHCAVCMENFQVDCDAREMPCGHVYHSECIVPWLSVRNSCPVCRRVVPSDEVDDNNTMGLTIWRLPGGGFAVGRLIGGRELPLVYTEMDGAFNASNGVPRRVSWDSSIGRSRESRGFASAFRNLVSYFGRVRSSFSRGTRNSRLNGRSRSATTIFSRFRSRSRF >Vigun07g077900.1.v1.2 pep primary_assembly:ASM411807v1:7:10555264:10572898:-1 gene:Vigun07g077900.v1.2 transcript:Vigun07g077900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRVHFAIISIASLALCTSADYFSVNFSYSGPYGPANWGRLRPSYAACSNGKAQSPVELIKTDIEMNKELKNIARNYLPTNATLVNNIFNIGVHFEGKAGDININGRNYSLKQLHWHSPSEHKANGRIHDAELHLVHLTEDIKNIAVVAVLYKLGDPDPLISQFEEKLVELGNEKEIAIGTFDLEEINKSSRRRYYRYVGSLTTPPCKEGVVWTILGKLRTLSSEQLKLLKAPLNPEFKHNARPLQQINGRKIEMYYHPNNHHATRVSP >Vigun03g069200.1.v1.2 pep primary_assembly:ASM411807v1:3:5740066:5741615:-1 gene:Vigun03g069200.v1.2 transcript:Vigun03g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFCMVLVMALCHLQGGQGEALKDIGVNWGAMASTPLYPPVVVDLLKENGISRIKLFDADPWTVGAFSGSDIEVMVGIPNEMLKKLSKDKDNAEHWVKHNVSKQVDGGVNIRYISVGNEPFLKSYNDSFVGITLPAMKNVQRAINKAGLGDKIKVTSALNADVYESATDKPSDGTFRKDIYDIMKQIVSFLDENKSPFLVNIYPFLSLYQNEDFPKDYAFFDGNAKGTDDKNVHYTNMFDANLDTLIWSLKKAGHPNVSIVIGEVGWPTDGDKNANEENAKRFYRGFLKRMASKKGTPLHPKSMITYLFSLTDENQKSIAPGDFERHWGIFTYDGKPKFSIDFSGKGQDKMPIAAKGVVYQEKKWCVLKQVNNNNKQAVGEALTYACSMGDCTSLGFARSCANLNLAGNVSYAFNQYFQINDQSVEACDFNGLAEIVPNDPSKGSCHFPIALMSSANIVKAMHHVKGYLIAFTLIFTFFL >Vigun02g126100.1.v1.2 pep primary_assembly:ASM411807v1:2:27832930:27834837:-1 gene:Vigun02g126100.v1.2 transcript:Vigun02g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNERSMLTRVVPVARFISRRLRAVRQVHGDGDGDGDGEGRLHFHKSKGQHILINPRILDTIVRKSAINPTDTVLEIGPGTGNLTIKLLEAAHKVVAFEIDHRMVQVLVKRALRQGLNDKLRVIKKDAVKALFPRFDLVVANIPYQISSPLVMKLVYGATPFRSATLLLQKEFAQRLVANPGDSEFSRLSVNVKLLADVELVMNVSKRDFLPSPKVDSSVVIIRPKPQVPNVDLREWRAFTSNCFNNRYKTLGATFKNKRKVFELLKISNENDKASSHKGDDAEDEVGFTSFKEKIIGVLRTGEFEDKRPAKLSIEELLHLLSLFNQAGIYFSNHGHLKREDRFDDADE >Vigun01g228800.3.v1.2 pep primary_assembly:ASM411807v1:1:40137481:40140190:1 gene:Vigun01g228800.v1.2 transcript:Vigun01g228800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAITGIMADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDWMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQETLESKVNESKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >Vigun01g228800.2.v1.2 pep primary_assembly:ASM411807v1:1:40137481:40140190:1 gene:Vigun01g228800.v1.2 transcript:Vigun01g228800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAITGIMADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDWMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQETLESKVNESKAMVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKSYRVF >Vigun05g162300.1.v1.2 pep primary_assembly:ASM411807v1:5:25800790:25802367:1 gene:Vigun05g162300.v1.2 transcript:Vigun05g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSYLTISPRKLRSDLYSYSYQEDSSTPLVINVLASLIERSMARTERIVKNGSCSLSKAISTNIFYCRDIPDMTIQSYLERIFRYTRAIPSVYVVAYVYIDRFCHNNPGFRINPRNVHRLLITTIMLASKYVEDMNYRNSYFGKVGGLTTEELNKLELEFLFLMDFKLHVNVSVFESYCCHLEREVSIGGGYHIERTLRCAEEIKQGIEKRRVSHTLLV >VigunL020301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000256.1:44443:44769:1 gene:VigunL020301.v1.2 transcript:VigunL020301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun02g167300.1.v1.2 pep primary_assembly:ASM411807v1:2:31110525:31111957:1 gene:Vigun02g167300.v1.2 transcript:Vigun02g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHKSSSGSALGPGGLDLTQAFFKSVMNAAPSSPTKRHTKISIVGAGNVGMAIAQTILTQDLTDELVLVDAIPDKLRGEMLDLQHAAAFLPRTRIQASTDYSVTAGSDLCIVTAGARQIHGESRLNLLQRNVTLFHKIIPPLVRYSPDTILLIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAFIVGEHGDSSVALWSSISVGGVPVLSFLEKQEITYEKEMLENIHKEVTESAYEVISLKGYTSWAIGYSVANLARSILRDQRRVHPVSVLAKGFYDIDGEVFLSLPAQLGRGGVLGVTNVHLTEEEKHRLMNSATTILQVQNQLTI >Vigun08g181000.1.v1.2 pep primary_assembly:ASM411807v1:8:35060283:35063009:-1 gene:Vigun08g181000.v1.2 transcript:Vigun08g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVKGSDVPHIQITITADQNHYQNLNRKITADEFMKRTEYEGSYELYWNARMDKWSEAHDIVKKDPNSVRIPLTARDDTVLHVAAGAGSYTFVEELAKLMNPEDVLRPNSDQMLPVHLAALSSHHRIVQLLCSHHLLDKMTYKDIEKLFFMTISNNMFGVSMELFRQRPTELAIARDEEKLTALHMLARKPSEILLEDNDKEGSTPKGLALFMLLWVNVSLLEKEKVMVLINEPSAVLLDAIKSGNIDATGWLITQNLKLFMTIKDPSNGRNLLHLLVQYRHPDKSIKRVHGKKEHLLFAVDNDGNNVLHLAAHLPLQFQSFSGLRASKQMLNEVQWFKSMERSFPSELSRMRNNKGKRPVDVFYDEHKQLSEEIKGAAKVTAKSGMLVATLDATVAFAAVLTVPGGDKNKNSDWFIVFILTNTVALFTSSASILSFLSNFTSSRFSESEFVTLHPGLIFGPPLLIISVGAMVLAFTAASFLIFDIKTKWVSYVVALMWVFPLFFYLLFQFSIFDFILLRRYGMSKLE >Vigun02g015066.1.v1.2 pep primary_assembly:ASM411807v1:2:5501204:5502774:1 gene:Vigun02g015066.v1.2 transcript:Vigun02g015066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNKLDNIYEPYYSSKYKIYIFSEIVKVSKQSSHLDLPSKFANFIREVKANNVDMYINGHDHCLQHISSINSPLLYLTSGGGSKAWRGDVKESDSDVKFFYDGQGFMSVQITETETKFAFYNAFGDRIHHSRVTKSTMHPVS >Vigun11g004200.1.v1.2 pep primary_assembly:ASM411807v1:11:387656:389223:1 gene:Vigun11g004200.v1.2 transcript:Vigun11g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFALSFCQSSLFCKPSFQIRCCSQSQNKAGTSHFQTKKKCLRCNTLYSDQDNSPLSCSFHGHTNGDKGLFSLAPPHQGIDGDWSEKSGVIVYKWNEKNNRPNTGRANWKKRWSCCGEYDENAPPCRHGSHVSYDDGFTLY >Vigun11g213500.5.v1.2 pep primary_assembly:ASM411807v1:11:40824872:40825793:1 gene:Vigun11g213500.v1.2 transcript:Vigun11g213500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLSTQFRSIKCPNPNPKLSKSSSPTKSELKFDAKPKPESESWCVYLILSTNHPIKTYVGITNNFPRRLKQHNGELNGGAKASRAGRPWICACLICGFADRREGICLVFVFFYVHQGTT >Vigun11g213500.3.v1.2 pep primary_assembly:ASM411807v1:11:40824941:40826063:1 gene:Vigun11g213500.v1.2 transcript:Vigun11g213500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLSTQFRSIKCPNPNPKLSKSSSPTKSELKFDAKPKPESESWCVYLILSTNHPIKTYVGITNNFPRRLKQHNGELNGGAKASRAGRPWICACLICGFADRREGPSLSPEMLFSGNYLRFSYSPVHKFLS >Vigun11g213500.1.v1.2 pep primary_assembly:ASM411807v1:11:40824872:40827465:1 gene:Vigun11g213500.v1.2 transcript:Vigun11g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLSTQFRSIKCPNPNPKLSKSSSPTKSELKFDAKPKPESESWCVYLILSTNHPIKTYVGITNNFPRRVVLLYPRVYVFRIPSLKQHNGELNGGAKASRAGRPWICACLICGFADRREASIFESKWKAISKRAPRKNKNEHLSEESEDPSLPLLRHRQAALKKVKGSLDCTHLEFIWLLDPS >Vigun11g213500.2.v1.2 pep primary_assembly:ASM411807v1:11:40824872:40827465:1 gene:Vigun11g213500.v1.2 transcript:Vigun11g213500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLSTQFRSIKCPNPNPKLSKSSSPTKSELKFDAKPKPESESWCVYLILSTNHPIKTYVGITNNFPRRLKQHNGELNGGAKASRAGRPWICACLICGFADRREASIFESKWKAISKRAPRKNKNEHLSEESEDPSLPLLRHRQAALKKVKGSLDCTHLEFIWLLDPS >Vigun10g122200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32982161:32983038:1 gene:Vigun10g122200.v1.2 transcript:Vigun10g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQQKLTLEITVMSGENISVDRNSVSDEVYVVVRAESLKCCTTQMKNGDDGVHAWNEKLLLEVPSYARSVTFEVQCKKYKGFRPVGVARIALSDLLLAKNNNVLSESVSQMFCYGLRSWEGRRNGVLHFAVKVVDNLSAQAKPEKDIEMVSCRGFENEVTGFHVNPKNSSHGITAIPVKVH >Vigun06g080300.2.v1.2 pep primary_assembly:ASM411807v1:6:21227567:21240426:1 gene:Vigun06g080300.v1.2 transcript:Vigun06g080300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRKIHFDTTDDELTEHEEEEAEVEHFDDFTVASSWERFISEIEAVLRAWMSNAPNNLLEKGAVPLEDSGNLYKVKSEMKYAMKSYCMEFYFKTDPDGEIADWNFDLHDLQLCFGVNEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRFAMKLTFRTLPYDDDNMKAAKISKSEENVTGEISDGMQWDDDCSWSEWYSAEDPVKGFELIAIWSEKMVENSMEMAELENASPHEAEKWLISLRLEGSSGNPVGFSSELCLLVDALQMSFEAQFMEDFVSVENPGSDNIKSAMVIPSPTVRDRVLKELFIDGVQFSDFADSGHNKTSRAIKGAPLGSLFAQFCLHSLWFGNCNIRAIAVLWIEFVREVRWCWEESQLLPRMPTNGSIDLSTCLINQKLQMLAICIERKIQLNEEYEDCIGSLDQIDSMSEDESVIGDDSVSIHTSSDGFSGKVDRKVEDVHLSNDKETSDLTRRGSAGIVDSMMLLKSHQSMHAPYTQEAPLMTEDMHEERLKAVEAFGDSFNFSAQLERDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEEYDDPEKSKSSSSSALDSKKSKDSWPPQGKLSKRMSEHGNLWRKLWNSAPSLPASEQKPLLDPNREGEKVLHYLETLPPHELLEQMVCTAFRAAVDTLSQTSYGELKQIETEMQQLYLTMASALRPLQVNRLSGDSETIEDLRRLTGTIERVEKLLTLAASLHRKLLKAPRLSTEIFSDYYNFYIQTTAKGFSEDIGEKEFDKKQEVRDVEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPIVREIIFSLRDRVSGNHYAARCGNVSQQEIQTYRMYICGTSNDLRVALSVVSGD >Vigun06g080300.1.v1.2 pep primary_assembly:ASM411807v1:6:21227632:21240249:1 gene:Vigun06g080300.v1.2 transcript:Vigun06g080300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRKIHFDTTDDELTEHEEEEAEVEHFDDFTVASSWERFISEIEAVLRAWMSNAPNNLLEKGAVPLEDSGNLYKVKSEMKYAMKSYCMEFYFKTDPDGEIADWNFDLHDLQLCFGVNEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRFAMKLTFRTLPYDDDNMKAAKISKSEENVTGEISDGMQWDDDCSWSEWYSAEDPVKGFELIAIWSEKMVENSMEMAELENASPHEAEKWLISLRLEGSSGNPVGFSSELCLLVDALQMSFEAQFMEDFVSVENPGSDNIKSAMVIPSPTVRDRVLKELFIDGVQFSDFADSGHNKTSRAIKGAPLGSLFAQFCLHSLWFGNCNIRAIAVLWIEFVREVRWCWEESQLLPRMPTNGSIDLSTCLINQKLQMLAICIERKIQLNEEYEDCIGSLDQIDSMSEIESQDESVIGDDSVSIHTSSDGFSGKVDRKVEDVHLSNDKETSDLTRRGSAGIVDSMMLLKSHQSMHAPYTQEAPLMTEDMHEERLKAVEAFGDSFNFSAQLERDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEEYDDPEKSKSSSSSALDSKKSKDSWPPQGKLSKRMSEHGNLWRKLWNSAPSLPASEQKPLLDPNREGEKVLHYLETLPPHELLEQMVCTAFRAAVDTLSQTSYGELKQIETEMQQLYLTMASALRPLQVNRLSGDSETIEDLRRLTGTIERVEKLLTLAASLHRKLLKAPRLSTEIFSDYYNFYIQTTAKGFSEDIGEKEFDKKQEVRDVEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPIVREIIFSLRDRVSGNHYAARCGNVSQQEIQTYRMYICGTSNDLRVALSVVSGD >Vigun02g129200.1.v1.2 pep primary_assembly:ASM411807v1:2:28073845:28075576:-1 gene:Vigun02g129200.v1.2 transcript:Vigun02g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKILVIGGTGYIGKFIVKASTEAGHPTFVLVREKTVSDPQKSILIETFKTSGATLLYGDLNDHESLVKALKEVDVVISTVGGEQIDDQVKLIAAIKEAGNIKRFLPSEFGLDVESHNAVEPAASFFNKKVKIRRTIEAEEIPYTYVCSYGFFGYYLSTLGQQNVTAPPRDKVVILGNGNVKGVYVSEEDVGTYTIKAVDDQRTLNKSLYLRPPENVLTFNQLVSLWENKIKSTLEKVYIPEDQLLKNIQESPFPANFMLAICHAMLVKDVTSNYELDPSFGVEASEIYPEVKYTTADNYLNAFV >Vigun10g147800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36629196:36631806:1 gene:Vigun10g147800.v1.2 transcript:Vigun10g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGPNSCARPVLEKKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKVSSAAAGAGAGGITASSSSSSSSKLPDLNPPNLSSQNPKMLHGGQDLNLAFPAMDNYHHPHHHGISPYVEMQNSDTTHHHHHQNSPSCSAAPASLSALELLRSSMASRGLNPYAPSSLMPNSGNNNALYPSGFPMQEVKPSLSFSSVDGMGNRSYDHHQVQERGGRVLFPFGDVNKQLSAAAGSAEVEHSKEQQQQQPQQGNSSGYWTGMIGEGTW >Vigun10g080400.1.v1.2 pep primary_assembly:ASM411807v1:10:22263115:22265846:1 gene:Vigun10g080400.v1.2 transcript:Vigun10g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMACQNWPEPVVRVQALAESGLTTIPERFIKPKSQRPTIYTTHVSHTTQEDINIPIIDMKHLYGGDKGRREEELHRVAAACQEWGFFQVVNHGVSHELMKSAREVWREFFHQPIEVKEEYANTPLTYEGYGSRLGVKKGAILDWSDYFFLHYMPCSLRDQAKWPNLPTSLRNVIGEYGEEVVKLGGRVLEILSENLGLRKDFLLNAFGGEKDVGACLRVNFYPKCPQPDLTMGLSSHSDPGGMTILLPDENVSGLQVRRGDDWITVKPTPNAFIINIGDQIQVLSNAVYKSIEHRVIVNSDKDRVSLAFFYNPRSDIPIQPAKELVTEDRPALYPSKTFDEYRLYIRTKGPSGKAQVESLISKCDSS >Vigun04g091300.1.v1.2 pep primary_assembly:ASM411807v1:4:16670665:16677512:1 gene:Vigun04g091300.v1.2 transcript:Vigun04g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDVEEGANINTFVDSTDYNDSDESTHPESIRRTSSVSNGTAGRDTVAGLLASLMEVVRTTVACECVYVRAMVLKALIWMQGPFDSFDELESIIASELSDPAWSAALLNDVLLTLHARLKASPDMAVTLLEIARIFATKVDADVLQLLWKVRIYSADGVTAEFNTLYLVQIYLLNATENI >Vigun03g362800.1.v1.2 pep primary_assembly:ASM411807v1:3:56656281:56658903:-1 gene:Vigun03g362800.v1.2 transcript:Vigun03g362800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEKSVAKSVQEMSMEGDEPPPQYIVKGNSFGSKDSSALIPIPIIDVSLLSSEGELHRLRSALTSAGCFQAIGHGMSSSYLDKIRELAKQFFALPEEEKQKYARAVNESEGYGNDRVVSDKQVLDWSYRLSLRVFPEEKRRLSLWPKIPSDFSEKLEEFSAKVKSMMDYLLRSMARSLNLEEGSFLDQFGEESLMLARFNFYPRCSRPDLVLGVKPHTDRSGITVLLQDKEVEGLQVLIDEKWVSVPTIPDAFVVNLGDQMQIMSNGIFKSIMHRVVTNTEKMRMSLAMFNEPDPENEIGPVEGLIDETRPRLYRNVKCYGDINYKCYQEGKIALETVKIADNSD >Vigun01g035800.3.v1.2 pep primary_assembly:ASM411807v1:1:4630446:4637152:-1 gene:Vigun01g035800.v1.2 transcript:Vigun01g035800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTQVRCPENQELAAYMWNKWQEMAEQPKGISDNFEMTLSKAHFNVCSSKTPILTIKDFSQVKGVGKMILRLMQGFFGTGCGGSEPEDLTKKGKKSKGTKRYVPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRVPIGPEKGKGKPGHFGSSSRDWYSGWNCMKTLIAKGLVVKSSCPAKYMLTQEGKEAASDCLARSGMAESVEKSASVEIPSYTDNQNSLDVEPNGHDMESEVLSPLTQQKKPMDVPLDCLGRFTQLGYSKEYINSAFIEVSRIHPDKDVSSLWPAVLCHLREQEVYGSQPESLRSRDFTGKENRAVQSSCIGHVSNICSRDIPPFPLRACSSSDHSMQNPNKDELVSKINILNVPPLSLGERFEDAYDIILILDDREQFATQGSRSRKIIENICSQFKIKIENWL >Vigun01g035800.2.v1.2 pep primary_assembly:ASM411807v1:1:4626513:4637152:-1 gene:Vigun01g035800.v1.2 transcript:Vigun01g035800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTQVRCPENQELAAYMWNKWQEMAEQPKGISDNFEMTLSKAHFNVCSSKTPILTIKDFSQVKGVGKMILRLMQGFFGTGCGGSEPEDLTKKGKKSKGTKRYVPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRVPIGPEKGKGKPGHFGSSSRDWYSGWNCMKTLIAKGLVVKSSCPAKYMLTQEGKEAASDCLARSGMAESVEKSASVEIPSYTDNQNSLDVEPNGHDMESEVLSPLTQQKKPMDVPLDCLGRFTQLGYSKEYINSAFIEVSRIHPDKDVSSLWPAVLCHLREQEVYGSQPESLRSRDFTGKENRAVQSSCIGHVSNICSRDIPPFPLRACSSSDHSMQNPNKDELVSKINILNVPPLSLGERFEDAYDIILILDDREQFATQGSRSRKIIENICSQFKIKIEVRRLPVGDGIWIARHKTLDSEYVLDFIVERKKIDDLRSSIRDNRYKDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTAGSGGI >Vigun01g035800.1.v1.2 pep primary_assembly:ASM411807v1:1:4626513:4637152:-1 gene:Vigun01g035800.v1.2 transcript:Vigun01g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTQVRCPENQELAAYMWNKWQEMAEQPKGISDNFEMTLSKAHFNVCSSKTPILTIKDFSQVKGVGKMILRLMQGFFGTGCGGSEPEDLTKKGKKSKGTKRYVPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRVPIGPEKGKGKPGHFGSSSRDWYSGWNCMKTLIAKGLVVKSSCPAKYMLTQEGKEAASDCLARSGMAESVEKSASVEIPSYTDNQNSLDVEPNGHDMESEVLSPLTQQKKPMDVPLDCLGRFTQLGYSKEYINSAFIEVSRIHPDKDVSSLWPAVLCHLREQEVYGSQPESLRSRDFTGKENRAVQSSCIGHVSNICSRDIPPFPLRACSSSDHSMQNPNKDELVSKINILNVPPLSLGERFEDAYDIILILDDREQFATQGSRSRKIIENICSQFKIKIEVRRLPVGDGIWIARHKTLDSEYVLDFIVERKKIDDLRSSIRDNRYKDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTACFTTEVLEGFDVQRTTGLGDTLKKYGYLTQAISQYYKSEVLVDNVKWSGTCPPFDEFIKRCQDVEKTTVSDVFAIQLMQVPQVTEEIAMAVLDLFPTLFSLARAYSLLDGDGRAQEEMLRKQSNNMINAVASRNIFQFIWGT >Vigun04g200600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42423627:42424149:1 gene:Vigun04g200600.v1.2 transcript:Vigun04g200600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISKIMIIVCWLLVLALQLKCSGAIPIIPSKVTVEIINELNMLYLVLRCKDKHKDLGFITLNVNETYRFRFYPNYFLPVTLYFCRFIWLDGDYYFNIYEEKRDGYCIHDRCLWEILENGPCKIKREYRQCFMWDKALAGKNNTVSS >Vigun05g083700.1.v1.2 pep primary_assembly:ASM411807v1:5:7913012:7916625:-1 gene:Vigun05g083700.v1.2 transcript:Vigun05g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNLNAISSSFSIVPFHANYPLSTQTQLLFPSNFNYSIATTATRKFKSFQLKAGFWESIKSGLMKDNSMQVIDPPSIDEEDEEPMPQEFVLVEKTEPDGTIEQIIFSSGGDIDVYDLEALCDKVGWPRRPLSKLAAALKNSYIVASLHSIRKSPGSEGDEQKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRALLQRDIGNITLFADSKVVEFYRNLGFEADPEGIKGMFWYPNH >Vigun01g157900.1.v1.2 pep primary_assembly:ASM411807v1:1:34000518:34002636:1 gene:Vigun01g157900.v1.2 transcript:Vigun01g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPSREYAQCPLCKTLRDIEDIRDKEISYTIDAEEIRRELGMEIIEEQKVQLSKVNKKCEKCGHDEANFYTRQMRSADEGQTTFYTCTRCGHQSQEN >Vigun06g010700.2.v1.2 pep primary_assembly:ASM411807v1:6:4930608:4938528:-1 gene:Vigun06g010700.v1.2 transcript:Vigun06g010700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLGFVVVPLVLFCLVLAAESACRQGCSLALGSYYMWSGSNLTYISEVMSSPLLTTPDDIVLYNKDTIPNKDSVQAFIRVNVPFPCDCIDGQFLAHTFQYDVQTQDTYEYVARTVFSNLTDVTWLRRFNSYEPNNIPDTGTLNVTVNCSCGNTDVADYGLFITYPLRTGETLGSVAADVSLDSGLLQRYNPDVNFNQGSGLVYIPGKDQNGSYVFLPSSSGAGLAGGAIAGIAVGVVAVLLVLGVVIYFRIFRMKIQKEELSRDSSALFAQDGKDEASRSSAHETLGPGGPAAITGIKVDKSVEFTYEELATATDNFSLANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGSREPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKTNDSVTDSKGLVALFDGVLGQPDPTEDLCKLVDPRLGDNYPIDSVRKMAQLAKACTQDNPQLRPSMRSIVVALMTLSSTTDEWDVGSFYENQNLVNLMSGR >Vigun06g010700.1.v1.2 pep primary_assembly:ASM411807v1:6:4930608:4938572:-1 gene:Vigun06g010700.v1.2 transcript:Vigun06g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLGFVVVPLVLFCLVLAAESACRQGCSLALGSYYMWSGSNLTYISEVMSSPLLTTPDDIVLYNKDTIPNKDSVQAFIRVNVPFPCDCIDGQFLAHTFQYDVQTQDTYEYVARTVFSNLTDVTWLRRFNSYEPNNIPDTGTLNVTVNCSCGNTDVADYGLFITYPLRTGETLGSVAADVSLDSGLLQRYNPDVNFNQGSGLVYIPGKDQNGSYVFLPSSSGGLAGGAIAGIAVGVVAVLLVLGVVIYFRIFRMKIQKEELSRDSSALFAQDGKDEASRSSAHETLGPGGPAAITGIKVDKSVEFTYEELATATDNFSLANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGSREPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKTNDSVTDSKGLVALFDGVLGQPDPTEDLCKLVDPRLGDNYPIDSVRKMAQLAKACTQDNPQLRPSMRSIVVALMTLSSTTDEWDVGSFYENQNLVNLMSGR >Vigun06g010700.4.v1.2 pep primary_assembly:ASM411807v1:6:4930608:4938539:-1 gene:Vigun06g010700.v1.2 transcript:Vigun06g010700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDQNGSYVFLPSSSGGLAGGAIAGIAVGVVAVLLVLGVVIYFRIFRMKIQKEELSRDSSALFAQDGKDEASRSSAHETLGPGGPAAITGIKVDKSVEFTYEELATATDNFSLANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGSREPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKTNDSVTDSKGLVALFDGVLGQPDPTEDLCKLVDPRLGDNYPIDSVRKMAQLAKACTQDNPQLRPSMRSIVVALMTLSSTTDEWDVGSFYENQNLVNLMSGR >Vigun06g010700.3.v1.2 pep primary_assembly:ASM411807v1:6:4930608:4938538:-1 gene:Vigun06g010700.v1.2 transcript:Vigun06g010700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIMACSSRTLSEPGRLSVRWLLMSALTRGCCRGTTLTSISTRGVDSFIFPEKIKMVAMCFCRPAGLAGGAIAGIAVGVVAVLLVLGVVIYFRIFRMKIQKEELSRDSSALFAQDGKDEASRSSAHETLGPGGPAAITGIKVDKSVEFTYEELATATDNFSLANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGSREPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKTNDSVTDSKGLVALFDGVLGQPDPTEDLCKLVDPRLGDNYPIDSVRKMAQLAKACTQDNPQLRPSMRSIVVALMTLSSTTDEWDVGSFYENQNLVNLMSGR >Vigun02g081050.1.v1.2 pep primary_assembly:ASM411807v1:2:23372313:23373217:-1 gene:Vigun02g081050.v1.2 transcript:Vigun02g081050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFLPLYVTCSCCHTPSGKFHFNFCFLPTQRVYKLKVVGALNVEEKVIQWRCGTVL >Vigun08g066200.1.v1.2 pep primary_assembly:ASM411807v1:8:9756036:9757670:-1 gene:Vigun08g066200.v1.2 transcript:Vigun08g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQPSSRALLLLLACTLFQQLYASNTKIGVNYGTMADELPPPSTVATFLKSKTTIDRIKIFDANHDILRAFAGTAISVTVTVENAHIPSLATIPGAQAWLSKNILPFLPKTVVTRISVGNEVLESNNKTLIANILPAMESLHKALSLANHTTVQVSTPHSLKILDPLEPPSAASFRRGHDKAIFAPMLDFHRRTKSPFMVNPYPFFGITSTDLDTVNYALFKPNNGVRDKVTSMKYTNMFDAQMDAVFSAMKKLGYEDVELVVAETGWPSVGEQHEGGVSFENAETYNGNLIKHINSGKGTPLMPGRTFETFIFSLFNENLKPTVSERNYGLFYPDLTPVYNVGVFIAEEASVPRFGFGPTSGPTSGPTRQRDSL >Vigun05g137100.1.v1.2 pep primary_assembly:ASM411807v1:5:16548454:16550903:1 gene:Vigun05g137100.v1.2 transcript:Vigun05g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQSANTKLSSNKTIRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAATKKDSTEEGDAAAAEETKKSNHVQRKIEKRQKDRKLDAHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >Vigun09g142500.1.v1.2 pep primary_assembly:ASM411807v1:9:30489191:30492537:1 gene:Vigun09g142500.v1.2 transcript:Vigun09g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYVPFLLLSCFLFHHFHAILCDSNTDREALLSFKSQVTDPKNALSAWSTNSNHCNWYGVRCSKVGNRVQSLNLPGLSLSGKLPPHLSNLTFLHSLDLSNNTFHGQIPQSFGNLSSLEVLSLARNALEGEIPTELGNLRNLSFLQISVNNFSGNFPTSIFNISSLVYLFMTRNKLTGELPQNFGLLLRNLKSLYLATNMFEGVIPNSISNASHLQEIDLSNNKFTGPIPLFYNLNLTRFALGNNFFSSTTSLNFQFFNSLRNSTQLQIVMINDNLLAGELPSSVANLSRNLEDFCVANNFLTGTIPRGMKKFQNLISLSFENNSFTGELSSEIGALNTLQRLAIHSNRLSGDIPDIFGNLTNLFILTMGNNQLSGRIHQSIGQCKRLSFLDLSMNRLGGTVSNEIFQLSSLRMLNLAGNSLQGPLPPMVGNMEQLETLYISDNQLSGNIPEKIGECLTLKSLKMARNKFNGSIPTNIGNLSSLETLDLSLNSLTGPIPQSLEKLEYMQKLNLSFNHLEGEVPTKGLFTNLTKFDLRGNNQLCSLSKEIVQNLGVLPCVVGKKKRKFLLPTMFAVVGATALFISVLFLFWTMKKKRKERKTLVSSDPFMGLSKNITYGDIRIATNNFAAENLIGKGGFGSVYKGVFSFSTGETVTLAVKVLDLQQSKASKSFNAECEALKNVRHRNLVKVITSCSSLDYKGEEFKAIVMQFMPNGNLDFKIHPGDEDSGSLLNLMQRLSIAIDVASALEYLHHDCDPPIAHCDLKPANVLLDENMTAHVVDFGLARFLCQNTSEKQSSTLGLKGSIGYIAPEYGFGSKASTEGDAYSFGILLLEMFTAKRPSDEMFKEGLSLNKFVSSMDENEVVKVADRRLIEDYECSTQSSNSDDGSSGFDSNTHWMHKAEECIGDVLRVGLSCAADQPKERWNMREALSKLHAIKHSMLAF >Vigun07g057600.2.v1.2 pep primary_assembly:ASM411807v1:7:6357390:6360610:1 gene:Vigun07g057600.v1.2 transcript:Vigun07g057600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPFFDQLPARGTASFRSSRITLQDPMHWSGMERWLQKRCEEVHPGYGDERKLRILGYQWRVLRFNDVTRQSTAKVMATYHENVPGVVYLMQQPRCLAVPYVKSMISAGLTTVASCNFDIIGALQGKKNMHILCIGHGGGSLPLFLASQIQGATVHIVEIDPLVISASIRAMGFPACSLKTQSADKAVSKPETIDEIMWKGIHERIYLYEADAEEFIVNSTNIYDMIFVDAYDGDDIFPHKLWHPDSTFLKALSNRLHPKHGTVVVNLHSDSDTVPSSLEQILPMGKYVSQVSRAYKDVLVGKEGSGLAFTVAVPWVCNTSLVVCRGFDKDSEYFDRDFVINTLISKSLELEHVMDLPFSCLEYIKRGFILV >Vigun07g057600.1.v1.2 pep primary_assembly:ASM411807v1:7:6357390:6360610:1 gene:Vigun07g057600.v1.2 transcript:Vigun07g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRARAGYGYLRRFSTAVRRRSEDEGDWLYSSEWWGSDSDDGHTVLRSTSGKGNGVVSVVAYHSSRPNRMHWSGMERWLQKRCEEVHPGYGDERKLRILGYQWRVLRFNDVTRQSTAKVMATYHENVPGVVYLMQQPRCLAVPYVKSMISAGLTTVASCNFDIIGALQGKKNMHILCIGHGGGSLPLFLASQIQGATVHIVEIDPLVISASIRAMGFPACSLKTQSADKAVSKPETIDEIMWKGIHERIYLYEADAEEFIVNSTNIYDMIFVDAYDGDDIFPHKLWHPDSTFLKALSNRLHPKHGTVVVNLHSDSDTVPSSLEQILPMGKYVSQVSRAYKDVLVGKEGSGLAFTVAVPWVCNTSLVVCRGFDKDSEYFDRDFVINTLISKSLELEHVMDLPFSCLEYIKRGFILV >Vigun10g001600.2.v1.2 pep primary_assembly:ASM411807v1:10:176245:177641:-1 gene:Vigun10g001600.v1.2 transcript:Vigun10g001600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLVLSLFALLEVPKSCIAFEYFSFPQSWPKGFCEKKIIDQEKRTCPPKIPKKFIIHGLWPEPNSIKRPTNADIKLVAKELALDWPSLLGSNFYFWFWEWWNHGVFSEEQFPKLEYFKLAMEIYKQNDMFDMLKKEKIKHTQHDPQIKCYTHAKLRVLALYEIRVCLTTDGRSYRDCPDPHGTCGTTHLLYPK >Vigun02g098600.1.v1.2 pep primary_assembly:ASM411807v1:2:25397006:25400689:1 gene:Vigun02g098600.v1.2 transcript:Vigun02g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPESSKSEELCTELLQSQTGVTSTSIESVTQNLRLQVFGVLHWVVSLGLILAIDFLLKKAFAAASIEFPSALFGMFCIFSVLIILDYAEPSAAVAFEKFFEPGIIFIQRWLPLFYVPYLVVLPLSLKDIPASSAIKICFIVVGGWLATVCVTGLTAIGVRKAVKTELIDAEPMEKPSPFSSIEVWAWTGVLLISFAASLVFPTALGTRARTYLPFLLASTVLGYMIGSGLPSSLKKVFHPIIFCAASAELAAIALGFLSKSGLDAVLGYYLTNSSSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIISTIFSLYSTAIVGRLLALEPSLTVSILPRCITVALALSIVSFFEGANASVTAAAVVVTGLVGANFVQSTLDKLRLRDPIARGIATASSSHGLGTAALSANEPEALPFCAIAYALNGIFGSILCSIPAFRQSLLAVIG >Vigun03g008000.1.v1.2 pep primary_assembly:ASM411807v1:3:532287:537135:1 gene:Vigun03g008000.v1.2 transcript:Vigun03g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTVSFSFFSTPSRSFQFQTPPSLHRTRLSISCHSSSPPSNHPLRVAFAGGGTGSNIYPAVAIAEELKSLNPTCQFLFLGTPNSVESAAISSSGYDFASVPAPPDTNPFFFPHRFFNSLLQCLSHLRGFQPHVLVSTGGYVSFPACLAAKLRGTDVVIHEPNSVPGFSNTLLSPLADAIFVAFNSTLDSFPRNKCFVCGNPVRFSIRNLVSKETATCHFFPGLDCGGRVLLVLAGSYGANSVNIAMLNLYYQMLRRDSGLCIIWQTGVEAFDEMDSLVKSHPRLYMTPFMQCMDLAYAAADLIVSRAGAMTCYEILATGKPSILIPSPYFSEGNQFRNASLMADLAGVTVITEDELDSSTLAIAIEKILRDQKKMEDMSERALKAANPNASAEIAKHILSLVNESTTKKKDGYRVES >Vigun02g184700.1.v1.2 pep primary_assembly:ASM411807v1:2:32442486:32446094:1 gene:Vigun02g184700.v1.2 transcript:Vigun02g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSSTLHSACPPLPRAPSPPSPSPKCHTRFHRVKASIAVEQQTKVALIRIGTRGSPLALAQAYETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALLNSEIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLSATSLADLLPGSVIGTASLRRKSQILHRYPSLNVQENFRGNVQTRLRKLSDGVVQATLLALAGLKRLSMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYIASLNHEETRLAVVCERAFLQTLDGSCRTPIAGYASRNEDGNCLFRGLVASPDGTRVIETSRVGPYAVEDMIEMGKDAGKELLSRAGPNFFSS >Vigun07g206300.1.v1.2 pep primary_assembly:ASM411807v1:7:32792093:32796998:1 gene:Vigun07g206300.v1.2 transcript:Vigun07g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMAQTSNNNKNPEQEKHENFPVLTVLKNNAVLRNIFLVHDGNSEDQTVLIGRHPDCDIVLMHPSVSRFHLRIRSNPSSRTLSLVDLASVHGTWVRGMKLEPGVSVELKEGDTFTVGVSTRLYRLSWVPLTQLNVFVPHQQQKEDEQEEIIKDENLEYSLEQEIPEDEDVVSVCCDEESKSHSEDKGLGVINGTETSCFPTHSGDENIHCDRQISVLSPPHVLSPPCAKLVDELYYTEKIEACPKLEMPGETNLLCTFREYLTQSLCLPVVKAVQGTKMEQFQAPHGTIAKQPPSLEMLWSSLFTNIDPATFDERDVAAVAVIPSESDKVEDILTTEPRTFNSDNMCLIVEKDLSVSEFHQIEAVEEFSVDSVPEGEKQGEIHWSSLPTNIGPSSFDVKDVAAVAAIPTESEFGITHGDNDKVGNMCLMVDKDIPDSVFHQVEVTEEVSVDSIHDGEKHDECKEEYTSKLQDQNGKIFHEEGYSRDEIVEEDNGNKRVKSVEPASFIEKSLAVVTLILTEPEFGCTLGDKEMTEDILETESRTINPENTSLLYEEDIPVSKFQPINIVEDVMDSISDGKELESKLQASVDAKCCQESGNSVDEIADGKCCQESGNSVEEIAEDNEKNCTKSISSTSFHIESPNSSLLSEVFSNITAENQTPQSLTSVTGRSRGAFLEKHVESTEKSSTFGSIRSRGCKAASAPHVRARKSRSKVGTEVKKSNVKDAMNKSMPKDLSSLFDVEEKNFTSNKENLSSNSYHLQLMRKKAKLQEIKFPMSPRSPNLSCFSPSIHSSKSISTVSNKVNLTTKVAQELKSQRKPLKCLVNLVHEQDMMELKKRVERVPFRSVMNSGSNHNSVTISAAESMNDAGICGQISNKCTKPSLQQHTSREQKRSWDMVVDTASLVNKESRKALQLLQGLKGTRLIIPRSVIRELGCMKQQFRLFRRTLEATLALEWIKECMEKTSWWIHIMEEECRLTVPTLSASPQTQFVEESWAFPGFTTSPAVEDNILEFALQYRKKENVGQLVLLSHDVTLKVKSMAKGLLCETVQQFRQSLANPFSERFMWPKSSPRGLTWCCQDDLVLREKYCGLTSKTGLKVIS >Vigun09g268600.1.v1.2 pep primary_assembly:ASM411807v1:9:43134286:43135530:1 gene:Vigun09g268600.v1.2 transcript:Vigun09g268600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQNKYETPQSSEVEVQDRGVFDFLGKKKEEEKPQEEVIVTEFEKVTVSEEEKKEEEGEKKHSLLEKLHRSDSSSSSSSEEEGEDGEKKKKKKKEKKKIEDTSVPVEKVEVVETEEKKGFLDKIKEKLPGHKKTEEVTVVAAPPPPPAATASEHGEGGHHHEGEGKEKKGILEKIKEKLPGYHSKTEEEKEKESGGH >Vigun11g005500.1.v1.2 pep primary_assembly:ASM411807v1:11:582929:589184:-1 gene:Vigun11g005500.v1.2 transcript:Vigun11g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGKKNGGEGELVKVEPKPSNGFTSKVIDLLEKLVVKFLYDSSLPHHYLTGNFAPVTETPPTKDLPVKGHLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKATYVSRFVKTSRLKQEEYFGRAKFMKIGDLKGLFGLLMVNIHMLRTKLKVLDLSYGGGTANTALVYHHGKLLALSEADKPYAIKVFEDGDLQTLGMLDYDKRLGHSFTAHPKVDPFTGEMFTFGYAHTPPYITYRVISKDGYMHDPVPITVSDPIMMHDFAITENYAIFLDLPLIFRPKEMVKNNTLIFSFDSTRKARFGVLPRYAKDEKLIRWFELPNCFIFHNANAWEEEDEVVLITCRLQNPKLDNVGGAVQEKHENFSNELYEMRFNMKTGEASQKKLSAPAVDFPRVNESYTGRKQRYVYGTTLDNIAKVTGIIKFDLHAESDHGKEKLEVGGNVQGLYELGPGKFGSEAVYIPRVPGTESEEDDGYLVLFVHDENAGKSFVHVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEEQLQEQAKL >Vigun02g043100.4.v1.2 pep primary_assembly:ASM411807v1:2:17358378:17361472:1 gene:Vigun02g043100.v1.2 transcript:Vigun02g043100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKELPRTAQIRLVSSHQEVYEPCDDSFALVDALLADRNNLLEHHPSLCMEIGCGSGYVITSLALILGQEGYGVNYIATDINPHAVEVTRKTMVAHGVGVELVVTDIAAGLEERLAGLVDVMVVNPPYVPTPEDEVGVEGITSSWAGGENGRSVIDKILPVADRLLSEKGWLYMVTLTANNPSEICQQMRKKGYGSKIVVQRSTEEESLHIIKFWRDFDIEKDEPNQSASGFIGSLLTHIPLFSLWRGTNSDNKC >Vigun02g043100.3.v1.2 pep primary_assembly:ASM411807v1:2:17358378:17361472:1 gene:Vigun02g043100.v1.2 transcript:Vigun02g043100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKELPRTAQIRLVSSHQEVYEPCDDSFALVDALLADRNNLLEHHPSLCMEIGCGSGYVITSLALILGQEGYGVNYIATDINPHAVEVTRKTMVAHGVGVELVVTDIAAGLEERLAGLVDVMVVNPPYVPTPEDEVGVEGITSSWAGGENGRSVIDKILPVADRLLSEKGWLYMVTLTANNPSEICQQMRKKGYGSKIVVQRSTEEESLHIIKFWRDFDIEKDEPNQSASGFIGSLLTHIPLFSLWRGTNSDNKC >Vigun09g026600.1.v1.2 pep primary_assembly:ASM411807v1:9:2090156:2092153:-1 gene:Vigun09g026600.v1.2 transcript:Vigun09g026600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGALSTSISQSLNATRTIAMFYPTHRLLSLKNPVANTRGFSSKDTVFIRGHQINIKQFFGVISDRARLSKAGFVVSPICALPLTEDNVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQIMDTETGLQLTEENVEKVLSEIRPYLVGTGGGILELVEMNDYVVKVRLSGPAAGVSTVRVALTQKLRDKIPSIAAVQLID >Vigun08g210300.5.v1.2 pep primary_assembly:ASM411807v1:8:37227195:37231184:-1 gene:Vigun08g210300.v1.2 transcript:Vigun08g210300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQNTLTSSSNTPRHLHLILVELNLIEKYRACELAVMDVPLPLDKLALNLINNEPDPGNTSNNKIYVILVATGSFNPPTFMHLRMFELARDALNSNGYCVIGGYLSPVNDAYKKKGLISAKHRIQLCHLACKSSDFVMVDQWEASQSTYQRSLTVLSRVYNSVCGTGLVSRESLKVMLLCGSDLLHSFGIPGFWIPDQLNGQIDLW >Vigun08g210300.4.v1.2 pep primary_assembly:ASM411807v1:8:37227195:37231184:-1 gene:Vigun08g210300.v1.2 transcript:Vigun08g210300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRMFELARDALNSNGYCVIGGYLSPVNDAYKKKGLISAKHRIQLCHLACKSSDFVMVDQWEASQSTYQRSLTVLSRVYNSVCGTGLVSRESLKVMLLCGSDLLHSFGIPGFWIPDQVRSICKDYGVVCISREGQDIQKTISENEILNQNQDNIKVVDELVPNQISSTRVRDCIARGLSIKYLTADEVIDYIREQQLYLNLIEK >Vigun08g210300.3.v1.2 pep primary_assembly:ASM411807v1:8:37227195:37231356:-1 gene:Vigun08g210300.v1.2 transcript:Vigun08g210300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLDKLALNLINNEPDPGNTSNNKIYVILVATGSFNPPTFMHLRMFELARDALNSNGYCVIGGYLSPVNDAYKKKGLISAKHRIQLCHLACKSSDFVMVDQWEASQSTYQRSLTVLSRVYNSVCGTGLVSRESLKVMLLCGSDLLHSFGIPGFWIPDQLNGQIDLW >Vigun08g210300.1.v1.2 pep primary_assembly:ASM411807v1:8:37227195:37231184:-1 gene:Vigun08g210300.v1.2 transcript:Vigun08g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQNTLTSSSNTPRHLHLILVELNLIEKYRACELAVMDVPLPLDKLALNLINNEPDPGNTSNNKIYVILVATGSFNPPTFMHLRMFELARDALNSNGYCVIGGYLSPVNDAYKKKGLISAKHRIQLCHLACKSSDFVMVDQWEASQSTYQRSLTVLSRVYNSVCGTGLVSRESLKVMLLCGSDLLHSFGIPGFWIPDQVRSICKDYGVVCISREGQDIQKTISENEILNQNQDNIKVVDELVPNQISSTRVRDCIARGLSIKYLTADEVIDYIREQQLYLNLIEK >Vigun08g210300.2.v1.2 pep primary_assembly:ASM411807v1:8:37227191:37231356:-1 gene:Vigun08g210300.v1.2 transcript:Vigun08g210300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPLDKLALNLINNEPDPGNTSNNKIYVILVATGSFNPPTFMHLRMFELARDALNSNGYCVIGGYLSPVNDAYKKKGLISAKHRIQLCHLACKSSDFVMVDQWEASQSTYQRSLTVLSRVYNSVCGTGLVSRESLKVMLLCGSDLLHSFGIPGFWIPDQVRSICKDYGVVCISREGQDIQKTISENEILNQNQDNIKVVDELVPNQISSTRVRDCIARGLSIKYLTADEVIDYIREQQLYLNLIEK >Vigun02g052901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19498931:19500741:-1 gene:Vigun02g052901.v1.2 transcript:Vigun02g052901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYNSSSKGQDQQQRQQHSPMDLLSSAKNATQSAFSNAASNIANAVGDKVDDFLHHNDSTTTKNNTTTTHSSSKLDSDNRTSSGYGKSGKSESEYGGSGRSSSHDYKSGSAYDDADRSSGDRSGVGYGGDGRSGGGYGGDGISSGGGHSGSGYGGDGISHKGGHTSGGYGGDGISSGGGRSDNRYDGDGIFSGGNRTSGGNRTSGGYGGDGISSAGGHLNSDDGISSGGGRSTSGYGGDGISSGGGHSSTSYGGDGISSGGSHFGSGYSGDGISTGRNHISGGYGGDGISSGGGRSSSGYGGNGLSSGGGHSSSGYGGDGISSGGGRSSSGYGGDGLSSGGGRSSSGYGGDGLSSGGNITSGGYGGDGISSAGGRLSSDDGISSGGGRSSSGYGGDGISSGGGHLSSGDGISSSGGGRSSSGYGGDSRSSGGYNGDGISSGKGHASGGYGGDGISSDGGHSGSGYGDKGRSASGYGGSGGGYGGDDDNRSGGRSRNESYGSGGYENDSRSRSGYTYTN >Vigun09g186300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35961622:35962773:1 gene:Vigun09g186300.v1.2 transcript:Vigun09g186300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDSKRKKTKIDSPLSVDVNEDLVKEILLKLPTKSVLSFKGLSKSWFSLISDPEFARRHFDAAVAPTYKLLNIVKDCAVYCADKESALCDDDSWHAVSNISGFHWYVNCISVAGSCRGFVLLQYLLHDFVLWNPLTGVQKEIHQKRGTWTRHHLSGMGYDPVDDDIVLVTIILRIHDGNHMLLRYFSLRNNCWTSVKYDVSYVAPYFGAELRHGQLWNETLYWIVKSADDLRNVMIAFDVREKRLLEITLPHHLANLPNGSDIYHLKVMGEYLYLCLVVRNHPYQLIEIWSVKECKQETVWTKTFDFSFYFRPSLNLIFPVCFTENGEILAFCNNNTLVKIDEKGKLRYGNQNNSRLHFLRCVMYRESFLPLPGDEAEPED >Vigun03g439200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64269973:64271061:1 gene:Vigun03g439200.v1.2 transcript:Vigun03g439200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKMVVQYKYHITIAIAFSLSLSFMLYAAPRITTILAYFWPLFASTAVLLAAIIAFGGVSKFSTEDHGHGEKAGEGLLDYVTSLPQHTEEPPNF >Vigun08g192600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35985550:35985735:-1 gene:Vigun08g192600.v1.2 transcript:Vigun08g192600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRKSKLWLKKVSWPFRWKPQKLQTTIVDTVLFKILSLAEAVVLVSTLCFFYLCCGCHF >Vigun11g175900.1.v1.2 pep primary_assembly:ASM411807v1:11:38068066:38069809:-1 gene:Vigun11g175900.v1.2 transcript:Vigun11g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTKQKPSESSWTQKQNKLFEKALAKYDKDTPDRWQNVAAAVGDKTPDEVKKHYEILVEDVRHIESGRVPLPKYRSTGSSSTTTTNNVDDGDKLMKYLKLN >Vigun09g098400.1.v1.2 pep primary_assembly:ASM411807v1:9:15457216:15460175:1 gene:Vigun09g098400.v1.2 transcript:Vigun09g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQETVPLKESLGKVNISPSQVALIVDNYLCANNLSHTRATFRMEASSLFSGSPFNQVCKPSLNLGRILEDYISLKRQNLILNQENLILNQERVAMMQEQFRVQKLVQDVQNVVNAYHTFQRLIPVADKTPSGVCTGMDSVQNTNTDNKVQWQQSHKRKNSEAIDAPTIAKKPRGRPPGKKNQFKGLNMLPSVGTQSLIANSTVTRSQVPTNSSIIETHPGTATNKSVTTCNKDVVTHSETVMVCPDEEITYKPNLHSSPINSDTETKKKSELVCNASHVLLEDSIPNEFSIPHKETQKEQSDTDTKKKSELVCNGSHVLLENSIPNEFSIPHQETQEEYMDLSHISFPDLDTEYWSNFSSKDIGIFNEDFFYLSTATHVHVEEVDA >Vigun03g352000.1.v1.2 pep primary_assembly:ASM411807v1:3:55361889:55364612:1 gene:Vigun03g352000.v1.2 transcript:Vigun03g352000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQHQPVDYPSFKLVIVGDGGTGKTTFVKRHITGEFEKKYEPTIGVEVHPLDFHTNCGRIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLAKKLAGDAGLHFVEMPALAPPDVVIDLVTQQLNEEELARAAAQPLPDDDDETFD >Vigun05g037600.1.v1.2 pep primary_assembly:ASM411807v1:5:3034236:3037287:-1 gene:Vigun05g037600.v1.2 transcript:Vigun05g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERNGGRFDVVILGASGFTGKYVLREALKFLNTPSSPLKSIAIAGRSPRKLSQALEWASRPNPPLSLPILTADTADPSSLRALCARTRLLLNCVGPFRLHGEPVVAACAAAGCDYLDISGEPEFMERVEATHHDRAKESGALVISACGFDSVPAELGLMFNSRQWVEPAAPNRVEAYVALESEKRIVGNFATYESAVLGVANAHKLQQLRRSRPRKPRPQIPGPPPSKGETIENQKKIGLWAVKLPSADSIVVRRTLSLLTENPHGLPGLNESAEMVEKREAFWPTVKPAHFGVKIGTKSLLGILRIIMVGVFIGLFGSTSFGRWLLLKFPEIFSLGWFRKKGPSEEEVESASFKMWFVGHGFSDRTIAVQGNTKPDMEIVTRVMGPEIGYLTTPIILIQCALILLSQRKNLPKGGVYPPGIIFGPTDLQEKLQENGISFDVISKSSISS >Vigun02g072600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403626:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILGVVLEKLTSLAVEELRSFMGFNTDLEKLRVMLTSIEAILDDAAEKQFSSQRVKYWLEMLKDASYELNDILDECAELTLEYQGIKCGSYHEVCSCLSYFRPKHAAFHYTIAKRMKSICERLDTIAEARHMFQLTAKPPRSGGVYERLQTTSVIVESRVYGREEDEQNIVDFLMANADVHTSRSNNLSVYPIVGLGGLGKTTLARLIFNHVSVVNHFDARVWICVTEDFDLNKITKAIIGSLSLEICEDLELESLLTKVQDLLQNKRYLLVLDDVCDYQQEKWLMLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403625:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403622:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403625:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403622:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403623:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun02g072600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:22403626:22408935:-1 gene:Vigun02g072600.v1.2 transcript:Vigun02g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILGVVLEKLTSLAVEELRSFMGFNTDLEKLRVMLTSIEAILDDAAEKQFSSQRVKYWLEMLKDASYELNDILDECAELTLEYQGIKCGSYHEVCSCLSYFRPKHAAFHYTIAKRMKSICERLDTIAEARHMFQLTAKPPRSGGVYERLQTTSVIVESRVYGREEDEQNIVDFLMANADVHTSRSNNLSVYPIVGLGGLGKTTLARLIFNHVSVVNHFDARVWICVTEDFDLNKITKAIIGSLSLEICEDLELESLLTKVQDLLQNKRYLLVLDDVCDYQQEKWLMLKSVLACGAMGASILITTRLLRVAAMMGTVSPHELSYLSDNDCWKLFKEQAFQAGEIEQEELVNIGMDIVKKCGGVPLAAKVLGAFLRFNREESTWTNVKNSDTWKSSQGENSIMPALILSYSNLPHKVRQCLVYCAIFPKDEIIRKQDLIEHWMANGFIPPNETLDVGDSMWNELYWRSFFQDIETDEFSHVTSFKIHNIVHDLLKFAAEEVCCITNDNDVTVPERIHHISEHSWRSKLDSAQFRFESLRTYLLPPQRYKTGQLSPQVLKCYNLRVLQYEPTETLSSSISRLKHLRYLNVSGGDFVTIPKSLCRLWNLQILKLDHCHRLQKLPKNLIGLKALQKLSLNGCSSLSSLPRQMGKLTSLRNLSMYIVCEKKGFLLAELGPLKLKGDLDIKHMEKVRSVEDAKEAKMSEKQLNRLSLSWDNNEATELQENVEEILQVLEPSTNQLASLSVVGYKGACFPQWMSSSFLKYLELEDCKMCSKLPQLGKLRFLKSLSISKMTPVEYLYEEDSYDGEAVFIALEFLFLKELSNLKRLLKREDGENMFPLLNKLEIAECPNLLGLPSLPSIRDLHIQGKCNQHLLTSVKKLSSLQDLWLEDNEELRFFPDGMFQGLTSLKELYFHRLFKLEIFPTKLPDSLEKLEFVGCHKFVSAGLHEALQNVTALHSLKFEHLPNLASLPDCFQKLALLRELTLYNCSKLKCLPASLKFCALKRLDIQGCPELEKRCQEYTGEDWPIISTIPNIRVIPMRR >Vigun01g014900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1635704:1637596:-1 gene:Vigun01g014900.v1.2 transcript:Vigun01g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGRLMMHIRCSKKCRQKDLVTWTTLVSGYAQNGLAKRALHLVSQMQEAGQKPDSVTLVSVLPAVADMKALRIGRSIHGYAFRSGFESLVNVSNALLDMYFKCGSARIARMVFEGMSRKSVVSWNTVICGCAQNGESEEAFATFLKMLEEREVPTRVTMMGALLACSNLGDLERGRFVHKLLDQLKLDFDVSVMNSLISMYSKCKRVDIAASIFDHLKEKTNVTWNAMILGYAQNGCVEEALNLFCLMRSQGTKLDCFTLVGVISAIAEFSVNHQAKWIHGLAIRTYMDKNVFVSTALVDMYAKCGAIKTARKLFDMMQERNVITWNAMIDGYGTHGLGKEALDLFKEMQMGVVKPNDITFLSVISACSHSGFVEEGLFLFKSMKEDYDLEPNLDHYSAMVDLLGRAGQLDDAWNFIQEMAVKPEISVLGAMLGACKIHQNVELGEKAAKKLFELDPDEGGYHVLLANIYASNSMWDKVAEVRTVMEKKGLHKTPGCSLVEMRNEVHAFYSGSTNHPQSKRIYAFLETLGDEIKAAGYVPETNSIGDVEENLKEQLLSSHSERLAIAYGLLNTSPGTTIHIRKNLRVCGDCHEATKYISLVTGREIIVRDLRRFHHFKNGNCSCGDYW >VigunL014568.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000192.1:19441:21692:-1 gene:VigunL014568.v1.2 transcript:VigunL014568.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRKSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRL >Vigun04g142200.1.v1.2 pep primary_assembly:ASM411807v1:4:35418323:35420484:1 gene:Vigun04g142200.v1.2 transcript:Vigun04g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSHPLSIESFSYSWLVNLKPSMESLEGSLRASLDASDEASSFIEMDPRMPPSKRFFRNSQDFKFDFPTSQSPLTLVDADELFSNGYLMPLFVESLKMEAYEASDANPSLPSSSHVPKIVVPNAHSRCPSLKGCRTLSRRIFQKYLNFLRPLCRRLRSGKSGSKPESVIKRTESAKNRGCYSETSPRISVAYSADDWRKSCDSESSIYEAVLHCKRSIERMN >Vigun10g095400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:28109548:28112336:-1 gene:Vigun10g095400.v1.2 transcript:Vigun10g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEERETFRMQRRPATLLVAIGAVAGGSDGSGSLRGRDVGAGRSGLSREKKPRVSRLRRWRSWSRASQWCRQWPLVERRRAPEVRLGGGLTDAAVAREKGGGALGVEREREEACLLFQELLGFRLITFVTRDQVSAHFTPCTPIFDTFTLLCIKIGSAWFFSRTPNINYTPASFLGLANLCSAHPHNY >Vigun10g008300.1.v1.2 pep primary_assembly:ASM411807v1:10:781885:787775:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.4.v1.2 pep primary_assembly:ASM411807v1:10:781763:787774:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.8.v1.2 pep primary_assembly:ASM411807v1:10:782008:787395:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.7.v1.2 pep primary_assembly:ASM411807v1:10:781898:787766:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.5.v1.2 pep primary_assembly:ASM411807v1:10:781886:787775:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.6.v1.2 pep primary_assembly:ASM411807v1:10:781789:787766:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun10g008300.2.v1.2 pep primary_assembly:ASM411807v1:10:781762:787774:1 gene:Vigun10g008300.v1.2 transcript:Vigun10g008300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESDSKVDAMDLLRQLEDILESDALIDELGFIHPSQFPLLKEESDISSNLSYEAIHQSEDGAVSPVESSKQDNIYFWNRDHKLGISTHVLLPLYKTAKHAFMITFKQYRMCDNQSDKVGICLPATSSDHLESTLLRHSKSLLLLSCDFMTAWNCRKLIVSKKQQLSMFVDELLLSELVLSYSPKSEQAWNHRRWVIKSISANCSNFKEILGKESELVEKIAERSKMNYRAWNHRCWLVSYMTIDQVLCELRKSRSWAALHIADNCCFHYRRRLLLRIMEGPSCLEDTVSCGRNVNIVQALKDELDWNETLIKRYVGREALWLHRRFLSTCWINNFVPDSGDESYHSKEAIGLYHDFGTFLQNELCLLHSCSTFVDDDFVDVQAQAAHSACYILWLKVQVPESLVNQLQEKLRDDDLKTLLNKSCPERSSLFNYFMN >Vigun07g081900.2.v1.2 pep primary_assembly:ASM411807v1:7:11721984:11724728:1 gene:Vigun07g081900.v1.2 transcript:Vigun07g081900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRSCWKPIVDGDEGDGSGRVDGLLWYKDLGSHLYGEFSMAVVQANSSLEDRGELESGPLSSNPLGPQGTFIGVYDGHGGSEASQFVIDNLFCNLKRLAAEHQGMSEHVIKRAYAATEESFLSLVKKQWLSKPEIASTGTCCLVGVICNGMIYIANSGDSRVVLGRVERATRETEAIQLSTEHNVNQETVRDELRSKHPFDSQIVVLRQNVWRVKGLIQVSRSIGDAYLKKAEFNRDPLPAKYRLAETFFRPILSCEPSTSSHTLHPDDQFLIFASDGLWEHLTNQEAVNIVNNNPPNGIARRLVKAALREAAKKCEMRLSDLQKIEQGMRRHIHDDITVIVVFLNSRTENTSLCGSPLSIKGGASANS >Vigun07g081900.1.v1.2 pep primary_assembly:ASM411807v1:7:11721984:11724728:1 gene:Vigun07g081900.v1.2 transcript:Vigun07g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRSCWKPIVDGDEGDGSGRVDGLLWYKDLGSHLYGEFSMAVVQANSSLEDRGELESGPLSSNPLGPQGTFIGVYDGHGGSEASQFVIDNLFCNLKRLAAEHQGMSEHVIKRAYAATEESFLSLVKKQWLSKPEIASTGTCCLVGVICNGMIYIANSGDSRVVLGRVERATRETEAIQLSTEHNVNQETVRDELRSKHPFDSQIVVLRQNVWRVKGLIQVSRSIGDAYLKKAEFNRDPLPAKYRLAETFFRPILSCEPSTSSHTLHPDDQFLIFASDGLWEHLTNQEAVNIVNNNPPNGIARRLVKAALREAAKKCEMRLSDLQKIEQGMRRHIHDDITVIVVFLNSRTENTSLCGSPLSIKGGASANS >Vigun06g052800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17839684:17840103:-1 gene:Vigun06g052800.v1.2 transcript:Vigun06g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIKILAIATFLSLLGLIPMEGKSTPSILYQNVSTSVPSTTSQWVKNNARGRGSGCRGRPWVCREGKFAPKRVCCKNLCVNVSSDKNNCGLCGIKCPFNWQCCRGLCSNINFSIFNCGKCGHRCPRGVRCSFGVCGYA >Vigun04g001700.2.v1.2 pep primary_assembly:ASM411807v1:4:163475:170283:1 gene:Vigun04g001700.v1.2 transcript:Vigun04g001700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFANFNQKHHRFCSFFPHSLRFLLRFVAFHVHPFFIQLCYFGILSLLGYLALKNSNPRTPVRPNDLDLFYTSVSASTVSSMVAVEMEVFSNSQLILLTFLMFVGGEVFISMLDLLFARYKFRNRVSTNHFPPTPEKPQVHDNHVELGLISIPQPQSENQKPSHTNVPFKDTDTLTYNSLTYLTLVVLGYFVVVQLVGFSLVSMYMTLVPSARQVLKNKDIKIATFSLFTIVSTFASCGFVPTNENMMVFKKSSGLLLLILPHVFLGNTLYPPCLRLVIMGLRKVSRREEFSHMLKNFKDIGYDHMLSGLHCCFLVATVLCLNVIQFVMFWSMEWNTKTMEGLNFYEKVVGSLFQVANARHAGESVFDLSSLSSAILVVFVVMMYLPPYTSFLPVMEEKNDGKRKRKSAVECVVFSQLSYLAIFVILVCITESRSLKEDPLNFNVLNITLEVISAYGNVGFSTGYSCARRLKDDGTCRDSWVGFSGRWSNKGKFILILVMFFGRLKKFNMKGGKAWHLS >Vigun04g001700.3.v1.2 pep primary_assembly:ASM411807v1:4:163475:169966:1 gene:Vigun04g001700.v1.2 transcript:Vigun04g001700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFANFNQKHHRFCSFFPHSLRFLLRFVAFHVHPFFIQLCYFGILSLLGYLALKNSNPRTPVRPNDLDLFYTSVSASTVSSMVAVEMEVFSNSQLILLTFLMFVGGEVFISMLDLLFARYKFRNRVSTNHFPPTPEKPQVHDNHVELGLISIPQPQSENQKPSHTNVPFKDTDTLTYNSLTYLTLVVLGYFVVVQLVGFSLVSMYMTLVPSARQVLKNKDIKIATFSLFTIVSTFASCGFVPTNENMMVFKKSSGLLLLILPHVFLGNTLYPPCLRLVIMGLRKVSRREEFSHMLKNFKDIGYDHMLSGLHCCFLVATVLCLNVIQFVMFWSMEWNTKTMEGLNFYEKVVGSLFQVANARHAGESVFDLSSLSSAILVVFVVMMYLPPYTSFLPVMEEKNDGKRKRKSAVECVVFSQLSYLAIFVILVCITESRSLKEDPLNFNVLNITLEVISAYGNVGFSTGYSCARRLKDDGTCRDSWVGFSGRWSNKGKFILILVMFFGRLKKFNMKGFN >Vigun04g001700.1.v1.2 pep primary_assembly:ASM411807v1:4:163475:168497:1 gene:Vigun04g001700.v1.2 transcript:Vigun04g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFANFNQKHHRFCSFFPHSLRFLLRFVAFHVHPFFIQLCYFGILSLLGYLALKNSNPRTPVRPNDLDLFYTSVSASTVSSMVAVEMEVFSNSQLILLTFLMFVGGEVFISMLDLLFARYKFRNRVSTNHFPPTPEKPQVHDNHVELGLISIPQPQSENQKPSHTNVPFKDTDTLTYNSLTYLTLVVLGYFVVVQLVGFSLVSMYMTLVPSARQVLKNKDIKIATFSLFTIVSTFASCGFVPTNENMMVFKKSSGLLLLILPHVFLGNTLYPPCLRLVIMGLRKVSRREEFSHMLKNFKDIGYDHMLSGLHCCFLVATVLCLNVIQFVMFWSMEWNTKTMEGLNFYEKVVGSLFQVANARHAGESVFDLSSLSSAILVVFVVMMYLPPYTSFLPVMEEKNDGKRKRKSAVECVVFSQLSYLAIFVILVCITESRSLKEDPLNFNVLNITLEVISAYGNVGFSTGYSCARRLKDDGTCRDSWVGFSGRWSNKGKFILILVMFFGRLKKFNMKGGKAWHLS >Vigun09g244400.1.v1.2 pep primary_assembly:ASM411807v1:9:41327430:41332011:-1 gene:Vigun09g244400.v1.2 transcript:Vigun09g244400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRPVLKPAGNRVTVVSLDNVPKHKNQNHVKTPQRFRNLAPQIPNAVAPSNVSLDTTCSSDSCSSSSPLKKVNPATASRRSVNRNGFKPVRVVPDAVDVATTVSPPPKRCEWITQHSDPLYTAFHDEEWGVPINNDRKLFELLVFSQALAEHRWPTILNQRDIFRKLFENFDPSSVAQFTEKKLLTLKINDSSLLSEPKLRAIVENAKQLLKVQQEFGSFSNYCWRFVNHKQIRNEFRYGRQVPVKTPKAEVISKDMMRRGFQCVGPTVVYSFMQVAGLVNDHLLTCFRHQECNVTTKNEFETEVKENKVQNETSELT >Vigun09g244400.2.v1.2 pep primary_assembly:ASM411807v1:9:41327430:41332011:-1 gene:Vigun09g244400.v1.2 transcript:Vigun09g244400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRPVLKPAGNRVTVVSLDNVPKHKNQNHVKTPQRFRNLAPQIPNAVAPSNVSLDTTCSSDSCSSSSPLKKVNPATASRRSVNRNGFKPVRVVPDAVDVATTVSPPPKRCEWITQHSDPLYTAFHDEEWGVPINNDRKLFELLVFSQALAEHRWPTILNQRDIFRKLFENFDPSSVAQFTEKKLLTLKINDSSLLSEPKLRAIVENAKQLLKEFGSFSNYCWRFVNHKQIRNEFRYGRQVPVKTPKAEVISKDMMRRGFQCVGPTVVYSFMQVAGLVNDHLLTCFRHQECNVTTKNEFETEVKENKVQNETSELT >Vigun09g244400.3.v1.2 pep primary_assembly:ASM411807v1:9:41327430:41332011:-1 gene:Vigun09g244400.v1.2 transcript:Vigun09g244400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRPVLKPAGNRVTVVSLDNVPKHKNQNHVKTPQRFRNLAPQIPNAVAPSNVSLDTTCSSDSCSSSSPLKKVNPATASRRSVNRNGFKPVRVVPDAVDVATTVSPPPKRCEWITQHSEWGVPINNDRKLFELLVFSQALAEHRWPTILNQRDIFRKLFENFDPSSVAQFTEKKLLTLKINDSSLLSEPKLRAIVENAKQLLKVQQEFGSFSNYCWRFVNHKQIRNEFRYGRQVPVKTPKAEVISKDMMRRGFQCVGPTVVYSFMQVAGLVNDHLLTCFRHQECNVTTKNEFETEVKENKVQNETSELT >VigunL060100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000452.1:15431:18475:-1 gene:VigunL060100.v1.2 transcript:VigunL060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYKNEERPKSQQNINSPLSLRSISSSVLIFFLWMFHSNYKHRVQYSPKSMGKIVGVGFRPTEQELVDFYLKHKLLGDDSRVDVIPVIDLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDISSWNTNTLIATKKNLVYYTGIVSCGVKSYWVIHEYHAVTFHESERCFVLCRLMRKSGKTTEGGTDPPICGEEEPSIIKVFDYENQATEEGIPYGGTFSGVETIFLADPQTETYVSQIQPSPREEASFPNYPLNNVCFGNEKSFMQDEYLNRILADENLDNDEESSCTFVDNFSQKDTNIVDSSFVHDKYFNYDEYHSSKRLKLSKDVADDASTNQETKESMIGDGFWRMESSSCDSTPNGVLEINCTEVSSSRSIS >Vigun09g067900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239569:7242094:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239389:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.4.v1.2 pep primary_assembly:ASM411807v1:9:7239447:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISVPLLAPESMEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239389:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239389:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.7.v1.2 pep primary_assembly:ASM411807v1:9:7239389:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISVPLLAPESMEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239447:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239381:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7239389:7242082:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun09g067900.6.v1.2 pep primary_assembly:ASM411807v1:9:7239445:7242117:1 gene:Vigun09g067900.v1.2 transcript:Vigun09g067900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISVPLLAPESMEAEASIPSDSLRVQISKFTTSATEAYKRKPIHYWIFLVLGILAMLVAFPASSILSRVYYDNGGKSKWIISWVAVAGWPLTALILFPSYLISKTFPTPLNLKLVLSYIVLGFFSAADNLMYAYAYAYLPASTASLVASSSVVFSALFGYFLVKNKVNASIVNAVFIITAALTIIALDSSSDRYPNITDREYIMGFVWDVLGSALHGLIFALSELVFVMLLGRRSFIVVLEQQVMVSLFAFLFTTVGMIVSGDFQGMAHEATTFKGGRAAYILVVIWGAITFQLGVLGGTAVIFLGSTVLAGVLNAVRTPITSIAAVILLKDPMSGFKILSLVITIWGFGSYIYGSSMSEKNS >Vigun08g115000.1.v1.2 pep primary_assembly:ASM411807v1:8:28152457:28173552:-1 gene:Vigun08g115000.v1.2 transcript:Vigun08g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTITIPVVPVITISKWNQRHMQRLRQRVSASIHHDSLRVLEWDKLCDVVASFATTSLGRQALKDQLWSLNQTFEESLALLEETNAAVEMHKHGTLRLHLGHLDAMLVKTAIQHARRSTPVSGNEARAIVTLLQSAEILQGDLKAAIKEDKDWYGRFMPLTELILEFVINRSLIKVIEQVVDEDGSIKDSASPALKHSRQQVQVIEKKVKQLIESIIRSERSDTSILEVNNVDGRWCIRVDSRQKTSFTGLLLSSGSGIGSTIEPLSVVPLNDELQRARSLVAKAEADVLLTLTKKMQLDLEDIEKILNSLVQLDVINARATYGLSFGGSSPHIFLPDRSGSSTTDAFSRRNANSYGSLPKKREWKLYLLKAYHPLLLHRHRENLRKTKKDANLATSDAADNALPVAVDFLVSKKTRVIVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVKIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISHIKLQATSQSLVLLDEVGAGTNPLEGAALGMSLLESFAHDSCLLTMATTHHGELKTLKYSDEAFENACMEFDEVNLKPTYKILWGVPGRSNAINIAERLGLPSVVVDTARKLYGSASAEIDEVITDMERLKQNYQELMDEARNYLMQSRGLYNSLLNSRRKIVKHSTDIRLKKMRDVSEAAAMARSILHKKVRELDVSAKQPSQNIKTISSSHLSATNKSQTAANNRESAVADRSTSAVKVLSQSSSGSDKSKPPKVGDIVHVSSLGKKVTVLEVDSSKGEIVVQAGIMKLKLKLTDVQRS >Vigun03g349400.1.v1.2 pep primary_assembly:ASM411807v1:3:54976083:54978192:1 gene:Vigun03g349400.v1.2 transcript:Vigun03g349400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEKPPCVWYLVAFVLVVFLTMVSCGSGVGVNWGTMATHKLPPSMVVKMLQENGVDKLKLFDAEEWIMAALMGTDIEVMLAIPNNMLEIMSENPQVADSWVYENVTTYMYPGGLNIKYIAVGNEPFLKEYNGSYLQTTLPALKNIQTALNSWGFGSQIKVTVPFNADAYYSPDSNQVPSAGDFRPEVRDQTIEIVQFLYANNAPFTVNIYPFLSLYGNDHFPFDFAFFDGNNRPLIDGNSVYTNVFDANLDTLVWALEKAGFPDMEIIVGEVGWPTDGDKNANVQNAKRFNMGLLKHALSGNGTPKRKGIIDIYLFSLIDENAKSILPGNFERHWGIFEFDGKPKYELDLAGLGQNKGLVPVEGVKYMEKRWCVFDPKVKDLHLLAASIDYACSQSDCTALGYGSSCNTLTLLGNASYAFNMYYQVNSQKDWDCDFTGLATVTDEDPSDKGCQFPIMISCASSLHGNLTNILMKALSIYLFVILLWFSH >Vigun09g255800.1.v1.2 pep primary_assembly:ASM411807v1:9:42202091:42204368:-1 gene:Vigun09g255800.v1.2 transcript:Vigun09g255800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPCDYWPHLSHTLSSSPSPSPSSSSPSHTLLPPPPSSVEHVWNDLKLPSLSNSPVDFNHSSSHSSLLSLSSSHNHHSLLSVSNASFHSNHQDHRHSRIMKNRESAVRSRARKQAYRKGLEVEIARLTEENSRLRKELKELQCSLSSSENTPQRTSAPFRTSSSPF >Vigun09g255800.2.v1.2 pep primary_assembly:ASM411807v1:9:42202091:42204368:-1 gene:Vigun09g255800.v1.2 transcript:Vigun09g255800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPCDYWPHLSHTLSSSPSPSPSSSSPSHTLLPPPPSSVEHVWNDLKLPSLSNSPVDFNHSSSHSSLLSLSSSHNHHSLLSVSNASFHSNHQDHRHSRIMKNRESAVRSRARKQAYRKGLEVEIARLTEENSRLRKELKECSLSSSENTPQRTSAPFRTSSSPF >Vigun07g120600.1.v1.2 pep primary_assembly:ASM411807v1:7:22300395:22301068:1 gene:Vigun07g120600.v1.2 transcript:Vigun07g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVHSRSFPHYFFSLFCGLVLPFFFFLLYQNLDEKLDEQPSILPKIYEVSNQRFRGHETGFIHPRMIQCWYVVYTHNFEGFTNHKLRK >Vigun06g120700.1.v1.2 pep primary_assembly:ASM411807v1:6:24833982:24838545:1 gene:Vigun06g120700.v1.2 transcript:Vigun06g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAVWDYRAATEITKDWNGIDQVLLRTPRGSSARVSLHGAQVTSWRNEHGEELLFTSSKAIFKAPKAIRGGIPMCFPQFGNCGSLEQHGFARNRMWAIDENPPPLPGNDSSGKSFIDLVLKSSEEDMKCWPHSFEFRLRVSLAADGDLSLISRVRNINGKPFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLFKKERFTEQGDAITFESEVDRVYLSSPNIIAVLDHEKKRTFVIRKEGLPDVAVWNPWEKKSKSMVDFGDEEYKQMLCVDGAVIEKPVSLKPGEEWTGRLQLSVVASSFCSDRLGLDRSGL >Vigun07g286900.2.v1.2 pep primary_assembly:ASM411807v1:7:39980249:39985470:-1 gene:Vigun07g286900.v1.2 transcript:Vigun07g286900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIPTSSSSSSSSSAVPTLFLVCCAFFVSQALGARIPHPERFLPFIWPLPEKFSFGNETLSLDPALSLSGNGAAFNIVREAFERYKGILFKHGDRFSFLRTLRPAYDVNKLSITVHSNSEELQLGVDESYNLFVSGAHQLSGSGGVTIEANTVFGALRGLETFSQLCSFDYTTKKVHISKVPWSVRDKPRFQYRGLLLDTSRHYLPVNVIKQIIEAMSYAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSEWERYTIEDAHDIVNFSKMRGINVMAEVDVPGHAASWGVGYPDLWPSPFCKQPLDVSKKFTFDILSGILTDMRKIFPFELFHLGGDEVNTDCWTNTSTVNKWLQNKNMTAEDAYEYFVLKAQNIALTKNWSPVNWEETFNTFPSKLSPQTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLNVPWEVVYDADPLEGIQKASEKKLVIGGEVCMWGETADTSDVQQTIWPRAAAAAERLWSPRDFTSGKHAKVTALKRLQYFRCLLNRRGVAAAPVTNFYARTAPDGPGSCLVQ >Vigun07g286900.1.v1.2 pep primary_assembly:ASM411807v1:7:39980249:39985601:-1 gene:Vigun07g286900.v1.2 transcript:Vigun07g286900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIPTSSSSSSSSSAVPTLFLVCCAFFVSQALGARIPHPERFLPFIWPLPEKFSFGNETLSLDPALSLSGNGAAFNIVREAFERYKGILFKHGDRFSFLRTLRPAYDVNKLSITVHSNSEELQLGVDESYNLFVSGAHQLSGSGGVTIEANTVFGALRGLETFSQLCSFDYTTKKVHISKVPWSVRDKPRFQYRGLLLDTSRHYLPVNVIKQIIEAMSYAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSEWERYTIEDAHDIVNFSKMRGINVMAEVDVPGHAASWGVGYPDLWPSPFCKQPLDVSKKFTFDILSGILTDMRKIFPFELFHLGGDEVNTDCWTNTSTVNKWLQNKNMTAEDAYEYFVLKAQNIALTKNWSPVNWEETFNTFPSKLSPQTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLNVPWEVVYDADPLEGIQKASEKKLVIGGEVCMWGETADTSDVQQTIWPRAAAAAERLWSPRDFTSGKHAKVTALKRLQYFRCLLNRRGVAAAPVTNFYARTAPDGPGSCLVQ >Vigun05g162133.1.v1.2 pep primary_assembly:ASM411807v1:5:25673841:25674669:-1 gene:Vigun05g162133.v1.2 transcript:Vigun05g162133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPQRGWSERSFENSREGVKWKCSDVCSIEEPHQSIPNLVVKLYCGDDSVGEVLRKNSLIPLILITFSITIHIEKTNYS >Vigun07g297200.2.v1.2 pep primary_assembly:ASM411807v1:7:40739653:40746416:-1 gene:Vigun07g297200.v1.2 transcript:Vigun07g297200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREREVLTVLHGGMIITMDEEQRVFRDGGIVVEGDRIKAIGQSAEILAEFSDVAHHILDLTGHILLPGFINTHVHSSQQLARGIADDVDLMTWLHHRIWPYESNMTQNDSYLSTLLCGIELIHSGVTCFAEAGGQHVSQMARAVSLLGLRACLTQSTMDSGHGLPPSWATRTTDHCIQSQKDNYDKYHNTAHGRIRIWFGIRQIMNSTPRLLIETRDAATQLKTGIHMHVAEIPYENQLVRDVHKVDHGTVTYLDKIDFLQSNLLAAHSVWLDNNEISLLSRSGVKVSHCPAAAMRMLGFAPVREMLDTGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYANGTTDPTALPAETILKMVTVNGAKSVLWDDELGSLEVGKKADIVVVNPSSWTMVPVHDCISSIVYSMRSENVVSVMCNGLWIMKNKKIINVDEEEVILKAKEASVELLKRAGITLRSRMNMI >Vigun07g297200.3.v1.2 pep primary_assembly:ASM411807v1:7:40739653:40746416:-1 gene:Vigun07g297200.v1.2 transcript:Vigun07g297200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREREVLTVLHGGMIITMDEEQRVFRDGGIVVEGDRIKAIGQSAEILAEFSDVAHHILDLTGHILLPGFINTHVHSSQQLARGIADDVDLMTWLHHRIWPYESNMTQNDSYLSTLLCGIELIHSGVTCFAEAGGQHVSQMARAVSLLGLRACLTQSTMDSGHGLPPSWATRTTDHCIQSQKDNYDKYHNTAHGRIRIWFGIRQIMNSTPRLLIETRDAATQLKTGIHMHVAEIPYENQLVRDVHKVDHGTVTYLDKIDFLQSNLLAAHSVWLDNNEISLLSRSGVKVSHCPAAAMRMLGFAPVREMLDTGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYANGTTDPTALPAETILKMVTVNGAKSVLWDDELGSLEVGKKADIVVVNPSSWTMVPVHDCISSIVYSMRSENVVSVMCNGLWIMKNKKIINVDEEEVILKAKEASVELLKRAGITLRSRMNMI >Vigun07g297200.1.v1.2 pep primary_assembly:ASM411807v1:7:40739606:40745927:-1 gene:Vigun07g297200.v1.2 transcript:Vigun07g297200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREREVLTVLHGGMIITMDEEQRVFRDGGIVVEGDRIKAIGQSAEILAEFSDVAHHILDLTGHILLPGFINTHVHSSQQLARGIADDVDLMTWLHHRIWPYESNMTQNDSYLSTLLCGIELIHSGVTCFAEAGGQHVSQMARAVSLLGLRACLTQSTMDSGHGLPPSWATRTTDHCIQSQKDNYDKYHNTAHGRIRIWFGIRQIMNSTPRLLIETRDAATQLKTGIHMHVAEIPYENQLVRDVHKVDHGTVTYLDKIDFLQSNLLAAHSVWLDNNEISLLSRSGVKVSHCPAAAMRMLGFAPVREMLDTGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYANGTTDPTALPAETILKMVTVNGAKSVLWDDELGSLEVGKKADIVVVNPSSWTMVPVHDCISSIVYSMRSENVVSVMCNGLWIMKNKKIINVDEEEVILKAKEASVELLKRAGITLRSRMNMI >Vigun11g167100.2.v1.2 pep primary_assembly:ASM411807v1:11:37408643:37420990:-1 gene:Vigun11g167100.v1.2 transcript:Vigun11g167100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKPMRDSDELASPSNNLWVGNLAPDVTDADLMELFAKYGALDSVTTYSARSYAFIYFKRVEDAKAAKNALQGTSLRGSSLKIEFARPAKACKQLWVGGISPAVTKEDLEAEFRKIGKIEDFKFYRDRNTACVEFFNLEDASQAMKIMNGKRIGGEHIRVDFLRSQTIKRDQLLDYGQFQGKSLGPSDAYSGQKRPLHSQAPMGRKGDGQPSNVLWIGYPPAVQIDEQMLHNAMILFGEIERIKSFPLRNYSTVEFRSVDEARRAKEGLQGRLFNDPRITIMYSSNDLVHGSDYPGFFAGSNGPRPEVLLNENPFRQLQIDAFGHNRPMVPNNFTGQLPPTGVMGPNVPLRPFGPHSGVDSVISGPEFNEINALHKFQDGSSKSNMAPNWKRPSPPAPGMLSSPAPGARHPTRSTTGAWDVLDINHFPRDSKRSRIDGPLPVDEAPFPLRNIDDRGLALEQSYGTDPSIDGGGSGPFANIQGKNHLGPLNSRIITGVHGMVQPDNDHIWRGIIAKGGTPVCRARCVPIGKGVGTELPDVIDCSARTGLDILTKHYADAIGFDIVFFLPDSEDDFASYTEFLRYLSAKNRAGVAKFVDNTTLFLVPPSDFLTRVLKVSGPERLYGVVLKFPQVASSAPMQQASNLPVPTSQFMQQIPPSHTEYGLIPIKEEQVASMDYNRQLREDSKLPSKPAYPPTGGPPSVHSVPPDYAPNNAIAGSQAGVALTPELIATLASFLPSTTPSSATDGAKPGVGSSTMKPPFPPVAPNDGNQSYIWKQDSQSADQTTHPPQQLRSMYSVHNAHYQPYPPASAPAGNPAQVVSSSSHIQDTAASMHQQGAVSSRHMPNFMMPTQSGQVAASPHGSQHYQVEVSPSNQKGFGIVQGTDASVLYNSQQTAKPYTVDQVNSDTPNQQLSVFGVGQGTPEEADKNQRYQSTLQFAANLLLQIQQKQQQAPGGHGPGIQQ >Vigun11g167100.1.v1.2 pep primary_assembly:ASM411807v1:11:37408643:37420989:-1 gene:Vigun11g167100.v1.2 transcript:Vigun11g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKPMRDSDELASPSNNLWVGNLAPDVTDADLMELFAKYGALDSVTTYSARSYAFIYFKRVEDAKAAKNALQGTSLRGSSLKIEFARPAKACKQLWVGGISPAVTKEDLEAEFRKIGKIEDFKFYRDRNTACVEFFNLEDASQAMKIMNGKRIGGEHIRVDFLRSQTIKRDQLLDYGQFQGKSLGPSDAYSGQKRPLHSQAPMGRKGDGQPSNVLWIGYPPAVQIDEQMLHNAMILFGEIERIKSFPLRNYSTVEFRSVDEARRAKEGLQGRLFNDPRITIMYSSNDLVHGSDYPGFFAGSNGPRPEVLLNENPFRQLQIDAFGHNRPMVPNNFTGQLPPTGVMGPNVPLRPFGPHSGVDSVISGPEFNEINALHKFQDGSSKSNMAPNWKRPSPPAPGMLSSPAPGARHPTRSTTGAWDVLDINHFPRDSKRSRIDGPLPVDEAPFPLRNIDDRGLALEQSYGTDPSIDGGGSGPFANIQGKNHLGPLNSRIITGVHGMVQPDNDHIWRGIIAKGGTPVCRARCVPIGKGVGTELPDVIDCSARTGLDILTKHYADAIGFDIVFFLPDSEDDFASYTEFLRYLSAKNRAGVAKFVDNTTLFLVPPSDFLTRVLKVSGPERLYGVVLKFPQVASSAPMQQASNLPVPTSQFMQQIPPSHTEYGLIPIKEEQVASMDYNRQLREDSKLPSKPAYPPTGGPPSVHSVPPDYAPNNAIAGSQAGVALTPELIATLASFLPSTTPSSATDGAKPGVGSSTMKPPFPPVAPNDGNQSYIWKQDSQSADQTTHPPQQLRSMYSVHNAHYQPYPPASAPAGNPAQVVSSSSHIQDTAASMHQQGAVSSRHMPNFMMPTQSGQVAASPHGSQHYQVEVSPSNQKGFGIVQGTDASVLYNSQAFQQPNNNPPSFQQPNNSIALTNQVSGANSSQQQTAKPYTVDQVNSDTPNQQLSVFGVGQGTPEEADKNQRYQSTLQFAANLLLQIQQKQQQAPGGHGPGIQQ >Vigun11g167100.3.v1.2 pep primary_assembly:ASM411807v1:11:37410471:37420989:-1 gene:Vigun11g167100.v1.2 transcript:Vigun11g167100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKPMRDSDELASPSNNLWVGNLAPDVTDADLMELFAKYGALDSVTTYSARSYAFIYFKRVEDAKAAKNALQGTSLRGSSLKIEFARPAKACKQLWVGGISPAVTKEDLEAEFRKIGKIEDFKFYRDRNTACVEFFNLEDASQAMKIMNGKRIGGEHIRVDFLRSQTIKRDQLLDYGQFQGKSLGPSDAYSGQKRPLHSQAPMGRKGDGQPSNVLWIGYPPAVQIDEQMLHNAMILFGEIERIKSFPLRNYSTVEFRSVDEARRAKEGLQGRLFNDPRITIMYSSNDLVHGSDYPGFFAGSNGPRPEVLLNENPFRQLQIDAFGHNRPMVPNNFTGQLPPTGVMGPNVPLRPFGPHSGVDSVISGPEFNEINALHKFQDGSSKSNMAPNWKRPSPPAPGMLSSPAPGARHPTRSTTGAWDVLDINHFPRDSKRSRIDGPLPVDEAPFPLRNIDDRGLALEQSYGTDPSIDGGGSGPFANIQGKNHLGPLNSRIITGVHGMVQPDNDHIWRGIIAKGGTPVCRARCVPIGKGVGTELPDVIDCSARTGLDILTKHYADAIGFDIVFFLPDSEDDFASYTEFLRYLSAKNRAGVAKFVDNTTLFLVPPSDFLTRVLKVSGPERLYGVVLKFPQVASSAPMQQASNLPVPTSQFMQQIPPSHTEYGLIPIKEEQVASMDYNRQLREDSKLPSKPAYPPTGGPPSVHSVPPDYAPNNAIAGSQAGVALTPELIATLASFLPSTTPSSATDGAKPGVGSSTMKPPFPPVAPNDGNQSYIWKQDSQSADQTTHPPQQLRSMYSVHNAHYQPYPPASAPAGNPAQVVSSSSHIQDTAASMHQQGAVSSRHMPNFMMPTQSGQVAASPHGSQHYQVEVSPSNQKGFGIVQGTDASVLYNSQAFQQPNNNPPSFQQPNNSIALTNQVSGANSSQQQTAKPYTVDQVNSDTPNQQLSVFGVGQGTPEEADKNQRYQSTLQFAANLLLQIQQKQQQAPGGHGPGIQQ >Vigun11g167100.4.v1.2 pep primary_assembly:ASM411807v1:11:37408643:37420989:-1 gene:Vigun11g167100.v1.2 transcript:Vigun11g167100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKPMRDSDELASPSNNLWVGNLAPDVTDADLMELFAKYGALDSVTTYSARSYAFIYFKRVEDAKAAKNALQGTSLRGSSLKIEFARPAKACKQLWVGGISPAVTKEDLEAEFRKIGKIEDFKFYRDRNTACVEFFNLEDASQAMKIMNGKRIGGEHIRVDFLRSQTIKRLLDYGQFQGKSLGPSDAYSGQKRPLHSQAPMGRKGDGQPSNVLWIGYPPAVQIDEQMLHNAMILFGEIERIKSFPLRNYSTVEFRSVDEARRAKEGLQGRLFNDPRITIMYSSNDLVHGSDYPGFFAGSNGPRPEVLLNENPFRQLQIDAFGHNRPMVPNNFTGQLPPTGVMGPNVPLRPFGPHSGVDSVISGPEFNEINALHKFQDGSSKSNMAPNWKRPSPPAPGMLSSPAPGARHPTRSTTGAWDVLDINHFPRDSKRSRIDGPLPVDEAPFPLRNIDDRGLALEQSYGTDPSIDGGGSGPFANIQGKNHLGPLNSRIITGVHGMVQPDNDHIWRGIIAKGGTPVCRARCVPIGKGVGTELPDVIDCSARTGLDILTKHYADAIGFDIVFFLPDSEDDFASYTEFLRYLSAKNRAGVAKFVDNTTLFLVPPSDFLTRVLKVSGPERLYGVVLKFPQVASSAPMQQASNLPVPTSQFMQQIPPSHTEYGLIPIKEEQVASMDYNRQLREDSKLPSKPAYPPTGGPPSVHSVPPDYAPNNAIAGSQAGVALTPELIATLASFLPSTTPSSATDGAKPGVGSSTMKPPFPPVAPNDGNQSYIWKQDSQSADQTTHPPQQLRSMYSVHNAHYQPYPPASAPAGNPAQVVSSSSHIQDTAASMHQQGAVSSRHMPNFMMPTQSGQVAASPHGSQHYQVEVSPSNQKGFGIVQGTDASVLYNSQAFQQPNNNPPSFQQPNNSIALTNQVSGANSSQQQTAKPYTVDQVNSDTPNQQLSVFGVGQGTPEEADKNQRYQSTLQFAANLLLQIQQKQQQAPGGHGPGIQQ >Vigun09g235300.1.v1.2 pep primary_assembly:ASM411807v1:9:40567193:40569255:-1 gene:Vigun09g235300.v1.2 transcript:Vigun09g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWAIAVHGGAGVDPNLPPLRQEQAKQLLTRCLNLGISALRSNASAVDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGSKRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFNGAEEFARQQGVEVVENEYFITPENVGMLKLAKEANTILFDYRIPTGGYDSCGVGAESPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMSGRIGDSPLIGAGTYACGVCGVSCTGEGEAIIRGTLAREVAAVMEYKGLPLQQAVDFVIKQRLDEGKAGLIAVSNTGEVAYGFNCNAMFRGCATEDGFMEVGIWD >Vigun03g375000.1.v1.2 pep primary_assembly:ASM411807v1:3:57767453:57768826:-1 gene:Vigun03g375000.v1.2 transcript:Vigun03g375000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGITIYIRPFAQRPNTQKFGFSISLSNNHNSFFSSLKKMAIDCGSRRYAMLQAVQDSDYVEKVYGGYYNVYVQAFGEEGDVWDLFRVYEGDFPEFNDLHKYDGFVITGSPSDAYGNDYWILKLCFILQIIVGMEKKVLGICFGHQVLCRALGGRVGKSKTGWDIGYREVNLMKDVGGCYRYLDHHEMSSFLSIIEVHQDEVYEVPVGAEVIASSEKTRVEMFAIADHILGIQGHPEYTTDILFNLIDRLRDMDVIEKGLAEDLKCQLESAEPDQECWKMICRSFLKGK >Vigun05g117200.1.v1.2 pep primary_assembly:ASM411807v1:5:12550226:12552074:1 gene:Vigun05g117200.v1.2 transcript:Vigun05g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLSLLILLILRTSLSMCEAKTLTLPLKSQVIPSGYLPRPPNKLRFNHNVSLTISITVGTPPQNVSMVIDTGSELSWLHCNNHTNTNRLMPDPFFNPNASSTYSPIPCSSSTCTIRTRDFPIPASCDSNNLCHATLSYADASSSEGNLASDTLGFGSSVNRGVIFGCMNSSYTTNSESDSNTTGLMGSDFSGILLLGESNFSWGGSLNYTSLVQVSTPLPYFDRSAYTVRFKGIKVSDKLLNISDNLFVPDHTGAGQTMFDLGTQFTFLLGPVYNALRDEFLNKTNGTLRVLDDPNFAFQIAMDLCYRVPMNRSELPELPSVSLVFEGAEMRVSGDQLLYRVPGLVRGNDSVYCFTFGNSDLLGVEAFIIGHHQQQNMWMEFDLVKQRVGLAHAQCDLVGQKLLGLGLLH >Vigun05g117200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12550231:12552079:1 gene:Vigun05g117200.v1.2 transcript:Vigun05g117200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLSLLILLILRTSLSMCEAKTLTLPLKSQVIPSGYLPRPPNKLRFNHNVSLTISITVGTPPQNVSMVIDTGSELSWLHCNNHTNTNRLMPDPFFNPNASSTYSPIPCSSSTCTIRTRDFPIPASCDSNNLCHATLSYADASSSEGNLASDTLGFGSSVNRGVIFGCMNSSYTTNSESDSNTTGLMGMNLGSLSLVSQLKTPKFSYCISGSDFSGILLLGESNFSWGGSLNYTSLVQVSTPLPYFDRSAYTVRFKGIKVSDKLLNISDNLFVPDHTGAGQTMFDLGTQFTFLLGPVYNALRDEFLNKTNGTLRVLDDPNFAFQIAMDLCYRVPMNRSELPELPSVSLVFEGAEMRVSGDQLLYRVPGLVRGNDSVYCFTFGNSDLLGVEAFIIGHHQQQNMWMEFDLVKQRVGLAHAQCDLVGQKLLGLGLLH >Vigun01g080900.4.v1.2 pep primary_assembly:ASM411807v1:1:22774856:22781957:-1 gene:Vigun01g080900.v1.2 transcript:Vigun01g080900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYVHMVQSGRGFYKGNLSYANCRYKGRRTNRLVFASMHTSDPKVDSLLDSVKWDDKGLAVAIAQNVDTGAILMQGFANREAVATTLSSRKATFYSRSRSTLWTKGETSNNFINVHDVFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVFDLLKQQEDEGNNLALTSLYALESTISHRKTELIGENEKPSWTKRLLLNDKLLCSKISH >Vigun01g080900.3.v1.2 pep primary_assembly:ASM411807v1:1:22774180:22781957:-1 gene:Vigun01g080900.v1.2 transcript:Vigun01g080900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYVHMVQSGRGFYKGNLSYANCRYKGRRTNRLVFASMHTSDPKVDSLLDSVKWDDKGLAVAIAQNVDTGAILMQGFANREAVATTLSSRKATFYSRSRSTLWTKGETSNNFINVHDVFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVFDLLKQQEDEGNNLALTSLYALESTISHRKTELIGENEKPSWTKRLLLNDKLLCSKIREEANELCQTLENNEDKSRTASEMADVLYHSMVLLAQKDVKIEDVLQVLRLRFSQSGIEEKRSRLSQKPLDH >Vigun01g080900.2.v1.2 pep primary_assembly:ASM411807v1:1:22774180:22781957:-1 gene:Vigun01g080900.v1.2 transcript:Vigun01g080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYVHMVQSGRGFYKGNLSYANCRYKGRRTNRLVFASMHTSDPKVDSLLDSVKWDDKGLAVAIAQNVDTGAILMQGFANREAVATTLSSRKATFYSRSRSTLWTKGETSNNFINVHDVFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVFDLLKQQEDEGNNLALTSLYALESTISHRKTELIGENEKPSWTKRLLLNDKLLCSKIREEANELCQTLENNEDKSRTASEMADVLYHSMVLLAQKDVKIEDVLQVLRLRFSQSGIEEKRSRLSQKPLDH >Vigun03g249000.2.v1.2 pep primary_assembly:ASM411807v1:3:41414869:41418943:-1 gene:Vigun03g249000.v1.2 transcript:Vigun03g249000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVWILALLILYGGFPSKGTGNVSTRPSAINIGAILSFNSTVGRVAKVAIQAAVDDVNSNATILSGTKLNISMLDTKLSTGFLGIIASLRLMTSETVAIIGPQYSVMAHVISHIANEMQVPLLSFAATDPTLTSMQFPYFVRTTQSDLRQMAAVAEIVDHFQWRDVIAIFVDDDHGRNGVAALGDKLAEKQRKISHKVPFRPYNITREEINSALVKIAALMESRVIILHIYPSFGVEVLHVAQSLGMMQSGYVWIATDWLTTLLDSDPSLFTSPAINDIQGVVTLRMHTPDSDIKDKFISRWTKLSQKENPNQDPFGLNIFGLYAYDTVWALAYALDALLKDGGTLSFSNASSVINMLTGDILHLDAMRVFVSGSMLLKKILESNTSGLAGQMMFDSDGNLMHQSYEIINVIGSGIRRIGYWSETSGLHTEEAPTHSNSSERLYDVIWPGQTTQTPRGWSFASNGRQLRIGVIVRVTFPEIVSRIEGTDTFSGYCIDVFTAAMNLLPYPTPFKFIPFGDGKTNPSHSDFLQMVTIGAFDGVVGDLTISTNRAKIVDFTQPYIESGLVVVAPVKKLKSNAWAFLRPFTPMMWFVTGMFFLVVGAVVWTLERGTNDEFRGPARRQFVTIIWFSFSTLFFTQNEKTVSTLGRFVLIIWLFVVLVLTSSYTASLTSILTVEQLSSQFKGIESLIASNEPVGFVKDTFTENYITAELHMDRSRLVPLNSMLEYEKALKDGPARGGVAAIIDERAYMELFLATRCEFSIAGQEFTKSGWGFGFPRESPLAVDMSTAILKLSESGDLQRIRDKWLTRRACSSEGAIQSNDRLELKSFWGLYLLIGMACFVALLCHVIRLMYRFSRHFNSNLEGSSFSSHLRSFLSFANKKEAEDTSQRQDKRRSALVER >Vigun06g092800.1.v1.2 pep primary_assembly:ASM411807v1:6:22501064:22505137:-1 gene:Vigun06g092800.v1.2 transcript:Vigun06g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWCPSPSATLAVPTTVTTTARRHSITSQFRIQTLTFPPSSCRHSTAAPPSLRFRVPCSNKTALPDSPALPPDEVDCVGTGQDVECLVNTEKTELETLSSSSSMPCLAEALWEWTVLVSPFFFWGTAMVAMKEVLPKYGPFFVSAFRLIPAGFLLVGFAASRGKSLPSGFNAWLSITLFALVDATCFQGFLAEGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGVVGAAGLVLGVIGLVLLELPALSFDESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDSVMATGWHMILGGLPLLVFSVLNNDPAVSGSLKEYTSPDILALLYTSVFGSAVSYGVFFTVQLKAA >Vigun08g035900.1.v1.2 pep primary_assembly:ASM411807v1:8:3463588:3465304:-1 gene:Vigun08g035900.v1.2 transcript:Vigun08g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPGVEVNINANDWRASLSKRTRSMIVSAMVKQCLPFFGSEGLDRLRDHAKRFEEKTFTTATSLSEYLLTISMKLISVETRACCRVANPRNQV >Vigun08g035900.2.v1.2 pep primary_assembly:ASM411807v1:8:3463588:3465304:-1 gene:Vigun08g035900.v1.2 transcript:Vigun08g035900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPGVEVNINANDWRASLSKRTRSMIVSAMVKQCLPFFGSEGLDRLRDHAKRFEEKTFTTATSLVHI >Vigun07g216700.1.v1.2 pep primary_assembly:ASM411807v1:7:33837827:33838935:1 gene:Vigun07g216700.v1.2 transcript:Vigun07g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHHLFHHHKDQETKPIETETGYDNPSYESSGVAEYETGFNKTSFSSDEPSGEGYEGRRKSGYSAIDESSGGYGTGGGYSDTTDAGYTKTSAGGGYGVGGVYSDTADGGYGKKSGGGGYKDDDSDERYDEVDYKKEEKHHKHLEHLGELGAAAAGAYALHEKHKAEKDPEHEHKHKIGEEVAAAAAVGAGGFAFHEHHEKKEAKEEEEEAHSEKRHHHHLF >Vigun10g055800.1.v1.2 pep primary_assembly:ASM411807v1:10:9993038:9994753:-1 gene:Vigun10g055800.v1.2 transcript:Vigun10g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKMINQNILLGMICIVFVLASGASGRATSDGTAWKYCLNPGEGNCPNNAACNQYCLSRPFPGGGSCIENLCCCSA >Vigun01g209300.1.v1.2 pep primary_assembly:ASM411807v1:1:38452801:38457214:1 gene:Vigun01g209300.v1.2 transcript:Vigun01g209300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVAGGLHFCDVLTLDITKMVWSKLTTTGEKPGPRDSHSAVLVGHKMIVFGGSNGFKKVNHIHILDLVTKEWFRPECKGTPPSPRESHTATLVGDEKIVIFGGSGEGHANYLNDLHILDLRTMTWASPELKGDFPVPRDSHSTLAIGNKLVVYGGDSGDQYHGNVHMLDMATMTWSRLTIQGSPPGVRAGHAAVNIGTKVYIIGGVGDKRYYNDVWIFDICNFSWTQLDIHFQQPQGRFSHTAVAAGMDIAIYGGCGEDERPLNELLVLQLAAEHPNGRYYVPMCKPIGTYWNHEKNIIPAEADTNSETILVRNNVEAFGNGAYEISSEKSSPHHFDSGTSRQKRRRIAAAKVWDVESEQEDSFLSLSLHSSPYKSDQEQTPSQEANTLFPSTSQHENDSNYKRTLMNVPLKTPQALHFLQHQLKQEQCLHVNEDRKRAQRQAAEQKPMIRPIQHLIGGEVRERVEGPFDPVSISPTAVNGRIFRGVIFAPGAGVVSKGASSVPSSQAFLGTLRASQEAPICLHAEPYHGSRSRQTPVALPFPIMGATPSVVSKEHKIRSDLQGLALTLGGPASGNPQVKY >Vigun01g209300.3.v1.2 pep primary_assembly:ASM411807v1:1:38452801:38457214:1 gene:Vigun01g209300.v1.2 transcript:Vigun01g209300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVAGGLHFCDVLTLDITKMVWSKLTTTGEKPGPRDSHSAVLVGHKMIVFGGSNGFKKVNHIHILDLVTKEWFRPECKGTPPSPRESHTATLVGDEKIVIFGGSGEGHANYLNDLHILDLRTMTWASPELKGDFPVPRDSHSTLAIGNKLVVYGGDSGDQYHGNVHMLDMATMTWSRLTIQGSPPGVRAGHAAVNIGTKVYIIGGVGDKRYYNDVWIFDICNFSWTQLDIHFQQPQGRFSHTAVAAGMDIAIYGGCGEDERPLNELLVLQLAAEHPNGRYYVPMCKPIGTYWNHEKNIIPAEADTNSQETILVRNNVEAFGNGAYEISSEKSSPHHFDSGTSRQKRRRIAAAKVWDVESEQEDSFLSLSLHSSPYKSDQEQTPSQEANTLFPSTSQHENDSNYKRTLMNVPLKTPQALHFLQHQLKQEQCLHVNEDRKRAQRQAAEQKPMIRPIQHLIGGEVRERVEGPFDPVSISPTAVNGRIFRGVIFAPGAGVVSKGASSVPSSQAFLGTLRASQEAPICLHAEPYHGSRSRQTPVALPFPIMGATPSVVSKEHKIRSDLQGLALTLGGPASGNPQVKY >Vigun01g209300.2.v1.2 pep primary_assembly:ASM411807v1:1:38452801:38457214:1 gene:Vigun01g209300.v1.2 transcript:Vigun01g209300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVAGGLHFCDVLTLDITKMVWSKLTTTGEKPGPRDSHSAVLVGHKMIVFGGSNGFKKVNHIHILDLVTKEWFRPECKGTPPSPRESHTATLVGDEKIVIFGGSGEGHANYLNDLHILDLRTMTWASPELKGDFPVPRDSHSTLAIGNKLVVYGGDSGDQYHGNVHMLDMATMTWSRLTIQGSPPGVRAGHAAVNIGTKVYIIGGVGDKRYYNDVWIFDICNFSWTQLDIHFQQPQGRFSHTAVAAGMDIAIYGGCGEDERPLNELLVLQLAAEHPNGRYYVPMCKPIGTYWNHEKNIIPAEADTNSETILVRNNVEAFGNGAYEISSEKSSPHHFDSGTSRQKRRRIAAAKVWDVESEQEDSFLSLSLHSSPYKSDQEQTPSQEANTLFPSTSQHENDSNYKRTLMNVPLKTPQALHFLQHQLKQEQCLHVNEDRKRAQRQAAEQKPMIRPIQHLIGGEVRERVEGPFDPVSISPTAVNGRIFRGVIFAPSHTTVHDQGKPRWLYRFQSWERLLVLFPRSIKSGVIFKDWL >Vigun01g056400.2.v1.2 pep primary_assembly:ASM411807v1:1:11190054:11192835:-1 gene:Vigun01g056400.v1.2 transcript:Vigun01g056400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDGMRASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNLKGGIVPPQSIPDMGVLKDFGSPLGFSSFQDLENIYGGEQIELQHNMEKSALDHGFMSMNESLCLGKKRTNPYSGSGKSPLIWSDDLRLQDLGGPASSCLGPQDDPFKGEHIQITPPGSLDRGSTDIDPMSDIYDSKPVLQGETVVGDKKFDASMKLERPSPRRAPLQAERMSPMISTGTMAQGRGSPFG >Vigun01g056400.4.v1.2 pep primary_assembly:ASM411807v1:1:11190054:11192835:-1 gene:Vigun01g056400.v1.2 transcript:Vigun01g056400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDASALELQRNTASSSAMIGRNMNDNSHMVDAIRMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNLKGGIVPPQSIPDMGVLKDFGSPLGFSSFQDLENIYGGEQIELQHNMEKSALDHGFMSMNESLCLGKKRTNPYSGSGKSPLIWSDDLRLQDLGGPASSCLGPQDDPFKGEHIQITPPGSLDRGSTDIDPMSDIYDSKPVLQGETVVGDKKFDASMKLERPSPRRAPLQAERMSPMISTGTMAQGRGSPFG >Vigun01g056400.3.v1.2 pep primary_assembly:ASM411807v1:1:11190054:11192835:-1 gene:Vigun01g056400.v1.2 transcript:Vigun01g056400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNLKGGIVPPQSIPDMGVLKDFGSPLGFSSFQDLENIYGGEQIELQHNMEKSALDHGFMSMNESLCLGKKRTNPYSGSGKSPLIWSDDLRLQDLGGPASSCLGPQDDPFKGEHIQITPPGSLDRGSTDIDPMSDIYDSKPVLQGETVVGDKKFDASMKLERPSPRRAPLQAERMSPMISTGTMAQGRGSPFG >Vigun01g056400.1.v1.2 pep primary_assembly:ASM411807v1:1:11190054:11192835:-1 gene:Vigun01g056400.v1.2 transcript:Vigun01g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDGMRASALELQRNTASSSAMIGRNMNDNSHMVDAIRMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYQTLAGENMASAATNLKGGIVPPQSIPDMGVLKDFGSPLGFSSFQDLENIYGGEQIELQHNMEKSALDHGFMSMNESLCLGKKRTNPYSGSGKSPLIWSDDLRLQDLGGPASSCLGPQDDPFKGEHIQITPPGSLDRGSTDIDPMSDIYDSKPVLQGETVVGDKKFDASMKLERPSPRRAPLQAERMSPMISTGTMAQGRGSPFG >Vigun06g017300.1.v1.2 pep primary_assembly:ASM411807v1:6:8122595:8127257:1 gene:Vigun06g017300.v1.2 transcript:Vigun06g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKNKTSAKSLLVLLVMVLAFFFVIYNLVFMIKHHKGGSWISENSHSKFHVVVTATDAAYSQWQCRIMYYWYKKVKEIPGSDMGEFTRIVHSGKPDQLMDEIPTFVVDPLPAGLDRGYVVMNRPWAFLQWLDKANVEEEYILMAEPDHIFVKPLPNLAIGNQPAGYPFFYIKPDKHEKIIRKFYPKANGPITDIDPIGNSPVIIKKSLLEEITPTWVNVSLQMKDDQEADETFGWVLEMYAYAVASALHGVRHVLHDNFMLQPPWDLDVGNKFIIHYTYACDYNLKGELTYGKIGEWRFNKRAYLSGPPPKNLSLPPPGVPETVVQLVKMINEATANIPKWDSLNRS >Vigun04g142400.3.v1.2 pep primary_assembly:ASM411807v1:4:35486203:35493538:1 gene:Vigun04g142400.v1.2 transcript:Vigun04g142400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGLGVGLGLSSGQKWVGGYRDSDELSLEQIMQELKKLVVEGRDGKHTFEDFPYYLSERIRALLTSAAYIHLKHLHFSKHTRNLSPASRSILLSGPAESYQQALARALAHYFESKLLLLDITDFSLKLQNKFGCSRKIPSLKRSISEATLERMSGLFGSFSMLSSQGETRGILRQQSSAAESSNPPKLRRNASAASDISSTSSQSGPTLPARLKRTSSLCFDEKLFVQALYKLLVSITETSSIILYIRDVEKLVLQSRLYNLLQKMIKKLSGSVLILGSQILDSEDDCKEVDERLSALFPYNIEIRAPEDETQSGNWKGSLEEDMKAIQFQDNRNHIAAVLAANDIECDDLNAVCHSDTIVLSNYIEEIVVSAISYHLMNTKDPEYRNGKLVISANSLSHGLSLFQEGKSSGNLKTNESNKENAGENVAGAKNEVKSDNQAPENKNETEKSIPVTKKEGENPPPAKVEIPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTGPNEQILVLAATNRPFDLDEAIIRRFERRVLVGLPSADNREKILKTLLAKEKHENLDFKELATMTEGYTGSDLKNLCITAAYRPVRELIQRERVKEMEKKKRETEGQNSEDVSNNNDNKEDQEITLRPLNMEDMKQAKSQVAASFASEGSVMNELKQWNDLYGEGGSRKKQQLTYFL >Vigun04g142400.2.v1.2 pep primary_assembly:ASM411807v1:4:35486180:35493538:1 gene:Vigun04g142400.v1.2 transcript:Vigun04g142400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGLGVGLGLSSGQKWVGGYRDSDELSLEQIMQELKKLVVEGRDGKHTFEDFPYYLSERIRALLTSAAYIHLKHLHFSKHTRNLSPASRSILLSGPAESYQQALARALAHYFESKLLLLDITDFSLKLQNKFGCSRKIPSLKRSISEATLERMSGLFGSFSMLSSQGETRGILRQQSSAAESSNPPKLRRNASAASDISSTSSQSGPTLPARLKRTSSLCFDEKLFVQALYKLLVSITETSSIILYIRDVEKLVLQSRLYNLLQKMIKKLSGSVLILGSQILDSEDDCKEVDERLSALFPYNIEIRAPEDETQSGNWKGSLEEDMKAIQFQDNRNHIAAVLAANDIECDDLNAVCHSDTIVLSNYIEEIVVSAISYHLMNTKDPEYRNGKLVISANSLSHGLSLFQEGKSSGNLKTNESNKENAGENVAGAKNEVKSDNQAPENKNETEKSIPEIPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTGPNEQILVLAATNRPFDLDEAIIRRFERRVLVGLPSADNREKILKTLLAKEKHENLDFKELATMTEGYTGSDLKNLCITAAYRPVRELIQRERVKEMEKKKRETEGQNSEDVSNNNDNKEDQEITLRPLNMEDMKQAKSQVAASFASEGSVMNELKQWNDLYGEGGSRKKQQLTYFL >Vigun04g142400.1.v1.2 pep primary_assembly:ASM411807v1:4:35486173:35493538:1 gene:Vigun04g142400.v1.2 transcript:Vigun04g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGLGVGLGLSSGQKWVGGYRDSDELSLEQIMQELKKLVVEGRDGKHTFEDFPYYLSERIRALLTSAAYIHLKHLHFSKHTRNLSPASRSILLSGPAESYQQALARALAHYFESKLLLLDITDFSLKLQNKFGCSRKIPSLKRSISEATLERMSGLFGSFSMLSSQGETRGILRQQSSAAESSNPPKLRRNASAASDISSTSSQSGPTLPARLKRTSSLCFDEKLFVQALYKLLVSITETSSIILYIRDVEKLVLQSRLYNLLQKMIKKLSGSVLILGSQILDSEDDCKEVDERLSALFPYNIEIRAPEDETQSGNWKGSLEEDMKAIQFQDNRNHIAAVLAANDIECDDLNAVCHSDTIVLSNYIEEIVVSAISYHLMNTKDPEYRNGKLVISANSLSHGLSLFQEGKSSGNLKTNESNKENAGENVAGAKNEVKSDNQAPENKNETEKSIPVTKKEGENPPPAKVEIPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTGPNEQILVLAATNRPFDLDEAIIRRFERRVLVGLPSADNREKILKTLLAKEKHENLDFKELATMTEGYTGSDLKNLCITAAYRPVRELIQRERVKEMEKKKRETEGQNSEDVSNNNDNKEDQEITLRPLNMEDMKQAKSQVAASFASEGSVMNELKQWNDLYGEGGSRKKQQLTYFL >Vigun06g133300.2.v1.2 pep primary_assembly:ASM411807v1:6:25977816:25982768:1 gene:Vigun06g133300.v1.2 transcript:Vigun06g133300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRWCFILWVTMIIFIHNWDSVEGRYHYHKGQKKSPSPAPEEPSSPSPSPPSISPGVPSDPYPSDPGDSPSNCIFDVRSFGAVGDGSADDTQSFVAAWKAACAVESGVVLVPENYCFKITSTIFTGPCKPGLVFQVDGTLMAPDGPESWPKEDSHSQWLVFYRLDQMTLTGKGTIEGNGEQWWDLPCKPHRGPDGKTVSGPCDSPTMIRFFMSSNLVLSGVKIQNSPMFHVKFDGCQGVLIDKLSISSPKLSPNTDGIHLGNTKGVGIYNSMISNGDDCISIGPGCSDVDIEGVTCAPTHGISIGSLGVHNSQACVSNLTVRNTIIKESDNGLRIKTWQGGTGSVSGLRFENIQMENVRNCIIIDQYYCLSKECLNQTSAVHVNNVIYKNIKGTYDVRTPPIHFACSDSVACTNITLSEIELLPYEGALVDDPFCWNAYGTQETMTIPPLDCLREGEPDTVVELSAYECSS >Vigun06g133300.4.v1.2 pep primary_assembly:ASM411807v1:6:25978897:25982768:1 gene:Vigun06g133300.v1.2 transcript:Vigun06g133300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRWCFILWVTMIIFIHNWDSVEGRYHYHKGQKKSPSPAPEEPSSPSPSPPSISPGVPSDPYPSDPGDSPSNCIFDVRSFGAVGDGSADDTQSFVAAWKAACAVESGVVLVPENYCFKITSTIFTGPCKPGLVFQVDGTLMAPDGPESWPKEDSHSQWLVFYRLDQMTLTGKGTIEGNGEQWWDLPCKPHRGPDGKTVSGPCDSPTMIRFFMSSNLVLSGVKIQNSPMFHVKFDGCQGVLIDKLSISSPKLSPNTDGIHLGNTKGVGIYNSMISNGDDCISIGPGCSDVDIEGVTCAPTHGISIGSLGVHNSQACVSNLTVRNTIIKESDNGLRIKTWQGGTGSVSGLRFENIQMENVRNCIIIDQYYCLSKECLNQTSAVHVNNVIYKNIKGTYDVRTPPIHFACSDSVACTNITLSEIELLPYEGALVDDPFCWNAYGTQETMTIPPLDCLREGEPDTVVELSAYECSS >Vigun06g133300.1.v1.2 pep primary_assembly:ASM411807v1:6:25979384:25982779:1 gene:Vigun06g133300.v1.2 transcript:Vigun06g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRWCFILWVTMIIFIHNWDSVEGRYHYHKGQKKSPSPAPEEPSSPSPSPPSISPGVPSDPYPSDPGDSPSNCIFDVRSFGAVGDGSADDTQSFVAAWKAACAVESGVVLVPENYCFKITSTIFTGPCKPGLVFQVDGTLMAPDGPESWPKEDSHSQWLVFYRLDQMTLTGKGTIEGNGEQWWDLPCKPHRGPDGKTVSGPCDSPTMIRFFMSSNLVLSGVKIQNSPMFHVKFDGCQGVLIDKLSISSPKLSPNTDGIHLGNTKGVGIYNSMISNGDDCISIGPGCSDVDIEGVTCAPTHGISIGSLGVHNSQACVSNLTVRNTIIKESDNGLRIKTWQGGTGSVSGLRFENIQMENVRNCIIIDQYYCLSKECLNQTSAVHVNNVIYKNIKGTYDVRTPPIHFACSDSVACTNITLSEIELLPYEGALVDDPFCWNAYGTQETMTIPPLDCLREGEPDTVVELSAYECSS >Vigun06g133300.3.v1.2 pep primary_assembly:ASM411807v1:6:25978169:25982768:1 gene:Vigun06g133300.v1.2 transcript:Vigun06g133300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRWCFILWVTMIIFIHNWDSVEGRYHYHKGQKKSPSPAPEEPSSPSPSPPSISPGVPSDPYPSDPGDSPSNCIFDVRSFGAVGDGSADDTQSFVAAWKAACAVESGVVLVPENYCFKITSTIFTGPCKPGLVFQVDGTLMAPDGPESWPKEDSHSQWLVFYRLDQMTLTGKGTIEGNGEQWWDLPCKPHRGPDGKTVSGPCDSPTMIRFFMSSNLVLSGVKIQNSPMFHVKFDGCQGVLIDKLSISSPKLSPNTDGIHLGNTKGVGIYNSMISNGDDCISIGPGCSDVDIEGVTCAPTHGISIGSLGVHNSQACVSNLTVRNTIIKESDNGLRIKTWQGGTGSVSGLRFENIQMENVRNCIIIDQYYCLSKECLNQTSAVHVNNVIYKNIKGTYDVRTPPIHFACSDSVACTNITLSEIELLPYEGALVDDPFCWNAYGTQETMTIPPLDCLREGEPDTVVELSAYECSS >VigunL024600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:59063:59335:-1 gene:VigunL024600.v1.2 transcript:VigunL024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun09g264800.1.v1.2 pep primary_assembly:ASM411807v1:9:42895402:42899094:1 gene:Vigun09g264800.v1.2 transcript:Vigun09g264800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLTLLPLLFLFLFVTNVESRNMGGEYWKMAAKNQDIAEEFKGLPTHENDEIYAKRERKDVKDSDLNSNVATYFNKGIDIESKKKITRTFEARPNSSAYGEKDIDCMEKKKMKKHFEPRPNVSAYDDNEVGVKDKNKVMKDFEPRLNASAYGDNKVDVKEKNKSVKDFEPRPNVSAYGDNDIDAKKKKEVKEDFEPRPNVSAYGDSDIDAKKKKEVKEDFEPRPNVSAYGDNNIDAKKKKEVKEDFEPRPNVSAYGDNDIDAKKKKEVKEDFEPRPNVSAYGNNDIDAKKKKKVKEDFDPRPNVSAYGDNDVQEREKNLADKFFEPRPNVSAYGDNEVSAKQKKEVVKDFEPRPNASAYGDNDIDAKKKNEVKEDFEQKPNVSVYDE >Vigun08g162600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33531558:33532517:-1 gene:Vigun08g162600.v1.2 transcript:Vigun08g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQPQPQETKPTATTATPSTPSQTSNTSNDTKTARKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAARAYDRAALILYGSRAQLNLQPSGSFSHSPSSSSSRTTSSSSTQTLRPLLPRPSGFAFNFPFHAAALPYPCAAAYTTTFNYTAQALRPNENSNNTVHAHHRHHQVVQMPHALSTSYQNSESDVSLGGNNTTVDSSSRSTSYQKHGFQDNNRLHVQPDDSPNHRQHQNGDVDVDGVNSVVGSEEANMEASEDPDLSLVGTVGLGSSSSLWPLANDDDYSGSLWDYNDPIFFDL >Vigun09g260800.4.v1.2 pep primary_assembly:ASM411807v1:9:42612649:42614618:1 gene:Vigun09g260800.v1.2 transcript:Vigun09g260800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKTESKRGDAKLAVNKKGAPAKARKPAGKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKEHPDNKAVSAVGKAAGAKWKSMSDAEKAPYVAKSEKRKVEYEKSMRAYNKKQAEGPTGGDEEESEKSVSEVNDDDDDDEGSAEEEDDD >Vigun09g260800.2.v1.2 pep primary_assembly:ASM411807v1:9:42612449:42614618:1 gene:Vigun09g260800.v1.2 transcript:Vigun09g260800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKTESKRGDAKLAVNKKGAPAKARKPAGKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKEHPDNKAVSAVGKAAGAKWKSMSDAEKAPYVAKSEKRKVEYEKSMRAYNKKQAEGPTGGDEEESEKSVSEVNDDDDDDEGSAEEEDDD >Vigun09g260800.3.v1.2 pep primary_assembly:ASM411807v1:9:42612610:42614618:1 gene:Vigun09g260800.v1.2 transcript:Vigun09g260800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKTESKRGDAKLAVNKKGAPAKARKPAGKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKEHPDNKAVSAVGKAAGAKWKSMSDAEKAPYVAKSEKRKVEYEKSMRAYNKKQAEGPTGGDEEESEKSVSEVNDDDDDDEGSAEEEDDD >Vigun09g260800.1.v1.2 pep primary_assembly:ASM411807v1:9:42612649:42614618:1 gene:Vigun09g260800.v1.2 transcript:Vigun09g260800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKTESKRGDAKLAVNKKGAPAKARKPAGKGKAAKDPNKPKRPPSAFFVFMEEFRKQFNKEHPDNKAVSAVGKAAGAKWKSMSDAEKAPYVAKSEKRKVEYEKSMRAYNKKQAEGPTGGDEEESEKSVSEVNDDDDDDEGSAEEEDDD >Vigun09g136600.6.v1.2 pep primary_assembly:ASM411807v1:9:29754588:29769519:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.10.v1.2 pep primary_assembly:ASM411807v1:9:29742486:29769519:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.7.v1.2 pep primary_assembly:ASM411807v1:9:29754588:29769520:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.14.v1.2 pep primary_assembly:ASM411807v1:9:29742669:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHLLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.4.v1.2 pep primary_assembly:ASM411807v1:9:29754635:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.11.v1.2 pep primary_assembly:ASM411807v1:9:29742669:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.3.v1.2 pep primary_assembly:ASM411807v1:9:29742669:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.13.v1.2 pep primary_assembly:ASM411807v1:9:29742669:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHLLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.5.v1.2 pep primary_assembly:ASM411807v1:9:29754635:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.12.v1.2 pep primary_assembly:ASM411807v1:9:29742669:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHLLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.15.v1.2 pep primary_assembly:ASM411807v1:9:29754629:29769516:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHLLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun09g136600.1.v1.2 pep primary_assembly:ASM411807v1:9:29742486:29769519:-1 gene:Vigun09g136600.v1.2 transcript:Vigun09g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKAAIPESLKRAIGDSNADDLQSTCSSLHRFFLHFDPFHQIIAQLANPKYGLCGKNKEDALKSKQLGNECFSNADYAKALECYTQALRKAPLDTGDMKSNLVATLYINRATVLHKMSLLVECLRDCTRALQIFPSYSKAWYRRGKANASLGNYRNAICDLNVAKIVEPSMGGKRQIEGELKILLDQCKSTSAIVQIQRKENNCNTVGEMPQIKLQCISIPDKGRGMVSSCVISPGSLVHSEEPYAMIILKQCRETHCHYCLNDLPADRVPCLSCSIPLYCSYQCQIRAGGQMFRIYPEENVTFKKMPSDLGEYASEVIQSNDSEQEIEDITEHKHECRGVHWPVVLPSEIVLAGRILARFLLNSTSDNIINFVERLELSHRYKHMSSESKLDSHIYAIVLLYCLQHSCGTMFSIDGVSISQVVIIISQIKVNCMTIVRLKSIDAPGLSGHFGEFPFKSDAHSTSNVEQVRVGKAIYKAASLFNHSCRPNAHAYFLSRTLYLRTTHGVTAGCQLELSYGPQVGLWDCKDRLNFLKDEYAFHCQCIGCSVVNVSDIVLNAFHCVNQNCSGAVLESRVIDCEQQKIKHFTITDRVEKNDDICEVCLNVFKQNGAHIHGQPGYCLKCGSYCDLESSHAAVNEAVICIKRLQDAISSKEISSITISDALISLQSLRLNLHAYNKLIAEAEDSIAQAFCLVGELQLSVDHCKASIQILEKLYDTDDIVIAYELVKLSSIQLSLDDSTAMESINRIDDIFSRYYGTHADLVFPYLQYLRSEIKKFSVKAIQ >Vigun02g061700.1.v1.2 pep primary_assembly:ASM411807v1:2:20751558:20755129:-1 gene:Vigun02g061700.v1.2 transcript:Vigun02g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSELAKKLDLSDSKLVVRKAAEIRRLCDVQFDSSVIGVGEVAKSLICLEIAATRLGVLFDRSSAVRLSGMSEKAYIRSYNSLHNGLGVKTKIDVRELAIQFGCVRIIPFVRDGLKLYKDRFVSSLPASRRASADFTRPVFTAVAFYLCAKKHKLKVDKLKLIELCGTSESEFSSVSVTMKDLCHDVFGVAKEKKDAREVQSNRDLLDALPSKRKAEDGGYLSDDGPELSCYKKRKQMETRDYEKWKSSVVASNQQNKKEAPCKRTKQSRLNFIKKSSDTQELEAM >Vigun01g013600.1.v1.2 pep primary_assembly:ASM411807v1:1:1532164:1532659:1 gene:Vigun01g013600.v1.2 transcript:Vigun01g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSHPLSSCSCGSRNHSVSSPGTVSGSVSGTPHCHCGEIAVLRVARTAKNCGKQFWGCPHYKRSVGEDFKACNYFKWCTEDNGDERDATIARQSQRIRQLEKDLIDSEKWMMYLFRIIGLLGLIVILLLFVLLKK >Vigun08g127400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29776355:29778144:1 gene:Vigun08g127400.v1.2 transcript:Vigun08g127400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKACELCNNQASLYCPSDSAFLCGDCDAAVHAANFLVARHFRRRICAKCNRFTGIYIAGATFPSTCTACSPEKDLSDDGDSLPSSSTCVSSSESCATKKIKATRTAAGKKRRRSFSSSVTDDASQEAKRQRENVGSVEQEQEEVFAKWSREIGLGLGLGETGNRVASHALSVCLGKWNLLPFRVAAATSFWLGLRFCGDRSLATWQNLARLEKISGVPAKLILAAHANLARVFTLPRELHEGWGES >Vigun02g121800.1.v1.2 pep primary_assembly:ASM411807v1:2:27399595:27402256:-1 gene:Vigun02g121800.v1.2 transcript:Vigun02g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSYIPHPSQALLGIALFLVFRGVSGATFTFVNKCDYTVWPGILGTPQLASTGFELAKGGSRSFQAPTGWSGRFWGRTGCQFDNSGRGTCATADCGSGEVDCNGAGASPPATLAEFTLGTGSMDYYDVSLVDGYNLPMMVAARGGSGSCATTGCGEDLNRRCPSELRVEGGDACQSACGAFGKPEYCCNGAFGNPSTCKPSTYSEIFKSVCPKAYSYAYDDATSTFTCSGADYTITFCPSSPSLKSSTDSSPKGTDSGSGSGSGSGSGSGSVTGTGSSVEQSELASTSWLADMATATGAATRAGSFVTSKVAFSVVVVFILSLLVGPSLS >Vigun11g201200.3.v1.2 pep primary_assembly:ASM411807v1:11:39925802:39926272:-1 gene:Vigun11g201200.v1.2 transcript:Vigun11g201200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEFGLSNGTATGSRDAEVHALQKAQDEKSLKIQELKKQIELTKCRLEKKKKEISEEKMEGFNALSKKYSSLREEYNGMLAEKLRKSK >Vigun07g093800.2.v1.2 pep primary_assembly:ASM411807v1:7:15029344:15037927:-1 gene:Vigun07g093800.v1.2 transcript:Vigun07g093800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGGLFGVLMKSSGTTELNAFYPIRPECQADVPAPRFKPRAGKTLSQRRWLASFSADGHLDIAKVLRRIQRGGVHPSIKGEVWEFLLGCYDPNSSLEERNELKQRRRGQYDMWKAECQRMVPVIGSGKFITTPLIDDDGQIIDPSLVTVQTLDKKVLQWLQLLHQIGLDVVRTDRALVFYESEDNQAKLWDVLSVYAWLDNDIGYVQGMNDICSPIIILIENEADCYWCFDRAMRRMRENFRCSASSMGVQSQLATLSQIIKTVDPKLHHHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWEIVSS >Vigun07g093800.3.v1.2 pep primary_assembly:ASM411807v1:7:15029383:15037826:-1 gene:Vigun07g093800.v1.2 transcript:Vigun07g093800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGGLFGVLMKSSGTTELNAFYPIRPECQADVPAPRFKPRAGKTLSQRRWLASFSADGHLDIAKVLRRIQRGGVHPSIKGEVWEFLLGCYDPNSSLEERNELKQRRRGQYDMWKAECQRMVPVIGSGKFITTPLIDDDGQIIDPSLVTVQTLDKKVLQWLQLLHQIGLDVVRTDRALVFYESEDNQAKLWDVLSVYAWLDNDIGYVQGMNDICSPIIILIENEADCYWCFDRAMRRMRENFRCSASSMGVQSQLATLSQIIKTVDPKLHHHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELMWGMEYNPNIFINYEDPDSKTKDPSPANDKVLKQYGKFERKNVKTGHTEEHSALAVFLVASVLEIKNRRILNEAKGVDDVVKILGDITSNLDAKKACTEALKIQKKYLSKAKK >Vigun07g093800.1.v1.2 pep primary_assembly:ASM411807v1:7:15029344:15037927:-1 gene:Vigun07g093800.v1.2 transcript:Vigun07g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGGLFGVLMKSSGTTELNAFYPIRPECQADVPAPRFKPRAGKTLSQRRWLASFSADGHLDIAKVLRRIQRGGVHPSIKGEVWEFLLGCYDPNSSLEERNELKQRRRGQYDMWKAECQRMVPVIGSGKFITTPLIDDDGQIIDPSLVTVQTLDKKVLQWLQLLHQIGLDVVRTDRALVFYESEDNQAKLWDVLSVYAWLDNDIGYVQGMNDICSPIIILIENEADCYWCFDRAMRRMRENFRCSASSMGVQSQLATLSQIIKTVDPKLHHHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELMWGMEYNPNIFINYEDPDSKTKDPSPANDKVLKQYGKFERKNVKTGHTEEHSALAVFLVASVLEIKNRRILNEAKGVDDVVKILGDITSNLDAKKACTEALKIQKKYLSKAKK >Vigun05g119600.1.v1.2 pep primary_assembly:ASM411807v1:5:13037398:13038270:1 gene:Vigun05g119600.v1.2 transcript:Vigun05g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKHGMMHRDLKPENFLFAKTTTLKTIDFGLLVFFKPGERFNEIVGSPYYMAPSEVLKRNYRLEVDIWSVGVILYILLCGVPPFCAVRQQQVIITKKNASKLVGILHEFGTSKDCHPMPWSSTHQGRQYHDKSCFCSRECRIQFFPL >Vigun03g367601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57104438:57104647:-1 gene:Vigun03g367601.v1.2 transcript:Vigun03g367601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGSTSMKNCFILLFPSFSCGKVFLLPNIRKVCASQLESILTKRIIFCAVCKWCGDIVMLSGGFSVCH >Vigun10g075800.1.v1.2 pep primary_assembly:ASM411807v1:10:20522891:20525567:1 gene:Vigun10g075800.v1.2 transcript:Vigun10g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRVSFIWVLLFVFVLGLLFARASTLKVADASGFHASSYEKFQSRRLVDQKRADIPRDGNYYP >Vigun10g043850.1.v1.2 pep primary_assembly:ASM411807v1:10:6181913:6182530:-1 gene:Vigun10g043850.v1.2 transcript:Vigun10g043850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSVLVFFSSCHSRSPLRSLHTTRRCCAEEVPPQRNHRFLVHRYFPNLAAREGFTLQFLSVFFFFLFPISAISNQSSSLGGSLVF >Vigun11g173400.1.v1.2 pep primary_assembly:ASM411807v1:11:37857687:37860239:-1 gene:Vigun11g173400.v1.2 transcript:Vigun11g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGLRPFLSPVAPNLFLRTACCSIGLALPVYSTFKAIEDNDPYQQQRWLLYWAAYGSFSAAEMFTEKIFSWIPFYYHAKFAFLLWLQLPTLNGARQLYSSHLRPFLLKHQARMDVIVEFVYGVMSKLIRDHQTELQLARALAVKFLVTGTQMIRDLIHPLGRESNHIEPQKRQVQDSESED >Vigun09g276400.2.v1.2 pep primary_assembly:ASM411807v1:9:43673750:43675580:1 gene:Vigun09g276400.v1.2 transcript:Vigun09g276400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESVAAWPQEDPLWLSFLEQISQCVETPPQIQNQNQVATEMGCECEYGSEAHRAASLSRFRQKRKRRCFANKIRYKTRQEATLRVHRSKSQLILSKIQGSEQDVIYPEILCCNCGISSKCTPMMRRGPSGPGSLCNACGLSWANRGVFNYKKAI >Vigun09g276400.3.v1.2 pep primary_assembly:ASM411807v1:9:43673750:43675580:1 gene:Vigun09g276400.v1.2 transcript:Vigun09g276400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECEYGSEAHRAASLSRFRQKRKRRCFANKIRYKTRQEATLRVHRSKSQLILSKIQGSEQDVIYPEILCCNCGISSKCTPMMRRGPSGPGSLCNACGLSWANRGVFNYKKAI >Vigun09g276400.1.v1.2 pep primary_assembly:ASM411807v1:9:43673750:43675580:1 gene:Vigun09g276400.v1.2 transcript:Vigun09g276400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESVAAWPQEDPLWLSFLEQISQCVETPPQIQNQNQVVATEMGCECEYGSEAHRAASLSRFRQKRKRRCFANKIRYKTRQEATLRVHRSKSQLILSKIQGSEQDVIYPEILCCNCGISSKCTPMMRRGPSGPGSLCNACGLSWANRGVFNYKKAI >Vigun01g230600.2.v1.2 pep primary_assembly:ASM411807v1:1:40283798:40291031:-1 gene:Vigun01g230600.v1.2 transcript:Vigun01g230600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQINMEVNLDRGQIPNLIEFVDHLSLTMHSSVPGSLVLWYDSVTVDGKLNWQDQLNEHNKPFFDICDGIFVNYTWKEDYPRLSAAVANERKFDVYMGIDVFGRNTYGGGQWNVDVALDLLRKNDVSAAIFAPGWVYETKQAPDFETAQNSWWGLVEKSWGIQRNFPGPLPFYTNFDQGRGYHISVDGGNVSDSTWCNISCQGFQPQLMFDDPSNSIQVSVDLKEASYSGGGNIKFKGSLEEKTCFESKIFQVGFLLSELPVHFTYTVKSDGNSSLGLKLEFTSTGDGRRASMLLASRAVNHFPNKFSKVIMTRERKGFSSGWVINEGVVAMNGYTLTEIHAVCYRSDSNDNDGTVASPSDYFALLGHITIKTLDYKSDFPLSSSWLVDGTCIKWTSDPLGSKTLDVKISWKLKNENSYLFPKFNVYLVKLSKQAGGNPGTTLEDAKEYLGVAHVSCFYVSDLKVPSDTSTLKFIIQVCGVDGTIQELDESPYYELEIEAP >Vigun01g230600.1.v1.2 pep primary_assembly:ASM411807v1:1:40283798:40291031:-1 gene:Vigun01g230600.v1.2 transcript:Vigun01g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLLGVYINRQFLINVRHILRSFVAAIQTSYDLVTMSNPKSESVSEPSVSEPPPSQPSVPISYPIKTLEELESRSYFDSFHYPFNRASVPILNGDSSSLPQRRRLLVCHDMAGGYLDDKWIQGGTNPDAYAIWHWHLIDVFVYFSHSLVTLPPPSWTNTAHRHGVKVLGTFITEWDEGRTACDTLLSTKETAHMYAERLAELAADLGFDGWLINMEVNLDRGQIPNLIEFVDHLSLTMHSSVPGSLVLWYDSVTVDGKLNWQDQLNEHNKPFFDICDGIFVNYTWKEDYPRLSAAVANERKFDVYMGIDVFGRNTYGGGQWNVDVALDLLRKNDVSAAIFAPGWVYETKQAPDFETAQNSWWGLVEKSWGIQRNFPGPLPFYTNFDQGRGYHISVDGGNVSDSTWCNISCQGFQPQLMFDDPSNSIQVSVDLKEASYSGGGNIKFKGSLEEKTCFESKIFQVGFLLSELPVHFTYTVKSDGNSSLGLKLEFTSTGDGRRASMLLASRAVNHFPNKFSKVIMTRERKGFSSGWVINEGVVAMNGYTLTEIHAVCYRSDSNDNDGTVASPSDYFALLGHITIKTLDYKSDFPLSSSWLVDGTCIKWTSDPLGSKTLDVKISWKLKNENSYLFPKFNVYLVKLSKQAGGNPGTTLEDAKEYLGVAHVSCFYVSDLKVPSDTSTLKFIIQVCGVDGTIQELDESPYYELEIEAP >Vigun08g141301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31363692:31365791:-1 gene:Vigun08g141301.v1.2 transcript:Vigun08g141301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSTLFIFAFFNFVAAATEFDFGTLTLGSLKLLGDAHFNNGTVSLTRDLAVPTSSAGRALYSRPVRFRLPGNRSPASFTTFFSFSIANLNPSSIGGGLAFVLAPDDETIGDAGGFLGLSAAAAAAIRGGGGFIAVEFDTLMDVEFKDINGNHVGVDLNSVVSSEVGDLESVGIDLKSGDLINAWIEFDGSSRGLSVWVSYSNLKPKDPVLSTSLDVDKYLSDFMYVGFSGSTQGSTEIHRIEWWSFGSSFDAAAGAAPPPPPAVSLMNPTANVVKSAPPSPAPSNSEQKESKSRSSCHNGLCKQNLGAVAGVVTAGAFVLAIFAGALIWFYSKKVKRVNKFDSLGSEIIKMPKQFTYKELKSATKCFNANRIIGHGAFGTVYKGVLPENGDTVAVKRCSHSSQGKNEFLSELSIIGSLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWSHRRKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGKATEKTDVFSYGAVVLEVASGRRPIEKDGNGGGKGGISCNLVEWVWSLHREGRLQMAADARLEGEFDEGEMRRVLLVGLACSHPDPLARPTMRGVVQMLVGEAEVPIVPRTKPTTGFSTSHSYLLMSLQDSVSDCDGIITISTSTSENSFNLGDIV >VigunL087800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000063.1:19219:20163:1 gene:VigunL087800.v1.2 transcript:VigunL087800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLSILVIRISPGELGATTILVVSIAACKVGATKKKVPLYKHITDLSGKTNPTLLIPAFTVISGGKHLGSTMAIQVRFLLEFSFLDIVVSVLPVLPPLGLCPFHLTK >Vigun01g128100.1.v1.2 pep primary_assembly:ASM411807v1:1:30592368:30593194:-1 gene:Vigun01g128100.v1.2 transcript:Vigun01g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEVAAPAKKGGRGRPKTTKSVSRSSKAGLQFPVGRVARYLKAGRYAQRVGSGSPVYLTAVLEYLAAEVLELAGNAARDNKKTRIIPRHIQLAVRNDEELSKLMGSVTIANGGVLPKIHQNLLPKKAGKGKAEIGSASQEF >Vigun03g183500.1.v1.2 pep primary_assembly:ASM411807v1:3:23661974:23664000:1 gene:Vigun03g183500.v1.2 transcript:Vigun03g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDFAFVQAPEHRPKSSVIVAEGIPLIDLSPINYQTEEDTIPVSCIQDLVQEIGSACKEWGFFQVINHKVPLDKRQRIEEAARKFFSLDLEEKLKVRRDAVNVLGYFEAEHTKNVRDWKEIYDFNVQEPTFIPPLLPHDDEQSFQFQWDNRWPHNPPDFKEACKEYAQEVEKLAYKLMELVALSLGLEANRFRRYFTHNTSNIRLNYYPPCPYPHLALGLGHHKDTGVLTVLAQDEVGGLEVRRKSDGEWIRVKPIFNSFIINVGDMIQIWSNDAYESVEHRVVVNSEKDRFSVPFFLKPALYTDVMPFEELLDDKNPPKYRSLNWGKFRTARMRSNFSKSNVENLQIYHFKFSK >Vigun02g184500.5.v1.2 pep primary_assembly:ASM411807v1:2:32424960:32430550:-1 gene:Vigun02g184500.v1.2 transcript:Vigun02g184500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLSKIKNFDPENASKIMGYLLMNLEESELIRVACSPDPVLHTLILRVKTHMGLALSTPSSPSLPPSPLNPIARLTASSTNPFSRAGPTNGFDFARNPSSPSSHSHAWNFPNNNPISPKSTPLLSYDNIRALSPRVNGDCDFVDEQQVNEYFPFLNDSSKNEDLVDPRLELGVGAHNWHSGDSHLHRRSYSASDVGFGCEEAAPGLGYKPCLYFARGFCKNGTNCKFLHAAFTDSLDATVGSPSKLEGMEQQREDFSRFKVPQLQRLGSGPSATAREKYYEFLMQESQRAAAAFMMGEEFSNFGWDRPERSDFLAAISGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHQQQQLERGDLSPCLSPSGFGSKEPYDFHLGSRMLYNPHDILLRRRIEEQAELQQVLELQERRLKNLQLPDFKNNPIHHHQRSLSVGNPLVLPHQLHGHTNDAGLSPDSIKGDIAGYGGSFTSTNSLGVASEQQQLQKEVELDDSESANIKDSGNTKNVDLSNKYEHTLF >Vigun02g184500.3.v1.2 pep primary_assembly:ASM411807v1:2:32424960:32430550:-1 gene:Vigun02g184500.v1.2 transcript:Vigun02g184500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLSKIKNFDPENASKIMGYLLMNLEESELIRVACSPDPVLHTLILRVKTHMGLALSTPSSPSLPPSPLNPIARLTASSTNPFSRAGPTNGFDFARNPSSPSSHSHAWNFPNNNPISPKSTPLLSYDNIRALSPRVNGDCDFVDEQQVNEYFPFLNDSSKNEDLVDPRLELGVGAHNWHSGDSHLHRRSYSASDVGFGCEEAAPGLGYKPCLYFARGFCKNGTNCKFLHAAFTDSLDATVGSPSKLEGMEQQREDFSRFKVPQLQRLGSGPSATAREKYYEFLMQESQRAAAAFMMGEEFSNFGWDRPERSDFLAAISGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHQQQQLERGDLSPCLSPSGFGSKEPYDFHLGSRMLYNPHDILLRRRIEEQAELQQVLELQERRLKNLQLPDFKNNPIHHHQRSLSVGNPLVLPHQLHGHTNDAGYGGSFTSTNSLGVASEQQQLQKEVELDDSESANIKDSGNTKNVDLSNNVEQALPDSLFASPTKAAGDYHADFSTLAEVNHSAAFSSTSSSYDKLEPATSSSDLASR >Vigun02g184500.4.v1.2 pep primary_assembly:ASM411807v1:2:32424960:32430550:-1 gene:Vigun02g184500.v1.2 transcript:Vigun02g184500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLSKIKNFDPENASKIMGYLLMNLEESELIRVACSPDPVLHTLILRVKTHMGLALSTPSSPSLPPSPLNPIARLTASSTNPFSRAGPTNGFDFARNPSSPSSHSHAWNFPNNNPISPKSTPLLSYDNIRALSPRVNGDCDFVDEQQVNEYFPFLNDSSKNEDLVDPRLELGVGAHNWHSGDSHLHRRSYSASDVGFGCEEAAPGLGYKPCLYFARGFCKNGTNCKFLHAAFTDSLDATVGSPSKLEGMEQQREDFSRFKVPQLQRLGSGPSATAREKYYEFLMQESQRAAAAFMMGEEFSNFGWDRPERSDFLAAISGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHQQQQLERGDLSPCLSPSGFGSKEPYDFHLGSRMLYNPHDILLRRRIEEQAELQQVLELQERRLKNLQLPDFKNNPIHHHQRSLSVGNPLVLPHQLHGHTNDAGYGGSFTSTNSLGVASEQQQLQKEVELDDSESANIKDSGNTKNVDLSNNVEQALPDSLFASPTKAAGDYHADFSTLAEVNHSAAFSSTSSSYDKLEPATSSSDLASR >Vigun02g184500.1.v1.2 pep primary_assembly:ASM411807v1:2:32424960:32430550:-1 gene:Vigun02g184500.v1.2 transcript:Vigun02g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLSKIKNFDPENASKIMGYLLMNLEESELIRVACSPDPVLHTLILRVKTHMGLALSTPSSPSLPPSPLNPIARLTASSTNPFSRAGPTNGFDFARNPSSPSSHSHAWNFPNNNPISPKSTPLLSYDNIRALSPRVNGDCDFVDEQQVNEYFPFLNDSSKNEDLVDPRLELGVGAHNWHSGDSHLHRRSYSASDVGFGCEEAAPGLGYKPCLYFARGFCKNGTNCKFLHAAFTDSLDATVGSPSKLEGMEQQREDFSRFKVPQLQRLGSGPSATAREKYYEFLMQESQRAAAAFMMGEEFSNFGWDRPERSDFLAAISGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHQQQQLERGDLSPCLSPSGFGSKEPYDFHLGSRMLYNPHDILLRRRIEEQAELQQVLELQERRLKNLQLPDFKNNPIHHHQRSLSVGNPLVLPHQLHGHTNDAGLSPDSIKGDIAGYGGSFTSTNSLGVASEQQQLQKEVELDDSESANIKDSGNTKNVDLSNNVEQALPDSLFASPTKAAGDYHADFSTLAEVNHSAAFSSTSSSYDKLEPATSSSDLASR >Vigun02g184500.2.v1.2 pep primary_assembly:ASM411807v1:2:32424960:32430550:-1 gene:Vigun02g184500.v1.2 transcript:Vigun02g184500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEATNVVLSKIKNFDPENASKIMGYLLMNLEESELIRVACSPDPVLHTLILRVKTHMGLALSTPSSPSLPPSPLNPIARLTASSTNPFSRAGPTNGFDFARNPSSPSSHSHAWNFPNNNPISPKSTPLLSYDNIRALSPRVNGDCDFVDEQQVNEYFPFLNDSSKNEDLVDPRLELGVGAHNWHSGDSHLHRRSYSASDVGFGCEEAAPGLGYKPCLYFARGFCKNGTNCKFLHAAFTDSLDATVGSPSKLEGMEQQREDFSRFKVPQLQRLGSGPSATAREKYYEFLMQESQRAAAAFMMGEEFSNFGWDRPERSDFLAAISGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVPDKRQHQQQQLERGDLSPCLSPSGFGSKEPYDFHLGSRMLYNPHDILLRRRIEEQAELQQVLELQERRLKNLQLPDFKNNPIHHHQRSLSVGNPLVLPHQLHGHTNDAGLSPDSIKGDIAGYGGSFTSTNSLGVASEQQQLQKEVELDDSESANIKDSGNTKNVDLSNNVEQALPDSLFASPTKAAGDYHADFSTLAEVNHSAAFSSTSSSYDKLEPATSSSDLASR >Vigun04g029000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2244895:2247289:-1 gene:Vigun04g029000.v1.2 transcript:Vigun04g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCLKGEVKQALTFHDKVKTQGFSLDQITYGTLVNGLCKIGETKAAIKLLRIIEGRSTRPDVVMYNTIIDSLLKDELANKAYDLYSEMVDKGISPGIVTYNVLLHGSCIVGKLQEAIGFVNEMISNNIRPDIYTYNTLIDGLCKEGRVKEAEIVLGVIIRACVKPNIITFNSLMDGYCLINEVNGMEHVFDVMTQMEVTPDVYSYNIMINGLCKSKMMDEAINLFKKMYWRNVVPDIVTYNSLIDGLCKSGRISYVWDFIEEMHESGQQANIITFNSLLQALCQIHPDKTNELFMKMIEKDIQSSKYTYKVLIDGMCKDGLLKNARKVFQILLIKDYQLNFCTYNIMINCLCKKGLLDKVLILWSRMEDNGCMPGAITFEIVILSLFENGENEKAEKFLREMIARGLLK >Vigun04g029000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2244895:2247289:-1 gene:Vigun04g029000.v1.2 transcript:Vigun04g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVNLTMSLSRTRLGFRLSYPILKFSHFLPNPSSFSFFHSQSQTSLLDHDNVYDAVSHFNKMLHMRTVPPIIEFTKMLGFLVRMKHYTTAIFLFKKMEFKGIHHDFFTLNILLNCFCHLAHMNFAFSVFGKILKMGYQPDTITLTTLMRGLCLKGEVKQALTFHDKVKTQGFSLDQITYGTLVNGLCKIGETKAAIKLLRIIEGRSTRPDVVMYNTIIDSLLKDELANKAYDLYSEMVDKGISPGIVTYNVLLHGSCIVGKLQEAIGFVNEMISNNIRPDIYTYNTLIDGLCKEGRVKEAEIVLGVIIRACVKPNIITFNSLMDGYCLINEVNGMEHVFDVMTQMEVTPDVYSYNIMINGLCKSKMMDEAINLFKKMYWRNVVPDIVTYNSLIDGLCKSGRISYVWDFIEEMHESGQQANIITFNSLLQALCQIHPDKTNELFMKMIEKDIQSSKYTYKVLIDGMCKDGLLKNARKVFQILLIKDYQLNFCTYNIMINCLCKKGLLDKVLILWSRMEDNGCMPGAITFEIVILSLFENGENEKAEKFLREMIARGLLK >Vigun11g166136.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37349335:37349487:-1 gene:Vigun11g166136.v1.2 transcript:Vigun11g166136.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTTNQENQSAIREFLMGQPKIYPFRTCTMY >Vigun01g046600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6952892:6953594:-1 gene:Vigun01g046600.v1.2 transcript:Vigun01g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILGDMLMKVGVFILVQALVYLILSNSSNIFSKNIKRSHSFRPARSVSIRRMLALISDFPPEGEPSPSPKAPQSPSLQS >Vigun03g184750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24222622:24223580:-1 gene:Vigun03g184750.v1.2 transcript:Vigun03g184750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSIIGHGDGNWCRICRQLTARRWCNFIDHCAYLLPSSSSHQYILLSPPSHRLASSSSTFIPSPTFLSALLLPPSPLPSTFLFLPLLPSRSSSSTSTGAVQALILQNSFRTLANFFLYPISNMKSQKLPFYFPPPFPY >Vigun04g121700.1.v1.2 pep primary_assembly:ASM411807v1:4:31166177:31170841:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.9.v1.2 pep primary_assembly:ASM411807v1:4:31166176:31170801:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQRKEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.3.v1.2 pep primary_assembly:ASM411807v1:4:31166188:31170800:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.2.v1.2 pep primary_assembly:ASM411807v1:4:31167038:31170677:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.8.v1.2 pep primary_assembly:ASM411807v1:4:31166176:31170801:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQRKEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.5.v1.2 pep primary_assembly:ASM411807v1:4:31166176:31170841:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.6.v1.2 pep primary_assembly:ASM411807v1:4:31166179:31170841:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.4.v1.2 pep primary_assembly:ASM411807v1:4:31166949:31170842:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun04g121700.7.v1.2 pep primary_assembly:ASM411807v1:4:31166188:31170677:1 gene:Vigun04g121700.v1.2 transcript:Vigun04g121700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEGYVSNEHRELHRSAAESADPLSVSPLQLAPKSSRSPDSARSPKSPRSPKVQGKCSTLSPRTHKQSHSQKDGRPKKGGSGGKGTWGGLLDTDDSSVIDPNDPNYDSTEEYDHSNEKKPNTELDSYKKKATVIVEEYFATDDVVATMNEVKELGKPEYGYYFVKKLVSMSMDRHDKEKEMAAILLSALYADVFDPSQVYKGFSKLVESTDDLIVDIPDAVEVLALFIARAVVDDILPPAFLKKQMAYLAKDSKGVDVLKKTEKSYLAAPLHAEIIERCWRGSKNTTVDDVKAKINNFLKEYVVSGDKKEAFRCIKDLKVPFFHHEIVKRALIMAMERRQAESPLLDLLKEAAEEGFINTSQMSKGFSRLIDTVDDLSLDIPNARGILQKLISKAASEGWLCVSSLKSLSVEPERNAIQDSAAKSFKVKTQSIIQEYFLSGDILEVNSCLEQENSKNCAALNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPADDVVSGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEIGAQCLGPGSIGSRVLQMTKSLLKARLAGERILRCWGGGGSSRPGWAFEDVKDMIGKLLEEYESGGEIKEACRCMKELGMPFFHHEVVKKALVTTIEKKNERLWGLLKECFESGLITMNQMAKGFGRVAESLDDLALDVPDAKNQFAYYVERAKTNGWLDNSFCFTKQEHATENGTH >Vigun01g204800.1.v1.2 pep primary_assembly:ASM411807v1:1:38064587:38070748:-1 gene:Vigun01g204800.v1.2 transcript:Vigun01g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIGVSSIYQTPALELYQRPNATSTSSVRLQSLDSKSHFNNLLRAHRHSPGPTFKTGLKPTPTFLPSAIATPNSSLLSEEAFKGLGRHFDQFEHASDSHSAEPPNSDELDISKLDLPSRLVDSLKSRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPVIKGLTEVEDEPSLRRSGRLPRVLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYVTQQSALSRGVDVVVGTPGRIIDLINGKSLKLNEVQYLVLDEADQMLAVGFEEDVEVILENLPSQRQSMLFSATMPAWVKKLARKYLNNPLTIDLVGDEEEKLAEGIKLFAISATATSKRTILSDLVTVYAKGGKTIVFTQTKKDADEVSLSLTNSITSEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKQGTAILLYTSSQRRTVRSLERDVGCKFEFVSPPAMEEVLESSAEQVVATLGGVHPESIQFFTPTAQKLIEEQGTTALAAALAQLSGFSRPPSSRSLITHEQGWTTLQLIRDSENSRYFSARSVTGFLSDVFSSAADEVGKIHIIADERVQGAVFDLPEEIAKELLTKDIPPGNTISKITKLPPLQDDGPPSDFYGRFSDRERGNRRGSTSRGGFSSRGGGFASRDRRGFKSSRGWDGEDSDDDDFSDRSSRRGGRNFKSGGNSWSRAGGKSGGDDWLIGGRRSSRPSSSDRFGGACFNCGESGHRASDCPNSSNRRSFF >Vigun06g160300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28288174:28289916:1 gene:Vigun06g160300.v1.2 transcript:Vigun06g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVSILNNNPLHSSPSSSSFQRINCSINVPKLPKLPSSVPKVLASSTRSMVEEMGKFRVRDNYNSSFAEKNHTSKIMHDGDLYNTTNSQAVIQLYAILEAVADRIEMHTNVGEQRNNWNTLLLNSINMLTLTASTMAGVAGTGSCEGAPLLALKLSSALLFSAATGMLLATNKIQPSQLAEEQRNAARLFKQLQAQIQTTIAVGNPSESDVRGAMERVLALDKAYPMPLLGGAMLEKFPEKFEGARWWPQRRLNNEKAQMKGKSNNGWSVELEEEMREVVEVVKRKDMEDYERLGNIALKINKGLAISAPLLTGIAALGCLFSGDSLVPVVAGSLASVVNALEHGGQVGMVFEMYRNCGGFFQLLEETIEATIEEKDLEKRENGELFELKMALQLGRSVSQLRELASKSAAYRIEGREIDEFASKLF >Vigun08g122300.1.v1.2 pep primary_assembly:ASM411807v1:8:29179054:29181331:1 gene:Vigun08g122300.v1.2 transcript:Vigun08g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSSKGEANDGVKGNIDWELRPGGMLVQKRKSLDSSSSPMIKIKVSHGSYHHELTVPAQSTFGHLKGVLATETGLEPKEQRLLVRGKEKEDEEWLHMVGVKDMSKVVLLEDPASKEKKLEEMQKSEDTLKAWEAISTVRTEVDKLHKKVVALETTVSDGTKVEDKEFAILTELLMVQLLKLDSIAADGEAKAQRRFEVRRVQSYVDTVDNLKARNCGAFSNGGNINAVPVIWEAFESGVGSMKSPTPFPATTVITKNWEHFE >Vigun08g122300.5.v1.2 pep primary_assembly:ASM411807v1:8:29176871:29181453:1 gene:Vigun08g122300.v1.2 transcript:Vigun08g122300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKDMSKVVLLEDPASKEKKLEEMQKSEDTLKAWEAISTVRTEVDKLHKKVVALETTVSDGTKVEDKEFAILTELLMVQLLKLDSIAADGEAKAQRRFEVRRVQSYVDTVDNLKARNCGAFSNGGNINAVPVIWEAFESGVGSMKSPTPFPATTVITKNWEHFE >Vigun08g122300.3.v1.2 pep primary_assembly:ASM411807v1:8:29179054:29181331:1 gene:Vigun08g122300.v1.2 transcript:Vigun08g122300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLKGVLATETGLEPKEQRLLVRGKEKEDEEWLHMVGVKDMSKVVLLEDPASKEKKLEEMQKSEDTLKAWEAISTVRTEVDKLHKKVVALETTVSDGTKVEDKEFAILTELLMVQLLKLDSIAADGEAKAQRRFEVRRVQSYVDTVDNLKARNCGAFSNGGNINAVPVIWEAFESGVGSMKSPTPFPATTVITKNWEHFE >Vigun08g122300.2.v1.2 pep primary_assembly:ASM411807v1:8:29179054:29181331:1 gene:Vigun08g122300.v1.2 transcript:Vigun08g122300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSSKGEANDGVKGNIDWELRPGGMLVQKRKSLDSSSSPMIKIKVSHGSYHHELTVPAQSTFGVLATETGLEPKEQRLLVRGKEKEDEEWLHMVGVKDMSKVVLLEDPASKEKKLEEMQKSEDTLKAWEAISTVRTEVDKLHKKVVALETTVSDGTKVEDKEFAILTELLMVQLLKLDSIAADGEAKAQRRFEVRRVQSYVDTVDNLKARNCGAFSNGGNINAVPVIWEAFESGVGSMKSPTPFPATTVITKNWEHFE >Vigun08g122300.4.v1.2 pep primary_assembly:ASM411807v1:8:29176871:29181453:1 gene:Vigun08g122300.v1.2 transcript:Vigun08g122300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKDMSKVVLLEDPASKEKKLEEMQKSEDTLKAWEAISTVRTEVDKLHKKVVALETTVSDGTKVEDKEFAILTELLMVQLLKLDSIAADGEAKAQRRFEVRRVQSYVDTVDNLKARNCGAFSNGGNINAVPVIWEAFESGVGSMKSPTPFPATTVITKNWEHFE >Vigun10g171150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39001754:39002245:1 gene:Vigun10g171150.v1.2 transcript:Vigun10g171150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSTFPIKYEVFLSFRGADTRTNFTSHLLNALTQKSINAFIDYELTRGHYTWPTLATAIEESLLSIVVLSENYASSTWCLKELAHILECRKKRGLVVIPVFYEVDPSHVRKLSGSFETSFAKHERDSTSWDRISRRKDVSVWKAALKEVANISGWDSRSYR >Vigun02g058000.2.v1.2 pep primary_assembly:ASM411807v1:2:20279247:20280585:1 gene:Vigun02g058000.v1.2 transcript:Vigun02g058000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTHATTLLHAHIKTHRKKSSSTAATTTTTTTATSTPLPHTGTNRRNLISTFLATSTAVVAVHGGTEQQALAQNWGTRSFLWEHFFGPGLSPEDAVARIKQTAEGLHDMREPLETLSWRYIMFYIRIKQAYLDQDLRTAFSTCPQNRRKDYVRTANELIDNFEEFDRYVRTPKVYESYVYYEKTLKSIDELVAILT >Vigun02g058000.1.v1.2 pep primary_assembly:ASM411807v1:2:20279183:20281168:1 gene:Vigun02g058000.v1.2 transcript:Vigun02g058000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTHATTLLHAHIKTHRKKSSSTAATTTTTTTATSTPLPHTGTNRRNLISTFLATSTAVVAVHGGTEQQALAQNWGTRSFLWEHFFGPGLSPEDAVARIKQTAEGLHDMREPLETLSWRYIMFYIRIKQAYLDQDLRTAFSTCPQNRRKDYVRTANELIDNFEEFDRYVRTPKVYESYVYYEKTLKSIDELVAILT >Vigun11g084800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25346618:25348140:1 gene:Vigun11g084800.v1.2 transcript:Vigun11g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKELHIVVFPWLAFGHIFPFLELAKLIAQKGHKISFISTPKNIHRLPKLPESLKPLLHLIEFPLPQVEELPENAENTVDTPQHLVPFLFKAYDGLEEPLTKFLEKGTPDWVICDFASHWLPPLSSKLGIPCIFFCSFAACGSSFGVELFMGKRSMESAEAKLLRAVHKRKEVAQSSQPKEVNRLFETLKVAQVIATRSCMEIDGEFVKSLESSSGKLVIPTGLLPPSPEDSNDHHWYTILNWLDKWEKGSVIYVAFGTEVTLSDEEFTEIAMGLELSGFPFLWTVKNRNTSGGSDESQDWIENESKRGMMWRRWAPQSRILAHKSVGAFFTHCGWSSVIEGLQVGCPLVMLPFQYDQWPIAKFMEEKKVGLKVHRNEHDFKFTRDSVAKALTSVMLEEEGKCFRSEAQEMSKIVGDKQLQEKYVNQFVDYMKNNRPGYN >VigunL076600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:69411:70208:1 gene:VigunL076600.v1.2 transcript:VigunL076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSIPFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPLGIWVLDPWEIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFLFVSIYWWGGI >Vigun07g105200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19231567:19238696:-1 gene:Vigun07g105200.v1.2 transcript:Vigun07g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSFFSKYKTLPYFFCTFVQHKPNYHIHSFANPQILPPSLPDLVNEISRLLSDHRYPHHDLELSLKPFSPQISTNLVEQVLKRCKNLGFSAHRFFLWAKSIPGFQHNDVSFHILVEILGSCKQFAVLWDFLIEMRDSRSHVINSEIFWLIFKAYSRANLPDGAIRSFNRMDEFGVMPNVQDLDKLLYFLCKRKHLQQAQNFFDKAKNRFSLSAKTYSILISGWGEIGDSDKARELFEAMLEQGCPVDLLAYNNLLGALCKGGCVDEAKNVFHDMLSKRVEPDAFSYSIFIHSYCNANDVQSAFRVLDKMRRYNLLPNVFTYNCIIKRLCKNELVEEAYQLLDEMISRGVKPDIWSYNAIQAYHCDHCEVNRALRLMFRMEKDKCLPDRHTYNMVLKLLIRIGRFDKVAEVWENMVDKNFFPSVSTYSVLIHGFCKKKGKLEEACKYFEMMIDEGIPPYVTTVELLRNRLLGLGLLDHIEILVDKMRQSTSHAIQELANVMIGNRATHNTLRRDETDIESD >Vigun07g105200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19231649:19238699:-1 gene:Vigun07g105200.v1.2 transcript:Vigun07g105200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSFFSKYKTLPYFFCTFVQHKPNYHIHSFANPQILPPSLPDLVNEISRLLSDHRYPHHDLELSLKPFSPQISTNLVEQVLKRCKNLGFSAHRFFLWAKSIPGFQHNDVSFHILVEILGSCKQFAVLWDFLIEMRDSRSHVINSEIFWLIFKAYSRANLPDGAIRSFNRMDEFGVMPNVQDLDKLLYFLCKRKHLQQAQNFFDKAKNRFSLSAKTYSILISGWGEIGDSDKARELFEAMLEQGCPVDLLAYNNLLGALCKGGCVDEAKNVFHDMLSKRVEPDAFSYSIFIHSYCNANDVQSAFRVLDKMRRYNLLPNVFTYNCIIKRLCKNELVEEAYQLLDEMISRGVKPDIWSYNAIQAYHCDHCEVNRALRLMFRMEKDKCLPDRHTYNMVLKLLIRIGRFDKVAEVWENMVDKNFFPSVSTYSVLIHGFCKKKGKLEEACKYFEMMIDEGIPPYVTTVELLRNRLLGLGLLDHIEILVDKMRQSTSHAIQELANVMIGNRATHNTLRRDETDIESD >Vigun07g105200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19231649:19238687:-1 gene:Vigun07g105200.v1.2 transcript:Vigun07g105200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSFFSKYKTLPYFFCTFVQHKPNYHIHSFANPQILPPSLPDLVNEISRLLSDHRYPHHDLELSLKPFSPQISTNLVEQVLKRCKNLGFSAHRFFLWAKSIPGFQHNDVSFHILVEILGSCKQFAVLWDFLIEMRDSRSHVINSEIFWLIFKAYSRANLPDGAIRSFNRMDEFGVMPNVQDLDKLLYFLCKRKHLQQAQNFFDKAKNRFSLSAKTYSILISGWGEIGDSDKARELFEAMLEQGCPVDLLAYNNLLGALCKGGCVDEAKNVFHDMLSKRVEPDAFSYSIFIHSYCNANDVQSAFRVLDKMRRYNLLPNVFTYNCIIKRLCKNELVEEAYQLLDEMISRGVKPDIWSYNAIQAYHCDHCEVNRALRLMFRMEKDKCLPDRHTYNMVLKLLIRIGRFDKVAEVWENMVDKNFFPSVSTYSVLIHGFCKKKGKLEEACKYFEMMIDEGIPPYVTTVELLRNRLLGLGLLDHIEILVDKMRQSTSHAIQELANVMIGNRATHNTLRRDETDIESD >Vigun07g105200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19231649:19238698:-1 gene:Vigun07g105200.v1.2 transcript:Vigun07g105200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSFFSKYKTLPYFFCTFVQHKPNYHIHSFANPQILPPSLPDLVNEISRLLSDHRYPHHDLELSLKPFSPQISTNLVEQVLKRCKNLGFSAHRFFLWAKSIPGFQHNDVSFHILVEILGSCKQFAVLWDFLIEMRDSRSHVINSEIFWLIFKAYSRANLPDGAIRSFNRMDEFGVMPNVQDLDKLLYFLCKRKHLQQAQNFFDKAKNRFSLSAKTYSILISGWGEIGDSDKARELFEAMLEQGCPVDLLAYNNLLGALCKGGCVDEAKNVFHDMLSKRVEPDAFSYSIFIHSYCNANDVQSAFRVLDKMRRYNLLPNVFTYNCIIKRLCKNELVEEAYQLLDEMISRGVKPDIWSYNAIQAYHCDHCEVNRALRLMFRMEKDKCLPDRHTYNMVLKLLIRIGRFDKVAEVWENMVDKNFFPSVSTYSVLIHGFCKKKGKLEEACKYFEMMIDEGIPPYVTTVELLRNRLLGLGLLDHIEILVDKMRQSTSHAIQELANVMIGNRATHNTLRRDETDIESD >Vigun07g105200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:19231649:19238688:-1 gene:Vigun07g105200.v1.2 transcript:Vigun07g105200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSFFSKYKTLPYFFCTFVQHKPNYHIHSFANPQILPPSLPDLVNEISRLLSDHRYPHHDLELSLKPFSPQISTNLVEQVLKRCKNLGFSAHRFFLWAKSIPGFQHNDVSFHILVEILGSCKQFAVLWDFLIEMRDSRSHVINSEIFWLIFKAYSRANLPDGAIRSFNRMDEFGVMPNVQDLDKLLYFLCKRKHLQQAQNFFDKAKNRFSLSAKTYSILISGWGEIGDSDKARELFEAMLEQGCPVDLLAYNNLLGALCKGGCVDEAKNVFHDMLSKRVEPDAFSYSIFIHSYCNANDVQSAFRVLDKMRRYNLLPNVFTYNCIIKRLCKNELVEEAYQLLDEMISRGVKPDIWSYNAIQAYHCDHCEVNRALRLMFRMEKDKCLPDRHTYNMVLKLLIRIGRFDKVAEVWENMVDKNFFPSVSTYSVLIHGFCKKKGKLEEACKYFEMMIDEGIPPYVTTVELLRNRLLGLGLLDHIEILVDKMRQSTSHAIQELANVMIGNRATHNTLRRDETDIESD >VigunL059073.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000473.1:45945:51801:1 gene:VigunL059073.v1.2 transcript:VigunL059073.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHIDPRSGEPSGPWEKKYRSYIGMLAKTKVSIAIACWDDVAEVEKNLLWQDLVHKFEITPNTERIRKKVLSHIATRWRDFKARLTRLYVFGDRQHDTPCEKYKISEEEWMQFRACRESGDWKKGQQPNKDKGLMIHHMYSLEVVMHYWRKN >Vigun07g218000.3.v1.2 pep primary_assembly:ASM411807v1:7:34010442:34016323:1 gene:Vigun07g218000.v1.2 transcript:Vigun07g218000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENNDTGAPSDALLFDQIVATEQPHNSIHSDPCYPLINTFDDLLNVDTFEMLNSPLPPPPPPTAPLESVHSETSEKPNEQTSKPAPVGSTDGLDRGKSVVLADICMASGGADGGAKDSGENNPKRRRENVSLDASLTALIQAGPAISLARRPMGPEELAELARVDPKKAKRIVANRESAAKSKEKKKRYLIELQKNVDLLEIQISNMINNICMFEIGTTGRAVHVKELRRKLDVMREQDRIKDVLSVVLNGEIQGLKDENENLNLLMSYRSPGNLFSPLPSKLPLHKFHNPPSHQPQLSMRNPLPPSGPSNFPGNYGPNFSNFNHKN >Vigun07g218000.2.v1.2 pep primary_assembly:ASM411807v1:7:34002871:34016323:1 gene:Vigun07g218000.v1.2 transcript:Vigun07g218000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENNDTGAPSDALLFDQIVATEQPHNSIHSDPCYPLINTFDDLLNVDTFEMLNSPLPPPPPPTAPLESVHSETSEKPNEQTSKPAPVGSTDGLDRGKSVVLADICMASGGADGGAKDSGENNPKRRRENVSLDASLTALIQAGPAISLARRPMGPEELAELARVDPKKAKRIVANRESAAKSKEKKKRYLIELQKNVDLLEIQISNMINNICMFEIGTTGRAVHVKELRRKLDVMREQDRIKDVLSVVLNGEIQGLKDENENLNLLMSYRSPGNLFSPLPSKLPLHKFHNPPSHQPQLSMRNPLPPSGPSNFPGNYGPNFSNFNHKN >Vigun03g165500.2.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.13.v1.2 pep primary_assembly:ASM411807v1:3:18960483:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.5.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.3.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.7.v1.2 pep primary_assembly:ASM411807v1:3:18960482:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.16.v1.2 pep primary_assembly:ASM411807v1:3:18960483:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.9.v1.2 pep primary_assembly:ASM411807v1:3:18960482:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.6.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.10.v1.2 pep primary_assembly:ASM411807v1:3:18960483:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.11.v1.2 pep primary_assembly:ASM411807v1:3:18960478:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.12.v1.2 pep primary_assembly:ASM411807v1:3:18960482:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.4.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.14.v1.2 pep primary_assembly:ASM411807v1:3:18960478:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.1.v1.2 pep primary_assembly:ASM411807v1:3:18960100:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.15.v1.2 pep primary_assembly:ASM411807v1:3:18960482:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g165500.8.v1.2 pep primary_assembly:ASM411807v1:3:18960483:18967567:1 gene:Vigun03g165500.v1.2 transcript:Vigun03g165500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGAPEANVSTREALVMELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHLLSEANRSLRQRQLEGYQINPLQLNPGVEDMGYGRHPSQAQGDALFQPLECEPTLQIGYQPDPVSVVTAGPSMSNYMAGWLP >Vigun03g441300.2.v1.2 pep primary_assembly:ASM411807v1:3:64398823:64401557:1 gene:Vigun03g441300.v1.2 transcript:Vigun03g441300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPVEVITEILSRLPVKSVLRLRSTCKWWRSLIDTTHFILFHLSKSHTTLILRHRSHLYTVDLQSLEKPLEITHPLMCYSNSIKVLGSCNGLICISNVADDIALWNPHIRKHRILPADRFNRPDSSLFAARVHGFGHDAASNDYKLVSITYFVDLHNRTFDSQVQVYTLKSDAWKNLPSMPYALCCARTMGVLVGGALHWVVTRKLEPDQPDLIVAFELTRESFREVELPATVKGNFDMEVALLGGCLCLVENRGSGFDFWVMRVYGSSDSWEKLFTLTQDHLHIGLPKLQSVRPLLLDGDRVLLEQNRSKLCWYNLRSGDVSFVKIPGIGNSIEGTVCVASLLPPTLLNLRAESEMHELGHQKNRKKRDDFLSKGFKLTL >Vigun03g441300.3.v1.2 pep primary_assembly:ASM411807v1:3:64398823:64401557:1 gene:Vigun03g441300.v1.2 transcript:Vigun03g441300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPVEVITEILSRLPVKSVLRLRSTCKWWRSLIDTTHFILFHLSKSHTTLILRHRSHLYTVDLQSLEKPLEITHPLMCYSNSIKVLGSCNGLICISNVADDIALWNPHIRKHRILPADRFNRPDSSLFAARVHGFGHDAASNDYKLVSITYFVDLHNRTFDSQVQVYTLKSDAWKNLPSMPYALCCARTMGVLVGGALHWVVTRKLEPDQPDLIVAFELTRESFREVELPATVKGNFDMEVALLGGCLCLVENRGSGFDFWVMRVYGSSDSWEKLFTLTQDHLHIGLPKLQSVRPLLLDGDRVLLEQNRSKLCWYNLRSGDVSFVKIPGIGNSIEGTVCVASLLPPTLLNLRAESEMHELGHQKNRKKRDDFLSKGFKLTL >Vigun03g441300.1.v1.2 pep primary_assembly:ASM411807v1:3:64398823:64401557:1 gene:Vigun03g441300.v1.2 transcript:Vigun03g441300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPVEVITEILSRLPVKSVLRLRSTCKWWRSLIDTTHFILFHLSKSHTTLILRHRSHLYTVDLQSLEKPLEITHPLMCYSNSIKVLGSCNGLICISNVADDIALWNPHIRKHRILPADRFNRPDSSLFAARVHGFGHDAASNDYKLVSITYFVDLHNRTFDSQVQVYTLKSDAWKNLPSMPYALCCARTMGVLVGGALHWVVTRKLEPDQPDLIVAFELTRESFREVELPATVKGNFDMEVALLGGCLCLVENRGSGFDFWVMRVYGSSDSWEKLFTLTQDHLHIGLPKLQSVRPLLLDGDRVLLEQNRSKLCWYNLRSGDVSFVKIPGIGNSIEGTVCVASLLPPTLLNLRAESEMHELGHQKNRKKRDDFLSKGFKLTL >Vigun03g441300.5.v1.2 pep primary_assembly:ASM411807v1:3:64398938:64401535:1 gene:Vigun03g441300.v1.2 transcript:Vigun03g441300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPVEVITEILSRLPVKSVLRLRSTCKWWRSLIDTTHFILFHLSKSHTTLILRHRSHLYTVDLQSLEKPLEITHPLMCYSNSIKVLGSCNGLICISNVADDIALWNPHIRKHRILPADRFNRPDSSLFAARVHGFGHDAASNDYKLVSITYFVDLHNRTFDSQVQVYTLKSDAWKNLPSMPYALCCARTMGVLVGGALHWVVTRKLEPDQPDLIVAFELTRESFREVELPATVKGNFDMEVALLGGCLCLVENRGSGFDFWVMRVYGSSDSWEKLFTLTQDHLHIGLPKLQSVRPLLLDGDRVLLEQNRSKLCWYNLRSGDVSFVKIPGIGNSIEGTVCVASLLPPTLLNLRAESEMHELGHQKNRKKRDDFLSKGFKLTL >Vigun05g220100.1.v1.2 pep primary_assembly:ASM411807v1:5:41143683:41145569:-1 gene:Vigun05g220100.v1.2 transcript:Vigun05g220100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRELDSVKIIEQCQVSPPPNSVPSTTLPLTFFDIPWFFCNSIQRIFFYEFPHPTHHFLQEALPILKHSLSLSLQHFFPFASNLIVPPQLHLSHIRYLHGDSLSFTVAESTADFNLLTSDSPQDVQNWHPLVPTLPSARVEQDGIRVMPLMAIQVTLFPNSGFSICLTFNHLAGDGKSLHHFIKFWASLCNARGEFTSIEASLSLPSHERDKVKDPKGLKFIYFQELEHFESKSMEFAGLVRDVSTNKLRFTLVLSLEQVEKLKKWVSLKCASNDSGLLHISTFVVACSIIWVCMIRSEEKRAICFSEECDELCHLVFLADCRDRPEFSLPSTYFGNCLTAYIVAIKRNELVGEDGIVAAANAIGKEIRYLKSDPLRNAETLMSDYRELGKPGKSVLVIAGSPKLGVYQTDFGWGKPKKCEAAHIESSGSISLSDCRDEKGGIEVEHFRK >Vigun01g252000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41823810:41825831:1 gene:Vigun01g252000.v1.2 transcript:Vigun01g252000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYCAAPLLHLLPPFITLQRPRISLSLSQKQKISKHNTRMSQPRFLSTVSLCLALLLLHAHPPICNGLSFVDLNLLKHNEMAVMTKRVCTKTIGECLSLTDPDMDSETNRRVLAMQKKYISYETLKRDMVPCDRAGASYYNCHAIRANPYNRGCEVITACARGQDIKT >Vigun10g117750.1.v1.2 pep primary_assembly:ASM411807v1:10:32397092:32398368:-1 gene:Vigun10g117750.v1.2 transcript:Vigun10g117750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNCLFVPMFILQMASSSNPKRMKTTVGNPSKGQKRKERIYSHYFLTKDNEDRFQVVMQRKLVAERKVILKPGEVNEFQLELIRHGWEKLGSYPNTFSVTLVKEFYANAKVTTSATPTFLNYVWGKRVPFDADTINEFLGTQLADDVECQFSVLDDEGVALGELIQALCLAGEGFHRGTIQRGSLHPLARFRSALVHANISPCSHVSDLTEGRATILYTILTGRVMDVGLAGVDISVPPLEKATQDLDFSYFQRFSSVAPRPRRRHQPQAELEPEPEPEATPTIDMRGISLTIPELHTPSAEEFAATVAWPGAQATTTGGGGASAAAEDEEEDLDEEGEDDA >Vigun04g077400.1.v1.2 pep primary_assembly:ASM411807v1:4:10511073:10512038:-1 gene:Vigun04g077400.v1.2 transcript:Vigun04g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIVTFSIFLLFHSSIMGFDYWKISQIYPPGFCYLNNRCMSSSVKSTKFTIHGLWPSTNAFPQPFNCRLDGLNLSVIQNMKPRLQQVWPNYFSTNYTQFWEHEWMKHGTCSNMQQFDFFRLTLDIYARNDLEAILINAGISHGKPYHINDIISAIRNSAIGVEPELHCGRSGLIFEIRICLNTDPIPQYINCASQGTCTSPVMFM >Vigun10g036150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4833041:4834268:-1 gene:Vigun10g036150.v1.2 transcript:Vigun10g036150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRMSILALFLLVIKTLVCYCFSLVLGFDLSLLLVKLKSMLLLRSLRLLFSRLLGWGWKGAALFHLMTHCIEGVFSFMEGDLTLYVGADGASSSKRPSIDLNFPPTDETEPETTSEPPQEELVLRREMEEHILRRLMATAPPGTTPEQLLNQARETAAFKRQIVDRMPTLDTGHSAFWRTHRYGLITDALLTNRQHEDSPKHLRTMWEEVNQPNSAIYKKMISVRQNFQMKGTFRC >Vigun03g004900.4.v1.2 pep primary_assembly:ASM411807v1:3:325536:335009:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDSVSSGGLDVVSDSARRDSESSASRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGILTESTSARMPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun03g004900.3.v1.2 pep primary_assembly:ASM411807v1:3:325536:334967:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQGTKWRDDAQGATSSKSTKSSDGSSSSTAPKKLSNWLHSVSNRQSPSPPSPNLARGERMEPSDSVSSGGLDVVSDSARRDSESSASRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGILTESTSARMPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun03g004900.5.v1.2 pep primary_assembly:ASM411807v1:3:325536:335009:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun03g004900.6.v1.2 pep primary_assembly:ASM411807v1:3:325536:334967:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun03g004900.1.v1.2 pep primary_assembly:ASM411807v1:3:325536:334967:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKKLHIMSNQSDDAQGATSSKSTKSSDGSSSSTAPKKLSNWLHSVSNRQSPSPPSPNLARGERMEPSDSVSSGGLDVVSDSARRDSESSASRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGILTESTSARMPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun03g004900.2.v1.2 pep primary_assembly:ASM411807v1:3:325536:334967:-1 gene:Vigun03g004900.v1.2 transcript:Vigun03g004900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVDLQGKSTSVDVTWEAVLVNRAADSNLLKLEQEAMKMAASSGKDFEVVVNSNLVHKLAIMVADYMGGSVKDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGLQYMGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDATASHIECDGSSFVASPSSRELDSSNVASFSSGVGSSSEETSDCGGKESGLSGLATGKEDLKKPSNESKNTPYAEKTRVKESSSRANYPYMHGRSPSWTEGISSPAARRMKVKDVSQYMIDAAKENPNLAQKLHDVLLESGVVAPPNLFSEMYHGQLGTPTGTNFPTEQKDENKQASCQQEIKTDDSLVPARFLPPLPYHKILRKATLGSGDGLGIGLSLDTGETTGQNIPSQAEAARVKYGKNVPVAAAAAAAAAVVASSMVVAVTKSNTDSNLEIPVAAAATATAAAVVATTAAVSKYEQDSRSDGDTEGGGSESKGSGDGEPNALGENSEGERKSDRSVSNDSTKSDSALDEVAEYDIPWDEIKMGERIGLGSYGEVYRGEWHGTEVAVKKFLYQDISGELLEEFKSEVQIMKRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDVDPAIADIIRQCWQTDPKLRPTFAEIMAALKPLQRPITASQVPRSSAQSSRVAEFPAG >Vigun06g121901.1.v1.2 pep primary_assembly:ASM411807v1:6:24923146:24923665:-1 gene:Vigun06g121901.v1.2 transcript:Vigun06g121901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLIGEFGISVGGNRMKSIKDFLPLLSRCQLHSRMKLCLYMCSSWNLYK >Vigun03g282000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46227295:46227708:-1 gene:Vigun03g282000.v1.2 transcript:Vigun03g282000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIITKTQAFAVLVLLVSTVLMGLEARPLSIIETGNSATGGAVQVVGFFDWLGLGAIKDSGPRPGVGHKFTNSDTLGGIKDSGPSPGGKGHQFTNSNTLGGIKDSGPSSGGEGHKFTNSDTLGGIKDSGPTPGQGH >Vigun04g034000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2822980:2823195:1 gene:Vigun04g034000.v1.2 transcript:Vigun04g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKMNKDERFAQKGVPIHSQVRKIKQESEEIVDWSPGKPEIRHVLREISRQISRSPLGVSGQPISVGEL >Vigun04g185400.2.v1.2 pep primary_assembly:ASM411807v1:4:41041752:41044172:1 gene:Vigun04g185400.v1.2 transcript:Vigun04g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIISSFPDEILCHILSFLPTKQVVATSVLSKRWNLLWRSIPFFDFHYDGNLFDYDKDKEAYSDFLRSVDSFLLWRDRYQPLHRFRLRSDSTYGRTVSIQTWITAAVSGSSRVQHLDLYLDWGFVLPSVVFTCKTLVVLKLTFIQVENTFSVDLPLLKILHLNRICSSEGLDLSQFLSGCPNLEDLEVKNLISKPKGKFPRLPKLVRANIHEDLLPLEVVKDVEILFLDSKYQQILDFDFLNLVQLELILELSKDWLGVLEVLEHCPKLQTLVICIYKSSFDTALAGHEEVVWPYPQSVPACISSHLKTCSLKYYSGSVDEIQFASYIMENAKYLRTMKICIDSESDYTFGEKLHMKRELSSCMKSSDTCSLTFEYSP >Vigun04g185400.1.v1.2 pep primary_assembly:ASM411807v1:4:41041722:41044172:1 gene:Vigun04g185400.v1.2 transcript:Vigun04g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIISSFPDEILCHILSFLPTKQVVATSVLSKRWNLLWRSIPFFDFHYDGNLFDYDKDKEAYSDFLRSVDSFLLWRDRYQPLHRFRLRSDSTYGRTVSIQTWITAAVSGSSRVQHLDLYLDWGFVLPSVVFTCKTLVVLKLTFIQVENTFSVDLPLLKILHLNRICSSEGLDLSQFLSGCPNLEDLEVKNLISKPKGKFPRLPKLVRANIHEDLLPLEVVKDVEILFLDSKYQQILDFDFLNLVQLELILELSKDWLGVLEVLEHCPKLQTLVICIYKSSFDTALAGHEEVVWPYPQSVPACISSHLKTCSLKYYSGSVDEIQFASYIMENAKYLRTMKICIDSESDYTFGEKLHMKRELSSCMKSSDTCSLTFEYSP >Vigun03g381400.1.v1.2 pep primary_assembly:ASM411807v1:3:58575579:58576907:1 gene:Vigun03g381400.v1.2 transcript:Vigun03g381400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIARGEVCLRLSAILFLVLTTCLVAFDTQTKVVFLGIQKKATYNDLNALKILVYATSAAAGYNLLQLCKHSTCSRGNLKGSDMYVAWISLFLDQIAAYITFGTNTASVGASMIAVKGSEAFQWVKVCDRFTRFCIQIGGAFLCGYVASILMALISTISAYRVFRMYSPKWFLRLKSTS >VigunL014480.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:7530:9283:-1 gene:VigunL014480.v1.2 transcript:VigunL014480.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECTVAVELCEKEEPPPHPENNGSTKKVKIRTEEEDPPPVVEIFEEEVDNGSEMISEEGRDKKDMVQEEVMPSIQFSSRIDDLLNQSMRLAVVVKQLVRSMGYRRLHDKIMNLWKRTVPLQLADLEGDSFIVKFQNAQDYQNALLGGPW >Vigun10g166700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38565719:38568369:-1 gene:Vigun10g166700.v1.2 transcript:Vigun10g166700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWDNKPSRKQHQRENPSFSSTLLDVIYRSIDEDQTEEKEEEELIFYRETMRKQKQSNCFREEKAEAEKLNSRRARKVESWMEKRSSEKVLMGRNSLTEFERRTRSNSISNTLSMYSSSTSSSESSSVGGFSSSESESFYGVQRPKPIKTSVSDKTKTKTKTNFDAALHNHSFRSHSSQTQKPKHENGFGKTKSKALKILYGELKKAKQPISPGAKLASFLNSLFTSSGNAKKAKVSTTTTSCRPVPISVTTNRATDSKPAAQQPGSTCSSASSFSRSCLSKTPSSRSGAKRSVRFCPVSVVVDEDCRPCGHKNVYEGEGDLVASNGKNRSEELRLHVMQESRRVEELARDLLKNYQKKNEVEFDAVMHYADEDEEEDDDDDVASCSSSDLFELDNLSAIGIERYREELPVYETTHFSTNRAIANGFIL >Vigun01g099000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26571174:26578286:-1 gene:Vigun01g099000.v1.2 transcript:Vigun01g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKVAPKSSQSVEPPLKHHQPEPQIAPPEYEEVEEEEEEEEEVEEEVEEEEEEEEEEEEDDEEQQQQQDEDDDPIEKLLEPLSKDQILNLLCEAAANHRDVADRIRKAADEDPVHRKIFVHGLGWDTTAGTLISAFRQYGEIEDCKAVTDKVSGKSKGYGFILFKTRRGARNALKQPQKKIGNRMTACQLASIGPVSSSQSLPQALPSSVPTLSSSASEYTQRKIYVSNVGAELDPQKLLAFFSRFGEIEEGPLGLDKLTGKPKGFCLFVYRSPESAKRALEEPHKDFEGHILHCQKAIDGPKPGKLQQQQHGNVNAQVQRTQFQRNDTANAGGAYVGSAAAAQPGHLMAPAGPGIGFNQAALNPALGQALTALLASQGTLGLTNLLGSIGTSAAVNPGVPAAGAGVQSGYSAQSNISPGVIGGYGTQVGLQAAYPNPNQQIGQGGSGRGQYGGGGAPYMGH >Vigun04g043600.1.v1.2 pep primary_assembly:ASM411807v1:4:3758150:3760811:1 gene:Vigun04g043600.v1.2 transcript:Vigun04g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYQFKDGDGYEFKFIGNVVDWVCHKINPARLHVADYPVGLGPQVLEVRKLLNVESGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDGSCFLQNVREKSKKHGLEHLQSILLSNVLGAKDINLTSEHQGISMIQQRLQRKKVLLILDDVDRCEQLQALAGSPDWFGPGSRVIITTRDTQLLASHQVKRTYSVKTLRKDDALQLLTWKAFKREQVDPSYVEVLNHVVSYASGRPLALEVIGSNLFAKSVEEWKSAINQYKRIPTNQMLKILKVSFDALEEEKGVFLDLACCFKGYKLTEVEIMLRAAYDDCMKHHISVLVEKSLIKVSRGRTVELHDLIKDMGRQIDQKESPKEPGKRMRLWLPKDIMEVIKDNTGTRRIEILCLDISISEKDETLHWNGNAFGNMKNLKILIIRNGKFSRGPNCFPESLKVLEWHGYPSDCLPSNFDPNKLLTCKLPKSRFTSFGMLGSSKKFENLTDLNFDYCQLLTRIPDVSYLPNLEKLSFECCESLTAIDDSVGFMTKLKLLSSQHCKKLFHLSTCPLLKNLNFHTVQVLRIFQKY >Vigun11g085500.1.v1.2 pep primary_assembly:ASM411807v1:11:25610255:25611616:-1 gene:Vigun11g085500.v1.2 transcript:Vigun11g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSQNNHVLVLFLVFTVWTCHVMSRRLPEATSSERHEKWMAQYGKVYKDAAEKEKRFQIFNKNVQFIESFNAAGHKSFNLSINQFADLHNEEFKALLINGQKNAHRVGTVTETSFRYDNITKVPATMDWRKRGAVTPIKNQGRCGSCWAFSTVASIESLHQITTGELVSLSEQELVDCVEGNSEGCNGGYMEDAFEFVAKKGGLASEEYYPYKANNKTCKVKKEGHGVAEIKGYEKVAANSEKALLKAVAHQPVSVYIDAGDSAFQFYSSGIFSGKCGTHLNHAVAVVGYGKDRGGGKYWIVKNSWSTEWGEKGYMRMKRDIRAKEGLCGIATHAAYPFA >VigunL033800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:257852:258214:1 gene:VigunL033800.v1.2 transcript:VigunL033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFDVLGVSVFLEAFLFVLILIVGSVYAWRKGALEWS >Vigun11g181800.2.v1.2 pep primary_assembly:ASM411807v1:11:38527306:38532467:-1 gene:Vigun11g181800.v1.2 transcript:Vigun11g181800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWSHTISPSLHLPRSLSTRDTRTFRVSCVTDQDPKGTKMIISITGATGFIGRRLVQRLHADNHSVHVLTRSKSKAETIFPAKDFPGIKIAQEPEWKDSIQGSTGVVNLAGLPISTRWSPEIKKEIKQSRIRVTSKVVELINSAPDEIRPKVLVSATAVGYYGTNETQVFDERSPSGNDYLAEVCREWESTALKVNGDVRLTLIRIGVVLAKMIPLFNLFAGGPLGSGKQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLAELCDQLGHVLARPSWLPVPDFALKAVLGEGASVVLEGQKVLPTEAKKLGFTFKYSYVKDALKAILS >Vigun11g181800.1.v1.2 pep primary_assembly:ASM411807v1:11:38527306:38532467:-1 gene:Vigun11g181800.v1.2 transcript:Vigun11g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWSHTISPSLHLPRSLSTRDTRTFRVSCVTDQDPKGTKMIISITGATGFIGRRLVQRLHADNHSVHVLTRSKSKAETIFPAKDFPGIKIAQEPEWKDSIQGSTGVVNLAGLPISTRWSPEIKKEIKQSRIRVTSKVVELINSAPDEIRPKVLVSATAVGYYGTNETQVFDERSPSGNDYLAEVCREWESTALKVNGDVRLTLIRIGVVLGKDGGALAKMIPLFNLFAGGPLGSGKQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLAELCDQLGHVLARPSWLPVPDFALKAVLGEGASVVLEGQKVLPTEAKKLGFTFKYSYVKDALKAILS >Vigun02g023700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:7937087:7937739:1 gene:Vigun02g023700.v1.2 transcript:Vigun02g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPPPSTPSNPWTPSFPTFPPPTSFSSSSDDDLDSSSSSTSTFGIVIGVSVGVVGLILLVGIWYKYLRKKFRSDSCSK >VigunL059045.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000307.1:63129:63843:1 gene:VigunL059045.v1.2 transcript:VigunL059045.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDHDAEHESGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun03g284600.1.v1.2 pep primary_assembly:ASM411807v1:3:46555897:46563066:-1 gene:Vigun03g284600.v1.2 transcript:Vigun03g284600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYYPYTRIKYAHEPPALLLRFLLVCTLVALRAPVAQPPNLRIPTHLIETKTTTILHQLHRTQISGSNPQPMSKARVYTDVNVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNDRCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >Vigun01g080000.1.v1.2 pep primary_assembly:ASM411807v1:1:22563794:22565457:1 gene:Vigun01g080000.v1.2 transcript:Vigun01g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADAPAIPLLTPYKMGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSPGGLLISEATGVSDTAQGLIHTPGIWTKEQVEAWKPIVNAVHAKGGIFFCQIWHVGRVSSTAFQPNGQAPISSTDKPLKQQTGSKGGEETQSTIPRRLKTEEIPDVVNDFRVAARNAIEAGFDGVEIHGAHGYLLEQFMKDAVNDRSDEYGGSLENRCRFALEVVEGVVNEIGAERVGIRLSPFTQHAECEDSDPMALGVYMASALSKYNILYCHIVEPRMKTALEVVECPQSLVPIRKAFNGSFIAAGGYNREDGINAVAENKTDLVAYGRLFLANPDLPKRFGLDAPLNKYIRQNFYSHHPVIGYTDYPFLE >Vigun01g080000.2.v1.2 pep primary_assembly:ASM411807v1:1:22561766:22565457:1 gene:Vigun01g080000.v1.2 transcript:Vigun01g080000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADAPAIPLLTPYKMGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSPGGLLISEATGVSDTAQGLIHTPGIWTKEQVEAWKPIVNAVHAKGGIFFCQIWHVGRVSSTAFQPNGQAPISSTDKPLKQQTGSKGGEETQSTIPRRLKTEEIPDVVNDFRVAARNAIEAGFDGVEIHGAHGYLLEQFMKDAVNDRSDEYGGSLENRCRFALEVVEGVVNEIGAERVGIRLSPFTQHAECEDSDPMALGVYMASALSKYNILYCHIVEPRMKTALEVVECPQSLVPIRKAFNGSFIAAGGYNREDGINAVAENKTDLVAYGRLFLANPDLPKRFGLDAPLNKYIRQNFYSHHPVIGYTDYPFLE >Vigun01g080000.3.v1.2 pep primary_assembly:ASM411807v1:1:22559996:22565457:1 gene:Vigun01g080000.v1.2 transcript:Vigun01g080000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADAPAIPLLTPYKMGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSPGGLLISEATGVSDTAQGLIHTPGIWTKEQVEAWKPIVNAVHAKGGIFFCQIWHVGRVSSTAFQPNGQAPISSTDKPLKQQTGSKGGEETQSTIPRRLKTEEIPDVVNDFRVAARNAIEAGFDGVEIHGAHGYLLEQFMKDAVNDRSDEYGGSLENRCRFALEVVEGVVNEIGAERVGIRLSPFTQHAECEDSDPMALGVYMASALSKYNILYCHIVEPRMKTALEVVECPQSLVPIRKAFNGSFIAAGGYNREDGINAVAENKTDLVAYGRLFLANPDLPKRFGLDAPLNKYIRQNFYSHHPVIGYTDYPFLE >Vigun11g108000.1.v1.2 pep primary_assembly:ASM411807v1:11:30732125:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKRKRCFPSCSSLRKVDEDEIYWRHRKEDEELEWTPNSTHLISQLTQCFTNAMVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.2.v1.2 pep primary_assembly:ASM411807v1:11:30732092:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.8.v1.2 pep primary_assembly:ASM411807v1:11:30733996:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYTMTNNTATNAMVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.7.v1.2 pep primary_assembly:ASM411807v1:11:30732125:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYTMTNNTATNAMVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.6.v1.2 pep primary_assembly:ASM411807v1:11:30732125:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.4.v1.2 pep primary_assembly:ASM411807v1:11:30732125:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYTMTNNTATNAMVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g108000.3.v1.2 pep primary_assembly:ASM411807v1:11:30732114:30736357:1 gene:Vigun11g108000.v1.2 transcript:Vigun11g108000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYTMTNNTATNAMVGSRSWIGGLFHRTSTKRNNDKFVDYPLSPVEEERLQRLQERVQVPYDDTRPEHQEALRALWVCSFPNVSLEGLISDQWKDMGWQGPNPSTDFRGCGFISLENLLFFARKYPASFHRLLLKKEGIRATWEYPFAVAGINISYMLIQMLDLDSEKPRCLPGANFVKLLGENEEAFDILYCISFEMMDAQWLAMHASYMDFNDVLNATRIQLERELSLEDINRIQDLPAFNLL >Vigun11g062733.1.v1.2 pep primary_assembly:ASM411807v1:11:14183621:14185153:1 gene:Vigun11g062733.v1.2 transcript:Vigun11g062733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNRDGHVYLWEEKKILFGHFCKTKFIGAINNRLSVQQKNYIEGTPFWWFPMVTDSVKISRKLLSLLCYNWVERRGGFRIGGQVVEFNLLDVCLGLGLRVLGERIDLNETISNSDTLNIFGGETITVKLIYDYLLKYDDDVGGVEVFCKIYILLGISEFLLPNKKLWSFDHLLVCNTKLTCRMNKFPRLLHWMNVRVGDKVITRAFDKNMCVVDVSVSDEELHHDVVKEAFKQFGTGYRNEEKKDNEEVLRLLEYEEGEIAGMEHSISELEEMVAKCTGEVGNEDPLNDGCAKDVFDDDSDGDDEMFHDPPAKPHQDEEVVVNNDGGQHSNMYDRMKAQPQRQFKSVATRTPFSVYGNKKNAKLK >Vigun09g258600.1.v1.2 pep primary_assembly:ASM411807v1:9:42407505:42411353:1 gene:Vigun09g258600.v1.2 transcript:Vigun09g258600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATTALLSSNFLGRKVVVSPPTPKTTKPSTPLPFIFKRTIFSAHKPNSEKLNSASSQAALAALIFSSATLSATPHAVAADNVTPPPVIEAQQSQLNPSTATSSPFSSNLLTAPKPQASSDLPEGTNWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRASVIVPNDPDLIDILAMNGVDISVAEAESPNGLFNIIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRNKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKNKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGRENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSTQKDYSMATADVVDAEVRELVERAYSRATNIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVA >Vigun10g196000.1.v1.2 pep primary_assembly:ASM411807v1:10:40942376:40944310:-1 gene:Vigun10g196000.v1.2 transcript:Vigun10g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVAAIGVLSPFPFYYCLWNWPQSWVELCGRGRDPSHVMAHVAHFLKLVQFVSLFSVSSFHWPPPLYFWPLFAFGQFLNFRVYQLLGEAGTYYGVRFGKNIPWVTEFPFGVIKDPQYVGSILSLLACLSWVPFQYILLWVLGYVFMMQVESKEDPSTRAKPLL >Vigun03g142150.1.v1.2 pep primary_assembly:ASM411807v1:3:14335643:14336151:1 gene:Vigun03g142150.v1.2 transcript:Vigun03g142150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIFQRWGKVQEVFISKRLNQKGQRFGFVRFFEISNPEALEKQLGNIWISNMKIHVNLPKYRRNTNLSKPVGNTTREADQPKRREQLRYKKMWVKKTDKTYAQAVKGRADSKDCKQVWKGMEIQVKEEAKEWLQRSYVEVFG >Vigun03g170650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20395641:20396734:1 gene:Vigun03g170650.v1.2 transcript:Vigun03g170650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWCSVCVCWFLYSISHSHNTLLCFPQETCLIMLLRSMANELIPHPIHRYLFNTFTLIIEESTTIARHQVYNVTEAYLYTRVSTQNERLKISKSPKEKKLTICLEKR >Vigun03g116600.1.v1.2 pep primary_assembly:ASM411807v1:3:10797292:10799596:-1 gene:Vigun03g116600.v1.2 transcript:Vigun03g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFERQERVMEEEERESLLQGVAVLDFDVLCSTVALQAQHGKWGRLGVEDDDQEEESAEFGAVLRMWEGEILECFDDHRIALESTCCPCYRFGKNMKRAGFGSCYIQAALYFVLAMGAFLNCIAFAVTRRHCNLYLAVAFVVSFVAYLGFFRTRLRKKFNIKGSDSSLDDCVYHFACPCCTLCQESRTLEMNNVEDGTWHGRGDKICIGGFGEKSKGLFQLHPPSIMNIDESSLETKSDTNVSNLS >Vigun07g271200.1.v1.2 pep primary_assembly:ASM411807v1:7:38697899:38701795:1 gene:Vigun07g271200.v1.2 transcript:Vigun07g271200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMDEGEVIDQPLTAIAEAFEELSKWMKENNDGKHIRLDTFCEAASLVSVLFRCLGLAFKFAELEYVAKLEGLLEASKTCPTLKDILEVDVASDTVKQSGSYSRNLRRVRQGLDLVRAIFEQLLSTEDSSLKEVASTAYGQVCAPFHTWAVRTAVYAGMYTLPTREQLLMKLNETEQSAEKKMKRYIAASHPIIEYIDSLYLSRNITLDW >Vigun05g290700.1.v1.2 pep primary_assembly:ASM411807v1:5:47702557:47704935:1 gene:Vigun05g290700.v1.2 transcript:Vigun05g290700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGAQTHHFRNSTESENNYSNNNHHNNVNYNRNLMVKTELCRRFRRGVCGHGSKCDFAHSVAELRRQPKLCRLFLQNKHCPYGRTCRYLHSATPTPPPLPPQPSTFQIPNLKSQSEVGQFDRSSSAEYKRMRTSAAALSDRYPSYAESRTMQTDDTDIATADASTSTGVASPSHKNQPYAVKFVFKKNELKKINRIYADWISDLPR >Vigun01g019166.1.v1.2 pep primary_assembly:ASM411807v1:1:2049818:2050272:-1 gene:Vigun01g019166.v1.2 transcript:Vigun01g019166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQMDTTKRCSVALLAFSMTLFIIASARGPIERTHCARDSDCAKRPCASCGCKCIDTCCECHSKPYFSENFCIHAPTS >Vigun03g208450.1.v1.2 pep primary_assembly:ASM411807v1:3:34257347:34262565:1 gene:Vigun03g208450.v1.2 transcript:Vigun03g208450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPSSSSSSSSSTCEGSHDVFLSFRGDDTRSGFTGNLYKSLCDRGIHTFMDDEGLRKGEKIGPALFKAIEQSRIAIVVLSENYADSTYCLEELVVILDCIMKKGRLVWPVFYGVTPSYVRFQKGSYGKALAKHGERFKNDQEKLQKWKLALQEAADLFGSHFKLKQGYEHELIRTIVEEVSKKINRSPLHVANYPIGLESRVQDVKSLLDVGSNRGVSMVGIYGIGGIGKTAIACAVYNAIADQFEVQCFLGDIRQKSLKYDLVQLQETVLSEMVGEKSIKLGSINRGMAVMKSKLQRKKVLLILDDVDKLEQLKALAGDPSWFGDGSKIIVTTRNRHFLRVHGFERTYEAKALDDKEALELFSWHAFKSNEVGPGYLDISKRAVFYSNGLPLALEIIGSNLNGITMSEWEAALDTIERIPDEDIQEKLKVSYDGLKGDEKEVFLDMACFFRGYHLKDVISLLLQGRGFSPEYAIRMLVDKSLIKIDQYGFVLMHNLVEDMGREIVRQESPSEPGKRSRLWLYEDIVDVLENDKGTDSIEIIMLHLPKNREVLWSGSELKKMTNLKMLTIENADFSRGPEHLPSSLRVLKWRGYPTQSLPPEYDPRKLVMLDLSMSRNILGKQLHLMKFESLSEMILRGCRFIKQAPDMSGAKNLRKLCLDNCKNLVEVHNSIGVLDKLTWFTAIGCTSLRTLPQSFKLTSLEYLSLRKCSSLQRLPNILEEMKHMKNLDLCGTAIEQLPYSFRKLTGLKYLVLDKCKRLNQIPINILMLPKLERLTAVKCGRYVNLILGKSEGQVRLASSESLRDFRLNYNDLTPTSFPNVEFLDLTGCAFKVLPECISQCRFLRNLVLDNCKELQEIRGVPPKIKYLSAINCTLLSHESQNMLLNQRLHEGGGTDFSLPGTRLPEWFDHCTRGPSLSFWFRNKFPRMTLGVVGVLDKQGSFPMSRFHLLINGIQKLHCHFTVQSKLITYHIFLSDVLLKSYDGGLESVYGEDGWNHVEVSCVGPRVFPHSCRTKKGIIKWMGVHVHKQKTNMHDIRFINPWSPKRTHSEVSKPDLQESFQSLPKRIRVSHRKEICEAPQSKQHEANSGYHGVSQRLWLAICSIAAPLNVKVLMWNICQDNLPTFEYLFRRKLVLSPLCPICGKEPETVEHVFLFCPWTRPLWFGSDFQWCVDAKEVQSFQLWLWHKLMEIQRVYPENANQISAQVGSICWSIWKGRNEFVLEGKPVNPLILR >Vigun03g042900.1.v1.2 pep primary_assembly:ASM411807v1:3:3420238:3426292:1 gene:Vigun03g042900.v1.2 transcript:Vigun03g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSTFSNGPSLDSLGVKTAGEFLGNGCRLGDGHVDNGAPHGKLSQKYLFYQLYPLYLKDNCSQAGARPLLVLVDGQQLDLYKLFSLVKERGGYAEVSKNGLWGSVTKELGLNLEVYSPVKLVYDKYLNDFERWLKKIFEEKILKNGNHGCDWGLEWLPLDIEKEFRGLLCLNTKNKADDELFKSKSNKKKKNTDLVNHSNGNNPLDTKDQNNKPEDVQHIEGDNNEKSSNGIKDNPATLGAECADKECNPLKRKRDAFSGMLNWMKNIAKHPLYPLTQPIPKPSKWKEYKGRDFFGQFLKARKLLSLRKHEEPNSGLSSLQKQKMHPAMFEDPVALDRLATGKSRCRERLPSSVKSRSCSCCNPCSHNGNTLAENCPHEKTTETIDVLTEKENGESSGDESHEKQVSVGPRFQAEIPEWTGVASDSDSKWLGTRVWSWKHGTEPATTETDVGRGRQEKCSCEHQGSVACVRLHIAENRMKVKLELGSEFYHWGFDRMGEEVSLQWTTVEEKRFKDIMRSNVPSKIKYFWNNVCKYFPNRTRRQLVSYYFNVFLIQLRTYQNRATPKNIDSDDEVEFGCLSKGFGMEAVEGPGDDDFLECALSEQYIDFD >Vigun10g183300.1.v1.2 pep primary_assembly:ASM411807v1:10:40037000:40038027:1 gene:Vigun10g183300.v1.2 transcript:Vigun10g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLLVVHVMPYLEQELDKRFKLFRAWDYPNLAQLPSQHVAAIRAVVSDSTAGADAALIEALPKLEIVSIFSAGVDKIDQEKCREKGIRVTYMTDVLSDEVADLAIGLMLALLRRICECDRFVRSGQWKRGDYKLTTKCPIIYHSRTEKPGSKYKYYPSVVELASNCDILVVACSLNEKNHHIIDREVINALGPKGYFINIGRGKHVDEAELVSALVEGRLGGAGLDVFGNEPVVPEELFGLENVVLLPHVGSGTVETRTSMADLVLGNLEAHFLGKPLLTPLV >Vigun07g134800.1.v1.2 pep primary_assembly:ASM411807v1:7:24498038:24499344:1 gene:Vigun07g134800.v1.2 transcript:Vigun07g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTATCIDILLAIILPPLGVFLKYGCKVEFWICLVLTLFGYIPGIIYAVYAITK >Vigun04g069100.1.v1.2 pep primary_assembly:ASM411807v1:4:8013849:8017506:-1 gene:Vigun04g069100.v1.2 transcript:Vigun04g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTMSSVPSPFKSMMRGVAGSSRPWVQEVCNTCGNHNTSEPTWMMFPGTDLFARIPFHAAHTILEIDQAKLDFEPKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNEKRK >Vigun04g069100.2.v1.2 pep primary_assembly:ASM411807v1:4:8013863:8017504:-1 gene:Vigun04g069100.v1.2 transcript:Vigun04g069100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTMSSVPSPFKSMMRGVAGSSRPWVQEVCNTCGNHNTSEPTWMMFPGTDLFARIPFHAAHTILEIDQAKLDFEPKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNEKRK >Vigun05g210400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40108501:40109739:-1 gene:Vigun05g210400.v1.2 transcript:Vigun05g210400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSHDDSNKENVPPINTVSSEKKKNPIPPMAPSFKRNTKRKMKRVPLSDITHLFNNSAQDQTQQIGVAASDSASPPSDSTFLPPTSRRRTVFLLRGSKTLRLGFR >Vigun10g018000.1.v1.2 pep primary_assembly:ASM411807v1:10:2024796:2032414:1 gene:Vigun10g018000.v1.2 transcript:Vigun10g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTHIAVIPGIGFTHLVPILNFSKRLVQLHPHFHVTCIIPSIGSLPNASKAILQTLPPNINPILLPPVNLNDQPQGTRSVIKIHLAITRSMQSIHHTLKSITSNTPIVAMIIDSFAGEALGFAPEFNMLCYIYFPCSVTTLSTYLYMPKLDEEASCEYKDLPHPIQVPGCLPFHGRDLCTLARDRTGLPHELFLQRVKRISLVDGIFVNTFLEMETDPIRAFTDEERGYPPLYPVGPIVQTGTATADSTTGLECLTWLDKQQDGSVLYVCFGSGGTLSQEQMNELAHGLELSKHKFLWVVRAPSDKAGAGYLDGEKDVDPSEFLPSGFLERTKEQGGRDISNQTIIVNTIKTGCIIFNSNVQKRD >Vigun07g033500.2.v1.2 pep primary_assembly:ASM411807v1:7:3189632:3202903:1 gene:Vigun07g033500.v1.2 transcript:Vigun07g033500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHTEPFKDGSDLCQQLMDRYANSAAPQHRHLLATAAALRSNIAAESLPLTPPAYFAAAISALDAAEALDPVALSAVVSFMAIALPLVPPGGIAASKSHEASEILVAVLAREGEELGAACVRAMVKCLGVLIGFCDLEDWDGMKLGFEALLKFSICKRPKVRRCAQEAMEKVFKSIKSSTVTKEASKLVLSELKSCSALTLKLNALRTSDECTEDKVLKNEHLEVLHLMNLINLIAPYLSTKIILKVLSEVHKLFSFMSPELARHALKTIEAIFEAVRIKNIVLETEDIVVSLTSFVSLRDKNPLDTVILASKLLRVAMDLLYNGQISLWIKNLPPVCRSVIGLLTFEGNTASQASSILKDVLKHHISPISVLMGTDQTFHDGSLECVKADAIKSTCAVFEDALSSADGIPNEHVLSAISVLFLELGEFSLVLMRNIVLKLADLMVQISGGKVHNEHLQKCIGSAVYAMVPILKRYVSGASLAYYMERIMPLAKSFKKASRKVGKSEISQEMMACAHDLLGLLPGFCRHATDTYQNFTRLSDVLVTFLKKDPSMHQNVSKTLQILVNENKAALSPKKSMEDCLGEYDFLSEFNMQPTYSKKTATKNIKSLASCSNQLLYVLSDLFISSLPEARLSLKGAIGCLASVTDSSVIKELLLSVLKRFQLVDCEGEAKVLSSPGEVDSDQSDLKGCSQRCLILEMVSCLVEGAKDNLIEIIYNLTIHSFQESDESVHQEAYNTMSKILEVWICENQCFSSARYVELIDLLHGLKPPTAIASLRSRYSCFHLLMIHAMKANLEEEENSKVFLILNEIILTLKDGKDETRKEAYDLLLNISSTLRDSLYVGSIEPYHKLVSMIMGYLSGSSPHIKSGAVSALSVLVYQDTSLLISVSGLVPSLLSLLQTKDVEIIKAVLGFVKVMVSTLQARELQNILSDVITEILPWSSVSRHHFRSKVTVIFEILLRKCGSAAVKLVTPEKYKFFLKNVLENRHGKSSEAGTKDSENIPQDSSTKRPEWRKPVRAATSDKDSIKNNKRKRDNKFETAKPWHKEPFKSTSNDGLSLPKRSRPEESRKGKKSGNKSFIGGGGKRNVKMSSTEKNKAAPKVPTRPSKSHKLKGKFQRN >Vigun07g033500.1.v1.2 pep primary_assembly:ASM411807v1:7:3189632:3202903:1 gene:Vigun07g033500.v1.2 transcript:Vigun07g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHTEPFKDGSDLCQQLMDRYANSAAPQHRHLLATAAALRSNIAAESLPLTPPAYFAAAISALDAAEALDPVALSAVVSFMAIALPLVPPGGIAASKSHEASEILVAVLAREGEELGAACVRAMVKCLGVLIGFCDLEDWDGMKLGFEALLKFSICKRPKVRRCAQEAMEKVFKSIKSSTVTKEASKLVLSELKSCSALTLKLNALRTSDECTEDKVLKNEHLEVLHLMNLINLIAPYLSTKIILKVLSEVHKLFSFMSPELARHALKTIEAIFEAVRIKNIVLETEDIVVSLTSFVSLRDKNPLDTVILASKLLRVAMDLLYNGQISLWIKNLPPVCRSVIGLLTFEGNTASQASSILKDVLKHHISPISVLMGTDQTFHDGSLECVKADAIKSTCAVFEDALSSADGIPNEHVLSAISVLFLELGEFSLVLMRNIVLKLADLMVQISGGKVHNEHLQKCIGSAVYAMGIERFLSLVPVSLDEHSYTYSNIWLVPILKRYVSGASLAYYMERIMPLAKSFKKASRKVGKSEISQEMMACAHDLLGLLPGFCRHATDTYQNFTRLSDVLVTFLKKDPSMHQNVSKTLQILVNENKAALSPKKSMEDCLGEYDFLSEFNMQPTYSKKTATKNIKSLASCSNQLLYVLSDLFISSLPEARLSLKGAIGCLASVTDSSVIKELLLSVLKRFQLVDCEGEAKVLSSPGEVDSDQSDLKGCSQRCLILEMVSCLVEGAKDNLIEIIYNLTIHSFQESDESVHQEAYNTMSKILEVWICENQCFSSARYVELIDLLHGLKPPTAIASLRSRYSCFHLLMIHAMKANLEEEENSKVFLILNEIILTLKDGKDETRKEAYDLLLNISSTLRDSLYVGSIEPYHKLVSMIMGYLSGSSPHIKSGAVSALSVLVYQDTSLLISVSGLVPSLLSLLQTKDVEIIKAVLGFVKVMVSTLQARELQNILSDVITEILPWSSVSRHHFRSKVTVIFEILLRKCGSAAVKLVTPEKYKFFLKNVLENRHGKSSEAGTKDSENIPQDSSTKRPEWRKPVRAATSDKDSIKNNKRKRDNKFETAKPWHKEPFKSTSNDGLSLPKRSRPEESRKGKKSGNKSFIGGGGKRNVKMSSTEKNKAAPKVPTRPSKSHKLKGKFQRN >VigunL039850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000597.1:5173:6630:1 gene:VigunL039850.v1.2 transcript:VigunL039850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQILGLLQTDSEGSSSKGKTKKTSKASSSSSSGSYFQNEDMCFGMDLEGSEEEAVSE >Vigun11g076500.4.v1.2 pep primary_assembly:ASM411807v1:11:22521510:22527950:-1 gene:Vigun11g076500.v1.2 transcript:Vigun11g076500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFKGGFEESVVTLLLLCLWIAVIFISLVLGMSKNDDQNQQDLLHGGVAAGSDGRTNSKNNRNGFIPSSFRTFSGYLKIVSSGASTVARSAAASFASSILDKHGDTDRDRVIWAGFDTIEGQGEVTRQVLLLGYWSGFQVWDVDDSDNICDLVSKHDGPVSIMQMVPSPTVPKRLEDKFADMRPLLAVCVDDLLVGGGKIQEGLVPTSNGGTLNQVNGNYLPSTVQFYSMRSQSYVHTLNFRSVVYSVRCSSRVVAVSLATQIHCFSPTTLVREYILHTNPIVMSYPGSGGIGFGPLAVGPRWLAYSGSPTVIASSGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKHLAAGIVTLGDMGYKKLSSYCSELLPDNNGHSTDVESVGMVIVRDIVSKNVIAQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPGYDRVSASDAGPSYVHLYRLQRGLTNAVIQDISFSVDSRWIMISSSRGTSHLFAINPQGGPVNILSCDNSLTENDGLSVMPNQAVHRPCSSAVKICKQQSLCAAGPPITLSVVSRIRNGTNGWRGTVTGAAAAAAATTRLGSISGAITSSFYNLEGRSTLCVNGNYSKEKYHLLVFSSTGSMIQYALQTINCQDSDVVPGVIPAHESAPMTDAKVVIEPIKKWNISCRHSWREGVENIDIYGENGVSDIDKVSEEVNDNIISPKMKNLSLKSNPRSEKEHHLYISEAELHMHEAKTQLWAKTEIYFHPVAKEAALMMDEEAAPRGEYEIEKIPTRVIEARSKDLVPIFDFIQTSKFQHTRIPAVDNKLNERFLYQKGRISPSRRSPGCMPNSGGNIAEFKSGVEGNEGDYGLIPAEAEGLVNNNNTLKPDTRPETVNNRREHINMDVHHMFVNSDRKGLKLENHHEEKGEEFD >Vigun11g076500.1.v1.2 pep primary_assembly:ASM411807v1:11:22521410:22528063:-1 gene:Vigun11g076500.v1.2 transcript:Vigun11g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFKGGFEESVVTLLLLCLWIAVIFISLVLGMSKNDDQNQQDLLHGGVAAGSDGRTNSKNNRNGFIPSSFRTFSGYLKIVSSGASTVARSAAASFASSILDKHGDTDRDRVIWAGFDTIEGQGEVTRQVLLLGYWSGFQVWDVDDSDNICDLVSKHDGPVSIMQMVPSPTVPKRLEDKFADMRPLLAVCVDDLLVGGGKIQEGLVPTSNGGTLNQVNGNYLPSTVQFYSMRSQSYVHTLNFRSVVYSVRCSSRVVAVSLATQIHCFSPTTLVREYILHTNPIVMSYPGSGGIGFGPLAVGPRWLAYSGSPTVIASSGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKHLAAGIVTLGDMGYKKLSSYCSELLPDNNGHSTDVESVGMVIVRDIVSKNVIAQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPGYDRVSASDAGPSYVHLYRLQRGLTNAVIQDISFSVDSRWIMISSSRGTSHLFAINPQGGPVNILSCDNSLTENDGLSVMPNQAVHRPCSSAVKICKQQSLCAAGPPITLSVVSRIRNGTNGWRGTVTGAAAAAAATTRLGSISGAITSSFYNLEGRSTLCVNGNYSKEKYHLLVFSSTGSMIQYALQTINCQDSDVVPGVIPAHESAPMTDAKVVIEPIKKWNISCRHSWREGVENIDIYGENGVSDIDKVSEEVNDNIISPKMKNLSLKSNPRSEKEHHLYISEAELHMHEAKTQLWAKTEIYFHPVAKEAALMMDEEAAPRGEYEIEKIPTRVIEARSKDLVPIFDFIQTSKFQHTRIPAVDNKLNERFLYQKGRISPSRRSPGCMPNSGGNIAEFKSGVEGNEGDYGLIPAEAEGLVNNNNTLKPDTRPETVNNRREHINMDVHHMFVNSDRKGLKLENHHEEKGEEFD >Vigun11g076500.2.v1.2 pep primary_assembly:ASM411807v1:11:22521510:22527878:-1 gene:Vigun11g076500.v1.2 transcript:Vigun11g076500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFKGGFEESVVTLLLLCLWIAVIFISLVLGMSKNDDQNQQDLLHGGVAAGSDGRTNSKNNRNGFIPSSFRTFSGYLKIVSSGASTVARSAAASFASSILDKHGDTDRDRVIWAGFDTIEGQGEVTRQVLLLGYWSGFQVWDVDDSDNICDLVSKHDGPVSIMQMVPSPTVPKRLEDKFADMRPLLAVCVDDLLVGGGKIQEGLVPTSNGGTLNQVNGNYLPSTVQFYSMRSQSYVHTLNFRSVVYSVRCSSRVVAVSLATQIHCFSPTTLVREYILHTNPIVMSYPGSGGIGFGPLAVGPRWLAYSGSPTVIASSGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKHLAAGIVTLGDMGYKKLSSYCSELLPDNNGHSTDVESVGMVIVRDIVSKNVIAQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPGYDRVSASDAGPSYVHLYRLQRGLTNAVIQDISFSVDSRWIMISSSRGTSHLFAINPQGGPVNILSCDNSLTENDGLSVMPNQAVHRPCSSAVKICKQQSLCAAGPPITLSVVSRIRNGTNGWRGTVTGAAAAAAATTRLGSISGAITSSFYNLEGRSTLCVNGNYSKEKYHLLVFSSTGSMIQYALQTINCQDSDVVPGVIPAHESAPMTDAKVVIEPIKKWNISCRHSWREGVENIDIYGENGVSDIDKVSEEVNDNIISPKMKNLSLKSNPRSEKEHHLYISEAELHMHEAKTQLWAKTEIYFHPVAKEAALMMDEEAAPRGEYEIEKIPTRVIEARSKDLVPIFDFIQTSKFQHTRIPAVDNKLNERFLYQKGRISPSRRSPGCMPNSGGNIAEFKSGVEGNEGDYGLIPAEAEGLVNNNNTLKPDTRPETVNNRREHINMDVHHMFVNSDRKGLKLENHHEEKGEEFD >Vigun01g244800.3.v1.2 pep primary_assembly:ASM411807v1:1:41338964:41342719:1 gene:Vigun01g244800.v1.2 transcript:Vigun01g244800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHLPLRWESTGEQWWYASPIDCAAANGHYDLVVELLHLDANLLIKLTSLRRIRRLETVWDDEEHFEDVAKCRSQVARNLMLECETGRGINSLIRAGYGGWLLYTAASAGDVDFVRELLGRNPALVLGEGEYGVTDILYAAARSNDCEVFEVVLRSALSPPAQMEEVFEKDMMNRAVHAAARGGNWEMLKQLVKEDCGVLGFRDAQGCTVLHTAAARGQVQVVRNLLATFDVVNLTDDQGNTALHVASYGGHLPVVEILIRASPSLALVTNHYGDTFLHMAVAGFRSPGFRRLDKHAELMKQLVCGKIVNLQNIINVKNNDGRTVLHVAVIDNVQCELVELLMSVPSIDLNICDADGMTPLDLLKQRPKSASSDILIKMIVSSGGVSNGKNPIAGNALCIHHKAHVIGGSPGTSFRIPDAEIFLYTGIENSSDCNYDQASVESYSCSNEPSNSDSENSPHTKKYDNSANRAVRRSSKFRLRWPKRKETKTTASELEDDDSLDPFSSSRNLEDFAIPLRQRYSQQPCSLPNNKRTTSLPSSSSKVKFSAGLMQGVIQVKPHFSHTTPSPFQELSVASLSSIKKQKGTDIKGPSCSNPPSEDTALLLDYKHCSLNKN >Vigun01g244800.5.v1.2 pep primary_assembly:ASM411807v1:1:41339293:41342719:1 gene:Vigun01g244800.v1.2 transcript:Vigun01g244800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHLPLRWESTGEQWWYASPIDCAAANGHYDLVVELLHLDANLLIKLTSLRRIRRLETVWDDEEHFEDVAKCRSQVARNLMLECETGRGINSLIRAGYGGWLLYTAASAGDVDFVRELLGRNPALVLGEGEYGVTDILYAAARSNDCEVFEVVLRSALSPPAQMEEVFEKDMMNRAVHAAARGGNWEMLKQLVKEDCGVLGFRDAQGCTVLHTAAARGQVQVVRNLLATFDVVNLTDDQGNTALHVASYGGHLPVVEILIRASPSLALVTNHYGDTFLHMAVAGFRSPGFRRLDKHAELMKQLVCGKIVNLQNIINVKNNDGRTVLHVAVIDNVQCELVELLMSVPSIDLNICDADGMTPLDLLKQRPKSASSDILIKMIVSSGGVSNGKNPIAGNALCIHHKAHVIGGSPGTSFRIPDAEIFLYTGIENSSDCNYDQASVESYSCSNEPSNSDSENSPHTKKYDNSANRAVRRSSKFRLRWPKRKETKTTASELEDDDSLDPFSSSRNLEDFAIPLRQRYSQQPCSLPNNKRTTSLPSSSSKVKFSAGLMQGVIQVKPHFSHTTPSPFQELSVASLSSIKKQKGTDIKGPSCSNPPSEDTALLLDYKHCSLNKN >Vigun01g244800.1.v1.2 pep primary_assembly:ASM411807v1:1:41339632:41342742:1 gene:Vigun01g244800.v1.2 transcript:Vigun01g244800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHLPLRWESTGEQWWYASPIDCAAANGHYDLVVELLHLDANLLIKLTSLRRIRRLETVWDDEEHFEDVAKCRSQVARNLMLECETGRGINSLIRAGYGGWLLYTAASAGDVDFVRELLGRNPALVLGEGEYGVTDILYAAARSNDCEVFEVVLRSALSPPAQMEEVFEKDMMNRAVHAAARGGNWEMLKQLVKEDCGVLGFRDAQGCTVLHTAAARGQVQVVRNLLATFDVVNLTDDQGNTALHVASYGGHLPVVEILIRASPSLALVTNHYGDTFLHMAVAGFRSPGFRRLDKHAELMKQLVCGKIVNLQNIINVKNNDGRTVLHVAVIDNVQCELVELLMSVPSIDLNICDADGMTPLDLLKQRPKSASSDILIKMIVSSGGVSNGKNPIAGNALCIHHKAHVIGGSPGTSFRIPDAEIFLYTGIENSSDCNYDQASVESYSCSNEPSNSDSENSPHTKKYDNSANRAVRRSSKFRLRWPKRKETKTTASELEDDDSLDPFSSSRNLEDFAIPLRQRYSQQPCSLPNNKRTTSLPSSSSKVKFSAGLMQGVIQVKPHFSHTTPSPFQELSVASLSSIKKQKGTDIKGPSCSNPPSEDTALLLDYKHCSLNKN >Vigun01g244800.4.v1.2 pep primary_assembly:ASM411807v1:1:41339293:41342719:1 gene:Vigun01g244800.v1.2 transcript:Vigun01g244800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHLPLRWESTGEQWWYASPIDCAAANGHYDLVVELLHLDANLLIKLTSLRRIRRLETVWDDEEHFEDVAKCRSQVARNLMLECETGRGINSLIRAGYGGWLLYTAASAGDVDFVRELLGRNPALVLGEGEYGVTDILYAAARSNDCEVFEVVLRSALSPPAQMEEVFEKDMMNRAVHAAARGGNWEMLKQLVKEDCGVLGFRDAQGCTVLHTAAARGQVQVVRNLLATFDVVNLTDDQGNTALHVASYGGHLPVVEILIRASPSLALVTNHYGDTFLHMAVAGFRSPGFRRLDKHAELMKQLVCGKIVNLQNIINVKNNDGRTVLHVAVIDNVQCELVELLMSVPSIDLNICDADGMTPLDLLKQRPKSASSDILIKMIVSSGGVSNGKNPIAGNALCIHHKAHVIGGSPGTSFRIPDAEIFLYTGIENSSDCNYDQASVESYSCSNEPSNSDSENSPHTKKYDNSANRAVRRSSKFRLRWPKRKETKTTASELEDDDSLDPFSSSRNLEDFAIPLRQRYSQQPCSLPNNKRTTSLPSSSSKVKFSAGLMQGVIQVKPHFSHTTPSPFQELSVASLSSIKKQKGTDIKGPSCSNPPSEDTALLLDYKHCSLNKN >VigunL059056.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000409.1:3552:10144:1 gene:VigunL059056.v1.2 transcript:VigunL059056.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFRNSGFGFGFWVWGFEFCVTSYKLRVLGLIFRVSDFRFLVSGLRFRVSGYGLRVSGYGFSVWSFGFRVSVSRLGFRISGFVFGFSGFGDFSLPISGFGFRVSTFRF >Vigun04g025032.1.v1.2 pep primary_assembly:ASM411807v1:4:1932792:1934449:1 gene:Vigun04g025032.v1.2 transcript:Vigun04g025032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTTVHRNDLFVIKRCLWFLNIIYFLTGVVSAVIGGWFFTLPYFRRVVSAVIGGWFFILPYFRRVVSSLIGGCFFILCYFIRKSSTRLVNFLFPQNNDSKIEAFLRNHGAIAQERYKFSEVKKMTNSFKVKLGQGGFGTVYKGKLLTGGPVAVKLLNASRRNGEEFINEVASISRTSHVNIVTLLGFCLEGPKKALIYEFMANGSLDKFIYKRGSETIASLTWENLYQIAIGIARGLEYLHRGCNTKILHFDIKPHNILLDENFCPKISDFGLAKLCPRDESIISVSDPRGTLGYLAPEMVNKHFGGVSQKSDVYSYGMLVLEMVGGRRNINPEASHTSEIYFPHLAYKRLEVDNEIRPYEVMNTEEDEIAKRMSVVGLWCIQSLPNDRPTMTRVVDMLEGNMNSLEMPPKPLFSSPTRSET >Vigun02g201250.1.v1.2 pep primary_assembly:ASM411807v1:2:33609559:33609919:1 gene:Vigun02g201250.v1.2 transcript:Vigun02g201250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKILLRGSKKCCFQQTSSQRLWTRVFVLRNGNSQTRLAMEREIEDEA >Vigun02g155200.2.v1.2 pep primary_assembly:ASM411807v1:2:30173256:30176294:1 gene:Vigun02g155200.v1.2 transcript:Vigun02g155200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLRLTPSPSSSASSLPAALAHCSKLCEFQPRKKKKNVCFDAPKLSVRCAKASAEGSGDAIDDGKARTGFTTPAMEVTTFNRSTFNDADFPVWEKIGAVVRLSYGIGIYGAMAVAGSFICSITGIDSLGGFHLSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELWSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGSNLITDAQGMASLTGVLPPFVPFAQAFAAVLAAVLTGSLYYMAASPKDPTYVVAPVTQSRSGRQDLKKLFEGSVLFFSPVAEFLNHFTSSLFVIILDE >Vigun02g155200.1.v1.2 pep primary_assembly:ASM411807v1:2:30173256:30176294:1 gene:Vigun02g155200.v1.2 transcript:Vigun02g155200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLRLTPSPSSSASSLPAALAHCSKLCEFQPRKKKKNVCFDAPKLSVRCAKASAEGSGDAIDDGKARTGFTTPAMEVTTFNRSTFNDADFPVWEKIGAVVRLSYGIGIYGAMAVAGSFICSITGIDSLGGFHLSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELWSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGSNLITDAQGMASLTGVLPPFVPFAQAFAAVLAAVLTGSLYYMAASPKDPTYVVAPVTQSRSGRQDLKKLFEAWYEKRQMKKIYSPLLEGLLALYLGLEWIQTDNILAPIITHGIYSTVILGHGLWKIHDHRRRLRQRIQKLKLEDKNTN >Vigun07g091600.1.v1.2 pep primary_assembly:ASM411807v1:7:14301941:14304091:1 gene:Vigun07g091600.v1.2 transcript:Vigun07g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLDGLLCCTISDDRSVKIYDVVNYDMMVMIRLPYTPGAVEWVYKQGDVKARLAISDRNSSFVHIYDAQAGSNDPIVSKEIHMCPIKVMKYNAVHDSVLSADAKGIIEYWSPVTLQFPEDEVNFKLKSDTDLFEIAKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTESAPLPNAVFDESSNFLKYATLVKKNTIFFI >Vigun03g441700.1.v1.2 pep primary_assembly:ASM411807v1:3:64440489:64446120:1 gene:Vigun03g441700.v1.2 transcript:Vigun03g441700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILNLGAPASLGTRKHSCRSHSHFIKHDSISQSWSSLQHSLKCRGRFLCLFSDNRKEEQARKALEGALSGKKNEFDKWDKEIKRREELGGGGSSGGGGWFGWGGRFGWSNDDNFWQEAKQAGLTILALVLVYLLVAKGDLFLAIILNPLLYTLRGVRNGFSFVTSKVLKNTSTSNQADFDGLSKKKSYQQTSAKENVVRKWGSD >Vigun05g044500.1.v1.2 pep primary_assembly:ASM411807v1:5:3681849:3685554:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVLEIVVSTPGVFEVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEILSACDAGAKMVKIYPVSALGGFQYISALKKTFPHVSMVASQGITIDAIGEYILRGASSVVLSDAIFDKEAIEQFNFDKIHKLARSATLLGNKTVNR >Vigun05g044500.2.v1.2 pep primary_assembly:ASM411807v1:5:3681839:3685554:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVLEIVVSTPGVFEVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEHSLSTP >Vigun05g044500.6.v1.2 pep primary_assembly:ASM411807v1:5:3681849:3685557:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVLEIVVSTPGVFEVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEHSLSTP >Vigun05g044500.7.v1.2 pep primary_assembly:ASM411807v1:5:3681839:3685554:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEHSLSTP >Vigun05g044500.5.v1.2 pep primary_assembly:ASM411807v1:5:3681849:3685554:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEILSACDAGAKMVKIYPVSALGGFQYISALKKTFPHVSMVASQGITIDAIGEYILRGASSVVLSDAIFDKEAIEQFNFDKIHKLARSATLLGNKTVNR >Vigun05g044500.4.v1.2 pep primary_assembly:ASM411807v1:5:3681849:3685557:-1 gene:Vigun05g044500.v1.2 transcript:Vigun05g044500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIPFFLCFSVKKKQRGSRCKETMDTAAATLSLCQWPIFSSSPPSLRMHVRVSCRIPHLSASAVDKTLSQINNSGIIACLRANSAEVALKAANAAIAGGVSVLEIVVSTPGVFEVLQQLVKEHPTMTLGVGTVLKIEDAKSAINAGAKFLLSPATVKDIMVMDYVQSGEVLYIPGTMTPTEHSLSTP >Vigun06g040600.3.v1.2 pep primary_assembly:ASM411807v1:6:16102869:16112938:-1 gene:Vigun06g040600.v1.2 transcript:Vigun06g040600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNYGDSSSAHAATGSSETSLLNARKKVASSAWGIPRATDVFHDSSDISLFSSSLPVLPHEKLDLTDSENYTQPVDDNLLTSEKIHKEDEGHDPFDDFEASAVGNMLPDDEEELLAGIMDDFDLSKLPSQLEDLDENDLFVNGGEFEMDFEPQESLNIGISKISISDGVASNGIGHYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARTFRHQVGSPVANSPPGSWAQFGSPVENNPLGSFSKSPGFGHASPINTTNHLSGLAAILSPHATTSPKIAPIGKDPGRTANQLFANSGSTQGAAFQHSMSFPEQNANASPRPISNFGESNSGASSIGTLSGPQFLWGSPTPYSEHSNTSWSSSSVGLPFTSGVQRQGFPYTSHRSPFLGSHSHHHVGSAPSGLPLDRHFSYFPESPEASLMSPVAFGNLNQSEGNFMMNMGGHASIGAGLSGNTAEISSPNFRMMSLPRPGSLFHGNSSYTGQGATNIDGLAGRGQSRRPDNAGNQMDSKKLYQLDLDKIVSGEDARTTLMIKNIPNK >Vigun06g040600.1.v1.2 pep primary_assembly:ASM411807v1:6:16102869:16112938:-1 gene:Vigun06g040600.v1.2 transcript:Vigun06g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNYGDSSSAHAATGSSETSLLNARKKVASSAWGIPRATDVFHDSSDISLFSSSLPVLPHEKLDLTDSENYTQPVDDNLLTSEKIHKEDEGHDPFDDFEASAVGNMLPDDEEELLAGIMDDFDLSKLPSQLEDLDENDLFVNGGEFEMDFEPQESLNIGISKISISDGVASNGIGHYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARTFRHQVGSPVANSPPGSWAQFGSPVENNPLGSFSKSPGFGHASPINTTNHLSGLAAILSPHATTSPKIAPIGKDPGRTANQLFANSGSTQGAAFQHSMSFPEQNANASPRPISNFGESNSGASSIGTLSGPQFLWGSPTPYSEHSNTSWSSSSVGLPFTSGVQRQGFPYTSHRSPFLGSHSHHHVGSAPSGLPLDRHFSYFPESPEASLMSPVAFGNLNQSEGNFMMNMGGHASIGAGLSGNTAEISSPNFRMMSLPRPGSLFHGNSSYTGQGATNIDGLAGRGQSRRPDNAGNQMDSKKLYQLDLDKIVSGEDARTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIIPFYKAFNGKKWEKFNSEKVASLAYARIQGKAALVMHFQNSSLMNEDKRCRPILFHSEGQDTSDQEHFLSSNLNICIRQPDGSYSGDLLESPKGNLELEKD >Vigun06g040600.2.v1.2 pep primary_assembly:ASM411807v1:6:16102854:16113355:-1 gene:Vigun06g040600.v1.2 transcript:Vigun06g040600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNYGDSSSAHAATGSSETSLLNARKKVASSAWGIPRATDVFHDSSDISLFSSSLPVLPHEKLDLTDSENYTQPVDDNLLTSEKIHKEDEGHDPFDDFEASAVGNMLPDDEEELLAGIMDDFDLSKLPSQLEDLDENDLFVNGGEFEMDFEPQESLNIGISKISISDGVASNGIGHYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARTFRHQVGSPVANSPPGSWAQFGSPVENNPLGSFSKSPGFGHASPINTTNHLSGLAAILSPHATTSPKIAPIGKDPGRTANQLFANSGSTQGAAFQHSMSFPEQNANASPRPISNFGESNSGASSIGTLSGPQFLWGSPTPYSEHSNTSWSSSSVGLPFTSGVQRQGFPYTSHRSPFLGSHSHHHVGSAPSGLPLDRHFSYFPESPEASLMSPVAFGNLNQSEGNFMMNMGGHASIGAGLSGNTAEISSPNFRMMSLPRPGSLFHGNSSYTGQGATNIDGLAGRGQSRRPDNAGNQMDSKKLYQLDLDKIVSGEDARTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIIPFYKAFNGKKWEKFNSEKVASLAYARIQGKAALVMHFQNSSLMNEDKRCRPILFHSEGQDTSDQEHFLSSNLNICIRQPDGSYSGDLLESPKGNLELEKD >Vigun06g040600.4.v1.2 pep primary_assembly:ASM411807v1:6:16102869:16112963:-1 gene:Vigun06g040600.v1.2 transcript:Vigun06g040600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNYGDSSSAHAATGSSETSLLNARKKVASSAWGIPRATDVFHDSSDISLFSSSLPVLPHEKLDLTDSENYTQPVDDNLLTSEKIHKEDEGHDPFDDFEASAVGNMLPDDEEELLAGIMDDFDLSKLPSQLEDLDENDLFVNGGEFEMDFEPQESLNIGISKISISDGVASNGIGHYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARTFRHQVGSPVANSPPGSWAQFGSPVENNPLGSFSKSPGFGHASPINTTNHLSGLAAILSPHATTSPKIAPIGKDPGRTANQLFANSGSTQGAAFQHSMSFPEQNANASPRPISNFGESNSGASSIGTLSGPQFLWGSPTPYSEHSNTSWSSSSVGLPFTSGVQRQGFPYTSHRSPFLGSHSHHHVGSAPSGLPLDRHFSYFPESPEASLMSPVAFGNLNQSEGNFMMNMGGHASIGAGLSGNTAEISSPNFRMMSLPRPGSLFHGNSSYTGQGATNIDGLAGRGQSRRPDNAGNQMDSKKLYQLDLDKIVSGEDARTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIIPFYKAFNGKKWEKFNSEKVASLAYARIQGKAALVMHFQNSSLMNEDKRCRPILFHSEGQDTSDQEHFLSSNLNICIRQPDGSYSGDLLESPKGNLELEKD >Vigun05g179850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:34130537:34131121:-1 gene:Vigun05g179850.v1.2 transcript:Vigun05g179850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLPKNVKTTSLPRTPHHYRTTAQPPQNHRTHKQKMNRVVRNRKTQPPRDHRATTRTTARPPQNHYATTTEPPHTRTEDEKRRSHDETQQPPRDDGTTTVRPPCDHRATATEPLRDHHRTTAHTNRRRKDAFARRNATTTVRPPRDHRATTTAPPRHHHSASKGRKSRSRTRVERSSSLMLICLEEREFQCE >Vigun08g147900.4.v1.2 pep primary_assembly:ASM411807v1:8:32062989:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKALELVKHGVTLLFLDVPQYTMVAIDTQIFSVGPAFKGIKMIPPGTHFVYYSSSSRDGKEFSPIVGFFIDAGPSEEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.3.v1.2 pep primary_assembly:ASM411807v1:8:32063673:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKGKEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.6.v1.2 pep primary_assembly:ASM411807v1:8:32063124:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTPWSPSIPRDGKEFSPIVGFFIDAGPSEVIVRKWDQQKERLVKVSEEEEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.1.v1.2 pep primary_assembly:ASM411807v1:8:32063084:32069388:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKALELVKHGVTLLFLDVPQYTMVAIDTQIFSVGPAFKGIKMIPPGTHFVYYSSSSRDGKEFSPIVGFFIDAGPSEVIVRKWDQQKERLVKVSEEEEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.7.v1.2 pep primary_assembly:ASM411807v1:8:32063124:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKGKEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.8.v1.2 pep primary_assembly:ASM411807v1:8:32065338:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.2.v1.2 pep primary_assembly:ASM411807v1:8:32062989:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKALELVKHGVTLLFLDVPQYTMVAIDTQIFSVGPAFKGIKMIPPGTHFVYYSSSSRDGKEFSPIVGFFIDAGPSEVIVRKWDQQKERLVKVSEEEEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun08g147900.5.v1.2 pep primary_assembly:ASM411807v1:8:32062989:32069386:1 gene:Vigun08g147900.v1.2 transcript:Vigun08g147900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTPWSPSIPRDGKEFSPIVGFFIDAGPSEVIVRKWDQQKERLVKVSEEEEERYSQAVKNLEFDRQLGPYNLIRYEDWKRLSNFITKNVIERLEPIGGEITVECENEIVRNSTKIPMEEAPEKQLKVGNSASSVGKSQKKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLAKDYGGSEDMLLGELQFAFVVFLMGQSLEAFLQWKSLVSLLFGCTEAPFRTRTQLFTKFIKVIHNQLKYGLQKDHMGETGSALLDDSLISTDSFLHHVCKDFFSSLLDGSVVDGDLLKRTRKFKELLESSLGWEFQLGSAVDGIYFEENDEYAPVVEMLDDEALVG >Vigun06g014000.2.v1.2 pep primary_assembly:ASM411807v1:6:6549445:6558352:-1 gene:Vigun06g014000.v1.2 transcript:Vigun06g014000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKIDDDKALQLCRERKKFVRQALDGRCSLAAAHVSYVQSLKSTGIALRKFTEPEAPITNATLEPLEKTLSQFSLSSRSVSQHIDTTETFSPTPSPPSSSKFQANHMKFSSSSSKKVEEKLPVPVVGIVTSSGTPHDAAAQPPEKFEPSASAGDSSLPVETPPWDYFGLFHPIDHQFSFQRKVMHQDMVGNADDITQLMEEEGIPELEDDEKVSSHGREDSTYSEDEFDDEPATDTLVQRFENFNRVNDHVRTNESPATIKPLKGNSTSEFEHVNVEKGNSPVASQLETASTEVELPAESNQLMENENHSEKVVPKDIFSSMKDIEFLFVKASESGKEVPRMLEANKLHFRPLLPAKESRSAAPSFLKACFSCGEDPSQLPEEPAQNSVKYLTWQRTMSSLSSSSRNPLGPNSKDDIEDLTNNLFDSLCMISGSHASTLDRLYAWERKLYDEVKASGMIRREYDMKCKVLQHLESKGEKTYKIDKTRAVVKDLHSRIRVAILRIDSISKRIEELRDKELQPQLEELIEGLIRMWEVMFECHKHQFQIMSAAYNNRHARMITNSELRRQITSYLEGELHYLSSSFNKWIGAQKSYLEAINGWLYKCVSLKQKTGKKRRPQRPLLSYGPPPIYVTCEIWLEKLGELPIQDVLDAIKSLAGETARFLPHQEKNQGKGLKNPHMKYWNAHIRSESSDNLLRDDPPEDWDSGFDRFRASFLGFVAQLSNFAGSSVKMYTELRQAIQNAKNYYYHRSNSQAQDSENKKP >Vigun06g014000.1.v1.2 pep primary_assembly:ASM411807v1:6:6549285:6558446:-1 gene:Vigun06g014000.v1.2 transcript:Vigun06g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKIDDDKALQLCRERKKFVRQALDGRCSLAAAHVSYVQSLKSTGIALRKFTEPEAPITNATLEPLEKTLSQFSLSSRSVSQHIDTTETFSPTPSPPSSSKFQANHMKFSSSSSKKVEEKLPVPVVGIVTSSGTPHDAAAQPPEKFEPSASAGDSSLPVETPPWDYFGLFHPIDHQFSFQRKVMHQDMVGNADDITQLMEEEGIPELEDDEKVSSHGREDSTYSEDEFDDEPATDTLVQRFENFNRVNDHVRTNESPATIKPLKGNSTSEFEHVNVEKGNSPVASQLETASTEVELPAESNQLMENENHSEKVVPKDIFSSMKDIEFLFVKASESGKEVPRMLEANKLHFRPLLPAKESRSAAPSFLKACFSCGEDPSQLPEEPAQNSVKYLTWQRTMSSLSSSSRNPLGPNSKDDIEDLTNNLFDSLCMISGSHASTLDRLYAWERKLYDEVKASGMIRREYDMKCKVLQHLESKGEKTYKIDKTRAVVKDLHSRIRVAILRIDSISKRIEELRDKELQPQLEELIEGLIRMWEVMFECHKHQFQIMSAAYNNRHARMITNSELRRQITSYLEGELHYLSSSFNKWIGAQKSYLEAINGWLYKCVSLKQKTGKKRRPQRPLLSYGPPPIYVTCEIWLEKLGELPIQDVLDAIKSLAGETARFLPHQEKNQGKGLKNPHMKYWNAHIRSESSDNLLRDDPPEDWDSGFDRFRASFLGFVAQLSNFAGSSVKMYTELRQAIQNAKNYYYHRSNSQAQDSENKKP >Vigun05g270100.1.v1.2 pep primary_assembly:ASM411807v1:5:46159398:46161188:-1 gene:Vigun05g270100.v1.2 transcript:Vigun05g270100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDSEKADKLKQSLPPGLSVQDV >Vigun01g074200.1.v1.2 pep primary_assembly:ASM411807v1:1:20580611:20587716:-1 gene:Vigun01g074200.v1.2 transcript:Vigun01g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPQRSDQGSGSGDLRVYQAWKGSNKFFLQGRFIFGPDVRSLALTIFLIVAPVAVFCVFVARKLMDDFSDHWGISIMAVAVVFTVYVLVLLLFTSGRDPGIIPRNAHPPEPEGLDSNLDVGAGQTPQLRLPRFKEVEVNGIPIKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIFVFAFCWVYIVRIMTAEKTTIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLNNFKEIFCISIPPSKNNFRATVPKEPALPTRSVGGGYMNQNMGKVGEDIEMGRKTVWDMGAGIDDSEAQLSNDRVAVKDGELSPEIRTTVDDTDRAGMHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRVGGDSSSSLTLDNRRV >Vigun02g020700.2.v1.2 pep primary_assembly:ASM411807v1:2:7270434:7274807:1 gene:Vigun02g020700.v1.2 transcript:Vigun02g020700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSTNHSNNPKVDEKPIPHDQNVLQKHVEFFDRNQDGIIYPWETFQGFRAIGCGYLLSSAAAIFINASLSSKTRPGKFPSPLLPIEVKNIQKAKHGSDSSVYDSEGRFVPSKFEEIFRKHARTHPDALTSDELREMLKANREAKDYSGWYQNYQINFTFIKTV >Vigun02g020700.1.v1.2 pep primary_assembly:ASM411807v1:2:7270434:7274807:1 gene:Vigun02g020700.v1.2 transcript:Vigun02g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSTNHSNNPKVDEKPIPHDQNVLQKHVEFFDRNQDGIIYPWETFQGFRAIGCGYLLSSAAAIFINASLSSKTRPGKFPSPLLPIEVKNIQKAKHGSDSSVYDSEGRFVPSKFEEIFRKHARTHPDALTSDELREMLKANREAKDYSGWLASFSEWKALYVLCKDSNGLLHRETIRAAYDGSLFEKMEKEHSQKKNK >Vigun03g268900.1.v1.2 pep primary_assembly:ASM411807v1:3:44041826:44043669:-1 gene:Vigun03g268900.v1.2 transcript:Vigun03g268900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQLEDQMMLFTHQYLGAFTEVTPPQQGKETKPRRKRNKNGAVATTKKRKLTAEQVSLLEKNFCSEHKLESERKDQLAFELGMDPRQVAVWFQNRRFRWKTKKLEEEYSNLKNVHETTMLQKCQLENRVLKLEEQLSEAKKEIQQLQERGERAASKHLLEDVNPPFPEEFRVEEYDYGDVFYIPETHYVNGMGWINLYM >Vigun06g204400.1.v1.2 pep primary_assembly:ASM411807v1:6:31854154:31855573:-1 gene:Vigun06g204400.v1.2 transcript:Vigun06g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFKEKLFNAPKELKSPASLSSCTKPKPSNEIVNDFMSSNSSKAFSMCFGNDALLAYSPSNNNSTHQRLFSGLDDIYCVFMGGLHNLSRLNKQYGLSKGTNEAMFITEAYRTLRDRGPYPADQVLIQLEGSFGFLIFDNNHRTVFVASGSDGQIGLYWGVAADGSVVISENLDIIKASCAKSFAPFPTGCMFHSEHGLMNFEHPTRKMKAMPRIDSEGVMCGANFNVDSQSKIQMMPRVGSEANWATWG >Vigun03g115500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10559169:10561036:1 gene:Vigun03g115500.v1.2 transcript:Vigun03g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMGLLCFFIASLTIMLSVSLGNSQHQQNPDIKQFLACFSVHSNAKTPQVIYTQQNTSYTSILNLHVQNKRYKKQTTPKPLAIITAKSESHVQAAVTCAKSNGIQIRIRSGGHDYEGVSYVSDVPYVILDMFPLHSIDLDIKSGTAWVQSGATIGQLYYEIAKQSNVHAFPSGVCSTMGTGGHFSGGGYGNLMRKYGLSVDNIIDAKLVDANGVVRDRKSMGEDLFWAIRGGGGASFGVILSWRIKLVAVPAQVTVFNVKKSVKEDGTDVAYKWQLVAPKLDRDLFIRVEPDVVNGTVIVSFIGQFLGSTERLVPLVNQAFPELGLKKSDCIEMPWVNSTLFWEDLPVGTPIEALLPTSGEPPAIYFKSRSDYVKNPIPKTAFKQMWDLMTKYNNIWMQWNPYGGMMEEISESATPFPHRKGNLFLIQYFVFWTEDGAEAYDRYMNYSKSFYDFMTPFVSRSPREVFLNYRDLDVGAKHPSSSASLQDAEIYGTKFFKENFDKLVRVKTNVDPSNFFTYEQSIPPKSA >Vigun07g184400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30156965:30160675:1 gene:Vigun07g184400.v1.2 transcript:Vigun07g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSETYSRSPPSIITNSLRPPTRSFFGIPLPSPKIPYDGPNSTKIILFLPISSIRSAIVTKPPAENVTTTVQLSLSFPVDLPHQRPSQAISHPQVDSASVTSQQLEFVAFSLSAFLELAR >Vigun10g176900.1.v1.2 pep primary_assembly:ASM411807v1:10:39524250:39529211:-1 gene:Vigun10g176900.v1.2 transcript:Vigun10g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRMEEGKDPAALRTTLSRPFPLRLLKFFLVFLVIGLGASFLSMYMIRHFGIHNVALIQSTIKPCFEQPVTIESWIRPPSSLLHTMNDTELFWRATFAPRIKSYPFKRTPKIAFMFLTKGPLPMAPLWEKFFKGNERLYSIYVHSLPSYSVDFPSSSVFYRRQIPSQVAEWGMMSMCDAERRLLANALLDITNEWFILLSESCIPLQNFSIVYRYISRSRYSFMGAVDEPGPYGRGRYDENMAPEINMSDWRKGSQWFEVNRQLAVRIVADITYYPKLKEFCVPHKCYVDEHYFQTMLTINTPHLLANRSLTFVDWSRGGAHPATFGKDDIKEEFFKKILQDQTCLYNNQPSSLCFLFARKFAPNALGPLLDIATKTLGI >Vigun08g149750.1.v1.2 pep primary_assembly:ASM411807v1:8:32189986:32191977:-1 gene:Vigun08g149750.v1.2 transcript:Vigun08g149750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGSFHYPSGMCTAKQLPVKLSRTTIQEFWFTRGEIVQEFSLEATIDKHVRNL >Vigun10g032750.1.v1.2 pep primary_assembly:ASM411807v1:10:4327594:4329477:-1 gene:Vigun10g032750.v1.2 transcript:Vigun10g032750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSTAFTSFIHNEISLGNAKEQILPSASIHMAGKHGVSLLLAQHKAKHISSILFYLGCYN >Vigun05g052000.1.v1.2 pep primary_assembly:ASM411807v1:5:4438565:4443346:1 gene:Vigun05g052000.v1.2 transcript:Vigun05g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSKANLTVYIHPSKSNQVSQAVLRELSSLLFTFSEIVDGVVLAYDVNSLDTCAKILPGVHPYFGVKLKVNFLLFSPKPNTLLEGKVVKLTQESIHVVVLGFSAAVIAEKDIREEFVWKMKKGQEVYASRSNKRHVIKVGTMIRFSVKSFDEEILHVYGSLIPEHTGSIYWLDKNLEVVSHADRSEKKKRIQPERIVLEQDVVDGELSTLDIAQKKTSAKRQKHSEDS >Vigun01g019900.1.v1.2 pep primary_assembly:ASM411807v1:1:2107168:2114119:-1 gene:Vigun01g019900.v1.2 transcript:Vigun01g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLGASSAAATTAATTTAATIPSRILCGTLHSPYPLTTPHNFSSHLLSKTLVRSSSLHFRGVPIKAMAETDTIAASKIASSSSVPGTKQALISLSDKKDLAFVGNGLQELGYTIVSTGGTASALEKAGVAVTKVEQLTQFPEMLDGRVKTLHPNIHGGILARRDLKHHIEALNTHGIGTFDVVVVNLYPFYDKVTAAGGIEFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDTEDYPALLEFLKGNQDDEKFRLKLAWKAFQHVASYDSAVSEWLWKQSVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKKLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFKNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEIDEALAKEIREFRSPTDGETRMFYEIVVAPSYTEKGLEILRGKSKTLRILEAKKNEPGKLSLRQVGGGWLAQDSDDLTPQDIKFNVVSGKAPQDDELRDAEFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVESLRIAMRKAGDDVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDQDAIDCCNKYGVSLLFTNVRHFRH >Vigun09g199300.1.v1.2 pep primary_assembly:ASM411807v1:9:37385658:37388392:1 gene:Vigun09g199300.v1.2 transcript:Vigun09g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMHMLHCFCLLILFTCFWPSLADNAQVLINFKRFLSNADALSNWGNQSIGVCSWTGVLCFNQSFHGLRLEHMGLGGTVDVDTLLQLSNLNSFSVINNNFEGPMPEFKKIVSLRALFLSNNKFSGEIPDDAFDGMIRLKRVFLAENDFTGHIPTSLVELPKLLDVDIHGNSFEGNIPEFKQSDFRVFNLSYNKLEGRIPESLSSKDPTSFAGNQGLCGSPLSPCVGNPTPPAKPDQPPDSNTGKENKKKRIILTVIVVVGVIVLASILALVIIRYRRKKPVLVKDAQPQPQNVTPFSSESKSIVVTAESKKSEDGGLNFVGNEREGIDLQDLLRASAEVLGSGSFGSTYKAMLLNGPVVVVKRFKNMNDVGKKEFFDHMNRLGKLSHPNLLPLVAFYYGKEEKLLVHDFAENGSLASHLHGRSGVELNWGTRLKIIKGVARGLAYLYREFPNQALPHGHLKSSNVVLDGSFEARLAEYGLVAVVEKSHAQQFMVGYKSPEASQFEKPSEKSDVWCLGILILELLTGKFPASYLRHGKGASEDLAAWVNSIVSEGWSGEVLDKGIPGRGNGEGEMVKLLRIGMGCCEWSLESRWGWKEAVAKIEELKESDDGGEGGSEGDNLYSLSTSLDDFSLSHSIS >Vigun03g403500.1.v1.2 pep primary_assembly:ASM411807v1:3:61057628:61060324:-1 gene:Vigun03g403500.v1.2 transcript:Vigun03g403500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGLVCLMWLSIWVSQSQGRLLSIPSKPDPNNAAATARWLVSLNFWGVLNTISADLGGAPFGNVVSYSDGLPGVGTGIPYFYLTSLDPTARNALKNDKASFTISEYPLGTCGKKDPMNPTCSKISLTGKLKLIDQNSKEAGFARKALFSKHPEMNGWPKDHNFQIFKLEIENIFLIDWFGGPKPLTVEQYLHPKMNDVAFILSAL >Vigun08g003300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:309300:310764:-1 gene:Vigun08g003300.v1.2 transcript:Vigun08g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVLLALFLPCLGMTAVFIVYMCLLWYATTHHTDPPLPAKPVSDGGLSPSQLQKLPSITGKDLLTGSECAVCLDDIASDQPARLVPGCNHAFHVECADTWLSKHPLCPLCRAKLDPTALFSSEENPC >Vigun03g237000.1.v1.2 pep primary_assembly:ASM411807v1:3:39459991:39462481:1 gene:Vigun03g237000.v1.2 transcript:Vigun03g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQSDNMSTLFLFILLSTLTVAYGGGNSADQNPFTPKAYVSRYWDRHVRNTLPKPSFLLSKASPLTATDTASFTNLAATNTLSTRLPDFCSAAHLLCFPEVRPSLEKHTKDENFQTYDDGQNFTNYGTNRAGGIDTFKNYSNDLFSTPVNDFRRYSRGAAGRQETFTAYASGTNVADQSFSTYGTSTAGGSGEFKNYSSNSNVPNLRFTTYSDFTAGRTQSFSRYSEDGNTGGQTFQSYGKNSAGAGNDFTGYGTNSNTASSGFTNYGKGGAIPNDTFTNYGVDMNVPEITFKSYADGTHGGSESFANYRDQSNVGDDSFQSYAKNTKEGTQVDFKNYGNSANPGSDTFKGYAKGAEGDHKVGFTGYGVNTNATFKDYAKEGVSFASYNTSSSPSTVGGSLVKRWVEPGKFFRESMLKEGTVMAMPDIRDKMPQRSFLPRSILVKLPFSSSKIEELKSVFKVSDNSSMEKMMMDSLGECERAPSVGEIKRCVGSVEDMIDFATSVLGHNVGVWTTQNVNGFSKNVMVGRVKGMNGGKVTKSVSCHQSLFPYLLYYCHSVPKVRLYEADLLDPESKAKINHGVAICHLDTSAWSPTHGAFTALGSGPGQIEVCHWIFENDMTWTIAD >Vigun03g237000.2.v1.2 pep primary_assembly:ASM411807v1:3:39457693:39462459:1 gene:Vigun03g237000.v1.2 transcript:Vigun03g237000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQSDNMSTLFLFILLSTLTVAYGGGNSADQNPFTPKAYVSRYWDRHVRNTLPKPSFLLSKASPLTATDTASFTNLAATNTLSTRLPDFCSAAHLLCFPEVRPSLEKHTKDENFQTYDDGQNFTNYGTNRAGGIDTFKNYSNDLFSTPVNDFRRYSRGAAGRQETFTAYASGTNVADQSFSTYGTSTAGGSGEFKNYSSNSNVPNLRFTTYSDFTAGRTQSFSRYSEDGNTGGQTFQSYGKNSAGAGNDFTGYGTNSNTASSGFTNYGKGGAIPNDTFTNYGVDMNVPEITFKSYADGTHGGSESFANYRDQSNVGDDSFQSYAKNTKEGTQVDFKNYGNSANPGSDTFKGYAKGAEGDHKVGFTGYGVNTNATFKDYAKEGVSFASYNTSSSPSTVGGSLVKRWVEPGKFFRESMLKEGTVMAMPDIRDKMPQRSFLPRSILVKLPFSSSKIEELKSVFKVSDNSSMEKMMMDSLGECERAPSVGEIKRCVGSVEDMIDFATSVLGHNVGVWTTQNVNGFSKNVMVGRVKGMNGGKVTKSVSCHQSLFPYLLYYCHSVPKVRLYEADLLDPESKAKINHGVAICHLDTSAWSPTHGAFTALGSGPGQIEVCHWIFENDMTWTIAD >Vigun06g061800.1.v1.2 pep primary_assembly:ASM411807v1:6:18953125:18955330:-1 gene:Vigun06g061800.v1.2 transcript:Vigun06g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSRTLLFLFTLSLLFSLLCAHGGHDDDDGGGGDETDSNDAPVNLHERTLILAKVWCLIVIFLATFVSGVSPYVLKWNEGFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLTSKEYPFAFMLACAGYLLTMLADSIISSVLAKVGRDGDADVEIQGADTSKVSGNGVTSQSQNQNHSISSTDHHFASPALGSVHSLGDTILLIVALCAHSVFEGLAIGVAETKADAWKALWTICLHKIFAAIAMGIALLRMIPNRPLVSCAAYAFAFAISSPIGVAIGIILDATTQGRVADWIFAISMGLACGVFIYVSVNHLLAKGYKPNNPTKVDSAYFKFFAVLLGVGVIAVVMIWDT >Vigun04g150900.1.v1.2 pep primary_assembly:ASM411807v1:4:36719846:36723254:1 gene:Vigun04g150900.v1.2 transcript:Vigun04g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVKKEESLNLKITNKSHVQPEEKIGRKEYQLVTFDLPYLAFYYNQKLLFYKGEDFDGMVQKLKLGLGVVLKEFHQLAGKLGKDEEGVFRVEYDDDMLGVEVVEAVADEIAVDQLTVAESSSNLKELIPYTGILNLEGMHRPLLAVQLTKLKDGLAMGLAFNHAVLDGTATWQFMTSWAEICNGSPSTSAPPFLDRTKARNTKVKLDLSLPEPNGHPTSNGDAKPTPTLRERIFKFSESKIDKIKSTVNENPPSDGSKPFSTFQALSSHIWRHVSHARNLKPEDYTVFTVFADCRKRVDPPMPDNYFGNLIQAIFTVTAAGLLSAHPPQFGASLVQKAIEDHNAKAIDGRNKEWESAPKIFQFKDAGVNCVAVGSSPRFKVYDIDFGWGKAENVRSGTNNKFDGMIYLYPGKNGGRSIDVELTLEPEAMARLEKDKGFLLEA >Vigun04g144400.1.v1.2 pep primary_assembly:ASM411807v1:4:35854212:35857364:-1 gene:Vigun04g144400.v1.2 transcript:Vigun04g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMEDKEFYITSCTYLPPRVNSDIPWCTTTGRLPTNALPMLEMQICIIFLSTFLLHTVLGRLRFPRFTSMSIVGLMLATSFTEEWARKCRELFFFDSQASLGMLSVFGYMLFLFYTGVKTDVSVIPRTKSSAATIGCVSILAPFICSMAVVNLYSTKYLDSDQVAKVRLITGVFSVTPFPNICTVLSDLKILNSELGRLSQSAALVTELFNVVFTSTLSISKILSSDPPKAWFCLTVLILFLLMVLFVYRPATFWIIKQTPEGSPVSDHYVYFILIMAFVASYITHRIGFLALFGPFVLGMATPEGPPLGTAIIKKIDTFVNWMFMPLFVTTCVMRVDLRDFMKWRDEVSGGIDQFMLQALIIIAVTSGIKFLVCMLLPLYHNVPLSDSVSLSLVMSCKGVVELAGFSIIRDLMGIPDNVLALVLVSIIISATVVPFLLAYLYDPMKKYAGNYTKRNIFDLKNNSELRVLTCIHRPDNIPPTINLLEATFPTKDNPLCVYVLQLIELIGRAAPVFISHQLQSKKKHDANASMADKLIDAFQNFEHEFNDALVVNTFTSISPTELMYDDICTLALNKFTSLIVLPFHKKWSSDGNSIEIEDESLRELNFRVMRRAPCSVGILIEKMQMTHIFSPETPYTVCMLFIGGKDDREALYFAKRMTKNPNVRLTVVRFLARKNYSEVTDLQELLDTEILYDIRVNGKVGEANVNYIEKIVKDGPETALVIRKLVKEYDLIIVGRQAGIETPQTSGLLQWSEYPELGVLGDLLASTDAAGRASVFVMQQQRRPRDA >Vigun09g271200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43344129:43344581:-1 gene:Vigun09g271200.v1.2 transcript:Vigun09g271200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPCVLSAKYILRRSIAAATSLDVPKGHFAVYVGEGKKKRFVIPVSVLNQPSFQQLLSIVEEEFGFNHPMGGLTIPCTEDIFLNITSAFRRP >Vigun09g213300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38742313:38743562:-1 gene:Vigun09g213300.v1.2 transcript:Vigun09g213300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVLHPQDFLANKPPNHYQAIAPNFSNMKLPNPNPKFQRSSRSRKKRADPVPRDARGPAQPARPQKHQPQKLVMGQVKILKRGELLSQTTPDLQPPTETVEKEVTTETKIMEKSLTSPSTEKVEGLYAGYSLMVTSPPPNSVPLPIFITKKFAATNCATSDLRKILRLDFP >Vigun05g175850.1.v1.2 pep primary_assembly:ASM411807v1:5:32350877:32351210:1 gene:Vigun05g175850.v1.2 transcript:Vigun05g175850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTFMKQKYESFSQPSHHSSSAIPFLLIFKLKHKNNDSSSSPPRTERDHVQRKALHEVHSGPNPISNSIPQQKLKSDTHKH >Vigun05g016300.1.v1.2 pep primary_assembly:ASM411807v1:5:1327952:1331568:1 gene:Vigun05g016300.v1.2 transcript:Vigun05g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKENNELVIGIDLGTTYSCVAVWRHEHNRVDIIPNDQGNNTTPSCVAFTNYERLIGDAAADQAPINPENTIFDVKRLMGRKYTDSLVQEDKMMWPFKVVAGVDDKPMVVVKYKGEEKKFYAEEISSMILSKMQTIAEAYMGKPVKNAVVTVPAYFNDSQRKATIDAGVIIGLNIVRIINEPTAAAIAYALDKRNDCIGERNILVFDFGGGTFDVSLLTIEGKVFRVKGTAGNSHLGGEDINNRMMKYFVEELRTKNRVEISGNSRALRRLKSACERAKRHLSYAIKTDIEVDGLVRGFDWHASITRAKFEKINIDLFEECVKTVEECLRDAKMNKSRVHDVVLVGGSSRIPKVQQLLQDFFNGKNLCKSINADEAVAYGAAIQAAMLSEGISNVPPLVLKDVTPFSLGVSTKGDIMSVLIPRNNTIPVTKKRSYWTCTDGQLSIKIKVYEGERAKASGNNLLGSFSISIFPPALRGHPFDITFALNENGILSVSAEDKATGNEKKITITNDKGRLSSAEIDRMIQEARQYKSEDEKFIRKANALNDLDRRVYRIRSALNEEKINSKLSSKEKQDINLTICRATEMLDCLNQQDIGAIGECLKELKTILDRIMAL >Vigun04g020800.1.v1.2 pep primary_assembly:ASM411807v1:4:1579099:1579642:-1 gene:Vigun04g020800.v1.2 transcript:Vigun04g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCYLNNFVSFGLQHYCMVMRINIDCSGCYRKVKRVILDMPELDSHLLEKQQTRVIVCGRFIPQDVAIKIKKKTNRRVEILDIQDLSEEIEDQKPIPNNWTLLATQNQIE >Vigun03g330900.2.v1.2 pep primary_assembly:ASM411807v1:3:52807857:52823007:-1 gene:Vigun03g330900.v1.2 transcript:Vigun03g330900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNTVKEALNALYHHPDDAVRMQADRYLQDFQRTLDAWQVADNLLHDPSSNLETLIFCSQTLRSKVQRDFEELPSTAFRPLRDSLNTLLKKFHKGPPKVRTQISIAVAALAVHVPAEDWGDGGIVKWLRDEMDSHPEYIPGFLELLTVLPEEVLNYKIAARPERRRQFEKELTSQMEVALNILTACLTISELKEQVLEAFASWLRLKHGIPGSVLSSHPLVLTALSSLNSELLSEASVNVISELIHYTAAGNSDGVSANMPLIQVIVPQVMNLKAQLSDSTKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEYDIASMTFNFWHNLQLNLTKRESYISYGNEACIEAERNRRLQVFRPAYESLVSLVIFRVQYPEDYQDLSYEDLKEFKQTKYAVADVLTDASSVLGGDATLKILYVKLLQAVSGHGNDEHKEWRPAEAALFCIRAISNYVSVVEAEVMPQIMALLPKLPHQPQLLQTVCLTIGAYSKWLDSASCGLSVLPSVLDILMNGMGTSEDCAAAAALAFRHICDDCRKKLCGCLEGLFHIYNKTVNGEDSFKVPAEDSLHLVEALSMVVTELPPDDAKRALEALCIPVITPLQEAIALGPESLSKRPSRQLTVHIDRFAYIFRYVNHPQVVADAIQRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun03g330900.1.v1.2 pep primary_assembly:ASM411807v1:3:52807857:52823225:-1 gene:Vigun03g330900.v1.2 transcript:Vigun03g330900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNTVKEALNALYHHPDDAVRMQADRYLQDFQRTLDAWQVADNLLHDPSSNLETLIFCSQTLRSKVQRDFEELPSTAFRPLRDSLNTLLKKFHKGPPKVRTQISIAVAALAVHVPAEDWGDGGIVKWLRDEMDSHPEYIPGFLELLTVLPEEVLNYKIAARPERRRQFEKELTSQMEVALNILTACLTISELKEQVLEAFASWLRLKHGIPGSVLSSHPLVLTALSSLNSELLSEASVNVISELIHYTAAGNSDGVSANMPLIQVIVPQVMNLKAQLSDSTKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEYDIASMTFNFWHNLQLNLTKRESYISYGNEACIEAERNRRLQVFRPAYESLVSLVIFRVQYPEDYQDLSYEDLKEFKQTKYAVADVLTDASSVLGGDATLKILYVKLLQAVSGHGNDEHKEWRPAEAALFCIRAISNYVSVVEAEVMPQIMALLPKLPHQPQLLQTVCLTIGAYSKWLDSASCGLSVLPSVLDILMNGMGTSEDCAAAAALAFRHICDDCRKKLCGCLEGLFHIYNKTVNGEDSFKVPAEDSLHLVEALSMVVTELPPDDAKRALEALCIPVITPLQEAIALGPESLSKRPSRQLTVHIDRFAYIFRYVNHPQVVADAIQRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKSLIEALFQHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSSVFPSLVDCSMIGITVQHREASNSILHFLADIFDLANSSVGEQFIPIRDGVIIPRGASITRILVASLTGALPKSRVDVVSYTLLALTRSYGMQALEWAKKSVLLIPSTAVTDVERSRFLKALSDAASGGDTNGLTVPVEELSDVCRRNRSVQEIVQEALRPLELNMVNVS >Vigun05g300100.3.v1.2 pep primary_assembly:ASM411807v1:5:48394852:48398520:1 gene:Vigun05g300100.v1.2 transcript:Vigun05g300100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRGFKSFRTLLVVASITLPILITFTLIHQNSVFDLVHGFNVLDARAHNASNIVLKQGTQNVTTKNASIQGVQNATVKTGGEKDHNIIPDAAPIDKVLGNKNQNAKPGILEEPAQTLPLLDIGPKNSSFEDGENVVSLSSTTISKDKLLDGLLVSSFDEASCFSRYRSYLYRKTSPHKPSKYLISKLRNYEHLHRSCGPSTKFYNKIMRKGTKFNKIDVSTKCKYLVWTAANGLGNRIVTLVAAFLYAILTDRVLLVKFGADMSGLFCEPFPDSSWLLSRSFPYWKDQKHIETYENILNNFKVNSSQVLLPPFLILNLQHTHDRHNNFFHCDGSQDLLRKISVLILRSDQYFVPSLFMIPSFREELSKMFPQKDTVFHHLGRYLLHPSNEAWEIIRKFYEAHLARANERIGVQIRLFNTHRPPHQTVINEIVSCTLQHKLLPDFNAQKSVVSPLKKTSKAVLVASLFSEYGEKLKTMYQTNKTVTREVIRVYQPSHEERQKSNDDMHNIKAWTEIYLLSLCDALVTSPKSTFGYVAHSLGGLKPWILKRAYNETIPDPPCRHAKSMEPCFHYPPKYDCRDNSTVDFTSLFHYMKHCEDVSGGLKLVNGNH >Vigun05g300100.5.v1.2 pep primary_assembly:ASM411807v1:5:48394836:48398519:1 gene:Vigun05g300100.v1.2 transcript:Vigun05g300100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRGFKSFRTLLVVASITLPILITFTLIHQNSVFDLVHGFNVLDARAHNASNIVLKQGTQNVTTKNASIQGVQNATVKTGGEKDHNIIPDAAPIDKVLGNKNQNAKPGILEEPAQTLPLLDIGPKNSSFEDGENVVSLSSTTISKDKLLDGLLVSSFDEASCFSRYRSYLYRKTSPHKPSKYLISKLRNYEHLHRSCGPSTKFYNKIMRKGTKFNKIDVSTKCKYLVWTAANGLGNRIVTLVAAFLYAILTDRVLLVKFGADMSGLFCEPFPDSSWLLSRSFPYWKDQKHIETYENILNNFKVNSSQVLLPPFLILNLQHTHDRHNNFFHCDGSQDLLRKISVLILRSDQYFVPSLFMIPSFREELSKMFPQKDTVFHHLGRYLLHPSNEAWEIIRKFYEAHLARANERIGVQIRLFNTHRPPHQTVINEIVSCTLQHKLLPDFNAQKSVVSPLKKTSKAVLVASLFSEYGEKLKTMYQTNKTVTREVIRVYQPSHEERQKSNDDMHNIKAWTEIYLLSLCDALVTSPKSTFGYVAHSLGGLKPWILKRAYNETIPDPPCRHAKSMEPCFHYPPKYDCRDNSTVDFTSLFHYMKHCEDVSGGLKLVNGNH >Vigun05g300100.4.v1.2 pep primary_assembly:ASM411807v1:5:48394835:48398519:1 gene:Vigun05g300100.v1.2 transcript:Vigun05g300100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRGFKSFRTLLVVASITLPILITFTLIHQNSVFDLVHGFNVLDARAHNASNIVLKQGTQNVTTKNASIQGVQNATVKTGGEKDHNIIPDAAPIDKVLGNKNQNAKPGILEEPAQTLPLLDIGPKNSSFEDGENVVSLSSTTISKDKLLDGLLVSSFDEASCFSRYRSYLYRKTSPHKPSKYLISKLRNYEHLHRSCGPSTKFYNKIMRKGTKFNKIDVSTKCKYLVWTAANGLGNRIVTLVAAFLYAILTDRVLLVKFGADMSGLFCEPFPDSSWLLSRSFPYWKDQKHIETYENILNNFKVNSSQVLLPPFLILNLQHTHDRHNNFFHCDGSQDLLRKISVLILRSDQYFVPSLFMIPSFREELSKMFPQKDTVFHHLGRYLLHPSNEAWEIIRKFYEAHLARANERIGVQIRLFNTHRPPHQTVINEIVSCTLQHKLLPDFNAQKSVVSPLKKTSKAVLVASLFSEYGEKLKTMYQTNKTVTREVIRVYQPSHEERQKSNDDMHNIKAWTEIYLLSLCDALVTSPKSTFGYVAHSLGGLKPWILKRAYNETIPDPPCRHAKSMEPCFHYPPKYDCRDNSTVDFTSLFHYMKHCEDVSGGLKLVNGNH >Vigun05g300100.1.v1.2 pep primary_assembly:ASM411807v1:5:48394835:48398519:1 gene:Vigun05g300100.v1.2 transcript:Vigun05g300100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRGFKSFRTLLVVASITLPILITFTLIHQNSVFDLVHGFNVLDARAHNASNIVLKQGTQNVTTKNASIQGVQNATVKTGGEKDHNIIPDAAPIDKVLGNKNQNAKPGILEEPAQTLPLLDIGPKNSSFEDGENVVSLSSTTISKDKLLDGLLVSSFDEASCFSRYRSYLYRKTSPHKPSKYLISKLRNYEHLHRSCGPSTKFYNKIMRKGTKFNKIDVSTKCKYLVWTAANGLGNRIVTLVAAFLYAILTDRVLLVKFGADMSGLFCEPFPDSSWLLSRSFPYWKDQKHIETYENILNNFKVNSSQVLLPPFLILNLQHTHDRHNNFFHCDGSQDLLRKISVLILRSDQYFVPSLFMIPSFREELSKMFPQKDTVFHHLGRYLLHPSNEAWEIIRKFYEAHLARANERIGVQIRLFNTHRPPHQTVINEIVSCTLQHKLLPDFNAQKSVVSPLKKTSKAVLVASLFSEYGEKLKTMYQTNKTVTREVIRVYQPSHEERQKSNDDMHNIKAWTEIYLLSLCDALVTSPKSTFGYVAHSLGGLKPWILKRAYNETIPDPPCRHAKSMEPCFHYPPKYDCRDNSTVDFTSLFHYMKHCEDVSGGLKLVNGNH >Vigun05g300100.2.v1.2 pep primary_assembly:ASM411807v1:5:48394854:48398519:1 gene:Vigun05g300100.v1.2 transcript:Vigun05g300100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGRGFKSFRTLLVVASITLPILITFTLIHQNSVFDLVHGFNVLDARAHNASNIVLKQGTQNVTTKNASIQGVQNATVKTGGEKDHNIIPDAAPIDKVLGNKNQNAKPGILEEPAQTLPLLDIGPKNSSFEDGENVVSLSSTTISKDKLLDGLLVSSFDEASCFSRYRSYLYRKTSPHKPSKYLISKLRNYEHLHRSCGPSTKFYNKIMRKGTKFNKIDVSTKCKYLVWTAANGLGNRIVTLVAAFLYAILTDRVLLVKFGADMSGLFCEPFPDSSWLLSRSFPYWKDQKHIETYENILNNFKVNSSQVLLPPFLILNLQHTHDRHNNFFHCDGSQDLLRKISVLILRSDQYFVPSLFMIPSFREELSKMFPQKDTVFHHLGRYLLHPSNEAWEIIRKFYEAHLARANERIGVQIRLFNTHRPPHQTVINEIVSCTLQHKLLPDFNAQKSVVSPLKKTSKAVLVASLFSEYGEKLKTMYQTNKTVTREVIRVYQPSHEERQKSNDDMHNIKAWTEIYLLSLCDALVTSPKSTFGYVAHSLGGLKPWILKRAYNETIPDPPCRHAKSMEPCFHYPPKYDCRDNSTVDFTSLFHYMKHCEDVSGGLKLVNGNH >Vigun02g087200.2.v1.2 pep primary_assembly:ASM411807v1:2:24197267:24203911:1 gene:Vigun02g087200.v1.2 transcript:Vigun02g087200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAETEHPNKAFGWAARDTSGILSPFNFSRRATGEKDVAIKVMYCGICHSDLHMLKNEWSCSIYPIVPGHEIAGVVTELGSKVQKFKVGDRVGVGCMVGSCNSCQNCSEDLENYCSKMILTYSAKYVDGTITYGGYSDSMVADERFVVRIPDSIPLDAAAPLLCAGITVYSPLKYYGLDKPGLHIGVVGLGGLGHMAVKFAKALGLKVTVISTSPNKKKEAIEHMGADSFVVSREQDEMMAVMGTFDGIIDTVSADHSLVPLIGLLKAHGKLVMVGAPEKPLEVPAFSLLTGRKMVGGSAIGGMKETQEMIDFAAKHDVKPDIEVIEMDYVNTAMERLLKADVKYRFVIDIGNTLKPSS >Vigun07g024300.1.v1.2 pep primary_assembly:ASM411807v1:7:2166542:2168833:-1 gene:Vigun07g024300.v1.2 transcript:Vigun07g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTNNTYYSLLATIFVVLTFVFPSEGQLSATFYSNTCSNVSTIVRNSVQQALTSDSRIAASLTRLHFHDCFVDGCDGSILLDVGGNITQSEKTAAPNANSIRGFDVVDSIKSSVESSCPGVVSCADILALAAESSVSLSGGPSWSVLLGRRDSVTANQAGANTSIPSPFESLANITSKFSAVGLDTTDLVALSGAHTFGRAQCQFFSQRLFNFSGTGSPDPTLNSTYLATLQQNCPQNGSGSTLNNLDPSTPDTFDNTYFTNLLINQGLLQTDQELFSTNGSSTISIVNNFANNQSAFFQAFAQSMINMGNISPLTGTQGEIRTDCKKVNGS >Vigun01g049300.2.v1.2 pep primary_assembly:ASM411807v1:1:7658597:7671545:-1 gene:Vigun01g049300.v1.2 transcript:Vigun01g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSQVWVEDPEDAWIDGEVTEINGKNVTIIATNGKTMVADLSSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLATRFSLNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAAFGELSPHLFAVADTCYRAMINENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSATEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDAKKYKLGDPRQFHYLNQSNCYEVSNVDDAKEYLETRNAMDIVGISQEEQDAIFRVVAAILHLGNIDFVKGKEVDSSKLKDEKSLFHLQTAAELFMSDAKALEDSLCKRVIVTPDGNITKPLDPDAAALSRDALSKTVYSKLFDWIVDKINSSIGQDPNAVSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLCASKCPFVANLFPPLPEETSKQSKFSSIGSQFKQQLQSLMETLNTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLAPDVLDGSDEKKAAMAICDKMGLKGYQMGKSKVFLRAGQMAEMDARRAEVLAKAAKLIQRQIRTHLTRKEFITLRKATIYIQKMWRAKLARELYEHMRREAASIRIQKHARAHRARMYYTSLQVSAIVLQSGLRAFAARNEYRYRRRTKASTKIQTQWRKVQALSDYKQQKKATVSLQCLWRARIARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLDIEKHMRMDLEEAKGQEISKLQNALQEMQAQLDEAQAAIIHEREAAKIAIEQAPPVIKEVPVVDDTKLELLTNKNEELETEVEELNKKIKEFEEKFSEIENENKSRVKEAEEAQLKATQLQETIERLELSLSNLESENQVLCQQALEESKNEELFEEIKILKGQIANLESENESLRSQAAAAALEQKVHPEKIETDHSAASLEQKVHPEKVEPIPEVAVVEKINVQPRVIADNVTAQMKEPKAPVFLLTKQRSLTDRQQESHDALLKCLAEDKRFEKNRPAAACIVYKALLHWRSLEAEKTHIFDKITHTIRSSIESQEGIHDLAYWLSTTSTLLFYLQCTMKASNTTKAVSRNRNSPATLFGKMAQGLRSSSMGLGISSGYSGMVEKPNDQSKVEAKYPAILFKQHLTAYVEKIYGMIRDSLKKEISPFLNLCIQAPRSIRTRSIRGSSRNIHSNIVAKQQALHMYWKGIVDKLDHALRVLSDNYVPPIITRKIFSQVFSFMNIQLFNSLLLRRECCSFSNGEYLKAGLHELELWCLKATDQFAGSSWDELKHIRQAVGFLVLHQKTQKSLEEITNELCPGLSIPQIYRIGTMFWDDKYGAQGLSAEVISRMRVIMTEDSISIHHSSFLLEVDSSIPFLMEEMFRSMSDIRLSDMDVDPPPILRQRSDFHFLLQQMDSDSQ >Vigun01g049300.1.v1.2 pep primary_assembly:ASM411807v1:1:7658597:7671545:-1 gene:Vigun01g049300.v1.2 transcript:Vigun01g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSQVWVEDPEDAWIDGEVTEINGKNVTIIATNGKTMVADLSSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLATRFSLNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAAFGELSPHLFAVADTCYRAMINENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSATEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDAKKYKLGDPRQFHYLNQSNCYEVSNVDDAKEYLETRNAMDIVGISQEEQDAIFRVVAAILHLGNIDFVKGKEVDSSKLKDEKSLFHLQTAAELFMSDAKALEDSLCKRVIVTPDGNITKPLDPDAAALSRDALSKTVYSKLFDWIVDKINSSIGQDPNAVSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLCASKCPFVANLFPPLPEETSKQSKFSSIGSQFKQQLQSLMETLNTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLAPDVLDGSDEKKAAMAICDKMGLKGYQMGKSKVFLRAGQMAEMDARRAEVLAKAAKLIQRQIRTHLTRKEFITLRKATIYIQKMWRAKLARELYEHMRREAASIRIQKHARAHRARMYYTSLQVSAIVLQSGLRAFAARNEYRYRRRTKASTKIQTQWRKVQALSDYKQQKKATVSLQCLWRARIARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLDIEKHMRMDLEEAKGQEISKLQNALQEMQAQLDEAQAAIIHEREAAKIAIEQAPPVIKEVPVVDDTKLELLTNKNEELETEVEELNKKIKEFEEKFSEIENENKSRVKEAEEAQLKATQLQETIERLELSLSNLESENQVLCQQALEESKNEELFEEIKILKGQIANLESENESLRSQAAAAALEQKVHPEKIETDHSAASLEQKVHPEKVEPIPEVAVVEKINVQPRVIADNVTAQMKNLDNGNQTEEELHSRKEPKAPVFLLTKQRSLTDRQQESHDALLKCLAEDKRFEKNRPAAACIVYKALLHWRSLEAEKTHIFDKITHTIRSSIESQEGIHDLAYWLSTTSTLLFYLQCTMKASNTTKAVSRNRNSPATLFGKMAQGLRSSSMGLGISSGYSGMVEKPNDQSKVEAKYPAILFKQHLTAYVEKIYGMIRDSLKKEISPFLNLCIQAPRSIRTRSIRGSSRNIHSNIVAKQQALHMYWKGIVDKLDHALRVLSDNYVPPIITRKIFSQVFSFMNIQLFNSLLLRRECCSFSNGEYLKAGLHELELWCLKATDQFAGSSWDELKHIRQAVGFLVLHQKTQKSLEEITNELCPGLSIPQIYRIGTMFWDDKYGAQGLSAEVISRMRVIMTEDSISIHHSSFLLEVDSSIPFLMEEMFRSMSDIRLSDMDVDPPPILRQRSDFHFLLQQMDSDSQ >Vigun05g232100.1.v1.2 pep primary_assembly:ASM411807v1:5:42588443:42593554:1 gene:Vigun05g232100.v1.2 transcript:Vigun05g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLKEALRTLCGRNQWSYAVFWKIGCHNSKLLIWEECYYEPLPCPPPMFGMGDLPYQNGGGHWFSSGSQSSQLGIQEEDRVNSLINKMTVNNSVIIAGEGMVGRAAFTGSHQWILLNSFTKDVYPQQLYPEVHHQLSAGIQTVVVIPVLPHGVCQFGSFLPMNENMGFVNDVKSLILQLGCVPGALLSEDYSANRFAGPSIVPVSIDPPVITSNCTPSVANGSNQKSNSFHTSRPFVQTPCHLNVETSTCQGSALAPETCKMNQIPSNHCQPNVIPMSKTNFSGQQQNRAMEAEVIISDVDSCLQQHSVSHTARSAFDNLTGSGSFGQSCLSDDHLTLMEQQIISAIGNRDNVNPCFNVSSTLNMPHLNTDGGHILGHSMNSSSAPLLGGIPIHGGMSTLLRSNLITSPGSKSPKASTADLSREVEIGLQNSDSSTKARGCSLANSSNQCSTFPMHVEGSDHKCVSTNQKIDYDLHQAPNLPTFHVEQRVPISGQIPGLAHDCVSKDDSIQSMMGMNPKLKLDCTKPPSDDDLFDVLGVDLKNKLLNGNWNKLFADELDANTENMDKKSEPMNIPDSGIFSGTGTDHLLDAVVSKAKSVVKQDSDDMSCRTTLTRNSTSSVPSPSCRPVMSGNFQGGLFDFPKNGGKTGATEATFLRSRCNKEDAGNCSQTSSTYGSQLSSWVENSGSVKRENSVSTGYSKRPDEACKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIINKEGGLLLKDNFEGGATWAYEVGSQSMVCPIVVEDLNPPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEAHNDKIWARFAVEANRDVTRMEIFMSLVRLLEQTVKGKESSSNAMDNNMVYHSFPQAAQIAATGGPSSLQ >Vigun09g091600.2.v1.2 pep primary_assembly:ASM411807v1:9:12786579:12788201:-1 gene:Vigun09g091600.v1.2 transcript:Vigun09g091600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun09g091600.3.v1.2 pep primary_assembly:ASM411807v1:9:12786579:12788201:-1 gene:Vigun09g091600.v1.2 transcript:Vigun09g091600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun08g182900.1.v1.2 pep primary_assembly:ASM411807v1:8:35232447:35262711:1 gene:Vigun08g182900.v1.2 transcript:Vigun08g182900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSSSSLPAKSDADVEEMLDRMLTSLALCDDSKLERLLVKLLPLCISSLSSQSSAVRNKVLEILSHVNKRVKLQPQIGLPLSDLWKLYSGSSAVPIIRNFCIVYIEMAFQRVNAKEKEDLAPDLLVNISKLPLQHQEIILRIIVKVIGECHSGQIGEEVAAKYKKVNNPQDRELFIEFCLHTILYQRVSQSGGLPPGLSIAQVNRVTGKQQLQSNEILQRKLGILNVIQAMELSPELVYPLYIAASVDREEPVIKRGEELLKKKANGANLDDLNLINRLFLLFNGTVGVENADSESRVSPGSYALKAKLMSIFCRSIAAANNFPSTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAKIEQLKLMGPVILSGIMKSLDNYPSSEADATAREVKTYAFQSIGLLAQRMPHLFREKIDMAAHLFHALKDESQSLRFVVQEATISLASAYKGAPLAVLQDLETLLLKNSQVEESEVRFCAIRWATSLFDLQHCPSRFICMLGAADAKLDIREMAHEGLHLKSESQISGLIYPKLGMMLDYILRQQPKLLESSETREQNLVFPSSTYVAMIKFLLKCFESELEQNKSFEGSSEIVSSVKTFSLILEHSMSFEGSVELHVNASKALLIIGSHMPEVIASHFSLKVSWLKQLLSHVDWETRESIARILGIVSSALSIPDVVSELTSLFSQTLKSRFETQHGALCAIGYIAANYLSRTPMPEILLEDTLRCLVDVVNSETSALAATAMQALGHIGLRISLPPLDSNSDGILIMLSDKLNKLLLGQDIKAIQKIVISIGHICVKETSSTQLDMALNLIFSLCRSKVEDILFAAGEALSFLWGGVPFNADIILQTNYTSLSMASNFLMGDLTSVPKKFSNEQSEYSGDYHASVRDAITKKLFDVLLYSTRKEERCAGTVWLVSLIKYCSYHPAIQQMLPEIQEAFSHLLGEQNELTQELASQGMSIVYDIGDESMKKNLVNALVSTLTGSGKRKRAVKLVEDTEVFMDGTLGGSASGGKLNTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDVLKPYLRSLIPRLVRYQYDPDKNVQDAMIHIWKSLVDDSKKTIDDNLDIIIEDLLEQCGSRLWRSREASCLALTDIIQGRKFNEVGKHLKRLWSGAFRAMDDIKETVRISGEKLCRGVASLTTRLCDVSLTEVTDAHKAMDIVLPFLLAEGILSKVDSVRKASIGVVMKLTKHAGTAIRPHMSDLVCCMLESLSSLEDQSLNYVELHAANVGIQSDKLESLRISIAKGSPMWETLDSCIKVVDAESLNTLIPRLAHLVRSGVGLNTRVGVANFITLLLESVGVDIKPYANMLVRLLFPVVKEEKSTAAKRAFASACAKILKYTPASQAQKLIEDTAALHTGDKNSQIACAFLLKSYSSMAADVVGGYHAVIIPVVFYSRFEDDKNVSGLFEELWEEYTSGERITLHLYLQEIVSLICEGMSSSSWASKRKSAQAICRLSEVLGESLSSHHKVLLQSLMKEIPGRLWEGKEVLLLAVGALCTSCHKAILAEGSSSSIAILNLVSSACTRKGKKYREAALSSLEQVIKALANPEFFNMVFPLLFDLCNSEPLKSGQAPLVSDPAETELDSVEEISVPHNKIVDCLTSCIHVAHINDILEKQKSLMHMYTAFLSPEHKWTVKTTAFLSIKELCSRLHSVVKDSQGNHELSGATSFVQEIFHTLSPKILNCISTIKIAQVHISASECLLEVMKLSMDVALVGNVNEGFKDELLHQYEIEKNEGAKSILRKCVNILQDWKQ >Vigun08g182900.3.v1.2 pep primary_assembly:ASM411807v1:8:35242525:35262711:1 gene:Vigun08g182900.v1.2 transcript:Vigun08g182900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYCFFFLMYFSLLREKIDMAAHLFHALKDESQSLRFVVQEATISLASAYKGAPLAVLQDLETLLLKNSQVEESEVRFCAIRWATSLFDLQHCPSRFICMLGAADAKLDIREMAHEGLHLKSESQISGLIYPKLGMMLDYILRQQPKLLESSETREQNLVFPSSTYVAMIKFLLKCFESELEQNKSFEGSSEIVSSVKTFSLILEHSMSFEGSVELHVNASKALLIIGSHMPEVIASHFSLKVSWLKQLLSHVDWETRESIARILGIVSSALSIPDVVSELTSLFSQTLKSRFETQHGALCAIGYIAANYLSRTPMPEILLEDTLRCLVDVVNSETSALAATAMQALGHIGLRISLPPLDSNSDGILIMLSDKLNKLLLGQDIKAIQKIVISIGHICVKETSSTQLDMALNLIFSLCRSKVEDILFAAGEALSFLWGGVPFNADIILQTNYTSLSMASNFLMGDLTSVPKKFSNEQSEYSGDYHASVRDAITKKLFDVLLYSTRKEERCAGTVWLVSLIKYCSYHPAIQQMLPEIQEAFSHLLGEQNELTQELASQGMSIVYDIGDESMKKNLVNALVSTLTGSGKRKRAVKLVEDTEVFMDGTLGGSASGGKLNTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDVLKPYLRSLIPRLVRYQYDPDKNVQDAMIHIWKSLVDDSKKTIDDNLDIIIEDLLEQCGSRLWRSREASCLALTDIIQGRKFNEVGKHLKRLWSGAFRAMDDIKETVRISGEKLCRGVASLTTRLCDVSLTEVTDAHKAMDIVLPFLLAEGILSKVDSVRKASIGVVMKLTKHAGTAIRPHMSDLVCCMLESLSSLEDQSLNYVELHAANVGIQSDKLESLRISIAKGSPMWETLDSCIKVVDAESLNTLIPRLAHLVRSGVGLNTRVGVANFITLLLESVGVDIKPYANMLVRLLFPVVKEEKSTAAKRAFASACAKILKYTPASQAQKLIEDTAALHTGDKNSQIACAFLLKSYSSMAADVVGGYHAVIIPVVFYSRFEDDKNVSGLFEELWEEYTSGERITLHLYLQEIVSLICEGMSSSSWASKRKSAQAICRLSEVLGESLSSHHKVLLQSLMKEIPGRLWEGKEVLLLAVGALCTSCHKAILAEGSSSSIAILNLVSSACTRKGKKYREAALSSLEQVIKALANPEFFNMVFPLLFDLCNSEPLKSGQAPLVSDPAETELDSVEEISVPHNKIVDCLTSCIHVAHINDILEKQKSLMHMYTAFLSPEHKWTVKTTAFLSIKELCSRLHSVVKDSQGNHELSGATSFVQEIFHTLSPKILNCISTIKIAQVHISASECLLEVMKLSMDVALVGNVNEGFKDELLHQYEIEKNEGAKSILRKCVNILQDWKQ >Vigun05g248400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44220445:44221974:-1 gene:Vigun05g248400.v1.2 transcript:Vigun05g248400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVRRATYRFLPAVDRDSLSDSTFEFDESDLYNSANANSSEFCKSVRSSRFHSSSSATVGRVGASGSLPVNVPDWSKILGNEYGQSRRRNYDYEEAQSDEEEGGGRVPPHEFLAKTRIASLSVHEGVGRTLKGRDLSRVRNAIWAKTGFQD >Vigun02g175600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31776341:31778366:1 gene:Vigun02g175600.v1.2 transcript:Vigun02g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSISRKRPKSSSRNLEAKQSYFGLTILYNFLSRISALVFLIILIYMWSSFSTLITGNIIHVCFSTRKLDNLYCLSAGTHPSFEIPTSTNNNSSIITPGIDQGNATAEPVKFPYTTHLVTAASRVVINGTDERVANAVKVVEEQLQVHRSWRSKSNKNYASCDGRGIYVYDLPSKFNKDLVGQCRDMVPWQDFCSYLSNEGLGEPIAKLGKGWYKTHQYSLELIFHSRVLRHPCRVSDEKVAKLFYVPFYGGLDILRWHFKNVSNDVKDSLSLELVKWLERQGPWKRNSGKDHVLVLGKISWDFRRSSESPWGTRLLELDKMQNPIKLLIERQPWHVNDIGIPHPTNFHPHSDNDIISWQLKIIRSNRKNLVSFAGAARANAEDNTRATLINQCTSLGDGKCHFMNCSSVKCDEAESVIELFVESEFCLQPPGDSPTRKSVFDSLISGCIPVLFDPFTAYYQYPWHLPHDHDKYSVFMDKKEVTEINVVERLANISSRERENMRRYIVYELLPGLVYGDYIAEPDKFQDAFAITMNNLLDRVSMLEQPQKN >Vigun01g161100.1.v1.2 pep primary_assembly:ASM411807v1:1:34297169:34302708:1 gene:Vigun01g161100.v1.2 transcript:Vigun01g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYEHSDVFQWGLNLLDGDPAYGPGYYGNIIQHDSGDIYNGHYFHSHYDNECNHVENDEIIARTLQEEFSQLEIAESSGYFQQGEQQFHVPETQPAYDWHNSSMMNYCSGDHDYAQEGVGDGEPSSSCSSPCETEEYSLELTDNYPLDDEVGRRLSQMIPIPHVPKINGEIPSIDEATSDHQRLLDRLQLYDFAENKVQGDGNCQFRALSDQLYHAPDHHKFVRRQVVNQLKSNPEIYDGYVPMEYDDYLDKMSKSGEWGDHVTLQAAADSYGVRIFVMTSFKDTCCIEILPHFENPKGVIFLSFWAEVHYNSIYPQGDIPSNESRKKKRWWSFGSKH >Vigun05g092400.2.v1.2 pep primary_assembly:ASM411807v1:5:8948822:8952343:1 gene:Vigun05g092400.v1.2 transcript:Vigun05g092400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGDHNSNWGTSEYNHHQLGLDVPKFKSVQPPSLPFSPSPASPSSYLAFSSAFSPSEFFNPSLFLPSPKIFASPTTEALAAQTFNCSGEEQQQQQRHKEDEKNFSDFSFQTQIQSSSGMLQVEPLKKQDIWKFNEPSKRTDYSSERTATKSEYPSIQSFSSEMASGKAELQSNSVPGSGHFDYANASQSVREQKRSEDGFNWRKYGQKQVKGSENPRSYYKCTHPNCSVKKKVEKSLDGQITEIVYKGHHNHPKPQSIRRGSSQSIHQPSSSCTNSGISDQSVVTLSNPQMDHFSMQEDSSASVGEEEFEQTSQTSYSGGDEDNLGPQSKRWKGDNENDDYSAAGSRTVREPRVVVQTTSEIDILDDGFRWRKYGQKVVKGNPNARSYYKCTAPGCSVRKHVERAAHDIKAVITTYEGKHNHDVPAARGSASYNMNKNSLNSNISAPIRPSAVNCYSNSSGLKNSLYNNTRLPATGNQESFALDKFQNPGSFGQSGPNKSMGSYNDHAPYSHATFSNAKDERKDDSFLQSFLSKDF >Vigun05g092400.1.v1.2 pep primary_assembly:ASM411807v1:5:8948822:8952343:1 gene:Vigun05g092400.v1.2 transcript:Vigun05g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGDHNSNWGTSEYNHHQLGLDVPKFKSVQPPSLPFSPSPASPSSYLAFSSAFSPSEFFNPSLFLPSPKIFASPTTEALAAQTFNCSGEEQQQQQRHKEDEKNFSDFSFQTQIQSSSGMLQVQEPLKKQDIWKFNEPSKRTDYSSERTATKSEYPSIQSFSSEMASGKAELQSNSVPGSGHFDYANASQSVREQKRSEDGFNWRKYGQKQVKGSENPRSYYKCTHPNCSVKKKVEKSLDGQITEIVYKGHHNHPKPQSIRRGSSQSIHQPSSSCTNSGISDQSVVTLSNPQMDHFSMQEDSSASVGEEEFEQTSQTSYSGGDEDNLGPQSKRWKGDNENDDYSAAGSRTVREPRVVVQTTSEIDILDDGFRWRKYGQKVVKGNPNARSYYKCTAPGCSVRKHVERAAHDIKAVITTYEGKHNHDVPAARGSASYNMNKNSLNSNISAPIRPSAVNCYSNSSGLKNSLYNNTRLPATGNQESFALDKFQNPGSFGQSGPNKSMGSYNDHAPYSHATFSNAKDERKDDSFLQSFLSKDF >Vigun06g235800.1.v1.2 pep primary_assembly:ASM411807v1:6:34115111:34117661:1 gene:Vigun06g235800.v1.2 transcript:Vigun06g235800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVRIHLSRSTRSLAVKCVATPNPAVELPLTAENVESVLDEIRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLEEIRPYLVGAADGTLELVAIDEPIVKIRITGPAASVMTVRVAVTQKLREKIPVIAAVQLL >Vigun06g235800.2.v1.2 pep primary_assembly:ASM411807v1:6:34115111:34117661:1 gene:Vigun06g235800.v1.2 transcript:Vigun06g235800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVRIHLSRSTRSLVKCVATPNPAVELPLTAENVESVLDEIRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLEEIRPYLVGAADGTLELVAIDEPIVKIRITGPAASVMTVRVAVTQKLREKIPVIAAVQLL >Vigun11g084500.1.v1.2 pep primary_assembly:ASM411807v1:11:25266540:25267920:1 gene:Vigun11g084500.v1.2 transcript:Vigun11g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFSQNNSILVLLLVFTLWTCHAVSRRLPEAFLSERHEKWMAQYGKVYKDAAEKDKRFQIFNNNLQFIESFNAAADKPFNLSINQFADLHNEEFKALLINGQKKERSVLTATETSFMYDSVTKIPATVDWRKRGAVTPIKDQGICRSCWAFSTVASIEGLHHITKGELVSLSEQELVDCVRGDSEGCNGGYVEDAFEFLAKKGGIASETNYPYKGVNKSCKVKKERDGVAIKIKGYEKVPANSEKALLKAVAHQPVSAYVEAGGSAFQFYSSGIFTGKCGTDMDHSVTVVGYGKGGDGTKYWLVKNSWGTEWGEKGYIRMKRDIRAKEGLCGIATSASYPTI >Vigun07g291700.1.v1.2 pep primary_assembly:ASM411807v1:7:40319499:40328028:-1 gene:Vigun07g291700.v1.2 transcript:Vigun07g291700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDPHSFTKASQTRTKHVSLSLYVDFSSSTIHASVLFRLEKPHQGPFSLDTRSLTIHGVIDPTSRASLPFTLSSPDPIKGSELSVTLSDHSSFLITYSTSPSSSALQWLLPPQTFAKSHPFVYTQCQAIHARSVFPCQDTPAVRICYSARLNIPSELSAVMAARHVQRRSPKNDDALDVATPSPWCAEGRVVEEFEMEQSVPPYLFAFAVGALGNREVGPRTRVYAEDVAAVLDSAAAEFAGTEDMIREGERLFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVVKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEAVQGEQRAALNIGIGWRGLNEDVERFKDNLEFTKLKNNQEGIDPDDVYSQVPYEKGFQFLWRIERQVGRQAFDEFLKKYIATFKFQSIDTETFLDFLKANIPGIENKIDLVLWTEGTGIPPDAHEPDSTIYKLIVSLANEFINGRMPREDEVAGWQGQEWELYLDNLPKSIDASQLVDLDSRYKLSGSKDYEVKVAFLRRAISCGCKTYYNEVEKTLKGVGRMKYLRPLYTALVKDGGNEDGKVFAKTLFSEARECYHPIAQGVVEAIFSKHL >Vigun05g059400.1.v1.2 pep primary_assembly:ASM411807v1:5:5094617:5096901:-1 gene:Vigun05g059400.v1.2 transcript:Vigun05g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSSNGIHMNGGKGERSYANNSLLQKKLMLKAKPILEETIMILCQDSTPKCMKVADLGCSVGPNTLLVTSNIIDIVDATCTRLNLELPTFQFYLNDLFGNDFNTIFKSLPDFYEKLVQEKGHKFGSCFINATPGSFHGRLFPNDSVHFFHSANSLHWLSQDPLKGLTKEAKTLNKGNCHIVSTSPPEVHKAYQKQFQEGFKLFLKSRSEELVHGGAMVLVLPCTCRSETVSKSLWEVISLTLNDMLLEGLIEEEKLDSFNIPTYEPTIEEIRNLIKEEESLFVQRLEVFTVPRDEGISERGDCCFLDESVRAEFIATYTRAAMEPLLSAKFEVQVINELFIRFQWKLEQLMKVEKFETANVIISITKVV >Vigun10g069500.1.v1.2 pep primary_assembly:ASM411807v1:10:16697171:16699095:1 gene:Vigun10g069500.v1.2 transcript:Vigun10g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARGNVPETVIAFIVDRYLSRNQFSQTQATFRNEASILLADSPANENLLTLEGIVDQYIYMKKQNNLLDKENVMLMQEKHRIQMLLQDLQNVVDSFNARSSPLSNAAAMIQNSAVLPPMQNSNRYHPGILMQNSKRNIPVVSTGIVFPMQNTMSVTPTSMDNISLSSPMIRMLDRKRKDTPIVDGCKVAKKPRGRPPGSKNQVKGINTLLPSPNNKVDFGSPSAKPQSLVGNSALGGSLISANPVSRTLPIIHSIQSDTHVSLPVSSDVAQTTEISPTAACNGEVITPCYNVISANGVIVQPEKQMVYKRDNDISPIEPHSDQTNNGNAYKTSTETLDKPLGIPPSESESDKDRDIWAQLGLDDIH >Vigun11g048300.1.v1.2 pep primary_assembly:ASM411807v1:11:7498093:7499238:-1 gene:Vigun11g048300.v1.2 transcript:Vigun11g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELASCDESPSSSTSTPTIQIVSKSFSERLMGKFFDATQFDFVYEQSGLWSPPVRRTVFLASPAGNICSQDEILRKLKKAKKPCKRPMLCFFNAFLCS >Vigun05g225766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41799820:41800975:1 gene:Vigun05g225766.v1.2 transcript:Vigun05g225766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNGIRISMIANEENKKKMGPAIVISYVVSGVSALFSVFYYAEFAVEIPVAGSISCTLVFVCAQFHFAEFQTRTRLNLHPKITILNIGLYIYIYIYIYIYIYIYIYKKLVNVNFGFLIGLLSLTIPFHLLVGINSLSGLHITNWVVKLRCWHGVIDLS >Vigun09g163000.1.v1.2 pep primary_assembly:ASM411807v1:9:33050437:33055301:-1 gene:Vigun09g163000.v1.2 transcript:Vigun09g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNTESSTSQFPVSQLNFSREINGNETEIIISRYEDHFLVIATQIGTMGTIVYARKEEGVSINPTFNVSVIFGKRDEPMLVACARQLIEQMSLSGSSRPLVLSLGLKDHSVETLKGIVSAVMDNSMW >Vigun09g163000.2.v1.2 pep primary_assembly:ASM411807v1:9:33050535:33055269:-1 gene:Vigun09g163000.v1.2 transcript:Vigun09g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNTESSTSQFPVSQLNFSREINGNETEIIISRYEDHFLVIATQIGTMGTIVYARKEEGVSINPTFNVSVIFGKRDEPMLVACARQLIEQMSLSGSSRPLVLSLGLKDHSVETLKGIVSAVMDNSM >Vigun09g163000.3.v1.2 pep primary_assembly:ASM411807v1:9:33050535:33055269:-1 gene:Vigun09g163000.v1.2 transcript:Vigun09g163000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNTESSTSQFPVSQLNFSREINGNETEIIISRYEDHFLVIATQIGTMGTIVYARKEEGVSINPTFNVSVIFGKRDEPMLVACARQLIEQMSLSGSSRPLVLSLGLKDHSVVCFTALLFLTQKGKESTNK >Vigun10g024600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2936229:2937866:1 gene:Vigun10g024600.v1.2 transcript:Vigun10g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNEKLHIVVFPWLAFGHMGPFFELAKLIAQKGHKISFVSTPRNIHRLPKVPENLQPLVDLIQLPLPRVDKLPENAEATVDIPHHLIPHLKQAFDGLQQPLTMFLERCKPHWIIYDFAPHWLPPICSQLGISCIFFSIFSASALYYFLLDHYTSKARVSAQNKGFPDEHYETNESGVSDVFRVLETTNSAQASAIRTCMEIEAASLKLLESIYSKPMIPVGLLPPSLEFSEDSNDENWDTILKWLDKQEKGSVVYVAFGSEVRLSDEDFTEITKGIEMSGFPFFWVLKKQNTSNVELQDLVVNNSGTGLVWRTWAPQMRILAHKSVGGFLTHCGWSSVNESLLVGCPLVMLPFQNDQFIVAKLMEEKRVGFQVQRSEHDEKFSRESLANALKAVMLEKTYKSEAKEMSKIVGDKELHQKYIDDFVEYMEIHKPVLKD >Vigun07g260400.6.v1.2 pep primary_assembly:ASM411807v1:7:37691278:37695674:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLVSDLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.5.v1.2 pep primary_assembly:ASM411807v1:7:37691278:37695165:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLVSDLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.7.v1.2 pep primary_assembly:ASM411807v1:7:37691278:37695674:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLVSDLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.2.v1.2 pep primary_assembly:ASM411807v1:7:37691083:37695744:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.4.v1.2 pep primary_assembly:ASM411807v1:7:37691278:37695674:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.3.v1.2 pep primary_assembly:ASM411807v1:7:37691259:37695723:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun07g260400.8.v1.2 pep primary_assembly:ASM411807v1:7:37691083:37695744:1 gene:Vigun07g260400.v1.2 transcript:Vigun07g260400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLLSSPSPIHHPPQLGHKKARFFAGSSSTLLCTHRGTTTYNHPILRISHKAPQCCMQGISKKLGNVSWLFVSPKFLVQNKLSRDVVVRSELTAAGSAEDGYLLPELKVESKVRGACFYAVTAFSAIFLFVLMMVGHPLVLLFDRYRRKFHHFIAKVWATLTVAPFFKIEFEGMENLPPPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFFLGVIPLKRMDSRSQLDCLKRCMDLIKKGASVFFFPEGTRSKDGKLGTFKKGAFSVAAKTNAPLVPITLIGTGQIMPAGKEGILNIGSVKVVIHKPIFGKESDVLCKEARMAIASVLTQS >Vigun11g031300.1.v1.2 pep primary_assembly:ASM411807v1:11:4085896:4090262:-1 gene:Vigun11g031300.v1.2 transcript:Vigun11g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGKIITEIGVHATAEKWFNLFATQLHHVQNLTDTIHGSRLHHGKDWHHNDSIKHWTYVIDGKVTTCHESIESVDEEKKTITYKVFGEDIEHRFKGFKAIFEAIDKENGGAIVKWTIEYERLGEEVDPPYGYLEYLHKSTRDIDGHLLKA >Vigun01g242100.1.v1.2 pep primary_assembly:ASM411807v1:1:41154650:41158012:-1 gene:Vigun01g242100.v1.2 transcript:Vigun01g242100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSNSLQNQIVTRLKLGSEEFLQKVLNNRRWTLPTPETKIHQLVHTQGPNFLLNTHPPFGDANPVSKERNYFYVVRDDLLHPLVNGNKARKLDGLLPLLQRYSVTDVVTCGGCQSAHTAAIAVLCAERGIVSHLLLRGEQPEILTGYNLMSSMYGNVTYVPRTIYANREEMLKNYAESVAGNDGSVLWFGDIIETSSATELSTSPNFMQMDVSRSEGNHRRNILVVKEGAGDSVALLGVIRLVEYLSQNHLLGKERPMKFVVDAGTGTTAIGLGLAAHFLRLPWEVYAVMLADKIEGYRKQEARLISEFKKHFNIEFIDQIVNGEDAGIVNWVERGRPRKFGNVLEGEVERCQQIAQQTGILVDPVYTLAAWETAMLLSSNDGEEAEVVLLHTGGTLGMFGLAQRYKNYFGMLKKGVL >Vigun10g022700.1.v1.2 pep primary_assembly:ASM411807v1:10:2674742:2677823:1 gene:Vigun10g022700.v1.2 transcript:Vigun10g022700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKPNFFFFLLLISSLFFPTLLAKSSRAISDTEVRKNKIQCYADIDSGLWGWSCKSSAIERENCALRCLSPACYELIYESDPLEEGEKDFIRSQEYKYCLHKSSLGESLEGVKGSFSN >Vigun09g269100.1.v1.2 pep primary_assembly:ASM411807v1:9:43187708:43189746:1 gene:Vigun09g269100.v1.2 transcript:Vigun09g269100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAKALKPSLRREFIVQQTHCEDFFCLNANNVAGGDDFFVDDLFDFSDGSLHHEPQEYVEEKKSLSASSQSKDRGEDDSNSNSTGVSYDSLFSAELAVPAGDLEDLEWVSHFVDDSLPELSLLYPVRPEEVNRRVEPEPSAKKTPRFPCEMKIATKTRTVRNRKPNARVWSLGPLLSLPSSPSSCSSSATEPPAKKQKKRAEAQPVGAQVQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFSEYRPACSPTFCSDIHSNSHRKVLEIRKKKGVSGPDTGPAHPQAQMVPTC >Vigun03g229100.1.v1.2 pep primary_assembly:ASM411807v1:3:38195272:38200112:1 gene:Vigun03g229100.v1.2 transcript:Vigun03g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKEDAVLALLICLCSAMARNEERLHIVMFPWLAFGHMIPNLELAKLIAEKGHHVSFVSTPRNIERLPKVSPNLATLINFVKLPLPKVPNLPENAEATTDVPYDVVQYLKKAYDALEEPFTRFLESSNADWLFYDFIPFWAASVASKLGIGTVFFSIYTVPLMTFMGPAMFLTGAEPSPRTKLEDYTVPPLWIPFPTTVAFRYYEVVKIADSLSDNESGVADTYRLGRTIQNCDFVAIRGCTRFERQWFQVLEDIYQKPVIPVGQLPNTVSKEGEEKDTWQWMIDWLDKQVEGTVVYVAFGSEAKPSQEEVTEIGLGLEKSKLPFLWVLKLQRGPCDPEVLRLPEGFEERTRGRGVVCSTWVPQLKILGHVAVGGFLTHSGWSSVVEAVQNEKPMVLLTFLADQGINARVLEEKKMGYSVPRDEHDGSFTSDSVAHSLRLVMFEEEGRPYRENIKDVKDLFVNTENQERYIENLLNLLSERRAPNLMA >Vigun03g084750.1.v1.2 pep primary_assembly:ASM411807v1:3:7043408:7044004:1 gene:Vigun03g084750.v1.2 transcript:Vigun03g084750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKNVESARNALVSNYVTGKRTRSWSREIPRKKRSVMLRKKMTLKRFHILLLKMSVNEGNLDESSTEDVLLLNDASNFIATNEIGLGGVLLKPSDNISA >Vigun03g318700.1.v1.2 pep primary_assembly:ASM411807v1:3:51330187:51333792:1 gene:Vigun03g318700.v1.2 transcript:Vigun03g318700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKKEMALNLCLSLSFLISVLFLFKLARRSKSKPKTNLNLPPFPPKLPFIGNLHQFGTLPHRALRDLSLKYGDIMMLQLGQMQTPTLVVSSADVAMEITKSHDLAFSDRPQNTAANILFYGCTDFAFELYGENWRQKRKISVVEFLSSKRVQSFKAIREEEVAQLVKKLREASRSDEKVVNLSEMIVSTSNNIACKCALGRKFAGDGYSRVKELAREVMIHLTAFTVRDYFPWLGWVDVVTGKIQKYKATAEALDGLFDEVIAENLREKREGQQHFKNKVFLDVLLQLQEDNTHSFHLTKKDIKAILTDMFVGGTDTTSSAIQWTMSELVRNPNVMKKVQEEVRKVVGHKLNVEESDIKKMNYLKCVIKESLRIHPPTPLLAPRVTVSAVKLKGYDIPAKTTVYINSWAMQRDPKFWESPEEFMPERFENIEVDFKGQEDFQFLPFGFGRRGCPGIHFANASMEYMLANLLYWFDWKLPQNQTQDIDMTEMFGLVVSKKVPLHVIPTTFSF >Vigun07g204300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32590071:32591067:1 gene:Vigun07g204300.v1.2 transcript:Vigun07g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEFHSDQEAGNEETTLLQVDPFEEEEETLSLCDLPIYSASSSDKWRGDFSKEDEKSFGDDGDDDEDNLFEFFSEEFNSSSNIAAAENIIFCGKLIPFKDINPPQVDECNSTARSRNVQKGIQKRGSKSFSCDYTSTGKVSLVRCTTKSRWFLFMFGMSKLSGTTEMELRDIRNRQSRRGPATMFPAAEDGGEDAVKGKKRSCKGMWKILKSITMVLGCRSSKLANDVVKAALV >Vigun02g101100.2.v1.2 pep primary_assembly:ASM411807v1:2:25591556:25595301:1 gene:Vigun02g101100.v1.2 transcript:Vigun02g101100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGENSIMRVLKLSYSNLELSMRRCFSFCAIFPKDFHINKEQIIHLWMANGFIKCEGHVEVEDVGDKVWKKLYRRSFFEEAKSDEFGIIRSFKMHDLFHDLAQSIMGEECMVIEKGSWTPLSTRVHYLANFNEYVDMPASMQFMTAFKKVESLRTFLNFGTMGQLPSNNCLRALCTTSSLFSRLNDVRHLRYLSLSWSSVAILDNTICELPKLQTLKLEYYPQISELPKDLTQLQDLRHIVINYCALLQEMPANIGKLRHLRTLSFFSVGSKPGCGLAELHSLNLGGELSIRGLKNVSSEWDAKQANLIDKKDLNILYLSWDGNANSRGSTVSEEIVLEALEPPSTLKSFHIKGYQGRQLSSWMRSPVTLRDLVEVKLLDCDNCEELPPLGKLPHLKRLEVSGMKNVKCIDGETYEGVEEKAFPSLEELILKNLPNLERLLRDEGVEMLPRLSQLTIKKVSNFKFPRLPSVEKLDVESIDDVERVVGNTPCLKTLKISSIKGVKTLPDQLGMLDALEYLDIRYWYDVEYFPEHVLEGLTSLRTLEIRHCKKLKSLSEGVRHLACLERLTIRECPELMVLPSNMSQLTALRDVSILYCSTLPEGLQRVPSLRSLYIFNCNSTSLPDWLGDITSLQQLSIDYCMELRSLPSSIQRLTNLSHLIILGCPHLEKRCKRETGEDWQYINHIPDIKLFS >Vigun03g141000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14087907:14090062:1 gene:Vigun03g141000.v1.2 transcript:Vigun03g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTFATAITLLFSFEPPSLDTPEKFVQCLYNNHHISNSISNAVYTQTNSSYSSVLDAPIHNLRLLNISSKPQVIVTPLDVSHIQATIMCSQRHGLQIRTRSGGHDYEGLSYIAEVPFVVLDLINLRQIKVDVENRTTWVQAGENLGELYYTISQKTKTLGFPAGVCATVGTGGHFSGGGYGFLMRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPIPSTVTVFNVERILEENATEIIEKWQLVANKLDERIFLRMDLARANSSQHGKLALQANFVAMFQGGVEELIPLMQTNFPDLGLERKDCTETNWIGSAVFMNGVLIGSTGHEAPEVLLNRTRHLSGNYKAKSDYVRKPIPVDGLRGLWCWLNDDKVQYSQLQFAPYGAKMDNISESEIPFAHRSGYIFHIHYVVVWQEEGDEAAERHMNWIRRLYKYMEPYVSNSPRAAYVNYRDLDIGVNNNGYTSYDQASIWGVKYFGNNFRRLAIVKTRVDPDNFFRNEQSIPTLSADEGN >Vigun10g131700.3.v1.2 pep primary_assembly:ASM411807v1:10:34066532:34074518:1 gene:Vigun10g131700.v1.2 transcript:Vigun10g131700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTLECSGCPPLRALTFDSLGLIKVVEARDKQRAIPQVVERWGEPESSKCVMAVSMIDRNSQPLLAVARKNNEIEVLSPVNGDIQTTISDASGLDDRTEENNIVGLHLFGKQNSELDSRYCTLLTCTTKGNASIRSIELADPSTRSSCIDSPKTWNVCSGGNILCCKVDRDENFALFGGKGVEINIWDINNLTKIWNSKPPPKNNLGIFTPTWFTSAAFLTKDDHRKFVAGTNSHQVRLYDISAQRRPVLSFDFRETPIKALAEDIDGHSVYVGNGSGDMASFDIRTGKMLGGFSGKCSGSIKSIVRHPELPIVASCGLDCYLRLWDTKTRQLLSAVFLKQHIMHVLFDSKFDLKGVDSSLPSKEKTQTEMIPSEEVEELPLKRKKSSRNKEHTEDGSERKKKSKHSKERKKSEGKDEGEKIGSRDERRKSKLKKKKSSSISEL >Vigun10g131700.2.v1.2 pep primary_assembly:ASM411807v1:10:34066520:34074519:1 gene:Vigun10g131700.v1.2 transcript:Vigun10g131700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTLECSGCPPLRALTFDSLGLIKVVEARDKQRAIPQVVERWGEPESSKCVMAVSMIDRNSQPLLAVARKNNEIEVLSPVNGDIQTTISDASGLDDRTEENNIVGLHLFGKQNSELDSRYCTLLTCTTKGNASIRSIELADPSTRSSCIDSPKTWNVCSGGNILCCKVDRDENFALFGGKGVEINIWDINNLTKIWNSKPPPKNNLGIFTPTWFTSAAFLTKDDHRKFVAGTNSHQVRLYDISAQRRPVLSFDFRETPIKALAEDIDGHSVYVGNGSGDMASFDIRTGKMLGGFSGKCSGSIKSIVRHPELPIVASCGLDCYLRLWDTKTRQLLSAVFLKQHIMHVLFDSKFDLKGVDSSLPSKEKTQTEMIPSEEVEELPLKRKKSSRNKEHTEDGSERKKKSKHSKERKKSEGKDEGEKIGSRDERRKSKLKKKKSSSISEL >Vigun10g131700.4.v1.2 pep primary_assembly:ASM411807v1:10:34066752:34074518:1 gene:Vigun10g131700.v1.2 transcript:Vigun10g131700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTLECSGCPPLRALTFDSLGLIKVVEARDKQRAIPQVVERWGEPESSKCVMAVSMIDRNSQPLLAVARKNNEIEVLSPVNGDIQTTISDASGLDDRTEENNIVGLHLFGKQNSELDSRYCTLLTCTTKGNASIRSIELADPSTRSSCIDSPKTWNVCSGGNILCCKVDRDENFALFGGKGVEINIWDINNLTKIWNSKPPPKNNLGIFTPTWFTSAAFLTKDDHRKFVAGTNSHQVRLYDISAQRRPVLSFDFRETPIKALAEDIDGHSVYVGNGSGDMASFDIRTGKMLGGFSGKCSGSIKSIVRHPELPIVASCGLDCYLRLWDTKTRQLLSAVFLKQHIMHVLFDSKFDLKGVDSSLPSKEKTQTEMIPSEEVEELPLKRKKSSRNKEHTEDGSERKKKSKHSKERKKSEGKDEGEKIGSRDERRKSKLKKKKSSSISEL >Vigun10g131700.1.v1.2 pep primary_assembly:ASM411807v1:10:34066770:34074518:1 gene:Vigun10g131700.v1.2 transcript:Vigun10g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTLECSGCPPLRALTFDSLGLIKVVEARDKQRAIPQVVERWGEPESSKCVMAVSMIDRNSQPLLAVARKNNEIEVLSPVNGDIQTTISDASGLDDRTEENNIVGLHLFGKQNSELDSRYCTLLTCTTKGNASIRSIELADPSTRSSCIDSPKTWNVCSGGNILCCKVDRDENFALFGGKGVEINIWDINNLTKIWNSKPPPKNNLGIFTPTWFTSAAFLTKDDHRKFVAGTNSHQVRLYDISAQRRPVLSFDFRETPIKALAEDIDGHSVYVGNGSGDMASFDIRTGKMLGGFSGKCSGSIKSIVRHPELPIVASCGLDCYLRLWDTKTRQLLSAVFLKQHIMHVLFDSKFDLKGVDSSLPSKEKTQTEMIPSEEVEELPLKRKKSSRNKEHTEDGSERKKKSKHSKERKKSEGKDEGEKIGSRDERRKSKLKKKKSSSISEL >Vigun03g395500.3.v1.2 pep primary_assembly:ASM411807v1:3:60185610:60189282:-1 gene:Vigun03g395500.v1.2 transcript:Vigun03g395500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFLSNHPDPTMYNCSQVDFSHSMAHTPYPCGDPYFGSSIVAYGPQAINQPQMIPQMVGLASTRIALPVELAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALKRVRGSGGRFLSAKQLQESNAEHVDNNSHSGQKKDASSEVESHNSRTAENACITFTAISGLTTMSSNSLNFRQPEHNFLGNSSNMGGSSQCSGGLTFGGGTRQCASVGR >Vigun03g395500.2.v1.2 pep primary_assembly:ASM411807v1:3:60185591:60189303:-1 gene:Vigun03g395500.v1.2 transcript:Vigun03g395500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFLSNHPDPTMYNCSQVDFSHSMAHTPYPCGDPYFGSSIVAYGPQAINQPQMIPQMVGLASTRIALPVELAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALKRVRGSGGRFLSAKQLQESNAEHVDNNSHSGQKKDASSEVESHNSRTAENACITFTAISGLTTMSSNSLNFRQPEHNFLGNSSNMGGSSQCSGGLTFGGGTRQCASVGR >Vigun03g395500.1.v1.2 pep primary_assembly:ASM411807v1:3:60185610:60189282:-1 gene:Vigun03g395500.v1.2 transcript:Vigun03g395500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFLSNHPDPTMYNCSQVDFSHSMAHTPYPCGDPYFGSSIVAYGPQAINQPQMIPQMVGLASTRIALPVELAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKSRKPYLHESRHRHALKRVRGSGGRFLSAKQLQESNAEHVDNNSHSGQKKDASSEVESHNSRTAENACITFTAISGLTTMSSNSLNFRQPEHNFLGNSSNMGGSSQCSGGLTFGGGTRQCASVGR >Vigun03g054600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:4457085:4458085:1 gene:Vigun03g054600.v1.2 transcript:Vigun03g054600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPLVFRAIKKRKTRRQYQCLSSGAALSHNMNVRDYYYVQEPSTSTQKVVHDHAENNVGYMRHDSCREFSDDFSSQQRTFAAVSPDSKQLVRFRSLRMFPCLAG >Vigun02g090800.1.v1.2 pep primary_assembly:ASM411807v1:2:24587248:24590667:1 gene:Vigun02g090800.v1.2 transcript:Vigun02g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDASYDKNNVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAAHRSLGVHVSFVRSTNLDMWTPEQLKTMSLGGNNRARNFFKQHGWTDGGKIEAKYTSRGAELYRQILSKEVAKSMTDKEVDSPSSPTASPFEQVVEGISQVKTNESPNASQSPGIPESPSSPKASHTTVSNTVKKPVSVKKTKKAAGLGARKLSGKSCESLYEQKPEEPPTAAKKHTLSGSSSTSRFEYVENDQSFDLNSKAKARLVRHVSLPESSSVFADFVMDNGSPKEFGSNSSKDKIEESNEARRKFSNARSISSSQFFGNQNKDANDSKVILSKFSSSTSISSADLFGNSRNNSSNNDADANDLLNRLSFRAYEDISSLKNIAGETGKKLSFLASNLITDIQDRII >Vigun01g060500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12665114:12669673:1 gene:Vigun01g060500.v1.2 transcript:Vigun01g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENLNPATVTKAIDALLKWRRTQSETQKPKLFDQDEEFVYLVVTLKKIPAKSRVNPYKIPLPHSLISQFSEQCLILDDRPNKGRVTKAQAQAKIQSESIPIAKILKLSKLASDYRAFEAKRKLCGSYDLFFAEKTIVPLLPRLLGKQFFKKRKLPVPVDLKKSNWKEQVEKACSSAMLFMRTGTCSVVRVAKVGMERDWIVENVMAAVEGIVEVVPKKWGNVRSLHLKLLESVSLPVYQVVPDVKLRIEGANEQDEKKEKNKKRKKDEEVRESAKKKGRIHEVRYMDENGGEDEIENELASDDGGGDENVDEKTKRGVSSVLNDGKRLKKSSGVKENRKKGKSGSELVAEDTESSAKEKKKKVKSETELVVRDEESGVKKTKKGELKKMKTGEVKVKAVKSVKTKKSKKA >Vigun01g060500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12665114:12669673:1 gene:Vigun01g060500.v1.2 transcript:Vigun01g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENLNPATVTKAIDALLKWRRTQSETQKPKLFDQDEEFVYLVVTLKKIPAKSRVNPYKIPLPHSLISQFSEQCLILDDRPNKGRVTKAQAQAKIQSESIPIAKILKLSKLASDYRAFEAKRKLCGSYDLFFAEKTIVPLLPRLLGKQFFKKRKLPVPVDLKKSNWKEQVEKACSSAMLFMRTGTCSVVRVAKVGMERDWIVENVMAAVEGIVEVVPKKWGNVRSLHLKLLESVSLPVYQVVPDVKLRIEGANEQDEKKEKNKKRKKDEEVRESAKKKGRIHEVRYMDENGGEDEIENELASDDGGGDENVDEKTKRGVSSVLNDGKRLKKSSGVKENRKKGKSGSELVAEDTESSAKEKKKKVKSETELVVRDEESGVKKTKKGELKKMKTGEVKVKAVKSVKTKKSKKA >Vigun01g040800.1.v1.2 pep primary_assembly:ASM411807v1:1:5717680:5719415:1 gene:Vigun01g040800.v1.2 transcript:Vigun01g040800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVVEATVSSFDGVFEKFRSEAAGNKANLILFLADNDPATSLSWCPDCVRAEPVIYKKLEASSDDIALLRAYVGDRPTWRNPQHPWRVDPRFKLTGVPTLIRWENDTVKGRLEDHEAHVESKIEALVADK >Vigun01g040800.2.v1.2 pep primary_assembly:ASM411807v1:1:5718023:5720141:1 gene:Vigun01g040800.v1.2 transcript:Vigun01g040800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVVEATVSSFDGVFEKFRSEAAGNKANLILFLADNDPATSLSWCPDCVRAEPVIYKKLEASSDDIALLRAYVGDRPTWRNPQHPWRVDPRFKLTGVPTLIRWENDTVKGRLEDHEAHVESKIEALVADK >Vigun11g000200.1.v1.2 pep primary_assembly:ASM411807v1:11:43644:53791:1 gene:Vigun11g000200.v1.2 transcript:Vigun11g000200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKFMVKKACCSGGRAGALELGNCPKPIETPALLLSTRKGLPHFIAPDLLPSLPFPDSHLLQISPLHFLEGLASTTISKIGGLHQMLSLHQYGIAAVARDSIQCLPESKGATKLGPSFETPCGRLSVKPKDYVEMISCMRPNIWATLADEVPAWVSEKRNKTSVDRTVRWLDDCLALNPAAGSVFGAIVGGPNLDERKRCAVEVAKRNVSGYWIGGFGLGERIEERPALLSAIIDVLPDEKPRMISGLGLPEEILEGIDAGIDLFDSTYIYSLTLGGFALTFSLDKGGNQYDFQRCQVERDLTKINLRAKVYRKDMSPILGNCTCYTCQNHTKAYINHLFNVHEMLAQTLLEIHNTHHYLMFFRVIREAIKDGRFKKFRMTFIESRRVHQETEAVCV >Vigun06g110900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23974421:23976260:1 gene:Vigun06g110900.v1.2 transcript:Vigun06g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENGVQVADSSSSSANKSAQNVVTCVYQCKVGGSSCLITVTWSKNLMGQGLGVAIDDSSSQSLCKVDIKPWGFSKRRGCKSLEVHSCKLDVYWDLSSAKFGSSPEPLGGFYVAAVVDGQMVLLIGDLKREALKKTNASPLPHNAFLIAKKEHVFGKKLYGTKAVFCDNGQIHDIVIECDTASVSDPSLVIRIDSKTVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSLGNAVFMFRTSLSALDKLLASQPTSDLPWSFSERFPETKLQGLGFSLNLYAWKSQ >Vigun03g345900.1.v1.2 pep primary_assembly:ASM411807v1:3:54505884:54509204:-1 gene:Vigun03g345900.v1.2 transcript:Vigun03g345900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSLSAPNLSTAFLAKKLYLREHAKKLTAFRTRCAVDTPYGGNVQKFPRINVWDPYRRLGISPDASEEEIWGSRNFLLQQYAGHERSEESIEAAFEKLLMASFVQRRKTKINLKSKLKKKVEESPPWVKNLLNFVELPPPEIILRRLFLFAFMGGWSIMNSAETGPAFQVAISLAACIYFLNEKTKSLGRAFIIGFGALVGGWVSGSVLVPNIPSVLLRPTWTLELLTSLVVYTFLFVACTFLK >Vigun04g070501.1.v1.2 pep primary_assembly:ASM411807v1:4:8189958:8192294:1 gene:Vigun04g070501.v1.2 transcript:Vigun04g070501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEGAEGYKPSAEYVEDSNDALINLTDSTELLFLKLPSSNDFLSDIHGKKLSLTLHNDGKLARFKGSSGKAYDFVSFFGQEPDETVFVSSTEPKIAKISMRVSTVHYPDPKELENLNSTNVRHAHRHSSGITGTTSSRYFPMQSGGRAASSKGNRQKSSLSEYTEPSSISKKDTSLILNLNLNLNSTCLRSHMVTAVAFPLCPQNILMKENQREENLRNNYIGKNRARVLCCKRMMNLRCIFCGYHFPLFFKLSFASVSQCSLIEGPFFSNFYVLSLCTNSVVFFLWLDCFGPNSVFETLLASHC >Vigun10g079900.2.v1.2 pep primary_assembly:ASM411807v1:10:21828580:21830127:-1 gene:Vigun10g079900.v1.2 transcript:Vigun10g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLNHVFRSSILKHKTQSETPLRTSRRTPRFEVINATTSSTRSARQLIESGTVRPVLPKDASLAINSEGFILLDVRPTWEREKARVAGSLHVPIFVEDKDNSPITLLKKWVHFGYIGLWTGQYLTAVNSEFLSQVENVIPSKDTKLLVACGEGLSKQAEGFDYGYEGEY >Vigun10g079900.1.v1.2 pep primary_assembly:ASM411807v1:10:21828580:21830127:-1 gene:Vigun10g079900.v1.2 transcript:Vigun10g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLNHVFRSSILKHKTQSETPLRTSRRTPRFEVINATTSSTRSARQLIESGTVRPVLPKDASLAINSEGFILLDVRPTWEREKARVAGSLHVPIFVEDKDNSPITLLKKWVHFGYIGLWTGQYLTAVNSEFLSQVENVIPSKDTKLLVACGEGLRSMTAASKLYNGGYKNLGWLAGGFNRSKDNDFPSAEGKEKLQHATIGGVSYIFLQLLIFLKAVG >Vigun11g041800.2.v1.2 pep primary_assembly:ASM411807v1:11:6040234:6048087:-1 gene:Vigun11g041800.v1.2 transcript:Vigun11g041800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQSLSLPTTHITCNRIIQKLDSKVLLWPSPVHTKPTRISSSIRAMGSSASSQSQHADNIQSEAGTGTIDYKSLSDEEWKKRLTKDQFYITRQKGTERAFTGSSTKFNSGTGWPSYYEPLGNNVKSKLDLSIIFMPRQEVLCATCDAHLGHVFDDGPPPTGKRYCINSAALKFKPRE >Vigun11g041800.1.v1.2 pep primary_assembly:ASM411807v1:11:6040234:6048087:-1 gene:Vigun11g041800.v1.2 transcript:Vigun11g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQSLSLPTTHITCNRIIQKLDSKVLLWPSPVHTKPTRISSSIRAMGSSASSQSQHADNIQSEAGTGTIDYKSLSDEEWKKRLTKDQFYITRQKGTERAFTGEYWNTKTSGIYHCICCDTPLFESSTKFNSGTGWPSYYEPLGNNVKSKLDLSIIFMPRQEVLCATCDAHLGHVFDDGPPPTGKRYCINSAALKFKPRE >Vigun04g045700.2.v1.2 pep primary_assembly:ASM411807v1:4:3966326:3967343:1 gene:Vigun04g045700.v1.2 transcript:Vigun04g045700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKHVAFLVFFGLISEALLGAGEAVHEAVIDTKGKKLRADANYHIIPAVPFTICGFVSCFTGGGLALGSVDDESCPLDVVVEKANEGLPLRLLPFDTKKGVIRVSTDLNIFFSDADERCPHHSTVWRLDDFDASVGQTYVTTGGVVGTPNQHTILNWFKILKYEDAYKLVYCPSVCPSCHHPCKDLGVFVDENNRMRLALSDFPFKKPLLLFRVRDQESTKRISPLRVILLLSTSRYPSPLQ >Vigun09g081300.1.v1.2 pep primary_assembly:ASM411807v1:9:9701788:9706165:-1 gene:Vigun09g081300.v1.2 transcript:Vigun09g081300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANERVVAVIMVGGPTKGTRFRPLSFNVPKPLFPLAGQPMVHHPISACKRIPNLAQIYLIGFYEEREFALYVSSISNELKVPVRYLKEDRPHGSAGGLYHFRDLIMEDDPSHIFLLNCDVCCSFPLPQMLDAHKRYGGMGTILVVKVSAESASEFGELVADPTTNELLHYTEKPETFVSDRINCGVYIFTPDIFTAIEGVSTQRKDRANLRRVSSFEAMQPDPRTLPANYVRLDQDILSPLAGKKQLYIYETNDFWEQIKTPGMSIKCSSLYLAQFRHTSPHLLANGNGIKKASITGDVYIHPSAKVHPSAKIGPSVSISANARIGAGARLKHCIILDDVEIKENAVVSHAIVGWKSSIGLWARVQASGDYNAKLGVTILGESVTVEDEVVVFNSIVLPHKTLNVGVQDEILL >Vigun04g065500.1.v1.2 pep primary_assembly:ASM411807v1:4:7233630:7237847:1 gene:Vigun04g065500.v1.2 transcript:Vigun04g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRWTRVSDGKGDHCDSEEMEMGFNVLSGDEIVKSKRLIVRPRIKVWMARAITTVILWTCVVQLMAIGELWGPRLLKGMPHCFSHQDESPAIAQAFVPAKVVFPPKRIHKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKTSFWADPSEFQDIFDVDNFIGSLRDEVRILKQLPPRLKRRVEHGLFYSLPPVSWSNISYYEKQILPLILKHKVVHLNRTDARLANNGLPLETQRLRCRVNFDALRFTSQIEELGRKIVKILRQKGPFLVLHLRYEMDMLAFSGCTHGCDSREVEELTRMRYAYPWWKEKVINSDLKRQDGLCPLTPEETTLVLKALGIDQSIQIYIAAGEIYGGGRRMASLHAAFPNVVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKRTILLDRKHLVQLIDRYTNGSLCWDEFSIMVKKTHANRMGNPKRRVIIPDRPKEEDYFYSNPQECFQFQDEALSST >Vigun04g065500.3.v1.2 pep primary_assembly:ASM411807v1:4:7233636:7237847:1 gene:Vigun04g065500.v1.2 transcript:Vigun04g065500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRWTRVSDGKGDHCDSEEMEMGFNVLSGDEIVKSKRLIVRPRIKVWMARAITTVILWTCVVQLMAIGELWGPRLLKGMPHCFSHQDESPAIAQAFVPAKVVFPPKRIHKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKTSFWADPSEFQDIFDVDNFIGSLRDEVRILKQLPPRLKRRVEHGLFYSLPPVSWSNISYYEKQILPLILKHKVVHLNRTDARLANNGLPLETQRLRCRVNFDALRFTSQIEELGRKIVKILRQKGPFLVLHLRYEMDMLAFSGCTHGCDSREVEELTRMRYAYPWWKEKVINSDLKRQDGLCPLTPEETTLVLKALGIDQSIQIYIAAGEIYGGGRRMASLHAAFPNVVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKRTILLDRKHLVQLIDRYTNGSLCWDEFSIMVKKTHANRMGNPKRRVIIPDRPKEEDYFYSNPQECFQFQDEALSST >Vigun04g065500.4.v1.2 pep primary_assembly:ASM411807v1:4:7233631:7237847:1 gene:Vigun04g065500.v1.2 transcript:Vigun04g065500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRWTRVSDGKGDHCDSEEMEMGFNVLSGDEIVKSKRLIVRPRIKVWMARAITTVILWTCVVQLMAIGELWGPRLLKGMPHCFSHQDESPAIAQAFVPAKVVFPPKRIHKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKTSFWADPSEFQDIFDVDNFIGSLRDEVRILKQLPPRLKRRVEHGLFYSLPPVSWSNISYYEKQILPLILKHKVVHLNRTDARLANNGLPLETQRLRCRVNFDALRFTSQIEELGRKIVKILRQKGPFLVLHLRYEMDMLAFSGCTHGCDSREVEELTRMRYAYPWWKEKVINSDLKRQDGLCPLTPEETTLVLKALGIDQSIQIYIAAGEIYGGGRRMASLHAAFPNVVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKRTILLDRKHLVQLIDRYTNGSLCWDEFSIMVKKTHANRMGNPKRRVIIPDRPKEEDYFYSNPQECFQFQDEALSST >Vigun04g081700.1.v1.2 pep primary_assembly:ASM411807v1:4:12304013:12306016:-1 gene:Vigun04g081700.v1.2 transcript:Vigun04g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIETPYLRQNFTKLQKAKETAKSTAPKVQKVASYLRDRKHSKKHYLPRLVSIGPIDHDKRKLELGENYKLMWAAMYLERTQQHDQTLYQRIASNIEQLKERFAEDVIRDFPGDVEKLSWMLFVDGCSLLQILDRGNLRHPEDLNVKVDQLVLVLQDVLLLENQIPYEVLKLLSDHQNDDTLVDSMNKFLDTNHHLSPANKDRKGKDQCKAKFDNKEGNRSLDEYGYTSDYIRNEDTLHQEHKIEVGKGSPFHLLDQLHRRVVGGESQHQNTKRCFDTTTYRNIKELRAAGIKLKLGKSRRLRDIFFSYRWKFLCADLTLPEITVDDTTATTFHNLIAYEMCPDFENKFEISSFVAFMDSLIDHPEDVKELRSAKVLHNSLGSDEEVANLFNTISADLVPNCEIYSDVRREIEKHYKKKYRAWIALGYHTYFSNPWAIIGFFAALFALVLTFIQTWFAIPPKC >Vigun11g001600.1.v1.2 pep primary_assembly:ASM411807v1:11:194838:199751:-1 gene:Vigun11g001600.v1.2 transcript:Vigun11g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIMSPQWQDKAAGFFSSSGVKLKEAKESAGTFVGEVTKDTKSNVAEVAGRVGSMVKSRWALLQQPSTRHAVQDRLISAAATTGTLLRRGLSGTKDKVVVGKSKVEEVAKITAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQDYCKPIPRILVNCADYLIVSGLNSLDLFKSEGNKKVIHQLVSLYNQDSTASVPEGTNPVDVAALVKYYLASLPEPLTTLELYNEIRGARSSIYSMRNILKRLSSVNYMTLEFITALLLRVSQKSLLNKMDARSLAMEMAPVIMWQKENRPEFYRQYWNQMSKSPSEKSVDTPPGSYTAWDMLADDGEAIDASSPIPLDDGTPVDFGAIEVIQLLVEHHNAIFTDANETVWK >Vigun05g180700.1.v1.2 pep primary_assembly:ASM411807v1:5:34428502:34436000:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELGGFRIYNSMTQQKEIFKTKTPGKVSMYVCGVTSYDFSHLGHARAAVSFDILYRYLRHLDYEVTYVRNFTDVDDKIIKRANETREDPLMLSNRFCDEYNLDMADLQCETPSKEPRVSQHLGEIKDMITQIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.3.v1.2 pep primary_assembly:ASM411807v1:5:34428511:34435999:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELGGFRIYNSMTQQKEIFKTKTPGKVSMYVCGVTSYDFSHLGHARAAVSFDILYRYLRHLDYEVTYVRNFTDVDDKIIKRANETREDPLMLSNRFCDEYNLDMADLQCETPSKEPRVSQHLGEIKDMITQIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.6.v1.2 pep primary_assembly:ASM411807v1:5:34429448:34436004:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILIWLIFSVRHRLRNLVFLNTLIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.2.v1.2 pep primary_assembly:ASM411807v1:5:34428502:34436000:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILIWLIFSVRHRLRNLVFLNTLIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.7.v1.2 pep primary_assembly:ASM411807v1:5:34428511:34435997:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELGGFRIYNSMTQQKEIFKTKTPGKVSMYVCGVTSYDFSHLGHARAAVSFDILYRYLRHLDYEVTYVRNFTDVDDKIIKRANETREDPLMLSNRFCDEYNLDMADLQCETPSKEPRVSQHLGEIKDMITQIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.4.v1.2 pep primary_assembly:ASM411807v1:5:34428511:34436003:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILIWLIFSVRHRLRNLVFLNTLIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.8.v1.2 pep primary_assembly:ASM411807v1:5:34428511:34435997:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILIWLIFSVRHRLRNLVFLNTLIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun05g180700.5.v1.2 pep primary_assembly:ASM411807v1:5:34429448:34436004:-1 gene:Vigun05g180700.v1.2 transcript:Vigun05g180700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEELGGFRIYNSMTQQKEIFKTKTPGKVSMYVCGVTSYDFSHLGHARAAVSFDILYRYLRHLDYEVTYVRNFTDVDDKIIKRANETREDPLMLSNRFCDEYNLDMADLQCETPSKEPRVSQHLGEIKDMITQIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGPEKNEKVPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLQEVEKEVAKVLDVLGLLSSKSYAEVLQQLKEKALKRAGLAEGELLHLIKERTQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVEEKQSIPSVNKKVEELPGDREGNGPRTT >Vigun06g219300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32985796:32986131:1 gene:Vigun06g219300.v1.2 transcript:Vigun06g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLSMVLSVVVLTFSWMMIESRIAVARVVPKSELPLDSKTAVPTLPVPLPVSLPDLPTLPVPVPNPSVPVPSLPVPTTSLPSLPSLPSLPSLPVLPIPTLKVEGVKIP >Vigun10g063000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:13511841:13515241:1 gene:Vigun10g063000.v1.2 transcript:Vigun10g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQPPQAHRPSTSCPRHPDEAFTGFCPSCLCERLALLDPNNNNSPATARKPPSTAAAALKALFRPPAFPELRRTKSFSASKNEPFSTSFEPQRKSCDVRVRSTLSNLFHQDLKPQNDNPPELNDVLPRVHDHPEDKQEAQEEEEDEKDEIRVVEEPKANVNEIVEVIEELEVEEIDTEKEKEKEKEEELVAIVEEEEELKTMKDHIDLDSSQAKQQKARDFKDLAGSFFSAASVFSKKLQKWRQKQKAKKRRNGALPVEKPIGRQFRDTQSEIADYGFGRRSCDTDPRFSLDAGRFSLDAGQFSLDAGRMSFDDPRYSLEEPRASWDGYLLGRSNFPRMPTMLSVVEDAPVAPLQHVVRTDTQIPVEEEPVNDDEGIPGGNAQTREYYSDSGSRRRKSLDRSNSVRKSVSLEVDELSNNGSGGANGNGNLNVNVNVNAKVAPSGVDYVQGVRMGFNEREFGSNSMREDCYSEKMFDVGVIGNGDGNGNGKGGKKGRRWRWSIWGFIHRRGGGNKDEDEDRYSRVNGVERSYSETWGGDGRGGGGGGGGGGGGFNGRMLRSNSSVSWRNAQGVGNGGGGFGGFRRNGVQGNGNGKKGKEEFVLERNRSARYSPNNIDNGLLRFYLTPMRGSRRNGSVKSRSNQAHSIARSVLGLY >Vigun07g116600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21532450:21533739:-1 gene:Vigun07g116600.v1.2 transcript:Vigun07g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTARLPTTEPSRGKRRRRASSFSPERPISNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQEQGVEVDLTEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLHQNVRGPYPGMTPPEFFTYLQWPGDSPIFLGGGGPDAGEGPSGAADADGADIEDEIDFGGD >VigunL040852.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:384146:384760:1 gene:VigunL040852.v1.2 transcript:VigunL040852.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun06g202900.1.v1.2 pep primary_assembly:ASM411807v1:6:31741958:31745588:1 gene:Vigun06g202900.v1.2 transcript:Vigun06g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQWVSPCGNKCTNKYSALTQIPWRVFCKKGCGSEGETWEECLEYCNLMCYKDPVLKDQQWSAYIDRSPGAASYSAECFHACVSGCGYKFDVKKEKVDEVTPNRRPKPAPVEKPRPQPQEPSDLSEDVLSTSA >Vigun06g202900.2.v1.2 pep primary_assembly:ASM411807v1:6:31742600:31745588:1 gene:Vigun06g202900.v1.2 transcript:Vigun06g202900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIIARAKDYTWQISGLEYCNLMCYKDPVLKDQQWSAYIDRSPGAASYSAECFHACVSGCGYKFDVKKEKVDEVTPNRRPKPAPVEKPRPQPQEPSDLSEDVLSTSA >Vigun03g213600.1.v1.2 pep primary_assembly:ASM411807v1:3:35490898:35493173:1 gene:Vigun03g213600.v1.2 transcript:Vigun03g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKLNGGSSMTLLLQKETLPCSPDHIQTFWVQNSEPSFQGSKSSVNVVNVRDRPFFRGVEREENEDDEDFEVFPHQPGKKRRLTSEQVQFLESNFEVENKLEPERKVQLAKELGMQPRQVAIWFQNRRARFKTKQLEKDYGILKARYDILRRDYDNLVQENDKLKEEVYSLMKVIPSEKEEQNLDDTSCGAVNSEHKEQTDMIINGGSENESKVTLPVMEIIKQKDANSAKSDVLDSESPHFTDGNQSSLFEPADSSHALEPDHSDFSQDEEDILSQNMPLLPKFEVEYVCYDEPPENPFTFRFPVEDQTFCFWSC >Vigun03g435900.2.v1.2 pep primary_assembly:ASM411807v1:3:63974783:63979390:-1 gene:Vigun03g435900.v1.2 transcript:Vigun03g435900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQESSEVLEKHAGSGSHARGNSEYVRLVIPDETRAVESEILLPRAESRLQSFWWWTKSSLWCVVIVLLAFILIRWGVPLFFKKAVYPFMAWEAAAFGRPVLALVLVASLALFPVFLIPSGPSMWLSGMIFGYGIGFAIIMFGTTVGMVLPYLIGLPFRDRIHRWLRRWPRNAAMIRLAGEGNWFHQFQVVALFRVSPFPYTIFNYAVVVTNMRFWPYLGGSVAGMVPEAFIYIYSGRLLKTLADAQYGRHHLTTVEIVYNIISFFSAIVTTIAFTVYAKRTLNELKLTGLNEEVTSVSEKGCFELEKLPLERPKQPSWSKFS >Vigun03g435900.1.v1.2 pep primary_assembly:ASM411807v1:3:63974783:63979745:-1 gene:Vigun03g435900.v1.2 transcript:Vigun03g435900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQESSEVLEKHAGSGSHARGNSEYVRLVIPDETRAVESEILLPRAESRLQSFWWWTKSSLWCVVIVLLAFILIRWGVPLFFKKAVYPFMAWEAAAFGRPVLALVLVASLALFPVFLIPSGPSMWLSGMIFGYGIGFAIIMFGTTVGMVLPYLIGLPFRDRIHRWLRRWPRNAAMIRLAGEGNWFHQFQVVALFRVSPFPYTIFNYAVVVTNMRFWPYLGGSVAGMVPEAFIYIYSGRLLKTLADAQYGRHHLTTVEIVYNIISFFSAIVTTIAFTVYAKRTLNELKLTGLNEEVTSVSEKGCFELEKLPLERPKQPSWSKFS >Vigun04g071600.1.v1.2 pep primary_assembly:ASM411807v1:4:8323241:8324040:1 gene:Vigun04g071600.v1.2 transcript:Vigun04g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNAGQAKGQAQEKASNMMDKASDAAHSAQDSMQEGGQQMKEKAQGAADGIKNALNSNN >Vigun10g087900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25322475:25325907:-1 gene:Vigun10g087900.v1.2 transcript:Vigun10g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQLLVVKVVLADAEKRQITDSNVKEWLDLLNDLVYEVDDLLDEVSTKVATKKEVSNPFSHLFKRNKVVSISKLEDIVGRLDEILKQKESLDLREIPVENNQSWKAQTTSLEDRYEIYGRDKDRETIMKLVLEDSSDGEEVSVIPIVGMGGVGKTTLTRSVYNDGNLNQIFELKAWVCVSDIFDIVKVTKTMIEEITQKPCKLSDLNSIQLDLLDKLKGKKFLIVLDDVWIEDCESWSSLTKPFIRGIRGSKVLMTTRNESVAAVVPFHSVKVYHLSKLSNEDCWSVFASHAFPLSEGSENRGALEKIGKEIVKKCNGLPLAAQSLGGMLRRKHDIRDWNNILESDIWELSESRCKIIPALRISYNYLPSHLKRCFVYCSLYPKDYEFHKDELIPLWMAEDLLKATKKGKTLEEVGHEYFDDLVSRSFFQCSSRRNWGSYFVMHDLMHDLATFLGREFYFRADELGKETKIDRKTRHLSFKRFSDSVLDTEVLDKVKFSRTFLPINFKDSPFNNEKATRIIVSLKYLRVLSFSYFQSQLALPDSIGELIHLRYLNLSGTSIATLPDSLCNLNNLQTLMLSSCLNLTKLPSAMQNLVNLRHLEIIGTPIKEMPKRMGKLNQLQNLDIYIVGKHVENSIKELGGIPNLHGYFCIKNLENVTKGEEALEARIMEKKHINTLRLEWSACNDNCTEFQIELDVLSNLQPHQDLKWLSISGYKGTRFPEWIGNFSYQNMTNLSLRNCKSCCKLPSLGQLPSLKKLEISDMNSVKTIDAGFYKKEDCSSMIPFPSLESLHIYDMPCWEEWSGFDSKAFPVLKVLYIFSCPKLKGDLPNHLPALGKLRITNCELLVSSVPRAPTLQVLEILESNKLAFDVFPLMVESIEIKGRPMVESVMETITNIQPTCLRYLALEDCSSVIGLCIYFSYGL >Vigun01g095100.1.v1.2 pep primary_assembly:ASM411807v1:1:25922690:25925459:1 gene:Vigun01g095100.v1.2 transcript:Vigun01g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERTVPGSAIKVVSKKTLCFFFGMTTLLFMLSWLFVLRSTQSDATSNSSLFSSFNDSYSDPVEKKYVEEVEPSIGNRAILGDSIEAEAATTTTTTSRDVVQQQQPPPQQQEVPEEQEQEQGVKCKPRVLRVFMYDLPPEFHFGLLDWTPQENADSVWPDIKAVSPHYPGGLNLQHSIEYWLTMDILDSEVPEAEAESKAKNVMRVRNSSDADVIFVPFFSSLCYNRFSKTGPHEKRSRNQVLQEKLVHYVTAQEEWKRSGGKDHVILAHHPNSMLYARTKLWPGTFILSDFGRYPPTVANVEKDVIAPYKHVVKSYDNDQSTFGSRPILLYFQGAIYRKDGGHVRHELYYLLKREKDVHFSFGSVQKGGIKKATEGMRSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPYEDVLDYSQFCIFVRTRDALKKRYLIDFIRSIGEEEWTRMWNRLKEVEIFYEFQFPSKEGDAVQMIWQALKRKVPFMKLKTNRSRRFFRSLQRSEHRSIPAPSSFL >Vigun06g012600.1.v1.2 pep primary_assembly:ASM411807v1:6:5754890:5758655:1 gene:Vigun06g012600.v1.2 transcript:Vigun06g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSEKCHVVCVPFPAQGHVIPFMQLSKLLLCSGFQITFVNTEFNHKRLVKSLGEDFVKGQPGFQFETIPDGLPPSEKDATQSIAALCDATSKHCFEPLKELVKKLNSSDEVPLVTSIMYDGLMGFVGKVARELNVAEQQFWTASACGLIGYLQFDEVVRRGIIPFQDESFTTDGSLDKNLDWISGMKNMRIRDLPSFVRTTTLDETNFICFGLEAKTCMRSSSIIINTFQELEDEVLNALMAINPNIYNIGPLQLLGRHFPDKDNGFKTSGSNLWKNDLGCIKWLDQWQPSSVIYVNYGSITVMSEDHLKEFAWGLANSNLPFLWIKRPDLVMGECTSLPQDFLDEVKGRGYITSWCPQDEVLSHPSVGVFLTHCGWNSTVEGICGGVPMIGWPFFAEQQTNCRYICTNWGIGMDVKDDVKREEVTALVKEMIMGEKGKKMRQKCVEWKKKAVQATALGGSSYNDFYRLLKEVHHHDAI >Vigun01g060900.1.v1.2 pep primary_assembly:ASM411807v1:1:12773647:12779102:-1 gene:Vigun01g060900.v1.2 transcript:Vigun01g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSFKVAVLGSGISGAVCASTLARNGVSVTLFESARGPGGRMSQRREKTEDGKELHFDHGAPFFSVGNSEVLRLVEEWESRGLVAEWKEKFGFFDFHTHKFNNIEQEGLSKRYVGVPGMNAICKSLGSENGVESKFGVGIGRIEWLHDEKEWSLTGVDGQNLGQFKGLVASDKNIVSPRITQVTGRLPLLDTNLVPELSEKLLNLPVKPCFIVMLAFAEPLSTIPVKAFSFENSKVLSRAYCDSSKPGRSTASERWVLHSTPEYAEDIIAQTGLKKPSDITLNKVAEQLLQEFQSTGLVISQPFFKKAHRWGSAFPAASIAPEEKCLWDRNKKLAICGDFCVSPNVEGAIDSGLAAALKLRDSVSSL >Vigun01g060900.2.v1.2 pep primary_assembly:ASM411807v1:1:12775299:12779102:-1 gene:Vigun01g060900.v1.2 transcript:Vigun01g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSFKVAVLGSGISGAVCASTLARNGVSVTLFESARGPGGRMSQRREKTEDGKELHFDHGAPFFSVGNSEVLRLVEEWESRGLVAEWKEKFGFFDFHTHKFNNIEQEGLSKRYVGVPGMNAICKSLGSENGVESKFGVGIGRIEWLHDEKEWSLTGVDGQNLGQFKGLVASDKNIVSPRITQVTGRLPLLDTNLVPELSEKLLNLPVKPCFIVMLAFAEPLSTIPVKAFSFENSKVLSRAYCDSSKPGRSTASERWVLHSTPEYAEDIIAQTGLKKPSDITLNKVAEQLLQEFQSTGLVISQPFFKKAHRCYQQ >Vigun03g100900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8683623:8685818:1 gene:Vigun03g100900.v1.2 transcript:Vigun03g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNSTTGSNSGRTEPIAQNIIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLQSTPALTNLFTQSQNATFQIDSSVIKTGEDLAPSPQDPPLPPPTPSAAAVTEAVIEKTEQRILNSTANSTAPPLPSVADCDLTLNCSDPRVLIAVQRFNLRAFKSIAFFDYQPPMNGSSTGECDVAWRFRNKRERSWRKYRDFRRFKISVTDDCRYKVVHAGGWHSGANARRNFTRTGAGRGGKTLPPRVSARDDEINDTIPTLGSESNFRNGKYLYYSRGGDYCKGMNHYLWSFLCGLGEAMYLNRTFVMDLSVCLASSYNPSNKDEEGKDFRYYFDFEHLKETASIVEEGEFLRDWKKWDKTHLKKKRVPVRKVVTHKVTPMQLKKDKSTIIWRQFDAPEPENYWYRVCEGEAAKYIQRPWHALWKSKRLMNIVTEISGRLDWDFDAVHVVRGEKAQNKELWPHLDYDTSPDAILEKLKGMVQPWRHLYVATNEPYYNYFDKLRSNYKVHLLDDYKEMWGNTSEWYNETTLLNNGKPVEFDGYMRVAVDTEVFYRGKTRVETFYNLTKDCKDGVNTC >Vigun05g049700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:4292397:4294421:-1 gene:Vigun05g049700.v1.2 transcript:Vigun05g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVSSLSLGFPPNPTPNIFQVFSFTPSKTQQTRPPRFTTPRKHRTKKVKPFTEKDAFPCSLPLHNKNPIFIYKDIKRFARQNKLKEALTILDYVDQRGIPVDATTFSSVIAACIRTKSLAQGREVHIHIRINGLESNVFLRTKLVQMYTSCGSSEEARKLFDGLPCESVYPWNALLRGTVVSGERQYIELLKTYAEMRALGVQLNVYSFSNVIKSFAGASAFSEGLKTHALLIKNGFVDNYILRTSLIDMYFKCGKVRLACRVFEEIPERDVVAWGAMLAGFAHNRRQREVLEYVRWMVKEGVNPNSVVIAIAVPVIGEVCARRLGQEFHAYVLKTKSYSKQVPVQSALIDMYCKCGDMISARRVFYGSKERNVVCWTALMAGYAVNGKLEQALRSTIWMQQEGFKPDVVTIATVLPVCAQLRALEQGRQIHAYALKRWFLPNVSITSQLMMMYSKCGVVEYSRRLFDNMEERNVISWTAMIDSLIKNGHLCEALGVMRSMQLTKYRPDSVAIARMLSVCGELKLVKLGKEIHGQILKKDFASVPFVSAELINTYGLFGDVNKAKLVFNAVPVKDSITWTALIKAYGYNELYHDAIGLFYHMGASPNHFTFAAILSICDRAGFVDDACRIFNLMPKYKIEASKEHFAILVQLLTRNGQLEKAQRFEQMSSFL >Vigun08g101500.1.v1.2 pep primary_assembly:ASM411807v1:8:25111376:25113523:1 gene:Vigun08g101500.v1.2 transcript:Vigun08g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSRGYCKWKNGTNGATECYDCQTKGIPTSTVVLITAGGLVGLMLLLLLVKTLLYAYDYYEKRGEDQARIEKFLEDYKAMKPTRFTYADIKRITNGFSESLGEGAHGAVFKGMLSREILVAVKILKDTVGDGKDFINEVRAIGKIHHVNIVRLLGFCADEFHRALVYDFFPNGSLQRFLAPPDKKDVFLGWEKLQQISLGVGRGIEYLHLGCDHRILHFDINPHNVLLDDLFVPKITDFGLAKLCPKNQSTVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTNVSVEDSFQVLYPEWIHNLVEGKDVEISVEDEGDTKIAKKLAIVGLWCIQWNPADRPSMKTVVQMLEGDGYELVAPPSPLHISGSSTTNTIVPARRQNFELEVIHEIEENSVDHF >Vigun10g157300.1.v1.2 pep primary_assembly:ASM411807v1:10:37682213:37688438:1 gene:Vigun10g157300.v1.2 transcript:Vigun10g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAADRFRHLFNRSFLLHRHRHHHHNHNHPPFPASSSLSSRSPFSPYRFFFSTPFSSMASDSPFPVTAQNINPKVLKCEYAVRGEVVTLAQNLQKDLLANPGSHPFDEILYCNIGNPQSLGQPPITFFREVLALTDHPAILDKSETQGLFSADAIERAWKIVEQIPGRATGAYSHSQGVKGLRDTIAAGIEERDGFPANPDDIFMTDGASPAVHNMMQLLIRSENDAILCPIPQYPLYSASIALHGGFLVPYYLNEASGWGLEIPELKKQLDAAKSKGINVRALVVINPGNPTGQVLSEENQRHIVDFAKQEGLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDITLVSFQSVSKGYHGECGKRGGYMEVTGFSAEVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESFMAERGNILASLARRAKTLEDAFNKLEGVTCNKAEGAMYLFPQIRLSQKAIKAAGEAKTAPDNFYCKRLLNATGVVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIVSRLTEFHKKFMDEFRD >Vigun08g013200.2.v1.2 pep primary_assembly:ASM411807v1:8:1130531:1134041:-1 gene:Vigun08g013200.v1.2 transcript:Vigun08g013200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKEEDAADWVYRGEGAVNLVLAYAGSSPSFIGKVIRLRKAPKNGLEAKGVSVRNSIALTPQERILWKDVDQLISSSDKEIVGQLYVEHVMKPLLGSNYVDAGTHILVTREFLELVEKNVTDQRPVWRVDAAQVDTHCDFGLLMSDHSLFAHGSPRPSPCISVEIKPKCGFLPFSRFISEGNASKRRITRFEMHQILKLHQGEISRLSEYNPLDLFSGSTERIQKAIKGLLITPQNNFRVFLNGSLILGGLGGVAKNTDVCIAQKFEDELKSVIEVDDGLCTENLLNLVTEALQKSGVLDKLLEVQKLDSVDIEGVIHAYYNITSEQCMVCKELSEEQAKIYASLHSASFDESLRIVKDYLIAATAKDCSLMLCFRPRNEEDSGLVYNNVYLESTKQTFDFKVYFIDLDLKRLSKVRDYYELDKKIVSRYRQKIKMDRGRNEVTGLKACNGVS >Vigun08g013200.1.v1.2 pep primary_assembly:ASM411807v1:8:1129975:1134039:-1 gene:Vigun08g013200.v1.2 transcript:Vigun08g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKEEDAADWVYRGEGAVNLVLAYAGSSPSFIGKVIRLRKAPKNGLEAKGVSVRNSIALTPQERILWKDVDQLISSSDKEIVGQLYVEHVMKPLLGSNYVDAGTHILVTREFLELVEKNVTDQRPVWRVDAAQVDTHCDFGLLMSDHSLFAHGSPRPSPCISVEIKPKCGFLPFSRFISEGNASKRRITRFEMHQILKLHQGEISRLSEYNPLDLFSGSTERIQKAIKGLLITPQNNFRVFLNGSLILGGLGGVAKNTDVCIAQKFEDELKSVIEVDDGLCTENLLNLVTEALQKSGVLDKLLEVQKLDSVDIEGVIHAYYNITSEQCMVCKELSEEQAKIYASLHSASFDESLRIVKDYLIAATAKDCSLMLCFRPRNEEDSGLVYNNVYLESTKQTFDFKVYFIDLDLKRLSKVRDYYELDKKIVSRYRQKIKMDRGRNEVTGLKACNGHPLPATGIAVVEQL >Vigun09g119100.1.v1.2 pep primary_assembly:ASM411807v1:9:26274047:26275654:1 gene:Vigun09g119100.v1.2 transcript:Vigun09g119100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTQADVQVSLKVVVNKETNKVLFAEAEKDFVDVLCSFLTLPLRTIARLVENESTMGPVTIGSLNSLYRSVAALDNNCLWQQEDKKILLHPRNMAEDFCNSLKLNIDDTQPKIYFVCESISYLCDSICCTSNSYCRCGKPRNQEASLKSSLKGFVNDVATFVITDDLIVMPNSMDYSSFAHLQNSGIKHPCLLKEIIVNVTRKKSCLTDFLLEKKPIIQTPTFLSRSAENNSSIKFKLKLVIRKSDGKFLYAQGDKDFADMVLSFLTFPLGGVVRKLGGNSCVGSIDGLYKSVSGIDENLYFMSKAAKNRLVDPCLFPQLKLSIQILPILDSSELKYLVYHRYIDFGKKSFVHYLKADESVPANASNSNFLSLSGSESPGISDKGIVKGSKMFSVTDDLVVAPSSLFADLNLISSLNISFLDVKEKVVTIGLMECLNILNASLTTTSALSIGLRHLIS >Vigun11g193300.2.v1.2 pep primary_assembly:ASM411807v1:11:39250438:39253235:1 gene:Vigun11g193300.v1.2 transcript:Vigun11g193300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RNLAGKRFWYGDGSRIIVTTRDKHLLDVGEIKNRYEVKVLNNQESLELFCQRAFGNSFPETKYEDLSNRAISCCKGLPLALRVLGSHIKGKDFGAWLKALERYEKSPHRDVQKVLRISYDSLPLNEKNIFLDIACFFKGQRSEYVERVLDACDFNSGDGIDTLVNKSLLTVDSQSKCLEMHDLIQDMGKEIVKEEAWNEIGERSRLWFHEDVLQVLDGNLGSGKIQGLMLDPPQREEIKCTDTVFEKMKNLRILIVRNTSFSHEPSCLPNNLRLLDWKNYPSQSFPSEFNPRKIGAFNLSRSPLLKLEKPFKRFQHLTYMNISYCNMVTEFPDVSEAKNLRELRLDGCEKLATIHESVGLLGNLVFLSASECNLLTKFVPTMYLPSLEYLSLNLCTKLAFFPEISGTMNSKLKINMLDTAIKKLPESIEKLTGLNSLEMTDCKELQHIPSTLFTLPNFVTLKVGGCRRLRESFTRIKGSDSVHPKLETLHFDNAYLSDEEVRMIMYHFPNLKELNISCNPVVHLPACIKESTNLTSLHLRYCHRLQEIPALPSSVQKVDAKYCYSLTSKSSNIMWSQVCKEMKRLEVAMPKKKIPEWFDHVNEGGIPFFNARKKLSAVALALVFGEVDAKPDREIMEGRWRTVGMHLFIEGERRRYHNFSVAENHVLLCDLRVLFNLEERGDLGFGVGKDWKSIQVFCETNLSLCSWGVYVYKTETNMEDIKFTSEDPGSSLVWSDDQIISDMHKIASKKISLNLPEMHREFRIWRTGSRKHGSFKDGSSRDLEKASRRVMALREEEGGSGMNIEDEKNEEVDSLLDMLATAELDKHFH >Vigun06g056600.1.v1.2 pep primary_assembly:ASM411807v1:6:18299668:18304936:1 gene:Vigun06g056600.v1.2 transcript:Vigun06g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFMALCSVLAILILQHSLVALVSSAGPPSGWKTLRGSPPVVIARGGFSGIFPDSSSLAYNLALNTSNPDVILWCDVQLTKDGTGICFPELKLDNATDISVVYPDKAKDYFVNGVSTRGWFTADYNFKELANVSLVQGVYSRTPKFDGNKLPILHVEEVANLIKSPSTGLWLNIEHDAFFKQQNLSVETFLRSLFAKNVTVSYISSPDVGFLRRVKSIFCHGTTSLIFRVLEQSKIEPKTNQSYGELLRNLAQIKTFASGILVPKGYIWPVDSNLYLQPHTSLVSDAHKKKLQVFVSDLINDVPFSYNFSYDPVAECLSFFNVGGFSVDGVLSDFPVTPSAAINCFSGLGENPTKQVDTLVITKYGASGDTPACTDIAYKKAKSDGADVLDCPVQMSKDGTPFCLSSVDLSESTTVANTNFKTRATTIQEIKGNSGIYTFSLTWDEIKTLIPSILKPYAKYRLIRNPKLENQGKLITLSEFLSLTEGSRILIGIENAAYLAEKQNLSVVNAVLDALKKTKPRSHKVMIQSTHSSVLKKLDKSKFERVYKVDENIGDAAETAIKDIKTFADSVVIRKESVFPETEAFLVNSTNIVEKLKSFKLRVYVETFSNEFVSQAWDYYSDASIEINSFVIGTKVNGIITDFPKTADRYRRNLCLKHGKKVPYMSPIEPGKLYQQISELFLPPLPPPSPILIVSNVTEAPLPSVSGRVPTA >Vigun06g056600.2.v1.2 pep primary_assembly:ASM411807v1:6:18299668:18304936:1 gene:Vigun06g056600.v1.2 transcript:Vigun06g056600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFMALCSVLAILILQHSLVALVSSAGPPSGWKTLRGSPPVVIARGGFSGIFPDSSSLAYNLALNTSNPDVILWCDVQLTKDGTGICFPELKLDNATDISVVYPDKAKDYFVNGVSTRGWFTADYNFKELANVSLVQGVYSRTPKFDGNKLPILHVEEVANLIKSPSTGLWLNIEHDAFFKQQNLSVETFLRSLFAKNVTVSYISSPDVGFLRRVKSIFCHGTTSLIFRVLEQSKIEPKTNQSYGELLRNLAQIKTFASGILVPKGYIWPVDSNLYLQPHTSLVSDAHKKKLQVFVSDLINDVPFSYNFSYDPVAECLSFFNVGGFSVDGVLSDFPVTPSAAINCFSGLGENPTKQVDTLVITKYGASGDTPACTDIAYKKAKSDGADVLDCPVQMSKDGTPFCLSSVDLSESTTVANTNFKTRATTIQEIKGNSGIYTFSLTWDEIKTLIPSILKPYAKYRLIRNPKLENQGKLITLSEFLSLTEGSRILIGIENAAYLAEKQNLSVVNAVLDALKKTKPRSHKVMIQSTHSSVLKKLDKSKFERVYKVDENIGDAAETAIKDIKTFADSVVIRKESVFPETEAFLVNSTNIVEKLKSFKLRVYVETFSNEFVSQAWDYYSDASIEINSFVIGTKVNGIITDFPKTADRYRRNLCLKHGKKVPYMSPIEPGKLYQQISELFLPPLPPPSPILIVSNVTEAPLPSVSGRVPTA >Vigun03g043600.1.v1.2 pep primary_assembly:ASM411807v1:3:3502323:3511138:1 gene:Vigun03g043600.v1.2 transcript:Vigun03g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKSSSKEVKRKENRESIIHTIQRKLKNASEEKCNNKSVRSRRYRDDAISKKGSRSLAPSRSPSPSTHVSRCQSFAERPLSQPLPLPGSLPLPGSHLSDAIAANSGVILTSKLDRAINSKPSLYFPLPIPGFVSNKEDATDMEGEIATASVSSESSIDSGNSFDSPHFVSPLASDYENGNRATINSSFSLVRKNQPLITIQRNSRTFSKTSPKLCNNKPLSTSPRGVPLHLQNLQVARPGGLRSAPGSSVSSPRNPMGAFGPEQMLNSELQTTKPYPDIASGHSYSLVSGRNSNHISVGGDLLGQMILPQNRCSPECSPIVSPRMRSPGPSSRIQSGTVTPLHPKAGGTAAEAHTRRLDDVKQKNHQLPLPPITVTKPCPFSPTYSASTTPSAPRSPAGSETAISPGSRWKKGQLLGRGTFGHVYLGFNRESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAMLSRLRHPNIVQYYGSETIDDRLYVYLEYVSGGSIYKLVKEYGQLGEIAIRNYTRQILLGLAYLHAKNTVHRDIKGANILVDPNGRIKLADFGMAKHISGPSCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPDHLSEDGKDFVRLCLQRNPQNRPSAAQLLEHSFVKNAMLERSILSAAPSEDPTAIINAVRSLVIGPAKRNSCLDSEVAGINPPRNLKAGSGSSDPHAPREISCPISPSFPYKSLHTSGRMSPPISSPHTASGSSSPLTSGGGAIPYHQTKQSIISHEVSGMIQKSHQGAIPISSPRTASGSSSPLTSGGGAIPYHQTKQSMLSHEVSGMIQKSHHGVIPISSPRTASGSSSPLTSGGGAIPFHQSKQQLFSHEVVGMIQKSQNGAIPMSSSRTASGSSSPLTSGGGAVPFHQTKQPLFSHEVLSRIQKSQNGGGAPISGPRTASGTYSPLTSGGGAIPFHQIKQPLFSHEVSGMIQRSQNGSIPISSPPTPSGSSSPLTCGGGAIPFHQTKQPPFSHEVVGMTQRSQTRTASGSSSPLTSGGGAIPFHQTKPQLFSNELVGMIQRSLDGPIPTASNRTACGSSSPLTSGGSAIPCLQTKQSQISHEVVGMVQNPQHGFYSFGNTPPHQGSEYAQFGRNLQTTHAYRDVGSSDSNRSRRVVQGDPIEFRDGKSYLADCVSQQLLRDYVRLHACLDLKSDAPNPDRTNGL >Vigun09g177400.2.v1.2 pep primary_assembly:ASM411807v1:9:34946922:34956087:-1 gene:Vigun09g177400.v1.2 transcript:Vigun09g177400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGVPIRIPERNKDSWDSQSKDKTEKEGFDRRLSPAHPSPLRSPFRLLSDNFNSKLGITENGLSSDPYIIGTPRNRHKLILFFLKFSLVFVVILALAGSFWWTISISTASRGHIYHGYRRLHEQLVSDLLDIGEISYAPSRLKELEFCYKEFENYVPCFNISDNLALGFSDGNEFDRQCGHELRQDCLSLSPPNYRIPLRWPTGRDIIWVANVKLTAEEVLSSGSLTKRMMMLDEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFVNAGVRTILDIGCGYGSFGAHLFQNQLLTMCIANYEPSGSQVQLTLERGLPAMVASFNSKQLPYPSLSFDMLHCARCGIDWDRKDGILMIEADRLLRPGGYVVWTSQLTNARDKNTQERWKSIQSFAENLCWDMISQQDETVVWKKTSKKNCYSSRKNSSPPPLCGRGYDVESPYYRELQNCIGGTHSSRWISIEKRKTWPSRDQLNKKELENFGLQYDEFSEDSESWRASVRNYWSLLSPLIFSDHPKRPGDEDPPPPYNMLRNVLDMNAHFGGFNSALLHARKSVWVMNVVPLKAPNYLPLILDRGYVGVMHDWCEAFPTYPRTYDLVHAAGLLSLEFARQRRCAMLDVFIEIDRILRPEVLRGKIENC >Vigun09g177400.1.v1.2 pep primary_assembly:ASM411807v1:9:34946922:34956087:-1 gene:Vigun09g177400.v1.2 transcript:Vigun09g177400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGVPIRIPERNKDSWDSQSKDKTEKEGFDRRLSPAHPSPLRSPFRLLSDNFNSKLGITENGLSSDPYIIGTPRNRHKLILFFLKFSLVFVVILALAGSFWWTISISTASRGHIYHGYRRLHEQLVSDLLDIGEISYAPSRLKELEFCYKEFENYVPCFNISDNLALGFSDGNEFDRQCGHELRQDCLSLSPPNYRIPLRWPTGRDIIWVANVKLTAEEVLSSGSLTKRMMMLDEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFVNAGVRTILDIGCGYGSFGAHLFQNQLLTMCIANYEPSGSQVQLTLERGLPAMVASFNSKQLPYPSLSFDMLHCARCGIDWDRKDGILMIEADRLLRPGGYVVWTSQLTNARDKNTQERWKSIQSFAENLCWDMISQQDETVVWKKTSKKNCYSSRKNSSPPPLCGRGYDVESPYYRELQNCIGGTHSSRWISIEKRKTWPSRDQLNKKELENFGLQYDEFSEDSESWRASVRNYWSLLSPLIFSDHPKRPGDEDPPPPYNMLRNVLDMNAHFGGFNSALLHARKSVWVMNVVPLKAPNYLPLILDRGYVGVMHDWCEAFPTYPRTYDLVHAAGLLSLEFARQRRCAMLDVFIEIDRILRPEGWIIIRDTVPLIESARALTKRLKWDARVVEIESDSDQRLLICQKPFFKKQAN >Vigun07g055400.1.v1.2 pep primary_assembly:ASM411807v1:7:5994724:5998425:-1 gene:Vigun07g055400.v1.2 transcript:Vigun07g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTFPSVSKCSSIGREKDTVVADMDGTLLIGRSSFPYFALVAFEAGGLLRLLFFVLASPIAALLYYFISESAGIQVLIFAAMAGMKVSSIESVARAVLPKFYAEDVHPETWRVFSSCGKRCVLTANPRVMVEPFLKEFLGADVVLGTEIGTFKGRATGLVCSPGILVGNKKAEALLNTFGDETPHVGLGDRQTDAPFMALCKEGYIVPPKSDVKSVSTDKLPKPIIFHDGRLVQKPTPLIALLTILWIPIGFPLACLRIAAGSLLPMHMVYYAFWALGVRVLIKGTPPPQLTKSNPNPNTSGVLFICSHRTLLDPIFLSAALGRPIPAVTYSVSRLSEIISPIKTVRLSRDRATDAAMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPKDLTCGAGNKSSHDVANYIQRVIASTLSYECTGFTRKDKYRALAGNDGVVVDKAHVAKANKVMGC >Vigun02g140500.1.v1.2 pep primary_assembly:ASM411807v1:2:28935066:28938621:-1 gene:Vigun02g140500.v1.2 transcript:Vigun02g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATLQHLLCLVIGLVSVSLVQAEDAYKFFTWTVTYGNLSPLGSPQQVILINGQFPGPRLDLITNDNVVLNLINKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGTYTYFPSTQLHKAAGGFGGLNVYHRSVIPIPYPNPDGDFTLLIGDWYKNNHKTLRQALDSGKSIGLPDGLLINGQHHSTFTGNQGKTYMFRISNVGLTTSINFRIQGHSLKLIEVEGSHTIQNTYDSLDVHVGQSVSLLVTLNQPPKDYYIIASTRFTETPLTTTAVLHYSNSFSSALGPVPPFTIDKYDFDWSMKQARTYRWNLTANAARPNPQGSFHYGLITPTKVIKLANSAPLINGKLRYAVNSVSYVNPDTPLKLADHFNIPGIFSVNLLQNTPSGGPGYIGTSVLPTSLHDFIEVIFQNNENTMQSWHLDGYDFWVIGYGFGQWTDASRKTYNLVDGLTRHTAQVYPKSWTAILVSLDNQGMWNLRSAIWERQYLGQQFYLRVWNAQQSLANEYNIPNNVLLCGKAVGHHP >Vigun02g140500.2.v1.2 pep primary_assembly:ASM411807v1:2:28935066:28938108:-1 gene:Vigun02g140500.v1.2 transcript:Vigun02g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATLQHLLCLVIGLVSVSLVQAEDAYKFFTWTVTYGNLSPLGSPQQVILINGQFPGPRLDLITNDNVVLNLINKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGTYTYFPSTQLHKAAGGFGGLNVYHRSVIPIPYPNPDGDFTLLIGDWYKNNHKTLRQALDSGKSIGLPDGLLINGQHHSTFTGNQGKTYMFRISNVGLTTSINFRIQGHSLKLIEVEGSHTIQNTYDSLDVHVGQSVSLLVTLNQPPKDYYIIASTRFTETPLTTTAVLHYSNSFSSALGPVPPFTIDKYDFDWSMKQARTYRWNLTANAARPNPQGSFHYGLITPTKVIKLANSAPLINGKLRYAVNSVSYVNPDTPLKLADHFNIPGIFSVNLLQNTPSGGPGYIGTSVLPTSLHDFIEVIFQNNENTMQSWHLDGYDFWVIGYGFGQWTDASRKTYNLVDGLTRHTAQVYPKSWTAILVSLDNQGMWNLRSAIWERQYLGQQFYLRVWNAQQSLANEYNIPNNVLLCGKAVGHHP >Vigun01g216600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39025396:39027205:-1 gene:Vigun01g216600.v1.2 transcript:Vigun01g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPRASRKRSHPFSSSSPSPPLSKRPNFPSFQDIPNLPSNIKSLCHLIATTSAAAIEHALECAAVIITPHDVEEVLRLSYGFPGQAVKFFRWSGRQLHDKHTPYSWNLVVDLLGKNRFFDAMWDAIKSMQKEGLLSLATFASVFGSYVIANRTREAIMAFEVMENYGVVRDVIALNSLLSAICRDGRTVDACDYLQIAKKFVRPDADSYAILMEGWEGEGDKGVFGAKETFAEMVIEIGWDPANVPAYDSFLCALVRGPDGLLEAIKFVDSMRDRRCYPGVRFLKVALDECVKFHDARTAKFFWEVLVEVGRVLQPTTEMYNLMIALFCYCGDTDSARRMLDEMVFRGPFLMWRLIICCLSS >Vigun01g091900.1.v1.2 pep primary_assembly:ASM411807v1:1:25295524:25304570:-1 gene:Vigun01g091900.v1.2 transcript:Vigun01g091900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTSCSFMPLNSEFRSNPVRRAFRERIQCHKLTRLRGTSCFFSRARVPKWGKLKHANLGIRMCFGTNDSDSDKDNVGAAEESGGDESKSNVTTTMPEEERGFSSEKSTPPSTSHRSSLSSLGPTYNTFQVDSFKLIELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGNREDVFAKLQNLLVEVTGDKYNLFMVEEPNSESPDPRGGPRVSFGLLRKEVSDPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPDVVEAPDMELLFPFVDSALPLAYGVLGVLLFHEVGHFLAAFPKQVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDVSLAGPFAGAVLSFSMFAVGLLLSSNPDTTGDLVQVPSLLFQGSLLLGLISRATLGYAAMHAATVPIHPLVIAGWCGLTIQAFNMLPVGCLDGGRAIQGAFGKNALVGFGLTTYTLLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLNDVTEAGTWRKALVAVAIFLVVLTLVPVGDELAEELGIGLVTAF >Vigun01g091900.2.v1.2 pep primary_assembly:ASM411807v1:1:25297654:25304570:-1 gene:Vigun01g091900.v1.2 transcript:Vigun01g091900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTSCSFMPLNSEFRSNPVRRAFRERIQCHKLTRLRGTSCFFSRARVPKWGKLKHANLGIRMCFGTNDSDSDKDNVGAAEESGGDESKSNVTTTMPEEERGFSSEKSTPPSTSHRSSLSSLGPTYNTFQVDSFKLIELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGNREDVFAKLQNLLVEVTGDKYNLFMVEEPNSESPDPRGGPRVSFGLLRKEVSDPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPDVVEAPDMELLFPFVDSALPLAYGVLGVLLFHEVGHFLAAFPKQVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDVSLAGPFAGAVLSFSMFAVGLLLSSNPDTTGDLVQVPSLLFQGSLLLGLISRATLGYAAMHAATVPIHPLVIAGWCGLTIQAFNMLPVGCLDGGRAIQSMG >Vigun09g145700.1.v1.2 pep primary_assembly:ASM411807v1:9:30866737:30868672:-1 gene:Vigun09g145700.v1.2 transcript:Vigun09g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGRENLKRATEEKHVTLQDGQSIMQVVSLRGSNIIEVKDAFGNKSLALFPAKFRKSVWIKLGSFVVVDVTGKEKALESGSKVACLVSQVLFYEQVRELQKTPEWPESFKFAMVDESKETSASQQENELEDSDDGLPPLEANTNRLRPFELQADEDSESCSDTDD >Vigun04g108425.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26417466:26418479:1 gene:Vigun04g108425.v1.2 transcript:Vigun04g108425.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSWILARVLLRSQSVRRFELPLVRSGYRWWCRFAVAMVAHFDCRSAVATVVRDVVVMCRCETERQQMREEEDTICVEMMRKLWWLTQIYFRMGATPARSMADGAGRKTRGGREAGAGMNCASLWFSAAMDLCVWRAAAFSGEEDGREVVADMEMEARVWEVEGDDVATCYWLNF >Vigun09g113400.1.v1.2 pep primary_assembly:ASM411807v1:9:24837102:24837843:-1 gene:Vigun09g113400.v1.2 transcript:Vigun09g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARLGFFFMLLILLASQMMVQTEGRHCESKSHRFKGMCVSHQNCASVCHVEGFTGGHCRGFRRRCFCTRHC >Vigun05g039200.1.v1.2 pep primary_assembly:ASM411807v1:5:3125459:3127038:-1 gene:Vigun05g039200.v1.2 transcript:Vigun05g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYLMVFVLVPALMCSTVESMMFELKPSHTKCISEDVKANGFSSGSYNVVNPNNVGTVPDHHKISVKVRSPNGNGYHYGDNVLFGEFAFTAAESGDYSACFSVAGHTPDTVTVEFVWKTGIAAKEWNNGGGRKNHIDVMEMELKKLNDAVTSIHDEMFYLRERETERQYLTDETSSKMFSYSFLSIVVCLSVASLQLWHLKTFFERKKLL >Vigun10g083000.1.v1.2 pep primary_assembly:ASM411807v1:10:23513186:23516944:1 gene:Vigun10g083000.v1.2 transcript:Vigun10g083000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLNSEAISAKGQQWYHALSSLPKLRVLSMSSCNLSGPVDSSFAKLQSLTVLNLSHNNMSSTVPESFAKLSKLVQLQLRSCGLNGSFPKDIFQIPALRILDISDNKGLRGSLPNFRSHGSLYDMNLSNTNFSGMLPGAISNLKQLSRIDLSSCQFNGTLPSSISELTQLVYLDLSYNNFIGSLPSFNMSKNLTYLSLYHNHLAGVLSPNHFEVHKNLVSIDLGFNFFSGKLPSSLLKLPCLRELKVPFNQFNGSLDEFVIASPLLEMLDLGNNNIHGTIPASIFNTTTLRLIQLNGNKLNGTIQLDKIRKLGNLTSLGLSGNNLSVDTYYRDVHDLSNYPNLRILMLSSCKLREIPSFLRNQSTLLRLDLADNEIEGSIPHWIWENEYLSHFNLSKNFLTSFEGSFWNLSSNLLLLDLSFNQLQGSIPFIPTLANCLDYSNNRFNSVIPLDIGNRLPFINLLSFSNNSFQGQIPESFCNASSLHLLDLSHNNLVGTIPKCFAMMSSLRVLNFGANKLRGYIPNSVPTSCNLKLLDLNENLLEGTIPKSLAHCQNLQVLNLRKNSLTDRFPCFLSNISTLRIMDLGLNKLHGSIRCPRSSGDWKMLHIVDLASNNFHGAIPGALLNSWKAMMRDDNGVSEFGHLFIDLYDHYDPKNFQDLLSSVDKSLLTRITKLFTNVSRSILDQSSTESYNAVDISRLQNSITITNKGKQMELVRIQKIFTYLDMSSNNFEGPIPNELMQFKALNALNLSNNALSGHIPSSISNLKNLESLDLSENYFDGLIPTELASLSFLAYLNLSFNHLVGEIPKGTQIQSFDADSFEGNEELCGVPLIHSCSNDEGTSPETPIAHSHSERSIDWNILSAELGCIFGFGIFILPLIFSRRWKLWYSEHVDEMLHRIIPQLEFVYEHSGENRYKTLRWKN >Vigun10g083000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23513184:23517017:1 gene:Vigun10g083000.v1.2 transcript:Vigun10g083000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTLLWLFLIPFYLINYSAIIFPAYGYFLGHQCSMLLHLKNNLIFNPAVSKKFILWNQSEDCCQWPGVTCNEGRVIALDLSEESISEGLVNSSILFNLQYLQSLNLAFNNLSSVIPSELYKLSDLRYLNLSSAGFEGQIPHEISQLRRLVTLDLSSSFSSSHRLKLEKPNLEMLLLPNLTNITELYLDGVAISAKGQQWYHALSSLPKLRVLSMSSCNLSGPVDSSFAKLQSLTVLNLSHNNMSSTVPESFAKLSKLVQLQLRSCGLNGSFPKDIFQIPALRILDISDNKGLRGSLPNFRSHGSLYDMNLSNTNFSGMLPGAISNLKQLSRIDLSSCQFNGTLPSSISELTQLVYLDLSYNNFIGSLPSFNMSKNLTYLSLYHNHLAGVLSPNHFEVHKNLVSIDLGFNFFSGKLPSSLLKLPCLRELKVPFNQFNGSLDEFVIASPLLEMLDLGNNNIHGTIPASIFNTTTLRLIQLNGNKLNGTIQLDKIRKLGNLTSLGLSGNNLSVDTYYRDVHDLSNYPNLRILMLSSCKLREIPSFLRNQSTLLRLDLADNEIEGSIPHWIWENEYLSHFNLSKNFLTSFEGSFWNLSSNLLLLDLSFNQLQGSIPFIPTLANCLDYSNNRFNSVIPLDIGNRLPFINLLSFSNNSFQGQIPESFCNASSLHLLDLSHNNLVGTIPKCFAMMSSLRVLNFGANKLRGYIPNSVPTSCNLKLLDLNENLLEGTIPKSLAHCQNLQVLNLRKNSLTDRFPCFLSNISTLRIMDLGLNKLHGSIRCPRSSGDWKMLHIVDLASNNFHGAIPGALLNSWKAMMRDDNGVSEFGHLFIDLYDHYDPKNFQDLLSSVDKSLLTRITKLFTNVSRSILDQSSTESYNAVDISRLQNSITITNKGKQMELVRIQKIFTYLDMSSNNFEGPIPNELMQFKALNALNLSNNALSGHIPSSISNLKNLESLDLSENYFDGLIPTELASLSFLAYLNLSFNHLVGEIPKGTQIQSFDADSFEGNEELCGVPLIHSCSNDEGTSPETPIAHSHSERSIDWNILSAELGCIFGFGIFILPLIFSRRWKLWYSEHVDEMLHRIIPQLEFVYEHSGENRYKTLRWKN >Vigun02g036900.1.v1.2 pep primary_assembly:ASM411807v1:2:15153551:15155549:1 gene:Vigun02g036900.v1.2 transcript:Vigun02g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICLAEAQAITTRKAEEKGTSSSLLAKLHHGVTLFLEEAIGIFHEVVTQYKDISPQLLDFMYFCKCLHELKGQQHLAENLKVSGQIGVAIGVLCSVLTNAKRKIPGEEPWKSLYQKQIQDASEVLRKFVHENDFVWHEKIPSGDELPLPEGNKIVNFIPYIPKKWERRLLFKVRIRT >Vigun07g263200.1.v1.2 pep primary_assembly:ASM411807v1:7:37882058:37884953:1 gene:Vigun07g263200.v1.2 transcript:Vigun07g263200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSKKEKEHLNLVLGSHLATIHETLQVLDRTPPSSATKVTWDDVMKMGDQVSKQATTVGMLWTGEQPESKAIEENMASYFNTLQGFLLVSHGSTVGAGPTLSSAVHASVKQVVDSSFRLMKETISLYGTHSKDQKLSVPQLVGPVWEACSALKKTPSTNVTAIGRGMTQVAVSVKDVLREMKELKPASSNDQVDKTSGESCPEAAPEPHDGNSSEDDIGNDLSPEEMKVAERAIEVVSDTLSFIKLLIHSIIGLLKVEKPSDNSSFVNSMEKLLQLCQELGRQIDEIGACLYPPQEIPAIKAGSEKILSIIDTVQVEVEQLLGSSDVFMEPCNGLRSSLRQLASELSNPSIADEAARLENITLTDK >Vigun07g263200.2.v1.2 pep primary_assembly:ASM411807v1:7:37882566:37884954:1 gene:Vigun07g263200.v1.2 transcript:Vigun07g263200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTGEQPESKAIEENMASYFNTLQGFLLVSHGSTVGAGPTLSSAVHASVKQVVDSSFRLMKETISLYGTHSKDQKLSVPQLVGPVWEACSALKKTPSTNVTAIGRGMTQVAVSVKDVLREMKELKPASSNDQVDKTSGESCPEAAPEPHDGNSSEDDIGNDLSPEEMKVAERAIEVVSDTLSFIKLLIHSIIGLLKVEKPSDNSSFVNSMEKLLQLCQELGRQIDEIGACLYPPQEIPAIKAGSEKILSIIDTVQVEVEQLLGSSDVFMEPCNGLRSSLRQLASELSNPSIADEAARLENITLTDK >Vigun06g109600.1.v1.2 pep primary_assembly:ASM411807v1:6:23860350:23862277:-1 gene:Vigun06g109600.v1.2 transcript:Vigun06g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPPFTMHKCKPELVAPSIPTPDETKLLSEIDDQNYLRFHYSIIQIYQNESSMANKDPVRVIRQALAQALVFYYPFAGRLREGRAHKLMVDCTGEGVMFVEADADVTLDQFGDNLQPPFIHSKSFMCDVAESEDIKNLPLLLIQVTRLKCGGFVVSTGWNHVMADGAGLSQFMQAWAEMARGIKTPSISPLWRRELLMARDPPRITCNHREYDQIQDNLSSSDLDLVHRSFFFGPTQMAALRGSLPRELRQCTAFDLITACFWRCRTRALQIDPNEDVRMMCIVNARNRFRPPLPVGYYGNAFAYPAAMATAGKLCANPYAYAVELIHNVKGAVTEEYMHSVADLMVLKGRCMPTTVRSCLVSDLTRARFRDVDFGWGKALSGAPAKGSTGPFYGGTHIVSYKNSNGVDGLVLPVWMPAKAMPMFVKEMEDLMSGNQKQLNHPTKTAAFIRSTM >Vigun05g117600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12578867:12579731:-1 gene:Vigun05g117600.v1.2 transcript:Vigun05g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEDECRTPRLVQNQIPAIFICPPPPPRKKPVAGKRRPPPKEGYFHPPDLDSLFSVPARKEACV >Vigun02g203700.1.v1.2 pep primary_assembly:ASM411807v1:2:33735150:33746868:1 gene:Vigun02g203700.v1.2 transcript:Vigun02g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDTKFMRLLENLKVEDPWLSPNTWESIPSESGLHSSSTSSHPQNQPLSHLSTLSESSLVRLAMNAMQGAKSSLISIQRISAIFCSDPADRTFLHLPNLWNRASSTRSLGNILTSIGCTASLVFLLRAFVDYYTNMNVDLSFGHNHRNSDVIQSQIHQDDTVRAEQFPPFTLVNQAFAVAVGKVLEGYICGLDTIHTSVILRRSSKNMDLTVPGCLKNVVHSEITLLEFYLHTKELRTQIEALASICNLQKWALRFSDTAFEDLVTEATSEFQNFCRGGDLLTFLYSQLQVADPAHCTLLKFLFLQSCEPYCGFIRSWIFKAEIHDPYKEFIVENMECLPLKSHVKVGNAIDFSLASVKVRDGVPIPEFLKDFLVPLVRAGQQLQVLLKLLEMCIHVASGEHSCDDFVPCWSGFSSSGLSYSSPLAFSKDVIEAMVLARENYYKGMNEKIGSLLSSLEVRYLQVAMHALVPSFGNGGGTLDKLDQIMSENNIADRRSLNMGIGDLGSDASSTVDELSLLEDMCDLSESSSMNSSEEQLDCDQLSGWSCPVVGQQNHLSALSFLKSATLNNSIQNSFHHENSGSNAHELCDKRDATDHLVQSSHEEVILNHKSNSPKPGNSSCSCKSSIQYRESMILGCSAMGEFLKTSFGNDGAVEPKLTEKHLGSLRYSMLCHDVITVSDTLSGEAMMEDQPDNGTLISHLYDFQPQKYCHQCNYTSINPLSVNPMLTRNSVLHLMSGNGEKYKAEHGQPLPYFNFSTVEDPCKVYMDKIPTNSRCSSACSFTPHSNVSTRNAENNEREEIDCGRENGLVDGPNLCSSLDLMDHKHLNVVSGGSSWERLLSSFEKTVNCDDTQKQSLSSTFEIPLDIIIDKCLLQEIMLQYNYVSKLTISVLEEAFKLQDHLLALRRYHFMELADWADLFILSLWHHKWSVTEANERLSEIQGLLELSIQKSSCEQDSHKDMLFVYMKGHGKLPLSASAIGVRSFDFLGLGYHVHWPLSIVVTPAALKIYADIFSFLIQVKLAIFSLTDVWCSLKDLMDATNKGRNSELQLEAGHLNILMKMRHQINHFVSTLQQYVESQLSHVSWCRFLHSLEHKVKDMMDLESVHMEYLADSLCICFLSDETKGVGSIIESILQCALDFRSCITVGAWDSRSDPEDLSGKLSKINISQVLSIKQKFDRSLKELHIRYIKGPKHGNFGLSRFWDYLNYNEYYSNVSNEMGCYAV >Vigun06g084550.1.v1.2 pep primary_assembly:ASM411807v1:6:21676918:21678023:1 gene:Vigun06g084550.v1.2 transcript:Vigun06g084550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRLGSNTCALCAITDETMSHLFFTCKCRIHFSQFELIGLSNKRNKVWRCINKVIFRNTIVDAEEVFILAQTRVWVWITNKYSTATYSYYDWCLCLITCLLIL >Vigun05g281400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47065176:47066134:-1 gene:Vigun05g281400.v1.2 transcript:Vigun05g281400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIEMESKAPIVAKKVWDMVRVMFFMMRKGISKGKLMMDLNVMLKRRSKLAGKAIANLMSHHHHHHHHAAASHDSHLQFSAPREYEFSCSNTPSAFSFPAIGKRHRHFFACVHAPPTHDDDSVTMNAVKTVLEMLNNDVKVETTSSPALPGFGRSPMVRQLRVTDSPFPLRDSDQDNDHQVDKAAEEFIKRFYKELRKQD >Vigun03g426200.1.v1.2 pep primary_assembly:ASM411807v1:3:63157824:63159754:1 gene:Vigun03g426200.v1.2 transcript:Vigun03g426200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDKPVDTEYSRPTSSAQPHAGVDLFKFDVILRFLLFAASLVSVVVIVTGNETKFLPMPRPAKFRYSPAFVYFVAALSVAGLYSIITTFASLYAIKNPAVRAKLLLHFILWDALILGIIASATGTAGGVAYVGLKGNKHVNWNKICNVYDKFCRHVGASVAVALFGSVVTVLLIWISAYSLHSRVPK >Vigun03g102900.1.v1.2 pep primary_assembly:ASM411807v1:3:8835653:8838276:1 gene:Vigun03g102900.v1.2 transcript:Vigun03g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSHALVMAMIALFWARACTAKESVYDVLPKFGLPSGLLPDTVTDYTLDEDGQFVVVLAKPCYIQFEYLVYYDKKITGKLSYGSITNLKGIEVQRLLLWFNVDEIRVDLPPSNSIYFQVGIINKKLNVDQFKTVHSCRKSLSSSSPCTQRRIKFPAPVDEIPMLLTE >Vigun03g364800.1.v1.2 pep primary_assembly:ASM411807v1:3:56818320:56820421:-1 gene:Vigun03g364800.v1.2 transcript:Vigun03g364800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGYQKPAWLEALYTEKFFVGCSYHENAKKNEKNVCCLDCCTSICPHCLPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPYLRTCNSLQLSPDFLIPQEMGEEEMTRSTVVDCDEPMSSSCSGSSGSENNMSMSCTEVVRKRRSGWSTVCAKFMANTNNKVSDEDMATSMSRRKGIPHRSPLC >Vigun06g011550.1.v1.2 pep primary_assembly:ASM411807v1:6:5215681:5229674:1 gene:Vigun06g011550.v1.2 transcript:Vigun06g011550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDHIFSLVDSIHGGSGHKGMLLLEQQYQLFASKINIERDIKLPIERLYLLLTITELAMHVPSNLEAKRKIRETSWGTLWLRKDKSCENNTMEEPSEVEEPTIFKDATKASTISTDLVNDEAAYSTTSSADLCSQDEITRITDDLVNHLNAPDDAQIELKPHTPHIKFVDVNDANTFLVVIFVDMAVEKEERLLQ >Vigun04g091766.1.v1.2 pep primary_assembly:ASM411807v1:4:17163127:17170013:1 gene:Vigun04g091766.v1.2 transcript:Vigun04g091766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKENIHLLENLSNFKEEVANSLEFARILSSGVDIFVNDSFSNCHKVLASTVGVTRFCYTCIAGFHFEERLDLLKNLAEASTKPYVAIIGGGNLYDKAASFQFLASRCQVFVFLSIPCDLVDGQAVNEALDIVRLAQDKNVQILYPKDFWCQKNWVPVDLGPVSLDEIDSTLTNCKKIMWIGPVQFVYSSVYTNGASKLARILDKLGQNNCEITVVGTAASKLNASVVWEFLKGRKLPGVMAVDRGYPFEINWSSIYSDPAQPRLLILEVKQSLRFHCTKNILTIDVNALSLHKFLLSNGLFLLEMARRRQDLNFLGLEINEKLVLRCLDSIHQYGLKNGYFVATNATSTFRSIISTYPGDLVLVSIQCPNPDFNKPEHRWRMLQRSLTEAVVDLLAPNGKIYLQSDVEAVAIRMKEQFFRHGKGKLDLEYGQSEWLEENPFGRRAPMYRMMFSKSSGIGEV >Vigun10g059201.1.v1.2 pep primary_assembly:ASM411807v1:10:11510230:11511908:1 gene:Vigun10g059201.v1.2 transcript:Vigun10g059201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRSLPSHFTLCVHSLLVRVFLLSPHLTLSFLISLSSRSLSAFTSLHSPFTSLHSPLRTFPHLSVRSPLRSLSVSPFSFSPLRFPSFISPLSPFSFLRLHLHLHLRLSPRLPPFAFARLGYLVRLLAFAPQSTPFLFLG >Vigun11g217400.1.v1.2 pep primary_assembly:ASM411807v1:11:41090641:41093495:-1 gene:Vigun11g217400.v1.2 transcript:Vigun11g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKGLLALTLVLNVVVVCNGGTSSFFVRKTEKSRDMPIDSDVFSVPPGYNAPQQVHITQGDLVGRGMIVSWVTMDEPGSSAVRYWSENSGKKKIAEGKFVTYRFLSNYSSGFIHHTTIRNLEYNTKYYYEVGLGNTTRQFWFVTPPEIGPDVPYTFGLIGDLGQSLDSNLTLSHYESSPKKGQTVLFVGDLSYADRYPNHDNVRWDTWGRFTERSVAYQPWIWTVGNHELDFYPDLGETVPFKPFTHRYHVPYKASQSTSPFWYSIKRASAHIIVLSSYSAYGKYTPQYTWLEKELKKVNRTETPWLIVLMHAPWYNSYNYHYMEGETMRVMYEAWFVENKVDVVFAGHVHAYERSERVSNIAYNVVNGICTPIKDQSAPVYITVGDGGNLEGLATNMTEPQPEYSAFRESSFGHAVFDIKNRTHAHYSWHRNQDGVAVEADSVWFFNRYWHPVDDSTVQVSH >Vigun01g101500.1.v1.2 pep primary_assembly:ASM411807v1:1:26902053:26904367:-1 gene:Vigun01g101500.v1.2 transcript:Vigun01g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQQGNDSIENKIHLRHFGGTKYVASINNQLTAEQKLFIEGTCFGWLTMLKESTKLSRNLLSKLCSKWDEKSGCFQIRSQSVGFTLLDFCQGLDLNRSEE >Vigun03g079700.2.v1.2 pep primary_assembly:ASM411807v1:3:6647744:6656179:-1 gene:Vigun03g079700.v1.2 transcript:Vigun03g079700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTRSSTNRKPSDSMRLIVTTFVGIVFGFFIGVSFPALNAKLNLPSSLLPVIDISYIREKYAVGNAPASVKNNNGDSSQQQLLNDTLKIWVPSNPRGAERLPPEIIEAETDFYLRRLWGQPSEDLTLKPKYLVTFTVGYNQRYNIDANVKKFSENFTIVLFHYDGQTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYLKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQSVPSLGNQGESESGKAPWQGVRERCKKEWTMFQSRLANAEDAYYKAVGVDMFNSTTP >Vigun03g079700.1.v1.2 pep primary_assembly:ASM411807v1:3:6647740:6656179:-1 gene:Vigun03g079700.v1.2 transcript:Vigun03g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTRSSTNRKPSDSMRLIVTTFVGIVFGFFIGVSFPALNAKLNLPSSLLPVIDISYIREKYAVGNAPASVKNNNGDSSQQQLLNDTLKIWVPSNPRGAERLPPEIIEAETDFYLRRLWGQPSEDLTLKPKYLVTFTVGYNQRYNIDANVKKFSENFTIVLFHYDGQTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYLKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQSVPSLGNQGESESGKAPWQGVRERCKKEWTMFQSRLANAEDAYYKAVGVDMFNSTTP >Vigun08g184700.1.v1.2 pep primary_assembly:ASM411807v1:8:35388732:35393519:-1 gene:Vigun08g184700.v1.2 transcript:Vigun08g184700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSIGRVKSLLFLLMVLLFFFATYNLVAMILEHKADGLEHSNRKLMRSNEKFHVALTATDSAYNQWQCRIMYYWYKKVKDLHGSEMGKFTRILHSGRPDQLMDEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKADIQEEYILMAEPDHIFVNPLPNLAYGSQPAAFPFFYIKPAQNEKVLRKFYPEEMGPVTNIDPIGNSPVIIKKSLMEDIAPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVKHNLRKDFMLQPPWDLKVEDRFIIHYTYGCDYNLKGELTYGKVGEWRFDKRSYLMGPPPKNLPLPPPGVPESVVRLVKMVNEATANLPEWDSVNRS >Vigun10g111850.1.v1.2 pep primary_assembly:ASM411807v1:10:31243988:31248970:1 gene:Vigun10g111850.v1.2 transcript:Vigun10g111850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYHFSFGKSPRRRIETCKSKYSTAEEIANHLRSTYTDYRRIKSQILIRFVEEALHSTAKPKHIPKPAYSDGDDDGNREIPKRGEEKSKKMKVLHVRRTVQNFSASSCTSSESDDEETVSTSEDAIYGEKVEPQVDVVKEELRKSYTPKKKVVAAAAAEEKNTEMEIRNRDKCLLNEERKQSLLSVSNGQILNDLVKKKDGSRFKNLGGMKEVLKELEENVIRPLFHAQFLKNLGVKPTGGILLHGPPGCGKTNLAEAIANESGLPYYHASASNLVSGVSGINIDFLQNGTSVIFIDEIDVICPKRDDLQRETDKRMVTQLMTCMDESNRFGYVLVIGATNRPDAIDPALRRPGRFDLEIPICHPDESAREEILSVLTSDVRLEGVDLHQIARITSGFVGTDLENLVNEAGRVAMNRAFEERRLELMIKHGEDWGKEPWSAEEMDKTVLPSLKREGFSVIPDVKWEDIGGLDVLRKELEEYIIWQIKYPKECEARGLKRDPGFLLYGPPGCGKTLIAKALASEAGVNFIHIKGPELLNKYVGECELAIRTMFSRARRCAPCILFFDEVDALTIEQRGKEGGSANIPLLQLLTESDGVDDRRGVFVIGVGTLCSPYLPEMMDPALLRPGRFGKLVYVPLPGLEERVLILKALARNMTIDATVDLSVIAGRRECENLSDADLSNLIHEAAMASMRDAIRDGTREKDKILKSHDFEVALSKVSPSVSERQRQYYQHLPESFK >Vigun05g269800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46121230:46123088:1 gene:Vigun05g269800.v1.2 transcript:Vigun05g269800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEPPILLPPPAFSIEAALGQAEQLIPALRHMEGLIPYVRQLLAEKEQLRQRVMELEMENNHIRPRVQELETENDHRQHDLDNAVEQLNNAMQAALNYKNSARDGRSF >Vigun05g269800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46121230:46123087:1 gene:Vigun05g269800.v1.2 transcript:Vigun05g269800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEPPILLPPPAFSIEAALGQAEQLIPALRHMEGLIPYVRQLLAEKEQLRQRVMELEMENNHIRPRVQELETENDHRQHDLDNAVEQLNNAMQAALNYKNSARDGRSF >Vigun11g199300.1.v1.2 pep primary_assembly:ASM411807v1:11:39735536:39737244:1 gene:Vigun11g199300.v1.2 transcript:Vigun11g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLFLLSLLSSSVTACDRCLHQSKASFFTKDSVLSSGACGYGSQALDLSGGNIAAGVASLFKNGAGCGACFQVRCKNPSVCSKQGTRVVLTDLNQNNQTDFVLSSRAFLSMAEKGKGKEISKLGIVDIEYKRVPCVYKNQNLAVRVEETSKKADYLAVKFLYQGGQTEIVSVDVAQVGSANWSFLSRNHGAVWDTSRVPRGALQFRFVVTAGYDGKWIWAKKVLPADWKNGVAYDSGVQITDIAQEGCFPCDDSSW >Vigun11g199300.2.v1.2 pep primary_assembly:ASM411807v1:11:39735536:39737244:1 gene:Vigun11g199300.v1.2 transcript:Vigun11g199300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRCKNPSVCSKQGTRVVLTDLNQNNQTDFVLSSRAFLSMAEKGKGKEISKLGIVDIEYKRVPCVYKNQNLAVRVEETSKKADYLAVKFLYQGGQTEIVSVDVAQVGSANWSFLSRNHGAVWDTSRVPRGALQFRFVVTAGYDGKWIWAKKVLPADWKNGVAYDSGVQITDIAQEGCFPCDDSSW >Vigun03g395300.1.v1.2 pep primary_assembly:ASM411807v1:3:60172336:60176287:1 gene:Vigun03g395300.v1.2 transcript:Vigun03g395300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEGGKRSAEENNLPLKDVETVDKALRREKSSSNNSKSSKSQLLDPKSKAKDSKEDDLRKEKKSVWNWKSLKALSLSRSKKFNCSFSVKVHVIEGLPSSFNDSCLCVYWKRKDKLLVTPPAKVIQGVAEFQDILTHTCSINGSRSRPLNPAKYEAKPFLLYASKAGAPELDLGKHRVDLTKLLPLTLEELEQEKRSGIWTTSFRLSGTARGAVINVSFGYLIVGDNTSATIIRDKLCDPHNVLTTKKNGMPLLEPDKKPSDGNKDYSSQNVDEVKDLHEVLPSSNSARASPAYISCKEFVEERSCSPLHGKPELEGSKENIDPIKPVVCSSFDNEKDKAEENPGNEEKTCSPEHDTPEVFVFQQNLDTVTPNDHPLPDSGIENFKDCEGNEFSVVEKGIEFSSDELVKPEESTGKAFIDVYTVDSTRTLDNAGIQVSFQDHDNQNSLDELTDNSKESVVVLEFSNRKDDLPTKEHLLQELESALTSVSELETAAMDSPVAMEVKSEYKWRRSKSWDDVTESVASEFLSMLGIDNSPRGLSFEGESPRELLLRQFENEVRSGGFSLFDFDMGSDNEADSGNDFSFGSEHWKFSKDTKPASSMQDAHKGNLIEFEDVRSKQKVRMLEHLETEALMREWGLNEMAFQHSPKRDHNGLGSPIYLLPEETLPLPPLAEGLGPFLQTEDGGFLRSMDPTLFRNSRTGGALIMQVSNPIVVPAEMGSGIMEILQGFASVGIEKLSKQANKLMPLQDITGKTMQQISREAKPVVGGTYRKLHLQHNLVTRQDSTCEQKGLKGILSGGLMSNKLSSDSARDHRSSDLVLLAMDKIEALSLEGLRVQSGMSNGDAPSNISAQSYGDISALQGKGAGNRGSLGLDGTAAMQLLDMKNSNSDEYDGIDGIMALSLTLDEWMRLDSGEIDDDIDNISEHTYKLLAAHHANSFDLIRGKSSKGEKKRGRKYGLLGNKFIVALMEQLRDPLRNYEPVGTPMLGLIQVERVFIQPKQQIYRPLWKAGKESDECEIVARVEMKGKKEEKSSEEEGIPEFKITEVHVAGVQKRKFWSSWGRRRQQEQSASRWLIANGMGKSFKNPVLKPNAPITNTNVQPGDSLWSISSRIYGTLTRWNW >Vigun03g395300.3.v1.2 pep primary_assembly:ASM411807v1:3:60172235:60176254:1 gene:Vigun03g395300.v1.2 transcript:Vigun03g395300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEGGKRSAEENNLPLKDVETVDKALRREKSSSNNSKSSKSQLLDPKSKAKDSKEDDLRKEKKSVWNWKSLKALSLSRSKKFNCSFSVKVHVIEGLPSSFNDSCLCVYWKRKDKLLVTPPAKVIQGVAEFQDILTHTCSINGSRSRPLNPAKYEAKPFLLYASKAGAPELDLGKHRVDLTKLLPLTLEELEQEKRSGIWTTSFRLSGTARGAVINVSFGYLIVGDNTSATIIRDKLCDPHNVLTTKKNGMPLLEPDKKPSDGNKDYSSQNVDEVKDLHEVLPSSNSARASPAYISCKEFVEERSCSPLHGKPELEGSKENIDPIKPVVCSSFDNEKDKAEENPGNEEKTCSPEHDTPEVFVFQQNLDTVTPNDHPLPDSGIENFKDCEGNEFSVVEKGIEFSSDELVKPEESTGKAFIDVYTVDSTRTLDNAGIQVSFQDHDNQNSLDELTDNSKESVVVLEFSNRKDDLPTKEHLLQELESALTSVSELETAAMDSPVAMEVKSEYKWRRSKSWDDVTESVASEFLSMLGIDNSPRGLSFEGESPRELLLRQFENEVRSGGFSLFDFDMGSDNEADSGNDFSFGSEHWKFSKDTKPASSMQDAHKGNLIEFEDVRSKQKVRMLEHLETEALMREWGLNEMAFQHSPKRDHNGLGSPIYLLPEETLPLPPLAEGLGPFLQTEDGGFLRSMDPTLFRNSRTGGALIMQVSNPIVVPAEMGSGIMEILQGFASVGIEKLSKQANKLMPLQDITGKTMQQISREAKPVVGGTYRKLHLQHNLVTRQDSTCEQKGLKGILSGGLMSNKLSSDSARDHRSSDLVLLAMDKIEALSLEGLRVQSGMSNGDAPSNISAQSYGDISALQGKGAGNRGSLGLDGTAAMQLLDMKNSNSDEYDGIDGIMALSLTLDEWMRLDSGEIDDDIDNISEHTYKLLAAHHANSFDLIRGKSSKGEKKRGRKYGLLGNKFIVALMEQLRDPLRNYEPVGTPMLGLIQVERVFIQPKQQIYRPLWKAGKESDECEIVARVEMKGKKEEKSSEEEGIPEFKITEVHVAGVQKRKFWSSWGRRRQQEQSASRWLIANGMGKSFKNPVLKPNAPITNTNVQPGDSLWSISSRIYGTLTRWNW >Vigun03g395300.2.v1.2 pep primary_assembly:ASM411807v1:3:60172213:60176281:1 gene:Vigun03g395300.v1.2 transcript:Vigun03g395300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEGGKRSAEENNLPLKDVETVDKALRREKSSSNNSKSSKSQLLDPKSKAKDSKEDDLRKEKKSVWNWKSLKALSLSRSKKFNCSFSVKVHVIEGLPSSFNDSCLCVYWKRKDKLLVTPPAKVIQGVAEFQDILTHTCSINGSRSRPLNPAKYEAKPFLLYASKAGAPELDLGKHRVDLTKLLPLTLEELEQEKRSGIWTTSFRLSGTARGAVINVSFGYLIVGDNTSATIIRDKLCDPHNVLTTKKNGMPLLEPDKKPSDGNKDYSSQNVDEVKDLHEVLPSSNSARASPAYISCKEFVEERSCSPLHGKPELEGSKENIDPIKPVVCSSFDNEKDKAEENPGNEEKTCSPEHDTPEVFVFQQNLDTVTPNDHPLPDSGIENFKDCEGNEFSVVEKGIEFSSDELVKPEESTGKAFIDVYTVDSTRTLDNAGIQVSFQDHDNQNSLDELTDNSKESVVVLEFSNRKDDLPTKEHLLQELESALTSVSELETAAMDSPVAMEVKSEYKWRRSKSWDDVTESVASEFLSMLGIDNSPRGLSFEGESPRELLLRQFENEVRSGGFSLFDFDMGSDNEADSGNDFSFGSEHWKFSKDTKPASSMQDAHKGNLIEFEDVRSKQKVRMLEHLETEALMREWGLNEMAFQHSPKRDHNGLGSPIYLLPEETLPLPPLAEGLGPFLQTEDGGFLRSMDPTLFRNSRTGGALIMQVSNPIVVPAEMGSGIMEILQGFASVGIEKLSKQANKLMPLQDITGKTMQQISREAKPVVGGTYRKLHLQHNLVTRQDSTCEQKGLKGILSGGLMSNKLSSDSARDHRSSDLVLLAMDKIEALSLEGLRVQSGMSNGDAPSNISAQSYGDISALQGKGAGNRGSLGLDGTAAMQLLDMKNSNSDEYDGIDGIMALSLTLDEWMRLDSGEIDDDIDNISEHTYKLLAAHHANSFDLIRGKSSKGEKKRGRKYGLLGNKFIVALMEQLRDPLRNYEPVGTPMLGLIQVERVFIQPKQQIYRPLWKAGKESDECEIVARVEMKGKKEEKSSEEEGIPEFKITEVHVAGVQKRKFWSSWGRRRQQEQSASRWLIANGMGKSFKNPVLKPNAPITNTNVQPGDSLWSISSRIYGTLTRWNW >Vigun03g222200.2.v1.2 pep primary_assembly:ASM411807v1:3:36886601:36887241:-1 gene:Vigun03g222200.v1.2 transcript:Vigun03g222200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPLVSTIFVFLLLLVATAEMGPTMVAEARTCESQSHRFKGPCVSDTNCASVCRTERFSGGHCRGFRRRCFCTKHC >Vigun03g222200.1.v1.2 pep primary_assembly:ASM411807v1:3:36886561:36887273:-1 gene:Vigun03g222200.v1.2 transcript:Vigun03g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVPLVSTIFVFLLLLVATEMGPTMVAEARTCESQSHRFKGPCVSDTNCASVCRTERFSGGHCRGFRRRCFCTKHC >Vigun11g100700.2.v1.2 pep primary_assembly:ASM411807v1:11:29520030:29522737:-1 gene:Vigun11g100700.v1.2 transcript:Vigun11g100700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRDILNMMKVKAGFGTSTPETGKGKGKISKHITHGFHLMKGKSGHPMEDYLVSEFKQEKDRELGLFAIFDGHLGHDVASYLQNHLFHNILKQHDFWTETENAVKRAYIETDEKILEQALELGRGGSTAVTAILIDGQKLVVGNVGDSRAVICEHGKARQLSVDHEPSKEKKSIERRGGFVSNIPGDVPRVDGQLAVARAFGDRSLKMHLSSEPDVFVEEVLHHTEFLILASDGIWKVMSNQEAVDSIRQIKDAQAAAKHLIEEAVSKKSKDDISCIVVRFQ >Vigun11g100700.1.v1.2 pep primary_assembly:ASM411807v1:11:29520037:29522620:-1 gene:Vigun11g100700.v1.2 transcript:Vigun11g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVMFWSYLEVKAGFGTSTPETGKGKGKISKHITHGFHLMKGKSGHPMEDYLVSEFKQEKDRELGLFAIFDGHLGHDVASYLQNHLFHNILKQHDFWTETENAVKRAYIETDEKILEQALELGRGGSTAVTAILIDGQKLVVGNVGDSRAVICEHGKARQLSVDHEPSKEKKSIERRGGFVSNIPGDVPRVDGQLAVARAFGDRSLKMHLSSEPDVFVEEVLHHTEFLILASDGIWKVMSNQEAVDSIRQIKDAQAAAKHLIEEAVSKKSKDDISCIVVRFQ >Vigun04g067000.1.v1.2 pep primary_assembly:ASM411807v1:4:7538620:7543440:1 gene:Vigun04g067000.v1.2 transcript:Vigun04g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESAENDASVVLEDAVVSLTSGSVMGDLGDVCGRMLTRLDLDVAGFSEKVSNLTYFVMYVETMECEIEALVLEKEKDHIDCLEKGLQFDLLSVLLDSEARELREVLNTLQGWVGEARECVLSYTHLGEASVAMQDKLVDYEQQLNQSEEELNDIKIKSAGFQRSFSTFKKAEIGEIIREDDKSLNAKAEIKLQTVEQQRNILRMLEKSLAKELDLEKDLLDSREIEETLKQRMFFTEQELVNMEEEAIDVWERWLEAENASEVLLGISRGLLGRLHISQFNLRGLSHRESELRANLKDAEDKLTLANSEVFSLSDKVYSLEKKLKESDVQLLNAKASSDEYQKQYNILCSEARDMRSIIVELKESVSNAESRANSAETKYNILTETNLKLNEELDLLRGTSARADLLERQLKESAVRFQNTVASAKASQEKQSKLYSTIRDKENVIKDLKSKVLKAESRADSAEDNCIILSESNAELNEELSFLRNRLESVEESLHREEEMKMETAKGIGKQAKVFKKLITQLAVERERLKQQISSLASENKILVVKLKQAS >Vigun03g313600.2.v1.2 pep primary_assembly:ASM411807v1:3:50643050:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.8.v1.2 pep primary_assembly:ASM411807v1:3:50643058:50646961:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.7.v1.2 pep primary_assembly:ASM411807v1:3:50643058:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.10.v1.2 pep primary_assembly:ASM411807v1:3:50643050:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.4.v1.2 pep primary_assembly:ASM411807v1:3:50643050:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50643050:50645696:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPW >Vigun03g313600.5.v1.2 pep primary_assembly:ASM411807v1:3:50643058:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.1.v1.2 pep primary_assembly:ASM411807v1:3:50643058:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >Vigun03g313600.6.v1.2 pep primary_assembly:ASM411807v1:3:50643058:50646960:1 gene:Vigun03g313600.v1.2 transcript:Vigun03g313600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKNAATPSFFTNPAAPSIPMNHPAPHLLSQTQPQPQTGASHFHGHFQLSQPQSHVLAQPHPVPHPQVHNNSNTNANVATPAPPKRANHKPPSRPPGSSNTNQSSAFKTMELTVAPPRKKRSFPEKLIPDKVAKLVPESAIYAKLLELETHIDSVLVRKKIDVQENLRNPRCVRRTLRIYVYNTFSKQVKVEPGKIDVEELSWVLRITGRVLEDGKDSVADGVLSKENRRFSAFFKKITVYLDQGFYPDNHVVVWDSARSTAQRDGFEVKRKGDKEFTAVVRMSMNYSPDRFVVSAQLARVLGVEFDSRSRIIAALWHYVKAKKLQSPNDPSFFMCDASLQRVFGEEKMKFSVASQKISQHLSPPQPIHLEHKIKLSGNCPAGATCYDVQVDVPLPLEKDMSSFLASTEKHKEIDAFDKLISDSIKKIHEHHRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDVSQGVENERRSDFYNQPWVEDAVVRYLTRKNARSDAPGNI >VigunL038700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:325445:325849:-1 gene:VigunL038700.v1.2 transcript:VigunL038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps8 MGKDTIANIITYIRNADMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFFNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGEVLCYIW >Vigun07g225600.1.v1.2 pep primary_assembly:ASM411807v1:7:34788021:34789538:1 gene:Vigun07g225600.v1.2 transcript:Vigun07g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTFTKTFVIFAFAFSLTLQATLGEIECENLSHDTCSFAVSSGGKRCVLEKRVRRSGEEAYTCKTSEIEAENVKDHIETEQCIKACGLDRKSLGISSDYLLESTFTRKLCSPHCYQSCPNVVDLYFNLAAGEGVFLPKLCEVQGVNARRGMAELRSSGIVAPGPVNSLQFGSIEPAVSPSPN >Vigun09g207100.1.v1.2 pep primary_assembly:ASM411807v1:9:38169942:38174413:-1 gene:Vigun09g207100.v1.2 transcript:Vigun09g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKGSKVEIPGTTDRLSVEWRCARIISGNGHTYSVQYNRSSTTSEASIVRVSRKAIRPSPPPIKGIGNWAANDHVEVYNFGSWRAATVLKFIDGDFYLVRLWVSCKELTVLKVNMRARQTFQNGQWVLMSKGSGKSPVRKSSLISYNSKDLPENECRNIFSQGLDASDHQERLVSSSTLKRMSPFVSSYPRKLRAMSNMGECERFKAVTTAPLLQKVHAVVYPQNNKGEKCMHTSFTNGTNKYYETGKENSCNVSTHFLERIEEPDYSCSDLSSVGSCSVISSNSNKISSDTLAGPCQDEDTLCSDAESLDIGDVDKGCSTCSTSTNEVAAETIHRFELNAYHSTLEVMYASGPLSWEQEEILTNLRISLNISNDEHLMEIKNLGSCGQNF >Vigun07g105400.1.v1.2 pep primary_assembly:ASM411807v1:7:19308339:19311004:-1 gene:Vigun07g105400.v1.2 transcript:Vigun07g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDSQVPSEGPRLELAKPVVKSRLKRLLERQFSGVLRNSAPEKISGGEELQNGSSDFEPSSACLAKMVQNFIEESHEKHSVSHRNRCNCFNSNYDDSSDEESNSLGGYGDSNYSSTEACETLKGLVACSSVHERDLLADTAKIVDKNKICKRKDTFCRKIVTDGLLALGYDVSICKSRWEKSPSYPAGEYEYIDVRMGKDRVLIDIDFRSEFEIARSTKSYKTILQNLPYIFVGTCDRLQSIVTIVSEAAKQSLKKKGMHVPPWRRAEYVKAKWLSPYTRTTSFKDEKKEEQQLLKEKLLTAEVETSGKENATVIEWKPPELKPKGSHSGVKIVTGLAGVFDEDNP >Vigun04g049700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4355216:4356891:1 gene:Vigun04g049700.v1.2 transcript:Vigun04g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAKVVFTLLVTLAIIIPCLEAGIAEYDDFLKNQAEEAHTIALESYVPTPEFVASELNYHVHLAMQNSTRRGLRAPRNPAFGPCDSTNPIDNCWRCNKDWANDRYQLAKCGKGFGRRAVGGLGGPIYVVNDTSDDDMQNPKPGTIRHAVTQQGPLWITFSRSMRITLQQELMISSDKTIDGRGANVQFKDGGGLTMQFVNNIIIHGIRVKNIVPKDGGMIRDSYNHVGHRTRSDGDAISIFGASNIWIDHVSLSNSADGLIDVIEGSTAITVSNCHMTRHNDVMLFGASDTNPHVNDKLMQITVAFNHFGQGLSQRMPRCRFGFFHVLNNDYTHWQIYAIGGSSKPTILSQGNRFIAPNLDFAKEITHRDYATPEQWMQWQWQSDMDLLMNGATFNTTGAPIQMTYKKGLIMKPRDGTHVSRLTRHAGALNCYAGFPC >Vigun02g005000.3.v1.2 pep primary_assembly:ASM411807v1:2:2421437:2441820:1 gene:Vigun02g005000.v1.2 transcript:Vigun02g005000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVNFVVGSHVWVEDPELAWINGEIQESNKDEVTILFESGTRVVRKSANMYPKDPEFPPAGVEDMTRLAYLHEPGVLQNLQIRYSINEIYTYTGSILIAVNPFQKLPHLTETSTMAKYKGAAFGEQSPHPFAIASSAYSKMINEKRSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISSEEQGAEEVFDSCQPKDEKSYFHLKTAAELLMCDEKSLEESFCKRIIVTHGDTITKSLDPNSAALRRDSLAKIIYSRLFDWIMCKINNTIGQDPKSNSLIGVLDIYGFESFKTNSFEQFCINLANEKLQQHFNQHIFKMEQEEYKREEIDWSYIDFVDNQDVIDLIEKKPVGIIALLDEACMFPRSTHETFAEKLYQTFKGNKRFNKPKFSRTDFTIKHYAGDVTYQTDLFLDKNKDYAIPEHASILNASKCSFVSGLFPPLHEDATKSKKFSSVATQFKLQLQSLLQTLNATEPHYIRCVKPNHLLKPRIFGNKIVLQQLRCGGVMEAIRISCAGYPTRKKFDEFVQRFSILAPEVLKECPDKKTACKRLLDRVNLKDYQIGKTKVFLRASQMAELDACRAEDLGRYASVIQSKVRTFLCQKQFISLLLSAIGLQRVAKGQLARHQYECMRREAASLKIQKDFLMHISRKAYKNIYDSAIYIQIGLRGMVARNDLRFRKRSHAAAVIQGLYRGYTVRTYFRRLKKATIFAVCSWKRTVARRELRKLKMAAKNTKALEAAKNNLAKQVKDLISSLEDEKRMREATTQENEKLQHALQEKEVQLEAKTQEIEKLKHALQEKEVQFHEIKEAKEKETEKLQLDLQEMEFQFQETKAVLTEELETAKKVAEQPPTVQELVNSFEKKIVQELPTNVTNYELINNLTLENKQIKERVKLLEKKSTSQEFPVNLTNNEFIYKLISDNEHLKEQISSLEKKITPEFTVNAVDTINKFASENEHLKPQYSQPSSSRHQACSLALSLRHQYRSRLSPSSHPHSRSRNTNGLHRHAIVPNTPPLQASHLISSVSFLPSLHLGCFTNYIFLYPEMLLLAILAISYLLLIVEKIRS >Vigun02g005000.5.v1.2 pep primary_assembly:ASM411807v1:2:2421437:2445806:1 gene:Vigun02g005000.v1.2 transcript:Vigun02g005000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVNFVVGSHVWVEDPELAWINGEIQESNKDEVTILFESGTRVVRKSANMYPKDPEFPPAGVEDMTRLAYLHEPGVLQNLQIRYSINEIYTYTGSILIAVNPFQKLPHLTETSTMAKYKGAAFGEQSPHPFAIASSAYSKMINEKRSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISSEEQGAEEVFDSCQPKDEKSYFHLKTAAELLMCDEKSLEESFCKRIIVTHGDTITKSLDPNSAALRRDSLAKIIYSRLFDWIMCKINNTIGQDPKSNSLIGVLDIYGFESFKTNSFEQFCINLANEKLQQHFNQHIFKMEQEEYKREEIDWSYIDFVDNQDVIDLIEKKPVGIIALLDEACMFPRSTHETFAEKLYQTFKGNKRFNKPKFSRTDFTIKHYAGDVTYQTDLFLDKNKDYAIPEHASILNASKCSFVSGLFPPLHEDATKSKKFSSVATQFKLQLQSLLQTLNATEPHYIRCVKPNHLLKPRIFGNKIVLQQLRCGGVMEAIRISCAGYPTRKKFDEFVQRFSILAPEVLKECPDKKTACKRLLDRVNLKDYQIGKTKVFLRASQMAELDACRAEDLGRYASVIQSKVRTFLCQKQFISLLLSAIGLQRVAKGQLARHQYECMRREAASLKIQKDFLMHISRKAYKNIYDSAIYIQIGLRGMVARNDLRFRKRSHAAAVIQGLYRGYTVRTYFRRLKKATIFAVCSWKRTVARRELRKLKMAAKNTKALEAAKNNLAKQVKDLISSLEDEKRMREATTQENEKLQHALQEKEVQLEAKTQEIEKLKHALQEKEVQFHEIKEAKEKETEKLQLDLQEMEFQFQETKAVLTEELETAKKVAEQPPTVQELVNSFEKKIVQELPTNVTNYELINNLTLENKQIKERVKLLEKKSTSQEFPVNLTNNEFIYKLISDNEHLKEQISSLEKKITPEFTVNAVDTINKFASENEHLKPQYSQPSSSRHQACSLALSLRHQYRSRLSPSSHPHSRSRNTNGLHRHAIVPNTPPLQASHLISSEVGI >Vigun02g005000.4.v1.2 pep primary_assembly:ASM411807v1:2:2421437:2441820:1 gene:Vigun02g005000.v1.2 transcript:Vigun02g005000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVNFVVGSHVWVEDPELAWINGEIQESNKDEVTILFESGTRVVRKSANMYPKDPEFPPAGVEDMTRLAYLHEPGVLQNLQIRYSINEIYTYTGSILIAVNPFQKLPHLTETSTMAKYKGAAFGEQSPHPFAIASSAYSKMINEKRSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISSEEQGAEEVFDSCQPKDEKSYFHLKTAAELLMCDEKSLEESFCKRIIVTHGDTITKSLDPNSAALRRDSLAKIIYSRLFDCFEQFCINLANEKLQQHFNQHIFKMEQEEYKREEIDWSYIDFVDNQDVIDLIEKKPVGIIALLDEACMFPRSTHETFAEKLYQTFKGNKRFNKPKFSRTDFTIKHYAGDVTYQTDLFLDKNKDYAIPEHASILNASKCSFVSGLFPPLHEDATKSKKFSSVATQFKLQLQSLLQTLNATEPHYIRCVKPNHLLKPRIFGNKIVLQQLRCGGVMEAIRISCAGYPTRKKFDEFVQRFSILAPEVLKECPDKKTACKRLLDRVNLKDYQIGKTKVFLRASQMAELDACRAEDLGRYASVIQSKVRTFLCQKQFISLLLSAIGLQRVAKGQLARHQYECMRREAASLKIQKDFLMHISRKAYKNIYDSAIYIQIGLRGMVARNDLRFRKRSHAAAVIQGLYRGYTVRTYFRRLKKATIFAVCSWKRTVARRELRKLKMAAKNTKALEAAKNNLAKQVKDLISSLEDEKRMREATTQENEKLQHALQEKEVQLEAKTQEIEKLKHALQEKEVQFHEIKEAKEKETEKLQLDLQEMEFQFQETKAVLTEELETAKKVAEQPPTVQELVNSFEKKIVQELPTNVTNYELINNLTLENKQIKERVKLLEKKSTSQEFPVNLTNNEFIYKLISDNEHLKEQISSLEKKITPEFTVNAVDTINKFASENEHLKPQYSQPSSSRHQACSLALSLRHQYRSRLSPSSHPHSRSRNTNGLHRHAIVPNTPPLQASHLISSVSFLPSLHLGCFTNYIFLYPEMLLLAILAISYLLLIVEKIRS >Vigun02g005000.6.v1.2 pep primary_assembly:ASM411807v1:2:2421437:2437407:1 gene:Vigun02g005000.v1.2 transcript:Vigun02g005000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVNFVVGSHVWVEDPELAWINGEIQESNKDEVTILFESGTRVVRKSANMYPKDPEFPPAGVEDMTRLAYLHEPGVLQNLQIRYSINEIYTYTGSILIAVNPFQKLPHLTETSTMAKYKGAAFGEQSPHPFAIASSAYSKMINEKRSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISSEEQDAIFRVVAAILHLGNIDFVKGAEEVFDSCQPKDEKSYFHLKTAAELLMCDEKSLEESFCKRIIVTHGDTITKSLDPNSAALRRDSLAKIIYSRLFDWIMCKINNTIGQDPKSNSLIGVLDIYGFESFKTNSFEQFCINLANEKLQQHFNQHIFKMEQEEYKREEIDWSYIDFVDNQDVIDLIEKKPVGIIALLDEACMFPRSTHETFAEKLYQTFKGNKRFNKPKFSRTDFTIKHYAGDVTYQTDLFLDKNKDYAIPEHASILNASKCSFVSGLFPPLHEDATKSKKFSSVATQFKLQLQSLLQTLNATEPHYIRCVKPNHLLKPRIFGNKIVLQQLRCGGVMEAIRISCAGYPTRKKFDEFVQRFSILAPEVLKECPDKKTACKRLLDRVNLKDYQIGKTKVFLRASQMAELDACRAEDLGRYASVIQSKVRTFLCQKQFISLLLSAIGLQRVAKGQLARHQYECMRREAASLKIQKDFLMHISRKAYKNIYDSAIYIQIGLRGMVARNDLRFRKRSHAAAVIQGLYRGYTVRTYFRRLKKATIFAVCSWKRTVARRELRKLKMCRLPKTQRHLKLQKIIWQSKSKI >Vigun05g130300.1.v1.2 pep primary_assembly:ASM411807v1:5:15113197:15118546:-1 gene:Vigun05g130300.v1.2 transcript:Vigun05g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSTTTPGVPLFPPPISARRTASTRVQVSSRPDPEPSSSPSSTALTVVDERTRRIARELEELKKREAKERKELRDGKIASQKAVSVILWREATKAVIDKSGRKKKGPINSKKLLPRTVLEALHERVAALRWESALKVFELLREQIWYRPNTGIYIKLIVMLGKCRQPEKAHKLFLAMVDEGCVLDCESYTALLSAYSRSGLLDKAFTLLDEMKNTSGCQPDVQTYSILIKSCLQVFAFDKVQSLLSDMANHGIKPNTVTYNTLIDAYGKARKFSEMESILVEMLADRDCQPDAWTMNSTLRAFGNIGQIETMEKCYEKFQTAGIQPNVQTFNILLDSYGKAEEYKKMSAVMEYMQRYHYSWTIVTFNIMIDAFGKAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYAHAGKPEKIGGVLRFVENSDVLLDTVFFNCLVDAYGRLGCLAEMKGVPEMMEQSGCKPDIITYRTMIKAYSFNGMDSHAKEIRELLPTVTRPSLKRDKPDF >Vigun08g136466.1.v1.2 pep primary_assembly:ASM411807v1:8:30843368:30846798:-1 gene:Vigun08g136466.v1.2 transcript:Vigun08g136466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPFSIFFHSLKLHHHTFQSILCFAAKLLLNSSSSSHLSAQIFS >Vigun10g026000.1.v1.2 pep primary_assembly:ASM411807v1:10:3112378:3114340:1 gene:Vigun10g026000.v1.2 transcript:Vigun10g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVDMRTISKGAIILLSIMALLFDTTDSVVLESGENHIKSATFLSENFEVGPGKVVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLSNGIEFERNDGACQGFLLPHYWGLGGESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRDGFRGPTRKLALRYKIKWIDWDEHQVPLKFYILDSTDRVRSNGSTTIHDCQAEYKIPRNHDNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPKQVFEGNLM >Vigun04g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39513584:39514624:-1 gene:Vigun04g171100.v1.2 transcript:Vigun04g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKGSETAKPKPAMPWKARISISFISTITDAARRSNGTINRCLTNLLDIKAQACSSAVNGVTSKDVTIHAENKLWFRVFAPAVSPAAGTLPVVVYFHGGGFAFLSPDSFGYDAVCRRICRHVPAVVLSVNYRLTPEHRYPSQYDDGQNFLHFLDENRMVLPENADVSKCFLAGDSAGANLAHNVAVRVGKMGIRENLVENSGFRRIQVLGLVTIQPFFGGEERTEAEVKFEGAPLVSMERADWMWKAFLPDGSDRDHGACNVSGPNSEDLSGLDYPDTLVIVGGFDPLQDWQRRYYEWLTKSGKKAQLVEYPKMFHAFYVFPDLPESSQMMTQIKDFINKKLAQ >Vigun03g304400.1.v1.2 pep primary_assembly:ASM411807v1:3:49403690:49407631:1 gene:Vigun03g304400.v1.2 transcript:Vigun03g304400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTNAASRILGGNGVVYRSVASSLRLRSGMGLPVGKHYVPDKPLPMNEELLWDNGTAFPEPCIDRIADTVGKYEALAWLCGGLSFFASLGLLAVWNDKASKIPFTPKVYPYDNLRVELGGEP >Vigun09g050500.1.v1.2 pep primary_assembly:ASM411807v1:9:4984496:4990279:1 gene:Vigun09g050500.v1.2 transcript:Vigun09g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAFDPSSLGPSKIPSIKIPGKLGNGTWEALSGSDSYHASSDASLFSSSLPVLPHEKLNLNETENGYQSIDDISSEFKKLHQDAEGDGSLEDGGTHAVGPVLPDDEEELLAGIMDDFDLSGLPGSLEDLEEYDLFGSGGGMELETDPQESLSVGISKLSFADSTVGNGLPHYSFPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRALFELYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELDQDESRSFRYQVGSPVANSPPGNWLQFNSPVEQNSMQNISHSPGSRILSPTTGNHLPGLASILQPQVSNTVKAAAIGNDLGRSGQGEHIFTGLNSSEGASFQSHSLPEPKFSQYRGALSSFAPSTSNGSSVETLSGPQFLWGSPTLYSEHTKPSAAWPRSSVGHPFTSNGKSHAFPFSTQNSSFVGSSQHHHNHHVGSAPSGLPFERHFGFHPESSETSFMNNVGYGGMSLGHTDGNYMVNVGGSVNANITIPRNISDNGSSNFRMRSPRLSPVYLGNGPYPGLLPSTLEGLADRARSRWMENNVSQADSKKQFQLDLDKIKSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYETFNGKKWEKFNSEKVASLAYARIQGKSALVSHFQNSSLMNEDKRCRPILFHSEGSEAGDLIVQEHLPANPNNVNIQGLRSSELHSSDFAGSPPNADPSASLDAK >Vigun09g050500.3.v1.2 pep primary_assembly:ASM411807v1:9:4983066:4990279:1 gene:Vigun09g050500.v1.2 transcript:Vigun09g050500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAFDPSSLGPSKIPSIKIPGKLGNGTWEALSGSDSYHASSDASLFSSSLPVLPHEKLNLNETENGYQSIDDISSEFKKLHQDAEGDGSLEDGGTHAVGPVLPDDEEELLAGIMDDFDLSGLPGSLEDLEEYDLFGSGGGMELETDPQESLSVGISKLSFADSTVGNGLPHYSFPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRALFELYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELDQDESRSFRYQVGSPVANSPPGNWLQFNSPVEQNSMQNISHSPGSRILSPTTGNHLPGLASILQPQVSNTVKAAAIGNDLGRSGQGEHIFTGLNSSEGASFQSHSLPEPKFSQYRGALSSFAPSTSNGSSVETLSGPQFLWGSPTLYSEHTKPSAAWPRSSVGHPFTSNGKSHAFPFSTQNSSFVGSSQHHHNHHVGSAPSGLPFERHFGFHPESSETSFMNNVGYGGMSLGHTDGNYMVNVGGSVNANITIPRNISDNGSSNFRMRSPRLSPVYLGNGPYPGLLPSTLEGLADRARSRWMENNVSQADSKKQFQLDLDKIKSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYETFNGKKWEKFNSEKVASLAYARIQGKSALVSHFQNSSLMNEDKRCRPILFHSEGSEAGDLIVQEHLPANPNNVNIQGLRSSELHSSDFAGSPPNADPSASLDAK >Vigun09g050500.2.v1.2 pep primary_assembly:ASM411807v1:9:4982949:4990289:1 gene:Vigun09g050500.v1.2 transcript:Vigun09g050500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAFDPSSLGPSKIPSIKIPGKLGNGTWEALSGSDSYHASSDASLFSSSLPVLPHEKLNLNETENGYQSIDDISSEFKKLHQDAEGDGSLEDGGTHAVGPVLPDDEEELLAGIMDDFDLSGLPGSLEDLEEYDLFGSGGGMELETDPQESLSVGISKLSFADSTVGNGLPHYSFPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRALFELYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELDQDESRSFRYQVGSPVANSPPGNWLQFNSPVEQNSMQNISHSPGSRILSPTTGNHLPGLASILQPQVSNTVKAAAIGNDLGRSGQGEHIFTGLNSSEGASFQSHSLPEPKFSQYRGALSSFAPSTSNGSSVETLSGPQFLWGSPTLYSEHTKPSAAWPRSSVGHPFTSNGKSHAFPFSTQNSSFVGSSQHHHNHHVGSAPSGLPFERHFGFHPESSETSFMNNVGYGGMSLGHTDGNYMVNVGGSVNANITIPRNISDNGSSNFRMRSPRLSPVYLGNGPYPGLLPSTLEGLADRARSRWMENNVSQADSKKQFQLDLDKIKSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYETFNGKKWEKFNSEKVASLAYARIQGKSALVSHFQNSSLMNEDKRCRPILFHSEGSEAGDLIVQEHLPANPNNVNIQGLRSSELHSSDFAGSPPNADPSASLDAK >Vigun06g095500.1.v1.2 pep primary_assembly:ASM411807v1:6:22661364:22663871:-1 gene:Vigun06g095500.v1.2 transcript:Vigun06g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVVEGSRSPMQMKMGDELEGNTNTLRTAETFLRLLPVGLCVSALVLMLKNSQQNQYGSVDYTDLGAFKYLVHANGICAGYSLFSAVIAAMPRPSTMPRAWTFFLLDQVLTYIVLAAGAVSTEVLYLAENGNTATTWSSACGSFGRFCNKVTASIVITFVAVFCYVLLSLISSYKLFTKYDAPAACRPTAPIEVAAFPG >Vigun03g308400.1.v1.2 pep primary_assembly:ASM411807v1:3:50028860:50032377:1 gene:Vigun03g308400.v1.2 transcript:Vigun03g308400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTTRMVLVVKEMLQLSSSTLPFTSLRLRGVSPPSHFSLQFRRSLRPAIRCASSDSDGANRVSARLSQVNQLLQQAEHRALSADQTPPPKITIDHVAVSFARSGGPGGQNVNKVNTKVDMRFNVKNAHWLSERVRDKILQTEKNRINKDGELVISSTKTRTQKGNIEDALAKLQEIIDAASYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKVLSDKKAFRRSKNSWD >Vigun09g005601.1.v1.2 pep primary_assembly:ASM411807v1:9:401462:408749:1 gene:Vigun09g005601.v1.2 transcript:Vigun09g005601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQVLRFTLVFLFICVCGVIATPPEDPIQCASKNTKCTITNTYGMFPDRATCHAAEVVYPTSEEELVSAVASASKNKRKVKAATRFSSSITKLACPDGENGLLISTENLNKILNIDAKEGTMTVQSGVSLREIMEAAGKAGLALPYAPYWWGSTIGGMMGTGAHGSTLWGKGSAVHEYVVELRIVTPSGPDHGYAKVRILDESDPSLNAARVSLGVLGVLSQVTLKLQPLFKRSITYMTKNDSDLGDELLTFGRKHEFGDVIWYPSHKKAVYRIDDRVSVNASGNGLYNFFPFRSTPSIALAIVRSTEELDEALHYANGKCIAAKLITALLSSIAYGLTNNGHFYGYPVVGYSHRMQASGTCLDSYHDDLITACPWDPRIKGEFFHQTTFSIPLSDVKNFVKDVQKLVELEPKSLCGLEMENGILMRYVTASSAYLGRSEDAVAFDFTYYRSKNPLAPRLFQDILEEIEQIGLLKYKGLPHCGKNRNLAFDEVINKYKNADKFLKVKEEYDPKGSS >Vigun01g005500.2.v1.2 pep primary_assembly:ASM411807v1:1:664727:676133:-1 gene:Vigun01g005500.v1.2 transcript:Vigun01g005500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARQRSPRGFYTAEYRQREGGLGRGRRGDIFVEAGRLAAEYLVSQGLLPPNALPTKWQNQKAPVEGGGRQSALARLGSVDGRRKLGFDEFGQKGRRRGSFSRSNGMDWGREYRRNGSWSGRVPNDVRDGEDDDYESGGFSARHQDEEDQHHYQHQHQHQHVNSSDDALVKSNSNEFSARSEDGGDLNGKDKDRDKEKVSVELSEMKQSGVGKDVCDVDMGVGVGNDLEGVSVGVKEVKDGGAGDDDSERLRNVSAQLSDQENSSSGGAVTDLVSLCKSVKVPTRTRSSITRKNLKAGNKGDGAGSAHDVGELQVADSAEEVLAENESVKGSSSGELLGEKSYDIVHVDADVAEVEPVCVAEDMRDLDAVSKAEDVRELDAVSKVEDVKELGCQPGQDRSFIQDNNQESSATLPGYEVCSSLDGEQGQKRVAEDDDDDVREDNKRLREWLPSLVPKTGGYFLHGNPIEVKESQVEDGISHIDKVTMTSDQGSLMGSGSQFSVGGDRPFLQCSDEKPSLPSSFRTCDLNLIEVSEVNESHVDHPVLIYPPAVSEAKKAVPIDIDLSMSHASVSNKFNTHAANGKEIEVIDLENDSIQEEKSIDNMDRKTETMFPGLDGFSNHAQNAADIHDVQDGYGLMISELLGPDFTNCSSVPGDINSVHNEMGLHNGTGTLAEDDSIYMSLGELSMPDFY >Vigun01g005500.1.v1.2 pep primary_assembly:ASM411807v1:1:664727:676133:-1 gene:Vigun01g005500.v1.2 transcript:Vigun01g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARQRSPRGFYTAEYRQREGGLGRGRRGDIFVEAGRLAAEYLVSQGLLPPNALPTKWQNQKAPVEGGGRQSALARLGSVDGRRKLGFDEFGQKGRRRGSFSRSNGMDWGREYRRNGSWSGRVPNDVRDGEDDDYESGGFSARHQDEEDQHHYQHQHQHQHVNSSDDALVKSNSNEFSARSEDGGDLNGKDKDRDKEKVSVELSEMKQSGVGKDVCDVDMGVGVGNDLEGVSVGVKEVKDGGAGDDDSERLRNVSAQLSDQENSSSGGAVTDLVSLCKSVKVPTRTRSSITRKNLKAGNKGDGAGSAHDVGELQVADSAEEVLAENESVKGSSSGELLGEKSYDIVHVDADVAEVEPVCVAEDMRDLDAVSKAEDVRELDAVSKVEDVKELGCQPGQDRSFIQDNNQESSATLPGYEVCSSLDGEQGQKRVAEDDDDDVREDNKRLREWLPSLVPKTGGYFLHGNPIEVKESQVEDGISHIDKVTMTSDQGSLMGSGSQFSVGGDRPFLQCSDEKPSLPSSFRTCDLNLIEVSEVNESHVDHPVLIYPPAVSEAKKAVPIDIDLSMSHASVSNKFNTHAANGKEIEVIDLENDSIQEEKSIDNMDRKTETMFPGLDGFSNHAQNAADIHDVQDGYGLMISELLGPDFTNCSSVPGDINSVHNEMGLHNGTGTLAEDDSIYMSLGELSFFRPWEQPPSQDYQKHF >Vigun02g008900.1.v1.2 pep primary_assembly:ASM411807v1:2:3691750:3694251:-1 gene:Vigun02g008900.v1.2 transcript:Vigun02g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRRRLCRRRMFRRRRRFRRRRRLLPSKGFLCQRHETQLRKKSWHKSMC >Vigun08g222500.5.v1.2 pep primary_assembly:ASM411807v1:8:38036945:38044216:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLFTRFQIWALVLLPFHYLLPVF >Vigun08g222500.28.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLL >Vigun08g222500.25.v1.2 pep primary_assembly:ASM411807v1:8:38037705:38044179:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.26.v1.2 pep primary_assembly:ASM411807v1:8:38036989:38044056:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.14.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.13.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.15.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38044140:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLLNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.18.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38044140:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMAQFKWSSLDSPFLIHWVWHCNQCELSGYGMYWMEKYLEDSILYPEC >Vigun08g222500.9.v1.2 pep primary_assembly:ASM411807v1:8:38036945:38044216:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.21.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLFTRFQIWALVLLPFHYLLPVF >Vigun08g222500.20.v1.2 pep primary_assembly:ASM411807v1:8:38036971:38044104:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMGKVVFFCVAFQSHFVLNFLSFPFCFNFYAGFYFYYL >Vigun08g222500.24.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLFTRFQIWALVLLPFHYLLPVF >Vigun08g222500.22.v1.2 pep primary_assembly:ASM411807v1:8:38037704:38044217:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.1.v1.2 pep primary_assembly:ASM411807v1:8:38036945:38044216:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.17.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38044140:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMAQFKWSSLDSPFLIHWVWHCNQCELSGYGMYWMEKYLEDSILYPEC >Vigun08g222500.29.v1.2 pep primary_assembly:ASM411807v1:8:38036971:38044104:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLFTRFQIWALVLLPFHYLLPVF >Vigun08g222500.23.v1.2 pep primary_assembly:ASM411807v1:8:38036945:38044216:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.19.v1.2 pep primary_assembly:ASM411807v1:8:38036971:38044104:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMGKVVFFCVAFQSHFVLNFLSFPFCFNFYAGFYFYYL >Vigun08g222500.27.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38043994:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGRSGRIIKLACHELQLAGNNHCNTFAKAFLWMEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLVCDSGSSKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLL >Vigun08g222500.16.v1.2 pep primary_assembly:ASM411807v1:8:38036990:38044140:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGDGADAEPQFDADFVPDPSQSCTGNEKGDRASKISQSRRPNLSSLQIPAWSLDIALSTFAKTDGPSVSRSSPGSTRGLPPRPNSAKVRSSMRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLLNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun08g222500.4.v1.2 pep primary_assembly:ASM411807v1:8:38036949:38044178:1 gene:Vigun08g222500.v1.2 transcript:Vigun08g222500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPQKSVKANTCSQDIEKTGLIVPKSPLSDAPYDKSSIHLSLKNKVISPATKGAHSLPVTPFGTSASENADGRHLKMGDQPHITRSFSVPVKSRASNLRVTDSRGLIRVISARQTVRRKSSDGGLVPEIAIEDATEDIPEEQAVCRICLVELGEGGNTLKLECSCRGDLALAHQECALKWFSIKGNRTCDVCKREVQNLPVTLLKICDRQTSSRHTSNVPPREVTYYRIWQDVPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFACVLGLLSSMIASTMVNRSFIWAYACFQFALLILLAHLFYTILNLNGVLSILLSSFTGFGIAISANSLVMECIGWRNIWRIQSSIQNVNMTEQQRREHVHHQRQQNQIEHQPQRRSSTTVEPPQHHGNS >Vigun04g160200.1.v1.2 pep primary_assembly:ASM411807v1:4:38253482:38257499:1 gene:Vigun04g160200.v1.2 transcript:Vigun04g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Vigun04g091200.2.v1.2 pep primary_assembly:ASM411807v1:4:16619701:16621965:1 gene:Vigun04g091200.v1.2 transcript:Vigun04g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPTELEETVAQYLFDLENTNQDLKSDLKDLYINQAIQMDVANNRKAVVIYVPFRLRKAFHKIHLRLVRELEKKFSGKDVIMIATRRILRPPKKGSAVQRPRTRTLTAVHDAMLEDVVYPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLDTFSGVYRKLTGKDVVFDYPVTEA >Vigun04g091200.1.v1.2 pep primary_assembly:ASM411807v1:4:16619583:16621965:1 gene:Vigun04g091200.v1.2 transcript:Vigun04g091200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRKKIHKDKDAEPTELEETVAQYLFDLENTNQDLKSDLKDLYINQAIQMDVANNRKAVVIYVPFRLRKAFHKIHLRLVRELEKKFSGKDVIMIATRRILRPPKKGSAVQRPRTRTLTAVHDAMLEDVVYPAEIVGKRVRYRIDGSKIMKVFLDPKERNNTEYKLDTFSGVYRKLTGKDVVFDYPVTEA >Vigun07g226100.1.v1.2 pep primary_assembly:ASM411807v1:7:34827476:34835104:1 gene:Vigun07g226100.v1.2 transcript:Vigun07g226100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDSHGNPPSESSVKAEENPQTLSSPEPGATAKVKRKRKKRNEGSNEQFQAEVCPKPLVDSPFSQEGNVEAAADNACPNPKKKKKKIKNVHGEEQLQASDPPIAVCVESDDTKGEGARQGDPNGNGDLHPKPLLDTPVPIVSREENSEGTALNPNATKKKRKKKILQVAEDTRDPSIRIGVKSDVTKDEGSREGYGNDSGALYPKPLIDTAVPIVSHEENSEATVLNPGETLKKKRKKKILQVAEDTCDPSIRIGVKPDVTKDEGSREGDVNDNGGLYPKPLVDTPVPIVSHEENSEATVLNPGETLKKKRKKKILQVAEDTCDPSIRIGVKPDVTKDEGSREGDVDDNGGLYPKPLVDTAVPIVSHEENSEATVLNPGETLKKKRKEKILQGAGEICDHSIRKGVESDVTKDEGSREGDVNGNEGLCPEPLLDTKIPVVSHEENAEGTARNPSPTPKRKKRKKKKILQGADEKCEASIRIGVERDVKKDERSQEGDVNKNEGLCPKPLLDTQIPIVSHEGKAEGTAQSPSPAPQKKKRKKKILQGGDQICDPSIQIGLEPDVAKDEGSREGDVNENAGFFPEPLLDSKIPLVSKEENAEGTARKPSPTPKRKRKKKILQGAEEKCEPSILIGVEGDVKKDEGSREGDVNENEGLCPTPLLDTPIPIVFHEEKAEKTPQSPIPTSKKKGKKKILQGADQVCEPSIQIGLEPDVAKDEGSREAGVNENGGLCPDPLLDTKSPIVSHEKNAEGTARNPSATSKRKRKKKILQGAVEKCEASIQIGVEPDVKKDEGSREGDGNENEGFCPTPLLDTPIPIVSHEENAEGTAQSRSPTLKKKKKKKILQGADQICDPSIQIGLQPDVAKDEGSQEGDVNENGGLCPEPLLDTKIPIISHDENAEGTARNPSTTPKRKRKKKILQGAGEKCEASIRIGVEPDVKKDEGSLEGDVNENEGLCPKPLLDTPIPIISHEEKAEGTAQSPSPTLKKKRKKKGLQGADQICDFSPNPKKGNLHGAQQICDPSIRIGLESDEPNEEGGHQCSDRAERSTQNPNPTPKRKKRKNVQGQQQQLQICDPSISIGVESDVMKEGAKQVDANEVGVSGISEESPLPDSTAPEDTHRKKDSVRKKKKALKSQGAEAESEPEPKESNSTENPQQCTLDLKPKSKVKKGPGANAENSGVCSKPEVAPQLYIAATTALEVKEKAELIEVNIEHSEAGSKPELEPSIDIPSQMEPRKKKRMKKEKKKETKPSESHAQHPQPEPCSNHAPAVRGIIEECPGPEGAESSGKPPETAVALSIDPKIPDQASPNDTATPIDQDQKVSEKKRKSNPVAAPSTEPTIQTGQDPPVDPATPVDPEQMTTKKSKESNSALKNDGLKYEKRNADLRKSNPVAAPSIEPTIQTGQDPPIDPATPVDPEQMKKKGKESNSALKNDGLESVKRNADLTAETSVQKIEGPTAKKSMVKRNPERAAKNPVYRTERLKVTESPSKHHGGRSLQFVQSCEACQQIGHRLEQCKRLRTLSKDEEVCFFCGEIGHSLGQCGVSQAGGRFAKCLLCHQHGHFGYNCPQSENEKKHEELVANGAFNGSEIRFDKCSLCHKRGHHSRNCPQNGHDPKVLAANGGHQQMS >Vigun04g032600.2.v1.2 pep primary_assembly:ASM411807v1:4:2679295:2682491:-1 gene:Vigun04g032600.v1.2 transcript:Vigun04g032600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTCLHPTHPLLLHLGSALPAISNRCFNHSSSLNRTLSAKSPRTVPPRAMTKPSLSPAQDQVASPGDFEFVPPLRIVEYPDPKLRAKNKRIVTFDDNLKKLVHEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVSKYSKKLTLFNEGCLSFPGINADVKRPESVKIDARDVNGTRFSVNLSDLPARIFQHEFDHLQGSTTGEGQIKPIGKT >Vigun04g032600.1.v1.2 pep primary_assembly:ASM411807v1:4:2676606:2682491:-1 gene:Vigun04g032600.v1.2 transcript:Vigun04g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTCLHPTHPLLLHLGSALPAISNRCFNHSSSLNRTLSAKSPRTVPPRAMTKPSLSPAQDQVASPGDFEFVPPLRIVEYPDPKLRAKNKRIVTFDDNLKKLVHEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVSKYSKKLTLFNEGCLSFPGINADVKRPESVKIDARDVNGTRFSVNLSDLPARIFQHEFDHLQGILFFDRMSEDVLDSIRGQLQALEKKYEGMTGLPSPEMIENSKKRKAAVGFGK >Vigun11g036100.3.v1.2 pep primary_assembly:ASM411807v1:11:4859760:4869474:-1 gene:Vigun11g036100.v1.2 transcript:Vigun11g036100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGKSRVGSTRASVVGGAAQSRPSVGGRNFKVFSEIDRTKAGDGNTTSARGTVASSRRSTVVASKGNILGSTSNPKSMDKSSGKYGTSNTAVRKALSDVSNVEEKFTAALGHANSKMKVSTGSSTKIVGVSLRKSITGRVQRNNSKADVRLDALNKDDQKNNTKGGQSVFSTKDRFTWKTTATSTSRKSLPVPSRVIREDTSKTKENVRSSLTAKGQSCLPSKATTNRRESSQLVNARNHLWKNRVSDGFVLTVQPNVSHASSRKFAKPIVKNTLKASIAQRTLKSKSISAQSKLESIFATSSQNKSISAQNKLESTSATLSQEKESVSLSLPGNGSTVSDDANQRHLPSESTLRTDLSEIIPRKKSSRRKSYTSSLIEGSKFLKESEVREQDNLPSIDNESNQFEVSEYVDDIYQYYWVTEARNPALANYMSIQTDITAHLRGILINWLIEVHFKFDLMPETLYLTITLLDQYLSLVTIKKTDMQLVGLTALLLASKYEDFWHPRVKDLISISAGYTRDEMLGMEKLILRKLKFRLNAPTHYVFLVRFLKAGQSNKKIEHMAFFLVDLCLVEYEALAFKPSLLCASALYVARSTLQISPPWTPLLHKHARYEVSQLRDCAEMILKFHKAAGVGKLTVAYEKYSRPQLCSVAAVKPLDVLPL >Vigun11g036100.2.v1.2 pep primary_assembly:ASM411807v1:11:4859760:4869474:-1 gene:Vigun11g036100.v1.2 transcript:Vigun11g036100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGKSRVGSTRASVVGGAAQSRPSVGGRNFKVFSEIDRTKAGDGNTTSARGTVASSRRSTVVASKGNILGSTSNPKGGIKQSMDKSSGKYANTAVRKALSDVSNVEEKFTAALGHANSKMKVSTGSSTKIVGVSLRKSITGRVQRNNSKADVRLDALNKDDQKNNTKGGQSVFSTKDRFTWKTTATSTSRKSLPVPSRVIREDTSKTKENVRSSLTAKGQSCLPSKATTNRRESSQLVNARNHLWKNRVSDGFVLTVQPNVSHASSRKFAKPIVKNTLKASIAQRTLKSKSISAQSKLESIFATSSQNKSISAQNKLESTSATLSQEKESVSLSLPGNGSTVSDDANQRHLPSESTLRTDLSEIIPRKKSSRRKSYTSSLIEGSKFLKESEVREQDNLPSIDNESNQFEVSEYVDDIYQYYWVTEARNPALANYMSIQTDITAHLRGILINWLIEVHFKFDLMPETLYLTITLLDQYLSLVTIKKTDMQLVGLTALLLASKYEDFWHPRVKDLISISAGYTRDEMLGMEKLILRKLKFRLNAPTHYVFLVRFLKAGQSNKKIEHMAFFLVDLCLVEYEALAFKPSLLCASALYVARSTLQISPPWTPLLHKHARYEVSQLRDCAEMILKFHKAAGVGKLTVAYEKYSRPQLCSVAAVKPLDVLPL >Vigun11g036100.1.v1.2 pep primary_assembly:ASM411807v1:11:4859760:4869474:-1 gene:Vigun11g036100.v1.2 transcript:Vigun11g036100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGKSRVGSTRASVVGGAAQSRPSVGGRNFKVFSEIDRTKAGDGNTTSARGTVASSRRSTVVASKGNILGSTSNPKGGIKQSMDKSSGKYGTSNTAVRKALSDVSNVEEKFTAALGHANSKMKVSTGSSTKIVGVSLRKSITGRVQRNNSKADVRLDALNKDDQKNNTKGGQSVFSTKDRFTWKTTATSTSRKSLPVPSRVIREDTSKTKENVRSSLTAKGQSCLPSKATTNRRESSQLVNARNHLWKNRVSDGFVLTVQPNVSHASSRKFAKPIVKNTLKASIAQRTLKSKSISAQSKLESIFATSSQNKSISAQNKLESTSATLSQEKESVSLSLPGNGSTVSDDANQRHLPSESTLRTDLSEIIPRKKSSRRKSYTSSLIEGSKFLKESEVREQDNLPSIDNESNQFEVSEYVDDIYQYYWVTEARNPALANYMSIQTDITAHLRGILINWLIEVHFKFDLMPETLYLTITLLDQYLSLVTIKKTDMQLVGLTALLLASKYEDFWHPRVKDLISISAGYTRDEMLGMEKLILRKLKFRLNAPTHYVFLVRFLKAGQSNKKIEHMAFFLVDLCLVEYEALAFKPSLLCASALYVARSTLQISPPWTPLLHKHARYEVSQLRDCAEMILKFHKAAGVGKLTVAYEKYSRPQLCSVAAVKPLDVLPL >Vigun06g165700.1.v1.2 pep primary_assembly:ASM411807v1:6:28755602:28757608:1 gene:Vigun06g165700.v1.2 transcript:Vigun06g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESQKRRKSLSPSPGPSPRTLQPNFHQLDCSSIIGSNNSFKELGASCNSSVADVEVKICGSNVILKVISQRIPGQVARIITVLESLSFEVLHLNISSMEETVLYQFVVKIELGCQLSLEELAMEVQQSFCSEAITML >Vigun06g165700.2.v1.2 pep primary_assembly:ASM411807v1:6:28755602:28757608:1 gene:Vigun06g165700.v1.2 transcript:Vigun06g165700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESQKRRKSLSPSPGPSPRTLQPNFHQLDCSSIIGSNNSFKELGASCNSSVADVEVKICGSNVILKVISQRIPGQVARIITVLESLSFEVLHLNISSMEETVLYQFVVKICLLQQIELGCQLSLEELAMEVQQSFCSEAITML >Vigun09g004300.1.v1.2 pep primary_assembly:ASM411807v1:9:328213:331122:1 gene:Vigun09g004300.v1.2 transcript:Vigun09g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPNSKLNGEEKKEKEEREDEESFSRAMQLVGSVVLPMALQSATELGVFEVLKEAGEGAKLSAKDIASKISCTNPQAASMLDRILTLLSSHSILHSSLVSDHRLPPTFHRLYTITPVATFFARNSDGVSLGPWMALIHDKIFLHSWSELKDAIREGGVPFNRVYGTHAFEYPKLDSRFNEVFNTAMINHTTLVMKKILESYNGLEGIKRLVDVGGGLGINISFITSKYPHIHGINFDLPHVIQHAPSYPGVDHVCGDMFENVPKGDAIFMKWILHDWSDEECVKVLKNFYDAIPDDGKVIVVEAVVPKMAESNDAYKAVSQMDVVMMTQHVGGKERCEQEFMDLATEAGFSGIRHECRVNIYWVMEFFK >Vigun05g161300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25665002:25665568:1 gene:Vigun05g161300.v1.2 transcript:Vigun05g161300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLTEIQDIHFFFRLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQRIGPEYTGPFGVLQALADGTKLLFKENLIPSRGDIRLFSFGPSISVISIIISYSVIPFGYNFVLSDLNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLCVLR >Vigun04g049300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4289623:4290632:-1 gene:Vigun04g049300.v1.2 transcript:Vigun04g049300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSLHLLPLTTSSFDESLQKLKRLLPFFGFINMTISSVVTIYSAHRNNDTPTIVFVAFVYFGSFFLDYCFRLYHTLPPSSPSSHNIKIVIWVLISSIMLGFAFEFSTFMGFLESVFFFALVIGGNSYLFCVYFIWESEKNGGSACNSDDCCERKPLTEAKVVDEV >Vigun09g237100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40742344:40744606:1 gene:Vigun09g237100.v1.2 transcript:Vigun09g237100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVVRNVSECFIKPFGPAQDSNRICNLTPWDIAMLSMHYIQKGLLFKKPATLVHRQDFIENLLEKLKHSLSLTLFHFYPLAGHLVTNKDPSSYTIFVDCNNSDGARFIYATSDLTIFDILSPVYVPVVVHSFFDHHKAVNHDGHTMPLLSVKVTELLDGVFIGCSMNHAIGDGTSYWNFFNTWSEIFHAQAQGHEYRVPISRHPIHNRWFPDGCDPLINLPFKHQDEFISRFEAPELQERIFHFSAESIAKLKARANSESKTTKISSFQSLSAHVWRCITRARRLPRDETTSCRLAVNNRARMEPPLPYEYFGNCINVVSAGTTVGELLENDLGWAAWKVRMAVANQKDGAVRDAVKEWLQRPVVYQLGVHFDSCSVTMSSSPRFDMYGNEFGMGKAVAVLSGYANKFEGNVTSYPGSEGGGSIDLEVSLSPDAMTLLESDEEFMEAVSVANFLH >Vigun07g004400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:367045:371346:1 gene:Vigun07g004400.v1.2 transcript:Vigun07g004400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQRYLIVILTFICTSVCYIERVGFSISYTVAADSVGVNQSSKGTILSTFYYGYACSQVPGGWAAQRIGGRRVLLLSFLLWSLTCALLPLDPNRVMLLVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAALGMLLLPTLVKFKGPQSVFLAEAALGASWSLLWFKYATDPKSTASGVGESVLPVNKKMDSHNKKVLSAKIPWVKILTSFPVWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLQDMGSSKMLPYLNMFLFSNIGGVVADYLITRRILSVTKTRKFLNTVGFLVASLALVVIPSFRTSGGAVFCSSVALGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVDLTGKLLEAAKAASSDLSSPESWRTVFFIPGFLCIFSSFVFLIFSTGERIFD >Vigun01g150350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33264055:33264279:-1 gene:Vigun01g150350.v1.2 transcript:Vigun01g150350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCRVLVLVLALPVSATSARNVPSDAALKDQNNFITYGAIAGYSGIGSNGKWSRWRWRRVQRRWWQRWYSSLR >Vigun09g058700.1.v1.2 pep primary_assembly:ASM411807v1:9:5977602:5982074:1 gene:Vigun09g058700.v1.2 transcript:Vigun09g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLLGGGDKIERKKARVVWEGLMMMGLKGESNNKLRNSMVVSSSSSRSSRMKLWMIRATTSVLLWTCVVQLTALGDMWGPRVLKGWPSCFTYESALTELPSSTPVVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKTRVEKGLLYTMPPISWSDISYYKNQILPLIQKYKVVHLNRTDARLANNEQPLEIQRLRCRVNFSALRFTSQIEDLGKRVIKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNSDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDKNIQIYIAAGEIYGGNRRMASLAENYPRLVRKETLLEPSDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFKKTILLNRKLLVDLIDQYHNGELNWEEFSTAVKQAHADRMGSATKRLVIPDRPKEEDYFYANPEECLELSDGMLSST >Vigun08g050500.1.v1.2 pep primary_assembly:ASM411807v1:8:5828569:5834508:1 gene:Vigun08g050500.v1.2 transcript:Vigun08g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLSAASPSLAVSSSLSSRQTHKGKPKTGGNALRFNNGIKSFPNARSSGRVSMAVAVNVSRFEGIPMAPPDPILGVSEAFKADTSDAKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGDKKEYLPIEGLAAFNKATAELLLGADNPAIKQQRVATVQGLSGTGSLRLGAALIERYFSGAKVLISAPTWGNHKNIFNDARVPWSEYRYYEPKTVGLDFEGMIEDIKSAPEGSFVVLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDEDAASVRLFVARGMEVLVAQSYSKNLGLYAERIGAINVISSSPEAATRVKSQLKRLARPMYSNPPVHGARIVADVVGNPVLFNEWKAEMEMMAGRIKNVRQQLYNSITSKDNSGKDWSFILKQIGMFSFTGLNKEQSDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >Vigun07g271100.2.v1.2 pep primary_assembly:ASM411807v1:7:38692973:38696693:1 gene:Vigun07g271100.v1.2 transcript:Vigun07g271100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEVQPQNTVSEVKKSIETVQGADVYPAAQQMLIHQGKVLKDATTLEDNNVAESSFIVIMLSKSKSTSGEGSTTTAPSTKTPQTSAPPTSTAPVSTALQAPAATEAPPETVTAPAPAPVSTPSVPAPASISSGTPVEGSDIYGQAASNLVAGSHLEGTIQQIIDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPLVARAPAGAQPANPPAAAAPQTAQPAPVTSTGPNANPLDLFPQGLPNVGGSGAAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPVEGGEGNILGQMAGGMPQAVTVTPEERQAIEP >Vigun07g271100.1.v1.2 pep primary_assembly:ASM411807v1:7:38692973:38696693:1 gene:Vigun07g271100.v1.2 transcript:Vigun07g271100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTHFEIEVQPQNTVSEVKKSIETVQGADVYPAAQQMLIHQGKVLKDATTLEDNNVAESSFIVIMLSKSKSTSGEGSTTTAPSTKTPQTSAPPTSTAPVSTALQAPAATEAPPETVTAPAPAPVSTPSVPAPASISSGTPVEGSDIYGQAASNLVAGSHLEGTIQQIIDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPLVARAPAGAQPANPPAAAAPQTAQPAPVTSTGPNANPLDLFPQGLPNVGGSGAAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPVEGGEGNILGQMAGGMPQAVTVTPEERQAIERLEAMGFDRAIVLEVYFACNKNEELAANYLIDHMHEFDEHQ >Vigun02g168500.2.v1.2 pep primary_assembly:ASM411807v1:2:31198469:31201716:1 gene:Vigun02g168500.v1.2 transcript:Vigun02g168500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALNSLSPLSVNSLLISSSSTSMTSDSHSKPFSVACQLNSNRDHCEERRKSVGCSTVAANAESGKWGKVVSATLAAAVIAFSSDMSALADLNKFEAEMRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFSGADLSDTLMDRMVLNEANLTNAILLRTVLTRSDLGGAIIEGADFSDAVLDLPQKQTV >Vigun02g168500.1.v1.2 pep primary_assembly:ASM411807v1:2:31198469:31201715:1 gene:Vigun02g168500.v1.2 transcript:Vigun02g168500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMALNSLSPLSVNSLLISSSSTSMTSDSHSKPFSVACQLNSNRDHCEERRKSVGCSTVAANAESGKWGKVVSATLAAAVIAFSSDMSALADLNKFEAEMRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFSGADLSDTLMDRMVLNEANLTNAILLRTVLTRSDLGGAIIEGADFSDAVLDLPQKQALCKYASGTNPVTGVSTRVSLGCGNKRRNAYGSPSSPLLSAPPQKLLDRDGFCDEATGLCDAK >Vigun09g090500.12.v1.2 pep primary_assembly:ASM411807v1:9:12511523:12516139:1 gene:Vigun09g090500.v1.2 transcript:Vigun09g090500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFPWSPAATKCSSTPIEPVSLFAEGADSIFADQRLCFMHKHQHHLPVILGSQCQSSTRLKGPFRRKTQPSYCHHTWHHLYS >Vigun09g090500.9.v1.2 pep primary_assembly:ASM411807v1:9:12511016:12517051:1 gene:Vigun09g090500.v1.2 transcript:Vigun09g090500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFPWSPAATKCSSTPIEPVSLFAEGADSIFADQRLCFMHKHQHHLPGKLYDQPMTSFPTSPFILGSQCQSSTRLKGPFRRKTQPSYCHHTWHHLYS >Vigun09g090500.10.v1.2 pep primary_assembly:ASM411807v1:9:12511472:12517051:1 gene:Vigun09g090500.v1.2 transcript:Vigun09g090500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFPWSPAATKCSSTPIEPVSLFAEGADSIFADQRLCFMHKHQHHLPGKLYDQPMTSFPTSPFILGSQCQSSTRLKGPFRRKTQPSYCHHTWHHLYS >Vigun09g090500.11.v1.2 pep primary_assembly:ASM411807v1:9:12511523:12516139:1 gene:Vigun09g090500.v1.2 transcript:Vigun09g090500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFPWSPAATKCSSTPIEPVSLFAEGADSIFADQRLCFMHKHQHHLPGKLYDQPMTSFPTSPFILGSQCQSSTRLKGPFRRKTQPSYCHHTWHHLYS >Vigun05g152500.2.v1.2 pep primary_assembly:ASM411807v1:5:23769693:23772989:-1 gene:Vigun05g152500.v1.2 transcript:Vigun05g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENLEEKATEPTEKVSGDTQEAQDPEQKRNEENQFYSVSEPSPSITVSSPSLRTHKSPSPPLHSLTDSPISDGHSSPHPQKQPPESSSDSSISDGHFSIADQHLSPPVVTAHRFQVEHAVVTKVDLGAEEGFVKDVEEATGAAGNRRLRPDVSGLLKTKKIASWSKLLLGLRITAFVFCLASFSVLAADKKRGWALDSFYLYKEFRYSLSVNVIGFMHSGLQICDLWRYLATGKHVVDHQLWGYFTFALDQILTYLLMSSSSSAATRAYDWISNWGEDKFPYMANASVALSLVAFVAFALASLVSGSIIVRFR >Vigun05g152500.1.v1.2 pep primary_assembly:ASM411807v1:5:23769693:23772989:-1 gene:Vigun05g152500.v1.2 transcript:Vigun05g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENLEEKATEPTEKVSGDTQEAQDPEQKRNEENQFYSVSEPSPSITVSSPSLRTHKSPSPPLHSLTDSPISDGHSSPHPQKQPPESSSDSSISDGHFSIADQHLSPPVVTAHRFQVEHAVVTKVDLGAEEGFVKDVEEATGAAGNRRLRPDVSGLLKTKKIASWSKLLLGLRITAFVFCLASFSVLAADKKRGWALDSFYLYKEFRYSLSVNVIGFMHSGLQICDLWRYLATGKHVVDHQLWGYFTFALDQILTYLLMSSSSSAATRAYDWISNWGEDKFPYMANASVALSLVAFVAFALASLVSGSIIVRFR >Vigun07g186800.1.v1.2 pep primary_assembly:ASM411807v1:7:30391128:30399488:1 gene:Vigun07g186800.v1.2 transcript:Vigun07g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEKKKGYEGGAGAGGKFRKRPFRGRIQTTPYDRPPTSLRNPNRNSNNNNGWFSKLLDPAQRLITYSAHSLFSSLFRKRLPPPPPPPETEQEVKNNSQEEAVVVAKNSSGLQQVPVGESDIQINCSDEGGLTELEKLLKQKTFSRSEIDYLTALMRSRTVDAPVREEEKGKGVVLSEPMLQSGQKEYPKTPALENGIENTAVVTPRVISSIPVEDVASPAELAKSYMGSRHSKVSSSVLGVQTSALLEDPTLVNREKFPLKSPIMTIVPRTTKYAAVHENGFMTSRPRGRSAIYNMARTPYARIYPTSTLKGGEHAVEGEPSSSSQSALNHDVLSGSKPGAVKRRSSVLDNDIGSVGPVRRIRQKSNLLYSKGSSSLISGSSLSLDRNQMVVDISQQGSSIQKPILLDEVKHSHMKLSKENVDSTIPSLSSPPLPSKSSEMASKILQQLDKLVSPKEKSSESRLTIVNDNSQTKLSPSMLRGQALRSMEMVESSKLLNNMHGNKLDGQFGNLSASTQNQKLNSQRGEVENGPLKLVAPTDGLLPLITTEDATNASNKVLSTAKSGGSFMIKSVSDLPRKKRAFHMSAHEDFLDLDDDAYPNGAVSSFSLEKEITSSTAVMGETNSGTEATEQENPSAISKTSTIDGKAHIGTAYKSKVGEKVDVSIFRTSSILDPTYKQVTAIPNGSIAKAPLFISENKVVSSKEFTAPSAPPKEITKSSPTFGLEKVVSSKDHVADAPLAKFGSNKNVNKVLPAPFTASSSVGAEPSFLKFSASVSNLGSSISTTTVPGTTDSMPKVRESDNGNAETTNDTGSSVWASELASSSAASTSFFTSSKSIFNVGHNSNQNNGSLSSPSFSSFPAPVSGNFISQDIFSSLSAAKSSAISAMADSNGSSIASMTTGTMASSNNSSSTPVVASSYPTTPVFKFGSSPVPSTSLPLSSSGLEPLETKSSQDAGTGSLSSTAFGSSSAGNSIFGFSSSATKTVNSQSSSVGASSGSVNGAQASTTIGLEASTQTQSIPFGSSASSPSYGLTGNTTFSLSSFSSPSSSPSFSSGSSLISSSPAINVLNSGTSFGLSTSASSSAVNSFSSNTSTSSALFGSSWQPSKSPFGSKFNSSSLSSSGLSLGISTASVSSPTMFLSTSSASTPQFSFTSAAANTSTQHAFGSPTPTFAFGSAPGNSQKMSMEDGMAEDTVQATPPATSVFGQQPAPLQSNFVFGASSPPVASSFQFGSQQNIAPQNPSFQTSGSLGGSFSLGTGGGDKSGRRIVKVKHKQRKK >Vigun09g195400.1.v1.2 pep primary_assembly:ASM411807v1:9:37002940:37007075:1 gene:Vigun09g195400.v1.2 transcript:Vigun09g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKREYESLREEEKAWGKLQRPATVASVVAIGLAIFVSTVISLKIVFPGSDGKRVLCVDRRLQSIQIGMKGDSDSDRFPGGFYLTDQEIADYYWMVVFIPSTIIFALSVVYLVAGMAVAYSAPTRHGCLKVVENNFCASRRGGVRCLSILNLIFAIIFGLLALFLGSSLLTMMSNCSKPLFWCYEIASWGLVVLYGGTAFFLRRKAATILDEGNLSGRNLGLEMLETNPLEVTPEVERRVNEGFKAWMGPSLLSSDEEDEADRYDEAPRAMRSNSNRQRV >Vigun01g171700.1.v1.2 pep primary_assembly:ASM411807v1:1:35397309:35402695:-1 gene:Vigun01g171700.v1.2 transcript:Vigun01g171700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGTSEIVEAREELNTRKAPGIYRYNSECSTSEKSHKLSMLKLGCKDDLDDDINKLFESIALKSSSRDLGLLQDGTSPRLKSALKKPITMGVPRSPRVGASEPVNLKQALRDLCISKASEMAAMKRLSKSTASPRISEVGKIQTLYNSVVVETNRPGPSSVESKERQIEVALVPEKSKSLSLVKTSQSYQPAQIASSSRNIHSSRQIAVATTQYDSGTSLIQSDLACPSSKVGIQSQRVVPVETEEQASASSSSLPNTIGSKLEVPKNASSPKKLGNKACVSSNGKKGRSQTESSSTSANGNRVNKLPRHAPRTVKSVIKNKNLTKKKLKEDSGSALCGPMPNVVKKPVPGTPRLICERCRCALENTSEEKNQDAVALDSTSPGNGVNKSNVQSGLNKPGLVLTGGNKSKTVAKVKNSKLKEQLEFSQSSKSSQGEYSSSTSTSDESNVSGSSRSTRPHMSKDVRWEAIRHVQMQHGVLSLRNFNLLKKLGCGDIGTVYLAELIGTSCLFAIKVMDNEFLERRKKMPRAQTEREILRILDHPFLPTMYAQFTSDNLSCLIMEYCPGGDLHVLRQKQLGRCFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSDVDPAKISGLSVQSSCIEPFCIEPSCQVPCFSPRFLPAAAKTRRLKADPSAQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKEEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVMQSLRFPDHPFVSFQAKDLIRGLLVKEPENRLGSEKGAAEIKQHPFFEGLNWALIRCAVPPELPDYYDYGVSDMINSQVKGAKYLECKVGERVEFELF >Vigun01g171700.2.v1.2 pep primary_assembly:ASM411807v1:1:35397309:35402695:-1 gene:Vigun01g171700.v1.2 transcript:Vigun01g171700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGTSEIVEAREELNTRKAPGIYRYNSECSTSEKSHKLSMLKLGCKDDLDDDINKLFESIALKSSSRDLGLLQDGTSPRLKSALKKPITMGVPRSPRVGASEPVNLKQALRDLCISKASEMAAMKRLSKSTASPRISEVGKIQTLYNSVVVETNRPGPSSVESKERQIEVALVPEKSKSLSLVKTSQSYQPAQIASSSRNIHSSRQIAVATTQYDSGTSLIQSDLACPSSKVGIQSQRVVPVETEEQASASSSSLPNTIGSKLEVPKNASSPKKLGNKACVSSNGKKGRSQTESSSTSANGNRVNKLPRHAPRTVKSVIKNKNLTKKKLKEDSGSALCGPMPNVVKKPVPGTPRLICERCRCALENTSEEKNQDAVALDSTSPGNGVNKSNVQSGLNKPGLVLTGGNKSKTVAKVKNSKLKEQLEFSQSSKSSQGEYSSSTSTSDESNVSGSSRSTRPHMSKDVRWEAIRHVQMQHGVLSLRNFNLLKKLGCGDIGTVYLAELIGTSCLFAIKVMDNEFLERRKKMPRAQTEREILRILDHPFLPTMYAQFTSDNLSCLIMEYCPGGDLHVLRQKQLGRCFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSDVDPAKISGLSVQSSCIEPFCIEPSCQVPCFSPRFLPAAAKTRRLKADPSAQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKEEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVMQSLRFPDHPFVSFQAKDLIRGLLVKEPENRLGSEKGAAEIKQHPFFEGLNWALIRCAVPPELPDYYDYGVSDMINSQVKGAKYLECKVGERVEFELF >Vigun04g148150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36371565:36371894:1 gene:Vigun04g148150.v1.2 transcript:Vigun04g148150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding GLKFLNPSSSPRQRLHIGFLSLSLSLAWSLSLSRFLSSPTTASTASPATTTTASPSPSTSPSSSATSAAPSPSPPSTPPPPPPPQPSAILRQHLEPSQRKGLAAAWWCR >Vigun01g100500.2.v1.2 pep primary_assembly:ASM411807v1:1:26783799:26790064:1 gene:Vigun01g100500.v1.2 transcript:Vigun01g100500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKALCNVSLLQLFIVLCLVFQCFATRRHADLRTTLVVDASDATGRPIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWTIIGNESSIIVETDRTSCFDRNKVALRLEVLCDSEGDNICPNEGVGVYNPGFWGMNIEQGKKYKVIFYVRSTESLNLTVSLIGTNGVGNLASSTITGTAADFSSWTKVETLLVAKATDHNSRLQLTTNTKGVIWLDQVSAMPQDTYKGHGFRTELFEMLSALKPKFIRFPGGCFVEGEWLRNAFRWKATVGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGAIPIWVFNNGVSHNDEVDTSAVLPLVQEALDGIEFARGDPTSKWGSLRAAMGHPEPFDLRYVAVGNEDCGKPKYRGNYLKFYHAIRTAYPDIQIISNCDGSSRALDHPADMYDYHVYTNANDMFSRANTFDRTSRNGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDVIEMVSYAPLFVNANDRRWNPDAIVFNSYQVYGTPSYWVQLFFSESSGATLLSSSLQSNSSSNSVLASAITFQSSVDNKNYIRIKVVNFGTSAVNLKISIDGLEPNSLQLSGSTKTVLTSGNVMDENSFSQPKKVVPIKSGLQNVGKDLNVTVPPRSFTSFDLLKESSDLKRKGSGSSTWSSM >Vigun01g100500.1.v1.2 pep primary_assembly:ASM411807v1:1:26782998:26790064:1 gene:Vigun01g100500.v1.2 transcript:Vigun01g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKALCNVSLLQLFIVLCLVFQCFATRRHADLRTTLVVDASDATGRPIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWTIIGNESSIIVETDRTSCFDRNKVALRLEVLCDSEGDNICPNEGVGVYNPGFWGMNIEQGKKYKVIFYVRSTESLNLTVSLIGTNGVGNLASSTITGTAADFSSWTKVETLLVAKATDHNSRLQLTTNTKGVIWLDQVSAMPQDTYKGHGFRTELFEMLSALKPKFIRFPGGCFVEGEWLRNAFRWKATVGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGAIPIWVFNNGVSHNDEVDTSAVLPLVQEALDGIEFARGDPTSKWGSLRAAMGHPEPFDLRYVAVGNEDCGKPKYRGNYLKFYHAIRTAYPDIQIISNCDGSSRALDHPADMYDYHVYTNANDMFSRANTFDRTSRNGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDVIEMVSYAPLFVNANDRRWNPDAIVFNSYQVYGTPSYWVQLFFSESSGATLLSSSLQSNSSSNSVLASAITFQSSVDNKNYIRIKVVNFGTSAVNLKISIDGLEPNSLQLSGSTKTVLTSGNVMDENSFSQPKKVVPIKSGLQNVGKDLNVTVPPRSFTSFDLLKESSDLKRKGSGSSTWSSM >Vigun01g222600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39596167:39597523:-1 gene:Vigun01g222600.v1.2 transcript:Vigun01g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTSLHITALDAIVNVNSLFTLAVFIGLTWNPNDPQNSLNSDPACAPTSAIAENLIAFHVYSFSSFLFSSLVALALKQAIRLSRTTSFHYPAAVEHLVAHVNRSSLRVGMLVSAVGSVFGCAFLMLALVNVAQIKLGTVACGSSHTYAAVVPLLILVPIALLIYASLVLYAFTR >Vigun03g383600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58827288:58828124:-1 gene:Vigun03g383600.v1.2 transcript:Vigun03g383600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYEKMSLSKILSRRSSLGCSSRNSYYRSGEGVPFKWEMQPGVAKERPKDDLPPLSPPPALLSMGLPKPCVPDPKPSSRSRLRFWKKRVERGKSKKSSQDCFHEEDVIGLEMLARFDCSSDSESVASSRGSSFSSWSSMSFVKSPTTTVREVYGKPLSFGCFPMHVSRVFVSVARRD >Vigun10g075833.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20529646:20530294:-1 gene:Vigun10g075833.v1.2 transcript:Vigun10g075833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQHHQQKQEHKFRGVRQRPWGRWAAEIKDPIRRKRVWLGTFDTAEEAAVVYDRAAINLRGADAVTNFIKPPLKECESGVKRRSCGHVLHPLRFCCFSLGWNLCLWKKHSKKKCLMG >Vigun01g017300.1.v1.2 pep primary_assembly:ASM411807v1:1:1879237:1882809:-1 gene:Vigun01g017300.v1.2 transcript:Vigun01g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAFHATLAANSCAFSSRRFSLKHPKTSKRRFGLFTVRADSDDSDCNEEECAPDKEVGKVSVEWLAGEKTKVVGTFPPRRRPGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGSSSDGAENTAAIVAGLALISVAAASSILLQVGKNAPPQIQPTEYTGPSLTYYINKFKPPEITEVSVRSEAEAEPSLAVQPDSAAPDLSQVQVEAQIQPETPDVNIQS >Vigun05g047800.2.v1.2 pep primary_assembly:ASM411807v1:5:4040559:4044120:1 gene:Vigun05g047800.v1.2 transcript:Vigun05g047800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAEPKLLPRSLPVLVEVSNAHTASIREAGQRLHSQDVSGVRGIPAREGNQFSKSRDVPMTRLASIREVAQLSNARIVLVREDMGFDTRSCQEPPSPVTTRTWKGKSSSPEAVELVPDVQTLNGSNDSFGETGPSSFAGASHPPEPVDTDLMRTVYVPIGQTKSEATCLMKSVSLKGPGPFLEDLSICVPAKKQTMATVSPADSLVDESNETSNIPIPSPPLGARASRNTENSPPAPDSEEKECVWDASLPPSGNVSPLSSVDSTSVVRTMSIVNSCASTYRSDAVTSDGMLSLDRNCDSTKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSATRCFFAMKVMDKASLASRNKLTRAQTEREILQLLDHPFLPTLYTHFETDRFCCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRNIDGDPSKRAGGAFCVQPACIEPSSVCIQPSCFMPRLFAQKNKKSRRPKADPGPPSSTLPELVAEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRAIECDVVAAKLEAVDSVGVGVGMNNSSKRMGGNNEMKSGGKYLDFEFF >Vigun05g047800.1.v1.2 pep primary_assembly:ASM411807v1:5:4039797:4044315:1 gene:Vigun05g047800.v1.2 transcript:Vigun05g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAEPKLLPRSLPVLVEVSNAHTASIREAGQRLHSQDVSGVRGIPAREGNQFSKSRDVPMTRLASIREVAQLSNARIVLVREDMGFDTRSCQEPPSPVTTRTWKGKSSSPEAVELVPDVQTLNGSNDSFGETGPSSFAGASHPPEPVDTDLMRTVYVPIGQTKSEATCLMKSVSLKGPGPFLEDLSICVPAKKQTMATVSPADSLVDESNETSNIPIPSPPLGARASRNTENSPPAPDSEEKECVWDASLPPSGNVSPLSSVDSTSVVRTMSIVNSCASTYRSDAVTSDGMLSLDRNCDSTKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSATRCFFAMKVMDKASLASRNKLTRAQTEREILQLLDHPFLPTLYTHFETDRFCCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRNIDGDPSKRAGGAFCVQPACIEPSSVCIQPSCFMPRLFAQKNKKSRRPKADPGPPSSTLPELVAEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRAIECDVVAAKLEAVDSVGVGVGMNNSSKRMGGNNEMKSGGKYLDFEFF >Vigun07g210500.1.v1.2 pep primary_assembly:ASM411807v1:7:33203822:33206909:-1 gene:Vigun07g210500.v1.2 transcript:Vigun07g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGLNHVGKKKPTNFTMDHFIFLLLLTLFLITSQFILNRRVKKNQPPGPTGFPIIGNLHQLGPKPHCALSSLAQTYGPIMSLRLGSVTVAVASSPAVAQEILQKNDQTFANRPIPESVAAQPNVGDTLAWAPADSRWRNRRRVCTTQIFSAQRLDLLQHLRHRKVQELVQHLRKQAWNGKGVAIGDLAFATMLNLVSNTVFSEDLVDPEFESAGEFKELVWRIMEDAGRVNLSDYFPLLKPFDLQGVKRHVAVSYVRLHDIFDTIIRKRVAEREGPRVAKGDFLDVLLDQCEPGQPSDFTVQSIKPLILQDLFIAGSDTSGSTTEWAMAELVRNPEVMQKAREELNEVIGSSNVEITESDIPRLPYMEAIVKETLRLHPPVPLLLPYVAGHDVEVSGYTIRKGNQVLINAWSIGRNPQFWDEPLSFKPERFLRSNIDFKGRDFAYLPFGGGRRICPGLPLANRMITLMLAALVHSFRWELPHGVTPHTLDMTEQYGITLKKLSPLYAIPIPL >Vigun07g210500.2.v1.2 pep primary_assembly:ASM411807v1:7:33203778:33206935:-1 gene:Vigun07g210500.v1.2 transcript:Vigun07g210500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGLNHVGKKKPTNFTMDHFIFLLLLTLFLITSQFILNRRVKKNQPPGPTGFPIIGNLHQLGPKPHCALSSLAQTYGPIMSLRLGSVTVAVASSPAVAQEILQKNDQTFANRPIPESVAAQPNVGDTLAWAPADSRWRNRRRVCTTQIFSAQRLDLLQHLRHRKVQELVQHLRKQAWNGKGVAIGDLAFATMLNLVSNTVFSEDLVDPEFESAGEFKELVWRIMEDAGRVNLSDYFPLLKPFDLQGVKRHVAVSYVRLHDIFDTIIRKRVAEREGPRVAKGDFLDVLLDQCEPGQPSDFTVQSIKPLILDLFIAGSDTSGSTTEWAMAELVRNPEVMQKAREELNEVIGSSNVEITESDIPRLPYMEAIVKETLRLHPPVPLLLPYVAGHDVEVSGYTIRKGNQVLINAWSIGRNPQFWDEPLSFKPERFLRSNIDFKGRDFAYLPFGGGRRICPGLPLANRMITLMLAALVHSFRWELPHGVTPHTLDMTEQYGITLKKLSPLYAIPIPL >Vigun07g020900.2.v1.2 pep primary_assembly:ASM411807v1:7:1783776:1785026:-1 gene:Vigun07g020900.v1.2 transcript:Vigun07g020900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNTKIQMFGKFTWTIRNFSTLESEKLYSDTFSLNGHTWRLYIYPKGKKVMKCLSIYLNGGGVATLPHGWEKFANFKLILINQFDHENNKIKETSHTFNSKARSCGYASFIRLDELSGSSGGFIVNDTCIIEVDMLIHKPEHEKLVHQSTSFGEVVDFKGIGKLDKIFVPLLEEVCSRHPLLIHSMKKRSQRFSEWGFTALGRVLHFLKTKKVRDMDDESCNHLQTLWEELETFGFDLVWLKPHVQSALGMKTHVERVLEIERLKENLSTLEIETKTLRTKMIEAEVNLEIARRDLLKEGFEECNLDVELGYGIP >Vigun06g013300.1.v1.2 pep primary_assembly:ASM411807v1:6:6030210:6039729:1 gene:Vigun06g013300.v1.2 transcript:Vigun06g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKHSIFSKRVFFANKLYLPSETPSGLRKLREEELVAIRGNGEGERKSSDRIYDYDVYNDLGDPDTDIDLKRPVLGGSHQYPYPRRCRTGRNPSKADPLSEKKGLNFYVPRDEVFSDIKQTQFTTTTISSGISLVLESLDAILSDQDLGFVSFEDIDTLYKEGFHLPQLQANGLNVLQRAIPKLLSVVNDQQNLLRFDTPEAFKRDRFFWLSDEQFARETLAGVNPYSIQVVKEWPLKSKLDPQIYGSPESAITSEVIEPQIISYCTIEEAIEQKKLFMLDYHDLFLPYVRKVREIKGTTLYGSRTLFFLTEQGTLKPLAIELTRPAMEGKPQWKQVFKPASHSSSYPTNLWLWRLAKAHVLAHDSGYHELVSHWLRTHCAVEPFIIATHRQLSTMHPIYRLLHPHMRYTMEINSLAREVLISANGVIETSFTPRKYSMEISSVAYDQLWQFDLQALPNDLISRGMAVADPNAPHGLKLTIEDYPFANDGLLIWDAIKEWVTEYVNHYYPSPSTVESDEELQAWWTEIRTVGHGDKAKEPWWPNLKTPKDLTEIITTIAWVASAHHAAVNFAQYAYGGYFPNRPTIARKNIPTEDPSKEELEKLINNPEKTFLECLPSQIQSTLVMVVLNLLSDHSPDEEYIGQYVEQSWAEDPTIKSAFERFSTKLKEIEGIIDSRNADTNLKNRNGAGVVPYELMKPFSGPGVTGKGVPYSISI >Vigun03g407700.1.v1.2 pep primary_assembly:ASM411807v1:3:61489386:61489604:-1 gene:Vigun03g407700.v1.2 transcript:Vigun03g407700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFQSQKWSLSEQQHSPLLTQTERRRTQEAHELVGRRKWCGWVNNKAWNYQW >Vigun06g035700.1.v1.2 pep primary_assembly:ASM411807v1:6:14997554:14998005:1 gene:Vigun06g035700.v1.2 transcript:Vigun06g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLCANGCGFYGFIATKNLCSKCYKDYLAKSKGCEGLECETKSKSFSLEKLSLSSSCTSKNESSTIDEIISLSTTNSKPTSEKNERKRCKSCKKKIRLLEFQCRCGDVFYFKKIAREVLIKQNSLCVSDKLKHRI >Vigun02g149400.2.v1.2 pep primary_assembly:ASM411807v1:2:29668280:29675981:1 gene:Vigun02g149400.v1.2 transcript:Vigun02g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTVKGNSRWNWRTNRLGLPFVFLIGIFFFLAGFFASSLFSHYQEREDDLRLMPRARARLTEKSTEEKTEYNLLQAGESGDDSITLIPFQVLSWYPRALYFPNFASAQQCESIVKIAKVGLKPSTLALRKGETEENTKGIRTSYGVFMSASEDETGTLYAVEEKIARATKIPRIHGEAFNILRYEVGQKYNSHYDAFDYAEYGPQKSRRVASFLLYLTDVPEGGETMFPFENGFNMDGSYNFEDCVGLKVKPRKGDGLLFYSLLPNGTIDQTSLHGSCPVIKGEKWVATKWIRDQIQDDD >Vigun02g149400.1.v1.2 pep primary_assembly:ASM411807v1:2:29668278:29675182:1 gene:Vigun02g149400.v1.2 transcript:Vigun02g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTVKGNSRWNWRTNRLGLPFVFLIGIFFFLAGFFASSLFSHYQEREDDLRLMPRARARLTEKSTEEKTEYNLLQAGESGDDSITLIPFQVLSWYPRALYFPNFASAQQCESIVKIAKVGLKPSTLALRKGETEENTKGIRTSYGVFMSASEDETGTLYAVEEKIARATKIPRIHGEAFNILRYEVGQKYNSHYDAFDYAEYGPQKSRRVASFLLYLTDVPEGGETMFPFENGFNMDGSYNFEDCVGLKVKPRKGDGLLFYSLLPNGTIDQTSLHGSCPVIKGEKWVATKWIRDQIQDDD >Vigun01g169700.2.v1.2 pep primary_assembly:ASM411807v1:1:35144654:35150866:1 gene:Vigun01g169700.v1.2 transcript:Vigun01g169700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLER >Vigun01g169700.1.v1.2 pep primary_assembly:ASM411807v1:1:35144654:35150866:1 gene:Vigun01g169700.v1.2 transcript:Vigun01g169700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRRTLDRSRELGSKKPRLIDELSARQLPQRQQGSGVVASLVSARARVNDRDSESSESGRGGGYQPQPQPHHELVTQYKTALAELTFNSKPIITNLTIIAGENQSAAKAIADTVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAVRLPEVFCKAYRQVDPIVHSSMKHLFGTWKGVFPPQCLQMIEKELGFTPAVNGSASVSATVRNDLQSQRPPHSIHVNPKYLERQRLQQSSTSKGVVDDMTGALLTSNEDSERPNRALGASRPWLDPRINMLNNQHTQRDAFNDSVPEKSIDGSFGGSQYGSGISSNLVSGAGRTGTKLIDLGQEKTWSKTDGGDAETISGQKNGFSLKRSLSTREAPKSINLKAQRRPGLTLTQIWNNMMSDNWKNSEEEEFTWDEMNSGLTDHGPNVSSNLSTDSWMTDDENLEGEDHLHIQHPYGVKVDKEISTVKKQLPGFGGHPPSSWQLQKHHTIDKLNLKPGYSEGFVSTISGLPANASSLPIKKGNQSFTSKAIVGLSKTVEPQFDSGETESPSGQSPLHRQSPSLPGAVYHPHSMQNLPEQEMPQDLKTSQFLGGPTSQPIRDRSPTLHPVVQVGNMRRTQEKDMQGTFQPKLQQQQLDSSQAEVFAKTKLPPLSKVSLTKEVSEQSTKNNLSAAAVKSGIIPKKSITSNLDPRKHLSKSGVQLPPSGRSSPATLISSGSAVASTSLLDPLHKDSSSLPKKPQGKAGQPPQRPSTQPPASSNVNAAKSNVNPIANLLSSLVAKGLISAETESPTMVPSEVLKGSRDKTVNIITSSSFPVTSVSDSAAVPASSTTRDEEDAATKSSLPSAQSTSTKIRNLIGFDFKPNVIREFHEPVIRELLDDFPHHCKSCGIRFKPEEQYKRHLEWHATREHGPIKVSRSWYAKSSDWIAGKVDYSSELEFTDSVVVHDKETESSHLER >Vigun03g205600.3.v1.2 pep primary_assembly:ASM411807v1:3:33278454:33280563:1 gene:Vigun03g205600.v1.2 transcript:Vigun03g205600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCSPRIRSDYPRKEGSEGTPVKQFELSGRDRNYEMQSMNALDILRETVRILRFNSWGFIAIAVMLICPVSAVLLSNVIVDMSIVKNLSIRLMLVAQASGLPLRPIIKQSCQRFAETVVSSAMCFPLYATLLLLSKAGVVYTVDCTYSRKKFDASKFCVIVSKIWRKILFTYLWGCALVVGCITLFCVFLVAFCSALAVLGFSPDIVVYCAMLVGLVFSVVFANAIIICNIAIVISVLEDVSGAQAMLRSSILIKGQTQLQIF >Vigun03g205600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33278467:33281427:1 gene:Vigun03g205600.v1.2 transcript:Vigun03g205600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCSPRIRSDYPRKEGSEGTPVKQFELSGRDRNYEMQSMNALDILRETVRILRFNSWGFIAIAVMLICPVSAVLLSNVIVDMSIVKNLSIRLMLVAQASGLPLRPIIKQSCQRFAETVVSSAMCFPLYATLLLLSKAGVVYTVDCTYSRKKFDASKFCVIVSKIWRKILFTYLWGCALVVGCITLFCVFLVAFCSALAVLGFSPDIVVYCAMLVGLVFSVVFANAIIICNIAIVISVLEDVSGAQAMLRSSILIKGQTQVGLLIFLGSTIGMAFVEGLFEHRVKILSYGDGSSRVWEGPLLVVMYSFVMLIDSMMSAVFYFSCRSSSLEISDSEGNSILETMTISAESIGIQ >Vigun03g205600.4.v1.2 pep primary_assembly:ASM411807v1:3:33278396:33280563:1 gene:Vigun03g205600.v1.2 transcript:Vigun03g205600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMNALDILRETVRILRFNSWGFIAIAVMLICPVSAVLLSNVIVDMSIVKNLSIRLMLVAQASGLPLRPIIKQSCQRFAETVVSSAMCFPLYATLLLLSKAGVVYTVDCTYSRKKFDASKFCVIVSKIWRKILFTYLWGCALVVGCITLFCVFLVAFCSALAVLGFSPDIVVYCAMLVGLVFSVVFANAIIICNIAIVISVLEDVSGAQAMLRSSILIKGQTQLQIF >Vigun03g205600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:33278467:33281427:1 gene:Vigun03g205600.v1.2 transcript:Vigun03g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCSPRIRSDYPRKEGSEGTPVKQFELSGRDRNYEMQSMNALDILRETVRILRFNSWGFIAIAVMLICPVSAVLLSNVIVDMSIVKNLSIRLMLVAQASGLPLRPIIKQSCQRFAETVVSSAMCFPLYATLLLLSKAGVVYTVDCTYSRKKFDASKFCVIVSKIWRKILFTYLWGCALVVGCITLFCVFLVAFCSALAVLGFSPDIVVYCAMLVGLVFSVVFANAIIICNIAIVISVLEDVSGAQAMLRSSILIKGQTQVGLLIFLGSTIGMAFVEGLFEHRVKILSYGDGSSRVWEGPLLVVMYSFVMLIDSMMSAVFYFSCRSSSLEISDSEGNSILETMTISAESIGIQ >Vigun07g103600.1.v1.2 pep primary_assembly:ASM411807v1:7:18786024:18787359:1 gene:Vigun07g103600.v1.2 transcript:Vigun07g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVSQGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLTRKPMALIKKLRKAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Vigun05g296900.1.v1.2 pep primary_assembly:ASM411807v1:5:48156398:48159104:1 gene:Vigun05g296900.v1.2 transcript:Vigun05g296900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVTLRKTVKIGRPGYRVTKQYDPETKQRSLLFQIEYPEIEDLAKPRHRFMSSYEQRVQPFDKRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPQPPSAANGTATSGVPPRPLPPPPQAPLPPPPPPPQGLPPGAPMANPPRAPPPPMPGTMPPPPPMAAANGPRAAPSSGMPSVPPPPPIGSGTSGFNMGTRPPSMPPPQGFPAQPMQNQGVRLPPPPPNMGQ >Vigun07g128300.1.v1.2 pep primary_assembly:ASM411807v1:7:23616461:23617991:-1 gene:Vigun07g128300.v1.2 transcript:Vigun07g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANCGPLVESQAQMQHSENEMLGLRKGPWTVDEDTILVNYITTHGEGHWNSVARCAGLRRSGKSCRLRWLNYLRPDVRRGNITLQEQILILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVIKQAKQLRCDVNSKEFRDTLRYLWMPRLLERLQPTSQILEPQMKLDPGPSEIQGFRGLDSVTEWSKGSHPAGSYSDSSSVELQVHSNSDHSDCSGSGMDPWGSLNMHMWEQGNGGGESLESFWNDLEIKYSSLP >Vigun03g211300.2.v1.2 pep primary_assembly:ASM411807v1:3:34958653:34964605:1 gene:Vigun03g211300.v1.2 transcript:Vigun03g211300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDLKQHHNEESTKKEHSEESKKKEHNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSAEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCRKKEKYAALAKENSTSYINSNNKRIMFQQYNNVDTTAESGVPIKKMRRAHIPDDAEKSKFGDRSHLRNGTPINQQQRAPLAVLAENSHNSNNLPDQRHDCNPKFNSAQDDQIQGTFLKRDDPKRSVLIEQAELLSSLALKVDTENVDQRLEHTWKILQEFLNRNKESDIPCHKIPDLQLVDKDIKDLKSGNEEGQACWRQVEKYEDSPGSSGYSTESTFLGQSAGDNSEHTLHQDIGTEMKSEQVGDEKGVGRDDKGVLCTANVDQGKELPKENSRSGISIHQPKCRLISTATLQKSPTTKSMNHLRYKY >Vigun03g211300.1.v1.2 pep primary_assembly:ASM411807v1:3:34958494:34965326:1 gene:Vigun03g211300.v1.2 transcript:Vigun03g211300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDLKQHHNEESTKKEHSEESKKKEHNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSAEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCRKKEKYAALAKENSTSYINSNNKRIMFQQYNNVDTTAESGVPIKKMRRAHIPDDAEKSKFGDRSHLRNGTPINQQQRAPLAVLAENSHNSNNLPDQRHDCNPKFNSAQDDQIQGTFLKRDDPKRSVLIEQAELLSSLALKVDTENVDQRLEHTWKILQEFLNRNKESDIPCHKIPDLQLVDKDIKDLKSGNEEGQACWRQVEKYEDSPGSSGYSTESTFLGQSAGDNSEHTLHQDIGTEMKSEQVGDEKGVGRDDKGVLCTANVDQEILPYCEEQINSDGIVSTSSRLEFSSPIQVTPLFRSLAAGIPSPQFSESERNFLRKTLGVESPSINPSADSSQPPPCKRALLPSL >Vigun03g211300.3.v1.2 pep primary_assembly:ASM411807v1:3:34959032:34964605:1 gene:Vigun03g211300.v1.2 transcript:Vigun03g211300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDLKQHHNEESTKKEHSEESKKKEHNEESKKKERHIVTWTQEEDDILREQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSAEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCRKKEKYAALAKENSTSYINSNNKRIMFQQYNNVDTTAESGVPIKKMRRAHIPDDAEKSKFGDRSHLRNGTPINQQQRAPLAVLAENSHNSNNLPDQRHDCNPKFNSAQDDQIQGTFLKRDDPKRSVLIEQAELLSSLALKVDTENVDQRLEHTWKILQEFLNRNKESDIPCHKIPDLQLVDKDIKDLKSGNEEGQACWRQVEKYEDSPGSSGYSTESTFLGQSAGDNSEHTLHQDIGTEMKSEQVGDEKGVGRDDKGVLCTANVDQEILPYCEEQINSDGIVSTSSRLEFSSPIQVTPLFRSLAAGIPSPQFSESERNFLRKTLGVESPSINPSADSSQPPPCKRALLPSL >Vigun03g107100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9552043:9554431:1 gene:Vigun03g107100.v1.2 transcript:Vigun03g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKSSKTTPNQLQENAVAKEEKASSTPKKTCNEIDEIFAGKKRKKSEMKNTGKSDGVNKSTDKTKKKKKKIVKRKTDGSDDGEFADRPSRPKRKTEDGFTIYTEDELGINKADAGNTPLCPFDCSCCF >Vigun01g118200.3.v1.2 pep primary_assembly:ASM411807v1:1:29448762:29462549:1 gene:Vigun01g118200.v1.2 transcript:Vigun01g118200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEELLWKSEPQRESIVSVTLARAITSLLTSRPKKLQDSISRLSSHSSRSHTSLVSLEDSLWFFHSYVADAATKNSSLDQVLLPIIDSVLKSKHGDQAMLLLNWLFQDEILFQPVAEALAGLVARKHVNDRYLLLGWCLLLRNLVEFECSAHQSMFGGIRERYGDLLKILSTCLPDLASIVSKGSTLQDGFELPSRLGVSAADCFLSLSGALTKVADNKKLKLNARAKDQAITFVHSPTTDKKEKLDSNFLMSMIDRDYTLWHHLDDIICLVKRLLSWSRKSRFLHAKGLEQVLKWLQEIKNHHGSFQLETDSNALKTGDLLLCSCWKHYSVLLHLEDKKFSQHYKELLDQYMSGIQYYMDNHTGGGYIDNNDGGLETRKFFLNCLCLLLGRLDIKRFESTVSEFGMNISRILVPQLNCTDEDVIAGVVSIFKAIILRPDYTQEDALADSRKANSVIPLLLHLLDERDGTARAVVMLIGEYCSMSKDDRCLMEVLKRLSSGNISQRRNAMDVISEVLHISSKSQSLISCSSRQDIANKLLERLGDEEIMIREQASKLLPIIDPSLYLPALVGLVYSSDVRQSIAIDSIIEVLKHHNQRIEVIFLFLDCLSNTSISVDLPQSNGDEGSKLDTDRLLKLVPVWSKNVQDWNFLIGPLVDKMFADPSNATIVKFLSYISENLATVADLVLHHVMLHVRDQKQIGESFLSRWEQRTYTSDEFEEMQHSLFKHLCPLLIIKILPLKTFNDLNSSIMYGHLSKNIIQDVANRNTDIDCDCIAAFLLNRAFCEFEFEDVRKLSAELCGRIHPQVLLPFVSSLLDGAVASKNILKIKACLFSICTSLVVRGWETLSHCSMYAIREMIETVLLWPCLNADSVSKAQHGCIDCLALMICAELQAKESIATSMPDKIRALGKEVFCCREVCCQLCDQSVL >Vigun01g118200.2.v1.2 pep primary_assembly:ASM411807v1:1:29448762:29457690:1 gene:Vigun01g118200.v1.2 transcript:Vigun01g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEELLWKSEPQRESIVSVTLARAITSLLTSRPKKLQDSISRLSSHSSRSHTSLVSLEDSLWFFHSYVADAATKNSSLDQVLLPIIDSVLKSKHGDQAMLLLNWLFQDEILFQPVAEALAGLVARKHVNDRYLLLGWCLLLRNLVEFECSAHQSMFGGIRERYGDLLKILSTCLPDLASIVSKGSTLQDGFELPSRLGVSAADCFLSLSGALTKVADNKKLKLNARAKDQAITFVHSPTTDKKEKLDSNFLMSMIDRDYTLWHHLDDIICLVKRLLSWSRKSRFLHAKGLEQVLKWLQEIKNHHGSFQLETDSNALKTGDLLLCSCWKHYSVLLHLEDKKFSQHYKELLDQYMSGIQYYMDNHTGGGYIDNNDGGLETRKFFLNCLCLLLGRLDIKRFESTVSEFGMNISRILVPQLNCTDEDVIAGVVSIFKAIILRPDYTQEDALADSRKANSVIPLLLHLLDERDGTARAVVMLIGEYCSMSKDDRCLMEVLKRLSSGNISQRRNAMDVISEVLHISSKSQSLISCSSRQDIANKLLERLGDEEIMIREQASKLLPIIDPSLYLPALVGLVYSSDVRQSIAIDSIIEVLKHHNQRIEVIFLFLDCLSNTSISVDLPQSNGDEGSKLDTDRLLKLVPVWSKNVQDWNFLIGPLVDKMFADPSNATIVKFLSYISENLATVADLVLHHVMLHVRDQKQIGESFLSRWEQRTYTSDEFEEMQHSLFKHLCPLLIIKILPLKTFNDLNSSIMYGHLSKNIIQGIYFCLEYLPADPLDGYIDSTLPNSCSRVKNL >Vigun01g118200.1.v1.2 pep primary_assembly:ASM411807v1:1:29448762:29462549:1 gene:Vigun01g118200.v1.2 transcript:Vigun01g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEELLWKSEPQRESIVSVTLARAITSLLTSRPKKLQDSISRLSSHSSRSHTSLVSLEDSLWFFHSYVADAATKNSSLDQVLLPIIDSVLKSKHGDQAMLLLNWLFQDEILFQPVAEALAGLVARKHVNDRYLLLGWCLLLRNLVEFECSAHQSMFGGIRERYGDLLKILSTCLPDLASIVSKGSTLQDGFELPSRLGVSAADCFLSLSGALTKVADNKKLKLNARAKDQAITFVHSPTTDKKEKLDSNFLMSMIDRDYTLWHHLDDIICLVKRLLSWSRKSRFLHAKGLEQVLKWLQEIKNHHGSFQLETDSNALKTGDLLLCSCWKHYSVLLHLEDKKFSQHYKELLDQYMSGIQYYMDNHTGGGYIDNNDGGLETRKFFLNCLCLLLGRLDIKRFESTVSEFGMNISRILVPQLNCTDEDVIAGVVSIFKAIILRPDYTQEDALADSRKANSVIPLLLHLLDERDGTARAVVMLIGEYCSMSKDDRCLMEVLKRLSSGNISQRRNAMDVISEVLHISSKSQSLISCSSRQDIANKLLERLGDEEIMIREQASKLLPIIDPSLYLPALVGLVYSSDVRQSIAIDSIIEVLKHHNQRIEVIFLFLDCLSNTSISVDLPQSNGDEGSKLDTDRLLKLVPVWSKNVQDWNFLIGPLVDKMFADPSNATIVKFLSYISENLATVADLVLHHVMLHVRDQKQIGESFLSRWEQRTYTSDEFEEMQHSLFKHLCPLLIIKILPLKTFNDLNSSIMYGHLSKNIIQDVANRNTDIDCDCIAAFLLNRAFCEFEFEDVRKLSAELCGRIHPQVLLPFVSSLLDGAVASKNILKIKACLFSICTSLVVRGWETLSHCSMYAIREMIETVLLWPCLNADSVSKAQHGCIDCLALMICAELQAKESIATSMPDKIRALGKEGKSVVSYVINQFFNYKNERTSTPEFGDENSEFVPASVSLSFRLCMGNVLISTCQKIPESGKKPFAAQVIPFLLQCLEFETKSEIRAACTQVLFSAVYHLRSAVLPYASDLLRIALKALRKESDKERIAGAKLIASLMASEDVILENISVGLLEARSVLSTISSSDPSLELQQLCGKLLACISSP >Vigun07g076800.1.v1.2 pep primary_assembly:ASM411807v1:7:10300144:10303859:1 gene:Vigun07g076800.v1.2 transcript:Vigun07g076800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRMRCRVFVPNLIILVIILLHSTTWTRAQEVEDESEFDYIRGSEKGPSHWGELKKEWETCKTGKMQSPIDLSSHRVRVVPNLGQLKKHYKPQNATVKNRGHDIELKWGEDAGSININGSEFFLRQCHWHSPSEHTINGRRYDLELHMVHERKSENGKVNIAVVGLLFKIGRPDPILTKLSKIIESMVDNEVEKKIGVFDPSEIKLGGKKYYRYIGSLTVPPCTEGVIWTINKKIRSVSRAQVNLLREAVHDHAAQNSRPVQALNKRGIQLYGPKRKE >Vigun09g078400.1.v1.2 pep primary_assembly:ASM411807v1:9:9112630:9113812:1 gene:Vigun09g078400.v1.2 transcript:Vigun09g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETSRSSRRVGTRTNSSSQAPEAEEIVPNSGWTDDDSGHFLLVDLPDFKKEEVSLQVDTSGGCIIVKGERYTNEQKRVHFELKFAVPADADLENVSGNFDSEILHVHVPKRASQENRESGREKASNRAEETETEEHDAVNEDYVGHGHDSENEERGNKDTHNIYDYSQNLTRKREQKHLSRTLMEVLMRNKGVVTAAIVAFSFGLYVSHKFHSWNEP >Vigun03g406500.1.v1.2 pep primary_assembly:ASM411807v1:3:61351734:61358374:-1 gene:Vigun03g406500.v1.2 transcript:Vigun03g406500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEASLDSHKTSTEIRGSTSDDPAVQGPENTLETDDRNQDSNRSKVKHESNKTVPFYKLFSFADYWDCLLMLVGAISAVANGIVMPLMTILIGDAIDSFGGNADNKQEVVHQVSKASMKFAAIGAGAFFAAFFQVSCWVITGERQAARIRGLYLKAILRQDISFFDKETNSGEVVGRMSGDTVLIQEAMGEKVGKFIQYVACFFGGIVIAFIKGWLLSLVLLSSLPLLVLSGSIMSFAFAKMASRGQAAYSEAATLVERTIGSIRTVASFTGEKQAIVQYNQYLTKAYRVGVQEGLAGGFGFGFVRLFVYCTYALTIWFGGKMVIEKGYTGGQVISVFFAVLTGSMSLGQASPSLAAFAAGQAAAFKMFETIKRQPDIDAYDSSGQRLDDISGDIELREVCFSYPSRPDEQIFNRFSISISSGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLREFQLKWIREKIGLVSQEPALFTGSIKENIGYGKERATDEEIRAAAALANASNFIDKFPHGFDTMVGEHGTQLSGGQKQRISIARAILKDPRILLLDEATSALDAESERVVQKTLDRIMINRTTVIVAHRLSTIRNADVIAVIHQGKVIEKGTHAELTKDPDGAFSQLVRLQEIKRESEQNDTNYLSRPENLVDSEHQPSQRYSFPQSLSRGSSGRGSSSQNSFRISNAMPTTQDHFEASEGGSGAFPSEAPHKPKEISILRIAYLNKPEIPLLLVGTLAAAVTGAILPTVGLLLSHMINTFFEPADELRKDSKFWALIFVALSVGAFIFLPLRSYFFSVAGCKLIKRIRLMCFEKIIHMEIGWFDKAENSSGALGARLSTDAASIRTLVGDALGLLVQDIATVVTALAIAFDANWQLSLIVLVLVPLIVLNGHLQMKSMQGFSTDAKKLYEEASQVANDAVGNIRTVAAFCAEEKVMKLYQKKCAGPIQTGIRQGLVSGTGFGLSLFFLFSVYACSFYAGAKLVEKGKTSISDVFRVFFSLSMAAIAMSQSGFMTPAASKAESSAASVFAILDQKSTIDTSDESGMTLEQVKGDIEFQHVTFKYPTRPHVPVFKDLSLTIHAGETVALVGESGSGKSTVISLLQRFYDPDSGQITLDGTEIQKLKLKWFRQQMGLVSQEPVLFNDTIRANIAYGKGGDATEVEIIAAAELANAHKFISSLQQGYDTLVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVRVDRTTIVVAHRLSTIKDADLIAVVKNGVIAEKGKHETLLNKGGAYDSLVALHISAASS >Vigun10g077052.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:20859831:20860619:-1 gene:Vigun10g077052.v1.2 transcript:Vigun10g077052.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSREPNVDPSSWILPIQVSLGTVRHGQVEACSISKVPDKLRKPNKDAYVPQVVSIGPYHRGSQNDLLLMMEQPKWNYMRSLIRRSVYQAQQEGRIEDGPGMVKVCGETILEIEYVVRASYVGNALSEPHELAKLMLLDGFFLLEFIHRLYEYKEALKAEDNGDYDDDPVFESHEKVLSVLSDLLLLENQVPFIVLKKLYRKLFPIPVPIQQDNRVATLAIGAVGYPSVVPTSGAAHLLHLVHLSIVNQEDRKNLGKRLKS >Vigun01g167500.1.v1.2 pep primary_assembly:ASM411807v1:1:34931708:34935136:1 gene:Vigun01g167500.v1.2 transcript:Vigun01g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTWSSGASSSTKLFLFMVPLVLVAALVSVLGPSPSTWLSTAKPPVLLLSSVTSSAGTSSGAVTTPSEVKQREELVVLPVDNRAGENLLSDHAHFNLSTTPPFSVQAIQTPQHHNRDEQNVTQNLENVSSVNESYVPAERPKLQRKFSILDRTEAGLIKARAAIREARNGNQTQDTDYVPIGPMYMNANAFHRSYLEMEKQLKVYVYEEGEAPVFHNGPCKSIYSMEGNFIHGIEMNEKFRTRDPEKAHVFFLPFSVAMLVQFVYVRDSHDFGPIKKTVTDYINVISERYPYWNRSLGADHFYLACHDWGPETSRSVPNLRDNSIRVLCNANTSEGFKPSKDVSLPEINLQSGSINGLIGGPSASKRPLLAFFAGGLHGPVRPVLLEHWENKDEDMKVQKYLPKGVSYYEMLRKSRFCLCPSGYEVASPRVVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEVSVEEIPKLKEILLSISPRQYIRMQRRVVQVRRHFEVHSPPKRFDVFHMILHSVWLRRLNFRVHDRQSQLF >Vigun03g185401.1.v1.2 pep primary_assembly:ASM411807v1:3:24462458:24463073:1 gene:Vigun03g185401.v1.2 transcript:Vigun03g185401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCALWNHEVCCHRQPPAWFAGLPIFCRWPFYTASTTLEWTHSCNASTKSTKSQLSSSLFKSSIIPHHHLSFGTSLHFHCFMEIMIDNYTD >Vigun03g420700.1.v1.2 pep primary_assembly:ASM411807v1:3:62629237:62632769:-1 gene:Vigun03g420700.v1.2 transcript:Vigun03g420700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASQKLLELSTKIVAVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLKNGGTIQIPHNEGSLHHEVELAVVIAKKARDVSESSAMDYVAGYALALDMTARDLQAAAKSAGLPWSLAKGQDTFTPISSILPKTAVPNPDDIELWLKVDEEIRQKGSTKDMIFKIPFLISYISSVMTLFEGDVILTGTPPGVGPVKEGQKITAGITGLVDVQFNVEKRAKPIHSS >Vigun06g076000.2.v1.2 pep primary_assembly:ASM411807v1:6:20640702:20644074:-1 gene:Vigun06g076000.v1.2 transcript:Vigun06g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTMEEDLNLNILEMNTEPCNNSNATTCAKTAPPPQEQNSLTSSTVTKKCPFLVTKFHCQMLRSFTANLKVVVFGTKLAVLFPAVPLAVVADFYGLGRPWIFALSLLGLAPLAERVSFLTEQIAYYTGPTALYQNKVNVVKFSLLGSILSNLLLVLGSSLLCGGLANLRKEQIYDRKQADVNSLVLLLGLLCHLLPLMFRYALAGEYHSIATNTLQLSRASSIVMLLAYAGYIFFQLKTHRQFFDAQEEDENEEEKAVIGFWSAFTWLVGMTLIISLLSEYVVATIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVAMGSATQISMFVIPLSVVVAWIMGIEMDLDFNLLETGCLAFTIIVTAFTLQDGTSHYMKGVVLFLCYIIIAACFFVLKTPLINEA >Vigun06g076000.1.v1.2 pep primary_assembly:ASM411807v1:6:20640702:20644074:-1 gene:Vigun06g076000.v1.2 transcript:Vigun06g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTMEEDLNLNILEMNTEPCNNSNATTCAKTAPPPQEQNSLTSSTVTKKCPFLVTKFHCQMLRSFTANLKVVVFGTKLAVLFPAVPLAVVADFYGLGRPWIFALSLLGLAPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATEMIISLLALYQNKVNVVKFSLLGSILSNLLLVLGSSLLCGGLANLRKEQIYDRKQADVNSLVLLLGLLCHLLPLMFRYALAGEYHSIATNTLQLSRASSIVMLLAYAGYIFFQLKTHRQFFDAQEEDENEEEKAVIGFWSAFTWLVGMTLIISLLSEYVVATIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVAMGSATQISMFVIPLSVVVAWIMGIEMDLDFNLLETGCLAFTIIVTAFTLQDGTSHYMKGVVLFLCYIIIAACFFVLKTPLINEA >Vigun02g085600.1.v1.2 pep primary_assembly:ASM411807v1:2:23988876:23989650:-1 gene:Vigun02g085600.v1.2 transcript:Vigun02g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQTQKVYVAVGNDAQDGFKTLNWALKKWNSHPISVVILHVTHNTPMDYVYTPFGKLPARSVSEEKLQILRKDEQEKINKLFSKYVAFCGKVPAEILQVEKSDEPMQKRVIDLIFGLGITKLVMGFSFMKPSMKSKGAISGLFYVHQHKPSFCELFIICGGSKFS >Vigun06g185200.1.v1.2 pep primary_assembly:ASM411807v1:6:30451164:30453950:1 gene:Vigun06g185200.v1.2 transcript:Vigun06g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRHFDTHSKTNEQTVQEMLSLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >Vigun04g114900.1.v1.2 pep primary_assembly:ASM411807v1:4:28773912:28776456:-1 gene:Vigun04g114900.v1.2 transcript:Vigun04g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIPFDISVSSTLTKFSPFNITSKKSNSFPSKISPFSNDSSLLSLPNSGICRASEVADLFPAVCPEIIVREARLEDYWGVAETHCKCFFPEYSFPLDFVLRIDRLLVAMLAGILAGFFRPRHCRKICLVAVIGTSLDESFSFGNKIGSFDGKFSPNKGYVAGILTVDNNADFLPRKGPRRHRRTGVAYISNVAVRENFRRMGIAKKLIERAESHARSWNCRAIALHCDLENPVATMLYKGQGYKCVMVPQGAKWPQPRTSSDIRFNFMMKLLKDSPL >Vigun10g180700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39859472:39860936:-1 gene:Vigun10g180700.v1.2 transcript:Vigun10g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTSSETTSSTSSPSTHSGSKAQARAKRPRDCSKHPVYHGVRKRNWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAALTIKGQSAILNFPEIADLLPRPLTCSPRDIQAAATAAASMSKFDPVTQTSDSETETSQESELSEIVELPNIEDSFDSSVDSFVMVDVVESWMFPPLDSFCAAFSDELFPQQFCSETLLPIWD >Vigun11g159700.2.v1.2 pep primary_assembly:ASM411807v1:11:36728008:36730382:1 gene:Vigun11g159700.v1.2 transcript:Vigun11g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPSSRDTHHTNGPDLNPCLNFIPCQFQSFTISLPCSTQPHAKHTTMLQHQHKLHFSAIHPHHHLDSLLDSNTSPQSTKSSTVSSIQGSPQGSSSSSSPSYYSQEPSPTGHEFCWESNYDTMKMLEKMKLDESDSSEYHPGYVNQRLETSNVGFYSLLQEQIRAIQSSRIRQEEILSRKQDSTAYVGKIQGQISQQFEKKGKGVNVGCDYGRRIRPPWQQGRSQHQTGSRGSSYSGTGVFLPRGETGAPLESRKRPGKGSTTVLIPARVVQALQVHFDQMAATCGPTKPHGFPPLQVLCLQMF >Vigun11g159700.1.v1.2 pep primary_assembly:ASM411807v1:11:36728008:36730382:1 gene:Vigun11g159700.v1.2 transcript:Vigun11g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPSSRDTHHTNGPDLNPCLNFIPCQFQSFTISLPCSTQPHAKHTTMLQHQHKLHFSAIHPHHHLDSLLDSNTSPQSTKSSTVSSIQGSPQGSSSSSSPSYYSQEPSPTGHEFCWESNYDTMKMLEKMKLDESDSSEYHPGYVNQRLETSNVGFYSLLQEQIRAIQSSRIRQEEILSRKQDSTAYVGKIQGQISQQFEKKGKGVNVGCDYGRRIRPPWQQGRSQHQTGSRGSSYSGTGVFLPRGETGAPLESRKRPGKGSTTVLIPARVVQALQVHFDQMAATCGPTKPHGFPPLQDVLVSTSDGMYSLQNTQSRNQQSHMQNEMLLPQEWTY >Vigun09g171800.4.v1.2 pep primary_assembly:ASM411807v1:9:34159585:34164397:1 gene:Vigun09g171800.v1.2 transcript:Vigun09g171800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDATKGLEVPGETLEAEVKAFFDSAPPLQNSDGITQQLDQFIQRNSSPSENGKARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLNRKGSFQPFCRSLPDDPLLECFKPTDESNIQVCETYSEAVKRAVVDHHTAVTGGLLLKLHFNTIFEYLQMLQIIAVSLRCIGPRAMFYLAAAASDYYVPWKEMVEHKIQSGSHLLDVKLVNVPKMLSVLRNDWAPLAFCISFKLETDSNILLKKASAALEKYKMDAVVANELSSRKEQVVVVTSAENVVVKRDKNLSDNDVENPLIKLLSEKHATYIEHPSR >Vigun09g171800.8.v1.2 pep primary_assembly:ASM411807v1:9:34159585:34163428:1 gene:Vigun09g171800.v1.2 transcript:Vigun09g171800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDATKGLEVPGETLEAEVKAFFDSAPPLQNSDGITQQLDQFIQRNSSPSENGKARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLNRKGSFQPFCRSLPDDPLLECFKPTDESNIQVCETYSEAVKRAVVDHHTAVTGGLLLKLHFNTIFEYLQMLQIIAVSLRCIGPRAMFYLAAAASDYYVPWKEMVEHKIQSGSHLLDVKLVNVPKMLSVLRNDWAPLAFCISFKLETDSNILLKKASAALEKYKMDAVVANELSSRKEQVVVVTSAENVVVKRDKNLSDNDVENPLIKLLSEKHATYIEHPSR >Vigun09g171800.6.v1.2 pep primary_assembly:ASM411807v1:9:34159567:34163531:1 gene:Vigun09g171800.v1.2 transcript:Vigun09g171800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDATKGLEVPGETLEAEVKAFFDSAPPLQNSDGITQQLDQFIQRNSSPSENGKARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLNRKGSFQPFCRSLPDDPLLECFKPTDESNIQVCETYSEAVKRAVVDHHTAVTGGLLLKLHFNTIFEYLQMLQIIAVSLRCIGPRAMFYLAAAASDYYVPWKEMVEHKIQSGSHLLDVKLVNVPKMLSVLRNDWAPLAFCISFKLETDSNILLKKASAALEKYKMDAVVANELSSRKEQVVVVTSAENVVVKRDKNLSDNDVENPLIKLLSEKHATYIEHPSR >Vigun09g171800.7.v1.2 pep primary_assembly:ASM411807v1:9:34159567:34163490:1 gene:Vigun09g171800.v1.2 transcript:Vigun09g171800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDATKGLEVPGETLEAEVKAFFDSAPPLQNSDGITQQLDQFIQRNSSPSENGKARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLNRKGSFQPFCRSLPDDPLLECFKPTDESNIQVCETYSEAVKRAVVDHHTAVTGGLLLKLHFNTIFEYLQMLQIIAVSLRCIGPRAMFYLAAAASDYYVPWKEMVEHKIQSGSHLLDVKLVNVPKMLSVLRNDWAPLAFCISFKLETDSNILLKKASAALEKYKMDAVVANELSSRKEQVVVVTSAENVVVKRDKNLSDNDVENPLIKLLSEKHATYIEHPSR >Vigun09g171800.5.v1.2 pep primary_assembly:ASM411807v1:9:34159585:34164397:1 gene:Vigun09g171800.v1.2 transcript:Vigun09g171800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDATKGLEVPGETLEAEVKAFFDSAPPLQNSDGITQQLDQFIQRNSSPSENGKARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLNRKGSFQPFCRSLPDDPLLECFKPTDESNIQVCETYSEAVKRAVVDHHTAVTGGLLLKLHFNTIFEYLQMLQIIAVSLRCIGPRAMFYLAAAASDYYVPWKEMVEHKIQSGSHLLDVKLVNVPKMLSVLRNDWAPLAFCISFKLETDSNILLKKASAALEKYKMDAVVANELSSRKEQVVVVTSAENVVVKRDKNLSDNDVENPLIKLLSEKHATYIEHPSR >Vigun06g126100.1.v1.2 pep primary_assembly:ASM411807v1:6:25318792:25319404:-1 gene:Vigun06g126100.v1.2 transcript:Vigun06g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHADEAKTSMQLQPCAACRMLRRRCESKCVLAPYFPTDEVENFALVHRVFGAKNVVKIIQRVEEAKRGDAVKSMVYEAIARVRDPVYGSAGVIYELQKMIEELKAQLDSIKTRISEIREEKERLLSIGNSYDPLSDINVPMFDSAMASDPFEFHVEGGWLYSNISTPS >Vigun03g375600.4.v1.2 pep primary_assembly:ASM411807v1:3:57819100:57821589:-1 gene:Vigun03g375600.v1.2 transcript:Vigun03g375600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWRKQLAVLFNYRAQFSVMGQKAHYRSSGVIVFCSSKRKRRNIIRSAVACGRLGKKRKRTMANQGAKKRKEENARHMARLRQVIIACNLARMATPAYAEDGELLDGGFDMSTGGVCGYLHDVIYITCFVQVMSIISGKFWYTYLVIPAFGAYKSFGFIKGFLPQGSEESFEDEKTRKKREKMEKKASRPKFVKTRTR >Vigun03g375600.3.v1.2 pep primary_assembly:ASM411807v1:3:57819100:57821589:-1 gene:Vigun03g375600.v1.2 transcript:Vigun03g375600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWRKQLAVLFNYRAQFSVMGQKAHYRSSGVIVFCSSKRKRRNIIRSAVACGRLGKKRKRTMANQGAKKRKEENARHMARLRQVIIACNVIYVLLRMLVFHSSFTWKHWIGLILTSLAYVIPYQQLARMATPAYAEDGELLDGGFDMSTGGVCGYLHDVIYITCFVQVMSIISGKFWYTYLVIPAFGAYKSFGFIKGFLPQGSEESFEDEKTRKKREKMEKKASRPKFVKTRTR >Vigun09g236800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40729334:40730863:1 gene:Vigun09g236800.v1.2 transcript:Vigun09g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVRRISECFVKPHPSDHFSDQICNLTPWDIAMLSVHYIQKGLLFKKPETLVDENEFIQNLLEKLKHSLSLTLSHFYPLSGRLVTHKTQNPPSYTVSVDCKNSDGARFIYATLDVTISELLSPVDTPSIFQSFFDHHKAINHDGHSMPLLSIQITELVDGVFIGCSMNHVIGDGTSYWNFFNSWSQIFQAQSLSRQPINNRWFPNNCIPPISLNFKHHDEFIERSEKPLLRERFFHFSAESIAKLKAKANSESNTTTISSFQSLSALVWRSITRARSIPSDQKTTCRLAATSRSRMEPALPEEYFGNCLHAVNAETTAGELLENDLGWAAWKLHVAVKNLNDRVVLQYLQEWLDSPVIYQMGRFFEPYCVMMGSSPRFNMYGSEFGMGKAVAARSGYANKFDGKVSSYPGREGGGSIDLEVCLFPHTMTALESDKEFMNAVSEFNPLLF >Vigun03g019100.1.v1.2 pep primary_assembly:ASM411807v1:3:1372971:1374817:-1 gene:Vigun03g019100.v1.2 transcript:Vigun03g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRCLSQALNARIRGSGAETLVLAHGYGMEQSMWDKVVPLLAENYRVVTFDWVCAGSVKNEKLYDPVKYSSYEAFADDLITLLQEMNLKAVTYVGSSMSGIIGCIASVKTPQLFKTLILVGSSPRFLNSDDYEGGFNRSDIEQLLSNIENNYENFVSAFASLIADPSNEESVKKYEEGLKNMGGEVGLSLAKTIFYSDWREVLEKVETPCTIIQTKKDAAVPLSVALYMQNKIKGKVRLEMIDTLGHFPQITAHLHFVQVLKDVLGS >Vigun03g086733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7182241:7183061:1 gene:Vigun03g086733.v1.2 transcript:Vigun03g086733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSCSAGSAFSACSACSACASGSPEETSSIKTTPLPFPATSVITPVKFNGQNILSWEGYVLLWLRGQGLADHLTKKAIEIAEEERDQWMRIDYKLVRLLWQSVHPTLLVHFRSYSSCCDLWNRSCCGVGELLALIVRDGDSLGHLNKILSVSEEVEFFLTRGNVSEKLDTFLTLSVLHGLNNDLESISNEIVMKSDVTTV >Vigun05g123800.1.v1.2 pep primary_assembly:ASM411807v1:5:13771478:13777672:1 gene:Vigun05g123800.v1.2 transcript:Vigun05g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLHIFTGLTPAPFQSSSSSSTLCVVKKPLSTSFFGAGGVEALKVSAMRIAKPVRGGGALGARMNLFDRFARVVKSYANAIISTFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAQQASEEWYRKAQLALQKGEEDLAREALKRRKSYADNASSLKGQLDQQKTVVENLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLTSDDLEGKFALLEGSSVDDDLANLKKELSGGSKKGDLPPGRSGTTSTNTGIPFRDSEIELELDQLRQRAKEF >Vigun01g230700.1.v1.2 pep primary_assembly:ASM411807v1:1:40292466:40297601:-1 gene:Vigun01g230700.v1.2 transcript:Vigun01g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFPNKALTSTRFSDLNPPLSDSVLQALSQSGFDFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFVVPLVEILRRSCSHPKPHQVLGIIISPTRELSTQIYNVAQPFISTLVNVKSILLVGGAEVKADIKKIEEEGANILIGTPGRLHDIMNRMDVLDLKNLEILILDEADRLLDMGFQKQITSIISILPKLRRTGLFSATQTEAIEELSKAGLRNPVRVEVRAETKSENDPASSKQPESSKTPSGLHIEYLECEADKKPSQLIDILIKNRSRKIIIYFMTCACVDYWGAVLPSLSVLKGFSLIPLHGKMKQSAREKALATFTSLSNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGKQGHAVVFLLPKEESYVEFLRIRRIPLQERICSDGALDIVPQIRSAAKKDRDIMEKGIKAFVSYIRAYKEHHCSYIFRWKELEIGKLAMGYGLLQLPSMLEVKHHSLSTDGFEPVEDINFADIKYRDKSREKQRKKNLQAKKEAKEKEPKPQKPKKTPDAVMRKKTARQRRAQQTIEDEEELMQEYRLLKKLKKGTIDENEYAKLTGTEELL >Vigun01g013100.2.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465845:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.9.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.15.v1.2 pep primary_assembly:ASM411807v1:1:1460915:1465753:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.12.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.1.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465845:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.3.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.14.v1.2 pep primary_assembly:ASM411807v1:1:1460915:1465753:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.10.v1.2 pep primary_assembly:ASM411807v1:1:1460915:1465718:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.4.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.7.v1.2 pep primary_assembly:ASM411807v1:1:1460909:1465845:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.13.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.8.v1.2 pep primary_assembly:ASM411807v1:1:1460900:1465769:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.11.v1.2 pep primary_assembly:ASM411807v1:1:1460909:1465845:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun01g013100.6.v1.2 pep primary_assembly:ASM411807v1:1:1460915:1465718:1 gene:Vigun01g013100.v1.2 transcript:Vigun01g013100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMTHEIDDNVHGQDQTGSQLNDDGNGGGVVLKKGPWTAAEDVILVDYVNKHGEGNWNAVQKYSGLSRCGKSCRLRWANHLRPNLKKGAFTAEEEQMIAELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRMKRRQRAGLPLYPPEVVLQAFQDKKHGQSTAEISEGDGGHQDFMEKNNYEIHDAIYDSLKETQGILPFVPELPDISANSILTNVLGSPPYCNFLPSTLPNHDHLHESSVSLLGSSELNTNGFYPFDHFKDNTSDKFAESFGLHSPLDHGASSYGSICYSHSLSNDNYSTSKLASEAMKSELPSLQYPETEFGSWGTSPPPPLNDSTDIFIHSPQPPYALDSGSSSSLSRCFLNEFLCQQAKTLGYSKNNWPESSNSSVATPVDRAESSALNVYETEWEDYADPVSPFGATSILNEHPVVGTNGKPWDGWEPFQTFSENSAGNNEKLQSVDQVLAPKNENQSMSLLNLTWPDVLLASDWHELYSEHNGMNQADMIDASDNFTRQ >Vigun05g147400.1.v1.2 pep primary_assembly:ASM411807v1:5:20965000:20965673:-1 gene:Vigun05g147400.v1.2 transcript:Vigun05g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILIAFLLFASLFFLPTSTMARQLKEIECRSGSQGYRNCVPYKQTPPTKKCSPYQRNCNSESPPPPPPRCSLLYKRNC >Vigun03g185050.1.v1.2 pep primary_assembly:ASM411807v1:3:24347648:24348143:1 gene:Vigun03g185050.v1.2 transcript:Vigun03g185050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKENWITLAFRFFHVYNDGKVELFNPPNTIQKTPSILVHPYFAGSRRDDEMWMYMCPENKGWEDPRMKPAAEDLARLGCERVVVFAAEKDTLFQCGKNYAEELKKSGWGGSVEVVQNWGMGHCFHLYKTHHPQASQLLQKIVTFIQQH >Vigun10g193700.1.v1.2 pep primary_assembly:ASM411807v1:10:40778681:40787214:1 gene:Vigun10g193700.v1.2 transcript:Vigun10g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTVCVTGAGGFVASWLVKLLLSKGYNVHGTVRQPGSEKYEHLQKLEGASENLTLFKADLLNYDSILSAIAGCDAVFHVASPVPSTIVPNPQVEVIEPAVKGTTNVLQACLEAKVQRVVYVSSVSAICVGPNMPKDKVIDESYWSDKDYCRKTQNWYCYSKTEAEEQALEFGKRTGLDVVSICPSLVLGPILQSTTVNASSLVLPKLLKGGDSFENKIRWIVDVRDLVDAILLVYEKHEAERRYICSAHTIKTRDLVEKLKSIYPSYKYPSNFTEVDDYLQFSSEKLERLGWKYRSLEETLIDSVESYREAGLLQLE >Vigun01g066700.1.v1.2 pep primary_assembly:ASM411807v1:1:17860996:17886975:1 gene:Vigun01g066700.v1.2 transcript:Vigun01g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATDDNPRSVKRVAVIGAGVSGLAAAYKLKSHGLDVTVFEAEGRAGGRLRSVSRDGLIWDEGANTMTESEIEVKGLIDALGLQEKQQFPLSQHKRYIVKNGAPVLVPTNPAALLKSKLLSAQSKIQVIFEPFLWKRSVSSNVRDENSEESVRGFFQRHFGKEVVDYLIDPFVGGTSATDPESLSMRHFFPELWNLEKRFGSVIAGALQSKFFDKKERTGENKTTLKKNKHKRGSFSFQGGMQTLTDTLCQELGKENLKLNSKVLTLAYGHDESSSSPNWSITCVSNQSTQDIDAVIMTAPLCNIKDIKITKRSSPFPLSFLPEISYVPISVMITAFRKENVKRPLEGFGVLVPSKERQNGLKTLGTLFSSMMFPDRAPSDFYLYTTFVGGTQNRELAQASTDELQKIVTSDLRKLLGAEGEPTFVNHFYWSKGFPLYGRNYGSVLEAIDKIEKDLPGFFFAGNFKGGLSVGKSIASGWQAADLVISYLNSASDKSA >Vigun09g037600.2.v1.2 pep primary_assembly:ASM411807v1:9:3339770:3342276:-1 gene:Vigun09g037600.v1.2 transcript:Vigun09g037600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNRFPFTPSQWQELEHQALIYKYMASGISIPPDLLFTIKRSYFDSPLSSRLLPNQPQHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTPSSSNTNASTHQHQHHQQQQQQPISSITKLNTTLSPLASSSSESHYAPFLYQHPPSSRSSAIGLSFEDNSAPLFLDTGSCSQSNTDCRSRYAYGEKEEVDEHAFFTEPCGIMKSFSGSSMDDSWQLTPLTMSSSSSSTKQRSSFGLSNDYSCLQLQSHSKPQEQQDQGGCYMLGGGGQLGKEEPQKTVHRFFDEWPHKGRQGSWLDLDDKSSTTQLSISIPTSSHDFPAFSSRTHHDG >Vigun09g037600.1.v1.2 pep primary_assembly:ASM411807v1:9:3339895:3342260:-1 gene:Vigun09g037600.v1.2 transcript:Vigun09g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNRFPFTPSQWQELEHQALIYKYMASGISIPPDLLFTIKRSYFDSPLSSRLLPNQPQHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTPSSSNTNASTHQHQHHQQQQQQPISSITKLNTTLSPLASSSSESHYAPFLYQHPPSSRSSAIGLSFEDNSAPLFLDTGSCSQSNTDCRSRYAYGEKEEVDEHAFFTEPCGIMKSFSGSSMDDSWQLTPLTMSSSSSSTKQRSSFGLSNDYSCLQLQSHSKPQEQQDQGGCYMLGGGGQLGKEEPQKTVHRFFDEWPHKGRQGSWLDLDDKSSTTQLSISIPTSSHDFPAFSSRTHHVVKKSEKVFVRWLSLHLNNGSLYGMKGQKCTEKVGLRCFGVFFQPHHPLFFLLP >Vigun09g037600.3.v1.2 pep primary_assembly:ASM411807v1:9:3339894:3342261:-1 gene:Vigun09g037600.v1.2 transcript:Vigun09g037600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNRFPFTPSQWQELEHQALIYKYMASGISIPPDLLFTIKRSYFDSPLSSRLLPNQPQHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTPSSSNTNASTHQHQHHQQQQQQPISSITKLNTTLSPLASSSSESHYAPFLYQHPPSSRSSAIGLSFEDNSAPLFLDTGSCSQSNTDCRYAYGEKEEVDEHAFFTEPCGIMKSFSGSSMDDSWQLTPLTMSSSSSSTKQRSSFGLSNDYSCLQLQSHSKPQEQQDQGGCYMLGGGGQLGKEEPQKTVHRFFDEWPHKGRQGSWLDLDDKSSTTQLSISIPTSSHDFPAFSSRTHHVVKKSEKVFVRWLSLHLNNGSLYGMKGQKCTEKVGLRCFGVFFQPHHPLFFLLP >Vigun10g053500.1.v1.2 pep primary_assembly:ASM411807v1:10:8888058:8889950:1 gene:Vigun10g053500.v1.2 transcript:Vigun10g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVHMRTISKGAIILLSIMMLLFDTTVSVVVESDQNHIKSATFLSENFEVGPGKIVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPNDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTLIHDCQAEYKIPRNHHNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNITLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun06g026700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12039206:12040784:1 gene:Vigun06g026700.v1.2 transcript:Vigun06g026700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >Vigun06g026700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12039206:12040784:1 gene:Vigun06g026700.v1.2 transcript:Vigun06g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >Vigun06g038100.1.v1.2 pep primary_assembly:ASM411807v1:6:15566918:15569040:-1 gene:Vigun06g038100.v1.2 transcript:Vigun06g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTFYSNKEIFLQELINNASNALDKIQFESHTNKNILDDRVIRLIPHKANKTLSIIDTGIGMTKTDLAYNLGVGFYSTYLIADKVIVTSKHKDHDQYIWESQPGASFIVNNDINAQQPSRGTNITLFLKDNQLEYLEEVTIKNLVIKYCQHISHRIYLWNENTKDDWQLINIWFHNRERDSKHVAQKLMNHIPDDFVFSILSNLPLKSLKRFGCVRRSWALLFENSHFMNLLRNNFICNHQSYYDDTYLLLNLSPLYQNHYNSSLFSISGERFQNMEKLYWPSQIQEDYLEGIGILGSSSINGILCLYVKDLVYLWNPTINEFKVIPPSPFKNAPYYIYIGIKYHGFGYDCVRDDYKVVREVSFFVNSDDDVEPHDVFPLSCIWEMYSLRSNSWTILQLCDCVPTSFDDNNKFYLEGMCHWLGYAESCIQHVVSFDLINKVWITTLPPLDIPMEIYDNKHFVRRQLFLLNQSIALMSNYAETTTFYISILVEVGKKETWTLLFVFGPIPYIAFPIGTRDMGNILFQTHDGDLAWFNLNTHTVQKLGVNIHGGYSQLVVHKESLLTIARINS >Vigun11g029600.1.v1.2 pep primary_assembly:ASM411807v1:11:3875505:3880618:1 gene:Vigun11g029600.v1.2 transcript:Vigun11g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDTEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFVDDDEDLRKSLEKARRLALKKHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun11g029600.2.v1.2 pep primary_assembly:ASM411807v1:11:3875560:3880584:1 gene:Vigun11g029600.v1.2 transcript:Vigun11g029600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDTEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEEVDMLENIEIGEQKRRDEAYKASKNKTGVYDDKFNGDPSLEKKMLPQYDDPAAEEGVTLDEKGRFSGEAEKKLEDLRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRKNVRRQAIKEEQERLEAKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTDEDETPAFVDDDEDLRKSLEKARRLALKKHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun04g013500.1.v1.2 pep primary_assembly:ASM411807v1:4:978339:982405:1 gene:Vigun04g013500.v1.2 transcript:Vigun04g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFHHHSGMQKSKSFHFRRMFDSGKHSHHHGHCSVDRDHDDGGESDRIYSKSLDSRSSFSHSTDFHVPFSDHSPAPSLGVEPPRMPPKKPTDSDLMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASVFGQISKLEPMPSDRKARWRKEIEWLLSVTDHIVEFAPSQQIAKDGTCMEIMTTRQRIDLLMNIPALRKLDAMLIDTLHNFRDQNEFWYVSKNDEDPDSVSNNRKSDKWWLPTVKVHPTGLSEGAVKWLQFQKDNVNQVLKAAMAINAQILSEMVIPENYIESLPKNGRESLGESIYKSITVEYFDPGQFLSTMDMSTEHKVLDLKNRMEASIVIWKRKMINKDNKSSWGSAVSIEKRELFEERAETILLMLKHQFPGLPQSSLDISKIQYNKDVGQAILESYSRVIESLAYTVLSRIEDVLYADSVVKNPSLAVSSRRVSADSLPMSGRTSPNSEDENANFHSSDTPPSMTLSDFMGWTSIKEELDGKNSNTSGDLEDCVDEKDEKDATKSPDCAQKSSHLDKLEYSNGSKAPVARH >Vigun10g023400.1.v1.2 pep primary_assembly:ASM411807v1:10:2774446:2779001:1 gene:Vigun10g023400.v1.2 transcript:Vigun10g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLIIFLFILGFISPCLCARCVKTEEKENTYNVIDYGAHGDGKSDDSKAFVSAWKETCGTKGTSTLIIPENRVFLVKGIALNGPCSATKINIRIEGKIVAPTKDGWRKGTSNLIVISNVNNLSIDGSGGSIDGYGSSWWKCESCGRPTILRFKSCKDLSVSHVSITNSPKAHIRINNCENARISHINIDSPGDSPNTDGIDIYSSKNIFIEDSTIQCGDDCIAISDGSSYINATRIACGPGHGISIGSLGRDGSYETVTEVNVKNCSFSHTTNGARIKTAPGGSGYAKHISFEDIKLTQVENPIIIDQYYGSKHSKKKGLSVSDVTFRGFSGTSASDEAINLNCCPPGCFNLVLDNIDIVSSKPGKPVSCSCNNAHGKVTSTHPNCSFSYNQIIM >Vigun07g287300.1.v1.2 pep primary_assembly:ASM411807v1:7:40020835:40024233:1 gene:Vigun07g287300.v1.2 transcript:Vigun07g287300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTFTAEVASSFFGLAYRNPKPFTLSLQTTLLPLLRRTTTRSQFLTHSLSAPFPLRPARRFTVAATTVAPPQSEDSDVSTVIPPDNRIPATIITGFLGSGKTTLLNHILTAEHGKRIAVIENEFGEIDIDGSLVAAKAAGAEDIMMLNNGCLCCTVRGDLVRMISELVAKKKGKFDHIVIETTGLANPAPIIQTFYAEENIFNEVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPGIASLVQRIRKINSLAHLKRTEYGKVNLDYVLGIGGFDLERIENSINDEGAKEDHDHSHDHKHEHHDHGHEHHDHDHHHDHKHEHHDHHSHDHTHDPGVSSVSIVCEGSLDLEKANMWLGNLLLDRSEDIYRMKGLLSVEGMNERFVFQGVHDIFQGSPERLWGPDEPRTNKIVFIGKNLDAKELEKGFKACLL >Vigun01g086300.1.v1.2 pep primary_assembly:ASM411807v1:1:24323637:24330005:1 gene:Vigun01g086300.v1.2 transcript:Vigun01g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYASTLPAELQRLLNTVRELDERSQSMINQTRQQTKYCMGFPSHGSKKGNHSYNNNYGNEDDDVAFEKMRKEIEGNQDSALSLCTEKVLLARQAYDLIDSHVKRLDEDLNNFAEDLKQEGKIPPDEPAILPPLPIVPKAEKRKPIYITPQPKRIDYRDRDWDRERDRDFELMPPPGSHKKEYATPMDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYSCVGLTQETRFKGKWYCPTCILLPQCQR >Vigun04g105266.1.v1.2 pep primary_assembly:ASM411807v1:4:25222321:25224401:-1 gene:Vigun04g105266.v1.2 transcript:Vigun04g105266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKLYQDLLDGVERFYFPIVVDAISSRRVLTIELVYGVLDNDFVDMVIHQREGNILDACSSLYFFIPISKFKNKYDNSRGGFHRI >Vigun04g104400.1.v1.2 pep primary_assembly:ASM411807v1:4:24723348:24742558:1 gene:Vigun04g104400.v1.2 transcript:Vigun04g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWCSSNNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERFSNDSNKTLKRTDKLSRCLNLGSYNYLGFAAADEYCTPRVVETLKKYSPSTCSTRVDGGTTDLHNELEECVANFVRKPAAIVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNVPSHLEEVLREQIAEGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKDLVQYLKHACPAHLYATSISPPAAQQIISSLKVILGEDGSNRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHSREDLIKALQVISRVGDLVGIKYFPAEPTKQQDTSKAVKFD >Vigun03g358600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56065624:56067809:-1 gene:Vigun03g358600.v1.2 transcript:Vigun03g358600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHVQGLVPRPRLISRRKPPLFFCLLLLFLVPIFLFGIFVHGQKISYFFRPLWDNPPDPFKRIPHYYAENVSMDHLCNLHGWSLRSEPRRIFDAVIFSNELDLLEIRWRELFPYVSKFVILESNTTFTGIPKKLFFASNLPRFAFAMHKTLHDIYPGRVAVPGTREDPFMLESKQRGAMNSLLHRAGISYGDILLMSDTDEIPSPHTLKLLQWCEGIPPIMHLELRHYMYSFEFPVDYSSWRSTAHVFGPRTQYRHSRQTDLIFSDAGWHCSFCFRYISEFVFKMTAYSHADRVKRKSFLSHSRIQNNICKGDDLFDMLPEEYSFQELIKKMGSIPRSASAVHLPAYLIENADKFKFLLPGGCLRPPE >Vigun03g358600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56064902:56068549:-1 gene:Vigun03g358600.v1.2 transcript:Vigun03g358600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHVQGLVPRPRLISRRKPPLFFCLLLLFLVPIFLFGIFVHGQKISYFFRPLWDNPPDPFKRIPHYYAENVSMDHLCNLHGWSLRSEPRRIFDAVIFSNELDLLEIRWRELFPYVSKFVILESNTTFTGIPKKLFFASNLPRFAFAMHKTLHDIYPGRVAVPGTREDPFMLESKQRGAMNSLLHRAGISYGDILLMSDTDEIPSPHTLKLLQWCEGIPPIMHLELRHYMYSFEFPVDYSSWRSTAHVFGPRTQYRHSRQTDLIFSDAGWHCSFCFRYISEFVFKMTAYSHADRVKRKSFLSHSRIQNNICKGDDLFDMLPEEYSFQELIKKMGSIPRSASAVHLPAYLIENADKFKFLLPGGCLRPPE >Vigun03g358600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56064902:56068549:-1 gene:Vigun03g358600.v1.2 transcript:Vigun03g358600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHVQGLVPRPRLISRRKPPLFFCLLLLFLVPIFLFGIFVHGQKISYFFRPLWDNPPDPFKRIPHYYAENVSMDHLCNLHGWSLRSEPRRIFDAVIFSNELDLLEIRWRELFPYVSKFVILESNTTFTGIPKKLFFASNLPRFAFAMHKTLHDIYPGRVAVPGTREDPFMLESKQRGAMNSLLHRAGISYGDILLMSDTDEIPSPHTLKLLQWCEGIPPIMHLELRHYMYSFEFPVDYSSWRSTAHVFGPRTQYRHSRQTDLIFSDAGWHCSFCFRYISEFVFKMTAYSHADRVKRKSFLSHSRIQNNICKGDDLFDMLPEEYSFQELIKKMGSIPRSASAVHLPAYLIENADKFKFLLPGGCLRPPE >Vigun03g063300.1.v1.2 pep primary_assembly:ASM411807v1:3:5187689:5193622:-1 gene:Vigun03g063300.v1.2 transcript:Vigun03g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWSRKWSKSKEEHEEAEEEEEEEPRGGFQLSFLKSPVAVRRGDVSKSKRDKKKPKSFDDKLKGVPLPRPTHSHSDQIQTFGSLSMSGSSVSSSTSFDDHPISPHVNANRGQDEVRFNVRSKSPGPGSRGPTSPTSPLHPRLHALSLDSPTGRQDEGRSECHPLPLPPGSPTSPSSVLSNSITRPNGLLENATSNVSKWRKGKLLGRGTFGHVYLGFNSENGQMCAIKEVKVVSDDQTSRECLKQLNQEINLLNQLSHPNIVQYYGSELVEESLSVYLEFVSGGSIQKLLQEYGPFTEPVIQNYTRQIVSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSASMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTIIEMATSKPPWNQYEGVAAIFKIGNSKDMPEIPEHLSNDAKNFIKLCLQRDPSARPTAQMLLDQHPFIQDQSATKAANVSITREAFPYMLDGSRTPPVLESHSNRTSITSLDGDYASKPALAAPRALRSPRDNTRTIISLPVSPSSSPLRRYGPTHQSCYFSPPHPAYTVMGQSSYTLNDACSYPIRSNATLTLDPWNETSRFKANTPPGGSPRMRLI >Vigun06g180300.1.v1.2 pep primary_assembly:ASM411807v1:6:30023322:30026814:-1 gene:Vigun06g180300.v1.2 transcript:Vigun06g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGSRGRLEMLVKNRLMSFLIWQSISSSIVFLHFLCLPLSSPHYPFFSFIVFLSSHLLFSPTLSFLSSPHPRPRLPLSLLFATAAAFSAALSLPAAAHPSSPLPRLAFRGFLIGSLFASHYLFQRRWLLHFPIIQRPPFFSFKMGVPSAARQAFKLSVLAFFISGVLLELLPHPFKYAVATTRFFVEQITSFAATFAIFFSWELTHHLHWVLHTKRAIFAPPKGSAAAETNPSEHLLSALEETNPTSLPRYLAHLDLYMVCENNVDTWRRAGFFEETGETYKRVVAVCLKPLEHLATKLGEGSGSSVDKATQLSNQLLSPTDPRSDLKYVEELYNFQLYAWCSRSVASLTACSRKEDKFGVAQLSGSNAAVVSTLLSCLLAVENFMGKKTNLQPPNQLLGAAGIKWATVNSGRVDVAAGKRRSGPVNSKAYAIADVLKTSIYQIVSAFQDEMSAGAKANLLEKDWITTGKPVFGTREMLIQKLRIFLDFRAT >Vigun06g180300.2.v1.2 pep primary_assembly:ASM411807v1:6:30023098:30026814:-1 gene:Vigun06g180300.v1.2 transcript:Vigun06g180300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGSRGRLEMLVKNRLMSFLIWQSISSSIVFLHFLCLPLSSPHYPFFSFIVFLSSHLLFSPTLSFLSSPHPRPRLPLSLLFATAAAFSAALSLPAAAHPSSPLPRLAFRGFLIGSLFASHYLFQRRWLLHFPIIQRPPFFSFKMGVPSAARQAFKLSVLAFFISGVLLELLPHPFKYAVATTRFFVEQITSFAATFAIFFSWELTHHLHWVLHTKRAIFAPPKGSAAAETNPSEHLLSALEETNPTSLPRYLAHLDLYMVCENNVDTWRRAGFFEETGETYKRVVAVCLKPLEHLATKLGEGSGSSVDKATQLSNQLLSPTDPRSDLKYVEELYNFQLYAWCSRSVASLTACSRKEDKFGVAQLSGSNAAVVSTLLSCLLAVENFMGKKTNLQPPNQLLGAAGIKWATVNSGRVDVAAGKRRSGPVNSKAYAIADVLKTSIYQIVSAFQDEMSAGAKANLLEKDWITTGKPVFGTREMLIQKLRIFLDFRAT >Vigun01g031900.1.v1.2 pep primary_assembly:ASM411807v1:1:4029295:4031154:1 gene:Vigun01g031900.v1.2 transcript:Vigun01g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGASSSLIKVAALSGSLRKGSYNRGLVRAAIELSKGSNLGLQIEYVDISALPFLNTDLEINGTYPSEVEAFRQRIVAADSILFASPEYNYSVTGPLKNAIDWASRAPNVWAGKPAAIVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPEFFINAFQPPAKFNSDGDLIDEDAKNRLKEILLSLKAFTLRLQGQN >Vigun07g106450.1.v1.2 pep primary_assembly:ASM411807v1:7:19503755:19504996:1 gene:Vigun07g106450.v1.2 transcript:Vigun07g106450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYKTGTLAVKKSQQNGASCRLPQREIDLVTMRTNPPTTYFPPKREKTKHHSNQSPKPNNLRFQQQQMRPLRADQKWQQDLPDCDSQGEVTKDEQNMSSVVREEKEK >Vigun08g073201.1.v1.2 pep primary_assembly:ASM411807v1:8:12367649:12380711:1 gene:Vigun08g073201.v1.2 transcript:Vigun08g073201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIAVTVLVFLLHQINGEDYGCSPSSCGKIRNISYPFRLKGDPEKCGPYELSCENNITLLSLLSGRFNVEAINYNNFTMRVVDPGLQQSNCSSLPRYFLSPSNFTDSHTDTLDMYETQYIVFLSCSHGVSGKRKYVDTGGCLNWDSKGYTYAMAGDLLAEDLEVGCDVKLVAPTSWWGLDTNNYSYAMIHTSLLYGFHLFWLPLVCKDRCGFGNNDCHFNYSTQSAECYPANQSPPGLIYSSEAAISSIMKGLILPIFRPERYGGTYITKDVGYAITLEPKLVNLGRCVRYFLYRYVAGMILFIALLIYKWKRRHSSIYENIENYLEENSLMPIRYSYKEIKKMATGFNEKLGEGGYGSVFKGKLRSGPCVAIKVLRKSKGNGQDFINEVATIGRIHHHNVVHLIGYCAEGSKRALVYEFMHNGSLDKFIFSKEGNTHLTYDTIHDIAIGVAHGISYLHHGCDMQILHFDIKPHNILLDEKFTPKISDFGLAKLYSTDKSVVTMTAARGTIGYIAPELFYNNVGRISNKSDVYSFGMFLMEIASKRKNLNPHAEHSSQLYFPFWIYDQLNKGKDLEMEDVRDFENKIIKKMIIVSLWCIQLKPNDRPSMNKVVEMLEGDIENLKLPPKPSLYPHETLEDSQRMCSHQTTLSHFVGSSSYSVASNVPLDESI >Vigun04g090300.1.v1.2 pep primary_assembly:ASM411807v1:4:16348377:16350465:1 gene:Vigun04g090300.v1.2 transcript:Vigun04g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPNFAPFTVLSLVLLVVGVIVSRAEARPRAFFVFGDSLVDNGNNNFLATTARADAPPYGIDYGPTHRPTGRFSNGFNIPDFISQRLGSESTLPYLSPELTGDKLLVGANFASAGIGILNDTGIQFVNVIRMYRQLEYFQEYQNRVSALIGASQAKRLVNQSLVLITVGGNDFVNNYYLVPNSARSRQYPLPQYVKYLISEYQKLLQKLYDLGARRVLVTGTGPLGCVPSELAQRGRNGECAAELQQAAELFNPQLEQMLLQLNRKLGKDVFIAANTGKMHNNFVSNPQQFGFITAKVACCGQGPYNGLGLCTPLSNLCPNREQYAFWDAFHPSEKANRLIVEEIMSGSEAYMNPMNLSTILALDAITT >Vigun01g111500.1.v1.2 pep primary_assembly:ASM411807v1:1:28504478:28509217:1 gene:Vigun01g111500.v1.2 transcript:Vigun01g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANMAAATAISQLGCFSAAHRSIHLRRRSLLFPSPRSKLSVVMSLERHDTDTAGTDTKNTLSYAADVSKLHVEEKQNSYSTKEDHDTEKTGFGQEIQESVDQPKKTAKIHDFCLGIPFGGFVLTGGIVGFLFSRSPATLSSGVLFGGALLFLSTLSLKVWRQGKSSLPFILGQAALSGILIWKNFQSYSLAKKIFPTGFSAIISSAMLCFYFYVLISGGNPPPKKLKPSSSTA >Vigun03g193900.1.v1.2 pep primary_assembly:ASM411807v1:3:27090882:27098086:1 gene:Vigun03g193900.v1.2 transcript:Vigun03g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYNRLPSSGHSTPSPPPSPLRSPRLRHGRSKTGRFSPNRGGGRTAAQRLSWMFLSVLLRRQGVFLFAPLIYISGMLLYMGTASFDVVPVIKHRPAPGSVYRSPQLYAKLHLEMDSDNSSVDAISTIWKIPYKGGEWKPCVNRSCEALPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLVIPNFHYHSIWKDPSKFRDIYDEEFFVNTLKNDVRVVDKIPEYLMERFGSNMTNVHNFRIKAWSSIQYYKDVVLPKLLEEKVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPILSIGEALVERMRKHSAINGGKYVSVHLRFEEDMVAFSCCVFDGGKQEREDMIAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIFLASGKIYNSEKTMAPLREMFPNLHTKDTLASEEELAPFKSYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHAKTIKPDKRKLALLFDNPNIGWKSLKRQLLSMRSHSDSKGVELKRPNDSIYSFPCPDCMCRANRTDDSRSSSAT >Vigun03g193900.2.v1.2 pep primary_assembly:ASM411807v1:3:27092017:27098086:1 gene:Vigun03g193900.v1.2 transcript:Vigun03g193900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVRLFARSEYRGWGNISTIWKIPYKGGEWKPCVNRSCEALPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLVIPNFHYHSIWKDPSKFRDIYDEEFFVNTLKNDVRVVDKIPEYLMERFGSNMTNVHNFRIKAWSSIQYYKDVVLPKLLEEKVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPILSIGEALVERMRKHSAINGGKYVSVHLRFEEDMVAFSCCVFDGGKQEREDMIAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIFLASGKIYNSEKTMAPLREMFPNLHTKDTLASEEELAPFKSYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHAKTIKPDKRKLALLFDNPNIGWKSLKRQLLSMRSHSDSKGVELKRPNDSIYSFPCPDCMCRANRTDDSRSSSAT >Vigun03g250500.1.v1.2 pep primary_assembly:ASM411807v1:3:41654859:41657920:1 gene:Vigun03g250500.v1.2 transcript:Vigun03g250500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSGAPSLHSSSLNRHDSPGSSDIHGSNFEFCGTTTTTTMRKTQFSVRESIDSECSPLPFPEGQILKWPELKVFSFEELKSATGNFRSDRLIGEGGFGRVYKGWLDENNLIPAKPGSGVVVAIKMFNPEGLQGFSQWQSEVNVLGRLSHPNLVRLLGYCWDEDQFLLVYEFMPKGSLESHLFTRSNSMEPLSWNTRLKIVIGAARGLAFLHDNENLVIFRDFKTSNVLLDRNYNAKISDFGLARKGLSGGQSQATRIMGTYGYAAPEYVATGDLYVNSDVYGFGVVLLEILTGMRVIDKRRPTEQQNLVEWRKPCLSSKKKLKSIMDGRIKGQYSTKAALETAKLTLKCLKPDPRQRPSMKQVLERLEAIEDIH >Vigun11g141100.2.v1.2 pep primary_assembly:ASM411807v1:11:35059140:35064716:1 gene:Vigun11g141100.v1.2 transcript:Vigun11g141100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKTQCEKKGNMEGEETEMKQQSRFKRICVFCGSSPGNKSSYKDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVYEGGRHVIGVIPKTLMPREITGETVGEVMAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPKARHIIVSSPSSKELVKKMEEYFPQHERVASKLSWETEQIDYSSSCDMSR >Vigun11g141100.3.v1.2 pep primary_assembly:ASM411807v1:11:35060545:35064716:1 gene:Vigun11g141100.v1.2 transcript:Vigun11g141100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSHLSSFGLLFGLSPKGKCQAIRFLLEPTSAITGETVGEVMAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPKARHIIVSSPSSKELVKKMEEYFPQHERVASKLSWETEQIDYSSSCDMSR >Vigun11g141100.1.v1.2 pep primary_assembly:ASM411807v1:11:35060491:35064819:1 gene:Vigun11g141100.v1.2 transcript:Vigun11g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKTQCEKKGNMEGEETEMKQQSRFKRICVFCGSSPGNKSSYKDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVYEGGRHVIGVIPKTLMPREITGETVGEVMAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPKARHIIVSSPSSKELVKKMEEYFPQHERVASKLSWETEQIDYSSSCDMSR >Vigun06g059700.9.v1.2 pep primary_assembly:ASM411807v1:6:18689946:18694328:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRDS >Vigun06g059700.2.v1.2 pep primary_assembly:ASM411807v1:6:18689896:18694341:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRDS >Vigun06g059700.8.v1.2 pep primary_assembly:ASM411807v1:6:18689946:18694328:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRDS >Vigun06g059700.1.v1.2 pep primary_assembly:ASM411807v1:6:18689896:18694341:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRGIAA >Vigun06g059700.6.v1.2 pep primary_assembly:ASM411807v1:6:18689946:18694328:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRGIAA >Vigun06g059700.7.v1.2 pep primary_assembly:ASM411807v1:6:18689946:18694328:-1 gene:Vigun06g059700.v1.2 transcript:Vigun06g059700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFGKYGEVIEAVIMRDRVTGRARGFGFVVFADPSVAERVIMDKHIIDGRTVEAKKAVPRDDQLNRQSGSAHASPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLNRASNYLNSYAQGYSMSPIGGYGVRMDGRFSPLTGGRSGFTPFGNTGYGMGVNLDSGLSPTFGGTSNYGSNLGYGRLLNPFYSGNSGRYTTPIGYSGVNGRSDTVMNSPSRNLWGNGGLNNANNPVSPNPFLGSGSGAFGVSIGNSGTGWGPSIPAQGGGAASGYGTGNNVYEGGDSSFGLGGAGYGRNSSSGVTPASAFNVSTGGYEGSYGDLYRTGSGSVYNDSAWRSAVSEIDGSGSFGYGLGGIASDDPVKNSEGYIGNYNVTSRQPNRGIAA >Vigun05g049500.1.v1.2 pep primary_assembly:ASM411807v1:5:4288714:4289988:1 gene:Vigun05g049500.v1.2 transcript:Vigun05g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRLSSLFGTSGYTTQPFKIRHHQMQQLQQCRGIRVRVVDGNLEMALGLMQRKMQGSGIERMIKQEQRFHIKNSEKRVLAKKNLERRLRSEDFAKKLKTILVKKVRGL >Vigun04g028000.1.v1.2 pep primary_assembly:ASM411807v1:4:2155894:2157412:1 gene:Vigun04g028000.v1.2 transcript:Vigun04g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDLSSMSLSFLIVFIITFTLFMQPTPVRADLKMRKLGVRPSPPPPPLRGVQFKPPIYKPPIVKPKPPTSPPPPISMA >Vigun06g189100.1.v1.2 pep primary_assembly:ASM411807v1:6:30723295:30726388:-1 gene:Vigun06g189100.v1.2 transcript:Vigun06g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNISEERGEILGPVHEGVDGNSSHLVEGVVIDAVDGNNLGGRVGIRGEAATSREMRKDLGSEKELKEELEEVKGQDMHGQENISYNKLLGVDQGTSYNPNHLVNQEVIETVVVIESFETEYVNENNRKLEAEVNESGLNFTSMKVPEGASETDKNSCVIDIKCSSRKKFSENSEGEQICRICHLASVQPSDENEATVGPAASSAMFSDLIQLGCACKDELGIAHVHCAEAWFKLKGNRLCEICSETATNVSGVSNYEFMEKWNERRFMEDGGNSSRRFGGCSPGQPFCNFLMACLVIAFVLSWFFRVNVF >Vigun02g147000.2.v1.2 pep primary_assembly:ASM411807v1:2:29485045:29488614:1 gene:Vigun02g147000.v1.2 transcript:Vigun02g147000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPSPSFLLCHEQYSSFQQHSPTLIITTPSSPSIFSDHHLFSQHDHLLSLLSKEPATHVTPSPSHHVVRWISTLSHFHGFAPLTTVLAVNYFNRFVNRRSFQTEDKPWKTQLVAVACVSLAAKVEETRVPLLLELQVEESEFVFEAKTIHTMELLVLSTLEWKMNPVTPICFFQHFLTRLALKRHLHWEFMCGCQHLLLSVIADSRVMSYLPSTLSAAIMIHVIEEIEPLNATEYRNQLLGLLKSNEEDVNECYKLMLGLLVCCKGIHNPGQRRKRVSEPSSPDGVIDASFCCESSNDSWSVSSLSVEPELKRRKDEVPVNRVSIDVLNIPR >Vigun02g147000.1.v1.2 pep primary_assembly:ASM411807v1:2:29485705:29488666:1 gene:Vigun02g147000.v1.2 transcript:Vigun02g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPSPSFLLCHEQYSSFQQHSPTLIITTPSSPSIFSDHHLFSQHDHLLSLLSKEPATHVTPSPSHHVVRWISTLSHFHGFAPLTTVLAVNYFNRFVNRRSFQTEDKPWKTQLVAVACVSLAAKVEETRVPLLLELQVEESEFVFEAKTIHTMELLVLSTLEWKMNPVTPICFFQHFLTRLALKRHLHWEFMCGCQHLLLSVIADSRVMSYLPSTLSAAIMIHVIEEIEPLNATEYRNQLLGLLKSNEEDVNECYKLMLGLLVCCKGIHNPGQRRKRVSEPSSPDGVIDASFCCESSNDSWSVSSLSVEPELKRRKDEVPVNRVSIDVLNIPR >Vigun05g176851.1.v1.2 pep primary_assembly:ASM411807v1:5:32835888:32836371:1 gene:Vigun05g176851.v1.2 transcript:Vigun05g176851.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLDMIKDIDDKKETLKLAVRGDMIPAMVNKEDLGLWEEKVVEGQTYIMHNFKILKNQGQFWVCEHPYKLLLIGATTIKQQPISSIPLNIYNFKSIEDIVDGNYFADLVYGMYI >Vigun06g126600.1.v1.2 pep primary_assembly:ASM411807v1:6:25372617:25374198:1 gene:Vigun06g126600.v1.2 transcript:Vigun06g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRSAREKESMVEEVFEDMLPVMAEKLGVETFVSELCGGFKLLADPETGLISGESLMRNSALLGMDGMSKEEAEAMVGQGDLDGDGKLNETEFCILMLRLSPGIMEEAEAWLHKALQQDLTKFCNQSFWWTFGLSLSHLDSSMFSLSIYNKWSLLIKLK >Vigun11g074100.2.v1.2 pep primary_assembly:ASM411807v1:11:21399576:21404701:-1 gene:Vigun11g074100.v1.2 transcript:Vigun11g074100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSSSSSLPVFSQDAKPNSNITRHTATFHPSIWGDYFLSYDSSFQEDDSDIKQVSLLKDYVRKMIVSPIDDNFLSKLNFINSVQRLGVSYHFEHEIDEALHQMYEISTKENNIINYDDDLHHLALFFRLLRQQGYPISSDIFYHLKDHKAGKFKESIVNDVQGMLSLYEASQLRFHGEDILEEAYSFTLSELTKSLTTKLSPFLSGLVDHSIGQALRKGMPRLEARYYISFYQQDPSHNQCLLTFAKLDFNMLQKLHQKEVSDVTKWWVKDLNVSTNFPFVRDRIVEGYFWIVGVYYEPQYCLARRILRKVIAISSIIDDMYDAYGTIDELEIFTNAVDRWDISLLIDLPEYMKLCYKALLDIFEETEQELRKRGKENFVNYAKKEGCQKICTRGYLRIKSATDEKTSPGIPY >Vigun11g074100.1.v1.2 pep primary_assembly:ASM411807v1:11:21399576:21404701:-1 gene:Vigun11g074100.v1.2 transcript:Vigun11g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSSSSSLPVFSQDAKPNSNITRHTATFHPSIWGDYFLSYDSSFQEDDSDIKQVSLLKDYVRKMIVSPIDDNFLSKLNFINSVQRLGVSYHFEHEIDEALHQMYEISTKENNIINYDDDLHHLALFFRLLRQQGYPISSDIFYHLKDHKAGKFKESIVNDVQGMLSLYEASQLRFHGEDILEEAYSFTLSELTKSLTTKLSPFLSGLVDHSIGQALRKGMPRLEARYYISFYQQDPSHNQCLLTFAKLDFNMLQKLHQKEVSDVTKWWVKDLNVSTNFPFVRDRIVEGYFWIVGVYYEPQYCLARRILRKVIAISSIIDDMYDAYGTIDELEIFTNAVDRWDISLLIDLPEYMKLCYKALLDIFEETEQELRKRGKENFVNYAKKEMKRLVQAYLIESRWFHSNHTPTVEEYMEIATRSCGYAMLTTVSFLGMEETTEEILVWATSHPKIIEAASIICRLMDDIVGSEFEHERGHVVSSLDCYMKQYNSSRQDAIKELLKLVESAWKDINEECLNPTQVPMEFLMRVVNLTRMMDVLYKEQDNYTHPGGIMKDYIKVLLINKVSTEIS >Vigun08g185400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35484359:35488256:-1 gene:Vigun08g185400.v1.2 transcript:Vigun08g185400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVFTQKLLARILRPSAFAVVQCHRNFIHAGTNPTLHHLLRTGNLPEAQALLLRMIRKRGVSRPHLIDSLLAPSSHSHTNTTVFDLLIRTYVQARKLREASEAFRLLRQRGFAVSINASNALLGALVKVGWVDLAWAVYEDVVASGTTVNAFTLNIMVNAFCKEGRFDEVKVFLSQMEGRGVLSDVVTYNTLINAHCRQGNVCEAFELLNSMWGVGLKPGVFTYNAIINGLCKKGDYERARSVLDEMLGMGLSPDAASFNPLLVESCRKDDIREVEKVFDEMLRCGIVPDLISFGSVIGVFSRNGCFDKALDYFRKMKDTGLVADTVIYTILIDGYCRNGNVAEALNVRNEMVARGCVMDVVTYNTLLNGLCKGKMLGDADELFKEMVERGVFPDYYTLTTLIHGYCKDGNMSRALDLFETMTERSLKPDVVTYNTLMDGFCRIGEMERAKELWCDMVSRGILPNHVSFSILINGFCSLGIMGEAFRVWDEMVEKGVKPTLVTCNTVIKGYLRTGNMSKANDFFNKMVLEGVSPDCITYNTLINGFVKEESFDRAFVLLNNMEEHGLLPDVITYNAILSGYCRQGRMREAEMVLRKMIDSGISPDRSTYTSLINGHVSLDNLKEAFRFHDEMLQRGFVPDDKF >Vigun08g185400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35484265:35488256:-1 gene:Vigun08g185400.v1.2 transcript:Vigun08g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVFTQKLLARILRPSAFAVVQCHRNFIHAGTNPTLHHLLRTGNLPEAQALLLRMIRKRGVSRPHLIDSLLAPSSHSHTNTTVFDLLIRTYVQARKLREASEAFRLLRQRGFAVSINASNALLGALVKVGWVDLAWAVYEDVVASGTTVNAFTLNIMVNAFCKEGRFDEVKVFLSQMEGRGVLSDVVTYNTLINAHCRQGNVCEAFELLNSMWGVGLKPGVFTYNAIINGLCKKGDYERARSVLDEMLGMGLSPDAASFNPLLVESCRKDDIREVEKVFDEMLRCGIVPDLISFGSVIGVFSRNGCFDKALDYFRKMKDTGLVADTVIYTILIDGYCRNGNVAEALNVRNEMVARGCVMDVVTYNTLLNGLCKGKMLGDADELFKEMVERGVFPDYYTLTTLIHGYCKDGNMSRALDLFETMTERSLKPDVVTYNTLMDGFCRIGEMERAKELWCDMVSRGILPNHVSFSILINGFCSLGIMGEAFRVWDEMVEKGVKPTLVTCNTVIKGYLRTGNMSKANDFFNKMVLEGVSPDCITYNTLINGFVKEESFDRAFVLLNNMEEHGLLPDVITYNAILSGYCRQGRMREAEMVLRKMIDSGISPDRSTYTSLINGHVSLDNLKEAFRFHDEMLQRGFVPDDKF >Vigun08g185400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35481698:35488253:-1 gene:Vigun08g185400.v1.2 transcript:Vigun08g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVFTQKLLARILRPSAFAVVQCHRNFIHAGTNPTLHHLLRTGNLPEAQALLLRMIRKRGVSRPHLIDSLLAPSSHSHTNTTVFDLLIRTYVQARKLREASEAFRLLRQRGFAVSINASNALLGALVKVGWVDLAWAVYEDVVASGTTVNAFTLNIMVNAFCKEGRFDEVKVFLSQMEGRGVLSDVVTYNTLINAHCRQGNVCEAFELLNSMWGVGLKPGVFTYNAIINGLCKKGDYERARSVLDEMLGMGLSPDAASFNPLLVESCRKDDIREVEKVFDEMLRCGIVPDLISFGSVIGVFSRNGCFDKALDYFRKMKDTGLVADTVIYTILIDGYCRNGNVAEALNVRNEMVARGCVMDVVTYNTLLNGLCKGKMLGDADELFKEMVERGVFPDYYTLTTLIHGYCKDGNMSRALDLFETMTERSLKPDVVTYNTLMDGFCRIGEMERAKELWCDMVSRGILPNHVSFSILINGFCSLGIMGEAFRVWDEMVEKGVKPTLVTCNTVIKGYLRTGNMSKANDFFNKMVLEGVSPDCITYNTLINGFVKEESFDRAFVLLNNMEEHGLLPDVITYNAILSGYCRQGRMREAEMVLRKMIDSGISPDRSTYTSLINGHVSLDNLKEAFRFHDEMLQRGFVPDDKF >Vigun06g163000.1.v1.2 pep primary_assembly:ASM411807v1:6:28538311:28541305:1 gene:Vigun06g163000.v1.2 transcript:Vigun06g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLDIISPYHLYLNPRLVVKQYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPSFIKALFADDPVVVARPANVRFAPPPADELHQD >Vigun03g391100.2.v1.2 pep primary_assembly:ASM411807v1:3:59731753:59734943:-1 gene:Vigun03g391100.v1.2 transcript:Vigun03g391100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTKVTPRIKKVDIISIVMWIIVYSLVSNMRLGTTELIPTPFFSCRRHFPTLHIPAGPVPPRRRRNWVVSSSRQKPPIAIYGSINDRTLREGIWPTCFDMLWKWLSRLVSFYLLSLNLAAAAASDYPTPSTYPCEDISNYYTPVKNLGVTGEALKRQLNSIIAPHHSLSYREVWEALKVLDAADVNNPEASSEIVEIYSLRVVPKRLSGKPEGWNREHLWPRSYGLTKGSSLTDLHNIRPADVNVNSSRGNKYYGECVTSSTKCLRPANKEAAFDTETDRQSWAPPMQVRGDIARALMYMAVCYGFQQPGGSPGLRLSDTPNIENREMGLLSTLLKWNEVDPPSREEKLRNERICKIYQHNRNPFVDHPEYANLIWKQAY >Vigun03g391100.1.v1.2 pep primary_assembly:ASM411807v1:3:59731753:59734943:-1 gene:Vigun03g391100.v1.2 transcript:Vigun03g391100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTKVTPRIKKVDIISIVMWIIVYSLVSNMRLGTTELIPTPFFSCRRHFPTLHIPAGPVPPRRRRNWVVSSSRQKPPIAIYGSINDRTLREGIWPTCFDMLWKWLSRLVSFYLLSLNLAAAAASDYPTPSTYPCEDISNYYTPVKNLGVTGEALKRQLNSIIAPHHSLSYREVWEALKVLDAADVNNPEASSEIVEIYSLRVVPKRLSGKPEGWNREHLWPRSYGLTKGSSLTDLHNIRPADVNVNSSRGNKYYGECVTSSTKCLRPANKEAAFDTETDRQSWAPPMQLNQSQVRGDIARALMYMAVCYGFQQPGGSPGLRLSDTPNIENREMGLLSTLLKWNEVDPPSREEKLRNERICKIYQHNRNPFVDHPEYANLIWKQAY >Vigun06g081900.1.v1.2 pep primary_assembly:ASM411807v1:6:21360427:21363348:1 gene:Vigun06g081900.v1.2 transcript:Vigun06g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYPAHRLEEAYNGWHKLFNPSNIMEKQTLNLTTKDNSTISFPIACHLVSHSASVGIFYSDNPFSHSFSIIMLNLAIISVITRFIRFLLRPLRQPFFISQLLGGIIVGPSLLGSNLWYRSHMTTETTRFIINNIGVLGFLLFLFIYGVKIDPGLLKRTGKVHVSTALMSMIIPSSTVFAVALTMRKDMDENFAKISSLGSIAAYLGTSSFPTLYHILEEFNLLNSDAGRISLASSMIGDAFGLFSLLAFEAAELGELGIMRAVWYLISLVLVISFLAFCVRPAMIWINKHTSEGHPLKETYVVIILLAAFFMALVTDVLGIAIACGPLFLGLAIPDGPHVGATLEKKTAAILGDFLLPFSFFMVGTYTDFYAMSASGWSHLSPLFIMVVIGYFVKFFTTWITLHLFRMPFRDALTVSLIMGLRGQVELVLFVHWIDKSIVMVPGFSLLVLLTGTVTAISCPLISILYDPTKPYMVNQRRTIQHHPPDKELRIVLCIFEQDAIHGLTHLLDISNPNQNCPFSISAVRLSELVGRVNPLFIDHEKQQVPPNYQWCSTIHVLERHQQLKEPYMKFHFFTAVTPKKTMFRDICELALEQEASLIILPFNTGDVHNHVNSQVLNNAPCTVAIFVDKGLLKTNNTLGSFRRSRYRFAVLFLGGGDSREALVYADKMLARDQVSLTVIRFLTHNFIGYNEIEKKLDDGIVTWFWVKNEINQRVVYREVVVRNGEETIAAIQAMNDGAFDLLIVGRKQGINPILLTGLSDWIETEELGLIGDYVSSSDFFGSASVLVIQQQTLRG >Vigun11g160000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36748967:36750865:-1 gene:Vigun11g160000.v1.2 transcript:Vigun11g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPMNQDEGNAFNLLAQWDPFLDFPLPPSISNFFPGFEFGSGSSVKTRVDWRETPRAHLWEVALPGFTNEDVLVELQDERVLQVSVESGNFTTRFKIPDNANLEQLKANMRHGVLVVTVPKVHQPLPPPSSRNIRVVEIDGTD >Vigun06g110500.1.v1.2 pep primary_assembly:ASM411807v1:6:23941376:23949844:1 gene:Vigun06g110500.v1.2 transcript:Vigun06g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWPSLTHKSKFSILHSFKNHNISSSPSSSSSSKPKLFITNTITSHFNSIKFSSMTMALTLLLQPNLSHFKSTLLPSLPRRTNLDNFAPTPDSKRRLSTVKCLSSRNPQNMSSSQSAWDVLSSTLSNTLKALKKPAIAALLVGLLLMYDPNSAFAASGGRMGGRSFSSSSSSSSSRSYSVPRTSESRSFYSTPYHAPSPFGGVYVGPAVGVGVGAGSSAFFILAGFMAFVLVSGFLSDRSEDSVLTAAGKTTVLKIQVGLLGMGRTLQRDLNRIAEVADTSTPEGLHFVLTETTLALLRHPDYCISAYSSVDIKRGIEDGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTRSQRANGFSNEYIVITILAAAEGEHKLPTVNGSGNLKEALQKLASIPSNKLLAVEVLWTPQNENDTLSERELLEDYPLLRPL >Vigun05g286400.1.v1.2 pep primary_assembly:ASM411807v1:5:47366049:47378532:-1 gene:Vigun05g286400.v1.2 transcript:Vigun05g286400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPYEAYDSQLVYMDKVIQSLQEKRNALLESPTGTGKTLCLLCATLAWRKSLGSFTTGVSTQTGDKSEGKNEVSLSQSESSTFSTIVYASRTHSQIRQVIKELKRTSYRPKMGVLGSREQLCIHDEVKLLRGKTQTNACRSLCRRKRGKRHCSHFHQVADYLKDNSHLGEEPVDIEDLVNIGRKFGPCPYYLSKELHKFVDIVFAPYNYLIDRGYRNSLQLSWNNSVLIFDEAHNLESICADAASFDLPSWLLTACIKEAESCIDLSIERRDKTNDKSQNPDDFAILRALLLKLEKRISEVPIESKESGYTKPGPYIYELLADLNITHKTASKLISIIGVASTLLEEHNQQKSTSTVCRLDRMGEILEIVFRDGRTAHAKCYRVHVREVEAWAVNDSKGKVSRTLSWWCFNPGIAMEDFRNKYGVRSIILTSGTLSPMESFAEELKLDFPIRLENPHVITPNQIWAGVVPVGPSGHTFNSSYRTRDSLEYKQDLGNAIVNLARIVPDGLLVFFPSYYLLDQIIGCWKSVGNENSMSIWDRICKHKKPVIEPRESSSFPLSIKDYMTKLNDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATSTDPKVRLKREYLDQQSRPQGELLKVITGDEWYNQQASRAVNQAVGRVIRHRYDYGAIIFCDERFSHQHRLSQVSRWIQPHIKCFSRFGEVVFTLTRFFREVGTQFPTKAPLLEAKKEGNVGEVPSSELHMEKLLSPLTAPMAQNFSLKASSLLDTKKGRASFLGEIAPANRSSLSLDNCKTVHRKSSSDISGKVLLGRKTLLSPECERDDLAVSYQPGEKSTDTPVAPCSSRKRKFVAGEYDLKQYFGNSNEHSSSGVVDVRDRDGVASTCVELTRPKGHLPADSVPSAGDETQGSAFLAQVRDKLSAAEYIDFVGCMKALKTKAMKISEVLQCISRLFSGPDRLPLLKRFKDYIPAKYHSLYEHYVEEKTLIT >Vigun05g286400.2.v1.2 pep primary_assembly:ASM411807v1:5:47366264:47378532:-1 gene:Vigun05g286400.v1.2 transcript:Vigun05g286400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGSREQLCIHDEVKLLRGKTQTNACRSLCRRKRGKRHCSHFHQVADYLKDNSHLGEEPVDIEDLVNIGRKFGPCPYYLSKELHKFVDIVFAPYNYLIDRGYRNSLQLSWNNSVLIFDEAHNLESICADAASFDLPSWLLTACIKEAESCIDLSIERRDKTNDKSQNPDDFAILRALLLKLEKRISEVPIESKESGYTKPGPYIYELLADLNITHKTASKLISIIGVASTLLEEHNQQKSTSTVCRLDRMGEILEIVFRDGRTAHAKCYRVHVREVEAWAVNDSKGKVSRTLSWWCFNPGIAMEDFRNKYGVRSIILTSGTLSPMESFAEELKLDFPIRLENPHVITPNQIWAGVVPVGPSGHTFNSSYRTRDSLEYKQDLGNAIVNLARIVPDGLLVFFPSYYLLDQIIGCWKSVGNENSMSIWDRICKHKKPVIEPRESSSFPLSIKDYMTKLNDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATSTDPKVRLKREYLDQQSRPQGELLKVITGDEWYNQQASRAVNQAVGRVIRHRYDYGAIIFCDERFSHQHRLSQVSRWIQPHIKCFSRFGEVVFTLTRFFREVGTQFPTKAPLLEAKKEGNVGEVPSSELHMEKLLSPLTAPMAQNFSLKASSLLDTKKGRASFLGEIAPANRSSLSLDNCKTVHRKSSSDISGKVLLGRKTLLSPECERDDLAVSYQPGEKSTDTPVAPCSSRKRKFVAGEYDLKQYFGNSNEHSSSGVVDVRDRDGVASTCVELTRPKGHLPADSVPSAGDETQGSAFLAQVRDKLSAAEYIDFVGCMKALKTKAMKISEVLQCISRLFSGPDRLPLLKRFKDYIPAKYHSLYEHYVEEKTLIT >Vigun08g107500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26711155:26711835:-1 gene:Vigun08g107500.v1.2 transcript:Vigun08g107500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFSHRVMLLALFLTPFTLISSTSAQEQETKPDPVTMNMGRTQRKLLGYVPEAKKAALQNLEDVLLEPPRAASGKSRVYLKRTKYLPDLMGDSLEGYPRRVFIDVGQKDAESGSDWFRKKYPTRNKNFEMYKIEAVAEGGPQIEMSEWLRKNVKEEEYVVMKAEAEVVEEMMWSKAIRLVDELFLECKPQQGSAKRKNRRAYWECLALYGKLRDEGVAVHQWWG >Vigun05g134500.1.v1.2 pep primary_assembly:ASM411807v1:5:15859443:15865336:1 gene:Vigun05g134500.v1.2 transcript:Vigun05g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSLRESWCFCKGVSKSEKMKAAIFTGKGQGMATITGISPNGVSGTGFLIHRNLLLTTHTNLPSVVAADSAEIRLHNGVAATLVPQRFFITSSVLDLTIVGLDDADGDSNAQGQYPHYLKTSCKANLDLGSVVYLLGYTEKQDLTVGEGKVVIATDNLIKLSTDGIQWSPGSAGFDVHGNLAFMICDPMKLATSPNTKSPSTSSSSSSSWKKEHPMQFGIPIPVICDWLNQHWEGNLDELNKPKLPLMRLMSTGPKSEHSCASFTLRQVFKSAEGDDDGTTSSSNNASKARDQGPSSSAVTNTVEEESAITNPNAAHVQGIPTPEIYESPRVTAVPLRRKENIPLQLLDINFPPRTTKAAVLAHSSKPKSAENHAKDPLPEDQSEGEQNKHTRPTTPIPDVSSTGSVNGAAQSEVQSSSSPVEVPEMQNGYSSEGETMYSAETAESRNYTSPRELKFQQVGRSQSCVSYNRWGAAPRSQVARGMMVENQRSFMHVKRMYSQGAATSQRSNDYFSPTVSSIMKRNSSSSKPPRQTPVHSPSPRWLF >Vigun09g256500.1.v1.2 pep primary_assembly:ASM411807v1:9:42256621:42261775:1 gene:Vigun09g256500.v1.2 transcript:Vigun09g256500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEMKEETQALVNDNSNCGKFSQLLASQDRDYLLSPTGVQVKVCDLEGKVVGLLFGANWYPPCRVFTQVLVGIYEDLKSRFPQFEIVYVSSDEDLDAFSSFYGNMPWLAIPFSDLETKKWLTRKYEVEGIPCLILLQPEDGKDEGTVRDGVELVYRYGAEAYPFCNERLEQLRKEDKVKRENQTLVNLLSNQHRDYVLSHTHSGLKQVPVASLVGKTIALYFSAEWCVPCAKFTPKLISVYEKIKQELAEKGEEDFEVVLVSSDRDRASFESYYSSMPWLALPFGDSETKNLVRHFNVQGIPWLVIIGPDGKTITVHGRSLINLYQENAYPFTNAKVEELEKQLEEEAKDLPALVYHEGHRHDLNLVSDGNGGGPFICCVCDEQGSSWAYQCLQCGYEVHPKCVRTVERQNSVLITN >Vigun09g159100.1.v1.2 pep primary_assembly:ASM411807v1:9:32600461:32607145:-1 gene:Vigun09g159100.v1.2 transcript:Vigun09g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLNQLLLVVLLTAAAAAKTQPMSKPNCPTKCGNVSIPFPFGLTELCSLNTSFLITCNKTLSQPIPFLKIDPRVRLLDISLDGQLHVSLPVVTSCVDNKTGESGGYFYFRFNLKPFHLSSKQNKLTVLGAITAGAVHDEDSTAYAPTYCVSMYSNIHNIHYDSCSGTFCCQTPIQHRLSEFVYVCGSNIMQDNYTKPFESYPCGYTFLVKDGAYDFSITDLINFNRSNTFPVVVDWAVGNTCQAAQKNASSYECKSKYSECHNAKVGPGYHCKCSAGFRGNPYLPDGCQDVDECAEESHDCIKGRSTCSNSQEGSYSCFCPKGYEGDGKNNGNGCVISSNRKIIIGFSVSGSILALLGGTLYVYCTLKKRKLNKVKEHFFQLNGGLLLQQQIGRYSGSNELTKIFTVEELKEATNNFNEEMVLGEGGQGTVYKGILPDNRTVAIKKSRISDPNQIEHFINEVILLCQINHRNVVKLLGCCLETEVPLLVYEFVPNGTVYDHLHDQTKSLRLTWKRRLQIAVETSGALAYLHSATNAPIVHRDVKTSNILLDHNLTAKISDFGASKIIPLDRTQLTTLVMGTLGYLDPQYFHSSQLTEKSDVYSFGVVLVELLTGEKAISFERPEAYRNLAVHFLSSMNDGRLLDIMDGSIIDEANVEQLTDVANIARHCLRLKGEERPTMREVAMELEGINTVEKHQWEKVNLSSEETETLLKATPSSSFSVDGVNRRSMHSGSDTLNRISLSLTSGR >Vigun11g129300.2.v1.2 pep primary_assembly:ASM411807v1:11:33702182:33703168:-1 gene:Vigun11g129300.v1.2 transcript:Vigun11g129300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVSNYDQVRDAILEETVRQKRRTIPPARCSVYQVPSVKAAHSAEQFACADSFSCKEDANEFRRNIQVSFNIASPQKFLLPQEYTSWILELR >Vigun06g187700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30650609:30651760:-1 gene:Vigun06g187700.v1.2 transcript:Vigun06g187700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTSFLLFLLSHFPLSMAPLRSHLLLFFILFASLSSCLQSRKLPLGPHKPTTTVHPSLFFASLPKGTVPSSTPSRKGHSTVVDEKLIARQLITTDRLLLQSVPSPGAGH >Vigun05g140400.1.v1.2 pep primary_assembly:ASM411807v1:5:17332438:17336147:-1 gene:Vigun05g140400.v1.2 transcript:Vigun05g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPIACSTNLRLSPFHPPSRSVASLLPRTVQRGGAAASFACSTSQFRGRVEFRFLRRQPGKFSLLSLGADDGNAVGEVRERDLSQLLSALLPVVVAATAVAALAQPSTFTWVSKELYAPALGGIMLSIGIRLSVDDFALAVKRPLPLTVGLIAQYMLKPVLGVFIAKAFGLSRMFYAGFVLTACVSGAQLSSYANFLSKGDVALGILLTSYTTIASVFVTPLLTGLLIGSVVPVDAVAMSKSILQVVLVPVTLGLLLNTYAKPVVSVLQPVMPFVAMICTSLCIGSPLALNRSQILSGEGLRLVFPVLTFHVVAFTLGYWFSKIPPLRQEEEVSRTISLCTGMQSSTLAGLLATQFLDSSQAVPPACSVIAMAIMGLCLASFWGSGFVIRNLLPLPPQRTNSALKT >Vigun11g135600.1.v1.2 pep primary_assembly:ASM411807v1:11:34494153:34497782:-1 gene:Vigun11g135600.v1.2 transcript:Vigun11g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNDPLDFELEDDLLKSPAINTKRKKIIGLDDLLKDHYKEQNKLLERRKKQTKANTKANKRKTSYDDEDSKEAKLTRLVEKCHKQLKAFGEEEDIPPWGVKVFGDKKAFPPVEFPDLTCCNLLNSFLNNKLNSVVELTVDKGDIFLEGLLVNGWLSKLVFVCGHVEKSVAIWAFNTMLYSSKEELFSSSTDFWCAILSSEEEVNQMPVKIDWFPEYADLRRALDIYGFLFKFSSSSEPNSLDSDVEGRPPQNTRAWIRFVTVCCLIRSKKEIFSTVEAEEIVEIIICLFLDRQFLGLLVLLKDCMEAIVNYFTDQEWCSSCENIAKFIACRVSKDLNCIQTIECISEATPRCKQLRSAVAYQTLLSCFDGVNSGEEILRSFTEINFKDRSCDFYNMYIHLVLTENWVISNSLIEDNPVIYEMFCLYLQNCSTLISATNLRSYASKVRHRASYLLHFSIYK >Vigun06g181000.1.v1.2 pep primary_assembly:ASM411807v1:6:30084644:30092079:1 gene:Vigun06g181000.v1.2 transcript:Vigun06g181000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFSPPPQEGERRVLDSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNMTMHADAETDEVYAQMTLQPLNPQEQKEAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPALDFSQQPPAQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGMKDDDFGLNSSLLWLRDSDRGLQSPTFQGIGVNPWMQPRFDPSMLNMSTDMYQAAAAAAAVQEMRGLDPSKQHSASILQFQQPQNFPNRTAAFVQAQMLQQPQHQQIFGNNQENQHSPQSQLQTQAHLQQHLQHQHSFNTQHHHQHQQQQLRQTQQQQQQQQVVDNQQISSAVSSMSQFVSAPPPQSPPMQAISSLCQQQNFSDSNGNSVPTIVSPLHSILGSFPQDETSHLLNLPRTSSWIPVQNSTGWPSKRVAVDPLLSTGVSQCVLPQVEQLGQPQSTVSQNAITLPPFPGRECSIDQEGSNDPQNHLLFGVNIDSTSLLMPNGMSTLKGVSSNNDSSTMPYQSSNYLNTTTGTDSSLNHGITPSIGGESGYLQSPENAGQGNPLNKTFVKVYKSGSFGRSLDITKFSSYHELRSELAQMFGLEGELEDPVRSGWQLVFVDRENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGNSGLELLNSVPIQRLSNGICDDYVSRQDPRNLSTGITAVGSLDY >Vigun07g141800.2.v1.2 pep primary_assembly:ASM411807v1:7:25220332:25222982:1 gene:Vigun07g141800.v1.2 transcript:Vigun07g141800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSSWSARLSSASRRYQSALQSRSDMFMGFDENDGDDDIREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGRKRKSRKGGSYSTLSLLRKELREGNLQSLFGGSSCIVSSSNAADPLLSSFILPLANEHTSSQPHLHTEARSSKKGSDESVSTRNVETSTLSVKDKEEKAKRCEFVQGLLLSTILDDNL >Vigun07g141800.1.v1.2 pep primary_assembly:ASM411807v1:7:25220332:25222982:1 gene:Vigun07g141800.v1.2 transcript:Vigun07g141800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSSWSARLSSASRRYQSALQSRSDMFMGFDENDGDDDIREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGVCPVCALRVGVDMVAHITLQHGSIFKMQRKRKSRKGGSYSTLSLLRKELREGNLQSLFGGSSCIVSSSNAADPLLSSFILPLANEHTSSQPHLHTEARSSKKGSDESVSTRNVETSTLSVKDKEEKAKRCEFVQGLLLSTILDDNL >Vigun04g168200.1.v1.2 pep primary_assembly:ASM411807v1:4:39268367:39277707:-1 gene:Vigun04g168200.v1.2 transcript:Vigun04g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFGRWKKAKEMASLSGFLPRGHQRIGLNFCPISTQLNMISGHRRWEAQVGVQERYKWDRGGSDDNPTRKIRAEANCPRCTKDMNLVFSNRHFPTPQSESNSELGGEGEREKGYQAVNLCPSCKTAYYFRPYDTTPLQGTFVEIGRVSSTNNNGLNNVSGKGHSPRRITHGKGGGKEGSSSSTSRGIHGGEESGPKSNNNASKWLEVSLWETLMSYNGGGGGGNGSSGEPPESWPGVNGNENGLAVHTPPGPPFAPGINVIRATGPRNGGSGGGGGGGGGDGEKTSWGGSNLGKDLPSPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIYHATLQKGSTVDSEVLDDDDNVDLEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQMDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGITDSVVTSSLLESVESADLIAFGLIPEFIGRFPILVSLSALTEDQLMMVLTEPKNALGKQYKKLFSMNNVKLHFTEKALRLVAKKAMSKNTGARGLRALLESILTEAMFEIPDIKTGSDRIDAVVVDEESVGSLTSLGCGGKILRGDGALEQYLAKMKDSAVNVDVGESDLNEGDLELSSRAMSL >Vigun02g139300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28853579:28855592:-1 gene:Vigun02g139300.v1.2 transcript:Vigun02g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHMRHLNPNCSKKASSPSLSTPTRCHWPRQRVPLPTSPRCPHLCSATPPRDWLPATLIDAGGSSSLSAAQPPCFHSCTGTQLQEINADAAPATHRERRHRRDRRASPTAPISPSARGFLPGRSSARSSAASPSRSLRVAPPRRHRRGKRRRR >Vigun03g221200.1.v1.2 pep primary_assembly:ASM411807v1:3:36784513:36789406:-1 gene:Vigun03g221200.v1.2 transcript:Vigun03g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSDEDTDISESEISEYEDKSYEELKNGVQNVKTWNGNGTFVCPYCPRKRKQDYVYKELLQHASGVGQSSSKKRKARDKANHLALVKYLEKDLMDVDVPAKDSKPTDESDPSVYSDEQFVWPWIGIVVNIPTRRTVDGRCTGESGSRLRDEYRSRGFNPVRVNGLWNHRGHSGTALVEFCKDWQGLQNALAFERAYELDHHGKRDWFANSEPKCGLYAWVARADDYKMNNIYGEHMQTMGDVKTISELIEEETRRQDKLVSNLTNIIQVKNQHLKEIEVRCNETTHKIDLVMMEKDKLVQDYNAATKKIQSSAMDILKKISIDHEKLKLQLESQKNELEQRKIELENREADNESERRRLEEEIRENAMKNSSLHMATLEHKKADENVMKLAEDQRRQKEQLHAKILHLQKQLDKKQELELEIQRLKGSLNVLKYMENDDDGAEVLKKVDSLQKDLRDKEQSLEDLDELNQALIIKERVSNDELQEARQALVNGVKQLSSLGNIRLKRMGELDTTPFFEAIKKRYNRKEVEERVLELCSLWEEHLTNPDWHPFKVIMVEGKEKEIIEDDDEKLKNLKKEWGEGAYKAVVQALSEINEYNPSGRYVTTVVWNYKEGRRATLKEGVQLLLNQWRKMRNGRK >Vigun06g024900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:11503021:11503825:-1 gene:Vigun06g024900.v1.2 transcript:Vigun06g024900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFLSVVTRKLQNTKKSSSRVADENMFEGGTNGGRREHSWSGISVIYGILHAPVSILSCVSHPEANGSDGVWVSGEFVQISEMNHLMVNDSMRYAILM >Vigun09g103900.5.v1.2 pep primary_assembly:ASM411807v1:9:18432602:18434014:1 gene:Vigun09g103900.v1.2 transcript:Vigun09g103900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSVQRIVVVLLTVSLFSLTPLAVSTGLAGGTTLSGTIVSLSSRRVEEGNKKLHSSHRKLLTGGNGKMEPDRIWGEKCSKSDIVINQGPTAPLPSGIPTYTVEIMNMCVSGCDISGIHLRCGWFSSARLINPKVFKRLRYNDCLVNDGRPLINGATISFQYANTFLYPLSVSSVICV >Vigun09g103900.1.v1.2 pep primary_assembly:ASM411807v1:9:18431788:18434014:1 gene:Vigun09g103900.v1.2 transcript:Vigun09g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFTSNFRTPRRARCFMEQHSVQRIVVVLLTVSLFSLTPLAVSTGLAGGTTLSGTIVSLSSRRVEEGNKKLHSSHRKLLTGGNGKMEPDRIWGEKCSKSDIVINQGPTAPLPSGIPTYTVEIMNMCVSGCDISGIHLRCGWFSSARLINPKVFKRLRYNDCLVNDGRPLINGATISFQYANTFLYPLSVSSVICV >Vigun08g124400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29457851:29460448:-1 gene:Vigun08g124400.v1.2 transcript:Vigun08g124400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCLWWVNGWMQTLLILKQILRWLKKPKVLRLLCLASSVVGLLCYALSSSFNRLLGSWSWWKMLLYIIFSSITCLAVLFTPARSSSSSHRLEVHLAFLVLVTTSVYSFFFDNVVKGKPDAYSLISCAAFATMSLGLSNLTQFGFQIDLLYFFCGVLTVQLMKIKLWLVIVGGGFSYSLLQLRDYPRDTPEEQNQVIIQVDDSGSVSNSSHDSTLGTSPEDEDLRFQDHLLTQSNSPSQEESVDDVLIIQQQLMNCVKELEKENEMLVPMLCSHVDKYFKAVFDSKEVPDDPDINLVMDSLPSEIMRRLKETMKLMVNAGFMEECSNIYSKWRREFLEQCLRALGLQFETPNNEDVEKWLKTCKAAANILFPNEKRLCNYLFSEIPVAAADVSINKVCKEVTIGLVSFADTIMTTGNLSNLLFNIVPKMSESFVELSREFISLVLYRKFSFIDDIQDVRQRLAMLKKLRKFIYPNKVQAPVTDGGLHLITKKAMDYIHLICKGKIKQRDDQTYRMGNSSFWVVIGRMIELLESELEAKSKDYYADPALGSVFMINNLSYIEQKTLDLKFDDDWFRQNRAKVEQKCNLYIRSSWNKMVEFLKAETYESAEPDVVPDVMKDKLHLFNLHFEETCTIQSTWTVSNKRLKERIIKSIEEFLLPQYGKFCDNFRVAFGNQAYHYIKFGFLDIQNCLSHLFLLDEEMNTEDKKNI >Vigun08g101900.1.v1.2 pep primary_assembly:ASM411807v1:8:25181702:25185959:-1 gene:Vigun08g101900.v1.2 transcript:Vigun08g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWKDMVLLVMFLLFVVQQICARNEQEHASDCLPSSCGKIANISRPFRLKGDPVHCGDRRYELSCENNITVLNLYSRKYHVQAINYINFTLRVVDPGVEEQSCSSLPRYFLSRSNFSDAYHAGDMDPYRATLDQFSEVGPHRKSLFQHIIYLNCSHPVSENYKYVNTTPCVKWESKGYMYAIAGDLTSADFEVGCRIKLLSPTSWWGLDANKSSYTMMNSALLYGFEISWKNFACEDQCHSPYGDCYFDSSTLKLRCFPYCNDIFGVLETKCGTGIWLQVLAYPAYFLNNIYAALLEAIQGNQRFDENLYLEPASFIIGHYVLPVFLLVRFSLGVTLLIMLLTYKWRKRHSSIYENIENYLEHNELVPIRYSYTEIKKMVRGFKEKLGQGGYGSVFKGKLSSGTCVAIKVLGKSKGDGHDFISEVATIGRIHHQNVVHLIGFCVEGSRRALVYEFMSNGSLDKILFSKDRNIDFGYDKIYNIAIGVARGIAYLHHGCEMQILHFDIKPHNILLDDNFNPKVSDFGLAKLYPMDNSIVTMTVVGGTIGYMAPELFYKNIGRISYKSDVYSFGMLLMEMASKRKNLNPHAEHSSQVYFPSWVYENIKEEKDIEMEDITEEEKKIAKKMIIVAIWCIQMNPNDRPSMNKVVEMLEGDMENLKIPPKFSLYPYEVTENFKHTIASEFTSYSSSSMKIATNK >Vigun05g206232.1.v1.2 pep primary_assembly:ASM411807v1:5:39517822:39519475:1 gene:Vigun05g206232.v1.2 transcript:Vigun05g206232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSRYLIKTPDFSRITKLERLDLSGCTSLSEIHSSIGLLKKIAFLNLRNCCNLVIIDFGLVGNMSSLRVLHLSGCSKLESTPDFTRATHLEYIDMDECSSLSTIHQSIGVLSSLTFLSLRKCRKLVSIPNDINSLLSLQTLDLCSLNEAIRELRCLERLNLQGNNFVSVPDFFSGLPRLAYLNLSYCHKLKSLLRICFKGDGSGGKYFKTVSGSRDHRSGFYLFDCPNVALEKENLEIFKLEWSLQLMKGDSIIRILQCGTNDNWIGFAFCVVFEGNNGDAAVRSSPHSSFSHPLYLSFENEYTEEYFDMRLNLEALQTNGSAHIWMIYISREHCHFVKTGAHVTFKAQPNVKINAWGMRAILKQDIHDFKVGKYVLLSQLNRDHVPFEYVEKNIDGSGPKIQLPYNWFVTEEEEVENIDAKAKENNLSNAGL >VigunL053150.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000029.1:42413:43061:1 gene:VigunL053150.v1.2 transcript:VigunL053150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKRLLRVIPGNIIVRQHGTRFHPGNYVGLGKDHTLLKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >VigunL006400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:5612:7103:1 gene:VigunL006400.v1.2 transcript:VigunL006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun09g176300.1.v1.2 pep primary_assembly:ASM411807v1:9:34801854:34805883:1 gene:Vigun09g176300.v1.2 transcript:Vigun09g176300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFQRGLQFLRRNRSGCRGLHKLRNRKAQMGWRVSLGLAVVPAAVMTMGALLITDTPSSLVERGKIDQARRALRKARGSSIDVEPELEELIKWSQIAKSVEQEPFRTIFERQYRPHLVMAVAITFFQQLTGINVVAFYAPNLFQSVGLGHDAALLSAIILGTVNLASIVLGTAIVDRFGRRFLFVTGGIVMFLCQLALSIVLAVVTGVHGTKDISKGNAIVVLVLLSLYAASFGGSWGSLTWLIPSEIFPLKIRSTGQSIAIGVQFVTIFILSQTFLAMLCHFKFGAFVFYAGWIVVMTIFIIFFLPETKGIPLESMYIVWSKHWFWRHFVKVPQQNLP >Vigun03g218100.2.v1.2 pep primary_assembly:ASM411807v1:3:36324923:36328016:-1 gene:Vigun03g218100.v1.2 transcript:Vigun03g218100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSTGSSREKTILKGVTGMVFPGEIMAMLGPSGSGKTTLLTALGGRLSGKLSGKVTYNNQPFSGAMKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPNTLTKEEKVQHVEHVISELGLSRCRGSMIGGPLFRGISGGERKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGPASTAMAYFSSVGFSTSMIVNPADLLLDLANGIAPESSKLASEQSESQEAENKLVREALISAYEKNIATKLKDELCSLEVNNYEVIRNGSTRNHIKPERWCTSWWHQFKVLLQRGLKERRFEAFNRLRIFQVISVAFLGGLLWWHTPESHIGDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTVGDLPIELALPTAFVFIIYWMGGLNPHPVTFLLSLLVVLYSVLVSQSLGLALGALLMEVKQATTLASVTTLVFLIAGGYYIQQIPPFIEWLKYLSYSYYCYKLLVGVQYLDDDYYECSKGVLCKVGEFGPIKSVGLNHLWVDVSIMAMMLVGYRLVAYLALQRVR >Vigun03g218100.1.v1.2 pep primary_assembly:ASM411807v1:3:36324923:36328413:-1 gene:Vigun03g218100.v1.2 transcript:Vigun03g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELRPDHSNSHPQEGPPKITTETVLPIQTNDQSFLKLAMYPITLKFEELVYKVKVEQKGMCSGSTGSSREKTILKGVTGMVFPGEIMAMLGPSGSGKTTLLTALGGRLSGKLSGKVTYNNQPFSGAMKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPNTLTKEEKVQHVEHVISELGLSRCRGSMIGGPLFRGISGGERKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGPASTAMAYFSSVGFSTSMIVNPADLLLDLANGIAPESSKLASEQSESQEAENKLVREALISAYEKNIATKLKDELCSLEVNNYEVIRNGSTRNHIKPERWCTSWWHQFKVLLQRGLKERRFEAFNRLRIFQVISVAFLGGLLWWHTPESHIGDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTVGDLPIELALPTAFVFIIYWMGGLNPHPVTFLLSLLVVLYSVLVSQSLGLALGALLMEVKQATTLASVTTLVFLIAGGYYIQQIPPFIEWLKYLSYSYYCYKLLVGVQYLDDDYYECSKGVLCKVGEFGPIKSVGLNHLWVDVSIMAMMLVGYRLVAYLALQRVR >Vigun05g267300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45947294:45947982:-1 gene:Vigun05g267300.v1.2 transcript:Vigun05g267300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQASFSEEMYLCLAISVLLGLYMFLLALDSPFTNKGLSLKLQDCSFPILTETMCHSEEGM >Vigun06g122600.1.v1.2 pep primary_assembly:ASM411807v1:6:24991663:24994583:-1 gene:Vigun06g122600.v1.2 transcript:Vigun06g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPKRKHSSLIHELIQGKELAKQLGNHLVSSSPSSHETNELLVDKILSSYEKVLTMLNWGATVGEAKTTSATLMDSHSSFTNGGSPKSEVVDRELEHKAVLKKRKTMPRWTEQVKICSRTGLEGSLDDGYSWRKYGQKDILGAKFPRGYYRCTHRNVQGCLATKQVQRSDEDPTTIEVTYRGRHTCTQAKYLNKVFPSNIKMGLGENQFHNDQTNQPLEEKIQQTPVGIFAFETEHRVKREELEIKEDIFPWFSFHSQSNGSENGDNMLPESNFENHFTESFSPAFISPETSESNPFCLSTCYLDNTELLCQQIQTSDSDITETFSAPTSVTNSPILDLDILLHKGDFDTDFPFNNPDFFSSFELPCTST >Vigun01g244300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41313576:41313758:-1 gene:Vigun01g244300.v1.2 transcript:Vigun01g244300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGMSWADQWDNDPDLSPTSEKDKKKKKKSKDESDKNKFGKTVMNFKWVKELRKKTSKT >Vigun01g041450.1.v1.2 pep primary_assembly:ASM411807v1:1:5797671:5799148:1 gene:Vigun01g041450.v1.2 transcript:Vigun01g041450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGGSKGVPSSSSASAYVGSSQFGRKVCGCGDQLLLLKATTAKNNGRFFFRCRNWASESHCNYFRWADTMETELEWKPEIEEGENENLSYSDTMILQLVQKNAKLKKLLVERKLGEIKLFFYLVLGFYCHTLCFISVGVKL >Vigun11g108200.7.v1.2 pep primary_assembly:ASM411807v1:11:30810852:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.10.v1.2 pep primary_assembly:ASM411807v1:11:30810850:30815930:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.9.v1.2 pep primary_assembly:ASM411807v1:11:30810852:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.3.v1.2 pep primary_assembly:ASM411807v1:11:30810836:30815930:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.8.v1.2 pep primary_assembly:ASM411807v1:11:30810852:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.2.v1.2 pep primary_assembly:ASM411807v1:11:30810836:30815930:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.4.v1.2 pep primary_assembly:ASM411807v1:11:30810839:30815915:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.1.v1.2 pep primary_assembly:ASM411807v1:11:30810844:30815915:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.6.v1.2 pep primary_assembly:ASM411807v1:11:30810839:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.11.v1.2 pep primary_assembly:ASM411807v1:11:30810852:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun11g108200.5.v1.2 pep primary_assembly:ASM411807v1:11:30810838:30815902:1 gene:Vigun11g108200.v1.2 transcript:Vigun11g108200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSRSTCSSKSNGDTVSPSCLEIGFCGQKRTRRTFSDHVISLHHLPSLPSRIFSNGKSRGSCIFTQQGRKGINQDAMIVWEDFMSEDMIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSSESKRNGSGKTCFKGNVKSDSVEIEKDCSTEDKMNSMWREAFMKAYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRQLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPIESDDGQKSEPSLQRNFTVRSSEENETNGKITVDVEDGTSSADDQNWSGLEGVTRVNSLVQLPRFSEERPNS >Vigun06g144400.1.v1.2 pep primary_assembly:ASM411807v1:6:27014704:27016570:1 gene:Vigun06g144400.v1.2 transcript:Vigun06g144400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPVDEVVCVTGANGFIGSWLVRTLLENPNHRYTIHAAVFPGSDASHLFTLHPAPASRITVFPADLLDAAAISRAVAGCSGVFHVASPCTLEDPADPQSELLEPAVRGTLNVLEAARSAGVRRVVLTSSISALVPNPGWPAGRVVDEESWTDVEFCRARGKWYPVAKTMAERAAWEFKGGVEVVAVLPATCLGPLLQPELNASSGVLQRLMMGSRETQEYHWLGAVHVKDVAKAHVLLYETPSAAGRYLCTNGIYQFSGFADSVSELYPEFPIHRFPEETQPGLVPCKDAARRLIELGLVFTPIQDAVREAAESLIAKGFLQRSPSQSN >Vigun03g236600.1.v1.2 pep primary_assembly:ASM411807v1:3:39343338:39348330:1 gene:Vigun03g236600.v1.2 transcript:Vigun03g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTYEEAVAALTKLISEKADLGAVAVAKINQLTTELKNDASKPFNPDERIRTGFDHFKNEKFQKNPELYGELAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPYDKTKYSGTGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASEFIENWVQICTPAKSKVKTEAGTLEFSELCTNCEKEAVNVSVGNLLTYPFVREGVVNKTLALKGAHYNFVKGSFELWDLDFKISNSLTV >Vigun11g223100.1.v1.2 pep primary_assembly:ASM411807v1:11:41424305:41426606:1 gene:Vigun11g223100.v1.2 transcript:Vigun11g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLKLEKLSRGRRAFRFGQWNQQYSKLSYRAATESGKMEEEEEEAHSSGISKPLSEILKELNKKVPDSLVKTRHEKDGFPIRYIPWHTVNRILNLHVPEWSGEVRNITYSADAKSVSVVYRVTLYGTDAEIFRESTGTASLDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDAS >Vigun10g039800.1.v1.2 pep primary_assembly:ASM411807v1:10:5406520:5413483:1 gene:Vigun10g039800.v1.2 transcript:Vigun10g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYSSSSSFSKSRSKPKSKSQWTYDVFINFRGEDTRRNFVSHLYSALKDSGVNAFLDDEKLDKGGDLKSELLHAIEGSQITIVVFSQNYIHSTWCLDELHKIMECNAFRGQVVMPVFYDIGPSFLRDTQHISFEVISDQHSRIKQWKKALTQAANLAGWDLRNYRNENDVMKEIVCEVLKRLDRTYLSITNFPVGLECRLQHGIDFIRNKKIGTCILGIWGMGGIGKTTIAKSIYNEIRHEFKYRSFLANIREVWGGDRGPIDLQEQLLSDILKTTKTNVHSIDWGKGKIKEMLCTKKVLVVLDDVSTSDQLKALCGNRNEISRGSVIIVTTRNACLLREIGVDCVYGIEKMNKIESLELFSWHAFRRANPTRDFFELSKEVITYCGGLPLALEVLGSYLYKRRKEEWQSVLSKLKEIPHNKIQEKLKISYDGLADHMEKDIFLDICCFFIGKDRGYVTEILNGCGLHADIGIPVLVERSLIKVEKNNKLGIHDLLRDMGREIVRQSSPLPQKRSRLWVHDHVLDILTEHTGTEAIEGLALKLHRPSRVHFSAETFENMKSLRILQFDHVQLAGDYGHLSKHLTWVYWRGFSLKYIPDNFYQGNVVAIDMKHSNLKLVWKEPQQQSLERLKFINLSHSKLLSKTPDFSKLPNLERVILKDCPNLYEVHHSIGDLPNLLILNLKDCTCLRNLPVIKSKSLRVLILSGCLKIDKLEEDIVQMESLTTLKADNTNLKQVPFSIIRFKKIGFISLCGYEGLAGDLFPSIIWSWMSPTTGRVSSMQSFGSTSTSLVSVHIQDNNLGNLLSKLTEFSKLRSICVQCNSDLQLTQELRRIVDDFYKVYSAMETTYAPQISENSVVSRLIGIGSHHQVMDMLSNDMTEVAYTEEGYSIPFQVPDGSDFPMKGMILCVICSSTPDNMATESLASIFLFNYTKNTIQIYKQTATMSFSHEDWVSIISNLGPGDNVEIFVSFEHGVTIKKAGVYLILSQSIVTQMPSPEQSTQAYREGSAQSSPEIQMEPSHSMQMELPKKPKKKRTSKSAKKKNSCICFW >Vigun03g327500.1.v1.2 pep primary_assembly:ASM411807v1:3:52342945:52346475:-1 gene:Vigun03g327500.v1.2 transcript:Vigun03g327500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGTTQKCTACEKKVYWVEQLTADNKVYHKSCFRCHHCKGTLKLGNYCSFEGVLYCKPHFDQLFKMTGSLDKSFEGIPRIARVERSADQVQINNKVSRLFSGTQEKCVVCKKTVYPIEKVAVDGKCYHKSCFRCTHGGCVISPSNYVAHEHLLYCRHHHTQLFKQKGNFSQLVKQENLQLTTQNTTQPATNGTASPPHHSS >Vigun03g133800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13130975:13135944:1 gene:Vigun03g133800.v1.2 transcript:Vigun03g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMKALLSPHDAELRKDDNFGDTTLCLNGIGFGETNKATYRSSESNTGMKFSNASDDGCRLVLGLGPTPMAYDDGYNNLGFSAKKKSANLFPQHVPSECDSVLQLGLSGVTNEASSVLDCSGSTETDVNVSCFSSQTSADNYFSRIPVVDEGSTSAKKSGGYMPSLLLAPRMDIAKSSVQVQQLTNGTKPQLCSEPSSVVNYSIDPASGPQATGITSETRTSNPKRCRFFGCTKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGRRCGYPDGCTKAARGKSGLCIRHGGGKRCRIDGCTRSAEGQAGLCISHGGGRRCQLQGCTKGAQGSTMYCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLFNGGGICPKSVHGGTNFCVAHGGGKRCAVAGCTKSARGRTDCCVRHGGGKRCRFEGCGKSAQGSTDFCKAHGGGKRCSWGDGKCEKFARGKSGLCAAHSSLVQEREMNKGMIAPGLFRGLVAAASTACSSFENNSSSGVSVVSDSYDSMETPAQRHLIPKEVLVPLSMKSPSYSNFLTAKKPDQDRNCHSSAAGCSTAQKGLDFNLPEGRVHGGDLMLYFGGNLKNALDII >VigunL033700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:256341:256574:1 gene:VigunL033700.v1.2 transcript:VigunL033700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNGSDGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun05g110600.1.v1.2 pep primary_assembly:ASM411807v1:5:11514608:11519515:-1 gene:Vigun05g110600.v1.2 transcript:Vigun05g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLTLGKKSSKQESRSKSAKDGSSLLQPNPSSRDSLKLKSKSIVGQASSDSGYKAKHDIVRKVQHLDSAKGSSTSPDELVKYMSSLPGFLKRSDGGESIQGKALNVGVLDWSKLEKWKSKQTHTKAEASNFTSFSSREEALPTVATTSSTISVGHNRKLDGKKGLSSSRHKGSYKEDLAISSKMSSQNVKQYQHSEIKTKTIGDELGMSVPSLQRVKVNDYDEITSVVGSSASKSRHHGVSVVSNENSSGRNIEDEKRMEGLHPHSLKKKERNLKSSSDKGFSSLESKNKGVLFRSQKQMSSSSSELKNKMNQWHQSDIDADHKQSHGMPGNIVLLRPRKVLQLKSEDYFQHSQSRTLSDEDLAESSRSSLSYMSIPEEVYTEDLHSEIPHSVAELISSETLQQSNNTDLDIGRSSGVYKKSSYSNNTFSLQSQDTCIEKDVLDNKLKNQCAFSNVLESRDREIPEPTPVNPSSNRRLSLSLSRIGRSFSFKEGSIPKLSSMYVSSKSGSVTPESSACLENHSKYKVKGHNRTRSSPLLRLLDPLLKYKTSSMHYSDEHSVTSKGSIDSISLRTINLPDEKTKESSFQALLQLTIRNGVPLFKFVINSERTVLAATTKSLALQEKDDLDSYFTFYVVNEIKKKSGKWMSHWSKEKNCGYAYNMVGKMRVSSSKIDESNNENSKRQRVVKEYVLMGVEVDQLDRETPQFFMSKELAAVVIEIPCENINHEELLYSHNLAGKRCLKCLADEKCFCRAQENDIYGSTKVILPGGVHSSPNTGKPSPLIQRWKLGGSCDCGGWDVGCKLLVLSNQNISSNIPRSSKSYPERFHLFVQEGAEENTPIFTLLPLKDGFYSVEYSSSMNHLQAFFISVVVLGNQKLQSSLEMNNIQEAIDKEFSWKNNHELQGKAALYYNPIPPYSPADRV >Vigun09g036000.1.v1.2 pep primary_assembly:ASM411807v1:9:3173494:3177206:-1 gene:Vigun09g036000.v1.2 transcript:Vigun09g036000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFVHIHVYIYTRVCMYLYIDGFRRTKKPYFTTRIFCFCIHRHLSLSESIQTHMAVSDNEDLVSDYTDNEPSKKTRRRFRDRSKEVLSKQAVKIVKQAEEHERFINKVTHLLGVLGFGGFCFLFGARPQAVPLVYCLFYVVFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVYLLCYPTNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKIVSVLIHLLPGLVFFSIRWWNPATFEAMRPEGTAARASWPYIQDKSYLWMWLFLVPLAVYILWQVLYFLIVNVLRRQRFLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRLLMFIILQGIFTVATTALTVPIFLSYELSVVFQILKISASVWNGGSFLIDVMPRQVILKEKKKSEMQHVPNQNHQ >Vigun09g036000.2.v1.2 pep primary_assembly:ASM411807v1:9:3173494:3177206:-1 gene:Vigun09g036000.v1.2 transcript:Vigun09g036000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFVHIHVYIYTRVCMYLYIDGFRRTKKPYFTTRIFCFCIHRHLSLSESIQTHMAVSDNEDLVSDYTDNEPSKKTRRRFRDRSKEVLSKQAVKIVKQAEEHERFINKVTHLLGVLGFGGFCFLFGARPQAVPLVYCLFYVVFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVYLLCYPTNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKIVSVLIHLLPGLVFFSIRWWNPATFEAMRPEGTAARASWPYIQDKSYLWMWLFLVPLAVYILWQVLYFLIVNVLRRQRFLRDPEVMTSYR >Vigun03g428000.1.v1.2 pep primary_assembly:ASM411807v1:3:63303584:63305977:-1 gene:Vigun03g428000.v1.2 transcript:Vigun03g428000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDQMQRQYLDYTKSLFLEGFLDGQFLQLQQLQDENNPDFVVEVVSLFFEDSERLLNDLTFALEQKSIDFKKVDAHVHQLKGSSSSIGAQRVKNCCIAFRNFCEEQNIDACLSCLQQVKQEYCHVKNKLETMIRLEQQIVAAGGSIPMMEFSF >Vigun09g085200.1.v1.2 pep primary_assembly:ASM411807v1:9:10973086:10977062:-1 gene:Vigun09g085200.v1.2 transcript:Vigun09g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHFFFCFFLSLSSFHVSLASNYTPLLQTRERINPFSPKASLIRYWNARVTNKVPIPHFLLAKASPLTPQHYGILNKLLNEKPKPYNPKLHQSLCSSPNLFCSFDVDASNTHTLQRKNDDANFAVYNNKHFANYGSSRVDGVDSFKNYSNGLNANNDAFRRYSDSSTRRAEQFKNYADNGNVANTNFTSYGSAASQSSSDFNNYDKTVNVPNLGFTTYDSGSSNHKLSFASYGNETNSGSQSFSSYGKRVIGGTSEFKNYASDANILQSKFNNYGDFSAGAANDSFRSYSFNGNNPRNVFKTYGAGSRGSAADNFISYRNRANVGDDSFESYAARSKSGTASFENYGMSFNVGNDSFAEYGKGATGKSSFGFKSYGLGRAFKVYNKDGASFSEYRNFSATSGRVVNKRVEPGKFFRESMMKEGNVITMPNIKDKMPARSFLPLAIASKLPFSSARIDEMRELFDAREGSSTERVMVNALGECERAPSRGETKRCVASAEEMIEFAASVLGPSAVVRTTENVNGSGSSVMIGKVYPMDGGKVTKSVSCHQSLYPYLLYYCHSVPRVRVYEADILNVETKEKMNYGVAICHIDTSAWGPEHGAFLALGSGPGKIEVCHWIFENDMTWTT >Vigun06g027700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12303256:12304108:-1 gene:Vigun06g027700.v1.2 transcript:Vigun06g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAKKPRGRPPGSKNKKTSSPSLLRPEPDESSMKLFAFNVPPNIDIMGFIFDIAHKDNVNVTVLNASGTINSLILHNSTIGVTDIIMHGPSTLLSLSGSYFYNTHNTLHPPFPLCFGINIFTSQDKILGGVIGGSLISGDAVSLTISTFKNPRFLNYTYQVEERHNNDNITSGDFNERDNLFRLNRVHWW >Vigun05g231700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42527545:42528786:-1 gene:Vigun05g231700.v1.2 transcript:Vigun05g231700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIEIPQFYACPISLQIMKDPVTTVTGITYDRESIEYWLLKAKDCTCPITKQPLPRNAEFLTPNHTLRRLIQAWCSANEANGVDQIPTPKSPLSNANVEKLVKDLEVSPRFQSAMEKLHNLAVENERNRRCMASAGVAEAMVHVITKSFTQGKTTSCVEEALRILRLLWSSANVVDNNHMKRLVCQNFDFLNSLTWVLQLQTKNNLKVINEALPILKLTIEAKDSTPLGNLGFEFFRVVVNVMKNRELSQQAVKSALHVLIETCPLGRNRTKIVEAGAVEELVELALENPEKNLTELVFILLAHLCSCADGRDQFLQHAAGIAVVSKRILRVSPTTDDRALHIFSLISKFSASNEVVQEMLRVGAVSKLCMVLQADCASHLKEKARGVLRLHSKTWNNSPCIQVYLLTRFRR >Vigun03g114100.1.v1.2 pep primary_assembly:ASM411807v1:3:10447976:10452036:-1 gene:Vigun03g114100.v1.2 transcript:Vigun03g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMGDERVRVLFLLLLMPLPIVLGWGKEGHYAICKIAQEYLSEDALFSVKQLLPDSAEGDLAAVCSWADAVRFNYHYRWSSALHYVDTPDFKCNYEYCRDCHDSSKHKHRCVTGGIYNYTMQLKSADAGPSSELNYNLTEALLFLSHFVGDVHQPLHVGFLGDLGGNSITVRWYRRKTNLHHVWDDMIIQSALKTFYDSDLSIMIQAIQRNITDNWSNDVSIWEHCAHNYTACPDRYASESISLACKFAYKNATPGSTLEDEYFLSRLPIVEKRLAQGGVRLAAILNRIFASKTRIAQA >Vigun01g110800.2.v1.2 pep primary_assembly:ASM411807v1:1:28424262:28429951:1 gene:Vigun01g110800.v1.2 transcript:Vigun01g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSHRQFQHQPPPSSSRLLLLLTLLPLTLASMAFVLQWRGGLTDPLTRWSPDQPQFPGMSFADSTPSRRTSDCANPLSQSLNPSFPYFRDWNFDFSPHLSPKICVTTSTSAGLEQTLPWIFYHKVIGVSSFLLFVEGKAASPNVTRVLESIPGVKVVFRTRELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWIIHLDTDELMHPAGTPEYSLRQLLADVPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMLQWYRERIVWTDKALNMRLMRKGILTRIYAPMVIIQSLRESGVFSSVIAKAAQTNLSKEKFLKSVDSSNSTRNSRSDMFSTRKIDAGRLSLATARRILKVIDDSLPSAIPPLSPPSYDHTDSIF >Vigun01g110800.1.v1.2 pep primary_assembly:ASM411807v1:1:28424056:28430096:1 gene:Vigun01g110800.v1.2 transcript:Vigun01g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSHRQFQHQPPPSSSRLLLLLTLLPLTLASMAFVLQWRGGLTDPLTRWSPDQPQFPGMSFADSTPSRRTSDCANPLSQSLNPSFPYFRDWNFDFSPHLSPKICVTTSTSAGLEQTLPWIFYHKVIGVSSFLLFVEGKAASPNVTRVLESIPGVKVVFRTRELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWIIHLDTDELMHPAGTPEYSLRQLLADVPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMLQWYRERIVWTDKALNMRLMRKGILTRIYAPMVIIQSLRESGVFSSVIAKAAQTNLSKEKFLKSVDSSNSTRNSRSDMFSTRKIDAGRLSLATARRILKVIDDSLPSAIPPLSPPSYDHTDSIF >Vigun10g118300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32474035:32477171:-1 gene:Vigun10g118300.v1.2 transcript:Vigun10g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSSGDVDLRLGEPKGPKVEPETEEGSAIRVMPVAVHVPSGMSMSVSKALAQAQAQPQKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSPSDPELGDPPEKKKRKRPANSAYVDVNDGAVSVPAGLASSNKQSARTITTTASTTPAIPQHTAIPLPQGVVPVWAVPSNAVVPAAGAFFVVPQAASFQHHPQFFTIARPISAFVSSMVTPVQLQPTSTSSSSNANSSSTAPSSKSPARATVMAPTTTTTTHMLRDFSLEIYDKQELQFMSRASSKH >Vigun07g063900.1.v1.2 pep primary_assembly:ASM411807v1:7:7391851:7396996:1 gene:Vigun07g063900.v1.2 transcript:Vigun07g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCIPMLLAVIAVLVSAVHGAFLPLERSIPLSHRAEVAELRARDRARHARMLSGIVDFAVQGTSDPNTAGYGLYYTKVKMGTPPKEFNVQIDTGSDILWVNCNTCSNCPQSSQLGIELNFFDTVGSSTAALVSCTDPICTTGVQGASAECSTRANQCSYSFKYGDGSGTSGYYVSDALYFNLIVGQPPLPPVNSSATVVFGCSIYQSGDLTKAEKAVDGIFGFGPGALSVVSQLSSRGITPKVFSHCLKGDGDGGGILVLGEIKESSIVYSPLVPSQPHYNLNLQSIAVNGQLLPINPAVFATSNDRGTIVDCGTTLAYLVQEAYDPLVTAITTAVSQSAQLTNSKGSQCYLVTTSIDDIFPSVSLNFEGGASMILKPEQYLMLNGYHDGAEMWCIGFQNVQEGVSILGDIVLKDKIVVYDLAHQRIGWTNYDCSSSVNVSVTTSKDEYINGGQLSVNTSAIRFLSNLLSVSIVALSLYMMLV >Vigun07g063900.2.v1.2 pep primary_assembly:ASM411807v1:7:7391851:7396996:1 gene:Vigun07g063900.v1.2 transcript:Vigun07g063900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCIPMLLAVIAVLVSAVHGAFLPLERSIPLSHRAEVAELRARDRARHARMLSGIVDFAVQGTSDPNTAGLYYTKVKMGTPPKEFNVQIDTGSDILWVNCNTCSNCPQSSQLGIELNFFDTVGSSTAALVSCTDPICTTGVQGASAECSTRANQCSYSFKYGDGSGTSGYYVSDALYFNLIVGQPPLPPVNSSATVVFGCSIYQSGDLTKAEKAVDGIFGFGPGALSVVSQLSSRGITPKVFSHCLKGDGDGGGILVLGEIKESSIVYSPLVPSQPHYNLNLQSIAVNGQLLPINPAVFATSNDRGTIVDCGTTLAYLVQEAYDPLVTAITTAVSQSAQLTNSKGSQCYLVTTSIDDIFPSVSLNFEGGASMILKPEQYLMLNGYHDGAEMWCIGFQNVQEGVSILGDIVLKDKIVVYDLAHQRIGWTNYDCSSSVNVSVTTSKDEYINGGQLSVNTSAIRFLSNLLSVSIVALSLYMMLV >VigunL072733.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:51420:51778:-1 gene:VigunL072733.v1.2 transcript:VigunL072733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEKVGVTVCSSQAKPSEWKRWSQLFPRAPRVCSPFVRRTPRVFRSFPSFILLLSFLHFSLGSNCQVQVNPQ >Vigun01g250200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41687507:41689591:1 gene:Vigun01g250200.v1.2 transcript:Vigun01g250200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKSYLIYRRVDGPFKISRFRSTCFFSLKDTCWHIYGGLRYYHSGSEWGNNQRLNPNDKPNRVGLFWDLDNKPPNAIPPFEVANKLRIAASSFGIVRYMVAYANSHTFSHVPQSVREKRKERELFYRLENKGVIKRNEPYRCRVCGRKFYTNDKLVNHFKQLHEREHGKRMNQIESARGNRKVKLVAKYSMKMEKYKKAASAILTPKVGYGLADELKRAGFWVQTVLDKPQAADRALQSHIVDVMDHRRVECVVLVSDDSDFVDVIKEARLRCLKTVVVGDIDDGVLKRTADTAFSWEEILMGKAKKQAVSVVKNWKDRDILKRLEWTYNPDADKNKFDMDDIIAETSEDDNIEDTSDEVDDVYKDDRGSWWELDSDNDVTEGQLDKLSDLSVHK >Vigun01g101200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26877416:26884201:1 gene:Vigun01g101200.v1.2 transcript:Vigun01g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHSPRHRFLSPFTLFTQRRWKKPTVSAQTRLEDRVRDPHFDKLMTHLKRLYHVLEIHQLMSTRKRAPFVSLTLMSRWRNILGLNVPVGSFLHKYPHVFHVFVHPFRKNTCCRVTKKMIELILLEGVVVRQQEMEAVKRVKKLLMMSVSGSLRLHALRMIRRELGLPEDFRDSILGKFSGDFKLVGLEVVELVDWDAELGLAEVEKWREREYTEKWLSEFEIKFAFPIGFPTGFKIERGFRERLKNWQRLSYTKPYERKEVVRVRTCGGIERYEKRAVAILHELLSLTVEKMVEVDQLAHFRRDLGIEVNVRELLLRHPGIFYISTKGKTLTVFLREAYRKGGLIEPNPMYEARRNMLNLVLLGCRKTRTLLAGDEAKEESNVVVCEVNEEGERQGDWVIPFLENCEESRCIGVLLSMLHECRLWLCG >Vigun01g230000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40247617:40248342:-1 gene:Vigun01g230000.v1.2 transcript:Vigun01g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWRVRTTASRPNRSRSRSRSRASTVSCTSFKDIQTLLASEPEPSSPKSPSLFRRLSMSPSLLRSLSGTRAAAPSSFAPPPDSDCASVVLYYTSLRVVRRTHDDCRAVRSILRGFAVAIDERDVSVDDRFREELQWILGRRTVPLPSVFIAGTYIGGADDVRRLYDSGELHELVERLPLSRSIACGLCGGLRFVVCDTCDGSHKVFAEKSGAFRICSSCNSNGLIRCPSCFVVHQRHSK >Vigun02g092500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24774130:24775685:1 gene:Vigun02g092500.v1.2 transcript:Vigun02g092500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLFRVGYALLLKKQSSFIRDSLVNLARSRGIDLVRIDPNRNLTDQGPFDCVLHKLYDDDWRNQLNEFTANYPNAVVLDSPESIERLHNRISMLQVVSELKIDDRTETVGIPKQIVINDKAKLFDRRIWKALKSPVIAKPLVADGSAKSHKMALVYNHEGLNSLKPPVVVQEFVNHGGVIFKVYVVGERVRCVKRKSLPDVGEEEMMKVSEDLWAFSQFSNLASDERTDDKYYKMMHLDDAVMPPLSFVTQIALELRRVMKLNLFNFDVIRDSRRKNRYLIVDINYFPGYAKMPGYETVFTEFLCDLLCKKQQGGETEECDKDATRIVSNTCSEDGEDKEGSG >Vigun06g191000.2.v1.2 pep primary_assembly:ASM411807v1:6:30851547:30857419:1 gene:Vigun06g191000.v1.2 transcript:Vigun06g191000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFNDSIAPPSRPVPVREDCWSEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVSSSNGTLSSSWPFYERLDALIGPNFNAKKPPSSSPSPSPPVALPLLPHRKNPSSSPAIAVTPTAVALPQKRSAAAAAMDEGYFRRNYSAVAAAAAAAEADEDEEEEEEEEADDVEEEEDEDEGRGSEVEEGEKEREGMRRLAKAIERFGEVYERVEGQKLRQMVDLEKQRMQFAKDLEVQRMQMFMDTQVQLERIKRGKRSGSNDMYS >Vigun06g191000.1.v1.2 pep primary_assembly:ASM411807v1:6:30851546:30857419:1 gene:Vigun06g191000.v1.2 transcript:Vigun06g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFNDSIAPPSRPVPVREDCWSEEASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVSSSNGTLSSSWPFYERLDALIGPNFNAKKPPSSSPSPSPPVALPLLPHRKNPSSSPAIAVTPTAVALPQKRSAAAAAMDEGYFRRNYSAVAAAAAAAEADEDEEEEEEEEADDVEEEEDEDEGRGSEVEEGEKEREGMRRLAKAIERFGEVYERVEGQKLRQMVDLEKQRMQFAKDLEVQRMQMFMDTQVQLERIKRGKRSGSNVLQLNIPASGAL >Vigun04g060300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6125997:6129919:1 gene:Vigun04g060300.v1.2 transcript:Vigun04g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSSSHCSSVIKWSSLEKFLFFVVLFLWRSDAVLADSDESALLRLKASFSDPAGVLSTWTSADGADSGYCSWSGVLCNANSRVVAVNVTGNGGSLGNGTSHPCTGFSQFPLYGFGVRRTCKGSKGSLFGNISSFNFISELTELRVLSLPFNALEGEIPEAIWGMEKLEVLDLEGNLITGYLPFRINGLRKLRVLNLGFNRIVGEIPSSISSLESLEVLNLSGNELNGSVPGFVGRLRGVYLSFNQFSGVVPREIGENCWKLEHLDLSGNSLVQGIPVSLGNCERLRTLLLYSNLLEEGIPSELGKLKSLEVLDVSRNTLSGSVPGELGNCSELSVLVLSNLFDPRGDVAGDFGKLGSVNDELNYFEGSMPVEVLSLPNLRILWAPMVNLEGSFQGNWGGCQSLEMVNLAQNFFSGEFPNRLGVCKRLHFLDLSGNNLTGVLSKELRVPCMSTFDVSGNMLSGSIPDFSNIVCPPEPSWNVNLFEDGNVSPPYAFFFLSKVWENSLFTAMGGVGISVAHNFARNNFNGILSLPMARDRLGKQSSYTFLVGENNLTEAFPTYLFEKCHGLDALLLNVSYNRISGHIPSSLSGMCRSLEFLDVSGNQLAGPIPVDLGNMVSLASLNLSKNQLEGQIPTSLGQIKNLKFLSLAGNKLNGSIPTSLGQLYSLEILDLSSNYLTGEIPKAIENMRNLTDVLLNNNNLSGHIPGGLAYVTTLSAFNVSFNNLSGSLPSNSGLIKCSSAVGNPFLSPCRGVSLSVPSGSQLGPIDGKPYNAAAEQAPVKDSGNSLSSIEIASITSASAIVSVLIALIVLFFYTRKWKPGSRVVGSTRKEVTVFTDIGVPLTFESVVQATGNFNAGNCIGSGGFGATYKAEVAPGVLVAVKRLAVGRFQGVQQFHAETKTLGRLHHPNLVTLIGYHACETEMFLIYNYLPGGNLEKFIQERSTRVVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSFGNGFNIVAWACMLLKQGRANEFFTAGLWEAGPGDDLVEVLHLAIVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Vigun10g191000.1.v1.2 pep primary_assembly:ASM411807v1:10:40540167:40543111:-1 gene:Vigun10g191000.v1.2 transcript:Vigun10g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITTQPKNPIFHGCTTLHRSIPQRGDSTVLGLGSIKNFNFNQKPTKISLKSNPFTYNLAVTAKAKTNAAFGEVEALKPRKILLSEVEVKRERSVLFGRKWNTLDVGTAGIVLAMHVLCLFAPFHFNWPALWVAVALYVVTGLFGITLSFHRNLSHRSFKLPKWLEYSFAYCGVLALQGNPIDWVSTHRYHHQFCDTERDPHSPTEGFWFSHMSWLFDTNSIIERCGEANNVGDLEKQPFYRFLRSTYLFHPFALGALLYAAGGFPFLVWGMGVRIVWVYHITWFVNSACHVWGNQAWNTRDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDMTWYVVRFLQAIGLATEVKLPSETQKHRMAFNSDTITT >Vigun07g141400.1.v1.2 pep primary_assembly:ASM411807v1:7:25158720:25164051:1 gene:Vigun07g141400.v1.2 transcript:Vigun07g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRPSGNSRTEVRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLQKKRREGLQPQQIPASVHSTLVEKKLEHLPSMVAGVWSDDKNLQLEATTQFRKLLSIERSPPIEEVIQTGVVSRFVEFLMREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEQAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPSVLIPALRTVGNIVTGDDMQTQVIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKQQIQAVIEANLITPLVNLLQNAEFDIKKEAAWAISNATSGGSHEQIKFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKSIGNTGDVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFNFGNNDAPAVPSGGFNFN >Vigun07g141400.2.v1.2 pep primary_assembly:ASM411807v1:7:25158720:25164051:1 gene:Vigun07g141400.v1.2 transcript:Vigun07g141400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRPSGNSRTEVRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLQKKRREGLQPQQIPASVHSTLVEKKLEHLPSMVAGVWSDDKNLQLEATTQFRKLLSIERSPPIEEVIQTGVVSRFVEFLMREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALIPLLAQLNEQAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPSVLIPALRTVGNIVTGDDMQTQVIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKQQIQAVIEANLITPLVNLLQNAEFDIKKEAAWAISNATSGGSHEQIKFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKSIGNTGDVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFNFGNNDAPAVPSGGFNFN >Vigun01g102300.3.v1.2 pep primary_assembly:ASM411807v1:1:27046708:27057412:1 gene:Vigun01g102300.v1.2 transcript:Vigun01g102300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGLFSGNKSVNSVKVSFRIPYFTQWGQSLLVCGSVPALGAWNVKRGVLLSVVHQGSELIWGGSITVPRGFQCQYSYYVVDDKKNVLRWEMGKKRELILPEGVQSGHEIEFRDLWQAASDSLPFRSAFKDVIFRQSWDLSEATVGDNHINFESEREAVLVQFKISCPNVEKDSSIYVIGSNTKLGQWKAEKGLKLSYFGESVWKAECVMQRSDFPIRYRYGKYDRSGNFSIESGSNREVSTNSTRNDVKYIFLSDGMLRETPWRGAGVAIPMFSVRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSNNIPEEIKKEIEKAKQQLDRKDVDYEATMATKLSIAKKVFAQEKDLILNSSSFQEFFSENEGWLKPYAAFCFLRDFFETSERSQWGRFAHYSDDKLEKLVSKDSLHYEIICFHYYVQYHLHLQLSEAAEYARKKGVILKGDLPIGVDKNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAMTGLVGKFRPSIPLSQEELEREGIWDFNRLSRPYIKRELLEEKFGAAWTFVATTFLNEFDKNFYEFKEDSNTEKKIASKLKTCAESSVLLESEDNLRRNLFDLSQNIVLIRDPENPRKFYPRFNLEDTSSFQDLDDHSKNVLKRLYNDYYFCRQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNEPDLEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEDRRLRFFKNVMESDELPPDQCIPEVAHFIIRQHFEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVSLESLIKDIDLQTTIKDLVRWSGRSLPKEQASGIDASLLAALSVAEAVTVLQVAEAVSEKQKFSGTTEKHVLVK >Vigun01g102300.1.v1.2 pep primary_assembly:ASM411807v1:1:27046712:27057384:1 gene:Vigun01g102300.v1.2 transcript:Vigun01g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGLFSGNKSVNSVKVSFRIPYFTQWGQSLLVCGSVPALGAWNVKRGVLLSVVHQGSELIWGGSITVPRGFQCQYSYYVVDDKKNVLRWEMGKKRELILPEGVQSGHEIEFRDLWQAASDSLPFRSAFKDVIFRQSWDLSEATVGDNHINFESEREAVLVQFKISCPNVEKDSSIYVIGSNTKLGQWKAEKGLKLSYFGESVWKAECVMQRSDFPIRYRYGKYDRSGNFSIESGSNREVSTNSTRNDVKYIFLSDGMLRETPWRGAGVAIPMFSVRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSNNIPEEIKKEIEKAKQQLDRKDVDYEATMATKLSIAKKVFAQEKDLILNSSSFQEFFSENEGWLKPYAAFCFLRDFFETSERSQWGRFAHYSDDKLEKLVSKDSLHYEIICFHYYVQYHLHLQLSEAAEYARKKGVILKGDLPIGVDKNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAMTGLVGKFRPSIPLSQEELEREGIWDFNRLSRPYIKRELLEEKFGAAWTFVATTFLNEFDKNFYEFKEDSNTEKKIASKLKTCAESSVLLESEDNLRRNLFDLSQNIVLIRDPENPRKFYPRFNLEDTSSFQDLDDHSKNVLKRLYNDYYFCRQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNEPDLEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEDRRLRFFKNVMESDELPPDQCIPEVAHFIIRQHFEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVSLESLIKDIDLQTTIKDLVRWSGRSLPKEQASGIDASLLAALSVAEAVTVLQVAEAVSEKQKFSGTTEKHVLVK >Vigun01g102300.2.v1.2 pep primary_assembly:ASM411807v1:1:27046708:27057412:1 gene:Vigun01g102300.v1.2 transcript:Vigun01g102300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGLFSGNKSVNSVKVSFRIPYFTQWGQSLLVCGSVPALGAWNVKRGVLLSVVHQGSELIWGGSITVPRGFQCQYSYYVVDDKKNVLRWEMGKKRELILPEGVQSGHEIEFRDLWQAASDSLPFRSAFKDVIFRQSWDLSEATVGDNHINFESEREAVLVQFKISCPNVEKDSSIYVIGSNTKLGQWKAEKGLKLSYFGESVWKAECVMQRSDFPIRYRYGKYDRSGNFSIESGSNREVSTNSTRNDVKYIFLSDGMLRETPWRGAGVAIPMFSVRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSNNIPEEIKKEIEKAKQQLDRKDVDYEATMATKLSIAKKVFAQEKDLILNSSSFQEFFSENEGWLKPYAAFCFLRDFFETSERSQWGRFAHYSDDKLEKLVSKDSLHYEIICFHYYVQYHLHLQLSEAAEYARKKGVILKGDLPIGVDKNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAMTGLVGKFRPSIPLSQEELEREGIWDFNRLSRPYIKRELLEEKFGAAWTFVATTFLNEFDKNFYEFKEDSNTEKKIASKLKTCAESSVLLESEDNLRRNLFDLSQNIVLIRDPENPRKFYPRFNLEDTSSFQDLDDHSKNVLKRLYNDYYFCRQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNEPDLEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEDRRLRFFKNVMESDELPPDQCIPEVAHFIIRQHFEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVSLESLIKDIDLQTTIKDLVRWSGRSLPKEQASGIDASLLAALSVAEAVTVLQVAEAVSEKQKFSGTTEKHVLVK >Vigun10g008500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:802623:803123:-1 gene:Vigun10g008500.v1.2 transcript:Vigun10g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNTTLESQDYALLQSIQRFLLDDNQDLNALTAVLAAPSGVSPRESGGGGSTSTLFTTAVDLGQPTTTSAEARDESTAARGEYTEERGGNASYQRFKGVRRRPWGKFAAEIRDPKRNGARVWLGTYDSAESAALAYDRAAFEMRGSKAKLNFPHLIGSHEPSQKE >Vigun11g224700.3.v1.2 pep primary_assembly:ASM411807v1:11:41527455:41534112:-1 gene:Vigun11g224700.v1.2 transcript:Vigun11g224700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSEEVVVVEEEEEEEHRDDVVDGAVDYRGGCAVRSKSGSWRSAWFIIGVEVAERIAYYGIQGNLISYLTGPLHQSTATAAENVNVWSGTSSLLPLAGAFLADSRLGRYRTIILASLVYILGLGLLTLSALLPSLSNSECEVDNELKSCSPPRWQVILFFISLYLVAFGLGGHKPCVQAFGADQFDEQHPKEHKDRSSFFNWWYFTMCAGCLATISILNYIQDNLSWVLGFGIPCIVMIVALFVFLLGTMTYRFTIQQRDKRAFRRIGSVFVAAMRNRRTTSSTAVKAERDGILPHQSSEQFEFLNKALLELKDEGSIEEGSCSPSEVEEAKAVLRLVPIWATTLVYAIVFAQVPTFFTKQGVTLERTLLPGFDIPPASLQTFTTTAIVLFSPIYDRLFVPAARAITGKPSGITMLQRIGTGIFISVFTVVFAALVETKRLKTAQESGVVDEPNATVPMSIWWLIPQYMLFGISEVFTMVGLQEFFYDQVPNELRSVGLALYLSIFGVGSFISGFLISVIENVTGKDGDDSWFANNLNKAHLDYFYWLLAALSVMGLALFTCFSKSYIYNNKGIRPQ >Vigun04g070300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8166396:8169281:-1 gene:Vigun04g070300.v1.2 transcript:Vigun04g070300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNPSSIMLTGNEETAAGASDQTHRQIFDHYASHSLIPDHFLHAPTHSAVTTAAAATVEFTNHHHHFNPQSHHHQVNNHHHHHPFFDPRAFHGTSSASYPPPPSMLSLDPLPHVNAANCGPGPGPGPGGLLLVPKSEDVGRPMDFVGSRIGLNLGGRTYFSSSEDDFVSRLYRRSRPAESGSAASSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRLWWWRLSLPSPSEN >Vigun01g089050.1.v1.2 pep primary_assembly:ASM411807v1:1:24789368:24793845:-1 gene:Vigun01g089050.v1.2 transcript:Vigun01g089050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVPIVLHQGRGFSSNLGGCSKNFSADSPFTVSSIWLLHCIENANFQFAISKFHCQSSILLINKYFHESLLDQFDLSLL >Vigun08g113800.1.v1.2 pep primary_assembly:ASM411807v1:8:28050558:28053301:-1 gene:Vigun08g113800.v1.2 transcript:Vigun08g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIWIEVCLISARGVRGSPSLWKRQWYAVGWVDPKSKYCTKVDASGTANPLWRTKFAIQVDTSDPDLALHLEVYSRDPLFLTEKLHGSAKVVLREFLAKQVHNSEEVGSYQLRKKKSNKPSGFIDVSIRLSENKEEPSFHQPGNGEGIVLLDYGNNTQLIPRGGFGQAYPQQKPQASFHHFHGTGKQTQNNVPYSHPVPFPADYATNPPYMTGPSYPAATGPSYQTPRTTHAPPHSNVNHAPTFFPSNGGMAPSYFNMPSSSSSGAGPRPRGPPGFAIGAGAGALAAGAVMFGDNFMSQLGDPTLTIATDPLF >VigunL003075.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:9387:9815:-1 gene:VigunL003075.v1.2 transcript:VigunL003075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun04g186350.1.v1.2 pep primary_assembly:ASM411807v1:4:41134429:41135483:-1 gene:Vigun04g186350.v1.2 transcript:Vigun04g186350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLRVLGFGLQVSGFVLQVSDLGFRVSRFEFDFWGFGCPVWVSGLGFGVSDFGLRVSGIGFWVSGIGLRVSGFRLLVLGFGFRVWNFEYRVGVSSLGFRVWGFGFRLGVSDFGLRVLGYRFRFRVTGFGFRVTGFGFRVTGVGFRVTGFRFKISSFTFHVSGLMFGVSGYGFKVTGFRFRVSGFGFRVTGFRFGVSKYKFSVSGFVFGVWGLEFPVSGYGYRVSGYGFWVSGLGYGILGIVFKFRVWGFECGVSVLGLGVSDFGLRLLGYRFRVTDYGLRVIDFWFRVSNFEFGV >Vigun03g173350.1.v1.2 pep primary_assembly:ASM411807v1:3:21201072:21201600:1 gene:Vigun03g173350.v1.2 transcript:Vigun03g173350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVARIRCCSDLFEVTVVRCLRSPMEVLLSCVAMEGGSNRWHCSLHLVRRSRWHDDGGPHLDVRSRFAQIKCRLWICVDGKRCKCCLGGCRSRGCCGVLLRRNVWTTSGFVLTAGGGSWCFCCRSYCSAVVHCGEEDENVVAGKFAFDKGGGCHGDGER >Vigun02g177200.3.v1.2 pep primary_assembly:ASM411807v1:2:31886918:31889044:1 gene:Vigun02g177200.v1.2 transcript:Vigun02g177200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHIEKMQLRQNFRNFWHTDLFTTIQADTPYCCFALWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESRYPELCLATEVVCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIIALIVGNSELREASQVLSCLADFVYCTVCACMQTQHKIEMDKRDGKFGPQPVMVAPSVQQMSRFDQAVPPFVGYASHA >Vigun02g177200.4.v1.2 pep primary_assembly:ASM411807v1:2:31886770:31889044:1 gene:Vigun02g177200.v1.2 transcript:Vigun02g177200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHIEKMQLRQNFRNFWHTDLFTTIQADTPYCCFALWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESRYPELCLATEVVCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIIALIVGNSELREASQVLSCLADFVYCTVCACMQTQHKIEMDKRDGKFGPQPVMVAPSVQQMSRFDQAVPPFVGYASHA >Vigun02g177200.2.v1.2 pep primary_assembly:ASM411807v1:2:31886770:31889044:1 gene:Vigun02g177200.v1.2 transcript:Vigun02g177200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHIEKMQLRQNFRNFWHTDLFTTIQADTPYCCFALWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESRYPELCLATEVVCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIIALIVGNSELREASQVLSCLADFVYCTVCACMQTQHKIEMDKRDGKFGPQPVMVAPSVQQMSRFDQAVPPFVGYASHA >Vigun02g180600.1.v1.2 pep primary_assembly:ASM411807v1:2:32144289:32146369:1 gene:Vigun02g180600.v1.2 transcript:Vigun02g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASAPTSGAGKRPPPYPHKADKNPLQSSVELVKDKNGISQLILRNNDASATVSLLGAQVISWKTKRTGELLFLSKKAIFNPPTAVRGGIPICFPEFKNNETREDHGFVRNRIWVIEENPPHLSGDFSAKVYVDLFLKPSKEDAKIWPHSFEFRLRISLTALGILSLTSRIRNVDCKNFSFRIVYHTYLSVSDICEVRIEGLETQYYLDNLLQKQRFTEQGASLTFESEVDRVYTDSNNVLAVRDHYKKRTVIIRKDGLPDTVVWNPWDKKSKFIADLGDKEYQEMLCVDGAALENPITLKPGEEWKGDLELSILLIS >Vigun09g262900.1.v1.2 pep primary_assembly:ASM411807v1:9:42738855:42743857:-1 gene:Vigun09g262900.v1.2 transcript:Vigun09g262900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVLVAIAASIGNFLQGWDNATIAGAIVYIKKDLALQTTMEGLVVAMSLIGATVITTCSGPVSDWLGRRPMLIISSVLYFLGGLVMLWSPNVYVLCLARLLDGFGIGLAVTLVPVYISETAPSEIRGSLNTLPQFSGSGGMFLSYCMVFGMSLTPSPSWRLMLGVLSIPSLLYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGIGGDTSIEEYIIGPAEELADGREQGTDKDKIRLYGSQAGLSWLAKPVTGQSSIGLASRHGSIINQSMPLMDPMVTLFGSIHEKLPEAGTGSMRSTLFPHFGSMFSTAEPHVKHEQWDEESLQREGEDYMSDAGGGDSDDNLQSPLISRQTTSLEKDMPPPPSHGSILSSMRRHSSLMQGSSEQVGSTGIGGGWQLAWKWTEKGEEGKKQGEFKRIYLHEEGVSASRRGSVVSIPGEGEFVQAAALVSQPALYSKELIDGHPVGPAMVHPSETASKGPSWKALLEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLSDVGIGSESASFLISAFTTFLMLPCIGLAMKLMDVSGRRQLLLTTIPVLIVSLIILVIGSVVNFGNVAHAAISTICVVVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICSLVFWIGDIIITYSLPVMLSSIGLAGVFAIYAVVCFISWIFVFLKVPETKGMPLEVISEFFSVGARQAATAKNE >Vigun09g262900.2.v1.2 pep primary_assembly:ASM411807v1:9:42738855:42743476:-1 gene:Vigun09g262900.v1.2 transcript:Vigun09g262900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVLVAIAASIGNFLQGWDNATIAGAIVYIKKDLALQTTMEGLVVAMSLIGATVITTCSGPVSDWLGRRPMLIISSVLYFLGGLVMLWSPNVYVLCLARLLDGFGIGLAVTLVPVYISETAPSEIRGSLNTLPQFSGSGGMFLSYCMVFGMSLTPSPSWRLMLGVLSIPSLLYFALTIFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGIGGDTSIEEYIIGPAEELADGREQGTDKDKIRLYGSQAGLSWLAKPVTGQSSIGLASRHGSIINQSMPLMDPMVTLFGSIHEKLPEAGTGSMRSTLFPHFGSMFSTAEPHVKHEQWDEESLQREGEDYMSDAGGGDSDDNLQSPLISRQTTSLEKDMPPPPSHGSILSSMRRHSSLMQGSSEQVGSTGIGGGWQLAWKWTEKGEEGKKQGEFKRIYLHEEGVSASRRGSVVSIPGEGEFVQAAALVSQPALYSKELIDGHPVGPAMVHPSETASKGPSWKALLEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLSDVGIGSESASFLISAFTTFLMLPCIGLAMKLMDVSGRRQLLLTTIPVLIVSLIILVIGSVVNFGNVAHAAISTICVVVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICSLVFWIGDIIITYSLPVMLSSIGLAGVFAIYAVVCFISWIFVFLKVPETKGMPLEVISEFFSVGARQAATAKNE >Vigun08g191700.1.v1.2 pep primary_assembly:ASM411807v1:8:35928488:35930467:-1 gene:Vigun08g191700.v1.2 transcript:Vigun08g191700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILARIIISLCALSFFSVYCSQYLASDRSQLPLFAFSWWDDKGTFQAGDTATIKVKVLENADKIDRKVFKPTLSVNGKEGNSSYVSTVVSDFEGDPNEWKIFFTPIRVGLFNVLISEERYKLDDSSLHFQVEPGNMYPSVCVASWKGVRHEYEAGSKATLMVLLKDAFGNSISKKTQVSYLPDFKMSVLHENGSVASAPDIFNMGWIEFDYIVIEFIVTKAGKFSLSLEGGNQTLKGSPLPLKVNPGAIDVSNCIAKWNIESHAWQLSSKMEIFIHQLDKYGNLVSGLYPFDSEVVETGSNLSIPISDLHFQEVDAGIQLFSFSNFEPGNNI >Vigun09g030600.1.v1.2 pep primary_assembly:ASM411807v1:9:2570125:2574941:1 gene:Vigun09g030600.v1.2 transcript:Vigun09g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGACTLQQTLTAEAASVLKHSLGLARRRGHAQVTPLHVAATLLSLRASSLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTTPGPLLHTQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLTIKVELEQLIISILDDPSVSRVMREAGFSSTAVKTNIEDTSSTPSVFQCYNTSGGVFSSPCSPAPSENNTPSAFRQNHFLAAYTSSEFTSPFLFSPQKKASVFPITESPPPPSSKEDIKVVLDVLLRKKKRNTVIVGDSVALTEGLVGELMGKLERGDVPDELKSTHFIKFQLAPVSLRFMKREEVEMSLSALKRKVDSVASGGGAIFYVGDLKWTVEAATSGEKDEGEVCGYNPVDHLLAEIGKLFCDSSTKVWLVATASYQTYMRCQMRQPPLETQWALQAVPVPSGGLGLSLHASSVHDSKMTISQNPSHMLETKLFGNSKEEQDKLNCCEECASNYEKEAQLFKPGQKKLLPSWLQSHTTEVHQKDELLQLKRKWNRLCHCLHQSKQSDNHWSNTLHGNQSSNAKIYPYNSSYPWWPNQGSVFADSSSISFADSPAKPAYSSNIVPRFRRQQSCTIEFNFSDVTQRKPSTALDSLKSMEGNSNEVKTTLALGNSTFGGSGQTVENIITTDRTLRRAHICKLLQENVPWQSETVPSIAEALVDSKSAKQSATTWLLLQGTDSVGKTRLARAIAESVFGSVDALLHLDMLKNSATPFAERVAEALKSQEKLVILIESLDFADAQFRKFLADGFETGNFGSLSRSEESSGRAIFILTNGDNRGNEEHSNKESVMKLVLQISETKPGLECSSPCLGEKRRGEVLDLFSKVKNRKVFCRHSSFNNLDLNMKADDEEDEKTGGSSPISSDLTRETVSWNGVLESIENRFELNESAEREREVGEMLVSKMKEWFEEVYGKKESVVKFTVEERVIEEIGVGCGNFTNSMFEKWLKDIFQSSLETVNFGGVEGGIGFTLCWGDNGNGNGNGKGDSKWDSGFMGSCLPKNLKVNYFMD >Vigun11g175800.1.v1.2 pep primary_assembly:ASM411807v1:11:38063526:38064540:1 gene:Vigun11g175800.v1.2 transcript:Vigun11g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVEFRCFIGGLAWATDGDSLEKAFSVYGEVLESKIINDRETGRSRGFGFVTFSTEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGRGGGGYGGGGGYNRGGGGYGGGGGYGGGGGYGGRREGGGGGYSRNGGGYGGSGGYGGGRDRGYGDGGSRSRGGDGGDGSWN >Vigun10g161950.1.v1.2 pep primary_assembly:ASM411807v1:10:38102464:38103322:-1 gene:Vigun10g161950.v1.2 transcript:Vigun10g161950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPQKSFKVTFMTLLHKFFRSFSVPKNPDFNRVNQEKINGEVDNVDMPNNAIIHLKEGFWGTLPHALHTHGWFFSIEN >Vigun11g167000.1.v1.2 pep primary_assembly:ASM411807v1:11:37403042:37407439:-1 gene:Vigun11g167000.v1.2 transcript:Vigun11g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESVGDLAINALLRKLEAEDIARVACASKRFRSFASDDTLWINLCFNELALTQPIDHLGNPFPSFKECYQAWRKAFGMYPWFLVKRVKRCWDRIKTWLTNNFPEAEATLCKGATEADIQMLENLLKVELPLPTRILYRFNNGQEIVKANLEASTFGSCLGLIGGYSFYNHLVNVYLLPVHQIIRETQQIWRHLNFLRTSKFVLVASSSTLRRKLFFLNCSNGQLYVGTNKLRSEKDIIPCVPQDLISLHQESNGEEQQDAMLLWLEEHGRRLEHGFIKLCENEYGRSINLFPEEPPFCSTAVTNGVKVRSSALVIPEFIDPQDDSEKYLFAYSIRLSLEPQGCLINGMSFDSCQLHWRRWIIRANDDVVSDLNGEAVIGQYPLLHPGGQEFVYQSCTPLPTPSGSIEGSFTFIPGSLAYPKGDPFLATVAQFPLQLPDYIF >Vigun09g087100.1.v1.2 pep primary_assembly:ASM411807v1:9:11640517:11652835:-1 gene:Vigun09g087100.v1.2 transcript:Vigun09g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSENNPKEDPLMLWLNGGPGCSALTGLFLEMGFTYARTELAAQSSDTLLASQGHQFLRKWLIDHPNFLSNEVYIAGDSYAGIPLPAIVQEIAQGNEKGFQPSINLQGYILGNPITTRTEPNYAIPFAHGMALISDELYESLQKNCKGNFIDVDSENILCYRDMESFNKLVSRISIYNILEPWCESDHIVSPLRRSLIEKFPRKHFLKTDLKLPAVTCLTYTEFLSAYWANDDNVQTALHIHKGSIGTWIRCHRDSRFKYDILSSVEYHANLSRNGYRSLIYSGDHDMASPFLGTQEWIRSLNYSIVDDWRPWNSNGQVAGYTRTYSNRMTFTTVKAAGHTAPLYKPEECFDMLSRWISKKAL >Vigun09g087100.4.v1.2 pep primary_assembly:ASM411807v1:9:11640517:11652836:-1 gene:Vigun09g087100.v1.2 transcript:Vigun09g087100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNLRFISSDLVHYGLLLSLLLLSHYSFQPASSASRVKFLPGFEGPLPFVLETGYVGVGESEDVQTFYYFIQSENNPKEDPLMLWLNGGPGCSALTGLFLEMGFTYARTELAAQSSDTLLASQGHQFLRKWLIDHPNFLSNEVYIAGDSYAGIPLPAIVQEIAQGNEKGFQPSINLQGYILGNPITTRTEPNYAIPFAHGMALISDELYESLQKNCKGNFIDVDSENILCYRDMESFNKLVSRISIYNILEPWCESDHIVSPLRRSLIEKFPRKHFLKTDLKLPAVTCLTYTEFLSAYWANDDNVQTALHIHKGSIGTWIRCHRDSRFKYDILSSVEYHANLSRNGYRSLIYRYTRTYSNRMTFTTVKAAGHTAPLYKPEECFDMLSRWISKKAL >Vigun09g087100.6.v1.2 pep primary_assembly:ASM411807v1:9:11640517:11652835:-1 gene:Vigun09g087100.v1.2 transcript:Vigun09g087100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLNGGPGCSALTGLFLEMGFTYARTELAAQSSDTLLASQGHQFLRKWLIDHPNFLSNEVYIAGDSYAGIPLPAIVQEIAQGNEKGFQPSINLQGYILGNPITTRTEPNYAIPFAHGMALISDELYESLQKNCKGNFIDVDSENILCYRDMESFNKLVSRISIYNILEPWCESDHIVSPLRRSLIEKFPRKHFLKTDLKLPAVTCLTYTEFLSAYWANDDNVQTALHIHKGSIGTWIRCHRDSRFKYDILSSVEYHANLSRNGYRSLIYRSMFLLYALMYCIRMDKIFKLLYC >VigunL033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:244365:245015:1 gene:VigunL033000.v1.2 transcript:VigunL033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps3 MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKVWIFSK >Vigun05g181300.1.v1.2 pep primary_assembly:ASM411807v1:5:34677173:34678199:-1 gene:Vigun05g181300.v1.2 transcript:Vigun05g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEFSSSTQEPTTVTTECSCENTETEQNGTEESGFGGERKSNQVGDKGKGAQTSADGNGDDTVEDNIETENTPCTCDTEEETKESDSGDEEKAAEGNGDDTVEDNTQTENTSSTCDTAIEEETEESDSREQENEQNGTEESGFKGGRKALQVRDKGKPTQSTN >Vigun09g019500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1492204:1494325:-1 gene:Vigun09g019500.v1.2 transcript:Vigun09g019500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAMPKCSTTNLLKHLPTTLHRYFSLTLAFPFHSFSTHGPQPHPSAEIFKSGTKMGSYKLGDLSFYSLIQNHASTLDFGSLEVVLDQMKRERRAFLENNFIVMFKAYGKAHLPEKAVDLFLRMRGEFQCKQTVKSFNSVLNVVIQEGLFNRALEFYSHVVASKNFNIHPNALTFNLVIKAMCRLGLVDQAVEVFREIPLRNCAPDLYTYSTLMHGLCQEGRIDEAISLLDEMQVEGTFPNPVAFNVLISALCKNGDLARAAKLVDNMFLKGCVPNEVTYNALVHGLCLKGKLEKAVSMLNKMVMSKCVPNDVTFGTLINGFVKQGRASDGARVLVSLEERGHRGNEFVYSSLISGLCKEGKFNHAMQLWKEMVGKGCEPNTIVYSALIDGLCREGKLDEAREILSEMKSKGYLPNSFTYSSLMRGYFKAGISHKAILVWKEMATNNCNHNEVCYSILINGLCKDGKVKEALMVWKQMLSRGIKLDVVAYSSMIHCFCNANLMEQGLKLFNQMLCQGPEVQPDVITYNIILNAFCMHNNISRAIDILNIMLDQGCDPDFITCDVFLKTLRENVNPPQDGRDFLDELVVRLVKRQRTIGASKIIEVMLHKFLLPKASTWAMVVQQLCKPKNVRKVISECWSKLSC >VigunL057800.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71571:74870:1 gene:VigunL057800.v1.2 transcript:VigunL057800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >VigunL057800.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71460:74800:1 gene:VigunL057800.v1.2 transcript:VigunL057800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >VigunL057800.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71571:74870:1 gene:VigunL057800.v1.2 transcript:VigunL057800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGEMVEFLVDIWEMEGLYD >VigunL057800.6.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71571:74870:1 gene:VigunL057800.v1.2 transcript:VigunL057800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIR >VigunL057800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71571:74800:1 gene:VigunL057800.v1.2 transcript:VigunL057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLISWNTSYENLMGTDKAFPRPIPLGVSSCMHWIVSSHFHVHDRSFTSLVSISLFNNFNPASLIYNVYQERNRCKHMTLSYKTETYAGFFSFMHSYLVIFC >VigunL057800.5.v1.2 pep primary_assembly:ASM411807v1:NBOW01000438.1:71460:74870:1 gene:VigunL057800.v1.2 transcript:VigunL057800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGYVPPWLCQILACMGSCLGCFPNPPTIKGQGMSNISEDFWSSSALEIDQRAFQSQKSVASIGLPSDPQSSSGIQIDSSEFVNDGLLLWNQMRRYWVRNKRSQKKKEVGEPLIRKWLNFLLIFGRWKDYMTKQTKQGFFP >Vigun03g181650.1.v1.2 pep primary_assembly:ASM411807v1:3:23246192:23247925:1 gene:Vigun03g181650.v1.2 transcript:Vigun03g181650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IIATKSSSLTQLIALRHACPAFITQKSFLSNSTHSSYNIVLWCDVFLSQDSKRLQKREKDIMIGDVGWHLGNLFSTK >Vigun07g099633.1.v1.2 pep primary_assembly:ASM411807v1:7:17565698:17568070:-1 gene:Vigun07g099633.v1.2 transcript:Vigun07g099633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNASNSVDTNPSASSSIKSRSKNAPGNRSDIGWKHGFDINGNGRKVKCNYCSKIVSGGIFRFKHHLAGTREDSEPCASVSDEIKNLMIKIVAEAKHAALKRRKLNIIDEEDEESESVEGGHMLFGFKGKQRVANASKGGVQATINQMMKKGFKEEVDAQVAEVFYTSAIPFNVIRNPAFAKMCEMIGKYGVGYKPPSYHDIREKLLKQAVSKTDLMLEEYKEEWKRTGCTIMSDGWTDKKRRSICNFLVNSPKGTVFLYSLDTSDISKTTDKVFKMLDDVVEYVGEENVVQNLKVHELTIKKGRKITTYIYGRTMLISMLKKFTKGRDLIRPGVTRFATAYLTLGCLHELKASLLTMFNSDEWTTSKFGTSQEGKRIERVVLDSRFWKNVSTCLKATAPLMVVLRLVDSDVKPAMGFIYEEMDCAKEKIRNNFNNIQKRPLHAAAYFLNPHFHYEPNFRCDDGGEVKEGLYECMRRMVPDIAERRKINLQIVQFHFARGLFGMEDAKECRKELNPGEWWEMFGDATPELKRFAIRILSLTCSSSGCERNWSSFEMVHTKRRNRLHQKKMNDLVYVMYNLKLKSRQLRKTITLPFDDIESDDEWITEEANDVVEIEQAEGEIDVQNVPLDGPTTDPALDALDLDNITFDANEDAHVSSGEELDEDEDDDGDDDIIRGLED >Vigun10g043500.1.v1.2 pep primary_assembly:ASM411807v1:10:6081598:6086625:1 gene:Vigun10g043500.v1.2 transcript:Vigun10g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYHVVAAIVPLYVTMILAYVSVKWWKIFTPDQCSGINKFVAKFSIPLLSFQIISSNYIYKMSLKLLYANFVQKLLALLVLIAITKISGRGGLKWIITGLSLTTLPNTLILGIPLMKAMYKGDAVVLLAQIIFLQSMIWYNLLLFLYELDAVNTRPAAAAPPSQGSAGETDTDREVQSKGEEDVDPRTKRKLKVLPILAKVGKKLIKIPNTYATLTGFIWSSIHFRWGYTCLKLLISQ >Vigun08g183500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35309850:35310101:1 gene:Vigun08g183500.v1.2 transcript:Vigun08g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFKVKTFPKYVEVVRFYRVVLRSHETYSQNSAHVFSGRPCWTFLYYDTELASNCNRLKMHSPKTENGAWEKECRMKFQLYA >VigunL079175.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000585.1:39283:39598:1 gene:VigunL079175.v1.2 transcript:VigunL079175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARAVRCWTAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGL >Vigun10g066300.1.v1.2 pep primary_assembly:ASM411807v1:10:15267477:15274842:1 gene:Vigun10g066300.v1.2 transcript:Vigun10g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNKTDANCDEINDEMKWVLDSSLDHKGRVPLRASTGSWRSSLFIIAIEFSERLSYFGIATSLVLYLTKVIHQDLKTAVKNVNYWSGVTTLMPLLGGFLADAYLGRYSTVIASCVVYLMGLVLLTLSWFLPGLKPCDHAATCTEPRKIHEVAFFLGIYLISIGTGGHKPSLESFGADQFDDNNAKERRQKMSFFNWWNSGLCSGIILGVTVIVYAQERINWGAAYIILTVVMAISLLIFLIGRPYYRYRTQTGSPLTPMLQVLVAAISKRKLPYPSSPTQLYELSKAESNGERFLVHTKKLKFLDKAAITENEGNIEEKQSPWRLATVTKVEELKLIINMIPIWVFTLPFGICAAQTSTFFIKQGAVMNRKLGNGFEVPPASIFTLAAIGMITAVIIYNNILVPTLRKLTGNERGINILQRIGIGMVFSVLSMIVAALVERKRLDAVEMNGPIKGSSSMSALWLAPQFIIIGVGDGFALVGLQEYFYDQVPDSMRSLGIALYLSVIGAASFLSSVLITIVDHVTRKSGKSWFGKDLNSSRLDKFFWLLAVITTLNLFMFVFFARKYNYKNVQKLAVADCYEDKSDDDRADIRV >Vigun11g064450.1.v1.2 pep primary_assembly:ASM411807v1:11:14989918:14990375:1 gene:Vigun11g064450.v1.2 transcript:Vigun11g064450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSNTSSTQTSSHSSKSLRVDTVNPKFSEDEETLIIRMYKLVGKRSSLIVGRIPRRTTDEIEKYWTSRLSSSRE >Vigun08g066600.2.v1.2 pep primary_assembly:ASM411807v1:8:9863617:9871823:1 gene:Vigun08g066600.v1.2 transcript:Vigun08g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERSVPAPSHGQVDGAQRVKALHGRTTGPTRRSTKGQWTLEEDDILRKAVEKFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKNGAKKWSSLARHLPGRIGKQCRERWVNHLDPTIKKEAWTQEEELALIHYHQIFGNKWAELSKYIPGRTDNAIKNHWNSSVKKKLDSYLTSGMLNQFEPVPHVGNSNQSTRLQCSGDDNCSKGIEREEVSESSQSSANAVCFPSARGMSSTELQTGEATCRPKEECNLRKDHSPSRASCSEPYYVSIDDVSICIPEISHQEACTSQFIEQQSHELGNSTSGNCHFDLHSLPNVSSMVVGQDSSQLHRDCVASGEICDMVNVPFRTSEALGASTSMGPASLGPLKPEHVLISDDECCRVLFSDSVNDGCFPPVDYIKGEETVKFSGCTSFRCQSCNIQISETGGSSTPQLTCPRCSNNCQGTSSSLSNPPVFSACDDREGRTANDNHLYGADGQQLVSTAPANFTYDNYISSSPCVDGMGTVVMEEAREENANVHAEKEDSGSLCYEPPRFPSLDIPFFSCDLVQSGSDMQQEFSPLGIRQFMMSSMNCLTPFKLWDSPSHGDSPDALLKSAAKTFTGTPSILKKRHRDLLSPLSDKRVEKKLETDMTTFTKNICSLDVMFGDNETQETDIPSLQKQNSSACVDDNKENCGQGYEPTKYAIFDEKNSHEDTVHNSQPNVKQQQLNIDASATAIEQEPSGVLVEHDVNDLTLSSPDQVGLKSIRGLGTSTKTPKSMNRSLEEVPNQNSHLKLSSKNPCSRVNSNSPCVRAKEHEKLSVAVTRVQAPAAPVDNSGEQTRKDGGFETCSIFGGTPFRKGLESPSAWKSPWFFNAFLSSPGLGTELTVEDFGYLMSPGDRSYDAIGLLKQISEHTAATYANAQEILGNETPKTLQKDSSGNDKDNCHGSPNQPGNHSQLASNALIERRTLDFSECGTPSKGDDNKSSAISSKSPSSYLLKGCR >Vigun08g066600.3.v1.2 pep primary_assembly:ASM411807v1:8:9863521:9871823:1 gene:Vigun08g066600.v1.2 transcript:Vigun08g066600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERSVPAPSHGQVDGAQRVKALHGRTTGPTRRSTKGQWTLEEDDILRKAVEKFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKNGAKKWSSLARHLPGRIGKQCRERWVNHLDPTIKKEAWTQEEELALIHYHQIFGNKWAELSKYIPGRLQCSGDDNCSKGIEREEVSESSQSSANAVCFPSARGMSSTELQTGEATCRPKEECNLRKDHSPSRASCSEPYYVSIDDVSICIPEISHQEACTSQFIEQQSHELGNSTSGNCHFDLHSLPNVSSMVVGQDSSQLHRDCVASGEICDMVNVPFRTSEALGASTSMGPASLGPLKPEHVLISDDECCRVLFSDSVNDGCFPPVDYIKGEETVKFSGCTSFRCQSCNIQISETGGSSTPQLTCPRCSNNCQGTSSSLSNPPVFSACDDREGRTANDNHLYGADGQQLVSTAPANFTYDNYISSSPCVDGMGTVVMEEAREENANVHAEKEDSGSLCYEPPRFPSLDIPFFSCDLVQSGSDMQQEFSPLGIRQFMMSSMNCLTPFKLWDSPSHGDSPDALLKSAAKTFTGTPSILKKRHRDLLSPLSDKRVEKKLETDMTTFTKNICSLDVMFGDNETQETDIPSLQKQNSSACVDDNKENCGQGYEPTKYAIFDEKNSHEDTVHNSQPNVKQQQLNIDASATAIEQEPSGVLVEHDVNDLTLSSPDQVGLKSIRGLGTSTKTPKSMNRSLEEVPNQNSHLKLSSKNPCSRVNSNSPCVRAKEHEKLSVAVTRVQAPAAPVDNSGEQTRKDGGFETCSIFGGTPFRKGLESPSAWKSPWFFNAFLSSPGLGTELTVEDFGYLMSPGDRSYDAIGLLKQISEHTAATYANAQEILGNETPKTLQKDSSGNDKDNCHGSPNQPGNHSQLASNALIERRTLDFSECGTPSKGDDNKSSAISSKSPSSYLLKGCR >Vigun08g066600.1.v1.2 pep primary_assembly:ASM411807v1:8:9863388:9871837:1 gene:Vigun08g066600.v1.2 transcript:Vigun08g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERSVPAPSHGQVDGAQRVKALHGRTTGPTRRSTKGQWTLEEDDILRKAVEKFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKNGAKKWSSLARHLPGRIGKQCRERWVNHLDPTIKKEAWTQEEELALIHYHQIFGNKWAELSKYIPGRTDNAIKNHWNSSVKKKLDSYLTSGMLNQFEPVPHVGNSNQSTRLQCSGDDNCSKGIEREEVSESSQSSANAVCFPSARGMSSTELQTGEATCRPKEECNLRKDHSPSRASCSEPYYVSIDDVSICIPEISHQEACTSQFIEQQSHELGNSTSGNCHFDLHSLPNVSSMVVGQDSSQLHRDCVASGEICDMVNVPFRTSEALGASTSMGPASLGPLKPEHVLISDDECCRVLFSDSVNDGCFPPVDYIKGEETVKFSGCTSFRCQSCNIQISETGGSSTPQLTCPRCSNNCQGTSSSLSNPPVFSACDDREGRTANDNHLYGADGQQLVSTAPANFTYDNYISSSPCVDGMGTVVMEEAREENANVHAEKEDSGSLCYEPPRFPSLDIPFFSCDLVQSGSDMQQEFSPLGIRQFMMSSMNCLTPFKLWDSPSHGDSPDALLKSAAKTFTGTPSILKKRHRDLLSPLSDKRVEKKLETDMTTFTKNICSLDVMFGDNETQETDIPSLQKQNSSACVDDNKENCGQGYEPTKYAIFDEKNSHEDTVHNSQPNVKQQQLNIDASATAIEQEPSGVLVEHDVNDLTLSSPDQVGLKSIRGLGTSTKTPKSMNRSLEEVPNQNSHLKLSSKNPCSRVNSNSPCVRAKEHEKLSVAVTRVQAPAAPVDNSGEQTRKDGGFETCSIFGGTPFRKGLESPSAWKSPWFFNAFLSSPGLGTELTVEDFGYLMSPGDRSYDAIGLLKQISEHTAATYANAQEILGNETPKTLQKDSSGNDKDNCHGSPNQPGNHSQLASNALIERRTLDFSECGTPSKGDDNKSSAISSKSPSSYLLKGCR >Vigun09g185000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35873055:35874979:-1 gene:Vigun09g185000.v1.2 transcript:Vigun09g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYVDQQQHPKNETPPPIFSKLQPHHQHHPFPHNPFQLSAADEDNRTLAVTPTTAHKPNSSGGDGATIEVVRRPRGRPPGSKNKPKPPVIITRDPEPAMSPYILEVSGGSDVVEAIAQFSRRKNMGICVLTGSGTVASVTLRQPSTTPGATVTFQGRFDILSVSATFLPQQSGASPAVPNGFAISLSGPQGQIVGGMVAGGLMAAGTVFVIAASFNNPAYHRLPPEDEGGASAGDGHSPPISGGGESGHGQAESCGMSMYSCHLPSDVIWAPTARPPPPPPPY >Vigun11g092400.1.v1.2 pep primary_assembly:ASM411807v1:11:27405950:27407774:1 gene:Vigun11g092400.v1.2 transcript:Vigun11g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNQFYHISLALLFCMGFLAFQVSCRTLQDATMYERHEEWMARYGKGYKDPQEREKRFRIFKENVNYIEAFNSAANKPYKLAINQFADLTNEEFIATRNRFKGHMCSSIIRTTTFKYENVTAVPSTVDWRQKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLISLSEQELVDCDINGEDQGCGGGLMDDAFKFIIQNHGLNTEANYPYQGVDGKCNANAAGSHAATITGYEDVPANNEKALQKAVANQPVSVAIDASGSDFQFYKSGVFSGSCGTELDHGVTAVGYGVSDDGSEYWLVKNSWGTEWGEEGYIRMQRGVAAQEGLCGIAMMASYPTA >Vigun10g083500.2.v1.2 pep primary_assembly:ASM411807v1:10:23582579:23587953:1 gene:Vigun10g083500.v1.2 transcript:Vigun10g083500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDVDETSAPREGKPVLVILVGAPGSGKSTFGEDVMRSSTRHWVRVCQDTIGNGKAGTKAQCLSSATSALKEGKSVFIDRCNLVREQRSEFIQLGGGLQIDVHAVVLDLPAKLCISRSVKRTGHEGNLQGGRAAAVVNRMLQHKELPKLSEGFNRITFCQNENDVKNAINTYSSLGPLDSLPHGCFGQKNTDSKIQVGIMKFLKKAEVPLAAASTTSGTEDPTSQTPRNNSYFKNKETLSSISDNANLETKEVEGQEVGSADSHANQVCPDDIPTLAFPSISTSDFQFNLEKAADIIVEKVAEFSNKFRNARLVLVDLSHKSKILSLVKARIAEKNMDTQKFFTHVGDITHLYSRGGLRCNAIANAANWRLKPGGGGVNAAIFNAAGPELESATKDKVKSLSPGNAAVVPLSSSSPLFTREGVTHVIHVLGPNMNPQRPNYLNNDYNKGCKILEDAYTSLFDGFASIVRNQPGIPVGKSEKVERKSLELPVQSDCSARKYFTSTEQKSKRSDDHGSEKSKKCKGTLDGLGLAFTDSKDEKVDSEQRRTERSRSKAWGSWAQALHQIAMHPEKHKGDLLEISDDVVVLNDIYPKAQKHVLVLARTGGLDCLADVQKEQLQLLKKMHDVGVKWAEKFLTENASLVFRLGYHSVCRMYHRCDNYIYMLLARTLNQHN >Vigun10g083500.1.v1.2 pep primary_assembly:ASM411807v1:10:23582579:23587953:1 gene:Vigun10g083500.v1.2 transcript:Vigun10g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDVDETSAPREGKPVLVILVGAPGSGKSTFGEDVMRSSTRHWVRVCQDTIGNGKAGTKAQCLSSATSALKEGKSVFIDRCNLVREQRSEFIQLGGGLQIDVHAVVLDLPAKLCISRSVKRTGHEGNLQGGRAAAVVNRMLQHKELPKLSEGFNRITFCQNENDVKNAINTYSSLGPLDSLPHGCFGQKNTDSKIQVGIMKFLKKAEVPLAAASTTSGTEDPTSQTPRNNSYFKNKETLSSISDNANLETKEVEGQEVGSADSHANQVCPDDIPTLAFPSISTSDFQFNLEKAADIIVEKVAEFSNKFRNARLVLVDLSHKSKILSLVKARIAEKNMDTQKFFTHVGDITHLYSRGGLRCNAIANAANWRLKPGGGGVNAAIFNAAGPELESATKDKVKSLSPGNAAVVPLSSSSPLFTREGVTHVIHVLGPNMNPQRPNYLNNDYNKGCKILEDAYTSLFDGFASIVRNQPGIPVGKSEKVERKSLELPVQSDCSARKYFTSTEQKSKRSDDHGSEKSKKCKGTLDGLGLAFTDSKDEKVDSEQRRTERSRSKAWGSWAQALHQIAMHPEKHKGDLLEISDDVVVLNDIYPKAQKHVLVLARTGGLDCLADVQKEQLQLLKKMHDVGVKWAEKFLTENASLVFRLGYHSVPSMRQLHLHVISQDFESTQLKNKKHWNSFNTAFFRDSVDVMDEVSSDGKATLKDDDKLLSMELRCHRCRSAHPNIPRLKSHISSCQSPFPAYLLQNGRLVHAPGEPRNSVQ >Vigun09g201900.1.v1.2 pep primary_assembly:ASM411807v1:9:37614119:37615975:-1 gene:Vigun09g201900.v1.2 transcript:Vigun09g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYDNVVGGKLKLKGKALDVKACGIKKKKKRDKRYRNFSQTSSDIFGGNMSMDHHEDSRESVSFDDHLTPAERRFLHQTQKLELQRLAKMANKSHRDRIHEFNQYLANLTEHYDIPKVGPG >Vigun05g286600.1.v1.2 pep primary_assembly:ASM411807v1:5:47389356:47392156:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g286600.2.v1.2 pep primary_assembly:ASM411807v1:5:47389353:47392138:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g286600.3.v1.2 pep primary_assembly:ASM411807v1:5:47389356:47392138:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g286600.4.v1.2 pep primary_assembly:ASM411807v1:5:47389361:47392138:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g286600.5.v1.2 pep primary_assembly:ASM411807v1:5:47389361:47392138:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSTAATRRGISSFPPFSQRLKQTENEIVQMFRLPNPYEGNHRNGPVTGGYVLRKEPYSRTLDERFIRILKIFKWGPDAEKALEVLKLKVDARLVREILKVDVEVSVKTQFFKWAGRRRGFEHDSTTYMALIRCLDEHRMFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g286600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47389355:47392156:1 gene:Vigun05g286600.v1.2 transcript:Vigun05g286600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGELWKTIQEMVKGSCAMGPAELSEIVKILGRTKMVNRALSVFYQVKGRKCKPTASTYNSVILMLMQEGHHEKVHELYNEMCNEGHCFPDSVTYSALISAFAKLNRDDSAIRLFDEMKENGLQPTAKIYTTLMGIYFKLGRVEEALGLVKEMRVRRCLPTVFTYTELIRGLGKSGRVEEAYMTYKKMLKDGCKPDVILMNNLINILGRSDRLRDAIKLFDEMKLLNCAPNVVTYNTIIKSLFEAKAPPSEASSWFERMKKDGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINTLGVAKRYDVANELFQELKENCGCSSARVYAVMIKHFGKCGRLNEAINLFNEMKKLGCTPDVYAYNALMTGMVRAERVDEAFSLFRTMEENGCTPDINSHNIVLNGLARTGGPKRALEMFTKMKNSTIKPDGVSYNTVLGCLSRAGLFEEAAKLMQEMSSKGFQYDLITYSSILEAVGKVDDCKMVES >Vigun05g007500.1.v1.2 pep primary_assembly:ASM411807v1:5:653107:656736:-1 gene:Vigun05g007500.v1.2 transcript:Vigun05g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAASAPGLCLSYPRNIRHPRYLKTPSVKTRHSVRCSVSQTSNGAKVEYTPWLIVGLGNPGNKYHGTRHNVGFEIIDSLSQAEGIKMNTIQSKALIGIGSIGEVPILLAKPQTYMNFSGESVGPLAAYYQVPLRHILLVYDETSLPNGVLRLQPKGGHGHHNGLKNVMGHLDGCSAFPRLAVGIGNPPGTMDLRAFLLQKFSSVERKQIDASLEQGVVAVRTVVLRGFDQHVNRFNLGQKYKYHKV >Vigun09g053900.1.v1.2 pep primary_assembly:ASM411807v1:9:5390563:5398105:1 gene:Vigun09g053900.v1.2 transcript:Vigun09g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQTSVPGGDVVRPLNSVARSVAEESLVLPVSTAVPGAVPIFYPASVSDAGLVGMGYGNVTSGGGGGAATWCVRPAVPIHNHNPSVNPAVGFVHAPSFPNRVGAVGGNAVDVSSSFVAASHGFPMNLGGNWVASGNGLDSINNNDVNNSNNNNNNAVPGNNRVISNSGDHVCGVGVGSISNTPSSQRTDQTNEEGGDDSISGRKMKLMCSYGGKILPRPSDGMLRYVGGQTRIISVKRDVSFNDLVQKMVSTFGQNGVIKYQLPDEDLDALVSVSCPDDLENMMEEYERLIERSPDGSPKLRVFLLSASELDPSGVAQFVNLHDGGLKYVEAVNGIADGIGGKLTRKASYTSAVSTQNSDFSGIDALDSLNAAQGDVSGVPVPMPSSLSPEGIVVASHDGTSNSVVPEPGTSYTEASAVPMGIPVSNSGPTHIPPLQNEVELEKSVPVTFSQPQFGLQQSGLEIPPSAPLQTSVDHRQEVMNHADYVQLPPHMGFLNPQLLGKPGSIYSQHQFHDNTSRFGSHQVIPAVQMTMTQPFSHAGLRPSVIQPQPFMQPQQNRLDHYIDENASGLRIHQVPAEQSYKTFQVQVPFGGNYRWVQVPSAEHVIFSDAFVPQQPMMIPEKLQRVEDCYMCQKKLPHAHSDPVVQDQRNSCAGPISDSIPSFYSLPTEDNSRAQATNMVLVSAPMKEDSVEQAVVTRPNVLSKLDTPAGAACTDTTGLSLELEGETAFIQKLDRSDHSRNAVNQETVVRTGERQLPSDGLMGTAPYSYRDDITRQHMVPLESRSKEDSLVNKPVSNDIPLVVGTSVENSDCMVKECPIEYTNELPSTTSKADAMENWIAQDLLKPIDGRIDNLKIGNPENFLNNDKFDYGTQHVAEKKGVPLDNNLGKSNLITDADQINMMDMLPSSTVEISYGNNSRPVEYNEVIQPSVWGIPGSNPQPKSGNHLREDAVLSSVPPSARFGEVKDSSNSLFSNQDLWNIHSSYFPPPRPNKVALKKETYSNKDQLGENLGFNGEQNLEAQIDNDLYQTLKQNLTLEEARPAAKISSEDRQLQAVAEGLAASVLHSSTSSNLDLNAKDVSHHEDVGDGDVQNNLIDIQHKDKIQDLKSKLPEKLNFGFPVSDVGALQVIKNCDLEELIELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKHSEQERLRADFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNGRNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVNNTLRPPVPESCDPEWRLLMEMCWSSEPSERPSFTEIANGLRSMATKISPKGQNQQQQPASSLSQAQK >Vigun08g046000.1.v1.2 pep primary_assembly:ASM411807v1:8:4905182:4909754:-1 gene:Vigun08g046000.v1.2 transcript:Vigun08g046000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQYHQATDGLLNLFTKANHDLSSVHHRLEKEFLQVYPDNANPMQLVSRIKKLQDDIATLKGQCHELLVAKQDLIDQAQRILVENRNLVQRMQPSLGIFSTGEDDAAFTNFKQVIEEWTAQVRSKTGKETLDADSGDINKLLFSAIVQSN >Vigun06g194000.1.v1.2 pep primary_assembly:ASM411807v1:6:31045012:31046979:1 gene:Vigun06g194000.v1.2 transcript:Vigun06g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKTLERIVVIPSHPPFLQDHSLPLSHLDTDPNLHLTFRYLRAYTSTASPTSLDPFNVISSSISNALTQFYPLAATLRRRPTSPHRLELWCAAGQGIPLVRAAADFTLHSVNHLDDPASPLAEQLVPDPDPEEGLEHPCILQVTVFACGGFVLGAAMHHALCDGMGGTLFFNAVAELARGATRMTVETVWERDRLLGPRKPPRVDSALIDEFLRLEKGVLPYEEGVGGVARECFHVKDECLHMLKRSLLEQSGFNFTVFEALGAYIWRAKVMACGIQGEEKVKFAYSINIRRLVKPALPGGYWGNGCVPMYVVLSAKNLIERPIWETAELIKKSKSNVSDEYVRSYIDYQELHFGDGITAGREVSGFTDWRHLGHSTVDFGWGGPVTVLPLGRNLLGSVEPCFFLPYSTASSQKKEGFKVLVTLRDSALPAFREAMQVFSTTQDHWPSHI >Vigun03g281500.1.v1.2 pep primary_assembly:ASM411807v1:3:46135984:46139023:1 gene:Vigun03g281500.v1.2 transcript:Vigun03g281500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFCQPLIISLLLLLAVNHCSGLTRDGVLLLSFKYAVLNDPLYVLANWNYTDQTPCSWNGVSCSTVPATNGTEYRVTSLSLPNSQLFGSIPSDLGSIEHLQVLDLSNNSLNGSLPSSLSQSSQLRFLNLSNNLISGEVPESITQLQNLQCLNLSDNALAGKLPHDLSNMHNLTLASFKNNYLVGFLPSGLRTLQVLDLSSNLFNGTLPADFGGDAMRYLNISYNRFFGEIPKEFAANIPGNATVDLSFNNLTGQVPESAVFLNQNWKSFSGNVNLCGEQTKNVCPVPSSSSSNPKVSAPISPPAIAAIPRTFDSSAAPKGKKGSGLKRGTIIGIVVGDVIGIAILGMIFAQVYRLKKKKEVVKKEAVVRSGSGSESESSWESRRFMRWSCLSKRAEEEECSETRSSCDSEVEGQKQKGPQQEEKTGTLVIVDGERQLELETLLKASVYILGATGSSIMYKAVLEDGMSLAVRRIGESGVERFKDFQNQVRLIAKLVHPNLVRIRGFYWGHDEKLIIYDFVPNGCLANVRYRKVGTSPTHLPWEIRLKIAKGVARGLTYLHEKKHVHGNLKPSNILLGNDMEPKIGDFGLERIVTGDTSYKAGGSARIFGSKRSTASRDSFQDITYGPSPSPSPSPSSMMGLSPYHAPESLRNLKPHPKWDVYSFGVMFLELLTGKIVVLDDMGQGPGVLTEDKNRALRMVDMAIRADMEGREEALLAYFKLGYSCVSSVPLKRPSMKEVLQVLEKISSSSSSSSYYYSV >VigunL060327.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:33000:33308:1 gene:VigunL060327.v1.2 transcript:VigunL060327.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun01g090800.1.v1.2 pep primary_assembly:ASM411807v1:1:25121393:25126150:-1 gene:Vigun01g090800.v1.2 transcript:Vigun01g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLKYVSSIAEDVIRRCAQKLDTSVESLVDDFERGWKPEMGDYCKNLIEFCSGKAVNEMCPNIKENIYDGSFSRLTYDMMLAWERPSYFDDQELSEPTAKEKEETKEEKKEEKKITKKTTEEQDDIPLFYSDIMPLLVNDEPNVGEDSFVWLGSLVPLVADVASGRFTFETLTASTGYRLHFPAYDKFLKEMDKCIRHLKKQAKPNGVELAEDEYILHVDGTVVSQRVVRHIGTQSWPGRLTLTNYCLYFEASGVIKYEDALKIDLSKNVEQSVNPGATGPWGAQLYDKAIVYESADLSETVVLEFPELTSSTRRDHWLALVREIMLLHRFLSKYQIKNPVQTWEMHARTMLGIIRLHAAREMLRISPPVPTKFLIFSLYNEIPKGDFVLEELADSLKKNNYSHSSSASSILSSMNISKIIASDVITDEPPSHADESVYDLEGEPSLETAIQQSREEEREILIAKATTEELKEEGVIDSVLVITELLKPLKIVVPWCRQIFSWERPLLTLAVLTASLVITYMEWVGKTFAVLLIWAIRKMLVARRNKIYEKHMEIVVSRSNMASDQSTLESIVSAQQGLYTVHEMMQIANIAMLKIWSILISKAEKHANLVMVAMGVLAVLLAVIPFKFFLMGLIIQSFTMTLGKPSETGNRRLREWWDAIPIVPIRVVDDVPDSGDEKIGH >Vigun01g019550.2.v1.2 pep primary_assembly:ASM411807v1:1:2083084:2084470:1 gene:Vigun01g019550.v1.2 transcript:Vigun01g019550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKPIPNQRCRKTTFLRRKMGLVKKVSEFCIMCQAKACLIVYDDDSDKIGPEIWPQDSAEVQSLIQNYVCQTEKSPKTFQIQDFFDNRKNMIEAEISKLHKQERDIMYPTWDPSLNNMDKEQLWAFVADVNAKIGACDQRITMLKNKNDQDREISIDVMNNMSHQQQQQQKQSVSSQLSFMCQKNQQQQLMSMSLETLLSVDEKYDGVPLDSTFQVGGVSGQGINMLRNIEQDGAYFCYMPNMVHESATSSQPRPISFMQNKSRLQQKIHDVQSQNEQQEQEVDLAPLPPSLF >Vigun01g019550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2083084:2084470:1 gene:Vigun01g019550.v1.2 transcript:Vigun01g019550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKPIPNQRCRKTTFLRRKMGLVKKVSEFCIMCQAKACLIVYDDDSDKIGPEIWPQDSAEVQSLIQNYVCQTEKSPKTFQIQDFFDNRKNMIEAEISKLHKQERDIMYPTWDPSLNNMDKEQLWAFVADVNAKIGACDQRITMLKNKNDQDREISIDVMNNMSHQQQQQQKQSVSSQLSFMCQKNQQQQLMSMSLETLLSVDEKYDGVPLDSTFQVGGVSGQGINMLRNIEQDGAYFCYMPNMVHESATSSQPRPISFMQNKSRVGVPPNSSDQFDESLEWFNQFNDFEWLKESNGTKDLSNSVFGNFVDWTSEPHFSTLQLQQKIHDVQSQNEQQEQEVDLAPLPPSLF >Vigun10g025400.3.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.4.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.5.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.8.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun10g025400.13.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun10g025400.2.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.9.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.11.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun10g025400.10.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun10g025400.7.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun10g025400.1.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGAIADIIPWDGSLSSSDFFLSARTFSEKWKMFNPSFPPWQWIPCPKQHLVASHKVEGYLSMENMCHIKSSEEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEEHPHLNRPWYKLHPCGTSEWMKLLYDGDSSLNRNGFVIEHYLVSWFSVIGQVVGLKIPLEMLDTVVSNDS >Vigun10g025400.6.v1.2 pep primary_assembly:ASM411807v1:10:3037626:3041446:-1 gene:Vigun10g025400.v1.2 transcript:Vigun10g025400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLQVIFSCLLVHFLRSGKCSILPFLHGSGSRVLNSIWLLLIRWKDTCPWRTCAISNQEEESNRSLTWEEDSNDSQREEPFDCGTLVCPEHEVNHYDFHIVYSSSYRVPVLYFRSYHSDGQLLPFNEIEKDLPCHSAKLLSESKWTFITHEIRKENAETKDEACL >Vigun07g236800.1.v1.2 pep primary_assembly:ASM411807v1:7:35848032:35852327:1 gene:Vigun07g236800.v1.2 transcript:Vigun07g236800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGKKASSFFRDFLDECGGSAVIDGGFATELERHGADLNDQLWSAKCLVNSSSHLVRRVHLDYLEAGANIILTASYQATIQGFEAKGFSREESVTMLRRSVEIALEAREIYHDRCTKDSSDFMRNERYRKRPILVAASVGSYGAYLADGSEYVGDYGVAVTVQTLKDFHRERVKILVDAGADLIAFETIPNKLEAQAYAELLDEEGIETPAWFSFSCKDESNVVSGDSIFECASIADSCRQVVAVGVNCTAPRFIHGLISSIKKATSRPVLVYPNSGETYISESNQWVKSSELGEDDFVSYIGKWRDAGASLFGGCCRTTPNTIRGIAEAIYGKVQDK >Vigun01g002500.1.v1.2 pep primary_assembly:ASM411807v1:1:316254:329206:-1 gene:Vigun01g002500.v1.2 transcript:Vigun01g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSARPRTGRRLFKLPSRNLILLALAIFAILPPIFFHFRLRRFHQIQLMKCRWLNNPPLVCAHGGDSSKAPPNTMASYFHAVQAHADCIEIDVSRSSDGVLFALHDRDLQQLTGNDSIKVGHMNSNEIRELSLSQQSISKFTDETILTIQDALMLTVNSVRQIVLDVKVGPPFYEKELAKDVLSAVGKTQCSNCLIWAKSDNVARDVIKLSSNITVGYIVMREYSTGSMSTLLRMKGAEVVGVYHGLIDEKIVSVLHRRNKKVYAWTVDDPDSMRRMLLEHVDAIITSDPTSLKRVMQDIKLQCLEEGFSLPR >Vigun05g104900.7.v1.2 pep primary_assembly:ASM411807v1:5:10543811:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCAQGINLVVWLDFMAFDELTKYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.10.v1.2 pep primary_assembly:ASM411807v1:5:10543811:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.6.v1.2 pep primary_assembly:ASM411807v1:5:10541204:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAITTNPSFSPPFCSSATTPSSCSSSSSPHSARFQRFKSSGLKPITLSCREARLCSLQGLKAMRGRKSFVLEAGNITTAEVDSHGEGENEGALLGSENDNNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.8.v1.2 pep primary_assembly:ASM411807v1:5:10543811:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCAQGINLVVWLDFMAFDELTKYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.1.v1.2 pep primary_assembly:ASM411807v1:5:10542939:10549943:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAITTNPSFSPPFCSSATTPSSCSSSSSPHSARFQRFKSSGLKPITLSCREARLCSLQGLKAMRGRKSFVLEAGNITTAEVDSHGEGENEGALLGSENDNNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.2.v1.2 pep primary_assembly:ASM411807v1:5:10542972:10549944:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.11.v1.2 pep primary_assembly:ASM411807v1:5:10545500:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.3.v1.2 pep primary_assembly:ASM411807v1:5:10541204:10547860:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAITTNPSFSPPFCSSATTPSSCSSSSSPHSARFQRFKSSGLKPITLSCREARLCSLQGLKAMRGRKSFVLEAGNITTAEVDSHGEGENEGALLGSENDNNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.5.v1.2 pep primary_assembly:ASM411807v1:5:10541204:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAITTNPSFSPPFCSSATTPSSCSSSSSPHSARFQRFKSSGLKPITLSCREARLCSLQGLKAMRGRKSFVLEAGNITTAEVDSHGEGENEGALLGSENDNNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.9.v1.2 pep primary_assembly:ASM411807v1:5:10542970:10549943:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g104900.4.v1.2 pep primary_assembly:ASM411807v1:5:10542940:10549912:1 gene:Vigun05g104900.v1.2 transcript:Vigun05g104900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAITTNPSFSPPFCSSATTPSSCSSSSSPHSARFQRFKSSGLKPITLSCREARLCSLQGLKAMRGRKSFVLEAGNITTAEVDSHGEGENEGALLGSENDNNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVMVVTTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPLYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIASDLLAARVTAANKIRLWNKGVDSEKFHPRYRSYEMRLRLSDGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPEARIAFIGDGPYREELEKMFEGMPAVFTGVLRGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQDGKTSYLYNPGDLEDCLSKLRPLLHNKVLRETMAKAAREEMEKYDWKAATRMIRNEQYNAAIWFWRKKRAQLLRPFQWLAKRFFPSPQVN >Vigun05g267100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45927771:45929896:-1 gene:Vigun05g267100.v1.2 transcript:Vigun05g267100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVINHEDPASGEDEKVPMQKRRGRPQKPLKDDFDEEEVEKIEDDSDNNVKNGISNKEMKSPTATENGRKRKKSSQVKEKLESVEEENGIGNGSNTTAALTKSNGFRHNGSRRKNTPRRAAEAGVQCK >Vigun10g181201.1.v1.2 pep primary_assembly:ASM411807v1:10:39896153:39898641:-1 gene:Vigun10g181201.v1.2 transcript:Vigun10g181201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRSRKMRWRPLTMARTIDFKEESTELETPPSETLQPPPINSLHCRPKSYVLITSGCFFLLPSIFFLHPITGFRKLRSKCMSGMEYLPEN >Vigun08g179450.1.v1.2 pep primary_assembly:ASM411807v1:8:34932368:34933727:-1 gene:Vigun08g179450.v1.2 transcript:Vigun08g179450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKGKDDASKSEKNPSAAEPWSVPVKQPIIDPFHDLLNPSPTRNEYLEHAMFLKKPRIEEPEILDVPLPEDLLLPPEYEEPPRSFGGLEEIVESAKLLNRRKD >Vigun04g079300.1.v1.2 pep primary_assembly:ASM411807v1:4:11050782:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun04g079300.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:11050831:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQVTLHLCVCECVCMCDVIL >Vigun04g079300.6.v1.2 pep primary_assembly:ASM411807v1:4:11050788:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun04g079300.5.v1.2 pep primary_assembly:ASM411807v1:4:11050830:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun04g079300.7.v1.2 pep primary_assembly:ASM411807v1:4:11050831:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun04g079300.4.v1.2 pep primary_assembly:ASM411807v1:4:11050800:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun04g079300.2.v1.2 pep primary_assembly:ASM411807v1:4:11050781:11054899:1 gene:Vigun04g079300.v1.2 transcript:Vigun04g079300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGEVQEANNTTPLVRQGSLYNLTLDEVHTQIGDLGKPLGSMNLDELLKSVWSADMQDGQAASGFSLNPEVSRDLSRKTVDEVWRDMQLKKDEKTQKRKPTLGEMTLEDFLVKAGVVSDSNGASSQHGHWMQYQHCSMQHQNMVGGYVTGHAIQQAFVLDAAYSEPASVMGALSDTQTPCRKRLASGDVVEKTVERRHKRMIKNRESAARSRARRQAYTQELEIKVKQLEEENERLRRLNEIEKALPSVPPPEPKQQLRRTSSAIF >Vigun03g291700.1.v1.2 pep primary_assembly:ASM411807v1:3:47590141:47603343:-1 gene:Vigun03g291700.v1.2 transcript:Vigun03g291700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSEQDIVCDICGDAGNEEDLAICNKCTDGAEHIYCMRDVLEKVPEGDWWMCEDCKKSECKKSNSQVNLESSSSAGLNSAELNSKSSHDWKRQTGVISRSYSSANPSANRHGVQSEAQSLKDKMSAKSCDNTPLQHKDSSYKTFKKGKAKETKDTTSELQIYCNPQDKAKASHVFSDKRCADTLQVDLDRKTKALETSAGLPYTPKTTKKRSLLVRGGGGSLSKNLRNANVEKSSCVSLENPKLHSYSGSPHSMSGLSEIADVKFGGYLDEARYLPMKKRKIRDFVSSSALDLNVNTHGGTNNVGAQDIPEAGAVDTSFSGDKIEAHEIGESNLVIDLNTSLWPESATPEVVGPCLPVENVDDQPSKDVNVTMRTEETKNEENLNNNNAERSNTDRPESLASPTSDEEHLGLLYWQAVEVLSSNFGL >Vigun08g016600.1.v1.2 pep primary_assembly:ASM411807v1:8:1395913:1404291:1 gene:Vigun08g016600.v1.2 transcript:Vigun08g016600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRATSDTRHHLSVAPPLQISKDVQGSDNSIPLSPQWLLPKPGENKLGTGSVENHMVSNPPYGHRSETVKTSGNGEDVHDVHKKKDVFRPSMFDSESGRRDRWRDEERDTKSSIRKDRWRDGDKDLGDSRRVERWTDNMSARNFAEARRGATDNHRWNDSGNRETNFDQRRESKWNTRWGPDDKEPEGIREKWSDSGKDGDIHLEKGLTNISSQGKDEKEGEHYRPWRPNYSQGRARVDPSHTTPNKPVSTFSYGRGRGENTPPVSSIGHGRTGSLASSMSSTYSGATLEKVQSGLEELNPFRYNRTKLLDVYRVTGMGTNRKLVDDFVQVPNLTQDEPLEPLAILAPNPEELAVLNGIDKGEIISSSAPQVPKDGRSTDFTHTRRMKPGSGPFQDRGEDGGSYKVPEEVSSNKDSSFEGNTSVHPGASWRTMPLGDHAAQFHDGRDVTSDVRLRKTDLNSHQPKDPHNQWENNLGYLSDSKDVGKWQASEDPVIKRQLSGILDSELEIRRVQQTVPEELSLLYKDPKGLIQGPFKGIDIIGWFEAGYFGIDLPVRLENSAADSPWLSLGDAMPHLRAKARPPPGFSTPKPNDLTDIPGRQISSTFGSTHTGLNELDILRGDSRHRQNPDTEAENRFLESLMSGSKNSPPLDGLALSEGLQGFVGNNPGNMGPSAVDNGNNLYLLAKRMALERQRSLPSPYPYWPGRDAASFAPKPDVVPDASLHSKLLSSVSDNSRQPQSQNSELMSIIQGLSDRTSAGLNNGAAGWPGYPLQGALDPLQNKIDLLHDPNFPQMPFGIQQQRLPAQNQLSLSNLLSQAAADNPNNALTAEKLLSSGLSQDPQILNMLQQQYLLQLHSQAAAQSQQIPLIDKLLLLKQQQKQEEQQQLLRQQQLLSQVLQDQPSSQLFSNLSYGQLQGVLPMGNLRVDPSLVQPQQEVFPMSSQTAIPNVHNEHNSNSLNLPPKVSQDTSSNVSSQASIRLRHQLFGDTRPENWGPNLTEQIIDQYQKESFPVSSTQVDGSALLDQNRSKEEPLIPSLSPSDYAAKSVEQVQPSNFRPDAVVVTSTSKPGESSGNVESFASSIALSTAVSSVSPPVSGPGTEVKTKLDIVHQEQHAGKDSTISEPSLGDMRKIEPQEPKKASEKKSKKQKSTKSQSFDQTKGVVKNLTLQPSKQSETEMAKLSDFREAKIDESLNDTNMQQTRVKGTRTGSAVPETGDDHQQAGGWSGIITGKITEAVDAGEINSTTLLTQKTEVPAGRAWKPAPGVKAKSFLEIQQEEKRKAETETLVSDVAVSVNSMTLASPWAGIVANPDSLKVSSEGVKEGRNTENHVKSETSQNVKSKKSPLHDLLAEEVLKKSNEIDAEIPDSILPSHNIVVQSESLDDGHFIEAKDTKRSRKKSTKSKGSATKASLPIASSDVPIASSPNEKGKNSRLAQQEKEELPVIPAGPSFSDFVLWKGEREPPSSSPSPAWSTDSGRVPKPTSLRDILKEQEKKASSAIPVSPVPPPPKSQPTQSTRSNASSWSVSASSPSKAASPIQINTQASQSKYKGDDDLFWGPMEQSKQDAKQSDFPQLASQGNWGSKNVTSKGNSPGLLTKQKSVSGKQAERSLASSPASSQSMLKLKKDAMTKHSEATDFRDWCENECVRLIGSKDTSFLEFCLKQSRSEAELLLIENLGSYDPEHEFIDKFLNYKELLPSDVLDIAFQSKNEKKVGGHGASWTASGNADTQDVDYSEGSSKGGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQSVED >Vigun08g016600.2.v1.2 pep primary_assembly:ASM411807v1:8:1396551:1404291:1 gene:Vigun08g016600.v1.2 transcript:Vigun08g016600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPPYGHRSETVKTSGNGEDVHDVHKKKDVFRPSMFDSESGRRDRWRDEERDTKSSIRKDRWRDGDKDLGDSRRVERWTDNMSARNFAEARRGATDNHRWNDSGNRETNFDQRRESKWNTRWGPDDKEPEGIREKWSDSGKDGDIHLEKGLTNISSQGKDEKEGEHYRPWRPNYSQGRARVDPSHTTPNKPVSTFSYGRGRGENTPPVSSIGHGRTGSLASSMSSTYSGATLEKVQSGLEELNPFRYNRTKLLDVYRVTGMGTNRKLVDDFVQVPNLTQDEPLEPLAILAPNPEELAVLNGIDKGEIISSSAPQVPKDGRSTDFTHTRRMKPGSGPFQDRGEDGGSYKVPEEVSSNKDSSFEGNTSVHPGASWRTMPLGDHAAQFHDGRDVTSDVRLRKTDLNSHQPKDPHNQWENNLGYLSDSKDVGKWQASEDPVIKRQLSGILDSELEIRRVQQTVPEELSLLYKDPKGLIQGPFKGIDIIGWFEAGYFGIDLPVRLENSAADSPWLSLGDAMPHLRAKARPPPGFSTPKPNDLTDIPGRQISSTFGSTHTGLNELDILRGDSRHRQNPDTEAENRFLESLMSGSKNSPPLDGLALSEGLQGFVGNNPGNMGPSAVDNGNNLYLLAKRMALERQRSLPSPYPYWPGRDAASFAPKPDVVPDASLHSKLLSSVSDNSRQPQSQNSELMSIIQGLSDRTSAGLNNGAAGWPGYPLQGALDPLQNKIDLLHDPNFPQMPFGIQQQRLPAQNQLSLSNLLSQAAADNPNNALTAEKLLSSGLSQDPQILNMLQQQYLLQLHSQAAAQSQQIPLIDKLLLLKQQQKQEEQQQLLRQQQLLSQVLQDQPSSQLFSNLSYGQLQGVLPMGNLRVDPSLVQPQQEVFPMSSQTAIPNVHNEHNSNSLNLPPKVSQDTSSNVSSQASIRLRHQLFGDTRPENWGPNLTEQIIDQYQKESFPVSSTQVDGSALLDQNRSKEEPLIPSLSPSDYAAKSVEQVQPSNFRPDAVVVTSTSKPGESSGNVESFASSIALSTAVSSVSPPVSGPGTEVKTKLDIVHQEQHAGKDSTISEPSLGDMRKIEPQEPKKASEKKSKKQKSTKSQSFDQTKGVVKNLTLQPSKQSETEMAKLSDFREAKIDESLNDTNMQQTRVKGTRTGSAVPETGDDHQQAGGWSGIITGKITEAVDAGEINSTTLLTQKTEVPAGRAWKPAPGVKAKSFLEIQQEEKRKAETETLVSDVAVSVNSMTLASPWAGIVANPDSLKVSSEGVKEGRNTENHVKSETSQNVKSKKSPLHDLLAEEVLKKSNEIDAEIPDSILPSHNIVVQSESLDDGHFIEAKDTKRSRKKSTKSKGSATKASLPIASSDVPIASSPNEKGKNSRLAQQEKEELPVIPAGPSFSDFVLWKGEREPPSSSPSPAWSTDSGRVPKPTSLRDILKEQEKKASSAIPVSPVPPPPKSQPTQSTRSNASSWSVSASSPSKAASPIQINTQASQSKYKGDDDLFWGPMEQSKQDAKQSDFPQLASQGNWGSKNVTSKGNSPGLLTKQKSVSGKQAERSLASSPASSQSMLKLKKDAMTKHSEATDFRDWCENECVRLIGSKDTSFLEFCLKQSRSEAELLLIENLGSYDPEHEFIDKFLNYKELLPSDVLDIAFQSKNEKKVGGHGASWTASGNADTQDVDYSEGSSKGGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQSVED >Vigun06g002700.2.v1.2 pep primary_assembly:ASM411807v1:6:1254701:1256328:1 gene:Vigun06g002700.v1.2 transcript:Vigun06g002700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFYVNSFIPLATNIILLVFNITSSAWKRNMVTADIVRTVVGIIGNIISGCLFLSPVPTFVEIWKKGSVGEYSAVPYMATLMNCMVWTLYGLPMVHPHSLLVVSINGGGCVIEMIYVTLFFLYSNRTRRLTLFLCLFSQLLFLTLLSILTFTSIHDVDKRSAVVGTICVIFNVAMYASPLSVMKLVVRTKSVEYMPFSLSLASFGNAVSWTAYSLIPFDPFMAIPNGIGTTFSVAQLILYGTYYKSTKTQIEARNAKGVGEVNLSEVVVGNNNQDPNNIIAVLPDGF >Vigun09g184500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35809727:35810629:-1 gene:Vigun09g184500.v1.2 transcript:Vigun09g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGFFEGGVASIVAGCSTHPLDLIKVRMQLQETHILRPAFALHAPTPVPPPPPSGPISVGVRIVQAEGVAALFSGVSATMLRQTLYSTTRMGLYDVLKRHWTDPEQGTMPLSRKITAGLIAGGIGAAVGNPADVAMVRMQADGRAPAAERRNYKGVFDAIRRMSNQEGVGSLWRGSALTVNRAMIVTASQLASYDQFKETILGNGWMEDGLGTHVAASFAAGFVASVASNPIDVIKTRVMNMKVGAYNGALDCALKTVRAEGPLALYKGFIPTVSRQGPFTVVLFVTLEQVRKLLKGF >Vigun03g262950.1.v1.2 pep primary_assembly:ASM411807v1:3:43209853:43210456:1 gene:Vigun03g262950.v1.2 transcript:Vigun03g262950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSISLQDITIGVAIGSSTPISMFVIPFCVVVGWCMGKEMDLNFQLFETATPFITVLVVAFMMQEGTSNYFKGLMLVLCYLIVAASFFVHVDNNKSGELLEYTA >Vigun05g152101.1.v1.2 pep primary_assembly:ASM411807v1:5:23582645:23583190:1 gene:Vigun05g152101.v1.2 transcript:Vigun05g152101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALKCVCLSFVGVLSSCWVVQGSKRCEYPAIFNFGDSNSDTGAISAAFTVVLPPNGQNFLGSLSGRACDGRLIIDFITEELKVPYLSAYLNPVGSNYRHGANFATGGSSILPGGYSPFHLGLQISQFIQFKSRTRILFYHPLVNNS >Vigun03g083200.1.v1.2 pep primary_assembly:ASM411807v1:3:6918939:6930414:1 gene:Vigun03g083200.v1.2 transcript:Vigun03g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWNYVVTAHKPTNVTHSCVGNFTSPQDLNLIIAKCTRIEIHLLSPQGLQPILDVPIYGRIATLELFRPHGEAQDYLFIATERYKFCVLQWDSETAELVTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDPDGSRYLLGDHTGLLSLLVITHEKEKVTGLKIEPLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVHNQLVQVTSNSVRLVNSTTRELRNEWFAPSGYSVNVATANATQVLLATGGGHLVYLEIGDGILQEIKHAQLEYEISCLDINPIGENPNHSHLAAVGMWTDISVRVFSLPDLNLVTKEQLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFMLNTSTGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKYNPTSGEDSEMHFVRLLDDQTFEFISTYSLDTYEYGCFIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFTVEDGKLQLIAEKETKGAVYCLNAFNGKLLAAINQKIQLYKWVLRDDGTHELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFINRFRHGSLVMRLPDSDVGQIPTVIFGTINGVIGVIASLPHEQYVFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVEARNFLDGDLIESFLDLNRSRMDEISKAMDVSVEELCKRVEELTRLH >Vigun01g062800.1.v1.2 pep primary_assembly:ASM411807v1:1:14192496:14193082:1 gene:Vigun01g062800.v1.2 transcript:Vigun01g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLNVNRFEGTHLWISLGFPIVLPHAESDQAAAMPKVKRFRNPQKSSLQLPANSLPSTNPAPTNYLANQ >Vigun02g173800.1.v1.2 pep primary_assembly:ASM411807v1:2:31652357:31663744:1 gene:Vigun02g173800.v1.2 transcript:Vigun02g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRSPSPSSEDIVDSTPLLANSGGSSSEELTTGRRFARRQRLRQAARFLRQASGRRMMREPSMLVREAAAEQLEERQSDWAYSKPVVVLDVVWNFAFVVVAGTVLVLSASEAPGMPLRLWILGYAMQCVLHMVCVCVEYRRRRRNQRATSSVSAQDRVGSSSGNLSSSSREGSASGSAQYVSLGQLDEEGTSVAKHLESANTMFSFVWWIIGFYWVSAGGQELAQDSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQEGASKEDIEQLSKFKFRRIQSNEKLTGTIQGPVGGIMTECHAESPMEHALAEEDAECCICLSSYDDGVELRELPCGHHFHCVCVDKWLYINATCPLCKYNILKSSNLSQEEV >Vigun02g180700.1.v1.2 pep primary_assembly:ASM411807v1:2:32147866:32150955:1 gene:Vigun02g180700.v1.2 transcript:Vigun02g180700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIFCLVSLLSLFSLCLSRPNAELTEDKQALLHLVEKLAPSRPLNWNATSSPCTSWTGVTCNSDHSRVIAIHLPGFGFHGTIPPNTLSRVTFLQTLSLRSNSITGPLPSDFSNLSNLSFLYLQFNNFSGPLPDFSTWRNLSVLDLSNNCFTGTVPLSLSRLTHLTSINLANNSLSGQIPLSLHRFPDSAFVGNNNVSLQTVSHSKSAKHRETALFWVVVAAASVALAMFLVFIFVCWSRKKKGDSFARKLLKGDMSPEKVVSRDLDANNKIFFFEGCSYAFDLEDLLRASAEVLGKGTFGAAYKAALEDATTVVVKRLKEVAAGKKDFEQHMEIVGNLKHENVVQLKGYYYSKDEKLMVYDYYTQGSLSTLLHGKRGEDRVPLDWESRMKIALGAARGLTRIHCENGGKLVHGNIRSSNIFVNTKQYGCISDLGLATIMSSVAIPISRAAGYRAPEITDTRKATQPGDVYSFGVVLLEILTGKSPVYTTGGDEIVHLVRWVHSVVREEWTAEVFDLELIRYPNIEEEMVEMLQIAMSCVGRVPEQRPRMSEVVKMIENVRQIDVAVNQQPSSENQAKCATQTPLTT >Vigun10g052700.3.v1.2 pep primary_assembly:ASM411807v1:10:8602288:8610972:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.2.v1.2 pep primary_assembly:ASM411807v1:10:8603437:8611020:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.5.v1.2 pep primary_assembly:ASM411807v1:10:8600511:8614063:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVIFNLTLPFTSHAPPVSSTCHTLSLSHSSLFTTPKIVCSSAQRRTCGVVYSHMTMEEKLPAENRMLVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.6.v1.2 pep primary_assembly:ASM411807v1:10:8600625:8614063:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVIFNLTLPFTSHAPPVSSTCHTLSLSHSSLFTTPKIVCSSAQRRTCGVVYSHMTMEEKLPAENRMLVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.4.v1.2 pep primary_assembly:ASM411807v1:10:8602288:8614063:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVIFNLTLPFTSHAPPVSSTCHTLSLSHSSLFTTPKIVCSSAQRRTCGVVYSHMTMEEKLPAENRMLVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.8.v1.2 pep primary_assembly:ASM411807v1:10:8600625:8610882:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.7.v1.2 pep primary_assembly:ASM411807v1:10:8602288:8611020:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g052700.1.v1.2 pep primary_assembly:ASM411807v1:10:8603291:8614070:-1 gene:Vigun10g052700.v1.2 transcript:Vigun10g052700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVIFNLTLPFTSHAPPVSSTCHTLSLSHSSLFTTPKIVCSSAQRRTCGVVYSHMTMEEKLPAENRMLVYVPPHPLIKHWVSVMRNKQTPCPIFRNAMAELGRLLMYEAARDWLPTVSGEIESPVTVASVEFIDPRQPVAVIPILRAGLALAEHASSILPATKTYHLGISRNKETLQPAIYLNKLPEKFADGCKIFVVDPMLASGATMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVHEKGFIIPGLGDAGDRSFGTDS >Vigun10g037500.1.v1.2 pep primary_assembly:ASM411807v1:10:5085132:5090949:1 gene:Vigun10g037500.v1.2 transcript:Vigun10g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSSSSKSKPRWLYDVFISFRGEDTRKNFVSHLYSALSNAGVNTFLDDEKLAKGQQLKTELFHAIEGSQISIVVLSENYIYSTWCLDELVKIMECHAFRGQVVLPVFYGVFPWFLRSLYDVSFEVILEKASDLHRVKQWKKALGEAAGFAGWDVSNYRNENFVVKEIVSEVLERLDRTYMSITDFPVGLDCRVEHCIGFLRKETRGAYILGIWGMGGIGKTTIAKAIYNEIRCEFKHKSFLANIREVWQRDQGQTDLQERLLSDILKTEKVKVYSSDWGKAMIKETLCTKRVLVVLDDVNTLEQLSALCGNGNGIVQGSVIIITTRDVRLLNVLDVDQVYEVEEMNEIESLELFSWHAFKEANPPEAFLELSKQVVTYCGALPLALEVLGSYLYKRREKQWQSVLSKLKEIPNDKIQEKLKISYDGLTDHTEKDIFLDICCFFIGKDRGYVTEILNGCGLHAEIGITVLVERSLIKIEKNNKFGIHDLLRDMGREIVRQSSPLEPQKRSRLWVHDDVLDILSEQTGTGVIEGLALKMQTTGGVCFSTETFEKMKRLRLLQLDHVQLAGDYVHLPKQLRWVHWKAFSLTHIPENFYQENIVAIDLKYSYLKLVWKVPPFLERLKFLNLSHSKYLSKTPDFSKLPNLEKLILKDCPSLYEVHHSIGDLNNLLLLNLKDCTCLGNLPMVIYKLKSLQTLILSGCSNIDKLEEDIGQMESLTTLMAENTSLTQVPFAIVRSKKIGYISLCGYEGLARNVFPSLIWSWMSHTRGTLSSIQPFGVMPTSIVSMDIQDNNLANLLSNFSEFSKLRSISVQCDSDFQLTQELRIMLHGLCNVNSSGSENAYQSPITENSMVSNLIGMGSYQQVFDMLSNSISKVLRTSSSADFVLPGDKYPYWLAYTGEGHSVPFQVPEDSDCRMKGMLLCVVYSSTPENMATQALTNVFIFNYTKCTIQIYKQATTMFFSDEDWQGVISNLGPGDNVEIFVGVGDGITAKKTAVYLIYGQSITMRMELLGPSAQASPELSVTLSPKLSAQASSELSVTLSPKSCAQPITHVGEPTKKPQENIFAKFRNKVRECSCLN >Vigun04g181600.1.v1.2 pep primary_assembly:ASM411807v1:4:40554114:40557576:-1 gene:Vigun04g181600.v1.2 transcript:Vigun04g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTQSFNSGIVQAPFVTSSSLLSKTWDAISSRDEDVVPHGGRGLFWKVREESDLTIVVFEVRNDFDPQQKLVSSSYLKENNNFHRFEFLCTKKIPEFSVNESAVSLVIDNLEKLDELKSKINSSHPLIVTGHGVGGAIASLFTVLLLDRIGSGKKRPLCITFGSPLIGDKKLQEAIWSSSFLHVVSCKDSLPKKLNPHPSAYIPFGIFLFCSDTGATCFENPESVLELLVSSIGDQSQGFEVADYGKLVRNLNRKAICKDFTPRGLNLTNSTSLNASIYLQLCAALGLTSDMQEIQHQKIDINALATKLETLEKKFMFQKKEKFDPSKRLNMKKIEMAKLEWYKKHCKSLGIGYYDCFKKAVSTIDQDAVQWQRELRIYWSKMVEEAEMKPQTEAAAFRTRWLFAGTNFRRMVEPLDIAEYYANGLRKDYEAKGRSRHYIVLEKWLEEDKKQKSDSNGNTRKNVELILTIDSCFWAKLEEALLLCGQLENLKENEEVKGKLVDFEKYVYESLKRYEVSPEIFLKGSSYMSWWNKYKRFADNHRLASFMSNPQHFDQYTEGAYFFS >Vigun01g110200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28343586:28344341:1 gene:Vigun01g110200.v1.2 transcript:Vigun01g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLLYPRPQPQQASPKTTVLPLKNPNPQDHTHQQQHHQQQPRTTSALVYTQNKESLVAVHNNVSKFASDPLSWLLLVIEEDQQQNASDSS >Vigun04g122301.1.v1.2 pep primary_assembly:ASM411807v1:4:31274595:31276770:-1 gene:Vigun04g122301.v1.2 transcript:Vigun04g122301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILIEADGLQLECTLFGQYVDMLNAFLASGEDQHVVIALHYCKVKTFQDKVSIQNCVNCTKIIFNCDGEDATKLKKMMWDNTESPSQALTQLCGSSKVSLEDDFIKLHPRSSIEGLKDFKQESTFVVKATIKHVLDHDDWWYTACICNKAVYPDSKMFFCEKCNKHVIKVTPRFKLKLRVIDATDSTTFVVFDRDASAMLKKSCSDILDLQDKDLYFANEEESKLNERTNQISSDSIAEDLLIKFTEESNDLETLSDYLNNIVSSHVPPEESLKNKNVIDVQIHELTRKESSHLDNLDLGTQPPVPVLKRQSRSMVQENKKIPVKMLKKNIKIEK >Vigun04g135800.1.v1.2 pep primary_assembly:ASM411807v1:4:33997903:34010147:-1 gene:Vigun04g135800.v1.2 transcript:Vigun04g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGYWNRQQALLPHSGMLKRPRSEYDMPASGLSSGNEIHNYIARDDDRTGHRMLKDTKTIGTAYDRYLQSGQVSSFASGEASTVGGIGLARGGGGGLPGHSLADPAVLGRHGGGGPDLAPNGRGVNYGGQLPVDAVSRPGPETVPLPPDASSTLYVEGLPSDSTRREVAHIFRPFVGYREVRLVSKESKHRGGDPLILCFVDFANPACAATAMSALQGYKVDELNPESSHLRLQFSRYPGPRSGPASRGKR >Vigun03g354800.2.v1.2 pep primary_assembly:ASM411807v1:3:55624353:55628515:-1 gene:Vigun03g354800.v1.2 transcript:Vigun03g354800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIEGEKQHKFDVDLGHLMAFDSNHTFPSQPPLSREDLVKQCLLKGTHLVQAIADALFTLPSTEDVDGPLVNLPPPLTKLPREKHLPKPKPPTKWEVFAKKKGIQNRKKDKIVYDEQSGTWKRRYGYDRANDEDAIPIIEAKETDDPEEDPFAKRRENKKKRIEKQDKNRLQNLKEAAKFGALPSHVQLAATALPITGTQAAPKKVTKDELGNVAGIAATATASGGKFDKKLPGEKPAKHEGKYRKFLPVVEGTGIGSQEREQTEKILNKIISKHSHNILNVEKAVTMHNVKKEKKRRSENGKASPADKKLKTEKKSFKKGNFKKDTFTPYILFRIPEQESSEPENQQWIFKVLEDNTFSQASHVICFWGLRPKGPYVHKLVCAAHETKYIFADSFGTNIGGDSRVFCLLIT >Vigun10g073401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:18758080:18759317:-1 gene:Vigun10g073401.v1.2 transcript:Vigun10g073401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNHYKSTRKGERVRLREGRLSVAVFGIFVKKIHIRSRSENNSYWRGDGVLGRFEWQRIRDKIWCYLLMIRANKMRNEEAPVITFVVDGDIFEGTPTLVIVVVTRDGDVEHEGYRLVIFHAEAKRKWRWQGC >Vigun03g261700.2.v1.2 pep primary_assembly:ASM411807v1:3:42868258:42870314:-1 gene:Vigun03g261700.v1.2 transcript:Vigun03g261700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLEEVLKSSFRKEMALKLSPQAFPEDLNMQNVTSCDDFFVDDLLDFSLLENEEPDQHKHSHHSTSVSPHNNNNHTMHNCKPSFNDNFNAELTLPAEEVADLEWVSHFIEDSFSKYSLSFPATVTQSLAEKPPEPGNAGFTFKTLVPAKPRSKRTRTGVRVWPLRSPSYFTNTSSPFSPLLICAATSKVHRQHPEDKRAKKRAASDGGAARRCSHCGVQKTPQWRTGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRQKKEAEPGPDTGSPPSVPGF >Vigun08g050300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5749615:5750625:-1 gene:Vigun08g050300.v1.2 transcript:Vigun08g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSLSSCFRSSQPTTLHDATLSTILTTFIYHTQNGSISLTWSRSMLGRSLHVHLHDHSSFHLHLKPWNKTGSKKLSHNTLFLWNLTKARFGSGPEPLSRFYLALLVDHTNLTLLVGDLTPKTRPHRPNTNAQQVLLLKRDHVHVASHRSRVYQTKSILGGKVREIQIDCDACSSEEEGLRLVFCVDEEKVLEVTRLKWKFRGSERVEVDGAHVQISWDVHDWLFEKDKDHGCSNYSSDVSGNSDGGNGKNEGHAVFMFKFENDEVGGGSGKEVDVGWSSGEWKNGKSWSSSSLSVSSSVGSFGGSSSVMEWSSVEENELVVPFGFSLVVYAWRK >Vigun05g190300.2.v1.2 pep primary_assembly:ASM411807v1:5:37000664:37003724:1 gene:Vigun05g190300.v1.2 transcript:Vigun05g190300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILEFMCSLHHPRYLYELDVHLDRFLISASKAKISSPFSQSTLRSIIIQLTAASKCKKGTLRFWLSAGPGDFLLSSAGCPTSAFYAVVIDDDFSQHKEGVKVITSNVPMKPPLFATAKNVNYLPNVLSVMEAEEQGASSSVWVDEEGYIAEGPNVNVAFITQDKELVMPPFDNILHGCTAKRLLQLAPKLVDQGLLKSVETKKITVEEAKAAAEMMYVGSTLPLLPIIVWDDQPIGNGSVGELTMLLSNLMWDDMVTGPGTQRIPVPYV >Vigun05g190300.3.v1.2 pep primary_assembly:ASM411807v1:5:37000664:37003724:1 gene:Vigun05g190300.v1.2 transcript:Vigun05g190300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSIFGGITLDPAMMVIPLDDHMVHRGHGVFDTSIVLDGYLYELDVHLDRFLISASKAKISSPFSQSTLRSIIIQLTAASKCKKGTLRFWLSAGPGDFLLSSAGCPTSAFYAVVIDDDFSQHKEGVKVITSNVPMKPPLFATAKNVNYLPNVLSVMEAEEQGASSSVWVDEEGYIAEGPNVNVAFITQDKELVMPPFDNILHGCTAKRLLQLAPKLVDQGLLKSVETKKITVEEAKAAAEMMYVGSTLPLLPIIVWDDQPIGNGSVGELTMLLSNLMWDDMVTGPGTQRIPVPYV >Vigun05g190300.1.v1.2 pep primary_assembly:ASM411807v1:5:37000664:37003724:1 gene:Vigun05g190300.v1.2 transcript:Vigun05g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPTVPWKAPEIENDDDLRVHVFSSSSELLEKLHEKWSAVEKQPYPAMYSSIFGGITLDPAMMVIPLDDHMVHRGHGVFDTSIVLDGYLYELDVHLDRFLISASKAKISSPFSQSTLRSIIIQLTAASKCKKGTLRFWLSAGPGDFLLSSAGCPTSAFYAVVIDDDFSQHKEGVKVITSNVPMKPPLFATAKNVNYLPNVLSVMEAEEQGASSSVWVDEEGYIAEGPNVNVAFITQDKELVMPPFDNILHGCTAKRLLQLAPKLVDQGLLKSVETKKITVEEAKAAAEMMYVGSTLPLLPIIVWDDQPIGNGSVGELTMLLSNLMWDDMVTGPGTQRIPVPYV >Vigun05g229400.1.v1.2 pep primary_assembly:ASM411807v1:5:42280709:42283219:1 gene:Vigun05g229400.v1.2 transcript:Vigun05g229400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGVVVRFGLWVVALSIAGYIVGPPLYWHLIELINHSSSSCTPCVCDCSSQPIISIPQGLSNSSFEDCAKHDPKVDGDTENNVAELLSEELNLRETEALKNQHRADMALLESKKIASQYQKEADKCNSGMETCEEAREKSEMALVAQKKLSALWELRARHKGWKEGLAKSNSHSKGKLQSA >Vigun04g011400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:839359:841862:1 gene:Vigun04g011400.v1.2 transcript:Vigun04g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPSGPRLLVNGNYSSYDIKLGKADTISVTKDEEFYDGNSSWALVYNIDGLLCKLSIDKSTRDNTLFINVMEYCGRIFITVKNEKEAGLVCRITPQFAPWYLAKHSTKHGQSSRGDIRTCTLPYAYGTRKGLLITQKKKKSHEEGGYMVTIAHYYVNTASDGDKGASELDLGFSVVMKIGLNNGRLDFNVDGPVEHPSSALLYMIEEVVRTGTWNPSACPHCKNIQSQRRRWLSESEDSDAPFPAPPRYGGSQNTANMGRFNGDANGSMIRAKEVKFSNENYFGKWWK >Vigun10g114400.1.v1.2 pep primary_assembly:ASM411807v1:10:31687793:31691621:1 gene:Vigun10g114400.v1.2 transcript:Vigun10g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAFLSHPYFFAALTLLVLQFLFRKLNKRDGKRYHPVAGTVFNQLMNFHRLHHYMTHLAAKYRTYRLLNLFRYEVYTAEPSNVEYILKTNFENYGKGLYNYHNLKDLLGDGIFTVDGEKWREQRKISSHEFSTKMLRDFSVSVFRKNAAKLANIVSESATSNSSLEIQDLFMKSTLDSIFQVAFGTELDSMCGSSQEGKIFADAFDASSALTLYRYVDVFWKIKKFLNIGTEAKLRKTTKVLDEFVLKLINTRIQQMQISVGGSDSKREDILSRFLQVKGNDSKYLRDIILNFVIAGKDTTAATLSWFMYMLCKYPAVQEKAAEEVKEATNTKTISSYTEFASSVTDEALEKMNYLHAVITETLRLYPAVPVDAKICFSDDTLPDGYSVNKGDMVSYQPYAMGRMKFIWGDDAEEFRPERWLDENGIFKQQSSFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFRFRLNDEKKNVTYKTMINLHIDGGLEIKALHR >Vigun03g199900.1.v1.2 pep primary_assembly:ASM411807v1:3:28885896:28890043:-1 gene:Vigun03g199900.v1.2 transcript:Vigun03g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLLPVCPSPSSSATLKPKISVPQRSSFPSSLPTHCSFPQNAAFSLRNTLRSSSSALAQHEAPVLGKPDNNSLPALPKIDKTGRFCSPRAARELALSIIYAACLEGMDPVRLFEKRMNARREAGYEFDKKKLLEYNHMSFGGPPVTVESDEEANELLRHIEEESAIEAEVLTAPPRLVYNNLILRFTRKLLVAVRDTWDNHVLVINKIVPENWKNEPAGKILELCILHLAMSEMAVLETRHQIVINEAVDLAKRFCDGAAPRIVNGCLRTFFRELELQESNNRV >Vigun04g041400.3.v1.2 pep primary_assembly:ASM411807v1:4:3593434:3595326:1 gene:Vigun04g041400.v1.2 transcript:Vigun04g041400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKTVIITTLNDAWSEPGSIFDLFLESFRVGNQTQQLLNHLVVITYDPKTHERCLTMHHYCYLVENKEGNFTGEMFYMSPNYLQLIWGRAKFLGSVLEMGYNFLFTDTDIMWLRDPFSLFYEDADFQIACDFFNGNSSDILNFPNGGFKYMRSNERTIWFIKFWINARIYFPGLGEQAVFNMIKLHPLITDKKVKIKFLSTDYFGGFCEPSKDLNQVSTMHANCCIGIENKIHDLKILLEDWKKYMALSDHDREHLNHSWSVPQRCGPQLPVEPIPEHPLPENLIPLQKVAQ >Vigun04g041400.2.v1.2 pep primary_assembly:ASM411807v1:4:3593434:3595326:1 gene:Vigun04g041400.v1.2 transcript:Vigun04g041400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTMFIALFAVLCMFLFISSISFQTPTLSRSIAMDTKQAYTDKRLESILRNASMKDKTVIITTLNDAWSEPGSIFDLFLESFRVGNQTQQLLNHLVVITYDPKTHERCLTMHHYCYLVENKEGNFTGEMFYMSPNYLQLIWGRAKFLGSVLEMGYNFLFTDTDIMWLRDPFSLFYEDADFQIACDFFNGNSSDILNFPNGGFKYMRSNERTIWFIKFWINARIYFPGLGEQAVFNMIKLHPLITDKKVKIKFLSTDYFGGFCEPSKDLNQVSTMHANCCIGIENKIHDLKILLEDWKKYMALSDHDREHLNHSWSVPQRCGPQLPVEPIPEHPLPENLIPLQKVAQ >Vigun04g041400.1.v1.2 pep primary_assembly:ASM411807v1:4:3590636:3595326:1 gene:Vigun04g041400.v1.2 transcript:Vigun04g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGSEYTIVDFEWFDDSGAKSNTNRQHLISRHDKEGGSSSKNEASHVSHANSTNKKDNSPTSVVKNAIGDNNPWNAGNHLSVRWVMQFTMFIALFAVLCMFLFISSISFQTPTLSRSIAMDTKQAYTDKRLESILRNASMKDKTVIITTLNDAWSEPGSIFDLFLESFRVGNQTQQLLNHLVVITYDPKTHERCLTMHHYCYLVENKEGNFTGEMFYMSPNYLQLIWGRAKFLGSVLEMGYNFLFTDTDIMWLRDPFSLFYEDADFQIACDFFNGNSSDILNFPNGGFKYMRSNERTIWFIKFWINARIYFPGLGEQAVFNMIKLHPLITDKKVKIKFLSTDYFGGFCEPSKDLNQVSTMHANCCIGIENKIHDLKILLEDWKKYMALSDHDREHLNHSWSVPQRCGPQLPVEPIPEHPLPENLIPLQKVAQ >Vigun05g284500.3.v1.2 pep primary_assembly:ASM411807v1:5:47245538:47248612:-1 gene:Vigun05g284500.v1.2 transcript:Vigun05g284500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIYGEQKRLEPMPAERKAKWKKEIDWLLSVTDYVVEMVPSQQKSKDGSSMEIMTTRQRTDLHMNIPALRKLDTMLIDCLDNFKDQNEFYYVSKDSDDSDPDSKKKNDDKWWLPTPKVPADGLSDAARKFLHYQKDCVNQVLKAAMAINAQILSEIEIPESYIDSLPKNGRASLGDSTYRSITVEFFDPDQFLSTTDLSSEHKILDLKNRIEASIVIWKRKMNQKDGKSSWGSGVSIEKRELFEERAETILLLLKHRFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAFTVLSRIDDVLQADFQIQCHNISTGRSRNSISRPPKEEMEKVTTTTTNEAPGSMTLSDFMGWGSDQEDSTLKKDPSDELCKDEDTKQQKLANVVTSKKVSYLENLGATRSPTARH >Vigun05g284500.1.v1.2 pep primary_assembly:ASM411807v1:5:47245538:47248538:-1 gene:Vigun05g284500.v1.2 transcript:Vigun05g284500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGEQEQEGGSRAKLFSLKGVFEGAGRHSKSLSIDTAKQLEPTEDGAASSRSQGSKPLNDSDKVPKTKMLTKEELAAKESKDKILQDMEQMRERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIYGEQKRLEPMPAERKAKWKKEIDWLLSVTDYVVEMVPSQQKSKDGSSMEIMTTRQRTDLHMNIPALRKLDTMLIDCLDNFKDQNEFYYVSKDSDDSDPDSKKKNDDKWWLPTPKVPADGLSDAARKFLHYQKDCVNQVLKAAMAINAQILSEIEIPESYIDSLPKNGRASLGDSTYRSITVEFFDPDQFLSTTDLSSEHKILDLKNRIEASIVIWKRKMNQKDGKSSWGSGVSIEKRELFEERAETILLLLKHRFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAFTVLSRIDDVLQADFQIQCHNISTGRSRNSISRPPKEEMEKVTTTTTNEAPGSMTLSDFMGWGSDQEDSTLKKDPSDELCKDEDTKQQKLANVVTSKKVSYLENLGATRSPTARH >Vigun05g284500.2.v1.2 pep primary_assembly:ASM411807v1:5:47245538:47248540:-1 gene:Vigun05g284500.v1.2 transcript:Vigun05g284500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGEQEQEGGSRAKLFSLKGVFEGAGRHSKSLSIDTAKQLEPTEDGAASSRSQGSKPLNDSDKVPKTKMLTKEELAAKESKDKILQDMEQMRERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIYGEQKRLEPMPAERKAKWKKEIDWLLSVTDYVVEMVPSQQKSKDGSSMEIMTTRQRTDLHMNIPALRKLDTMLIDCLDNFKDQNEFYYVSKDSDDSDPDSKKKNDDKWWLPTPKVPADGLSDAARKFLHYQKDCVNQVLKAAMAINAQILSEIEIPESYIDSLPKNGRASLGDSTYRSITVEFFDPDQFLSTTDLSSEHKILDLKNRIEASIVIWKRKMNQKDGKSSWGSGVSIEKRELFEERAETILLLLKHRFPGLPQSSLDISKIQFNKVRACP >Vigun04g102400.1.v1.2 pep primary_assembly:ASM411807v1:4:23617774:23622216:1 gene:Vigun04g102400.v1.2 transcript:Vigun04g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIQDARENHVKKKVEEALRSKMKQKALKECDRYTAKYAECASGKTLSVVWRCRQQAKELNDCLHQFTNDSVLEEMKKEYMLKQAEGSSRIQTA >Vigun05g063300.2.v1.2 pep primary_assembly:ASM411807v1:5:5476109:5478837:1 gene:Vigun05g063300.v1.2 transcript:Vigun05g063300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYKVEMINDGMQEFYVQFHGPNESPYHGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEIRVKEYCEKYAKAEDIGEVTEEKSSDEEMSEDESDSSDEQVVGKADP >Vigun05g063300.1.v1.2 pep primary_assembly:ASM411807v1:5:5476109:5478833:1 gene:Vigun05g063300.v1.2 transcript:Vigun05g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVQFHGPNESPYHGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEIRVKEYCEKYAKAEDIGEVTEEKSSDEEMSEDESDSSDEQVVGKADP >Vigun05g245900.1.v1.2 pep primary_assembly:ASM411807v1:5:43888375:43890524:-1 gene:Vigun05g245900.v1.2 transcript:Vigun05g245900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEGSPGSSMHGVTGREQTFVASVASPMVPTDTTAKFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFVPGWLHVIMGILVLTLGQDLPDGNLGALQKKGDVARDKFSKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANIVARPFGGYASDVAGRLFGMRGRLWTLWILQTLGGAFCIWLGRANSLPIAVLTMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSTSKFSTSTGLSLMGAMIVACTLPVTLVHFPQWGSMFLPPSKDVNEELYYTSEWNEEEKQKGLHQSSLKFAENSRSERGKRVASAPTPPNTTPTHV >Vigun09g211500.1.v1.2 pep primary_assembly:ASM411807v1:9:38572392:38578164:1 gene:Vigun09g211500.v1.2 transcript:Vigun09g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYNAGLFLIATVVVIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVVYLPIAFIKDWFCNLLKSRSSKSGKNAECVDEFSVRISSPLKSNGVQKNFELELGSVNRKDSDLDLATLSEVKPLVAKYNDNTNVLKVEKELTAKEIASYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFMGQDTLNVAKVVAVLVSMAGVVMTTMGKTWAADESQLSDANGKHSLVGDLFGILSAMSYGLFTVLLKKFSGEGERVDVQKLFGYIGLFTLVALWWLVWPLMALGIEPKFSIPHSAKLDEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAVYILGSAQVFAGFVIANLSDRLTKKLGL >Vigun05g113800.4.v1.2 pep primary_assembly:ASM411807v1:5:12026460:12034800:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKLAKSLFRFFYLKELNDKDYEVILVTSGAVGLGRQRLRYRRLVNSSFSDLQNPQGDLDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEGKNCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.3.v1.2 pep primary_assembly:ASM411807v1:5:12024751:12034800:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFVKSVKRVIVKVGTAVVTRSDGRLALGRLGALCEQLKELNDKDYEVILVTSGAVGLGRQRLRYRRLVNSSFSDLQNPQGDLDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.7.v1.2 pep primary_assembly:ASM411807v1:5:12025301:12034327:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEGKNCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.5.v1.2 pep primary_assembly:ASM411807v1:5:12026460:12034800:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKLAKSLFRFFYLKELNDKDYEVILVTSGAVGLGRQRLRYRRLVNSSFSDLQNPQGDLDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.6.v1.2 pep primary_assembly:ASM411807v1:5:12025301:12034327:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEGKNCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.2.v1.2 pep primary_assembly:ASM411807v1:5:12024776:12034327:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFVKSVKRVIVKVGTAVVTRSDGRLALGRLGALCEQLKELNDKDYEVILVTSGAVGLGRQRLRYRRLVNSSFSDLQNPQGDLDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEGKNCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun05g113800.1.v1.2 pep primary_assembly:ASM411807v1:5:12024751:12034799:1 gene:Vigun05g113800.v1.2 transcript:Vigun05g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAFVKSVKRVIVKVGTAVVTRSDGRLALGRLGALCEQLKELNDKDYEVILVTSGAVGLGRQRLRYRRLVNSSFSDLQNPQGDLDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDTAFRKQLSDTVSALLDLRIIPIFNENDAVSTRKASYEGKNCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQREITFGEKSTYGRGGMTAKVNAAVCAAFAGTPVIITSGFATDNIIRVLRGERIGTIFHKDAHLWTSIKEVSAHDMAVAARNSSRRLQLLNSEERRKILLAIADALETNEGAIRLQNGADVADAEELGYNKALISRLTLRPEKISSLVKSVRLLANMEEPIGQILKRTELADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPDTVGATLIGLVTSREEIPDLLKLDDTIDLVIPRGSNKLVSQVKEATKIPVLGHADGICHVYVDKSANIDMAKQIIRDAKTDYPAACNAMETLLVHEDLSRNGGLVELVAELQRQGVEVYGGPRASTLLNIAQTKSYHLEYSSLACTVEIVDDVFAAIDHINQHGSAHTECIVAEDCEVAEAFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGNGHVVNGDRGITYTYKNLPVKA >Vigun02g067900.1.v1.2 pep primary_assembly:ASM411807v1:2:21783725:21785146:-1 gene:Vigun02g067900.v1.2 transcript:Vigun02g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDLKLLGGWSSPFALRVQIALNLKGVDYEVVEETFNPKSELLLKSNPVHKKIPVLFHADKVICESAIIVEYIDEVWSNVPSILPQNAYDRANARFWVAYMDDKWVKALRSILMAEENDEAKEEPFEEAEEVFDRMEEVMNKGSEGKVFFGGDTIGFIDIGFGSFLSWIRVVEKMNGRKLLDETKHPSLIQWTENFSAHPAVNGVIPDTDKLIEVAKVYKEYARAVAN >Vigun10g117200.1.v1.2 pep primary_assembly:ASM411807v1:10:32269474:32275396:-1 gene:Vigun10g117200.v1.2 transcript:Vigun10g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIENSTNTQQPHNQHNPNIPSTKNHGYESVTNTNNKIETTNRYGGDDVPRDSRVTLVHPHSLLPMPVPPQNFQSNQNDVALSTFSVGSFLRQRSSDLSAAIVKRVSSLRQSVEEDNDGEGDGEKRGVTEFNLSGVKIVVTAKPEEEEASLRGRISFFSRSNCRDCTAVRRFFREKGLRFVEINVDVFSERERELRQRTGTGSVPQIFFNEKLIGGLVALNSLRNSGEFDRRVAEMLGGKAADGNAPWPPAYGFDCAEEDREDEMVGVVRVLKLRLPIQDRLRRMKMVNNCFEGNDLVEALIQHFHCARNEAVEIGQQLSKKHFIHHVFGEKDFEEGNHLYRFLEHEAFIPRCFNFRGTTNDSEPKTASSVCSRLAKIMCAILESYASDDRQHVDYEAISKSEEFRRYVNMTQDLQRLNLLELSENEKLAFFLNLYNAMVIHAVISVGCPEGVIDRRSFFSDFQYVVGGYPYSLNSIKNGILRCNRRSPYSLVKPFSTGDKRLEVALVKLNPLLHFGLCNGTKSSPKVRFFSPHRVVDELRGAVREFFENDGIEVDLEKRTVYLTRIFKWFSGDFGPEKEILKWILNYLDPNKAGLVTHLLGDSGPVHISYQNFDWSINS >Vigun11g067066.1.v1.2 pep primary_assembly:ASM411807v1:11:17473731:17474253:-1 gene:Vigun11g067066.v1.2 transcript:Vigun11g067066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSQSLSSPSQSFLSCASSSINLHSCPSIHSFFNRSSLSFSRVLVATESLNLVFFIHALARSFSTPNPFCATTTIPPFSPLQLAQHQRPFAQPITVRAIGRLGFRLACLLLLE >Vigun02g103100.1.v1.2 pep primary_assembly:ASM411807v1:2:25779332:25781605:1 gene:Vigun02g103100.v1.2 transcript:Vigun02g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPSGHFRKHWQNYVRTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGISVDHRRKNRSLESLQANVQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGSYLPITREKPSVELVKVTDEMKAFKAYYKLRLERTNKRHYGARLKKAAEAEKEDKK >Vigun02g095650.4.v1.2 pep primary_assembly:ASM411807v1:2:25149556:25155446:-1 gene:Vigun02g095650.v1.2 transcript:Vigun02g095650.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSEIGVKAKTVSDSWKRRNAKALHIIQLACGTEILSQIRDVETAKEAWNRLGALYSSQLKADSDIEQGVLVEDSLLQNKPLYRYVESDEWNDAKAIINNDDTAIFSTSSTGRTVLHVAVMAGHVHIVKNLVKLGKDKLVTMQDNFDYTALSLAAELTGNVEIAKCMVERKGGKKLLTMKTKDGEIPVLLSAAKGYKDMTRYLYSETQLEAFVDKNSRNGVLLLSRCITAEIFDVALSLIHRIPQLPLTHESGGLRPLYALARMPSAFPSGSGFGRLQQLIYNSLRLERLEVQNLCTGKDKLLGISIDRCGKRIGIVADVTEVEGLRVAQEKDRYNTSFRGRLCELALNFTPIRLLGRLLIFLYLLFQNYILLKFSAGIREIHELKKTHLVVLEILNCMCDRVSEYEELQLREASAYDAMLQAAKLGIIEFIDKMRKTNPDLLWAIDRNRRGIFSHAILNRRKEVFRLMDKVNGRKEIIRCSADVFGNTLLHLAAYLGPSSDLDRRSGAALQMQRELQWFKAVEEIVHPKCKEEKNGDGKKPREIFSESHVEMVKAGEKWAKDTASSFTLVGTLIITIMFAAAFTVPGGNNQDGEPIFLRDQIFTLFIIADAVSLFTSSTSVLIFIGILTSRYAEKDFLKTLPLKLLCGLVSLFLSVVSMMIAFCASLAMMLRGNHHLIIAAMSLGSIPVIVLVPSQLRLFLEIFNSTLHARYIK >Vigun02g095650.2.v1.2 pep primary_assembly:ASM411807v1:2:25149556:25155446:-1 gene:Vigun02g095650.v1.2 transcript:Vigun02g095650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASGIVLEPLTRDNYENWSALVKNYLIGQGLWGAMTSVSEIGVKAKTVSDSWKRRNAKALHIIQLACGTEILSQIRDVETAKEAWNRLGALYSSQLKADSDIEQGVLVEDSLLQNKPLYRYVESDEWNDAKAIINNDDTAIFSTSSTGRTVLHVAVMAGHVHIVKNLVKLGKDKLVTMQDNFDYTALSLAAELTGNVEIAKCMVERKGGKKLLTMKTKDGEIPVLLSAAKGYKDMTRYLYSETQLEAFVDKNSRNGVLLLSRCITAEIFDVALSLIHRIPQLPLTHESGGLRPLYALARMPSAFPSGSGFGRLQQLIYNSLRLERLEVQNLCTGKDKLLGISIDRCGKRIGIVADVTEVEGLRVAQEKDRYNTSFRGRLCELALNFTPIRLLGRLLIFLYLLFQNYILLKFSAGIREIHELKKTHLVVLEILNCMCDRVSEYEELQLREASAYDAMLQAAKLGIIEFIDKMRKTNPDLLWAIDRNRRGIFSHAILNRRKEVFRLMDKVNGRKEIIRCSADVFGNTLLHLAAYLGPSSDLDRRSGAALQMQRELQWFKAVEEIVHPKCKEEKNGDGKKPREIFSESHVEMVKAGEKWAKDTASSFTLVGTLIITIMFAAAFTVPGGNNQDGEPIFLRDQIFTLFIIADAVSLFTSSTSVLIFIGILTSRYAEKDFLKTLPLKLLCGLVSLFLSVVSMMIAFCASLAMMLRGNHHLIIAAMSLGSIPVIVLVPSQLRLFLEIFNSTLHARYIK >Vigun02g095650.3.v1.2 pep primary_assembly:ASM411807v1:2:25149556:25155446:-1 gene:Vigun02g095650.v1.2 transcript:Vigun02g095650.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASGIVLEPLTRDNYENWSALVKNYLIGQGLWGAMTSVSEIGVKAKTVSDSWKRRNAKALHIIQLACGTEILSQIRDVETAKEAWNRLGALYSSQLKADSDIEQGVLVEDSLLQNKPLYRYVESDEWNDAKAIINNDDTAIFSTSSTGRTVLHVAVMAGHVHIVKNLVKLGKDKLVTMQDNFDYTALSLAAELTGNVEIAKCMVERKGGKKLLTMKTKDGEIPVLLSAAKGYKDMTRYLYSETQLEAFVDKNSRNGVLLLSRCITAEIFDVALSLIHRIPQLPLTHESGGLRPLYALARMPSAFPSGSGFGRLQQLIYNSLRLERLEVQNLCTGKDKLLGISIDRCGKRIGIVADVTEVEGLRVAQEKDRYNTSFRGRLCELALNFTPIRLLGIREIHELKKTHLVVLEILNCMCDRVSEYEELQLREASAYDAMLQAAKLGIIEFIDKMRKTNPDLLWAIDRNRRGIFSHAILNRRKEVFRLMDKVNGRKEIIRCSADVFGNTLLHLAAYLGPSSDLDRRSGAALQMQRELQWFKAVEEIVHPKCKEEKNGDGKKPREIFSESHVEMVKAGEKWAKDTASSFTLVGTLIITIMFAAAFTVPGGNNQDGEPIFLRDQIFTLFIIADAVSLFTSSTSVLIFIGILTSRYAEKDFLKTLPLKLLCGLVSLFLSVVSMMIAFCASLAMMLRGNHHLIIAAMSLGSIPVIVLVPSQLRLFLEIFNSTLHARYIK >Vigun02g095650.1.v1.2 pep primary_assembly:ASM411807v1:2:25149556:25155028:-1 gene:Vigun02g095650.v1.2 transcript:Vigun02g095650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSGAASGIVLEPLTRDNYENWSALVKNYLIGQGLWGAMTSVSEIGVKAKTVSDSWKRRNAKALHIIQLACGTEILSQIRDVETAKEAWNRLGALYSSQLKADSDIEQGVLVEDSLLQNKPLYRYVESDEWNDAKAIINNDDTAIFSTSSTGRTVLHVAVMAGHVHIVKNLVKLGKDKLVTMQDNFDYTALSLAAELTGNVEIAKCMVERKGGKKLLTMKTKDGEIPVLLSAAKGYKDMTRYLYSETQLEAFVDKNSRNGVLLLSRCITAEIFDVALSLIHRIPQLPLTHESGGLRPLYALARMPSAFPSGSGFGRLQQLIYNSLRLERLEVQNLCTGKDKLLGISIDRCGKRIGIVADVTEVEGLRVAQEKDRYNTSFRGRLCELALNFTPIRLLGRLLIFLYLLFQNYILLKFSAGIREIHELKKTHLVVLEILNCMCDRVSEYEELQLREASAYDAMLQAAKLGIIEFIDKMRKTNPDLLWAIDRNRRGIFSHAILNRRKEVFRLMDKVNGRKEIIRCSADVFGNTLLHLAAYLGPSSDLDRRSGAALQMQRELQWFKAVEEIVHPKCKEEKNGDGKKPREIFSESHVEMVKAGEKWAKDTASSFTLVGTLIITIMFAAAFTVPGGNNQDGEPIFLRDQIFTLFIIADAVSLFTSSTSVLIFIGILTSRYAEKDFLKTLPLKLLCGLVSLFLSVVSMMIAFCASLAMMLRGNHHLIIAAMSLGSIPVIVLVPSQLRLFLEIFNSTLHARYIK >Vigun10g153200.1.v1.2 pep primary_assembly:ASM411807v1:10:37317058:37319352:-1 gene:Vigun10g153200.v1.2 transcript:Vigun10g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTIPTKPLLTDLVSTMDRVPSNFIRPLADRPNLHQLHSSSPSIPIIDLHDFDGPNRSTIIKHIAHACQHYGFFQIVNHGIPEEVVKKMVNVSKEFFGLPESERLKNYSDDPSKTTRLSTSFNVKTEKVSNWRDFLRLHCHPLEDYILEWPSNPPSFREDVGEYSRKMRGLSLKLLEGISESLGLERDYIEKALGKHGQHMAVNYYPPCPEPELTYGLPPHADPNAITILLQNEVPGLQVLYEGNWLSVNPVPNTFIVNIGDQIQVISNDRYKSVLHRALVNCEKERMSIPTFYCPSPDATIKPAPQLVDDDHPAQYKDFTYREYYEKFWNRGLSKETCVDMFKA >Vigun07g102200.1.v1.2 pep primary_assembly:ASM411807v1:7:18370227:18376996:1 gene:Vigun07g102200.v1.2 transcript:Vigun07g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGSIRSYAKHLRLDGVKDTIAIASGKGGVGKSTTAVNLAVALARKCQLKVGLLDVDVYGPSIPTMMNINTKPEVTHDKKMIPIENYGVKCMSIGFLVDKDAPIVWRGPMVSNALEKMTRGVDWGKLDILVMDMPPGTGDVQIAMSQNLQLSGALIVSTPQDVALMDARRGVKMFNKVDVPILGIVENMSCFKCPHCGQPSYIFGKGGAHGTASEMGLEFLGEIPLEVDVREACDQGHPIVLAAPDSVVSKAYGEIAEKLAQKLKEQQFHPEIIL >Vigun06g183500.1.v1.2 pep primary_assembly:ASM411807v1:6:30303764:30305498:1 gene:Vigun06g183500.v1.2 transcript:Vigun06g183500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWVLLSVLVLAANMLQQYCVDGQQAQVPCLFAFGGTLSDHGNNNNLRTRAKSNYGPYGINFPRGSTGRFTNGKTQVDFIAEFTRLNTFIPPFGNLRGLDKEILKGVDYASGSAGILMDTGSHLGSNIYFLRQISDHRNVYTRIGTILGGIEKGREHLRKCLYYVNIGNNDYIYNYYLPQFYPRYRRYNPEAFAQVLIDRYLYHIQELRRLGARKFVNVGVGRIGCTPYALAMNNRTNGSCVEEMNAAASIYNAKLRSLMDQLNNKKFGDDGSKFIFVNSTARNLGVVASGFTVTNASCCPTGTGVLCVPNQTPCQNINQYVFWDKFAFTEPFNKFLALLAYNGSNPDYVYPVNINTLAQS >Vigun09g207700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38221873:38223102:-1 gene:Vigun09g207700.v1.2 transcript:Vigun09g207700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKDDVVIHVKGMLERANTPVTKKCSIYKVPLLIRQLNQKAYTPKVVSIGPYHHSSHRLRNMERHKVMYCKSFLERVKTKTSMESWIKYIADKEDQIRKCYSDAIPFSSNKLVEIICVDSGFILELFWITHHYRTSETYLSTPWFENAIFSDLLLLENQLPFFVLNDLFSLSIDDSDTTSFIQLTFEYFQYFNKSKLSHNHITCHHFTDLLRTFYLCGREKTTRADQWPHIPSVTKLSEAGIRFASFESKCLLNLSFSNRVLQIPKFKMADNTEQWFRNMIALEQFHYPKESYITEYALVLQYLVNTGKDVDILVKEGVMENLLGDSDVAAEKTKRLCRNIVLSDFSSDYVSLWQNLDASYKSRKLKLKSTLRRDYCKGPWQTAATISAIVLLILSFIQTVCSVWQIT >Vigun02g128400.1.v1.2 pep primary_assembly:ASM411807v1:2:28024056:28025275:1 gene:Vigun02g128400.v1.2 transcript:Vigun02g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQQNIYFDKIIIKGFGSLDCVPSGKGETSIHNCKRKGERKTRPGLTG >Vigun03g176600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21939108:21939584:-1 gene:Vigun03g176600.v1.2 transcript:Vigun03g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLGGGSTTTASALVGPEEARLLGEGTDDGEGIAAATTEGEEEGCDITTRGGMGTITRGGMGTTGGRGVGTKGGCGMGTIRGGGAGTITTGGVGTITGSGVGTIIGGSVAYTIGIDGEGNGVGATPREVDCKATLCVSARVDGVDVDCLAGGTFIR >Vigun01g042400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5974687:5975321:1 gene:Vigun01g042400.v1.2 transcript:Vigun01g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFNCFGFSSSTQVSDYTKGSSSELKSPSLQKPKTTSKSQGAPLVVSYFPVNHYPSRL >Vigun11g060566.1.v1.2 pep primary_assembly:ASM411807v1:11:13310888:13311803:1 gene:Vigun11g060566.v1.2 transcript:Vigun11g060566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGFAKLMICFKNTTKVFFQMNDVQVSRWMKCLKNITKVETFVLLFAGSK >Vigun11g060566.2.v1.2 pep primary_assembly:ASM411807v1:11:13311462:13311803:1 gene:Vigun11g060566.v1.2 transcript:Vigun11g060566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGFAKLMICFKNTTKVFFQMNDVQVSRWMKCLKNITKVETFVLLFAGSK >Vigun06g063000.1.v1.2 pep primary_assembly:ASM411807v1:6:19156749:19165175:1 gene:Vigun06g063000.v1.2 transcript:Vigun06g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEPVNEVSKADSNGKSLQEKTLEDITEKKDLETDGGKGLENNGIKENIVKENDDKKADGVKKVEEDTKTVVVEEEAKEDQKEDGVKETKENQKEDGVKETKENQKEDGVKETKENQKEDGVKETKVDQNEDGVKEVKEDQKEDGVKEDQKDGIEDAKEDRKDGVEDAKEDLKEDEVEKVKENKKADENVEEIKEDKEVDGAEVKEVKEVHDVKEVKEDTEIDDVKKVVEDKNGDELKEIEEDTKGDHISDNDNMDEDTGVTETMEGKQENEKVKADEPEVEAMEVEGGIKEEESDEKEKTEVAMEEDEDEDKDNIDKSKEDKAEDSKGEKGPKKRGRGKNNGEKVKAKRKELKKIEPRTPTIDRPVRERKSVERLVASIDKDATKEFHIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWRDNEEKQMIKVKEKLDKCNKEKLLEFCDLLDLTIARATTRKEDIITALIDFLGAPHATTTVLLAEKEKGKKRKRVVKRGSSTRSGTTSRRSAKRLKKNEDSSVVRRKSTTDTEDESEEDEKDDENEEEDEKDDENGTPEKSEDETPEKSESEEKSDSGSESEDMKEKKKPSKTSSTKKESAKKSKIEKITVANKSHSPPKRTPKKPSSNISNSEDDSDGSPNPKVFSRKKKNEKGGKQKTDDDNNESPNPKVFSRKKTNEKRGVQKRSTTPTKSASIEKTEKVARGKSMKKEKLSPSDTQLREAICEILKEVDFNTATFTDILKQLAKQYDMDLAPRKASIKLMIQEELTKLADEADDEDGEEDAEKDKTPTSGKKVKA >Vigun06g194200.1.v1.2 pep primary_assembly:ASM411807v1:6:31051571:31053786:-1 gene:Vigun06g194200.v1.2 transcript:Vigun06g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRASSRLQALINHIQCSYSVPTNPNILDKPIVLKKRAAVLICVFEGGDGSLRVILTKRSSSLSTHSGEVALPGGKREEGDADDVQTALREAKEEIGLDPSLVSVITPLQPWHTRYGVTIVPVLGVLTDKDAFSPILNSAEVEEIFDVPLEMFLKNDNRRAEEREWMEEKYLSHYFDYEFGNKKYVIWAITASILIGTATLLLQRFPDFLEQRPTIWGGMTEGDKLMLQNISNTK >Vigun11g204500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40169640:40174452:-1 gene:Vigun11g204500.v1.2 transcript:Vigun11g204500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCDVICRVEEGMAYMCADSGNLMAIAQQVIKQKQQQEQQQQKQHQQHHHQHHHHHHHHHHQHHLNPLSLHPIPWNNTPTLGYPLHPTDFPDPFQPDPPFHFPDTQSAPAPAPFRFSDFDSDDWMDSLIAAADTPSWHTNADFPFPSDLNRLIFPTSDTATATAATSLPLQPTPPPPPQEPLDAGVSSESDSAHPLLKALTECASLVESEPDQAAESLARLRKSVSQHGNPTQRVGFYFCEALSRKIWAEKEKGEPKSATTTTSEELTLSYKALNDACPYSKFAHLTANQAILEATEDASNIHILDFGIVQGIQWAALLQAFATRSSGKPNKIKISGIPAIALGASPGPSLSATGNRLSEFAKLLDLNFEFTPILTPIHDLDRNSFCIDPDEALAVNFMLQLYNLLDEPPTAVDTALRLAKSLNPKIVTLGEYEASLTRVGFVNRFKTAFRYFSAVFESLDPNLSADSPERFQVESLLLGRRIDAVIGPGPVRESMEDREQWRVLMERAGFESVSLSHYAVSQAKILLWNYGYSSLFSLLESTPPGFLSLAWKDVPLLTLSSWR >Vigun08g116500.4.v1.2 pep primary_assembly:ASM411807v1:8:28390676:28395849:1 gene:Vigun08g116500.v1.2 transcript:Vigun08g116500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGENIEVETEAESEASSSWNLGKHAQIIELSEKLLDGNLSAKIEAAREIRKMVRKSSSSSKIRAKLAAAGVIEPLVVMLSSSNIEARQSSLLALLNLAVRNERNKVKIVTDGAMPPLVELLKMKNSGIRELATAAVLTLSAAASNKPIIAASGAAPFLVQILKSGSVQGKVDAVTALHNLSTFNENSIELLDPSAVFPLINLLKECKKYSKFAEKATALLEILSNSEEGRTAISIADGGILTLVETVEDGSLVSTEHAVGALLSLCQSCRDKYRELILKEGAIPGLLRLTVEGTAEAQDRARVLLDLLRDSPPEKRLTSSVLEKIVYDIAERVDGADKAAETAKQLLQDMVQRSMEHSMKCIQHRAASCTPSIPST >Vigun08g116500.1.v1.2 pep primary_assembly:ASM411807v1:8:28390748:28395860:1 gene:Vigun08g116500.v1.2 transcript:Vigun08g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGENIEVETEAESEASSSWNLGKHAQIIELSEKLLDGNLSAKIEAAREIRKMVRKSSSSSKIRAKLAAAGVIEPLVVMLSSSNIEARQSSLLALLNLAVRNERNKVKIVTDGAMPPLVELLKMKNSGIRELATAAVLTLSAAASNKPIIAASGAAPFLVQILKSGSVQGKVDAVTALHNLSTFNENSIELLDPSAVFPLINLLKECKKYSKFAEKATALLEILSNSEEGRTAISIADGGILTLVETVEDGSLVSTEHAVGALLSLCQSCRDKYRELILKEGAIPGLLRLTVEGTAEAQDRARVLLDLLRDSPPEKRLTSSVLEKIVYDIAERVDGADKAAETAKQLLQDMVQRSMEHSMKCIQHRAASCTPSIPST >Vigun08g116500.3.v1.2 pep primary_assembly:ASM411807v1:8:28390676:28395849:1 gene:Vigun08g116500.v1.2 transcript:Vigun08g116500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGENIEVETEAESEASSSWNLGKHAQIIELSEKLLDGNLSAKIEAAREIRKMVRKSSSSSKIRAKLAAAGVIEPLVVMLSSSNIEARQSSLLALLNLAVRNERNFRKIAVTSSGANVLAFTFSFSFVPARNKVKIVTDGAMPPLVELLKMKNSGIRELATAAVLTLSAAASNKPIIAASGAAPFLVQILKSGSVQGKVDAVTALHNLSTFNENSIELLDPSAVFPLINLLKECKKYSKFAEKATALLEILSNSEEGRTAISIADGGILTLVETVEDGSLVSTEHAVGALLSLCQSCRDKYRELILKEGAIPGLLRLTVEGTAEAQDRARVLLDLLRDSPPEKRLTSSVLEKIVYDIAERVDGADKAAETAKQLLQDMVQRSMEHSMKCIQHRAASCTPSIPST >Vigun08g116500.2.v1.2 pep primary_assembly:ASM411807v1:8:28390748:28395849:1 gene:Vigun08g116500.v1.2 transcript:Vigun08g116500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGENIEVETEAESEASSSWNLGKHAQIIELSEKLLDGNLSAKIEAAREIRKMVRKSSSSSKIRAKLAAAGVIEPLVVMLSSSNIEARQSSLLALLNLAVRNERNFRKIAVTSSGANVLAFTFSFSFVPARNKVKIVTDGAMPPLVELLKMKNSGIRELATAAVLTLSAAASNKPIIAASGAAPFLVQILKSGSVQGKVDAVTALHNLSTFNENSIELLDPSAVFPLINLLKECKKYSKFAEKATALLEILSNSEEGRTAISIADGGILTLVETVEDGSLVSTEHAVGALLSLCQSCRDKYRELILKEGAIPGLLRLTVEGTAEAQDRARVLLDLLRDSPPEKRLTSSVLEKIVYDIAERVDGADKAAETAKQLLQDMVQRSMEHSMKCIQHRAASCTPSIPST >Vigun02g038300.1.v1.2 pep primary_assembly:ASM411807v1:2:16051426:16053196:1 gene:Vigun02g038300.v1.2 transcript:Vigun02g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTRFSIQLTLMVVFLTTQVVLSADTPMPSDKTQLGQWFSNNVKPLNNRKGTLDSQLVAAEQGQTVIKVRQDGKGQFKTITDALKSIPNGNKKRVILHIGPGTYKEKIVVPNNKPFITFYGTPGQMPTLTYGGTAKQYGTVESGTLSVLSDYFVGANIIIRNSAPRPGLNTVKGQAVALRISGDKATFYNCQIYSYQDTLLDDANRHFFKDCYIQGTVDYIFGSGKSLYVNCEIRTLGDSGLTFITAQARKSKKEDNGFSFVHCELTGTGTGAYLGRAWFGYSTVIFSYCNMGNIFNKAGWSNNNHKEYDKTLYFGEYMNTGPGADATGRSHLTRKLKYAEVKHYLGLGMIEGSKWLLPPPKV >Vigun06g230000.1.v1.2 pep primary_assembly:ASM411807v1:6:33696246:33698619:1 gene:Vigun06g230000.v1.2 transcript:Vigun06g230000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRQVTLSKTKKKGRDHKEAIVNGIKEAAEKYACVYVFSFENMRNQKFKEFREQLKSSSRFFLGSNKVMQVALGRSAADEISPGIHKVSKLLRGDAGMVFTNLSKEEVERLFKGFEEYDFARTGSLATEKVDLKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPLSPEASRILRLMGIKMATFRLHLICRWSPDEFELYIDGPEESDVE >Vigun03g315100.1.v1.2 pep primary_assembly:ASM411807v1:3:50898683:50901736:-1 gene:Vigun03g315100.v1.2 transcript:Vigun03g315100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGTHPTPGVTESFYDVPDGVDILGRYDEEFAKILTKDALKFVADLQREFRSDIKFALEKRKEAKKRFNEGALPGFDPATSHIREEEWVCAPVPPAAADRKVEITGPVDRKMVINALNSGAKVFMADFEDALSPSWENLMSGQVNLKDALDGTISFHDKVRNRVYKLNEQTAKLFVRPRGWHLPEAHILIDAEPATGCLVDFGLYFYHNHSAFRRTQGAGFGPFFYLPKMEHSREAKIWNSVFEKAENVAGIERGSIRATVLIETLPAVFQMNEILYELKEHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMKSYSDLLIRTCHRRGVHAMGGMAAQIPIKDDPVANEAALELVRKDKLREVKAGHDGTWAAHPGLIPTCMEVFNNIMSNAPNQIETVKREDAANITEEDLLQIPVGVRTEEGLRLNTRVGIQYLAAWLSGSGCVPLYNLMEDAATAEISRVQNWQWLKYEVELNGDGVGEKVKKELFDRVVEEEMGRIEKEVGTEKFEKGKYKEACKIFARQCTSPELDDFLTLEAYDYIILHRPK >Vigun03g175750.1.v1.2 pep primary_assembly:ASM411807v1:3:21745483:21748744:-1 gene:Vigun03g175750.v1.2 transcript:Vigun03g175750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREKRIRNLLKSAVGTPEVHHISTTSELSVGNQLQSNHAQATGQQTSEQLRQQTNQHVGQQRSQQTGQQTSQQIGQQTSHQTGQQRSQQIGETSLQMGQQTTEQTGEQASEQSGQQTSVPTSESATERVQPKIGRHLARYWFVDAIDKHGHTQSLKVKVKDVHNLLVGLRVVVNYDDRYQAIGEASGLLVGVCGHVASNSVLFPISFESWSAMPDIYKDNAWERTLKSQFIFKVNEELAKRDVIFRIGKLWREYRCKLWNKFYNPLLSKNDLIKNVPDFVSMDQWALFVEYRLKPSTMDLCNRNKEIRKKQSIPHTGGAMALSRRRDNLIGRNIGRAKMWKITHKRKNGTYVNEEAMEIGEKIDELMLNNPEIASNISPNDLIGLIFGKEHPGRVRGFYKFFLFRVPDVGSGTPSPISIRRSSRTEKTS >Vigun04g196200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42090642:42092373:1 gene:Vigun04g196200.v1.2 transcript:Vigun04g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKILNEVQPYQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVLKLQKELDATNADLMMMVPNSSSSSSSAHLHHGGRNKMSSDDDGGGDGGGSSHGLSFYYSSSANWNNDPSENGFPRGDL >Vigun01g107600.1.v1.2 pep primary_assembly:ASM411807v1:1:28023031:28023589:1 gene:Vigun01g107600.v1.2 transcript:Vigun01g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPETQGSQPPLGYPSENPPAKRKFFSAFKKKGERGFIEGCLFALCCCWLCEECC >Vigun01g038300.1.v1.2 pep primary_assembly:ASM411807v1:1:5118333:5118873:-1 gene:Vigun01g038300.v1.2 transcript:Vigun01g038300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAKAYVLRLQNFVLGEHILNPCPKNPTPIKACAISACGNFAILGTAGGWIERFNLQSGIRRGSYIDISESRSCAHDGEVVGVACDSTNTLMISAGYKGSSGGSKTP >Vigun10g167400.1.v1.2 pep primary_assembly:ASM411807v1:10:38644232:38649048:1 gene:Vigun10g167400.v1.2 transcript:Vigun10g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTYNPRTVEEVFRDFKGRRAALIKALTTDVEDFYNQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDAWLLAIAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGAAKKQVKEKSSVSNHSGSKSKSSSKAQRAPESQSRQSKPSQPKEEDEELEEQDDDEHGETLCGACGEHYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Vigun10g167400.2.v1.2 pep primary_assembly:ASM411807v1:10:38644232:38649048:1 gene:Vigun10g167400.v1.2 transcript:Vigun10g167400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTYNPRTVEEVFRDFKGRRAALIKALTTDVEDFYNQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDAWLLAIAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGAAKKQVKEKSSVSNHSGSKSKSSSKQRAPESQSRQSKPSQPKEEDEELEEQDDDEHGETLCGACGEHYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Vigun10g092700.5.v1.2 pep primary_assembly:ASM411807v1:10:26523635:26529068:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQDLVPESDRLIVGGVQNSLQSLMDLLAYVVGIIISDPREFWKLTFLSFLAVTLAALLYCIHVYHVRKHLFHFDRLKWN >Vigun10g092700.6.v1.2 pep primary_assembly:ASM411807v1:10:26522560:26529068:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQDLVPESDRLIVGGVQNSLQSLMDLLAYVVGIIISDPRDNICIRC >Vigun10g092700.4.v1.2 pep primary_assembly:ASM411807v1:10:26522560:26529068:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQDLVPESDRLIVGGVQNSLQSLMDLLAYVVGIIISDPREFWKLTFLSFLAVTLAALLYCIHVYHVRKHLFHFDRLKWN >Vigun10g092700.3.v1.2 pep primary_assembly:ASM411807v1:10:26522560:26529068:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQDLVPESDRLIVGGVQNSLQSLMDLLAYVVGIIISDPREFWKLTFLSFLAVTLAALLYCIHVYHVRKHLFHFDRLKWN >Vigun10g092700.1.v1.2 pep primary_assembly:ASM411807v1:10:26522545:26529079:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQDLVPESDRLIVGGVQNSLQSLMDLLAYVVGIIISDPREFWKLTFLSFLAVTLAALLYCIHVYHVRKHLFHFDRLKWN >Vigun10g092700.7.v1.2 pep primary_assembly:ASM411807v1:10:26522545:26529073:-1 gene:Vigun10g092700.v1.2 transcript:Vigun10g092700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNTLTREPFLAQEQQTSSSLINHLYIGHFLARWDARMWEFSVGLYMVNIWPDSLLYAAIYGAIESASIALFGPIIGRWVDKLSYVKVLQLWLVTQNLSFVIAGATVVVLLVNSSLKFTNFSAFTLLLWTINICGGIGVLSTLAGTILIEREWLLVIAEGQPPEFLTKINSVIRRIDLTCKLLAPVITGFIISFVSLEASAITLALWNTVSVWVEYWLFTSVYNGIPALGESSQKRIARLSQCDQQRSNPTLEEDSLFSVTGGSSELVDRKHSKKLCQKISEIPYVAAWKVYLKQPVAIPGLALALLYFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIVATVAYPMLQSKITTIRTGLWSVWSQWTCLLPCIAAMWIHRGFLSSYILMGSVAISRLGLWMFDLSVLQQMQAS >Vigun02g054300.2.v1.2 pep primary_assembly:ASM411807v1:2:19726996:19730389:-1 gene:Vigun02g054300.v1.2 transcript:Vigun02g054300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSASVTLIWVLMRLIQGPGFHVKASNCQYMVVYQYGSAVLFNIEDHEVEDYLELVKRHASGLLREMRKDDYAIKEKPLLVEDMQGGPDYIVLKSLDTDGIRIIGSVLGQSIALDYFVSQVDGLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVAQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDFLEWCIIGLLTIENVLSLYEILRATNTVS >Vigun02g054300.3.v1.2 pep primary_assembly:ASM411807v1:2:19726996:19730389:-1 gene:Vigun02g054300.v1.2 transcript:Vigun02g054300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYQYGSAVLFNIEDHEVEDYLELVKRHASGLLREMRKDDYAIKEKPLLVEDMQGGPDYIVLKSLDTDGIRIIGSVLGQSIALDYFVSQVDGLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVAQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDFLEWCIIGLLTIENVLSLYEILRATNTVS >Vigun02g054300.1.v1.2 pep primary_assembly:ASM411807v1:2:19726996:19730389:-1 gene:Vigun02g054300.v1.2 transcript:Vigun02g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWKTFSLFYKRLITSSSSSSSSSSKSHFLSFNRSISLAAAPSEIPDPDPIGLSAPDIDPTVKIPVKAYFLSTSINLKGIQADNHRNIVPPSSRSSSNYVALRFCDFNLGSNGPGFHVKASNCQYMVVYQYGSAVLFNIEDHEVEDYLELVKRHASGLLREMRKDDYAIKEKPLLVEDMQGGPDYIVLKSLDTDGIRIIGSVLGQSIALDYFVSQVDGLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVAQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDFLEWCIIGLLTIENVLSLYEILRATNTVS >Vigun04g176400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40010127:40011962:1 gene:Vigun04g176400.v1.2 transcript:Vigun04g176400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEPAVHVAFLTSAGMGHLKPCLRLLSVFLRHGCKVTLIIPKPTVTLAESNLISRLCSSFPHQVTQIDFNLTPLDPTTVNATNDPFFLQYETIRRSVHLLSPILSSLSTSLSAFVVDAFLVSPILPIIEKMTCPSYIQFTSSARMLSFLAYVSVLADSDSAAQPHPTKFVGDAIQIPGIKLPIPRSSVPPPFLLEGTNSFQRMIMQDSPNLKKHDGVFMNSFEELEGEALAALNEGKVVKGLPPVYGIGPLMACEFENVDESQKGCMSWILERLNERAEGSVVYVSLGNRTETRRDQIKDMALGLIACGYSFVWVVKLKRVDREEDEGLEDVLGSELMSKLREKGVVVKEFVDQIKILEHPAVGGFVTHGGWNSVTEAIGEGVKILIWPQGADQKFNAELLRNSGVGIWAEEWGWGTQKVVEGKEIAKRIKEMMSNECLRVKAREMKDAARKACGVGGSCEVIIKRLIEEWKRNAQLN >Vigun04g176400.1.v1.2 pep primary_assembly:ASM411807v1:4:40010123:40012103:1 gene:Vigun04g176400.v1.2 transcript:Vigun04g176400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEPAVHVAFLTSAGMGHLKPCLRLLSVFLRHGCKVTQIDFNLTPLDPTTVNATNDPFFLQYETIRRSVHLLSPILSSLSTSLSAFVVDAFLVSPILPIIEKMTCPSYIQFTSSARMLSFLAYVSVLADSDSAAQPHPTKFVGDAIQIPGIKLPIPRSSVPPPFLLEGTNSFQRMIMQDSPNLKKHDGVFMNSFEELEGEALAALNEGKVVKGLPPVYGIGPLMACEFENVDESQKGCMSWILERLNERAEGSVVYVSLGNRTETRRDQIKDMALGLIACGYSFVWVVKLKRVDREEDEGLEDVLGSELMSKLREKGVVVKEFVDQIKILEHPAVGGFVTHGGWNSVTEAIGEGVKILIWPQGADQKFNAELLRNSGVGIWAEEWGWGTQKVVEGKEIAKRIKEMMSNECLRVKAREMKDAARKACGVGGSCEVIIKRLIEEWKRNAQLN >Vigun06g149200.1.v1.2 pep primary_assembly:ASM411807v1:6:27401894:27403826:1 gene:Vigun06g149200.v1.2 transcript:Vigun06g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFVIHFIIFLISAVSAQHDLRINNPCITKDSPLVKHTFTVNGYVSIHRRMKLKNTDCNESDDKCSYAMVVQQNLNTYNYPVYVVPVVLEWEIVNNLNPNLPATHLSPSNDTNITSSLEYYFFTAGYDNRCLGISMSIGFIILLFILWRVKRKIMKKKYKENCFERNGELLLQQRLSSSKVDVDKDKLFSLEVLKKATDNFNTDRVLGNGGQATVYKGILEDGTVIAVKKFKVRENNIEEFINESVILSQINHRNVVKLLGSCLETEIPMLVYEFIPNGNLFEYLH >Vigun02g058800.1.v1.2 pep primary_assembly:ASM411807v1:2:20378558:20380294:1 gene:Vigun02g058800.v1.2 transcript:Vigun02g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRYKLSATFLHFSFTHTAIQPSLSKKMAQFLLLLLVGLLLTMFSSAYGYGYGGGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTAMFNNGLSCGSCYEIRCVSDHRWCLPGSILVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPVFLRIAQYKAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHGVAIKGSRTGWMPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGHTVVSYNVAPAGWSFGQTYTGAQFR >Vigun05g152550.1.v1.2 pep primary_assembly:ASM411807v1:5:23795436:23796249:-1 gene:Vigun05g152550.v1.2 transcript:Vigun05g152550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKVEKKKKNRKKEKKKMKKKKMNEKKEKKKKKKTTMMATAAVAAAAAATATTKKIRRSWNFPAQTSLYKKTRRRKEEKEGEEEEEKKEKKRKKKEKEKNKKKEENEKKKEKVEKKKKNRKKEKKKMKKEKLKEKKEKKKKKEEEEEDDGNGDDDDNHEEKNKIKKLKEGGKRR >Vigun06g000700.1.v1.2 pep primary_assembly:ASM411807v1:6:318161:322487:1 gene:Vigun06g000700.v1.2 transcript:Vigun06g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFCLSLLFLFTAALAAASGGAEHNLTSGGLLWSPATEESDLRPAADDYDSDGGFSSLDGMLQWAISHSDPEKLKESAEAQQRLSPRELEKRSLKIKEIMEKIKMPSDAELMKIAIGDLNNVSTSLEDRRRALQELLELVESLDNANDLNKLGGLVAVTKELNHSDPGIRTIAAWVLGKASQNNPIVQQQIMELRVLSRLMKMVNSNSLEEANKALYAVSALTRNNLASQELFYAEAGGWMLQDILNNTSLDIKLRRKAVLLLADLAGYQLENADQDKLPFFNDTNLLKSVVDLTASTDLDIQEKALVAIKSLLQLRTTDAQIFKDFCALGDALNKMRKLLHDLMEDEYKRDYAIDVESLRVEVEHIFERKLVKQ >Vigun03g437000.4.v1.2 pep primary_assembly:ASM411807v1:3:64068048:64071752:1 gene:Vigun03g437000.v1.2 transcript:Vigun03g437000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRLWGGAMMVWVLAWRWVVPMGESAIGVNWGTVSFHKLKPSTVVDLLKDNKIPKVKVFEAEPEVLKPLMGSGIQVMLGIPNDMLALLGTSPTAADLWLRQNVSAYIGKHGADIRYIAVGNEPFLTSYNGEFQNLVMPAILNLQQSLVKANLASYIKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVQFLNSNDAPFVVNIYPFLSLYDNKDFPQDYAFFEGTTHPVTDGNNVYTNAFDGNYDTLVAALTKLGYGEMPIIIGEIGWPSDGAIGANISAAKVFNQGLLSHVLNNKGTPLRPGAPPMDIYLFSLLDEGAKSILPGGFERHWGIFSFDGQAKYPLDLGLGNKELKNAKNVQYLPPRWCVASPSIDAQNVANHVRIACTVADCTTLGYGGSCNGIGEKGNISYAFNSYYQLQMQDSRSCNFDGLDQISVVGSVTISDHNTNYLFFYIVIQRFLKWDFHGSHYKLEVAVK >Vigun03g437000.2.v1.2 pep primary_assembly:ASM411807v1:3:64068048:64071752:1 gene:Vigun03g437000.v1.2 transcript:Vigun03g437000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRLWGGAMMVWVLAWRWVVPMGESAIGVNWGTVSFHKLKPSTVVDLLKDNKIPKVKVFEAEPEVLKPLMGSGIQVMLGIPNDMLALLGTSPTAADLWLRQNVSAYIGKHGADIRYIAVGNEPFLTSYNGEFQNLVMPAILNLQQSLVKANLASYIKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVQFLNSNDAPFVVNIYPFLSLYDNKDFPQDYAFFEGTTHPVTDGNNVYTNAFDGNYDTLVAALTKLGYGEMPIIIGEIGWPSDGAIGANISAAKVFNQGLLSHVLNNKGTPLRPGAPPMDIYLFSLLDEGAKSILPGGFERHWGIFSFDGQAKYPLDLGLGNKELKNAKNVQYLPPRWCVASPSIDAQNVANHVRIACTVADCTTLGYGGSCNGIGEKGNISYAFNSYYQLQMQDSRSCNFDGLGMITFRDPSVGDCRFLVGVTDTDSSSVSQTRYQWWVLLPFLIIIQITSSFTL >Vigun03g437000.3.v1.2 pep primary_assembly:ASM411807v1:3:64068048:64071752:1 gene:Vigun03g437000.v1.2 transcript:Vigun03g437000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRLWGGAMMVWVLAWRWVVPMGESAIGVNWGTVSFHKLKPSTVVDLLKDNKIPKVKVFEAEPEVLKPLMGSGIQVMLGIPNDMLALLGTSPTAADLWLRQNVSAYIGKHGADIRYIAVGNEPFLTSYNGEFQNLVMPAILNLQQSLVKANLASYIKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVQFLNSNDAPFVVNIYPFLSLYDNKDFPQDYAFFEGTTHPVTDGNNVYTNAFDGNYDTLVAALTKLGYGEMPIIIGEIGWPSDGAIGANISAAKVFNQGLLSHVLNNKGTPLRPGAPPMDIYLFSLLDEGAKSILPGGFERHWGIFSFDGQAKYPLDLGLGNKELKNAKNVQYLPPRWCVASPSIDAQNVANHVRIACTVADCTTLGYGGSCNGIGEKGNISYAFNSYYQLQMQDSRSCNFDGLDQISVVGSVTISDHNTNYLFFYIVIQRFLKWDFHGSHYKLEVAVK >Vigun03g437000.1.v1.2 pep primary_assembly:ASM411807v1:3:64068673:64072007:1 gene:Vigun03g437000.v1.2 transcript:Vigun03g437000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRLWGGAMMVWVLAWRWVVPMGESAIGVNWGTVSFHKLKPSTVVDLLKDNKIPKVKVFEAEPEVLKPLMGSGIQVMLGIPNDMLALLGTSPTAADLWLRQNVSAYIGKHGADIRYIAVGNEPFLTSYNGEFQNLVMPAILNLQQSLVKANLASYIKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVQFLNSNDAPFVVNIYPFLSLYDNKDFPQDYAFFEGTTHPVTDGNNVYTNAFDGNYDTLVAALTKLGYGEMPIIIGEIGWPSDGAIGANISAAKVFNQGLLSHVLNNKGTPLRPGAPPMDIYLFSLLDEGAKSILPGGFERHWGIFSFDGQAKYPLDLGLGNKELKNAKNVQYLPPRWCVASPSIDAQNVANHVRIACTVADCTTLGYGGSCNGIGEKGNISYAFNSYYQLQMQDSRSCNFDGLGMITFRDPSVGDCRFLVGVTDTDSSSVSQTRYQWWVLLPFLIIIQITSSFTL >Vigun03g082700.1.v1.2 pep primary_assembly:ASM411807v1:3:6869951:6871117:-1 gene:Vigun03g082700.v1.2 transcript:Vigun03g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVASYFAMTLGAFVFWQSMDKVHVWIALHQDEKQERLEKEAEIRRVREELLQQQANQKDPYY >Vigun03g165700.1.v1.2 pep primary_assembly:ASM411807v1:3:18989705:18995645:-1 gene:Vigun03g165700.v1.2 transcript:Vigun03g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRYGVSELEHLCLTNLMELEKFIHTALSQIRSAKMRLMMESVDNLKKREHTLRKENEHLHKQIKAMENANCVSNMVTLTIDCDKTDMEAAQLWSQLALNP >Vigun05g008000.1.v1.2 pep primary_assembly:ASM411807v1:5:689729:695294:1 gene:Vigun05g008000.v1.2 transcript:Vigun05g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSLRPRFSPNTDSYSHIHISSSLLPSSNNSHNHQPPSQVPIKAPTPPWMKGPLLLQPNELVDLSKPKTKKFKLERQELSDKDLMGKEARGKRVMKKIVKKVEKLRSSYNSAEALIGSPNVESLGGVLESLKENEEVRSTKGRMPWENDSKFVYEKIKRKKAVTAAELALDKVLFRRLRDEAARMRTWIKVKKAGVTQDVVDQIKLTWRRSELAMIKFDIPLCRNMSRAREIVETKTGGLVVLSKKDFLVVYRGCNHQLTTKGYQSPRKNHSKMSGAESITNGDICSVDSNHSLSEMLNVNAEDKDYLSTTVQNMNFQTASSSLYERETDRLLDDLGPRFVDWWMPKPFPVDADLLPEDVPGFQTPSRLCPPHSGAKLSDYELTYFRKLAHPLPTHFVLGRNKGLKGLAAAILKLWEKSLIAKIAIKYGIQNTDNEMMANELKRLTGGVLLLRNKFYIILYRGNDFLPKRVAALVENRELELRSFQFDEEVARMKALEAFSPIDEVPQDTSTSGTLTEFKKIQTKFEDTKNRNKELNIQLEAEICRLEKELKDKQHRAFILNKKIEKSGKELSKLNAAWTPSEQDTDLEIMTDEERECFRKIGLKMQSCLLLGRRGIFDGVLEGLHQHWKHREVVKVITMQKLFSQVINTAKLLETESGGILVSVDKLKQGHAIIIYRGKNYSRPSVKLAKNLLTKREALRRSLEMQRNGSLKFFARQKDQTISELELKLEDLHQRKEIERTESEN >Vigun11g077150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22870889:22871254:1 gene:Vigun11g077150.v1.2 transcript:Vigun11g077150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLSHYMPQPRSIYQYLQNRSTRNLHFFTISFFSLSTITYLKKKKKHPIFSIPFPSKSPSTKNPNWSFIHYFLFSSSTELREPTPPIHVNTKKPHSPEPFSLCTPPNSSLHRGLHFLPS >Vigun03g436700.1.v1.2 pep primary_assembly:ASM411807v1:3:64057909:64059031:1 gene:Vigun03g436700.v1.2 transcript:Vigun03g436700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVDVAAGAQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Vigun04g145500.2.v1.2 pep primary_assembly:ASM411807v1:4:36058989:36063383:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQEDNVHVKIAIFARVLKLEKD >Vigun04g145500.1.v1.2 pep primary_assembly:ASM411807v1:4:36058989:36063382:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQGTQLQSFSTSTYEDNLDLCGPPLEKLCIEGGSTQEPNIKVHKDKDEYSLFNNDFFISMAIGFIISFWMVFGSLLLKRSWQRAYFNFLNNLEDNVHVKIAIFARVLKLEKD >Vigun04g145500.6.v1.2 pep primary_assembly:ASM411807v1:4:36058989:36063376:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQGTQLQSFSTSTYEDNLDLCGPPLEKLCIEGTWNPSSCTTPSFKAMVSSNSLSMLIKNIFTVNWKL >Vigun04g145500.5.v1.2 pep primary_assembly:ASM411807v1:4:36058989:36063376:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQGTIPNVSSTYVSTFMFLGSNQFEGSIPLFLRNSQILDLSKNKFSNHLSFLCGDGTISIQNQLDISYNHLSGDIPDCWRQMSSLIYLDLSHNNFSGKIPTSVGWLLNLEALLLSNNNLVEGIPLSIRNCTKLIMVDMSENKLSGSIPDWIGTKEDLKFLSLRKNQFFGSLPFKVCCLRNLHLLDLSINNLSGKIPKCINNLTSMVKLTSSIYYESHSYWFNHSMSFDSNAWLTWKGSKHIFMNEGLSLLKSIDLSSNHFSEEIPIEIEKLSGLISLNLSRNNLIGKIPSNIGKLTSLDSLDLSRNLLVGSIPPSLAQIYGLGVLDLSHNHLIGEIPTGTQLQSFSTSTYEDNLDLCGPPLEKLCIEEDNVHVKIAIFARVLKLEKD >Vigun04g145500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36058989:36063376:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQGTIPNVSSTYVSTFMFLGSNQFEGSIPLFLRNSQILDLSKNKFSNHLSFLCGDGTISIQNQLDISYNHLSGDIPDCWRQMSSLIYLDLSHNNFSGKIPTSVGWLLNLEALLLSNNNLVEGIPLSIRNCTKLIMVDMSENKLSGSIPDWIGTKEDLKFLSLRKNQFFGSLPFKVCCLRNLHLLDLSINNLSGKIPKCINNLTSMVKLTSSIYYESHSYWFNHSMSFDSNAWLTWKGSKHIFMNEGLSLLKSIDLSSNHFSEEIPIEIEKLSGLISLNLSRNNLIGKIPSNIGKLTSLDSLDLSRNLLVGSIPPSLAQIYGLGVLDLSHNHLIGEIPTGTQLQSFSTSTYEDNLDLCGPPLEKLCIEGGSTQEPNIKVHKDKDEYSLFNNDFFISMAIGFIISFWMVFGSLLLKRSWQRAYFNFLNNLEDNVHVKIAIFARVLKLEKD >Vigun04g145500.3.v1.2 pep primary_assembly:ASM411807v1:4:36058989:36063383:1 gene:Vigun04g145500.v1.2 transcript:Vigun04g145500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTTTTMKNPVGLRLMMFMVCVVSQVVHGEQKMRCIPKEREALLQFKAAIVDDFGMLSSWTTPHCCQWEGIRCTNLTSHIIDLHLPGGFLDEYFHYEYKYMSGEIHKSLMELPQLQYLNLSFNYFRYQRIPEFLGSLKNLKYLDLSFCDFGGEIPRQLGSLSRLKYLSLAWNFLNGSIPREFENLSQLQHLDLGDNYLEGNIPSYLGNLSQLQHLGLNCYNFEGNIPSELGNLTKLEQLYLGGEGIKIEDGGQWLSNLPFLTHFSLIGVSILDRSNNWLQVIAKLPKLRQLSLVDGSLSDHFILSSKPSKFNSSTSLSVLDLSWNTLTSPLVFQWVSNITSNLVDLNLHGNLLESSTSSHFGTLKNSLRHLDLSDNNFKVMDFKSFTNICTLQSLNMAENYLPGHLPYILGNLSSGCIKHSLQDLNLGYNQITGTLTDISVFSSLKTLFLDRNRLSGRIPEGVKLPSTLESLSIHSSSLEGGIPKSFGDACSLLSVDMSDNNLSDELPMIISHLSGCARYSLEQLSLSYNQINGTLPDFSIFTSMKTLYLNRNKINGEIPKEIQFPPTLECLNMNSNSLKGVLTDYHFANMSKLQHLDLSHNSLRLAFTQNWVPPFQLLTIELGSCKLGPTFPKWLESQEKFVNIDISNATISDIIPEWFWAKLPLQKVMRMDISNNNLQGTWNPSSCTTPSFKAMVSSNSLSMLIKNIFTVNWKL >Vigun06g084200.1.v1.2 pep primary_assembly:ASM411807v1:6:21655499:21659325:1 gene:Vigun06g084200.v1.2 transcript:Vigun06g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLNIDLEELYVHIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTREIKEVGPDGQEVTKVVPAVSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRRAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGILVLNNAIASCTEAIEQHKGKLVVKEAPRAVSERDDKLLAEHMAKLRQDNEEVSGDEDSEEEEDTGMGEVDVDNGSAITE >Vigun09g128500.3.v1.2 pep primary_assembly:ASM411807v1:9:28550932:28555988:-1 gene:Vigun09g128500.v1.2 transcript:Vigun09g128500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLGMPGPWAEDYREPSDPYTTKIGGLPDWPLPINADLLRCASCAGQLCLVAQVYAPLSQHRNLFVLGCVSPECGTAWRVLRVQNTADVDSSQRKQPASDGEVPCANVSDEEDVGDMDFEQLGKALFEAGTAASNTKRKKPRKKRQNKAPSSSPHPKPTAFVQNDVPVVPCFYIYAQEESSSVDISPICSSYSSLSLKETGSDAEDPSQPEEAWEKEHYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGRPILAAVNEINPGNCRLCGKPRQFEMQLMPPLLYFLQEALGERRHLVEKWDWMTLIVYTCSESCSVEIEQAKSNMGWIVAEEAVVAQCEESMPVQPGFFS >Vigun09g128500.2.v1.2 pep primary_assembly:ASM411807v1:9:28550932:28555988:-1 gene:Vigun09g128500.v1.2 transcript:Vigun09g128500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLGMPGPWAEDYREPSDPYTTKIGGLPDWPLPINADLLRCASCAGQLCLVAQVYAPLSQHRNLFVLGCVSPECGTAWRVLRVQNTADVDSSQRKQPASDGEVPCANVSDEEDVGDMDFEQLGKALFEAGTAASNTKRKKPRKKRQNKAPSSSPHPKPTAFVQNDVPVVPCFYIYAQEESSSVDISPICSSYSSLSLKETGSDAEDPSQPEEAWEKEHYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGRPILAAVNEINPGNCRLCGKPRQFEMQLMPPLLYFLQEALGERRHLVEKWDWMTLIVYTCSELTEAFQHTLSC >Vigun09g128500.1.v1.2 pep primary_assembly:ASM411807v1:9:28550932:28555988:-1 gene:Vigun09g128500.v1.2 transcript:Vigun09g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLGMPGPWAEDYREPSDPYTTKIGGLPDWPLPINADLLRCASCAGQLCLVAQVYAPLSQHRNLFVLGCVSPECGTAWRVLRVQNTADVDSSQRKQPASDGEVPCANVSDEEDVGDMDFEQLGKALFEAGTAASNTKRKKPRKKRQNKAPSSSPHPKPTAFVQNDVPVVPCFYIYAQEESSSVDISPICSSYSSLSLKETGSDAEDPSQPEEAWEKEHYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGRPILAAVNEINPGNCRLCGKPRQFEMQLMPPLLYFLQEALGERRHLVEKWDWMTLIVYTCSESCSVEIEQAKSNMGWIVAEEAVVAQCEESMPVQPGFFS >Vigun03g160500.1.v1.2 pep primary_assembly:ASM411807v1:3:17727413:17728771:-1 gene:Vigun03g160500.v1.2 transcript:Vigun03g160500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYGTTIFAHLFGLLALILLLVWLLHYREGIQYDSDDPYRVFNVHPFMMFFGFIFLVGQAMLAYQTVPGTHETQKIVHLTLHLIAIILGIVGLCAVFKFHDMMNLVDVYSLHSWIGIGTFCLFGLQWLLGLGFMLQGSAQSRAAMAPWHVAGGRALFFMAICAALTGLMDKYTSMKLMAHQRESHLINFTGLAILLFGVFVDMAVGLARFP >Vigun06g232600.1.v1.2 pep primary_assembly:ASM411807v1:6:33868145:33871125:-1 gene:Vigun06g232600.v1.2 transcript:Vigun06g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRSLKKEDILDLLSHDFCSHCQTLLHSRITTLRKRKVDEICSLDDEGFESSGDHVVEMSFNDDASNSESLTKRNESLRNCSQRRDSDTSVKDDEKRVFGSSPGNLVGCKQSDFELLLNDGICEGSSFKNGLSEERKEQIRYSLVCSQKDFSLIERINGRHINVLQGLELHTEVFNELEQRKIVEWIYRLQWRGQQGKLKDRTYSEPRKWMRGKGRVTIQFGCCYNYAVNKSGIPPGIMRDEEVDPLPPVFKQMIKRMVRWNIIPSSCIPDSCIVNIYEEGDCIPPHIDNHDFMRPFYTVSFLSECKILFGSNLQVVSAGEFAGPISLFLPVGSVFVLNGNGADIAKHCIPSVSSKRISITFRKMQPNKLPYKFSPDTDLVGIKPLVFSSLNKSDKAQDEVRNLNIQQQQHKADSVESESDKVWNTKKTSFRVK >Vigun06g232600.2.v1.2 pep primary_assembly:ASM411807v1:6:33868145:33871125:-1 gene:Vigun06g232600.v1.2 transcript:Vigun06g232600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRSLKKEDILDLLSHDFCSHCQTLLHSRITTLRKRKVDEICSLDDEGFESSGDHVVEMSFNDDASNSESLTKRNESLRNCSQRRDSDTSVKDDEKRVFGSSPGNLVGCKQSDFELLLNDGICEGSSFKNGLSEERKEQIRYSLVCSQKDFSLIERINGRHINVLQGLELHTEVFNELEQRKIVEWIYRLQWRGQQGKLKDRTYSEPRKWMRGKGRVTIQFGCCYNYAVNKSGIPPGIMRDEEVDPLPPVFKQMIKRMVRWNIIPSSCIPDSCIVNIYEEGDCIPPHIDNHDFMRPFYTVSFLSECKILFGSNLQVVSAGEFAGPISLFLPVGVRFEWQWG >Vigun11g072900.1.v1.2 pep primary_assembly:ASM411807v1:11:20787395:20789591:1 gene:Vigun11g072900.v1.2 transcript:Vigun11g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFEFVSCCGLPTQRTPEPAVLRLEEERSFVPAAAPAVSVRRPHRKRQRMGSAEWRPSLGPISEDGASLAEESPRKNTAASPASNAKKRSTVKVHYRSYSDGSSMPTMIPAFSPTPFMF >Vigun08g037200.3.v1.2 pep primary_assembly:ASM411807v1:8:3572190:3574862:1 gene:Vigun08g037200.v1.2 transcript:Vigun08g037200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLESPQFSTLSFQKAGMRGQLENLQCCWMHVILILIWFMGMKASILTNNSSLKSFSAKLGFNVLQLNELLGGRSAEVVALELLKLLGLEEGKVLDNDHYDLVFFHVGAGEQEAVAADVGYMDALVGGIMSQAQPGSDIGSRLHLSVVMSYGNVLEGDDSKFPVSKMVDEKNPHLSVLYPLQSYGMKGGIPRKDVRSHSPILIAQWQYAVTRKDNAERFSFEDFIERGGNLTIPADRFLHEIAFKLWKAPKYGA >Vigun08g037200.4.v1.2 pep primary_assembly:ASM411807v1:8:3572190:3574862:1 gene:Vigun08g037200.v1.2 transcript:Vigun08g037200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQLENLQCCWMHVILILIWFMGMKASILTNNSSLKSFSAKLGFNVLQLNELLGGRSAEVVALELLKLLGLEEGKVLDNDHYDLVFFHVGAGEQEAVAADVGYMDALVGGIMSQAQPGSDIGSRLHLSVVMSYGNVLEGDDSKFPVSKMVDEKNPHLSVLYPLQSYGMKGGIPRKDVRSHSPILIAQWQYAVTRKDNAERFSFEDFIERGGNLTIPADRFLHEIAFKLWKAPKYGA >Vigun08g037200.2.v1.2 pep primary_assembly:ASM411807v1:8:3572190:3574862:1 gene:Vigun08g037200.v1.2 transcript:Vigun08g037200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRSLVLFGDGFARFVDSSHTHLHSLASLSSCGLLSLPNSPPSESGDERAVREFAVLLDACHTYSNMGKISGGDSQEDPPKQTLSDRFMGMKASILTNNSSLKSFSAKLGFNVLQLNELLGGRSAEVVALELLKLLGLEEGKVLDNDHYDLVFFHVGAGEQEAVAADVGYMDALVGGIMSQAQPGSDIGSRLHLSVVMSYGNVLEGDDSKFPVSKMVDEKNPHLSVLYPLQSYGMKGGIPRKDVRSHSPILIAQWQYAVTRKDNAERFSFEDFIERGGNLTIPADRFLHEIAFKLWKAPKYGA >Vigun08g037200.5.v1.2 pep primary_assembly:ASM411807v1:8:3572190:3574862:1 gene:Vigun08g037200.v1.2 transcript:Vigun08g037200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKISGGDSQEDPPKQTLSDRFMGMKASILTNNSSLKSFSAKLGFNVLQLNELLGGRSAEVVALELLKLLGLEEGKVLDNDHYDLVFFHVGAGEQEAVAADVGYMDALVGGIMSQAQPGSDIGSRLHLSVVMSYGNVLEGDDSKFPVSKMVDEKNPHLSVLYPLQSYGMKGGIPRKDVRSHSPILIAQWQYAVTRKDNAERFSFEDFIERGGNLTIPADRFLHEIAFKLWKAPKYGA >Vigun08g037200.1.v1.2 pep primary_assembly:ASM411807v1:8:3572190:3574862:1 gene:Vigun08g037200.v1.2 transcript:Vigun08g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRSLVLFGDGFARFVDSSHTHLHSLASLSSCGLLSLPNSPPSESGDERAVREFAVLLDACHTYSNMKGKISGGDSQEDPPKQTLSDRFMGMKASILTNNSSLKSFSAKLGFNVLQLNELLGGRSAEVVALELLKLLGLEEGKVLDNDHYDLVFFHVGAGEQEAVAADVGYMDALVGGIMSQAQPGSDIGSRLHLSVVMSYGNVLEGDDSKFPVSKMVDEKNPHLSVLYPLQSYGMKGGIPRKDVRSHSPILIAQWQYAVTRKDNAERFSFEDFIERGGNLTIPADRFLHEIAFKLWKAPKYGA >Vigun04g105900.2.v1.2 pep primary_assembly:ASM411807v1:4:25379986:25383620:1 gene:Vigun04g105900.v1.2 transcript:Vigun04g105900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANRRKSEEPEVTMDHVLLASGESAPQREARIRALFGFFDAQGRGYLDHALIERGLAALGMPSESDYARDLLGACGADKDGRVEYGEFKRYMEEKEVELYRIFQAIDVEHSGCISPEELWHALVRAGIQIDDVELARFVERVDKDHNGVITFGEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQTVIPAGISKHIHASSYLIAGGVAGAASRTTTAPLDRLKVVLQVQTTRAHIMPAVKYIWKEGGFLGFFRGNGLNVLKVAPESAIRFYTYEMLKGFIVNAKGEGTKADVGTMGRLLAGGTAGAVAQTAIYPLDLVKTRIQTHACEGGKFPSLGSLAKDIWVKEGPRAFYKGLIPSILGIIPYAGIDLAAYESLKDMSKKYILPDEGNEHILYVVFCARCAIIVC >Vigun04g105900.1.v1.2 pep primary_assembly:ASM411807v1:4:25379986:25383620:1 gene:Vigun04g105900.v1.2 transcript:Vigun04g105900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANRRKSEEPEVTMDHVLLASGESAPQREARIRALFGFFDAQGRGYLDHALIERGLAALGMPSESDYARDLLGACGADKDGRVEYGEFKRYMEEKEVELYRIFQAIDVEHSGCISPEELWHALVRAGIQIDDVELARFVERVDKDHNGVITFGEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQTVIPAGISKHIHASSYLIAGGVAGAASRTTTAPLDRLKVVLQVQTTRAHIMPAVKYIWKEGGFLGFFRGNGLNVLKVAPESAIRFYTYEMLKGFIVNAKGEGTKADVGTMGRLLAGGTAGAVAQTAIYPLDLVKTRIQTHACEGGKFPSLGSLAKDIWVKEGPRAFYKGLIPSILGIIPYAGIDLAAYESLKDMSKKYILPDEDPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRAYMGMADVFRRTFRHEGLRGFYKGLFPNLLKVVPSASITYLVYENMKKGLDLE >Vigun04g105900.3.v1.2 pep primary_assembly:ASM411807v1:4:25379986:25383620:1 gene:Vigun04g105900.v1.2 transcript:Vigun04g105900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANRRKSEEPEVTMDHVLLASGESAPQREARIRALFGFFDAQGRGYLDHALIERGLAALGMPSESDYARDLLGACGADKDGRVEYGEFKRYMEEKEVELYRIFQAIDVEHSGCISPEELWHALVRAGIQIDDVELARFVERVDKDHNGVITFGEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQTVIPAGISKHIHASSYLIAGGVAGAASRTTTAPLDRLKVVLQVQTTRAHIMPAVKYIWKEGGFLGFFRGNGLNVLKVAPESAIRFYTYEMLKGFIVNAKGEGTKADVGTMGRLLAGGTAGAVAQTAIYPLDLVKTRIQTHACEGGKFPSLGSLAKDIWVKEGPRAFYKGLIPSILGIIPYAGIDLAAYESLKDMSKKYILPDEECRLNVLIWGWQMYSGELLGMKG >Vigun06g003200.1.v1.2 pep primary_assembly:ASM411807v1:6:1419682:1422864:-1 gene:Vigun06g003200.v1.2 transcript:Vigun06g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANTVVSLVSIALLCITTAQGRTNFHGTPSSVTDHDFHIDGICQTAVETKGYQCEEHKVETDDGYILSLQRLPAGRSGQKADKPPVLLQHGLFCDALTWLINPPDEALGFILADNGYDVWISNTRGTKYSRSHKSLSPNDAAFWNWSWDELASYDLPALVKYVHNNTAQKIHYVGHSLGTLMAFTAFSRGQVLDRLRSAALLSPIAHMSEVNSPIARIFADTFLAEHLNLIGIREFIPNGEGSTRLVEGICKTLSIDCSKLLAYFTGPNCCLNSTLLNSLLDHGLQSTSTKNLIHLSQMIRTGNIAKYDYGNFLRNGQRYGKPFPPSYDFTAIPKEFPLFVSYGGLDMLSEVNGVKLLLNDLKTHDANNLVVLFRKEYAHVDFFMATNVKQVLYDPIISFFQVN >Vigun02g198000.1.v1.2 pep primary_assembly:ASM411807v1:2:33313586:33317483:-1 gene:Vigun02g198000.v1.2 transcript:Vigun02g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTNMFDSHSHMLDMSPHKTTFSEADPGKPRDDEYETKSGTDLDAPSGDDQDPNPRPRKKGYRRHTQRQIEEMEAFFKQCPHPDDKQRKELSRDLGLEPLQVKFWFQNKRTQMKTQHERHENSILKAENEKLRAENNRYKEALTNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPVTSSYSNLSSLNNNHMPVGNYGAQSGTVGEMYGGSDLFRSLPAPADADKPMIVELAVAAMEELTRLAQAGDSLWVPGNHHCEILNEEDYLRTFPSRGLGPKPLGLRSEASRESVVVIMNHINLIDILMDVNQWSTMFCGIVSRALTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQQPDGIWAVVDVSLDNLRPSTISRSRRRPSGCLIQELPNGYSKVTWIEHVEVDDRAVHSIYKTLVNSGLAFGAKRWVATLDRQCERLASSMANNIPAGNLCVITSAEGRKSMLKLAERMVISYCTGVGASTAHAWTTLSATGCDDVRVMTRKSTDEPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRNEWDILSNGGLVQELAHIANGRDPGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVVYAPVDIVAMNVVLGGGDPDYVALLPSGFAILPDGPGINGGSILDVGSGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIKCTVERIKVAVIRDNT >Vigun11g121800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32906542:32906652:1 gene:Vigun11g121800.v1.2 transcript:Vigun11g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCRKLTVVNLDPERKRSGGLRTKQAGRGSCRGS >Vigun04g149300.1.v1.2 pep primary_assembly:ASM411807v1:4:36484151:36487119:-1 gene:Vigun04g149300.v1.2 transcript:Vigun04g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLSAAITLKPFLRHHHPHFPKAIPATLPFSPLRISHHTTFLNTQKLSPFTVCVLTQDPKQSTHMEIEDQEQPSPSPPQVLSPKLAAKLARKESERSTYLVAAVMSSLGVTSMAVFAVYYRFAWQMEGGEVPWSEMFGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFHKGLIPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVASAHQLHHSEKFDGVPYGLFMGPKEVEEVGGLEELEKEISRRARLYKNSSS >Vigun01g216000.3.v1.2 pep primary_assembly:ASM411807v1:1:38981359:38987080:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.10.v1.2 pep primary_assembly:ASM411807v1:1:38981360:38987027:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEALCCQLGFFCVLIDANALFCLHSRT >Vigun01g216000.11.v1.2 pep primary_assembly:ASM411807v1:1:38981360:38987019:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEALCCQLGFFCVLIDANALFCLHSRT >Vigun01g216000.9.v1.2 pep primary_assembly:ASM411807v1:1:38981354:38987050:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEALCCQLGFFCVLIDANALFCLHSRT >Vigun01g216000.1.v1.2 pep primary_assembly:ASM411807v1:1:38981360:38987058:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.6.v1.2 pep primary_assembly:ASM411807v1:1:38981360:38987019:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.4.v1.2 pep primary_assembly:ASM411807v1:1:38981354:38987080:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.5.v1.2 pep primary_assembly:ASM411807v1:1:38981354:38987050:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEALCCQLGFFCVLIDANALFCLHSRT >Vigun01g216000.8.v1.2 pep primary_assembly:ASM411807v1:1:38981360:38986595:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSFKNKVTSVFSRVPWGSNNHFIMEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.2.v1.2 pep primary_assembly:ASM411807v1:1:38981354:38987080:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEAVHDQLKEQIKDVDAAQSKKVSVFWIGMAESVQVMGSFDGWSQGEHLSPEYNGSYTKFSTTLLLRPGRYEIKFLVDGEWQLSPEFPIIGEGLTKNNLLVVE >Vigun01g216000.7.v1.2 pep primary_assembly:ASM411807v1:1:38981359:38987028:-1 gene:Vigun01g216000.v1.2 transcript:Vigun01g216000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISIARPHLETRGVFFSNISRTIAWESSKKPPCTVAALSSTQDFHRLASSCQAFTSVNPKRHLICRPNSMPTSLQESASNGDNSLEGENPPIDSEVETLAQPPTREQIMALLADAQREKLTKKLSEANQQNRFLKRQLNVKEDALVKFKSELGVMELEIQALARLAEEIAQSGIPEGSRKINGKYIHSHLVARLEALCCQLGFFCVLIDANALFCLHSRT >Vigun11g142500.1.v1.2 pep primary_assembly:ASM411807v1:11:35224792:35226312:1 gene:Vigun11g142500.v1.2 transcript:Vigun11g142500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDVEVQEEEEEYPEKDYNDPPPAPLFDLEELAHWSFYRAIIAEFIATLLFLYVTVQTIIGNKRQIDANINGIECDGVGILGIAWTFGGMIFILVYCTASISGGHINPAVTFGLFLGRKVSLIRALLYILAQCAGAICGTGLAKGFQKAYFNRYGGGANSVADGYNKGTALGAEIIGTFVLVYTVFSATDPKKNARDSYIPVLAPLPIGFAVFVVHLATIPVTGTGINPARSFGAAVIYNDQKIWDDQWIFWVGPIVGAAVAALYHQYILRAAAIKALGPFRRHV >Vigun08g180800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35037079:35040753:-1 gene:Vigun08g180800.v1.2 transcript:Vigun08g180800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASRSKSKDKKASKEAQKAAAKPTGSGNAVAGVPASAYNPLLGTFHTLDISQTPTSPIHANGRFRNIDETDEHPVNSVVAGVEYDSVSNNGSWSGESEEHKEKSSNAPVRSDSVPGADNDKREKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHERATMALILNEGRVEESVAWLFEGGEEADGNKDTNIRRGNLKIDISDELAQIADMVTRYDCSKQEVERAVVNCDGDLDKAAESLRELKLDPPSAPPKPEETGDPPINNNVKQSGVASQSSRPQTKPVPLPNQPKKDDKEFNYTKAAITIGVPSDSSSRNVQPVKRIQPKSEWVKPQQATVPADKKWPSSGSNSSVSYSLQSPLQMLAPPAKSEARYVPVGGEFKNLQPVASREPLIMMQRPQTKQVPATTMSSSPPGIAANWYTTNGPDAVRSNGFLSHAPSTRSPSPNYLSSNQRYHQLQYQPQQQFVGGSSNSVDHQATNSNWNRTGATPTLAAATSLGLFSGLGSAGQSGATSAVDWSTGGSVQFDYTNIDWSLDRSLASPRSNGLWLGFSPFSKSNTQMYESNASGVVAQSSTRPVPSNGSIVVPLPGLQDGAVASSETSAASRDWGSPFEGNDLFSLPRQFVSSPTL >Vigun08g180800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35037053:35040753:-1 gene:Vigun08g180800.v1.2 transcript:Vigun08g180800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASRSKSKDKKASKEAQKAAAKPTGSGNAVAGVPASAYNPLLGTFHTLDISQTPTSPIHANGRFRNIDETDEHPVNSVVAGVEYDSVSNNGSWSGESEEHKEKSSNAPVRSDSVPGADNDKREKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHERATMALILNEGRVEESVAWLFEGGEEADGNKDTNIRRGNLKIDISDELAQIADMVTRYDCSKQEVERAVVNCDGDLDKAAESLRELKLDPPSAPPKPEETGDPPINNNVKQSGVASQSSRPQTKPVPLPNQPKKDDKEFNYTKAAITIGVPSDSSSRNVQPVKRIQPKSEWVKPQQATVPADKKWPSSGSNSSVSYSLQSPLQMLAPPAKSEARYVPVGGEFKNLQPVASREPLIMMQRPQTKQVPATTMSSSPPGIAANWYTTNGPDAVRSNGFLSHAPSTRSPSPNYLSSNQRYHQLQYQPQQQFVGGSSNSVDHQATNSNWNRTGATPTLAAATSLGLFSGLGSAGQSGATSAVDWSTGGSVQFDYTNIDWSLDRSLASPRSNGLWLGFSPFSKSNTQMYESNASGVVAQSSTRPVPSNGSIVVPLPGLQDGAVASSETSAASRDWGSPFEGNDLFSLPRQFVSSPTL >Vigun08g180800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35037067:35040753:-1 gene:Vigun08g180800.v1.2 transcript:Vigun08g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASRSKSKDKKASKEAQKAAAKPTGSGNAVAGVPASAYNPLLGTFHTLDISQTPTSPIHANGRFRNIDETDEHPVNSVVAGVEYDSVSNNGSWSGESEEHKEKSSNAPVRSDSVPGADNDKREKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHERATMALILNEGRVEESVAWLFEGGEEADGNKDTNIRRGNLKIDISDELAQIADMVTRYDCSKQEVERAVVNCDGDLDKAAESLRELKLDPPSAPPKPEETGDPPINNNVKQSGVASQSSRPQTKPVPLPNQPKKDDKEFNYTKAAITIGVPSDSSSRNVQPVKRIQPKSEWVKPQQATVPADKKWPSSGSNSSVSYSLQSPLQMLAPPAKSEARYVPVGGEFKNLQPVASREPLIMMQRPQTKQVPATTMSSSPPGIAANWYTTNGPDAVRSNGFLSHAPSTRSPSPNYLSSNQRYHQLQYQPQQQFVGGSSNSVDHQATNSNWNRTGATPTLAAATSLGLFSGLGSAGQSGATSAVDWSTGGSVQFDYTNIDWSLDRSLASPRSNGLWLGFSPFSKSNTQMYESNASGVVAQSSTRPVPSNGSIVVPLPGLQDGAVASSETSAASRDWGSPFEGNDLFSLPRQFVSSPTL >Vigun08g180800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35037079:35040753:-1 gene:Vigun08g180800.v1.2 transcript:Vigun08g180800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASRSKSKDKKASKEAQKAAAKPTGSGNAVAGVPASAYNPLLGTFHTLDISQTPTSPIHANGRFRNIDETDEHPVNSVVAGVEYDSVSNNGSWSGESEEHKEKSSNAPVRSDSVPGADNDKREKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHERATMALILNEGRVEESVAWLFEGGEEADGNKDTNIRRGNLKIDISDELAQIADMVTRYDCSKQEVERAVVNCDGDLDKAAESLRELKLDPPSAPPKPEETGDPPINNNVKQSGVASQSSRPQTKPVPLPNQPKKDDKEFNYTKAAITIGVPSDSSSRNVQPVKRIQPKSEWVKPQQATVPADKKWPSSGSNSSVSYSLQSPLQMLAPPAKSEARYVPVGGEFKNLQPVASREPLIMMQRPQTKQVPATTMSSSPPGIAANWYTTNGPDAVRSNGFLSHAPSTRSPSPNYLSSNQRYHQLQYQPQQQFVGGSSNSVDHQATNSNWNRTGATPTLAAATSLGLFSGLGSAGQSGATSAVDWSTGGSVQFDYTNIDWSLDRSLASPRSNGLWLGFSPFSKSNTQMYESNASGVVAQSSTRPVPSNGSIVVPLPGLQDGAVASSETSAASRDWGSPFEGNDLFSLPRQFVSSPTL >Vigun08g180800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35037053:35040753:-1 gene:Vigun08g180800.v1.2 transcript:Vigun08g180800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASRSKSKDKKASKEAQKAAAKPTGSGNAVAGVPASAYNPLLGTFHTLDISQTPTSPIHANGRFRNIDETDEHPVNSVVAGVEYDSVSNNGSWSGESEEHKEKSSNAPVRSDSVPGADNDKREKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHERATMALILNEGRVEESVAWLFEGGEEADGNKDTNIRRGNLKIDISDELAQIADMVTRYDCSKQEVERAVVNCDGDLDKAAESLRELKLDPPSAPPKPEETGDPPINNNVKQSGVASQSSRPQTKPVPLPNQPKKDDKEFNYTKAAITIGVPSDSSSRNVQPVKRIQPKSEWVKPQQATVPADKKWPSSGSNSSVSYSLQSPLQMLAPPAKSEARYVPVGGEFKNLQPVASREPLIMMQRPQTKQVPATTMSSSPPGIAANWYTTNGPDAVRSNGFLSHAPSTRSPSPNYLSSNQRYHQLQYQPQQQFVGGSSNSVDHQATNSNWNRTGATPTLAAATSLGLFSGLGSAGQSGATSAVDWSTGGSVQFDYTNIDWSLDRSLASPRSNGLWLGFSPFSKSNTQMYESNASGVVAQSSTRPVPSNGSIVVPLPGLQDGAVASSETSAASRDWGSPFEGNDLFSLPRQFVSSPTL >Vigun08g195000.1.v1.2 pep primary_assembly:ASM411807v1:8:36121950:36129795:-1 gene:Vigun08g195000.v1.2 transcript:Vigun08g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFDRFSIRDYTTKMRSVDVYKCWPFASTVSRDASREELQSWLPPMTLCPRSDGLNDHAQNPDNPPSPEEVSGTDDEGDADCSEEESEESAPPSHAAVNNNDDEKLEMVCPVCREFNAATLTAVNAHIDGCLAQTMREERRHMRIMNLKSSSSTSISKSKAPKKRSIAEIFKVEEQQQQQPPQLQQPPPQIESVLKFWPFHEDVADEVSNTVSKFEWLSRRLEALRSTRGGGESSKSDRRDSAEEDEKLEMVCPVCRDFNAATVTAVNAHIDGCLAQAVREERRQMRRTVNCKSKPKAPKKRSIAEILTVALPIEAGKSKGIQIKENEEKSDYRCEDSACAPAPSTSTAAPVVSVIKNRKSTNKSRKKKKMKKAKKKKSKVEKYGESGVLFVNNEKKTVVNKKKKKKSNVFNNGPTGKKGDAYERMVQNVANSSRKLQGTVGSKMVPLHGVDPSIDRKKLGLNCISVEKKQHVKNFDSVGKQQKAVSPVHGSILKNHFKHVSGKTSSVSNIRNGTEKSHYNDEEPTSDRHVKFSGKDDILGPKRTTSFDEAMFNISSDALASSVVKEKSSRSDEETASLEPNRNYGHIHVNIDRDKREEEICPIVESKQFSNTLEQDTVQSCLKPCTDQDKSKHLEEESELLTKVAVCDNNSSHFFYGSNRTTLHCSPYAEISGPLSAVHEEQMSGINRQACDFGSFGYSGKLDHFDDPQVDFVDSNDNTKTFLEPSSSYSASYNANEKPESPLQACGDKDNSDEASGDRQLSRMFSADMIDHSFPFTGWDKGGVKNCSLDPSFFGLPLNSHGELINFSSSGNLGVNQPETSTTVRGSLSGLPISNILHQSNLENLSANENHVQKTFTRDGLNPFPHHSTRLSVTELQSREREDIHQPNSDTCYLPPLNSKPNLEKNVFIEKNQSDQVGNHSGNGVVSLKEGSDHISLSSSQPTMRLMGKDVPIGRSSQEMQQFAGDVWTDEQSRRRNYSEYAALEHSLLRRSSKQDWVSGSPLQISADGVLQSAKIQSNQALQSTMLMPSTDSGFSRQFIDLQRNPVSQNGSVEVNRNASSFFNPITPKSTSYAVFNGASDDFPEQFIHGAKPLGLSSQSVVLPTPGNFRHSPSLSNGELNDGNKNPLFTKSAFGFPFLQPTVNEQTKTSWFERPYRSSPSWLSSSADEMLPGSFSQQFSGTSSQSFSQNLWGNNLTTPELRFPSNHLTSMRPMQTTPLSPASIVRPLHVPVTPSTINSGNRNINNVSDRLKLDEHHPCTNNRKRPTAVANLDDSRKPTKLPNIQVQENFCRVTRLTGEKSSVELQRNTRAPELDPQMGSGGSRCCQREAQNLNPSRYPAVNSFKLDGMVTSGPVRLGPKRAKHILKSS >Vigun08g059325.1.v1.2 pep primary_assembly:ASM411807v1:8:7675559:7676212:-1 gene:Vigun08g059325.v1.2 transcript:Vigun08g059325.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSGIASLSYIGYCMMRWASKKTAGSRKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFIQETMWDLGKIILCLH >Vigun10g134300.1.v1.2 pep primary_assembly:ASM411807v1:10:34432297:34435470:1 gene:Vigun10g134300.v1.2 transcript:Vigun10g134300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLKLDLHDDKEKQKALKTVSTLSGIDAIAMNMKEKKLTVIGTVDPVSVVSKLRKYWQTDIVEVGPVKEPEKKEDAKKGEAKKEGEKKEEQKKEGEKKEEGKKMKRRKMRKRKSQSQILFWNGSRLKEQHITPT >Vigun10g036032.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:4829942:4831812:-1 gene:Vigun10g036032.v1.2 transcript:Vigun10g036032.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVTSISSLVHLYSISYMSEDPHSPRFMCYLSILTFFMPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAATKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFARASAPRNSWISCNMRLNAITLICILLLIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITSAGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLPPRSLLPMP >Vigun05g261400.2.v1.2 pep primary_assembly:ASM411807v1:5:45414957:45417122:1 gene:Vigun05g261400.v1.2 transcript:Vigun05g261400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDTVKLISAEGFEFVVDKEAAMVSQTIHNMLTSPGSFAERQHGEVTFPEISTTILEKICQYFYWHLQFASGKETEFPIEPELTLELMMAANYLHT >Vigun05g261400.1.v1.2 pep primary_assembly:ASM411807v1:5:45414893:45417283:1 gene:Vigun05g261400.v1.2 transcript:Vigun05g261400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDTVKLISAEGFEFVVDKEAAMVSQTIHNMLTSPGSFAERQHGEVTFPEISTTILEKICQYFYWHLQFASGKETEFPIEPELTLELMMAANYLHT >Vigun07g284100.2.v1.2 pep primary_assembly:ASM411807v1:7:39751437:39761087:-1 gene:Vigun07g284100.v1.2 transcript:Vigun07g284100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGTWVLFNLLLVSSLLSEFSESVSQAPICSEQDRASLLSFKASISQDTSQTLSTWTGRDCCDGGWEGVQCNPSTGRVNVLQIQRPERDDETYMKGTLSPSLGNLHFLEVMIISGMKHITGPIPSSFSNLTHLTQLILDDNSIGGCIPPSLGRLSLLQSLSLAGNHLKGQIPPTFGALTNLVQLNLARNSLTGPIPLTLKTLLNLQYLDLSYNLLSAPVPDFIGELKNLTYIDLSSNLLTGKIPVSLFGLVNLLDLSLSSNKLTGNIPDQVGNLKSLTSLQLSANLLTGHIPLSISKLQNLWYLNLSRNCLSDPLPVIPTKGIPALLSIDLSYNNLSLGTVPDWIRSKQLKDVHLAGCNLKGDLPHFTRPDSLSSIDLSDNYLVDGISNFFTNMSSLQKVKLSNNQLRFDISAIKLPAELSSIDLHGNLVVGSLSTIVNNRTGTSLEVIDVSNNFISGHIPGFVEGSSLKVLNVGSNNITGPIPVSISNLVYLERLDISRNHVSGTIPSSLGQLLKLKWLDVSINGLTGQIPSSLSQLTGLRHANFRANKLCGEIPQTRPFNIFRPVAYGHNLCLCGKPLQPCKKHGSMGES >Vigun07g284100.1.v1.2 pep primary_assembly:ASM411807v1:7:39758550:39761160:-1 gene:Vigun07g284100.v1.2 transcript:Vigun07g284100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGTWVLFNLLLVSSLLSEFSESVSQAPICSEQDRASLLSFKASISQDTSQTLSTWTGRDCCDGGWEGVQCNPSTGRVNVLQIQRPERDDETYMKGTLSPSLGNLHFLEVMIISGMKHITGPIPSSFSNLTHLTQLILDDNSIGGCIPPSLGRLSLLQSLSLAGNHLKGQIPPTFGALTNLVQLNLARNSLTGPIPLTLKTLLNLQYLDLSYNLLSAPVPDFIGELKNLTYIDLSSNLLTGKIPVSLFGLVNLLDLSLSSNKLTGNIPDQVGNLKSLTSLQLSANLLTGHIPLSISKLQNLWYLNLSRNCLSDPLPVIPTKGIPALLSIDLSYNNLSLGTVPDWIRSKQLKDVHLAGCNLKGDLPHFTRPDSLSSIDLSDNYLVDGISNFFTNMSSLQKVKLSNNQLRFDISAIKLPAELSSIDLHGNLVVGSLSTIVNNRTGTSLEVIDVSNNFISGHIPGFVEGSSLKVLNVGSNNITGPIPVSISNLVYLERLDISRNHVSGTIPSSLGQTNCVVKYHKPDHSTSFDRLLTATTCAYVANLYNHARNTEAWVSEMLTLSY >Vigun05g027400.1.v1.2 pep primary_assembly:ASM411807v1:5:2228786:2234187:-1 gene:Vigun05g027400.v1.2 transcript:Vigun05g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLASSSLDGGIGCWDLHTGAEQLRYKSCSSPSHGLVAVGPRFIASSQLREPSATSGSVLFWSWSKPQVEVKSFPAEPIKPLASNHLGTFIAGGALSGDIYLWEVETGRLLKKWRAHIRAVTCLVFSEDDSLLVSGAEDGTVRVWSLFMIFDDFRGQQANNLYEYSFTGHSLHVTDVVIGNGGCSAIIVSASEDRTCKVWSLSRGTLLRNIVFPSVIDSIALDPAEHVFYAGSRDGKIFIAALNTESDASNNHGMHIIGSFSNQSKTVTCLAYSTSQNLLISGSEDGKVRVWDARTRRIVRLFKHAKGPVNNILVVRRENSSNHISSNVQPSSRKQGNLPPPLEKYANSIHEDSDMNTTISLGGGRSSLNPSYLSSHVISNYIKELQHQGSAAASEMETEKLKHDYQRAMQMANQWKNMYENLNKFCVNELLDGSQARTLDENHN >Vigun05g133200.1.v1.2 pep primary_assembly:ASM411807v1:5:15639671:15641746:-1 gene:Vigun05g133200.v1.2 transcript:Vigun05g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRRTLDQTLHGEADNIDRLSVLPESVLLSILSRLDLKEAAATSVLSTAWRDLFLNLPYVQLNFNGNGNPPDHPRLFHLFTLFINRILRERNPEIPIKAFWLTVKNFTERMKLDYNSLMMSIAAAMSTCKMMTIDYAIGSCSEGTEVSSLPLPPAMFMSETLTRLRLTLSVGWDLPENVWMPNLRYAYFIPYRLTHENSTQRFLDGCPRLDCLMFTIRATPTRDEPRVKTLRVSSSSLRVLRVGWDPIGERGMSINVKSESLERLILYLRGGHNVNVDAPNLKFLDICGHVLQMNIIRGFPLIDEAVIDVAYAAQGTYWKDFHADTKKASTFFKELQNVRVLSVSEPIIKALFVHANELPTFSNMYKIKFIPDYSLDEFTRNGIQDVFFNLFQRCPNLQVLSFHDKIDMLSSSNVFDIELETVFPITMVQNLKELQISEFKGEQMEYMLLKFFLKNGQSLQIISLRKDASKKAGRYTWPRKQKKKILSFRRKCTKECEIIFK >Vigun06g209100.3.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPVCRGTCTCKDCLAIKYEDSESKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun06g209100.4.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRWHVQFAEELAPVKIAWQLNMKTVKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun06g209100.2.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPVCRGTCTCKDCLAIKYEDSESKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun06g209100.6.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRWHVQFAEELAPVKIAWQLNMKTVKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun06g209100.5.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSTPEEEGKAVPEHLRCNRSDGRQWRCRRRVMENLKLCEIHYLQGQHRQHKEKVPESLKLQRKSQNDAVETQIGAKRKGNSREALVNRRNQLELIRMVLQREVEKKKKKESQLNLPVNLNLHSNHDLRRELPNGVMAIASASTPNVASFSRYFRSKNVERGSGGKLQCGRNLKKGRRKKCHWCQRSDSCSLIRCSNCQREFFCMDCIKQRYFDTQNEVKMACPVCRGTCTCKDCLAIKYEDSESKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun06g209100.1.v1.2 pep primary_assembly:ASM411807v1:6:32239467:32246090:-1 gene:Vigun06g209100.v1.2 transcript:Vigun06g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSTPEEEGKAVPEHLRCNRSDGRQWRCRRRVMENLKLCEIHYLQGQHRQHKEKVPESLKLQRKSQNDAVETQIGAKRKGNSREALVNRRNQLELIRMVLQREVEKKKKKESQLNLPVNLNLHSNHDLRRELPNGVMAIASASTPNVASFSRYFRSKNVERGSGGKLQVVQCGRNLKKGRRKKCHWCQRSDSCSLIRCSNCQREFFCMDCIKQRYFDTQNEVKMACPVCRGTCTCKDCLAIKYEDSESKEHLASKNRVDRILHFHYLVCMLLPVLKQIKEDHHVGVETKAKIKGKRMNDTIIKPVKFGCNGKNYCNHCKTPILDLHKSCLSCSYSLCLSCSHALSQGRISEQNNSCISKQSDRISACISSERYLLDEKAISNGNLTDTSMLTGWTSCNGASMVSCPPTKLGDCGNSHLDLSYVFPLSWIKEMEAKAEEIICSYDFPETLDKTSSCPMCVDKDHKTSRNKQLPEAAQREDSNDNYLFYPTVLDIGSNHFEHFQKHWGRGHPVVVRDVLQSTPNLSWDPLFMFCTYLERSMTRYENNKDLLEACLDWFEVETNVRQYFTGSLKCEPKKNTWHEMLKLKGWLSSQLFEEQFPAHFAEVIDALPIQEYMNPSSGLLNLAANLPLGSTKHDIGPYVYISYGCADDEAESVTNLCYDSYDMVNVMAHSMEIPLSTDQLSRISKLLKKHKVLCKKVSSKTTTEHAEDWEQNEMRSLVREGTEFLRRVSRTSCISSEAKTICNQNLDTNISGDKECRSYSETQKAQRSLSFHSIVLSSEMSPDHNPRNSFENLDNDKRAKPAENAGAQWDVFRRQDVPKLLEYLKIHSDEFSYTSEQHEKMVHPLLDQSFFLDNTHKMRLKEEFKIEPWTFEQHVGEAVIIPSGCPYQIRNPKCCVRVELEFVSPENVAESIQLIDEVRLLPEDHPAKVEKLEVKKMALHSMSTAIKEIHELTCRT >Vigun09g087500.1.v1.2 pep primary_assembly:ASM411807v1:9:11872523:11875471:1 gene:Vigun09g087500.v1.2 transcript:Vigun09g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLLNAKTCVGVLSPVIANSEERSSRRMMQSVNCRNSRNWRNVAVSCGSEKNGNDGIGASEGLNSESFLSPSHNYAILKHRMEIAAKSEYYEEAARLRDSLKCFEDEVPVLRLRRLLKEAVADERFQDAAIYRDQLKQIAPHSLLKCSSDSTTLGIRVQVKSVYLEGRSQPTEEVYFFEYKIRITNNTNRPVQLLRRHWIITDANGKTENFWGIGVGGEQPAIFPRSSFEFSSTCPLNAQNGRMEGDFELIHVDRVGPRAFNVAVAPFSLSLLGDDDDDGEAI >Vigun02g027200.1.v1.2 pep primary_assembly:ASM411807v1:2:9405009:9408961:1 gene:Vigun02g027200.v1.2 transcript:Vigun02g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVYSPSLLPLLFLARVAGLAVAVLVLIWALAFKSSFLTPSLDQQDLLYAVLHPLLMVIGFILLSGEAILVHRWLPGSRGFKKLVHLWLQGVALACGIFGIWTRFQGKDGIVANFYTLHSWMGLVCVSLFAAQWVIGFLNFWHRGEGRRVRIRVLPWHVFLGLYTYALAIATAETGLLEKVTFLQTMRNVSKHSTESMMVNGLGLVLALLSAFVILAAVSPKYQTIQTKHLYSDTTSFSS >Vigun10g059750.1.v1.2 pep primary_assembly:ASM411807v1:10:11968279:11971075:-1 gene:Vigun10g059750.v1.2 transcript:Vigun10g059750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGNPRFFQLGMGMEQQQEQQQQQQEQQEQQQEQEQQEQQQEQQQEQQQEQQQEQQQEQQQQEQQQEQQQQEQQQQEQQQEQQQQEQQQQEQQQQEQQQQEQQQQQQQQEQQQQQQEQQQQEQQQQQEQQEQQQQQEQQEQQQQQEQQQQQEQQQQEQQQEQQQQEQQEQEQQQQQQEEQ >Vigun10g174600.1.v1.2 pep primary_assembly:ASM411807v1:10:39308847:39315790:-1 gene:Vigun10g174600.v1.2 transcript:Vigun10g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQQKRGKQEKGSDGAEKVIVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRRLWGFPRFAGDCASGIKKYPPGTISEQKSDITDSCSQMILQLHNVYDPNKINVRIKIVSGSPCGAVAAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLIGPPKKDVEEAGPSPPEQDDMSEKRSKIKLDSLNSIKGPAVTPSSSPELGTPFTATEAGTSSVSSSDPGTSPFFISETNGESKKEETILESQELCDTNSETESESLSTSSASMRYQPWITELLLHQQSSQRNEERSETTHGMPQASTTRAFLDKYSRLDRGAGFEISTYRNDMDFSGNLREAIALSGNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPEGQVIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRQKDPLEWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLTRPKGQQCLTEWARPLLEDYATEELIDPRLGNHYSENEVYCMLHAASLCIQRDPQCRPRMSQVLRILEGDMVMDTNYISTPGYDAGNRSGRLWSEPLQRQHHYSGPLLEETLESFSGKLSLEKYKPSYWGDRDKARRASCEDDI >Vigun09g121600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27152901:27153224:-1 gene:Vigun09g121600.v1.2 transcript:Vigun09g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDKKMKVKKGFLAVQVGLEDEDQGGSSSQRFVIPISYLYHPLFKRLLDKAREVYGYHTDGPLKLPCSVDDFLHLRWRIQKESTSDQHHHNHTNHRLPHALHFHSC >Vigun06g092366.6.v1.2 pep primary_assembly:ASM411807v1:6:22457157:22474961:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.8.v1.2 pep primary_assembly:ASM411807v1:6:22457176:22474941:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.9.v1.2 pep primary_assembly:ASM411807v1:6:22457474:22474959:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.7.v1.2 pep primary_assembly:ASM411807v1:6:22457173:22474941:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.3.v1.2 pep primary_assembly:ASM411807v1:6:22457474:22474841:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRCVIRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.5.v1.2 pep primary_assembly:ASM411807v1:6:22457173:22474941:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.10.v1.2 pep primary_assembly:ASM411807v1:6:22457267:22474864:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.11.v1.2 pep primary_assembly:ASM411807v1:6:22457267:22474864:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGRQLEYINEQDLGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.1.v1.2 pep primary_assembly:ASM411807v1:6:22457474:22474841:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRDSTQETTHEYSYGYGLAKENFSSC >Vigun06g092366.4.v1.2 pep primary_assembly:ASM411807v1:6:22457157:22474961:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRNFWFQE >Vigun06g092366.2.v1.2 pep primary_assembly:ASM411807v1:6:22457474:22474551:1 gene:Vigun06g092366.v1.2 transcript:Vigun06g092366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTTTGRPYEFLPPYQGVLLSNPHRQFPPQGYDFVERMNHNSRQGVLLSNLPRQFPPQGRQLEYINEQDLGYLFSELPQRQQGGFSKTNAKNFEAKVSNAEDSDAEDVEGSDAEDTNSEECEVDDTNSEKSEDDDTNSEESQDENSNYEESETEEINSEESEAEDINSEESEAEDINSEEYETEDINSEESEAEDINSEESSEEYEDSDNEPPRHLMDLKPAERRNLSTGRHHFTIDRMSNRSMMGRYVIRFIIFSYLLSMKKIYP >Vigun06g094600.1.v1.2 pep primary_assembly:ASM411807v1:6:22597753:22601948:1 gene:Vigun06g094600.v1.2 transcript:Vigun06g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLKVVVGLILIWWSSNGVEDAVAAPDAHLVNIGCSSFNASDLHSFFANINETFSDMREEISNQSKHFGTVQKARGEVLTYAMFQCRNYLSKNDCLSCFNTATTQIRNCSNGNGARIIYDGCFLRYESDRFYDQTNEIGSGVSCGNVSSTVTDFKAAGQQALMDLQTATPKTGDFYAATKTLVAGGSAVYAVAQCVETATEINCQNCMQVGYNNLQSCLPNTEGRAYDAGCFMRYSTTPFFADNQTINIAPYLKKGNSRKKWGIIGGVAGGVVLLLLLFGWRISRKRNKIPRGDILGATELKGPVNYKYNDLKAATKNFSAENKLGEGGFGDVYKGTLKNGKVVAVKKLVLGKSSNMEDNFEGEVKLISNVHHRNLVRLLGCCSKSQERILVYEYMANKSLDKFLFGNKKGSLNWKQRSDIILGTARGLAYLHEEFHVSIIHRDIKTANILLDDVFQPKIADFGLARLLPEDRSHLSTKFAGTLGYTAPEYAMHGQLSEKADTYSYGIVVLEIVSGQKSTDVKNDEDGEYLLRRAWKLHERGMYLDLVDKAIDPNEYDVEEVKKIIEIALLCTQASASTRPTMSEVVMLLKSKSLLEHLRPTMPVFVETNMIPREGNSTSSSNATASISVPSAR >Vigun06g000201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:36303:37517:-1 gene:Vigun06g000201.v1.2 transcript:Vigun06g000201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMREFIDSASSSVVSNANALQQRLQFILQSRQEWWVYAIFWQATKDSDSRDTLEYGDGFFMGTKGREGTEVRMPEEQTRNHVNDIEWFYRVSQTRSYAAGDGVVGCAFGSGVDVWLSGVKEFEVKECDERVGEARRHGIQTLVCVSVARGILELGSHEVLKLDYGVLQLAKSVLEEGESGSENPVSKQWVVPGKEEERERRRELEGSSTESSGPSDHDAEGNNNNVPTMGRRAGRVREALPVNHVEAERQRREKLNQRFYALRSAVPNVSKMDKASLLSDAVDYINELKAKISNLQVAFQAKQEGPAEQVQPQSIPAPSSATTEMRVEVQILGSEAMIRVQSLNVNHPSARLMDALRDVNLQVLHATISNIKEMMLQDVVVRVPHHLTTQEALQTAIRRRL >Vigun07g283900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39753074:39753920:1 gene:Vigun07g283900.v1.2 transcript:Vigun07g283900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMCCCFEFESGVVAVQCRRRLRSWFWRVRAYMKRQMKKNKKGCSTKNNFIYDPLSYSLNFDDGNFLFFHLHHHTTHNV >Vigun09g034500.1.v1.2 pep primary_assembly:ASM411807v1:9:3029634:3038403:1 gene:Vigun09g034500.v1.2 transcript:Vigun09g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQNNEEVAVKLENVKTKHPQLLYESKLYRVLQGGTGIPDIRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHCKSFLHRDIKPDNFLMGLGRRANQVYCIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYERISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDRPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLAAPPARAVGPAAGTSSAMPPAVNNADRQTGEEEGRPPPGLISGDSTRRRMSGTTSNTVNISRQKNPVTMDAALNKEAMLSKPNVLGQSSGSRRAGVSSSRDAFAGSDRTTEVNPGMRKITSGRNASSHVKNYESAVKGIESLQLENEKRAHY >Vigun08g167900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33922224:33923296:-1 gene:Vigun08g167900.v1.2 transcript:Vigun08g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIGRRVVQFANLPIKLLMPKTFTNIQEIALKTIPSASKIEIKRVLESLYGFEVEKVQTLNMEGKKKKRGGLLIAKPDYKKAYITLKNPLSISPDLYPIRIIEEDNKRINKQTKSSVVEKETHDRSHWLDDRKEAQQTFGAQRSFRAQHHGRLGRRESADHAKFPWSSMRSGSSSTR >Vigun03g204700.1.v1.2 pep primary_assembly:ASM411807v1:3:33101847:33115177:-1 gene:Vigun03g204700.v1.2 transcript:Vigun03g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPVATSATPRGGLPTDSGDSVVALDQVPRWNDADQSLGLETSFSGSHFPDPLALPLGADSGDGSESVSKFPVDREVNSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHDAAGPELAEECATLGGCRTGMAKVTKAYDLPARKIIHTVGPKYAIKYHNAAENALSHCYRSCLELLVENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKNNVTAVVFCTVSTTDTEIYKRLLPLYFPRDKHEEQVALTKLPADVGDENGETIMAERKIRIKALPKRSVSRPPEFTIDLPVSDVGLVSRNSSYLDSFLDPAFMSMIKDPDLRRMEQWEKTAEAQKGWNCAKLLGYGNLGGPTLSAAEEYSLHSRYLTKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPFSIVYFHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFGLKAAVFGLQLFVDNVVWKKVVYVDRLLQLFKYVPREQLTIPDFVFQHDLEVNGGTGLIVDPRTKYVYNRP >Vigun11g093900.2.v1.2 pep primary_assembly:ASM411807v1:11:27657928:27660114:-1 gene:Vigun11g093900.v1.2 transcript:Vigun11g093900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHRRGCRHGQLLLHLLKRCSTILSFDTIKCLHALSFTIGPIPKQSIFIHNNILSSYISLGEVLHSRKMFNCMPHRTIVTYNTLITAYCRLGDVDNAWDLLSHMSRSGFVPTQYTLTRLLSCDIRNGLFDADSFVGTALLGLFARHGCWDEKSLVTWNSMMSLLGRNGFVEECKILFHDLVRMGKSLSEGSFVAVLSGLVYSEDDLEYGEQIHGLMVKCGFGCEITALNSLISAYVRCKAMFAVERLFEQVRVQNVVSWNIVMDALVKGERPMTTLELFLNILSRGLMPTQVTFVVVIESCSCLRILVFGEFVHAKVIRSGFETDVIVGTALIDFYAKCDKIISAHKCFDQIEEKNLVSWNALIVGYSNICSSIAILLLQEMFQLRYSPNEFSFSAVLKSSSASSLHQFHGLIIRAGYDSNEYVLSSLVLSYTRNGLINEALSFVNEFENPLPVVSSNIIARIYNRTCQYYKAIKLLSLLEEPDLVSWNIVISAWARSNDYDKVFALFKHMHSACILPDSYTFMSVLSGCTKLCRLDLGTSLHGLAIKTNLGNFDTIFGNVLIDMYGKCGSIDSAVKVFEEIMHKNMITWTILITALGLNGYAYELVMRFRNMEMIGLKPDALALRAVLSSCRYGGLMEEAMKIFRQMRATNGMTLEYDHYHIIVDLLAKTGQIREAELIIASMPFPPNASIWRSFLEGYSMQ >Vigun10g112350.1.v1.2 pep primary_assembly:ASM411807v1:10:31311672:31317186:-1 gene:Vigun10g112350.v1.2 transcript:Vigun10g112350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLPEIEKVCSLLVQKKMIFRKDDQVGIVLFGTKDTDNTLTREVGGYKHVVILRNTKVVDGDFVKALQKLPRGTTDGDYKRLCLITNAQCPIKEALEGTKEKQVTTIAKKLTARGMKMESIVFRGKLSQKANKGVMEENDRLLNIFSKETSTRLLYVENPVSLFGALRTRNINLVTVFRGDLEFTPNLSIKVWVYKKSGREKSPIVKRYSDKAPPNYKRVTHEVKIDYGYKSSEDPDRVVPPDQRIKGYGPQIVPISSAEWDAVKFKPEKGVKLFGFTDSSNVYRHHYMKDAYVFLPERGNTRAMVAVSALARAMKEMDKVAILRCVWTKGLKKVTIGVLTPNLSEKEHIPDSFYFNVLPFAEDVREFQFPSFSNFPASRQPNGKQLKAAANLIKKLDLAPHGQQEVLLPDFTPNPVSERFYRYLELKSKNPDVAVPSVDDTLKKITELDTHLLLQNKSVINSFCRSFDLKGNPMKKCRRLLGGKRSSSNDEEVKENISAEPANLIENASVKVEKIEDLTPAQDFVALIFDKDSSDWVVKAINEMKSKIFDLVEDPHKGDNYPKALEYLLLLRKSCILEQKSKQFNGFLKHICYFCQEKSLHSFCQYIASKDLTLISTTEAVDSDVTDEEARSFFVKPEPIVE >Vigun07g228800.4.v1.2 pep primary_assembly:ASM411807v1:7:35051064:35056710:-1 gene:Vigun07g228800.v1.2 transcript:Vigun07g228800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSSFSYSNQRKMEGPSEMGLIGENFDAGLMGRMRDDEYESRSGSDNFDGVSGDDQDGGDDQPQRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRAENSLMKEAMSNPVCNSCGGPAIPGQISFEEHQIRIENARLKDELNRICALANKFLGKPISSMTGPMALPTSNSGLELGIGRNGLGGSSTLGTPLPMGLDLGDGVLGTQTAMPGIRPSLGLMGNEVQLERSMLIDLALAAMEELLKMTQAESPLWIKSLDGEKEVFNHEEYARLFSPCIGPKPTAYVTEATRETGIVIINSLALVETLMDANRWAEMFPSMIARAINLDVISNGVGGTRNGALQVMHAEVQLLSPLVPVRQVRFIRFCKQHAEGVWAVVDVSIDIGHDAANAQPFMSCRRLPSGCIVQDMPNGYSKVTWLEHWDYDESGVHQLYRPLLSSGVGFGAHRWIATLQRQCECLAILMSSSISSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWDMLHIGTLGDDMKVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRVFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRANAVNANDSSMLILQETWMDASCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDGHCNNNSSSCNGTMQKGGGGEDRGGSLLTVGFQILVNSLPTAKLTVESVDTVNNLISCTIQKIKGALRVA >Vigun07g228800.3.v1.2 pep primary_assembly:ASM411807v1:7:35051074:35057513:-1 gene:Vigun07g228800.v1.2 transcript:Vigun07g228800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSEMGLIGENFDAGLMGRMRDDEYESRSGSDNFDGVSGDDQDGGDDQPQRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRAENSLMKEAMSNPVCNSCGGPAIPGQISFEEHQIRIENARLKDELNRICALANKFLGKPISSMTGPMALPTSNSGLELGIGRNGLGGSSTLGTPLPMGLDLGDGVLGTQTAMPGIRPSLGLMGNEVQLERSMLIDLALAAMEELLKMTQAESPLWIKSLDGEKEVFNHEEYARLFSPCIGPKPTAYVTEATRETGIVIINSLALVETLMDANRWAEMFPSMIARAINLDVISNGVGGTRNGALQVMHAEVQLLSPLVPVRQVRFIRFCKQHAEGVWAVVDVSIDIGHDAANAQPFMSCRRLPSGCIVQDMPNGYSKVTWLEHWDYDESGVHQLYRPLLSSGVGFGAHRWIATLQRQCECLAILMSSSISSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWDMLHIGTLGDDMKVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRVFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRANAVNANDSSMLILQETWMDASCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDGHCNNNSSSCNGTMQKGGGGEDRGGSLLTVGFQILVNSLPTAKLTVESVDTVNNLISCTIQKIKGALRVA >Vigun07g228800.5.v1.2 pep primary_assembly:ASM411807v1:7:35051074:35057513:-1 gene:Vigun07g228800.v1.2 transcript:Vigun07g228800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSSFSYSNQRKMEGPSEMGLIGENFDAGLMGRMRDDEYESRSGSDNFDGVSGDDQDGGDDQPQRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRAENSLMKEAMSNPVCNSCGGPAIPGQISFEEHQIRIENARLKDELNRICALANKFLGKPISSMTGPMALPTSNSGLELGIGRNGLGGSSTLGTPLPMGLDLGDGVLGTQTAMPGIRPSLGLMGNEVQLERSMLIDLALAAMEELLKMTQAESPLWIKSLDGEKEVFNHEEYARLFSPCIGPKPTAYVTEATRETGIVIINSLALVETLMDANRWAEMFPSMIARAINLDVISNGVGGTRNGALQVMHAEVQLLSPLVPVRQVRFIRFCKQHAEGVWAVVDVSIDIGHDAANAQPFMSCRRLPSGCIVQDMPNGYSKVTWLEHWDYDESGVHQLYRPLLSSGVGFGAHRWIATLQRQCECLAILMSSSISSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWDMLHIGTLGDDMKVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRVFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRANAVNANDSSMLILQETWMDASCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDGHCNNNSSSCNGTMQKGGGGEDRGGSLLTVGFQILVNSLPTAKLTVESVDTVNNLISCTIQKIKGALRVA >Vigun07g228800.1.v1.2 pep primary_assembly:ASM411807v1:7:35051062:35056839:-1 gene:Vigun07g228800.v1.2 transcript:Vigun07g228800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSSFSYSNQRKMEGPSEMGLIGENFDAGLMGRMRDDEYESRSGSDNFDGVSGDDQDGGDDQPQRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRAENSLMKEAMSNPVCNSCGGPAIPGQISFEEHQIRIENARLKDELNRICALANKFLGKPISSMTGPMALPTSNSGLELGIGRNGLGGSSTLGTPLPMGLDLGDGVLGTQTAMPGIRPSLGLMGNEVQLERSMLIDLALAAMEELLKMTQAESPLWIKSLDGEKEVFNHEEYARLFSPCIGPKPTAYVTEATRETGIVIINSLALVETLMDANRWAEMFPSMIARAINLDVISNGVGGTRNGALQVMHAEVQLLSPLVPVRQVRFIRFCKQHAEGVWAVVDVSIDIGHDAANAQPFMSCRRLPSGCIVQDMPNGYSKVTWLEHWDYDESGVHQLYRPLLSSGVGFGAHRWIATLQRQCECLAILMSSSISSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWDMLHIGTLGDDMKVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRVFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRANAVNANDSSMLILQETWMDASCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDGHCNNNSSSCNGTMQKGGGGEDRGGSLLTVGFQILVNSLPTAKLTVESVDTVNNLISCTIQKIKGALRVA >Vigun07g228800.2.v1.2 pep primary_assembly:ASM411807v1:7:35051062:35057513:-1 gene:Vigun07g228800.v1.2 transcript:Vigun07g228800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSEMGLIGENFDAGLMGRMRDDEYESRSGSDNFDGVSGDDQDGGDDQPQRKKRYHRHTPHQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRAENSLMKEAMSNPVCNSCGGPAIPGQISFEEHQIRIENARLKDELNRICALANKFLGKPISSMTGPMALPTSNSGLELGIGRNGLGGSSTLGTPLPMGLDLGDGVLGTQTAMPGIRPSLGLMGNEVQLERSMLIDLALAAMEELLKMTQAESPLWIKSLDGEKEVFNHEEYARLFSPCIGPKPTAYVTEATRETGIVIINSLALVETLMDANRWAEMFPSMIARAINLDVISNGVGGTRNGALQVMHAEVQLLSPLVPVRQVRFIRFCKQHAEGVWAVVDVSIDIGHDAANAQPFMSCRRLPSGCIVQDMPNGYSKVTWLEHWDYDESGVHQLYRPLLSSGVGFGAHRWIATLQRQCECLAILMSSSISSDDHTALSQAGRRSMLKLAQRMTSNFCSGVCASSARKWDMLHIGTLGDDMKVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRVFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGHGNCVSLLRANAVNANDSSMLILQETWMDASCSVVVYAPVDVQSLNVVMSGGDSAYVALLPSGFAILPDGHCNNNSSSCNGTMQKGGGGEDRGGSLLTVGFQILVNSLPTAKLTVESVDTVNNLISCTIQKIKGALRVA >Vigun07g040000.1.v1.2 pep primary_assembly:ASM411807v1:7:3915129:3918816:-1 gene:Vigun07g040000.v1.2 transcript:Vigun07g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQFTMPFIFPKLLSMKLQPFWLLLLMCFSAFPHSHASNSSRVASEANALLKWKVSLDNQSQASLSSWSGNSSCNWLGIECDHSKYVSKINLPGIGLRGTLENLNFTMFTNIHTLNVSNNNLNGSIPAQIGVLSNLVVLDLSANKLSGIIPSEITQLIGLHDLNMSFNTFSGSLPQEIGRLRELRMLHVPWCNLTGTIPISIKKLNNLFHLDVGGNNLFGIPQGIWHSTLKHLSLAVNNFHGSIPKEIVNLRNVEILYLFGSGLSGTIPQEIGMLGKIIELDLSGCNLSGPIPISIGALTNMLRLGLHNNQLSGNIPSELGNLHSPITIELSNNNLSGPIPTSIGNMVNLEFLLLDRNKLSGSIPSTIANLSKLRYLSLFQNYLNGKIPIEMNRLIALESLQLANNNFVGHLPHNICVGGKLAKFSASNNYFTGHIPKSLKNCSSLIRVRLQENQLTGNITDAFDVLPNLDYIELSENNFYGHLSPNWGKFRSLTSLKISNNNLSGVFPPELGGATKLQELQLSSNHLTGNIPQDLCNLVLLFRLSLNNNDLSGNIPKRIASMKNLQFFSIGSNNLSGLIPNQLGNLVKLWNMNFSQNKFEGNIPLELGKLISLTTLDLSGNFLSGRLTHMLGGLNKLETLNLSHNSLSGDLSCFDDMMSLTSIDVSSNEFEGPLPNIPVFRNATMEALRNNKGLCGNVSGLKPCLTLSGKSHHHVTKKVITMVLPLTLGTLMLALFVFGVLYYLCKTSVKMEERATNLQTLNTFAIWSYDGKMVFENIVEATENFDDKHLIGVGGQGRVYKAMLPTGQVVAVKKMHSVPNGEILNMKAFTSEIQALTEIRHRNIVKLYGFCSHSQWSFMVCEFLEKGNVQNILKDDEQAIAFDWSKRVNVVKGVANALFYMHHDCSPPIIHRDISSKNVLLDLEYVAHVSDFGTAKFLNPNSSNWTSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLAWEILLGKHPSDFISSSSTGVALTLDHLTLMDNLDERLPPPTKRLVKEVTSIAKITIACLAESPQSRPTMKEVVNELVV >Vigun03g152100.6.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.3.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWFVWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.4.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.5.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVAISKDDCDSILRNELKFVNDSTDCQPRRKTSNESSLNFSPERLCFRISVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.8.v1.2 pep primary_assembly:ASM411807v1:3:16063864:16067569:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.1.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWFVWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVAISKDDCDSILRNELKFVNDSTDCQPRRKTSNESSLNFSPERLCFRISVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.2.v1.2 pep primary_assembly:ASM411807v1:3:16059246:16067870:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKKRKRNKEQQGSNDIVYSESQPDFALLATLYPSFQSFVQPSPPHMRLTIDWTDFNATRELTRVLLHHRHSLTWWIPDGQLCPTVPNRSNYIHWLQHLLSSDVIPGTISSDAMVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVAISKDDCDSILRNELKFVNDSTDCQPRRKTSNESSLNFSPERLCFRISVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun03g152100.7.v1.2 pep primary_assembly:ASM411807v1:3:16063864:16067569:1 gene:Vigun03g152100.v1.2 transcript:Vigun03g152100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFDIGTGASCIYPLLGASLHGWSFVGSDVTDVAIEWAEKNVSSNAHISHLIEIRKVQDNGNAPCVEVEESENNGEIILCKKTDMEVAPLPLDLHARENESYHGPPILVDVVKDDEKFDFCMCNPPFFESLEEAGLNPKTSCGGTSHEMVCPGGERAFITRIIEDSTQLKQHFRWFTSMVGKKSNLKYLVSKLWGFGVSIVKTTEFVQGRTYRWGLAWSFLPPVQKSSISLSTKNKTSFTLEGLQRQHGAINVLESVKSHVSSHGLSCSMNTSSFTVDVAISKDDCDSILRNELKFVNDSTDCQPRRKTSNESSLNFSPERLCFRISVFQQIPGTLLVKGSLQDKDSPLSGAFSVIFQKLEEALRSKFCTKSL >Vigun04g056600.1.v1.2 pep primary_assembly:ASM411807v1:4:5535045:5539451:-1 gene:Vigun04g056600.v1.2 transcript:Vigun04g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLRITHFPPSTPTCVFHPTPRRASISFNPTSDFLPKTNLSFRPRAASIESVPTKKRIDESEYLTLDQIRHSLIRLEDSIIFSLLERSQYCYNEDTYDPDAFSMDEFHGSLVEYMLRETEKLHAKVGRYKSPDEHPFFPEGLPEPMLPPLQYPQVLHPIAESININDKVWSLYFRVLIPQIVKQGDDGNSGSSAVCDVTCLQVLSKRIHYGKFVAEAKYQASPDAYKPAILAQDKEKLMEILTYPEVEEAIKRRVDMKTKTYGQEVIINLKEQRTEPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRRLD >Vigun08g098800.1.v1.2 pep primary_assembly:ASM411807v1:8:23980452:23983866:-1 gene:Vigun08g098800.v1.2 transcript:Vigun08g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRNVNNTILELAMSISNSGALPRAAVPGIMALLGGVLGLPQKKLLLKTLEDGTGKGGTKVNTWIDSMRASSPTRVKSTQNQDPSPWILYHPSALNLFDQIVCESKGKQIVTFLDYDGTLSPIVADPDKAYMSQKMRATLKDIARHFPTAIVSGRCIDKVYSFVRLAEVYYAGSHGMDIKGPTNRRSTKKGNDAVLFQPGSEFLPMINEVYNILVDKTKSVPGAKVENNKFCLSVHFRCVDEKNWASLAEQVSLLLDHYPKLKLTQGRKVLEIRPTIKWDKGKALEFLLESLGYGNSDNVFPIYIGDDRTDEDAFKVLRKRGQGIGILVSKIPKETDASYTLQDPTEVGQFLRHLVEWKRTSTQYHKL >Vigun03g303500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49344989:49347226:1 gene:Vigun03g303500.v1.2 transcript:Vigun03g303500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMLLLVLFIMLCISHPSFQMLLLPLTHTLSQAQFNTTHHLLKSTSARSAARFRRQLSLPLSPGSDYTLSFNLGHQAQPITLYMDTGSDLVWFPCAPFKCILCEGKPNEPNASSPPNITRSVAVSCKSLACSAAHNLASSSDLCAMARCPLESIETSECGNFKCPPFYYAYGDGSLIARLYRDSLALSSLSLRNFTFGCAHTALAEPTGVAGFGRGLLSLPAQLATLSPHLGNRFSYCLVSHSFDSERVRKPSPLILGRYEEKEKEKISGGGMVEFVYTPMLENPKHPYFYIVSLTGISVGKRTIPAPEMLRRVNHRGDGGVVVDSGTTFTMLPEGFYNSVVDEFDSRVGRVNQRARKIEEKTGLAPCYYLNAVAEVPVVTLRFGGGNSSVVLPRKNYFYEFLDGSDETKGKRRVGCLMLMNGGDEGELSGGPGATLGNYQQQGFEVVYDLEEKRVGFAKRHCASLWERLNRDKN >Vigun09g176100.1.v1.2 pep primary_assembly:ASM411807v1:9:34782015:34785325:1 gene:Vigun09g176100.v1.2 transcript:Vigun09g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFAVDTPNNGFAGKITFSIIISCIVAASSGLLFGYDIGISGGVTTMVPFLQKFFPDILRKAASSEVNMYCVYDSQVLTLFTSSLYLAGLISSLAASRVTMTLGRRNTILLGGTIFFIGGSLNGGAENIAMLILGRILLGFGVGFTNQATPLYLSEIAPAKYRGAFNAGFQFFIAIGVVAAGCINYGTAKHTWGWRVSLGLAVVPAAVMTMGALLITDTPSSLVERGKIDQARRALHKARGSSTDVEPELEELIRWSKIAKSVDQEPFKTIFQRKYRPHLVMAFAIPFFQQMTGINIVAFYSPNLFQSVGLGHDAALLSAIILGAVNLASLLVSIGIVDRFGRRFLFITGGICMFLCQVAVSVVLAVSTGVHGTKDISKSNAVLVLVLLCFYSAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAIAVQFIVVFILSQTFLSMLCHFKFAAFLFYGGWIVVMTIFIIFFLPETKGIPLESMYTIWGKHWFWRRFVEEEEAAQQNLP >Vigun05g017700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1446246:1447152:-1 gene:Vigun05g017700.v1.2 transcript:Vigun05g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPIFLIQTCTFSSFILTRTRTMHYQVESPSAAAAAWSYLMRVRSMEEDQMERVVRLASQSAVVIFSVSSCCMCHAMKTLFCGMGVNPTVHELDQDPKGKDMERALMRLLGNGINTTAATVPVVFIGGKLVGSMDRVLAFHISGTLVPLLKQAGALWL >Vigun05g233600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42716719:42717204:1 gene:Vigun05g233600.v1.2 transcript:Vigun05g233600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKRKRFMRALFKTNGGCGCRNPKSYEVLQPSIHQNTTNPTTSGDNDNNVFSEVHTNHENPNNDNMRKPNSKLIDTVAVEKDSKDPHKDFRESMLQMIFQRQIFTKTDLQDLLECFLRLNAADNYQVIVQAFMEICHETFPKKNINDADANAASFNNKI >Vigun10g122700.4.v1.2 pep primary_assembly:ASM411807v1:10:33027526:33035095:-1 gene:Vigun10g122700.v1.2 transcript:Vigun10g122700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLLNQSCLKPFGFTPRLGYTFPVVTTRTGSLNRKPESCTLRSNCTQLGRDTGASSRRAGVDALDNGGDGEESNVEWESEFLGEVDPFGYRAPTKKREKVQRSKLLEETDEMDWCVRARKKALKSIEARGMGHLIEDLVTVKKKKKDKKKLESKKKIVKKIENIEDLDFGLEEEFPQPIQPLNDVDDLKRRVSMFSDGLFIEKKEKAKEEFVNRLSQFSGPSDHRKEVNLNKAIIEAQTADDVLEITYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMTRTRRLAFARQKEMSMLVSVAMTALPECSAQGISNISWALSKIGGELLYFSEMDRIAEVALTKVGDFNSQNIANIAGAFAAMQHSAPDLFSELSKRASNIIHTFQEQELAQLLWAFASLYEPVDLVFDSLDIVFKDSCQLRSCTSEKTSSNDEQISFDRTGASNGSLSSPVLTLTRDQLGTIAWSYAVFGQMDRSFFSHVWKTLCDYEEQRVSEFYREDMMFASQVHLVNQCLKLEFPHLQLSLCSEFEEKVALAGKTKRFNQKITSSFQKEVGRLLISTGLEWVKEYVVDGYTLDAVLVDKKLALEIDGPTHFSRNTGVPLGHTMLKRRYITACGWKVASVSHLEWEETQGAFEQVEYLRNILKNHLDEGYAETTLTGVK >Vigun10g122700.5.v1.2 pep primary_assembly:ASM411807v1:10:33027526:33035095:-1 gene:Vigun10g122700.v1.2 transcript:Vigun10g122700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLLNQSCLKPFGFTPRLGYTFPVVTTRTGSLNRKPESCTLRSNCTQLGRDTGASSRRAGVDALDNGGDGEESNVEWESEFLGEVDPFGYRAPTKKREKVQRSKLLEETDEMDWCVRARKKALKSIEARGMGHLIEDLVTVKKKKKDKKKLESKKKIVKKIENIEDLDFGLEEEFPQPIQPLNDVDDLKRRVSMFSDGLFIEKKEKAKEEFVNRLSQFSGPSDHRKEVNLNKAIIEAQTADDVLEITYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMTRTRRLAFARQKEMSMLVSVAMTALPECSAQGISNISWALSKIGGELLYFSEMDRIAEVALTKVGDFNSQNIANIAGAFAAMQHSAPDLFSELSKRASNIIHTFQEQELAQLLWAFASLYEPVDLVFDSLDIVFKDSCQLRSCTSEKTSSNDEQISFDRTGASNGSLSSPVLTLTRDQLGTIAWSYAVFGQMDRSFFSHVWKTLCDYEEQRVSEFYREDMMFASQVHLVNQCLKLEFPHLQLSLCSEFEEKVALAGKTKRFNQKITSSFQKEVGRLLISTGLEWVKEYVVDGYTLDAVLVDKKLALEIDGPTHFSRNTGVPLGHTMLKRRYITACGWKVASVSHLEPIYPPLMANLHSVSLSHVPMVSPMQVLFLQLSS >Vigun10g122700.3.v1.2 pep primary_assembly:ASM411807v1:10:33027526:33035095:-1 gene:Vigun10g122700.v1.2 transcript:Vigun10g122700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLLNQSCLKPFGFTPRLGYTFPVVTTRTGSLNRKPESCTLRSNCTQLGRDTGASSRRAGVDALDNGGDGEESNVEWESEFLGEVDPFGYRAPTKKREKVQRSKLLEETDEMDWCVRARKKALKSIEARGMGHLIEDLVTVKKKKKDKKKLESKKKIVKKIENIEDLDFGLEEEFPQPIQPLNDVDDLKRRVSMFSDGLFIEKKEKAKEEFVNRLSQFSGPSDHRKEVNLNKAIIEAQTADDVLEITYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMTRTRRLAFARQKEMSMLVSVAMTALPECSAQGISNISWALSKIGGELLYFSEMDRIAEVALTKVGDFNSQNIANIAGAFAAMQHSAPDLFSELSKRASNIIHTFQEQELAQLLWAFASLYEPVDLVFDSLDIVFKDSCQLRSCTSEKTSSNDEQISFDRTGASNGSLSSPVLTLTRDQLGTIAWSYAVFGQMDRSFFSHVWKTLCDYEEQRVSEFYREDMMFASQVHLVNQCLKLEFPHLQLSLCSEFEEKVALAGKTKRFNQKITSSFQKEVGRLLISTGLEWVKEYVVDGYTLDAVLVDKKLALEIDGPTHFSRNTGVPLGHTMLKRRYITACGWKVASVSHLEWEETQGAFEQVEYLRNILKNHLDEGYAETTLTGVK >Vigun10g122700.1.v1.2 pep primary_assembly:ASM411807v1:10:33027526:33035141:-1 gene:Vigun10g122700.v1.2 transcript:Vigun10g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLLNQSCLKPFGFTPRLGYTFPVVTTRTGSLNRKPESCTLRSNCTQLGRDTGASSRRAGVDALDNGGDGEESNVEWESEFLGEVDPFGYRAPTKKREKVQRSKLLEETDEMDWCVRARKKALKSIEARGMGHLIEDLVTVKKKKKDKKKLESKKKIVKKIENIEDLDFGLEEEFPQPIQPLNDVDDLKRRVSMFSDGLFIEKKEKAKEEFVNRLSQFSGPSDHRKEVNLNKAIIEAQTADDVLEITYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMTRTRRLAFARQKEMSMLVSVAMTALPECSAQGISNISWALSKIGGELLYFSEMDRIAEVALTKVGDFNSQNIANIAGAFAAMQHSAPDLFSELSKRASNIIHTFQEQELAQLLWAFASLYEPVDLVFDSLDIVFKDSCQLRSCTSEKTSSNDEQISFDRTGASNGSLSSPVLTLTRDQLGTIAWSYAVFGQMDRSFFSHVWKTLCDYEEQRVSEFYREDMMFASQVHLVNQCLKLEFPHLQLSLCSEFEEKVALAGKTKRFNQKITSSFQKEVGRLLISTGLEWVKEYVVDGYTLDAVLVDKKLALEIDGPTHFSRNTGVPLGHTMLKRRYITACGWKVASVSHLEWEETQGAFEQVEYLRNILKNHLDEGYAETTLTGVK >Vigun10g122700.2.v1.2 pep primary_assembly:ASM411807v1:10:33027526:33035095:-1 gene:Vigun10g122700.v1.2 transcript:Vigun10g122700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSLLNQSCLKPFGFTPRLGYTFPVVTTRTGSLNRKPESCTLRSNCTQLGRDTGASSRRAGVDALDNGGDGEESNVEWESEFLGEVDPFGYRAPTKKREKVQRSKLLEETDEMDWCVRARKKALKSIEARGMGHLIEDLVTVKKKKKDKKKLESKKKIVKKIENIEDLDFGLEEEFPQPIQPLNDVDDLKRRVSMFSDGLFIEKKEKAKEEFVNRLSQFSGPSDHRKEVNLNKAIIEAQTADDVLEITYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVTMTRTRRLAFARQKEMSMLVSVAMTALPECSAQGISNISWALSKIGGELLYFSEMDRIAEVALTKVGDFNSQNIANIAGAFAAMQHSAPDLFSELSKRASNIIHTFQEQELAQLLWAFASLYEPVDLVFDSLDIVFKDSCQLRSCTSEKTSSNDEQISFDRTGASNGSLSSPVLTLTRDQLGTIAWSYAVFGQMDRSFFSHVWKTLCDYEEQRVSEFYREDMMFASQVHLVNQCLKLEFPHLQLSLCSEFEEKVALAGKTKRFNQKITSSFQKEVGRLLISTGLEWVKEYVVDGYTLDAVLVDKKLALEIDGPTHFSRNTGVPLGHTMLKRRYITACGWKVASVSHLEWEETQGAFEQVEYLRNILKNHLDEGYAETTLTGVK >Vigun08g065300.2.v1.2 pep primary_assembly:ASM411807v1:8:9406049:9411838:-1 gene:Vigun08g065300.v1.2 transcript:Vigun08g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKTTMYEPKNILITGAAGFIASHVTTKLIKKYPKYKIVVLDKVDYCSTFRNLRACITSPNFKFIRGDIATADIVNHILIEEEIDTIMHFAAQTHVDNSFGNSMEFTYNNIYGTHVLLEACRVTNYVKRFIHVSTDEVYGETDLEDNIGNHEASQLLPTNPYSASKAGAEMLVMAYHRSYGLPVITSRGNNVYGSNQYPEKLVPKFILLAMRGEKLPIHGDGSNVRSYLHCEDVAEAFDVILHKGEIGQIYNIGTKKERSVLDVAEDICKLFKLNPKEVIEFVQDRPFNDKRYFLDDQKLKQLGWEERISWEEGLKKTIEWYKKNPDWWGDVSAALNPHPRFTAINLSDEAQWSFQYGYSRLARSFTEVGRKSSSRFKFLIYGRTGWIGGLLGKLCDEERIDWEYGKGRLQDRKSLEEDIRTVKPTHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCKEHNLYMMNFATGCIFEYDKDHPLGSGIGFKEEDKPNFTGSFYSKTKAMVEELLRNYGNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGAISHNQILELYRDYIDPKFKWANFDLEEQAKVIVAQRSNNEMDASKLKKEFPELLSIRDSVIKFVFEPNKKT >Vigun08g065300.3.v1.2 pep primary_assembly:ASM411807v1:8:9406049:9411838:-1 gene:Vigun08g065300.v1.2 transcript:Vigun08g065300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKTTMYEPKNILITGAAGFIASHVTTKLIKKYPKYKIVVLDKVDYCSTFRNLRACITSPNFKFIRGDIATADIVNHILIEEEIDTIMHFAAQTHVDNSFGNSMEFTYNNIYGTHVLLEACRVTNYVKRFIHVSTDEVYGETDLEDNIGNHEASQLLPTNPYSASKAGAEMLVMAYHRSYGLPVITSRGNNVYGSNQYPEKLVPKFILLAMRGEKLPIHGDGSNVRSYLHCEDVAEAFDVILHKGEIGQIYNIGTKKERSVLDVAEDICKLFKLNPKEVIEFVQDRPFNDKRYFLDDQKLKQLGWEERISWEEGLKKTIEWYKKNPDWWGDVSAALNPHPRFTAINLSDEAQWSFQYGYSRLARSFTEVGRKSSSRFKFLIYGRTGWIGGLLGKLCDEERIDWEYGKGRLQDRKSLEEDIRTVKPTHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCKEHNLYMMNFATGCIFEYDKDHPLGSGIGFKEEDKPNFTGSFYSKTKAMVEELLRNYGNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGAISHNQILELYRDYIDPKFKWANFDLEEQAKVIVAQRSNNEMDASKLKKEFPELLSIRDSVIKFVFEPNKKT >Vigun04g095450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:19933483:19935396:1 gene:Vigun04g095450.v1.2 transcript:Vigun04g095450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVPTSKVVESLVNEVNVVGAKLDTNKNDESQPVEHGNVSTSMVSNECVTMHETMELEDEVRECVPAYEIAESPMIEVNTCKNEDQRNLNHDEFHLVEDRNASESTEVISMVSNESIVIQDILEEGDADTKFVPTSEVHILEPKLCTIRIEDERNLNHDESQLVEDGIVSESKETTTGMVSSDCIIMEDIVEVGDEVKKFVPTSEMVVNNVVEEKLVGDQRNLTLDESQLNEEGEVSEAMQIVSVVYDKCVVMQDTMDEGDEATKFVPTSVIVESPVNEVNVVEAEADTNEIDNQRNQNHDEFQTKEDGNVSESTEIINIVSGDGTISQNIMEEGDKVTESLVNEVNDPSDEVNVVEAKSDTNEMEVNVTNHDGFQPVEDKNVPESTEIISTVSSDYVIMQDIVEKGEKVSASETVEFAMNEVNVDRDANAKSHSNKNEEESNLKHEESQAVEHVSETTETISMDCNECIIMQNSVELEDEVTKLVFSSETVEPSQTHSAAEEDMLVSENTIATSVQEEITTLQPQDDSDKLHNPGISLEEPFERQVKADICCSSSSTASDLPQNIEQTDGPGSSDSEIAPTNGVVFKLFGKVMVVPSSPQKHELTVMENGETGEIEDFADEPVECSERPKRRVSEP >Vigun03g338400.2.v1.2 pep primary_assembly:ASM411807v1:3:53617745:53635801:-1 gene:Vigun03g338400.v1.2 transcript:Vigun03g338400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVAQLQDYFPDASFMVFNFREGERRGQISDILSQYDMTVMEYPRQYEGCPVLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHILCPLNPQASHLRYLQYISRRSLGAEWPPSETPLFLDCLILRVLPIFDDGKGCRPVVRVYGQDPSISATRSSKLLFSTSQSKKHVRHYLQAECMLVKIDLRCRVQGDVVLECIHLSDDFVREELMFRVMFHTAFVRSNILMLNRDEIDILWEAKDLFPKDFKAEVLFLDADAVIPDLTTVTVSEDANEIESAETESASPDEFYEVEEIFSSVIDAQEDDKITKQVYKMDPGINTVKDISIDDAHYNYKFDGSVDSDPHAVKDIAVDDGEIKSPSTAVVSDMMKPPLETKEVTMHVQQEFAVTENEYDEDKEVTEKEPDSKAGNQMPDLAEQKYGKVLPSTAKKQPPSNSKPVGDTVAAKQKTKQQETHGFQAKQAKPNAVTRWIPSNKGSYTNSMHVYYPPSRNNSAPAALINLSSTKEKMEDAKTRSLSAPVVSAVVASIDKTNDLKSRKVASSKSSGYIAAEIDEKGLPSPLSSIKETSFQSDTPAQEQSSEQLLHPSSPPPLPPPAHSRVSSQVFESSSLKDGVSAQGSPSPVVSPSSLGGKGPLMPPPPPPPPPPPFQPSTLTTFMRPPETSLPFSPPPPPPPPPFFGENVGSLVPFPSPRKSVASSIVEETSVSLTLPTTPLPFASIDVTLDVSQVVTAVIPSQPPPPPPPPRYEVSSIPPLTPLASPLPKNEIPSVPPPPMFPTASTNRAPPPPPPPPPPPPTPPFASYKGSPPPATPPPTQPLSPPPPPPPPPLANSHIYSATPPIPPPPPPLANSHIYSTSPPIPPPPPPPPFGTTSLPPSPPPFNSPPPPPPPPPFSQAPPPPPPPTPPPLSSVAPPPPPPMYKAAPPPPPPLPPSNGAPPPPPPPLPPSNGAPPPPPPPPPLPPSSGAPPPPPPPMYGAPPPPPPPPMYGTPPPPPPPPGGQGPPPPPPPPGGQGPPPPPPPMYGAPPPPPPPMYGAPPPPPPPMYGTPAPPPPPSYGAPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPGGRGPPPPPGVPGAPAPPGGGPPPPPPFGAKGANARVDPRGGGRGIGRPTGPAARKSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDFSELEKLFSLNVPKPADAGKSGGKGKSAGSKTDRVTLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMDLIKGYTGDKELLGKCEQFFIELMKVPRVESKLRVFAFKIQFGAQLTEFKKSLNTVNSACEEVRHSAKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPKLLDFHLDLVSLEDSTKIQLKSLAEEMQAIVKGLEKVKQEFAACANDGPVSAVFHEKLKEFIGVSESEVASLTNLYAIVGRNADALALYFGEDPARCPFEQVTTTLLNFIRLFRKAHEENGKQAELEKKKAEKEAEMEKAKGVNLTKKGAKDS >Vigun03g338400.1.v1.2 pep primary_assembly:ASM411807v1:3:53617745:53635801:-1 gene:Vigun03g338400.v1.2 transcript:Vigun03g338400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSPDVLEEDEYRVYMGGIVAQLQDYFPDASFMVFNFREGERRGQISDILSQYDMTVMEYPRQYEGCPVLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHILCPLNPQASHLRYLQYISRRSLGAEWPPSETPLFLDCLILRVLPIFDDGKGCRPVVRVYGQDPSISATRSSKLLFSTSQSKKHVRHYLQAECMLVKIDLRCRVQGDVVLECIHLSDDFVREELMFRVMFHTAFVRSNILMLNRDEIDILWEAKDLFPKDFKAEVLFLDADAVIPDLTTVTVSEDANEIESAETESASPDEFYEVEEIFSSVIDAQEDDKITKQVYKMDPGINTVKDISIDDAHYNYKFDGSVDSDPHAVKDIAVDDGEIKSPSTAVVSDMMKPPLETKEVTMHVQQEFAVTENEYDEDKEVTEKEPDSKAGNQMPDLAEQKYGKVLPSTAKKQPPSNSKPVGDTVAAKQKTKQQETHGFQAKQAKPNAVTRWIPSNKGSYTNSMHVYYPPSRNNSAPAALINLSSTKEKMEDAKTRSLSAPVVSAVVASIDKTNDLKSRKVASSKSSGYIAAEIDEKGLPSPLSSIKETSFQSDTPAQEQSSEQLLHPSSPPPLPPPAHSRVSSQVFESSSLKDGVSAQGSPSPVVSPSSLGGKGPLMPPPPPPPPPPPFQPSTLTTFMRPPETSLPFSPPPPPPPPPFFGENVGSLVPFPSPRKSVASSIVEETSVSLTLPTTPLPFASIDVTLDVSQVVTAVIPSQPPPPPPPPRYEVSSIPPLTPLASPLPKNEIPSVPPPPMFPTASTNRAPPPPPPPPPPPPTPPFASYKGSPPPATPPPTQPLSPPPPPPPPPLANSHIYSATPPIPPPPPPLANSHIYSTSPPIPPPPPPPPFGTTSLPPSPPPFNSPPPPPPPPPFSQAPPPPPPPTPPPLSSVAPPPPPPMYKAAPPPPPPLPPSNGAPPPPPPPLPPSNGAPPPPPPPPPLPPSSGAPPPPPPPMYGAPPPPPPPPMYGTPPPPPPPPGGQGPPPPPPPPGGQGPPPPPPPMYGAPPPPPPPMYGAPPPPPPPMYGTPAPPPPPSYGAPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPGGRGPPPPPGVPGAPAPPGGGPPPPPPFGAKGANARVDPRGGGRGIGRPTGPAARKSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDFSELEKLFSLNVPKPADAGKSGGKGKSAGSKTDRVTLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMDLIKGYTGDKELLGKCEQFFIELMKVPRVESKLRVFAFKIQFGAQLTEFKKSLNTVNSACEEVRHSAKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPKLLDFHLDLVSLEDSTKIQLKSLAEEMQAIVKGLEKVKQEFAACANDGPVSAVFHEKLKEFIGVSESEVASLTNLYAIVGRNADALALYFGEDPARCPFEQVTTTLLNFIRLFRKAHEENGKQAELEKKKAEKEAEMEKAKGVNLTKKGAKDS >Vigun03g338400.3.v1.2 pep primary_assembly:ASM411807v1:3:53617745:53635801:-1 gene:Vigun03g338400.v1.2 transcript:Vigun03g338400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSPDVLEEDEYRVYMGGIVAQLQDYFPDASFMVFNFREGERRGQISDILSQYDMTVMEYPRQYEGCPVLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHILCPLNPQASHLRYLQYISRRSLGAEWPPSETPLFLDCLILRVLPIFDDGKGCRPVVRVYGQDPSISATRSSKLLFSTSQSKKHVRHYLQAECMLVKIDLRCRVQGDVVLECIHLSDDFVREELMFRVMFHTAFVRSNILMLNRDEIDILWEAKDLFPKDFKAEVLFLDADAVIPDLTTVTVSEDANEIESAETESASPDEFYEVEEIFSSVIDAQEDDKITKQVYKMDPGINTVKDISIDDAHYNYKFDGSVDSDPHAVKDIAVDDGEIKSPSTAVVSDMMKPPLETKEVTMHVQQEFAVTENEYDEDKEVTEKEPDSKAGNQMPDLAEQKYGKVLPSTAKKQPPSNSKPVGDTVAAKQKTKQQETHGFQAKQAKPNAVTRWIPSNKGSYTNSMHVYYPPSRNNSAPAALINLSSTKEKMEDAKTRSLSAPVVSAVVASIDKTNDLKSRKVASSKSSGYIAAEIDEKGLPSPLSSIKETSFQSDTPAQEQSSEQLLHPSSPPPLPPPAHSRVSSQVFESSSLKDGVSAQGSPSPVVSPSSLGGKGPLMPPPPPPPPPPPFQPSTLTTFMRPPETSLPFSPPPPPPPPPFFGENVGSLVPFPSPRKSVASSIVEETSVSLTLPTTPLPFASIDVTLDVSQVVTAVIPSQPPPPPPPPRYEVSSIPPLTPLASPLPKNEIPSVPPPPMFPTASTNRAPPPPPPPPPPPPTPPFASYKGSPPPATPPPTQPLSPPPPPPPPPLANSHIYSATPPIPPPPPPLANSHIYSTSPPIPPPPPPPPFGTTSLPPSPPPFNSPPPPPPPPPFSQAPPPPPPPTPPPLSSVAPPPPPPMYKAAPPPPPPLPPSNGAPPPPPPPLPPSNGAPPPPPPPPPLPPSSGAPPPPPPPMYGAPPPPPPPPMYGTPPPPPPPPGGQGPPPPPPPPGGQGPPPPPPPMYGAPPPPPPPMYGAPPPPPPPMYGTPAPPPPPSYGAPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPGGRGPPPPPGVPGAPAPPGGGPPPPPPFGAKGANARVDPRGGGRGIGRPTGPAARKSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDFSELEKLFSLNVPKPADAGKSGGKGKSAGSKTDRVTLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMDLIKGYTGDKELLGKCEQFFIELMKVPRVESKLRVFAFKIQFGAQLTEFKKSLNTVNSACEEVRHSAKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPKLLDFHLDLVSLEDSTKIQLKSLAEEMQAIVKGLEKVKQEFAACANDGPVSAVFHEVLRIFIN >Vigun03g338400.4.v1.2 pep primary_assembly:ASM411807v1:3:53617745:53635801:-1 gene:Vigun03g338400.v1.2 transcript:Vigun03g338400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVAQLQDYFPDASFMVFNFREGERRGQISDILSQYDMTVMEYPRQYEGCPVLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHILCPLNPQASHLRYLQYISRRSLGAEWPPSETPLFLDCLILRVLPIFDDGKGCRPVVRVYGQDPSISATRSSKLLFSTSQSKKHVRHYLQAECMLVKIDLRCRVQGDVVLECIHLSDDFVREELMFRVMFHTAFVRSNILMLNRDEIDILWEAKDLFPKDFKAEVLFLDADAVIPDLTTVTVSEDANEIESAETESASPDEFYEVEEIFSSVIDAQEDDKITKQVYKMDPGINTVKDISIDDAHYNYKFDGSVDSDPHAVKDIAVDDGEIKSPSTAVVSDMMKPPLETKEVTMHVQQEFAVTENEYDEDKEVTEKEPDSKAGNQMPDLAEQKYGKVLPSTAKKQPPSNSKPVGDTVAAKQKTKQQETHGFQAKQAKPNAVTRWIPSNKGSYTNSMHVYYPPSRNNSAPAALINLSSTKEKMEDAKTRSLSAPVVSAVVASIDKTNDLKSRKVASSKSSGYIAAEIDEKGLPSPLSSIKETSFQSDTPAQEQSSEQLLHPSSPPPLPPPAHSRVSSQVFESSSLKDGVSAQGSPSPVVSPSSLGGKGPLMPPPPPPPPPPPFQPSTLTTFMRPPETSLPFSPPPPPPPPPFFGENVGSLVPFPSPRKSVASSIVEETSVSLTLPTTPLPFASIDVTLDVSQVVTAVIPSQPPPPPPPPRYEVSSIPPLTPLASPLPKNEIPSVPPPPMFPTASTNRAPPPPPPPPPPPPTPPFASYKGSPPPATPPPTQPLSPPPPPPPPPLANSHIYSATPPIPPPPPPLANSHIYSTSPPIPPPPPPPPFGTTSLPPSPPPFNSPPPPPPPPPFSQAPPPPPPPTPPPLSSVAPPPPPPMYKAAPPPPPPLPPSNGAPPPPPPPLPPSNGAPPPPPPPPPLPPSSGAPPPPPPPMYGAPPPPPPPPMYGTPPPPPPPPGGQGPPPPPPPPGGQGPPPPPPPMYGAPPPPPPPMYGAPPPPPPPMYGTPAPPPPPSYGAPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPMFGAPPPPPGGRGPPPPPPPGGRGPPPPPGVPGAPAPPGGGPPPPPPFGAKGANARVDPRGGGRGIGRPTGPAARKSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDFSELEKLFSLNVPKPADAGKSGGKGKSAGSKTDRVTLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDESVLDVDQVENLIKFCPTKEEMDLIKGYTGDKELLGKCEQFFIELMKVPRVESKLRVFAFKIQFGAQLTEFKKSLNTVNSACEEVRHSAKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAEKSPKLLDFHLDLVSLEDSTKIQLKSLAEEMQAIVKGLEKVKQEFAACANDGPVSAVFHEVLRIFIN >Vigun01g126600.1.v1.2 pep primary_assembly:ASM411807v1:1:30372810:30374975:-1 gene:Vigun01g126600.v1.2 transcript:Vigun01g126600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSLTSFLSIPSPPCNFHSLLQSKHQPFTHNLRFTALTLSPISLTPKPSLRRATDSDIDAPISLPEGASFVSIPEIVEKDWSVLDCVEQRSVERIVAAGKVQEGSRVLVSTGSEDFVDSLVGLTSSLFVVHDSLLVLACIKEKYDRVKCWQGEILHVPEKWAPFDAVFLYFLPALPFKLDQILGSLAGKCAPGGRVIISHPKGREVLEQQRKQYPDVVVSDIPDKTYLQSVAAAHSFDVAEFVDEPDLYLAVLICSRT >Vigun11g024000.2.v1.2 pep primary_assembly:ASM411807v1:11:3051690:3057118:1 gene:Vigun11g024000.v1.2 transcript:Vigun11g024000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENVEKPLLERKYYYENCPGCRVDKAKELSEGQGVPFTNLFIIWMVVLCASMPISSLFSYLYFMVRDFNIAKTEADISFYAGYVGSAFMFGRCLTSVMWGIIADRYGRKPVIMIGIIAIVIFNTLFGLSTSFWMAVLMRFLLGCFSGLLGPVTAYATELFREEYQALGVSSVTASWGAGLIIGPALGGYLAQPVEKYPHMFQKGSFWDKFAYFFPNFIISAFAFVVAVGCIWIPETLHNHDCCIESIDSVEALEIGSRVVGIEKTNQKKEKLLFNWPLMSSIIIFCVFSLHDGAYHEVFSLWTVSPQTLGGLNFTTNDVGNVLSISGLAVIVYQITLYPFVRRTSGPIGIARISGILTIPLLQSYTFIGSLSGFALHTVLSIASILKNIFAVTITTCLFLLQNRAVEQHQRGAANGISITGLSLFKAFGPAAGGALLTWSQKRMDASFLPGTQVVFFVLNTVVAVGTLMTFKPFLVEKRTT >Vigun11g024000.1.v1.2 pep primary_assembly:ASM411807v1:11:3051476:3057118:1 gene:Vigun11g024000.v1.2 transcript:Vigun11g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENVEKPLLERKYYYENCPGCRVDKAKELSEGQGVPFTNLFIIWMVVLCASMPISSLFSYLYFMVRDFNIAKTEADISFYAGYVGSAFMFGRCLTSVMWGIIADRYGRKPVIMIGIIAIVIFNTLFGLSTSFWMAVLMRFLLGCFSGLLGPVTAYATELFREEYQALGVSSVTASWGAGLIIGPALGGYLAQPVEKYPHMFQKGSFWDKFAYFFPNFIISAFAFVVAVGCIWIPETLHNHDCCIESIDSVEALEIGSRVVGIEKTNQKKEKLLFNWPLMSSIIIFCVFSLHDGAYHEVFSLWTVSPQTLGGLNFTTNDVGNVLSISGLAVIVYQITLYPFVRRTSGPIGIARISGILTIPLLQSYTFIGSLSGFALHTVLSIASILKNIFAVTITTCLFLLQNRAVEQHQRGAANGISITGLSLFKAFGPAAGGALLTWSQKRMDASFLPGTQVVFFVLNTVVAVGTLMTFKPFLVEKRTT >Vigun01g001100.1.v1.2 pep primary_assembly:ASM411807v1:1:185657:191163:-1 gene:Vigun01g001100.v1.2 transcript:Vigun01g001100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDHSSTSTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKITDVERIQQVNMVSGPELNAIQALASRNFFNPNMMEGGSVYPQSDKKILHLG >Vigun01g001100.3.v1.2 pep primary_assembly:ASM411807v1:1:185646:191542:-1 gene:Vigun01g001100.v1.2 transcript:Vigun01g001100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDHSSTSTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKITDVERIQQVNMVSGPELNAIQALASRNFFNPNMMEGGSVYPQSDKKILHLG >Vigun01g001100.4.v1.2 pep primary_assembly:ASM411807v1:1:185658:191131:-1 gene:Vigun01g001100.v1.2 transcript:Vigun01g001100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDHSSTSTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKITDVERIQQVNMVSGPELNAIQALASRNFFNPNMMEGGSVYPQSDKKILHLG >Vigun01g001100.2.v1.2 pep primary_assembly:ASM411807v1:1:185658:191464:-1 gene:Vigun01g001100.v1.2 transcript:Vigun01g001100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDHSSTSTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKITDVERIQQVNMVSGPELNAIQALASRNFFNPNMMEGGSVYPQSDKKILHLG >Vigun03g128250.1.v1.2 pep primary_assembly:ASM411807v1:3:12413220:12414537:-1 gene:Vigun03g128250.v1.2 transcript:Vigun03g128250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIVFYHIGKFEAFKGRFLRYKGGEEHVVHGLDVDRWSSFDALSILKDEFKYDGMVKLWWKPKRGRMDKDLKPLVTDKDALELSSYAENRKEEVQIFVEHVVSTAEPIKFIEWTQSGTEGAGGEDGDGALNDNGVQDEVAIVDDNGGQDEAAAVNDHGGHGGAAIDADGQAESNVAAVDYGNVAVAAVHDANVAAAVHESNVAAVEDVNMTATVVDDGNVAVAAVHDANVAAAVHKANVAADVDVQSGDESAVENGYEVLDESEEELHRDSDDGFGCSDTPRRNIRDVLQRWSNMRKSRRKRKRSANASVGGFETPQFGGNHDMDATYSTDELQSDVDCEGEEVHKYPMFKADDLEATTHFGSKHWLIHIVVGGYL >Vigun06g212700.1.v1.2 pep primary_assembly:ASM411807v1:6:32481932:32484839:-1 gene:Vigun06g212700.v1.2 transcript:Vigun06g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSLIFLVLSFIAFDPSNAKQEQPFSINIDNFLDKIYLWGTEAQSQILISGPLVLAGVLCFIASAISSAGGIGGGGLFIPILSIVAGLDLKTASSLSAFMVTGGSIANVMCNMCITSLKFGGKSLIDYDIALSSEPCMLLGVSLGVICNLVFPEWLITVLFAIFLAWSTSKTCRSGLMLWKAESDEIEKIGVDELEKGLLENETVEERKVYKENNEPKNVEVAVPLLSPQGNRKVRIPWLKLVVLLLIWFSFFSVYLLRGNRYGQGIIQMEPCGVGYWILSSVQIPLAVVFTAWIVFRKERLRDRTLMQEITDVKVPALTKNRPSNILLFPSMALLAGILGGVFGIGGGMLISPLLLQVGIKPEVTAATCSFMVLFSATMSALQYLLLGMEHVEAALILATMCFVASLLGLLVVQRAIRKYGRASIIVFSVSTVMFVSNVLMTSFGAIKVWTDYESGEYMGFKLPC >Vigun11g214000.1.v1.2 pep primary_assembly:ASM411807v1:11:40860079:40863854:1 gene:Vigun11g214000.v1.2 transcript:Vigun11g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQESQPQPSLGQEDENEVITESSAFVKGEPDPEFSSSPPKVDSEVEVLHEKVTKQIIKEGHGQKPSKYSTCFFHYRAWSEKTQHKFEDTWQEQRPIEMVLGKEKKEMTGLGIGVASMKAGERALVRVGWELGYGEEGSFSFPNVPPMADLVYEVELIGFDETKEGKARSDMTVEERIGAADRRKMDGNALYQEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLNRYEEAIGQCSIVLGEDENNVKALFRRGKARAALGQTDAAREDFLKARKHAPQDKAIARELKLLAEHDKAVYQKQKEIYKGIFGPRPEPVPKTRNWLILIWQWLLSVFNGLVSLFKRERLKSD >Vigun11g214000.2.v1.2 pep primary_assembly:ASM411807v1:11:40860079:40863854:1 gene:Vigun11g214000.v1.2 transcript:Vigun11g214000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQESQPQPSLGQEDENEVITESSAFVKGEPDPEFSSSPPKVDSEVEVLHEKVTKQIIKEGHGQKPSKYSTCFFHYRAWSEKTQHKFEDTWQEQRPIEMVLGKEKKEMTGLGIGVASMKAGERALVRVGWELGYGEEGSFSFPNVPPMADLVYEVELIGFDETKEGKARSDMTVEERIGAADRRKMDGNALYQEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLNRYEEAIGQCSIVLGEDENNVKALFRRGKARAALGQTDAAREDFLKARKHAPQDKAIARELKLLAEHDKAVYQKQKEIYKGIFGPRPEPVPKTRNWLILIWQWLLSVFNGLVSLFKRERLKSD >Vigun03g361100.1.v1.2 pep primary_assembly:ASM411807v1:3:56437629:56445047:1 gene:Vigun03g361100.v1.2 transcript:Vigun03g361100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSALFLVLLLQLSTIFGSEVVLQSKDCGTDWVAHSYSSHSQELFYINGNVVDKVAFCEALQSYTEKGCDVKDYFGSVKCVTDVSFVDFPLKAGRKLLKEDSNTESKPQGDSKPLPAHVVGISAGGALFACCLVLCPCFFKRRKATSHAVLNKDPSSMDSVSSFEASVTDKIPASPLRVPASPSRFSMNPKLSRLQSLHLDLNQVTRATNNFSETLQIGEGGFGTVYKAKLEDGLVVAVKRAKKEHFDSLRAEFSSEVQLLAKIDHRNLVKLLGYIDKGNERLLITEFVPNGTLREHLDGMRGKALDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFAKLGPVNTDETHISTKVKGTVGYLDPEYMKTYQLTPRSDVYSFGILLLEIVSGRRPVELKKTVEERVTLRWAFRKYNEGSVVELVDPLMEEAVNTGVLMKMFDLAFQCAAPIRADRPDMKSVSEQLWAIRADYLKSARRK >Vigun07g179600.2.v1.2 pep primary_assembly:ASM411807v1:7:29642875:29647554:-1 gene:Vigun07g179600.v1.2 transcript:Vigun07g179600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVASGLLITSVLICVSANDNGFPRCNCDDEASLWTIESILECQRVGDFLIAVAYFSIPIELLYFISCSNVPFKWVLIQFIAFIVLCGLTHLLNGWTYGPHTFQLMVALTVSKILTALVSCATAITLITLIPLLLKVKVREFMLKKKTWDLGREVDNIMRQREAAVHVRMLTQEIRKSLDRHTILYTTLVELSKTLSLQNCAVWMPNVDKTEMNLTHELNGRNFNLSIPINDPDVVRIKGSDRVNILSPDSALAVGSSGASGEAGPVAAIRMPMLRVCNFKGGTPELRQTCYAILVLILPTGEPRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEEQNRALQQAKRNALMASQARNAFQKVMSDGMRRPMHSILGLLSMIQDDNLKYEQKLIVDAMLRTSNVLSNLINDAMDNSTKDDGRFPLEIRSFGLHSMLKEAACLSKCMCVYGGFGFMVEVEKSLPDNVMGDERRVFQVILHMVGNLLQHNHGGGILVFRVFAETGSQGRSDKGWTTWRPSSSSGDVNIRFEIGINSSDSEVGSSSGLGGRKYNSDRVGGRLSFNICKRVVQLMQGNIWLVPCAHGYPQSMTLLLRFQLRPSITIAISEPGEGSERSDSNSMLRSLQVLLVDNDDVNRAVTQKLLQKLGCVVTPVTSGFECLTVIGPAGSSIQVILLDLHMPDLDGFEVATRIRKFRSGNRPMIVALTASAEEDLWDRCMQVGINGVIRKPVLLQGIASELRRILMQGNNVL >Vigun07g179600.1.v1.2 pep primary_assembly:ASM411807v1:7:29642875:29647554:-1 gene:Vigun07g179600.v1.2 transcript:Vigun07g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVASGLLITSVLICVSANDNGFPRCNCDDEASLWTIESILECQRVGDFLIAVAYFSIPIELLYFISCSNVPFKWVLIQFIAFIVLCGLTHLLNGWTYGPHTFQLMVALTVSKILTALVSCATAITLITLIPLLLKVKVREFMLKKKTWDLGREVDNIMRQREAAVHVRMLTQEIRKSLDRHTILYTTLVELSKTLSLQNCAVWMPNVDKTEMNLTHELNGRNFNLSIPINDPDVVRIKGSDRVNILSPDSALAVGSSGASGEAGPVAAIRMPMLRVCNFKGGTPELRQTCYAILVLILPTGEPRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEEQNRALQQAKRNALMASQARNAFQKVMSDGMRRPMHSILGLLSMIQDDNLKYEQKLIVDAMLRTSNVLSNLINDAMDNSTKDDGRFPLEIRSFGLHSMLKEAACLSKCMCVYGGFGFMVEVEKSLPDNVMGDERRVFQVILHMVGNLLQHNHGGGILVFRVFAETGSQGRSDKGWTTWRPSSSSGDVNIRFEIGINSSDSEVGSSSGLGGRKYNSDRVGGRLSFNICKRVVQLMQGNIWLVPCAHGYPQSMTLLLRFQLRPSITIAISEPGEGSERSDSNSMLRSLQVLLVDNDDVNRAVTQKLLQKLGCVVTPVTSGFECLTVIGPAGSSIQVILLDLHMPDLDGFEVATRIRKFRSGNRPMIVALTASAEEDLWDRCMQVGINGVIRKPVLLQGIASELRRILMQGNNVL >Vigun03g392800.3.v1.2 pep primary_assembly:ASM411807v1:3:59897678:59904559:-1 gene:Vigun03g392800.v1.2 transcript:Vigun03g392800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPAVEQHRVIIPNKHGENLVGILHESGSREIVILCHGFRSSKQTNTLVNLAAALENARLSSFRFDFSGNGESDGSFQYGYYWREAEDLRAVIQHFHESNRGVSAIVGHSKGGGVVLLYASKYHDIKTVVNLSGRYDLKVGIEERLGKDYLERIKKNGFIDVERSGNFEYRVTLESLMDRLDTNMQEACLQIDKECRVLTVHGTSDKVVPAEDASKFSKIIPNHKLHIIEGADHSFTGHQDELASVVVNFIKETLHQDRGTAS >Vigun03g392800.1.v1.2 pep primary_assembly:ASM411807v1:3:59897678:59904559:-1 gene:Vigun03g392800.v1.2 transcript:Vigun03g392800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLHNASSLFFNSSLPTTPKFSHYQVRFSIPHNHKPRTRRTFTLSMAHTPAVEQHRVIIPNKHGENLVGILHESGSREIVILCHGFRSSKQTNTLVNLAAALENARLSSFRFDFSGNGESDGSFQYGYYWREAEDLRAVIQHFHESNRGVSAIVGHSKGGGVVLLYASKYHDIKTVVNLSGRYDLKVGIEERLGKDYLERIKKNGFIDVERSGNFEYRVTLESLMDRLDTNMQEACLQIDKECRVLTVHGTSDKVVPAEDASKFSKIIPNHKLHIIEGADHSFTGHQDELASVVVNFIKETLHQDRGTAS >Vigun03g392800.2.v1.2 pep primary_assembly:ASM411807v1:3:59897678:59904600:-1 gene:Vigun03g392800.v1.2 transcript:Vigun03g392800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPAVEQHRVIIPNKHGENLVGILHESGSREIVILCHGFRSSKQTNTLVNLAAALENARLSSFRFDFSGNGESDGSFQYGYYWREAEDLRAVIQHFHESNRGVSAIVGHSKGGGVVLLYASKYHDIKTVVNLSGRYDLKVGIEERLGKDYLERIKKNGFIDVERSGNFEYRVTLESLMDRLDTNMQEACLQIDKECRVLTVHGTSDKVVPAEDASKFSKIIPNHKLHIIEGADHSFTGHQDELASVVVNFIKETLHQDRGTAS >VigunL020300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000263.1:6515:7648:-1 gene:VigunL020300.v1.2 transcript:VigunL020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSITFFLSFSTKSRCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLLVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVNLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFLKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPKILMYSMEDRVLPQYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun01g005800.1.v1.2 pep primary_assembly:ASM411807v1:1:726136:730028:1 gene:Vigun01g005800.v1.2 transcript:Vigun01g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIVMFPAAGIGHIVAMVELAKLIQTHRFSITVLLTTGFLDHPSIDDYIRRISAVHPSISFLRLPATTPATFKTAVSFGAKGFSFHKRNAPHVATALTEISKTATVKAFVIDLFCASTMESASAMGIPVYFFFTSGAAILALYSYFPKLHQEWSVSFKNMVGVELRVPGNAPLKAVELPQPILDRDDLAYWDMLDFCTLLPKASGIIVNSFEELEPAAVKAVAEGACFPDATCVPRVHYIGPLIAEPQQSGTESKECLRWLEEQPRRSVVYLCFGSRGSFSVAQLEEIAKGLEKSGHRFLWVVKKPLEEEGAKHDEDATKRGDQLDLASVLPNGFLERTKDRGMVVEAWAPQVEVLSRESVGGFVSHCGWNSVLEAVVAGVPMVAWPLYAEQHANREVMVGEMKVAVGVNEREEDGFVSAEEVEKRVREVMETKEIRDRSLELKQMAMAAVAEFGSSTTALTNLLHSWTS >Vigun09g183600.1.v1.2 pep primary_assembly:ASM411807v1:9:35731001:35731281:-1 gene:Vigun09g183600.v1.2 transcript:Vigun09g183600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKHESGIAGNSTIIVRVIACFQPLRECQAEYFRHLLKPVT >Vigun09g112100.1.v1.2 pep primary_assembly:ASM411807v1:9:24450101:24459781:1 gene:Vigun09g112100.v1.2 transcript:Vigun09g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAVEGGQAPQGRQQQPQGGFGLTGIIRMVVFWYFASKFFSPKKPTEPSALISNLFQKAQPLDMWLYLSENEKFNDFGSESSLVWHETNIPYAVWGTESTRTLTLDYNPSESLKHNGSLYAHVFFAHSGFSPDPSDPEYQPQAAFGRTHPVVTYLPKSKADKKKSLLGGSPDSSEAQVTSKVVDEPEEDDDSKDDDSKDDGPVEWVSYWKPNITINLVADFTQYPKTGVAPNIAPYLNVDPFTGNYYPIVYFNEFWLLRDKLIRLNETVTELRLNLEVGPISMTKWQLFLQIDQSFQIHRNYGSMLEGEADELKRVFLEGNPYLLAITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKTVVVSFVCQLIIFLYLLDNDTSWMILASSGIGCVIEFWKIGKAMRIEIDRSGRIPMLKLRDRESYVRNKTKEYDDIAMKYLCYVLFLLVAGFSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWIYPVDKKRVNEFGFGGEDDQAVAETDAAKEEEKKTN >Vigun03g140600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14007180:14007892:1 gene:Vigun03g140600.v1.2 transcript:Vigun03g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLNSYFTFATAIALLFSFHLSSADSYEDFVECLYNYPHITNSIANAVYTRTNSSYSSVLDVSIQNRRFLNITSKPKVIVTPLDVSHIQATIICAQRHGLQIRTRSGGHDYEGLSYVAGVPFVILDLINLRGIEVDVENSTAWVEAGATLGELYYRISQKSKTLGFPAGECPPVDVGGHFSVISLSKYLKFKI >Vigun07g139200.1.v1.2 pep primary_assembly:ASM411807v1:7:24891703:24896812:1 gene:Vigun07g139200.v1.2 transcript:Vigun07g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAASLTFHFAKFPIRQTNSFSIRHRIPSGRGLNLYYPRVSHRFARFGSKCSLTDTDVHLDHVTTDEDEGLGRGVVEPLCPVPFVNLKTDILEPESLNLLAEATFVDTLLTTLPVLSEEEQHALSATPAHPVGLHAFYASCIAANVVEQLWNFAWPSAIALIHPSLLPVAVMGFFTKVTIIVGGPLVGRVMDHFPRMYAYNCLTVVQAAAQLLSAAMIIHAHSVRHTSLPSLLLRPWFFILVSAGAIERLCGVALGVANERDWVVLLAGVNRPIALAQANAVLNRIDLLCEIAGALLFGFLLSKFHPVICLKVASGLMMGLLPVTIIFTYLTNKLSTGVLDRPKPSQTCCRTFNEDSGTDANSIVFKGLEAMKLGWKEYLGQPVLPASLAWVLLYFNIVLTPGSLLTAFLTQRGLHPSIIGGFSGMCAVMGVAATFVSSTLVEQFGILKAGAVGLVFQALLLSMAVAVYLSGSISHQSPLLTFLFLIILSRLGHMSYDVVGAQILQTGIPSSKANLIGTTEIAVASLAESIMLGVAIIANDPSHFGCLALLSLLSVIGAAWMFCRWLLNPTDEQKNLFAVESNR >Vigun03g092900.1.v1.2 pep primary_assembly:ASM411807v1:3:7837035:7839083:-1 gene:Vigun03g092900.v1.2 transcript:Vigun03g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRETYLRVSAPLLAYHLMCLFGVIGEGNFYEDIGITWGEQGGVKILGSNFLMLSLDQFSGSGFRSKAQYLYGRIDMQLKLVSGNSAGTVTAYYLSSEGPNHDEIDFEFLGNITGEPYIVHTNIYTQGIGNREQQFYLWFDPTKHFHTYTIVWNPQRIIFLVDNIPIRVFNNYESRGVPFASNQPMRLYSSLWCAEQWATRGGLVKTNWSYAPFRAYYRNFDAKACVWSKGSSSCPSNSVATTHNNTWQVLDLDAYGRRSLRWVQKYYMIYNYCTDYKRFPQGRPRECRLS >Vigun03g075100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6245102:6246138:1 gene:Vigun03g075100.v1.2 transcript:Vigun03g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGHANAVAALPPPPGRYVQETYIVQFPKDQVYRVPPRENALFLEQFRKPVTVKKTRRFGCRCGRVLLTVVLVVVSVVAVVGITLATLYFIFSPTGPTFGVGNLAVKSGGAYRNSRAQYRVSLRVHNPNDKLGIHYAAGDVWLFYERTKVAAGRFPSLDQGREELSTVIVMMSGPSAVVRRVSNSREQPVALKLEMKLGMRIRIAGIETWLMRSNVYCDFEVTDFGNKTRVSSQDCYTEFKEY >Vigun04g085900.2.v1.2 pep primary_assembly:ASM411807v1:4:14417391:14424499:1 gene:Vigun04g085900.v1.2 transcript:Vigun04g085900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCILFDMTDPTKNLKEKEIKRQTLVELVDYVSSANGKFTDVMMQEIVKMVSINLFRTFISPPRENKALEAFDVDEEEPSMDPAWPFLQIVYELLLRFVTSPETDAKLAKRYIDHSFVLRLLDLFDSEDSREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFIGELEEVLEATQPAEFQRCMVPLFRQISHCLSSSHFQVAERALFLWNNDHIGTLIKQNHKIILPIVLPALEQNARNHWNQAVQSLTINVRKIFADTDPEFYEECMMKVRENEAQEKDMKSKREARWKRLEEMGGMKTTTNEAVLVSPRTASHAPSSKASRTQLE >Vigun04g085900.1.v1.2 pep primary_assembly:ASM411807v1:4:14417388:14424499:1 gene:Vigun04g085900.v1.2 transcript:Vigun04g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCILFDMTDPTKNLKEKEIKRQTLVELVDYVSSANGKFTDVMMQEIVKMVSINLFRTFISPPRENKALEAFDVDEEEPSMDPAWPFLQIVYELLLRFVTSPETDAKLAKRYIDHSFVLRLLDLFDSEDSREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFIGELEEVLEATQPAEFQRCMVPLFRQISHCLSSSHFQVAERALFLWNNDHIGTLIKQNHKIILPIVLPALEQNARNHWNQAVQSLTINVRKIFADTDPEFYEECMMKVRENEAQEKDMKSKREARWKRLEEMGGMKTTTNEAVLVSPRTASHAPSSKASRTQLE >Vigun07g114400.1.v1.2 pep primary_assembly:ASM411807v1:7:21208808:21212958:1 gene:Vigun07g114400.v1.2 transcript:Vigun07g114400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVDSPVTVLEDYFRSSDSESSSSKEPAVDSESQDLSKHASRWHAFLHLFRNGSKKHTNTLHPLSALKLSKRMSTSMRETILPSCFLDANASPCRSPWKIFTHHEIQVATNSFSQENLIGKGGYAEVYKGCLPNRQLVAIKRLTRGTADEIIGDFLSELGVMAHVNHPNTAKLVGYGVDGGMYLVLELSEKGSLASVLYGSKEKLPWCTRHKIALGIAKGILYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPENWTHHTVSKFEGTFGYLAPEYLLHGIVDEKTDIFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKNDVMELIDPSLLGDFDSRQMNLMLLAASLCIQQSSIRRPSTRQVVQLLNGNLSCFKGMKKTRMPFFRRVFREELHDSE >Vigun06g063900.2.v1.2 pep primary_assembly:ASM411807v1:6:19247990:19260245:1 gene:Vigun06g063900.v1.2 transcript:Vigun06g063900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYIEDVGNVGMVEYFDPSHVLREKIDQLAIMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAAPSLTHMALVELEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMEVCPSCGEEYFRDFEVETIGLKETSRRCTVAKCGTRLKDTVLDWEDALPPKEMNPAEKHCKQADIVLCLGTSLQITPACNLPLKALRGGGKVVIVNLQKTPKDKKASLVIHGFADKVIAGVMDQLNMQIPPFVRIDLFQIVLVQALSNDKRYVNWTLQIASVHGQRAALPFIESVEISFLDREDYKAAILDKQPFRLKRRTAYNKAFEMVLKLNFSDGCGCSSLEIDVPVDFKVSTDCFDFDKDYIFQKLRDKAVLESRCGQNGVIERKTILTPRSDVTTYAIVTNVVQYSKTCKAALDSLSNGDLKKRKGSVTGTGSSRKRSKGSSKCKSRSGNIRDHM >Vigun06g063900.1.v1.2 pep primary_assembly:ASM411807v1:6:19247925:19260227:1 gene:Vigun06g063900.v1.2 transcript:Vigun06g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSYIEDVGNVGMVEYFDPSHVLREKIDQLAIMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAAPSLTHMALVELEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMEVCPSCGEEYFRDFEVETIGLKETSRRCTVAKCGTRLKDTVLDWEDALPPKEMNPAEKHCKQADIVLCLGTSLQITPACNLPLKALRGGGKVVIVNLQKTPKDKKASLVIHGFADKVIAGVMDQLNMQIPPFVRIDLFQIVLVQALSNDKRYVNWTLQIASVHGQRAALPFIESVEISFLDREDYKAAILDKQPFRLKRRTAYNKAFEMVLKLNFSDGCGCSSLEIDVPVDFKVSTDCFDFDKDYIFQKLRDKAVLESRCGQNGVIERKTILTPRSDVTTYAIVTNVVQYSKTCKAALDSLSNGDLKKRKGSVTGTGSSRKRSKGSSKCKSRSGNIRDHM >Vigun11g106725.2.v1.2 pep primary_assembly:ASM411807v1:11:30534967:30535712:1 gene:Vigun11g106725.v1.2 transcript:Vigun11g106725.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSELFHTRSHRLGRNAIDLGFHTKLQAADSFRRRHHENASNRVGGRYRRSFANDSVISEENLRGSLGASTGERLPVGFVLALKGEPQSRNRCAFTSVYVNILSTLCYCPHIIM >Vigun11g106725.1.v1.2 pep primary_assembly:ASM411807v1:11:30534667:30535712:1 gene:Vigun11g106725.v1.2 transcript:Vigun11g106725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISYSHSFLFLHFFIDLYSLVETETLIRDSLCMTSVSELFHTRSHRLGRNAIDLGFHTKLQAADSFRRRHHENASNRVGGRYRRSFANDSVISEENLRGSLGASTGERLPVGFVLALKGEPQSRNRCAFTSVYVNILSTLCYCPHIIM >VigunL013900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:141094:141595:1 gene:VigunL013900.v1.2 transcript:VigunL013900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGDLSVNFSTITPKKQNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPSVRYHIVRGTLDVVGVKDRQQGRSSAL >Vigun08g026100.1.v1.2 pep primary_assembly:ASM411807v1:8:2291440:2293330:-1 gene:Vigun08g026100.v1.2 transcript:Vigun08g026100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPSGHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRAGRGFSLEELKSAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRAQKVKSGDSSAEELANATQVQGPFLPIVREKPSVDLVKVTDEMKAFKAYYKLRLERTNQRHYGARLKKAAEAEKEDKK >Vigun01g101400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26893825:26899237:1 gene:Vigun01g101400.v1.2 transcript:Vigun01g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHSPRHRFLSPFTLFTQRRWKKPTVSAQTRLEDRVRDPHFDKLMTHLKRLYQVLEIYQLMSTRKRAPFVSLTLMSRWRNILGLNVPVGSFLHKYPHVFHVFVHPFRKNTCCRVTKKMKELILLEGMVVRQQEMEAVKRVKKLLMMSVSGSLRLHALRMIRRELGLPEDFRDSILGKFSGDFKLVGLEVVELVDWDAELGLAEVEKWREREYTEKWLSEFEIKFAFPIGFPTGFKIERGFRERLKNWQRLSYTKPYERKEVVRVRTCGGIERYEKRAVAILHELLSLTVEKMVEVDQLAHFRRDLGIEVNVRELLLRHPGIFYISTKGKTLTVFLREAYRKGGLIEPNPMYEARRNILNLVLLGCRKTRTLLAGDEAKEESNVVVCEVNEEGERQGDWVIPFLENCEESRSP >Vigun07g096600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15922023:15922958:1 gene:Vigun07g096600.v1.2 transcript:Vigun07g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRAPFSSSTTVSHQKTLQPRRDASTNHHRSSKTTQRQHHHPTNIRPRRTTMNNGFRTRLLPPRTPAEKCEHEDEDLQSPATLPSCTSSRDLQVAIQPWQHLFEHQQQHETETLREICYVASLNGQSKINQSMDRS >Vigun10g053800.1.v1.2 pep primary_assembly:ASM411807v1:10:8909679:8911572:1 gene:Vigun10g053800.v1.2 transcript:Vigun10g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLSFSYMVHMRTISKGAIILLSIMMLLFDTTVSVVVESDQNHIKSATFLSENFEVGPGKIVVKTLLDIEFPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPNDFYNVTTGINGQLLSRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEHQVPLKFYILDSTDRVRSNGSTLIHDCQAEYKIPRNHHNDFPHVKKANIPMTKGGYLIYGTAHMHTGVVNITLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLAEQIPNKYLKEI >Vigun11g189200.2.v1.2 pep primary_assembly:ASM411807v1:11:38895231:38897363:-1 gene:Vigun11g189200.v1.2 transcript:Vigun11g189200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVSGKVKWFNDQKGFGFITPDDGSEDLFVHQSQIKSDGFRSLAEGESVEFAIESDADGRAKAVDVTGPDGASVQGTRRGGDGGRGYGGGRGGGGGGYGGGGGYGGGGGGDRYGGGGGGGRYGGGGGGGGRYGGGGGGGGGGGSCYNCGESGHFARECPTNAR >Vigun11g189200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38895231:38897363:-1 gene:Vigun11g189200.v1.2 transcript:Vigun11g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVSGKVKWFNDQKGFGFITPDDGSEDLFVHQSQIKSDGFRSLAEGESVEFAIESDADGRAKAVDVTGPDGASVQGTRRGGDGGRGYGGGRGGGGGGYGGGGGYGGGGGYGGGGRGGGGGGGACYNCGESGHLARDCFQGGGGGDRYGGGGGGGRYGGGGGGGGRYGGGGGGGGGGGSCYNCGESGHFARECPTNAR >Vigun07g146400.1.v1.2 pep primary_assembly:ASM411807v1:7:25740818:25754594:-1 gene:Vigun07g146400.v1.2 transcript:Vigun07g146400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMAPTRKSRSVNKRISSSNDLSPEKDGVSSNKNKQRKKKLTDKLGSQWSKEELERFYEAYRMYGKDWKKVASVVRNRTTETVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEMESNDALGSRKFVKRKREKVQLSVSKDQSHSIAASDGCLSLLKKRRLDGIVPHAVGKRTPRVPVSYSYKKDYTENYVSPYRRSLKSTTDANDDEVAHVVALALTEAAQRGGSPQVSQSPCRRAEKKPSPVQRWERKQQLSETARAKLHNLSVDEELLDGSIESRGADNGEYARDNSSLIDMEGTGTVEVLQKGGKIYRKKERVKNVGNHQLDDGGEACSGTEEGLSCSLKEMVDIEVTNEKLEKISPKGGRKRNKKLFFGDETPALNALQTLADLSLMMPISTIDSESSIQLKGDRISTDKDGKSALPEGTLTSHKRHKHKHSVVPEIEVSTSKKSKLGKESTKDTSVLSESKEQLPFADATWKRKRKSVVSKVANAKLDSCPTGPLKDEALDDEKKPVVKGKHGDQVFTLPKQLKTVKSSESSLCIDQKDLTVPVVETPLLNEVSLPTKRSRRKMNLQRTSSAKEKSSDSILKSQANKHSALKEKLSSCLSSNMVRRWFMFEWFYSAIDYPWFAKRELMEYLNHVGLGNIPRLTRVEWSVVRGSLGKPRRLSEHFLRDERQKLAEYRESVRKHYAELRTGIRDGLPTDLARPLCVGQRVIAVHPKTREIHDGSVLTVDYDKCRIQFDRPELGVEFVMDIDCMPFNPLDNMPEALRRHIDDRKGSFMPIEPHINGNSNFGGCENHSSPLKAMVATVDNFCAQAGCVQPCKVTHHQAREADIHALSELKRALDKKETLLMELRSSNSDILENQNGIECLNDSEVFKKHYATVLVELKEASGQVSDAMLQLRQRNTYRGNSLPPWMKPQAGFSVHDDLPNMLDGSLTQEIGSTVVQIIKGSRSRAHAMVDAAFKALSLTKEGEDAFVKIGQALDSINHQQLASKSRLPVIRSQEQVNANGSFYHVNNSTCSVSESILNDPSGAKLHNYSDKFDTELPSDLITSCVATLIMIQTCTERQYPPADVAQILDSAVSSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Vigun07g146400.4.v1.2 pep primary_assembly:ASM411807v1:7:25740818:25754594:-1 gene:Vigun07g146400.v1.2 transcript:Vigun07g146400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMAPTRKSRSVNKRISSSNDLSPEKDGVSSNKNKQRKKKLTDKLGSQWSKEELERFYEAYRMYGKDWKKVASVVRNRTTETVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEMESNDALGSRKFVKRKREKVQLSVSKDQSHSIAASDGCLSLLKKRRLDGIVPHAVGKRTPRVPVSYSYKKDYTENYVSPYRRSLKSTTDANDDEVAHVVALALTEAAQRGGSPQVSQSPCRRAEKKPSPVQRWERKQLSETARAKLHNLSVDEELLDGSIESRGADNGEYARDNSSLIDMEGTGTVEVLQKGGKIYRKKERVKNVGNHQLDDGGEACSGTEEGLSCSLKEMVDIEVTNEKLEKISPKGGRKRNKKLFFGDETPALNALQTLADLSLMMPISTIDSESSIQLKGDRISTDKDGKSALPEGTLTSHKRHKHKHSVVPEIEVSTSKKSKLGKESTKDTSVLSESKEQLPFADATWKRKRKSVVSKVANAKLDSCPTGPLKDEALDDEKKPVVKGKHGDQVFTLPKQLKTVKSSESSLCIDQKDLTVPVVETPLLNEVSLPTKRSRRKMNLQRTSSAKEKSSDSILKSQANKHSALKEKLSSCLSSNMVRRWFMFEWFYSAIDYPWFAKRELMEYLNHVGLGNIPRLTRVEWSVVRGSLGKPRRLSEHFLRDERQKLAEYRESVRKHYAELRTGIRDGLPTDLARPLCVGQRVIAVHPKTREIHDGSVLTVDYDKCRIQFDRPELGVEFVMDIDCMPFNPLDNMPEALRRHIDDRKGSFMPIEPHINGNSNFGGCENHSSPLKAMVATVDNFCAQAGCVQPCKVTHHQAREADIHALSELKRALDKKETLLMELRSSNSDILENQNGIECLNDSEVFKKHYATVLVELKEASGQVSDAMLQLRQRNTYRGNSLPPWMKPQAGFSVHDDLPNMLDGSLTQEIGSTVVQIIKGSRSRAHAMVDAAFKALSLTKEGEDAFVKIGQALDSINHQQLASKSRLPVIRSQEQVNANGSFYHVNNSTCSVSESILNDPSGAKLHNYSDKFDTELPSDLITSCVATLIMIQTCTERQYPPADVAQILDSAVSSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Vigun07g146400.3.v1.2 pep primary_assembly:ASM411807v1:7:25740818:25754594:-1 gene:Vigun07g146400.v1.2 transcript:Vigun07g146400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMAPTRKSRSVNKRISSSNDLSPEKDGVSSNKNKQRKKKLTDKLGSQWSKEELERFYEAYRMYGKDWKKVASVVRNRTTETVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEMESNDALGSRKFVKRKREKVQLSVSKDQSHSIAASDGCLSLLKKRRLDGIVPHAVGKRTPRVPVSYSYKKDYTENYVSPYRRSLKSTTDANDDEVAHVVALALTEAAQRGGSPQVSQSPCRRAEKKPSPVQRWERKQQLSETARAKLHNLSVDEELLDGSIESRGADNGEYARDNSSLIDMEGTGTVEVLQKGGKIYRKKERVKNVGNHQLDDGGEACSGTEEGLSCSLKEMVDIEVTNEKLEKISPKGGRKRNKKLFFGDETPALNALQTLADLSLMMPISTIDSESSIQLKGDRISTDKDGKSALPEGTLTSHKRHKHKHSVVPEIEVSTSKKSKLGKESTKDTSVLSESKEQLPFADATWKRKRKSVVSKVANAKLDSCPTGPLKDEALDDEKKPVVKGKHGDQVFTLPKQLKTVKSSESSLCIDQKDLTVPVVETPLLNEVSLPTKRSRRKMNLQRTSSAKEKSSDSILKSQANKHSALKEKLSSCLSSNMVRRWFMFEWFYSAIDYPWFAKRELMEYLNHVGLGNIPRLTRVEWSVVRGSLGKPRRLSEHFLRDERQKLAEYRESVRKHYAELRTGIRDGLPTDLARPLCVGQRVIAVHPKTREIHDGSVLTVDYDKCRIQFDRPELGVEFVMDIDCMPFNPLDNMPEALRRHIDDRKGSFMPIEPHINGNSNFGGCENHSSPLKAMVATVDNFCAQAGCVQPCKVTHHQAREADIHALSELKRALDKKETLLMELRSSNSDILENQNGIECLNDSEVFKKHYATVSDAMLQLRQRNTYRGNSLPPWMKPQAGFSVHDDLPNMLDGSLTQEIGSTVVQIIKGSRSRAHAMVDAAFKALSLTKEGEDAFVKIGQALDSINHQQLASKSRLPVIRSQEQVNANGSFYHVNNSTCSVSESILNDPSGAKLHNYSDKFDTELPSDLITSCVATLIMIQTCTERQYPPADVAQILDSAVSSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Vigun07g146400.2.v1.2 pep primary_assembly:ASM411807v1:7:25740818:25754594:-1 gene:Vigun07g146400.v1.2 transcript:Vigun07g146400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMAPTRKSRSVNKRISSSNDLSPEKDGVSSNKNKQRKKKLTDKLGSQWSKEELERFYEAYRMYGKDWKKVASVVRNRTTETVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSEMESNDALGSRKFVKRKREKVQLSVSKDQSHSIAASDGCLSLLKKRRLDGIVPHAVGKRTPRVPVSYSYKKDYTENYVSPYRRSLKSTTDANDDEVAHVVALALTEAAQRGGSPQVSQSPCRRAEKKPSPVQRWERKQLSETARAKLHNLSVDEELLDGSIESRGADNGEYARDNSSLIDMEGTGTVEVLQKGGKIYRKKERVKNVGNHQLDDGGEACSGTEEGLSCSLKEMVDIEVTNEKLEKISPKGGRKRNKKLFFGDETPALNALQTLADLSLMMPISTIDSESSIQLKGDRISTDKDGKSALPEGTLTSHKRHKHKHSVVPEIEVSTSKKSKLGKESTKDTSVLSESKEQLPFADATWKRKRKSVVSKVANAKLDSCPTGPLKDEALDDEKKPVVKGKHGDQVFTLPKQLKTVKSSESSLCIDQKDLTVPVVETPLLNEVSLPTKRSRRKMNLQRTSSAKEKSSDSILKSQANKHSALKEKLSSCLSSNMVRRWFMFEWFYSAIDYPWFAKRELMEYLNHVGLGNIPRLTRVEWSVVRGSLGKPRRLSEHFLRDERQKLAEYRESVRKHYAELRTGIRDGLPTDLARPLCVGQRVIAVHPKTREIHDGSVLTVDYDKCRIQFDRPELGVEFVMDIDCMPFNPLDNMPEALRRHIDDRKGSFMPIEPHINGNSNFGGCENHSSPLKAMVATVDNFCAQAGCVQPCKVTHHQAREADIHALSELKRALDKKETLLMELRSSNSDILENQNGIECLNDSEVFKKHYATVSDAMLQLRQRNTYRGNSLPPWMKPQAGFSVHDDLPNMLDGSLTQEIGSTVVQIIKGSRSRAHAMVDAAFKALSLTKEGEDAFVKIGQALDSINHQQLASKSRLPVIRSQEQVNANGSFYHVNNSTCSVSESILNDPSGAKLHNYSDKFDTELPSDLITSCVATLIMIQTCTERQYPPADVAQILDSAVSSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Vigun09g148700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31213965:31216393:-1 gene:Vigun09g148700.v1.2 transcript:Vigun09g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPELIHYACIARSDTILAQHQSEKEPNMEALAAQCVAQAPPNHTIYSHTVNNRSYTFLIDPPFVFFVISHHTLLKSQTLPFLHRIRSSFRQTLPSSAQPHLHFTPLSFQPHFHSIFDHALTNDSQDRGLRSEPPLNPPPQGLKKKKRPNDAPATLDVSDDVTLSPPLKHQPLDRHSKAKHVWKKHVWVLLLLDLFVCAVLFLIWLWVCSGFKCMT >Vigun09g148700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31213207:31216393:-1 gene:Vigun09g148700.v1.2 transcript:Vigun09g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPELIHYACIARSDTILAQHQSEKEPNMEALAAQCVAQAPPNHTIYSHTVNNRSYTFLIDPPFVFFVISHHTLLKSQTLPFLHRIRSSFRQTLPSSAQPHLHFTPLSFQPHFHSIFDHALTNDSQDRGLRSEPPLNPPPQGLKKKKRPNDAPATLDVSDDVTLSPPLKHQPLDRHSKAKHVWKKHVWVLLLLDLFVCAVLFLIWLWVCSGFKCMT >Vigun03g129300.9.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535284:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.2.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535314:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.6.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535284:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.8.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535284:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.5.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535314:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.1.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535284:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.10.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12531432:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.11.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535314:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.4.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535314:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.7.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535284:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQDRGSFLMFVSSFITFMTIGGFPSFVEDMKVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g129300.3.v1.2 pep primary_assembly:ASM411807v1:3:12527949:12535314:-1 gene:Vigun03g129300.v1.2 transcript:Vigun03g129300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPHHSPAPTDYETKPNSSRVEATALEIEECSGPKAKGSRRGESSGWREEEGMCLTWKNVWVTASVGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDALAGRLGSDTRQTGEILINGKKQALAYGTSAYVTQDDTLLTTLTVGEAVHYSAQLQLPDTMSKQEKKDRADFTIREMGLQDAINTRIGGLFVKGISGGQKRRVSICIEILTRPGLLFLDEPTSGLDSAASYYVMKRIATLDKRDDIQRTIIASIHQPSTEVFQLFDNLCLLSSGRTVYFGPASAASEFFASNGFPCPSLMNPSDHMLKTINKDFEQDTELGGNEAIPTKEVIEILVNSYKSSEMNQEVEKEVAALAEKDTSSTNKKRKHAGFINQCFSLTKRSSINMYRDLGYYWLRLAIYVGLAISLATIFYDLGTSHRSIQVFERERLNGHYSVTAYVIGNTFSSIPFLLLVSLIPGSIAYYLPGLQKGFEHFVYFICALFSCLMLVESLMMIVASIVPNFLMGIITGAGIQGMMILSGGFFRLPSDLPKPFWKYPMFYFAFHRYAYQGLFKNEFEGLRFASDNGEGGSYITGEDILTNTWQVNMSYSKWVDLAILLGMIFLYRVLFLVNIKTTEKLKPIIVSFLSSRTSTKRTTSIMQNPNATPLQEVHVI >Vigun03g241000.1.v1.2 pep primary_assembly:ASM411807v1:3:40212343:40217211:-1 gene:Vigun03g241000.v1.2 transcript:Vigun03g241000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDHRRLRHAVVAWMVIALLGCEVGEALKVPFRVNDVLPVLPRQISWPVLNNLHSAVDLLPYFVGSLTPSNASIKWKGACFYDNTAKIELTPASHGAVLYVKTEAAHSWTCMDLYVFATPYRVTWDYYFASREHTLKFDSWEEPAELEYVKQHGVSVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNANLDFLKKHMGATFEKRSQPWRTYIDPADVHSGDFLAVSKIRGRWGGFETLEKWVTGSFAGHTAVCLKDELGNLWVGESGHENEKGEEIIVVIPWEEWWELALKDNSNPQIALLPLHPDIRAKFNNTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRLQPAYSANMWNEALNKRLGTEGLDLHDIIVETEKRGIPFDELLTIPERDEWEYSDGKSTTCVAFILSMYKEAGVFGPISSSIQVTEFTIRDAYMLRIFEDNQTRIPRWCNNENDKLPFCQILGEYRMELPGYNTLDPYANMNEYCPSLPPIYDRPSRC >Vigun06g234400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34022834:34024792:1 gene:Vigun06g234400.v1.2 transcript:Vigun06g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPALCGPVLNTSFLRKQPLNMVSMRAFQNANAMFGVEGGRGGRVTAMAAYKVKLITPEGEKEITCPDNEYVLDAAEEVGIDLPYSCRAGSCSSCAAKIVSGQVDQSDGNFLDDDQIDAGFVLTCVAFPTSDLVIQTHKEDELVS >Vigun02g119500.2.v1.2 pep primary_assembly:ASM411807v1:2:27210153:27215393:1 gene:Vigun02g119500.v1.2 transcript:Vigun02g119500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGNHSPRHSSDNHQPRRAARLTASSPWNQVVRGESEPVAVPPSSSPAAPYQVTEAFHSTVSTADDFSSAVESSDNGGAAKRPVWNKPSPNGAAASEAQPVIDALSWPALSVSTRAAMKSESAKGLLDGSSVPQLQVLGSASSSSSLQREVSDNTSTESTNSVASTRQKSVKNHSSNASSNGGQSPQASVAATGSHNSSPKDHTQRGGFVSNDHPQQRNSFRNRNGGPHQRGDGSHHHNYGNRRQEWNNNRSFGSRDTNVPPRVVPRFIRPPPPPNSTQFFHPSPMRPFGGHIGFHELSPPVVFVAAPPPPPDSLRSVPFVPPMPHHPLFFTGPDPQLQNKIVTQIDYYFRLCI >Vigun02g119500.1.v1.2 pep primary_assembly:ASM411807v1:2:27210153:27215393:1 gene:Vigun02g119500.v1.2 transcript:Vigun02g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGNHSPRHSSDNHQPRRAARLTASSPWNQVVRGESEPVAVPPSSSPAAPYQVTEAFHSTVSTADDFSSAVESSDNGGAAKRPVWNKPSPNGAAASEAQPVIDALSWPALSVSTRAAMKSESAKGLLDGSSVPQLQVLGSASSSSSLQREVSDNTSTESTNSVASTRQKSVKNHSSNASSNGGQSPQASVAATGSHNSSPKDHTQRGGFVSNDHPQQRNSFRNRNGGPHQRGDGSHHHNYGNRRQEWNNNRSFGSRDTNVPPRVVPRFIRPPPPPNSTQFFHPSPMRPFGGHIGFHELSPPVVFVAAPPPPPDSLRSVPFVPPMPHHPLFFTGPDPQLQNKIVTQIDYYFSNENLIKDTFLRQNMDDQGWVPIKLIAGFNKVMYLTDNIQMILEAVRNSSAVEVQGDKIRRRNDWRRWLMPPVQFSNATTPGESNPDILAEQVHNIALETSNYDGPGALEVLHDTSQQHRSTFGDLSSPLQLSTGEGTGQVGIQGSLHSIPARN >Vigun01g171900.1.v1.2 pep primary_assembly:ASM411807v1:1:35419494:35422898:1 gene:Vigun01g171900.v1.2 transcript:Vigun01g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTHSLSPTFQFHLLSQSTDTYFPPNHSSLRHATAALKLQKVYRSYRTRRRLADSAVVAEELWWQAIEYVRLNHSTISFFNLPETAASRWSRVKLNAGKVGKGLSRDSKAQKLAFQHWIEAIDPRHRYGHNLQYYHKEWCKSDAGQPFFYWLDLGNGKNLDLEQCSRSKLQKDCIKYLGPQEREQYEYIVREGKIINKHYGDALHTNENCDDAKWIFVMSTSKKLYAGKKKKGLFHHSSFLAGGATLAAGRLEAEHGILKSISAYSGHYRPTDDTLDGFLSYLKENGVKLEQVELRKPNEDSDIYEDNNNISEGAETVESIGKLHLAEISEGATNAPSLVKGNPQSETVTYARTLSGGLQSPKTVVPKTAILQRINSKKGSKSYQLGHRLSLKWSTGAGPRIGCIADYPTELRTQALEMLHLSPKLPPTPSYRQLGGLDLSSTS >Vigun01g171900.2.v1.2 pep primary_assembly:ASM411807v1:1:35419494:35422898:1 gene:Vigun01g171900.v1.2 transcript:Vigun01g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTHSLSPTFQFHLLSQSTDTYFPPNHSSLRHATAALKLQKVYRSYRTRRRLADSAVVAEELWSESMWQAIEYVRLNHSTISFFNLPETAASRWSRVKLNAGKVGKGLSRDSKAQKLAFQHWIEAIDPRHRYGHNLQYYHKEWCKSDAGQPFFYWLDLGNGKNLDLEQCSRSKLQKDCIKYLGPQEREQYEYIVREGKIINKHYGDALHTNENCDDAKWIFVMSTSKKLYAGKKKKGLFHHSSFLAGGATLAAGRLEAEHGILKSISAYSGHYRPTDDTLDGFLSYLKENGVKLEQVELRKPNEDSDIYEDNNNISEGAETVESIGKLHLAEISEGATNAPSLVKGNPQSETVTYARTLSGGLQSPKTVVPKTAILQRINSKKGSKSYQLGHRLSLKWSTGAGPRIGCIADYPTELRTQALEMLHLSPKLPPTPSYRQLGGLDLSSTS >Vigun08g206400.1.v1.2 pep primary_assembly:ASM411807v1:8:36948768:36953417:-1 gene:Vigun08g206400.v1.2 transcript:Vigun08g206400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLREYFGKYGEVIEAVIMRDRTTGRARGFGFVVFSDPVVAERVIMDKHIIDGRTVEAKKAVPRDDQITVNRQSGTIQGSPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLVGFNYGLNRTGSLLNSYAQGFNMSPIGNYGVKMEGRFSPLTSARSGFTPFGSNGYGMGVNLDSGLNPSYGGTSGYGGSLGYGRINPLYNGNPNRYTTPIGNSGGSGRSDALMNSASRSVWGNGGLNSATNNPASPGNYLASGSGAFGVSIGNSGANWGSSVPTQGGGAASGYSTWGNTYEGGDNSIGLGGGGYGRNSSPSVPQSSTFTAPTGGYEGSYGDLYRSGSVYSDSTWQSAASEIDASGSFGYGGLGGIASDDPVKSSEGFIGNYNVISRQTNRGIAA >Vigun08g206400.2.v1.2 pep primary_assembly:ASM411807v1:8:36948768:36953417:-1 gene:Vigun08g206400.v1.2 transcript:Vigun08g206400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLREYFGKYGEVIEAVIMRDRTTGRARGFGFVVFSDPVVAERVIMDKHIIDGRTVEAKKAVPRDDQITVNRQSGTIQGSPGPGRTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPSRSPLVGFNYGLNRTGSLLNSYAQGFNMSPIGNYGVKMEGRFSPLTSARSGFTPFGSNGYGMGVNLDSGLNPSYGGTSGYGGSLGYGRINPLYNGNPNRYTTPIGNSGGSGRSDALMNSASRSVWGNGGLNSATNNPASPGNYLASGSGAFGVSIGNSGANWGSSVPTQGGGAASGYSTWGNTYEGGDNSIGLGGGGYGRNSSPSVPQSSTFTAPTGGYEGSYGDLYRSGSVYSDSTWQSAASEIDASGSFGYGGLGGIASDDPVKSSEGFIGNYNVISRQTNRGIAA >Vigun09g033050.1.v1.2 pep primary_assembly:ASM411807v1:9:2855445:2856218:1 gene:Vigun09g033050.v1.2 transcript:Vigun09g033050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITISELKFTSFPFLFFSFLFFRSYIKCIYESHSFNGGLFQFFTSTLNSLKIFSFVTAIIIQRIP >Vigun05g018000.1.v1.2 pep primary_assembly:ASM411807v1:5:1454209:1458189:-1 gene:Vigun05g018000.v1.2 transcript:Vigun05g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSTVVLGVCPRHSSKPRLKLRFRIRSGCCATVTNMAFTETPSASKVIDSHLHVWASPQEAGRFPYFPGQEPTLQGNADFFLQCMEEAGVDGALIVQPINHKFDHSYVTSVLKKYPTKFVGCCLANPADDGTGLKQFEDLVLKDGYRAVRFNPYLWPTGEKMTNKVGKAIFQRAGELNVAVGFLCMKGLGLYISEIEQLCTEFPSTVVLLDHLAFCKPPTNDEEALVFSQLLNLSRFPQVHVKFSAFFRVSRGQFPYLDLSVLLSQVVSHFGANRVMWGSDFPYVVAECGYKGAKEAVHHIANEISLPLSDLEWIMGKTATQLFQNQLTPLKN >Vigun05g018000.2.v1.2 pep primary_assembly:ASM411807v1:5:1454209:1458189:-1 gene:Vigun05g018000.v1.2 transcript:Vigun05g018000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSTVVLGVCPRHSSKPRLKLRFRIRSGCCATVTNMAFTETPSASKVIDSHLHVWASPQEAGRFPYFPGQEPTLQGNADFFLQCMEEAGVDGALIVQPINHKFDHSYVTSVLKKYPTKFVGCCLANPADDGTGLKQFEDLVLKDGYRAVRFNPYLWPTGEKMTNKVGKAIFQRAGELNVAVGFLCMKGLGLYISEIEQLCTEFPSTVVLLDHLAFCKPPTNDEEALVFSQLLNLSRFPQVSV >Vigun05g018000.3.v1.2 pep primary_assembly:ASM411807v1:5:1454937:1458189:-1 gene:Vigun05g018000.v1.2 transcript:Vigun05g018000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSTVVLGVCPRHSSKPRLKLRFRIRSGCCATVTNMAFTETPSASKVIDSHLHVWASPQEAGRFPYFPGQEPTLQGNADFFLQCMEEAGVDGALIVQPINHKFDHSYVTSVLKKYPTKFVGCCLANPADDGTGLKQFEDLVLKDGYRAVRFNPYLWPTGEKMTNKVGKAIFQRAGELNVAVGFLCMKGLGLYISEIEQLCTEFPSTVVLLDHLAFCKPPTNDEEALVFSQLLNLSRFPQVSV >Vigun07g009400.1.v1.2 pep primary_assembly:ASM411807v1:7:799885:801473:-1 gene:Vigun07g009400.v1.2 transcript:Vigun07g009400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding AFDETKLGVKGLVDEGITKIPCIFHHPRDNFKRVSESGHKDYTIPIIDLGRIHGDGGERKRVVERVKEASQTWGFFHIVNHGIPVNTLEEMLDGVVRFFEQDSEVKKKFYTRDKKPFIFNSNFNLYSNAPANWKDTFICDLTTHLPTLGHLPSVCRDIIVEYTNQVSKLGTLLFELLSEALGLDPSYLADIGCNGGMYVHVDVDFITVLLQNQIGGLQVLHEDMWIDLPPLPEALVVNIGDFLQLLSNEKFKSAQHRVLVNRVSPRAFVACFFTTSSHSNARIYGPIKELLSEENPAKYREFSVPEFLAHYRKCANQIPPMLHFRI >Vigun08g224500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38219517:38220696:-1 gene:Vigun08g224500.v1.2 transcript:Vigun08g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGENRSFFGAGKASLPVSRSDSNSNLNEGGLRRRLSSLSLKIQGSTVAGGGGASYWSFPRSKSLSSMSDYAGTSIRKWWDWSWAWILSRKPIFVADLEMNHQETKLLGSHNRGTLRHVIYKMRSEIRRFVASSDHATLPQTSS >VigunL067866.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:473482:474295:-1 gene:VigunL067866.v1.2 transcript:VigunL067866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTSCQERRGGRMGIVSCDKILPSSSTLGWHNLQIPTHPVTRKGVVSDEMLRGVENKHRSGDSQYRSTF >Vigun07g036900.1.v1.2 pep primary_assembly:ASM411807v1:7:3550354:3555157:-1 gene:Vigun07g036900.v1.2 transcript:Vigun07g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRLSSSEPSSSVVKEDTQNKPQEKPNLADPPIAPPKWGLLLKLSLLVIPYLYLLFYYYPIEPELRRSILINAGMSLAGLFVTIKVIPVASRYVQKRNLFGYDINKKGTPQGNVKVPESLGIVVGIVFLVVAILFQYFNFTADSNWLVEYNAALACICFMTLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVAHIGIEILDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASTDPEYKQAHAFSIYLVQPLLATSLGLLSYNWYPSSVFVGDTFTYFAGMTMAVIGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPRFDPQTGLLTGTNDGTLVNFFLRNLGRKSEKAICIYLLAFQAIACCFCFLLRHFLAGWYK >Vigun11g120800.1.v1.2 pep primary_assembly:ASM411807v1:11:32699672:32702509:1 gene:Vigun11g120800.v1.2 transcript:Vigun11g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGALWLMLLPLVVAVVIATNTNSVYQPCADAKIQRSDGFTFGIAFSSRESFFFNQSLQLSPCDHRLSLSSSNSQLALFRPKVDEISLLTINTSSFFPDNYGGYMVAFAGRKYAARSPLAFVANSTYTVTSFTLVLEFQKGRLQNLYWKRDGCSSCKGKSNFVCLNKQDCGIRTSSCKGRGGAVDCSLGIQLAFSGTDKHLSVLNSWYEVENLRQYSLYGLYSNLRDSLTSQYNKFF >Vigun11g120800.2.v1.2 pep primary_assembly:ASM411807v1:11:32699672:32702509:1 gene:Vigun11g120800.v1.2 transcript:Vigun11g120800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGALWLMLLPLVVAVVIATNTNSVYQPCADAKIQRSDGFTFGIAFSSRESFFFNQSLQLSPCDHRLSLSSSNSQLALFRPKDNYGGYMVAFAGRKYAARSPLAFVANSTYTVTSFTLVLEFQKGRLQNLYWKRDGCSSCKGKSNFVCLNKQDCGIRTSSCKGRGGAVDCSLGIQLAFSGTDKHLSVLNSWYEVENLRQYSLYGLYSNLRDSLTSQYNKFF >Vigun03g265900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43589135:43589788:1 gene:Vigun03g265900.v1.2 transcript:Vigun03g265900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWTQRQNKEFEKALAKYDIETPDRWQNIANEVGKSVEEVKRQFEILKEDVRRIERGQVPFPYRTNNSNTN >Vigun08g093400.2.v1.2 pep primary_assembly:ASM411807v1:8:21939137:21940275:1 gene:Vigun08g093400.v1.2 transcript:Vigun08g093400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLEKNSIFQTLSASKNKQSANMENRSFISKGVVITVYVESPRTRSIKPSEDPRNKTKPHPSFRKPQITRSQSSLGYDRRAHLLAYSRKLRENAQSQKNVQVQLPHNHSRPRSKKRLLSAEPVRVCSPSTLMPPTSSTHVEYECVEQKERERGGNKCCTILRKLKGMLKTFSCKEIEC >Vigun03g200866.1.v1.2 pep primary_assembly:ASM411807v1:3:29968294:29968903:1 gene:Vigun03g200866.v1.2 transcript:Vigun03g200866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEHNYQIKKITSLFALSITFPSPWFHVIHHDLSTEKWRFWTVLAIARKENSTVKVVVIIDGNSVQVLGCFGDTVGGYAIYSEV >Vigun06g166900.1.v1.2 pep primary_assembly:ASM411807v1:6:28894296:28898946:1 gene:Vigun06g166900.v1.2 transcript:Vigun06g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFSCCASLACGLCTSTASCISQKSARIGYCGLFGASLVVSWILREVGAPLLEKFPWIGASDTHTDEWYQVQAVLRVSLGNFLFFGFLALIMIGVKDQNDRRDSWHHGGWTAKIVVWLLLLVLSFFLPDIIILVYGFIAKFGAGLFLLIQVIILLDFTHSWNDAWVEKDEQKWYIALLAVSVGCYIAAFTVSGILFIWFNPSGYDCSINIFFLVMTMILAFVFAIIALHPKVNGSLLPASVISLYCAYVCYTGLSSEPRDYECNGLNKSRAVSTGTLVLGMLTTVLSVLYSALRAGSSKTFLSPPSSPKFGESKPLLEEVEEGKTKEEKEARPVSYSYSFFHLIFALASMYSAMLLSGWTSTSERSDLIDVGWTSVWVRIGTEWVTAALYIWTLAAPLLFPDREFA >Vigun08g141400.1.v1.2 pep primary_assembly:ASM411807v1:8:31379005:31380542:-1 gene:Vigun08g141400.v1.2 transcript:Vigun08g141400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTIGVAMDFSPTSKLALRWAVDNLINHGDQIILINVQPPQAHHTRKELFEDTGSPLVPLEELRELNFTKQYGIARDPEVIDMLDTASKTKGAKAVAKVYWGDPREKLCEAVEDLHLDSLVLGSRGLGPIKRVLLGSVSKHVMTNASCPVTVVKAKQSSNSRH >Vigun11g159000.1.v1.2 pep primary_assembly:ASM411807v1:11:36689785:36693821:1 gene:Vigun11g159000.v1.2 transcript:Vigun11g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNNGSKSSRWSLQGMTALVTGGSKGIGYAIVEELAQLGATVHTCARNEAELSESLNKWTTKGYRVTGSVCDVASRLEREELIARVSSQFNGKLNILVNNVGTNIPKQTLDFTAEDFAFLMNTNLESCFHLSQLAHPLLKASEAASIIFMSSVSGLVATNIVSVIYSATKGAINQVTKNLACEWARDNIRTNCVAPGPIRTPLGEKVFKEEKVRHGLISGIPLGRIGEAEEVSSLVAFLCLPAASYITGQTIYVDGGFTVNGLHVF >Vigun01g165850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34774518:34775374:1 gene:Vigun01g165850.v1.2 transcript:Vigun01g165850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRQDIVILLCLNLLGILLFWFSFICFHPFLIRMNSRPQSCPSLHGFPNSSHLIPFTEIAKLLAANVVSVTVFLTPLNAARLNNVNANLADVC >Vigun03g097600.2.v1.2 pep primary_assembly:ASM411807v1:3:8275951:8278287:-1 gene:Vigun03g097600.v1.2 transcript:Vigun03g097600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLAKSKGSGEVLDHNDERVGEDFNQLVEGNAIDAGGGHNLGESVSVSGEDVTTENMCKGLGLNVELKDGLQEDNDQNLGGPQEINDTLHGVDQGTSYSSRNLISGEVPETCVVIDPSAQIDCVSGENRTLEAKPNDSGLSKVSKKVTKGVSDIDKNSCVIDINRGSCDGFSENLEGELICRICHLASGQPLEAEAVGTVSSATTTNTDLIQLGCACKDELGIAHSHCAEAWFKIKGNSWLLHVARCCFTFLLVTSQTGEPYHPYYAP >Vigun03g097600.1.v1.2 pep primary_assembly:ASM411807v1:3:8274181:8278287:-1 gene:Vigun03g097600.v1.2 transcript:Vigun03g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLAKSKGSGEVLDHNDERVGEDFNQLVEGNAIDAGGGHNLGESVSVSGEDVTTENMCKGLGLNVELKDGLQEDNDQNLGGPQEINDTLHGVDQGTSYSSRNLISGEVPETCVVIDPSAQIDCVSGENRTLEAKPNDSGLSKVSKKVTKGVSDIDKNSCVIDINRGSCDGFSENLEGELICRICHLASGQPLEAEAVGTVSSATTTNTDLIQLGCACKDELGIAHSHCAEAWFKIKGNRLCEICGETAKNVSDVADHVLMEEWNDTRFMGTDDTSSRRFGGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >Vigun05g227200.2.v1.2 pep primary_assembly:ASM411807v1:5:42003653:42008513:1 gene:Vigun05g227200.v1.2 transcript:Vigun05g227200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVESREWYLAAYSPEGVPTSDHLKLRTVTLSLASHSIPDHHVSLQILFISVDPYLRTRLTGTDDGLFIQQYQLNQVITAYAVAKVVGSKDSKYSEGDLVLAPSAPVAQYCIVPSSSILRKIDSATGISLPDYLSALGVPGFAAWVGIVVLGDPKPGSNVFISAASGAVGMSAGQLAKIRGCRVIGSTGSDEKVKLLKEEFGYDDGFNYNKESDYDAALSKYFPDGIDVYLDNVGGKMLESVLNHVNKHARIPLCGMISQYNKVWTEREGVRNLLNMVGKQVRMEGFMLESYGNRFGDFAKDMEGYIKEGKVTSKNKINVGIESFLDSLGSLFTSSNIGKVVVQVNN >Vigun05g227200.1.v1.2 pep primary_assembly:ASM411807v1:5:42003641:42008513:1 gene:Vigun05g227200.v1.2 transcript:Vigun05g227200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVESREWYLAAYSPEGVPTSDHLKLRTVTLSLASHSIPDHHVSLQILFISVDPYLRTRLTGTDDGLFIQQYQLNQVITAYAVAKVVGSKDSKYSEGDLVLAPSAPVAQYCIVPSSSILRKIDSATGISLPDYLSALGVPGFAAWVGIVVLGDPKPGSNVFISAASGAVGMSAGQLAKIRGCRVIGSTGSDEKVKLLKEEFGYDDGFNYNKESDYDAALSKYFPDGIDVYLDNVGGKMLESVLNHVNKHARIPLCGMISQYNKVWTEREGVRNLLNMVGKQVRMEGFMLESYGNRFGDFAKDMEGYIKEGKVTSKNKINVGIESFLDSLGSLFTSSNIGKVVVQVNN >Vigun04g155301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:37569512:37572547:-1 gene:Vigun04g155301.v1.2 transcript:Vigun04g155301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSERETLLKLKDDLTDLTNRLSSWNATSNPNCCQWDGVVCNNITSHVAELHLYTPLPTFDEGFGYAFNEAYVQAKEEFTASQFGGEINPCLVDLKHLNYLDLSGNDFQNTPIPSFITKMTSLTYLNLSRAGFMWDIPPQIGNLSNLLILDLSYVAGGTIPSQVGNLSNLIYLGLTNVFIENIDWLSNLSKLKYLHLEGAKLDQTVDWLHTLETLPSLTNLHLRLCTLPHYNQPSFLNSSLLTLDLSQTNYYPLSSFVPEWVFGLKKLVSLTLSYNEFEGPIPNGFQNLTLLENLDLSGNSFSSIPDWFYGNFRHLMFLYLRDNKWHDTISDALGNMTSLVELDLSHNQLEGPLPTSLSNLCNLRKIDFSYLKLNQQANEILDILTPCISHGLTTLKVRGSQLSGHLTDQFNGFKSIVTLYLQENKISGELPRSFGKLSSLRFLGLFQNQFSGHPFESLRSLSKLSYLDISHNHFEGVVTEDHLANLTSLIEFRASRNNLTLTVDPSWHPTFQLTRLDMNSWQLGPNFPSWIQSQNKLRYLAMSNTGILGSFPTGFWETFSQLSFLNLSHNHIYGELEATLRNPISVIVVDLSANNLSGKLPFLSNIVGSLDLSSNSFSQSMDDFLCQKQHWSMKLSFLHLASSNLSGEIPDCWGIWPYLVDINLRNNNFVGNLPPSIGSLVELNSLSLRNNSLSGTFPNILKNTSKLTCLDLGENNFSGTIPSWIGERLINMKVLILRSNRFLGYIPQKICDMSSLQVLDLAQNNLIGNIPTCFNNLKAMTHMNTNPLIYSNAVNYTSEYSYDSIISVLLWLKGREDEYRNILGLVTSIDLSNNQLVGEIPKEITNLIGLEFLNLSHNQLIGHIPQSIGDMRSLLSIDFSRNQLFGEIPQTLSNLNFLSTLDLSYNHLKGKIPTGTQLQTFAASNFIGNNLCGPPLLINCTSNATTDNFDHNGKGSDRHEVNWFYISMTIGFVMGFWIVIGPLFISRSWRHTYFHFLDNVRFKLQSIF >Vigun02g122000.1.v1.2 pep primary_assembly:ASM411807v1:2:27415517:27418464:-1 gene:Vigun02g122000.v1.2 transcript:Vigun02g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTAAVSFPASKSTSLPTRTSITAPDRIFFKKVSLQYKDVSVSGRVVSVRAQVTTEAPAKVEKESKKQDEGVVVNKFRPKDPYVGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTDEKGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPENFRLDFAVSREQTNEKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEQGIDEIMVSLAAKDGIDWFDYKKQLKKAEQWNVEVY >Vigun11g060632.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:13317788:13321348:1 gene:Vigun11g060632.v1.2 transcript:Vigun11g060632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELITGALVSTFVESTIDNLASRFGDIFRGKKRNKKQLSNLKVKLLSVDVVVDDAEQKQFTDPRVRDWLLAVKDVVFDSEDLLEEIDHALSKSQVEAESQSATKKVWISLKSSFATFFENEIETRMEKLIEDLEDLATQSHVLGLKKVDDDDVGVGSGLSSKLSSTYLPRESFIYGRDDDKKFVFKWLTSHTHNNLSILSIVGMGGVGKTTLAQHVFNDPRMDEAKYDVKVWVCVSDEFDVFKVSRAILEDVTASIDDSRDTEMVHKRLKEKLSEKKFLILDDVWNENHSKWEEVKKPLVFGAQGSSILVTTRSKEVASTMRSEEHFLQQLHEYHSWKLFAKHAF >Vigun03g288300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47054907:47058272:1 gene:Vigun03g288300.v1.2 transcript:Vigun03g288300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFQPSCKRDGIEGQILDLDTAVKDGVLGGVDSGVVGTGVGEKLDLGRMIEELDLCEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNTTLYRLISTWFSQKYLVMKKRSEDVHGRVSELVETLKKVKGQARVLALKELHQVVGAHATARKALVDGDGVSVVSALLGPFTSHAVGSEVIGVLVSLNLDCESKRNLLQPARVSLMVDILNEGSIETKINCTRLIETLIEGKDFKLEIFRSHSLLVGLMRLVKDKRHSNGICPGLRLLRTVCLHSEVKILLVSIGAVSQLVELLSGLEPECLELGLSILDALASVPEGRIALKNCSNTIHVMVKLLMRVSENCTQYALSILWSVCKLAPDECSLIAVEAGLAAKLLLVIQSGCNPILKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >Vigun03g288300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47055039:47058272:1 gene:Vigun03g288300.v1.2 transcript:Vigun03g288300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFQPSCKRDGIEGQILDLDTAVKDGVLGGVDSGVVGTGVGEKLDLGRMIEELDLCEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNTTLYRLISTWFSQKYLVMKKRSEDVHGRVSELVETLKKVKGQARVLALKELHQVVGAHATARKALVDGDGVSVVSALLGPFTSHAVGSEVIGVLVSLNLDCESKRNLLQPARVSLMVDILNEGSIETKINCTRLIETLIEGKDFKLEIFRSHSLLVGLMRLVKDKRHSNGICPGLRLLRTVCLHSEVKILLVSIGAVSQLVELLSGLEPECLELGLSILDALASVPEGRIALKNCSNTIHVMVKLLMRVSENCTQYALSILWSVCKLAPDECSLIAVEAGLAAKLLLVIQSGCNPILKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >Vigun07g237100.1.v1.2 pep primary_assembly:ASM411807v1:7:35904981:35907018:1 gene:Vigun07g237100.v1.2 transcript:Vigun07g237100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARVPLLLLLGVLFLASLSVSFGIVHREHQESQEESEPRGQNNPFYFDSDRWFHTLFRNQYGHLRVLQRFDQRSKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSYILEQGHAQKIPAGTTFFLVNPDDNENLRIVKLAVPVNNPHRFQDFFLSSTEAQQSYLQGFSKNILEASFDSDYKEINRVLFGEEEQKQQDEESQQEGVIVQLKREQIRELMKHAKSTSKKSLSSQNEPFNLRSQKPIYSNKFGRLHEITPEKNPQLRDLDVFLTSVDMKEGGLLMPNYNSKAIVILVVNKGEANIELVGQREQQQQQQEESWEVQRYRAEVSEDDVFVIPASYPVAITATSNLNFIAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPASGEKVEKLINKQSDSHFTDAQPEQQQKEGRKSE >Vigun05g223000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41500868:41501725:1 gene:Vigun05g223000.v1.2 transcript:Vigun05g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILCFLTIMEPRFTNFLTSFLQPTFKCIYLNTPKVIIITYNTFTFTLLGMAGIGKGMEFEDLLPVIAGKLGGEGLIDELCKGFQVLMDKEKGVITLESLRKNSATMGLQDFKDDELASMMREGDLDGDGALSQMEFCVLMFRLSPQLMQDSWFWLQQALHHNNNASL >Vigun08g212900.1.v1.2 pep primary_assembly:ASM411807v1:8:37413027:37413672:1 gene:Vigun08g212900.v1.2 transcript:Vigun08g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSTSVFCLAIVLLLTVAAMKPVNGFSCVQAKLSLLTCLPFLTTNQESLSSACCNAVRNVRASAPTKSELREACDCLKSTANETPNLNKDKVVQLPKLCNVDVGFPISKDIDCSTISL >Vigun02g203300.1.v1.2 pep primary_assembly:ASM411807v1:2:33705857:33718419:1 gene:Vigun02g203300.v1.2 transcript:Vigun02g203300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSSRSANSKRSFSNSISSNRSNDSTKKNTKRKKTITNQKTLGAAWGSNSSFRASSRNSAFSGFASYMTEKNRKLHNQFDAEASTSSLSGSTSGKPIFSGVSIFVDGFTIPSSQELRSYMLKYGGRFENYFSRHRVTHIICSNLPDSKVKNLRAFSAGLPVVKPTWILDSVAANRLLSWVPYQLDQLANKQSKLSAFFTLKSSKISEDALTNSLRQVVSDVEDSSMRVGQTDYEDRNLSKVGEMSEHIGQISTASDDIENSKAIMTEEPSSVRVKFDEDQAARSNAATEDGSNVKSELEPTDQAPSTSVSSHCSDEQNAREFPSSSGTKPFKQCHSTFADPNFVENYFKSSRLHFIGTWRNRYRKRFSALSSGIKNESSNISASNTSHNSVIIHVDMDCFFVSVVIRNHPELLDQPVAVCHSNNSNGTAEISSANYPARSHGIRAGMFVRDAKALCPNLVIFPYNFEAYEEVADQFYCILHQHCNKVQAVSCDEAFLDVTDSEVEDPKLLASSIREEIYKTTGCTASAGIAGNMLMARIATRTAKPNGQYHITTEKVEDHLCQLPINSLPGIGHVLQEKLKKQNIYTCGQLRIISKASLQRDYGIKTGEMLWNYSRGIDNRLVGNFQESKTVGADVNWGVRFKDIKDCEHFLMSLCKEVSLRLQCCEVQGRTFTLKIKKRRKGADEPAKFMGCGDCENLSHSVTVPLATDNVEILQRIVKQLFGCFYIDVKEIRGIGLQVSKLESAEASKKGTTKYTLKSWLTSASAGVGDQTYPIGHDKHSRDNTPSPACVNLPESSVEIDNKIPTSEASTDPISTPPPLCNLDIEVIRNLPSDVFSELNEIYRGKLVDYIIANSKNTSENSSLSGNSCLEKKAINNEEELSCSEPIPQDNLLSKNKAKQYVSGTSEGEAIPYSACGPSFKVTHHSSFENNDLLPSSLSQVDGSVFQQLPEDLKADIVEQLPAHRRPDICSTVVIPPLENHSLSVGVENSDNSPIYSYNNDSLWVGNPPNWVRKFKSSSCLILKKLAEMYLRSGLANTLSSVLHQIISEFYELNLAQQFSDETVDIMCELLKQYIKVKIERDIEEIYICFRLLKRFAAKSQFFLQVYDSVYPYLQAAVDDNYGGTLLLPS >Vigun02g073400.1.v1.2 pep primary_assembly:ASM411807v1:2:22485128:22487153:-1 gene:Vigun02g073400.v1.2 transcript:Vigun02g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAVLVIQHPCGKIERLYWPVTASEVMRTNPGHYVSLIIPLPVPPQDQNQDQKTVRFTRVKLLRPNETLNLGHAYRLITTQEVMKVLKAKKHAKTRKPQAETVEKAHTAQPEKQSSASEPHTGGDSTHQGTRAERQRPRVASANPAVVRSKSWRPSLQSISESAS >Vigun11g083166.1.v1.2 pep primary_assembly:ASM411807v1:11:24725327:24726277:1 gene:Vigun11g083166.v1.2 transcript:Vigun11g083166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYSMVFSWMTQNLQVFFQPTISSARIEMEIVIPGSEIPKWFNKQSASTSISMDPSDVIDDPNWIGVAICVLFVTHQDPMNLGERDDDHLSTFSFGVNNSKRRVYIGVPIYFKKDLVTVGLDHLVKVFYSRQQFIHLLSTPPNTMHDLYQTEFGTLIHHPKGLRIVVKKCGYRWVFKEDLQQFNSNMFFSTNSSSPKRKLLTRD >Vigun07g038960.1.v1.2 pep primary_assembly:ASM411807v1:7:3770408:3770601:1 gene:Vigun07g038960.v1.2 transcript:Vigun07g038960.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLWEFLLFILLYLNLQTLVLYQITSSVKGIILLCVHSTNYSILISCSYLCV >Vigun09g226700.1.v1.2 pep primary_assembly:ASM411807v1:9:39881165:39888097:-1 gene:Vigun09g226700.v1.2 transcript:Vigun09g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDNILDVIYEEGDLDDMDGDVDMADVEEGELVEPDSKNVLGQSSAGDVNEANEETLSKNRRRRANKKKNKKKRKGSGSNATDIDRFVLDTCRRLKEKKSYMVYTAVGCLGISALSDLVNEVDAIQACGGQRTADGRRFRTGGGILWSILKVREPKVFKEIMKKTKEFEKQFKQPNVTQRPVPKKDDSSQGVPFSFSGRDQANVLDSNFHVSQLQNQHEPAPSEEKPISVHDRLRIPVSYDDDLLGENPVNDAT >Vigun05g025350.1.v1.2 pep primary_assembly:ASM411807v1:5:2061495:2061913:-1 gene:Vigun05g025350.v1.2 transcript:Vigun05g025350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PKTCDCLAVAFVSNLWKVSSHNCNKALIAYSCHFIPHSCCIAFGSTDTCMHESLLEAQYNFCVRNHQESFRLEFKILSAFFYLYII >Vigun02g099300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25441114:25443172:1 gene:Vigun02g099300.v1.2 transcript:Vigun02g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYLFSHKTMSFEICIVPFWGQGHLFPCIELCNRLTSRNVNITLIIPSTINTSLPSSLLQHPLIRIAQFPPPPPSDDNLAPELERILANNPTRPVCAIVDIMMTSCSTPVFTKFHIPMVAFFTSAACSAAMELATWKAQPLNLEPGETRLLPGLPENMAITHSDLKPRPHHPHPPPMVGASLHCGGATRFPPPPGKGPPHHGGGFGFPPPPGKGPPKLGGPPPWLDDVRESSAVIINTCDDLERPFIKYITNHIEKPVWGVGPLLPEQYWNSTASPLLDRDVRANRRFSVTEEDVTNWLDSKPRGSVLYVSFGTEVGPTSEENEELGKALESCEEPFIRVIQEGSSSEEGYFGNRGMIIRGWAPQLLILSHPSTGGFLSHCGWNSTVEGVARGVPFMAWPIRGDQYHDAKLVVSHLKVGYMVSDDMSEKITKDHIVRGIEKLMGDKEMKRNAEILSAKFQHGFPGSSVQTLDDFIHHIKH >Vigun03g057300.1.v1.2 pep primary_assembly:ASM411807v1:3:4639187:4639623:-1 gene:Vigun03g057300.v1.2 transcript:Vigun03g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTILGFMLLLVLIFTSNVSVKRTEATLCTVPSKTFKYLCFSDTQCNSVCKTEGFDSGKCEGVLHRCMCTKNC >Vigun09g126433.1.v1.2 pep primary_assembly:ASM411807v1:9:28025620:28027119:1 gene:Vigun09g126433.v1.2 transcript:Vigun09g126433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRDHLFRSRSPAPTLLLRLQACEFSSSHSSFSSSSSSTSAPNHSRDVRVSVWWDFQSCAVPDDVEASKVAPAIEKAVRANGIKGPLHITAFGNPHLLPKPNQEALASSGIQVIHIPGGGTNSVNILVDIMFWVKKNPPPAHLFLISGDGDFGCILHRLRMNNYNILLATPGKAPAVLFSAATIMWQWPSLLKGKNLIGNISTILLMVHLVLGTEILRCHLKTKFPKLKQDP >Vigun09g124700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:27744589:27745816:1 gene:Vigun09g124700.v1.2 transcript:Vigun09g124700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEIPSGGTPPSKKEVQLQGPRPPPLKVRKESHKIKKPPPHPAAHHHSHALPEQNQPQREPVIIYSVSPRVIHVNPGDFMDVVQRLTGAASGEDPPVRAGDVSPAARLASIEKTSPSEREKVLHSGNDDLMWMLDEGVEVGQFPGILSPEPGTLPPIPAVFSPAREALTASYWNEFSPSYWSPASSFLASPSGFLPMAVVSPQPSPNLFSLFD >Vigun03g202100.1.v1.2 pep primary_assembly:ASM411807v1:3:31092832:31095054:-1 gene:Vigun03g202100.v1.2 transcript:Vigun03g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKFNPRNHLIYGQHRCGKGRNARGIITAWHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIEDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >VigunL055700.3.v1.2 pep primary_assembly:ASM411807v1:NBOW01000400.1:49005:55345:1 gene:VigunL055700.v1.2 transcript:VigunL055700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >VigunL055700.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000400.1:49997:55359:1 gene:VigunL055700.v1.2 transcript:VigunL055700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >VigunL055700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000400.1:47884:55345:1 gene:VigunL055700.v1.2 transcript:VigunL055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTVLSLAGAGTVLTVIFSGELGHLKAKCSPRPRKVFSRWCIKELYLQQHSINGFKSKKQNSLSSFAFGKKAEDSFFSDVNEDTDDMYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASIASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDQAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >Vigun08g175200.2.v1.2 pep primary_assembly:ASM411807v1:8:34534356:34538204:1 gene:Vigun08g175200.v1.2 transcript:Vigun08g175200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFRFSIFFMLWACMVLSAESECKRDCDLALASYSLTGGDLTYVSKLMKSEVLSKPEDILPYNSETIKNKDQVPAFTRVNVPFPCDCIGDFLGHVFQYDVVSGDTYTSIATNYSDLTTAQLLQSFNTNSQTGVPDTATVNVFVNCSCGNSEVSKAYGLFITYPLRTEDSLQSIANKTGIESDLLLKYNPGVNFSQGSGLVYIPGKDQNGAYVPLHQSTGGLALRVIAGISGGVVTALLLLAICGYVTYYRRKKVWKRNLLKEEFTMNSARIMNDEACDVPDVEGGANTIRVDNSGEFSYEELANATNNFSLANKIGQGGFGKVYYAELNGEKAAVKKMDMQATREFLAELKVLTRVHHLNLVRLIGYCIESSLFLVYEYIENGNLGQHLRRSDFNPLPWSSRVQIALDSARGLQYIHEHTVPVYIHRDIKSENILIDKKLCAKVADFGLTKLIDVGSSSLLTANMKGTFGYMPPEYAYGNVSPKIDVYAFGVVLYELISAKEALITGGVHGAELKGLVSLFDAAFDQQDPTEGLKKLVDPRLEDNYPIDSVIKMALLGKACTENDPQQRPNMSSVVVTLTALTSNSEDWDIASIIENPTLANLMSGK >Vigun08g175200.1.v1.2 pep primary_assembly:ASM411807v1:8:34534356:34538204:1 gene:Vigun08g175200.v1.2 transcript:Vigun08g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFRFSIFFMLWACMVLSAESECKRDCDLALASYSLTGGDLTYVSKLMKSEVLSKPEDILPYNSETIKNKDQVPAFTRVNVPFPCDCIGDFLGHVFQYDVVSGDTYTSIATNYSDLTTAQLLQSFNTNSQTGVPDTATVNVFVNCSCGNSEVSKAYGLFITYPLRTEDSLQSIANKTGIESDLLLKYNPGVNFSQGSGLVYIPGKDQNGAYVPLHQSTGAGLALRVIAGISGGVVTALLLLAICGYVTYYRRKKVWKRNLLKEEFTMNSARIMNDEACDVPDVEGGANTIRVDNSGEFSYEELANATNNFSLANKIGQGGFGKVYYAELNGEKAAVKKMDMQATREFLAELKVLTRVHHLNLVRLIGYCIESSLFLVYEYIENGNLGQHLRRSDFNPLPWSSRVQIALDSARGLQYIHEHTVPVYIHRDIKSENILIDKKLCAKVADFGLTKLIDVGSSSLLTANMKGTFGYMPPEYAYGNVSPKIDVYAFGVVLYELISAKEALITGGVHGAELKGLVSLFDAAFDQQDPTEGLKKLVDPRLEDNYPIDSVIKMALLGKACTENDPQQRPNMSSVVVTLTALTSNSEDWDIASIIENPTLANLMSGK >Vigun01g050900.1.v1.2 pep primary_assembly:ASM411807v1:1:8255193:8261235:-1 gene:Vigun01g050900.v1.2 transcript:Vigun01g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLCLATLIFVSITHWVYRWRNPSCNGKLPPGSLGFPLLGESLQFFTPYTSSDINPFIKQRMNRYGPIFKTGFAGRPTVVSTDPKFNHLIFQQEGEMFKSWYPDTLNEILGKQNFSSLHGVVFKYVKNMVFKMFGPEGLKRMLSEVDQKACTTLQQWSCQDAVELMDATKRMIFGLTAKKLLSCDSKETLESLMANFVAFMEGLISLPLYIPGTPYYKCLQGRKRAMKKLKKMLQERREKPRKEESDFFDYVVDELSKEGTLLNEEMALDLMFTLLFASFETTSLALTYAIKALSDHPSVLKRLEEEHEGILKGREDPNSGLTWQEYKSMTFTLQVINETIRLANIVPGIFRKTLQDVKYGGYTIPEGWAIMICPPAVHLNPTLYEDPLSFNPWRWEGMEMSGASKHFMAFGGGMRFCVGADFAKVQMAVFLHNLVTKYKWRPIKGGNIVRNLGLRFPDGFYVRITEKDPWKYESE >Vigun05g296400.2.v1.2 pep primary_assembly:ASM411807v1:5:48126898:48130805:1 gene:Vigun05g296400.v1.2 transcript:Vigun05g296400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAANRFNEIRHIFRQPSRAFSTALNYHLDSPDNSPNLPWEFTEPNKAKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVANIIEVPPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRGIEEALLKHLGVKRNEVTPDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYVRLSSFFLYFFFQGRGETLFNMSY >Vigun05g296400.1.v1.2 pep primary_assembly:ASM411807v1:5:48126898:48130805:1 gene:Vigun05g296400.v1.2 transcript:Vigun05g296400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAANRFNEIRHIFRQPSRAFSTALNYHLDSPDNSPNLPWEFTEPNKAKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVANIIEVPPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRGIEEALLKHLGVKRNEVTPDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPEKVVDIVEKLRKGEKPPHGTQNPLRIRSGPEGGNTTLLGEPKPPPCRDLDAC >Vigun03g387100.1.v1.2 pep primary_assembly:ASM411807v1:3:59304564:59311301:-1 gene:Vigun03g387100.v1.2 transcript:Vigun03g387100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFGCVLIVVSALLVSGDAEIYIVTVEGEPVISYTGGINGFEATAVESDEKIDTESELVTSYARHLEKKHDMLLGLLFEEGTYQKLYSYRHLINGFAVHISPEQAETLRRAPGVKSVERDWKVRKHTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFATHNAEPYGPVPKYRGKCEADPDTKRSYCNGKIVGAQHFAQAAIAAGAFNPIIDFASPLDGDGHGSHTASIAAGNNGIPVRMHGHEFGRASGMAPRARIAVYKALYRLFGGYVADVVAAIDQAVYDGVDILSLSVGPNSPPAATRTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTMVSYSPWIASVAAAIDDRRYKNHLILGNGKTLAGIGLSPSTHLNETYTLVAANDVLLDSSVMRYSPTDCQRPELLNKNLIKGNILLCGYSFNFVVGSASIKKVSETAKALGAVGFVLCVENVSPGTKFDPVPVGLPGILITDASYSKELIDYYNITTPRDWTGRVKRFEGTGKIGDGLMPILHKSAPQVALFSARGPNIKDFSFQEADLLKPDILAPGSLIWAAWCPNGTDEPNYVGEGFAMISGTSMSAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPLLAQQTSESEAMTLVKATPFDYGSGHVDPTAALDPGLIFDAGYEDYLGFLCTTPGIDVHEIRNYTHTPCNTTMGKPSNLNTPSITISHLVRTQVVTRTVTNVAEEETYVITARMEPAVAIEVNPPAMTIKAGASRQFSVTLTVRSVRGTYSFGEVLMKGNRGHKVRIPVLANGYRR >Vigun03g387100.2.v1.2 pep primary_assembly:ASM411807v1:3:59304564:59311301:-1 gene:Vigun03g387100.v1.2 transcript:Vigun03g387100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFGCVLIVVSALLVSGDAEIYIVTVEGEPVISYTGGINGFEATAVESDEKIDTESELVTSYARHLEKKHDMLLGLLFEEGTYQKLYSYRHLINGFAVHISPEQAETLRRAPGVKSVERDWKVRKHTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFATHNAEPYGPVPKYRGKCEADPDTKRSYCNGKIVGAQHFAQAAIAAGAFNPIIDFASPLDGDGHGSHTASIAAGNNGIPVRMHGHEFGRASGMAPRARIAVYKALYRLFGGYVADVVAAIDQAVYDGVDILSLSVGPNSPPAATRTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTMVSYSPWIASVAAAIDDRRYKNHLILGNGKTLAGIGLSPSTHLNETYTLVAANDVLLDSSVMRYSPTDCQRPELLNKNLIKGNILLCGYSFNFVVGSASIKKVSETAKALGAVGFVLCVENVSPGTKFDPVPVGLPGILITDASYSKELIDYYNITTPRDWTGRVKRFEGTGKIGDGLMPILHKSAPQVALFSARGPNIKDFSFQEADLLKPDILAPGSLIWAAWCPNGTDEPNYVGEGFAMISGTSMSAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPLLAQQTSESEAMTLVKATPFDYGSGHVDPTAALDPGLIFDAGYEDYLGFLCTTPGIDVHEIRNYTHTPCNTTMGKPSNLNTPSITISHLVRTQVVTRTVTNVAEEETYVITARMEPAVAIEVNPPAMTIKAGASRQFSVTLTVRSVRGTYSFGEVLMKGNRGHKVRIPVLANGYRR >Vigun05g079600.1.v1.2 pep primary_assembly:ASM411807v1:5:7487805:7492161:1 gene:Vigun05g079600.v1.2 transcript:Vigun05g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLEEDENGVVREESQMKEKRKGKHDKPKPWDDDPNIDHWKVEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQEAWPTVKSALKQYGIACELNLVEGSMTVSTTRKTRDPYIIVKARDLIRLLSRSVSAPQAIKILDDEIQCDIIKISGLVRNKERFVKRRQHLVGPNSATLRALEILTGCYILVHGNTVAAMGSFKGLKQIRRIVEECMLNKMHPVYNIKILMMKKELEKNPDLANENWDRFLPKFKKKNVKQKKANSKQKKPYTPFPPPQQPSKIDIQLETGEYFLSNKRKSAKIWQEKQEKQAEKTAESKRKREEAFIPPKEPVKPVDKSEDAANNVADIAKSLKTKTEKFGKRKSEENLNAETYIMGSSEHASRKKSKKQKSSA >Vigun05g079600.2.v1.2 pep primary_assembly:ASM411807v1:5:7487806:7492142:1 gene:Vigun05g079600.v1.2 transcript:Vigun05g079600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLEEDENGVVREESQMKEKRKGKHDKPKPWDDDPNIDHWKVEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQEAWPTVKSALKQYGIACELNLVEGSMTVSTTRKTRDPYIIVKARDLIRLLSRSVSAPQAIKILDDEIQCDIIKISGLVRNKERFVKRRQHLVGPNSATLRALEILTGCYILVHGNTVAAMGSFKGLKQIRRIVEECMLNKMHPVYNIKILMMKKELEKNPDLANENWDRFLPKFKKKNVKQKKANSKQKKPYTPFPPPQQPSKIDIQLETGEYFLSNKRKSAKIWQEKQEKQAEKTAESKRKREEAFIPPKEPVKPVDKSEDAANNVADIAKSLKTKTEKFGKRKSEENLNAETYIMGSSEHASRKKSKKQKSSA >Vigun07g162700.1.v1.2 pep primary_assembly:ASM411807v1:7:27399934:27404569:-1 gene:Vigun07g162700.v1.2 transcript:Vigun07g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSHQHHHTNQISESNFHALSSKPNCSDHKRVFDFDLNQPKIDAMPSLPLMDNNLVPISQVCAENNFPVATEAATEVVEQVHSSNSNDDIRVKFPFDLNAEVDDEVDSISCTEEARPKVGETIPTLPSTHSEVTPEHVLPTDMDNEDRASVVEGGTPTSETRKRKKSMEGEYVKGNSRSFRGKTFTVNVESRRRTIVDDGYRWRKYGQKTIKGNLFPRAYYKCTGSGCCVRKHVERDSRNRKNVITTYEGKHNHEQPIPKNPNEKHYAEDDEDEEDETEELGSAASNARWNFRLSESMNFLNTPQPAQHRLHTLQLSRNDANPGFASVWPFASFNMNITSSSHTTQMHYSSFLNNTNTTMPYRFYGLDHNRFGAPQTEFPMPPPFSIPSSSEVFSRARVNAVGSSSVFPLRGAAYRRW >Vigun02g136000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28571440:28573693:1 gene:Vigun02g136000.v1.2 transcript:Vigun02g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNALNSSALIGFCFILIISTHAAAITQHRQQHFKQAPKFYNSPTCATAEPEHTHTCWDAAVHVAMTLDITYLRGSMAAILSVLQHSSCPENVIFHFVTAASRVSSSALLNRTLSNSFPYLNFQIYPFNDRQVAGLISTSIRSALDCPLNYARNYLANLLPSSVRKIVYLDSDLVLVDDIAKLAATPLGNDNSNSTVLAAPEYCKANFSAYFTPSFWSNPSLSLTFAGRRPCYFNTGVMVIDLQRWRAGDYTRKIEEWMELQKRMRIYELGSLPPFLLVFAGNIGPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFALEA >Vigun04g119400.1.v1.2 pep primary_assembly:ASM411807v1:4:30503109:30512168:-1 gene:Vigun04g119400.v1.2 transcript:Vigun04g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVWGFVFFWWVLLARPLCLVSANMEGDALHNLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYLNRFSGPIPESLGKLSKLRFLRLNNNSLTGPIPMSLTNVTALQVLDFSNNRLSGVVPVNGSFSLFTPISFTNNLDLCGPVTGHPCPGSPPFSPPPPFVPPSPISAPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPAHQQPLDWPTRKRIALGSSRGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLHSNYIDAEVEQLIQVALLCTQGFPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELTPHSSSDWIVDSTENLHAVELSGPR >Vigun04g119400.2.v1.2 pep primary_assembly:ASM411807v1:4:30503109:30507600:-1 gene:Vigun04g119400.v1.2 transcript:Vigun04g119400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNVTALQVLDFSNNRLSGVVPVNGSFSLFTPISFTNNLDLCGPVTGHPCPGSPPFSPPPPFVPPSPISAPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPAHQQPLDWPTRKRIALGSSRGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLHSNYIDAEVEQLIQVALLCTQGFPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELTPHSSSDWIVDSTENLHAVELSGPR >Vigun05g152450.1.v1.2 pep primary_assembly:ASM411807v1:5:23744996:23746180:1 gene:Vigun05g152450.v1.2 transcript:Vigun05g152450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSCVRGELLSIVDLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKVYDSTSIFSIRQFDLCYDFSFMDVDKILPSSSTLGWHNLQVKGEVQTKLDVYAMLLASFTTIW >Vigun07g224500.1.v1.2 pep primary_assembly:ASM411807v1:7:34645274:34647040:1 gene:Vigun07g224500.v1.2 transcript:Vigun07g224500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNSFKNPRSSSLSFTALSGVRVSPSISARDMAPKPPSTGLFVGLNKGHIVTKKELPARPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGAGDKKK >Vigun03g422900.2.v1.2 pep primary_assembly:ASM411807v1:3:62848640:62850676:-1 gene:Vigun03g422900.v1.2 transcript:Vigun03g422900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSQVLLPSEPLMYQTWFLKVSIHCEGCRRKVKKVLQSIDGVFTTTVDPQQQKVTVTGSVGVETLIRKLEKAGKHAEIWPENLAAGKGNNSGKDKQQQQQKKKKKNEQGEESETKNNHLTTTNAVATNTTANTSKKKVNEKSSGEKGNNAESKSGGGENKSPEAENKGGQSEGGGKKKKKKETEKSEGDGSPACNGGGAHSGSPGQVNLSPTRQQSYLYPETYCYPPLLYLATHNRLCPMGTMGGPSYYVSPLPYMCAGLDHDPYRLHQSTPLVPFEIFSDENANGCSIM >Vigun03g422900.3.v1.2 pep primary_assembly:ASM411807v1:3:62848345:62850676:-1 gene:Vigun03g422900.v1.2 transcript:Vigun03g422900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSQVLLPSEPLMYQTWFLKVSIHCEGCRRKVKKVLQSIDGVFTTTVDPQQQKVTVTGSVGVETLIRKLEKAGKHAEIWPENLAAGKGNNSGKDKQQQQQKKKKKNEQGEESETKNNHLTTTNAVATNTTANTSKKKVNEKSSGEKGNNAESKSGGGENKSPEAENKGGQSEGGGKKKKKKETEKSEGDGSPACNGGGAHSGSPGQVNLSPTRQQSYLYPETYCYPPLLYLATHNRLCPMGTMGGPSYYVSPLPYMCAGLDHDPYRLHQSTPLVPFEIFSDENANGCSIM >Vigun09g064200.1.v1.2 pep primary_assembly:ASM411807v1:9:6751545:6754242:-1 gene:Vigun09g064200.v1.2 transcript:Vigun09g064200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKSSSNSTSISDLNNNENNNSSNNNNNNVNVNGDKDRNNTTRVVLNVYDLTPLNNYLYWFGFGIFHSGIEVHGKEYGFGAHDFPASGVFEVEPKKCPGFIYRCSVNLGQINMHPSEFRILIESIANEYHGDTYNLISKNCNHFTDDVSSRLNGKRIPGWVNRLARLGSLCSCLLPECVEVTTVKQLPEYHDCSEDEITDSLSSASPCASQTTSVIDDDQEKHLLSPFASKAENVSFVKEAPLK >Vigun01g165900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34776451:34782519:1 gene:Vigun01g165900.v1.2 transcript:Vigun01g165900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAKILAQNNVIVTVVTTVHNASRFSETFSRASDSGLNLRLVQLEFPSHEAGFPEGCENFDMLPSMGMGLNFFLAANTILHEPAEKVFEQLTPKPNCIISDVSLAYTAHIATKFNVPRISFYGVSCFCLWWQQKLVTSKVFDTIASDSEYFSIPDIPDKVEITKAQTSRPMNENWSEFVEKMAAAEAVTYGLVVNSFEELEQAYAGDFKRARNNKVWFVGPVSLRNRNELDKTQRGNNNNISSDAQSCMKWLDLQKPNSVIYVCLGSICNLTPLQLIELGMALEASKIPFIWVIRERNQTEELMKWIKESGFEERTKGVGLLLLGWSPQVLILSHPAIGGFMTHCGWNSTLESISAGVPMLTWPLFGDQFFNERFVVNIIRIGVSVGVESPVLWGDEEKTGVLVKKEDIVRAIGELMDEGSESEERRKRARGLAEMAKTAVEGGSSHFNVTQLIQDIMQQSHKD >Vigun01g165900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34776451:34780219:1 gene:Vigun01g165900.v1.2 transcript:Vigun01g165900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAKILAQNNVIVTVVTTVHNASRFSETFSRASDSGLNLRLVQLEFPSHEAGFPEGCENFDMLPSMGMGLNFFLAANTILHEPAEKVFEQLTPKPNCIISDVSLAYTAHIATKFNVPRISFYGVSCFCLWWQQKLVTSKVFDTIASDSEYFSIPDIPDKVEITKAQTSRPMNENWSEFVEKMAAAEAVTYGLVVNSFEELEQAYAGDFKRARNNKVWFVGPVSLRNRNELDKTQRGNNNNISSDAQSCMKWLDLQKPNSVIYVCLGSICNLTPLQLIELGMALEASKIPFIWVIRERNQTEELMKWIKESGFEERTKGVGLLLLGWSPQVLILSHPAIGGFMTHCGWNSTLESISAGVPMLTWPLFGDQFFNERFVVNIIRIGVSVGVESPVLWGDEEKTGVLVKKEDIVRAIGELMDEGSESEERRKRARGLAEMAKTAVEGGSSHFNVTQLIQDIMQQSHKD >Vigun05g221300.1.v1.2 pep primary_assembly:ASM411807v1:5:41293484:41295132:-1 gene:Vigun05g221300.v1.2 transcript:Vigun05g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSCDNRGLKKGTWTPEEDRKLVAYVTRYGSWNWRQLPRFAGLQRCGKSCRLRWLNYLRPNIKRGNYTDQEEETIIKLHEKLGNKWSVIATHLPGRTDNDLKNHWHTTLKKRLGKNVHTERHVKAAKSNSSGANPDKDSIYNNGFFLEGSHSPTVSKTSDPDPDPLSPELSSSEFSSSDYTTHQNLFAEDGFDFLDACLEDVNQNIWTESFHISQVTTQANGIDNFHGSDAAKAMECVRAESPLSYHNENLVVENDDFGFLGAIEPITENSWTEAFVADMSLIPNELLVPLVNESESYFSSTYDSEDLWCLNDNSHDLDVNLFQ >Vigun02g195900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33137146:33140358:-1 gene:Vigun02g195900.v1.2 transcript:Vigun02g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAYIVTSDSETTGEEKSPAFSDIAIGIDIGTSQCSVAMWSGSRVELLKNTGNQKIMKSFVTFKGNTPSGGVSSQLSYVDEMLSGATIFNMKRLIGRVDTDPVVQACKNLPFLVQTLDIGVRPFIAALVNNMWRSTTPEEVLAIFLVELRAMIEAQLKRRIRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQSSHDNMGSGMEKIALIFNMGAGYCDVAVTATAGGVSQIKALAGSTIGGEDLLQNMMRHLLPESENLFRSHGVTEIKRMGLLRVATQDAIHQLSSQNSVRVDVDLGDDVKICKAVHQEEFEEVNRKVFEKCESLIIQCLKDAKVEVEDLNDVIIVGGCSCIPRVRSLVANVCRGKELYKGMHPLEAAVCGAAVEGAVASGINDPLGNLDLLTIQATPLAIGIRADGNKFVPVIPKDTAMPARKELVFTTVHDNQTEALIIVYEGEGEKAEENHLLGYFKIMGIPAAPKGIPEINVCMDINAANVLRVLAGVVMPGSRKPAVPVMEVRMPSVDDGHGWCAEALSRSYGATLDLVTLRKITL >Vigun01g225800.1.v1.2 pep primary_assembly:ASM411807v1:1:39870337:39874014:1 gene:Vigun01g225800.v1.2 transcript:Vigun01g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQKQGEEAMMSSLTQAVEREEGEEVKGETPHFTLRNALWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGVVSGVIFQVFYGLLGSYTAYLISILYIEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASLVHGRVENVTHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLYATFYVFTLTIPSAVSVYWAFGDQLLDHSNAFSLLPRSGWRDAGVILMLIHQIITFGFACTPLYFVWEKVIGMHDTKSICLRAVARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPASAHMLTFRSATARQNAAEKLPFFIRNWTMMYVVNALVVVWVLVVGFGFGGWASMVNFIRQVDTFGLFAKCYQCPPKFTNHTLHHS >Vigun07g079100.2.v1.2 pep primary_assembly:ASM411807v1:7:10952454:10958035:1 gene:Vigun07g079100.v1.2 transcript:Vigun07g079100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVPFFIFLSSYSFMLLIILHLSSAQMPGFVSLDCGGKENFTDEIGLTWTPDELRYGEISTISVANETRKQYTTVRHFPADSRKYCYSLDVVSRTRYLLRASFLYGNFDANNVYPKFDIFIGATHWSTIVISDANTIEMRELIFLALSPTVSVCLSNATTGKPFISTLELRQFNGSVYYTYTEEHFYLSVSARINFGADSDAPIRYPDDPFDRIWESDSIKRANYLVDVAAGTRKISTNKSIEVSSDEMPPMKVMQSAVVGTNGSLTYRLNLDGFPGFAWAMTYFAEIENLAENESRKFRLVLPGHPEISKAVVNIEENAPGKYRLYEPGFTNLSLPFVLSFKFAKTSDSSRGPLLNAMEINEYLEKNDGSPDGEVISSVLSHYSSEEWAQEGGDPCLPVPWTWVRCSSDQQPKVVSILLSSKNLTGNIPLDITKLTGLVELWLDDNMLTGPIPDFTGCMDLKIIHLENNQLTGSLPTSLVNLPNLRELYVQNNMLSGTVPSDLLKKVSFLNYSGNANLRKDSRKKTPLYVIIGSTVGAVVLLLATIISCLFFKHKGKSRYYEQNSLVSHPSQSMESTKSIGPAEAAHCYSFPEIEKSTNNFEKKIGSGGFGVVYYGKLKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCREEGNSMLIYEFMHNGTLKEHLYAPLTHGRSIDWKKRLEIAEDAAKGIEYLHTGCVPAVIHRDLKSSNILLDRQMKAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDIYSFGVILLELISGQEAISNDSFGANCRNIVQWAKIHIESGDIQGIIDPVLQNKYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAIAIERGAEGNSDEPRNSVHSSVNMDLAAPDSFLSIDDSIAQQQPTAR >Vigun07g079100.3.v1.2 pep primary_assembly:ASM411807v1:7:10953176:10958035:1 gene:Vigun07g079100.v1.2 transcript:Vigun07g079100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIFLALSPTVSVCLSNATTGKPFISTLELRQFNGSVYYTYTEEHFYLSVSARINFGADSDAPIRYPDDPFDRIWESDSIKRANYLVDVAAGTRKISTNKSIEVSSDEMPPMKVMQSAVVGTNGSLTYRLNLDGFPGFAWAMTYFAEIENLAENESRKFRLVLPGHPEISKAVVNIEENAPGKYRLYEPGFTNLSLPFVLSFKFAKTSDSSRGPLLNAMEINEYLEKNDGSPDGEVISSVLSHYSSEEWAQEGGDPCLPVPWTWVRCSSDQQPKVVSILLSSKNLTGNIPLDITKLTGLVELWLDDNMLTGPIPDFTGCMDLKIIHLENNQLTGSLPTSLVNLPNLRELYVQNNMLSGTVPSDLLKKVSFLNYSGNANLRKDSRKKTPLYVIIGSTVGAVVLLLATIISCLFFKHKGKSRYYEQNSLVSHPSQSMESTKSIGPAEAAHCYSFPEIEKSTNNFEKKIGSGGFGVVYYGKLKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCREEGNSMLIYEFMHNGTLKEHLYAPLTHGRSIDWKKRLEIAEDAAKGIEYLHTGCVPAVIHRDLKSSNILLDRQMKAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDIYSFGVILLELISGQEAISNDSFGANCRNIVQWAKIHIESGDIQGIIDPVLQNKYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAIAIERGAEGNSDEPRNSVHSSVNMDLAAPDSFLSIDDSIAQQQPTAR >Vigun07g079100.1.v1.2 pep primary_assembly:ASM411807v1:7:10952543:10958041:1 gene:Vigun07g079100.v1.2 transcript:Vigun07g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVPFFIFLSSYSFMLLIILHLSSAQMPGFVSLDCGGKENFTDEIGLTWTPDELRYGEISTISVANETRKQYTTVRHFPADSRKYCYSLDVVSRTRYLLRASFLYGNFDANNVYPKFDIFIGATHWSTIVISDANTIEMRELIFLALSPTVSVCLSNATTGKPFISTLELRQFNGSVYYTYTEEHFYLSVSARINFGADSDAPIRYPDDPFDRIWESDSIKRANYLVDVAAGTRKISTNKSIEVSSDEMPPMKVMQSAVVGTNGSLTYRLNLDGFPGFAWAMTYFAEIENLAENESRKFRLVLPGHPEISKAVVNIEENAPGKYRLYEPGFTNLSLPFVLSFKFAKTSDSSRGPLLNAMEINEYLEKNDGSPDGEVISSVLSHYSSEEWAQEGGDPCLPVPWTWVRCSSDQQPKVVSILLSSKNLTGNIPLDITKLTGLVELWLDDNMLTGPIPDFTGCMDLKIIHLENNQLTGSLPTSLVNLPNLRELYVQNNMLSGTVPSDLLKKVSFLNYSGNANLRKDSRKKTPLYVIIGSTVGAVVLLLATIISCLFFKHKGKSRYYEQNSLVSHPSQSMESTKSIGPAEAAHCYSFPEIEKSTNNFEKKIGSGGFGVVYYGKLKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQLLGYCREEGNSMLIYEFMHNGTLKEHLYAPLTHGRSIDWKKRLEIAEDAAKGIEYLHTGCVPAVIHRDLKSSNILLDRQMKAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDIYSFGVILLELISGQEAISNDSFGANCRNIVQWAKIHIESGDIQGIIDPVLQNKYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAIAIERGAEGNSDEPRNSVHSSVNMDLAAPDSFLSIDDSIAQQQPTAR >Vigun10g105250.1.v1.2 pep primary_assembly:ASM411807v1:10:30262076:30263362:1 gene:Vigun10g105250.v1.2 transcript:Vigun10g105250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCRVKKPHFFIVQRLTSAILHLLRRPAQLRANPAHLPPSRTPPTISHTSDVLGRHFVACTVEEVSGASVASAV >Vigun03g175166.1.v1.2 pep primary_assembly:ASM411807v1:3:21663017:21663527:-1 gene:Vigun03g175166.v1.2 transcript:Vigun03g175166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKEDMGVWEDKLKEGESYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRPQPIASIPRKLWKFKSIKDIIDGKYCVDLLVVVL >Vigun08g121900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29129034:29131167:1 gene:Vigun08g121900.v1.2 transcript:Vigun08g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQSESPSNSLSQLAQSVFSDNNSSIMLAAVLSLLLVILFVLLLHVYAKWFLSQSQLRSHTRRWRTPVTVSDVLEPSHFHSINIEASPTCTKGLDLATVSAIPMFVHKTEKTEELECVICLSVIEEGEIGRKLPKCGHAFHVECIDMWFTSHCNCPICRASILGSDDSQPGSGDVLEVVVVTPGYEISEREIGAMSDSVPENSSSLLSFSLKRLLSKVFLSPDHVTGLDASQ >Vigun05g121500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13364515:13364832:1 gene:Vigun05g121500.v1.2 transcript:Vigun05g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKPISAFIFNHRFWTNIFSFKHHHLRPVIRKSSRCFTTPLRVLLHPEQHQTLTFFPITYIQSKQRRKRNRRWVGVGVGESPATSITSGPATVGLGIVAPKTW >Vigun03g090900.1.v1.2 pep primary_assembly:ASM411807v1:3:7664640:7666730:-1 gene:Vigun03g090900.v1.2 transcript:Vigun03g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGMASNRNSSAEARKVMVVADPTRESAGALQYALSHAVIEQDELILLHVENPSSWRNTISTFLKMPSLGNSNTVSLEIGGSGGAAAAEGEGVDFLEEMKQICKASQPKIKVRVMRVEMDGRDRAATILSLTKTHGVDVIVIGQKRSISSALLGYKRQPGGTMKVMKPIDTAEYLIQNCSCTCVSVQRKGQNGGYVLNSKTHRNFWLLA >Vigun10g060600.1.v1.2 pep primary_assembly:ASM411807v1:10:12492638:12496217:-1 gene:Vigun10g060600.v1.2 transcript:Vigun10g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSSGINTIRVFGVTKHQSRFLHSSMLHLRSNRVCGTGIGVEEKKKRKQCFVMAASVGGSKVGHFENTLPSKEVLELWRKGDAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFNPSWSQLQNFLQQKPPRLSPGIEELVQKLKANGIDVYLVSGGFRQMIIPVASILGIPEQNIFANNLLFKSSGEFLGFDEKEPTSRSGGKAVAVQQIKKTRGFKTLTMVGDGATDLEARQPGGADMFICYAGVQLRESVAVKADWLVFNFNDLINSLG >Vigun03g172250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20993490:20993789:1 gene:Vigun03g172250.v1.2 transcript:Vigun03g172250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCIKFENGLRLELRKVVGVLEIFDFPTLIHNCRFLEDFENSQNNKPKYFGPQKNKNRRNEIKPYNHPQWRSQPNQSSLGNSSGLVNNHIEETIGKVT >Vigun04g038100.4.v1.2 pep primary_assembly:ASM411807v1:4:3184032:3193073:-1 gene:Vigun04g038100.v1.2 transcript:Vigun04g038100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPPSPAAAAPSSDEQKPSLFPLFPASSSLQTTTTSSNPQWLCNSSFTTDISVINDAVASQLHREATLSPPQNDEDDENRAEAHPLPSRYEILESSESDGGGRDRERKKKKKKKKRRRGSSAERGGFDGFGSRKSRVRVWADSESNVTKDYYFDSQGDRDNLAFGCIYRMDVARYKPYNPLKLSGLHTRGLYWWNRSGSLLDRDGDVDALDAKLKSAGRYWSGKYMALERHKSFKRIHLVASKLSPVTMQDEFIPLSESDAGSSHGAVDSDSVSKTLAPLEESWEDEMLNKTREFNKLTREHPHDEKVWLAFAEFQDKVAGMQRQKAARLQTLEKKISILEKAVELNPDNEEILLCLLKSYQMRDSSDVLIARWEKILLQHYGSCKLWREFLLTVQRNFSRFKVSEVRKMYVHAIEALSASCSKHSRQVLQGADSSSSDPAIVQLELGLVDIFLSLCRFEWQAGYRELATALFQAEIEFSLFCPPLLLTEQGKHRLFEHFWNSGGARVGEEGALGWSTWLEKEEETRQKVINEELSRESDGGGWTGWSEPRSKDNEDVTMVENEDNNDVVMEDTQDEEEYNEVETEVDTENFLKMLGIDINDGDGGEVNDAATWIKWSKEESSRDCDQWMPVRRKSDTTSSEAQKTDEDDQLLRVVLYEDVNEYLFSLRTTEAQLSLLSQFIDFYGGKMPQLFSSNSPTIAYNIRSLENLPDSVLEKLKRIHEVLTKTQNTPTGFSFEFLSDSFSRNADIMKFIRNAVLLCLTVFPRNYMLEEAVLISEELYVTKMNSSNSMVTPCRSLAKSLLKSDRQDVLLCGVYARREATYGNIDHARKVFDMALLSVEALPGSNSPLLYFWYAEVEVANNTADGSESSCRAIHILSCLGSGTKYSPFKSQASGVQLLRAHQGFKEKLRTVWSSWVRGVINDQSVALICSAALFEELTTGWDAGIEVLNQAFSMVLPERRSQGYQLEFLFNYHIKILQRHQRESSLMKVWEIILHGLQIYPFSPELLKDVVEIGHYYTTSNKLRRILDDCCYKKPSVVLWLFSLSFEMFRGGSQHRIRGLFEKALSNDSLSSSVVLWRCYIMFEMEIANDPSAARRAFFRAIHSCPWSKRLWLDGFQKLNSVLTAKELSDLQEVMRDKELNLRTDIYEILLQDS >Vigun04g038100.3.v1.2 pep primary_assembly:ASM411807v1:4:3184032:3193073:-1 gene:Vigun04g038100.v1.2 transcript:Vigun04g038100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPPSPAAAAPSSDEQKPSLFPLFPASSSLQTTTTSSNPQWLCNSSFTTDISVINDAVASQLHREATLSPPQNDEDDENRAEAHPLPSRYEILESSESDGGGRDRERKKKKKKKKRRRGSSAERGGFDGFGSRKSRVRVWADSESNVTKDYYFDSQGDRDNLAFGCIYRMDVARYKPYNPLKLSGLHTRGLYWWNRSGSLLDRDGDVDALDAKLKSAGRYWSGKYMALERHKSFKRIHLVASKLSPVTMQDEFIPLSESDAGSSHGAVDSDSVSKTLAPLEESWEDEMLNKTREFNKLTREHPHDEKVWLAFAEFQDKVAGMQRQKAARLQTLEKKISILEKAVELNPDNEEILLCLLKSYQMRDSSDVLIARWEKILLQHYGSCKLWREFLLTVQRNFSRFKVSEVRKMYVHAIEALSASCSKHSRQQVLQGADSSSSDPAIVQLELGLVDIFLSLCRFEWQAGYRELATALFQAEIEFSLFCPPLLLTEQGKHRLFEHFWNSGGARVGEEGALGWSTWLEKEEETRQKVINEELSRESDGGGWTGWSEPRSKDNEDVTMVENEDNNDVVMEDTQDEEEYNEVETEVDTENFLKMLGIDINDGDGGEVNDAATWIKWSKEESSRDCDQWMPVRRKSDTTSSEAQKTDEDDQLLRVVLYEDVNEYLFSLRTTEAQLSLLSQFIDFYGGKMPQLFSSNSPTIAYNIRSLENLPDSVLEKLKRIHEVLTKTQNTPTGFSFEFLSDSFSRNADIMKFIRNAVLLCLTVFPRNYMLEEAVLISEELYVTKMNSSNSMVTPCRSLAKSLLKSDRQDVLLCGVYARREATYGNIDHARKVFDMALLSVEALPGSNSPLLYFWYAEVEVANNTADGSESSCRAIHILSCLGSGTKYSPFKSQASGVQLLRAHQGFKEKLRTVWSSWVRGVINDQSVALICSAALFEELTTGWDAGIEVLNQAFSMVLPERRSQGYQLEFLFNYHIKILQRHQRESSLMKVWEIILHGLQIYPFSPELLKDVVEIGHYYTTSNKLRRILDDCCYKKPSVVLWLFSLSFEMFRGGSQHRIRGLFEKALSNDSLSSSVVLWRCYIMFEMEIANDPSAARRAFFRAIHSCPWSKRLWLDGFQKLNSVLTAKELSDLQEVMRDKELNLRTDIYEILLQDS >Vigun04g038100.1.v1.2 pep primary_assembly:ASM411807v1:4:3184032:3193073:-1 gene:Vigun04g038100.v1.2 transcript:Vigun04g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPPSPAAAAPSSDEQKPSLFPLFPASSSLQTTTTSSNPQWLCNSSFTTDISVINDAVASQLHREATLSPPQNDEDDENRAEAHPLPSRYEILESSESDGGGRDRERKKKKKKKKRRRGSSAERGGFDGFGSRKSRVRVWADSESNVTKDYYFDSQGDRDNLAFGCIYRMDVARYKPYNPLKLSGLHTRGLYWWNRSGSLLDRDGDVDALDAKLKSAGRYWSGKYMALERHKSFKRIHLVASKLSPVTMQDEFIPLSESDAGSSHGAVDSDSVSKTLAPLEESWEDEMLNKTREFNKLTREHPHDEKVWLAFAEFQDKVAGMQRQKAARLQTLEKKISILEKAVELNPDNEEILLCLLKSYQMRDSSDVLIARWEKILLQHYGSCKLWREFLLTVQRNFSRFKVSEVRKMYVHAIEALSASCSKHSRQVLQGADSSSSDPAIVQLELGLVDIFLSLCRFEWQAGYRELATALFQAEIEFSLFCPPLLLTEQGKHRLFEHFWNSGGARVGEEGALGWSTWLEKEEETRQKVINEELSRESDGGGWTGWSEPRSKDNEDVTMVENEDNNDVVMEDTQDEEEYNEVETEVDTENFLKMLGIDINDGDGGEVNDAATWIKWSKEESSRDCDQWMPVRRKSDTTSSEAQKTDEDDQLLRVVLYEDVNEYLFSLRTTEAQLSLLSQFIDFYGGKMPQLFSSNSPTIAYNIRSLENLPDSVLEKLKRIHEVLTKTQNTPTGFSFEFLSDSFSRNADIMKFIRNAVLLCLTVFPRNYMLEEAVLISEELYVTKMNSSNSMVTPCRSLAKSLLKSDRQDVLLCGVYARREATYGNIDHARKVFDMALLSVEALPGELQSNSPLLYFWYAEVEVANNTADGSESSCRAIHILSCLGSGTKYSPFKSQASGVQLLRAHQGFKEKLRTVWSSWVRGVINDQSVALICSAALFEELTTGWDAGIEVLNQAFSMVLPERRSQGYQLEFLFNYHIKILQRHQRESSLMKVWEIILHGLQIYPFSPELLKDVVEIGHYYTTSNKLRRILDDCCYKKPSVVLWLFSLSFEMFRGGSQHRIRGLFEKALSNDSLSSSVVLWRCYIMFEMEIANDPSAARRAFFRAIHSCPWSKRLWLDGFQKLNSVLTAKELSDLQEVMRDKELNLRTDIYEILLQDS >Vigun04g038100.2.v1.2 pep primary_assembly:ASM411807v1:4:3184032:3193073:-1 gene:Vigun04g038100.v1.2 transcript:Vigun04g038100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPPSPAAAAPSSDEQKPSLFPLFPASSSLQTTTTSSNPQWLCNSSFTTDISVINDAVASQLHREATLSPPQNDEDDENRAEAHPLPSRYEILESSESDGGGRDRERKKKKKKKKRRRGSSAERGGFDGFGSRKSRVRVWADSESNVTKDYYFDSQGDRDNLAFGCIYRMDVARYKPYNPLKLSGLHTRGLYWWNRSGSLLDRDGDVDALDAKLKSAGRYWSGKYMALERHKSFKRIHLVASKLSPVTMQDEFIPLSESDAGSSHGAVDSDSVSKTLAPLEESWEDEMLNKTREFNKLTREHPHDEKVWLAFAEFQDKVAGMQRQKAARLQTLEKKISILEKAVELNPDNEEILLCLLKSYQMRDSSDVLIARWEKILLQHYGSCKLWREFLLTVQRNFSRFKVSEVRKMYVHAIEALSASCSKHSRQQVLQGADSSSSDPAIVQLELGLVDIFLSLCRFEWQAGYRELATALFQAEIEFSLFCPPLLLTEQGKHRLFEHFWNSGGARVGEEGALGWSTWLEKEEETRQKVINEELSRESDGGGWTGWSEPRSKDNEDVTMVENEDNNDVVMEDTQDEEEYNEVETEVDTENFLKMLGIDINDGDGGEVNDAATWIKWSKEESSRDCDQWMPVRRKSDTTSSEAQKTDEDDQLLRVVLYEDVNEYLFSLRTTEAQLSLLSQFIDFYGGKMPQLFSSNSPTIAYNIRSLENLPDSVLEKLKRIHEVLTKTQNTPTGFSFEFLSDSFSRNADIMKFIRNAVLLCLTVFPRNYMLEEAVLISEELYVTKMNSSNSMVTPCRSLAKSLLKSDRQDVLLCGVYARREATYGNIDHARKVFDMALLSVEALPGELQSNSPLLYFWYAEVEVANNTADGSESSCRAIHILSCLGSGTKYSPFKSQASGVQLLRAHQGFKEKLRTVWSSWVRGVINDQSVALICSAALFEELTTGWDAGIEVLNQAFSMVLPERRSQGYQLEFLFNYHIKILQRHQRESSLMKVWEIILHGLQIYPFSPELLKDVVEIGHYYTTSNKLRRILDDCCYKKPSVVLWLFSLSFEMFRGGSQHRIRGLFEKALSNDSLSSSVVLWRCYIMFEMEIANDPSAARRAFFRAIHSCPWSKRLWLDGFQKLNSVLTAKELSDLQEVMRDKELNLRTDIYEILLQDS >Vigun10g065300.1.v1.2 pep primary_assembly:ASM411807v1:10:14243584:14247526:-1 gene:Vigun10g065300.v1.2 transcript:Vigun10g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLRFSPNVCSLANGGNLWRSKHSNKKFSYDGSSFAPKTSMHQRKSQIEYNLTRLQQPIMKNQFKSVEGGLTNEENNKIYVPKAISKEYFGSEESSASETKDIVGSVKRFLVALYWFCYPYTMFGRTLSTIAASLLAVERLSDISSPLFFIGLFQALLPFMFMDFYVNGVNQLYDYEIDKINKPFLPLPSGAFSFTTGVVVTVGSAIMGFLASYMIGSWPLFWGLLSFFLIWSGYSIKGPLLRWKKNPLLAATCIFCSLALIFPISFFYHMKTFVLKRAVTSLKPLMFFVAFTSIYSLGIALFKDIPDIEGDKAFGIQSFSTRLGQKKVFWICVSILESAFGVAILAGLSSSLLWVKLVTGLGHAVLASILWYQAKFVDLSDKGSIRSYYMLIWKLLYVAYFLMPLIR >Vigun11g125000.1.v1.2 pep primary_assembly:ASM411807v1:11:33238866:33247742:1 gene:Vigun11g125000.v1.2 transcript:Vigun11g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPRKHREREMLFDSVIALVFILVACVDLCDGATVVDVYRLIQYDMSGVPFGSRLASLNHHAASLHFSPHTDLSRTVLLIPLRELNMSFVKEYIAESKPLGGLIFLLPRMFSFENKDGMGSNNQDESKEPLRNVLAELEQILIHANLPYPVYFAFEDDNIDAVLADIKKNDVTGQPATATTGGYKFVVSAAEPRKVVSPPITNIQGWLAGLKTDDDAHQLPTIAIVASYDTFGAAPALSVGSDSNGSGIVALLEVARLFSLLYSNPKTRGQYNLLFGLTSGGPYNYNGTRKWLRSFDQRMRESIDYAICLDSIGSWENELWIHVSKPPENAFIKKIVEDFSSVAEELGFKVNLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSTAPELLEKTGGLVDSRHFVNEATIVRSVKLIAESLARHIYGHQGKNIQIFADNTNLAVNPSYVRSWLDVLSQTPRVAPFLAKDDPFVMALKKELEDHTDEVNLHRDVLEGVFTFYDSTRAKLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >Vigun09g108850.1.v1.2 pep primary_assembly:ASM411807v1:9:22998866:23004610:-1 gene:Vigun09g108850.v1.2 transcript:Vigun09g108850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAQSINVPGLVAEHRHGQQKGSGRSKRPAKMMNAVRTSGLRMSGFTGLRTFNPLDTMLRPGIDFHSKVSIATSARRGRATRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQASIILLCIFSQQTSVCIAWIHNEFMFYLIVFTGWVQVIRMVGESADSVTATVGSGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQQQIERVTQILGQPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYIRLIDEAGSRVRLQHAQLPEEARELDKEVRQIIKEKEEAVRNQDFEKAQISTLVEKGKEMSKAETEAGDGGPTVTEADIQHIVSSWTGIPVEKVSTRVIGQDEAVKAISRAIRRARVGLKNPNSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKEELVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLNEKDLGQSGGGSYNPSYGARPLRRAINATFGRQHAEKMLAREIKEGDSVIVDVDSDGNVIVLNGSSGAPESLQRHFLKRQIERLKQLSLIEKEETIRKITPKYIASMREKGKLHYQNINPLPPSSFPNISYARTR >Vigun10g003200.1.v1.2 pep primary_assembly:ASM411807v1:10:275896:281345:1 gene:Vigun10g003200.v1.2 transcript:Vigun10g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRTKQSFLWFVWILLCGSCVGSFVVEKNNLKITSPNSLKGIYECAIGNFGVPKYGGTMIGSVVYPKSNQNGCKPFDSSLSSKPGTFPSFVLIDRGDCYFTLKAWNAQKGGAAAILVADDRTEPLITMDTPEEGTGSSKDDYIEKINIPSALISKSLGDKIKSSLSSGEMVNVNLDWREALPHPDERVEYEFWTSSNDECGPKCESEINFVKGFKGAAQLLEQKGFAKFTPHYITWYCPEAFILSEQCKSQCINNGRYCAPDPELDYKRGYSGRDVVIQNLRQVCFFRVANESGKPWQWWDYVTDFSIRCPMRENKYTEECSDQVIKSLGIDLKKVKDCVGDPDANVDNPVLNNEQDSQIGNGDRGDVTILPTLIINNRQYRGKLSKAAVLKAICSGFQETTEPSICLTPDMETNECLQNNGGCWQDATANITACRDTFRGRVCECPVIQNVQFVGDGYTHCEATGALRCAINNGGCWKETRGSTTFSACIDDHTKGCKCPPGFRGDGVRTCRDVDECKEKLNCQCPECQCKNTWGSYECSCTDGLYYTRENDMCIGKYAASVAGGGIVWMVIMILAVAGAGGYAFYKYRIRRYMDSEIRAIMAQYMPLDNQPDTSIQTQGDV >Vigun02g103800.3.v1.2 pep primary_assembly:ASM411807v1:2:25837847:25846442:1 gene:Vigun02g103800.v1.2 transcript:Vigun02g103800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVMFNTAFIRSNILMLNAENLDILWDSKERYPKGFRAEVLFGEVENISPQRAPTSILDGEVKGGLPIEAFSRVQELFSGAEWIDSGDSAAVWLLKQLTVLNDAKEFSRFQGRGSWYSSPADSEEENNESSTADSSDEAFDVISQSYADPSKLFTSDTPDLVHLTFENNGGDYVNLTSRTPDQSLTDDVSLPHHALTKESSPCLSPPSPSHFPATQPLHIPALLPPSSIPIQPSLAPPPPPNPLVQNLNNASTLPSTPPPPPLPPVGGHADSTLGLPPSPLGSVRTSSAPPPPPPPPPPPALGPVQTSLVPPPPPPPPPPPVRTNSAPPPPPPPPPPPPPPPLGPTQTGSAPPPPPPPPPPLGSVQTGSAPPPPPPPPPPSALARTSSVPPPPPPPPGPTQLGSLPPPPPPPPPGLVKTGSVPPPPPPPPPPSHVQTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPLQTGSAPPPPPPPPIVVRAGSTPPPPPLPPGAVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGAAPPPPPPPGPIRTGSAPPPPPPLAPRPPGAPPPPPPGRGASPIPPAVTASSVAPPPGKASTTNVGRGRGTGATANAPKKTLLKPLHWVKVARAAKGSLWADSQKQDSGTRAPEIDISELETLFSAASTSDGSSTRGGGRRGPNINKPEKVQLVDLRRAYNCEIMLSKIKIPLPDMLKAVLALDSLVLDIDQVENLIKFCPTKEEMEMLKNYAGNKEMLGKCEQFFMELMKVPRVESKLRVFAFKITFSSQVNDLKVNLNTINNAAREVKESGKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEAASKIQLKALAEEMQAVSKGLEKVEQELAASENDGAISIGFRKVLKNFLDIAEADVRSLISLYSEVGRSADSLSQYFGEDPARCPFEQVTQILVVFVKMFNKSREENERQADAEKKKLEKEALKEKAANNSHSKRDMIR >Vigun02g103800.2.v1.2 pep primary_assembly:ASM411807v1:2:25836520:25846442:1 gene:Vigun02g103800.v1.2 transcript:Vigun02g103800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFADRVYVFDSCFSTEALPEGMYQLYLRQILSDLHEDFPESSFLALNFRDGDSRSRFSRLLCELDATVIDYPKQYEGCPLLPLSLLHHFLRLCDTCLADPAAVILFHCERGGWPLLAFLLAAFLVFRKAQSGERKILEMVHREAPKGLLQLLSALNPMPSQLRYVQYVARRNIGAEWPPPERALSLDCVILRGVPGFDGGNGCRPLFRIFGRNLLSKGGLSTQMIYNMHKKKKILRHYRQADCDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNAENLDILWDSKERYPKGFRAEVLFGEVENISPQRAPTSILDGEVKGGLPIEAFSRVQELFSGAEWIDSGDSAAVWLLKQLTVLNDAKEFSRFQGRGSWYSSPADSEEENNESSTADSSDEAFDVISQSYADPSKLFTSDTPDLVHLTFENNGGDYVNLTSRTPDQSLTDDVSLPHHALTKESSPCLSPPSPSHFPATQPLHIPALLPPSSIPIQPSLAPPPPPNPLVQNLNNASTLPSTPPPPPLPPVGGHADSTLGLPPSPLGSVRTSSAPPPPPPPPPPPALGPVQTSLVPPPPPPPPPPPVRTNSAPPPPPPPPPPPPPPPLGPTQTGSAPPPPPPPPPPLGSVQTGSAPPPPPPPPPPSALARTSSVPPPPPPPPGPTQLGSLPPPPPPPPPGLVKTGSVPPPPPPPPPPSHVQTGSAPPPPPPPPGPVRTGSAPPPPPPPPIVVRAGSTPPPPPLPPGAVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGAAPPPPPPPGPIRTGSAPPPPPPLAPRPPGAPPPPPPGRGASPIPPAVTASSVAPPPGKASTTNVGRGRGTGATANAPKKTLLKPLHWVKVARAAKGSLWADSQKQDSGTRAPEIDISELETLFSAASTSDGSSTRGGGRRGPNINKPEKVQLVDLRRAYNCEIMLSKIKIPLPDMLKAVLALDSLVLDIDQVENLIKFCPTKEEMEMLKNYAGNKEMLGKCEQFFMELMKVPRVESKLRVFAFKITFSSQVNDLKVNLNTINNAAREVKESGKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEAASKIQLKALAEEMQAVSKGLEKVEQELAASENDGAISIGFRKVLKNFLDIAEADVRSLISLYSEVGRSADSLSQYFGEDPARCPFEQVTQILVVFVKMFNKSREENERQADAEKKKLEKEALKEKAANNSHSKRDMIR >Vigun02g103800.1.v1.2 pep primary_assembly:ASM411807v1:2:25836520:25846442:1 gene:Vigun02g103800.v1.2 transcript:Vigun02g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFADRVYVFDSCFSTEALPEGMYQLYLRQILSDLHEDFPESSFLALNFRDGDSRSRFSRLLCELDATVIDYPKQYEGCPLLPLSLLHHFLRLCDTCLADPAAVILFHCERGGWPLLAFLLAAFLVFRKAQSGERKILEMVHREAPKGLLQLLSALNPMPSQLRYVQYVARRNIGAEWPPPERALSLDCVILRGVPGFDGGNGCRPLFRIFGRNLLSKGGLSTQMIYNMHKKKKILRHYRQADCDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNAENLDILWDSKERYPKGFRAEVLFGEVENISPQRAPTSILDGEVKGGLPIEAFSRVQELFSGAEWIDSGDSAAVWLLKQLTVLNDAKEFSRFQGRGSWYSSPADSEEENNESSTADSSDEAFDVISQSYADPSKLFTSDTPDLVHLTFENNGGDYVNLTSRTPDQSLTDDVSLPHHALTKESSPCLSPPSPSHFPATQPLHIPALLPPSSIPIQPSLAPPPPPNPLVQNLNNASTLPSTPPPPPLPPVGGHADSTLGLPPSPLGSVRTSSAPPPPPPPPPPPALGPVQTSLVPPPPPPPPPPPVRTNSAPPPPPPPPPPPPPPPLGPTQTGSAPPPPPPPPPPLGSVQTGSAPPPPPPPPPPSALARTSSVPPPPPPPPGPTQLGSLPPPPPPPPPGLVKTGSVPPPPPPPPPPSHVQTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPLQTGSAPPPPPPPPIVVRAGSTPPPPPLPPGAVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGSAPPPPPPPPGPVRTGAAPPPPPPPGPIRTGSAPPPPPPLAPRPPGAPPPPPPGRGASPIPPAVTASSVAPPPGKASTTNVGRGRGTGATANAPKKTLLKPLHWVKVARAAKGSLWADSQKQDSGTRAPEIDISELETLFSAASTSDGSSTRGGGRRGPNINKPEKVQLVDLRRAYNCEIMLSKIKIPLPDMLKAVLALDSLVLDIDQVENLIKFCPTKEEMEMLKNYAGNKEMLGKCEQFFMELMKVPRVESKLRVFAFKITFSSQVNDLKVNLNTINNAAREVKESGKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEAASKIQLKALAEEMQAVSKGLEKVEQELAASENDGAISIGFRKVLKNFLDIAEADVRSLISLYSEVGRSADSLSQYFGEDPARCPFEQVTQILVVFVKMFNKSREENERQADAEKKKLEKEALKEKAANNSHSKRDMIR >Vigun03g171800.1.v1.2 pep primary_assembly:ASM411807v1:3:20772585:20774774:1 gene:Vigun03g171800.v1.2 transcript:Vigun03g171800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAYQFSRLPYPDSLNLLEADIQHANALAAEITRTKGGTLLQMKLAYNHLTPIFLLLLQWIDFSCTCLLLRYLDLFHIVVYKAHDDGRSNMTSHGRKATIREFYAIILPSLQRLHGSMEKLDICKKGHYSIESSSYGKKEIEGDAKQIHVDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRKWNKLSESCPFCRGSLRRVNSEDLWVLTCNEDVVDAETVSKEDLLRFNLYINNLPKDHPDALFLMYYEYLI >Vigun03g032500.1.v1.2 pep primary_assembly:ASM411807v1:3:2504791:2508684:-1 gene:Vigun03g032500.v1.2 transcript:Vigun03g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGSPKPHTPFETRRNRLTWILGVSGLCILSYVMGAWRNTPTPNSQSDIYSKVDCNVGSTSSGTASSAVQSSATNLDFESHHQIDITNSAGIQDIPPCDISLSEYTPCQDRDRGRRFDRNMLKYRERHCPSKEEQLFCLIPAPPKYKPPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTTGTIRTAIDTGCGVASWGAYLLKRDIVAMSFAPRDTHEAQVQFALERGVPAMIGVMASQRTPYPARAFDMAHCSRCLIPWEKFDGLYLIEVDRILRPGGYWILSGPPIRWKTYWRGWERTQEDLKQEQDAIEEVAKRICWTKVVEKDDLAIWQKPKNHVGCKQTKQVYKTPHLCQSDNADRAWYQDLEKCITPLPEVSSSDKIAGGALEKWPKRAFAVPPRISSGSIPNIDEEKFQKDNELWRERIAHYKHLVPLHQGKYRNVMDMNAYLGGFAAALMKYPVWVMNVVPSNSDHDTLGAIYERGFIGTYQDWCEGFSTYPRTYDLIHAANVFGIYQDRCNITLILLEMDRILRPEGTAIFRETVEVLVKIKTITDAMRWKSSIMDHESGPFNPEKILVAGKTYWTAEVKEKPV >Vigun07g226800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34892744:34896415:-1 gene:Vigun07g226800.v1.2 transcript:Vigun07g226800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITLLGPKMVHKLSHSLIVLRSLCSHPFGGDGFECIEEPLKNLGLDCDSAVDVTLRLNEDLGYNQKQFSLRKGFLESVRLDAKRVLEVLRQDGPGLDARLVLGELHVRPSGLLVREVLFGILKNINSENKTRWAKLAYKFFVWCSQQEGYRHTANAYHLVMNIYAECEEFKALWRLVDEMIEKGLPATARTFNILIRTCGEAGLAKKLVERFIKSKTFNFRPFKHSYNAILYCLLVLNQYKLIEWVYQQMLLDGFSSDILTYNIVMYAKYRLGKLDQFHRLFDEMGRNGFSPDFHTFNILLHVLGKGDKPLAALNLLNHMREMGIDPTVLHFTTLIDGLSRAGNMDACKYFFDEMIKNGCIPDVVAYTVMITGYVVAHELEKALEMYQDMISREQVPNVFTYNSIIRGLCMAGKFDEACSMLKEMETKGCSPNSIVYDTLVSSLRNAGKTADAHEVIRQMTEKRKYTHIYSRYERKK >Vigun03g030500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2298237:2300523:-1 gene:Vigun03g030500.v1.2 transcript:Vigun03g030500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPLSALTSTKPTPLPTQDQDDQDSPSSKALLSFSTDSSDSSSPSSSSSSSKPLFITLIIITCIALSTSFAFAFLYFSSNPPISSTAHTPSLLARPLSKLNRPVILLVSSDGFRFGYQFKAPTPHISRLIANGTEAEAGLIPVFPSLTFPNHYSIVTGLYPAYHGIINNHFSDPLSGDAFYMGSHDPKWWLGEPLWETVVNNGLKASTYFWPGSEVKKGLWTCPFDYCRQYNASVSFEERVDTVLGYFDLPSHQIPDFMTLYFEDPDHQGHKVGADDPEITEAVARIDSMMGRLIRGLEQRGVFDDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIDVQKDWVVTHTPVLAIRPPSGHDASDVVAKMNEALSSGNVQNGKFLKVYLKENLPSRLHYSASDRIAPIIGLIEEGFKVEQKRTKRQECGGSHGYDNAVFSMRTIFIGHGPQFARGKKIPSFENVEIYNLVTSILKIKGATNNGSSSFAESVLLSSA >VigunL032400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:212651:212923:-1 gene:VigunL032400.v1.2 transcript:VigunL032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun10g019900.4.v1.2 pep primary_assembly:ASM411807v1:10:2289555:2300076:-1 gene:Vigun10g019900.v1.2 transcript:Vigun10g019900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHVSYFVLFAIPLLTPVFTDTVSLITVFGYVTYIDFMNNMGHCNFEIVPKWLFGIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTIDKSSDQLHDSALKRQEETPDVVHLTHLTTPKSIYHLRLGFSSLASKPYTPKWYLFFMWPLTAFSMILTWIYGRTFIVEENRFHKLKLQTWAIPKYNFQYSLQSQKLSINKMIEKAILDADKNGVKVVSLGLMNQGEELNIYGGLYVSKHPKLKVKVVDGSSLVVAVVLNSVPKGTTQVLLRGKLTKVACTLAFKLCHQGIKVATMHQDDYVKLKNLFNSSATNLSNLIIEKSCTQKIWLVGDELTEKEQLHAPKGTLFIPYSQFPPKKYRKDCSYHCTPAMLIPSCVENVHSCEDWLPRRVMSAWRIAGIVHSLETWNEHECHYTMQNIDKVWHSTLHHGFQPLAVSGCDHTSTNTLNFIRT >Vigun10g019900.3.v1.2 pep primary_assembly:ASM411807v1:10:2289555:2300076:-1 gene:Vigun10g019900.v1.2 transcript:Vigun10g019900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGILSNWPWKPLGTFKYLLLGPFVIRSWYMLVKDESERDITAFMILPFLLWRVLHNQIWITLSRHRNAKGNARILHKGIEFDQVDRETNWDDQILFNGLLYYLANCTLSGASRLPLWRTDGVIMAMVLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHVSYFVLFAIPLLTPVFTDTVSLITVFGYVTYIDFMNNMGHCNFEIVPKWLFGIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTIDKSSDQLHDSALKRQEETPDVVHLTHLTTPKSIYHLRLGFSSLASKPYTPKWYLFFMWPLTAFSMILTWIYGRTFIVEENRFHKLKLQTWAIPKYNFQYSLQSQKLSINKMIEKAILDADKNGVKVVSLGLMNQGEELNIYGGLYVSKHPKLKVKVVDGSSLVVAVVLNSVPKGTTQVLLRGKLTKVACTLAFKLCHQGIKVATMHQDDYVKLKNLFNSSATNLSNLIIEKSCTQKIWLVGDELTEKEQLHAPKGTLFIPYSQFPPKKYRKDCSYHCTPAMLIPSCVENVHS >Vigun10g019900.1.v1.2 pep primary_assembly:ASM411807v1:10:2289555:2300076:-1 gene:Vigun10g019900.v1.2 transcript:Vigun10g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGILSNWPWKPLGTFKYLLLGPFVIRSWYMLVKDESERDITAFMILPFLLWRVLHNQIWITLSRHRNAKGNARILHKGIEFDQVDRETNWDDQILFNGLLYYLANCTLSGASRLPLWRTDGVIMAMVLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHVSYFVLFAIPLLTPVFTDTVSLITVFGYVTYIDFMNNMGHCNFEIVPKWLFGIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTIDKSSDQLHDSALKRQEETPDVVHLTHLTTPKSIYHLRLGFSSLASKPYTPKWYLFFMWPLTAFSMILTWIYGRTFIVEENRFHKLKLQTWAIPKYNFQYSLQSQKLSINKMIEKAILDADKNGVKVVSLGLMNQGEELNIYGGLYVSKHPKLKVKVVDGSSLVVAVVLNSVPKGTTQVLLRGKLTKVACTLAFKLCHQGIKVATMHQDDYVKLKNLFNSSATNLSNLIIEKSCTQKIWLVGDELTEKEQLHAPKGTLFIPYSQFPPKKYRKDCSYHCTPAMLIPSCVENVHSCEDWLPRRVMSAWRIAGIVHSLETWNEHECHYTMQNIDKVWHSTLHHGFQPLAVSGCDHTSTNTLNFIRT >Vigun10g019900.2.v1.2 pep primary_assembly:ASM411807v1:10:2289555:2300076:-1 gene:Vigun10g019900.v1.2 transcript:Vigun10g019900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGILSNWPWKPLGTFKDESERDITAFMILPFLLWRVLHNQIWITLSRHRNAKGNARILHKGIEFDQVDRETNWDDQILFNGLLYYLANCTLSGASRLPLWRTDGVIMAMVLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHVSYFVLFAIPLLTPVFTDTVSLITVFGYVTYIDFMNNMGHCNFEIVPKWLFGIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTIDKSSDQLHDSALKRQEETPDVVHLTHLTTPKSIYHLRLGFSSLASKPYTPKWYLFFMWPLTAFSMILTWIYGRTFIVEENRFHKLKLQTWAIPKYNFQYSLQSQKLSINKMIEKAILDADKNGVKVVSLGLMNQGEELNIYGGLYVSKHPKLKVKVVDGSSLVVAVVLNSVPKGTTQVLLRGKLTKVACTLAFKLCHQGIKVATMHQDDYVKLKNLFNSSATNLSNLIIEKSCTQKIWLVGDELTEKEQLHAPKGTLFIPYSQFPPKKYRKDCSYHCTPAMLIPSCVENVHSCEDWLPRRVMSAWRIAGIVHSLETWNEHECHYTMQNIDKVWHSTLHHGFQPLAVSGCDHTSTNTLNFIRT >Vigun05g194000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:37602124:37605429:1 gene:Vigun05g194000.v1.2 transcript:Vigun05g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSLVFTLFFLLTMSSLNHVFSFASLPSYKDHCGSIVPESTATELTRNSFPFDDHNTGYFTGGDGIIDGGTSSYQYLTLQPLNVRATEFSDLFKVEASVSLASVITYHVGNFSYGDRLRHGGQHRYHRRHVSFKLEGFWSESSGKVCMVGTGSGYSKEGKHLNLDVVFNLDHVFNVSTITSLVSGSLVSLSSQNDESYFEPISVLMFPKGNYSYTLDSTEVAKEFSSGIDASAKGDFSFNSLSFCSFPLSREIRGLQLEFSPECNSSKNCTPLSESSGQVPSLMSLKGIECSLANNKYRLRVMVRFLNTSDYWVGKIFNPKTTLVGEGWWDEKKRMLCVVACKIMAKAPSMAGSQVGDCSTRLSLRFPSTWSIKSTSTIVGQIWTNKSAQDTSYFKKIAFNNGENGRLGIFQATYEYSQLEKVKKSCPTQKPVKNKGNRYPNVYSSDLRFDMAVSESNKRVAWGYSSPFSVGDDVSSWGNSISNSTVHEVKLNSTGGLFNISYKISLWFNSTNVSKSLLNESSLSVMISAEGVYDAGAGTLCMVGCRGLISNSLIPIAHSMDCDIVVKFQLPPLDAKNGIFIKGSIESTRKNSDPLYFKPLELSSAAFYTEAAEKVVWRMDMETIMVLISTTLACVFVGLQIYHVKKHTNVLPLLSLVMMAMLTLGHMVPLVLNFEALLAQNPSNKNFVFGTVGWLEVNEIAVRLITMAAFLLQFRLLQLTWSSRKSDESNKGLWIAERKAGYVTLPLYASGLLIALLLKSKNQHWENLKSYGGLVLDGFLLPQIILNLFSNMRENVLSCSFYFGTTFVRLLPHAYDLYRAHNYGQLDNGSYIYANPSADFYSTSWDIAIPLGGIAFAIIIFLQQRFGAHCVLPQKLKGSQVYEKVPVVAESEVEVETTIL >Vigun09g087900.1.v1.2 pep primary_assembly:ASM411807v1:9:11952178:11963706:-1 gene:Vigun09g087900.v1.2 transcript:Vigun09g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDVLRYLSKDDFRVLTAVELGMRNHEIVPTELIDRIARLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNKGVFVAVGRQIGVGKESDIFEVAQEDGTVLAMKLHRLGRVSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALETHGFPVPNAVEHNRHCVVMSLVQGYPLVQVKELQNPETVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDVECIFKFFRKRFNLSFEESLDDIDGSDEGRDEVGKPCFSAIERGAGFLDRELAASGFTRKDEEDIQRFIEGGAESDANSDTEEVDVVEDLNEAYTIDGDSSDLLEQNEGYESQRREESCEARESSGSEKEDASDNEENNEEAMENEAELVKSLNKQRRRAIASARKGQKTAGARNSYKDKGGRSSHNSKIQKQLSSW >Vigun01g073900.3.v1.2 pep primary_assembly:ASM411807v1:1:20513989:20520710:1 gene:Vigun01g073900.v1.2 transcript:Vigun01g073900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKHLWRQNGCGTKYGRQLVMRTDDFEKPAQTNVLCSNWKEWKQPIIWFQGTTDAVAAQFFLKNLHSQMRNAALDLFGDPQVLGSQPNVFGEIMRVLVSPSKDVEAAVNWVIGGGENPDISLHMRMLMNRSIRAVQAALHCIKKAIESQHLMSRPKVVVVSDTPTLVQSIVPNISEFAQVLYFDYGKFKGNVFEDLPKKDFRVKDWGPAPRWVAFVDFFLASRAKYAVVSGAHRRVGTTYAQLIAALAATRNLGENSTGSSFSFLSSFHSNILSEGLKNQVGWGHVWNRYGGELSCHNQTNQCAFTAILPPGWWDGLMQSPIPKDINRLAAYGIRLSALGAVDFDSLQNHCLSRKNVERTITFNL >Vigun01g073900.1.v1.2 pep primary_assembly:ASM411807v1:1:20513989:20520710:1 gene:Vigun01g073900.v1.2 transcript:Vigun01g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGGGRKRRMLLQPFLVLCATVTGVGLLMLALRPLDPPITVDFPRDVKLGDSKSSSSDGGGTGFVGEKPCATVEEMGKDFENGVVANETLRVRRIIEDHFILNGASRIRDLPPEQFCSHGFVLGKTAEAGFGNEMYKVLTAAALSIMLNRSLIIGQTRGKYPFGDYISYSNFTFTMNEIKHLWRQNGCGTKYGRQLVMRTDDFEKPAQTNVLCSNWKEWKQPIIWFQGTTDAVAAQFFLKNLHSQMRNAALDLFGDPQVLGSQPNVFGEIMRVLVSPSKDVEAAVNWVIGGGENPDISLHMRMLMNRSIRAVQAALHCIKKAIESQHLMSRPKVVVVSDTPTLVQSIVPNISEFAQVLYFDYGKFKGNVFEDLPKKDFRVKDWGPAPRWVAFVDFFLASRAKYAVVSGAHRRVGTTYAQLIAALAATRNLGENSTGSSFSFLSSFHSNILSEGLKNQVGWGHVWNRYGGELSCHNQTNQCAFTAILPPGWWDGLMQSPIPKDINRLAAYGIRLSALGAVDFDSLQNHCLSRKNVERTITFNL >Vigun01g073900.2.v1.2 pep primary_assembly:ASM411807v1:1:20513989:20520710:1 gene:Vigun01g073900.v1.2 transcript:Vigun01g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVLTAAALSIMLNRSLIIGQTRGKYPFGDYISYSNFTFTMNEIKHLWRQNGCGTKYGRQLVMRTDDFEKPAQTNVLCSNWKEWKQPIIWFQGTTDAVAAQFFLKNLHSQMRNAALDLFGDPQVLGSQPNVFGEIMRVLVSPSKDVEAAVNWVIGGGENPDISLHMRMLMNRSIRAVQAALHCIKKAIESQHLMSRPKVVVVSDTPTLVQSIVPNISEFAQVLYFDYGKFKGNVFEDLPKKDFRVKDWGPAPRWVAFVDFFLASRAKYAVVSGAHRRVGTTYAQLIAALAATRNLGENSTGSSFSFLSSFHSNILSEGLKNQVGWGHVWNRYGGELSCHNQTNQCAFTAILPPGWWDGLMQSPIPKDINRLAAYGIRLSALGAVDFDSLQNHCLSRKNVERTITFNL >Vigun10g027100.1.v1.2 pep primary_assembly:ASM411807v1:10:3273567:3280874:-1 gene:Vigun10g027100.v1.2 transcript:Vigun10g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGEEGNNLEFTPTWVVAVVCSVIVAASFAAERFLHYGGKFLKKKNQKPLYEAMLKIKEELMLLGFISLLLTVTQNGIIKICVPESWTHHMLPCNLKDKKQKESAKLTEHFQTFFSLTDIPSSVRHLLADNEGENHHAAAAVKLGHCARKGKVPLLSVQGLHHLHIFIFVLAIVHVTCCAVTVVFGGLKIRQWKHWENSIGDENSGTPDLEATVTHVHDHAFIQNRFIGFGKDSAILGWVRSFFKQFYGSVTKLDYVTLRLGFIMTHCRGNPKFNFHKYMIRALEHDFKKVVGISWYLWIFVVIFMLLNINDWHTYFWISFVPLFLLLAVGTKLEHIITQLAHEVAEKHSAIEGELVVQPRDDHFWFHRPHIVIFLIHFILFQNAFEIAFFFWILFTYGFDSCIMGEVCYIIPRLVIGVFIQVLCSYSTLPLYAIVTQMGTNFKKAIFDEQMQARLVGWVQKAKKKSLKGDNNGQSIQGSAQVGVEIQMEPVPEENVIVPEENVIVPRDEGHE >Vigun11g048050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7482848:7483150:-1 gene:Vigun11g048050.v1.2 transcript:Vigun11g048050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAHCRLSHLSWIVIEQRDEYGSSIYLGVISKWVGNFHLLFHSLVHSVHVLHLLISIACFNPIKHFIEQVVCILFIHGSTRITIMLSQTIFLICITYRL >Vigun10g044400.11.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.7.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384184:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.5.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.8.v1.2 pep primary_assembly:ASM411807v1:10:6379466:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.12.v1.2 pep primary_assembly:ASM411807v1:10:6379462:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCSGKAYKTNSRNHCTTFIRWACNSSCGGKPHMYDF >Vigun10g044400.6.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384184:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.9.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.1.v1.2 pep primary_assembly:ASM411807v1:10:6379462:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.3.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.10.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.4.v1.2 pep primary_assembly:ASM411807v1:10:6379476:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun10g044400.13.v1.2 pep primary_assembly:ASM411807v1:10:6379462:6384183:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCSGKAYKTNSRNHCTTFIRWACNSSCGGKPHMYDF >Vigun10g044400.2.v1.2 pep primary_assembly:ASM411807v1:10:6379466:6384209:1 gene:Vigun10g044400.v1.2 transcript:Vigun10g044400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDEGNGELENGVSMGCGEVDFEEEAKPDIAAIEDAVKVLLLGLGEDINREGLRKTPLRVAKALREGTRGYRQKVKDIVEGALFPEAGLENNRIGHAGGGGGLVIVRDLDLYSYCESCLLPFQIKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQRLADEVCSALYQGIKPAGVALVLQCTHIHFPDLESIFLESSQQAWLKTLVLSGSGIFDDRNADVWSDFFCLLKFRGIEIEKAHLRGSSDQWWCPSLSALSAKVSSKTVPVNPVMVTAVSSILKSLGEDSLRKELTGTPGRFVKWLLNFQSIDMDVKLNGSLSCGTDTFNPDREVNFKDRQIHCELNLPFWSQCEHHILPFHGVVHIGYFISEGFNPIAKSLVQSIVHFYGFKLQVQERLTRQIAETIAPLLLGGHVIVVVEASHTCMISRGIEKFGSSTATIAVLGRFSTDLAAKAMFFQSVASATTSGGQ >Vigun09g208500.1.v1.2 pep primary_assembly:ASM411807v1:9:38263773:38265186:1 gene:Vigun09g208500.v1.2 transcript:Vigun09g208500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFSFVILLSLFLKQVSLVIGDVGTAASYGPPYIPTACGGNRAGQFPPGNLFVAVNEGLWDNGAACGRRYRIRCVSGNNKPCKGGSIDVKVVDSCSASPCPNSLLMSNDAFAAISRFPHAKINIEYTQI >Vigun04g174900.1.v1.2 pep primary_assembly:ASM411807v1:4:39906768:39909622:1 gene:Vigun04g174900.v1.2 transcript:Vigun04g174900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEEEEAVAPMVKLGSYGGEVRLMIGGEESAAEETMLLWGIQQPTLSKPNAFVSQSSLQLSLDTCGHSLSILQSPSSLGTPGVTGAVMWDSGVVLGKFLEHSVDSGMLVLQGKKIVELGSGCGLVGCIAALLGGEVVVTDLPDRLRLLRKNIETNMKHVSLRGSITATELTWGEDPDSELIDPTPDFVLGSDVVYSEGAVVDLLETLMQLSGSNTTIFLAGELRNDAILEYFLEAAMNNFAIGRVDQTLWHPDYCSNRVVLYVLVKK >Vigun11g034400.1.v1.2 pep primary_assembly:ASM411807v1:11:4639748:4643273:1 gene:Vigun11g034400.v1.2 transcript:Vigun11g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKAVLIGINYPGTKAELKGCINDVWRMQQCLVERYGFSEDNITVLIDTDKSYTEPTGKNIRSALTSLVRSAEPGDVLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFREFVDGIPRGVRITIVSDSCHSGGLLEEAKEQIGESTKGEEEESQSGSGSGFGFSNFLHRKVEDAIESRGFHIPSGLRHHRDRDDGDEADDRDIDLPHGDYGYVKNRSLPLSTLIDILKQKTGKDDIDVGKLRPTLFDVFGEDSSPKVKKFMNVILNKLQQGGGEGGGGILGFVGGLAQEFLKHKLDDDEGYAKPALETRVESKQEAYAGSSKHSLPDGGIMMSGCQSDQTSADASPSGNAAGAYGAFSNAIQEILKESDGAITNHELVQRAREKLKKTGFTQKPGLYCSDHHVDAPFVC >Vigun05g161100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25663447:25663719:1 gene:Vigun05g161100.v1.2 transcript:Vigun05g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MVKKSFIPVISQEKKGKKPGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKRQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun11g027100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3531843:3532554:1 gene:Vigun11g027100.v1.2 transcript:Vigun11g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun05g227400.1.v1.2 pep primary_assembly:ASM411807v1:5:42045336:42052717:1 gene:Vigun05g227400.v1.2 transcript:Vigun05g227400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNTTSLVVAGLPGSQLGCHNLRNRQHSLTLSWEKHLKCAHKWGGMCVGRTTRIWGVENPWKPMKVVMQVVDHHPMDGDVSRGVHKDLVALPKPLTISDIVAASDADAKVRISYKGMPGSYSEDAALKAYPNCETVSCNDFEEAFKAVELWLADKVILPIENTSGGSLHRNYDLLLRHRLHIVGEVQMSTNLSLLALPGVRTEYLKRVLSHSQALELSDDFLTKLGVARENVDDTAGAAQIIASNGLHDAGAIASIRAAEIYGLNVLAERIQDDYEIISRYLVLARDPIIPKADKPFKTSIVFTLNEGPGVLFKALAVFALRDINLSKIESRPQRNRPLRVVDDSNTGTAKYFDYLFYIDFEASMTEPRAQTALAHLQEFATFLRVLGCYPIDTTTL >Vigun01g009100.1.v1.2 pep primary_assembly:ASM411807v1:1:1070302:1073591:-1 gene:Vigun01g009100.v1.2 transcript:Vigun01g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSNSKPNPSFTPPPPLNPWLNSTAAAAAAAAEPLNPMVLAMHLSDPKSKTLIPNSTLILMDRTLLLSDEILIRIFQKLPDSQRNSNSLVCKRWMNLQGRLVRTLRVLDWNFVLSGRLTHRFPNLNHVDLVPGSFASPQELANIVVSHKQVSLRVDSTWRVENVLPSEAVDAGLRSLSGGCPNLRKLEVAGAGEAGISTVGSECATLQELELQRCDDAVLRGVAACRNLQILKLVGSLKGFYDSVVSDIGFTILAQGCRRLVKLELVGCEGSFDGVKAIGQCCVMLEELVIVDHRMDDGWLAGLSFCENLKTLRVQSCKVIDGSPGLEEHLGCCEALERLHLQKCQLRDRNGVGALFSVSRNARDIVLQDCWGLDNSTLSLAIVCRRVKLFYVEGCSLLTTEGIESVIEHWKELECLRVESCKNIKDSDISPELATLFSTLKELKWRPDTKYLFPSDMGIGMGRKGGKFFKRT >Vigun01g009100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:1070302:1073591:-1 gene:Vigun01g009100.v1.2 transcript:Vigun01g009100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSNSKPNPSFTPPPPLNPWLNSTAAAAAAAAEPLNPMVLAMHLSDPKSKTLIPNSTLILMDRTLLLSDEILIRIFQKLPDSQRNSNSLVCKRWMNLQGRLVRTLRVLDWNFVLSGRLTHRFPNLNHVDLVPGSFASPQELANIVVSHKQVSLRVDSTWRVENVLPSEAVDAGLRSLSGGCPNLRKLEVAGAGEAGISTVGSECATLQELELQRCDDAVLRGVAACRNLQILKLVGSLKGFYDSVVSDIGFTILAQGCRRLVKLELVGCEGSFDGVKAIGQCCVMLEELVIVDHRMDDGWLAGLSFCENLKTLRVQSCKVIDGSPGLEEHLGCCEALERLHLQKCQLRDRNGVGALFSVSRNARDIVLQDCWGLDNSTLSLAIVCR >Vigun10g066100.1.v1.2 pep primary_assembly:ASM411807v1:10:15008830:15009999:1 gene:Vigun10g066100.v1.2 transcript:Vigun10g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIVNKNKPHVLVLLVILIASSVAEKTSVVEGRTLSLTPLQGYSKNLASLGLVCKCCDGDGGACRSLWTESCSNLQCSPWKSHLIIS >Vigun04g114100.1.v1.2 pep primary_assembly:ASM411807v1:4:28595019:28598712:-1 gene:Vigun04g114100.v1.2 transcript:Vigun04g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDVEGGSFSAKDYHDPPPAPLIDGEELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQSDVKAGGDLCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAICGVGLVKAFQKGYYNRYGGGANELSEGYSTGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDHWIFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSNPTI >Vigun05g289900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47658002:47658166:1 gene:Vigun05g289900.v1.2 transcript:Vigun05g289900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCSFTSIFVLFSFSNISANCSSSCFSKKLSSMKSMNTALRIKDKILRIVIRR >Vigun05g071001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6106627:6107142:-1 gene:Vigun05g071001.v1.2 transcript:Vigun05g071001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGYGFRVSDYGFLVSSFRLWVWNFGFRLSSFRFRVSGFRLRVSTYAFRVSSLKFGVSDFRLRVLGFRFRVSSLVFRVTGFGLRVSSFELHVFGFRFRDSCFKFPVSDFGFEVSGIGLGISGYGFRVSRFVFRVSSFGFRVSDLRFRVSDFGFEVWCFRLGISSYGFRI >Vigun10g083933.1.v1.2 pep primary_assembly:ASM411807v1:10:23770638:23773035:-1 gene:Vigun10g083933.v1.2 transcript:Vigun10g083933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSSTWGHVVTPAGHHQSHSGTLKVRISSTSQPPIAPQCNSQPPHQSFPVSEPTIPTTSHSYSENEEEENEETELQVDEQRPATRKRAWFVNVIDDQGKRITKQLRTNDVWHLPPSERIVVQWNNEGQPIADGGALLNRFLGSIARNSNSLPISYSSWKKIPKDYKEDVVKNTIQAKFDVHSDVHISHILKSLNIKWRDHRQELWQLRNDGTRTRDELITMVPAGIDRDHWASFVDYRLNSKTKEHALKNKDNRAKQTIAHTCGSKSIARKRDEMEKECGHKVSRGEVWIATQG >Vigun08g146000.1.v1.2 pep primary_assembly:ASM411807v1:8:31901868:31905686:1 gene:Vigun08g146000.v1.2 transcript:Vigun08g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILFFIFCLVTTHISYYVDGIRVTSKEDVKFEIKANPITNSPIKTIHTKFGDIVDCVDIYKQPAFNHPLLRDHKLQLKPNFEIEETAGNSLEIESMFGLDEEICPDGTVPIQRTIKDHITEEKLSLNHHMLVKSNPGVHVAEVSLNKRSGPYYKVSGTTSVYNPQIHKNTQISLAHLWAENGSGNGINKISAGWHVDPMLYGDNATHFYTSWTTDNFWKTGCFNVRCPGFIQIDKRKIYLGGRVSNISVYGGPIFEIPITLTLDPMTKSWWLSSGQTSIGYFPAALFKNFESASVVGWGGRTRTDVGNTSPEMGSGYFPDRKMTHSCYFRSALIEDESRKIFPPKPDQTSSFSDVTKCYGVNYYGDQGGYLGAVLLFGGPGRVCGD >Vigun06g005232.1.v1.2 pep primary_assembly:ASM411807v1:6:2747467:2748518:1 gene:Vigun06g005232.v1.2 transcript:Vigun06g005232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRFKVVVHHGGHFVQNGGLLYVGGLIDQWSCDPDTWGYFEVLDTLKLMGYLEVKELWYVVDRQLQLLYDDNGSINMVNVAKRTGEVHLFVVHIVSEAIVVDDDIDDNLEYAIVQVNAELGHGGGLSEDEGGNGGEGKADLGGDAEADLGAAVGEDEGENLADLGGEAEAEVGGATGLDEGGNGGEAEAEVGSAVGLDEDGNGGEAEAEVGGAAGLDEDGNRGEVEVDLGGQAEGNLGGAAGLDEMDIEAKVHSWDESDTEDEEFVDIPVNIMGDNNTSDNDIPSVELSNNSYNTTNSHHKYSTTLYESLYNTNHHQ >Vigun10g097100.1.v1.2 pep primary_assembly:ASM411807v1:10:28452442:28457112:1 gene:Vigun10g097100.v1.2 transcript:Vigun10g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMGLLFLFLLGAAWVCDARELTNYGEVNRKSDACELCEEYTTEVLDYLNDKENQREIIDALHDKCYQMLSFKQQCIELVDHYAPRFFSEIASVLPKELCRQVHLCQSANISSQVQGNTCDSCKDTVSAILVKLNDPDTKLEIMEALLKACNSMDQLSKKCKRMVFEYGPLIIVKAEKYLKTTDICTTLHVCPASTAISNNEASIMEEVPLISDS >Vigun09g068400.4.v1.2 pep primary_assembly:ASM411807v1:9:7298231:7304358:1 gene:Vigun09g068400.v1.2 transcript:Vigun09g068400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTGPVAARLAFFPPEPATYEISRERDGKVVISGVSGDKNLEAHVLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMHDLFLELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKREYGVEPQELILYGQSVGSGPTIHLATKLRNLRGVVIHSGILSGIRVLYPVKVTFWFDIFKNIDKIRHVDCPVLVIHGTNDEIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLEAFPEYIKHLRKFLNAMEKISITGETNKPLTQNPPNTETKHNSESKQNKCLRFGLMR >Vigun09g068400.1.v1.2 pep primary_assembly:ASM411807v1:9:7298119:7304358:1 gene:Vigun09g068400.v1.2 transcript:Vigun09g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTGPVAARLAFFPPEPATYEISRERDGKVVISGVSGDKNLEAHVLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMHDLFLELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKREYGVEPQELILYGQSVGSGPTIHLATKLRNLRGVVIHSGILSGIRVLYPVKVTFWFDIFKNIDKIRHVDCPVLVIHGTNDEIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLEAFPEYIKHLRKFLNAMEKISITGETNKPLTQNPPNTETKHNSESKQNKCLRFGLMR >Vigun09g068400.2.v1.2 pep primary_assembly:ASM411807v1:9:7298121:7304358:1 gene:Vigun09g068400.v1.2 transcript:Vigun09g068400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTGPVAARLAFFPPEPATYEISRERDGKVVISGVSGDKNLEAHVLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMHDLFLELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKREYGVEPQELILYGQSVGSGPTIHLATKLRNLRGVVIHSGILSGIRVLYPVKVTFWFDIFKNIDKIRHVDCPVLVIHVRELMMKSLTGPMENDCGNFRRKNMTLCG >Vigun06g210000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32312492:32313584:-1 gene:Vigun06g210000.v1.2 transcript:Vigun06g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKNPVVFEDYFPAMMEKLGTEGFMKELTNGFQLLMDREKKVITFESLKRNSALLGLEGMNDDEIRCMLREGDLDGDGALDEMEFCTLMFRLSPALMNNSKELLEEAIFPSH >Vigun04g055300.2.v1.2 pep primary_assembly:ASM411807v1:4:5383121:5386065:-1 gene:Vigun04g055300.v1.2 transcript:Vigun04g055300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHHHGGYEGQGDKVDDFDEYDPTPYGGGYDIALTYGRPLPPSEETCYAIGDSSSADDSFDYDRPQYSSNAEPSAYGDEALVTEYSSYSRPKPRPAPAGFNPAAGSGYGGSGSEYGSGYGRKQESEYGSSGYGGRKEESEYGSGYGGRKEESGYGSGYGGRKEESEYGSGYGGRKEESEYGSGYGGRKEESEYGSGYGGRTEESEYGTGYGRKQESGYGSGYGGRKQEGEYGSGYGGRKEESEYGSGNGGRQEESNYGSGYGGSRPESEYGSGYGGRKEESAYGSGYGGRKEESEYGSGYGGRKEESEYGSGYGGRRQESEYGSGYGGRKEESEYGSGYGGRKSSGYGEEQQGGVEYGYGRPPQEEGYRKPSYERRDDDDDEGYGRKKYGGDSDDDDDRKKHHHKHHHHHQSYDDE >Vigun04g055300.1.v1.2 pep primary_assembly:ASM411807v1:4:5383121:5386065:-1 gene:Vigun04g055300.v1.2 transcript:Vigun04g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHHHGGYEGQGDKVDDFDEYDPTPYGGGYDIALTYGRPLPPSEETCYAIGDSSSADDSFDYDRPQYSSNAEPSAYGDEALVTEYSSYSRPKPRPAPAGFNPAAGSGYGGSGSEYGSGYGRKQESEYGSSGYGGRKEESEYGSGYGGRKEESGYGSGYGGRKEESEYGSGYGGRKEESEYGSGYGGRKEESGYGTGYGGRKEESEYGSGYGGRTEESEYGTGYGRKQESGYGSGYGGRKQEGEYGSGYGGRKEESEYGSGNGGRQEESNYGSGYGGSRPESEYGSGYGGRKEESAYGSGYGGRKEESEYGSGYGGRKEESEYGSGYGGRRQESEYGSGYGGRKEESEYGSGYGGRKSSGYGEEQQGGVEYGYGRPPQEEGYRKPSYERRDDDDDEGYGRKKYGGDSDDDDDRKKHHHKHHHHHQSYDDE >Vigun09g150800.1.v1.2 pep primary_assembly:ASM411807v1:9:31518384:31530838:1 gene:Vigun09g150800.v1.2 transcript:Vigun09g150800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISFSTLKVPSVRTIPCETQKSLFNARCAVRVSRFLGTRLRSSGKWLGSERLHVWKSEGPLRTPKLRVVVRSGMSTVPKKPLGLYDPAMDKDSCGVGFVAELSGEGNRKTVTDSLEMLVRMTHRGACGCEANTGDGAGIMVALPHQFYKEVVDFELPPPGKYAIGMFFLPTSDSRREKSKIVFEKVAESLGHSVLGWRSVPTDNSELGKSAQETEPVIEQVFLTPSTLSKVDLERQMYILRKLSMVAITSALNLDNDGITDFYICSLSSRTVVYKGQLTPAQLKDYYFADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSENELKKLLPIVDANSSDSGAFDGVLEFLIQSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYFSALMEPWDGPALIAFTDGHYLGATLDRNGLRPGRFYVTHSGRVVMASEVGVVDIPLEDVCRKGRLNPGMMLLVDFEKHIVVNDDALKEQYSLARPYGEWLKKQKVELKDIVDSVQQSEREPPTIAGVVPAYGDDVDMENMGVHGLLAPLKAFGYTVESLEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTEITEAQCHRLSLKGPLLSVEEMEAIKKMNHRGWQSKVIDITYSKSRGKKGLEEALDRICAEAHDAIGDGYTTLVLSDRDFSRNRVAVSSLLAVGAVHQHLVKTLERTRVALIIESAEPREVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKSSGEFYSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIDRCFAGTPSRVEGATFEMLARDALQLHELAFPSRVFSPGSAEATALPNPGDYHWRKGGEIHLNDPLAISKLQEAARTNSIDAYKQYSKLIHELNKACNLRGLLKFKEAAVKVPLDEVEPASEIVKRFCTGAMSYGSISLEAHTALATAMNKMGGKSNTGEGGEQPSRMEPLSDGSKNPKRSAIKQVASGRFGVTSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTPGVGLISPPPHHDIYSIEDLAQLIHDLKNANPAARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIASLLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMIAEEMREIMSQLGFRTVNEMIGRSDMLEVDQEVIKSNEKLENIDLSLLLRPAAELRPEAAQYCVQKQDHGLDMALDNKLIALSDAALQKGLQVYIESPILNVNRAVGTMLSHEVTKRYHLNGLPTDTIHIKFEGSAGQSFGAFLCPGITLELEGDGNDYVGKGLSGGKIVVYPSKVSTFDPKQNIVIGNVALYGATSGEAYFNGMAAERFCVRNSGVKAVVEGVGDHGCEYMTGGIVVVLGNTGRNFAAGMSGGIAYVLDMDGEFLSRCNHELVDLDKIEEEDDIATLKMLIQQHQRHTNSVLAKEVLADFGSLLPKFIKVFPKEYKRVLASMKLKEASEDAAESASKHGEEQGEMKLAEKDAFKELKKLATASSNGKPSEAESSKRPSQVIDAVKHRGFVAYEREGVQYRDPNVRLNDWKEVMKETQPGPLLNTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPANRTGKRVAIVGSGPSGLAAADQLNKMGHIVTIYERADRIGGLMMYGVPNMKADKENIVQRRVNLMAEEGINFVVNASVGHDPSYSLDRLQEENDAIVLAVGATKPRDLPVPGRELSGIHFAMEFLHANTKSLLDSNLQDGNFISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQWPRIYRVDYGHQEGAAKFGKDPRSYEVLTKRFVGDENGVVKGLEIVHVRWEKDATGRFQFKEIEGTEEIIEADLVLLAMGFLGPEPTIAEKLDMERDNRSNFKAEYGRFSTSVRGVFAAGDCRRGQSLVVWAISEGRQAAAQVDRYLTNDHNIAGNPDELTKHKQDLTKKGTIAVANTQ >Vigun09g203700.1.v1.2 pep primary_assembly:ASM411807v1:9:37829162:37835238:1 gene:Vigun09g203700.v1.2 transcript:Vigun09g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSAQSSFRDRTLEFHTIAERLKKPGSAPNGQSSNLSRLEEQRSAITNQSEFNRRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTGVIKQDITALNSAVVDLQLLCNSRNESGNASTDTTSHSTTVVDDLKTRLMGATKEFKDVLTMRTENLKVHENRRQLFSASGSKDSANPFVRQRPLATRSAASSSNAPAPPWASGSSSSQLLAKKQVDGESQPLLQQQQQEVAPLQDSYMQSRAEALQNVESTIHELSNIFNQLATLVSQQGEVAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMVFLFFVA >Vigun09g203700.2.v1.2 pep primary_assembly:ASM411807v1:9:37829163:37835160:1 gene:Vigun09g203700.v1.2 transcript:Vigun09g203700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKSAQSSFRDRTLEFHTIAERLKKPGSAPNGQSSNLSRLEEQRSAITNQSEFNRRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTMEIQELTGVIKQDITALNSAVVDLQLLCNSRNESGNASTDTTSHSTTVVDDLKTRLMGATKEFKDVLTMRTENLKVHENRRQLFSASGSKDSANPFVRQRPLATRSAASSSNAPAPPWASGSSSSQLLAKKQVDGESQPLLQQQQQEVAPLQDSYMQSRAEALQNVESTIHELSNIFNQLATLVSQQGEVAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMVFLFFVA >Vigun09g218900.1.v1.2 pep primary_assembly:ASM411807v1:9:39275136:39276996:-1 gene:Vigun09g218900.v1.2 transcript:Vigun09g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCERGNDLQALVAIMVDQYLCRNKFYQTRVTFCNEVLPLFSNLPPNENLMNLEEILNQYILMKKQNIWLEAEKVMLMQEKNRIQMLLQDIQKGMDNFHARSPMSNVTTVVTNPAIIPLVENSIQNPPVVSSTTVFPVQNTMSLPPPKTMFHTHFSSPMIKVSDMKRKDTPTVDGCAISKKPRGRPPGKKKQVQCTNMLLPSPNNIVDSGSSSASTESLVVKSAQRELQISSNSVSRTHPTIHSFQRDTYVSLPPTHISHDATCNKEVVSPSYNVISTKRDMVEPVKQMVCKEGNSSFSPIVADNDETHKENTSKESNKDIDKTSTRVLDTNSSHKLENLDNSFSKENPTSESKNLDNSFSKENPTSESNKGIVDWSQIDCSNMEWDNWSNSDFFNLE >Vigun06g214200.1.v1.2 pep primary_assembly:ASM411807v1:6:32563891:32568059:-1 gene:Vigun06g214200.v1.2 transcript:Vigun06g214200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSFPNTLVTSFLPSLIFKFPISLPFSHPGIPSSGRKSLVIRIQSIDHRIEPISSNLMASEKESEKLVRNLYPQIEPYSTGFLKVSDLHTIYWEQSGNPSGHPVVFIHGGPGGGTSPSNRRFFDPDFYRVVLFDQRGAGKSTPHACLEQNTTWDLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGMILRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPESERGCFVDAYNKRLNSNDIETQYAAARAWTKWEMMTAHLLPNEDNIKKGDDDYFSLAFARIENHYFVNKGFFPTDSYLLNRIDKIRHIHTTIVQGRYDICCPMMSAWDLHKAWPEADFRVVPDAGHSANEPGITAELVAANEKLKNIIKNKGE >Vigun06g214200.2.v1.2 pep primary_assembly:ASM411807v1:6:32563891:32568059:-1 gene:Vigun06g214200.v1.2 transcript:Vigun06g214200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSFPNTLVTSFLPSLIFKFPISLPFSHPGIPSSGRKSLVIRIQSIDHRIEPISSNLMASEKESEKLVRNLYPQIEPYSTGFLKVSDLHTIYWEQSGNPSGHPVVFIHGGPGGGTSPSNRRFFDPDFYRVVLFDQRGAGKSTPHACLEQNTTWDLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGMILRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPESERGCFVDAYNKRLNSNDIETQYAAARAWTKWEMMTAHLLPNEDNIKKGDDDYFSLAFARIENHYFVNKGFFPTDSYLLNRIDKIRHIHTTIVQGRYDICCPMMSAWDLHKAWPEADFRVRLKCCF >Vigun04g199900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42380140:42380700:1 gene:Vigun04g199900.v1.2 transcript:Vigun04g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKLGLLLSLLLTTLYHFQLKGTESGVMASEVEDSFLPPSKVDVIIINFMAKKDLTVHCKDKHHDLGNEKLSYKERFNFSFKPNKFVFVTLYFCRFTWIGASHHFDIYDEYRDDCGECLWHIFESGPCNLNPRFKICYMWNN >Vigun05g039300.1.v1.2 pep primary_assembly:ASM411807v1:5:3141860:3143467:1 gene:Vigun05g039300.v1.2 transcript:Vigun05g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCASTCVIMEGRLSGLRKGAWSQTEDELLRECMQLYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLKPNIKRGDFSEDEVDLIIRLHKLLGNRWSLIAGRLPGRTSNDVKNYWNTNMRRKVQSHSKDEENNNKNNVKESERSWKPHHQVIKPVPRALPKASPLVQRQFMSCSKVGVSEAVSAGSENWWETLLDEKEDNIAVNDSTCFPRAKDGSFELWNEELGSIASEFLEEGETWSDFLLN >Vigun01g027700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3304948:3305919:-1 gene:Vigun01g027700.v1.2 transcript:Vigun01g027700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLLTIRTLVSSLTSSSDQTRLAALRHLRRTSNEDPASRTIISAAGAVPLLAAALYSPSHPIQDDAAATLLNLSISDRRPLLSCPALLPSLAHVLSRHATSSAPSAVQSAAATLHSLLAVVAEFRPTVGAKPEILRALISIISDPDSLTRTIKDALKASFGIALYRPSRTALVRLGAVPVLFGLVAKDKDGKRKVGIIEDATAVIAQIAACEESEDAFLKVSGVSRLAMMLCSESGNCSLRTKENAVAALLNLVRYGSERVVGEVKNKVGALDGISYVQEHGSPKGKSKAVAFFKLLLDGGSSGEIAQDLYPVHSDSGSSR >Vigun04g148300.1.v1.2 pep primary_assembly:ASM411807v1:4:36401973:36406515:1 gene:Vigun04g148300.v1.2 transcript:Vigun04g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNSLFYPILGFASFVVFVYMSFGGIRFSFLEEGPELSFVERNGTQFVLDGKAFYVNGWNSYWLMVQSVDVYSRSKVREMMKTGAKMGLTVCRTWAFNDGDYNALQTSPGHFDEQAFQALDYVIAEARQRGIRVLLSLVNNLQAYGGKSQYVKWAWQEGVGLSSSNDSFFFDPSIRTYFKNYIKTVLTRKNSITGIEYRNDPTIFGWELINEPRCMSDPSGDTLQGWIDEMSSFVKLIDKKHLLTVGLEGFYGPNDPKSSTVNPELWASRLGSDFIRNSKISNIDFASVHIYPDHWFHEQVFEDQLKFVSKWMLSHIEDGDKVLKKPVLFSEFGLSEQNQNFSVSDREKMHRAVLDIIYKSAKRNRSGAGSLVWQFLVSGMKEFSDEYGMVPWESSSTPSVFVEQSCRLANAKGWTQLDVSFKEHC >Vigun07g090300.1.v1.2 pep primary_assembly:ASM411807v1:7:14217344:14223001:-1 gene:Vigun07g090300.v1.2 transcript:Vigun07g090300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSKDDNLVLSSEDSSCPEESEIELGLGLSISGPSKSHHHVHAHAPSPYARIFSSKAFPSSPSPSPSSSSSSSPNITAGTKRAAESLVANNRPSQVVGWPPLRTYRVNSYNIHAKSTEVFDSVTEKTKGNNTVVRKSADNGNDNNINAKEKRQLRSSLFVKVNMDGIPIGRKVDLSSHSSYETLAQTLEDMFNESTTVTTCKGANGEDHGIIIGGERHSKLLDGSSKFVLTYEDKEGDWMLVGDVPWGMFLSSVRRLRIMRTSEANGLAPRLEENIRQKCKPI >Vigun07g106600.1.v1.2 pep primary_assembly:ASM411807v1:7:19574853:19579341:-1 gene:Vigun07g106600.v1.2 transcript:Vigun07g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVMSNSNSLSEEATVSCGTRIAGLNHVVTTIISPQHPQKIKKKRNLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVKKKAYVCPEPSCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLATSTTNPLVQSLFLFPTQQHNNNNINTTNFINPWDPNPNPNPSNLPTLHNTIKPESHNFHTPIFNNTNNISSPSLLLHHPPKTVITSPYGDLHVRPSNAATSAHLSATALLQKAATFGAAAITGPTHVTPLSMPELGTGSTQLDSVPPERYMNMRGLTNSDGLTRDFLGLTNGDAVNVSIDVKDMLTFTGGSVEYNHYHHQPYDHHHNHSNSLFKPQHGFGFLGTTTGPESWGNCE >Vigun03g352900.1.v1.2 pep primary_assembly:ASM411807v1:3:55466084:55468270:1 gene:Vigun03g352900.v1.2 transcript:Vigun03g352900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTTVTAINTTPPSRDEPDYDSSSSSITVPESSRSWMTNLSFGSRRRRSSVSVCSSTLESPHARPHKANQAAWEVMRRLRRDKGQVGLEHFRLMRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLQRAEMEKEILAMLDHPFLPTLYTEFDASHYSCLVMEFCPGGDLYAARQRQPGKRFSITSTKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRSKTRVERSIKSTKRSVPACTAPMQPVLSCLLASSKKKKATVTTVIRENVEVEELDPELVAEPIDAKSKSFVGTHEYLAPEVILGQGHGSAVDWWTFGVFLYEMLYGRTPFKGENNEKTLVNILKQPLAFPRIAVSSSKDYEEMVKVQDLISKLLVKNPNKRIGSCTGSVEIKRHEFFKGVNWALIRSVRPPEVPSDMNKIRSSRVLLPKLSKTERDQPYQLRTHHFEYF >Vigun05g009500.1.v1.2 pep primary_assembly:ASM411807v1:5:773688:777598:1 gene:Vigun05g009500.v1.2 transcript:Vigun05g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSNSASPNMLPNSVLRPSCSMSRYLQEDREVSNFNLQPLDCNNNSVPSNSMSMNPYMMSQFRQDRERSSSSNLVPSSLLTNSIQNPIYPMFEYCQQDREANNLILPLDFNNNLASSNMVSNFMSNPFHSMPQYNEEHRQGSNCNQAMDFLNNSVSSNSMLMPSCPILHYHQEKDRERRNTFPLPLDFNSNSASTNVLPNSISIPPYPMPQYYPQNIQEGKLRSFWEKQLLDIEAAEAFKSQHKLPLARIRRIMKTDADVQMVSAETPMLMSKACEIFIQELTFRAWMRAEESNKSTVQPCDVAKVIMQNNAMQFLTEIVPDNLQNFCAYGAQQEERAAGNIAEGMHSSQAALPATPAFMGNPMNVMNMGRGLEAGNQLIPQPNNMIQPPFVMPSDQLPYNFHPK >Vigun05g009500.2.v1.2 pep primary_assembly:ASM411807v1:5:773688:777598:1 gene:Vigun05g009500.v1.2 transcript:Vigun05g009500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSNSASPNMLPNSVLRPSCSMSRYLQEDREVSNFNLQPLDCNNNSVPSNSMSMNPYMMSQFRQDRERSSSSNLVPSSLLTNSIQNPIYPMFEYCQQDREANNLILPLDFNNNLASSNMVSNFMSNPFHSMPQYNEEHRQGSNCNQAMDFLNNSVSSNSMLMPSCPILHYHQEKDRERRNTFPLPLDFNSNSASTNVLPNSISIPPYPMPQYYPQNIQEGKLRSFWEKQLLDIEAAEAFKSQHKLPLARIRRIMKTDADVQMVSAETPMLMSKACEIFIQELTFRAWMRAEESNKSTVQPCDVAKVIMQNNAMQFLTEIVPDNLQNFCAYGAQEERAAGNIAEGMHSSQAALPATPAFMGNPMNVMNMGRGLEAGNQLIPQPNNMIQPPFVMPSDQLPYNFHPK >Vigun11g200200.1.v1.2 pep primary_assembly:ASM411807v1:11:39840333:39845005:-1 gene:Vigun11g200200.v1.2 transcript:Vigun11g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQFGRHGVRQIIRTRDISYDNVVVNPLLFASQGLRYKRKLQVILTTDIDKLGKAGDTVKVAPGYFRNHLMPKLLAFPNIDKFAYLLNEQLKIYQPTEEEKQEDLTVVKESKEDMMKEYERAALRLDKAKLVLRRLIDVQKAKSRESKADPLELRSPVTKDNLVAEVARQLCVNIAPDNLHLPLPLSTLGEYEVPLRLPKSIPLPEGKVNWSLQVKIRSK >Vigun11g216652.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41037676:41038395:-1 gene:Vigun11g216652.v1.2 transcript:Vigun11g216652.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSFVLDHLAQLVAREANLLYGVEDRVQSLQYELQRMKELLSSTKSKKGMEHTVLNQIRDVSHLAEDVIDTFVAKVSIHKRRTIMGRMLLGFGQAKLLRDVAEKIDKIKATLKEIRDNKSKYDAFKETNNQSAAEEEEEEEKEGAKSLHKLRRYVEEDDVVGFVHDSKDLIKRLLEGGSNRNAVSTIGMGGLGKTTLARKVYNSTQVKQHFKCRAWVYVSNECRVKELLLGLLKT >Vigun08g135250.1.v1.2 pep primary_assembly:ASM411807v1:8:30669232:30670248:1 gene:Vigun08g135250.v1.2 transcript:Vigun08g135250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKCTIVLILLLTVVVATTALSPCSKGNNSDFDPKGEGEGEGNGLQKSQASGNFPLELFFPLIIFAILLLFKSVFLMYMLPILILVALVFGCMGMR >Vigun05g216100.1.v1.2 pep primary_assembly:ASM411807v1:5:40806131:40807640:-1 gene:Vigun05g216100.v1.2 transcript:Vigun05g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGETACSYASLILHDEGIAVTADSIATLLKTAKVQVDSFWPALFAKLAEKKNLGDLIANAAGGGAPVAVAAAPVAAAGGGAAAAAPAAEEKKKEEPEEESDDDMGFGLFD >Vigun11g194000.1.v1.2 pep primary_assembly:ASM411807v1:11:39304895:39306949:-1 gene:Vigun11g194000.v1.2 transcript:Vigun11g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFARSSNSLARKLLFHGRNAQSQCSSRSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPISDDTAHVVVAQLLFLESENPSKPIHMYVNSPGGAVTAGLAIYDTMQYIRSPVNTVCMGQAASMGSLLLAAGARGERRALPNATIMIHQPSGGFSGQAKNIAVHAKQIVRVWDSLNELYARHTGKSVEVIQANMDADNFMTPQEAKEFGIIDEVIDQRPITLVSDAVGNEGKDKGSN >Vigun03g164750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:18798002:18799565:1 gene:Vigun03g164750.v1.2 transcript:Vigun03g164750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLATRTIATRKHVHIVGNSSIQWMYATRNMVILQDTLFDGKTKTVENRDKNTDQEIKFTPQQYQALMALIKQPNEATASTQVNQVSTISSSFTDQGNKFLDIWPTKFQNNDVWILDSGATNHVSMNHVSMNHVSINLKSFSSYEPVDSIIVNLPNALKTKATYKGIINSVILLLL >Vigun09g007400.1.v1.2 pep primary_assembly:ASM411807v1:9:560649:566409:1 gene:Vigun09g007400.v1.2 transcript:Vigun09g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVGSWKLKDTLISEDKNNQRRKWVIPLHSQFSSLPFSLTLTEKHLFSGFLISFKMQSSISLSSSYASTVSGQRHAFSLSDGSLIPSTIRFCGLRRQAFTSSSLNHHCRRRRQHSAAVTAALSNNGTGPTLFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELRDDHHLKSLGLQVSGAGYDRQAVADHANNLASKIRGNLTNSLKALGVDILTGFGTILGPQKVKVGSSNKVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLETVPDWVAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARNGKPVTIELIDAKTKEQKDTLEVDAALIATGRAPFTQGLGLENIDVVTQRGFVPVDERMRVLDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHIFGLHAADLIHEASNAIALGTCIQDIKLAVHAHPTLSEVLDELFKSAKVKAQASAPVKEAVAV >Vigun06g091400.1.v1.2 pep primary_assembly:ASM411807v1:6:22364990:22365543:1 gene:Vigun06g091400.v1.2 transcript:Vigun06g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVLVSCIGKKIPHWFWPHSSKSLQIRPIWSSSIKMAAEDYTFGPYKIHHTEVFYSTHLTYAMVNLRPLLPGHILYMGFISITQ >Vigun08g125000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29502100:29506930:-1 gene:Vigun08g125000.v1.2 transcript:Vigun08g125000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLLSYLRKNPMAMLSKILRWLKKPKVWRIVSLASSVVGLLCYALSSSFKHLLGNWSWWKMLLYIVFSFIICLAVLFTPARSSSISFRLESHLAFLVLITTSVCSFLFDKMVKGKPDAYSVISWAAFATMSPGLSNLTQFGFQIDLLYFFCGGLTVQLMKIKLWLVTVGGGFSYFLLQLRHHPSDTDGENLRLQDQNQVIIQVNDSGIALLTQSNSPSQDGSVDDGFIIGQQLINCIEELEKENEMLVPMFCRHIEKYLTKVLIDPEGVPNPDVNLVMDALPSETMRRLKEILKLVVDAGFMDECIDIYSKSRKGFVEHCQRKLWLQITAEIDYVNIINWLDTCKVAEKILFPIERRLCDYLFSGFSVAADVSFDKVCEELTIGLLRLANTTIANGSYLSDLLLDFFPKMSKSLGELVLKFTSETSLVDDIHDVRQRLAMINNFMLIYTNNVQAPFTDGGIHIFTMEAMDYIHSITKQRADRSDSVRNFLFWVMIGRMIELLESELVAKSENYYPDPALGHVFLINNLSYIEQKTRDLKLNNDWFRQNAAKVEQYCNVQRRRMSQQANADVDSTLGTSPEDGDFRFRGHLLTQSSSHSQDDVLIIQQLMNCVKELEKENLMLVRIVCSHVDKYLKTVFDSKEVPDPDINLVLDSHPPESMRRLKESVKLMVNAGFMEECSDIYGKWRREFLEQCLLALGLQFQTPNNKDVEKWLTTCMAAVNILFPNEKRLCSYIFSGIYDAAVVSFFDKVLFPKENRLCSYLFSDFFVTVYVYFEKVCMELTISLLSFADTTITTGSYSRNLLFNIVPKMSESLDELLLEFTSHIFFHKPSFLRDLEDIKQILDMLNRFRDIIYPDNASAPVTDGGLHLIIAEAMNYIHTICKGKIRQRADQTFGNSSFWVVIGRMIELLESELEAKSKDYYADTALGYVFMMNTLNYIEQKMHDLKLDDDWFRQNTAKVE >Vigun01g140700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32154930:32156665:1 gene:Vigun01g140700.v1.2 transcript:Vigun01g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNYLTRNTDKGDRFGFEEHSWGSSWPARNYACSFCKREFKSAQALGGHMNVHRRDRARLRSSLPSSSWLSECPKPNPSIMPNSTHLSPSSPSSCLSNTDLLNCAHCSPLYSPSLTLSFSLTPPVSLNGDKKPTLASSQFLPLSVPRESEEIKICKNKEIGLGVEEVQGCAMGEECKVCKSNEHSIKLELGIGFLKQPEKLDLELRLGH >VigunL018200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:30980:31558:-1 gene:VigunL018200.v1.2 transcript:VigunL018200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIDWSSSGTGPSTMGRILPLPTEFILLKRNVKLLMLHFFGLEVPECCLWISNKGKPPLLCHLIKVPCCSPLPEAKDGVEPSFQDLQSDTFPLCYPAKPATSCAKVKRLFFLPRSLFFYICGRSTLYDRRRVTREEGTTSFSLAALLHFTFLIESRKPLHYWYRGQKVASSICSGKEHLEASFCLVSNHA >Vigun11g021000.1.v1.2 pep primary_assembly:ASM411807v1:11:2595240:2595827:-1 gene:Vigun11g021000.v1.2 transcript:Vigun11g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFISEKKKWYMMNSKGSDSTFMEVLPPPPPPRAFSFISFFVSASLRFFSYSLI >Vigun03g145100.2.v1.2 pep primary_assembly:ASM411807v1:3:14770704:14778478:-1 gene:Vigun03g145100.v1.2 transcript:Vigun03g145100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPITPGQVSFLLGVIPVIVSWMYSEYLEYRKTPSPPKVHSDTHLEELGKDAIKEDDRAILLESGLTRSASAKSHPSSVKMNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRTNILGDSTKNYSRDLFIFLYLLLIIVSALSSLKKHNDTSSFSGKNVLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYNKYNEVPSVIAAKILACFLVVILIWEIPGFFDIFWSPFAFFLGYTDPAKPDLPRMHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESETKRRVTIKTVIVSAAVFVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQRLRNFSLTLFAWLGKVTLETYISQFHIWLRSNMPNGQPKWLLCLIPEYPMLNFMLTTAIYVFVSHRLFELTNMLKTVFIPTKDNRRLLYNFITGIVISLSLYCISLILLLIPHSTV >Vigun03g145100.1.v1.2 pep primary_assembly:ASM411807v1:3:14770704:14778462:-1 gene:Vigun03g145100.v1.2 transcript:Vigun03g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPITPGQVSFLLGVIPVIVSWMYSEYLEYRKTPSPPKVHSDTHLEELGKDAIKEDDRAILLESGLTRSASAKSHPSSVKMNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRTNILGDSTKNYSRDLFIFLYLLLIIVSALSSLKKHNDTSSFSGKNVLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYNKYNEVPSVIAAKILACFLVVILIWEIPGFFDIFWSPFAFFLGYTDPAKPDLPRMHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESETKRRVTIKTVIVSAAVFVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQRLRNFSLTLFAWLGKVTLETYISQFHIWLRSNMPNGQPKWLLCLIPEYPMLNFMLTTAIYVFVSHRLFELTNMLKTVFIPTKDNRRLLYNFITGIVISLSLYCISLILLLIPHSTV >Vigun03g237800.1.v1.2 pep primary_assembly:ASM411807v1:3:39616793:39623185:1 gene:Vigun03g237800.v1.2 transcript:Vigun03g237800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRIEEISTRLLGRSSSGGSSESRWVDGSEVNWDEVPVWPKRDDGREGFGSVRRRLSKLPKRVDSFDVEAMEIAGTHAHHSKALSFWPTLALAFKTLGVVYGDMGTSPLYVFADVFSKVPIRSDDDVLGALSLIMYTIAIIPLAKYVFIVLKANDNGEGGTFALYSLICRYANVSMLPNRQQADEYISSFKLKLPTPELERALKIKDTLEKTSFLKNLILVLVLVGASMIIGDGILTPAISVMSAISGLQDQIKGFGTGEVVGISIVVLMALFSIQRFGTGKVGFLFAPILALWFFSLGSIGVYNILKYDITVLRAFNPAYIYFFFKRNGKAAWSSLGGCVLCITGAEAMFADLGHFSVPAIQIAFTCVVFPCLLLAYMGQAAFLTKNPSSYSSIFYKSVPESLFWPVFVVATLAAMIASQAMISATFSCIKQSMALGCFPRLKIIHTSKKFIGQIYIPVVNWFLMIMCIVVVSIFQSTTDIANAYGIAEVGVMMVSTILVTLVMVLIWQTNLLLALCFCLGFGSVELIYMSSVLSKIFEGGWLPLAFATLFLSVMYTWNYGSVLKYRSEVREKVSVDTMLELGSNLGTVRVPGVGLLYNELVQGIPSIFLQFLLNLPALHSTIVFVCIKYVPIPVVPQEERFLFRRVCPKDYHIFRCVARYGYKDVRKEDHQAFEQLLIESLEKFLRREALDSALELEGNLTEELDSVSANARESDVPVDTGADELSIPLMHDQKLEETGTSSAAQEVASTLPSSYMSSDEDPSLEYELSALREATESGFTYLLGHGDVRAKKNSFFFKKLMINYFYSFLRNNCRGGTANMRVPHTNIIQVGMTYMV >Vigun02g036500.1.v1.2 pep primary_assembly:ASM411807v1:2:15045601:15048831:-1 gene:Vigun02g036500.v1.2 transcript:Vigun02g036500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSPPSKEMEVKSDQKWVENGPSRNAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWVPGTLILFLTWCLTLNSMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCNIVYMVTGGKCLKKFMEIACTNCTQLKQSWWIVIFGAIHFLLSQLPNFNSVAGVSLAAAVMSLSYSTISWVACLARGRVDNVSYAYKETSSTDLMFRIFNALGQISFAFAGHAVTLEIQATIPSTPEKPSKIPMWRGAIGAYFINAICYFPLALVGYWTFGRDVEDNVLMEFEKPAWLIASANLMVFIHVVGSYQVYAMPVFDLIERLMVKRLNFPPGLSLRLVARSAFVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPSVMWLIIKKPKRFSINWFINWGSIYVGVCIMLVSTIGGLRNIVADSSTYKFYT >Vigun04g136700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34193346:34197262:1 gene:Vigun04g136700.v1.2 transcript:Vigun04g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFPRLCCALLLLLLHAAESILGLNNSTEIKCIERERQALLNFKHGLIDDYGVLSTWSNEDNSRDCCKWKGIQCDHQTAHVTILRLRGSDTQFLRGALNITPLFALQNIQHLDLSNNFFEWSDIPQLMGSLTNLRYLNLSYSDFGGSIPIQLGSLTYLRYLDLSHNNLDGKIPSQLGNLSQLKYLDLIGNLFSGTLPFHAGNLPFLHTFRVDGNLEVKPKDAQWLSNLHSLKNLAMYYLQNLDWLQTITFPNLKELRLVHCSLSDTQIHSLFYSPSNFSNSLMILDLSDNMLTSSTFQLLSNFSLNLQELYLSQNNIVFSSPVHSNFHSLVILDLSDNNITSFEATSILASKLQNLYLSSCGLSDDNFLISAISITNSSSSLTSLDLSSNMLKSSSIFYWLFNSTTNLRTLQLYNNMLEGPIPDGFGKVMNSLEVLHLHGNKLQGEIPSFFGNICTLKSLILSKNKLSGKFSSFFQNSSWCNKHVFQILDLSSNNITGTLPKSIGLLSELEYLLLDGNCLEGDVTESHLSSFSKLRYLSLSNNSLSVKFVPTWVPPFQLQNLGLRSCKLGPSFPSWLHTQSSLSDLDISDNGLNYVPDWFWDNLQNMRTLNMSHNNLSGPIPNISLKLHYAPSVILNSNQFEGKIPSFLLQAFDLRLSNNKFSDLFSFICNQGNSEMWTLDLSNNQLKGQLPDCWTSVDGLWYLDLSNNKLSGRIPLSMGSLVELKVLVLRNNNLTGELASTLKNCSNLIMLDVAKNMLSGAIPSWIGKIMQQLIILNMRENHFYGNLPIELCYLKYIQLLDLSNNMLSKGIPSCLKKLTAMSKKGIHTRGTLNGMYLIYIPYSKIYDYFVEEEYSFNISLIWKGVEQRFKNPELIKGIDLSSNKLTGEIPKEIGYLAGLVSLNLSRNNLSGKIPSEIGNLSSLESLDLSRNQISGGIPLPLSEIDNLGKLDLSHNFLSGRIPSGRHFETFDASSFEGNMYLCGEQLNKSCYGDGDQTTGKLSEAEAINDDEDSVFYEALYMSMGIGYFTGFWGLLGPILLWSSWKNAYLDFLNRLTIGMYEQCGKCR >Vigun03g081200.1.v1.2 pep primary_assembly:ASM411807v1:3:6771731:6775422:1 gene:Vigun03g081200.v1.2 transcript:Vigun03g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPRKMQSRANRHIFSASDDTTMTKNVRATHAPDDRHIEVRPLLNVVQDIFHRVASLIPDIVQGKPVQMGAMKDSTQQSNLAEVLDISYHTINRISCEICCKCSSGDVHATTMGILNMLSGYSWDAKVVMALAAFAANFGEFWLVAQLYATNRLAKSVAKLKHIHETLEQVDDLGLKFETINDLLKAMLDVTNYIVEFHELPSRYIDPQAPEMVTASTLIPGAVYWTIRSIVSCASHLLGITGLIYGYMTTIVESWELSSLAHKLDNLNGHLRKQLTLCQLHLNDHKQREAFETLQLLFETPHQDNLKALKAMFCSNDDPLSLFDGSSKQRVSIEVLRRKIVLLYISDLHNVSDQELVIFEQMYLESRQDSTRLESQYELVWIPLVDKAIPWAELKPKLEKLRSTMSWYSIYDPSVLEPATIKYIKDVWLFKTKPILVVLDPLGKVVNLNALPMMWIWGSLAYPFSSSKEEALWNNETWGLVLLADTIDPSLLYWISEGKYICLYGGDDMDWIRKFTSTAYSLAKALQLPLEMIYVGKSNPGKKIQEINNAIQEEKLSTVLPDLAIIWFFWVRLESMWNSKSQHSKTVENDHIMHEVMRILTYDSGDPGWAVISQGTGRMAQGKGDTFLRCLNEHEQWIHTAKDKGILSAMADYIQGLQTPHHCNRLILPGGSGGIPDRVACAECGKTMEKFYMYRCCNE >Vigun03g081200.2.v1.2 pep primary_assembly:ASM411807v1:3:6771731:6775422:1 gene:Vigun03g081200.v1.2 transcript:Vigun03g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPRKMQSRANRHIFSASDDTTMTKNVRATHAPDDRHIEVRPLLNVVQDIFHRVASLIPDIGKPVQMGAMKDSTQQSNLAEVLDISYHTINRISCEICCKCSSGDVHATTMGILNMLSGYSWDAKVVMALAAFAANFGEFWLVAQLYATNRLAKSVAKLKHIHETLEQVDDLGLKFETINDLLKAMLDVTNYIVEFHELPSRYIDPQAPEMVTASTLIPGAVYWTIRSIVSCASHLLGITGLIYGYMTTIVESWELSSLAHKLDNLNGHLRKQLTLCQLHLNDHKQREAFETLQLLFETPHQDNLKALKAMFCSNDDPLSLFDGSSKQRVSIEVLRRKIVLLYISDLHNVSDQELVIFEQMYLESRQDSTRLESQYELVWIPLVDKAIPWAELKPKLEKLRSTMSWYSIYDPSVLEPATIKYIKDVWLFKTKPILVVLDPLGKVVNLNALPMMWIWGSLAYPFSSSKEEALWNNETWGLVLLADTIDPSLLYWISEGKYICLYGGDDMDWIRKFTSTAYSLAKALQLPLEMIYVGKSNPGKKIQEINNAIQEEKLSTVLPDLAIIWFFWVRLESMWNSKSQHSKTVENDHIMHEVMRILTYDSGDPGWAVISQGTGRMAQGKGDTFLRCLNEHEQWIHTAKDKGILSAMADYIQGLQTPHHCNRLILPGGSGGIPDRVACAECGKTMEKFYMYRCCNE >Vigun03g256700.1.v1.2 pep primary_assembly:ASM411807v1:3:42281781:42287832:-1 gene:Vigun03g256700.v1.2 transcript:Vigun03g256700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCRHVFDRLQSLSFCTYWKKNTTPTGRRIFHRDVEKEEFQYASTQCLSSYYSVFVVRLAIMAMLAILIGLLTFLTWHFTKIYTTKSLNSLAYDLRYELLQRPILRMWNILNSTSEITTAQVRLSQYVIRSHTTQADQVEMYDAMRAVTWALFASKKALNSITVKYKNGFVQAFHRDLKENNTFYIYSDLTNYSMAGHNEINSLSSNEAWNDKDIHGNKSAIWYREPLDPVSGEKIGKVMPIAPEDSINIAGISQVPDGVASWHVAVSKFTDSPLLSAALPVWDSSNKSIVAVVGVTTALYSVGQLMKELVELHSGHMYLTSQEGYLLATSTNAPLLSNSTKPPKLMMAVDCENEVIREGARWLQKTYGNNFPQSHELHVENVKLGPQQYYIDSFFLNLKRLPLVGVIIIPRKHIMGQADERAFKTLVILISASLCIIVIGCVCILILTNGVSKEMKLRAELISHLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDRLTNEQCATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKLVGGDSARVVQIFANLINNSIKFTLSGHIILRGWCENPNACSDDTNFPLEQKKSRCSRKNRAKQHENHAQRTSNRDNKIVLWFEVDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKDGPGTLMRLYLRLSAPLDATEQHCQVDFANKGLVVLLALHGSMGRSVTSKWLQKNGVVSMEASEWNGLTQILRVLFHARSSAHNNGFDANYSVHENLKSKLLSIQEMRNPVFVIAVDIELLDLSTDIWKEQLNFLHRYFGRAKFVWILNHDSSNTIKMELCRKGHTLTVNKPLYKTKMLHILETITKERSDELQKKNMTTPRATVKEGYLHESLEIDYTQCDVASSDGSDISETGGSNPVRESGDKQREKEVRSESSSQHKINNLAGLANGYKEDNNPWKEELCESSLNSNNVTPKSSSTKQPLGTEGEDSEYGETRSGSSSSRTVSGKKSLEGLRILLAEDTPVIQRVATIMLEKMGAIVVAVGDGQQAVDALNGMPGVEDCRRESIMKERNTRSSQTEILSSPPYDLILMDCQMPKMDGYEATKAIRKSEVGTGLHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKLMESTILSLTRRTF >Vigun02g037100.1.v1.2 pep primary_assembly:ASM411807v1:2:15246100:15248724:-1 gene:Vigun02g037100.v1.2 transcript:Vigun02g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKMGFREYIEALEEERRKIQVFPKELPLSLELVTQAIEGCRQQLSGTVTEYNLNGQSECSEQTSTDEGPVFEEFIPIKKRASQDSVEEEEEEEEEEENEDEDEQHSHHHKKTTSDKKKSDWLRSVQLWNPNPPPTKEDVPRKANVVEVKRNGGAFQPFQREEKSVVVNGKANNYAPAIGKAPSSPPVPATSSTGPVRIIDSKKEEKGQTQRKQRRCWSQELHKRFLHALQQLGGADSATPKQIRELMKVDGLTNDEVKSHLQKFRLHTRRSPIMHNNGNSQAAPLFLVGNIFVQPPEYGAVATSTASGGELTTVTAPTGIYTPVAAHPPPVTNTPIMNHSHSQERPNNNHSAQSNSPASSSSTHTITTTSYVP >Vigun01g054800.1.v1.2 pep primary_assembly:ASM411807v1:1:10534272:10539222:-1 gene:Vigun01g054800.v1.2 transcript:Vigun01g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGTMSPCAACKLLRRRCTRDCVFAPYFPADEPQKFGSVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQVDELQTQLALAQAEVVHMRMSQFSPPSSEHHHHHHQASLVPHEPSNSSSENMLHSSRVLSSQSKSLFGMDMVVDQTIMGQSLWSY >Vigun01g254800.1.v1.2 pep primary_assembly:ASM411807v1:1:41984777:41986606:1 gene:Vigun01g254800.v1.2 transcript:Vigun01g254800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEREGVLSREREVEEEEEAEASVEIWKYIFGFVEMAVVKCAIELGIAEAIEKHGRAMTVSEISSALGCDPALLKRIMRFLAHRKIFKAVTVGGSNGYSQTPLSRRLMREGPQSMAAFLLLESSPVMLAPWHSLSARVLANGHPSFQNTHGEDVWRYAAANLGHSNLINEAMACDAKLVMPVIIESCNEEFRGLQSVVDVGGGNGTAMRILHQALPSIRAINFDLPHVISQAPHSDGLEHVAGDMFQSVPKADAAFLMWVLHDWSDEECIEILKKCREAISKENGWVMIVEAVIGEEGEGKQKVLEDVGLMLDMVMMAHTNFGKERTLKEWEYVIKMAGFSSFTVKHIHAVQSVILAFY >VigunL010202.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000270.1:5174:6240:-1 gene:VigunL010202.v1.2 transcript:VigunL010202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWHNTSLDNDETFNSFIRRAKGKIRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun06g212500.1.v1.2 pep primary_assembly:ASM411807v1:6:32476231:32478818:1 gene:Vigun06g212500.v1.2 transcript:Vigun06g212500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEQNKPRVVVIDSLQSWDFYVTQATTQNCPVVVHFTASWCIPSVAMGPVFEEVASSYPELLFLTVDVDEVKEVATKMDVKAMPTFLLLKNGDVVDKLVGANPEEIKKRIDGFAQSNGASLA >Vigun06g025700.1.v1.2 pep primary_assembly:ASM411807v1:6:11831153:11836301:-1 gene:Vigun06g025700.v1.2 transcript:Vigun06g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKISWNTFHPEKLLLNPFDINDNQMLEKICMTHDHCVEKYDAGSLYCIASKVVNQSIEIADLIRENGQQIEQDREDNDPLIYFPRLPNLKRISYQMICTGRGEQYAHQTTMLILEQLREYSWDAKAVIALAAFALEYGKFWQLAPIPRDKLGKSLAELNGLHSIVENMQQLDNFNTLVKKVMQVVKCITDWKKLITAQYNINDVPSLTDTLHEIPILAYWTIITLVTCTSHIDFLCDKGYRYDLSKFDYKLDYILKNFKEHQEKCNTQIGRIEDYSKRKDIINNFTETDIVKYLEALIIPTDPQDPRPVVYNVLTQKEVGIGVFKNKYVLLFISGTDNIEYESQLLISIDGKLREGPKEVEGYRKEDFSILWIPIVRVWDEEQRKKVENICEVGWYVVKEFNFQTGIDLIKEVFKYKGNPIILLISPQGKVENPDAKQIISTWGIEGFPFRTSDHTRLTQQWNWFWTEMKNLSSTIRELMNRECYIFIYGGTNNTKWIKDFSTAVEKLKSNAIFNLLETSIESYLLGRENPKNITRFWIAIDNMLASRKRIKKGGEVEDSTTREIKNLLSLKQDPNGWAILTKGSHVKLLGLGEPMLRTVMDFEIWKEKLDHEVSFDEAFKDYYDKCEGKNVATNCDREIANYPTDSLAQLPCPNKCGDEKEVASVKHGYKIRKKVVKPERKQRKKY >Vigun01g128200.1.v1.2 pep primary_assembly:ASM411807v1:1:30598971:30603444:-1 gene:Vigun01g128200.v1.2 transcript:Vigun01g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHQYSESSEELQTQKPDGEDQQLQHQYQLPGAEEKLVLQFMDSVDNYLSLFDTVSSTLRQGWFDLASARHSMGAARINSSLLDLKFHPAATTLKITNDDGTQPCFMLRKWVSSEEKSTHELEDENVQPQDSSSLKSSGLADNAEIQKERSKSLSVFGVLISPKLRASQLSFEKALETLVEIANMQSSLLYSFRQLQKVEDTKE >Vigun02g117900.4.v1.2 pep primary_assembly:ASM411807v1:2:27077755:27082973:-1 gene:Vigun02g117900.v1.2 transcript:Vigun02g117900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMFNFSTVLFNAQPHNLFSHHINFPLAISPLRFQSLQSLQFPAKWNAHSQKNRNYVIFEDGIFENGAVFLNDNNNPKPKTGSGKKVTPINRENLVPDKWREAQAETKITINKRRKKKNRRGVVPFSGAEKIRFNGTVEKKRKENGKVSSSPYRDVNWNEYKASKKEILKKLNPLVLKNPSRFPVDEKVPGPDFNGERVEPKNPRGVVQGKSLEDVLQFFSSGSYNPRANTTRDGCRNLFVISKEEMFLLNKRMPDLATATSGKWLPLHTFAACGESFLLDSLLKHNVDINAVDKDGLTVLHKAIGKKQAITNYLLRNSANPFVKDKEGATLMHYAVQTGSTETIELLLFYNVDINLQDKDGWTPLHLAVQTQKPNVVRLLLLKGADKTLRNKVLLLISCINI >Vigun02g117900.1.v1.2 pep primary_assembly:ASM411807v1:2:27077735:27083002:-1 gene:Vigun02g117900.v1.2 transcript:Vigun02g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMFNFSTVLFNAQPHNLFSHHINFPLAISPLRFQSLQSLQFPAKWNAHSQKNRNYVIFEDGIFENGAVFLNDNNNPKPKTGSGKKVTPINRENLVPDKWREAQAETKITINKRRKKKNRRGVVPFSGAEKIRFNGTVEKKRKENGKVSSSPYRDVNWNEYKASKKEILKKLNPLVLKNPSRFPVDEKVPGPDFNGERVEPKNPRGVVQGKSLEDVLQFFSSGSYNPRANTTRDGCRNLFVISKEEMFLLNKRMPDLATATSGKWLPLHTFAACGESFLLDSLLKHNVDINAVDKDGLTVLHKAIGKKQAITNYLLRNSANPFVKDKEGATLMHYAVQTGSTETIELLLFYNVDINLQDKDGWTPLHLAVQTQKPNVVRLLLLKGADKTLRNKDGLTPLDLCLYSGQSFQTYVIIKLLKQPQEYL >Vigun02g117900.2.v1.2 pep primary_assembly:ASM411807v1:2:27077755:27082973:-1 gene:Vigun02g117900.v1.2 transcript:Vigun02g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMFNFSTVLFNAQPHNLFSHHINFPLAISPLRFQSLQSLQFPAKWNAHSQKNRNYVIFEDGIFENGAVFLNDNNNPKPKTGSGKKVTPINRENLVPDKWREAQAETKITINKRRKKKNRRGVVPFSGAEKIRFNGTVEKKRKENGKVSSSPYRDVNWNEYKASKKEILKKLNPLVLKNPSRFPVDEKVPGPDFNGERVEPKNPRGVVQGKSLEDVLQFFSSGSYNPRANTTRDGCRNLFVISKEEMFLLNKRMPDLATATSGKWLPLHTFAACGESFLLDSLLKHNVDINAVDKDGLTVLHKAIGKKQAITNYLLRNSANPFVKDKEGATLMHYAVQTGSTETIELLLFYNVDINLQDKDGWTPLHLAVQTQKPNVVRLLLLKGADKTLRNKDGLTPLDLCLYSGQSFQTYVIIKLLKQPQEYL >Vigun02g117900.3.v1.2 pep primary_assembly:ASM411807v1:2:27077755:27082754:-1 gene:Vigun02g117900.v1.2 transcript:Vigun02g117900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMFNFSTVLFNAQPHNLFSHHINFPLAISPLRFQSLQSLQFPAKWNAHSQKNRNYVIFEDGIFENGAVFLNDNNNPKPKTGSGKKVTPINRENLVPDKWREAQAETKITINKRRKKKNRRGVVPFSGAEKIRFNGTVEKKRKENGKVSSSPYRDVNWNEYKASKKEILKKLNPLVLKNPSRFPVDEKVPGPDFNGERVEPKNPRGVVQGKSLEDVLQFFSSGSYNPRANTTRDGCRNLFVISKEEMFLLNKRMPDLATATSGKWLPLHTFAACGESFLLDSLLKHNVDINAVDKDGLTVLHKAIGKKQAITNYLLRNSANPFVKDKEGATLMHYAVQTGSTETIELLLFYNVDINLQDKDGWTPLHLAVQTQKPNVVRLLLLKGADKTLRNKDGLTPLDLCLYSGQSFQTYVIIKLLKQPQEYL >Vigun05g179201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:33873939:33874160:1 gene:Vigun05g179201.v1.2 transcript:Vigun05g179201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFIVLTSFMSSLSQSQLYIPTSSHTIHFFIPYHFFCDYTSILEFNKIVFRLSEYFAVLNNLCKQTKNIQSN >Vigun07g051500.4.v1.2 pep primary_assembly:ASM411807v1:7:5400862:5409780:-1 gene:Vigun07g051500.v1.2 transcript:Vigun07g051500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMEIDQIVEVPDTPDRITVRGDDQKRLCNPEKRGRAFNAAVEMNNNFCTTSSPSEKSYPSQNAPMFRRGQTMHSSGAEKMERGKSINSKVSSKSSHRDIPVLDLTEENGPSQQLKPAFSLGASRDHATEDKKAPKAAIGNSLSPAISDSSVTSRNPFTGKCKLPGSNTSVDRGKGISLSQDYRSQNDKQVSLPSFVSPSPRGRENKRLVRNGCILPVNIATRAKQLAEQRNLQTEDVEQSHADHALSSNAVSPNSVDDIVARERGNGRVKGKEVRIYSPLNGCSAGTICTASSSPAINHEEVTGTSNDTRNSLQHSGVQDGWRTTRNYMNANQHMYDVHGHRMTRNNGVGRFTNRRNTHIVDGRNLGSNQSSTHVHGSQSDHTAQPSSVIMLDADQSAVNRPTADILAKRQRRREPPGESSSSHPEVVELLSTPRNSASSSRSRGLDPEVVELVSTPRYRSSEDLDDNDNNSLEARARQVEADEILARELQEQLYHDDFIENRGIDEHLAWELHHAEDLLPTSIDSPSINHPARLPRANRQPRIWPNQNSSRRRAMPVVSFSNRMSQLRSRATSRTRNPNMPSRGRGPRFPLDMDLDMRLDILEALENSMGDFSDIADGIFNGRRDFNESDYEMLLALDERNHQHTGASTNLINSLPQSTIQNDNMTEPCAICLETPVKGETIRHLPCLHKFHKDCIDPWLQRKTSCPVCKSSIT >Vigun07g051500.1.v1.2 pep primary_assembly:ASM411807v1:7:5400680:5409837:-1 gene:Vigun07g051500.v1.2 transcript:Vigun07g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMEIDQIVEVPDTPDRITVRGDDQKRLCNPEKRGRAFNAAVEMNNNFCTTSSPSEKSYPSQNAPMFRRGQTMHSSGAEKMERGKSINSKVSSKSSHRDIPVLDLTEENGPSQQLKPAFSLGASRDHATEDKKAPKAAIGNSLSPAISDSSVTSRNPFTGKCKLPGSNTSVDRGKGISLSQDYRSQNDKQVSLPSFVSPSPRGRENKRLVRNGCILPVNIATRAKQLAEQRNLQTEDVEQSHADHALSSNAVSPNSVDDIVARERGNGRVKGKEVRIYSPLNGCSAGTICTASSSPAINHEEVTGTSNDTRNSLQHSGVQDGWRTTRNYMNANQHMYDVHGHRMTRNNGVGRFTNRRNTHIVDGRNLGSNQSSTHVHGSQSDHTAQPSSVIMLDADQSAVNRPTADILAKRQRRREPPGESSSSHPEVVELLSTPRNSASSSRSRGLDPEVVELVSTPRYRSSEDLDDNDNNSLEARARQVEADEILARELQEQLYHDDFIENRGIDEHLAWELHHAEDLLPTSIDSPSINHPARLPRANRQPRIWPNQNSSRRRAMPVVSFSNRMSQLRSRATSRTRNPNMPSRGRGPRFPLDMDLDMRLDILEALENSMGDFSDIADGIFNGRRDFNESDYEMLLALDERNHQHTGASTNLINSLPQSTIQNDNMTEPCAICLETPVKGETIRHLPCLHKFHKDCIDPWLQRKTSCPVCKSSIT >Vigun07g051500.3.v1.2 pep primary_assembly:ASM411807v1:7:5400737:5409808:-1 gene:Vigun07g051500.v1.2 transcript:Vigun07g051500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMEIDQIVEVPDTPDRITVRGDDQKRLCNPEKRGRAFNAAVEMNNNFCTTSSPSEKSYPSQNAPMFRRGQTMHSSGAEKMERGKSINSKVSSKSSHRDIPVLDLTEENGPSQQLKPAFSLGASRDHATEDKKAPKAAIGNSLSPAISDSSVTSRNPFTGKCKLPGSNTSVDRGKGISLSQDYRSQNDKQVSLPSFVSPSPRGRENKRLVRNGCILPVNIATRAKQLAEQRNLQTEDVEQSHADHALSSNAVSPNSVDDIVARERGNGRVKGKEVRIYSPLNGCSAGTICTASSSPAINHEEVTGTSNDTRNSLQHSGVQDGWRTTRNYMNANQHMYDVHGHRMTRNNGVGRFTNRRNTHIVDGRNLGSNQSSTHVHGSQSDHTAQPSSVIMLDADQSAVNRPTADILAKRQRRREPPGESSSSHPEVVELLSTPRNSASSSRSRGLDPEVVELVSTPRYRSSEDLDDNDNNSLEARARQVEADEILARELQEQLYHDDFIENRGIDEHLAWELHHAEDLLPTSIDSPSINHPARLPRANRQPRIWPNQNSSRRRAMPVVSFSNRMSQLRSRATSRTRNPNMPSRGRGPRFPLDMDLDMRLDILEALENSMGDFSDIADGIFNGRRDFNESDYEMLLALDERNHQHTGASTNLINSLPQSTIQNDNMTEPCAICLETPVKGETIRHLPCLHKFHKDCIDPWLQRKTSCPVCKSSIT >Vigun07g051500.2.v1.2 pep primary_assembly:ASM411807v1:7:5400680:5409838:-1 gene:Vigun07g051500.v1.2 transcript:Vigun07g051500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMEIDQIVEVPDTPDRITVRGDDQKRLCNPEKRGRAFNAAVEMNNNFCTTSSPSEKSYPSQNAPMFRRGQTMHSSGAEKMERGKSINSKVSSKSSHRDIPVLDLTEENGPSQQLKPAFSLGASRDHATEDKKAPKAAIGNSLSPAISDSSVTSRNPFTGKCKLPGSNTSVDRGKGISLSQDYRSQNDKQVSLPSFVSPSPRGRENKRLVRNGCILPVNIATRAKQLAEQRNLQTEDVEQSHADHALSSNAVSPNSVDDIVARERGNGRVKGKEVRIYSPLNGCSAGTICTASSSPAINHEEVTGTSNDTRNSLQHSGVQDGWRTTRNYMNANQHMYDVHGHRMTRNNGVGRFTNRRNTHIVDGRNLGSNQSSTHVHGSQSDHTAQPSSVIMLDADQSAVNRPTADILAKRQRRREPPGESSSSHPEVVELLSTPRNSASSSRSRGLDPEVVELVSTPRYRSSEDLDDNDNNSLEARARQVEADEILARELQEQLYHDDFIENRGIDEHLAWELHHAEDLLPTSIDSPSINHPARLPRANRQPRIWPNQNSSRRRAMPVVSFSNRMSQLRSRATSRTRNPNMPSRGRGPRFPLDMDLDMRLDILEALENSMGDFSDIADGIFNGRRDFNESDYEMLLALDERNHQHTGASTNLINSLPQSTIQNDNMTEPCAICLETPVKGETIRHLPCLHKFHKDCIDPWLQRKTSCPVCKSSIT >Vigun08g060500.1.v1.2 pep primary_assembly:ASM411807v1:8:8013436:8020235:1 gene:Vigun08g060500.v1.2 transcript:Vigun08g060500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTEKSNGTTVPKKSKSLDLKSLYKSNLSLKTSKKNIKRINSSSGDGDEKRVRKKAKREVSLSSLENGDGSSELKLGVSQRLNSSVSNTVSFGVCGDDFHIPKRKRSFMRKKKSEPGQASNLVEHPDCSSGYVHPVPKLGSDDLATGVESLKTKHKKEFDEFNKENRNSDSNSVQNFKENGDCASHSVVNIDGGDSSLTKSRRKNRKRKTSALDTAKVSKEVEPLVLSCKISDDLQEDEEENLEENAARMLSSRFDPSCTGFSTKGSNGLSFFQSSSQSIVNRDLKFQSGSESASADADGRVLRPRKQYKSKGNSRKRRHFYEILLGDVDVYWVLNRRIKIFWPLDQRWYYGLVDDYDEGNKLYHIKYDDRDVEWVNLHTERFKLLLLRSEVPGNAKGERAFAKRRSSDEQKGSKSRKERQRTEGNTENDHRGGSSMDSEPIITWLARSSHRFVSSFQGIKKQKTSVTLPSTMSSFLYDKPVTTKGHLSKSFLKGVENNLSSQDKLSDDFRMKSSLQSATCNKDVKQPIVYFRRRIRKPALIPPHICEEKHATRSASDSVSLDHMFGVENMKNSSDDMDEVEGPLCFTYKAGVSKVFWDMESVLFRFGLNFPMCFMLNNHFESENLWLLYPLFLLRYGTVMTKWPRVCLEMLFVDNVVGLRFLLFEGCLNMAVAFVFFVLRVFHQPACRGKYVDLQFPCTSIGFKFSGLHVNKKPLVFEFYNFSGVKNSKWTVLDSKLKRHCLLSKKLLLSECTYDNIQALQNGSSELSITSVSGSSSAKVMRRGRPGINIMGISKVSTQADIHQYSDVGERKLPPFTLSFAAAPTFFLCFHLKSLMEQSATHISFCDHAPEFDQEDPSLGTNGCTSTDGCSNRNSEIIPRKDNDILSNGAAGDGGSCNHSGHHSTFSYQILSQKYQNIGPNSSGTSISHHSERLGTTHLPEWQSHHMEQELGSLPLSSLTRQDKDDDGSHSFIGDLSIQIPAVDQFENPGCDGDLRDAEHSPDISWNGGIMPSSNPAARRSSWYRNQNSSSSLGFQSHVWSDGKADSLYNDFSSGPKRPRTQVSYSVPSAGYEFSSRQRNHHQKGLPHKRIRKASEKKSSDVARVTEKNFECLSCGANVLITLGDKGWRESGAHIVLELFDHNEWRLSVKLLGITRYSYKAHQFLQPGSTNRYTHAMMWKGGKDWILEFPDRSQWAIFKEMHEECYNRNIRAASVKNIPIPGVRLIEENDDNGCEATFVRSFMYFEQVETDVEMALDPSRVLYDMDSEDEQWIANAQNSVKDNSDLSWISEEMFEKTMDMFEKVAYTKKRDQFTCNEIEELTVDVGPLSIVKIIYDRWQERRKKSGMALIRQFQPPLWERYQKQVREWEVAMTKNNTPSNACLEKPAMFAFCLKPRGLESVNKGLKHRSQKKISVSGHANSNLYQDGFRRRHNALPFGDEKFLYQGHYYDSFDESPLPLTSPRMFVPRDAGSLKYRNHVPKFHQSRYDAPHNRHHLLAGPKRQGIEQLDASVLEELRLRDAVAEARFKRHVAKLKRDRAKRLLYKADVAIHKAITALMIAEAMNASLDSLSEMKEASEY >Vigun08g060500.2.v1.2 pep primary_assembly:ASM411807v1:8:8013632:8019913:1 gene:Vigun08g060500.v1.2 transcript:Vigun08g060500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTEKSNGTTVPKKSKSLDLKSLYKSNLSLKTSKKNIKRINSSSGDGDEKRVRKKAKREVSLSSLENGDGSSELKLGVSQRLNSSVSNTVSFGVCGDDFHIPKRKRSFMRKKKSEPGQASNLVEHPDCSSGYVHPVPKLGSDDLATGVESLKTKHKKEFDEFNKENRNSDSNSVQNFKENGDCASHSVVNIDGGDSSLTKSRRKNRKRKTSALDTAKVSKEVEPLVLSCKISDDLQEDEEENLEENAARMLSSRFDPSCTGFSTKGSNGLSFFQSSSQSIVNRDLKFQSGSESASADADGRVLRPRKQYKSKGNSRKRRHFYEILLGDVDVYWVLNRRIKIFWPLDQRWYYGLVDDYDEGNKLYHIKYDDRDVEWVNLHTERFKLLLLRSEVPGNAKGERAFAKRRSSDEQKGSKSRKERQRTEGNTENDHRGGSSMDSEPIITWLARSSHRFVSSFQGIKKQKTSVTLPSTMSSFLYDKPVTTKGHLSKSFLKGVENNLSSQDKLSDDFRMKSSLQSATCNKDVKQPIVYFRRRIRKPALIPPHICEEKHATRSASDSVSLDHMFGVENMKNSSDDMDEVEGPLCFTYKAGVSKVFWDMESVLFRFGLNFPMCFMLNNHFESENLWLLYPLFLLRYGTVMTKWPRVCLEMLFVDNVVGLRFLLFEGCLNMAVAFVFFVLRVFHQPACRGKYVDLQFPCTSIGFKFSGLHVNKKPLVFEFYNFSGVKNSKWTVLDSKLKRHCLLSKKLLLSECTYDNIQALQNGSSELSITSVSGSSSAKVMRRGRPGINIMGISKVSTQADIHQYSDVGERKLPPFTLSFAAAPTFFLCFHLKSLMEQSATHISFCDHAPEFDQEDPSLGTNGCTSTDGCSNRNSEIIPRKDNDILSNGAAGDGGSCNHSGHHSTFSYQILSQKYQNIGPNSSGTSISHHSERLGTTHLPEWQSHHMEQELGSLPLSSLTRQDKDDDGSHSFIGDLSIQIPAVDQFENPGCDGDLRDAEHSPDISWNGGIMPSSNPAARRSSWYRNQNSSSSLGFQSHVWSDGKADSLYNDFSSGPKRPRTQVSYSVPSAGYEFSSRQRNHHQKGLPHKRIRKASEKKSSDVARVTEKNFECLSCGANVLITLGDKGWRESGAHIVLELFDHNEWRLSVKLLGITRYSYKAHQFLQPGSTNRYTHAMMWKGGKDWILEFPDRSQWAIFKEMHEECYNRNIRAASVKNIPIPGVRLIEENDDNGCEATFVRSFMYFEQVETDVEMALDPSRVLYDMDSEDEQWIANAQNSVKDNSDLSWISEEMFEKTMDMFEKVAYTKKRDQFTCNEIEELTVDVGPLSIVKIIYDRWQERRKKSGMALIRQFQPPLWERYQKQVREWEVAMTKNNTPSNACLEKPAMFAFCLKPRGLESVNKGLKHRSQKKISVSGHANSNLYQDGFRRRHNALPFGDEKFLYQGHYYDSFDESPLPLTSPRMFVPRDAGSLKYRNHVPKFHQSRYDAPHNRHHLLAGPKRQGIEQLDASVLEELRLRDAVAEARFKRHVAKLKRDRAKRLLYKADVAIHKAITALMIAEAMNASLDSLSEMKEASEY >VigunL059153.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000359.1:11878:12783:1 gene:VigunL059153.v1.2 transcript:VigunL059153.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCQSMARTTRRVAAPNRPTSSREGAAQALSIRHLRARRQPRPPKPRPASARDIGKMQQAAQASTALAAAVSAVQLKAASVMRRGVPSPGVPSPRLVITPSPAVAIRAKMPSVATTDSSPNRRPRTMAGQAIQIGELARRTGCNIETIRYYERIGLISAPLRRGRYRTYETRDVEQLSFVRRARELGFKLDEVRALLTLATDEAAACAEVRDLAAAHLHDVRARIADLRRMERVLADAVKACEAGQDPGCPLIQTLGAKAGGAGQDTISAAPWARP >Vigun05g005400.1.v1.2 pep primary_assembly:ASM411807v1:5:463924:471609:1 gene:Vigun05g005400.v1.2 transcript:Vigun05g005400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFLILYATQTGNALDVAERLSREAERRACPVSLLSLDQYDPSLLPQEQAVIFVVSTTGQGDTPDSMKVFWRYLLQRNLGRHWLKGVSYAVFGLGDSGYQKYNFVAKKLDKRLMDLGGTAIVERGLGDDQHPSGYEASLDPWMSSLWRMLNMIKPQFLPNGPDVVIQDAVFIDQPKVQITYHNSENHESRFSSTSDLTCLSIQIGSARSVHPGKAFSDRSTPGCFLKMVKNLPLTRSNCGKDVRHFEFEFVSPALQYEIGDVLEILPGQDSAAVDAFIQRCNLDPDSFITVSPREMDDHNARDSRSPVKLRTFVEFSMDVASASPRRYFFEVMSYFATAEHERERLKYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVKMPFEWLVQLVPPLKPRAFSISSSQSAHPNQVHLTVNVVSWTTPYKRKKKGLCSSWLAALDPCNGILVPAWFYKGLLPAPSPSLPLILIGPGTGCAPFRGFVEERAVQSRTTATAPIMFFFGCWNEEGDFLYRDFWLSHSQNKGVLSEAKGGGFYVAFSRDQPQKVYVQHKMKEHSQRIWNLLAEGASVYIAGSSTKMPADVTSAFEEIISKENGVSREDAVRWIRALERCGKYHIEAWS >Vigun08g132600.1.v1.2 pep primary_assembly:ASM411807v1:8:30361506:30364939:-1 gene:Vigun08g132600.v1.2 transcript:Vigun08g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGWHAPSLYISPFVLVGKLYDYRLKMASSHIESLVVFVVIGMVTLIIPVNANLSPHFYDKVCPKALPVIRSVVQRAINREPRIGASLLRLHFHDCFVNGCDGSILLDDTRNFIGEKTAFPNNNSARGFSVVDEIKAAVDKACKRPVVSCADILAIAARDSVAIYGGKDFWYQVLLGRRDARTASRDAANSNLPPPTFSFSQLVSNFKNQGLNVRDLVALSGGHTIGFARCTTFRNRIYNESNIDTKFAASLRNICPRRGGDNNLEKLDATPARADSTYFTDLLHKKGLLHSDQELFKGEGRESDKLVQLYSRSTLAFARDFKASMIKMGNLKPLTGNQGEIRCHCRRVN >Vigun08g137650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30984384:30984695:1 gene:Vigun08g137650.v1.2 transcript:Vigun08g137650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ITFLSQRKKKQERKTPYLCFSISILSCLQKSPAKQFPCWEKIKMIFPYLCFLFFWKQCFARFVCVVMRSSIAFLSLTIMKTVTLPILFSLPLSLLLLLSHTFTS >Vigun04g116600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29312620:29315530:1 gene:Vigun04g116600.v1.2 transcript:Vigun04g116600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGSLHANNWARSSSLTSDSMSFPGFGLQNNQPKWDDPSNSDYGMRAEVPFKDFNQPSQTQSPLSCNSNNEIEIPNQENCHLNESSQTSALQDWDPNVMLNNLSFLEEKIHQLQDLVHLIVRQKGIGQPNELVTQEQQLITTDLTSIIIQLISTAGSLLPSVRHNLANTASLLGQIDQLRGTTVPSGAGGSSSCIQPENNGGKKMSDQSMQTDLPNNCEMEPNYNVVEQELKDEEDVDEGETLAPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESGSPKLIKRYSCPYPGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDTKGVAEPPNHERKENSKVENMQFSFGSSPSSNGNEVENVMDVKGNSDDPISFFSSLNFDNSFGGFNEFTRPLFDDSEGSFSFVIPGSFKSGGESSPDDLL >Vigun04g116600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29312620:29315530:1 gene:Vigun04g116600.v1.2 transcript:Vigun04g116600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGSLHANNWARSSSLTSDSMSFPGFGLQNNQPKWDDPSNSDYGMRAEVPFKDFNQPSQTQSPLSCNSNNEIEIPNQENCHLNESSQTSALQDWDPNVMLNNLSFLEEKIHQLQDLVHLIVRQKGIGQPNELVTQEQQLITTDLTSIIIQLISTAGSLLPSVRHNLANTASLLGQIDQLRGTTVPSGAGGSSSCIQPENNGGKKMSDQSMQTDLPNNCEMEPNYNVVEQELKDEEDVDEGETLAPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESGSPKLIKRYSCPYPGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDTKGVAEPPNHERKENSKVENMQFSFGSSPSSNGNEVENVMDVKGNSDDPISFFSSLNFDNSFGGFNEFTRPLFDDSEGSFSFVIPGSFKSGGESSPDDLL >Vigun04g116600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:29312620:29315530:1 gene:Vigun04g116600.v1.2 transcript:Vigun04g116600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGSLHANNWARSSSLTSDSMSFPGFGLQNNQPKWDDPSNSDYGMRAEVPFKDFNQPSQTQSPLSCNSNNEIEIPNQENCHLNESSQTSALQDWDPNVMLNNLSFLEEKIHQLQDLVHLIVRQKGIGQPNELVTQEQQLITTDLTSIIIQLISTAGSLLPSVRHNLANTASLLGQIDQLRGTTVPSGAGGSSSCIQPENNGGKKMSDQSMQTDLPNNCEMEPNYNVVEQELKDEEDVDEGETLAPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESGSPKLIKRYSCPYPGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDTKGVAEPPNHERKENSKVENMQFSFGSSPSSNGNEVENVMDVKGNSDDPISFFSSLNFDNSFGGFNEFTRPLFDDSEGSFSFVIPGSFKSGGESSPDDLL >Vigun11g105000.1.v1.2 pep primary_assembly:ASM411807v1:11:30327962:30332155:1 gene:Vigun11g105000.v1.2 transcript:Vigun11g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLRSFIQSVLKLVNSVIGMAGLAMILYSAWMIRVWQRKMGDLPFGHDSDYPPPWFIYTFLGLGAVFCVVTCLGHVAAETANGCCLYLYMVFVVLLLMLEAALTVDVFVNQDWEKDFPKDPSGSFDQFKNFIRSNYDICKWVGLFLVSVQGLSLLLAMILKALGPHQYYDSDDEYAPDRVPLLKNAPPQYVVVDPGYAHGNEAWNRSNR >Vigun04g061500.1.v1.2 pep primary_assembly:ASM411807v1:4:6384415:6403046:-1 gene:Vigun04g061500.v1.2 transcript:Vigun04g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCGARAMEWSIQLEMGLRSAKPGAPVKAILEMEPRLWQWSREPESGVAPYAMFGLVPGEDRIFANAILLRLADAFRGGDIETRLSVVRVFLSERKHRSKEKKNQCKGLLSQARVANHLELLTRVKSVFDSGDLESKALALVLFGCWADFLKDNAQIRYLIFSSLVSAHDCEVRASLYATGCLCEVSDDFASVSVEMLFNIMSSSSVSLPVKLAAARVLAKCKSSYSVAHKAYKTGMELVLNSSHEDFMVAMLYSLSKLACILIPLTSYQVDFLLLFLNRERTSQVKETALRCLHFLFKRGLCENSDNSGIIRGLFSIMEEPEISLPMQYKALRVLHKVLLSIPPSSLHMELREFMRLQTVIENTSQYPASRKSCLAICILADLCCRTKDRAEIENVFGCSSLPSRVITLIKDHIKLLLMPLLEGSQNDLTICQELQGLLKILLTVVESHPSLGCLVLDNIKEVIEYYLVTVASTDRAVPLTLIALNFKGKKQNSFIFKFLSKIYRFLVACLENLYVVGAINTEVFSKVNILAKIVCQCNLIDCYTYLLYHLLLHSQPICDGLVHESDETHPTSCLIKGTTFVNKVLTGTNGWTAYKVGAHAACQGEWSLATIIFRTLIEKVKSVSCCSWLNALFHYSNSEEKIQLLRQPKQGTTSIELVGTIEFPLSRDYKDDTCPRLARIINDCNYYDQLTQSHVEVCSSLKILEASATSSQEFCFQRWFLSLRARVLENLVSVVKALREVLLNVDQNLNQVETESSDKLQFLKSYKDITQISLQLFRLAEEFNLLRASFIEMDSESSEVLAAHGLSSSVLAFVTAFGVSNIDHNSQRIFIGVKTSSNLQALTIQNLRRLFWCVDSRTRASFSLLLNYFDLNENCLSPGSCYRTCSIGYKDREVLNVCNYAVSSAVCLLQKTASEFAKNALTLASSTLIKLMHIHLRIPKYFFKVRPFIGSELFLHNEDSVNGVEISVSHGSHITLNICLQLKNVPPNLLVKSTKCYCILHCSTSFLVPCRQTLGHSQSISEAWKYDEIVELNQKLVCHVLDGVAGKRRIGMHSRAHGNSVAVETFMDFRPNEKGQGFSHCSLDVSNFPVGSYRIKWHSCMVDSQDSYWSLLPLNPGPVFFVTKPRIG >Vigun06g018732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:8652751:8653110:-1 gene:Vigun06g018732.v1.2 transcript:Vigun06g018732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFPSAHTLPAPPPHAPPSARGHAVDASHHRQRRQREPNLLSRFSSLLLLPVTAHHTVRAATARPATGERPRRRRRPPSAMSPARTKPPESLLFLTSSSRVRDGDYDHRRERHWQRL >Vigun09g204000.1.v1.2 pep primary_assembly:ASM411807v1:9:37864395:37868150:-1 gene:Vigun09g204000.v1.2 transcript:Vigun09g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSSLSLSFFFPTSTLLPNNLIFRNNNRVIFNQHNVPLSKERLRFSCCALRPTSQNRVFRRDLMLLGLTSVSLTWPLSVALAEEEPTMASFFDETNAYSYQYPVESPSDNISLKWVESRKPERYSSAAPLSPDARLRIVSERVDFIDNVIISVTIGPPNGSFIKSKDKSTWTAKDVADSVLADRSSLRVTSSQRLEESSVLNTHSSEIDGEKYWYYEYLVRKAPLRLTDQSNTYRHYLASTAERDGYFYSISASTISPRWEKLGPFLEKAVSSFRLLSPTENYVPPYKDPWRFW >Vigun08g181300.8.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079927:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVDLAMKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.12.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079855:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.7.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079274:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERIADYMEVLYRLALVGEWHEMKPIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.11.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079855:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.1.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079927:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKPIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.2.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079927:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKPIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.3.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079927:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPDVEVSDESYIQIITVSRDYLSHNQTLPSDYLSHNQTLPSVATSQAVSQDYMEVLYRLALVGEWHEMKPIVENDPASVRVPLTSDGDTVLHLAVRSENVKMVEELVNVMAIEDMLKPNSDGWLPVHLAAIPVRYTRMKYLYSQHLLDKMAFHHIGFLFFIALEYNLFDLAMKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.9.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079897:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.10.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079897:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.13.v1.2 pep primary_assembly:ASM411807v1:8:35075914:35079855:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun08g181300.14.v1.2 pep primary_assembly:ASM411807v1:8:35075913:35079292:-1 gene:Vigun08g181300.v1.2 transcript:Vigun08g181300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKMYTRQLTMARDGNQQTALHWLARKPSEILQRELLDHNDNSGLSKVTGMQLLREIWTEVEHLELRKIISLTTDPTPILLDALESGNDDQVIRLFLRIPQTVIYSIDSKQRNLFHLLLHYRRLNIFDEYKNISVIKYLMLGLDDEGNNVLHEAAHLPPQFQLNSGLNAVIQMKTEHAWFKKVQKSAPFELRSERNKNGKTPIEIFYDEHKPLSKEIKESAKGIAESGMLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVALFTSSASILSFLSNFTSSRFAETEFVISLHPSLTFGPAFLIASVAAMVVAFIAASFLIFDHTTSWVSFVVTPMGVFPLLVFLLFQSRFCDDLFWSRYYRPKLW >Vigun05g046866.1.v1.2 pep primary_assembly:ASM411807v1:5:3915727:3916021:-1 gene:Vigun05g046866.v1.2 transcript:Vigun05g046866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWKKREDPRLGFSTIHQSTLLVMLPISLLMTMPILTHTASLLYSLFVLCTEGSSKWQLSSPYSLVVCKFLEAPFSLYNLQIFLFV >Vigun01g000100.1.v1.2 pep primary_assembly:ASM411807v1:1:1951:3899:1 gene:Vigun01g000100.v1.2 transcript:Vigun01g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIGHKLMSDKRAKVDLDANTRIDVRCQVHQLAFSPHTDSKGIMDLVNFLAPKHVILVHGEKHKMASLKEKIHSEFGIQCYDPANNETICILSTHYVNAEASHSFIRSCLSPNFTFQKCSSVDICNSSTIDKSLMPMLQVEDERVSEGVFVVEKGKKAKIVHKDELLLMLEEPKHEQ >Vigun04g023500.1.v1.2 pep primary_assembly:ASM411807v1:4:1786367:1792917:1 gene:Vigun04g023500.v1.2 transcript:Vigun04g023500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSDRISIAASAQRLDVDNRIALRFYYRIADNILRQADIFRAEKNIIDLYVMLLRFSSLVSETIPRHRDYRSSPQRQKESLKKKLLISLNELEKLKPVVQQKINELNNNLAYQQNGRGNFSSNNSLDFSPMKKQTSASYGQIKAARPTRTGELFYQGSRTQQFSYARPVEEHVRRLSLTLPPPKEETLSRHSILGPNGLKGQWRPPSTDKRIRYPSNIDLSPVELPSLQNPSEHESVSKKDDSIAEHYKSDLDSLVTQSEDVQPQPQPQLQHDQEPPSLISFETTEITPEIEVIRQPSPPPVLAEVVDLVPAASPCVQEAGCKAEISSTDSRVHAEAPLQLHISTSLMESFMKLAKSNTKKNLETCGVLAGLLKNRKFYITALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPKDSTRNHGIFRLTSPGGMSVIKQCDQRGFHPHSQPPDGGPIYKTCTDVYMNPDLKFDVIDLR >Vigun05g129100.1.v1.2 pep primary_assembly:ASM411807v1:5:14916083:14917840:1 gene:Vigun05g129100.v1.2 transcript:Vigun05g129100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGEAALDRLKVYEGIPPPYDKTKRMVVPDALKVLRLQKGHKYCLLGKLSSEVGWNYYDTIRELEKKRKERAHLCYERKKQLAKLRVKAEKVAEEKLGSQIEILAPVKY >Vigun03g294700.1.v1.2 pep primary_assembly:ASM411807v1:3:48057902:48060060:1 gene:Vigun03g294700.v1.2 transcript:Vigun03g294700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVQDQQLQNTDDGKLHDSNRSTDVTQKLQHARFEKCKWWLRVSLYIIFLLAGQSAATLLGRLYYDNGGNSKWMATFVQSAGFPVLFPLLFYFSTRKQDKLTNELNNDSHKAKPKFCTIVFLYLAFGLILTGDNLMYSYGLLYLPLSTYSLLCATQLGFNAVFSFFLNSQKFTAFIFNSIVLLTISASLLAIDADSEDQMGLSREKHIIGFFCTIGASATFSLYLSLVQLSFEKVIKRETFTVVLDMQIYPSLIATCACVVGLFASGEWKSLKQESKDYEKGTVSYVMTLLWTAVTWQISSIGMLGLIFEVSSLFSNVIGTLSLPIVPILAVIFFHDKVNGVKFIALLLAVWGFMSYVYQHYIDHKKAKADRVSLAEVEIC >Vigun02g080200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23294918:23295590:1 gene:Vigun02g080200.v1.2 transcript:Vigun02g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWVTCGCLFSVHHSGNHSLVNLTQLHFPSPTLNIVVLKLCNFIIDACVVQVSYLVEKLKIRGRGGKRFGVDSKYARISMIGTDDDFNDFLKGCQNGNRNEKKV >Vigun02g149800.1.v1.2 pep primary_assembly:ASM411807v1:2:29691291:29696503:-1 gene:Vigun02g149800.v1.2 transcript:Vigun02g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYESLTLQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNICGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMAHPYFSQVRAAESSRMRTQ >Vigun11g079300.1.v1.2 pep primary_assembly:ASM411807v1:11:23316253:23330026:-1 gene:Vigun11g079300.v1.2 transcript:Vigun11g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISATELKSVDFYSRKILRGLTEARLSIVAVPFMMLLFGMEPSYLTVSASTSVIVDKSSDHHYLRNFNNSFPEVSYEFASTDVGDMVETERINIEKTVRKFSSDSNSGLTSAEFRSDTITNVVKHDGEVDGESVEGSFSLTTHNFDNYVYQFPITVVNFYTPWCSWCQRLEPAWDKTTKIMIERYDPEIDGRIILAKVDCTLEADLCRRHHIQGYPSIRVFREGSDVRKVHGHHEHETYYGDRDTESLTQFVENLVASLPRVSWTNAA >Vigun03g424800.3.v1.2 pep primary_assembly:ASM411807v1:3:63050510:63052895:1 gene:Vigun03g424800.v1.2 transcript:Vigun03g424800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRASEGSQSDPSPEWTAAGADAGIEESSWQHGLAAAESYPLRPDEADCIYYLRTGFCGYGTRCRFNHPRDRAAVIGAAARTGGEFPERVGQPVCQYYMRTGSCKFGASCKYHHPRQAAGAATPVPLNYYGYPLRVGEKECSYYVKTGQCKFGATCKFHHPQPAGVQVIAPSPVPSVSHLPVPVPSPVYPTVQPQSGPSQQQYGVLVARPPMLPGSVVQGPYGPMVVSPAMVPFSGWGPYQAPATTPVMPSSSASNVGSTQLYGITPLPSSAATFTAPYQPSGSSVGPSAAIQKEHPFPERPDQPECHYYMKTGECKFGPSCRYHHPPDKGAPKANVILSPVGLPLRPVISTSLFFGALYWVVIKKGSQ >Vigun03g424800.1.v1.2 pep primary_assembly:ASM411807v1:3:63050510:63055549:1 gene:Vigun03g424800.v1.2 transcript:Vigun03g424800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRASEGSQSDPSPEWTAAGADAGIEESSWQHGLAAAESYPLRPDEADCIYYLRTGFCGYGTRCRFNHPRDRAAVIGAAARTGGEFPERVGQPVCQYYMRTGSCKFGASCKYHHPRQAAGAATPVPLNYYGYPLRVGEKECSYYVKTGQCKFGATCKFHHPQPAGVQVIAPSPVPSVSHLPVPVPSPVYPTVQPQSGPSQQQYGVLVARPPMLPGSVVQGPYGPMVVSPAMVPFSGWGPYQAPATTPVMPSSSASNVGSTQLYGITPLPSSAATFTAPYQPSGSSVGPSAAIQKEHPFPERPDQPECHYYMKTGECKFGPSCRYHHPPDKGAPKANVILSPVGLPLRPGAPPCTHYTQRGVCKFGSACKFDHPMGSLSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSELRPELGAGVSKESVSSRMSSMSTSTGSVGLTLSSVGPISQSSTQPSAQSSSSSATTSATTTSSTVSHTSS >Vigun11g126300.1.v1.2 pep primary_assembly:ASM411807v1:11:33343763:33353521:1 gene:Vigun11g126300.v1.2 transcript:Vigun11g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPMDTDAREASGLLWGVTVTPFAAADENNRAPSYGSGGDLLPRCENCWAYFNTYCELEQWAWSCSLCGNLNGLSSDAIDRYSRPQSSAEMMSSFVDLELPTQESAEEAAMQACPVYVAAVDLSSSEEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPDAEETLPIELEDVMPLLQFLAPVDTCKDRIASALETLRPTTSWERTTAAGQGLDGVLIGGRGFGMAMEALCNYLGSEYGNTFALARVFAFLSGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTSTEDSTLPQDMYRMLSRPYAFGCVLRLRTSTEFKPGNSYGHFFPDPQYENVQHIICCDSYATYAYDFVFENNIGFSRTKADVPTIQIAFQYSVVVPPQELSNSGGVSTNRTKHSLQRRLRIRTLQFGVAQNIHELYDSCDPEVVLSLLVHKVILASLEEGVREGRILLQEWLVILTAQYNDAYKLIQYSNGSSLRSQIDVALSQCPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSMLEPSSLHRAVYPVLTSYATPDKQAYPRHSLSRAALITSGSPIFFLDAFTILIVFYSSTADRTIPFPPPHDCLLRTTINKLKQDRCITPKLLFIRGGQDDASIFENFLIEEQDVDGSGLTSVMGFVSFLEDVTQKVLEFVK >Vigun09g032400.1.v1.2 pep primary_assembly:ASM411807v1:9:2767316:2768823:1 gene:Vigun09g032400.v1.2 transcript:Vigun09g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEACITSLSLGLGMGGHVPKKEKQKLPCLDLTFQICPKGEKTIHVDKQHLLHGDDKAKGLLCLKHPSENNSPDSSNNSSNGSRKKLKLTKEQSATLEDIFKLHSTLNPAQKQALADQLNLKHRQVEVWFQNRRARTKLKQTEVDCEFLKKCCEKLTDENLRLKKELQELRAQKIGAKPLYIQVSKATTLNICSSCEKELKPNEGKKGGISDVVRKSVGVKGI >Vigun05g116800.2.v1.2 pep primary_assembly:ASM411807v1:5:12431707:12436502:-1 gene:Vigun05g116800.v1.2 transcript:Vigun05g116800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRGEGDELYEQLWKACAGPHVEIPRAGQRVFYFPQGHMEQLEVSTNQELNQRIPLFKLPSEILCRVVNVHLLAEPETDEVYAQITLVPENNQTEPTSPDPCPSEVPRPRVHSFCKDMSKSTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGSNGELRVGVRRLTPVQSSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIVSVNKYLEAINQKCNVGMRLKMRLEGDDSTETDKRISGTVIGVEDISPHWVNSKWRSLKVQWDEPTSFPRPDRVSPWEIEPILASVPTKISSQTVVIKNKRPRQASEVSDLGDTPLIAPTFWDPGLTQSDITQLGVMDESKRSESSAHMWHHNNNNSSNGISMNQRKASWLSSPSPSPTSKAHSERLNSDHCLDQVDKEISKVEPATGCRLFGIELIDHTRSNSLSVDHASAVASEGRTDANHESDISKASKEWKQEQPQVSPKETQNKQICSRSCTKVQMQGVAVGRAVDLTTLDGYEQLVDELEKMFDIKRQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVRRIFICSSQDVHKLSSGSKLPISSIEETVISSDTAET >Vigun05g116800.1.v1.2 pep primary_assembly:ASM411807v1:5:12431707:12436502:-1 gene:Vigun05g116800.v1.2 transcript:Vigun05g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRGEGDELYEQLWKACAGPHVEIPRAGQRVFYFPQGHMEQLEVSTNQELNQRIPLFKLPSEILCRVVNVHLLAEPETDEVYAQITLVPENNQTEPTSPDPCPSEVPRPRVHSFCKVLTASDTSTHGGFSVLRKHATECLPALDMSKSTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGSNGELRVGVRRLTPVQSSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIVSVNKYLEAINQKCNVGMRLKMRLEGDDSTETDKRISGTVIGVEDISPHWVNSKWRSLKVQWDEPTSFPRPDRVSPWEIEPILASVPTKISSQTVVIKNKRPRQASEVSDLGDTPLIAPTFWDPGLTQSDITQLGVMDESKRSESSAHMWHHNNNNSSNGISMNQRKASWLSSPSPSPTSKAHSERLNSDHCLDQVDKEISKVEPATGCRLFGIELIDHTRSNSLSVDHASAVASEGRTDANHESDISKASKEWKQEQPQVSPKETQNKQICSRSCTKVQMQGVAVGRAVDLTTLDGYEQLVDELEKMFDIKRQLQHRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVRRIFICSSQDVHKLSSGSKLPISSIEETVISSDTAET >Vigun06g089100.2.v1.2 pep primary_assembly:ASM411807v1:6:22117640:22122348:-1 gene:Vigun06g089100.v1.2 transcript:Vigun06g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGKVHSIFNCQYIIPSRKQLSMKFPSTSVTSFLFVIVILFPLVIADLSSDKQALLDFANAIPHRRNLTWNPTTSVCESWVGITCNEDRTRVVTVRLPGVGLVGTIPSNTLGKLDAVKIISLRSNLLSGNLPPDIASLPSLQYLYLQHNNLSGDIPASLSPQLVVLDLSYNSFTGGIPKTFQNFSELTSLNLQNNSLSGQIPNLNVTQLRLLNLSYNHLNGSIPKALQIFPNSSFEGNSLLCGPPLRPCSGVPPRPSPALTPPSSSTTGRQNSKYKLSKIAVIAIGVGGAVVLFFIALVIVICCVKKDGGRGSNVIKGKGSGGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTAYKAILEESMTVVVKRLKEVVVGKKDFEQQMDIMGRVGQHTNVVPLRAYYYSKDEKLLVYDYIQGGNLHTLLHGGRTGGRTPLDWESRIRISLGSAKGLAHIHSVGGPKFSHGNIKSSNVLLNQDNDGCISDFGLAPLMNIPATPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEIRQSDSDNRPSSEENKSKDSNVQTP >Vigun06g089100.1.v1.2 pep primary_assembly:ASM411807v1:6:22117622:22122348:-1 gene:Vigun06g089100.v1.2 transcript:Vigun06g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGKVHSIFNCQYIIPSRKQLSMKFPSTSVTSFLFVIVILFPLVIADLSSDKQALLDFANAIPHRRNLTWNPTTSVCESWVGITCNEDRTRVVTVRLPGVGLVGTIPSNTLGKLDAVKIISLRSNLLSGNLPPDIASLPSLQYLYLQHNNLSGDIPASLSPQLVVLDLSYNSFTGGIPKTFQNFSELTSLNLQNNSLSGQIPNLNVTQLRLLNLSYNHLNGSIPKALQIFPNSSFEGNSLLCGPPLRPCSGVPPRPSPALTPPSSSTTGRQNSKYKLSKIAVIAIGVGGAVVLFFIALVIVICCVKKDGGRGSNVIKGKGSGGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTAYKAILEESMTVVVKRLKEVVVGKKDFEQQMDIMGRVGQHTNVVPLRAYYYSKDEKLLVYDYIQGGNLHTLLHGGRTGGRTPLDWESRIRISLGSAKGLAHIHSVGGPKFSHGNIKSSNVLLNQDNDGCISDFGLAPLMNIPATPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEIRQSDSDNRPSSEENKSKDSNVQTP >Vigun08g049600.3.v1.2 pep primary_assembly:ASM411807v1:8:5620064:5631227:1 gene:Vigun08g049600.v1.2 transcript:Vigun08g049600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIRFRERSGCRPCYNFHDSDDDDVKSTENYVSIDGNDGNVGGSSTQQKRKNSDDSVVLPRKRGRPRKNIARSDTTESNVTPFAERLRDRSSIKKPNYNIDESDEDFDTFPSKYGNQEKVLKIESSDTVSPLKDIDKILDCEMRSVVAAESDAQKLESKRILVKQYLVKWKGLSYLHCTWVPEEEFLKAFKTQPGLKIRVNSFHRRMESAKSFDEDFVAIRPEWTMVDRILACRWGNDDKKEYLVKWKELPYDECHWELESNISAFQKEIERFYTFQCRTRTVLSNKKSSIAEASESNNLQREFVQYEASPEFLSGGSLYPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAFMASLFEDNVCPHLVIAPLSTLRNWEREFATWAPEMNVVMYSGSADARALIREYEFYFPKGLRSKKGKEIVDERKRRRVKFDVLLTSYETIISDSSSLKPIKWECMIVDEGHRLKNKNSKLFSPLTQLSSQHRVLLTGTPLQNNLDELFMLMHFLDAEKFGSLEEFQKEFKDINREEQILRLQKMLAPHLLRRMKKDVMTELPPKKELILRVELCSVQKEFYKAILNRNYPILTRRARPHISLINVVMELRKLCCHPFMLNGLQPDLNDENPYDQLLESSGKLQLLDKMMVKLKEQGHRVLICSQFQKMLDLLEDYCCYKNWHYERIDGKVGGAERQEKIDRFNAEDSSRFCFLLSTRAGGLGINLTAADTVIIYDSDWNPHADLQAMARSHRHGQKKKVMIYRFIARGTIEERMIQLTKKKRVLEHLVVGRLQDENIKQKELDDIVRYGSEELFADENDEASRSRQIHYDDDAIDRLLDRDGVGGEDAALNGEDEDGFLKAFKVANFEYIDGVKPAEEVAQNEAKENQFSGESLRIIIYWEGLLRKAYEQCQVEKLNALGKGKRTRTKWVGEGFSKWVDQRSDGEDENYEGDHSEYDSNETTTPTRRVHGKKSRESPEPHPLMERRGKSLKVLGFSPNQRAQFLQIMMRFGLRDFDWKKFASRMKHKSYEEIMEYSLLFLSHVIEDITDSPTFIDGVPKEGLQIKTALLRIGVMNLIAKKVKLALENPGTQLFSDDILPRHPRMKGRKIWKEVHDLLLLQAVLKHGYARWRDIVEDKDLKIQKVICEELNIAVADIPVELQCNSRVFNGPNITNVESCSSSNQSKQSEMRSSSNLGKHAKSNMDFFLLDQHRKMQRAQILFIKRRFRLLEEGLLVEFQNRYLRNLPAKVAENNQVKGRPTRVNVNKFIVFETFPRPIVRVISTPDLYYFLFDFDTERINLIALYNEMCQIVAAFAKELAQAATDEEQYDAVARLGQILSICFDINEVLHKKD >Vigun08g049600.2.v1.2 pep primary_assembly:ASM411807v1:8:5620064:5631227:1 gene:Vigun08g049600.v1.2 transcript:Vigun08g049600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIRFRERSGCRPCYNFHDSDDDDVKSTENYNVGGSSTQQKRKNSDDSVVLPRKRGRPRKNIARSDTTESNVTPFAERLRDRSSIKKPNYNIDESDEDFDTFPSKYGNQEKVLKIESSDTVSPLKDIDKILDCEMRSVVAAESDAQKLESKRILVKQYLVKWKGLSYLHCTWVPEEEFLKAFKTQPGLKIRVNSFHRRMESAKSFDEDFVAIRPEWTMVDRILACRWGNDDKKEYLVKWKELPYDECHWELESNISAFQKEIERFYTFQCRTRTVLSNKKSSIAEASESNNLQREFVQYEASPEFLSGGSLYPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAFMASLFEDNVCPHLVIAPLSTLRNWEREFATWAPEMNVVMYSGSADARALIREYEFYFPKGLRSKKGKEIVDERKRRRVKFDVLLTSYETIISDSSSLKPIKWECMIVDEGHRLKNKNSKLFSPLTQLSSQHRVLLTGTPLQNNLDELFMLMHFLDAEKFGSLEEFQKEFKDINREEQILRLQKMLAPHLLRRMKKDVMTELPPKKELILRVELCSVQKEFYKAILNRNYPILTRRARPHISLINVVMELRKLCCHPFMLNGLQPDLNDENPYDQLLESSGKLQLLDKMMVKLKEQGHRVLICSQFQKMLDLLEDYCCYKNWHYERIDGKVGGAERQEKIDRFNAEDSSRFCFLLSTRAGGLGINLTAADTVIIYDSDWNPHADLQAMARSHRHGQKKKVMIYRFIARGTIEERMIQLTKKKRVLEHLVVGRLQDENIKQKELDDIVRYGSEELFADENDEASRSRQIHYDDDAIDRLLDRDGVGGEDAALNGEDEDGFLKAFKVANFEYIDGVKPAEEVAQNEAKENQFSGESLRIIIYWEGLLRKAYEQCQVEKLNALGKGKRTRTKWVGEGFSKWVDQRSDGEDENYEGDHSEYDSNETTTPTRRVHGKKSRESPEPHPLMERRGKSLKVLGFSPNQRAQFLQIMMRFGLRDFDWKKFASRMKHKSYEEIMEYSLLFLSHVIEDITDSPTFIDGVPKEGLQIKTALLRIGVMNLIAKKVKLALENPGTQLFSDDILPRHPRMKGRKIWKEVHDLLLLQAVLKHGYARWRDIVEDKDLKIQKVICEELNIAVADIPVELQCNSRVFNGPNITNVESCSSSNQSKQSEMRSSSNLGKHAKSNMDFFLLDQHRKMQRAQILFIKRRFRLLEEGLLVEFQNRYLRNLPAKVAENNQVKGRPTRVNVNKFIVFETFPRPIVRVISTPDLYYFLFDFDTERINLIALYNEMCQIVAAFAKELAQAATDEEQYDAVARLGQILSICFDINEVLHKKD >Vigun08g049600.4.v1.2 pep primary_assembly:ASM411807v1:8:5620064:5631227:1 gene:Vigun08g049600.v1.2 transcript:Vigun08g049600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIRFRERSGCRPCYNFHDSDDDDVKSTENYNVGGSSTQQKRKNSDDSVVLPRKRGRPRKNIARSDTTESNVTPFAERLRDRSSIKKPNYNIDESDEDFDTFPSKYGNQEKVLKIESSDTVSPLKDIDKILDCEMRSVVAAESDAQKLESKRILVKQYLVKWKGLSYLHCTWVPEEEFLKAFKTQPGLKIRVNSFHRRMESAKSFDEDFVAIRPEWTMVDRILACRWGNDDKKEYLVKWKELPYDECHWELESNISAFQKEIERFYTFQCRTRTVLSNKKSSIAEASESNNLQREFVQYEASPEFLSGGSLYPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAFMASLFEDNVCPHLVIAPLSTLRNWEREFATWAPEMNVVMYSGSADARALIREYEFYFPKGLRSKKGKEIVDERKRRRVKFDVLLTSYETIISDSSSLKPIKWECMIVDEGHRLKNKNSKLFSPLTQLSSQHRVLLTGTPLQNNLDELFMLMHFLDAEKFGSLEEFQKEFKDINREEQILRLQKMLAPHLLRRMKKDVMTELPPKKELILRVELCSVQKEFYKAILNRNYPILTRRARPHISLINVVMELRKLCCHPFMLNGLQPDLNDENPYDQLLESSGKLQLLDKMMVKLKEQGHRVLICSQFQKMLDLLEDYCCYKNWHYERIDGKVGGAERQEKIDRFNAEDSSRFCFLLSTRAGGLGINLTAADTVIIYDSDWNPHADLQAMARSHRHGQKKKVMIYRFIARGTIEERMIQLTKKKRVLEHLVVGRLQDENIKQKELDDIVRYGSEELFADENDEASRSRQIHYDDDAIDRLLDRDGVGGEDAALNGEDEDGFLKAFKVANFEYIDGVKPAEEVAQNEAKENQFSGESLRIIIYWEGLLRKAYEQCQVEKLNALGKGKRTRTKWVGEGFSKWVDQRSDGEDENYEGDHSEYDSNETTTPTRRVHGKKSRAESPEPHPLMERRGKSLKVLGFSPNQRAQFLQIMMRFGLRDFDWKKFASRMKHKSYEEIMEYSLLFLSHVIEDITDSPTFIDGVPKEGLQIKTALLRIGVMNLIAKKVKLALENPGTQLFSDDILPRHPRMKGRKIWKEVHDLLLLQAVLKHGYARWRDIVEDKDLKIQKVICEELNIAVADIPVELQCNSRVFNGPNITNVESCSSSNQSKQSEMRSSSNLGKHAKSNMDFFLLDQHRKMQRAQILFIKRRFRLLEEGLLVEFQNRYLRNLPAKVAENNQVKGRPTRVNVNKFIVFETFPRPIVRVISTPDLYYFLFDFDTERINLIALYNEMCQIVAAFAKELAQAATDEEQYDAVARLGQILSICFDINEVLHKKD >Vigun08g049600.1.v1.2 pep primary_assembly:ASM411807v1:8:5620064:5631227:1 gene:Vigun08g049600.v1.2 transcript:Vigun08g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIRFRERSGCRPCYNFHDSDDDDVKSTENYVSIDGNDGNVGGSSTQQKRKNSDDSVVLPRKRGRPRKNIARSDTTESNVTPFAERLRDRSSIKKPNYNIDESDEDFDTFPSKYGNQEKVLKIESSDTVSPLKDIDKILDCEMRSVVAAESDAQKLESKRILVKQYLVKWKGLSYLHCTWVPEEEFLKAFKTQPGLKIRVNSFHRRMESAKSFDEDFVAIRPEWTMVDRILACRWGNDDKKEYLVKWKELPYDECHWELESNISAFQKEIERFYTFQCRTRTVLSNKKSSIAEASESNNLQREFVQYEASPEFLSGGSLYPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAFMASLFEDNVCPHLVIAPLSTLRNWEREFATWAPEMNVVMYSGSADARALIREYEFYFPKGLRSKKGKEIVDERKRRRVKFDVLLTSYETIISDSSSLKPIKWECMIVDEGHRLKNKNSKLFSPLTQLSSQHRVLLTGTPLQNNLDELFMLMHFLDAEKFGSLEEFQKEFKDINREEQILRLQKMLAPHLLRRMKKDVMTELPPKKELILRVELCSVQKEFYKAILNRNYPILTRRARPHISLINVVMELRKLCCHPFMLNGLQPDLNDENPYDQLLESSGKLQLLDKMMVKLKEQGHRVLICSQFQKMLDLLEDYCCYKNWHYERIDGKVGGAERQEKIDRFNAEDSSRFCFLLSTRAGGLGINLTAADTVIIYDSDWNPHADLQAMARSHRHGQKKKVMIYRFIARGTIEERMIQLTKKKRVLEHLVVGRLQDENIKQKELDDIVRYGSEELFADENDEASRSRQIHYDDDAIDRLLDRDGVGGEDAALNGEDEDGFLKAFKVANFEYIDGVKPAEEVAQNEAKENQFSGESLRIIIYWEGLLRKAYEQCQVEKLNALGKGKRTRTKWVGEGFSKWVDQRSDGEDENYEGDHSEYDSNETTTPTRRVHGKKSRAESPEPHPLMERRGKSLKVLGFSPNQRAQFLQIMMRFGLRDFDWKKFASRMKHKSYEEIMEYSLLFLSHVIEDITDSPTFIDGVPKEGLQIKTALLRIGVMNLIAKKVKLALENPGTQLFSDDILPRHPRMKGRKIWKEVHDLLLLQAVLKHGYARWRDIVEDKDLKIQKVICEELNIAVADIPVELQCNSRVFNGPNITNVESCSSSNQSKQSEMRSSSNLGKHAKSNMDFFLLDQHRKMQRAQILFIKRRFRLLEEGLLVEFQNRYLRNLPAKVAENNQVKGRPTRVNVNKFIVFETFPRPIVRVISTPDLYYFLFDFDTERINLIALYNEMCQIVAAFAKELAQAATDEEQYDAVARLGQILSICFDINEVLHKKD >Vigun05g291200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47747040:47748678:1 gene:Vigun05g291200.v1.2 transcript:Vigun05g291200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGFVWDDRFLSEELNKVNNQHQNNDDNNDTDEIKDLQLQPAPPLQRTRSNGGTRAYRTGKVSPAIEPPSPRLSACGFCAAFGKAPPRDHNKAQRTKPAKHRTR >Vigun03g317700.1.v1.2 pep primary_assembly:ASM411807v1:3:51223201:51225675:1 gene:Vigun03g317700.v1.2 transcript:Vigun03g317700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSLECDLIHSILKRFWTLRALERENVEANDAPDSEVGVGTSKKNRTTSANGNALKLTCELLRLFITEAVQRAAAVAEAEGANQIEPTHLEIILPQLLLDF >Vigun08g191650.1.v1.2 pep primary_assembly:ASM411807v1:8:35921944:35925096:-1 gene:Vigun08g191650.v1.2 transcript:Vigun08g191650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSNDTCNAAANSSHKKRVKVPKRGPGVAELEKMMRGQNGIHTTDKRISELSDGNQYDSTHFSSKHLYSESNPFWSYFGTVFKTNHESSPSPLKKMVSMTQSHSSTVESDLIPSFSYYNRPLRSSINDSNQECYRDVPAFATAEVPSPPPLHLFPVQPCQVIEGKVKSYQEHYSEASGVYHRPFYNFLQVRGVTEDTNPGIDLNLRL >Vigun05g086800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8225168:8229710:-1 gene:Vigun05g086800.v1.2 transcript:Vigun05g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRRFLETELSMPPSYGNNTRDTFISDANFDTNMVIILAALLCALICALGLNSIARCALRCGRRFGDETEEQAAARLAGTGLKRRELSRIPVAVYGASGETIPATECPICLGEFEKGDRVRMLPKCNHGFHVHCIDTWLLSHSSCPNCRHSLLEKPAAPESGSRRRSDVVVVVEPPS >Vigun06g115500.1.v1.2 pep primary_assembly:ASM411807v1:6:24362395:24364054:-1 gene:Vigun06g115500.v1.2 transcript:Vigun06g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVEPANDSMATVADKAPVTAQRKVPQDLDTKLPKPYLPRALVAPDTENVNGTWGYKHNDMSVLQQHVSFFDLNNDGVVYPWETYKGLRSLGINVILAFIFSIVIHVALSYPTLPTWLPSPFFPIHIKNIHKAKHGSDSGSYDTEGRFMPSNLEFIFSKYAREVPDKLSLRELWHMTEANRVAFDFFGWVASKFEWGALYILAKDEHGYLTKEAVRRCFDGSLFEYCAKHRKGAAGKMA >Vigun03g295700.1.v1.2 pep primary_assembly:ASM411807v1:3:48173237:48174807:-1 gene:Vigun03g295700.v1.2 transcript:Vigun03g295700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRSTTPSRPSYLEQDHGLASLTDMDACHRANNFVSRSMTMGYATSYTRTGSRNTLPVFSPRSKTFHDTRFEDQHSHFLAACFLCKKPLGDNRDIFMYRGDTPFCSQECRQEQIDIDEAKEKNLNLSSSMKALRNKEQRKSTTSPNKAQGYSFRTGAVAAA >Vigun07g126000.1.v1.2 pep primary_assembly:ASM411807v1:7:23284970:23288910:-1 gene:Vigun07g126000.v1.2 transcript:Vigun07g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSLFTPSSSLRFNPLLLSSTPSSSSLSNNLSFPTLSRKLRKSVEGRKISKNSAVKAVYGDQFWTPESSSRQDIWSIRRDLKVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKDYGLIDGVIMNPLKALQPLEAAAEGKDRASV >Vigun07g126000.2.v1.2 pep primary_assembly:ASM411807v1:7:23284970:23288910:-1 gene:Vigun07g126000.v1.2 transcript:Vigun07g126000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSLFTPSSSLRFNPLLLSSTPSSSSLSNNLSFPTLSRKLRKSVEGRKISKNSAVKAVYGDQFWTPESSSRQDIWSIRRDLKVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTVQKKPRIMDSLMV >Vigun03g311800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50479033:50479230:-1 gene:Vigun03g311800.v1.2 transcript:Vigun03g311800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVHSEVGFLAFASPAAAMMDLFNVSTANMPFTISQDFFCRQFQGVCFCFSSLLSEPHFLMSLL >Vigun05g183200.1.v1.2 pep primary_assembly:ASM411807v1:5:35231007:35234882:1 gene:Vigun05g183200.v1.2 transcript:Vigun05g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFFVDLLGKWKESEYGGGQSVPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Vigun03g077600.1.v1.2 pep primary_assembly:ASM411807v1:3:6420335:6426954:1 gene:Vigun03g077600.v1.2 transcript:Vigun03g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPFSSTSAAQWGIHPQLLVRSSITGKVASSSHNATSRVTFMAASSSSFFSRDSTLLNVGAPQTFNHRKGSRLIVRADADYYSVLGVSRNASKSEIKSAYRKLARNYHPDVNKDPGADQKFKEISNAYEVLSDDEKRSIYDRFGEAGLKGSGMGMGDFSNPFDLFESLFEGMNRGPGSRGSWNGAIDGEDEYYSLVLNFKEAVFGIEKEIEISRLESCGTCNGSGAKPGTTPTRCSTCGGQGRVVSSTRTPLGIFQQSMTCSSCNGSGEISTPCNTCSGDGRVRKSKRISLKVPAGVDSGSRLRVRNEGNSGRRGGSPGDLFVVIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTTKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPFLNKNNMRGDQLVRVQVEIPKRLSNDERKLIEELSDLSKGKTTTGRR >Vigun03g077600.2.v1.2 pep primary_assembly:ASM411807v1:3:6419433:6427040:1 gene:Vigun03g077600.v1.2 transcript:Vigun03g077600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPFSSTSAAQWGIHPQLLVRSSITGKVASSSHNATSRVTFMAASSSSFFSRDSTLLNVGAPQTFNHRKGSRLIVRADADYYSVLGVSRNASKSEIKSAYRKLARNYHPDVNKDPGADQKFKEISNAYEVLSDDEKRSIYDRFGEAGLKGSGMGMGDFSNPFDLFESLFEGMNRGPGSRGSWNGAIDGEDEYYSLVLNFKEAVFGIEKEIEISRLESCGTCNGSGAKPGTTPTRCSTCGGQGRVVSSTRTPLGIFQQSMTCSSCNGSGEISTPCNTCSGDGRVRKSKRISLKVPAGVDSGSRLRVRNEGNSGRRGGSPGDLFVVIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTTKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPFLNKNNMRGDQLVRVQVEIPKRLSNDERKLIEELSDLSKGKTTTGRR >Vigun05g027100.2.v1.2 pep primary_assembly:ASM411807v1:5:2193573:2197282:-1 gene:Vigun05g027100.v1.2 transcript:Vigun05g027100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAKGHQDELEDDEEEEFLTANTSASLNKVKVDEPSTGKRGNTHRSKHSETEQRRRSKINERFQVLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQIYEQSYEGWSQEPTKLIPWRNHHGHAENTTDPSQTIQNGSVDEKNNSASPLFPGNVPNPMESDFSMMTVQKGHVPGSSTEAVPLTMQMRLDMFDPVVSSGMATQHLQESVSNVDMHSHTQPQLWLDKSNKGNYILPHDSTMKEPEELVIESGSDSISSAYSQGFYVF >Vigun05g027100.1.v1.2 pep primary_assembly:ASM411807v1:5:2193573:2197282:-1 gene:Vigun05g027100.v1.2 transcript:Vigun05g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAKGHQDELEDDEEEEFLTANTSASLNKVKVDEPSTGKRGNTHRSKHSETEQRRRSKINERFQVLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQIYEQSYEGWSQEPTKLIPWRNHHGHAENTTDPSQTIQNGSVDEKNNSASPLFPGNVPNPMESDFSMMTVQKGHVPGSSTEAVPLTMQMRLDMFDPVVSSGMATQHLQESVSNVDMHSHTQPQLWLDKSNKGNYILPHDSTMKEPEELVIESGSDSISSAYSQGILDTLTQVLQSSGVDMSQTNLSVQIDVGRRANAGLVPSPYSSKVHENQFVSNPAISVSGVDYCNIESEQSSKRLRQEAS >Vigun02g159400.1.v1.2 pep primary_assembly:ASM411807v1:2:30497318:30550211:-1 gene:Vigun02g159400.v1.2 transcript:Vigun02g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLQVPWSRLGQDPVLVYLDRIFLLAEPATQVEGCSEDAVQEAKKSRIQEMELKLWEKSQQLKSEMNQSWLGSLIGTIIGNLKLSISNIHIRYEDSESNPGQPFAAGVMLDKLSAVTVDDTGKETFITGGGLDRIQKSVELDRLAVYLDSNIIPWHINKTWEDLLPSEWFQIFKYGTKDGKPADKLLRKHSYILQPVTGKAKYSKLLPTEVADSQKPLQKAVVNLDDVTISISKDGYGDIMKLADNFAAFNQRLKYAHYRPLVPVKADSRSWWKYAYRAVSDQIKKASGKMSWEQVLRYASLRKRYISLYASQLKSDPTQVTISGNKEIEDLDRELDIELILQWRMLAHKFVEQSAESNHNIRKQKAQKSWWSFGWTSESTKEESDEFNFSEEDWNQLNKIIGYKEGDDGQLAVNSKADVIHTFLEVHMNHNASKLIGETKESVAELSCEDLSCSIKLYPETKVFDVKLGSYKLSSPKGLLAESATSYDSLVGVFHYKPFDDSVDWRMVAKASPCYMTYLKDSIDQIVKFFGSNAAVSQTIALETAAAVQMTIDEVKRTAQQQMNRALKDHARFSLDLDIAAPKITIPTDFCPDNTHATKLLLDLGNLMIRTQDDQQQESAEDNMYLRFDLVLSDVSAFLFDGDYHWSKVSLNKSAHSTNTGFFPIIDKCGVILQLQQIRLETPYYPATRLAARLPSLAFHFSPARYHRLMHVIKIFEEEDGDSSEFLRPWNQADLEGWLSLLTWKGVGVREALWQRRYFCLVGPFLYVLESPDSRSYKQYTSLRGKQVSEVSQELVGNVQHVLVVCSPTRSNSKVVEDTNALIIRCESEESMKTWHSRLQGAIYHASNTAPISGLSETSSDHEDTESEHDIDVGISESLFVTGVLDELKICFSYSYQCDQSLMKVLSNEERRLFEFRAIGGQVEVSIRDNNIFVGTILKSLEIEDLVCCSQLSSQPCFMARSYIGTADENSLLYNNMIKCVESSVLIPTESDDQFYEAPETLAESVDYPMQSPRGTSEYRSSSSSDMRFNYSSLTLPKFSRITGLLPSDSPSRKELELNDTLESFVKAQIIIYDQNSSQYKNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDKNLATASDSSSTARMKKDVSRDGDDLQSTAVEDHAVKGLFGKGKSRVMFNLTLKMAQAQILLMKENETKLACLFQESLLTDIRVFPSSFSIKAALGNLKISDNSLPSSHLYYWACDMRNPGGRSFVELEFTSFSNDDEDYEGYDFSLFGELSEVRIVYLNRFLQEVVGYFMGLVPDSPKSVVKVTDQATNSEKWFSANEIEGSPAVKFDLSLKKPIILMPQRTDSLDFLKLDIVHITVKNTFQWIGGGKSEINAVHLETLTVQVEEINLNVGTGSDIGESIIQDVNGLSVTIHRSLRDLLGQFPSVEVIVKIEELKAEVSNKEYEIITECAISNFSEVPDIPPPLNQWSSMTLNDTTGGIVPEVTNGVDSGTTNVEPSLLLKLCVSINLVELSLYTGLTRDSSLATVQVSNAWLLYKSSTAGNGFLSATLQGFSVFDDREGVEQEFRLAIGKPDNVGAYPLPTSSHNQNKDSVDGSLIEGNNFDLVQTMLIVDVKFGQDSTFVSLGVQRPQLLVALDFLLAVVEFFVPTVSSMLSVEEKRSDMLEAIVIDQSVYKQPCPEFSLSPQKPLIVDDDGFDHFIYDGDGGILYLKDRQGFNLAAASTEAIIYIGNGKKLRFRNVVIKGGPHLDSCVYLGADSSYSALAGDYVYLEELVESPQSRSLRGRVDEVPCQNNAVNNSTELIIELQAIGPELTFYNTSKDVGELLNLSNKLLLAHLDAFCRLVLKGNNTEMSADVLGLTMESNGIRILEPFDTSLKYSNASGRTNIHLSVSNIFMNFTFSILRLFMAVEDDILAFLRMTSKKMTIVCSHFDKVGTIKNSQSDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKGVLAVNINSVTVKRPISFRLIWPPLTSVGFKGEEVDNSDSLWNTEADNICSIWFPEAPKGYVALGCIVTRGKTPPPLSSAFCIPSSSVSPCSLRDCITIGTTDLSPSCVAFWRVDNSVGTFLPVDPISLTLMGKAYELRCIKYDYLKPSSAAASSQDSYAPGGHLALQPDQSVGANSNRRYEPVASFELVWWNQASNSRKRLSIWRPVVPAGMVYFGDVAVKGYEPPNACIVVHDSRDENVFKTPLDFQLVGQIKKQRGMESMSFWLPQAPPGFVSLGCVACKGKPKQNDFSTLRCMRSDLVAGDKFLEESVWDTSDAKHVAEPFSIWAVGNELGIFIVRGGFKRPPRRFALKLADSNVPSGSDVTVIDAGIGTFSMALFDDYSGLMVPLFNISLSGITFSLHGRTGYLNCTIGFSLAARSYNDKYEAWEPLVEPVDGFLRYQYDLNALAGTSQLRLTSTRDLNLNVSVSNANMIIQAYASWNNLSNAHECYKNRDAFSPTYGGNSIIDKLQKRNYYIIPQNKLGQDIFIRATEARGLQNIIRMPSGDMKAVKVPVSKNMLESHLKGKLCRKIRTMVTIIIAEAQFPQVEGSDSQQYTVAVRLSPSQTVPSDALVYQQSARTRGQRPHHLLPSDLESVKWNEIFFFKVDSLDYHSLDLILTDMGKGVPIGFFSASLNEIARTIEDYSNPQNFVKELNWISLCTENSMDGKPCKLQCAILVHNSEIESNNQLSNYDVRKRGFIQISPSKEGPWTTVRLNYAAPAACWRLGNSVVASEASVKDGNRYVNIRSLVSVRNNTDFVLDLCLTSKVSSEKMNLLKSSSNSESIQTESYRIQTEEFFETEKLTPEIGWVRCSGSSGNHISDGGKSLQIFPEIDLPPSWEWIDDWHLDTKSPNTADSWIYAPDVESLRWPESFDPRDSRNTARQRRWLRNRKLIAEDLKHEISVGLLQPGETAPLPLSGLTQSVQYFLQLRPWTSANSCEYSWSTVVDRPRQQDVGRKGQCSNLCVSALSESEELLCCSEMHGTSGGSHKLWFSVSIQSTEIAKDIHSDAIQDWCLAVKSPLTISNFLPLAAEYSVLEMQSSGHFLACSRGVFLSGKTVQIYSADIRNPLFLSLLPQRGWLPIHEAVLLSHPHENPSKTISLRSSISGRVIQIVLEQNFDKEHTLLAKTIRVYAPYWLEVARCPPLTFRMLDMSGKRHMPKVAAQFQTQKKNGLILEEITEEEIYDGYTIASTFNFNMLALSVAIAQSGNEHFGPVTDLAPLGDMDGSLDIYAYDGDGNCLRLIISTKPCSYQSIPTKVISVRPFMTFTNRLGQDIFIKLNSEDEPKVLRASDSRVYFVCRGTGGPEKLQVKLEGSSWSFPLQIVREDTISLVLRMNDGTIKLLRTEIRGYEEGSRFIVVFRLGSSDGPIRIENRTPNKALIIRQSGFGEDAWIQLQPLSAKNFSWEDPYGNKFLDAKLRDGDSNSIWKLDLERSGSSSVEFGLQFHVIDRGDIIIAKFTDDRMPSSGSNEEIRGPVTSGKGGVSGAQDEMQSSVTPFELLIELGVVGISLVDHRPKELFYLYLERVSLTYSTGYDGGKTSRFKLIFGYLQLDNQLPLTLMPVLLAPEQTSDVQHPVFKMTITMQNENNDGIQVYPYVYIRVTDKCWRLEIHEPIIWAIMDFYNNMQLDRLPKSSTVTEVDPEIRFDLIDVSEVRLKFSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIIQGTEALAQGVAFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCFEVFNSKIALQRIRNPRAVHSDGILREYCERQAIGQMVLYLGEASRQFGCTEIFKEPSKFALSDYYEEHFTVPHQKIVLVTNKRVMLLQCLAPDKMDKRPCKIIWDVPWDELMSLELAKAGSSQPSLLILHLKHFRRSENFVRVIKCNSVEIFEGREPQAIKICSVVRKTWKTHQSNMKSLILKVPSSQRQVYFSWTEVDSRESRTPNSKAIISSRDISSNSTASDDRRFVRHNINFSKIWSSEREYNGRCSLCSRKQISQDGRICSIWRPVCPDGYIYIGDIARVSPHPPNVAAVYRKIDGFFALPMGYDLVWRNCSEDYVAPVSIWQPRAPEGFVAPGCVAVAGYSEPEADLVYCVAESLTEETEFEDLKVWSASDSYPWSCHIYQVQSDALHFVALRQSKDECDWKPKRIRDDPHSQLQTP >Vigun02g159400.2.v1.2 pep primary_assembly:ASM411807v1:2:30497318:30550211:-1 gene:Vigun02g159400.v1.2 transcript:Vigun02g159400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLQVPWSRLGQDPVLVYLDRIFLLAEPATQVEGCSEDAVQEAKKSRIQEMELKLWEKSQQLKSEMNQSWLGSLIGTIIGNLKLSISNIHIRYEDSESNPGQPFAAGVMLDKLSAVTVDDTGKETFITGGGLDRIQKSVELDRLAVYLDSNIIPWHINKTWEDLLPSEWFQIFKYGTKDGKPADKLLRKHSYILQPVTGKAKYSKLLPTEVADSQKPLQKAVVNLDDVTISISKDGYGDIMKLADNFAAFNQRLKYAHYRPLVPVKADSRSWWKYAYRAVSDQIKKASGKMSWEQVLRYASLRKRYISLYASQLKSDPTQVTISGNKEIEDLDRELDIELILQWRMLAHKFVEQSAESNHNIRKQKAQKSWWSFGCEEDWNQLNKIIGYKEGDDGQLAVNSKADVIHTFLEVHMNHNASKLIGETKESVAELSCEDLSCSIKLYPETKVFDVKLGSYKLSSPKGLLAESATSYDSLVGVFHYKPFDDSVDWRMVAKASPCYMTYLKDSIDQIVKFFGSNAAVSQTIALETAAAVQMTIDEVKRTAQQQMNRALKDHARFSLDLDIAAPKITIPTDFCPDNTHATKLLLDLGNLMIRTQDDQQQESAEDNMYLRFDLVLSDVSAFLFDGDYHWSKVSLNKSAHSTNTGFFPIIDKCGVILQLQQIRLETPYYPATRLAARLPSLAFHFSPARYHRLMHVIKIFEEEDGDSSEFLRPWNQADLEGWLSLLTWKGVGVREALWQRRYFCLVGPFLYVLESPDSRSYKQYTSLRGKQVSEVSQELVGNVQHVLVVCSPTRSNSKVVEDTNALIIRCESEESMKTWHSRLQGAIYHASNTAPISGLSETSSDHEDTESEHDIDVGISESLFVTGVLDELKICFSYSYQCDQSLMKVLSNEERRLFEFRAIGGQVEVSIRDNNIFVGTILKSLEIEDLVCCSQLSSQPCFMARSYIGTADENSLLYNNMIKCVESSVLIPTESDDQFYEAPETLAESVDYPMQSPRGTSEYRSSSSSDMRFNYSSLTLPKFSRITGLLPSDSPSRKELELNDTLESFVKAQIIIYDQNSSQYKNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDKNLATASDSSSTARMKKDVSRDGDDLQSTAVEDHAVKGLFGKGKSRVMFNLTLKMAQAQILLMKENETKLACLFQESLLTDIRVFPSSFSIKAALGNLKISDNSLPSSHLYYWACDMRNPGGRSFVELEFTSFSNDDEDYEGYDFSLFGELSEVRIVYLNRFLQEVVGYFMGLVPDSPKSVVKVTDQATNSEKWFSANEIEGSPAVKFDLSLKKPIILMPQRTDSLDFLKLDIVHITVKNTFQWIGGGKSEINAVHLETLTVQVEEINLNVGTGSDIGESIIQDVNGLSVTIHRSLRDLLGQFPSVEVIVKIEELKAEVSNKEYEIITECAISNFSEVPDIPPPLNQWSSMTLNDTTGGIVPEVTNGVDSGTTNVEPSLLLKLCVSINLVELSLYTGLTRDSSLATVQVSNAWLLYKSSTAGNGFLSATLQGFSVFDDREGVEQEFRLAIGKPDNVGAYPLPTSSHNQNKDSVDGSLIEGNNFDLVQTMLIVDVKFGQDSTFVSLGVQRPQLLVALDFLLAVVEFFVPTVSSMLSVEEKRSDMLEAIVIDQSVYKQPCPEFSLSPQKPLIVDDDGFDHFIYDGDGGILYLKDRQGFNLAAASTEAIIYIGNGKKLRFRNVVIKGGPHLDSCVYLGADSSYSALAGDYVYLEELVESPQSRSLRGRVDEVPCQNNAVNNSTELIIELQAIGPELTFYNTSKDVGELLNLSNKLLLAHLDAFCRLVLKGNNTEMSADVLGLTMESNGIRILEPFDTSLKYSNASGRTNIHLSVSNIFMNFTFSILRLFMAVEDDILAFLRMTSKKMTIVCSHFDKVGTIKNSQSDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKGVLAVNINSVTVKRPISFRLIWPPLTSVGFKGEEVDNSDSLWNTEADNICSIWFPEAPKGYVALGCIVTRGKTPPPLSSAFCIPSSSVSPCSLRDCITIGTTDLSPSCVAFWRVDNSVGTFLPVDPISLTLMGKAYELRCIKYDYLKPSSAAASSQDSYAPGGHLALQPDQSVGANSNRRYEPVASFELVWWNQASNSRKRLSIWRPVVPAGMVYFGDVAVKGYEPPNACIVVHDSRDENVFKTPLDFQLVGQIKKQRGMESMSFWLPQAPPGFVSLGCVACKGKPKQNDFSTLRCMRSDLVAGDKFLEESVWDTSDAKHVAEPFSIWAVGNELGIFIVRGGFKRPPRRFALKLADSNVPSGSDVTVIDAGIGTFSMALFDDYSGLMVPLFNISLSGITFSLHGRTGYLNCTIGFSLAARSYNDKYEAWEPLVEPVDGFLRYQYDLNALAGTSQLRLTSTRDLNLNVSVSNANMIIQAYASWNNLSNAHECYKNRDAFSPTYGGNSIIDKLQKRNYYIIPQNKLGQDIFIRATEARGLQNIIRMPSGDMKAVKVPVSKNMLESHLKGKLCRKIRTMVTIIIAEAQFPQVEGSDSQQYTVAVRLSPSQTVPSDALVYQQSARTRGQRPHHLLPSDLESVKWNEIFFFKVDSLDYHSLDLILTDMGKGVPIGFFSASLNEIARTIEDYSNPQNFVKELNWISLCTENSMDGKPCKLQCAILVHNSEIESNNQLSNYDVRKRGFIQISPSKEGPWTTVRLNYAAPAACWRLGNSVVASEASVKDGNRYVNIRSLVSVRNNTDFVLDLCLTSKVSSEKMNLLKSSSNSESIQTESYRIQTEEFFETEKLTPEIGWVRCSGSSGNHISDGGKSLQIFPEIDLPPSWEWIDDWHLDTKSPNTADSWIYAPDVESLRWPESFDPRDSRNTARQRRWLRNRKLIAEDLKHEISVGLLQPGETAPLPLSGLTQSVQYFLQLRPWTSANSCEYSWSTVVDRPRQQDVGRKGQCSNLCVSALSESEELLCCSEMHGTSGGSHKLWFSVSIQSTEIAKDIHSDAIQDWCLAVKSPLTISNFLPLAAEYSVLEMQSSGHFLACSRGVFLSGKTVQIYSADIRNPLFLSLLPQRGWLPIHEAVLLSHPHENPSKTISLRSSISGRVIQIVLEQNFDKEHTLLAKTIRVYAPYWLEVARCPPLTFRMLDMSGKRHMPKVAAQFQTQKKNGLILEEITEEEIYDGYTIASTFNFNMLALSVAIAQSGNEHFGPVTDLAPLGDMDGSLDIYAYDGDGNCLRLIISTKPCSYQSIPTKVISVRPFMTFTNRLGQDIFIKLNSEDEPKVLRASDSRVYFVCRGTGGPEKLQVKLEGSSWSFPLQIVREDTISLVLRMNDGTIKLLRTEIRGYEEGSRFIVVFRLGSSDGPIRIENRTPNKALIIRQSGFGEDAWIQLQPLSAKNFSWEDPYGNKFLDAKLRDGDSNSIWKLDLERSGSSSVEFGLQFHVIDRGDIIIAKFTDDRMPSSGSNEEIRGPVTSGKGGVSGAQDEMQSSVTPFELLIELGVVGISLVDHRPKELFYLYLERVSLTYSTGYDGGKTSRFKLIFGYLQLDNQLPLTLMPVLLAPEQTSDVQHPVFKMTITMQNENNDGIQVYPYVYIRVTDKCWRLEIHEPIIWAIMDFYNNMQLDRLPKSSTVTEVDPEIRFDLIDVSEVRLKFSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIIQGTEALAQGVAFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCFEVFNSKIALQRIRNPRAVHSDGILREYCERQAIGQMVLYLGEASRQFGCTEIFKEPSKFALSDYYEEHFTVPHQKIVLVTNKRVMLLQCLAPDKMDKRPCKIIWDVPWDELMSLELAKAGSSQPSLLILHLKHFRRSENFVRVIKCNSVEIFEGREPQAIKICSVVRKTWKTHQSNMKSLILKVPSSQRQVYFSWTEVDSRESRTPNSKAIISSRDISSNSTASDDRRFVRHNINFSKIWSSEREYNGRCSLCSRKQISQDGRICSIWRPVCPDGYIYIGDIARVSPHPPNVAAVYRKIDGFFALPMGYDLVWRNCSEDYVAPVSIWQPRAPEGFVAPGCVAVAGYSEPEADLVYCVAESLTEETEFEDLKVWSASDSYPWSCHIYQVQSDALHFVALRQSKDECDWKPKRIRDDPHSQLQTP >Vigun02g159400.3.v1.2 pep primary_assembly:ASM411807v1:2:30501030:30550211:-1 gene:Vigun02g159400.v1.2 transcript:Vigun02g159400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLQVPWSRLGQDPVLVYLDRIFLLAEPATQVEGCSEDAVQEAKKSRIQEMELKLWEKSQQLKSEMNQSWLGSLIGTIIGNLKLSISNIHIRYEDSESNPGQPFAAGVMLDKLSAVTVDDTGKETFITGGGLDRIQKSVELDRLAVYLDSNIIPWHINKTWEDLLPSEWFQIFKYGTKDGKPADKLLRKHSYILQPVTGKAKYSKLLPTEVADSQKPLQKAVVNLDDVTISISKDGYGDIMKLADNFAAFNQRLKYAHYRPLVPVKADSRSWWKYAYRAVSDQIKKASGKMSWEQVLRYASLRKRYISLYASQLKSDPTQVTISGNKEIEDLDRELDIELILQWRMLAHKFVEQSAESNHNIRKQKAQKSWWSFGWTSESTKEESDEFNFSEEDWNQLNKIIGYKEGDDGQLAVNSKADVIHTFLEVHMNHNASKLIGETKESVAELSCEDLSCSIKLYPETKVFDVKLGSYKLSSPKGLLAESATSYDSLVGVFHYKPFDDSVDWRMVAKASPCYMTYLKDSIDQIVKFFGSNAAVSQTIALETAAAVQMTIDEVKRTAQQQMNRALKDHARFSLDLDIAAPKITIPTDFCPDNTHATKLLLDLGNLMIRTQDDQQQESAEDNMYLRFDLVLSDVSAFLFDGDYHWSKVSLNKSAHSTNTGFFPIIDKCGVILQLQQIRLETPYYPATRLAARLPSLAFHFSPARYHRLMHVIKIFEEEDGDSSEFLRPWNQADLEGWLSLLTWKGVGVREALWQRRYFCLVGPFLYVLESPDSRSYKQYTSLRGKQVSEVSQELVGNVQHVLVVCSPTRSNSKVVEDTNALIIRCESEESMKTWHSRLQGAIYHASNTAPISGLSETSSDHEDTESEHDIDVGISESLFVTGVLDELKICFSYSYQCDQSLMKVLSNEERRLFEFRAIGGQVEVSIRDNNIFVGTILKSLEIEDLVCCSQLSSQPCFMARSYIGTADENSLLYNNMIKCVESSVLIPTESDDQFYEAPETLAESVDYPMQSPRGTSEYRSSSSSDMRFNYSSLTLPKFSRITGLLPSDSPSRKELELNDTLESFVKAQIIIYDQNSSQYKNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDKNLATASDSSSTARMKKDVSRDGDDLQSTAVEDHAVKGLFGKGKSRVMFNLTLKMAQAQILLMKENETKLACLFQESLLTDIRVFPSSFSIKAALGNLKISDNSLPSSHLYYWACDMRNPGGRSFVELEFTSFSNDDEDYEGYDFSLFGELSEVRIVYLNRFLQEVVGYFMGLVPDSPKSVVKVTDQATNSEKWFSANEIEGSPAVKFDLSLKKPIILMPQRTDSLDFLKLDIVHITVKNTFQWIGGGKSEINAVHLETLTVQVEEINLNVGTGSDIGESIIQDVNGLSVTIHRSLRDLLGQFPSVEVIVKIEELKAEVSNKEYEIITECAISNFSEVPDIPPPLNQWSSMTLNDTTGGIVPEVTNGVDSGTTNVEPSLLLKLCVSINLVELSLYTGLTRDSSLATVQVSNAWLLYKSSTAGNGFLSATLQGFSVFDDREGVEQEFRLAIGKPDNVGAYPLPTSSHNQNKDSVDGSLIEGNNFDLVQTMLIVDVKFGQDSTFVSLGVQRPQLLVALDFLLAVVEFFVPTVSSMLSVEEKRSDMLEAIVIDQSVYKQPCPEFSLSPQKPLIVDDDGFDHFIYDGDGGILYLKDRQGFNLAAASTEAIIYIGNGKKLRFRNVVIKGGPHLDSCVYLGADSSYSALAGDYVYLEELVESPQSRSLRGRVDEVPCQNNAVNNSTELIIELQAIGPELTFYNTSKDVGELLNLSNKLLLAHLDAFCRLVLKGNNTEMSADVLGLTMESNGIRILEPFDTSLKYSNASGRTNIHLSVSNIFMNFTFSILRLFMAVEDDILAFLRMTSKKMTIVCSHFDKVGTIKNSQSDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKGVLAVNINSVTVKRPISFRLIWPPLTSVGFKGEEVDNSDSLWNTEADNICSIWFPEAPKGYVALGCIVTRGKTPPPLSSAFCIPSSSVSPCSLRDCITIGTTDLSPSCVAFWRVDNSVGTFLPVDPISLTLMGKAYELRCIKYDYLKPSSAAASSQDSYAPGGHLALQPDQSVGANSNRRYEPVASFELVWWNQASNSRKRLSIWRPVVPAGMVYFGDVAVKGYEPPNACIVVHDSRDENVFKTPLDFQLVGQIKKQRGMESMSFWLPQAPPGFVSLGCVACKGKPKQNDFSTLRCMRSDLVAGDKFLEESVWDTSDAKHVAEPFSIWAVGNELGIFIVRGGFKRPPRRFALKLADSNVPSGSDVTVIDAGIGTFSMALFDDYSGLMVPLFNISLSGITFSLHGRTGYLNCTIGFSLAARSYNDKYEAWEPLVEPVDGFLRYQYDLNALAGTSQLRLTSTRDLNLNVSVSNANMIIQAYASWNNLSNAHECYKNRDAFSPTYGGNSIIDKLQKRNYYIIPQNKLGQDIFIRATEARGLQNIIRMPSGDMKAVKVPVSKNMLESHLKGKLCRKIRTMVTIIIAEAQFPQVEGSDSQQYTVAVRLSPSQTVPSDALVYQQSARTRGQRPHHLLPSDLESVKWNEIFFFKVDSLDYHSLDLILTDMGKGVPIGFFSASLNEIARTIEDYSNPQNFVKELNWISLCTENSMDGKPCKLQCAILVHNSEIESNNQLSNYDVRKRGFIQISPSKEGPWTTVRLNYAAPAACWRLGNSVVASEASVKDGNRYVNIRSLVSVRNNTDFVLDLCLTSKVSSEKMNLLKSSSNSESIQTESYRIQTEEFFETEKLTPEIGWVRCSGSSGNHISDGGKSLQIFPEIDLPPSWEWIDDWHLDTKSPNTADSWIYAPDVESLRWPESFDPRDSRNTARQRRWLRNRKLIAEDLKHEISVGLLQPGETAPLPLSGLTQSVQYFLQLRPWTSANSCEYSWSTVVDRPRQQDVGRKGQCSNLCVSALSESEELLCCSEMHGTSGGSHKLWFSVSIQSTEIAKDIHSDAIQDWCLAVKSPLTISNFLPLAAEYSVLEMQSSGHFLACSRGVFLSGKTVQIYSADIRNPLFLSLLPQRGWLPIHEAVLLSHPHENPSKTISLRSSISGRVIQIVLEQNFDKEHTLLAKTIRVYAPYWLEVARCPPLTFRMLDMSGKRHMPKVAAQFQTQKKNGLILEEITEEEIYDGYTIASTFNFNMLALSVAIAQSGNEHFGPVTDLAPLGDMDGSLDIYAYDGDGNCLRLIISTKPCSYQSIPTKVISVRPFMTFTNRLGQDIFIKLNSEDEPKVLRASDSRVYFVCRGTGGPEKLQVKLEGSSWSFPLQIVREDTISLVLRMNDGTIKLLRTEIRGYEEGSRFIVVFRLGSSDGPIRIENRTPNKALIIRQSGFGEDAWIQLQPLSAKNFSWEDPYGNKFLDAKLRDGDSNSIWKLDLERSGSSSVEFGLQFHVIDRGDIIIAKFTDDRMPSSGSNEEIRGPVTSGKGGVSGAQDEMQSSVTPFELLIELGVVGISLVDHRPKELFYLYLERVSLTYSTGYDGGKTSRFKLIFGYLQLDNQLPLTLMPVLLAPEQTSDVQHPVFKMTITMQNENNDGIQVYPYVYIRVTDKCWRLEIHEPIIWAIMDFYNNMQLDRLPKSSTVTEVDPEIRFDLIDVSEVRLKFSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIIQGTEALAQGVAFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCFEVFNSKIALQRIRNPRAVHSDGILREYCERQAIGQLM >Vigun01g112300.4.v1.2 pep primary_assembly:ASM411807v1:1:28618200:28623726:-1 gene:Vigun01g112300.v1.2 transcript:Vigun01g112300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKGIKFSSGYRDLLFMEWDLWSSTYDQDATGSNYWSPQHECDFYFGCGPDVIEEDALNMESCIRVLRILVTKADTEIEELERDLLLLQKELACFEHEKWPDICCGVLSERINQLDVAIRTLKNDCADEAEVQLLLDSEPAGTLHEILAQYLDVNVLSPVVNVTEHAPDKDSSTVDSNMIIKEEGKDLHGSLELLLELQKKSDNPEKIEELSEETLVRNPGLGGIILASCHSGGMKLSETFDDQVAGNEVRKSQLINTNTGQMLDLISAKSEAKKENELVREKDVSPDEFRPAIDINGKKTGPHSILDTSQRQKRAKSNLDKEPCDFSPKAAQRDCKKEPKVAPDEDLNSLNFPLQVVYPKTLCITDTEFCSVKDSNGMHTKSSLYAGLQLMDVDEDKGEEHEQDLKSQLTTNLRKSNMSFPSKLKASEKQELELEAFSSGEPFDSCTEVIPTASIAVSSKRQRRSKSCTDVTILNESMKRKIITKGAVQPDKHESEGRAIVLYDSKFSELQKKKRVKKLPITVDIQNSSVNLDVPNSDEVSMDKKSKLAPRTHKSHSLVDSHDETSSLNSLSLTDLRAMGKEHNVRKYYKLRKVDLVEQLSQRLSSC >Vigun03g221900.1.v1.2 pep primary_assembly:ASM411807v1:3:36855400:36856418:1 gene:Vigun03g221900.v1.2 transcript:Vigun03g221900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKGRGRAISLVAVLIFVLVLGAEGAGECGKTPIGSAAASLSPCLSAVSNVKAKVPLACCARVGALLRTAPKCLCAVLLSPLAKQAKINLATAITIPKRCNIKNRPAGNKCGKYTLP >Vigun03g303200.1.v1.2 pep primary_assembly:ASM411807v1:3:49288302:49295607:-1 gene:Vigun03g303200.v1.2 transcript:Vigun03g303200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGKKHRCSNNGGLMRLVVVMAVLLSGLWCVSCEREKEKETEKSRRNAYATMMYVGTPRDYEFYVAIRVLLKSLATLDAQADRVVIASLDVPPRWIRALEKEDGAKVVRVENLENPYKHQDNFDKRFKLSLNKLYAWSLVEYDRVVMLDADNLFLHNTDELFQCGQFCAVFINPCVFHTGLFVLQPSMAVFKDMVDELQNGRENPDGADQGFIASYFPELLDKPMFYPPSNGTRLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHDKRRQTLGYGAEMAVILIQSAIYLGVIAMTRFARPSLSKLCYRRSDKSISLVQNTLKLVALWSILAAYTTPFFIIPHTVHPLLGWPLYLLGVFALCSVAINAFLLPMLPVLMPWLGIVGVLMVMAFPWYSDGVVRALCVFGYAFCAAPFVWASMTRIMTGLHQSLEREAFMPRIGESSPPSWFNKLY >Vigun05g073300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6452736:6457175:-1 gene:Vigun05g073300.v1.2 transcript:Vigun05g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIFYIRIMTDINQILCSVNTLLCSAYTLFFSTLFHKTSNVSFSTMLKSTILFTSFPFLTLSTRFFSRTRKSLPIPSTFDDAVSFFHRMLHLHPTPRISSFNRILSSIMKTKRYSSVVSLCSQLDSTGIPKPSLVTLSIFINSLSHLGQMGLAFSVMGKIIKRGYEVDPLTLTTLMKGLCLKGRIFEALDLHDHAVSKGFSFDEVCYGTLINGLCKVGKTKGAIELLRKMERFGYKPNLIMYNMVVDGLCKEGLVTEACGLCSEMVGKGICLDIFTYNSLIHGMCGAGRFQGAVRLLNEMVMQENRDIWPDVYTFNILVDGLCKLGMVAGARIVFGVMIKRGLEPDVVSYNALMNGFCLKGCVSKAKEVFDRMVERGISPNVVSYCTLINGYFKVKMIDEAMMLLTEMQKRNLVPDTATYNCLFDGLSKSRRVLFECDPVEVMRVSGQAPDLITYNVLLDDYLKRQCLDKGNALQHIVDMGISPNIRTYNILIDGLCKRGRLDAAKEIFQLCSVKGCGPNIRTYNIMINGLCREGLFDEAEALLLEMIDNGCPPNAVTFDPLVRALLAK >Vigun09g145400.1.v1.2 pep primary_assembly:ASM411807v1:9:30848650:30851243:-1 gene:Vigun09g145400.v1.2 transcript:Vigun09g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVKLSKMERLPVEICMKIFGLLDYCHLAVAQQVCRKWKLVGSDNGLWSKLFRERWGEDHSAFYAPIGSKSWKDVYEVQDRCDRIGVGLKIIREGSDYYLVHQGEIQRHLGSRKNQEKESAHSFHSEIDFNGESSLAEERSCRGILDKILFFIGDLEVASAEAKRTRVT >Vigun01g158200.1.v1.2 pep primary_assembly:ASM411807v1:1:34009182:34015533:-1 gene:Vigun01g158200.v1.2 transcript:Vigun01g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMATLGYSLQHTPTWAIALVSFILISISIILEHLIHLIIQWLRKHRKSDLVEAIERLKSELMILGFMSLLLTVTQDAIIEICIPVRAADTMLPCRKRITNDTAILDSCSAKNASEVALVSRHGIHQLHMFIFVLAVMQIVYSFLTVSLARAKMRHWKAWDEETQTIEYEIANDPNRFRYARQTTFGRRHISTTTASPVFLWIKCFFRQFFHSVEKVDYLTLRHGFISAHFSARNSNFDFQNYIEQSLEEDFRIIVSISPVMWFTVVIFLLVDVHGWHVYLWLSYIPLLLVLIVGAKLEVIVDEMALQMKDVNSVTKGTPLVCPSDEFFWFGHPGFVLTLLHYTLFVNAFELAFFIWVSTQFGINSCHHEHRTFTVIRVVIAVAVQVLCSYVTLPLYALVAQMGSQVKSKALAKILRQWHVEVRERRRKQQKLVKSFSFNHINMSSEWSQENKSAPEFSSGLRENTRSSSEGEIVEELEHAMKTEANSSSHPPPSVV >Vigun03g193600.1.v1.2 pep primary_assembly:ASM411807v1:3:27010146:27018883:-1 gene:Vigun03g193600.v1.2 transcript:Vigun03g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEVQHSHCLEMTMELHQVVPPPHKTTLTKLKTRLKETFFPDDPLRQFKGQPLKTKLILAAQYLFPILQWGPKYTFKLFKSDLVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLVLGSMLRQEVSPTADPVLFLQLAFTSTFFAGLFQASLGILRLGFIIDFLSKAILIGFMAGAAIIVSLQQLKSLLGISHFTNQMGLIPVMTSVFHNIHEWSWQTILMGICFLVLLLLARHVSIKKPKLFWVSAGAPLLCVIISTLVVFAIKAQNHGISVIGKLQQGINPPSWNMLRFHGSHLGLVMKTGLITGILSLTEGIAVGRTFAALKNYKVDGNKEMMAIGFMNVVGSSTSCYVTTGAFSRSAVNNNAGAKTAVSNVVMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDLPAAYNIWKIDKFDFLVMLTAFMGVLFISVQGGLALAVGLSTFKILMQITRPKTVMLGKIPGTDIYRNLHQYKEAVRVPGFLILSIEAPINFANITYLNERTLRWVEEEEDNIKEQSSLRFLILEMSAVSAIDTSGISLFKELKATLEKKGVELVLVNPLAEVIEKLKKVDEASDFMQADNLFLTVGEAVASLSPTMKGQSPTFLGGAHTIV >Vigun03g208100.1.v1.2 pep primary_assembly:ASM411807v1:3:34153217:34157525:1 gene:Vigun03g208100.v1.2 transcript:Vigun03g208100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARNLVMLKTHIESINLSFGNGFVYENVVWALASLRVFFYNYVLYSLGLILRHIFRFHTEDRKSEHLIQHDPLDQTKRNQIEDSNIDGIAEELANLLFPIFDNFYVAIDEREGETKCPVLFEKESDANIHEDADNLQGETKSSVLREINSDFHQDVKKLEGETDCSVLEEKDLDMCERGKRREGEIEGSVSMETVSCVHEDVTKISEDETESSVSTGADSNLLREDEEEQTKDSFFTNFEADDLIEEPSMLTFSFRQNYIAPNVSRNLFSSNENISKIESSERNLEKGLVSQEEEQTNLTSNSTSDPSFQSNAFCSSDSFDDDVLNDSTFQSDSGSESCSNNNNDYSVTLEVLSSNHFCEGFGTESPEENTDEFREESQYSHDNEVSRDLNFDLVEDKNEKESSSYHGEDTMWEDKWDESDFDEEEEDDDDFEWENDAVVEQLRMELKNARQGGLATILEEEEEAESPKVVEDLKPLKIEKKIEFKDHIVEIQKVYRCYAEKTRKLDVLNYQTMHAIGLLQLKDPPKLFIIPKSTVQGVKPLISQNLWPRKALNQISDPILKFVEDLHRDLELVYVGQVCLSWEILCWQHKKVKELQQYDSQWRRSYNLVAAEFQLFQVLMQRFLEDEPYQGPRIQNYNNNRCVIRNLLQVPPIKDDNTKDKKIIKLGEECAINSERLGKIIKESMQVFWEFVRADKDYSNVIKASHKTGIDLKDVAVSDLLGNVRTQLQKKERKLKDIVRSGNCIVRKFQKHNEDQIQLDQEQLLAQVGLRLVSRVLHMKKLRKDQLMWCNEKLSRINFVGRKVQVEPSLLFFPC >Vigun03g208100.2.v1.2 pep primary_assembly:ASM411807v1:3:34153217:34157525:1 gene:Vigun03g208100.v1.2 transcript:Vigun03g208100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCERGKRREGEIEGSVSMETVSCVHEDVTKISEDETESSVSTGADSNLLREDEEEQTKDSFFTNFEADDLIEEPSMLTFSFRQNYIAPNVSRNLFSSNENISKIESSERNLEKGLVSQEEEQTNLTSNSTSDPSFQSNAFCSSDSFDDDVLNDSTFQSDSGSESCSNNNNDYSVTLEVLSSNHFCEGFGTESPEENTDEFREESQYSHDNEVSRDLNFDLVEDKNEKESSSYHGEDTMWEDKWDESDFDEEEEDDDDFEWENDAVVEQLRMELKNARQGGLATILEEEEEAESPKVVEDLKPLKIEKKIEFKDHIVEIQKVYRCYAEKTRKLDVLNYQTMHAIGLLQLKDPPKLFIIPKSTVQGVKPLISQNLWPRKALNQISDPILKFVEDLHRDLELVYVGQVCLSWEILCWQHKKVKELQQYDSQWRRSYNLVAAEFQLFQVLMQRFLEDEPYQGPRIQNYNNNRCVIRNLLQVPPIKDDNTKDKKIIKLGEECAINSERLGKIIKESMQVFWEFVRADKDYSNVIKASHKTGIDLKDVAVSDLLGNVRTQLQKKERKLKDIVRSGNCIVRKFQKHNEDQIQLDQEQLLAQVGLRLVSRVLHMKKLRKDQLMWCNEKLSRINFVGRKVQVEPSLLFFPC >Vigun06g042700.1.v1.2 pep primary_assembly:ASM411807v1:6:16603385:16612384:1 gene:Vigun06g042700.v1.2 transcript:Vigun06g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASEHTARNRLATLAAHLFPSDVAATATIQPLHLSAASGASPPGNLKGTLTIVDERTGKKYQIEVSPEGTVRASDFKKISTGKNDKGLKLYDPGYLNTAPVISRISYIDGDAGILRYRGYPIEELAEKSTFTEVSYLIMYGNLPSESQLAAWEFNISQHSAVPQGVLDIIKAMPHDAHPMGMLVNAMSALSVYHPDANPALHGLDIYNSKQVRDKQIARVIGKITTIAAAINLRLAGRPPVLPSNKLSYTENFLYMLDSFGNRSYKPNPRLTRALDIIFILHAEHEMNCSTSAVRHLSSSGVDVYTAVAGAIGALYGPLHGGANEAVLKMLSEIGTVENIPAFIEGVKARKRKLSGFGHRVYKNYDPRAKVLRKLTEEVFSIVGRDPLIEIAVALEKIALSDEYFIKRKLYPNVDFYSGLIYRAMGFQPEFFTILFAIPRMAGYLAHWRESLNDPDTKIMRPQQVYVGEWLRQYTPMNQRTVSGNTDKLGQLTVSNASKRRLAGSGA >Vigun02g165000.1.v1.2 pep primary_assembly:ASM411807v1:2:30967886:30973211:-1 gene:Vigun02g165000.v1.2 transcript:Vigun02g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVGKLSLLSPTDQHACWRPNATPFQHRKSTSWSVSCCIIMLFGLGLISILTGHMASDLEWYSQRLVRRTLYSSVEGSYRAPIDVWKSQYSKYYYGCSERGRGYAPAVLERWSNGYLLIGTSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFVDIFDVDWFISHLARDVTIVKRVPDKFMRSMEKPPYTMRVPRKSEPDYYLDQVLPILLRRQVVQLTKFDYRLANNLDGELQKLRCRVNFHALKFTKPIQELGQRIIMRMQKMAQRFIAVHLRFEPDMLAFSRCYFGGGEKERRELGEIRKRWTTLPELSPDGERKRGKCPLTPHEVGLMLRALGFSNDTYLYVASGEIYGGDETMQPLKDLFPNIYTKEMLADEEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKIIAGRRRYMGHKRTIRPNAKKLSTLFEARHKMDWDTFARRVKSCQRGFMGEPDEMRPGRGEFHEFPSSCICKRPYVEQLGAINLTKAGSSFNGIRRRGST >Vigun05g054500.1.v1.2 pep primary_assembly:ASM411807v1:5:4658965:4663149:1 gene:Vigun05g054500.v1.2 transcript:Vigun05g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPATKAATNFPLTHSFRLSPKPNNLRFPPTKPGHSVSFTRFKAQLNEVALDSSSNATPIKAKSNEEPPAKPSTEPPSVLATQESVSQFITQVASLVKLVDSRDIVELKMKQYDIELTIRKKEAMPELQPAPQPAVVYSSLPPPASPPPFAPTSTPGTATPTSPPAPKSTKSSLPPLKSPMAGTFYRSPAPAEPPFVKVGDKVKKGQVICIIEAMKLMNEIEADQSGTIVEIVAEDAKPVSVDTPLFVIEP >Vigun02g110300.1.v1.2 pep primary_assembly:ASM411807v1:2:26414668:26416541:1 gene:Vigun02g110300.v1.2 transcript:Vigun02g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNIPVIDMEKIDCEEEECKKLREACERWGCFRIINHSIPATLMGEMKKVNEALLDLPMEIKKRNTEVIAGSGYMAPSAVNPFYEALSLYDLGSSQAMHNFCSQLHASSQQREIVKRYGEAIHGLAVKIGEKMAESLGVVVDDFKDWPCQFRINKYNFTPEAVGSSGVQIHTDSGFLTILQDDENVGGLEVFNASASFVAVPPFPGTLLVNLGDIARVWSNGRFCNLIHRVQCKEASKRFSIATFMLAPRNRNVEAPEELLDHDHPRLYRPFIYEDYRKLRVSNKMHTGEALELLRLA >Vigun07g016700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1445374:1446114:-1 gene:Vigun07g016700.v1.2 transcript:Vigun07g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKAASTRNQASSKVLDAPKGYLAVYVGEDMKRFVIPVSHLNQPLFQDLLSKAEEEFGYDHPMGGLTIPCTEDVFQHINLCLNA >Vigun10g052500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:8455692:8457527:1 gene:Vigun10g052500.v1.2 transcript:Vigun10g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSIFLLLLTLLLLLTNAAHTRETPPPTPQRIIAPPPPDNENDTSPPFRPGIAVVVCVLTTIISLTSLLLLYIKHCNGGNIHSGGSSIPWTVAPFSGRKNSGLVEARVGARVVVAGGATRLRWLVEARVEARVVVAGGSRGGRRLAWSPEFAEEKWQLRARGRRGSVFEP >Vigun01g192500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37045078:37045908:-1 gene:Vigun01g192500.v1.2 transcript:Vigun01g192500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRCWMIFLCILTYAYVSVSEKLSAYDVLEKYGLPVGLLPKGVTEYELNEKNGQFAAYLDQTCYFSIQSYDLKYKSTIKGVISKGKLSKLKGVSVKIEVLWIPITEVTRDGDDLKFSAGVASAGFSAESFSESPQCGCGFDCENFSQNGDVSSSL >Vigun05g285200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47298302:47299897:1 gene:Vigun05g285200.v1.2 transcript:Vigun05g285200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRETIRKSKVILHKTLRSFKSVIFGGYQKLPRSLSFNPFLGRSANARTYTSDQFYNEFYDILQSDLNRIKRGADNNSMSRSREETEDAANAEILPKESSEKIVGEVGQVEEKKSCELGKKEGVHDLAQKMKELEMMDSGDVEHVLDIEEALHYYSRLKSPVYLDIVDKFFNDMHTEFSVQDSSISVKRSKSKGRIGSIGL >Vigun01g077132.1.v1.2 pep primary_assembly:ASM411807v1:1:21749138:21749621:1 gene:Vigun01g077132.v1.2 transcript:Vigun01g077132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLSDSPTIVNLSSFIGQLESFPKESWARGVLSDVDNLTEEKVDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKTALC >Vigun10g116900.1.v1.2 pep primary_assembly:ASM411807v1:10:32192557:32194703:-1 gene:Vigun10g116900.v1.2 transcript:Vigun10g116900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPHSSVLVAPQTTTPIHLDAPLLNHSNIPSEFVWPDNEKPCLQPPSLKIPPIDMKSFLSRDPQAVEAICAEVSEACKKHGFFLVVNHGVDKKLLERAHKLIDTFFCMELPEKQQLQRKLGEHCGYANSFIGRFSSKLPWKETLSFHYSNDKSTRTVEDYFLTSMGEDYREFGSMFQEYCEVMSKLSLEIMELLGMSLGVSRECFRDFFEDNESVMRLNYYPPCLKPELALGTGPHCDPTSLTVLHQDQVEGLQVFVDGRWYSVAPKEDAFVVNIGDTFMALSNGIFKSCLHRAVVNNQVVRKSLAFFLCPNKDKVVSTPKELVTAENPKKYPDFTWRTLLEFTQKHYRSDEETLDAFSKWLLEENK >Vigun06g116800.1.v1.2 pep primary_assembly:ASM411807v1:6:24485142:24486437:1 gene:Vigun06g116800.v1.2 transcript:Vigun06g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEEATLKKQQYQALEEIGRGKFATVFRCFHRSSNQFYALKLINHRLLTDATDRHCLEVEAKAMTFLSPHPNILKIIDAFQDAHTSTIVLQLCQPRTLFDRIADGPLPESHAASLTKQLLEALTHCHALGLAHRDIKPENLLFDEANNLKLSDFGSAEWFGEGRSMRGVVGTPYYVAPEVIMGRDYDEKVDVWSCGVILYAMLSGIPPFYGDSAAQIFEAVLRANLRFPSTVFRSVSAQAKDLLRKMICRDPSRRISAHQALRHPWILSADLS >Vigun04g136050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34046877:34047962:1 gene:Vigun04g136050.v1.2 transcript:Vigun04g136050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNFFNVHLFTIFAPFKLLYFSSFSSHFLLFPLSQHLLFLSSQMGRKRHLHACLMLLLLLAHSVATSYASRHSQVFNMQPKSQGLSQNFFGFLPKAMPLPPSGPSRQHNSIQLQNSRGKKP >Vigun07g185200.1.v1.2 pep primary_assembly:ASM411807v1:7:30217610:30222369:-1 gene:Vigun07g185200.v1.2 transcript:Vigun07g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFATSLASKARIARSSTQQIGSRVSWSRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATILTRAIFTEGCKSVAAGMNAMDLRRGINMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLIIIHEKKISSINAIVKVLELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGQLITEELGLNLEKVDLEMFGSCKKITISKDDTVILDGAGDKKAIEERCEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTSVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVSELPKDDKDVPAMGGGMGGMDY >Vigun05g069200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5966845:5969471:1 gene:Vigun05g069200.v1.2 transcript:Vigun05g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVAVLMKQLTTARRKFDESGRDESFDGELEKLRSVLNKIKDVFMEVKKKEEKLLDTLAEVYDHLRRLNRRKLHEDMHSICESIRDSALMLLPTLVFDDSSKDEDHKGGKISHSLEELVQPHHQNNWTVEDYYLLHDRFKRCLWSLLIFPVNAVIRKRNAINLWIGEGLIGNTYNKTAEKEGEDVIDDLLKCNMIVRCDNGKGPFVNRFRILPGDPRGVLNLIRDLENIKSSPSPLQLDIKNVTVGRFDAKDHTLRNIFNIGASYLNFRPQWATELRNLEVLQLGRWQDSALHHIEVGSQEFLKDLRYLEELKYLSLRGISRIFELPSSIAELEKLLILDVKACHNLERLPDDISSMKSLTHLIMSECWLLEGMPKGIENLSNLEVLKGFLISTPEKTPCRISDLVNLRKLRRLSIRIGSEAEIRDGEFENLKYFSALEHLKISWSVSDPKYGYIRVILPPSLIKLHLECFPGNSFVDCFMPGSYDSGLSELNITGGKLESMNPSMLLWKVKILRLKYLKQLHVNMDDLKACFPGLKYVQIKQTSNVSYIERELNF >Vigun11g203400.1.v1.2 pep primary_assembly:ASM411807v1:11:40092200:40096242:1 gene:Vigun11g203400.v1.2 transcript:Vigun11g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASKYIPHQIGGLQNDSFRFGLDGVKSDIVGSHPLQSSLQSTRRMEEAMKRQCKVNLYGTAFPLKEELDRQILSRFQRPPGPIPSSMLGLETVTGSIDHFGFEDCLNDPRDSETFRPSDMHHGMEVRLGLSKGPVYPSII >Vigun11g203400.4.v1.2 pep primary_assembly:ASM411807v1:11:40093250:40096257:1 gene:Vigun11g203400.v1.2 transcript:Vigun11g203400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASKYIPHQIGGLQNDSFRFGLDGVKSDIVGSHPLQSSLQSTRRMEEAMKRQCKVNLYGTAFPLKEELDRQILSRFQRPPGPIPSSMLGLETVTGSIDHFGFEDCLNDPRDSETFRPSDMHHGMEVRLGLSKGPVYPSII >Vigun11g203400.3.v1.2 pep primary_assembly:ASM411807v1:11:40092229:40096242:1 gene:Vigun11g203400.v1.2 transcript:Vigun11g203400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASKYIPHQIGGLQNDSFRFGLDGVKSDIVGSHPLQSSLQSTRRMEEAMKRQCKVNLYGTAFPLKEELDRQILSRFQRPPGPIPSSMLGLETVTGSIDHFGFEDCLNDPRDSETFRPSDMHHGMEVRLGLSKGPVYPSII >Vigun11g203400.5.v1.2 pep primary_assembly:ASM411807v1:11:40092223:40096257:1 gene:Vigun11g203400.v1.2 transcript:Vigun11g203400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASKYIPHQIGGLQNDSFRFGLDGVKSDIVGSHPLQSSLQSTRRMEEAMKRQCKVNLYGTAFPLKEELDRQILSRFQRPPGPIPSSMLGLETVTGSIDHFGFEDCLNDPRDSETFRPSDMHHGMEVRLGLSKGPVYPSII >Vigun11g203400.2.v1.2 pep primary_assembly:ASM411807v1:11:40092229:40096242:1 gene:Vigun11g203400.v1.2 transcript:Vigun11g203400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASKYIPHQIGGLQNDSFRFGLDGVKSDIVGSHPLQSSLQSTRRMEEAMKRQCKVNLYGTAFPLKEELDRFQRPPGPIPSSMLGLETVTGSIDHFGFEDCLNDPRDSETFRPSDMHHGMEVRLGLSKGPVYPSII >VigunL059229.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000244.1:35153:35512:1 gene:VigunL059229.v1.2 transcript:VigunL059229.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun04g156900.6.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887874:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGLYPEMPDSVTLAESFLTEFPGINPLTAHSILSSGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKTLTFGRNGSGGQTRLVWSDKKINSFQTQ >Vigun04g156900.1.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887874:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGLYPEMPDSVTLAESFLTEFPGINPLTAHSILSSGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKVKDHTSLNILYYLKCLVLK >Vigun04g156900.4.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887874:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNNDYFALPPQQTIPFLYLPVPRLPPTPTSAVHHHLRFDPPLNLSLHLEPFPVQAALTAFLAAVLPHRIRIHSRDLTALDPAQEAEVIFEDRGSETEIKFSNESKTIVLGDKNDFVYETIQFETPELDVFLENVCVTESERMQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKTLTFGRNGSGGQTRLVWSDKKINSFQTQ >Vigun04g156900.5.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887875:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNNDYFALPPQQTIPFLYLPVPRLPPTPTSAVHHHLRFDPPLNLSLHLEPFPVQAALTAFLAAVLPHRIRIHSRDLTALDPAQEAEVIFEDRGSETEIKFSNESKTIVLGDKNDFVYETIQFETPELDVFLENVCVTESERMQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKVKDHTSLNILYYLKCLVLK >Vigun04g156900.7.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887874:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKTLTFGRNGSGGQTRLVWSDKKINSFQTQ >Vigun04g156900.3.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887875:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNNDYFALPPQQTIPFLYLPVPRLPPTPTSAVHHHLRFDPPLNLSLHLEPFPVQAALTAFLAAVLPHRIRIHSRDLTALDPAQEAEVIFEDRGSETEIKFSNESKTIVLGDKNDFVYETIQFETPELDVFLENVCVTESERMQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGLYPEMPDSVTLAESFLTEFPGINPLTAHSILSSGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKVKDHTSLNILYYLKCLVLK >Vigun04g156900.2.v1.2 pep primary_assembly:ASM411807v1:4:37878880:37887874:-1 gene:Vigun04g156900.v1.2 transcript:Vigun04g156900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNNDYFALPPQQTIPFLYLPVPRLPPTPTSAVHHHLRFDPPLNLSLHLEPFPVQAALTAFLAAVLPHRIRIHSRDLTALDPAQEAEVIFEDRGSETEIKFSNESKTIVLGDKNDFVYETIQFETPELDVFLENVCVTESERMQMLSQTPEVENSLEMLKKPEPSRQYPYEALESVSLVEDVISEYLMGENAYSLEDHISVPQLPRSDQNIFLILEVDEGSLVIPTGISLVGVVDSYFENIRSQNFDEQCQSIAEGKELLGSMKHSMMEFFSDECESKKSIELLDMFPESDFMNILETEHVNGSTGLQRISHTNSDLLDNLVTFQEFEFLDEDLMQTFEAFYKAKASDDLITKDCMFKKEFNFKTFDELIVSNEIALTDNTFKSLPVPVISDQQRMINLHDIIGEQISSLKTRPLSASDGIYLNWDLLEEDRCNRKISNWYQDILAKIVLNKEDFVGKPYDYGKLVFGLVFCDDTIGECEIKQDEELQKLLSDCMPLIYNHPVQFASGKILEHVSFKQGSGEQLPEKMAERASLLFKSMSEISNLDYFLNPQKFTGEGNYNYAVESTNANVSIPKVSSTELKVDTQSLGSHTVLHRVKLSDNIVTLAGYFEESYLAILHRDTEMTKTHKSNVDYFKLLSLQRQKLMEYHANGNNMAFIVLCAIKQAAWYLCFYGLHPAFLYLDKLCQNLDYLKLRLGILKSLIEDEKRKVDNNVTLAHPSLTIVKEIFQSYMKRDSLKTLIVAEEVFWSSLKNLLISLGLSFSEQNGSTRNHPYANTGPEDTDTKMKELMISDCLLISHGQVSSLLLLNKFDIILEYGGSYASSRISDISKNLVGLPHLHFLTVEFDGHAALKALCEGVELHPNTEMLLESETRPIFNHKESMMNQNVERLLNFCPVEQRYDKICSSVAPEADNIVPLIPALKTEQGHKNMEALPGTVIIVNTQNVDKEMIMSRRSSYQVILAMEKEGIQVVERDLNLPADIILSSAICLAWYDSENLGKKATPTTEASSSLPLCVENIATDVLTLLSFYFHGCFLVFEGEFNFLSTVMESSDGLYAAATSLGIDLQIFFSYSPELTNEVIVSCIKTATNTTRGLYPEMPDSVTLAESFLTEFPGINPLTAHSILSSGVMLNEFLAWSHEQRMHVLEKYHVPEESISLFSVFCRYGEREDSKSIMTDCSSSVSSGLDSDRCCLYQVQNERKRKHSVSSHQMDELCFDELTQFETINPVVEAVPDSSTLPKPFDFGISKNTGKSSEFAKTSLSMSEFFGQKQSTSAATMRNHSGVSYSPGNCKAPPKLEQLRQPGLSLKNKELAQNEILDTDLMGKSLNWHSLSNSEKLHEDVRGEVVDLTDNPLFDKSFDIPDSMYFTNLITETEKDPMRKNKIARTLSFDNCCHPETNSSKIWRSLKDTGEVDEFREPDFGENVFPLDFKSHGNIGLTQASVRNLEESPFKEELSHLSETPLSFARRSSYLLKNSPWTTEFINKVKEKSKLRQKSLSSESSGPYFGYPGNMSKAFKRRSPSVIDLFKYQPGRISGNVPEQKRQKQLGLSSNSTKKGRYSTSSSSWTPKDKRSTKTLTFGRNGSGGQTRLVWSDKKINSFQTQ >Vigun02g108600.1.v1.2 pep primary_assembly:ASM411807v1:2:26274763:26276822:1 gene:Vigun02g108600.v1.2 transcript:Vigun02g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRVFGVTAGRAFMAAAAKSAAKVKAAPSGGAAAKPAAKKPAMARTNTGIQKAVPVSSELGNFVGASEVSRTVAVKKVWEYIKQQNLQNPSNKREVFCDAKLKTIFGGKDKVGFTEIASLLSSHFVKSG >Vigun06g075000.1.v1.2 pep primary_assembly:ASM411807v1:6:20587201:20587789:1 gene:Vigun06g075000.v1.2 transcript:Vigun06g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLLFSCLMVLFLIALETGCVNACQYKCQSVGASDCKSLQCFRPGCKKYCLKGCCLCGCNGNGNV >Vigun07g268500.1.v1.2 pep primary_assembly:ASM411807v1:7:38390477:38397896:-1 gene:Vigun07g268500.v1.2 transcript:Vigun07g268500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELGGFRIYNSMTQQKEIFKTKTPGKVSMYVCGVTAYDFSHLGHARAAVSFDILYRYLRHLGYEVTYVRNFTDVDDKIIKRANETGEDPFMLSNRFCDEYNIDMADLQCETPSKEPRVSQHLGEIKDMITQIINNGYAYEVEGDVFYAVDKCPNYGMLSGQKLEHNRAGERVAVDSRKRHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSSCYLTHKFDIHGGGIDLIFPHHENEIAQSWAADNESHISYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSISQLENSSDAIYYIFQTLQDCKDALSPFLQEGSEKNEKLPQINEAAKECIKKLSVEFQTKMSDDLQTPVILTGAIQEALKFVNSSLKMLKKKMQKRAQLQLIQSLLEVEKEVAKVLDVLGLLSSKSYAEILQQLKEKALKRAGLAEGELLHLIEERIQARVNKDFLKSDKIRTDLTAKGIALMDVGNEIIWRPCIPSEPVVGDQRAPVVEEKQSIPSVNKKGEEIPGTT >Vigun11g127600.1.v1.2 pep primary_assembly:ASM411807v1:11:33499816:33505042:1 gene:Vigun11g127600.v1.2 transcript:Vigun11g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQETRPHVHAPGEEEKVMTRKQKAESKAHEVEHSPKKAKVENEDGHVNGKTVADVAEEYDEFCKATKEHLPLEQMKEILEANGLDSSGPDLEITRRCQDLLFYGPLDKCSVCNGSLEFDGRRYVCRGFYSEWASCIFSTRNPPRKQEPVKLPDSVQNSVPSDLLKKYQDPSQRPHRDLGLAEKPFTGMMISLMGRLTRTHHHWKTAIEKHGGKVANSILGATCLVASPAERERGGTSKLAEAMERGIPVVREAWLLDSIEKQEPQPLEAYDLVSDLSVDGKGIPWDKQDPGEEAIESLSAELKLYGKRGVYKDTKLQEQGGKIFEKDGILYNCAFSLCDQGRGLNDYCIMQLIVVPENRLHLYFKKGRVGDDPNAEERLEEWDNEGSCLKEFARLFEEITGNEFEPWEREKKFQKKPLKFYPIDMDDGVEVRHGALGLRQLGIAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDSPDLPIGMVTNLHLKRCEDVLLEFIEKVKSLKETGPKAEAVWTDFSQRWFTLMHSTRPFNFRDYQEIADHAAAALEGARDITLASHLIGDMTGSTIDDPLSETYKKLGCSITPLDKSSNDYQMIVKYLENTYEPVKVGEIDYGVSVENIFSVQTGGCPSYEDIVKLPNKVLLWCGTRSSNLLRHLKIGFSEAICSLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGFLVLAIASLGNEITEFKSPPEDTSSLEEKKLGVKGLGKKKTDESEHFVWKDDIKVPCGKLVASDHQDSPLEYNEYAVYDPKQARISYLVGVKYEEKGVEIDTAE >Vigun11g127600.2.v1.2 pep primary_assembly:ASM411807v1:11:33498807:33505042:1 gene:Vigun11g127600.v1.2 transcript:Vigun11g127600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQETRPHVHAPGEEEKVMTRKQKAESKAHEVEHSPKKAKVENEDGHVNGKTVADVAEEYDEFCKATKEHLPLEQMKEILEANGLDSSGPDLEITRRCQDLLFYGPLDKCSVCNGSLEFDGRRYVCRGFYSEWASCIFSTRNPPRKQEPVKLPDSVQNSVPSDLLKKYQDPSQRPHRDLGLAEKPFTGMMISLMGRLTRTHHHWKTAIEKHGGKVANSILGATCLVASPAERERGGTSKLAEAMERGIPVVREAWLLDSIEKQEPQPLEAYDLVSDLSVDGKGIPWDKQDPGEEAIESLSAELKLYGKRGVYKDTKLQEQGGKIFEKDGILYNCAFSLCDQGRGLNDYCIMQLIVVPENRLHLYFKKGRVGDDPNAEERLEEWDNEGSCLKEFARLFEEITGNEFEPWEREKKFQKKPLKFYPIDMDDGVEVRHGALGLRQLGIAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDSPDLPIGMVTNLHLKRCEDVLLEFIEKVKSLKETGPKAEAVWTDFSQRWFTLMHSTRPFNFRDYQEIADHAAAALEGARDITLASHLIGDMTGSTIDDPLSETYKKLGCSITPLDKSSNDYQMIVKYLENTYEPVKVGEIDYGVSVENIFSVQTGGCPSYEDIVKLPNKVLLWCGTRSSNLLRHLKIGFSEAICSLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGFLVLAIASLGNEITEFKSPPEDTSSLEEKKLGVKGLGKKKTDESEHFVWKDDIKVPCGKLVASDHQDSPLEYNEYAVYDPKQARISYLVGVKYEEKGVEIDTAE >Vigun06g029600.1.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208906:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVSSHSLTHTHTTMSQSAFFKFSYHKPHHHTPLLFPNHLSIAPPLLRTTAAFRRNAAPDPPLGFPGAADVIVVGAGVAGSALAYTLAKEGRRVHVIERDLREPDRIVGELLQPGGYLKLIELGLEDCVDQIDAQRVLGYVLFMDGKKTKLPYPLEKFHAEVAGRSFHNGRFIQMMREKAAALQFVRMEQGTVTSLLEQDNKTVVGVQYRTKGGEQLKAYAPLTVICDGCFSNLRRSLCHPKVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.2.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208906:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKTKLPYPLEKFHAEVAGRSFHNGRFIQMMREKAAALQFVRMEQGTVTSLLEQDNKTVVGVQYRTKGGEQLKAYAPLTVICDGCFSNLRRSLCHPKVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.3.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13207944:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKTKLPYPLEKFHAEVAGRSFHNGRFIQMMREKAAALQFVRMEQGTVTSLLEQDNKTVVGVQYRTKGGEQLKAYAPLTVICDGCFSNLRRSLCHPKVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.4.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13207632:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKTKLPYPLEKFHAEVAGRSFHNGRFIQMMREKAAALQFVRMEQGTVTSLLEQDNKTVVGVQYRTKGGEQLKAYAPLTVICDGCFSNLRRSLCHPKVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.6.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208890:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATCQVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.7.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208865:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATCQVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.8.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208836:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATCQVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.5.v1.2 pep primary_assembly:ASM411807v1:6:13203647:13208891:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATCQVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun06g029600.9.v1.2 pep primary_assembly:ASM411807v1:6:13204063:13205648:-1 gene:Vigun06g029600.v1.2 transcript:Vigun06g029600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATCQVEVSSSFVGLVLENCQLPFENYGHVILADPSPILFYRISSTEVRCLVDIPGPKVPLMWSGMANYLKTTVASQIPPGLQDAFLSAIDRGDIRIMPNGSMPAEPNPTRGAMLIGDAFNMRHPLTGGGMTVALSDIVLLRDLIKPVGDLNDASSLCTYLESFYILRKPMASTINTLAGALYKVFSVSPDDARKELRQACFDYLSLGKIFSGGPIALLSGLNPYPLSLILHFFAVAFYGVGRLLLPFPSFERMWVGARIVFCAFGIIFPIIKAEGIRQMFFPTSIAAFYRAPPHR >Vigun02g204800.1.v1.2 pep primary_assembly:ASM411807v1:2:33834300:33846182:1 gene:Vigun02g204800.v1.2 transcript:Vigun02g204800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNSLPSGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPTAAKDRDLCKFHADDYVAFLRGITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGALKLNHGVCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKIHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDTGYGKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETSVALGIELDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLDEIRAKLLDNLSRLQHAPSVPFQERPPDAELQERDENQDDRDERWDPDSDMEVDDDSKPRRRVKSERVEAEHKDAESYHKHLDSGRDFLTPFKEIACSKVSAVDSMAVDEPCIKEEQDNLKELSDHRSR >Vigun07g250600.2.v1.2 pep primary_assembly:ASM411807v1:7:36995381:36997055:-1 gene:Vigun07g250600.v1.2 transcript:Vigun07g250600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPDSPSAAANFLCREHASEVTSDHHPPPTPPFPDADDAPICGLLDAEVNHMPEKDYLRRCRDRSIDFTARLDAVNWILKVHAFYQFSPVTAFLSVNYLDRFLSLCSIPQSSGWPFQLLSVACLSLAAKMEESHVPFLLDLQLFEPKFVFAPKTIQRMELWVMSNLKWRLRSVTPYDYLSYFITKLPSSSSSDSTTHCFSHASNLILSTTRVINFLEFAPSTVAAAAVLCSAGRDIASANGQWPLIFHDRVNHN >Vigun07g250600.1.v1.2 pep primary_assembly:ASM411807v1:7:36994651:36997055:-1 gene:Vigun07g250600.v1.2 transcript:Vigun07g250600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPDSPSAAANFLCREHASEVTSDHHPPPTPPFPDADDAPICGLLDAEVNHMPEKDYLRRCRDRSIDFTARLDAVNWILKVHAFYQFSPVTAFLSVNYLDRFLSLCSIPQSSGWPFQLLSVACLSLAAKMEESHVPFLLDLQLFEPKFVFAPKTIQRMELWVMSNLKWRLRSVTPYDYLSYFITKLPSSSSSDSTTHCFSHASNLILSTTRVINFLEFAPSTVAAAAVLCSAGRDIASANGQWPLIFHDRVNHEMVRCCHQLMEEYVVDTCYASSSTIKERVAEPPPSSPVGVLDAATCGSCDTPSERIFFGSTDEQQAEPPNKRLRSSAPDAQ >Vigun03g189800.2.v1.2 pep primary_assembly:ASM411807v1:3:25856458:25861321:1 gene:Vigun03g189800.v1.2 transcript:Vigun03g189800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHLINTLAAPLRSFSPPSLFPQCHYTIRSSAVPKRRRSSHSSGFRLASGPAVNSVPRENGTYTVADFMTKKQDLHVVKTTTTVDEALEALVNNRISGLPVIDDNWNLVGVVSDYDLLAIDSISGGPQSDANLFPNVDSSWKTFNELQKLLSKTNGQVVGDLMTPTPLVVHESTSLEEAARLLLETKYRRLPVVDDDGKLAGLITRGNIVKAALLSKRAGEW >Vigun03g189800.1.v1.2 pep primary_assembly:ASM411807v1:3:25856581:25861335:1 gene:Vigun03g189800.v1.2 transcript:Vigun03g189800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHLINTLAAPLRSFSPPSLFPQCHYTIRSSAVPKRRRSSHSSGFRLASGPAVNSVPRENGTYTVADFMTKKQDLHVVKTTTTVDEALEALVNNRISGLPVIDDNWNLVGVVSDYDLLAIDSISGGPQSDANLFPNVDSSWKTFNELQKLLSKTNGQVVGDLMTPTPLVVHESTSLEEAARLLLETKYRRLPVVDDDGKLAGLITRGNIVKAALLSKRAGEW >Vigun03g290800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47400259:47403698:1 gene:Vigun03g290800.v1.2 transcript:Vigun03g290800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSPRRSQRSKGGFKVKHALQLCVLLGVCIWLVYQIKHSNDKKSSFVESTKTGGDVIKLGRKDLHPGVEKTPVVDARHKEEEEDEQQENKHEEVHKLDDVNGVEDEVLKHDEQSNNEENSEHRQDSIDQGTEENSENARTKGEAEHHNEQFFGENENMENKESESTLKDNEENRSETEDKETGEVKEEKNEPENDNMDNNESDNAMKENEENKSEGENNETGDTSEEKSQQANEETENKDKENEEVKQNETEEKDNVEGNHEQDSKEMTDENNHGESGGEEQDKVQEETTSKDQVQDGGKNEEEHREENYAGDNASSAVDHKPQDTDDNSKTEEESDKKENNEFELESQKNADTKEGVDSSVTTNSQGNESDAENKTQSENESQKSSTSESEQQEKNDSTKDSVDTLDSSQQNGIDTSSHNTEASENENNSKVEDSNNSNVEDSNIQNATLKTEDSSSSNSEEAWKKAETDESNANDGEYKDTTSNAAQNENPSNDSVQEGKQENVASSDNNNKDASNDVQLTSSDTSSEQKKDEAANVESNTNSAQNDNADQTNANGDGSANDNKDTSQSDSSSEQNKEGSSNSDNSSTSQSGTSSEKNNEESSNSDNSNDSNPSEQNKEGSSNSDNSNDASQSHTSSEQNNKETSNSDNGSDSNENASENKENENDNGNHNGEAQDNASGSSIPEEKDASSNNNADAGQGENDNTVQSKTSENEDGAQNKTVESQKEEESAHSNGDSNSNLNDQGSSDHSITHEDKESRVDLPQSHEESNQIKDTAAQ >Vigun07g098200.2.v1.2 pep primary_assembly:ASM411807v1:7:17161478:17170084:-1 gene:Vigun07g098200.v1.2 transcript:Vigun07g098200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLHRLLRSFCLGTDWKYAIFWKLKHRARMILTWEDAYYDNPNICDSSGNKSCQNTWERNGSADFSHDPLELAVAKMSYHVYSLGEGIVGQVAVTGKHRWICVDNQVTSSGPSLEFADGWQSQFSAGIRTIVVIAVVPIGVVQLGSLNKLDSKTSESVPAYLHNTEKTMKHESLDNLMPFQGPGNNYLPHTVNQKMTVDVAKHEGPELYSDGNFILLQSMSNMMNVEQQKFLGMRPVNERKFEGNSGGCDDTSVESGKKLSSFLHNLVTGNNGVNDLVCPSRNVGVDSVSFSSDFVDTAVFESEKFHYVDINQKGVLNWPRPSDAYSRKDIGKSKFQTEPCSKDTSYTLKFPAGYELHEALGPSFLKGSKYFDWAVKANQDVKATEMSDELSCSQLTSESEHLLEAMVANICHRNNNVNSELSFSTTMQAAIASGNNLEGSTHTIHTINSESCSTDQPHLGREEKHYNLGSSGICGIMSPKGFSSTCPSSCSEQFERSSEPTKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLECTIKHMLFLKNVTMHADKLNKFADSKTKLHHMEKDINGSSSHQQGSSWAMEVGGHLKVRSILVENLNKNGQMLVEMLCEECSHFLEIAEAIRSMGLTILNGATEAHGEKTCICFVVEAGSEGQNNRNLHRLDILWPLVQLLQSKSTMYS >Vigun07g098200.1.v1.2 pep primary_assembly:ASM411807v1:7:17161478:17170084:-1 gene:Vigun07g098200.v1.2 transcript:Vigun07g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLHRLLRSFCLGTDWKYAIFWKLKHRARMILTWEDAYYDNPNICDSSGNKSCQNTWERNGSADFSHDPLELAVAKMSYHVYSLGEGIVGQVAVTGKHRWICVDNQVTSSGPSLEFADGWQSQFSAGIRTIVVIAVVPIGVVQLGSLNKVAEDMGFITCIRSLFLSNQDYTICHAPNQGQNSMKNSSPVLDSKTSESVPAYLHNTEKTMKHESLDNLMPFQGPGNNYLPHTVNQKMTVDVAKHEGPELYSDGNFILLQSMSNMMNVEQQKFLGMRPVNERKFEGNSGGCDDTSVESGKKLSSFLHNLVTGNNGVNDLVCPSRNVGVDSVSFSSDFVDTAVFESEKFHYVDINQKGVLNWPRPSDAYSRKDIGKSKFQTEPCSKDTSYTLKFPAGYELHEALGPSFLKGSKYFDWAVKANQDVKATEMSDELSCSQLTSESEHLLEAMVANICHRNNNVNSELSFSTTMQAAIASGNNLEGSTHTIHTINSESCSTDQPHLGREEKHYNLGSSGICGIMSPKGFSSTCPSSCSEQFERSSEPTKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLECTIKHMLFLKNVTMHADKLNKFADSKTKLHHMEKDINGSSSHQQGSSWAMEVGGHLKVRSILVENLNKNGQMLVEMLCEECSHFLEIAEAIRSMGLTILNGATEAHGEKTCICFVVEAGSEGQNNRNLHRLDILWPLVQLLQSKSTMYS >Vigun03g403700.1.v1.2 pep primary_assembly:ASM411807v1:3:61070342:61074139:-1 gene:Vigun03g403700.v1.2 transcript:Vigun03g403700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNNLSCPEPMKVTSNGGFQHENPLDYALPLLILQICLVVAFTRFLAFLFRPLRQPRVIAEIIGGILLGPSAFGRNEKFLNAVFPEKSITVLETLANIGLLFFLFLVGLELDMRAIQRTGHKALGIALCGITVPFVLGIGTSIALRATVSNDAELFPLLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAIALSGSDASPFVPLWVLLCGAAFVIFVVFAIRPLLVAMANRSPEGEPVKEVYICITLTLVLACSFVTDTIGIHSLFGAFMVGTVMPKEGSFSGVLTERIEDLVSGLFMPLYFVSSGLKTNVATIKGALSWGMLMLVIFNACFGKIIGTTVVSLLYKVPSREALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVVMALFTTFISTPIVMAVYKPARRGAPYKHKTIQRDPDTELRMLACFHSTRNIPSLINLIECSRGTGRKGKLCIYAMHLMELSERSSAIIMVHKANKNGRPFCSKKRDGKDQMIIAFQDYEKLSSVSVRPMTAISALNNIHEDICTTAHRKRAAMIILPFHKHQRVDGSMESVGHSFQDMNQLVLCHAPCSVGIFVDRGLGGTSQVQANDVSYKIVVAYFGGRDDREALCYGMRMAEHPGIFLNVLKFVITPPATSLASGAKSVEVSSNKERKTMDGIGVNYDDDKDDELWSEFLSACNNNTQESMKYEEKVVESKGDIETALKEMNRCNLILVGRMPRVAALGSKSDCPELGPVGSFVASSDFSTVTSVMVIQQYNPSTDIHPLVMEESDFPDMSDSPRS >Vigun06g203900.1.v1.2 pep primary_assembly:ASM411807v1:6:31816895:31820586:-1 gene:Vigun06g203900.v1.2 transcript:Vigun06g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSLLALRARQLAVSGQGLHSSQSYGLRLSAHSYSTKLEDEQREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFETKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Vigun11g098100.1.v1.2 pep primary_assembly:ASM411807v1:11:28837247:28841387:-1 gene:Vigun11g098100.v1.2 transcript:Vigun11g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARVPLLLLFGILFLASLSVSFGIVHQEHQQSQEESEDNPFYFNSDKWFHTLYRNQYGHLRVLQRFDQRSEQIQNLENYRLVEFKSKPNTLLLPHHADADLLLFVLNGGPVITLVNPDGRESYILEQGDAQKIPAGTIFFLINPDDNEDLRIIKLAIPVNNPHIFQDFFLSSTEAQQSYLQGFSKNVLEASFDTEFKEINRVLFGDEEQQGEESQQEGVIVELKREQIRELIKHAKSSSRKALSSQNEPFNLINRKPIYANKFGRLFEITPENNRQLKDLDVFISYVDMKQGSLLIPHYNSRARVIAVVTKGDGKLELVGQREHQEQEERWEVQRYRAELSEDDVFIIPTAYPVAINATSNLNFFAFGINAENNQRNFLAGDKDNVISEIPTEVLDVTFPASGEKVVKLIKKQSESYFVDAQPEQ >Vigun08g036800.8.v1.2 pep primary_assembly:ASM411807v1:8:3523238:3527361:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.3.v1.2 pep primary_assembly:ASM411807v1:8:3523191:3527361:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.6.v1.2 pep primary_assembly:ASM411807v1:8:3522981:3527385:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.5.v1.2 pep primary_assembly:ASM411807v1:8:3523136:3527386:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.2.v1.2 pep primary_assembly:ASM411807v1:8:3523174:3527362:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.9.v1.2 pep primary_assembly:ASM411807v1:8:3523191:3526830:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.4.v1.2 pep primary_assembly:ASM411807v1:8:3523136:3527386:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun08g036800.7.v1.2 pep primary_assembly:ASM411807v1:8:3523238:3527361:-1 gene:Vigun08g036800.v1.2 transcript:Vigun08g036800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMYSASLDIGGRNSAVIEEIAQHLAPKPLIQCYSFDLNNQNHIINGIPVLAAEQGEPTSDVHVDGCFINPATIADSNSFVSVQGKSIVGDASNHINNSAIQEHLAGGRTIASAASLADRIGLQENLQSSAALPHSMSSLEALGPYIFNNWQDTSNPLAATFGDHAYDELSSIQKWNVNKFLKAPEANGTEIQAYSSIGNLVQNGWTSSNVASLGNFAYNSSNSSKELSLSLSRSPPTTGQCSEMSCSNASRSMNGTSSGLEQPSCSSKELCMRLGSNKHVQFSPAILGSRYLAGVQEIFSQIARYSFENVELMNYSASGVKKSASASTQKRRVASNQNENSMYGAHAEESPSERHAADSNKSQLLMLLQLVDNGYSQCLDEVHTVASAFHAATELDPHMHAHFALQTISLLYKDLRERISNCILAMGPDFNSLCSEEEKEWSLETSFIQKQWALQQLKKKDQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMSRRKACRNEEGLESSHRSRMSMNTQMLNIN >Vigun10g098800.2.v1.2 pep primary_assembly:ASM411807v1:10:28940448:28944533:-1 gene:Vigun10g098800.v1.2 transcript:Vigun10g098800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPIVLKTVGTVLGELLAAVMKIREETAMLKRTLDTLQSTLMSLTPVIRDIERLDGDLGRSKEELEPLKKIIEDGTKLVYDCSKVQWFNCVSRCRYKAKLKILNDWFRTDFNTIMTAHYVRDQKEILRLKKLNQGTNLLHPQPGAYVVTARDLYIAWGDTALYWTWTPSTHPSFPEVAELRAVCWLEITGWIKTSSLPSNQYEAYFVFSKGGKGTFGFHKQPVEVSLKVVGEEGDGQKQAGYLELETRPNRTYNAGLTRPLISKPEPMFEVKRRPDGLLEVKLGGFFTGGREDKEVQMGVHEIKDLWWKGGIIVQGIEIRPK >Vigun10g098800.1.v1.2 pep primary_assembly:ASM411807v1:10:28943052:28944615:-1 gene:Vigun10g098800.v1.2 transcript:Vigun10g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPIVLKTVGTVLGELLAAVMKIREETAMLKRTLDTLQSTLMSLTPVIRDIERLDGDLGRSKEELEPLKKIIEDGTKLVYDCSKVQWFNCVSRCRYKAKLKILNDWFRTDFNTIMTAHYVRDQKEILRLKKLNQGTNLLHPQPGAYVVTARDLYIAWGDTALYWTWTPSTHPSFPEVAELRAVCWLEITGWIKTSSLPSNQYEAYFVFSKGGKGTFGFHKQPVEVSLKVVGEEGDGQKQAGYLELETRPNRTYNAGLTRPLISKPEPMFEVKRRPDGLLEVKLGGFFTGGREDKEVQMGVHEIKDLWWKGGIIVQGIEIRPK >Vigun06g016900.5.v1.2 pep primary_assembly:ASM411807v1:6:7994517:7997315:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPFNYDLSAYGFREFFKRHGMWSSDSK >Vigun06g016900.4.v1.2 pep primary_assembly:ASM411807v1:6:7994517:7997388:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPFNYDLSAYGFREFFKRHGMWSSDSK >Vigun06g016900.6.v1.2 pep primary_assembly:ASM411807v1:6:7994992:7997368:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPVSCFCLN >Vigun06g016900.3.v1.2 pep primary_assembly:ASM411807v1:6:7994506:7997496:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPFNYDLSAYGFREFFKRHGMWSSDSK >Vigun06g016900.1.v1.2 pep primary_assembly:ASM411807v1:6:7994617:7997368:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPFNYDLSAYGFREFFKRHGMWSSDSNISSQVHS >Vigun06g016900.2.v1.2 pep primary_assembly:ASM411807v1:6:7994506:7997523:-1 gene:Vigun06g016900.v1.2 transcript:Vigun06g016900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSFFDRMISHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVTLLHEGFPVVVAFTIRGNYTRHLDKVLEEAAVEFYPHVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPTQADKQGRVADPNITKYSVKVLPFNYDLSAYGFREFFKRHGMWSSDSK >Vigun05g093600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9058036:9060933:-1 gene:Vigun05g093600.v1.2 transcript:Vigun05g093600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFAPILRRPKLIPFFLQFSNLSPSLSLHQSQNEPSKHNIATIVHSLCDSRQFSEAHYRFSLSLASGSLPDERTCNVLLARMLASRTPHATWRLIQSLIASKPGFVPSLVNYNRLMDQFCGAHQPLDAHRLFFDMKSRGHCPNVVSFTTLINGYCLVRGIRDARKVFDEMLESGVEPNSVTQSVLICGVLRERDVEGGRELMCRLWEMMSVEAEDSVKTAAFANLVDSLCREGFFGEVFRIAEELPFGSGFSEEVAYGQMVDSLCRVGRHNGAARIVYIMRKRGFVPSEVSYNYVIHGLSRDGDCMRAYQLLEEGAEFGFMLSEHTYKVLVEALCQVFDVDKAREVLKLMLSKKDVDKTRIYNIYLRALCLVNDPTELLNVLVFMLESQCHADVITLNTVINGFCKMGRVGEASKVLHDMLIGKFAAPDVVTFTTLISGMLDAARISEALDLFHKLMPENGIRPSVVTYNALLRGLFKLKRPNDALMVLDDMVKDGITADSTTYTVVVEGLCESDQVEEAKRFWHNIIWPSGVHDNFVYAAMLKGFCRSGHFKEACHFLYELVDSGVSPNIFSYNILINCACNLGLKSEAYQIVREMKKNGLTPDSVTWRILDKLNGKVGKDIHSEDPTMSTFYEE >Vigun05g014900.1.v1.2 pep primary_assembly:ASM411807v1:5:1195321:1199912:1 gene:Vigun05g014900.v1.2 transcript:Vigun05g014900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQGASGVAAQKSACCGS >Vigun09g232100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40347895:40349103:-1 gene:Vigun09g232100.v1.2 transcript:Vigun09g232100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTRWRHPSSLYYYRRQTQASFGNSDEMKNITTFTSTTTTRTTMDPRIWSKLPPDVVEHILLLLPLKTLLNLRPTCKAFSSLLFSPSFVSKHSSSSSSSSSSSSPFSSFLLLSHPQCPLYFRLYDSNLSSWLTISPSLPFSSSFSLASSSGGLFCLLNPSSSSFLVCNLFAKSSRTIPSPTHSLHFTHLSFLTTPLTYNLVLLSSNSAFVYNSGHHCWRRFQGFHAFLNDSCLQQGTFFHGGLYFTTPEPFSVVRFDLESGKWESHVAELPPQLTFLRLVSDDDGEGKLYLVGGVGTDGISRSIRLWELTSEGVWVEVVALPEIMCRKFVSVCYHNYEHVYCFWHEGMICVCCYMWPEILYYSVSRRSWDWLPKCPYLPLKCSCGFKWFSFVPKLYASV >Vigun01g231400.1.v1.2 pep primary_assembly:ASM411807v1:1:40376765:40380057:-1 gene:Vigun01g231400.v1.2 transcript:Vigun01g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILDNHYSSIFLATIFLLLTSALSAANAKIHAHEFVVEATPVKRLCKTHNAITVNGQFPGPTLEINNGDTLVVKVTNKARYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIRPREGQLYPFPKPNHDTPILLGEWWDANPIDVVRQATRTGGAPNVSDAYTINGQPGDLYKCSTKDTTTVPIHAGETNLLRVINAALNQPLFFTVANHKLTVVGADASYLKPFTTKVLMLGPGQTTDVLITADQPPSRYYMAARAYQSAQNAAFDNTTTTAILEYKSPHHGNHAQHHRSKGVKSKTRPIMPPLPAYNDTNTVTAFSRSFRSPRRVEVPTEIDQSLFFTVGLGLNKCPKNFKPNRCQGPNGTRFTASMNNVSFVLPNNVSILQAQYLGIPGVFTTDFPGKPPVKFDYTGNVSRSLWQPIPGTKAHKLKFGSRVEIVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKKDRAKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGAWLMHCHLDVHIGWGLATVLLVENGVGKLQSIEPPPVDLPLC >Vigun01g152800.5.v1.2 pep primary_assembly:ASM411807v1:1:33518740:33523306:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDMDTQTTANVVSSKETPKSDPISHQSSHPPLNERIISSMTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun01g152800.4.v1.2 pep primary_assembly:ASM411807v1:1:33518738:33523306:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDMDTQTTANVVSSKETPKSDPISHQSSHPPLNERIISSMTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun01g152800.6.v1.2 pep primary_assembly:ASM411807v1:1:33518740:33523407:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDMDTQTTANVVSSKETPKSDPISHQSSHPPLNERIISSMTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun01g152800.2.v1.2 pep primary_assembly:ASM411807v1:1:33518740:33523306:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDMDTQTTANVVSSKETPKSDPISHQSSHPPLNERIISSMTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun01g152800.7.v1.2 pep primary_assembly:ASM411807v1:1:33518740:33522241:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun01g152800.1.v1.2 pep primary_assembly:ASM411807v1:1:33518740:33523306:-1 gene:Vigun01g152800.v1.2 transcript:Vigun01g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDMDTQTTANVVSSKETPKSDPISHQSSHPPLNERIISSMTRRSVAAHPWHDLEIGPGAPTIFNCVIEIGKGSKVKYELDKKSGLIKIDRVLYSSVVYPHNYGFIPRTICEDSDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAFEAIKRSMSLYADYIVESLRR >Vigun10g033500.2.v1.2 pep primary_assembly:ASM411807v1:10:4455628:4460453:-1 gene:Vigun10g033500.v1.2 transcript:Vigun10g033500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDLLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIEWHKTYSRHVLPVYYEIQPSDVRLQKGDFGKTLKATAQKSFSAQQMEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQVIKNKSTGVCTVGICGMEGSGKTTLAKAIYNQIHDLLKTKLEIHKVEMGRRMIGERLYGKKVLIVLDDVNEYGPLDLWESSAWFGEGTVIIITTTDARLLRIYQVDYIFQMNVMNPNKSLELFSWHAFREAKPKKEYHFLARRVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSAQHEVDQILKISYEDLLNQMEKDLFLDVCCFFIGKCKFYVTKILNDCGVDPDSGIRVLIKRNLVKIRKNNKVGMHPLLRQMGREISHEILRKEPEKISGLWLDEDMEHALSRNSTNVIQRFSRRLVNLAGFSWSLCEKLRWVSLKGFSSQYLPNDFYLRDAIGIDLKHSLLRLVWKESQVLARLKVLNLSHSIYLTETPDFSRLPALEQLILKNCQSLRQVHQSIGFLYNLTLLNLKDCTGLTNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVLKQVPFSIASSKSIGYISLCGLEERSNNLFPSIIRSRMSPTTNPLSYIHTFSDTEDNSWDDVVPFFSSLAILRSVLVQCDPEFQLSVQLKAILMDYCVNITKSRISKHHFRSCLIGVGRYEEFFNTVSDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSVSFTVPRDCVMKGLILCVVCLSTPEIIEPELTTVLIVNYTRCTLQIHNHGTVISFNDEDWDHIVSNLGSGDRVEIFVSSAYGLVVKETAVYLMYGEPKKNSLIRSIKKIIM >Vigun10g033500.1.v1.2 pep primary_assembly:ASM411807v1:10:4455628:4460453:-1 gene:Vigun10g033500.v1.2 transcript:Vigun10g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDLLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIEWHKTYSRHVLPVYYEIQPSDVRLQKGDFGKTLKATAQKSFSAQQMEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQVIKNKSTGVCTVGICGMEGSGKTTLAKAIYNQIHDLLKTKLEIHKVEMGRRMIGERLYGKKVLIVLDDVNEYGPLDLWESSAWFGEGTVIIITTTDARLLRIYQVDYIFQMNVMNPNKSLELFSWHAFREAKPKKEYHFLARRVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSAQHEVDQILKISYEDLLNQMEKDLFLDVCCFFIGKCKFYVTKILNDCGVDPDSGIRVLIKRNLVKIRKNNKVGMHPLLRQMGREISHEILRKEPEKISGLWLDEDMEHALSRNSQTNVIQRFSRRLVNLAGFSWSLCEKLRWVSLKGFSSQYLPNDFYLRDAIGIDLKHSLLRLVWKESQVLARLKVLNLSHSIYLTETPDFSRLPALEQLILKNCQSLRQVHQSIGFLYNLTLLNLKDCTGLTNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVLKQVPFSIASSKSIGYISLCGLEERSNNLFPSIIRSRMSPTTNPLSYIHTFSDTEDNSWDDVVPFFSSLAILRSVLVQCDPEFQLSVQLKAILMDYCVNITKSRISKHHFRSCLIGVGRYEEFFNTVSDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSVSFTVPRDCVMKGLILCVVCLSTPEIIEPELTTVLIVNYTRCTLQIHNHGTVISFNDEDWDHIVSNLGSGDRVEIFVSSAYGLVVKETAVYLMYGEPKKNSLIRSIKKIIM >Vigun10g145400.2.v1.2 pep primary_assembly:ASM411807v1:10:36340160:36340930:1 gene:Vigun10g145400.v1.2 transcript:Vigun10g145400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHKTRWCSCSCSCSKKMVKYGRRPLPDLNYPPPPDSDEESSPSHPNYDKGIAHENSSAVASRKRKMEERESESESESSSSHRIKVFWNMLMNYIIPNPNKKKKVETAH >Vigun10g145400.1.v1.2 pep primary_assembly:ASM411807v1:10:36340160:36340930:1 gene:Vigun10g145400.v1.2 transcript:Vigun10g145400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHKTRWCSCSCSCSKKMVKYGRRPLPDLNYPPPPDSDEESSPSHPNYDKLHLQGIAHENSSAVASRKRKMEERESESESESSSSHRIKVFWNMLMNYIIPNPNKKKKVETAH >Vigun11g162600.3.v1.2 pep primary_assembly:ASM411807v1:11:37023401:37027617:1 gene:Vigun11g162600.v1.2 transcript:Vigun11g162600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQQHPPMFLDHHQQPTNSGLTRYRSAPSSYFSSIIDREFYEHVFNRPSSPETERMLTRFVNSLGGGDADAADADAEDALPTQNPSTVVAVKEEVNQQPKDMPLPPINNESLVLQQHQQQQSNINNYGSSAPQNFYQNTGRPPLPNQMETGRRTASNLIRHGSSPAGLFSNINIETGYAAVRGMGGTMGAANNSTEEANFSPVTRMKNAPNYSSGLMSSRAEIGNKNTTQNNPENEGFAESQGNEFIPGFPVGSWDDSAIMSDNMTGLKRYREEDVKPFSGLNVPETQNEAGGQPSTGLAHQLSLPNTSAEMAAIEKFLQLSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAIEYIKDLQKQVETLSENREKCTCSNNQQQQQQQQQ >Vigun11g162600.1.v1.2 pep primary_assembly:ASM411807v1:11:37023298:37027678:1 gene:Vigun11g162600.v1.2 transcript:Vigun11g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQQHPPMFLDHHQQPTNSGLTRYRSAPSSYFSSIIDREFYEHVFNRPSSPETERMLTRFVNSLGGGDADAADADAEDALPTQNPSTVVAVKEEVNQQPKDMPLPPINNESLVLQQHQQQQSNINNYGSSAPQNFYQNTGRPPLPNQMETGRRTASNLIRHGSSPAGLFSNINIETGYAAVRGMGGTMGAANNSTEEANFSPVTRMKNAPNYSSGLMSSRAEIGNKNTTQNNPENEGFAESQGNEFIPGFPVGSWDDSAIMSDNMTGLKRYREEDVKPFSGLNVPETQNEAGGQPSTGLAHQLSLPNTSAEMAAIEKFLQLSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAIEYIKDLQKQVETLSENREKCTCSNNQQQQQQQQQ >Vigun11g162600.2.v1.2 pep primary_assembly:ASM411807v1:11:37023306:37027617:1 gene:Vigun11g162600.v1.2 transcript:Vigun11g162600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQQHPPMFLDHHQQPTNSGLTRYRSAPSSYFSSIIDREFYEHVFNRPSSPETERMLTRFVNSLGGGDADAADADAEDALPTQNPSTVVAVKEENFYQNTGRPPLPNQMETGRRTASNLIRHGSSPAGLFSNINIETGYAAVRGMGGTMGAANNSTEEANFSPVTRMKNAPNYSSGLMSSRAEIGNKNTTQNNPENEGFAESQGNEFIPGFPVGSWDDSAIMSDNMTGLKRYREEDVKPFSGLNVPETQNEAGGQPSTGLAHQLSLPNTSAEMAAIEKFLQLSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAIEYIKDLQKQVETLSENREKCTCSNNQQQQQQQQQ >VigunL008701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:102266:102625:-1 gene:VigunL008701.v1.2 transcript:VigunL008701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun08g184300.1.v1.2 pep primary_assembly:ASM411807v1:8:35348153:35351625:1 gene:Vigun08g184300.v1.2 transcript:Vigun08g184300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYALVGRGSVVLAEYSGTTTNASAIARQILEKIPGNNDTHVSYSQDRYIFHVKRTDGLTVLCMADDTAGRRLPFAFLEDIHQKFVRTYGRAVHSAQPYGMNEEFSRVLNQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLDRGDRLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNVKLTIALIVLLLVIVYIVLAFVCHGPALPSCF >Vigun05g003000.1.v1.2 pep primary_assembly:ASM411807v1:5:235274:240150:-1 gene:Vigun05g003000.v1.2 transcript:Vigun05g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMMMMIKMNNPCLKILSTVLLLVSLFIYVLVAPSFFASPHFYKHLHLQAEDFELCPSNYTNHCPCQDPIRARNFPKAKWFRKERHCPERTQRLRCLIPTPPNYQTPFPWPKSKVTAWFSNVPFPKLVEYKKSQNWVRLEGDRFVFPGGGTSFTEGANAYIHALNRLLPVSLKSGDIRTVLDVGCGVASFGASLTDYDILTMSLAPSDEHQSQVQFALERGLPAMLGVLSTHRLTFPSRSFDMVHCSRCLVPWTDYDGLYLREIDRILRPGGFWVLSGPPINWRVNYKAWETEPQVLEKEQNVLENLAKQLCWEKVAEKDQIAVWQKRIDRISCMKKFQTLRSPKFCNSSESDPDAGWYTKMTPCIFPLPDVKNVHEVSGGVLEKWPTRLKSVPPRIRNENDDDFTLKAYTKDNRTWKRRVSDYGVMLKSISSGKYRNVMDMNAGFGGFAAAMVKYPIWVMNVVPFDAKSSNLGIIYERGLIGTYMDWCEPFSTYPRTYDLIHASGIFSMYMDKCDISDIVLEMHRIVRPKGAVIIRDEKDVIVKVKEITDKIRWKGTVVAGDQDGTFHSEMILLIDLNDTD >Vigun03g341600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54038089:54041024:1 gene:Vigun03g341600.v1.2 transcript:Vigun03g341600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQTQTQRPCSSIIDSLGEEIVRIIAPVSICMFLVVILVSILNTDSSLFDASMSMVTMAYTETTSDSTWDKFLGALLNSLAFVVLVTFATFILVLLFYFRCTRFLKLYMAFSTFIVLGFLGGEVSLFLIQQFSTPIDCITFFLLLCNFAVVGVLTVFMSKMAIFVTQGYLVVIGILIAYSFTMLPEWTTWALLVAMALYDVAAVLLPIGPLRLLVELAISRDEEIPALVYEARPVNHGNLSPRDLVQRRRLWRERRVENSNIQSDSNSALGHGPSVEFRTDSDGSPSNNSNMVRENASRNANLTANSSGGSYGCINLVNAEEEPVRVHETDSDLSAPLIDRGMNVQHGRGEDAVPSENLMLEGIGLGSSGSIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGITLILLALYQKALPALPVSIALGVLFYFLTRLLLEVFVVQCSLNLLLF >Vigun11g001500.1.v1.2 pep primary_assembly:ASM411807v1:11:192458:194837:1 gene:Vigun11g001500.v1.2 transcript:Vigun11g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERELERGYVKKGPWSREEDEVLLRHVQKYGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERLVMEMQAQFGNKWAKIATYLPGRTDNDVKNFWSSRRKRLERMLQKPPTLKLQKNKGKTPLTQVLVDKVPPCSSNQVEENLCYTTSYVGNTEVFEMINLQDLIKPNYEQMENDYFSGVEVDATPLHTVPSFESSSGYNFPLLPEPLIDFPLFPECQDLVPETFDPNFIDGFDQKKFSECVCSQKLGTGLPTLGLEENSQITTSNVLFKDFPSEIFEYFEHIPTSTEQ >Vigun06g022125.1.v1.2 pep primary_assembly:ASM411807v1:6:10433709:10435663:-1 gene:Vigun06g022125.v1.2 transcript:Vigun06g022125.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHNLLNVQLSQPGHRHSQIHCQEMSTFGQLVHNFPDRIMTPKGKYDVGEDRKFRCHYCKRVFANSKALGGHQNAHKKERQRARRFQIHSHRRSSVPPSSPLSVTPLTFLTSPLPLPLSVTLPPIYLSSPSPSTSTSTSAKHFPSRPVFVSSSTHLTATHITLFCFGFSLNRGIKVV >Vigun11g096650.1.v1.2 pep primary_assembly:ASM411807v1:11:28158090:28162209:-1 gene:Vigun11g096650.v1.2 transcript:Vigun11g096650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFYSSLRRAPSIAAGAQPSLLKFSFSSSTKHWVRPNPKTSTSIFFKREAERFFDFHLQPLECTTILAQTLMSLITKVGGDSVGHHPKFHRRRRQILSHATHTFLFFLCAQAQLLLPGSPPQSASTILVFMSSQTNWWHALVDVLAQSSNCYPSLPLKSVVGARVLTFKPYTTVGLYRLGHYIIPEEMDEVYAWLTSKLGPKGKTT >Vigun02g061300.1.v1.2 pep primary_assembly:ASM411807v1:2:20683518:20685569:-1 gene:Vigun02g061300.v1.2 transcript:Vigun02g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEELNNDGEKLDEVMLPGFRFHPTDEELVGFYLKRKIQQRPFTIELIKQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDSLSPKYIDKNIPANESWAICRIFKKTNATAQRALSQSWVSSLPETRTSDHILTKDQHTTQFCSDISFTKKTTLASHYCTNNNYTDSQPLTPCPLDVASYKSIINPLLYKAFDHFPISNGDLSTSFLFETSSDGNTNAKSTMDVSSMLLNMSSSVLGDFNKTSEDNTNATTSFGALQEHGYYPLPFLREMQSTFGEQEYDNMNALKGPNVNMPGVDEQELETVRSIGFPFNIGDAWKSNLLWDTSSFPCDVSSTFSTTKCYT >Vigun08g132200.1.v1.2 pep primary_assembly:ASM411807v1:8:30308134:30314811:-1 gene:Vigun08g132200.v1.2 transcript:Vigun08g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWCLRVPLFLLLRKAPFTVSPAFGKNKRRTRFHCCCCSTSSQSWEPSRKKKVVMRVAYVGTNYRGLQMQHDEHFLSTIEKELETAVFKAGGIRESNFGDLQKIRWARSSRTDKGVHSLATIVSFKMEIPENAWNGDPYGFALANYVNSYLPFDIKVLSILPSQRSFDPRKECALRKYSYLLPAEIVGIQSHSSNDEIDYHISDFHDILNVFEGEHPFHNYTARSKYRKQFPNRQLSSKSVERLPPNESECEYSDEEENFEIDKAFTGNMECQSQKCSETHNFGKSVGGSGNTNGNRLPGQDSRLVARARWLYEPDEADRLSASHFRKVFQCSCGKLETSLGHNYIEISIQGESFMLHQIRKMVGTAVAVKREVLPKDIIKLSLARYSRIILPLAPSEVLILRGNRFSIRTRPGNVTRPEMQTIVESEEINKAVDDFYTSVMLPQVSKFLDPSASPWEEWIEKLDAHTSIANDQLDEVRKAWNLLQENLESRTN >Vigun02g116300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26968294:26969761:1 gene:Vigun02g116300.v1.2 transcript:Vigun02g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFHVRSNSFPTGSHPSITRIEEELNKLKTWEDTSTSTSNSIATGFSLLSDLHICLEDLLNMASTQKLISNHQGQKCIQELLDGSVRILDICDITRDNMLQIKENVQALHSALRRRKGDSSIERIVAEYNFFSKKMKKNAKKLITTLKQMENKFGVSPVLDQDQQLVSLIRVVREVIGMNMSVFQSLLAFLTVSASKSKATKWLLVAKLMQKGVIACEENTKNLNELQCVEASLSSLVNEGTNVATMQAAHERLEALENGIEIIENGLESVFRRMVKTRACLLNIITQ >Vigun10g193300.1.v1.2 pep primary_assembly:ASM411807v1:10:40748217:40750684:1 gene:Vigun10g193300.v1.2 transcript:Vigun10g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSWQNNWHLQTEMDCQNEFFPINDDCDDDFFTDLIPPTPFSSESESDHSFRASNTVHNTSFLPGAAVNAAVNAAVNAVVASKRSSPRTYILSFDSSTVVPATPEPSVPSSPLPAKRALHTLSPTARPNQGSKRTRTSSQTIDHIMAERKRRQELTERFIALSATIPGLNKTDKASVLRAAIDYVKQLKEKVEELEKQNRKSVAETVILVNKTDSNGNEDTTNPTETNCSILPEMEARVLGKEVLIEIHCEKEYGVELKILDHLENIHLCVTGSSVLPFGNSALCITITAQMGEEYEMTVNDIVKNLKQVLSEVSFGKRSDPY >Vigun02g031300.2.v1.2 pep primary_assembly:ASM411807v1:2:13259393:13264834:1 gene:Vigun02g031300.v1.2 transcript:Vigun02g031300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWKDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNFRFIAADTLQKIMMLFALTLWTNLTKTGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRAAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTSRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFSMMGYQPRHSNFTANDLFSSRGPTPRSSNFEETSTPQPVTIASPRFGFYPTQTVPAAYPPPNPEFSSAPAKPTTVTKNQNQQTPTKGAHDAKELHMFVWSSSASPVSENAGLNVFGNTDLGSSEQPDQGAKEIRMLVADNAHVQNGEAIIKGGLEAELGGEEFKFPVNGGEQVEEEKDKEREKEGLNGLNKLGSSSTAELHPKVGGAGEPTGGGKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGCTSSRDCSLCVC >Vigun02g031300.1.v1.2 pep primary_assembly:ASM411807v1:2:13259393:13264834:1 gene:Vigun02g031300.v1.2 transcript:Vigun02g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITWKDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNFRFIAADTLQKIMMLFALTLWTNLTKTGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRAAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTSRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFSMMGYQPRHSNFTANDLFSSRGPTPRSSNFEETSTPQPVTIASPRFGFYPTQTVPAAYPPPNPEFSSAPAKPTTVTKNQNQQTPTKGAHDAKELHMFVWSSSASPVSENAGLNVFGNTDLGSSEQPDQGAKEIRMLVADNAHVQNGEAIIKGGLEAELGGEEFKFPVNGGEQVEEEKDKEREKEGLNGLNKLGSSSTAELHPKVGGAGEPTGGGKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAIRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >Vigun11g048650.1.v1.2 pep primary_assembly:ASM411807v1:11:7668756:7669294:1 gene:Vigun11g048650.v1.2 transcript:Vigun11g048650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEIKTIGVIMTVMILTSFAEANFWCNVKCKIKCEEQPFPEVYDKCMRDCKSSCSKLSSHPVYNCITGCHLMKSIATKNGVNDLVDNVMNSCIQECKERL >Vigun03g357400.1.v1.2 pep primary_assembly:ASM411807v1:3:55944295:55946462:-1 gene:Vigun03g357400.v1.2 transcript:Vigun03g357400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFLPTAHPFCLPSSPSLSLATQSQVYRSINCSVKRQLTLCRAVNQPSVHPPILTKRGLSISFLTTFVLSFAGEGSHAAILEADDDEELLEKVKRDRKKRLERQGVIKSSTKETGYLQDLVYKLSEVGKAIENNDLPKAASVFGSGTDTDWVQKANIALNKLSASPEEKTEVDTFNSSIASLISSVAKNDVESSKIAFVSSASAFEKWTSLTGLIVQLKGL >Vigun03g357400.2.v1.2 pep primary_assembly:ASM411807v1:3:55944295:55946462:-1 gene:Vigun03g357400.v1.2 transcript:Vigun03g357400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFLPTAHPFCLPSSPSLSLATQSQVYRSINCSVKRQLTLCRAVNQPSVHPPILTKRGLSISFLTTFVLSFAGEGSHAAILEADDDEELLEKVKRDRKKRLERQGVIKSSTKETGYLQDLVYKLSEVGKAIENNDLPKAASVFGSGTDTDWVQKANIALNKVSLIFQVVEVLMNTFV >Vigun03g403600.1.v1.2 pep primary_assembly:ASM411807v1:3:61067487:61070174:-1 gene:Vigun03g403600.v1.2 transcript:Vigun03g403600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVGVGRFGVTGPTLSSFSLTRSHASTPSISLFFRSQSHFPAFRYFTRYNPHLYAAVTSDSTATSLDLTQPDLDHTGGGSNANGNGNGSSGGGGGGGGEGGDNSKGEEGSDSGKRKMALLMSQKFTLAYAALVGVGGVMGFLKSGSQKSLLAGGLSASLLYYVYTELPGRPVFASSVGLGISAALLGVMGSRFKKSGKVFPAGVVSLVSLIMTGGYLHGIMRSAH >Vigun08g120900.1.v1.2 pep primary_assembly:ASM411807v1:8:28916163:28920046:-1 gene:Vigun08g120900.v1.2 transcript:Vigun08g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATPNGIVPEVLTPDNYEDWSIMVKNYLLSRDLWTSVIEDAEAGTTPEKEEERKKNNAKALHILQMSCGSETLSRIKESTTAKSAWNTLASLYRSRLGANADIEQGLPLLHRGLQRGDWDTGSTFVGNNRRAMYETSKLGKTDIHVAVQTGQEDMVEKLVNKASKRLLLERDTRGYTALALAAELSDTISVAKCMVDRNTDLLTIKTNDGMIPVVLAAVKGNKNMARYLYHRTPSQVFNEDSGYTSALLLTRCITSEIFDVALDLLHEYQVPLTMKFDGVTPLYALVQQPYAFPSVNPPKFWLEWLYKVTPKIEEEVPYTMKEDKGRNKSGLLSRLRLLVVTIFLCLPVIRKTHKKKMTHWRVVEILNSMAKRFTGFDEAKLREASVYESLLEASKSGIVEFIITLTRANPDLYWVIDEKQRGIFSYAVLYRREKIFNLVNGLKGQGKVIISRTDIFGNNLLHLIGSSVPTAELDRKSGPALQMQRELQWFKAVKRILHPKFQQAVNGDGMKPKELFTKRHEELLKDAEKWAKETATSFTIVGTLIITIVFAAAFTLPGGNDQSTGIPMFLHKTMFTTYVVSDAISLFASSTSVMTFIAILTSRYAERDFLKSLPLKLMFGLLSLLCSVLSMMVAFCSAFSLMLRDSGHYKIVNFVIAIASLSVAIFLPMQLRLLLEIFNSTFRSEVLHIKKI >Vigun05g142833.1.v1.2 pep primary_assembly:ASM411807v1:5:18631650:18631925:-1 gene:Vigun05g142833.v1.2 transcript:Vigun05g142833.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSKLSNIKWTPIKCPKQSNGHDYGYYICRYMKEIVTNCEGGTIPIDVSYLNCMYYSFVVGF >Vigun06g072000.1.v1.2 pep primary_assembly:ASM411807v1:6:20281299:20289650:-1 gene:Vigun06g072000.v1.2 transcript:Vigun06g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVEANGGSGKVGVSAVSAKIPAVAHPLAEKPDEIASNITYHAQFSPHFSPFKFELEQAYYATAESVRDRLIRQWNETYAHFHKVDPKQTYYLSMEFLQGRALTNAIGNLNIQDAYANALRKFGLELEEITEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRITREGQEEVAEDWLEKFSPWEVVRHDILYPIRFFGHVEVNLNGRRKWVGGEVVQALAYDVPIPGYQTKNTISLRLWEAKASAEDFNLFLFNDGQHDAASVLHSRAQQICAVLYPGDTTEGGKLLRLKQQFFLCSASLQDIISRFKARRQGPWNWSEFPTKVAVQLNDTHPTLVIPELMRLLMDDEELGWEEAWDVTSRTVAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIQEIDKRFTTVISTTRLDLESELANMRILDDNPQKPVVRMANLCVVSSHAVNGVAQLHSDILKSELFANYVSIWPTKFQNKTNGITPRRWIQFCNPELSRIITKWLKTDKWVTNLDLLTGLRQFADNEDLQKEWLSAKMASKRRLARYVLQVTGESIDPDSLFDIQVKRIHEYKRQLLNILGVIYRYKKLKEMSPEERKKSTPRTVMIGGKAFATYTNAIRIVRLVNDVGAVVNSDPEVNSFLKVVFVPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATAEDVPRLRKERENGLFKPDPRFEEAKKFIRSGVFGSYDYSPLLESLEGNSGYGRGDYFLLGHDFPSYMDAQAKVDEVYRDRKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECRVP >Vigun05g145600.1.v1.2 pep primary_assembly:ASM411807v1:5:19865810:19870472:1 gene:Vigun05g145600.v1.2 transcript:Vigun05g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGIPIALRTRSNKVVVGGGLAMGPKRRKVDEDDDEDDDEVICLGENLDGLAREKLASGSGASCSSPGFMYLGETEDDPDPDPEKVVNLEDHDAVEAGFECCETKAFQVKEEERDEEGEGCSVAAVRPEKVESFDDEEYGTKPERPVVLLPHLERSGRSSSDEGYDSFWSSTESEDVETSDDDFKVDEDDDDDDDGESEDSSSEESDSSEEDERRGYTKMVKERVRKVVSESESSRVWRRKVERKSKHAEEEAEKWNGGDCASVASSKSEINKEGTKRAEERKQGANRVGNVNNADPEVFSDQGQSSGLSVSSEDDASEDKEKRVGSHQKVFFQKETNSTVNKCGKNNKVKANAKETGHRDELHEMLRMSSTTKNQCFEFFTECFRGKRDSAKDVSRDLDKKVDGGQTQPFGSSDTISLNWNSMMKAKPVEKSESEKELDMLWEEMEMLLQAEKIGVQDNTGTDEPGENEENSVPRCKHDTIFNEQIGIYCRWCGWIETEIKYITPPFIDSERCGRRASPGGGNNSRFDGVLFSESGENSEAVRSHNHGTVWDLTPGIKESLFPHQQEGFEFIWANLAGTIELSKLKMVDPQSEGGCIVSHAPGTGKTRLTMVFLQTYLQVFPKCLPIIIAPANILLTWEDELRKWNIGIPFHNLNNAELSGKEHAIREVDLLFNQQQKKDVIRMVKLCSWYKEKSILLISYNLYEKLAGGKSEGDGEKEKKNRKIGKEKKRARSREYVETELGNVLRDYPGLLVLDEGHTPRNKRSCIWKVLSESRSQKRILLSGTPFQNNFLELYNILCLMKPSFPDSIPQELKKFLKNKLIQETKASKDESWEPISAGNQADEKINQLKLLMNPFVHVHKGSILQKNLPGLRDCVLVLKPECLQKNILESIDCSQNALNFEHKLALVSVHPSLFLNCALSKKEESVVDSELLNRIRLDPYEGVKTKFLIEFLRLCDAVNEKVLVFSQFIDTLCLIRDQLESAFNWSVGTDVLYMHGKLDQKQKQFLIHNFNDANSQAKVLLASIKASSEGINLVGASRVVLVDVVWNPSVERQAICRAYRLGQKRVVYTYHLLAQGTPECAKYGKQSEKDRLSELVFSSRNVENDKLENVGVKFEDKVLDLMVQHNSLKDIFGECLVQPKDRDLETLGP >Vigun06g180900.2.v1.2 pep primary_assembly:ASM411807v1:6:30057782:30063720:1 gene:Vigun06g180900.v1.2 transcript:Vigun06g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHSNLIPNLSFNRFQAPPSSYLVGAGIVQPGVTLSNYCRRRRVPCIKCENKDEEHIKHVSVERPPYHIYFDSTSGEVEPASGARASIPSQEYWPEGTASRVRAARAPAPTAESSTSPSYGAKPGSRRKNFKASVPAASSSSSSEPIVEFTGAGSGSSELLVESSEESQDHSSDFVVYQSEPEEEELSEYEFNKRVGLPHPFVDPKAKKPMEGILPNEELWWNWKQPEKEQWSRWQRRKPDVETVFLKAMAETGQIKLHGEEPTLTETALYRARREIYKQERLQAEQDRLERDGPIAYYSEWVKAWKRDTSREAIQKHFEETGEDENAQLIEMFCHQTDREYRVMMGTDYRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPNEVIDYRGPNFHDPTPNMVAYLKEHGKLISREEFDKNMAEEKTEQVEMTDMDEAMAKAVDIGENDVSFCSVILIEFHL >Vigun06g180900.1.v1.2 pep primary_assembly:ASM411807v1:6:30057782:30063720:1 gene:Vigun06g180900.v1.2 transcript:Vigun06g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHSNLIPNLSFNRFQAPPSSYLVGAGIVQPGVTLSNYCRRRRVPCIKCENKDEEHIKHVSVERPPYHIYFDSTSGEVEPASGARASIPSQEYWPEGTASRVRAARAPAPTAESSTSPSYGAKPGSRRKNFKASVPAASSSSSSEPIVEFTGAGSGSSELLVESSEESQDHSSDFVVYQSEPEEEELSEYEFNKRVGLPHPFVDPKAKKPMEGILPNEELWWNWKQPEKEQWSRWQRRKPDVETVFLKAMAETGQIKLHGEEPTLTETALYRARREIYKQERLQAEQDRLERDGPIAYYSEWVKAWKRDTSREAIQKHFEETGEDENAQLIEMFCHQTDREYRVMMGTDYRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPNEVIDYRGPNFHDPTPNMVAYLKEHGKLISREEFDKNMAEEKTEQVEMTDMDEAMAKAVDIGENDDEEDSDVEEVEEEGEEKLSDYWSVLKSTPELRKSKPKPKKDGPMSLEEAVDDSENLTDFLMDFEEEE >Vigun05g161800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25669548:25669814:1 gene:Vigun05g161800.v1.2 transcript:Vigun05g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDYVPMSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun07g213200.1.v1.2 pep primary_assembly:ASM411807v1:7:33471373:33473141:1 gene:Vigun07g213200.v1.2 transcript:Vigun07g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANMVCKKADVDMNKRAGELSAAELDNLMTVVANPRQFKIPDWFLNRKKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Vigun03g147001.1.v1.2 pep primary_assembly:ASM411807v1:3:15061117:15062162:-1 gene:Vigun03g147001.v1.2 transcript:Vigun03g147001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTPFSLSLSLAFPSPLETMAGADPGCDKLITTKRSFINHKSGSQNFSKTTITSPAVDSSFVNTGSGSQHFSGLTIECGPSIWNTFTRFLTGISSHFNHNGTQDQNFPNFSFSKYLQALPPEQTQLDIPAEDKCKPPEVKKKSPSKPKSDDHKNSTSKQR >Vigun11g104900.1.v1.2 pep primary_assembly:ASM411807v1:11:30325870:30327554:1 gene:Vigun11g104900.v1.2 transcript:Vigun11g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAILELNRNCAKTVEEIVKLERKIFPKHESLASFFHDELKKKNSGLLYLHVDGELAGYVMYSWPSSLYASITKLAVKEQYRGQGHGETLLKAAVEKCRTRKVSRIMLHVDPLRTPAVNLYKKYGFLVDTLIQGYYSSDRNAYRMYLDFDSS >Vigun02g198300.1.v1.2 pep primary_assembly:ASM411807v1:2:33332309:33334443:-1 gene:Vigun02g198300.v1.2 transcript:Vigun02g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKNILQKLQHQFITTHLISSSLLAYIYKGNDAYPKQASGILRSMAIQQSLLENPKNSVSKTICLVFSVAAVICSSAFVGSYLIKSSSFLNQSSLQHLCRHSLDNPSCLAHVSELVQDPILATTKDYKLNLLHAFLMKSTSNIQRAMNTASSIKLRINNPREEAALNDCVELMDLSINRLWDSMVTLTKETVESQQDAHTWLSSVLTNHATCLDGLEGTARALMKAELEGLISRAKTTLAMFVAVLPQRVEPIIDEPLNGEFPSWLSSKDRKLLESSALNINANVVVAKDGSGKFKTVAQAVASAPDNGKTRYVIYVKKGIYKENVEISSKKTNVMLVGDGKDVTVITGSLNYVDGTTTFKSATVAAVGDGFIGQDIWFQNSAGPQKHQAVALRVGADRSVINRCRIDAYQDTLYAHSNRQFYRDSFVTGTVDFIFGNAAVVFQKCNLAARKPMSNQKNMVTAQGREDPNQNTGTSIQQCNIIPSSDLKPVAGSIKTYLGRPWKKYSRTVVMQSTIDSHVDPTGWAEWDAQSKDFLQTLYYGEYMNSGAGAGTSKRVNWPGYRVITSAAEASKFTVTQLIQGNVWLKNTGVNYIEGL >VigunL080900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000612.1:25418:26095:1 gene:VigunL080900.v1.2 transcript:VigunL080900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPLGFRLGTTQRNWRDKDSKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIEYCCYTVRTIYGVLGIKFGYFRNKEKYVLLSIQ >Vigun09g173450.1.v1.2 pep primary_assembly:ASM411807v1:9:34410299:34412118:1 gene:Vigun09g173450.v1.2 transcript:Vigun09g173450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMMDPTPWSYQGSSMAAPLTFVPTRCPGFHRTPRFLMKHNQTTGYMQGLGIVKGHSRGNHRVLGHSSPRTTEGPSSQNWEGESAPVSSGGRFLRKLQDVDRLHHKDQKEHKGLPTVW >Vigun07g272900.2.v1.2 pep primary_assembly:ASM411807v1:7:38835273:38844186:1 gene:Vigun07g272900.v1.2 transcript:Vigun07g272900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGPSSFPRVPKEELVDEEEFDRILEERYADPSRFIKFADEFDDKGNNPNSIHDAVMELMPNIWKVKCTVGRERLSALCLMQKFADLFSLGTVLKIKSAFAVDHMKGFVYIEAERQCDINEACQGIPGIYVTRVALVPNNEVYHLFSVRNRTPEISEGMWARIKAGNYKGDLAQVVAVNNTRKKVTVKLIPRIDLQALAAKFGGGYSRQKVAVPAPRLISSSELEEFRPLIQVKRDRETGKVFEVLDSLMLKDGYVYKKVSPDSLSLWGVVPTEEELLKFGTSENNESNDLEWLAQLYGGKKKKRVIRPNKGGGKGEGSSDLVVENDFELYDLVCFGKKDFGVIVGMDKDDIYRILKESSDGPAVITIERKEIKSGLLDLKLTAFDQHNKAILVNDTVRVLDGPSKGKQGIVRHIYRGIVFLHDGNEEENGGYVTCKSNMCEKVKLDAGDFSGKDSEPGPLFFEDQPSSPRSPLSPKKPWQARQNNREFNRGDSNNMFNIGQTLRIRIGPLKGYLCRVIALRRSDVTVKLDSQQKVLTVKCEHLSEVQGKSAAISSSGDPDASSSKPFELLGGEGSSGGWLSGVGTSTASGGWNAAGASSERGTWSNDSAPTLLKPESSLNPFSSKGAEDSAWETKSNSNQNSAWVAAVEKTGVASNPEQSGGCWGNGGGSWGQAERKTGSVGDDNQNSNWNTTNVSEKESSGWNSVQKSNETSLTGWGDGNGFKSGSDEGNLTSNWRGWKSETSGVKVGNPSGSSDINANKDAGWSNTGSSAVAENSGSDLGKDSKWNAVSDGTDGNQGNGWGQKSSWNSGSQNDSNEKKSNSGNSHWGSGRTEPGNQDSNLDRKSNWNSGSSGNWASDPKNSNWNSGSDNSNENPDRGNNNNWNSNKPFSSAGNESKNSDWSSRHPDTGNNDRSWGKKSNLNSGSDNSNENPDRGNDDNWNSNKPFSSAGNESKNSDWSSRHPDTGNNDRSWGKKSNWNSGSGDADQNNSWKSNNSWSAGSSDNQLNGENEGSNENSRGVGGGNRRGWNSGSGDANQNNTSKSNSSWSAGSSDNQLNGENEGSNENSRGAGGGNGRGGYQGRGGSDRGGFRGRGFRGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGRSDREGFGGRWGSGGGRGRGRSDQSGSWNNRRDSGEDGSSDWKKGSDNVEGWSNSNGSQAWNQDFGDKKRKSWSQGNADKEHPSWNQGGGSEQRWSSASDGANDNRSQAWNHDTGNKDKQSWSQGNADKESPSWNQGGGNKPKWSSAEGRANDSGWNQGGGSKQGWSLDSGGANDNGSQAWNQDTGGNYRQSGSQGNANNWSSATGGQANNSGWNQGGGSKKSWSSVSGEANDNISQAWNQGNADKERSGWNQGANNQSWSSASGANDNWNNNDSRQATGASLKKSTAEETNIQDGGWNKGSTSNTTTGVLKWGQSSSAADNGQSSSWKKESAEGAAGSWGKKNDGDVKGGW >Vigun07g272900.1.v1.2 pep primary_assembly:ASM411807v1:7:38834839:38844186:1 gene:Vigun07g272900.v1.2 transcript:Vigun07g272900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKGKGKGKEVAGKASTGKRKATFDDDKTGGRFKSAAMKFFDDAADVDDSDDSDDNDLSEESDFGDDFSDEGFDASPRRMSEPGPSSFPRVPKEELVDEEEFDRILEERYADPSRFIKFADEFDDKGNNPNSIHDAVMELMPNIWKVKCTVGRERLSALCLMQKFADLFSLGTVLKIKSAFAVDHMKGFVYIEAERQCDINEACQGIPGIYVTRVALVPNNEVYHLFSVRNRTPEISEGMWARIKAGNYKGDLAQVVAVNNTRKKVTVKLIPRIDLQALAAKFGGGYSRQKVAVPAPRLISSSELEEFRPLIQVKRDRETGKVFEVLDSLMLKDGYVYKKVSPDSLSLWGVVPTEEELLKFGTSENNESNDLEWLAQLYGGKKKKRVIRPNKGGGKGEGSSDLVVENDFELYDLVCFGKKDFGVIVGMDKDDIYRILKESSDGPAVITIERKEIKSGLLDLKLTAFDQHNKAILVNDTVRVLDGPSKGKQGIVRHIYRGIVFLHDGNEEENGGYVTCKSNMCEKVKLDAGDFSGKDSEPGPLFFEDQPSSPRSPLSPKKPWQARQNNREFNRGDSNNMFNIGQTLRIRIGPLKGYLCRVIALRRSDVTVKLDSQQKVLTVKCEHLSEVQGKSAAISSSGDPDASSSKPFELLGGEGSSGGWLSGVGTSTASGGWNAAGASSERGTWSNDSAPTLLKPESSLNPFSSKGAEDSAWETKSNSNQNSAWVAAVEKTGVASNPEQSGGCWGNGGGSWGQAERKTGSVGDDNQNSNWNTTNVSEKESSGWNSVQKSNETSLTGWGDGNGFKSGSDEGNLTSNWRGWKSETSGVKVGNPSGSSDINANKDAGWSNTGSSAVAENSGSDLGKDSKWNAVSDGTDGNQGNGWGQKSSWNSGSQNDSNEKKSNSGNSHWGSGRTEPGNQDSNLDRKSNWNSGSSGNWASDPKNSNWNSGSDNSNENPDRGNNNNWNSNKPFSSAGNESKNSDWSSRHPDTGNNDRSWGKKSNLNSGSDNSNENPDRGNDDNWNSNKPFSSAGNESKNSDWSSRHPDTGNNDRSWGKKSNWNSGSGDADQNNSWKSNNSWSAGSSDNQLNGENEGSNENSRGVGGGNRRGWNSGSGDANQNNTSKSNSSWSAGSSDNQLNGENEGSNENSRGAGGGNGRGGYQGRGGSDRGGFRGRGFRGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGDRGGFGGRGRSDREGFGGRWGSGGGRGRGRSDQSGSWNNRRDSGEDGSSDWKKGSDNVEGWSNSNGSQAWNQDFGDKKRKSWSQGNADKEHPSWNQGGGSEQRWSSASDGANDNRSQAWNHDTGNKDKQSWSQGNADKESPSWNQGGGNKPKWSSAEGRANDSGWNQGGGSKQGWSLDSGGANDNGSQAWNQDTGGNYRQSGSQGNANNWSSATGGQANNSGWNQGGGSKKSWSSVSGEANDNISQAWNQGNADKERSGWNQGANNQSWSSASGANDNWNNNDSRQATGASLKKSTAEETNIQDGGWNKGSTSNTTTGVLKWGQSSSAADNGQSSSWKKESAEGAAGSWGKKNDGDVKGGW >Vigun02g075800.1.v1.2 pep primary_assembly:ASM411807v1:2:22750715:22764222:-1 gene:Vigun02g075800.v1.2 transcript:Vigun02g075800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDTDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRILKKVPDLAENFINPATALLREKHHGVLITGVQLCTDLCKISTEALENIRKKCTDGLVRTLKDLANSPYSPEYDIAGITDPFLHIRLLKLLRVLGEGDADASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTADGQAVQRHRATILECVKDSDASIRKRALELVYVLVNDTNVKPLAKELIDYLEVSDQDFRADLTAKICSIVAKFSPEKIWYIDQMLKVLSEAGNFVKDEVWYALIVVITNASELHGYTVRALYRAFQTSAEQETLVRVTVWCIGEYGDMLVHNVGMLDIEDPITVTESDAVDIVEIAINRHASDLTTKAMALVALLKLSSRFPSCSERIREIIVQFKGSFVLELQQRAIEFNAIIAKHQNIRSTLVERMPVLDEAAFIGRRAGSLPGAASTTTVPSVSLPNGVAKPVAPLVDLLDLSSDDAPAPSSSGGDFLQDLLGVDLAPASQQSGAGQASKSGNDVLLDLLSIGSASAPTSSSTVDILSSDSSNKAPVLPLDDLSSVSLSSKASSNAAPMMDLLDGFAPSPPTENNGPVYPSITAFESNSLRLTFNFSKQPGNPQTTVIQATFTNLTSNTYTDFVFQAAVPKFLQLHLDPASSSTLPAAGNGSITQNLKITNSQHGKKSLVMRTRIAYKINGKDTLEEGQVNNFPREL >Vigun06g142800.2.v1.2 pep primary_assembly:ASM411807v1:6:26862542:26865991:1 gene:Vigun06g142800.v1.2 transcript:Vigun06g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTANAILPPRFSFSTTHADSVRNLKSFVPFPRFIIRAQREFERMRGLRPRATVSDAGSCEAVDESRMHRVCDKLIGVFMVDKPTPTDWRRLLAFSREWNNLKPQFFARCQERADAEEDPAMKEKLLRLARKLRQIDEDVQRHNDLLEVVKQDPSEISEIVSKRRKDFTEEFFIHLHTVAESYYDNKEKQNELAKIGNACLAAVQAYDAATESLEQVNAAELKFQDIISSPSLDAACRKIDNLAEKKELDSTLVLMITKAWSAAKESDMMKEEVKDILYHLYKTAVGNLQKLVPKEVRIVKYLIQIDDPEEQLSALKDAFTPGEELEGKDVDNLYTTPEKLHTWIKGVVDAYHLSREGTLIREARDLMSPEIIQKLEVLKMVIERNFM >Vigun06g142800.1.v1.2 pep primary_assembly:ASM411807v1:6:26862504:26866091:1 gene:Vigun06g142800.v1.2 transcript:Vigun06g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTANAILPPRFSFSTTHADSVRNLKSFVPFPRFIIRAQREFERMRGLRPRATVSDAGSCEAVDESRMHRVCDKLIGVFMVDKPTPTDWRRLLAFSREWNNLKPQFFARCQERADAEEDPAMKEKLLRLARKLRQIDEDVQRHNDLLEVVKQDPSEISEIVSKRRKDFTEEFFIHLHTVAESYYDNKEKQNELAKIGNACLAAVQAYDAATESLEQVNAAELKFQDIISSPSLDAACRKIDNLAEKKELDSTLVLMITKAWSAAKESDMMKEEVKDILYHLYKTAVGNLQKLVPKEVRIVKYLIQIDDPEEQLSALKDAFTPGEELEGKDVDNLYTTPEKLHTWIKGVVDAYHLSREGTLIREARDLMSPEIIQKLEVLKMVIERNFM >Vigun10g041900.1.v1.2 pep primary_assembly:ASM411807v1:10:5810602:5814675:-1 gene:Vigun10g041900.v1.2 transcript:Vigun10g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSSSSKLPRNYDVLINFTGEDIHRKFVSHLEFAFSTVGLTTFLHHQNAVKSTHIQQPILNLCRVAIVVFTKTYSQSAWCLNQLQQIIKWHQTYCRHVLPVYYEIQPSDVRLQKGDFGKTLKATARQTFLGQQLEDGLSRWSQALTKAANFFGWDESNHWSDAELVDNIVKSVLNLPVLSVTKFPVGLQYRVQDVIQTIKDKSEEVCIIGIWGERGSGKTTLARAIYHQIHGTFTEKSFVEDISEVNLTRGYVSLQRQLLSDVLKRKVEIHSVEMGRKMTMERLSGKRVLIVLDDMNEYGPFFDLCRYRKRLSGGTVIIITTTDRDLLVNHSDSVFSIQLMNDMESIELLSWHAFREAKPKQEYEDFARRVVRYCGGLPLALEVIGSTLFETTEEEWCSVLFELEENPQHSVIHKLKISFDCLRNQMEKDLFLDVCCFFVGKDRAYAMKILNGCVVDADSGIRVLIERSLIKVSKNNKFGMHPLLRKMGRQISLDILGNKHGKNIELWFDKDVEYALPHNTLLSSQKTEVIQRLVLTLRDFSELNPLDLRDPQTKFTEDPDYLYEKLRWIRLQGFSSEYLPNDYGRGDTIAIDLKHSLLQRVWKQPQVLRSLKFLNLSHSMHLKETPNLSGLPCLEQLILKCCPKLRKVHQSIGCLCNLLLLNLKDCTSLSNLPRGIYKSKSLRTLILSGCSKIEIMDKDITQMESLITLIAENTAVKSLPFSIVSSKSIGYISTHQFEGLSRSFFLLSFGLGCRQQ >Vigun04g178600.1.v1.2 pep primary_assembly:ASM411807v1:4:40210498:40217566:1 gene:Vigun04g178600.v1.2 transcript:Vigun04g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSRRGTPWASSILPSSNPKSKVLRKGRRRGWLTDFIFSNFFTIGLVISLSLFLLILLRFGVPKPIATHFRTRSSRARKSFGRRPLPNVLNTSALAGAGPVDITTKELYDKIEFLDVDGGAWKQGWSVTYRGNEWDAEKLKVFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVQTLTKDSRRKFIWEEMSYLERWWRDAPDEMKESFINLVKNGQLEIVGGGWVMNDEANSHYFSIIEQVTEGNMWLNDTIGFVPKNSWAIDPFGYSSTMAYLLRRMGFDNMLIQRTHYEVKKELAWHKKLEYIWRQSWDTDETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMQGFVYEQCPWGQYPVETTQENVQERALKLLDQYRKKSTLYRTNTLLVPLGDDFRYINVEEAEAQFRNYQMLFDYINSNPSLNTEAKFGTLEDYFVTLREEAERINYSLPGEIGSGLVEGFPSLSGDFFTYSDRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEMMVALILGCCRRSHCEKFAMGFSYKLTAARRNLALFQHHDGVTGTAKDHVVMDYGMRMHTSLLDLQIFMSKAVEALLGIRYDKLDHSPSQFEPAIIRSKYDAQPLHKVIGVHDNSYQSVVFFNPLEQTSEEVVMLVVDSPDVTVVDSNWSCVQSQILPELQHHNSKIFTGKHRLYWEVSVPALGLETYYISNGFDECEKAKPAKLKIFSKSNSIACPKPYSCVKIEADEVEIENQNHKLTFDVKYGLLQKIISKNNSPNTVKEEIGLYSSSGGAYLFKPNGDAQPIIEEGGQLLVSEGPLMQEVYSYPRTTWEKAPISHSTRIYSGESTVQGFVIEKEYHVELLGHEFNDKELIVRYKTDIDNKKVFYSDLNGFQMSRRETYDKIPLQGNYYPLPSLAFIQGSNGRRFSVHSRQSLGVASLKNGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHLTLETNVSATPNLASTPFPYSPSLLSNCVGSHLNYPLHTFISKKPQDKSEKPPPRSFSPLAAPLPCDLHIVNFKVPKPLKFLQQPLEGPRFVLILHRRHWDSSYCRKGRTQCTNLGDVAVNLFSMFQDVTVSKAKATSLNLLHEDPEVMGFSEQFGDVAQEGHVAISAMEIQAYKLELRPQQ >Vigun10g149250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36763583:36763936:1 gene:Vigun10g149250.v1.2 transcript:Vigun10g149250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEIPIRPWPRLASLYSPAQSHLASQTTEESRNKGIRIELSDSDKGNGIRMVTVAGKNRGAKMQTNQSQKKRLNMSVVYANSIVQCISNSMVFNSSCTHRNPGMNLITSEKPFGQG >Vigun11g129800.1.v1.2 pep primary_assembly:ASM411807v1:11:33737571:33740680:1 gene:Vigun11g129800.v1.2 transcript:Vigun11g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKQSYEQHQQQTNVLRVRNSGMISVNQSPMRDDKEEEMSRSALAMFRAKEEEIERKKMEVRDKVHAYLGRVEEETKRLAEIREELEGLTDPLRKEVAMVRKKIDSVNKELKPLGQTCQRKEREYKEALDAFNEKNKEKAQLVTKLMELVTESEKLRMKKLEELSKNVDTLN >Vigun09g119500.1.v1.2 pep primary_assembly:ASM411807v1:9:26361966:26363829:1 gene:Vigun09g119500.v1.2 transcript:Vigun09g119500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTSEADVQVPLKLLVNKCTNKVIFAEANKDFVDILFSFLMLPLGTIARLVEKDSNIEPLTIGCLHSLYHSVQNLDNQCFCTQECKQMLEQPRNIPEDYCNTLKLNIDDPQSKEYFICSKSDPGKHLLSPFKTELNCSCGSPLTRVFFKHSFSGFVHDNATFVITDDLVVIPNSVSKLNLAVLQNSGIKDPSEVQEMTVNVTKEKILDLLKCSLISKSCLTYLLSEKKPILDRSTFSLCSVEYDKNIEISLNLVIRKSDGKLLYAQGDNHFADMILSFLTFPLGGVIRILGGNCSLGCIDKLYKSIVDLEENIYWMSEVVKKRLIDPSLVSSVLLTNHIFPFFHPNHYKFCTDYVDFSSGDGTVNMSCVKNDGRYYSVTPTVWYLQMFLYGFGYVKGPQTYLVTDDLVVAPFSTTSVLNLINCSKTPLSDLKEKNVVIGFKECINILKASLTSTSALTNGLDHFFTDDKGRIDT >Vigun05g258200.1.v1.2 pep primary_assembly:ASM411807v1:5:45199389:45201745:1 gene:Vigun05g258200.v1.2 transcript:Vigun05g258200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSMRSVTGFFARQWLVQSIRRTPCGTVTLKLFCAQATQNQDSLSRRIERLPKEESVGSAFRSWMRDGFPVHGGDVFHSINRLRKLNKNKRALQVMEWVIRERPYRPRELDYSYLVEFTIKLHGISHGEKLFSRIPVEFQNELLYNNLVIACLDKGVIRLSLEYMKRMRELRFPISHLVFNRLIILHSSPGRKKLIPKLLTQMKADKVPPHVSTYNILMKIEASEHNLENLLKVFCRMKEAQVEPNEISYCILAIAHAVARLYTATEAYVEAVEKSITGNNWSTLDVLLMLYGYLGNQKELERIWNIIQELPSVRSKSYMLAIEAFGRIGQLNRAEEIWLEMKSSKGLKSVEQFNSMISVYCKHGFVDKAARLYKDMKANGCKPNAITYRQLALGCLRSGMAEQALKTLDLGLRLTISKRVRNSTPWLETTLSIVEIFAEKGDVENVERLFEEFHKAKYCRYTFVYNTLIKAYVKAKIYDPNLLKRMILGGARPDAETYSLLKIAEQFRT >Vigun09g127000.2.v1.2 pep primary_assembly:ASM411807v1:9:28183382:28190330:-1 gene:Vigun09g127000.v1.2 transcript:Vigun09g127000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEEQDDRDSSRKKKETERSYRKREEIDEASEERRSKRSRGDDENGSKKDKDREERDRERHRSSRDRDRDRDRDGERSSRDRDRDRDREKERDKERDRRDRDKDREKREKGEKEKERERDKDREKERDRDRDRRDKEREERERSRRSRSRSERERERERDFDTRDTRRFRDKKEAAEPEADPERDQRTVFAYQMPLKASERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNATSGAAGVVGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGPVELVQLPLDLETGHCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGNQDTTAKSADFDDDEGGLALNAQSRALLMQKLDRSGIAASIGLPVGNGSTPAQQAIMPIGNPGVIPAPAVPTQIVPTPVIEPVGNPSECLLLKNMFDPNTETEPDFDLDIKEDVEEECSKYGRVKHIYVDKSAGFVYLQFETVEAASAAQRAMHTRWFAGRMISAIYMQPQIYEAKFKGDA >Vigun09g127000.1.v1.2 pep primary_assembly:ASM411807v1:9:28183382:28190323:-1 gene:Vigun09g127000.v1.2 transcript:Vigun09g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEEQDDRDSSRKKKETERSYRKREEIDEASEERRSKRSRGDDENGSKKDKDREERDRERHRSSRDRDRDRDRDGERSSRDRDRDRDREKERDKERDRRDRDKDREKREKGEKEKERERDKDREKERDRDRDRRDKEREERERSRRSRSRSERERERERDFDTRDTRRFRDKKEAAEPEADPERDQRTVFAYQMPLKASERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNATSGAAGVVGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGPVELVQLPLDLETGHCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGNQDTTAKSADFDDDEGGLALNAQSRALLMQKLDRSGIAASIGLPVGNGSTPAQQAIMPIGNPGVIPAPAVPTQIVPTPVIEPVGNPSECLLLKNMFDPNTETEPDFDLDIKEDVEEECSKYGRVKHIYVDKRSAGFVYLQFETVEAASAAQRAMHTRWFAGRMISAIYMQPQIYEAKFKGDA >Vigun03g348400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54849926:54851355:-1 gene:Vigun03g348400.v1.2 transcript:Vigun03g348400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRNSISYACLPSSSCQTFKTHTSSSSKASLFLFPKTSLHAIIPISFYFIMALIIRLRHLLTFILWLFLFSLLFHRCFHFKSNQLHPNRKILATPATGFDFTPFLHNHHHRHHHRRHRHRTHMPDANQPEIDPRYGVEKRLVPTGPNPLHH >Vigun06g010600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:4867224:4868187:-1 gene:Vigun06g010600.v1.2 transcript:Vigun06g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAHLLLLFAVLLFLKTSHAIRTNKFSSSSSSSTPLHPWPNSPITRVPEFQFQKRTVPTGSNPLHNKR >Vigun08g074200.3.v1.2 pep primary_assembly:ASM411807v1:8:12727655:12728234:1 gene:Vigun08g074200.v1.2 transcript:Vigun08g074200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFVILVVMEVKTLYVKNLPGNITQDRLKELLEHYGKITKVIAPSSKAGQEKSKYGLCTLLKDQVP >Vigun10g080200.1.v1.2 pep primary_assembly:ASM411807v1:10:22073585:22077649:-1 gene:Vigun10g080200.v1.2 transcript:Vigun10g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVDRSASDEDLKKAYRRLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGFSGGSDGGSTTFRFNPRSADDIFSEFFGFSSPFGMGDMGGRAGPSGFHRFGDDIFTSFSRNAVGEGSANVPRKSAPIERTLQCSLEDLYKGTTKKMKISRDVVDPSGRPNTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVTPADLVFIIDEKPHGVFKRDGNDLVVTQKITLVDALTGYTAQLTTLDGRNLTVTTNSIISPTYEEVIKGEGMPIPKEPSKRGNLRIKFNIKFPSRLTSEQKAGIKRLLTSP >Vigun06g154800.1.v1.2 pep primary_assembly:ASM411807v1:6:27854031:27856310:-1 gene:Vigun06g154800.v1.2 transcript:Vigun06g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVAQQAPATVQDTETTEVSKTVETTPEEVVSEVPATEPPAAEVAAPEQPADEVPAPESTTEEAKKETTEAAAETTTTEETPDETENQVPDEVVSEERETKETEEAVAEEEKSAEEKSDEVKEEATAEETKASTETEAATTNEENKAGEAVEEVPVVEKTEA >Vigun09g090666.1.v1.2 pep primary_assembly:ASM411807v1:9:12559672:12559987:-1 gene:Vigun09g090666.v1.2 transcript:Vigun09g090666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILLKERGAFGNADTGGAWLSSARATTGHKSEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGL >Vigun07g025100.1.v1.2 pep primary_assembly:ASM411807v1:7:2258943:2262533:-1 gene:Vigun07g025100.v1.2 transcript:Vigun07g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTNFARLFHSTNHLQRKAHTFWESRCNDHSRRFGRLRAKQTLLRDVNAYAQFMFQSWKEDVDEDDSSPSQGSSWFRKHSGRHRNGNQRAKHRFRRGHEFCKDDFDVETGFHSAFGGNRSFYWSFINEENPQRRSGGFYNNRKSQKWRHQSENEYGKSTKAESDCSHSDLVSYRLALGLSASGPLRLEDVKNAYRISALKWHPDRHHGVSKVIAEEKFKHCSAAYQSLCDKLTLY >Vigun07g287500.1.v1.2 pep primary_assembly:ASM411807v1:7:40031776:40035398:-1 gene:Vigun07g287500.v1.2 transcript:Vigun07g287500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETPAIKYEEEHILNSRGMKLFTCRWLPANRSPKALIFMCHGYAMECSVTMNSTGIRLSQAGFAMFGIDYEGHGKSEGVPGLVMNFDRVIDDCLQHFSTISEKAEYKNKMRFLMGESMGGAVALLLHRKEPQFWDGAILVAPMCKIAEEMKPNTMVIRVLNALSRVVPSWRIVPGPDIIDIAFKVPEVREEIRENEYCYKGRPRLRTASELLRISTEIESRLNEVSLPFLVLHGEEDQVTDKAVSKQLHEVAASSDKTLKLYRGMWHGLLYGEPPENLNIVFADIIRWLEKKTQFGNARLERELKGEHELAMRSDL >Vigun04g008700.2.v1.2 pep primary_assembly:ASM411807v1:4:624791:633683:-1 gene:Vigun04g008700.v1.2 transcript:Vigun04g008700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWLKAAEGLFEVVDRRAKAVVTDLSEEQSELKSPASNGQASLGKRAKSKPKAQKELSNSSTTISDTTKEKSGSPIAPVVITTSTDQVDPENDGITSQSTDQPRESRPSDATSPLFDTSLSNMLDDDDVAKHDKDDAEALVNDANVGVATVAANGDPPQENASDTREMNPLPAPKGIESPRDEPTSAGQIIKSGDSDGNKNMDQEKSESVAAEASPDNDTILKDSDVKKVESVEDRINAEDHKTDISPKKVQDQLDEAQGLLKTTKSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLTAERELGKSYEASIKQLQKDLSESKREVTRVEANMAEALAAKNAEIEALLSSMDAVKRQAALSEGNLASMQASMESMMRSRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARIQRVADERTAKVTELEQKVALLEVECASLNQELQDMEVRVRRELKKSPEEANQVIQMQAWQDELERARQGQREAENKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMVSEKAAAEFQLEKEIKRLQEARAEAERNKLTQWLPERLRNLWDSLTRIYDD >Vigun04g008700.1.v1.2 pep primary_assembly:ASM411807v1:4:624791:633683:-1 gene:Vigun04g008700.v1.2 transcript:Vigun04g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWLKAAEGLFEVVDRRAKAVVTDLSEEQSELKSPASNGQASLGKRAKSKPKAQKELSNSSTTISDTTKEKSGSPIAPVVITTSTDQVDPENDGITSQSTDQPRESRPSDATSPLFDTSLSNMLDDDDVAKHDKDDAEALVNDANVGVATVAANGDPPQENASDTREMNPLPAPKGIESPRDEPTSAGQIIKSGDSDGNKNMDQEKSESVAAEASPDNDTILKDSDVKKVESVEDRINAEDHKTDISPKKVQDQLDEAQGLLKTTKSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLTAERELGKSYEASIKQLQKDLSESKREVTRVEANMAEALAAKNAEIEALLSSMDAVKRQAALSEGNLASMQASMESMMRSRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARIQRVADERTAKVTELEQKVALLEVECASLNQELQDMEVRVRRELKKSPEEANQVIQMQAWQDELERARQGQREAENKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMVSEKAAAEFQLEKEIKRLQEARAEAERNKVSRRASSSWEDETEIKSLEPLPVHHRHLVGASIQLQKAVKLLDSGAVRATRFLWRYPTARVLLFFYLVFVHLFLMYLLHRLQEQADTMAAREVAESMGLANQNIR >Vigun05g116301.1.v1.2 pep primary_assembly:ASM411807v1:5:12391058:12392000:1 gene:Vigun05g116301.v1.2 transcript:Vigun05g116301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNSHGNFIHHFVLIIHTCNHPINQVSKKSTFFASKSIFKSIQKGFPRCTRSQAPCWCKASSFASSVIPISCCSFFCCFFFPLTLLLMIMKQLGMFVWFFQVEFPKVEPQFKPPFLGFTRTAEIWNSRACMIGIIGVFVVEFIINKGILQVIGVDVGKGLNLPL >Vigun09g162200.3.v1.2 pep primary_assembly:ASM411807v1:9:32986963:32990933:-1 gene:Vigun09g162200.v1.2 transcript:Vigun09g162200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGKVMVGMDGHGSKHDEQAFNVSLDNMHQQGDSKCFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSAITYFTSLLLTDCYRTGDPLTGKRNYTYMDAIRSNFGGNCFKVKMCGLVQYVNLFGISIGYAIASSISMMAIERSNCFHKSGGKNPCHMNSNMYMISFGIVEIIFSQIPGFDQLWWLSFVAAAMSFTYSTIGLGLGIGKVIENRKVRGSLTGITVGNVTQTNKVWRTMQALGDIAFAYSYSFVLIEIQDTVKSPPSASKTMKKASFIGVAVTTFFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGSYQVYSQPLFAFIEKNAAHRFPDSDFVNKEIEISVPGFHSYKLNLFRLVWRTTYVVLSTLIAMLLPFFNDIGGLIGAFGFWPLTVYFPVEMYITQKRIPKWSSKWICLQTLSIACLLMTVGAGVGSVAGILIDLKTYKPFKTNY >Vigun09g162200.2.v1.2 pep primary_assembly:ASM411807v1:9:32986961:32990957:-1 gene:Vigun09g162200.v1.2 transcript:Vigun09g162200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMDGHGSKHDEQAFNVSLDNMHQQGDSKCFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSAITYFTSLLLTDCYRTGDPLTGKRNYTYMDAIRSNFGGNCFKVKMCGLVQYVNLFGISIGYAIASSISMMAIERSNCFHKSGGKNPCHMNSNMYMISFGIVEIIFSQIPGFDQLWWLSFVAAAMSFTYSTIGLGLGIGKVIENRKVRGSLTGITVGNVTQTNKVWRTMQALGDIAFAYSYSFVLIEIQDTVKSPPSASKTMKKASFIGVAVTTFFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGSYQVYSQPLFAFIEKNAAHRFPDSDFVNKEIEISVPGFHSYKLNLFRLVWRTTYVVLSTLIAMLLPFFNDIGGLIGAFGFWPLTVYFPVEMYITQKRIPKWSSKWICLQTLSIACLLMTVGAGVGSVAGILIDLKTYKPFKTNY >Vigun09g162200.1.v1.2 pep primary_assembly:ASM411807v1:9:32986961:32990957:-1 gene:Vigun09g162200.v1.2 transcript:Vigun09g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGKVMVGMDGHGSKHDEQAFNVSLDNMHQQGDSKCFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSAITYFTSLLLTDCYRTGDPLTGKRNYTYMDAIRSNFGGNCFKVKMCGLVQYVNLFGISIGYAIASSISMMAIERSNCFHKSGGKNPCHMNSNMYMISFGIVEIIFSQIPGFDQLWWLSFVAAAMSFTYSTIGLGLGIGKVIENRKVRGSLTGITVGNVTQTNKVWRTMQALGDIAFAYSYSFVLIEIQDTVKSPPSASKTMKKASFIGVAVTTFFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGSYQVYSQPLFAFIEKNAAHRFPDSDFVNKEIEISVPGFHSYKLNLFRLVWRTTYVVLSTLIAMLLPFFNDIGGLIGAFGFWPLTVYFPVEMYITQKRIPKWSSKWICLQTLSIACLLMTVGAGVGSVAGILIDLKTYKPFKTNY >Vigun09g162200.4.v1.2 pep primary_assembly:ASM411807v1:9:32986905:32989841:-1 gene:Vigun09g162200.v1.2 transcript:Vigun09g162200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMDGHGSKHDEQAFNVSLDNMHQQGDSKCFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSAITYFTSLLLTDCYRTGDPLTGKRNYTYMDAIRSNFGGNCFKVKMCGLVQYVNLFGISIGYAIASSISMMAIERSNCFHKSGGKNPCHMNSNMYMISFGIVEIIFSQIPGFDQLWWLSFVAAAMSFTYSTIGLGLGIGKVIENRKVRGSLTGITVGNVTQTNKVWRTMQALGDIAFAYSYSFVLIEIQDTVKSPPSASKTMKKASFIGVAVTTFFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGSYQVYSQPLFAFIEKNAAHRFPDSDFVNKEIEISVPGFHSYKLNLFRLVWRTTYVVLSTLIAMLLPFFNDIGGLIGAFGFWPLTVYFPVEMYITQKRIPKWSSKWICLQTLSIACLLMTVGAGVGSVAGILIDLKTYKPFKTNY >Vigun04g077700.1.v1.2 pep primary_assembly:ASM411807v1:4:10671357:10673013:1 gene:Vigun04g077700.v1.2 transcript:Vigun04g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIKLEPSNTILIRVEPGQKCHGKITLHNVMHTMPVAFRLQPLIKTRYVVKPYSGIISPLATLTVEIIYHTPSTTTTTILHHSNDSFLLHSVLVPGAAIREPSSMFDAVPSDWFKKKQVFTDSGIRVMFLGSHILSQLVSLGENDEVREALERSDPSWRIVDSTDPRGQTLLHLAIAQGRPDLVQLLLEFEADTEATNRSGLTPLEAAASCNETLIVELLLARRAITERAEMAAFGPIHHAAGGGHVEVLRLLLLKGAKVDSLTKDGNTALHVAVEERRRDCVRLLLANGARTDLKNAREGDTPLHMAAAAGDESMVKLLLQRGNNADKDVRNAQGKTAYDVAVENGHERLYDALSLGDKLCTAAKKGEVRTIQKLLEKGADLNGRDQHGWSALHRASFKGRIDVVKVLVESGVEVDAKDEEGYTALHCAAEAGHADVTEFLVKKGADVEARTRKGVSALQITESLNYVGITRVLVNGGASRENSDRVAAFKSSKIMEGGGVVKKRRGLNNGAAFGRSLALAVL >Vigun03g029700.1.v1.2 pep primary_assembly:ASM411807v1:3:2230792:2234981:-1 gene:Vigun03g029700.v1.2 transcript:Vigun03g029700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLQSKACQASQLVAKHGSNYYRQLLEQNKQYIQEPPTVEKVNLLSKQLFYTRLASIPGRTESFWKELDYAKNLWKSRKDLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYF >Vigun02g111300.1.v1.2 pep primary_assembly:ASM411807v1:2:26508271:26512390:1 gene:Vigun02g111300.v1.2 transcript:Vigun02g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRICPNNHNWKKLLTKARNLISVGYASAAMGDIQIHKTKLCIIGSGPAAHTAAVYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGGELMENCRKQSLRFGTEIHTETVSKVDFSNRPFRVFTDSRTVEADSVIVATGAVAKRLPFAGSGDGPDGYWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSEVYIIHRRDTFRASKIMQSKVMNNSKIKVIWNSVVVEAYGGGDNKRVLGGLKVKNVVTQEVSDLKVSGLFFAIGHEPATKFLDSQLELDSDGYVVTKPGTTKTSVEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQDVGVQQDKSD >Vigun04g062800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6679521:6681376:-1 gene:Vigun04g062800.v1.2 transcript:Vigun04g062800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVIQVCSVAPLHEPTLVPTSLPLTFFDLLWLRFPPVQRLFFYPFPHPTSSFLHSLLPSLNHSLSLTLQHFLPFAGTLTWPSHSPKPIINYLPGDTVSFTVAESDQNFNLVSSHLCEASQRQDLAPHLANSHDKASLLAVQVTVFPNAGFCIGVTTHHAAFDGKSSSMFIKAWAYLCSNLQNPSTPTPSLPQHLTPTFNRSLIRDPSGLAELYANQWMNHNGSNNRSLKAWESLTETPGDGLKGLFELTPSQIKKLKQKGNSKVKVAVHLSTFSVTCAYVLACLVKANKVKEENVLFIFSVDCRMRLDPPIPATYFGNCVRGQFVVALTKELVGKDGFVCALEGIVEALNRVKEEGVLNGAERWVSIMHDPGKSRVISTAGSPLFEVYSIDFGWGRPKKVDMVSTDKTGAFSLSESRDISGGIEIGLVLPKSAMEDFATIFVQGLDFL >Vigun02g093800.1.v1.2 pep primary_assembly:ASM411807v1:2:24891945:24892499:-1 gene:Vigun02g093800.v1.2 transcript:Vigun02g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPSPKLPKLETLLSDEDDAPKPHRLSSPPPSPHKFVHFIPVLTLLCFFILYLFSHAPSPSDLNHFSRFNRSPSHHLDLPDKIGGDIGHYMDVKRSDVLAIRTLQQIPKSRSHRKLADY >Vigun03g051000.4.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEYNGGQIWEVDQRQKFLHPYGYANNTGSFN >Vigun03g051000.1.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEKLNNAASPSLLACVEQCRPNQAIAFADTTTLTTTTTTFPTANNDDYLLDYSSSSFLQPHRPNLFFEYNGGQIWEVDQRQKFLHPYGYANNTGSFN >Vigun03g051000.6.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEHPHHY >Vigun03g051000.5.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEHPHHY >Vigun03g051000.3.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEYNGGQIWEVDQRQKFLHPYGYANNTGSFN >Vigun03g051000.2.v1.2 pep primary_assembly:ASM411807v1:3:4118690:4122019:-1 gene:Vigun03g051000.v1.2 transcript:Vigun03g051000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSQYYHPFLLHSISSLGDQHQQQPLINTPSPSFHNQTSLNTLTNQDSTSDIKNHSPQTSMVVDKLENGEQVTQKVTSLHKKRRPKNGPSSNSAEGANKKQKNSNGGDQGENKEKEVKKDQKKGSEDPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPMFFDLATDLDSLSVKPDEKLNNAASPSLLACVEQCRPNQAIAFADTTTLTTTTTTFPTANNDDYLLDYSSSSFLQPHRPNLFFEYNGGQIWEVDQRQKFLHPYGYANNTGSFN >Vigun01g043000.2.v1.2 pep primary_assembly:ASM411807v1:1:6058332:6074056:-1 gene:Vigun01g043000.v1.2 transcript:Vigun01g043000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTSLLCLLSLAIATLLSNGAATATKSVKPSHYSSTFNRTLFPSTFIFGLGSSAYQAEGATKVDGRGACIWDTFTQHHPEKIWDHSTGDVGADFYHGYKSDIKLVTQIGIDSFRFSISWSRIFPSKGTVNHLGVKFYNNLINEIISNGLIPFVTLFHWDFPQALEDEYGGFRSHKVVEDFRKYADFCFKTFGDRVKHWVTLNEPLSYSLNGYNGGTFAPGRCSKYAGNCPAGDSATEPYIVGHNLLLAHESAATLYKTKYQGHQKGQIGITLPTHYFLPKSNSAADEGAASRALDFFFGWYGHPVTYGDYPESMKWSVGARLPKFTKSESEGLRKSIDFLGVNYYTTYYAENAAPVTTNRTFYTDMLATLSTEKNGEAIGTPTDLDWLFVYPKGIYHLMVYIRDKYKNPNIYITENGVAKARNDSIPVDEACKDGIRIRYLIGHLHFLLQSIREGVNVKGYYAWSFSDSFEWDAGYTVRFGQIYVDYNNNMKRYLKFSCLWLKKFLLK >Vigun01g043000.1.v1.2 pep primary_assembly:ASM411807v1:1:6058341:6074056:-1 gene:Vigun01g043000.v1.2 transcript:Vigun01g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTSLLCLLSLAIATLLSNGAATATKSVKPSHYSSTFNRTLFPSTFIFGLGSSAYQAEGATKVDGRGACIWDTFTQHHPEKIWDHSTGDVGADFYHGYKSDIKLVTQIGIDSFRFSISWSRIFPKGKGTVNHLGVKFYNNLINEIISNGLIPFVTLFHWDFPQALEDEYGGFRSHKVVEDFRKYADFCFKTFGDRVKHWVTLNEPLSYSLNGYNGGTFAPGRCSKYAGNCPAGDSATEPYIVGHNLLLAHESAATLYKTKYQGHQKGQIGITLPTHYFLPKSNSAADEGAASRALDFFFGWYGHPVTYGDYPESMKWSVGARLPKFTKSESEGLRKSIDFLGVNYYTTYYAENAAPVTTNRTFYTDMLATLSTEKNGEAIGTPTDLDWLFVYPKGIYHLMVYIRDKYKNPNIYITENGVAKARNDSIPVDEACKDGIRIRYLIGHLHFLLQSIREGVNVKGYYAWSFSDSFEWDAGYTVRFGQIYVDYNNNMKRYLKFSCLWLKKFLLK >Vigun11g212301.1.v1.2 pep primary_assembly:ASM411807v1:11:40719765:40737059:-1 gene:Vigun11g212301.v1.2 transcript:Vigun11g212301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYTLNMICPSLFVCSHPHWHDVSWLLRAKNSINYSGAWCLKFNITSGVDRFKRPFAHLEEHYGNSERSTPLHCRDNMSPYLGSDATGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPEQEAIKEINDETMDGVTCCCPPCLILILVLLRTFFHALIL >Vigun03g337700.1.v1.2 pep primary_assembly:ASM411807v1:3:53568652:53572797:1 gene:Vigun03g337700.v1.2 transcript:Vigun03g337700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAEDRSEIVFFDVETSVPTRTGQGFAILEFGAILVCPKTLTELRNYSTLIRPADLSVISPLSQRCNGITADAVATAPTFADIAHLVYDLLHGRIWAGHNIIRFDCVRIRDAFAEINQPPPEPKGTIDSLVLLTQKFGRRAGDMKMATLATYFGLGRQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTANSWVSPNTMTRSRSNGKSPSQGALSNINEGSVLSSPAVESKDKSHPIISLAMSGPKGDALNNADPNIVQSDPFNLSALEEEIKREPIKSDVSMVEKPMQESPDWASSSSVFQPCSTSILVLEPDGICVPSIDASLVPSFQGSQRIELLHEGFPFQLHCTDLKVRFGISTKFFDTAGRPRLNFVVDSSPSLCNVLDACDHVAQKLTVDSGSSSDWRPVVIRKEGFFNYPTVRLHIPTAVVADVAFYATEIYQKESSGAVQRLLFSKFDAAELGSLFMPGTFVDAFFSLDPYDYQQNAGIKLVAKKLIIHCK >Vigun10g048400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7338530:7340107:-1 gene:Vigun10g048400.v1.2 transcript:Vigun10g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNSKTHRHTLLVLTITISMAVLGSEELLLAFVLFVLIYYWRLNRHTPITKWPVLGMLPGPFLNVSIIFDYVTTALKNNGGNFMFEGPWLSNMNIFVTSDPFNVQHITSTKFENYGKGDDFREIFEVLGDGIFRSDSELWKYNRSILHSAFKKVNFQLFIQQTIKNKIESCLLPFLEHAWKQGTEVDLQEAFQRLTFDIISSIVLGFDPTCLSIGFPEVATEKAFSEIEDALLYRHLMPRFLWKLLNWFQLGKEKTVKENIEIIDQMLYKEIKSRSRVQGQSSGNSTLEDEPRFSLIDVLISEVGEGKMDDKFLRDTAINMLAAGRDSISAGLTWFFWLVATHPSVESKILEEIREKLPAREGNGKDFGVKWLSKLTFLHAALSETLRLYPPVPLEHKSALKSDVLPSGHWINSNTMIVYSLYSMGRAEEIWGEDCLKFRPERWISKSGGIIHMPSHKFIAFNAGPRSCLGKEISFIEMKMIAANILWNYRIHLVEGQAISPRVSVVLLMKHGLKVTVTKRNI >Vigun05g253900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44847985:44851335:-1 gene:Vigun05g253900.v1.2 transcript:Vigun05g253900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRRNLGFFLCVLSIFPLVCFSATFVPVDNYLIDCGASANTTVGRRNFTADNFFKDLLSTQEDILANSSLKSSTSSSSDDSPLYQTARIFNGPSKYTFRINQKGRHWIRLYFFPFTYQKYNLSAANFTVATQDHVLFSSSSLQKDPQMKEYSVNVTSDNLVITFAPSGNSTAFVNAIEVVSVPDDLIVDDFSALVPPLTLSGLVTQTFETVWRVNMGGSTVTPTNDTLQRTWIPDERFLLEPNLAKSVNNIRGVNYEQGGSTTENTAPASVYGTLTEMNSSSDPRSNFNVTWKFDVSPGFQYLVRFHFCDVVSKSLNSLYFNVYIDSKIAAPDVDLGTLGNNALGVPYHKDVVTPLAVNNTLRVSIGPSDLSKDYPNAILNGLEIMKMNNSMGSLITGTVPAAIGLGSSSKSIGMIVGVVVGVVGAVVLAGVFFVLCMRRRKLVRQRQSKTWVPLSINDGTTSHTMGSKYSNGTTLSVASNHEYRVPLLAVQEATNNFDESWVIGIGGFGKVYKGELSDGTKVAVKRGNPRSQQGLAEFQTEIVMLSQFRHRHLVSLIGYCDEKNEMILIYEYMEKGTLKSHLYGSDLPSLSWKERLEICIGSARGLHYLHTGYAKAVIHRDVKSANILLDEKLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDERLAGKIRPDSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAVVQGDAEENSTNMIGELSPQVNNFSQDASASTAHFEGTSLDDLSGVSMSRVFSQLVKSEGR >Vigun03g159600.1.v1.2 pep primary_assembly:ASM411807v1:3:17590440:17594827:1 gene:Vigun03g159600.v1.2 transcript:Vigun03g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNTNRITLVLVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCIWCTRIDHIIESGKSKTSCRDLVCEAHASEISKLGFCSDHQKLAESQDMCEDCSSSSQPDYSRSFRLFPWMKQIGVIQDEGADAGDKAIEKVEEAMRCSCCGVNLDNRFYPPCILIKPSLNVLEYDQKPNLVTERGVGVEIDEDQTRSDIVLDHHEDGQGNEENKGSHTVFTVDQGLDRKDEEAEKSCDCSVCDASVDILCDEICKLDLAVEKGKESIEEESLNTGKTENDDQGCEKSTAQVDCTREITVETPPKHLEFFIHGDDCRLIPVELVDSPATENRAHSRYKEGGEDFILDFDKSADAEAEPVVENWHISGDIVAEFSFQENENVFKENGAESVQLITRGQSSVLSQVEQENLEQNCGDVSFFQIADDLTKDVNIEANTETRDAEQCSDASLASEDESEMQGEEYEAEVSIGTEIPEQEQVDEYQSQDVLLDTNQQTQEDPSTSTVRFNLRDESGEDKGEEFVEFKIMSIEVRMPTVSNHLPSLLELNENEEEKIPDTPTSVESLHQLHKKLLLLERKESGTEESLDGSVVSDMECGEVTMEKLKAALKSERKALSTLYAELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMTKREKEKQELEKELEIYRKKVHEYEVREKMVMSRRDGSMRSRTSSPSCSNAEDSDGLSIDLNQEAKEENGFYSHQECSNQNTPVDAVLYLEESLANFEEERLQILEQLKVLEEKLVILNYEEDHRSDDDAKSVELCEENGNGYHHDGDHEGQVNGFANGHAKEINGKHHQGRKLMVAKAKRLLPLFDAMSSEAEDVELSGDELDLPHLQNNSVEKINMVKKKVGLEEEVDNVYERLQVLEADREFLKHCISSLRKGDKGLDLLQEILQHLRDLRNVELRVRNIGDLAV >Vigun08g175000.1.v1.2 pep primary_assembly:ASM411807v1:8:34518697:34522085:-1 gene:Vigun08g175000.v1.2 transcript:Vigun08g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAGKEAAYFFQESKQAVGKLAQKNPVPKTNSNSNSNNNLNFHEEHDHADVLPEVLRHHLPSKVFRNDEATTSSFFASKWVLPSDPNFRSSVSPDALNPLRAYLSLPQVTFGPKRWQLPEATNTVSASTANELRQDRYATHVNPEKLKAAGEGLAHVGKAFAVATAFVFGGTALVFGTVASKLELHNISDIKNKGKDYVEPQLQSIKEQLTPFKIWAENMSRKWHIEREDVKQKTIVKELSKIWGRKTSD >Vigun03g103866.1.v1.2 pep primary_assembly:ASM411807v1:3:8973455:8974138:1 gene:Vigun03g103866.v1.2 transcript:Vigun03g103866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKGKIPNLKHVDVGPCEHCIFGKQKKVSFSKTSKSSKVERLALVHTDVWGPAPVKSLGGSQYYVTFIDDSTRK >Vigun11g201600.1.v1.2 pep primary_assembly:ASM411807v1:11:39953082:39968000:1 gene:Vigun11g201600.v1.2 transcript:Vigun11g201600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCEGKDFTFPKQEEKILELWSQIDAFHTQLALTKDKPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYHSMTGHHVTRRFGWDCHGLPVENEIDKKLGIKKREDVLKLGIDKYNEECRSIVTRYVSEWETVITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLYAKNLVYKGFKVMPYSTGCKTPLSNFEAGQNYKDVSDPEVFMTFPVVGDQHGASFVAWTTTPWTLPSNLALCINANFTYVKVRNKYSGKVYIVAESRLSAIHNPKEKPKEAVANSSNDVSKNKNAKTKGASGGKTDNVLDSFELLEKFSGASLVGTKYEPLFDYFKELSDTAFRVVADNYVTDDSGTGVVHCAPAFGEDDFRVCIDNKILSKDNLTVAVDDDGCFTEKITDFSGCYIKQADKDIIEAVKAKGRLLKSGAFTHSYPYCWRSDTPLIYRAVPSWFVRVELLKEQLLENNKQTYWVPDFVKDKRFHNWLENARDWAISRSRFWGTPLPLWISEDEKEIVVIDSVEKLEKLSGVKVFDLHRHNIDHITIKSDSGRVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLATALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMEVINDYGADALRLYLINSPVVRAEPLRFKKEGVYGVVRDVFLPWYNAYRFLVQNAKRLEVEGLAPFVPFDQESLLKSSNVLDQWINSATQSLIHFVRQEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRSGEEDCRIALSTLYNVLLLSCKVMAPFTPFFTEVLYQNMRKACEGSDESIHYCSFPKEEGKRGERIEQSVSRMMTIIDLARNIRERHNKPLKTPLREMVIVHPDADFLDDINGKLKEYVLEELNVRSLVPCNDTLKYASLRAEPEFSVLGKRLGKSMGAVAKEVKAMSQENILAFENAGEVIIANHCLKLTDIKVLRDFKRPDGMTENEVDAAGDGDVLVILDLRPDESLFEAGAAREIVNRIQKLRKKVALEPTDTVEVYFESLDDDKSVSQRVLHSQESYIRDAIGSQLLSISLMPAHAVVLGEERFHGIASMSFGITLTRPALMFNEKAILSLFTGDSKSAHNLQTYLLSRDHSKLKSEFQDGNRKKIIDSIDQLPAVEVVLGEHVFLTVGDYNLAGKS >Vigun05g300500.1.v1.2 pep primary_assembly:ASM411807v1:5:48413958:48416432:-1 gene:Vigun05g300500.v1.2 transcript:Vigun05g300500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLWRYYSYFSCRLQGIAKPNSQLTVPVVGIGSSNKFSSEALHQTVSLHSRTHNQYHAPFDFNFNLDDPSLPEFLELLKKIAHSSSQAEGFHSSSFQVNRDLICSAIWALREEWKPAFLAFKWNCHLNDEKVCNLMIWVSATHGKFSTAWCIIRDMHHSSLSTRQAMLIMIDRYASVNNTAKAIQTFNFMDTFRLTPDQEAFHALLAALCKYGNVEEAEEFMLVNKKLFLLETKSFNIILNGWCNITKDVYEAKRVWREMSKYCITPDGTSYSYMISCFSKEGNLFDSLRLYDQMKKRGWTPGIEVYNSLVYVLSRANCLKEALRTIDKLKEQGLQPDSSTFNSMILPLCETGKLAEARVVFNTMVEENFSPTTETYHAFFEGTDYQGSLEFLSRMKDSGLGPNKDSFLIILTKFLKLKQPVNALKIWTEMKAYDLVPSCVHYKVMVEGLVNCRWFIKARYFYEEMISNGCSADPKLNKLFQKEVTVSGDKGKQNVRNASSSKSVKYSIK >Vigun04g147400.1.v1.2 pep primary_assembly:ASM411807v1:4:36286622:36287830:-1 gene:Vigun04g147400.v1.2 transcript:Vigun04g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKVDLHGDRIKQKAMQTASGLSGIESVAVDVKDMKLILLGDIDPVNAVSKLRKLCHTEIVSVGPAKDEKDKVETAKLPVPLKLNEAYYPIYYQMTPQYSQSYYATSYDENPNTCVIC >Vigun09g206550.1.v1.2 pep primary_assembly:ASM411807v1:9:38112304:38113290:1 gene:Vigun09g206550.v1.2 transcript:Vigun09g206550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTRTNECGMYVMNVPNSNNQNTKIQINHLNPAPQCQTRFPHCRFSHPHFHALSFSQLTPCTITCQLMFLHNPFFSNVLVLVIFFSLFLSPDLLLLRISKQVAMLFVRIARKLEDWLILNEARRKWCPSSLLCLLHSEREKIPRNRKGPPSLHRFTNQYTSIHISLLFLQVPCGPSSFFKCLTFHAFSLISINDCSNC >Vigun09g012600.2.v1.2 pep primary_assembly:ASM411807v1:9:940394:944348:-1 gene:Vigun09g012600.v1.2 transcript:Vigun09g012600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVKRNIAGPPTARLNTDDRKPLPAPAVPPKKVIIKSADMIPDMQKEAVDIAVAAFEKYNVEKDVAEQIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >Vigun09g012600.1.v1.2 pep primary_assembly:ASM411807v1:9:940656:944068:-1 gene:Vigun09g012600.v1.2 transcript:Vigun09g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVKRNIAGPPTARLNTDDRKPLPAPAVPPKKVIIKSADMIPDMQKEAVDIAVAVSFNDFPLFLFFAFEKYNVEKDVAEQIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >Vigun03g007500.1.v1.2 pep primary_assembly:ASM411807v1:3:502066:504293:1 gene:Vigun03g007500.v1.2 transcript:Vigun03g007500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDELDYVLVPLGVLVLGIYHVWLLCTIIRYPSHTVIGLNAQSRHQWVLSIMADPLKNGVLGVQTIRNNIMASTLLATTAITLSSLIGVFANYESDTKLVYGNKTSLNSSIKRLCISLCFLVAFLCNVQSIRYYAQVSFLITTHALKSQRDFIEYAARSLNRGSYSWSLGLRAFYFSFPLVLWIYGPIPMFACSCFTSFILYFLDTTTQITRDLHTKSFNKKETGDTTEAA >Vigun09g264900.1.v1.2 pep primary_assembly:ASM411807v1:9:42906975:42911114:1 gene:Vigun09g264900.v1.2 transcript:Vigun09g264900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSPPSSDNSSPPPPPPDNSSPPPPPDNSSPPPPPPDNSSPRPPSQDNSPPPPSSSDKKSPPPPSNSSPSTSPPPPPDKKSGNRRSSPPPHSGHQFSPPPPSKNRLSPPKSLSSRSSGDGGSQSLSTPIIIAIAAAAGILLLLLLMVIFACSRRKRKSQSPNNYYHNQPNDGFHKNEYGYNSNKVEHVMHIPPHPNPHSQDPNLYPPHPHPLPVGSWSAPQGVSSDMSSASYSGPHGPVLPPPHPTVALGFNQSTFTYEELSAATGGFSQHNLLGQGGFGYVHKGVLPNGKEIAVKSLKATSGQGDREFQAEVEIISRVHHRHLVSLVGYCISQANKLLVYEFVPNNTLEYHLHRKVQPVMDWNTRLKIAIGSAKGLAYLHEDCHPRIIHRDIKGANILLENNFEAKVADFGLAKMSQDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGIMLLELITGREPVDNTGEYEDSLADWARPLCTKAMEDGIFEGLVDPRLQGNYDERQMSCMVACAAFSVRYSAKKRPKMSQIVRVLEGDVSVQVLGHEGTKPGQSTVFGGEGEYNAEKYGADMMKFRKLALQSGSEFSATSEYGMNPSTTSSEHSSAEYVNARRVGARMHTP >Vigun01g055100.1.v1.2 pep primary_assembly:ASM411807v1:1:10711848:10715249:1 gene:Vigun01g055100.v1.2 transcript:Vigun01g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHVSNICNVVLFAAKVYASIASRSLAVIASTMDSLLDLLSGFILWFTAHAMKKPNQYHYPIGKKRMQPVGIVVFASVMATLGLQILIESARQLISKSKPDTDPTKLHWMIGIMVGVTIVKFILTVYCRRFKNEIVRAYAQDHFFDVITNFVGLAAVVLAVKFYWWIDPTGAIIIALYTINTWAKTVIENVGSLIGRTAPPDFLAKLTYLIWNHHKQIKHIDTVKAYTLGADYFVEVDIVLPEDMVLHEAHNIGETLQEKLEQLPEVERAFVHIDFEFTHKPEHKATV >Vigun04g097951.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:22219234:22219894:1 gene:Vigun04g097951.v1.2 transcript:Vigun04g097951.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSQIHLGVGKRILRYLQGTKEYGIWYKSTGNPTLLGYTDSDWAGSVDDMKSTSGYAFSLGSGIFSWASKKQATVAQSTAEAEYVAAAEATSQAIWLRRILKDMGEKQSGPTTINCDNKSTIAMTKNLVHHSRTKHIAIKYHFIREAETNMKIRLEYCPTEDQIADIFTKALPRPRFELLRAMLGVTEFASRRSIKV >Vigun11g067033.1.v1.2 pep primary_assembly:ASM411807v1:11:17357256:17358704:-1 gene:Vigun11g067033.v1.2 transcript:Vigun11g067033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASHGAKSTLHPCASFIQGLKECLLFQVFIERLGRCLLLQSTIQGRGGGGRGRGGGGCGSSGGGGSGGGGCDGGGGHGGGDGDGGGGGGVTVVVGVIVVVAIVVVVVVDVLVVVVVVVVVVVVILVVVVVVVVVVVSVMLVVVGVVVVVLMAVGGGGGGDDGGGGGCFSGGGDGGCSSGGGGGGGYSSYGCGGGGGGGFRGGGGGCGGFRGGGCGCGGGYGGNGDGHVSGGCGGGSGGAGGAGGCCSGGLCCGGGVVVVVVVVVIMVVVVVATVVVAVVLEVLVVAVVMVLEDVVVVVVVVVVRVVAMLVVAVMVVVMELVVVDEGLSCFLLEL >Vigun10g125800.1.v1.2 pep primary_assembly:ASM411807v1:10:33396921:33399058:1 gene:Vigun10g125800.v1.2 transcript:Vigun10g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKGYAVVTGANKGIGFAVCKQLASNGFTVVLTARDEKRGVEAVQKLKELGLPGHVVFHQLDVTDPKSIKSLADFIKNQYGKLDILVNNAGIPGAHVDGEALSSAGIMENAGLVDWSKIVTETYELAEAGLKTNYYGVKELTKTLIPLLQFSSSPRIVNVSSSMGRLENMKDGWPKEVLSDVENLTEEKIDEVLNEYLKDFKEGSLETKGWPFAMSAYSVSKAALNAYTRVVAKKYPSFCINAVCPGYVKTDINYNTGILTPDEGAEAAVRLALLPDGSPSGLFFFRTEEKPF >Vigun03g422000.1.v1.2 pep primary_assembly:ASM411807v1:3:62762430:62768753:-1 gene:Vigun03g422000.v1.2 transcript:Vigun03g422000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQYREHPKTKIAAIPPPQHSDGASAELRGVDCNLASLCEHVQIEGFNSGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEASAPVVTLHVDDKNVNDEAIAMALAYLYGHHPKLNDSNAFRVLAAASFLDLQDLCGICTDFIISELWTSNFLAYQVFAENQDYGIHGERVRTACWGYLCQSGGMELKEVLPKLSSQTLHALLTSNDLWIPNEEKRFELALHTFLAKGAHCKVEHLSHGISGSESATGIHADSINSKGKSVIDSCTTKRLETDLGKMNLKSGLKDPSTSSVLVELADPVADFNDGVSVSNEQVQQASYVRTPNLNPRYSGDMEGPSLGNSLPDTDVMTTSCYVDMPLGAEATAMAAPGVVIEGPSSEGPCYQLEDNSWFVRDPSRHCLSSNSCNELTSSDWGRYGTPLFSWNGQVVGRRQLKAHPRTNYRGHGDEYDAFFNIFEGGSLLYCNMSFDALLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEIAADTCKVCSLTNCTCQKQFAFSHGASTSGSYMQEHNQNIMPASVGSIYVAESSAGERNGLFRPVRVHVRGAIDGLAGIGRGTTFVPASCSHPTRFVFSRVPFGVGNRNYPQSAANDDSETRADPNGDLSGDGLTAVVGLSQGGSNGTNVHTELTQRGYEMGMQSSMSGSNAGDASTGGIPMQMLETPEHTIGIEWDNVNSASISLDMKTPLSHFPPFRFGVRFEDVHRLGDGQVKHSTEVFYAGSLWKISVQAFNDEDPQRRRTLGLFLHRRKAEITDIHRKVHMYVDSREKVTARYQLTVPSKREMMVFGSFKQTGTLLPKYPKGWGWRTALLFDEVADLLQNGALRVIAVVQLV >Vigun03g422000.2.v1.2 pep primary_assembly:ASM411807v1:3:62762505:62768722:-1 gene:Vigun03g422000.v1.2 transcript:Vigun03g422000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQYREHPKTKIAAIPPPQHSDGASAELRGVDCNLASLCEHVQIEGFNSGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEASAPVVTLHVDDKNVNDEAIAMALAYLYGHHPKLNDSNAFRVLAAASFLDLQDLCGICTDFIISELWTSNFLAYQVFAENQDYGIHGERVRTACWGYLCQSGGMELKEVLPKLSSQTLHALLTSNDLWIPNEEKRFELALHTFLAKGAHCKVEHLSHGISGSESATGIHADSINSKGKSVIDSCTTKRLETDLGKMNLKSGLKDPSTSSVLVELADPVADFNDGVSVSNEQVQQASYVRTPNLNPRYSGDMEGPSLGNSLPDTDVMTTSCYVDMPLGAEATAMAAPGVVIEGPSSEGPCYQLEDNSWFVRDPSRHCLSSNSCNELTSSDWGRYGTPLFSWNGQVVGRRQLKAHPRTNYRGHGDEYDAFFNIFEGGSLLYCNMSFDALLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEIAADTCKVCSLTNCTCQKQFAFSHGASTSGSYMQEHNQNIMPASVGSIYVAESSAGERNGLFRPVRVHVRGAIDGLAGIGRGTTFVPASCSHPTRFVFSRVPFGVGNRNYPQSAANDDSETRADPNGDLSGDGLTAVVGLSQGGSNGTNVHTELTQRGYEMGMQSSMSGSNAGDASTGGIPMQMLETPEHTIGIEWDNVNSASISLDMKTPLSHFPPFRFGVRFEDVHRLGDGQVKHSTEVFYAGSLWKISVQAFNDEDPQRRRTLGLFLHRRKAEITDIHRKVHMYVDSREKVTARYQLTVPSKREMMVFGSFKQTGTLLPKYPKGWGWRTALLFDEVADLLQNGALRVIAVVQLV >Vigun08g169400.1.v1.2 pep primary_assembly:ASM411807v1:8:34033919:34034770:1 gene:Vigun08g169400.v1.2 transcript:Vigun08g169400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVIQVHMENDKCRSKAMKIAAASQGVHSVALEGEDRDQVVVTGDAIDSVCLTNKFRKKFNYATLMSVTEAKASNDGDAGGEHKDETTAENFPITYCYSNFPPPTHLYVMDYDPYPNGCSIL >Vigun05g005200.1.v1.2 pep primary_assembly:ASM411807v1:5:424591:462473:1 gene:Vigun05g005200.v1.2 transcript:Vigun05g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHTAAAPAAPLSRFGVLVAQLESIVASAVHKSPQPLLCFDLLSDLITAIDEDTKENILLWQRRCEDALNSLLVFGARRPVRHLASVAMAKVISKGDSISIYSRASSLQGFLSDGKRNEPQKIAGASQCLGELYKYFGRRITSGLLETTIIATKLMKFNEEFVRQEALYMLRNALEGSGGSAASTAYSEAFRLIMRSATGDKSFAVRIAAARCLKAFASIGGPGLGVTELDNSASYCVKALEDPVSSVRDAFAETLGSLLALGMNPEAQVQPKGKGPLHQSKKLEGGLQKHLILVFTKVSGVKSRNVRVGLTLAWVFFLQVIRIKYLLPDSELQNFALQVMEMLRAETSVDAHALACVLYVLRVAVTDQMTEPTQRNFLVFLGKQLQSPEAGPSMKVAALRTLSYTLKTVGEVPFEFKEILDNTVVAAVSHSSKLVRIEAALALRTLAEVDPTCVGGLTSYGVTNLTALRESVSFEKGSNLQFELDSFHGQAAVLAALVSISPKLPLGYPARLPGLVFGVSKKMLTEDSRNPVAATVEKEAGWLLLSSLLASIPKEELEEDVFDILALWATLFSETPENEIKKTAELLSRIYVWSAAVHALTAFIKCFISSNSVNGGVLLQPVLVYLSSALSMISALRAKELSYVKHAVDVFVIRTLIAYQSIPDPVSFKNDHPQIIQLCTYPFRHASEYEESSCLRLLLDKRDAWLGPWIPGRDWFEDEIRAFQGGKDGLMPCVWEDEISSFPQPETISKTLVNQMLLFFGTIFASQDSSGMLSLLGIIEQCLKAGKKQHWRKASLTNICVGLLAGFKALLSFRLQTLGQDILGLAQSIFLGILAEGDICASQRRASSESLGYLARFGNDIFTARMTRSLLGELNGATDPNYAGSIAFALGCIHRSAGGIALSTLVPATVSSISSLAKSLVANLQIWSMHGLLLTIEAAGLSFVSHVQATLSLAMDILLSDENGLVDIQQGVGRLINAIVTVLGPELVPGSIFFSRSKSVIAEISCWQETSTMLESARFTQQLVLFAPQAVSVHSHVQTLLSTLSSRQPTLRHLAVSTLRHLIEKDPASIIVEQIEDNLFFMLDEETDSEIGNLVRTTIMRLFCAACPSCPSHWISVCRKVVLATSMRNTESNNVGANDNQDGDSGLNLGDDENMVAGSNNIQSDKFQASIGATNREKYLRYKTRLFAAECLSHLPDAVGRNPAHFDLTLARKEHASGQPTTSNWLVLHLQELISLAYQISTIQFENMQPVGVSLLGTIVDKFEKSADPELPGHLLLEQYQAQLVSAVRTTLDTSSSPSLLEAGLHLATKILTSGIISGDQVVVKRIFSLISRPLNDFEDIYYPSFAEWVTSKIKIRLLAAHASLKCYIYASMRKQQDGVPDKYLALLPLFQKSSSILGKYWIHTLKDYSYICLCLSPKRKWNLFLDGLQSPIVSSKLRPCLDESWPVILQALALDAVPVGSEGNEASVENTIKHSATQHPYSMVELKCEDFKFVWGFSLLGLFQSQHPVLCQPILQHTFLSAKHCGNLSSNDVKSSGLKLYEIVLPMFQFLMTERFFGAGLLTVDICKELLQILQYSTYMDNSWHSLAISILSQVAQNCPQEIFNSENLALKTMELCLNYLFKVFQSADTTSVTHPNSGVNVIQTLCTTTKAVINRMEAQMHKNPKSVVLALVLIGYKCVREASTEVCLSEAIDMVHCTIPLLKRIIDDEADPHDSFIPLRDMFGTCLSVVAALTKDCIEEFHLVVKSLNQRKLIHTKLSFSLDQIISISKLALESKYAEDCEARNSICVGALQYCIRCIQTLLSDSNMQVQAIGLQFLKSRIQRVNTEDNSFMMFIVGELITDIFTLINKLFKNTITRESVTIASECLSLLVLLQALSKGNDCQRSFMNLLLEAIVMIFLSTEAGFSQEVRDLRSTAVVLVSRLAQIPSSAVHFKDVLLSMPPLHRQQLQGVIRASVAHDKNPIEVKVPVLDIKSPKPSEGSKVKPYVPSPPAVVMQTDENDEEEDEVSEDDWDAFQSFPVSNSKDEEDDSETEHTAEGKGPVKISSESSIGDVEFQECSISKSINSDKELKGDECVEVDKEEHHGTCPGTNKPLDNKNQETENKLENSVLQEEQTALPGNELVSCDQKLEVEAEMEEKLQDSRFQHEGTSITENELVSSDHKSEVEAEMEKKLQNSVIEEESTSIPGNQLGSGDQEPEVDAGKEENLQNSGVEEEEPSIPENELNSCDQKPEVEVEGSMEDEVTSDSVTRQQGVREPGNGDDAEKNGVDEKSSEPQTEMSGSPIDSVHSSTKLEPSAEVHKE >Vigun05g005200.2.v1.2 pep primary_assembly:ASM411807v1:5:424591:462484:1 gene:Vigun05g005200.v1.2 transcript:Vigun05g005200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHTAAAPAAPLSRFGVLVAQLESIVASAVHKSPQPLLCFDLLSDLITAIDEDTKENILLWQRRCEDALNSLLVFGARRPVRHLASVAMAKVISKGDSISIYSRASSLQGFLSDGKRNEPQKIAGASQCLGELYKYFGRRITSGLLETTIIATKLMKFNEEFVRQEALYMLRNALEGSGGSAASTAYSEAFRLIMRSATGDKSFAVRIAAARCLKAFASIGGPGLGVTELDNSASYCVKALEDPVSSVRDAFAETLGSLLALGMNPEAQVQPKGKGPLHQSKKLEGGLQKHLILVFTKVSGVKSRNVRVGLTLAWVFFLQVIRIKYLLPDSELQNFALQVMEMLRAETSVDAHALACVLYVLRVAVTDQMTEPTQRNFLVFLGKQLQSPEAGPSMKVAALRTLSYTLKTVGEVPFEFKEILDNTVVAAVSHSSKLVRIEAALALRTLAEVDPTCVGGLTSYGVTNLTALRESVSFEKGSNLQFELDSFHGQAAVLAALVSISPKLPLGYPARLPGLVFGVSKKMLTEDSRNPVAATVEKEAGWLLLSSLLASIPKEELEEDVFDILALWATLFSETPENEIKKTAELLSRIYVWSAAVHALTAFIKCFISSNSVNGGVLLQPVLVYLSSALSMISALRAKELSYVKHAVDVFVIRTLIAYQSIPDPVSFKNDHPQIIQLCTYPFRHASEYEESSCLRLLLDKRDAWLGPWIPGRDWFEDEIRAFQGGKDGLMPCVWEDEISSFPQPETISKTLVNQMLLFFGTIFASQDSSGMLSLLGIIEQCLKAGKKQHWRKASLTNICVGLLAGFKALLSFRLQTLGQDILGLAQSIFLGILAEGDICASQRRASSESLGYLARFGNDIFTARMTRSLLGELNGATDPNYAGSIAFALGCIHRSAGGIALSTLVPATVSSISSLAKSLVANLQIWSMHGLLLTIEAAGLSFVSHVQATLSLAMDILLSDENGLVDIQQGVGRLINAIVTVLGPELVPGSIFFSRSKSVIAEISCWQETSTMLESARFTQQLVLFAPQAVSVHSHVQTLLSTLSSRQPTLRHLAVSTLRHLIEKDPASIIVEQIEDNLFFMLDEETDSEIGNLVRTTIMRLFCAACPSCPSHWISVCRKVVLATSMRNTESNNVGANDNQDGDSGLNLGDDENMVAGSNNIQSDKFQASIGATNREKYLRYKTRLFAAECLSHLPDAVGRNPAHFDLTLARKEHASGQPTTSNWLVLHLQELISLAYQISTIQFENMQPVGVSLLGTIVDKFEKSADPELPGHLLLEQYQAQLVSAVRTTLDTSSSPSLLEAGLHLATKILTSGIISGDQVVVKRIFSLISRPLNDFEDIYYPSFAEWVTSKIKIRLLAAHASLKCYIYASMRKQQDGVPDKYLALLPLFQKSSSILGKYWIHTLKDYSYICLCLSPKRKWNLFLDGLQSPIVSSKLRPCLDESWPVILQALALDAVPVGSEGNEASVENTIKHSATQHPYSMVELKCEDFKFVWGFSLLGLFQSQHPVLCQPILQHTFLSAKHCGNLSSNDVKSSGLKLYEIVLPMFQFLMTERFFGAGLLTVDICKELLQILQYSTYMDNSWHSLAISILSQVAQNCPQEIFNSENLALKTMELCLNYLFKVFQSADTTSVTHPNSGVNVIQTLCTTTKAVINRMEAQMHKNPKSVVLALVLIGYKCVREASTEVCLSEAIDMVHCTIPLLKRIIDDEADPHDSFIPLRDMFGTCLSVVAALTKDCIEEFHLVVKSLNQRKLIHTKLSFSLDQIISISKLALESKYAEDCEARNSICVGALQYCIRCIQTLLSDSNMQVQAIGLQFLKSRIQRVNTEDNSFMMFIVGELITDIFTLINKLFKNTITRESVTIASECLSLLVLLQALSKGNDCQRSFMNLLLEAIVMIFLSTEAGFSQRFKKHSCCACFSPCSNSFISRSFQGCFTINASSSPPATSGCNPSFCSA >Vigun03g064100.1.v1.2 pep primary_assembly:ASM411807v1:3:5256650:5261233:-1 gene:Vigun03g064100.v1.2 transcript:Vigun03g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGYWPQEMHLEMILTYSCLRKPRRIAKSEKRKDAQVSDSSREETRFHHEWGKHSESESESESESSFQKVVEMGVPCNECVEEKLRWLRSQIIGNSAEFDSPFGRRIVVYADHTASARSLRYNENFIADHLLPFYGNTHTCDSYVGSRTTKMVEEARKYIKRCLGGGKDDALIFCGSGSTAAMKRLQEVMGITVPSILRERVLKSLSTEERWVVFVGPHEHHSNLLSWRQSLAEVVEIGLDHQGLINMDALKLKLEFYKDTNRPMLGSFSACSNVTGIHSDTRAIAHLLHQYKAFACFDFAASGPYVEIKMRCGESDGYDAVFLSPHKFLGGPDSPGILLMNDALYRLRSSPPSTCGGGTVDYVNGFNEKDTVYLENIEERENGGTPPIIQTVRAALAFWVKEYISYKEIEKREQLYIKKALKRLMSNPNIEVLGNLSTKRQAILSFIIYSTTNKTSLSPGWGIKWLQEKQEKQREEVNFWKETESERGKLLHGPFVATLLSDLFGIQARGGCACAGPYGHQLLGINKAQSLAIRSAIQQGYVGVKPGWSRVSFPYYMEEEEFEFILTAIEFVANYGQRFLPLYSFNLRNGSWRMKKEQLQALINQNNCNFRKTLDEADDLKAVKAGVGYNVGTKQVSVLRKSYLDMAKYIATLLPRFPSQAILHEDVDPNVLYFRL >Vigun07g199000.1.v1.2 pep primary_assembly:ASM411807v1:7:31952816:31954535:1 gene:Vigun07g199000.v1.2 transcript:Vigun07g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMIILFFSFSSVINFVLVQSATLEISKFGGKPNTNIAKALTSAWAEACASTSAVKIVIPSGTYQMTHVDVKGPCKAPIEIQLDGTIKAPPKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNILNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCSLKGTTNGVRIKTWPNEPGTITVTNMRFEDITMDNVMNPVIIDQEYCPWNQCSKQNPSKIKISKVIIKDIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVIATCSNVKPKITGKAPACTAPSTKKE >Vigun03g084600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7029598:7030639:1 gene:Vigun03g084600.v1.2 transcript:Vigun03g084600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFELQRGGRSFSIEIGYFDTVQEIKEKIQKYQNIPVSQQTLILNGQFLQDNDDPWKVEILHNTSIQLQLSYDKDDKKDSLNNSPLTQIHLNIKTGSKTHLVPLEVDVNDTVSRLKEKIREMENVSVPANRLLLHATSGAELHDHQVLRDCDVSENSEIEVSFKPSPTVSPASKKLKVMVLPKSGMKKVAVEVNACDNVGELRKELQKLHQRVQFHLPQDGYFFIYKQNVMDDDRSFRWHHVVHGDTIEIFNGSVTGGS >Vigun07g074300.1.v1.2 pep primary_assembly:ASM411807v1:7:9533173:9537503:1 gene:Vigun07g074300.v1.2 transcript:Vigun07g074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPESSDAKGTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPDTMDKLQLFRGDTILLKGKKRKDTVCIALADDTCEEPKIRMNKVVRSNLRVRLGDVVSVHACPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKGELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRKRDNPEAMEEDIEEEEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDTSAGGAGAGAASDPFASTGGADEDDLYS >Vigun06g214300.2.v1.2 pep primary_assembly:ASM411807v1:6:32568527:32572174:-1 gene:Vigun06g214300.v1.2 transcript:Vigun06g214300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGNFRYCSTTALLFLCLVASSLSDELQPLMTLKSSVQSSDVTVFSSWEQASSPCLFTGIVCNSKGFVSEINLPQKQLEGTVPFDSLCELQSLEKISLGSNNLHGRITEGLMKCTNLKHLDLGMNSFNGAMPDLSSLYKLEFLNLNSSGISGVFPWKSLGNLTSLEFLSLGDNLLKGNSFPLEVLKLEKLYWLYLTNCSITGNIPLGIGNLTQLQNLELSDNHLYGEIPADIVKLRKLWQLELYDNNLSGKIPVGFGNLTSLVNFDASYNQLEGDLFEVRSLKNLASLQLFGNKLSGEIPKELGDLKNLTELSLYANNLTGPLPQNLGSWEGMQYIDVSDNFLSGPIPPFLCKNNQISELALLNNSFNGTIPETYAKCTSLVRFALSRNSLSGVVPSGIWGLPNLSLFDLAMNQFEGPVTSDIAKAKSLAQLLLSNNKFAGELPMEISEASSLVKIQLSSNQISGNIPESIGKLKKLTSLTLDGNNLSGVVPDSIGSCTSLNEINLAGNSLSGAIPGSIGSLPTLNSLNLSSNRLSGEIPSSLSSLRLSLLDLSNNQLFGSIPEPLSISVFKDGFMGNPGLCSQTLKGITPCSMQSRSSRRLRNLLVCFLAAVMVLLGVFFLFTKLRQNKSEKPLKTTSWDVKQYHVLNFNESEIVNGIKAENLIGKGGSGNVYRVVLKGGAEFAVKHIWTSNLSDRGSCRSTSTMLRRSSRSPEFDAEVATLSSIRLHTSKKKSEMGWEVRYDIALGAARGLEYLHHGCDRPVIHRDVKSSNILLDEEWKPRIADFGLAKILNGGQGNWTNVIAGTLGYLPPEYAYTCKVTEKSDVYSFGVVLMELVTGKRPMEAEFGDNHDIVHWVCSSNRSREEALELVDPTIAEHFKEDAMKVLRIALLCTAKIPASRPSMRMLVHMLEEADPCTPNKMIVTIGA >Vigun06g214300.1.v1.2 pep primary_assembly:ASM411807v1:6:32568527:32572174:-1 gene:Vigun06g214300.v1.2 transcript:Vigun06g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGNFRYCSTTALLFLCLVASSLSDELQPLMTLKSSVQSSDVTVFSSWEQASSPCLFTGIVCNSKGFVSEINLPQKQLEGTVPFDSLCELQSLEKISLGSNNLHGRITEGLMKCTNLKHLDLGMNSFNGAMPDLSSLYKLEFLNLNSSGISGVFPWKSLGNLTSLEFLSLGDNLLKGNSFPLEVLKLEKLYWLYLTNCSITGNIPLGIGNLTQLQNLELSDNHLYGEIPADIVKLRKLWQLELYDNNLSGKIPVGFGNLTSLVNFDASYNQLEGDLFEVRSLKNLASLQLFGNKLSGEIPKELGDLKNLTELSLYANNLTGPLPQNLGSWEGMQYIDVSDNFLSGPIPPFLCKNNQISELALLNNSFNGTIPETYAKCTSLVRFALSRNSLSGVVPSGIWGLPNLSLFDLAMNQFEGPVTSDIAKAKSLAQLLLSNNKFAGELPMEISEASSLVKIQLSSNQISGNIPESIGKLKKLTSLTLDGNNLSGVVPDSIGSCTSLNEINLAGNSLSGAIPGSIGSLPTLNSLNLSSNRLSGEIPSSLSSLRLSLLDLSNNQLFGSIPEPLSISVFKDGFMGNPGLCSQTLKGITPCSMQSRSSRRLRNLLVCFLAAVMVLLGVFFLFTKLRQNKSEKPLKTTSWDVKQYHVLNFNESEIVNGIKAENLIGKGGSGNVYRVVLKGGAEFAVKHIWTSNLSDRGSCRSTSTMLRRSSRSPEFDAEVATLSSIRHVNVVKLYCSITSEDSSLLVYEFLPNGCLWDRLHTSKKKSEMGWEVRYDIALGAARGLEYLHHGCDRPVIHRDVKSSNILLDEEWKPRIADFGLAKILNGGQGNWTNVIAGTLGYLPPEYAYTCKVTEKSDVYSFGVVLMELVTGKRPMEAEFGDNHDIVHWVCSSNRSREEALELVDPTIAEHFKEDAMKVLRIALLCTAKIPASRPSMRMLVHMLEEADPCTPNKMIVTIGA >Vigun06g214300.3.v1.2 pep primary_assembly:ASM411807v1:6:32568527:32572174:-1 gene:Vigun06g214300.v1.2 transcript:Vigun06g214300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGNFRYCSTTALLFLCLVASSLSDELQPLMTLKSSVQSSDVTVFSSWEQASSPCLFTGIVCNSKGFVSEINLPQKQLEGTVPFDSLCELQSLEKISLGSNNLHGRITEGLMKCTNLKHLDLGMNSFNGAMPDLSSLYKLEFLNLNSSGISGVFPWKSLGNLTSLEFLSLGDNLLKGNSFPLEVLKLEKLYWLYLTNCSITGNIPLGIGNLTQLQNLELSDNHLYGEIPADIVKLRKLWQLELYDNNLSGKIPVGFGNLTSLVNFDASYNQLEGDLFEVRSLKNLASLQLFGNKLSGEIPKELGDLKNLTELSLYANNLTGPLPQNLGSWEGMQYIDVSDNFLSGPIPPFLCKNNQISELALLNNSFNGTIPETYAKCTSLVRFALSRNSLSGVVPSGIWGLPNLSLFDLAMNQFEGPVTSDIAKAKSLAQLLLSNNKFAGELPMEISEASSLVKIQLSSNQISGNIPESIGKLKKLTSLTLDGNNLSGVVPDSIGSCTSLNEINLAGNSLSGAIPGSIGSLPTLNSLNLSSNRLSGEIPSSLSSLRLSLLDLSNNQLFGSIPEPLSISVFKDGFMGNPGLCSQTLKGITPCSMQSRSSRRLRNLLVCFLAAVMVLLGVFFLFTKLRQNKSEKPLKTTSWDVKQYHVLNFNESEIVNGIKAENLIGKGGSGNVYRVVLKGGAEFAVKHIWTSNLSDRGSCRSTSTMLRRSSRSPEFDAEVAHQ >Vigun05g244400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43744841:43746801:-1 gene:Vigun05g244400.v1.2 transcript:Vigun05g244400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKPQRSSQQSQPPTQGPGTEQPIQQSQPPIESPGTQPPIQSTAEATNDTPIQPPTEAPPGPQQPIQSTAEAPNNTPIQPPTEAPPGPQQPIQQPIPTTIPTPQIKPGATQQSQAPPPRTNQRPKLAFKRGPIWKP >Vigun09g026800.1.v1.2 pep primary_assembly:ASM411807v1:9:2116268:2118743:-1 gene:Vigun09g026800.v1.2 transcript:Vigun09g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIFSSLLVLIICAETSLAQLTPDFYRKTCPNVEQLVRSAVELKFHQTFVTAPATLRLFFHDCFVRGCDGSILLASPNNKAEKDHPDDISLAGDGFDTVAKAKAAVDSVPQCRNRVSCADILALATRDVINLAGGPFYRVELGRRDGRISTIASVQRRLPHPHFNLDQLNSMFASNGLTQTDMIALSGAHTIGFSHCGHFSKRIYNFSPREPIDPTLNLQYAFQLREACPLRVDSRIAINMDPVTPQKFDNQYFKNLQQGKGLFTSDQVLATDERSKGTVNLFASSQLAFNNAFVEAITKMGRIGVMTGRQGEIRLDCFRVN >Vigun02g028386.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10394540:10395454:-1 gene:Vigun02g028386.v1.2 transcript:Vigun02g028386.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQGGGRGGCGDGGGRGGDGGGRGGGCGGGHGGDVNCDGVGGGGIGFGGGGSVCCGGGGGSGGGGIGCGGCGGVCFGGGGHGGGGGTSGGGRDVGGSGGCGGGGCSDGSQHEGHGDGRGVSGCGSGAAVTRAGDSRGGGGGKRRGGGCCSYGGGCGGGGVGHGSRCGGCGGASGDSHDDGCGSRGGGRGRGRGCLVVVVVGVDGVVVGLVVAVVAVVVAMVVVMVVVIVVAVVVVVVADMGVVAVIVVVGVGFVAVVVVVVVLVDVLVMAVVVVVAVVVVVVVVVVVAVVMVVVVARRWWVLL >Vigun01g030800.4.v1.2 pep primary_assembly:ASM411807v1:1:3855286:3869295:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWGEGGESDDHIVPYPEVNEDVSNKKEFNQEASTTKLTELKRPEAKTDFYERKLGSSSNLDNSVELPVSGYGTHVWPNLSLSSSTKMDQGSLGTEVSKNLRELGKFSSRIESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.5.v1.2 pep primary_assembly:ASM411807v1:1:3854061:3869437:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSLGTEVSKNLRELGKFSSRIEESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.6.v1.2 pep primary_assembly:ASM411807v1:1:3854061:3869437:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSLGTEVSKNLRELGKFSSRIEESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.3.v1.2 pep primary_assembly:ASM411807v1:1:3855286:3869295:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWGEGGESDDHIVPYPEVNEDVSNKKEFNQEASTTKLTELKRPEAKTDFYERKLGSSSNLDNSVELPVSGYGTHVWPNLSLSSSTKMDQGSLGTEVSKNLRELGKFSSRIESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.2.v1.2 pep primary_assembly:ASM411807v1:1:3854061:3869295:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWGEGGESDDHIVPYPEVNEDVSNKKEFNQEASTTKLTELKRPEAKTDFYERKLGSSSNLDNSVELPVSGYGTHVWPNLSLSSSTKMDQGSLGTEVSKNLRELGKFSSRIESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.1.v1.2 pep primary_assembly:ASM411807v1:1:3854061:3869456:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWGEGGESDDHIVPYPEVNEDVSNKKEFNQEASTTKLTELKRPEAKTDFYERKLGSSSNLDNSVELPVSGYGTHVWPNLSLSSSTKMDQGSLGTEVSKNLRELGKFSSRIEESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun01g030800.7.v1.2 pep primary_assembly:ASM411807v1:1:3855225:3869414:-1 gene:Vigun01g030800.v1.2 transcript:Vigun01g030800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSLGTEVSKNLRELGKFSSRIEESKQHDEKDAEIFRSGNEGKEQGDFVDYEWANIGSFDDLDRIFSNEDPIFGHVSLDNSNDLWSSKDVSNNPAPLPLDTPSSSGALRNGTNPLEIKEEYVQCNDDKSLDLSYEKIGIPASQVLQNSCAIPANEGNDGVRSKPTEKEHQVFRQKNLLKTRKKSQVKQQENNLQDFYGNWSPSAAPARQFENELSPSVIQSSPSPILGQQNQIQGAETLFQNIVNPYVSSSVYENLTSTYPAMPMLSQTLSGDLRQQPVLSGYEVSPGIVNPVKNSVDSVKPQIMTPQEKIEKLRRRQQMQAMIAIQKQRQQLGHQVPSNTKSSTQKCPPDIQSHLSDGTDEDLRILPAPDPPIEQDDSNTMSVAVGNDFVEDTILCQLQDIISKLDLKIRLCIRDSLFRLAQSATQRHYASDTSSTNKSSRDQLEVVAREESNTQNRYARMPDVETETNPIDRTVAHLLFHSPMELTQNYSDKLESPVSTKVQSESKAANLVNFPMSCLPDEDSKNNQQLSHLELKNSWFDTQSMDQIRNSPCVDTSENASNTQELEASQ >Vigun11g088500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26441725:26445528:-1 gene:Vigun11g088500.v1.2 transcript:Vigun11g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSHGAEGSSTNIPLDYHLQHQHQHQHQQLQQQSPVTLSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGSIRVYLREVRECQAKARGIPYKKKKKTPKGNLEESSTSSIHFS >Vigun10g085500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24490901:24491994:1 gene:Vigun10g085500.v1.2 transcript:Vigun10g085500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRKLDLNAPLLSTRRSVVGDSSYYSTSSVSAIQNTSERVPFSWEKAPGKPKVTERNSNAQDGGTPRLRLPPSKWLLSKEASETDVDDANDAFSDAMDVFSLSEALDYVQRKSENVHSEKNEGLRLKLAESNGYQSPTYMINRFLPDATALAASSALHFSGNFEDKDCDTCGSYPQCYTRHSYASSPKGCGLELLFPWRMKHKLCAMESPVLPCSTNLHKHQRSSKQKKHGSSINYIPCTNVKEDI >Vigun04g012300.1.v1.2 pep primary_assembly:ASM411807v1:4:902874:904948:1 gene:Vigun04g012300.v1.2 transcript:Vigun04g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDAIPDGILAYLDDENKPQAVSIHSLAAGKKVIIFGVPGAFTPTCSLKHVPGFIERAEELKGKGVDEIICISVNDPFVMNSWAKTFPENKHVKFLADGAAKYTNALGLELDLTEKGLGVRSRRFALLVDDLKVKVANVESGGEFTVSSAEEIIKAL >Vigun09g138400.1.v1.2 pep primary_assembly:ASM411807v1:9:30000463:30006083:1 gene:Vigun09g138400.v1.2 transcript:Vigun09g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVKEWLKGSQTVLSMFLVQVFATGMQILSRVILVEGTFIFALIAYRHVVAAVCVAPFALYFERGRQKKLKWSIWFWLFLNALVGITLGMGLFYYGLRDTSATYSVNFLSLVPISTFIFSIICRMERVEFGRWTSKVKTIGATLCVGGALTTSLYKGNEFYIGLSTHHSHTPTLESSKPNMLRGTLFLLGSCFSYTAWFIVQVKLLQIFPFKYWGTMLTCIIASVQAAIVGICLDCRKVTWSLKWNLQLITIIYSGTLATAATFCLIYWAISIKGPTYPTMFNPLALIFVAISEAILLGQPISLGILVGMLLILTGLYSFLWGKEKETESMVEACGEVSTGMARESLGVHSTAMVVPSISPANEKTDRN >Vigun06g097300.1.v1.2 pep primary_assembly:ASM411807v1:6:22854568:22856420:-1 gene:Vigun06g097300.v1.2 transcript:Vigun06g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEKLSVLGEGSYGTVYLVSVRLLEEHNSKFLLLALKTSSSYSMLREKKVLELLLGCEEIVQCYFYKYIVERGCLTYNLFMEYAPHGSLGDLTKTELLSDKEVIVYTHMILKGLSCMHEKGIVHCDLKPDNILLFPSSDGRAKYKLKIADFGLSKTREETNTDLGEIKFRGTPYYMSPELVRGFKETPLDIWSLGCIVIEMSTGLREWWNFQTKDQLLWKLAFFEEAPKIPDKLSCDCKDFLEKCLMKNPEQRSKMLLDHPFIQKEYQMSSPTCNT >Vigun01g219900.2.v1.2 pep primary_assembly:ASM411807v1:1:39373607:39380391:-1 gene:Vigun01g219900.v1.2 transcript:Vigun01g219900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLQAGINAIEEEEPEECDAAYPNKTTLACMINSEIGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSFKTVRRQIFSWHHHQWQAINPALYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMHLVVDAVTSCRFEVTDPSSEEVVLMKILQVLLACMKSKASIMLSNQHVCTIVNTCFRIVHQAGSKGELLQQIARYTMHELVRCIFSHLQDVGNTDHALVNGSTNLKQETGGLDNDYAFGSRQLENGSISSEYDNQSLSTNSAPNVSSVVKATVMDENTAITISCKDGVPYDMHLMTEPYGVPCMVEIFHFLCSLLNVVEHTGMGPRSNTLAFDEDVPLFALTLINSAIELGGPSICRHPRLLSLIQDELFHNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVDMYANFDCDITCSNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIANGSVSSEYSPVNLEEYTPFWMVKCENYNDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPHILANKDAALVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRLINGGNDLPREMLSEIYHSICKNEIRTTPEQGVGFPEMTPSRWIDLMHKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAEQEEVYQTCMDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDMKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSAETVHGKPIMNSLSSAHMQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALVWAAGRPQKGSSTPEDEDTAVFCLELLIAITLNNRDRIGILWHGVYEHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENIADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHIEASEAGFDALLFIMSDGAHLLPANYVLCVDTARQFAESRVGQAERSVRALDLMAGSVNCLARWTSEAKESMEEEQMSKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGADGIYLPYSMWLQCFDLVIFTVLDDLLEIAQGHSQKDYRNMEGTLILAMKLLFKVFLQLLPELSQLTTFCKLWLGVLSRMEKYMKVKVRGKRSEKLQETVPELLKNSLLVMKMRGILAQRSALGGDSLWELTWLHVNNISPSLQLEVFPEQDSEHLPHKQGEPIGGLVADDKGSVPSSETASREDAGIVG >Vigun01g219900.1.v1.2 pep primary_assembly:ASM411807v1:1:39373607:39380391:-1 gene:Vigun01g219900.v1.2 transcript:Vigun01g219900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLQAGINAIEEEEPEECDAAYPNKTTLACMINSEIGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSFKTVRRQIFSWHHHQWQAINPALYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMHLVVDAVTSCRFEVTDPSSEEVVLMKILQVLLACMKSKASIMLSNQHVCTIVNTCFRIVHQAGSKGELLQQIARYTMHELVRCIFSHLQDVGNTDHALVNGSTNLKQETGGLDNDYAFGSRQLENGSISSEYDNQSLSTNSAPNVSSVVKATVMDENTAITISCKDGVPYDMHLMTEPYGVPCMVEIFHFLCSLLNVVEHTGMGPRSNTLAFDEDVPLFALTLINSAIELGGPSICRHPRLLSLIQDELFHNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVDMYANFDCDITCSNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIANGSVSSEYSPVNLEEYTPFWMVKCENYNDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPHILANKDAALVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRLINGGNDLPREMLSEIYHSICKNEIRTTPEQGVGFPEMTPSRWIDLMHKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAEQEEVYQTCMDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDMKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSAETVHGKPIMNSLSSAHMQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALVWAAGRPQKGSSTPEDEDTAVFCLELLIAITLNNRDRIGILWHGVYEHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENIADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHIEASEAGFDALLFIMSDGAHLLPANYVLCVDTARQFAESRVGQAERSVRALDLMAGSVNCLARWTSEAKESMEEEQMSKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGADGIYLPYSMWLQCFDLVIFTVLDDLLEIAQGHSQKDYRNMEGTLILAMKLLFKVFLQLLPELSQLTTFCKLWLGVLSRMEKYMKVKVRGKRSEKLQETVPELLKNSLLVMKMRGILAQRSALGGDSLWELTWLHVNNISPSLQLEVFPEQDSEHLPHKQGEPIGGLVADDKGSVPSSETASREDAGIVG >Vigun05g066600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5761703:5762165:-1 gene:Vigun05g066600.v1.2 transcript:Vigun05g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAKIVVLLCMLTLAWAWPPKTSVKITNTLEGKENLNIHCKSKDDDLGQRLLHFNQSFDWSFGAQFWYKTLYFCSCQWGKGPLLYFDAYVQTRDFDKCSDCDWYIKKDGPCRYEKGTIKCYKWNP >Vigun03g123600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11633366:11635875:1 gene:Vigun03g123600.v1.2 transcript:Vigun03g123600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKTSIINYNSRSTYPNQNHICGIPFSIAPNIISPVMAASCYCKKSYVHAFFHVTLTLLLLVTPHAASLEFNYQQVGYTVNDFIFSGDVKAQEGILQLTIPKPDSYGRVVYNKLLHLWDKSSGKVADFTTQFYFTINAGNETKPGDGITFFLADPKFPDSEIDGSGIGLASRTQLRTKNYAKDYPFVAVEFDTMANDWDPKYDHVGIDVNAINTTHTTEWYTSMDERGYDAEITFESASNRLSATFTGYKNNSKFEQTLFAVVNLSDILPEWVEFGFSSATGYTYEEHTLSSWSFNSSLEIEQDKGGSKRGLVIGLSVGLGVGVLIALLGVAFLVRWMLRTRGREDVSLFDHAMDNDFEKVSVPKKFSHEELARATNNFATENKIGQGGFGAVYRGFIRELNTYVAIKKVSRGSRQGVKEYMSEVKIFGQLRHKNLVQLFGWCHQHEDLLLIYEFMENGSLDSYLFKGKGLLTWKVRYNIARGLASALLYLHEEWEECVLHRDIKSSNVMLDSNFNTKLGDFGLARLMDHGTGSKTTGLAGTIGYLPPEATTRGKASRESDVFSFGVVALEIACGRKAIESNMKEEQIYLVDWVLELHSIGEVLKASDPSLYGHFDEKEMETLMIVGLWCTHTEYLQRPTIRQVVQMLNFEAPLPILTQRGSVYNASFSSMASRTHAFANNQPLSSTSSSSVFTGSSQSTTTLEIITPTAAHLHTY >Vigun11g073666.1.v1.2 pep primary_assembly:ASM411807v1:11:21050961:21052506:-1 gene:Vigun11g073666.v1.2 transcript:Vigun11g073666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQHNDGLLIEDVKMFFRHFCKAKYVRSLNEKLSLKQRESIARTPFWWFMSLNHSVKISRNLLSVLCYRWVERRGDFAIGREVVEFILLDVCLGLGLRVLGEKIDISDDDEDNDCRKLFCSEKIDVKMIYEFLLEYDNDGGSIELFISLYILLGISEFLLPNRDGIVFPKIFKLEDDLQSIGKYNWGNLVYDYLAVNEVMVCNEELGYEFVREAFKTFGTSYKRSIDKENEELNRLVENEEREIADLEAVLSHLEDMGDVRVDYTVNDPPYDVEGEGSTVDDVNVGQQSNMYDRMKSQPRKRIKSRAIRTPFAGFGTRRKTKFLTMG >Vigun03g259900.1.v1.2 pep primary_assembly:ASM411807v1:3:42610904:42612773:1 gene:Vigun03g259900.v1.2 transcript:Vigun03g259900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKKQNELFVAAEGLYTGQFIYCGKKATLVVGNVLPLRSIPEGAVICNVEHHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSNCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >Vigun08g140500.2.v1.2 pep primary_assembly:ASM411807v1:8:31267889:31275879:-1 gene:Vigun08g140500.v1.2 transcript:Vigun08g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQKQVWEGAIPLQIHLHESEVTTLPPPPPALVLAPRIGYLPLLISLVKPHFSSTLPPGLDTVWFDYKGLPLKWYIPTGVLFDLLCVEPERPWNLTVHFRGYPSNILLPCEGEDSVKWSFINSLKEAAYVINGNSKNVMNMSQPDQVELWDSVLKGNLETYRRVASKLKLGTSEDEYTENVSSVLIKSPQSTGDTDVTGQVKTGFLSVYIFGQSLRSLMILKMLLKLIIGTKSHISIVLLSFIKKMVNTLV >Vigun08g140500.1.v1.2 pep primary_assembly:ASM411807v1:8:31267889:31275879:-1 gene:Vigun08g140500.v1.2 transcript:Vigun08g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQKQVWEGAIPLQIHLHESEVTTLPPPPPALVLAPRIGYLPLLISLVKPHFSSTLPPGLDTVWFDYKGLPLKWYIPTGVLFDLLCVEPERPWNLTVHFRGYPSNILLPCEGEDSVKWSFINSLKEAAYVINGNSKNVMNMSQPDQVELWDSVLKGNLETYRRVASKLKLGTSEDEYTENVSSVLIKSPQSTGDTDVTGQVKTGRIPVRLYLWTVTEEFDDFEDAPQIDNWDKVSYINRPVELYKEDGKYFSLNDAVKRILPEYFLENSFVIEGDTNINQSGEEGERSSDPGSSCNAAEIAEIKFVRIQGIEPILDIPFSWVVNNLINPEYFLHMCVCLKVKANAVQ >Vigun11g156532.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36548420:36551755:1 gene:Vigun11g156532.v1.2 transcript:Vigun11g156532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNFPGVDLFDEEENISHLSESLGFARMDPPLEDNDFSETIKFISQILMEENVQLQNPFYDSLTLQVTEKSFYDTLVGNLSPNQHALLLSPQGETTATDNNSFLDEIFPSPDSVSASASTFQSVSHGFSDLDSSIAKLLAQNMFNDADSISQFRRGLEEATKFLPSGPELVTGLHLNGEEQIETFEVNSKSRKNHERQERDTTEEEGRSSKQSALSLVDESDISDAIDRVFLSVENVGSEHDSLQSGAVKGEERHGGKCRSKKKGTKKETVDLRNLLLMCAQSVYANDNRAATELLKQIRQHSSPFGDASQRVAHYFANGLDARLVGAGSCGHELFSFRNSQRITAAEYLKAYQVFLSSTPFKKFTYFFANKMITEAAAKSETLHIIDFGILYGFQWPILIKFLSDREGGPPKLRITGIEFPQPGFRPSERIEETGHRLANYCKRYKVPFEYHAIASRNWETIQVEALKIESNEIVAVNCHMRFEHLLDESTVEVNSPRNAVLHLIRKINPDIFTQIIINGSYSSPFFTTRFKEALFHFSAVYDLFDTVIPRENDWRLTIERELLGREAMNVIACEGSDRVHRPETYKQWQVRNTRAGFKQLSLKEELMAKLRTKLKEYHRDFVFNEDNNWMIQGWKGRIFNASTCWVPT >Vigun03g343900.1.v1.2 pep primary_assembly:ASM411807v1:3:54298884:54300521:1 gene:Vigun03g343900.v1.2 transcript:Vigun03g343900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTCLLGSCFNVCWLPLDDHELTSPPAAKSMTFNKSEEKNFNTICNNNLSRSSNKCSSKKHVNNPIIKDKDVGGVPIGNKFDHSSWSLSADEDYIVFCFGNDVAQENKGVNSEVLVKGLNKMQKSSRPMNRKLKYGMDEEQGSVWNIHDKRSNFRQHRNDERGGGSVVHCHHPQLSLNEKERVMVQSEGSAESRSSDQSEGNRSSFAFPVLAWEWIGSPVQMPKSEGLHLRKHKSRAVTFQCCRF >Vigun03g343900.2.v1.2 pep primary_assembly:ASM411807v1:3:54299128:54300441:1 gene:Vigun03g343900.v1.2 transcript:Vigun03g343900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTCLLGSCFNVCWLPLDDHELTSPPAAKSMTFNKSEEKNFNTICNNNLSRSSNKCSSKKHVNNPIIKDKDVGGVPIGNKFDHSSWSLSADEDYIVFCFGNDVAQENKGVNSEVLVKGLNKMQKSSRPMNRKQLKYGMDEEQGSVWNIHDKRSNFRQHRNDERGGGSVVHCHHPQLSLNEKERVMVQSEGSAESRSSDQSEGNRSSFAFPVLAWEWIGSPVQMPKSEGLHLRKHKSRAVTFQCCRF >Vigun03g343900.3.v1.2 pep primary_assembly:ASM411807v1:3:54299287:54300441:1 gene:Vigun03g343900.v1.2 transcript:Vigun03g343900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFHILSQFSELLADDHELTSPPAAKSMTFNKSEEKNFNTICNNNLSRSSNKCSSKKHVNNPIIKDKDVGGVPIGNKFDHSSWSLSADEDYIVFCFGNDVAQENKGVNSEVLVKGLNKMQKSSRPMNRKLKYGMDEEQGSVWNIHDKRSNFRQHRNDERGGGSVVHCHHPQLSLNEKERVMVQSEGSAESRSSDQSEGNRSSFAFPVLAWEWIGSPVQMPKSEGLHLRKHKSRAVTFQCCRF >Vigun08g087300.2.v1.2 pep primary_assembly:ASM411807v1:8:19640895:19642995:-1 gene:Vigun08g087300.v1.2 transcript:Vigun08g087300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSNAEVEGDGGKSNNIVWNEALQRFETEDKQAFLEYVLREKGKVMDLVHTFVPPSKRGLGLASHLCVAAFHHAQSHSFTVIPTCSYVSVYRLMKV >Vigun08g087300.1.v1.2 pep primary_assembly:ASM411807v1:8:19639840:19642990:-1 gene:Vigun08g087300.v1.2 transcript:Vigun08g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSNAEVEGDGGKSNNIVWNEALQRFETEDKQAFLEYVLREKGKVMDLVHTFVPPSKRGLGLASHLCVAAFHHAQSHSFTVIPTCSYVSDTFLPRNPYWNSIVYSKGGKSSI >Vigun02g062100.2.v1.2 pep primary_assembly:ASM411807v1:2:20813190:20817809:1 gene:Vigun02g062100.v1.2 transcript:Vigun02g062100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDITYQHPLFGGKISCTFPNRFQDVSDIREVPDHQEVFADPNRDESLIIELLEFKSDVADNESAVWFLHDLASEEDAEGTLVIEQSGVLEAPGVTYYNTPAVVTTAVGQMAISKGRQGREAQNIVKVYLANLRLKEVDTDVLITAYEPIVINPLSESAVTVGAGVAVPAAQVGCTPMDEVFKLAVTSFRVLDWSLF >Vigun02g062100.1.v1.2 pep primary_assembly:ASM411807v1:2:20812201:20817809:1 gene:Vigun02g062100.v1.2 transcript:Vigun02g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDITYQHPLFGGKISCTFPNRFQDVSDIREVPDHQEVFADPNRDESLIIELLEFKSDVADNESAVWFLHDLASEEDAEGTLVIEQSGVLEAPGVTYYNTPAVVTTAVGQMAISKGRQGREAQNIVKVYLANLRLKEVDTDVLITAYEPIVINPLSESAVTVGAGVAVPAAQVGCTPMDEVFKLAVTSFRVLDWSLF >Vigun09g100300.1.v1.2 pep primary_assembly:ASM411807v1:9:16412681:16422116:1 gene:Vigun09g100300.v1.2 transcript:Vigun09g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLQTSYLFILPKPLNPSPLPFHHRPPPFIHHPPPFILRLRALSSSLLVPKSFRTEEFPVDDSFTENFGPNDKESEDEARRRNWIERGWAPWEEILSPEADFARKSLNEGEEVPLKSPEAIEAFKMLKPSYRKKKWEEMGLTEAEFVAKQFEIKGEIPDPLVTTWSAPLVVRLVPPRDWPPRGWHVDRKELAFIREAHKMQAKRVSLEEVENGVRTDTDNVCLDRYKVFLKQYKEWVEANKDRLEEESYKQDQDYYPGRRKRGKDYKEGMYELPFYYPGQICVGKVTMLHLYQGAFVDIGGVHDGWVPIRRNDWFWLRHHIKVGMDVMVEITAKRDPYRFRFPIELRFVDPNVDHLIFHKFEFPPIFYRDEDTNEDEIRRDCGRPPVPRKDLEDKPEEEPLLSNHPYVEKLWQINAAEQTILDDMAINPDKYKGKKVSDLTDDEDFDEQNAVQHSEVKYKNTVIPKITLKTSVKELDLEAALAEREHHVKLRKEATDRGEKYKITKLRRNIEMDEYDYMHWRRSFEEREALIRDISCRKALGLPLEEPGRYVDASNFEKGKYDPTSPLYRYDYWGEPKNSEKSIQERMTDIHNKKIVGKADVWYSMSYDDCIKEQIEREAQSKEQEGKGEDNQNEDEEDEDDEDDEDYFDFSILNSVDTNFSDQPHVNGTESSTISGEGMFED >Vigun03g208700.1.v1.2 pep primary_assembly:ASM411807v1:3:34314057:34320582:-1 gene:Vigun03g208700.v1.2 transcript:Vigun03g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSTLISSSMFSPLPSTLIGPHKLCSSFKTISKQSHAFLHRKPFLPHHFNLGSKKALSSVCFFNTGDKESGLEWPILRRWEVPWKWQTVSLTSLACGLGFVLTGLTEAVALPYLGIKPDVLSLEDKAEILLLDQGMTTAVVLGIIYSVANSFQPLPEDFFKYDLREPFNLQKGWLLWAGVGLVGSIIATTLTGVAVSSFSGETPQRETDALVRLLPLIGSSNLSTACLVGIAGVLAPLLEETVFRGFFMTSLTKWVPTPVAVIISASVFALAHLTPGEFPQLFVLGTALGFSYAQTHNLLTPITIHAFWNSGVILFLTFLQLQGYDIRELLQAT >Vigun01g171100.1.v1.2 pep primary_assembly:ASM411807v1:1:35320276:35334482:-1 gene:Vigun01g171100.v1.2 transcript:Vigun01g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTISGIRFPVVPPLNASSLRGDRRAASLPVFLRKNNFSRKILAVKSSHDSDSPSSAIAESDKVLIPQDQDNSASLTDQLETPVITSEDAHNLEDLTMEDEDKYSISEADSSYRQIEGGLGSVLPTVSVGKNVKIPSDEAKPKTIPRPGTGQKIYEIDPSLLAHRGHLDFRFGQYKRLHDEINKHEGGLDTFSRGYEKFGFIRSATGITYREWAPGAKSAALIGDFNNWNSNADVMTRNEFGVWEIFLPNNVDGSPPIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNMFDGTDGHYFHPGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGMATDVDAVVYLMLANDLIHGLFPEAVTIGEDVSGMPTFCLPTQDGGVGFDYRLQMAIADKWIEILKKQDEDWKMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQQLPDGSVIPGNNYSYDKCRRRFDLGDADYLRYRGMQEFDRAMQLLEEKFGFMTAEHQYISRKNEGDKVIIFERGNLVFVFNFHWNNSYSDYRVGCSTPGKYKIVLDTDDALFGGFNRLNHSAEYFTSEGWYDDRPRSFLVYAPSRTAAVYAIADDVEPALPDEVESALADEGEPEAVDP >Vigun01g171100.2.v1.2 pep primary_assembly:ASM411807v1:1:35320276:35334482:-1 gene:Vigun01g171100.v1.2 transcript:Vigun01g171100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDKYSISEADSSYRQIEGGLGSVLPTVSVGKNVKIPSDEAKPKTIPRPGTGQKIYEIDPSLLAHRGHLDFRFGQYKRLHDEINKHEGGLDTFSRGYEKFGFIRSATGITYREWAPGAKSAALIGDFNNWNSNADVMTRNEFGVWEIFLPNNVDGSPPIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNMFDGTDGHYFHPGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGMATDVDAVVYLMLANDLIHGLFPEAVTIGEDVSGMPTFCLPTQDGGVGFDYRLQMAIADKWIEILKKQDEDWKMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQQLPDGSVIPGNNYSYDKCRRRFDLGDADYLRYRGMQEFDRAMQLLEEKFGFMTAEHQYISRKNEGDKVIIFERGNLVFVFNFHWNNSYSDYRVGCSTPGKYKIVLDTDDALFGGFNRLNHSAEYFTSEGWYDDRPRSFLVYAPSRTAAVYAIADDVEPALPDEVESALADEGEPEAVDP >Vigun09g104700.1.v1.2 pep primary_assembly:ASM411807v1:9:19129425:19139812:1 gene:Vigun09g104700.v1.2 transcript:Vigun09g104700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSSSRKSSTKMKASSDANTSLSMLNDDLLQNILARLPAVPFASAACVSKAWNSLCNRILSRPKLSSALSLNPSLPDAVNEVVQRVLSEPIRPHFAIANTGTGFCTSKTLRLIRQSLGSNIPVIVTVANGIIGRDAVTDEFKEVKWGALFSGFGEETYAKSINEGLVLTVGYLPGLKVEAVPLRRPRKSFQAPWVDNFIKDIKEYSAIASGCPFPVGIILFGEASSDMKLVLEKLDYAMPMDTVVVGDERGSFDFVHKSGNDSRIICSRRGNVEAVALVFVQDRDRSLGTIRFHVALSDGVSTVGPRYKAASVRPNTTDCATWLTARREGQQELLDGQSILLDINNLLDNHIESPDLYIGVIKHRKFSTGAEKPMPRTCVAYHGIVGGDEEYLYVDGIGIKTGDFFQFYFSDPNTALTSLTKVHEALKNITLERNSKNFKGDGDNAANVFGGLIFACYGRGESFFGRNNVDSSPFLENFPGVPFSGIFCGGEMVRPCTTVIGQCEGASPVSCCLHVYSTVYLAMSYTPPSVED >Vigun09g080050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:9447362:9447973:-1 gene:Vigun09g080050.v1.2 transcript:Vigun09g080050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSSIPVALKNAPGNRSDIAWKNCNCVGGDTRKLQCKYCQKVVNSGSLSIGACKDVTDEVKKEMWEIVVGLEQNLNKKSRLNTEEETIEASEKRKNSEASSPTNIFKKRVVGTQTTINNMFKKGMREEACQAIVRFFYNNVIPFNVAKSEEFIVMLDLVSRHGLGFKPSSYHEIRVKYLKEEVQNASLALQAHRDEWEKMG >Vigun02g132900.2.v1.2 pep primary_assembly:ASM411807v1:2:28367716:28371035:-1 gene:Vigun02g132900.v1.2 transcript:Vigun02g132900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSAAQGRCATTTGGRSITECTSCGRVMEERQSHPHHVFHMRAQDNPLCLVTSDLPLPLNQNDDEDPFEPTGFITAFSTWSLEPSPLYLQSSLSFSGHLAELERTLESSSSSSSSSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTIIASGRTSTAKADAVEAISSLDSEKLTDIKPSKPNEVSGLVHQPRVKDEAEGKSNAHGSHSTLNQQSAFWQSQLPSGTHNHQNVLQGMDIDVLQRSHQQPEHMAEDTNGSANGNSLKSSQLCSPPASSASSVMRQFSAPPSAGPSNVRLGQSPKIMPGYPEH >Vigun02g132900.3.v1.2 pep primary_assembly:ASM411807v1:2:28367716:28371039:-1 gene:Vigun02g132900.v1.2 transcript:Vigun02g132900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSAAQGRCATTTGGRSITECTSCGRVMEERQSHPHHVFHMRAQDNPLCLVTSDLPLPLNQNDDEDPFEPTGFITAFSTWSLEPSPLYLQSSLSFSGHLAELERTLESSSSSSSSSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTIIASGRTSTAKADAVEAISSLDSEKLTDIKPSKPNEVSGLVHQPRVKDEAEGKSNAHGSHSTLNQQSAFWQSQLPSGTHNHQNVLQGMDIDVLQRSHQQPEHMAEDTNGSANGNSLKSSQLCSPPASSASSVMRQFSAPPSAGPSNVRLGQSPKIMPGYPEH >Vigun02g132900.1.v1.2 pep primary_assembly:ASM411807v1:2:28367716:28371017:-1 gene:Vigun02g132900.v1.2 transcript:Vigun02g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSAAQGRCATTTGGRSITECTSCGRVMEERQSHPHHVFHMRAQDNPLCLVTSDLPLPLNQNDDEDPFEPTGFITAFSTWSLEPSPLYLQSSLSFSGHLAELERTLESSSSSSSSSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTIIASGRTSTAKADAVEAISSLDSEKLTDIKPSKPNEVSGLVHQPRVKDEAEGKSNAHGSHSTLNQQSAFWQSQLPSGTHNHQNVLQGMDIDVLQRSHQQPEHMAEDTNGSANGNSLKSSQLCSPPASSASSVMRQFSAPPSAGPSNVRLGQSPKIMPGYPEH >Vigun08g035800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3452252:3452875:1 gene:Vigun08g035800.v1.2 transcript:Vigun08g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKAMFIAVMSVLVAVISAADAPAPSPTSPAVALSPSFVAGVVAAVAALAFGSSLRI >Vigun06g085200.1.v1.2 pep primary_assembly:ASM411807v1:6:21732970:21738449:-1 gene:Vigun06g085200.v1.2 transcript:Vigun06g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSLRQKLKKRTKHVVSIPRNSLRTKKDEISFERNGALGKGNESEGKLKKRVNFKGKHLSEEIEERGKLKTLDSSDKKNRVYAKEGRDKNGKLEKGVATSKKRTLFEKGEKKVKNGPVEGNSGHRSMWVPGKLRNVGSKVKRLTHTMKERKENVVLATAKPKGRGKEEGKMEFVGEDDQRSGLVKRSREKKFELGKRKSNEVVSPSSYVNKKARDKMGLDHDDAETLDERPKKKKRVIKLDPHDISNKRLDDGIGVNGSKEEKEKKKESEKEPKMSKNAQFRAIQPSPSILSFVEKNLLGRRRTIDLRRAGYNIDLSAPLDNIPFSSSSERERIEENVFRNKLDFFAAAKVSSSFPPPSLPEIAFAGRSNVGKSSLLNALTRQWGVVRTSEKPGLTQTINFFKLGTKLCLVDLPGYGFAYAKEEVKESWEELVKEYVSTRAGLKRVCLLIDTKWGMKPRDHELIELMERSKTKYQIVLTKTDVVFPIDVARRAMQIEESLLQNKSVVKPLMMVSSKSGAGIRSLRTVLANITRFAKR >Vigun08g126700.1.v1.2 pep primary_assembly:ASM411807v1:8:29668670:29672046:1 gene:Vigun08g126700.v1.2 transcript:Vigun08g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGVLTLTMFVMLIHMIKRDHFDAVDDKQPGTEDFSFENTNFETTHVRKNIGIWKGDGDELKPCWVKPSEDSNSNVEQTEGFVTFSLTNGPEYHISQIADAVLVARSLGATLVIPDIRGSQPGDKRNFEDIYDVDVFMKSMEGVVRVVKDLPSRVSKHKIAAVKVPNRVTEDYIAKHVEPIYRSKGSVRLATYFPSINMRKTGEKSDADSVACLAMYGSLELQQETHELVDSMVERLKTLSRKLDGQFIAVDLRVEMLDKKGCQGSDGDKEKSCFNAQEVAMFLRKIGFDKDTTIYVTQSRWDESLDSLKDLFPRTYTKESIIPADKKKKFLDSEDSELEKVIDFYISSESNVFVPAISGLFYANVAGKRIGSGKSQILVPAYIPDSSASASSFLSHYVSKKNHFAYSCYC >Vigun08g132300.1.v1.2 pep primary_assembly:ASM411807v1:8:30338080:30344951:1 gene:Vigun08g132300.v1.2 transcript:Vigun08g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTLTSSHTQRPLLLPSPFHSRRRRFRVSLPRCSSDTASAPPPPPQQQQRPPKDLKGIEVLVDKLSPPARLATSAVIVAGAVAAGYGIGSRFSANRYAALGGAVALGAAGGAAAYALNASAPQVAAVNLHNYVAAFDDPSKLKKEEIDAIASKYGVSKQDEAFKAEICDIYAEFVSSVLPPAGEELKGDEVDRIVGFKNSLGIDDPDAAAMHMEIGRKIFRQRLEVGDREADVEQRRAFQKLIYVSNLVFGDASSFLLPWKRVFKVTDSQIEVAVRDNAQRLYASKLKSVGRDIDEEQLVALREAQRLCRLSDELAENLFREHARKLVEENISVAIGILKSRTRAAPGVSQAVAELDRVLEFNNSLISFKNHPNVDRFARGVGPVSLVGGEYDGDRKIEDLKLLYRAYVSDALSGGRLEDSKLAALNQLRNIFGLGKREAETISLDITSKVYRKRLAQAAADGELEMADSKAAFLQNLCDQLHFDPQKASELHEEIYRQKLQKCVVDGELSEEDVASLLRLRVMLCIPQQTVEAAHSDICGSLFEKVVKEAIASGVDGYDAEIQKAVRKAAHGLRLTREVAMSIASKAVRKIFINYIKRARAAGNRTESAKELKKMIAFNTLVVTKLVEDIKGEPSEISAEEPVKEVEIIQDEDDEWESLETLKKIRPKEDLMEKLGKPGQTEINLKDDLPERDRTDLYKTYLLFCLTGEVTRVPFGAQITTKKDDSEYLLLNQLGGILGLSGNEIVEVHRGLAEQAFRQQAEVILADGQLTKARVEQLSNLQKQVGLPQEYAQKIIKTITTTKMAAAIETAVTQGRLNIKQIRELKEADVDLDSMVSENLREILFKKTIDDIFSSGTGEFDDEEVFEKIPSDLNINKEKARGVVHELARGRLSNSLVQAVSLLRQRNREGVVSSLNDLLACDKAVPSEPISWEVPEELADLYSIYLNSDPAPENLSRLQYLLGINDSTAAALSQMGDRLLNSNAEEENFVF >Vigun01g039800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5454229:5454907:1 gene:Vigun01g039800.v1.2 transcript:Vigun01g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKATCVVLFAVACISTAMAHGGHHKAEAPITAEAPSSLSTPGVPQGGAGALDPFLAVSLLSFLAYYLQF >Vigun10g005500.1.v1.2 pep primary_assembly:ASM411807v1:10:524446:529727:1 gene:Vigun10g005500.v1.2 transcript:Vigun10g005500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTMSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSEPTWMMFPGTDLFARIPFHAAHTILETDQAKLDFESKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun11g000101.1.v1.2 pep primary_assembly:ASM411807v1:11:989:6396:-1 gene:Vigun11g000101.v1.2 transcript:Vigun11g000101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCQWVCLVINRGQPLVGVLGPQNNVGNPNYSNPMYPGQMLAQSILNILQPSNVNMSMPNGQFRAPYPMQNMNQQLPTQLSSPSQGAMVPQSSLLSPSPQLGFEPGRHARLHIDPNEKNLAPPNVNANAFVSQSPFSSHQLQGNTSGSLNSDLAHTSNSQPPALLKSHSHQNLLFYYIKNFKNRPTRGGFKGGYRRTGFLKDHNGRGPYSGTAAQDSYDSRAGVCGVVATTIRVVKMVTEAIMGGMVARAGTIMTGVVTMIIMELEWCESRKVDYSIISFSVTYTEQEIKQWREARKKNHPCNNFQKVIKREVLQRELKEVLAKQAELGIEVAEIPSHYLKNSDNQGLQNEGKNKFSDKRKFQNKFNKKLDRKGRFGKKQKFADFSESPSLKMRKPTLLQKLLSADISKDKSHLFQVFRFMVINSFFKHCPDKPLRYPSVMVKENWSEVDTKKDIPKRGNEGAVKKIASLNNDDHSSEDEDSDVDENDSIVHNNPHKELFSLVKEGFEKSDEEGEILE >Vigun01g009500.1.v1.2 pep primary_assembly:ASM411807v1:1:1099321:1109231:1 gene:Vigun01g009500.v1.2 transcript:Vigun01g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILEADANTVSQRSSSSSVVALAIKGNKKSKYVVQWALNKFVPEGMIIFKLIHVYATIKGVPTPLGNVIPLSQVRNDVATAFKKEVEWQTNQMLLPFKRLCEQRKVHVDVVLIESDDVAIAIAEEVAKGVITKLVVGASSRGIFKSKQKGISMKISVSTPRFCTVYAISKGKLSIRQSDMPIDGSIIDDASETSLSSGSSSNYTSTSQTESASVSSYAGLHSSSLATQRFQALSSINSALLSTNPSLSDTSHSRGQSLDLGRENTATSSARNSDIDHALSRVSSCKSFISDTESWMYDQNSIKDVPLATTLPSPNRQAKLNLELEKLRIELRHVQGLHAVAQSEKIEASRKLNDLSKRRSEETMKMKEIIAKEEKAKELAKLEIEKYQDASREAVYLKECAEREAVERKETELKVIRATKEKEKLEDALSGNILQYRKFTWAEIVSATSSFSEDLRIGMGAYGTVYKCNLYHTTVAVKVLNTNGNKNRESKQFQQELEILSRIRHPNLLLLLGACPDRGCLVYEYMENGNLEDRLLRKNNTPPITWYERFRIAWEIASALAFLHSSKPEPIIHRDLKPANILLGRNLVSKIGDIGLSTVLHSDNFSTMYKDTAPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALAHKVETAIEGGNLTDILDPEAGAWPIKETLDLALLGLSCAELRRRDRPDLKDQVLPILDRLKEVADRAQQSASTVTIKSKPPNHFICPILQDVMDDPCVAADGYTYDRKAIDKWLEENDNSPMTNMALPHKHLIPNYTLLSAILEWKSTES >Vigun01g009500.2.v1.2 pep primary_assembly:ASM411807v1:1:1099321:1109231:1 gene:Vigun01g009500.v1.2 transcript:Vigun01g009500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILEADANTVSQRSSSSSVVALAIKGNKKSKYVVQWALNKFVPEGMIIFKLIHVYATIKGVPTPSTAFKKEVEWQTNQMLLPFKRLCEQRKVHVDVVLIESDDVAIAIAEEVAKGVITKLVVGASSRGIFKSKQKGISMKISVSTPRFCTVYAISKGKLSIRQSDMPIDGSIIDDASETSLSSGSSSNYTSTSQTESASVSSYAGLHSSSLATQRFQALSSINSALLSTNPSLSDTSHSRGQSLDLGRENTATSSARNSDIDHALSRVSSCKSFISDTESWMYDQNSIKDVPLATTLPSPNRQAKLNLELEKLRIELRHVQGLHAVAQSEKIEASRKLNDLSKRRSEETMKMKEIIAKEEKAKELAKLEIEKYQDASREAVYLKECAEREAVERKETELKVIRATKEKEKLEDALSGNILQYRKFTWAEIVSATSSFSEDLRIGMGAYGTVYKCNLYHTTVAVKVLNTNGNKNRESKQFQQELEILSRIRHPNLLLLLGACPDRGCLVYEYMENGNLEDRLLRKNNTPPITWYERFRIAWEIASALAFLHSSKPEPIIHRDLKPANILLGRNLVSKIGDIGLSTVLHSDNFSTMYKDTAPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALAHKVETAIEGGNLTDILDPEAGAWPIKETLDLALLGLSCAELRRRDRPDLKDQVLPILDRLKEVADRAQQSASTVTIKSKPPNHFICPILQDVMDDPCVAADGYTYDRKAIDKWLEENDNSPMTNMALPHKHLIPNYTLLSAILEWKSTES >Vigun11g094500.1.v1.2 pep primary_assembly:ASM411807v1:11:27700869:27702255:1 gene:Vigun11g094500.v1.2 transcript:Vigun11g094500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVSERVALWGFERRFSPSNLNYNRPVTVCGLRSGYKARRRTRILSKESIQVIHALKLAKSPDHVLDAKLSRLLKPDALNLFDELLRQNELSLSLKVFHFIREEVGHDTLLQLYADMILLLGRNMKIDMAEELFSQVSEKGLKPDTRMCGEMIGAYLQAGMTEKAMEIYGSMKEWGCSPDKFIFTVLITNLERNEQQQHQQLVESLKQDCFHYVEFPDKFLQQLQQDKPRKRRVDLV >Vigun08g004200.1.v1.2 pep primary_assembly:ASM411807v1:8:367800:371877:1 gene:Vigun08g004200.v1.2 transcript:Vigun08g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAKSADANSFPRKNLPSPWAQVVRGGEPESGPGIHPSPPSTSSSSSSLITDQTPSSDSPKLIPSSPPPMDNSNTVAVADSSDGAEDNADRSKKPVWNRPSNGVVLETGPVMGAESWPALSASTKGSAKLQPESSSKIVADGSLSTSQAPMTSQAPQKQSNTNAKTNPATNYNVPARQRSMKRVGGSGIVSGPSQSNFSNPPPPPPPPPFPVYHLPPVSYGMVTVVPEPAPRDHYRNSNWDPRPMVGGFVPGMNEYRGSSHRSYFGHNPRGDGSYHNSYGSRHDQDRRNYGNTRDTFVPQPRMPPRGLLRHPPPSSAAFVGPQPIGPFANPMGFSEFYYYQPVTMDQFTGMPYFTPSPPPTTFFSAADSALSNMIVRQIEYYFSDANLVRDGFLRSKMDEQGWVPVTLIADFPRVRSLTTNVQLILDSMRTSTIVEVRGDKLRRHNEWMRWVSSAKTRSGSTSTSSSPRGSRYNNLTTNFQAITLEETTVL >Vigun06g164200.1.v1.2 pep primary_assembly:ASM411807v1:6:28632858:28635862:-1 gene:Vigun06g164200.v1.2 transcript:Vigun06g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVNRGGCRIMKSVSAVLGTRFYAKIATGTDIVSAASNVSLQKARTWDEGLASKFSTTPLKDIFKDKKVVIFGLPGAYTGVCSNKHVPSYKENIDKFKAKGIDSVICVAINDPYTMNAWAEKLQANEAIEFYGDFDGSFHKSLELVTDLSGALLGTRSERWSAYVVDGKVKALNVEEAPSDVKVSGADTILGQI >Vigun07g125800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23270781:23272319:-1 gene:Vigun07g125800.v1.2 transcript:Vigun07g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTHEDQSQKQQRSFDFDHVTSEEFNFESVQPPKKFSFQETKGQKDKQVLPSSLASLELLSNYGRRFNKIGTQNIRCSVETCFSPQKLSTEEIIRVAGARYLQHSTQWHHDLCIPMHPYGMSLGVLSEEENRGVELAQFLLAAAERVGCQQFERASMLLSHLLWNSSGGGGSPVERVVFHFSQALLERIKRETSGKVTLNKCVKNEEREMIEKLRLDTNMALTCHEKIPFNQVMQFAGVQAIVEHVAFQTRIHLINLDIGCGVQCTALMQALAERHERQVELLKITAIGLQGKTRLEETGKRLVSFAESLNLPFLYKIVFVRSITEIKAEQFGIEDNEAVAVYSPYMLRTMVSDFVSLEHLMRVMRKIRPTIMIVLELEAKHNSPSFVNRFIEALFFYAAFFDCIDTCMKQDYECRMRLEGILSEGIRNIVAMEDGERRVRDVKIDVWRRFFARYSMVETAFSESSLYQANLVTKKFECGNFCTVERNGKCLILGWKGATIHSISAWKFL >Vigun07g093000.2.v1.2 pep primary_assembly:ASM411807v1:7:14880208:14883227:-1 gene:Vigun07g093000.v1.2 transcript:Vigun07g093000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFGSSKHSNNKRKVPRNGSSNGKQEQPTVSLVPDCSKNAVNPIPQLLEKSEEQLSVSSRKKVTFDSSVKTYEPTLVDEVGERKSEDGGKEEALVHSKSSSSEDNSCVSSTGSYPPNHRYQNCRDSEDEEEEIDYGDSDLSDEDDDVIREECKELGENFGEDGMVATTAADHVFSEEVDAKSIESNLNARDRNVYVHPVLKPVENLTQWKVVKAKKTPLRPQKENEFGEGVEESPFSLKSESDTPKKLKQEIPVDASLSNWLVSPETTPVNKAGSVSLFPGTPDRSTPQSPYSGLSHEDRPILGALTLEEIKQFSATSSPRKSPSRSPDEMPIIGTVGTYWNVAGSAGASGSASSFKGIPNSTSKYREVKMR >Vigun07g093000.1.v1.2 pep primary_assembly:ASM411807v1:7:14880208:14883227:-1 gene:Vigun07g093000.v1.2 transcript:Vigun07g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFACFGSSKHSNNKRKVPRNGSSNGKQEQPTVSLVPDCSKNAVNPIPQLLEKSEEQLSVSSRKKVTFDSSVKTYEPTLVDEVGERKSEDGGKEEALVHSKSSSSEDNSCVSSTGSYPPNHRYQNCRDSEDEEEEIDYGDSDLSDEDDDVIREECKELGENFGEDGMVATTAADHVFSEEVDAKSIESNLNARDRNVYVHPVLKPVENLTQWKVVKAKKTPLRPQKENEFGEGVEESPFSLKSESDTPKKLKQEIPVDASLSNWLVSPETTPVNKAGSVSLFPGTPDRSTPQSPYSGLSHEDRPILGALTLEEIKQFSATSSPRKSPSRSPDEMPIIGTVGTYWNVAGSAGASGSASSFKGIPNSTSKYREDKRVNWHSTPFETRLEKALNRGAASYVPRVF >Vigun08g058500.1.v1.2 pep primary_assembly:ASM411807v1:8:7430712:7433458:1 gene:Vigun08g058500.v1.2 transcript:Vigun08g058500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKPQQEHVHGPIIIGAGPSGLAVAACLSEHKVPFVILERSNCIASLWQHKTYDRLKLHLPKQFCELPLKGFPHTFPKYPTKYQFISYMESYASHFNIHPRFNQTVQTAHFDKASKLWLVRTHNSEFLSPWLVVATGENAEPVLPRIHGMDHFSGSIAHTSVYKSGSEYRNQNVLVIGCGNSGMEVSLDLCRHNASPYMVARNTVHVLPREMFGFSTFGIAMALYKWFPIKVVDKILLLVTNFMLGNTNHYGIKRPKTGPIELKLATGKTPVLDVGQVAQIKCGNIKVMEGVKQITRNGAKFMDGQEKEFDAIILATGYKSNVPTWLKGCDFFTEDGMPKTPFPHGWKGEQGLYTVGFTRRGIQGTSCDAIKIAEDIASQWRTVENKNQCDSHIIVLPS >Vigun09g125400.2.v1.2 pep primary_assembly:ASM411807v1:9:27844620:27848709:1 gene:Vigun09g125400.v1.2 transcript:Vigun09g125400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSSEQNVSEEQNEAESVAASTGAFPMLEKAFSKLANPETNVVSLENLQQRFNLAREGRSCSGSNVPDSLTVMLDHLGSTLVNQFFVPDKEGINWVEFVKSYNKCCSRVSSSVSLNMLLRVFVAVSESANVPTHLEFESGDTDCKINGHLFPRDVFLLLALCWALSWGSRNLKGEGNPSLPDLSHLVLSVITSCGQVEGAFDVWDCDISSLEVQLPAGKFVTWVMSTVPCLPDCLRLYFDARLQMAANDGVELASPNSSSAGDISSIAAHHYLLTQGRAWAISITNKSRVSEEISGAFISNGSGIDDNLIYRSSTHGRGLGRFWSHVEGYKGPLLILISASSGEPREGNSVDRKWVIGALTHQAFESKDIFYGNSGWLYSIGPVFHVFPPIGRY >Vigun09g125400.1.v1.2 pep primary_assembly:ASM411807v1:9:27844620:27848709:1 gene:Vigun09g125400.v1.2 transcript:Vigun09g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSSEQNVSEEQNEAESVAASTGAFPMLEKAFSKLANPETNVVSLENLQQRFNLAREGRSCSGSNVPDSLTVMLDHLGSTLVNQFFVPDKEGINWVEFVKSYNKCCSRVSSSVSLNMLLRVFVAVSESANVPTHLEFESGDTDCKINGHLFPRDVFLLLALCWALSWGSRNLKGEGNPSLPDLSHLVLSVITSCGQVEGAFDVWDCDISSLEVQLPAGKFVTWVMSTVPCLPDCLRLYFDARLQMAANDGVELASPNSSSAGDISSIAAHHYLLTQGRAWAISITNKSRVSEEISGAFISNGSGIDDNLIYRSSTHGRGLGRFWSHVEGYKGPLLILISASSGEPREGNSVDRKWVIGALTHQAFESKDIFYGNSGWLYSIGPVFHVFPPIGKEKNFVYSHLRPTGKVYQPHPKPVGLAFGGTPGNERIFIDQDFAKVTVRHHSVDKTYRSASLFPDQGFLPVEGIISEIEVWGFGGKEAREVQNSYKKREELFTEQRRKVDLKTFANWEDSPEKMMMDMMSDPNAVRREDR >Vigun06g074200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20532245:20534338:-1 gene:Vigun06g074200.v1.2 transcript:Vigun06g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTHRFTTCHRHPSTPVTGFCASCLRERLAGIDSSSSLPDLRRTKSFSDRTAGAVSSSAAPEPRRRSCEVRLPPPGGSLSDLFNRDYKSKKPTNRHAEIRSGSAGVEISESERGDAVRVSGDEDGEGKTMKEFIDLELRSRKGAGRDFKDIAASFRGAASEFSKRLMKWKRKLNPKRNHRHSDVAGADVCSVEKLGFKSLRETQSEVGEYGFALGRRSCDTDPRLSIDDSRFSFEAPRASWDGYLIGKAYPRVSPMVRVGDKVLVEEEEEGDVSLENGEECCPGGSAQTKHYYSDWHRRRRSFDRSNSRRKSIMGDVDELRVISNAKVSPATTELFYGAKVLITENGLRDVNLKSSDSVMGSGSKVDACDVAIEDGQQGLNKFHKWGRLWSKLGLLQRRREDMLGEGDYGGGDAVNKPLAESWQKLRRVVNGQASESVSQKLIRSYSVSCRDPCRTSGLVNGFGGSETKGHVLNGRQKFMLQKNRSVRYSPSNVDSGLLRFYLTPLKSYRRSRSAKGSLKNSNSTARSFF >Vigun06g148700.3.v1.2 pep primary_assembly:ASM411807v1:6:27369948:27374190:1 gene:Vigun06g148700.v1.2 transcript:Vigun06g148700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSSRSLPKHHQKTAGIQTLEAKDNWSSGNPASLKTGKTELAGPEDLSKSVGSMSKQNTGETAENKKLGVSIQKGSAESLSNKSSSGASSSVLDKADRAVNENIGSQESSIDQDKKTSEYGSVKNSSVSAKVSDGASSLAKTSGSAKISDRADFVESGKSSIYRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRSRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTSSTDSEPLRKNPVYCVQPACIEPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKTEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVEFEKIPSPASSGGAGEKAVNHMSIANQKGSDNYLEFDFF >Vigun06g148700.1.v1.2 pep primary_assembly:ASM411807v1:6:27369948:27374190:1 gene:Vigun06g148700.v1.2 transcript:Vigun06g148700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSSRSLPKHHQKTAGIQTLEAKDNWSSGNPASLKTGKTELAGPEDLSKSVGSMSKQNTGETAENKKLGVSIQKGSAESLSNKSSSGASSSVLDKADRAVNENIGSQESSIDQDKKTSEYGSVKNSSVSAKVSDGASSLAKTSGSAKISDRADFVESGKSSIYRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRSRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTSSTDSEPLRKNPVYCVQPACIEPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKTEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVEFEKIPSPASSGGAGEKAVNHMSIANQKGSDNYLEFDFF >Vigun06g148700.2.v1.2 pep primary_assembly:ASM411807v1:6:27369948:27374190:1 gene:Vigun06g148700.v1.2 transcript:Vigun06g148700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSSRSLPKHHQKTAGIQTLEAKDNWSSGNPASLKTGKTELAGPEDLSKSVGSMSKQNTGETAENKKLGVSIQKGSAESLSNKSSSGASSSVLDKADRAVNENIGSQESSIDQDKKTSEYGSVKNSSVSAKVSDGASSLAKTSGSAKISDRADFVESGKSSIYRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRSRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTSSTDSEPLRKNPVYCVQPACIEPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKTEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVEFEKIPSPASSGGAGEKAVNHMSIANQKGSDNYLEFDFF >Vigun10g179000.1.v1.2 pep primary_assembly:ASM411807v1:10:39717489:39720976:1 gene:Vigun10g179000.v1.2 transcript:Vigun10g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSHGSSEERKLELRLGPPSEESFDESIKKYITERNESPLTLGYFSPHEIFTSDKPGPGGVMVPNAWSYQHQAKPNVSSFFQLQSRSQNLLMMRKEVSQQLCCVENKAFSPSYAEATVCTSRTVSDPVVGWPPIRSFRKNIASGSSSKLPTGSQQYQHFVPDNVASKQPTYNCGKGLFVKINMDGVPIGRKLNINAYDSYEKLSSGVDELFRGLLAEMKLSHIASSHCFSAQRDFIAGGIQNKGEEEKEKGNTGFLVGSGEYTLVYEDNEGDKMLVGDVPWHMFVSTVKRLRVLKSSDLPAFAFRNKQD >Vigun10g179000.3.v1.2 pep primary_assembly:ASM411807v1:10:39718217:39720976:1 gene:Vigun10g179000.v1.2 transcript:Vigun10g179000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSHGSSEERKLELRLGPPSEESFDESIKKYITERNESPLTLGYFSPHEIFTSDKPGPGGVMVPNAWSYQHQAKPNVSSFFQLQSRSQNLLMMRKEVSQQLCCVENKAFSPSYAEATVCTSRTVSDPVVGWPPIRSFRKNIASGSSSKLPTGSQQYQHFVPDNVASKQPTYNCGKGLFVKINMDGVPIGRKLNINAYDSYEKLSSGVDELFRGLLAEMKLSHIASSHCFSAQRDFIAGGIQNKGEEEKEKGNTGFLVGSGEYTLVYEDNEGDKMLVGDVPWHMFVSTVKRLRVLKSSDLPAFAFRNKQD >Vigun10g179000.2.v1.2 pep primary_assembly:ASM411807v1:10:39717489:39720976:1 gene:Vigun10g179000.v1.2 transcript:Vigun10g179000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSHGSSEERKLELRLGPPSEESFDESIKKYITERNESPLTLGYFSPHEIFTSDKPGPGGVMVPNAWSYQHQAKPNVSSFFQLQSRSQNLLMMRKEVSQQLCCVENKAFSPSYAEATVCTRTVSDPVVGWPPIRSFRKNIASGSSSKLPTGSQQYQHFVPDNVASKQPTYNCGKGLFVKINMDGVPIGRKLNINAYDSYEKLSSGVDELFRGLLAEMKLSHIASSHCFSAQRDFIAGGIQNKGEEEKEKGNTGFLVGSGEYTLVYEDNEGDKMLVGDVPWHMFVSTVKRLRVLKSSDLPAFAFRNKQD >Vigun05g044100.1.v1.2 pep primary_assembly:ASM411807v1:5:3655521:3657749:1 gene:Vigun05g044100.v1.2 transcript:Vigun05g044100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIRPLFFSIYWQVSSKQVSQTYILKTDTPSSGSIMPLPKYSMHLDLCLMLLCIHAVVVFAANSDVARHESQGWLNHGGDLFNRRYASKERKISPKTAPDLRMKWKFYTGRDITATPAIYDRTLYFPSWNGNIYAVKEADGSLVWKQNLDKLTGLKASEGLVNNVNWTVSRSTPTVVGDLLIMGMYGPAAVIAVKRATGKLVWKTILDYHPKALITMSGTYYKRGYYVGTSSLESLVELDECCTFRGSFVKLDPESGDIVWRTYTIPDNNNTKGGYAGAAIWGSSPSIDEKRNHVYMATGNLYAAPLRIRQCRERQINRTQRTQPDECVEPDNHSNSILALDLDSGKIKWYYQAGGYDVSLLVCSDSPTPAPDCPPQADKPDVDFGEAPMMLTVDINRNKRDIVVAVQKSGIAWALDRNNGDLVWYTEAGPYGLAGGGSWGAATDEKRVYTNIVNSDAQNFTLRPSNKITTAGGWVAMDANNGRVLWSTANPANSTAYGPVSVANGVLFVGSADRMGYIYAIDAKSGKILWSYKTGASVYGGMSINKGCIYVGHGYSAAYGFNLNLPQGNSLFAFCVKK >Vigun03g366250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56948648:56948908:-1 gene:Vigun03g366250.v1.2 transcript:Vigun03g366250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGACGMMLQCVFEGSISLHDMEIERRPYHKNCGCALHNMNGVCSNACPQQRCVSFAKKTSWSHCIMHATTSKFSSHSFLSKTRH >VigunL074725.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:184106:184492:-1 gene:VigunL074725.v1.2 transcript:VigunL074725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAMPPRSSFSICLSIFSFSREGSKATLVLVCPIFFFSNIPEASSQGNPETSTDSPSPEFSSQVCSNSNSSCITSKPDALSSSIPLMFGFTNHSLSLFACMISWSVASFRFHAEGPLPARKNCLR >Vigun01g057800.1.v1.2 pep primary_assembly:ASM411807v1:1:11612511:11615256:1 gene:Vigun01g057800.v1.2 transcript:Vigun01g057800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKAKVTVGIEAVAAAAAAATTTVMPSSVARINGRPVLQPTCNRVPNLERRNSIKKVQPPKSLSPPSPPLPSKTSLTPPVSPKSKSPRLPAVKRGSDNNGLNTSYEKIAIPKSSSKAPTLERKKSKSFKEGSCAPASVDASFSYSSSLITDSPGSIAAVRREQMALQQAQRKMKIAHYGRSKSAKFERVVPLDPSKPTEEEKRCSFITPNSDPIYIAYHDEEWGVPVHDDKMLFELLVLSGAQVGSDWTSTLKKRQDFRAAFSEFDAETVANLTDKQMMSISSEYGIDISRVRGVVDNANQILEIKKDFGSFDKYIWGFVNHKPISTQYKFGHKIPVKTSKSESISKDMVRRGFRNVGPTVVHSFMQASGLTNDHLITCHRHLQCTLLAARPHCTIDSSQ >Vigun11g130000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33773170:33774389:1 gene:Vigun11g130000.v1.2 transcript:Vigun11g130000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINMKNMKNNESTCSISLSPPNPNPLCDSPNPSHKRKAGRKKFRETRHPVYRGVRQRNGNRWVCEIREPLKKSRIWVGTYPTPEMAARAHDVAVLALNGLSANFNFPDSVSLLPLAKSSSAADIREAAKAATVHTVDTVDDTFTPNTDFSCSSRGNSGFEENGNAEESFLNMNMHNDESETVFFDEEALYNMPGFLDSMAEGLLITPPSRALDWDHSDSEIDLTLWNN >Vigun03g046700.1.v1.2 pep primary_assembly:ASM411807v1:3:3743385:3745128:1 gene:Vigun03g046700.v1.2 transcript:Vigun03g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGTQQKCKACDKIVHFVESFSADGVSYHKNCFRCSHCNGLLAISNYCSGDGILYCKVHYEQLFKETGSYPKRVNSSPKPPNELNRTPSKISAFFSGTQDKCSKCKKTVYPLEKLTVEGEFYHKSCFRCAHGGCFLTPSTYAALDGFLYCKPHFSQLFKEKGSYSYLSKQASLKRSEMLQQEAEAAAAAAAAAESKKAASNRSESESEAEAKAETETETAEAETEAETEKKEDEEEPNSDKDQ >Vigun03g190600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26147066:26148499:-1 gene:Vigun03g190600.v1.2 transcript:Vigun03g190600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPKQSSVSTLRTLTFFLCLLLVGCQILETPSPLSDSIPLIPDLLNFIDQRLALVFPIIQAFKNTITSDPLGITATWVGSDICNYTGFYCDNPPDNLTATTIASIDFNGFQLTAPTLDGFIDQLPDLALFHANSNKFSGTISPKIAGLKFLYELDLSNNLFSGLFPTSVINIPTLSFLDIRYNSFTGTVPPQIFMQTLDVLFLNNNNFMLTLPNNLGDTPVVYLTLANNKFTGPIPRSIRKASSTLIQVLLLNNLLTGCLPYDIGFLGNVTLFDAGGNLLTGPIPWSFGCLKKVEQLNLARNLLYGQVPEVVCALGNLANLSLSYNYFTKVGPLCKGLIQRGVLDVRKNCIIGLPDQRSAKECMAFFSIPRSCPNPASFNIIPCQIHLTKPQETTKRHLLSYSALSRNRVVL >Vigun07g069300.1.v1.2 pep primary_assembly:ASM411807v1:7:8394940:8399535:1 gene:Vigun07g069300.v1.2 transcript:Vigun07g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDSICKKYDKYDVEKHRDANVAGDDAFARLYASVDADIEALLQKAETASKEKGKASAVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSSQEFAARNDLALSLPDRIQAIPDGSPPVPKQSGGWASSASRPEIKFDSDGQFDDDYFQQSEQSSQFRQEYEMRRMKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKASSDLKNTNVRLKHTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >Vigun07g069300.2.v1.2 pep primary_assembly:ASM411807v1:7:8394805:8399535:1 gene:Vigun07g069300.v1.2 transcript:Vigun07g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDSICKKYDKYDVEKHRDANVAGDDAFARLYASVDADIEALLQKAETASKEKGKASAVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSSQEFAARNDLALSLPDRIQAIPDGSPPVPKQSGGWASSASRPEIKFDSDGQFDDDYFQQSEQSSQFRQEYEMRRMKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKASSDLKNTNVRLKHTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >Vigun07g069300.3.v1.2 pep primary_assembly:ASM411807v1:7:8394808:8399490:1 gene:Vigun07g069300.v1.2 transcript:Vigun07g069300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDSICKKYDKYDVEKHRDANVAGDDAFARLYASVDADIEALLQKAETASKEKGKASAVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSSQEFAARNDLALSLPDRIQAIPDGSPPVPKQSGGWASSASRPEIKFDSDGQFDDDYFQQSEQSSQFRQEYEMRRMKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKASSDLKNTNVRLKHTVNQVI >Vigun07g069300.4.v1.2 pep primary_assembly:ASM411807v1:7:8394808:8399490:1 gene:Vigun07g069300.v1.2 transcript:Vigun07g069300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIEILTRVDSICKKYDKYDVEKHRDANVAGDDAFARLYASVDADIEALLQKAETASKEKGKASAVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSSQEFAARNDLALSLPDRIQAIPDGSPPVPKQSGGWASSASRPEIKFDSDGQFDDDYFQQSEQSSQFRQEYEMRRMKQDQGLDVIAEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKASSDLKNTNVRLKHTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >Vigun04g105400.1.v1.2 pep primary_assembly:ASM411807v1:4:25229710:25232149:-1 gene:Vigun04g105400.v1.2 transcript:Vigun04g105400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKALLPPPPHPLCSLPISTKTRGLTLHQVDPLVRFKFDERKQRKHVAHVSLHDPLVSSSALADFFIHQHQQNPYSLVLLADSVGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGEKKAPNQVAGEILSFFTRNNFAVTDRGETITFEGQMVPSRGQAALLTFCTCISLASVALVLTITFPDIGNNWFWITLLSPLAGVYYWTRASRKEQIKVKLIVADDGNLSEIIAQGDDQQVEQMRKELKFSEKGMVYVKGVFER >Vigun09g077400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8866203:8867952:1 gene:Vigun09g077400.v1.2 transcript:Vigun09g077400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCKILRRSIHSFLQNYHFFSSTVAFLALPFSASILLSQAFVPASSSLLPQIHTHLKSLFDAAGFPSSSKLFAILNLKVSQTITSSIFTLPFTLTFLLIAKASVIQALNQHKPSFPPSFTSTLYHYKPLLLTYIYNSFFILSANASCFFLLFLAFTFAEGFGYSSPSSTGLMSVAAAVLFSVILANALVICNMALTVSGMEKHKGFMAILKACVMLRGKTSMALFLALPANVGLAAIEALFQFRIVRTYHSYGMSSWPFMVLEGIFIAYLYSLFIIIDTIVSCMFYKCCKMGLRGDHEDNIFLKIDFPAEEDNCGYVVSKNFEELP >Vigun08g103800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25755083:25756650:-1 gene:Vigun08g103800.v1.2 transcript:Vigun08g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEMPFAASSATATPSAKAAKVKKLTLIPLVFLIYFEVAGGPYGGEPAVKGAGPLLALLGYLIFPFIWGVPAALITAELTTAYPGNGGFVLWAERAFGPFWGSLMGTWKFLSVCINIASFPALCIQYVQKYFPVLCSGWPRQVAVIASTLALSLFNYTGLTIVGNVAVLLAVVSLCPFVLMSFIAIPKIKPHRWVSLGQKGVKKDWNTYFNTLFYNLNFWDNVSTLAGEVDKPNKTFPLALFVSVIFICVSYVIPLFAVIGAVSVDQSQWETGFHAQAAEIIGGKWLKVWIEVGAVLSTIGLYEAQLSSSAYQVLGMADIGILPKFFGVRSKWFHTPWLGIMSSTLISISVSYMDFTDIVSSANFMYGLGILLEFASFLWLRWKSPSIERPYRVPLRLPLLAVMCFIPSVLLVFIMVIATKTVYLVSGVMTVAGIGFFLFIKLCKTMKWVEFSVEEQKEIPFERPAL >Vigun07g244600.2.v1.2 pep primary_assembly:ASM411807v1:7:36571097:36572759:-1 gene:Vigun07g244600.v1.2 transcript:Vigun07g244600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHIHTKAMEIMNVNVEIQGGESFFIRLHPSQTVRDIKEIVQNLRGIPVRQQIILYNQQLLYDDLNVQGCFIHNGSHIIVQIHADAPSPAASSEHDSDFSTGRDLNLPPTPSSERHSEFPPPQPQSPTPSPSPLIPSHLPPVPSPSPLPSPSTSDLTQQPTPNLQIPPFLKRKYKASDIPPWNPSLQLTETSNVDDPFDVGHFSSSPLLMPMPDTPEMVRETAESMPSPLSLPKMLPPPITSPSPSSFIPNPLPPVPKTVTVNVKVPQSGNRVRIESDRKETVLELKQKIVALDDMQGVPVDRIVLQLHSLSLELMDHVPLQDCAVSENSQIDVILKPPSEVGGSSRVNYRKLKVIVLPMRTNERIEIEVFGVDRVSMLRQKLEQLQLTQGFLLPEDGAYSFIYRQLPMNEEQSFDWHQVRNGDIIETFDGFETPSPSPSPKATRR >Vigun08g165500.1.v1.2 pep primary_assembly:ASM411807v1:8:33772392:33775757:-1 gene:Vigun08g165500.v1.2 transcript:Vigun08g165500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKTIFLSLFVVLASALYSANAKIQEHEFVIQATPVKRLCSTHSTITVNGQFPGPTLEVNNGDTLVVKVTNKARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGESYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPREGEAYPFAKPKRETPILLGEWWDANPIDVLRQATQTGAAPNISDAYTINGQPGDLYKCSSQDTTVVPIDSGETNLLRVINAALNQPLFFTVANHKLTVVGADASYVKPFTTSVIMLGPGQTTDVLIQGDQPPSRYYMAARAYQSAQNAPFDNTTTTAILNYKSAPCPAKGVSIKPIMPSLPAYNDTNTVTAFSKSFRSPRQVEVPAEIDDDLFFTIGLGLNNCPPNFSPNQCQGPNGTRFTASMNNVSFVLPNNVSILQAHHLGVQGVFTTDFPTQPPLKFDYTGNVSRSLWQPVPGTKVTKLKFGSRVQIVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKKDTSKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGAWIMHCHLDVHIGWGLATVLLVDNGVGLLESIEAPPEDLPLC >Vigun11g135400.1.v1.2 pep primary_assembly:ASM411807v1:11:34472721:34474586:-1 gene:Vigun11g135400.v1.2 transcript:Vigun11g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWEQLRFWLFVLCFFNPTQELGLLLIKHLQPSPNPVTLSIHFSSFPFHFLSSDNSNSSFGDSKVMENQRSPLLSWAYYCHGKSVEELKQSLMCTTLELEQTRASVQEELRKRDDQLLTLKELLNKVMRERDEAQEKWQRLMLEKMVFQQQKQQQQQQHQTAPASGISSIEDEPRRGIESNNGLSSSDCEESIVSSPVMEHLGGGAQAQLPESMIELISPDKALPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPALESFEIPPVTIPSPQAQPQPLHQDSFTSNCGRVSRKRVFCEGSDSPTQTKFQRIVLH >Vigun07g119600.1.v1.2 pep primary_assembly:ASM411807v1:7:22182875:22184299:1 gene:Vigun07g119600.v1.2 transcript:Vigun07g119600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVLSAYRALLKATRKTFSGDTVMLRESAVEVRKKFEENRNVSSEAEIQKLLEEAGEASDFITNMIVQAQLNTDAGSYVVKPGKEHAGATLELPSEEIIRKSG >Vigun07g119600.2.v1.2 pep primary_assembly:ASM411807v1:7:22182875:22183282:1 gene:Vigun07g119600.v1.2 transcript:Vigun07g119600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVLSAYRALLKATRKTFSGDTVMLRESAVEVRKKFEENRNVSSEAEIQKLLEEAGEASDFITNMIVQAQLNTDAGSYETRISVTVRPSCHGA >Vigun07g183100.2.v1.2 pep primary_assembly:ASM411807v1:7:29922720:29928906:-1 gene:Vigun07g183100.v1.2 transcript:Vigun07g183100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEHEVYGADIPEEEVDMDADADQQDDQLPSNHTTKELEDMRKRLKEIEEEASALREMQAKVEKEMGAVQDSSGPSATQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNESELHGRQLKVSAKRTNVPGMKQYYGRRPTGFRSRRPFMPAPFFPPYSYGRVPRYRRPTRYRPY >Vigun07g183100.1.v1.2 pep primary_assembly:ASM411807v1:7:29922578:29928938:-1 gene:Vigun07g183100.v1.2 transcript:Vigun07g183100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEHEVYGADIPEEEVDMDADADQQDDQLPSNHTTKELEDMRKRLKEIEEEASALREMQAKVEKEMGAVQDSSGPSATQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNESELHGRQLKVSAKRTNVPGMKQYYGRRPTGFRSRRPFMPAPFFPPYSYGRVPRYRRPTRYRPY >Vigun01g101100.2.v1.2 pep primary_assembly:ASM411807v1:1:26865116:26869466:-1 gene:Vigun01g101100.v1.2 transcript:Vigun01g101100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSKASLHVMFYFLFAIYFLSFEHCCVDANSTLIVHNATTVSSKTIPIPDTFLGVFVEEINHACAGGLWAELVRNKGFEVRGPNNTLNVWSIIGNESFISVSINDSSCFERNKAALQMEVHCNDKNPCPSGGVGISNPGYWGMNIEQGKRYKVVYHIKSEGEEGDFNFQLSFTGVGVIEVSSNITQVPEDGKWRKVETEVEAKATNHYSSLQITTSKGGSYLFDQISVMPLDTYMGHGFRKDLFQMVADLKPKFMRFPGGTYVEGYHLQNKYQWKDTIGAWEERPGHNNDIWNYWSDDGIGYLEYLQLAEDLGALPIWVFNAGFSRNEQINPSDLGPYVQDALDGIEFAKGSPESKWGSVRAGLGHPKPFDLRYVAIGNEDCEFSNYQANYLKIHDAIRSAYPDIKLISNCDASVTPLKHPADLFDFHTYTTSKDLFSMSTQFDRTARSGPKAFVSEFAVWRKDAGNGSLLAAVAEAAFLIGLEKNSDIVDMVAYAPLFSNINDRKWIPDAIVFDSYQMYGTPSYWVQKLFVESSGATFLPSTLNTTSSNQLIASAISWKDSTENKNYIRIKVVNFGRGTETIDISIDGFPVGQQFGCTKTVLTSDNVMDENSFAQPTKVVPQTSSAVNVESKIQAILSPFSVTSFDFLIL >Vigun07g181900.1.v1.2 pep primary_assembly:ASM411807v1:7:29853380:29856576:-1 gene:Vigun07g181900.v1.2 transcript:Vigun07g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKSVMEDDFESTTLHSAPSFAIYNNPDGDDGITQDEILKKTVLLGDNLEVTGSGEFSFENKKMDLIEEGENENDWSNGIQNLSIEEEDVEPASPPMYLASGLGVDADAGFDKLMDGDIFNPNLQESEDLEGYYKRMIDEYPCHPLLLKKYAQLLQSNGDLQEAEEYFLRATMADPNDSETLTQYAKLTWENHRDKDTALVYFERAVQAAPQDSHVLAAYASFLWKVEDFEDEDGKHEIQSEMKNQETELLKPSKETSDPISQLSVLSSRQEIDAAQITAANSGDESNFEDYLKKMIAENPNNPLFLKKYAQFLLQSKRDHQEAEDYYSRAIVADPSDGEIISEYAKLVWELHHDEEKASFLFEQAVQATPGDSNVLAAYTSFLWETDDGES >Vigun07g181900.4.v1.2 pep primary_assembly:ASM411807v1:7:29853380:29856576:-1 gene:Vigun07g181900.v1.2 transcript:Vigun07g181900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKSVMEDDFESTTLHSAPSFAIYNNPDGDDGITQDEILKKTVLLGDNLEVTGSGEFSFENKKMDLIEEGENENDWSNGIQNLSIEEEDVEPASPPMYLASGLGVDADAGFDKLMDGDIFNPNLQESEDLEGYYKRMIDEYPCHPLLLKKYAQLLQYAKLTWENHRDKDTALVYFERAVQAAPQDSHVLAAYASFLWKVEDFEDEDGKHEIQSEMKNQETELLKPSKETSDPISQLSVLSSRQEIDAAQITAANSGDESNFEDYLKKMIAENPNNPLFLKKYAQFLLQSKRDHQEAEDYYSRAIVADPSDGEIISEYAKLVWELHHDEEKASFLFEQAVQATPGDRYSF >Vigun07g181900.2.v1.2 pep primary_assembly:ASM411807v1:7:29853380:29856576:-1 gene:Vigun07g181900.v1.2 transcript:Vigun07g181900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKSVMEDDFESTTLHSAPSFAIYNNPDGDDGITQDEILKKTVLLGDNLEVTGSGEFSFENKKMDLIEEGENENDWSNGIQNLSIEEEDVEPASPPMYLASGLGVDADAGFDKLMDGDIFNPNLQESEDLEGYYKRMIDEYPCHPLLLKKYAQLLQSNGDLQEAEEYFLRATMADPNDSETLTQYAKLTWENHRDKDTALVYFERAVQAAPQDSHVLAAYASFLWKVEDFEDEDGKHEIQSEMKNQETELLKPSKETSDPISQLSVLSSRQEIDAAQITAANSGDESNFEDYLKKMIAENPNNPLFLKKYAQFLLQSKRDHQEAEDYYSRAIVADPSDGEIISEYAKLVWELHHDEEKASFLFEQAVQATPGDRYSF >Vigun07g181900.5.v1.2 pep primary_assembly:ASM411807v1:7:29853380:29856576:-1 gene:Vigun07g181900.v1.2 transcript:Vigun07g181900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKSVMEDDFESTTLHSAPSFAIYNNPDGDDGITQDEILKKTVLLGDNLEVTGSGEFSFENKKMDLIEEGENENDWSNGIQNLSIEEEDVEPASPPMYLASGLGVDADAGFDKLMDGDIFNPNLQESEDLEGYYKRMIDEYPCHPLLLKKYAQLLQSNGDLQEAEEYFLRATMADPNDSETLTQYAKLTWENHRDKDTALVYFERAVQAAPQDSHVLAAYASFLWKVEDFEDEDGKHEIQSEMKNQETELLKPSKETSDPISQLSVLSSRQEIDAAQITAANSGDESNFEDYLKKMIAENPNNPLFLKKYAQFLLQVRFFVLF >Vigun07g181900.3.v1.2 pep primary_assembly:ASM411807v1:7:29853380:29856576:-1 gene:Vigun07g181900.v1.2 transcript:Vigun07g181900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKSVMEDDFESTTLHSAPSFAIYNNPDGDDGITQDEILKKTVLLGDNLEVTGSGEFSFENKKMDLIEEGENENDWSNGIQNLSIEEEDVEPASPPMYLASGLGVDADAGFDKLMDGDIFNPNLQESEDLEGYYKRMIDEYPCHPLLLKKYAQLLQYAKLTWENHRDKDTALVYFERAVQAAPQDSHVLAAYASFLWKVEDFEDEDGKHEIQSEMKNQETELLKPSKETSDPISQLSVLSSRQEIDAAQITAANSGDESNFEDYLKKMIAENPNNPLFLKKYAQFLLQSKRDHQEAEDYYSRAIVADPSDGEIISEYAKLVWELHHDEEKASFLFEQAVQATPGDSNVLAAYTSFLWETDDGES >Vigun09g197600.1.v1.2 pep primary_assembly:ASM411807v1:9:37270718:37274631:1 gene:Vigun09g197600.v1.2 transcript:Vigun09g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRKRPRLAWDVAPPTVPEPQLALPVPGDEGIERKHASPPRRDDDREGHYVFNLGENLTPRYKILGKMGEGTFGRVLECWDRQTREYVAVKVVRSIRKYREAAMLEVDVLQHLAKNDRGNSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYVKLPSYKRVSSDETQFRCLPKSSAIKLIDFGSTAYDNQNHSSIVSTRHYRAPEIILGLGWSYPCDLWSVGCILIELCTGEALFQTHENLEHLAMMERVLGPIPEHMIRRSNKGAEKYFKRGSRLRWPEGAISRESINAVKKLGHLKDIVSRSVDSSRSSLTDLLHGLLSYDPTKRLTALQALDHPFFRNPT >Vigun05g188600.1.v1.2 pep primary_assembly:ASM411807v1:5:36586924:36604294:1 gene:Vigun05g188600.v1.2 transcript:Vigun05g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLSDAEPGTINGGSTAKKSKQRKRKSFMVDEEGEMGSREKLAKKILLSLTRPSYVLGLGPKPLRKEHRTRLRYLLHRLVNQHHWVEASGVLSAYMKGTLNETSPFRNRLKFWVLMELLNRVKNNSINPTRIKNLYDIWSKKIGSMKTWPLQSRYAVHFEFIFFCLMQGNAGDAYQLALCIEQNKVDIDPVSKMMMGLTFYELWYSSVPKEFQWRSSDQFDLQENPHMEISFTNKTGQSEQYNSVESHMAESHCQRDSEASIMNDKHISRDVVLSEDIEVGTNKREKTHQNFQPEGFYLNSEEQNGFGDPFSNSGGLTQDLLHGLGGLDLWLLPLHFSDDSDLEEFMYLQIDQPNDYYKNSVKYLQLAINSEPSASAALLPLVQLLLIGGQVDEALTMLEKQCCNAASVLPLRVRAALLERFDRNNSGMLSCFENILKKDPTCSDALAKLIKMHQNGEYSLESLLEMIALHLDATDSEHNTWKVLSSCFLRLFSYEEDCMSTCPMQTENGHKQLHSINKTPKIFTDGTSGKSWYLRCRWWITRHFSNSKLQSEIESGDLQLLTCKAACASYMYGREFSYVEKAYSHLEKESDKELLFFLDEHRGNSLGIYKKFRKKSHI >Vigun05g188600.2.v1.2 pep primary_assembly:ASM411807v1:5:36586932:36604176:1 gene:Vigun05g188600.v1.2 transcript:Vigun05g188600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLSDAEPGTINGGSTAKKSKQRKRKSFMVDEEGEMGSREKLAKKILLSLTRPSYVLGLGPKPLRKEHRTRLRYLLHRLVNQHHWVEASGVLSAYMKGTLNETSPFRNRLKFWVLMELLNRVKNNSINPTRIKNLYDIWSKKIGSMKTWPLQVVTVSDIQLVLKYPKSRYAVHFEFIFFCLMQGNAGDAYQLALCIEQNKVDIDPVSKMMMGLTFYELWYSSVPKEFQWRSSDQFDLQENPHMEISFTNKTGQSEQYNSVESHMAESHCQRDSEASIMNDKHISRDVVLSEDIEVGTNKREKTHQNFQPEGFYLNSEEQNGFGDPFSNSGGLTQDLLHGLGGLDLWLLPLHFSDDSDLEEFMYLQIDQPNDYYKNSVKYLQLAINSEPSASAALLPLVQLLLIGGQVDEALTMLEKQCCNAASVLPLRVRAALLERFDRNNSGMLSCFENILKKDPTCSDALAKLIKMHQNGEYSLESLLEMIALHLDATDSEHNTWKVLSSCFLRLFSYEEDCMSTCPMQTENGHKQLHSINKTPKIFTDGTSGKSWYLRCRWWITRHFSNSKLQSEIESGDLQLLTCKAACASYMYGREFSYVEKAYSHLEKESDKELLFFLDEHRGNSLGIYKKFRKKSHI >Vigun10g084500.1.v1.2 pep primary_assembly:ASM411807v1:10:23983742:23984759:1 gene:Vigun10g084500.v1.2 transcript:Vigun10g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFRLVSPAIDKEGNRKLPRYCTQEGLGSKWNISPPLEWHNVPPKTKSMALVVQDIDAVDPTGHPVAPAHWVVVNIPVSVKGLPEGFSGKKEELGGEYENIEEGVNDWNVRVWRGPKVPNYEDRFEFRLYALDDHMHFDNQVILQVTKTKLLDAIAGHVVGEAVFTATF >Vigun10g084500.2.v1.2 pep primary_assembly:ASM411807v1:10:23983543:23984759:1 gene:Vigun10g084500.v1.2 transcript:Vigun10g084500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEFRLVSPAIDKEGNRKLPRYCTQEGLGSKWNISPPLEWHNVPPKTKSMALVVQDIDAVDPTGHPVAPAHWVVVNIPVSVKGLPEGFSGKKEELGGEYENIEEGVNDWNVRVWRGPKVPNYEDRFEFRLYALDDHMHFDNQVTKTKLLDAIAGHVVGEAVFTATF >Vigun11g078900.1.v1.2 pep primary_assembly:ASM411807v1:11:23200033:23203401:1 gene:Vigun11g078900.v1.2 transcript:Vigun11g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTITSSSLFSASTLSPPSSSLFSVKPTHATPVRRLHAPRASLSAAAPPPPYDLSSFRFQPIKESIVSREMTRRYMTDMVTHADTDVVIIGAGSAGLSCAYELSKNPDVNVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDELGLEYDEQENYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGLIENVPGMKALDMNIAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRSLKLPNALASVGNVHPELVLAAAAAESAEIAEA >Vigun09g006500.1.v1.2 pep primary_assembly:ASM411807v1:9:479665:482689:1 gene:Vigun09g006500.v1.2 transcript:Vigun09g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFPRNQSFSFAAFLLLFQLLTVNIITAEAQIGVCYGMMGNNLPPANEVISLYRSNNIRRMRLYDPNQAALQALRNSGIELILGVPNPDLQALATNADNARHWVQRNVFNFWPSVRIKYIAVGNEVNPVGGSSSYAQFVLPAVQNVYQAIRAQGLHDQIKVSTAIDTTMIGNSYPPSQGSFRGDVRSYLDPIIGYLLYAGAPLLVNVYPYFSYSGNPRDISLPYALFSAPNVVVWDGQYGYQNLFDAILDAVHAAIDNTRIGYVEVVVSESGWPSDGGFGATYENAHVYLDNLVRRAGRGSPRRPSKPTEIYIFGMFDENGKSPEIEKHFGLFNPNKQKKYPFGFGGKRDGKIVIDDFNATNSLKSDM >Vigun02g000100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:80248:82700:-1 gene:Vigun02g000100.v1.2 transcript:Vigun02g000100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKTHATSSGINFNTDMATELRSYETAWKLDSDLQSFDTTVQARANQVMNTLAVGVEVRSLSFESLKQVTECLLEMNQEVVKVILDCKKDIWKNQELFELVEEYFDNSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEEIGLGEKRYTRTLQELKNFKAAGDPFTEEFFQMYQSIYNQQILMLEKLQVRQSKLDKKVKQIHTWRKVSSMIFVATVAAVLICSVVAAAIATPHVAAVLAAVTAIPIGSMGKWIDSLLKNYETVMRGQKEVTVAMQVGTYVAIKDLDNIRVLIDRLEIEIESLLQNVEFAIEEDAVKVAIEEIKKKLEVFMKNVEDLGGQADTCSRDIIRARTLVLQKIIKLPHT >Vigun02g000100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:80248:82700:-1 gene:Vigun02g000100.v1.2 transcript:Vigun02g000100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMSKKTHATSSGINFNTDMATELRSYETAWKLDSDLQSFDTTVQARANQVMNTLAVGVEVRSLSFESLKQVTECLLEMNQEVVKVILDCKKDIWKNQELFELVEEYFDNSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEEIGLGEKRYTRTLQELKNFKAAGDPFTEEFFQMYQSIYNQQILMLEKLQVRQSKLDKKVKQIHTWRKVSSMIFVATVAAVLICSVVAAAIATPHVAAVLAAVTAIPIGSMGKWIDSLLKNYETVMRGQKEVTVAMQVGTYVAIKDLDNIRVLIDRLEIEIESLLQNVEFAIEEDAVKVAIEEIKKKLEVFMKNVEDLGGQADTCSRDIIRARTLVLQKIIKLPHT >Vigun04g197900.1.v1.2 pep primary_assembly:ASM411807v1:4:42272275:42274274:1 gene:Vigun04g197900.v1.2 transcript:Vigun04g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHILSFVVYLLSSHVSLKVDGIQTTLKEDLDLERQLELVNKPPTKSIHTEFGDIVDCIDIYKQPSFDHPLLKDHKLQRKPNLHNMIKGRKNLRTRSMFGLSKDECPKGTVPILRTTKDDLIRDKSMLNNHILVQDLPGVHLAEVSPKPHFGPYYGVKGTNSVYNPRLDAKFQISMSHLWVQNGPIKSTNKISLGWHVIPTLYGDYGTHLYSSWTSDNYKKTGCYNIRCAGFFQTTKTIILVYA >Vigun06g233700.1.v1.2 pep primary_assembly:ASM411807v1:6:33967265:33970954:1 gene:Vigun06g233700.v1.2 transcript:Vigun06g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNSSVTGFYNFLTQGLNELHQSFLSPTFMSIQFLSQVISSLHSFHSQLTILVRKLRLPVGGKWLDEYMDESSRLWDSCHVLKSAISGMENYYSAASNIASSLDGYHHFTPELSRQVVRAINVCQREILGLEEENKSLMETRMEPLSQCLIQNISMESKLNGFSGFRGVLYAMRSVSSLLLMILLCGLAYCWSSSCFQQEGYEEHMVFGSGFMVSMAILQQKVAEEIDQTEGQPGILLFEFQQAKNAMEELKMELERIAGYEEHAEIQEKVDNVKSCFGLLRCGVDTIAGQLDDFFDEIVEGRKKLLDMCSNR >Vigun02g090500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24539353:24542542:-1 gene:Vigun02g090500.v1.2 transcript:Vigun02g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRHNDSLGVNKMGKNIRKSPLHQPNFGNNAARQQPQPQVYNISKNEFRDIVQQLTGSPSQDHPPRPPHNPPKPQSMRLQKIRPPPLTPINRPRMPPPMPVPAAAPPHPVPHNNVMPRPAQYGQPLPSPGDIWSNTTESPISAYMRYLQNSIMDPNSRGNQVQPQPHPYPQPQVPGNVQPYPPPSSAVFPNPPMPTFPSPRFNGPIPPMNATNTPMPTLLPSPQANGPPPPLLSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGIQFPSPLTPNFPFSSTGQSGILGPGPQPPPSPGLVFPLSPSGFFPISSPRWRDH >Vigun02g090500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24539216:24542563:-1 gene:Vigun02g090500.v1.2 transcript:Vigun02g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRHNDSLGVNKMGKNIRKSPLHQPNFGNNAARQQPQPQVYNISKNEFRDIVQQLTGSPSQDHPPRPPHNPPKPQSMRLQKIRPPPLTPINRPRMPPPMPVPAAAPPHPVPHNNVMPRPAQYGQPLPSPGDIWSNTTESPISAYMRYLQNSIMDPNSRGNQVQPQPHPYPQPQVPGNVQPYPPPSSAVFPNPPMPTFPSPRFNGPIPPMNATNTPMPTLLPSPQANGPPPPLLSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGIQFPSPLTPNFPFSSTGQSGILGPGPQPPPSPGLVFPLSPSGFFPISSPRWRDH >Vigun03g222700.2.v1.2 pep primary_assembly:ASM411807v1:3:37004724:37009744:-1 gene:Vigun03g222700.v1.2 transcript:Vigun03g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQITSPNTKPTSSNWKKRSTEKEEMDLGFWKRALESKTVRTDLSLSNPTRASFSSSSSSSNTNNTPNPNHFQNNTIQQNHFFQQQQDLRFLTPIRGIPLYQNPPFSYTPTSSTPFLNSTFLSSRFPPKRNIRAPRMRWTTALHARFVHVVQLLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDTYDNGSSGDTSEDLVFDRNSPRRSDLSIRQARPSVNQDYGNGGLWNNSSREALLHAKPKLDSIGNVPSVEGMDPKGQSNEISDGNSSSDTAESSGKKPNLDLEFTLGRSV >Vigun03g222700.1.v1.2 pep primary_assembly:ASM411807v1:3:37004724:37009744:-1 gene:Vigun03g222700.v1.2 transcript:Vigun03g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQITSPNTKPTSSNWKKRSTEKEEMDLGFWKRALESKTVRTDLSLSNPTRASFSSSSSSSNTNNTPNPNHFQNNTIQQNHFFQQQQDLRFLTPIRGIPLYQNPPFSYTPTSSTPFLNSTFLSSRFPPKRNIRAPRMRWTTALHARFVHVVQLLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDTYDNGSSGDTSEDLVFDRNSPRRSDLSIRQARPSVNQDYGNGGLWNNSSSREALLHAKPKLDSIGNVPSVEGMDPKGQSNEISDGNSSSDTAESSGKKPNLDLEFTLGRSV >Vigun09g115800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:25400518:25402455:1 gene:Vigun09g115800.v1.2 transcript:Vigun09g115800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGCEVEATDINYNIRTKAAEQPSRIFSKFLQLNREEDGCEAEDEEKTEQRSCSGVRHVLKNVNCIAKPLEILAVVGPSGAGKSSLVKILAGKASSQSGCILVNHEPVDKAELKKISGYVSQKDTLFPLLTVEETIMFSAKLRLRLPQEQLCSRVKSLILELGLSHVARNRIGDDRVRGISGGERRRVSIGVEVIHDPKVLILDEPTSGLDSISALQIIDMLKVMAESRGRTIILTIHQPGYRMVKLFNSILLLADGIVLHHGTVDLLGVNLRLMGLEIPLHVNVVEYAIDSIYTIQQHKKCQQVQLEASWRLPRKMLHEIFGDQGESRSGKFTLQELFQQSKLIDEETISAGMDITCDFANSVWRETMILTHRFSKNILRTKELFACRTIQMLLSGLVLGSVFCNLKDGLEGAEERVGLFAFVLTFMLSSTNEALPIFLQERDILMKEISSGSYRVSSYAIANGLVYLPFLLILAILFTVPLYWLIDLNRNFSAFLYFLMLIWLILYTANSVVVCFSAAVPNFIVGNSLIAGAIGSFLLFSGYFISKHQIPSYWIFMHYISPFKYPFEGFLINEFSNSKKCLEYLFGACVVRGEDVLKEAKLGGETSRWKNVGVMVCFILVSRFISFVILRYRCSQGIILKV >Vigun01g087400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24555314:24555502:1 gene:Vigun01g087400.v1.2 transcript:Vigun01g087400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSNVVARVAHTSAHFFQFIACNPERLSSDVVLHLLFCLPFQYLFRSLFSYLGYRHPHSD >Vigun01g044700.2.v1.2 pep primary_assembly:ASM411807v1:1:6575801:6577255:-1 gene:Vigun01g044700.v1.2 transcript:Vigun01g044700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIIRVCIGLKFAMEVDLNENLDGIEERLRRLEGAAFRARQRQRRRLSHAPIQIVNHAGEVVTVAHLQDEEVVHGGDFGGEITEETKGKRKASYLVAKALGLRTNEGEGFAAKLFHCNVCLKRAKDPVLTCCGHLFCWACFHKLPLPCSYSKAKECPVCEGEVTDESLTTIYGNSNVHSEVDDEASNEIPPRPCSRRVESTRQIFRN >Vigun01g044700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6575801:6577267:-1 gene:Vigun01g044700.v1.2 transcript:Vigun01g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLNENLDGIEERLRRLEGAAFRARQRQRRRLSHAPIQIVNHAGEVVTVAHLQDEEVVHGGDFGGEITEETKGKRKASYLVAKALGLRTNEGEGFAAKLFHCNVCLKRAKDPVLTCCGHLFCWACFHKLPLPCSYSKAKECPVCEGEVTDESLTTIYGNSNVHSEVDDEASNEIPPRPCSRRVESTRQIFRN >Vigun04g100300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23458183:23459317:-1 gene:Vigun04g100300.v1.2 transcript:Vigun04g100300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHQPQTQSCSRQGHQRRRWLLPEACRHRHCSPLTAVRNAAAQPHCSRLLQATAGAAVPSRRSPSSTTGVLGPIIRLCFLSKIKPRGFLLQSSANQDQPSHVSGRTNYRRRRQQPSERGTRAINQRPRYFAGIGAASSRNTTLPSNTPAAPF >Vigun11g069550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20414034:20414370:1 gene:Vigun11g069550.v1.2 transcript:Vigun11g069550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRKLIPRFSFLSIPFMVSYLHSFLFHLCSQDPTCACIIQSSSTQSPTIISFHSPLTLRLRFNLHLEVHKHTHHHYFLCFTIFQRLITHPSLSRSLHLNHPPT >Vigun04g069732.1.v1.2 pep primary_assembly:ASM411807v1:4:8066104:8067961:-1 gene:Vigun04g069732.v1.2 transcript:Vigun04g069732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRQEIELEVEAEHHLLARQQLVENDRLRNGNREALIALRKRARTTMSSVPSPFESMMRGVAGSSRPLVQEVCITYINHNTSEPTWMMFPGTDLFARIPFHAAHTILETGFWPPYSLTI >Vigun10g188100.1.v1.2 pep primary_assembly:ASM411807v1:10:40391140:40394896:-1 gene:Vigun10g188100.v1.2 transcript:Vigun10g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSNMFFKGLANRSFGRPLNGLLYCTRYSTSVSNDSDTHDDFKPANKLEDSGISLANVIEQDVKDNPVMLYMKGVPDMPQCGFSSLAVRVLKHYDVPISARNILEDVELKNAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQTGELKEKLKDVTSEK >Vigun11g080200.1.v1.2 pep primary_assembly:ASM411807v1:11:23716248:23717166:1 gene:Vigun11g080200.v1.2 transcript:Vigun11g080200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIGETDMLQTMQQDAMDLASKALDVFDVTEAIEIARFIKKEFDRMHGLGWQCIVGTDFGSFVTHCCGCFIYFCLGNLAILLFRGSASPEAHENQFSVLEAAAKA >Vigun07g031600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2933216:2938598:-1 gene:Vigun07g031600.v1.2 transcript:Vigun07g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLTIETEDSFASLLELAANNDVEGFKRMIERDPSCVDEVGLWYSRQKGSRRMVNELRTPLMVAATYGSIDILDLILSLSGYDINKPCGLDKSTALHCAASGGAENAVDVVILLLAAGADPNSVDGNGHRPVDVIVVPPKHESVRSSLEALLQTDDSIAVCNLRVITAPSNAYSPPLSTSPENGSPSAPDFQLKSKLNDGFVSSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCSRRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSSAMEFAAAMNMLPGSPSSMSVMSPSPFTPPMSPSANGMSHSSVGWPQPNVPALHLPGSNLHSSRLRSSFNARDIPMDEFDILPEYDAQQQQQLLNEFSCLSKQPNAMNRSGRIKTLTPSNLDDLFSSESSSPRFADPALASAVFSPTHKSAILNQFQQQQSLLSPVNTNFSSKNVENPLLQAASFGVQASGRMSPRNVEPISPMSSRISVLAQREKQQQFRSLSSRELGSNSATVAAAAAAGSPVNSWSKWGPSNGTLDWAVNADELRKHRRSSSFEHGNNSEEPDFSWVQSLVKESPTEFKDNSTATVSSGAAAGSSSEVSNMSTQMDSVDHAVLGAWLEQMQLDLVAQQN >Vigun03g339500.1.v1.2 pep primary_assembly:ASM411807v1:3:53776125:53784826:-1 gene:Vigun03g339500.v1.2 transcript:Vigun03g339500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEVVDDQNSGWFQVKKKHRNTSKFSLQSWVGGFSGKNASNSLHTQHCITKTDDNSRSQQKNNLSRSGGIFSQNPASGSVSSSLAESNEKESANCFNTGVVKHNSESQNSTALITVDSQGKHEEVPKLQQTDKPDLAQKIRWGDIEEGGLALPHENLIGVGIKFGSIGDDNLLSCRKNENIPEPCDSYHAQAKDLMVNAINGESASDQIPLMRHEDEILGENGKDVKNVSLEHFNNQQLVEERIGPDDENNDEANKTTTHGAINNDSLSTEDAAEVTNHAHASSINLVSDKKISKVPEQNGSLSEAVIAHGTESQVPEIVNDSVVSTEAVRVSLDGNVENVVSTSQNMGSLEEGDSNESKERFRQRLWCFLFENLNRSVDELYLLCELECDLEQMKEAILVLEESASDFRELITRVEEFEMVKKSSQIMDGVPVILKSDHRRPHALSWEVRRMTTSPHRADILSSSLEAFRKIQQERAILQSGTTESSMSLTSESIGNTNKSRVNNGTDAAKYLVTKSRKHVGSSDDRQGSLNEKKHIIVGEKSCDSNTMLNGCNPPENILTSEVKLSKLPPLENSSASATTKGKRDHLGFGPDKTLYKKDKALTEGVNEKPPRSMDNIRRQMPLPEKDKEKRSIAPGKSLNAWKEKRNWEDILSSPFRVSSRMPYSPSLGRKSAERVRTLHDKLMSPDKKKKTTSDLKREAEEKHARAMRIRSELENERVQKLQRTSQKLNRVTEWHAVRHMKLREGMYARHQRSESRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKLILRQKLHESELRRAEKLQVLKSKQKEDLAREEAVIERRKLIEAEKLQRLAEIQRRKEEAQVRREEERKASSAAREARAIEQLRRKEERAKAQQEEAELLAQKLAERLNESEQRRKIYLEQIRERANLRDQSSPLLRRSLNKEGQGRSTPTNSIDDSHTNVVSGVGSTSLGIGNITLQHSIKRRIKRIRQRLMALKYDFLEPPVGGESASLGYRVAVGAARAKVGRWLQELQRLRQARKEGAASIGLIISEMIKYMEGKDPELQASRQAGLLDFIASALPASHTSKPEACQVMLHLLKLLRVVLSTPANRSYFLAQNLLPPIIPMLSAALENYIKIAASLGVPGNFSLPSSKASVENFESISEILNNFLWTVTAIFGHISSEERQLQMRDGLLELLISYQVIHRLRDLFALHDRPQTEGSAFPGPILLSIQLLVVLTSRSGRLSYIDWESCPLTMEQEIGSEGAKLTDSAHFVVNNSWGDYTPLSMINGSSVVHLPDVPEDRPLDEMIKVNKNNESISIGKDSELEHDSSVKLKNDVIEKIDLDESKKNQSVDLTNLSIPPKDEKHTGVNATVQKNEKVSNLGQPVVFLLSAISETGLVSLPSLLTAVLLQANNRSSSEQASYILPSNFEEVAAGVLKVLNNVALLDLVFLQRMLARPDLKMEIFHLMSFLLSHSAIKWKSPTDQVGSLVLESLSLLGHFALFHPGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAGTLVAACYGCEQNKFVVQQELSVDMLLSLLRSCRNAASATQLNSALDNSTTDESSEYNPLGTEIKKPHVEIPVKCVRSNGKGPRASFGKSGGASGNNLKNGRIRSQRDAKTTKHSEELAPRHGEPSYLMLHCRFPPSFIDKVEQFFSAEIANGLDEL >Vigun06g145700.1.v1.2 pep primary_assembly:ASM411807v1:6:27110920:27114823:1 gene:Vigun06g145700.v1.2 transcript:Vigun06g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSNLSVGEIIGGSNSGDLKFRHPSPLSRSVNLPSSGTEKQPPSSVSKQHGNGNQLAKSGGLSLPPVPPQSLKPAVDVRRGKKGSGHQEDVHSLRLLYNRYLQWRFANAKGHTTMKAQQVESQKVLYSQAMKISEMRESVNKKRIELEFLRRSKTLTSILEAHIPYLDEWSTMTEEYSVSITETIQALVNASVQLPVGGNVRVDVREVRDALNSALKMLETMISNIQRFVPKAEEIDISISELARIAGGEKALVGECGDLLSKTYKSQVEECCLRSQQIQLHSFCLENKNKERTNS >VigunL078600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000578.1:39862:42199:1 gene:VigunL078600.v1.2 transcript:VigunL078600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKADLVDNLGVGFYSTYLVAHKVILTSKHNDHDQYIWESQPSSSCFLTTDINDQRLPRGTKITLFLKDDQSEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINIWLHDQEMDNKFVAQNLGKHLPDHLAFNILFKLSLKSLKRFGCICKSWALLLENPNFMNLFYNNFISNQNSYFDDSSLLLCLGPDYDQYNDESSLYSLFGMKFQNSTILNWPNPRIEGHSPGVYILGSSSINGIICLYLEATDIVYLWNPSTNECKVTVPSPVSDVQYDFDVVIEYEGFGYDIARDDYKVIRKIGYYAQGNLVENNHNEWEYDRFWEIYSLRSNSWRKLNIEFLNCQGINNRFYLEGMCHWLCNNADEGYLVSFDISNEVCYTTLTPLDIPTKTYNDFNFCLVMRHLFLLNGSIALMSSYKDTTIFYVSILVEVGKKETWTKLFTIGPLPSLSFPIGTSNMGNILFETNDGELAWFDLRTNLIEKLGVNVQNRNCQIILYKKSLSNRRINT >Vigun08g069300.1.v1.2 pep primary_assembly:ASM411807v1:8:10923607:10924685:1 gene:Vigun08g069300.v1.2 transcript:Vigun08g069300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFVGFFGVYKEASKIILSWRKIFSYITLTLILPLSFIFLLHIEVSTLLFRKILYNSIVMDETNPNTPQYNKLSDMISSEWITLVLFKLVYFTLLLIFSLLSTSAVVYTIASIYTAKDVTFKKVMRVVPKVWKRLMLTFLCAFAAFFAYNIVTMVVIFLWAISIGLNTAGFVVFAVIAVLYFVGFVYLTMVLSIFVFFTLVGSFVLIRVLFKETVVDGWSVISVDKTAYGVLCFLLLSCLFLFGLVVQTVIYFVCKSFHHENIDKSALADYLEVYRGEYVPLTAKDVQLEQYQV >Vigun08g069300.2.v1.2 pep primary_assembly:ASM411807v1:8:10923561:10925134:1 gene:Vigun08g069300.v1.2 transcript:Vigun08g069300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFVGFFGVYKEASKIILSWRKIFSYITLTLILPLSFIFLLHIEVSTLLFRKILYNSIVMDETNPNTPQYNKLSDMISSEWITLVLFKLVYFTLLLIFSLLSTSAVVYTIASIYTAKDVTFKKVMRVVPKVWKRLMLTFLCAFAAFFAYNIVTMVVIFLWAISIGLNTAGFVVFAVIAVLYFVGFVYLTVVWQLASVVTVLEDSWGVRAMLRSKELIKGKMVLSIFVFFTLVGSFVLIRVLFKETVVDGWSVISVDKTALS >Vigun08g069300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10923501:10925024:1 gene:Vigun08g069300.v1.2 transcript:Vigun08g069300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFVGFFGVYKEASKIILSWRKIFSYITLTLILPLSFIFLLHIEVSTLLFRKILYNSIVMDETNPNTPQYNKLSDMISSEWITLVLFKLVYFTLLLIFSLLSTSAVVYTIASIYTAKDVTFKKVMRVVPKVWKRLMLTFLCAFAAFFAYNIVTMVVIFLWAISIGLNTAGFVVFAVIAVLYFVGFVYLTVVWQLASVVTVLEDSWGVRAMLRSKELIKGKMVLSIFVFFTLVGSFVLIRVLFKETVVDGWSVISVDKTAYGVLCFLLLSCLFLFGLVVQTVIYFVCKSFHHENIDKSALADYLEVYRGEYVPLTAKDVQLEQYQV >Vigun06g129000.1.v1.2 pep primary_assembly:ASM411807v1:6:25552869:25554373:-1 gene:Vigun06g129000.v1.2 transcript:Vigun06g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRVGVAVDFSSCSIKALQWTVDNVVKEGDHLVLVVVRHASNYEHGEMQLWETTGSPLIPVSEFAEPAVMKKYEFNPEPQVIDIVQTAARQKNITVIMKIYWGDAREKLCLAVDQVPLDYLTIGNRGLGPLQRVIMGSVSNYVVNNATCPVTVVKG >Vigun03g449300.1.v1.2 pep primary_assembly:ASM411807v1:3:65034018:65048966:-1 gene:Vigun03g449300.v1.2 transcript:Vigun03g449300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPPSKRPRGGGDADGDGNGQLVIYEDPPESSQEPSASEHMLCTYQCRQMVKSDFIEALNKAENQARDYQSKFETLEDNFQKVESERKKFVDQFLYAEQELAAAKGREQALQEQLLKEVTESQERLRKQIQLNSELQVKLQNEMNLRKTAESHASSAEEKMTSLEGKLGHLAESIEREKKRLHDEHSQLKSDSKLSISRISANLEQMECRANNAEREAELLKEQLKHLKGQLNECLHQKIELEKKVSSLMFQEVASTESNVLVKHLQQELRNYESEVREARKLRSSHENIDLLKEKLIEEKSHRERAESELSKLQDIQLNMKKLEDQISSWRMMITDIPGVTCFEDLPVKFAALQKEVIYSTQKEGEITARLKQMEVALDAIEIGKKNAEAEAALAKEKAEVLISEIKRIELMLAVVTEERDKLRNGASKNVETLDASKNEPESFLTKKDDCIKELESTLHDQRLINSRQLEEIKLLNEKLHSEARRVKSLERESDRLRSEISLLEAKLGHGDFSAANTKVLRMVNTLTVDNEAKQTIEALQTELQKTKDKLKAVEELKSQSGEGGKLVDSYISDKMLKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHHRPNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTNILANHYTSLPEVSRQVEIFIRKMNSIPAFTANITVESFNKRTLS >Vigun05g140500.2.v1.2 pep primary_assembly:ASM411807v1:5:17360207:17367374:1 gene:Vigun05g140500.v1.2 transcript:Vigun05g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRESGRLTVGDLASKMSRLKLVGENLSEEERASYIQDLYQNTNEEVDFELFLKVYLKLQTFASSRTGSNAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAGDEFLKKCLPIDSSTNDLFEIAKDGVLLCKLINVAVPRTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVSDSEGMEELMSLAPEKILLRWMNFHLKKSSYKKIVMNFSSDVRDAEAYAHLLNVLAPEYTNPSTLAVKNPYERAKLVLEHADKMGCKRYITARDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQSSLLENLLDDTQDSREERAFRLWINSLGNSTYINNVFEDVRNGWVLLETLDKVSPGIVNWKIANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGYKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANSRVSSSGSQSRMNSFKDKSVTDGIFFLELLSSVQPRAVNWGLVTKGITDQEKMMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHPLEERTVGGSDSESGSQLETTSNSTLDDSASDSSAEENGSM >Vigun05g140500.1.v1.2 pep primary_assembly:ASM411807v1:5:17360207:17367346:1 gene:Vigun05g140500.v1.2 transcript:Vigun05g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWGILVSDPWLQNQFTQVELRSLKSQFVSMRRESGRLTVGDLASKMSRLKLVGENLSEEERASYIQDLYQNTNEEVDFELFLKVYLKLQTFASSRTGSNAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAGDEFLKKCLPIDSSTNDLFEIAKDGVLLCKLINVAVPRTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVSDSEGMEELMSLAPEKILLRWMNFHLKKSSYKKIVMNFSSDVRDAEAYAHLLNVLAPEYTNPSTLAVKNPYERAKLVLEHADKMGCKRYITARDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQSSLLENLLDDTQDSREERAFRLWINSLGNSTYINNVFEDVRNGWVLLETLDKVSPGIVNWKIANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGYKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANSRVSSSGSQSRMNSFKDKSVTDGIFFLELLSSVQPRAVNWGLVTKGITDQEKMMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHPLEERTVGGSDSESGSQLETTSNSTLDDSASDSSAEENGSM >Vigun05g140500.3.v1.2 pep primary_assembly:ASM411807v1:5:17360207:17367346:1 gene:Vigun05g140500.v1.2 transcript:Vigun05g140500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWGILVSDPWLQNQFTQVELRSLKSQFVSMRRESGRLTVGDLASKMSRLKLVGENLSEEERASYIQDLYQNTNEEVDFELFLKVYLKLQTFASSRTGSNAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAGDEFLKKCLPIDSSTNDLFEIAKDGVLLCKLINVAVPRTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVSDSEGMEELMSLAPEKILLRWMNFHLKKSSYKKIVMNFSSDVRDAEAYAHLLNVLAPEYTNPSTLAVKNPYERAKLVLEHADKMGCKRYITARDIVEGSPNLNLAFVAHIFQHRWVLLETLDKVSPGIVNWKIANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGYKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANSRVSSSGSQSRMNSFKDKSVTDGIFFLELLSSVQPRAVNWGLVTKGITDQEKMMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHPLEERTVGGSDSESGSQLETTSNSTLDDSASDSSAEENGSM >Vigun03g284300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46521281:46521834:-1 gene:Vigun03g284300.v1.2 transcript:Vigun03g284300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKERKTESESEKERLEGLPIEDSPYVQYKDLEDYKQQGYGTQGHQEPKTGRGAGATEAPTLSGAALSSQSEATATGANNHKGVP >Vigun02g054900.1.v1.2 pep primary_assembly:ASM411807v1:2:19785359:19787876:1 gene:Vigun02g054900.v1.2 transcript:Vigun02g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGGTKDDLRLPTDESLLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >Vigun08g174700.4.v1.2 pep primary_assembly:ASM411807v1:8:34504998:34508886:1 gene:Vigun08g174700.v1.2 transcript:Vigun08g174700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSHGNSNGDGGFNDGFSLNSSSNTLSKSNSVSSKSSSSGAVGSRSGNETIAQHYTNQAKKSAPTSGSIMASTVYEALPSFRDVPSSEKQTLFIRKLNMCCVVFDFNDPAKHLKEKDVKRQTLLELVDYVSSVNSKFNESAMNEMTKMVATNLFRALPSSNHDGKLADVSEPDEEEPVLEPAWPHLQIVYEFLFRFVASPETDPKLAKRYIDHSFVLRLLDLFDSEDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHSGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSLYHQQLSYCITQFVEKDVKLADTVVRGLLKYWPITNSAKEVMFLGELEEVLEATQAAEFQRCVIPLFRRIGRCLNSLHFQQETGCGFEGFFNVLG >Vigun08g174700.1.v1.2 pep primary_assembly:ASM411807v1:8:34504980:34508886:1 gene:Vigun08g174700.v1.2 transcript:Vigun08g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSHGNSNGDGGFNDGFSLNSSSNTLSKSNSVSSKSSSSGAVGSRSGNETIAQHYTNQAKKSAPTSGSIMASTVYEALPSFRDVPSSEKQTLFIRKLNMCCVVFDFNDPAKHLKEKDVKRQTLLELVDYVSSVNSKFNESAMNEMTKMVATNLFRALPSSNHDGKLADVSEPDEEEPVLEPAWPHLQIVYEFLFRFVASPETDPKLAKRYIDHSFVLRLLDLFDSEDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHSGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSLYHQQLSYCITQFVEKDVKLADTVVRGLLKYWPITNSAKEVMFLGELEEVLEATQAAEFQRCVIPLFRRIGRCLNSLHFQVAERALFLWNNDHIRTLILQNSKVILPIIFPALEKNIRGHWNQAVQSLTLNVRKIFSDADQALFDECLTRFQEEEIKNREKLEKRESIWKQLEDVAAANVVSNEAILVSRFVSSVAIATSANQLATAGG >Vigun08g174700.3.v1.2 pep primary_assembly:ASM411807v1:8:34504998:34508886:1 gene:Vigun08g174700.v1.2 transcript:Vigun08g174700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSHGNSNGDGGFNDGFSLNSSSNTLSKSNSVSSKSSSSGAVGSRSGNETIAQHYTNQAKKSAPTSGSIMASTVYEALPSFRDVPSSEKQTLFIRKLNMCCVVFDFNDPAKHLKEKDVKRQTLLELVDYVSSVNSKFNESAMNEMTKMVATNLFRALPSSNHDGKLADVSEPDEEEPVLEPAWPHLQIVYEFLFRFVASPETDPKLAKRYIDHSFVLRLLDLFDSEDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHSGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSLYHQQLSYCITQFVEKDVKLADTVVRGLLKYWPITNSAKEVMFLGELEEVLEATQAAEFQRCVIPLFRRIGRCLNSLHFQVAERALFLWNNDHIRTLILQNSKVILPIIFPALEKNIRGHWNQAVQSLTLNVRKIFSDADQALFDECLTRFQEEEIKNREKLEKRESIWKQLEDVAAANVVSNEAILVSRFVSSVAIATSANQLATAGG >Vigun08g174700.2.v1.2 pep primary_assembly:ASM411807v1:8:34504998:34508886:1 gene:Vigun08g174700.v1.2 transcript:Vigun08g174700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSHGNSNGDGGFNDGFSLNSSSNTLSKSNSVSSKSSSSGAVGSRSGNETIAQHYTNQAKKSAPTSGSIMASTVYEALPSFRDVPSSEKQTLFIRKLNMCCVVFDFNDPAKHLKEKDVKRQTLLELVDYVSSVNSKFNESAMNEMTKMVATNLFRALPSSNHDGKLADVSEPDEEEPVLEPAWPHLQIVYEFLFRFVASPETDPKLAKRYIDHSFVLRLLDLFDSEDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHSGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSLYHQQLSYCITQFVEKDVKLADTVVRGLLKYWPITNSAKEVMFLGELEEVLEATQAAEFQRCVIPLFRRIGRCLNSLHFQVAERALFLWNNDHIRTLILQNSKVILPIIFPALEKNIRGHWNQAVQSLTLNVRKIFSDADQALFDECLTRFQEEEIKNREKLEKRESIWKQLEDVAAANVVSNEAILVSRFVSSVAIATSANQLATAGG >Vigun08g174700.5.v1.2 pep primary_assembly:ASM411807v1:8:34504998:34508886:1 gene:Vigun08g174700.v1.2 transcript:Vigun08g174700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKIPRKPSKSSHGNSNGDGGFNDGFSLNSSSNTLSKSNSVSSKSSSSGAVGSRSGNETIAQHYTNQAKKSAPTSGSIMASTVYEALPSFRDVPSSEKQTLFIRKLNMCCVVFDFNDPAKHLKEKDVKRQTLLELVDYVSSVNSKFNESAMNEMTKMVATNLFRALPSSNHDGKLADVSEPDEEEPVLEPAWPHLQIVYEFLFRFVASPETDPKLAKRYIDHSFVLRLLDLFDSEDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHSGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSLYHQQLSYCITQFVEKDVKLADTVVRGLLKYWPITNSAKEVMFLGELEEVLEATQAAEFQRCVIPLFRRIGRCLNSLHFQ >Vigun07g070400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8674931:8676158:1 gene:Vigun07g070400.v1.2 transcript:Vigun07g070400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSFLSKPSRYIFPTTSDTHLSQTQEGMFELDEADLWNHHSSATDHAKKGLPSSGSRSVPKRGSRNNSNKVDGGGRDRVPAASSLPVNIPDWSKILKEDYKDHNPRWESEDEDEDDNFGGCGDEPNQGQQGLRNIRVPPHEYLARTRGASLSVHEGIGRTLKGRDLRSVRNAIWKKVGFED >Vigun10g073800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:19305298:19306806:-1 gene:Vigun10g073800.v1.2 transcript:Vigun10g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLLSLLLFFVFLLSFFNGKQYGYHRNHAHPSHPIIGCLVSFYKNRHRLLDWYTEQIAQSPTHTIVVHRLGARRTVVTANPRNVEYILKTNFGNFPKGKPFTEILGDLLGCGIFNVDGELWQAQRKLASHEFSTRSLKDFIVKTLQEEVQHRLIPLLEQASREKHVIDLQDVLRRLTFDTVCKVSLGYDPCCLDLKRPLPPLLTAFDTASEVSAARGAAPVFLVWKMKRMLNMGSEKALKEAVKLVHESVMKIIKGKKDEMTYNERKGGTDLLVRLLEAGQEETVVRDMVISMIMAGRDTTSAAMTWLFWLLSKHREEEALLVKEIYCGNNRCEGLDYECLKEMKLLKACLCESMRLYPPVAWDSKHASGADVLPDGTHVGKGERVTYFPYGMGRMEALWGKDCCDFKPQRWFDEENVDNGVLKCVNPYKFPVFQAGPRVCLGREMAFIQMEYVVASILNRFVISPVSDDHPRFVPLLTAHMAGGFKVRINSRTGAGTQE >Vigun05g141600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:17679677:17680954:1 gene:Vigun05g141600.v1.2 transcript:Vigun05g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANLQRLSSQVHRLPSISFFSKSLISRTTATSSSTKKVSDRIVRLSAIDFEGKKHEVVGLAGHTLLKALINTGLIDPDSHRLEEIDACSAHCEVNIAQEWLDKLPPRSYDEEYVLVRNSRARVLNKHSRLGCQVLLDHNLQGMVVALPEPKPWDTS >Vigun03g421400.1.v1.2 pep primary_assembly:ASM411807v1:3:62713748:62714772:-1 gene:Vigun03g421400.v1.2 transcript:Vigun03g421400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSQAKSLRLLLPQSISLIPVHRRGYAVASDVSVRVGLGNNVGRRSGIVGGAEEKPVTRDGAKAYSDWAPDPITGDYRPINHTPEIDPVELRRMLLNHKFKSPQ >Vigun03g209400.2.v1.2 pep primary_assembly:ASM411807v1:3:34430074:34436531:-1 gene:Vigun03g209400.v1.2 transcript:Vigun03g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTRFTTTTLVVSVLKSFTGADDETGKQVYEVCTIGGRNAYNMVLHKFGEKLYSGLVATMTGHLKDIAQSVEAAQGGSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTQKTPVHELGLNLWKENVIYSSQIRTRLLNTLLELVHSERTAEVIDRGIMRNITKMLMDLGPSVYGQEFETHFLQVSAEFYRVESQKFIECCDCGDYLKKAERRLNEEMERVSHYLDSRTEKKITNVVEKEMIENHMLRLIHMENSGLVHMLCDDKYEDLSRMYNLFRRVTDGLSKIREVMTSHMRESGKQLVTDPERLKDPVEYVQRLLDEKDKYDKIINLAFNNDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYGCHPELSDGPTLTVQVLTTGSWPTQSSVTCNLPAEMSTLCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMGKEIVEDDAFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEVKKRIESLIERDFLERDDSDRRLYRYLA >Vigun03g209400.1.v1.2 pep primary_assembly:ASM411807v1:3:34430074:34436531:-1 gene:Vigun03g209400.v1.2 transcript:Vigun03g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVMDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVATMTGHLKDIAQSVEAAQGGSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTQKTPVHELGLNLWKENVIYSSQIRTRLLNTLLELVHSERTAEVIDRGIMRNITKMLMDLGPSVYGQEFETHFLQVSAEFYRVESQKFIECCDCGDYLKKAERRLNEEMERVSHYLDSRTEKKITNVVEKEMIENHMLRLIHMENSGLVHMLCDDKYEDLSRMYNLFRRVTDGLSKIREVMTSHMRESGKQLVTDPERLKDPVEYVQRLLDEKDKYDKIINLAFNNDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYGCHPELSDGPTLTVQVLTTGSWPTQSSVTCNLPAEMSTLCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMGKEIVEDDAFFVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKQLDHNNLIAEVTKQLQSRFLANPTEVKKRIESLIERDFLERDDSDRRLYRYLA >Vigun07g083500.1.v1.2 pep primary_assembly:ASM411807v1:7:12202280:12207385:1 gene:Vigun07g083500.v1.2 transcript:Vigun07g083500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQPSLNHRREEEMTSSEASPYSPKSIKHTRSLPRSINYLLREQRLLFILVGILIGSTFFIIQPTLSRIGPPESHNFLPRSGLTRLSGSHTGRVPVGITGRRPRIVVTGGAGFVGSHLVDKLIARGDDVIVIDNFFTGRKENLVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEYKPNTADDPHMRKPDISKAKELLNWEPKIPLREGLPLMVNDFRNRILNEDEGKGMK >Vigun07g267900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38327552:38327941:1 gene:Vigun07g267900.v1.2 transcript:Vigun07g267900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVSAELLIVTLSGFCYEGSKGGLVYEFMSNGSLEKFILEENALLTDNELDCQMLYHIAIGVARGLRYLHKGCNIRILHFDIKPHNILLDENFKSKISDFGLAKICTRKESMVSIFGNSETIASCMP >Vigun11g225300.1.v1.2 pep primary_assembly:ASM411807v1:11:41560991:41565925:-1 gene:Vigun11g225300.v1.2 transcript:Vigun11g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLPCNSEGACMQCKAVPGEEETLICITCNSPWHLPCLSSPPATLAATVHWSCPDCSDINPHPSPLPSPLCNDLVTAMLAIENDDSLTQTQKAKKRQQLMTGKAPAQDEDEEEEEEEENETQSTNVWDMVSRSIKCSICLQLPERPVTTPCGHSFCLKCFQKWVRQGKKNCVQCRQLVPAKFTSQPRINSALVFAIRMARTNSNPNLGVDGTVNHFWHNQDRPDEPFTTERAQRKGRANAASGKIFVTVPTDHFGPITAEHDPMRNQGLLVGESWRDRLECRQWGAHFVPVGGIAGQSDRGAQSVVLSGGYVDDEDHGEWFLYTGSGGKDLSGNKRTNKSHSFDQQFEKYNLALQVSCLKGYPVRVVRSHKEKRSSYAPPTGVRYDGIYRIEKCWQIAGLQGFKVCRYLFVRCDNEPAPWTSDDRGDRPRPLPGIRELKKATITFERTESPSWDFDEEDSCWKWKKPPPPSKRKVQSEEPIEGVRAMSTRETTKFQLEILKKKLHIGFACMICEKVMVSPVTTPCAHNFCKSCLEDKFAGQALVRERSRGGRTLRSQKIVIPCPSCPIDISDYLQNIQVNVHLKDAIESLKANVANIEKSLESTEDAADGLDDNTDSGNQNSAKELNDLSEGSDVGELEDTDNERPHPEVTSTRKRQKGDDETQSPDAKIGKRIK >Vigun05g229100.2.v1.2 pep primary_assembly:ASM411807v1:5:42259368:42273421:1 gene:Vigun05g229100.v1.2 transcript:Vigun05g229100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKSLPLYDKTWVKHTFSRTMDSFILFLLLLLLGYRIFRHDSNTFLFFVTVTCESWFTFTWILVINTKWSPAHTTTYIDSLLLRVPEAELPAVDLFVTTADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLNFYALLQASNFAKLWVPFCKKCNIQLRVPFRYFSNNTNPANNEDSPQFIQDWRRLKNEYEDLCSKIMNAANESIPLIGEFAIFSDTQLKNHPTVIKVICENKGLSDELPHLVYVSREKKQEHPHHHKAGAMNVLTRVSGVMTNAPFILNVDCEVYVHNPKIVLHALCILLDSKGEKEVAFAQCIQQYDDGLKDDPFGNQLVAAFLYLGRGLAGIQGIFYVGTNCLHRRQVIYGLSPDHHIRNGKKHDDITDGKLSEKERIFGSSKRFVESATNALEGKTLASNNNIWKNVEAAKEVGSCEFEYGTAWGKQVGWMYGSTSEDALLGLKIHAKGWRSETCSPELIAFMGCSPQDVISVMSQQKRWTSGLLDILLSKNNPIFLTLFGNLQFRQCLGYLWVITWGLRPVPEICYTLLPAYCIINNSTFLPKELGQWILVTMFVIYHITTLVEYLRTGLSMRTWWNSQRMARITTTNAWFFGFLHVLLKLFRISDNVFEITKKEQPSSNEGANENNGRFTFNKSPIFVPGTTILLIQLIALVTKLLGWETPVRNGDGSGIGEVFCCSILVVCYWPFFKGLFGKGKYGIPLSTVCNIIQSAEFVREMNCGGVVVRFGLWVVAMSIAGYIVGPPLYWHLIELINHSSSSCTPCVCDCSSQPIISIPQGYLRLSFQQLTYL >Vigun05g229100.1.v1.2 pep primary_assembly:ASM411807v1:5:42259345:42267363:1 gene:Vigun05g229100.v1.2 transcript:Vigun05g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKSLPLYDKTWVKHTFSRTMDSFILFLLLLLLGYRIFRHDSNTFLFFVTVTCESWFTFTWILVINTKWSPAHTTTYIDSLLLRVPEAELPAVDLFVTTADPVLEPPIITINTVLSLLALDYPTNKLACYVSDDGCSPLNFYALLQASNFAKLWVPFCKKCNIQLRVPFRYFSNNTNPANNEDSPQFIQDWRRLKNEYEDLCSKIMNAANESIPLIGEFAIFSDTQLKNHPTVIKVICENKGLSDELPHLVYVSREKKQEHPHHHKAGAMNVLTRVSGVMTNAPFILNVDCEVYVHNPKIVLHALCILLDSKGEKEVAFAQCIQQYDDGLKDDPFGNQLVAAFLYLGRGLAGIQGIFYVGTNCLHRRQVIYGLSPDHHIRNGKKHDDITDGKLSEKERIFGSSKRFVESATNALEGKTLASNNNIWKNVEAAKEVGSCEFEYGTAWGKQVGWMYGSTSEDALLGLKIHAKGWRSETCSPELIAFMGCSPQDVISVMSQQKRWTSGLLDILLSKNNPIFLTLFGNLQFRQCLGYLWVITWGLRPVPEICYTLLPAYCIINNSTFLPKELGQWILVTMFVIYHITTLVEYLRTGLSMRTWWNSQRMARITTTNAWFFGFLHVLLKLFRISDNVFEITKKEQPSSNEGANENNGRFTFNKSPIFVPGTTILLIQLIALVTKLLGWETPVRNGDGSGIGEVFCCSILVVCYWPFFKGLFGKGKYGIPLSTVCKSVLLTFLFVYFCRAY >Vigun09g067600.2.v1.2 pep primary_assembly:ASM411807v1:9:7191384:7196790:-1 gene:Vigun09g067600.v1.2 transcript:Vigun09g067600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNVKAETMSLMDKRSALEAEMNAIIARLSQPGAPGVSGGLVDSEGFPRSDIDIPVVRAERHRLAELRNDHKEITEKINQNIQILHSARLESNDDGSETQTSSTTDTVALMTSQNVLMRHSPNSMDVDVLISRPFAMVDEIADASPAVEDGLQLGDQILKFGDVEAGDNLLQRLSSEAQSNMGRAVPVVIVRQGIVINLTVTPRSWQGRGLLGCHFRIL >Vigun09g067600.1.v1.2 pep primary_assembly:ASM411807v1:9:7191384:7196790:-1 gene:Vigun09g067600.v1.2 transcript:Vigun09g067600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNVKAETMSLMDKRSALEAEMNAIIARLSQPGAPGVSGGLVDSEGFPRSDIDIPVVRAERHRLAELRNDHKEITEKINQNIQILHSARLESRSSVYKNPGNDDGSETQTSSTTDTVALMTSQNVLMRHSPNSMDVDVLISRPFAMVDEIADASPAVEDGLQLGDQILKFGDVEAGDNLLQRLSSEAQSNMGRAVPVVIVRQGIVINLTVTPRSWQGRGLLGCHFRIL >Vigun06g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26778364:26780497:-1 gene:Vigun06g142200.v1.2 transcript:Vigun06g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLDPKEFRRQGYMIIDFLADYYQNIGKYPVLSQVEPGYLRNLIPDFAPLSPEPIETILQDLQQHIVPGITHWQSPNYFAYFPSSGSTAGFVGEMLSTGFNLVGFNWVSSPAATELESVVMDWLGQVLKLPKAFLFSGSGGGVLLGTTCEAILVTLVAARDKTLSQIGRENISQLVVYVSDQTHCAIQKAAHIIGIHPKNLRVIKTMKSTSFTLSPESLSSAIRTDVENGLVPCYLCVTVGTTSTTAIDPLGPLCMVAKKYAMWVHVDAAYAGSVCICPEFRHLIDGVEDANSFSFNAHKWFLTNLDCCCLWVKDPASVIKSLSTHSGYLENSATDSKQVVDYKDWQITLSRRFRALKLWLVLRSYGVANLRNFLRSHVEMAKTFEGLVRRDKRFEIFVPRNLAMVCFRLLPSAVAKISSNGNGKVQNGGFKTEDVANETNRRLLDSINGSGTVYMTHAIVGGVFVIRCAIGATLTDTTHVIMAWKTVLEHADAILSY >Vigun03g331300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52878841:52881558:1 gene:Vigun03g331300.v1.2 transcript:Vigun03g331300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSEMWTTMGSTLASFMFLWTIMRQYCPYGVQRFLEKYTHRIMSYFSPYIRISFHEYMGDRLKRSEAYGAVEAYLSANTSKSAKRLKAEMGKDSSNLVLTMDEYERVTDEHEGVKVWWVCSKVMSPTRSSMSYYPEQERRFYKLTFHNKYREVITESYLEHVMREGKEIRLRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMALEPEKKKEIIEDLVTFSKSKDFYARIGKAWKRGYLLHGPPGTGKSTMIAAMANLLAYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKTEKLLSDEETDKDVVVGRKEGKEEGGSGSRVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIQLSYCTFDGFKVLANNYLKLQTHPLFDTIKRLIGEAKITPADVAENLMPKSPLDDPHKCLSNLIEALEKAAKTEELEQSCAIQHEELLQQNGSIKENGE >VigunL010300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:44502:48251:1 gene:VigunL010300.v1.2 transcript:VigunL010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSIPFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPLGIWVLDPWEIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFLFVSIYWWGGI >Vigun05g109600.1.v1.2 pep primary_assembly:ASM411807v1:5:11261518:11264256:-1 gene:Vigun05g109600.v1.2 transcript:Vigun05g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAAATASSQLIFSKPCSPSRLCPFQLCVFDTKSVLSSSSSRRKHVGGSGVRCMAVGEATTETKKRSGYELQTLTNWLLKQEQAGVIDAELTIVLSSISMACKQIASLVQRANISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDPTLGTTEERCIVNVCQPGSNLLAAGYCMYSSSIIFVITLGKGVFAFTLDPMYGEFVLTQENLQIPRAGKIYAFNEGNYQLWDDNLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPVEIHQRVPLYIGSVEEVEKVEKYLA >Vigun03g368600.1.v1.2 pep primary_assembly:ASM411807v1:3:57161610:57161951:-1 gene:Vigun03g368600.v1.2 transcript:Vigun03g368600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Vigun07g015500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1425273:1425557:-1 gene:Vigun07g015500.v1.2 transcript:Vigun07g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMPGIVRQGSFSASKATRKGLEVPKGYLAVYVGVNMRRFVIPISYVNQSSFQDLLSKAEQEFGYDHPTGGLTIPCDEDEFLSLTSRLNELL >Vigun03g365700.1.v1.2 pep primary_assembly:ASM411807v1:3:56905409:56908031:-1 gene:Vigun03g365700.v1.2 transcript:Vigun03g365700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYYTPTYYSTLHDSITSLCKTILPFSFKKRPLPAAEHKLSKLQSDNLKWQQDSFHQVLNLMGLHKEGIVAESEVSAFRTHLLDTLIASPPEQEHPVVLRDKLLFLQELLYAKCISEEEYHSSKRPLLQRLAAQGAQIEARDVIVAKTKESKENLEEEWSVIDLKDEKCSVNKENSGCKNRSNQGSSMKQIKGATSVFGFGKSGKTGTEKSIFDSPSLSAKNENPFWDAQAKGAQSEGGSILMKESEPPEAVKESSGLEKSKRKPFRALFHKEQRETHNGEQDQKSGKSVKKQWGFEGFKKWKRNETEDETCSLSRNERSDNEAFSGPFAKGFGEGPDTKLMKKKLHSDGSPSDFFIDKVLGDKIKKELSRIQTELSSTNPHLKFSNDQIEAISTRIPVDKAELKNYFPKSWCDRYGDVVLDVVKKEFKDHVAEMENMRNVARERHGNSRRWTTTTTSDNDENIHPNPFGHRENSVRSSNINPFSHGFA >Vigun11g168400.2.v1.2 pep primary_assembly:ASM411807v1:11:37509672:37519413:-1 gene:Vigun11g168400.v1.2 transcript:Vigun11g168400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIASSLLLPLGSTRGKGPSFTPPQSILVDKFRRLVVKVESSERRECNTAMFNANSRRNFLGLALGVSGLFMGSLDASGAGLPPEEKPKLCDETCEKELENVPMVTTGSGLQYKDIKVGQGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIPGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPSSPVIFDVSLEYIPGLEVDEE >Vigun11g168400.1.v1.2 pep primary_assembly:ASM411807v1:11:37515396:37519604:-1 gene:Vigun11g168400.v1.2 transcript:Vigun11g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIASSLLLPLGSTRGKGPSFTPPQSILVDKFRRLVVKVESSERRECNTAMFNANSRRNFLGLALGVSGLFMGSLDASGAGLPPEEKPKLCDETCEKELENVPMVTTGSGLQYKDIKVGQGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIPGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPSSPVIFDVSLEYIPGLEVDEE >Vigun11g168400.3.v1.2 pep primary_assembly:ASM411807v1:11:37516021:37519413:-1 gene:Vigun11g168400.v1.2 transcript:Vigun11g168400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIASSLLLPLGSTRGKGPSFTPPQSILVDKFRRLVVKVESSERRECNTAMFNANSRRNFLGLALGVSGLFMGSLDASGAGLPPEEKPKLCDETCEKELENVPMVTTGSGLQYKDIKVGQGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQPNE >Vigun02g118200.1.v1.2 pep primary_assembly:ASM411807v1:2:27099191:27107761:-1 gene:Vigun02g118200.v1.2 transcript:Vigun02g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGDDNGVTYLHGDLDLKIIEARHLPNMDIFSERLRRCVTGCDTIKFHSEDPADGGSQRTRQQRHHRRIITSDPYVTVSVPQATVARTRVLKNSSNPAWNERFHIPLAHPVVDLEFRVKDDDVFGAQIIGTVKIPAQLIATGQLISDWYPIVGPSGKPAKPDTALHLEMKFMSVEKNLLYQRGIAADPERRGVRNTYFPVRKGSSVRLYQDAQCPESGGAKLPEVKLENGEVYRHGKCWEDICYAISEAHHMVYLVGWSIYHKVRLVREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKVFLKTTGVMQTHDEETRRFFKHSSVMCVLSPRYASSKMSFLKQQVVGTVFTHHQKCVIVDTQATGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLDTVFSGDFHNPTFPAGTRVPRQPWHDLHCRVDGPAAYDVLINFEQRWKKATKWKEFAILFKKSSQWHDDALIRIERISWILSPSVTTKENYTVVPEDDPLVWVSSEDDPENWHVQIFRSIDSGSLKGFPKHVDVALSQNLICAKSLVIDKSIQTAYIEAIRSAQHFIYIENQYFIGSSYAWPSYKDAGADNLIPMELALKIASKIRAKERFAVYVVLPMWPEGDPKTGAMQEILFWQGQTMQAMYNVVARELKAMQLSHISPQDYLNFYCLGNREDFNDESTSTNGAQVSGAYKHRRFMIYVHAKGMIVDDEYVIIGSANINQRSMAGTKDTEIAMGAYQPHYTWSAKQRHPYGQIYGYRMSLWGEHLGMLDETFKEPESLECVHKVNEIAENNWKLFASEDFSLLQGHLLKYPVKVDSDGKVRSLPDCENFPDAGGKILGAHSTAIPDILTT >Vigun10g193100.1.v1.2 pep primary_assembly:ASM411807v1:10:40732034:40737187:1 gene:Vigun10g193100.v1.2 transcript:Vigun10g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGENWPSDSYLEVGNDVKDLCFDDEELASEEDEGDSFAEMKSSDRKQRQQKLKERFLALSATIPGFQEMDKTSILDKASNYVRQLEQRVRELEQEVQSNKVCGSSSSCEVNSNHGSNQILPEVKVRVLQKEVLIIVHCEKQKGIMLKILSHLENINLSVLNSSVLRFGKSTLDITIIAQMGEGYQMGVDELVETLRLTILNK >Vigun10g193100.2.v1.2 pep primary_assembly:ASM411807v1:10:40732034:40737187:1 gene:Vigun10g193100.v1.2 transcript:Vigun10g193100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSDRKQRQQKLKERFLALSATIPGFQEMDKTSILDKASNYVRQLEQRVRELEQEVQSNKVCGSSSSCEVNSNHGSNQILPEVKVRVLQKEVLIIVHCEKQKGIMLKILSHLENINLSVLNSSVLRFGKSTLDITIIAQMGEGYQMGVDELVETLRLTILNK >Vigun03g373200.1.v1.2 pep primary_assembly:ASM411807v1:3:57564082:57570005:1 gene:Vigun03g373200.v1.2 transcript:Vigun03g373200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITSVPFKLFHYHATPSPLFTSSHSKVFGLSLSNPNSLKYDPSLSTSLFYVTARYGGGGGGGSRSFGSGDPRRRQPETDDDQALDLSTLRSDTVRLIDQNQNMVGVMSIDDAIQMAEDVELDLVILSPEADPPVVRIMNYSKYRYEQQKKKRGQQKKSAASRMDLKELKMGYNIDEHDYSVRLRAARKFLKDGDKVKVIVNLKGRENEFRNIAIELITRFQNDVGEAGLEEAKNFRDRNIFIILVPNKAAVQKTQEPPKKKDKSAKDEVSASVQA >Vigun08g199901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36465550:36465912:-1 gene:Vigun08g199901.v1.2 transcript:Vigun08g199901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRNYEDLLNLRPNGLRWFCFRLLLSARFCRLPRFSLGFLRTQTLPSHMYLLDSVEHSEKHPKKFERYKAIEKEQYSQDNAMCNANLTLSIRCETTVSSYVHIYSHQFCDVWSILVGSE >Vigun09g239200.1.v1.2 pep primary_assembly:ASM411807v1:9:40957140:40962791:-1 gene:Vigun09g239200.v1.2 transcript:Vigun09g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGERQTVPLSVLLKRELANEKTEKPDVVIVHGQASENKKGEDFTLLKTECQRVVGDGVSTYSVFGLFDGHNGSAAAIYAKENLLNNVLSAIPSDLNRDDWVSALPRALVAGFVKTDKDFQRKAQTSGTTATFMIIDGCVVTVASVGDSRCILEPHEGGMYYLSADHRLDSNEEERIRITSSGGEVGRLNTGGGTEVGPLRCWPGGLCLSRSIGDKDVGEFIVPVPHVKQVKLSTVGGRIIISSDGVWDALTAEMALDCCRGMSAEVAAPHIVKEAVQAKGLRDDTTCIVIDVYPQEKPPTSSATQKKPAKGRFKSIFRKKSSESSSYVDKQYLEPDVVQELYEEGSAMLSERLETKYPLCNMFKLFVCAVCQVEMKPGEGISIHVGASNPGSLRPWDGPFLCSSCQEKREAMEGKRTSDRLSSGSD >VigunL059084.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000528.1:21951:22888:1 gene:VigunL059084.v1.2 transcript:VigunL059084.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGCVFRWWSDGGDFGMKERERRECRCDSGVSRDGRRLTREDGEVLVQVLPEMLDCSGVLEWCDHGGFGQRDEWRRRRRDGGAMKKTRWLVHGGAGGSGSSVVRDGGRGTRGEDEDVAVPWYSSGDGVSDEGATGDGFPAWPVVVGRGCGDCGVGWCGRERERVRVRVLVV >Vigun03g128600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12436512:12438069:-1 gene:Vigun03g128600.v1.2 transcript:Vigun03g128600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTVVFTLVAALLVISAMAQSPASSPALSPKRTPVATPRSSPSPAISPAAESPSSSPPIPALNAPSPSPTGIDSPPSPPVSPAGAPSVTPSAISAPPTEAPAPSQNGAALNRFTLAGSAAAVVLAAALFM >Vigun03g128600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12436512:12438069:-1 gene:Vigun03g128600.v1.2 transcript:Vigun03g128600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTVVFTLVAALLVISAMAQSPASSPALSPKRTPVATPRSSPSPAISPAAESPSSSPPIPALNAPSPSPTGIDSPPSPPVSPAGAPSVTPSAISAPPTEAPAPSQNGAALNRFTLAGSAAAVVLAAALFM >Vigun03g299300.1.v1.2 pep primary_assembly:ASM411807v1:3:48731960:48737913:-1 gene:Vigun03g299300.v1.2 transcript:Vigun03g299300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPRELETKLSQSSTDLIARGGQDGGNTISCSERAVEAETVDVAVNLSASHGQGETIPLGTPIAMEAKMIVSDEDFNAGNTTLSAPSAMETVNVETSGGLNTRHGQGCEQDETIKLGTPNEIETKMIVLSEDLTVGKTSLSTPRAIEIVTVESSVDMIAGKTTSCTTPRAVEMELVDAGGDSVAEQNQDHGQGNETKFSISKEKETETVVLRADLMATLKPIFGEAGNRTSGTPIAMEAKMIVSDEDFNAGNTTLSAPSAMETVNVETSGGLNTRHGQGCEQDETIKLGTPNEIETKMIVLSEDLTVGKTSLSTPRAIEIVTVESSVDMIAGKTTSCTTPRAVEMELVDAGGDSVAEQNQDHGQGNETKFSISKEKETETVVLRADLMATLKPIFGEAGNRTSGTPIAMEAKMIVSDEDFNAGNTTLSAPSAMETVNVESSGGLNTRHGQGCEQDETIKLGTPNEIETKMIVLSEDLTVGKTSLSTPRAIEIVTVESSVDMIAGKTTSCTTPRAVELELVDAGGDSVAEQNQDHGQGNETKFSISKEKETETVVFGADLMATLKPIFGEAGNRTSGTPIAMEAKMIVSDEDFNAGNTTLSAPSAMETVNVESSGGLNTRHGQGCEQDETIKLGTPNEIETKMIVLSEDLTVGKTSLSTPRAIEIVTVESSVDMIAGKTTSCTTPRAVELELVDAGGDSVAEQNQDHGQGNETKFSISKEKETETVVFGADLMATLKPIFGEAGNRTSGTPRAVKNEMVETNMESITRCKRVCERAGIEKGPSSPEGKATDKKRDSPFPERARSSKRGGRRGMQGTWNQPTQKRARIGEKNKEICDPGPTSIMEEGPLSSPERQAANNKRGSRLLERVRSCKRGTKRGMQGTSSQPTRKRVRIVEQNKEVNNPGPSSIMENSPSSLKRQTANKRRDSPSSDRVRSCKKTTFLWLIDSKIIKEDEPVSYRSATIKKALAGRITRGGILCSCCQEEISMWTFENHAGSTLKQPYKHIYIHRKRQTLRKCLIDVWQHAREQKRRGMHLYVPKETDADQNDDVCSVCGDGGDLICCDRCPSAYHLSCMNIETVPQNDWFCPYCVCKHCGLVVDLVVEKKDTMRKKKASSAPNVIKSIIGSALKNMKKNPQNKLNLQDHIVGEVAKGYMRKWEYILESEMIIQQNTLGGLSASWRHTRIRHI >Vigun07g222200.1.v1.2 pep primary_assembly:ASM411807v1:7:34462152:34465341:-1 gene:Vigun07g222200.v1.2 transcript:Vigun07g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGTATSPSVVHVRDPNKRLKISYTREFLLSLDQAELIVKFSFVVDDEASDLFSLRSCAPGPKCQNSVPQNFSLEVPRDVNPLLHRCDEPYRPPCRYKSLPSTRHSNDLLNGDISGSSECTNHEIADRKIWRKGRISTCGPSEGLISPTSVEKEKQFAPMVDDCESSTVYCRGISVSMKQNPSSPDFHVRRELKLNSFTDSIDGESSSSCELCLPDEDSLITFDELFLQPDVENLAVVDSSMSSSAERSPIEAEVFSPSSPREMIEKLVEFILNEESSTPNVDDPMVHHGAGLGCFSHSTHAQQTHAEFCSNHLNPEKKDQLDHNRFQINSIDSAIHSFFSHSFPSNPFSQPFPLYHNELKRFDREVSQTMFQQVINPDKLHRCSLSVSKSGVPLHLPALQMASCTQKLNSMRTGTFAYQETKNYGDFVKPGLDFQGDSNINPTPVDSCIEMKLLANQCHPYMQGF >Vigun07g222200.3.v1.2 pep primary_assembly:ASM411807v1:7:34462152:34465341:-1 gene:Vigun07g222200.v1.2 transcript:Vigun07g222200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGTATSPSVVHVRDPNKRLKISYTREFLLSLDQAELIVKFSFVVDDEASDLFSLRSCAPGPKCQNSVPQNFSLEVPRDVNPLLHRCDEPYRPPCRYKSLPSTRHSNDLLNGDISGSSECTNHEIADRKIWRKGRISTCGPSEGLISPTSVEKEKQFAPMVDDCESSTVYCRGISVSMKQNPSSPDFHVRRELKLNSFTDSIDGESSSSCELCLPDEDSLITFDELFLQPDVENLAVVDSSMSSSAERSPIEAEVFSPSSPREMIEKLVEFILNEESSTPNVDDPMVHHGAGLGCFSHSTHAQQTHAEFCSNHLNPEKKDQLDHNRFQINSIDSAIHSFFSHSFPSNPFSQPFPLYHNELKRFDREVSQTMFQQVINPDKLHRCSLSVSKSGVPLHLPALQMASCTQKLNSMRTGTFAYQETKNYGDFVKPGLG >Vigun07g222200.2.v1.2 pep primary_assembly:ASM411807v1:7:34462152:34465341:-1 gene:Vigun07g222200.v1.2 transcript:Vigun07g222200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENGTATSPSVVHVRDPNKRLKISYTREFLLSLDQAELIVKFSFVVDDEASDLFSLRSCAPGPKCQNSVPQNFSLEVPRDVNPLLHRCDEPYRPPCRYKSLPSTRHSNDLLNGDISGSSECTNHEIADRKIWRKGRISTCGPSEGLISPTSVEKEKQFAPMVDDCESSTVYCRGISVSMKQNPSSPDFHVRRELKLNSFTDSIDGESSSSCELCLPDEDSLITFDELFLQPDVENLAVVDSSMSSSAERSPIEAEVFSPSSPREMIEKLVEFILNEESSTPNVDDPMVHHGAGLGCFSHSTHAQQTHAEFCSNHLNPEKKDQLDHNRFQINSIDSAIHSFFSHSFPSNPFSQPFPLYHNELKRFDREVSQTMFQQVINPDKLHRCSLSVSKSGVPLHLPALQMASCTQKLNSMRTGTFAYQETKNYGDFVKPGLGKKSLHNVLYESC >Vigun03g284500.1.v1.2 pep primary_assembly:ASM411807v1:3:46552269:46553700:1 gene:Vigun03g284500.v1.2 transcript:Vigun03g284500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMAREKNLEKQKAAAKGSQLDSNKKAMNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKSDLYACFPHLKK >Vigun10g103300.1.v1.2 pep primary_assembly:ASM411807v1:10:29585042:29588675:1 gene:Vigun10g103300.v1.2 transcript:Vigun10g103300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAELLHIQPQELQFPFELRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVMPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGATTKDITPEMFNKESGHDVEECKLRVVYVAPPQPPSPVREGSDEDSSPRASVSENGHSNALEFNVASKAFNERGEHQDTSFEARTHISKVTEERNYAIEQNKRLQQELELLRRQVGSRRSGGGIPFMYVVLVGIIGIILGFLLKRT >Vigun10g103300.2.v1.2 pep primary_assembly:ASM411807v1:10:29585036:29588675:1 gene:Vigun10g103300.v1.2 transcript:Vigun10g103300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGATTKDITPEMFNKESGHDVEECKLRVVYVAPPQPPSPVREGSDEDSSPRASVSENGHSNALEFNVASKAFNERGEHQDTSFEARTHISKVTEERNYAIEQNKRLQQELELLRRQVGSRRSGGGIPFMYVVLVGIIGIILGFLLKRT >Vigun10g005700.1.v1.2 pep primary_assembly:ASM411807v1:10:565770:570349:-1 gene:Vigun10g005700.v1.2 transcript:Vigun10g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPRKSDNSKYYDVLGVSKNATEDEIKKAYRKAAMKNHPDKGGDPEKFKELGQAYEVLSDSEKKELYDQYGEDALKEGMGGGGGGSFHNPFDIFESFFGGSSFGGGGSSRGRRQKHGEDVVHSLKVSLEDVYNGATKKLSLSRNVLCPKCKGKGSKSGAASRCFGCQGTGMKVTRRQIGLGMIQQMQHVCSDCKGSGEVISERDKCPQCKGNKVTHEKKVLEVHVEKGMQQGQKIVFEGQADEAPDALTGDIVLVLAVKEHPKFRRERDDLFIDHNLSLREALCGFQFAITHLDGRQLLIKSNPGEVIKPGQSKAINDEGMPQHNRPFMKGRLYIQFNVEFPDSGFISPDQCQLLEKVLPQKGSKRISDAELDDCEETTLHDVNLKEEMKRKHQHHYREAYDEDEDEGPSGGHRVQCAQQ >Vigun10g005700.2.v1.2 pep primary_assembly:ASM411807v1:10:565770:570349:-1 gene:Vigun10g005700.v1.2 transcript:Vigun10g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPRKSDNSKYYDVLGVSKNATEDEIKKAYRKAAMKNHPDKGGDPEKFKELGQAYEVLSDSEKKELYDQYGEDALKEGMGGGGGGSFHNPFDIFESFFGGSSFGGGSSRGRRQKHGEDVVHSLKVSLEDVYNGATKKLSLSRNVLCPKCKGKGSKSGAASRCFGCQGTGMKVTRRQIGLGMIQQMQHVCSDCKGSGEVISERDKCPQCKGNKVTHEKKVLEVHVEKGMQQGQKIVFEGQADEAPDALTGDIVLVLAVKEHPKFRRERDDLFIDHNLSLREALCGFQFAITHLDGRQLLIKSNPGEVIKPGQSKAINDEGMPQHNRPFMKGRLYIQFNVEFPDSGFISPDQCQLLEKVLPQKGSKRISDAELDDCEETTLHDVNLKEEMKRKHQHHYREAYDEDEDEGPSGGHRVQCAQQ >Vigun03g289301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:47143826:47144760:1 gene:Vigun03g289301.v1.2 transcript:Vigun03g289301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLPPSDPLVGNPFSAFSVDFTAWEDDSHHLLSPKPVTSSSGSDKPEPETAEPDQPVVSVVDERKLRRMISNRESARRSRMRKQRHLENLRNQLNKCRVENRELNNRLQFVLHHCNRLRNENEWLRSQRTLLLQKVANLTQILIFQQFQQPISPAWTCNTSLIPINQVN >Vigun03g336800.2.v1.2 pep primary_assembly:ASM411807v1:3:53486793:53490506:1 gene:Vigun03g336800.v1.2 transcript:Vigun03g336800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIRDPAIRLFGQKIPFPEDVDIEEEEEEDESGDGKEEEKEEDKDAGVENITEEEPEADLSPSAGETKDSVTSPEAIVNPKTPSIEEETATSKADKSEKEQGDAANSQEKTLKKPDKVLPCPRCKSMDTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHISISEALQAARIDSPNGTHLSTLKGNGRVLSFGLDAHAPICDSMASVLNLGEKKVPDGTKNGFHHGFEDQRLPIPRKSGENGDDSSTTSSITVSNSMGESSKSTFQPQPVLQHHGFLPQLPCVPWPYTWNSPVPPPALCPSGYALPFYPPATFWNCGMPGNWNVPWFSSLSSASNPNSPGSAPNSPTLGKHSRDGDMIKQDTLQKEEASKPRNGGVLVPKTLRIDDPNEAAKSSIWATLGIKNESVNGGGMFKAFQSKKDEKSRVEASPVLMANPAALSRSLNFHENS >Vigun03g336800.1.v1.2 pep primary_assembly:ASM411807v1:3:53486793:53490506:1 gene:Vigun03g336800.v1.2 transcript:Vigun03g336800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIRDPAIRLFGQKIPFPEDVDIEEEEEEDESGDGKEEEKEEDKDAGVENITEEEPEADLSPSAGETKDSVTSPEAIVNPKTPSIEEETATSKADKSEKEQGDAANSQEKTLKKPDKVLPCPRCKSMDTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHISISEALQAARIDSPNGTHLSTLKGNGRVLSFGLDAHAPICDSMASVLNLGEKKVPDGTKNGFHHGFEDQRLPIPRKSGENGDDSSTTSSITVSNSMGESSKSTFQPQPVLQHHGFLPQLPCVPWPYTWNSPVPPPALCPSGYALPFYPPATFWNCGMPGNWNVPWFSSLSSASNPNSPGSAPNSPTLGKHSRDGDMIKQDTLQKEEASKPRNGGVLVPKTLRIDDPNEAAKSSIWATLGIKNESVNGGGMFKAFQSKKDEKSRVEASPVLMANPAALSRSLNFHENS >Vigun05g256700.3.v1.2 pep primary_assembly:ASM411807v1:5:45078578:45083157:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.6.v1.2 pep primary_assembly:ASM411807v1:5:45078576:45083129:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.4.v1.2 pep primary_assembly:ASM411807v1:5:45078577:45083143:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.2.v1.2 pep primary_assembly:ASM411807v1:5:45078578:45083157:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.7.v1.2 pep primary_assembly:ASM411807v1:5:45078578:45083129:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.8.v1.2 pep primary_assembly:ASM411807v1:5:45078578:45083129:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun05g256700.1.v1.2 pep primary_assembly:ASM411807v1:5:45078577:45083158:-1 gene:Vigun05g256700.v1.2 transcript:Vigun05g256700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNGNGHHQTTTSTKTPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQCPGTQTRSFCYVSDLVDGLIRLMEGPNTGPINLGNPGEFTMIELAETVKELINPDVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEENFRLRLGVDKKN >Vigun07g074000.1.v1.2 pep primary_assembly:ASM411807v1:7:9430751:9433409:-1 gene:Vigun07g074000.v1.2 transcript:Vigun07g074000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHSDPDRFVNIWIGSAVFSVLTYVNPYMWTLSNQFNWHDKAVLFEQYHWKQARKKNQPYEFLWNKTWDKEHRDHYYYNWPVYFP >Vigun07g074000.2.v1.2 pep primary_assembly:ASM411807v1:7:9430751:9433387:-1 gene:Vigun07g074000.v1.2 transcript:Vigun07g074000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHSDPDRFVNIWIGSAVFSVLTYVNPYMWTLSNQFNWHDKAVLFEQYHWKQARKKNQPYEFLWNKTWDKEHRDHYYYNWPVYFP >Vigun03g443300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64551398:64552970:-1 gene:Vigun03g443300.v1.2 transcript:Vigun03g443300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASKQQKQKQNQNQLQQRGCKALCCSCRFSVSSSEEAESSASDRFPSVSSLAHAMVQERLDQMIRERVEASHVERRRQQSREGTKFVVMVAMEKSSYDPRLDFRESMMEMITANRLQDAKDLRSLLNYYISMNSNQYHSLILEVFHEVCTNLFLSCKCHW >Vigun02g012400.1.v1.2 pep primary_assembly:ASM411807v1:2:4772625:4783030:1 gene:Vigun02g012400.v1.2 transcript:Vigun02g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSSSSLCIHTGHSWNNPKEFGRGRKQQDRKLLVKCSSKSGNPSSQNAVRVGVLGASGYTGSEVLRLLANHPQFGIALMTADRKAGQPISSVFPHLSTRDLPDLIAVKDANFSDVDAVFCCLPHGTTQEIIKGLPNHLKIVDLSADFRLKDLSEYEEWYGQPHRAPDLQKEAVYGLTEVLREEIKNARLVANPGCYPTSVQLPLVPLIKAGLVEIKNIIIDAKSGVSGAGRSAKENLLFTEVTEGLNSYGVTRHRHVPEIEQGLADAAGSEVTVSFTPHLIPMSRGMQSTIYVEMAPGVRTEDLHRQLKLSYQEEEFVVVLENGAIPRTHSVKGTNYCLINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLIMGFPENLGLHYLPLFP >Vigun02g012400.2.v1.2 pep primary_assembly:ASM411807v1:2:4773397:4783030:1 gene:Vigun02g012400.v1.2 transcript:Vigun02g012400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADRKAGQPISSVFPHLSTRDLPDLIAVKDANFSDVDAVFCCLPHGTTQEIIKGLPNHLKIVDLSADFRLKDLSEYEEWYGQPHRAPDLQKEAVYGLTEVLREEIKNARLVANPGCYPTSVQLPLVPLIKAGLVEIKNIIIDAKSGVSGAGRSAKENLLFTEVTEGLNSYGVTRHRHVPEIEQGLADAAGSEVTVSFTPHLIPMSRGMQSTIYVEMAPGVRTEDLHRQLKLSYQEEEFVVVLENGAIPRTHSVKGTNYCLINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLIMGFPENLGLHYLPLFP >Vigun05g115700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12292886:12294248:1 gene:Vigun05g115700.v1.2 transcript:Vigun05g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQCLIRSKRKYSMSSNLHGSSTNYPSYDDHSWEEQAFAKDAAWSGCVWPPRSYSCTFCTREFRSAQALGGHMNVHRRDRARLKQPYSPQNEILNDDIEKTQAQNSVQISFTSLGYLYPPSISGLAHNTNPNSDPYSHVVAPPSKVLGTSVNKRCREKPQIPLYNNSSIFKGCHESSPSLSSKSWPNLTDDFRFCSSRLDLEASVEKKLQGLDSGCRSEGDKGKTEVAMSMNLFMSQAHPVVEFENKETDTSFKKRKSDTSSIPFFPKPCSVDKDHVQSEMFDFSPSSIEELDLELRLGYRSEV >Vigun03g439700.1.v1.2 pep primary_assembly:ASM411807v1:3:64285617:64287945:1 gene:Vigun03g439700.v1.2 transcript:Vigun03g439700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFPFLLLLLWFARVYYKNKITVKSVVHKLPPGPWKLPVIGNLHQLASADTLPHHTLQNLSNKYGPLMHLQLGQISAVIVSSPDMAKEIMKTHDLNFVQRPQLLCPKILAYGSTDIAFAPYGEYWRQMRKICTLELLSAKRVHSFSFLRQQEVANLIQSIQSSASSASQVNVSETVFSFLSTLVSRTAFGKKSEKEDELLCLLKKGAELTGGFDLADLFPSFKALHFITGMKAKLEDMHKELDKILENIINEHQSKHSIGDAEEENLVDVLLRVQQSATLEVPVTINNIKAVIWDIFGAGTDTSATVLEWAMSELMKNPRVMKKAQVEIREALRGKKRIGESDVNELNYLKLVIKETLRLHPPVPLLLPRECRDPCNIGGYAIPMKTKVIVNAWALGRDPKHWYDAEKFIPERFDGTGFDFKGNNFEYIPFGAGRRICPGILLGLANVELPLAALLYHFDWKLPNEMKTQDLDMTEAFGATVGRKNNLCLIPTMYNFSLNHVIAT >Vigun05g187300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36201449:36202543:-1 gene:Vigun05g187300.v1.2 transcript:Vigun05g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLKHFCLVLLFTSMLFFHDARPVPSSVASINQNHAFVESANTVLKEIMRRKQLLGTEYKPNRLSPGGPDPHHH >Vigun10g109600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900217:30905195:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun10g109600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900217:30905194:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun10g109600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900217:30905194:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun10g109600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900217:30905194:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun10g109600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900174:30905194:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun10g109600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30900174:30905194:1 gene:Vigun10g109600.v1.2 transcript:Vigun10g109600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFVNKLRFRYPLKIPLLGFLCCLHIRSLSPYGKPINWNTSHSFVRNNLLLSLLERCQSLLQLKQIQTQMILTGLVQDSFAASRLVTFCALSESQALEYCTKILYWIREPNVFSWNVTIRGFVESGELEGAVLLYKRMLQSGVMKPDNHTYPLLLKACSFPSMNCVGYTVLGHVLKFGFEFDIFVHNASITMLLSYGELKAAYDVFDNGCVRDLVTWNAMITGCVRRGLANEAKKLYQEMVAEKVKPDEITMIGIISSCSQLQDLNLGRKFHLYVKEHGLELTIPLSNALMDMYIKCGDLLAARILFDKMAHKTLVSWTTMVLGYSRFGYLDVARELLYKIPEESVVPWNAIISGCVQAKNSKEALALFHEMQIKKIKPDKVTMVNCLSACSQLGALDVGIWFHHYTKRHSISLDVALGTALVDMYAKCGNIAKALQVFQEVPQKNCLTWTAIICGLALHGNARDAISYFSEMIRSGLRPDEITFLGVLSACCHGGLVEEGRKYFSQMRFNFNITPQLKHYSCMVDLLGRAGHLEEAEELLRNMPIAADAAVWGALFFACRVHGNVLIGERAALKLLEMDPQDSGIYVLLATMYSEAKMWKEARSVRNIMKERGVEKTPGCSSIEINGIVQEFVAKDVLHPQSEWIYECLISLTKQLEFLVFICDIPAYGDEFVS >Vigun01g003600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:401881:404234:-1 gene:Vigun01g003600.v1.2 transcript:Vigun01g003600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGYVYFAIIDKGLGNSVVLRFLEHVRDEFKKLARKGSRGILPNMNSIHFQEKLVPVIRSLITSLESVSHGSSNWRDETSNSFQVDLSPSPSSLNGQIEGASSTKAPLLGKSNKPDKKKVKDHVIAMRDVELEEHRKSTDRGARADSGNLEGVSQCGAGSSVALQKDMGSMRIRSAPQNIRKKWWRQVRIVLAIDAAVCIILLSSG >Vigun01g003600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:401881:404234:-1 gene:Vigun01g003600.v1.2 transcript:Vigun01g003600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGYVYFAIIDKGLGNSVVLRFLEHVRDEFKKLARKGSRGILPNMNSIHFQEKLVPVIRSLITSLESVSHGSSNWRDETSNSFQVDLSPSPSSLNGQIEGASSTKAPLLGKSNKPDKKKVKDHVIAMRDVELEEHRKSTDRGARADSGNLEGVSQCGAGSSVALQKDMGSMRIRSAPQNIRKKWWRQVRIVLAIDAAVCIILLSSG >Vigun07g216900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33847056:33850054:-1 gene:Vigun07g216900.v1.2 transcript:Vigun07g216900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSPASLTHFGRALFSLRREQVHSISMEGSSFEAEMESFQQHVTDRFLELSSVAHDDLLSLSWVGKLLDCFLSCQEEFRAIVCAQKTQSLRPPLDRMVTDYFDRSVKALDVCNAIRDGIEQIRQWQKLLEIVVYALGNQRSIGEGQFRRARKALADLAIGMLDDKDSNASMANRNRSFSRNTGYKDHHHSHSHGHSHSSGSGYHHRSLGHFRSLSWSVSRTWSAAKQLQAIGNSINPPKANDLVASNGIAMTLFIMNSVLLFVMWALVAAIPCQDRGLHVHFTIPRNFSWAAALLSLHERIMEESKKRDRKNSCGLLREIHQIEKCARSMNELSDSVIFPLTEDKEREVKQIVQDVSQVCDALKDGLDPLERQVRQVFHKIVRSRTEGIDSLGRPTYAE >Vigun05g093900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9098800:9100029:-1 gene:Vigun05g093900.v1.2 transcript:Vigun05g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPTLCEELQAEILSWLRVKTLLRFKCVSKSFHSLISDPWFVKLHLQRSPRGVDLLLEYLEDDNNLESRFLVPCHIGSLSDNHKATVAHDRTLGFDISGYGVIGSCNGLVCMAGRCKKDERCMFCVWNPATRETLEDLKCSFPIPSGHHPRRKVAFGYDDLSHAYKVVLMLDALDLNRRSIYRDVKVCNVGGNSCWRSVESFPAKTTMQGSGWGVYLNSTLNWVGLDLHDNHPHDSYITFDESVIVSLDLRNEKSSQFMLPQALHGICMNGICMRGSHSLGYDWDFHDCLGVLKDCLTVFFHDHNKRHISIWQMRDFGNHKSWSLSLNIAMQDLQIVSMPRPYLHPLIMLEENEVLFIEGTYKRIRRVIYDRSGNIVEHPQIHCNIFGIYPMAYVQSLVSQKSLGLCV >Vigun07g247300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36733028:36733813:1 gene:Vigun07g247300.v1.2 transcript:Vigun07g247300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVSSRLHSYVCCLLFIIISQASAQPSFLNHFCLSDEGRFTANSTYETNLNTLLSNLTSNTEIDYGFYNRSYGENSDKVYAIGLCRGDVKAEECRSCINNSTVLLTQLCPNQKEAIGWYDKCMLRYSNRSIFGLMETSPLFYTLELVNATNVDQFNQVLDNLVDNLTAIASASGDSRRKYAAGNATATNLGSTIYGVAQCTPDLSQQDCNRCLVDAFSRIASSGKISGGVATPSCNIRYENFRFYDEPSTTADAPAPSL >Vigun02g182000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32235842:32236828:-1 gene:Vigun02g182000.v1.2 transcript:Vigun02g182000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMQERNSQLGTDIGSSLSKLILTSGSNTLDSIFSDCTQTNATSSNNSVLDYLEPFGSSGFEPLGSSVYLRQRDILQKFYQESRGNGNGNGNGSLLPTSLSNPSINSVYTASLTSSLTNPCKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTKLGFGDSARLNALKSSVDAKIQAICQKVKRERAKKIAAKKLSSAAAHANRKESEKINSSASSSSSSLPLSPPSIFCDNWANELFSPTVSEDGIWKGENSPTSVSMEYPMMVTDETEFEGCSLARLPSFDPELIWEVLAV >VigunL067601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000465.1:26732:27713:1 gene:VigunL067601.v1.2 transcript:VigunL067601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAITFSGHHRSRSSHGASSSSSCFSHGSPFHRQLAGICTITPPRRTTAKRRHLLRTTNNNAPSSRWQQRTRASTTPPLAARNRSEQHHRNSIATPFPSSRERSATTSAPDLRPSHATVAAHTSPRRATTIFLHSRPSQNLVLTTNRATTFTCKNASAATAAALFTGEEDEPAPVKRASATTAAAPPRRATTPSAAPSRGRERSVRVKP >Vigun03g091400.1.v1.2 pep primary_assembly:ASM411807v1:3:7708856:7711637:-1 gene:Vigun03g091400.v1.2 transcript:Vigun03g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLVSSPASASPLTALSLLNRQHQSSISCIHLPSLSRSSPPSLSHTFNPLRSFIGHHGIASFAKSSTGNRNAAFRIHCYAPAPLPPPNLRWISTVSSLVLILAKGTAVPKSYIVPLFALQAPAGVVAWIKGRYGVWTAFLALLIRLFFYIPGELELPFLALLLVMIAPYEVTKLRHTKEGAVVSLLIAVYLAFQHFSRTSLQQSFDQGSIVATLAVISITVVSLLLLV >VigunL005800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000125.1:7867:8148:1 gene:VigunL005800.v1.2 transcript:VigunL005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIKYAVFTDKSIRLLGKNQYTFNVELGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Vigun01g115600.2.v1.2 pep primary_assembly:ASM411807v1:1:29233321:29235845:1 gene:Vigun01g115600.v1.2 transcript:Vigun01g115600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYFVFEIAESLLGKLASNLYEEVSRAFDLYEDVQSFRDTLSIVKGVLLDAEEKKNKKHVLREWLRQIQNVCLDAEDVLDGFECQNLRKRVLKASGSTRMKVNHFFSSSNSLVFRFRMAHQIKNVRRRLDKIAADGNKFGLERIEVDNRLVQRRQMTYSHVDASGVIGRESDREEIIKLLMQPHLRGDGYGDQSVCVIPIVGIGGLGKTTLAKLVFNDKRMDDLFQLKMWVCISDDFDIRQIVIKIINSASDPTISVVPQESMNNLDIEQLQSRLRHKLSCQKYLLVLDDVWNDNRAKWMELKDLIKVGEFGSKIIVTTRSNSIASMMGTVPSYVLEGLSEENCLSLFLKWAFREGEEKEHPNLVDIGKEIVKKCRGVPLAVKTSGSSLFSIFDSERWEIMRDHELWNLKQQEDDILPSLKLSYDQMPSYLRHCFAFFSLYPKDFGFTSAEIANFWATLGLLRSPFGSQKIENVGKLYINELHSRSFLEDFEDFGTVYYFKLHDLVHDLSLYVAKEEFLMVNSHTSNIPKQVRHISVVENDSLSHTLFPMGVGSESLLETWIKRYKYLRHLDLSNSSLATLPNSIAELEHLRAFSLDNNCKIKRLPNSFCKLQNLEMLSLRRCLGLETLPKGLGMLISLRKLYITTKQSILSEDDFASLNNLHTLIFEYCDNLKFLFQGAEAQLSSLEVLIIQSCGSLESLPLHILPKLDVLIVTRCVMLNLSLNSETAIQRLKMKYLHIEQCPRQQTLPEWIQAASNTLRTLIILNCHCLEVLPEWLSTLTQLKMLHIVNCPQLLDFPSNMHCLRALEDLIIDGCPELGRKCEPRS >Vigun11g073000.1.v1.2 pep primary_assembly:ASM411807v1:11:20808152:20811851:-1 gene:Vigun11g073000.v1.2 transcript:Vigun11g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTTDSKDQSTDPSNPPFIWAPEKPTGASSEKLCIDEILQKYCGEFGRWQLRHFVLTSLAWALEAFHTMIMIFADREPEWRCLDGAAGWGCDPDATSVCRLEPGSWEWVGDSTVVEWGLFCADKFKVGLVQAVFFGGCMIGAGTFGHLSDSVLGRKGSLTVVCALNTIFGTLTAFSPSYSFYILFRFLTGCSTGGVGLCAFVLATEPVGPSSRGTTGMCTFYFFSSGIAILSVIAYIFPTWRKLYIASSIPSLLFLLFILPFISESPRWYLVRGRKSEAMKVITAMATANGNHIPKGVRLILDHQSPQLPPPPISSLSCNSIQEQVLAEVNSLSESQNHGKLISDSILLDSPKGSTCNGAVAGSLVDVIRSPLTRSRLILAVVINFLCSVVYYGLSLNVVNLDTNLYLTVILNAVAEMPAFMITAIFLDRWGRKPLTIATLWFSGVFCFAGSLVRNVGVWKGVRMMCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPLVVVMGGSFPFMTFAMCGLGGGFFAFYLPETLNQPLYDTLTGMEATENSITSSIV >Vigun05g272600.1.v1.2 pep primary_assembly:ASM411807v1:5:46356291:46359568:1 gene:Vigun05g272600.v1.2 transcript:Vigun05g272600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGTQFDTRHYDTKMNELLSADGEEFFTSYDEVYDSFDAMGLQENLLRGIYAYGFERPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHTVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGQIQVGVFSATMPPEALEITRKFMNRPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSNDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLEDARMLSDIQKFYNVTVEELPSNVADLL >Vigun08g141100.2.v1.2 pep primary_assembly:ASM411807v1:8:31341191:31351816:1 gene:Vigun08g141100.v1.2 transcript:Vigun08g141100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIDEQAIAAASIAQVHRAVLKSGYEVAIKVQYPWIEQQMNFDTRTMYFLSKAIAWLYPQYKLEWLPLAFAKSVSTELDFVQEARNSEIAAKTFRNNKMVKIPHVFWDLTTRLVLTMQFYAGHKIDDLDFLNQIGVDPEKVAKSLIQLFAEMIFVHGYIHGDPHPGNILVSPEGCNGFSLVLLDHAAYTVLDEEFRKDFCKLWEALILKDSMKTMWFGERLGAGKYSRYLPIIFTGTTIESKYAVGISIEEKEALKRELKSLLFEDLNSFMESLPPDFIAIMRADALIRSIIRKMDASRVMRLLTYTKYAVYGGLCPKLNGEGQSRKILGTNFYFAVKAGFLSFISTLKYVRILITVLIGANNVIPWQQKLKSVQSYLYSKINCDSLGFLVHSIFLLLCIRPTALF >Vigun08g141100.1.v1.2 pep primary_assembly:ASM411807v1:8:31341192:31351816:1 gene:Vigun08g141100.v1.2 transcript:Vigun08g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNTFSFPAKRTTALFLLTSTAAAAAAQNTNSAEFYHLPALSADKAGGEIHGLIRTARAVSTVASTVVDYEFSLRGLKKDSDQYRLTISQVHLRSAKRFLKLCEANKGFYVKAGQFVAAQKVLPTEYSSTLSSLQDRVAPVPFKIVREVLKNSLGPDFCDMFLSIDEQAIAAASIAQVHRAVLKSGYEVAIKVQYPWIEQQMNFDTRTMYFLSKAIAWLYPQYKLEWLPLAFAKSVSTELDFVQEARNSEIAAKTFRNNKMVKIPHVFWDLTTRLVLTMQFYAGHKIDDLDFLNQIGVDPEKVAKSLIQLFAEMIFVHGYIHGDPHPGNILVSPEGCNGFSLVLLDHAAYTVLDEEFRKDFCKLWEALILKDSMKTMWFGERLGAGKYSRYLPIIFTGTTIESKYAVGISIEEKEALKRELKSLLFEDLNSFMESLPPDFIAIMRADALIRSIIRKMDASRVMRLLTYTKYAVYGGLCPKLNGEGQSRKILGTNFYFAVKAGFLSFISTLKYVRILITVLIGANNVIPWQQKLKSVQSYLYSKINCDSLGFLVHSIFLLLCIRPTALF >Vigun10g032800.1.v1.2 pep primary_assembly:ASM411807v1:10:4330900:4337074:-1 gene:Vigun10g032800.v1.2 transcript:Vigun10g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDMLINFNGEDIHRKFVSHLDSVLSAAGLTTLLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIEWHKTYYRHVLPVYYEIQPSDVRLQRGDFGKTLKATAQQSFSAQQLEHGMSGWNHALSKTADFFGWDESNYRSDAEVVDKIVKSVLNLAVLSATKFPVGLQSRMEEVIQIIKKESTKVCRIAICGKGGSGKTTLAKAIYDQIHDTFTEKFFIEDFEQKQLCSIEMGRSLIPERIYGKKVLIVLDDVNFSYYLEMIVRSRFGEGTVIIVTTTKQNPPFTRDLYSIFQINLMNPNESLELLSWHAFREAKPKDEYHFLAKRVVAYCGGLPLLLEVIGSCLYERTKEEWNNVLSRLERLPQHKVLEILKISFDGLPNQYERNLFLDICCFFVGKDRVYVTKILNGCGVNAESGIRILIERSLIIVKNNKFGLHPLLREMAREIVAKITSGKEPEKTSRLWFDKDLFSSQDKKVIQRFPPKWFLTVRDFFKHDYLEVRDAIRRMKLGGHCEYRSKELGWIRLENFSSEFLPIGFLRDAIAIDLKHSLPRLVWKEPQVLASLTVLNLSHSKYLTETPDFSRLPSLEQLILKDCPRLCEVHQSIGGLCNLTLLNLKDCTRIKNLPREIYMLKSLKTLILSGCSGIHLMEKDIRQMESLITLITESTVMKQVPFSIVSSKSIGYLSLRGFEGLSHNLFPFIMRSWMLPSMNPLSYYHSFCMDVEVNSWDDIAPLLRILVNLRSVLLQCETEFQLSKQVQDILVEYGVNITESHTSKQHFRSLLIGDGRCKEFLDAFSDSISEVFAGSESCDVSLPGDNNPNCLADMGEGYSVSFTVPRDRDIKGMALCAVYLSTPEIVATEDLRSVLIVNYTKCTLHIHNHGTVIFFNDKDWEGIISNLGSGDKVEIFVIFGHGLVVRRTIVYLIFGESYDIEKESTSKKNSLIRFIKKL >Vigun05g140600.1.v1.2 pep primary_assembly:ASM411807v1:5:17386581:17387926:1 gene:Vigun05g140600.v1.2 transcript:Vigun05g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDAQNGVAENVAAAVSFLAVKPQLLVEAPKANDAVSFYKAAFGAEEVSRALNPKRKADHELPLILSAELKIAGSTILVADLVDDSTSPVKAGGNGVVLCLETEDVQGAVAKAVSAGAVVEEEVAEIEGACCGGRVGKVKDPYGFVWLLSSPGKKSVDVEA >Vigun06g031400.1.v1.2 pep primary_assembly:ASM411807v1:6:13688202:13691098:1 gene:Vigun06g031400.v1.2 transcript:Vigun06g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQCFLHHHALTNPARASPQRQVLTTKPNHIVCKTQKQVVQEGDATTTLVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFLPYNGNGFKLSIPSKWNPSKEVEYPGQVLRYEDNFDTTSNVAVMVTTTDKKSITDYGSPEEFLSQVDYLLGKQAFFGQTDSEGGFDSNAVATANILESATPVIDGKKYYSLTVLTRTADGDEGGKHQLITATVKDGKLYICKAQAGDKRWFKGARKFVESTASSFSVA >Vigun10g162000.1.v1.2 pep primary_assembly:ASM411807v1:10:38103464:38106238:1 gene:Vigun10g162000.v1.2 transcript:Vigun10g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEEKTREKRKISRSNDPKKITITFLSFGYALRRQILSRVMAIAPATILRPTLMIIVTLPVIYSMTEAEALVSLKSTFSNAQILNGWVAGSAPCSVEDQWEGVVCNNGLVTGLRLGGIGLVGEIHVDPLLELKGLRTISLNNNSFTGSMPEFNRIGFLKALYLQGNKFSGDIPPEYFQRMRSLKKVWLSDNQFTGSIPHSLTEISNLMELHLENNQFSGTIPDFKNPTLEHINLSNNKLEGEVPASLLRFSESMFSGNSGLCGEKIGKSCEKPVEAPSPAPIDAPEAVSVGGGGGGGATVPHSNTPWEVAAIIIVSVVLVSIVVFFIVRTRQKKEEARLDMLGNDANGGGGSVEVQVATTSAKRDVDASSCTSIKKSSSKRGSAGSQNKGVGELVIVNDEKGVFGLPDLMRAAAEVLGNGSFGSSYKAVMANGVSVVVKRTREMNVLEKDAFDAEMRKLSSLKHWNILTPLAYHFRKDEKLVISEYVPKSSLLFSLHGDRGPTHTELDWPARMKVIGGIAEGMNYLHKELGSSDLPHGNLKSSNVLLGPDNEALLADYGFSRMVNPSSAAQTLFAYKAPEAAEHGEISHSCDVYCLGIVILEILTGKFPSQYLSTGKGGTDVVQWVETAITEGRLAEVVDPEIAASRKSVGEMEQLLLIGSACTRSSPLQRLGMEEAFRRIDKVRSESGVGKESRTIEVLPAFGDDYEAAEQFENQSHRRHGTDSFGSADSIEL >Vigun03g083900.2.v1.2 pep primary_assembly:ASM411807v1:3:6970736:6976220:1 gene:Vigun03g083900.v1.2 transcript:Vigun03g083900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALHSKTQMENMHWSHKHSLVLCLLAMLQTPSLCQSEETTAVYIVTLKQAPASHHHHELITVAKNSGHGASGRRRTRVHKPRHQNVTKEDKGYASYFARVHDSLLNKVFKGEKYLKLYSYHYLINGFAVLVTQQQAEKLSRRREVSNVVLDFSVRTATTHTPQFLGLPQGAWFQTGGFETAGEGITIGFVDTGIDPTHPSFADDKSQHPFPVPANFSGVCEVTPDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGQFFGNASGMAPHSHISIYKALYRRFGGFAADVVAAIDQAAQDGVDIICLSITPNRRPSVQAAGNTGPSPMTMSSFSPWIFTVGATSHDRVYSNSLCLGNNLTVPGLGLAPGTHENTMFKLIHARHALNKTTTVADAMYIGECQDASIFNQDLVQGNLLICSYSVRFVLGLSTIKQAIETAMNLSAVGVVFSMDPFVTSFQLNPVPMKIPGIIIPSANDSKILLQYYNSSLEIDGDSNKIVKFGAVASIGGGVEANYNDEAPKVVYYSARGPDPEDTLPHEADIMKPNLVAPGNLIWAAWSSAASDSDEFLGENFALMSGTSMAAPHVAGLAALVKQKFPNFSPAAIGSALSTSASLYDNNGRSIMAQRSYPTTDLNLSPATPFDMGSGFVNATAALNPGLLFDSSYDDYMSFLCGINGSTPTVLNYTGQNCWTYNSTVYGPDLNLPSITIARLNQSRVVQRTIQNIAGNETYNVGWSAPYGTSMKVSPNHFSIGSGEKQVLSVIFNATSNSSAASYGRIGLYGNQGHVVNIPVAVIFKIL >Vigun03g083900.3.v1.2 pep primary_assembly:ASM411807v1:3:6970736:6976220:1 gene:Vigun03g083900.v1.2 transcript:Vigun03g083900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALHSKTQMENMHWSHKHSLVLCLLAMLQTPSLCQSEETTAVYIVTLKQAPASHHHHELITVAKNSGHGASGRRRTRVHKPRHQNVTKEDKGYASYFARVHDSLLNKVFKGEKYLKLYSYHYLINGFAVLVTQQQAEKLSRRREVSNVVLDFSVRTATTHTPQFLGLPQGAWFQTGGFETAGEGITIGFVDTGIDPTHPSFADDKSQHPFPVPANFSGVCEVTPDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTISIYKALYRRFGGFAADVVAAIDQAAQDGVDIICLSITPNRRPSGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMTMSSFSPWIFTVGATSHDRVYSNSLCLGNNLTVPGLGLAPGTHENTMFKLIHARHALNKTTTVADAMYIGECQDASIFNQDLVQGNLLICSYSVRFVLGLSTIKQAIETAMNLSAVGVVFSMDPFVTSFQLNPVPMKIPGIIIPSANDSKILLQYYNSSLEIDGDSNKIVKFGAVASIGGGVEANYNDEAPKVVYYSARGPDPEDTLPHEADIMKPNLVAPGNLIWAAWSSAASDSDEFLGENFALMSGTSMAAPHVAGLAALVKQKFPNFSPAAIGSALSTSASLYDNNGRSIMAQRSYPTTDLNLSPATPFDMGSGFVNATAALNPGLLFDSSYDDYMSFLCGINGSTPTVLNYTGQNCWTYNSTVYGPDLNLPSITIARLNQSRVVQRTIQNIAGNETYNVGWSAPYGTSMKVSPNHFSIGSGEKQVLSVIFNATSNSSAASYGRIGLYGNQGHVVNIPVAVIFKIL >Vigun03g083900.1.v1.2 pep primary_assembly:ASM411807v1:3:6970736:6976220:1 gene:Vigun03g083900.v1.2 transcript:Vigun03g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALHSKTQMENMHWSHKHSLVLCLLAMLQTPSLCQSEETTAVYIVTLKQAPASHHHHELITVAKNSGHGASGRRRTRVHKPRHQNVTKEDKGYASYFARVHDSLLNKVFKGEKYLKLYSYHYLINGFAVLVTQQQAEKLSRRREVSNVVLDFSVRTATTHTPQFLGLPQGAWFQTGGFETAGEGITIGFVDTGIDPTHPSFADDKSQHPFPVPANFSGVCEVTPDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGQFFGNASGMAPHSHISIYKALYRRFGGFAADVVAAIDQAAQDGVDIICLSITPNRRPSGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMTMSSFSPWIFTVGATSHDRVYSNSLCLGNNLTVPGLGLAPGTHENTMFKLIHARHALNKTTTVADAMYIGECQDASIFNQDLVQGNLLICSYSVRFVLGLSTIKQAIETAMNLSAVGVVFSMDPFVTSFQLNPVPMKIPGIIIPSANDSKILLQYYNSSLEIDGDSNKIVKFGAVASIGGGVEANYNDEAPKVVYYSARGPDPEDTLPHEADIMKPNLVAPGNLIWAAWSSAASDSDEFLGENFALMSGTSMAAPHVAGLAALVKQKFPNFSPAAIGSALSTSASLYDNNGRSIMAQRSYPTTDLNLSPATPFDMGSGFVNATAALNPGLLFDSSYDDYMSFLCGINGSTPTVLNYTGQNCWTYNSTVYGPDLNLPSITIARLNQSRVVQRTIQNIAGNETYNVGWSAPYGTSMKVSPNHFSIGSGEKQVLSVIFNATSNSSAASYGRIGLYGNQGHVVNIPVAVIFKIL >Vigun07g245400.1.v1.2 pep primary_assembly:ASM411807v1:7:36621876:36625209:1 gene:Vigun07g245400.v1.2 transcript:Vigun07g245400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPPHNYTLLCFPCKKGMASFYFFILQLSFFSLFLHCSAYNIIQGATHENPKAFYNCTRNTTSASYSVAYRSNVKTLLDWLSSNATNHDRFYNTTVASQHKADTAYGSFFCMRNAPKLCQQCVTEAAKLLSSLCTIAQEAIVWYEVCYVRYSDRCFFSTVEDSPKLSFGNDEDYKGQVERFNAIVWDMLNDLRNEAASASDKRADKSVNITDNQNTYGCAWCLPYMSEDKCRWCLSVAIAENPTGCCRGKSGGSVILPSCGVRYELYPFLSVHSTWLSPPPLLNTPPPFASPGKRKQKTLTIVVTVVPIVVSLVLLSLGCVCFLRRKETKNRHDILKESFGHDSTTLESLRFELVKIEAATNRFAKENMIGKGGFGEVYRGILSDGQEIAVKRLTGSSRQGAVEFKNEVQVIAKLQHRNLVRLLGFCLEDDEKILIYEYVPNKSLDYFLLDIKKRRLLSWSERLKIIRGIARGILYLHEDSCLKIIHRDLKPSNVLLDSNMNPKISDFGMARIVAGDENEASTGRIVGTYGYMSPEYAMHGQFSVKSDVFSFGVMVLEIINGKRKGCLSEPEVIDDIRRHAWTKWTKERALELLDPEIEGGYCGEEVKKCIHIGLLCVQEDPNDRPTMATVVLYLNNPSINLPSPHEPGYFKRNREQLNITNDKELDNITDSVNDISLTKFFPR >Vigun05g257450.1.v1.2 pep primary_assembly:ASM411807v1:5:45134322:45135349:1 gene:Vigun05g257450.v1.2 transcript:Vigun05g257450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNVHGWILTCPQNCRKIRIPRKLQSLYFQHIRSRKFPYRDANFQLRVFSYRSSKSKMSVNGREK >Vigun04g006400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:443930:446762:-1 gene:Vigun04g006400.v1.2 transcript:Vigun04g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQHIHPAHSLFLYFFHIFAYVEYLFLTLFFHSAARYCSRNKVVIRGVSREGCMSFRSFRFLERFTKPYHHPHLSTRRSPLSSLHAPPCSPQHHHPHIDERLVLDQISHLFPIATFKSQNPVSKPLEPPQPDTKLVDAFLPSEDKLRGVFLQKLTGKAAIETALSNVGADVDVNVLGKVLNYGNLSGESMVTFFNWAVKQPGVPNDVGSYHVIVKALGRRKFFVFMMSVLCDMRKRGTDGDLLMLSIVIDSFVRAGHVSRAIQMFGSLDDLGVRRDTEALNVLLSCLCHRSHVGAANSVLYSMKGKVCFDVGTYNVVAGGWSKIGKVGEVERIMREMEADGVGPDCRTFGFLMESLGRVGRMDEAVEVFCAVREKNCQPDTAAYNAMIFNFVSVGDFEECMKYYNRMLSDNCEPDLDTFVRIITAFLRVRKVADSLQMFDEMLRRGVVPSIGTITAFIKRLCSYGPPYAALVIYKKARKSGCVISMEAYKILLMRLSKVGKCGTLLSIWEEMQECGYSSDLEVYEYIICGLCNVGQLENAVLVMEEALGKGFCPSRLVYSKLSNRLLATKKTEMAYKLFLKIKHARFLDNARNYWRSNGWHF >Vigun05g171100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30607520:30608700:1 gene:Vigun05g171100.v1.2 transcript:Vigun05g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDKNDLFDIMDDWLRRDRFVFVGWFGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGL >Vigun06g097500.2.v1.2 pep primary_assembly:ASM411807v1:6:22862157:22864621:1 gene:Vigun06g097500.v1.2 transcript:Vigun06g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLPYDCFAHILSFTSPQDLCRSSLVSSIVQSMADSDAVWEKFLPPNYHQIVSRFEEAAELRTISWLEIKGSINSGMLSPNTLYGAYLKVKIADRAYGLDLLPSEVSVEVGKHKSQGTVCIRSCRKTDTKCCFRFPSKLEDEEWSEIELGSFCTHSDEEVRMCLKEVEGVHLKGGLIVDGIEIRPKHLETASANGPN >Vigun06g097500.1.v1.2 pep primary_assembly:ASM411807v1:6:22862158:22864621:1 gene:Vigun06g097500.v1.2 transcript:Vigun06g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLPYDCFAHILSFTSPQDLCRSSLVSSIVQSMADSDAVWEKFLPPNYHQIVSRFVSSSLSCSSKKQLFFMLCNPRPIDDGNKIFSIEKRSGKICYLLSARQLYIAWGNSPLYWSWKPIRGSRFEEAAELRTISWLEIKGSINSGMLSPNTLYGAYLKVKIADRAYGLDLLPSEVSVEVGKHKSQGTVCIRSCRKTDTKCCFRFPSKLEDEEWSEIELGSFCTHSDEEVRMCLKEVEGVHLKGGLIVDGIEIRPKHLETASANGPN >Vigun06g097500.3.v1.2 pep primary_assembly:ASM411807v1:6:22862158:22864621:1 gene:Vigun06g097500.v1.2 transcript:Vigun06g097500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGRSFCHPIIIKLFLGLCLPHYRVLQRNNCFSCYVIHDQLTTVTRFEEAAELRTISWLEIKGSINSGMLSPNTLYGAYLKVKIADRAYGLDLLPSEVSVEVGKHKSQGTVCIRSCRKTDTKCCFRFPSKLEDEEWSEIELGSFCTHSDEEVRMCLKEVEGVHLKGGLIVDGIEIRPKHLETASANGPN >Vigun10g099300.1.v1.2 pep primary_assembly:ASM411807v1:10:29069690:29073793:1 gene:Vigun10g099300.v1.2 transcript:Vigun10g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVPSCDVDDNTIPIPSKFPLISTSNFMTYEVPMLGYKVACKKGQPSTPGNQTTWEIPRTSGTLESIVNHGIAKNCHNLLTKDALVPCFPKQGTVFGACKKGYPGRVDSGVVARGPPTYDLSGGKDSSMSGSDTCPRELSVTFNSATMGSPENTSSSGKQCTETTANDDRDSVSHRRSQSEAREEDCKTSGVDRSCESNKRIKASAVHNQSERRRRDKINQRMKELQKLVPNSSKTDKASMLDEVIQYMKQLQAHLQMMNWMKMYSSMMLPITMQQQQLKMSMMMAQMGIGMRMNKDMAMNMNMNMDMNMNMNNMNIPTIAPMLHLPPFMPMAPCGDRLLAEPEKSVTVDAYRKMAALYNQMYQLSDSSSKK >Vigun01g057600.1.v1.2 pep primary_assembly:ASM411807v1:1:11531376:11533653:-1 gene:Vigun01g057600.v1.2 transcript:Vigun01g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYFTLFLLLVPLKLSSIYGISPQATAPNKSFKSLPPEAFLSIDHYHTTCPHAEGIISQKVASWVKHDPTLAPAIIRLHFHDCAVRGCDGSILLNHQGSERSALESKTLRGFELIDDIKAELERMCPKKVSCADILTAAARDATLLVGGPFWEVPFGRKDGKISLATEANLVPHGHENITALIAFFQEKGLDILDLVTLSGSHTIGRSTCSSVMDRIYNFNGTQKPDPSLNVYFLKLLRKRCKSELDLVHLDVITPRTFDTIYYTNLMRKVGLLSTDQSLFSDARTAPFVEAFATQPFLFTSQFSVSMVKLGNVQVLTRPNEGEIRVNCNRVNTV >Vigun05g135200.2.v1.2 pep primary_assembly:ASM411807v1:5:16101721:16114731:1 gene:Vigun05g135200.v1.2 transcript:Vigun05g135200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRIPRSRIPTFTLFTSVISLALCTFFVLSFLFTTHSYSSNQHHFTGSEGGISHGFESIRRSILALKTDPLKPRLDQIRKQADDHRSLALVYASYARKLKLESSKLVRIFAELSVNFSDLMKKPQYRTLFSSDASPVDESVLRQLEKEVKERIKSTRQVIGDAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLSMRLMEERIAHPEKYITEGKPTPPEVEDPNLYHYALFSDNVVAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTNNMKFRNPKYLSILNHLRFYLPEMYPKLHKILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFSPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEINNAAVVHFNGNMKPWLDIAMAQFKPLWTKYVDYEQDFVQACNFGS >Vigun05g135200.1.v1.2 pep primary_assembly:ASM411807v1:5:16111605:16114807:1 gene:Vigun05g135200.v1.2 transcript:Vigun05g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRIPRSRIPTFTLFTSVISLALCTFFVLSFLFTTHSYSSNQHHFTGSEGGISHGFESIRRSILALKTDPLKPRLDQIRKQADDHRSLALVYASYARKLKLESSKLVRIFAELSVNFSDLMKKPQYRTLFSSDASPVDESVLRQLEKEVKERIKSTRQVIGDAKESFDNQLKIQKLKDTIFSVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLSMRLMEERIAHPEKYITEGKPTPPEVEDPNLYHYALFSDNVVAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTNNMKFRNPKYLSILNHLRFYLPEMYPKLHKILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFSPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEINNAAVVHFNGNMKPWLDIAMAQFKPLWTKYVDYEQDFVQACNFGS >Vigun03g354300.1.v1.2 pep primary_assembly:ASM411807v1:3:55587426:55588176:-1 gene:Vigun03g354300.v1.2 transcript:Vigun03g354300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLQLKSLNHISLVCASLEKSVEFYVNVLGFSPIKRPSSLDFNGAWLFNYGIGIHLLQSEDPGGMPKTTPINPKDNHISFQCESIAGVEKRLQQMEIEYVKSRVEESGIYVDQLFFHDPDGMMIEICNCDNIPVVPLSEDKLWSCSRFNCNIPNQQRQIQQMIPM >Vigun01g187700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36742172:36742477:1 gene:Vigun01g187700.v1.2 transcript:Vigun01g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPLIADKPVVIFSKSNCCMSHTVKALICSFGANHAVIEIDKMVSGQQVESALLQLGCRPSVPAVFIGQEFIGGADEVFKLNVQNRLSQLLLKAKAIFL >Vigun10g025500.1.v1.2 pep primary_assembly:ASM411807v1:10:3051868:3054896:-1 gene:Vigun10g025500.v1.2 transcript:Vigun10g025500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSASDMSEFVMAKGNGVKGLSEMGLKSVPREYIQPLEERAMKVVVGESIPIIDMSKGDDDGEVQDAICDAAEKWGFFQVINHGVPLHVLENVKDATHRFYEMGPEEKVKFTKENSVSKNVRYGSSFSPEAEKALEWKDYLSLFYVSEDEAHSTWPPACRDEALEYMKRSEILIRQLLNALVKRLNVSKIDETNEPLFMGSKRINLNYYPICPNHDLTVAIGRHSDVSTLTILLQDEIGGLYVRSPDHRDWIHVSPISGALVINIGDALQVMSNGRYKSIEHRVSANGSKIRVSVPIFVNPRPSDVIGPLPQVLAGGEKALYKNVLYSDYVKHFYRKSHDGKMTIEYAKICEV >Vigun09g126400.2.v1.2 pep primary_assembly:ASM411807v1:9:28009211:28018952:-1 gene:Vigun09g126400.v1.2 transcript:Vigun09g126400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKMWKMGEPKPYLAMFVVQLIYSGLTLLSKAVFNRGMNTFVFIFYRQVIGAVILVPLAFILQKEVSVSLSFSTFCKIFVSSFFGVTLSLNMQAIALVYTSATLAAAIVNSLPASTFFFAVLLRMEKVNIRTKCGVTKIASVFLCLVGVATLAFYKGPQLRIAHHHYYNHHEDHFSSTKRWVLGSLLLFLSVIIWSLWLVIQAQILKSYPAKLKFTSLQCLSSSIQSFCIAIAFERDIEQWKLGWDMKLLAVVYCGTLVTGLIYYLQAWAIQKRGPVFPAMWNPLSFVIATTGSIFLLGEPLRLGS >Vigun09g126400.1.v1.2 pep primary_assembly:ASM411807v1:9:28008011:28018952:-1 gene:Vigun09g126400.v1.2 transcript:Vigun09g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKMWKMGEPKPYLAMFVVQLIYSGLTLLSKAVFNRGMNTFVFIFYRQVIGAVILVPLAFILQKEVSVSLSFSTFCKIFVSSFFGVTLSLNMQAIALVYTSATLAAAIVNSLPASTFFFAVLLRMEKVNIRTKCGVTKIASVFLCLVGVATLAFYKGPQLRIAHHHYYNHHEDHFSSTKRWVLGSLLLFLSVIIWSLWLVIQAQILKSYPAKLKFTSLQCLSSSIQSFCIAIAFERDIEQWKLGWDMKLLAVVYCGTLVTGLIYYLQAWAIQKRGPVFPAMWNPLSFVIATTGSIFLLGEPLRLGSVLGGIVLIISLYSVLWAKSKEEVSHHQNCLPIKECAEVKTEGSSMEPHSNTNDLFKNVH >Vigun09g126400.3.v1.2 pep primary_assembly:ASM411807v1:9:28008011:28018952:-1 gene:Vigun09g126400.v1.2 transcript:Vigun09g126400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIALVYTSATLAAAIVNSLPASTFFFAVLLRMEKVNIRTKCGVTKIASVFLCLVGVATLAFYKGPQLRIAHHHYYNHHEDHFSSTKRWVLGSLLLFLSVIIWSLWLVIQAQILKSYPAKLKFTSLQCLSSSIQSFCIAIAFERDIEQWKLGWDMKLLAVVYCGTLVTGLIYYLQAWAIQKRGPVFPAMWNPLSFVIATTGSIFLLGEPLRLGSVLGGIVLIISLYSVLWAKSKEEVSHHQNCLPIKECAEVKTEGSSMEPHSNTNDLFKNVH >Vigun11g034300.2.v1.2 pep primary_assembly:ASM411807v1:11:4601207:4603242:-1 gene:Vigun11g034300.v1.2 transcript:Vigun11g034300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYKTKVTVGIEVTATATYPLARINGRPVLQPTCNRVPSLERRNSIKKVSPKSYFPPSPPLPTKTSLTPPVSPKSRSPRPLATKRGSDNNGLNSSSEKIVIPRHTIKTPPTLERKKSKSFKDSSSSASIEASLSYSSTLITESPGSIAAVRREQMALQHAQRKMKIAHYGRSKSAKFERVVPLDPSTNLPSKTSEEEKRCSFITPNSDPIYIAYHDVEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQNFRTAFSEFDAATLANMTDKQMVSISLEYGIDISRVRGVVDNANRILEPRRTPENSIENLK >Vigun11g034300.1.v1.2 pep primary_assembly:ASM411807v1:11:4599768:4603242:-1 gene:Vigun11g034300.v1.2 transcript:Vigun11g034300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYKTKVTVGIEVTATATYPLARINGRPVLQPTCNRVPSLERRNSIKKVSPKSYFPPSPPLPTKTSLTPPVSPKSRSPRPLATKRGSDNNGLNSSSEKIVIPRHTIKTPPTLERKKSKSFKDSSSSASIEASLSYSSTLITESPGSIAAVRREQMALQHAQRKMKIAHYGRSKSAKFERVVPLDPSTNLPSKTSEEEKRCSFITPNSDPIYIAYHDVEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQNFRTAFSEFDAATLANMTDKQMVSISLEYGIDISRVRGVVDNANRILEINKEFGSFDKYIWGFVNHKPISTQYKFGHKIPVKTSKSESISKDMIRRGFRFVGPTVLHSFMQAAGLTNDHLITCHRHLQCTTESSQ >Vigun10g173000.1.v1.2 pep primary_assembly:ASM411807v1:10:39158059:39159905:1 gene:Vigun10g173000.v1.2 transcript:Vigun10g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSHTPFFVPPQKAMNNNNNTTTITTTNNTNTNTKTNNRISTTRNGNSTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKVVSPRDKDQAMRTIIYQSDMRASDPVGGCYRYILDLQAQIEYCRAELELVLQQLAMFRAQAQHQNQHQYQHQHGMYAPNSVNVTVNGDGEVLNADPMGLYGQQQYQCLQPHQQDQQYVMMHENGTTPLQEQMNTWAVQNTAVSLSSLSLQGQSSNVSDEYDHKAVIDIDSDERSELGFESEELVHRSDEAVLFKIDDAVIKGEGECMQQAQDHDLKGAATSFSLTNCTC >Vigun08g136433.1.v1.2 pep primary_assembly:ASM411807v1:8:30838107:30840644:-1 gene:Vigun08g136433.v1.2 transcript:Vigun08g136433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKINFEALGIPLNEISKACCILLTTRSREVCTFMQCQSIIELNLLSDEEAWTLFRHYANISDDSSEGLKGVARKIVNECKGLPIAIVTVGSTLKEKTIANFELALSRLENSKPLDVPKGFTSPYVCLELSYNNLTNPLAQSLLLLCSMFPEDCEIDLEDLFRFGRGFDRIGRFGTMENARREMDEAIDMLKNCFLLMHVKEKQRVKMHDLVRDVALWIARKSGKAIFTRTKVDPRALADDETLKDMKAIALWGLESYDVLNYKINFPTLEILLFCSRLGGIEVSDGCLQSLEKLKTLAILKLDRWYGSVLPLQESLKSLKNIGTLCLRGHDLGDISFVEQLQELEILGLRDSYFDDLPVGIVELKKLRLLDLYRCVIKKNENLKAYEVMGKCLRLEELYLYLIVYEKAFPHDVSFSKLQRYVIRSGKDYSFDDEIRKKYAQSRSLSINRFDVVVQSFISSPIKDFFIKAEYLNLTYLEGDYKNIVPSMDPQGMNQLIALKLVGCGKIECLIDSTINKVVFSTLAYLSLENLYNLREVFCDPSSRCSLKNLEELEIEDCCKLNSISFPRNSTLCNLKVLTISECPMLTSLFSTSIVQNLELLEVLRIYRCKSLRHSFKHSKSFFFDAPEIKDS >Vigun03g424400.1.v1.2 pep primary_assembly:ASM411807v1:3:62976444:62985142:-1 gene:Vigun03g424400.v1.2 transcript:Vigun03g424400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMQRNSTTELEEGEAFYCYEDEDDDNIDLDSLSYIDERIQHVLGDFRKEFEGGIFPDRLGSKFGDYGSFLPTYERSPRLRSCPKAPEKPNSSQKIPINSHTTAAIYNSRAPPITTPSMRLGTASPNVLPSRDTGIHSEKNTGISSNRVTGTCSLKEGCANKAEKLTDQRMPILQIKVKSDNLAKSNAAIYSGLGLDDSPSSSTENSQKESEDTPHVSQEMPEESSTSIIQVMTSFSIPGGVLISPLHDSLLNLIRKGKALGDNRPMSSLNGHQEHYSMSTDESDSLVGDSHLLKKRKLTVVDKSEKHHMNGNCYENDTTFHTKKRLGNRTPDRKDFLSNGRRCTPLSSSICDAGETAEVTGKAIEVSKEVNKNGAKCRVDSTEAMKEVSLESISDRDFDKVVKRNTGSTFGKKVLEHKREMSQDNNFADPKNSRMCNTSAISKRFKSDEMKCKVDQDIQKCETNQTTVKSVSKNNLKGEQGPGKVMADAEKDVIGSSNKAMVNDRKSTSIGVTSSKIEMHKMKLKDNKVRDCDRGSLKRKKLQLKIDGIDPTDGPPLNKDTVNANLDHVKKSANGVKVKEKPSGNKVVNQLSPVSCVKDAPGAFPVAENKPTSEMVLSSSAAAPQLIEEDWVCCDSCQKWRLLPMGLKPEQLPEKWLCSMLYWLPGMNRCNISEEETTKSLYALYQMPISDGQNNMQSHFTGPKIGVQSDVMVDRGNKKHGINEKAKPGLNSDRHQLSNTVKNAQESNVKSRSLNDMNQRSADSNRMKKSNSQNLNKLNNLIEDKRVPKAKEYEMNGGDRQVKLKRKMEDNQHGSGTHKKSKIEVVGNADKHLKHGMEYKKVGLKSRKDLPTKASGENTTKYDDYCWSDDNKFVVPAKKGDRAQFSSDDGSLDATNSRQSGSIKERKTSDWQDNEKHNKTLYLEGDIHRVENSNVNRKQKKYTVLNTAAKPITASDDEFIKESEMKRAFLPDSRDKMGIGTEVKSDMNKAHQPRKHKKNVASYQALDCFDPSGGDLGSGKFSSAATSSSSKVSGSHEARTNLEKAIGSPVESVTSSPLRTSNIEKCILASGLDTSEKDDARKGGLSIKNLDSREKKLSVKKERVSHDIHLARVNCGSGSHHEEKMNKSNQENALSWQKSGRLTSLRVKQKVRTSGSEVSRDKMKVSVSDNDFSKNGVSYESEVHPNNHSSGTETRHDVKSGFLKSKHKIDNLSSQNPSRHWSDETGKQTEPKQDDFGNSILKRETLHLGSRTAPKSQNVDMSIGHLVNASGNGDVPRLVRNAVDVSCKVGVDHSSGSLVPDRQFNGSSPVTTNSRQTASSILEEATRLKDSADHYKSFGFEFESNETYFKAALKFLHGASLIEKSHSEISKHGEMNQMQIYAATAKLFESCAHKYERRQEMATAALAYKCMEVVYMRLVYNKHSAINRDRDELKSILQMVSQGESPSSSASDIDNLNNVGAVDRTTLTRGSNTLVANNQVISAQNRPNIIRLLDFTQDINFAMEASRKCKSTFMAANLNMDEARNNDFITSIRNVIDFSFQDVDELVHLVLIATKAITRAGLGGARE >Vigun03g424400.2.v1.2 pep primary_assembly:ASM411807v1:3:62976444:62985143:-1 gene:Vigun03g424400.v1.2 transcript:Vigun03g424400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMQRNSTTELEEGEAFYCYEDEDDDNIDLDSLSYIDERIQHVLGDFRKEFEGGIFPDRLGSKFGDYGSFLPTYERSPRLRSCPKAPEKPNSSQKIPINSHTTAAIYNSRAPPITTPSMRLGTASPNVLPSRDTGIHSEKNTGISSNRVTGTCSLKEGCANKAEKLTDQRMPILQIKVKSDNLAKSNAAIYSGLGLDDSPSSSTENSQKESEDTPHVSQEMPEESSTSIIQVMTSFSIPGGVLISPLHDSLLNLIRKGKALGDNRPMSSLNGHQEHYSMSTDESDSLVGDSHLLKKRKLTVVDKSEKHHMNGNCYENDTTFHTKKRLGNRTPDRKDFLSNGRRCTPLSSSICDAGETAEVTGKAIEVSKEVNKNGAKCRVDSTEAMKEVSLESISDRDFDKVVKRNTGSTFGKKVLEHKREMSQDNNFADPKNSRMCNTSAISKRFKSDEMKCKVDQDIQKCETNQTTVKSVSKNNLKGEQGPGKVMADAEKDVIGSSNKAMVNDRKSTSIGVTSSKIEMHKMKLKDNKVRDCDRGSLKRKKLQLKIDGIDPTDGPPLNKDTVNANLDHVKKSANGVKVKEKPSGNKVVNQLSPVSCVKDAPGAFPVAENKPTSEMVLSSSAAAPQLIEEDWVCCDSCQKWRLLPMGLKPEQLPEKWLCSMLYWLPGMNRCNISEEETTKSLYALYQMPISDGQNNMQSHFTGPKIGVQSDVMVDRGNKKHGINEKAKPGLNSDRHQLSNTVKNAQESNVKSRSLNDMNQRSADSNRMKKSNSQNLNKLNNLIEDKRVPKAKEYEMNGGDRQVKLKRKMEDNQHGSGTHKKSKIEVVGNADKHLKHGMEYKKVGLKSRKDLPTKASGENTTKYDDYCWSDDNKFVVPAKKGDRAQFSSDDGSLDATNSRQSGSIKERKTSDWQDNEKHNKTLYLEGDIHRVENSNVNRKQKKYTVLNTAAKPITASDDEFIKESEMKRAFLPDSRDKMGIGTEVKSDMNKAHQPRKHKKNVASYQALDCFDPSGGDLGSGKFSSAATSSSSKVSGSHEARTNLEKAIGSPVESVTSSPLRTSNIEKCILASGLDTSEKDDARKGGLSIKNLDSREKKLSVKKERVSHDIHLARVNCGSGSHHEEKMNKSNQENALSWQKSGRLTSLRVKQKVRTSGSEVSRDKMKVSVSDNDFSKNGVSYESEVHPNNHSSGTETRHDVKSGFLKSKHKIDNLSSQNPSRHWSDETGKQTEPKQDDFGNSILKRETLHLGSRTAPKSQNVDMSIGHLVNASGNGDVPRLVRNAVDVSCKVGVDHSSGSLVPDRQFNGSSPVTTNSRQTASSILEEATRLKDSADHYKSFGFEFESNETYFKAALKFLHGASLIEKSHSEISKHGEMNQMQIYAATAKLFESCAHKYERRQEMATAALAYKCMEVVYMRLVYNKHSAINRDRDELKSILQMVSQGESPSSSASDIDNLNNVGAVDRTTLTRGSNTLVANNQVISAQNRPNIIRLLDF >Vigun11g139600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34906746:34907630:-1 gene:Vigun11g139600.v1.2 transcript:Vigun11g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVTTVVVLMLLASSSVARDRKKLMTKLEKEELSNKSEDSGHHQIPRKEYGKPGSGQRTVSEEFNHHYIPRKDYGGLGGN >Vigun02g067100.1.v1.2 pep primary_assembly:ASM411807v1:2:21687521:21695300:-1 gene:Vigun02g067100.v1.2 transcript:Vigun02g067100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTKTNVVRLRSHLDKYLVADADGSQVRQSRKAPGRGARWTVEEITDGGSKKVRLRSYHGRYLTATEAPFLFGMTGKKVLQREFEPGADFKHDWEVTRDGFQVKLMCWSGKFLRANGGTPPWRSSVTVDEPHSSATKDWVLWDVETVEAVEELVDDLCDSTVSSIASDDFSVDSEPASPMSVFALKSPPARRNSNLMLQAISSNKFRNGMDFFHRAKAVRLRSHHDKYLLADEDEESVTQGRNGSSKSARWIVEYVPEYDNIIRLKSCYGKYLTASNLNFLLGMTGHKVLQTLPQRLDSSVEWEPVKDGGHVRLKTRYGNFLRANGGVPPWRNSVTHDIPHRSATQDWILWDVDVVEIYVNSPANSSKPSAPPLPGSDPVPVLASAPVPPPPSLSASLSRQKSNELNGSSKMEGRIIYYNIAEENGEVLDEGSQGYSLIFKGIGVEELTRKFEEETGLEGIIVCNRSPLNGRLYPLRLQLPPNTVTMRVVLVLPMSNVARELEAEGLL >Vigun03g317900.1.v1.2 pep primary_assembly:ASM411807v1:3:51231963:51234904:-1 gene:Vigun03g317900.v1.2 transcript:Vigun03g317900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPFSLTTTPSFNSLTMAESCILSLPSLFRVNNKTLSLSLPSKRLNLHFPSSNSSFFPLTTNTTRFPSLLTFVAQTSDWAQEEEGNAVWENEVDAAWGTEEGGDDGVEEVTGGGFAQPPEEVKIFVGNLPYDVDSEQLALLFKEAGTVEVAEVIYNRVTDRSRGFGFVTMSTLEEVEKAVQKFSGYELNGRVLTVNKAAPKGAQPDRSSRPPRTFSSATRIYVGNLPWTVDNARLEQVFSEHGKVEEARVVSDRETGRSRGFGFVTMASEADVNDAIAALDGQELDGRPIRVNVAEERPRRSSY >Vigun01g188200.1.v1.2 pep primary_assembly:ASM411807v1:1:36767186:36772237:1 gene:Vigun01g188200.v1.2 transcript:Vigun01g188200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSGAFAIEQLSEAMSASADTEKLPDGVPADALRLCSEQLSGSYDSKFGGFGSAPKFPRPVEINLMLYHSKKLEETGKLDGANGSQKMVLFSLQCMAKGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFSITKDTFYSYISRDILDYLKRDMIGPEGEIFSAEDADSAETEGAARKKEGAFYIWESKEVQDILGEHAALFEEHYYIKQSGNCDLSEMSDPHNEFKEKNVLIERKEPSELAPKYGMSVQTYQEILGECRRKLFEARSRRPKPHLDDKVIVSWNGLAISSFARASKILKGEAEGTKFYFPVVGTEPKEYMNIAEKAAFFIRKHLYDVETRRLYHSFRRSPSKAPGFLDDYAFLISGLLDLYEFGGGISWLLWAIELQETQDALFLDKAGGGYFNNTGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMVSGSKAENYKRNAEHLLAVFEKRLKDMAMAVPLMCCAADMLRVPSRKQVVVVGGRTSEEFENMLAAAHALYDPNRTVIHIDPNNKEEMEFWEINNSNVSLMAKNNYDVNKVVALVCQNFTCSPPLTDHSSLEALLSKKPSSSFT >Vigun05g065900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5713159:5713832:1 gene:Vigun05g065900.v1.2 transcript:Vigun05g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILFPEGKGITKGVTMGSFGIRVCIEWVLIMFVILSSAESGMSVKLQVEVTNSLEGNSDLTVHCDNIDPTQHLLQPNTSNAWDYSGDAPSSKPPFLCSFQWGLQDHMYNLVDPLWDFDCKNHCNWFIKQFGPCKYYSTKLVCFNWIKT >Vigun01g123700.2.v1.2 pep primary_assembly:ASM411807v1:1:30057014:30061739:1 gene:Vigun01g123700.v1.2 transcript:Vigun01g123700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKRELDADDDCSSDDKRDSELHAVCDDADYQTPKRRRGIRSRYLAFKNMIHDEREEIARPDSDKFDLIFSEMESLHQQVTKPREQVADAKALLEITQSLVMSVRTTANGGITPSDFVTHILKKFGGHVGPSNSAEDCSRNSVAWNDIGVAVSHVFRAGYGCCTMMAPMDAKIKQRKVINRRKPVRPTELARPEELCGGSGEEIAETDKLMLTMFNILRINKVVKLENLVLNRNSFGQTVENLFALSFLVRDGRAEIKVNEAGWQLVSPRNAPAANSVVSGDVAFSHFVFRFDFNDWKLMVRTVGVGEELMPHRNSESQR >Vigun01g123700.1.v1.2 pep primary_assembly:ASM411807v1:1:30057014:30061811:1 gene:Vigun01g123700.v1.2 transcript:Vigun01g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKRELDADDDCSSDDKRDSELHAVCDDADYQTPKRRRGIRSRYLAFKNMIHDEREEIARPDSDKFDLIFSEMESLHQQVTKPREQVADAKALLEITQSLVMSVRTTANGGITPSDFVTHILKKFGGHVGPSNSAEDCSRNSVAWNDIGVAVSHVFRAGYGCCTMMAPMDAKIKQRKVINRRKPVRPTELARPEELCGGSGEEIAETDKLMLTMFNILRINKVVKLENLVLNRNSFGQTVENLFALSFLVRDGRAEIKVNEAGWQLVCELF >Vigun11g090000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27038851:27039123:-1 gene:Vigun11g090000.v1.2 transcript:Vigun11g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLHGIRKTLFATNLASSKAGDVPKGYLAVYVGEKMTRFVIPVSYLNQPQFQDLLSQTAEEFGYDHPIGGLTIPCSEDVFQNITCCFN >Vigun07g074700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9713850:9714905:1 gene:Vigun07g074700.v1.2 transcript:Vigun07g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFKITGGEIVPEIEMTLSDTVFDLKRKIHKELDVEIYRQSLWHHNRIMRDHEYIDDYDFRTSETLYLTVTPLPPHHKLHVLIKSLGSDGYVRVKETDKVCDLRSKVERYWAVPSHLITLRRRNVVMENNLPLYAYYVNEASEIQLSVTIEPR >Vigun09g174200.1.v1.2 pep primary_assembly:ASM411807v1:9:34529701:34531345:1 gene:Vigun09g174200.v1.2 transcript:Vigun09g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVLLLALCVLLPAMVAAIRPAKNPLCVKGRVYCDRCRAGFETSATTYIAGAEVILQCKSRTSNEVVYTKKGKTDSSGAYTIYVDEDHADQICNAKLVSSPHPECREVTPGRDEALVILTRFNGIASDDRYANAMGFMSQDVAAGCAEVLRQYQEFDNEN >Vigun03g000900.2.v1.2 pep primary_assembly:ASM411807v1:3:81954:86954:1 gene:Vigun03g000900.v1.2 transcript:Vigun03g000900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGMEMGMGMRTLSYPLPLFSSAPILNPFPSPRRTFSVLQAASANANANADADGNSPYPRAHDFDPELRSVLELATDTELSEIENILFGPSYFSPLLKSIPFSNTTQLQRSMIGVDLSTRLQFIEALESRFFFLAADARSTLRGWRPSYRNVLLHIRTKFDIPCSTRLSTHDLELEIFLHLLHCNSTEETGNYPAFFDESAASEGQGTLQHGLSSWKLHSKVGAQDIRSILLKGGGVFTLSKIYQLLARKLSGKVLVEAANYQVKKELVKKGGQLAMINLESRAALLAAKQGFLGAASRYLGFRSVLTLLGPVLC >Vigun03g000900.3.v1.2 pep primary_assembly:ASM411807v1:3:81954:86954:1 gene:Vigun03g000900.v1.2 transcript:Vigun03g000900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGMEMGMGMRTLSYPLPLFSSAPILNPFPSPRRTFSVLQAASANANANADADGNSPYPRAHDFDPELRSVLELATDTELSEIENILFGPSYFSPLLKSIPFSNTTQLQRSMIGVDLSTRLQFIEALESRFFFLAADARSTLRGWRPSYRNVLLHIRTKFDIPCSTRLSTHDLELEIFLHLLHCNSTEETGNYPAFFDESAASEGQGTLQHGLSSWKLHSKVGAQDIRSILLKLARKLSGKVLVEAANYQVKKELVKKGGQLAMINLESRAALLAAKQGFLGAASRYLGFRSVLTLLGPVMWGTFLADVVIQMLGTDYARILRTIYALAQIRAIRTYRLPSDVTDE >Vigun03g000900.1.v1.2 pep primary_assembly:ASM411807v1:3:81954:86954:1 gene:Vigun03g000900.v1.2 transcript:Vigun03g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGMEMGMGMRTLSYPLPLFSSAPILNPFPSPRRTFSVLQAASANANANADADGNSPYPRAHDFDPELRSVLELATDTELSEIENILFGPSYFSPLLKSIPFSNTTQLQRSMIGVDLSTRLQFIEALESRFFFLAADARSTLRGWRPSYRNVLLHIRTKFDIPCSTRLSTHDLELEIFLHLLHCNSTEETGNYPAFFDESAASEGQGTLQHGLSSWKLHSKVGAQDIRSILLKGGGVFTLSKIYQLLARKLSGKVLVEAANYQVKKELVKKGGQLAMINLESRAALLAAKQGFLGAASRYLGFRSVLTLLGPVMWGTFLADVVIQMLGTDYARILRTIYALAQIRAIRTYRLPSDVTDE >Vigun03g000900.4.v1.2 pep primary_assembly:ASM411807v1:3:81954:86954:1 gene:Vigun03g000900.v1.2 transcript:Vigun03g000900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGMEMGMGMRTLSYPLPLFSSAPILNPFPSPRRTFSVLQAASANANANADADGNSPYPRAHDFDPELRSVLELATDTELSEIENILFGPSYFSPLLKSIPFSNTTQLQRSMIGVDLSTRLQFIEALESRFFFLAADARSTLRGWRPSYRNVLLHIRTKFDIPCSTRLSTHDLELEIFLHLLHCNSTEETGNYPAFFDESAASEGQGTLQHGLSSWKLHSKVGAQDIRSILLKGGGVFTLSKIYQLLARKLSGKVLVEAANYQVKKELVKKGGQLAMINLESRAALLAAKQGFLGAASRMWGTFLADVVIQMLGTDYARILRTIYALAQIRAIRTYRLPSDVTDE >Vigun03g000900.5.v1.2 pep primary_assembly:ASM411807v1:3:81954:86954:1 gene:Vigun03g000900.v1.2 transcript:Vigun03g000900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSMGMEMGMGMRTLSYPLPLFSSAPILNPFPSPRRTFSVLQAASANANANADADGNSPYPRAHDFDPELRSVLELATDTELSEIENILFGPSYFSPLLKSIPFSNTTQLQRSMIGVDLSTRLQFIEALESRFFFLAADARSTLRGWRPSYRNVLLHIRTKFDIPCSTRLSTHDLELEIFLHLLHCNSTEETGNYPAFFDESAASEGQGTLQHGLSSWKLHSKVGAQDIRSILLKLARKLSGKVLVEAANYQVKKELVKKGGQLAMINLESRAALLAAKQGFLGAASRMWGTFLADVVIQMLGTDYARILRTIYALAQIRAIRTYRLPSDVTDE >Vigun07g035300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3386394:3387814:1 gene:Vigun07g035300.v1.2 transcript:Vigun07g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGRKSEEGMKCERRRKEDAVRVDRKSGENGSKKRKAAWEDAEMDPEIQHLIETIWEIDNCPSLLTGQISVQIKPPRPKLQRVYKIQDLMPRTHQGSSSSL >Vigun08g148100.2.v1.2 pep primary_assembly:ASM411807v1:8:32078045:32082337:1 gene:Vigun08g148100.v1.2 transcript:Vigun08g148100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALIQNCSMPLARAVRPPSRTQVQPYFSSMVCASMSPRPNSQSQVLLGLSEKELQQLALDFGQETYRGKQLYHFLYQRKLREIKDFSQLPQGFRNTLEGAGWKVGRSPIFETVTAADGTVKLLLKLEDNRLIETVGIPVMDGTGLSRLTACVSSQVGCPLRCSFCATGKGGFSRNLQRHEIVEQVLAIEEVFKHRVSNVVFMGMGEPMLNLKAVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLDALMLDCRDYFRQTSRRVSFEYALLAGVNDSVEHAAELAELLHGAGSGYHVNLIPFNPIEDSEYKRPSKRAV >Vigun08g148100.1.v1.2 pep primary_assembly:ASM411807v1:8:32078045:32082337:1 gene:Vigun08g148100.v1.2 transcript:Vigun08g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALIQNCSMPLARAVRPPSRTQVQPYFSSMVCASMSPRPNSQSQVLLGLSEKELQQLALDFGQETYRGKQLYHFLYQRKLREIKDFSQLPQGFRNTLEGAGWKVGRSPIFETVTAADGTVKLLLKLEDNRLIETVGIPVMDGTGLSRLTACVSSQVGCPLRCSFCATGKGGFSRNLQRHEIVEQVLAIEEVFKHRVSNVVFMGMGEPMLNLKAVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLDALMLDCRDYFRQTSRRVSFEYALLAGVNDSVEHAAELAELLHGAGSGYHVNLIPFNPIEDSEYKRPSKRAVQAFSGALESAKITVSVRHTRGLDANAACGQLRNKFQKTPLAADPMDLAVSC >Vigun02g124000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:27604094:27606251:1 gene:Vigun02g124000.v1.2 transcript:Vigun02g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENANAVGSGSATNGEVPPPRKVALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRIDHIYVDPHNAHKARMKLHYADLSDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAASGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFVTRKITRAVGRIKVGLQNKLFLGNLQASRDWGFAGDYVEAMWLMLQQEKPDDYVVATEESHTVEEFLEVAFGYVGLNWRDHVVIDKRYFRPTEVDNLKGDASKAKKVLGWKPKVGFEQLVKMMVDQDIERAQKEKVLVDAGYIDAQQQP >Vigun06g082200.1.v1.2 pep primary_assembly:ASM411807v1:6:21384491:21398374:-1 gene:Vigun06g082200.v1.2 transcript:Vigun06g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERKVRPIWDAIDSRQFKNALKHVTTLLAKYPNSPYALALKALVLERMTKPDEAFSVALQAKEVLYAYDYLLMDDLTLSTLQIVFQRLDHLDLATGCYELACSKFPGNLDLMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGSGEDKLLFLAEGLLKKHVASHSLHEPEALTMYISILERQAKFGDALEILSGKLGSLLMIEVDKLRMQGRLLARAGDYTAAADIFHKILELCPDDWEGFLQYLGCLLEDDSIWCDEAVNDPVHPPKFVNCKVSHLTEEQFDSQMSIASACVQKLLADTVNNLIRCPYLATMEIERRKHLRGKRNDDDVMDGIVQYFCRFGHLGCFTSDVEMFVEVLAADQRTELLEKLMKARDTLSAPPTKALGLSMSFFKIKQLLLGDISTSSAGDLEASCVQMFEMYCTNLPLSKDLDPQEGTYGEELLSMICSILVQLFWRTKNVGYLVEAIMVLEFGLAIRRYVSQYKILLLHLYSHCGALSVAHEWYKSLDVKNILMESILHHILPQMLVSPLWTELNNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKDRLQHSSQYLVAHVESSILQLKQSANNIEEEESFLEGMECGVHFLELSKEVGPKSLTFNEDLQSRPWWTPSSEKNYLLGPFEGISYYPKEILTKDREASLKRVVEKKCLLPRMIYLSIQSASVSIKEHEVNGSVTPDITSELKLLLERYAQFLGFSLTEAIEVVMGFYNEERSSVVSDSNLIDWLNFTVFLNAWSLSSNELVNPVGNGCRPRLWNIVDSLLEKYTLEKMRSIEPQLFSPWSGIELLIQLVTEPFAWHGLVIQSSLRSCFPSGKKKKKSGAASCSNLVHAITDSVVHLSHVLEDVMKWISEWMRKPEDENLENIFFLLRKPEDGPGKVFGMLEKFICSVNDAELGNRISPPLKSWTPADVARKMVSGKLKVLMEFSDICDSKLQFLHFMKQQIAQL >Vigun06g082200.2.v1.2 pep primary_assembly:ASM411807v1:6:21384491:21393511:-1 gene:Vigun06g082200.v1.2 transcript:Vigun06g082200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYISILERQAKFGDALEILSGKLGSLLMIEVDKLRMQGRLLARAGDYTAAADIFHKILELCPDDWEGFLQYLGCLLEDDSIWCDEAVNDPVHPPKFVNCKVSHLTEEQFDSQMSIASACVQKLLADTVNNLIRCPYLATMEIERRKHLRGKRNDDDVMDGIVQYFCRFGHLGCFTSDVEMFVEVLAADQRTELLEKLMKARDTLSAPPTKALGLSMSFFKIKQLLLGDISTSSAGDLEASCVQMFEMYCTNLPLSKDLDPQEGTYGEELLSMICSILVQLFWRTKNVGYLVEAIMVLEFGLAIRRYVSQYKILLLHLYSHCGALSVAHEWYKSLDVKNILMESILHHILPQMLVSPLWTELNNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKDRLQHSSQYLVAHVESSILQLKQSANNIEEEESFLEGMECGVHFLELSKEVGPKSLTFNEDLQSRPWWTPSSEKNYLLGPFEGISYYPKEILTKDREASLKRVVEKKCLLPRMIYLSIQSASVSIKEHEVNGSVTPDITSELKLLLERYAQFLGFSLTEAIEVVMGFYNEERSSVVSDSNLIDWLNFTVFLNAWSLSSNELVNPVGNGCRPRLWNIVDSLLEKYTLEKMRSIEPQLFSPWSGIELLIQLVTEPFAWHGLVIQSSLRSCFPSGKKKKKSGAASCSNLVHAITDSVVHLSHVLEDVMKWISEWMRKPEDENLENIFFLLRKPEDGPGKVFGMLEKFICSVNDAELGNRISPPLKSWTPADVARKMVSGKLKVLMEFSDICDSKLQFLHFMKQQIAQL >Vigun11g115200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31932488:31932987:-1 gene:Vigun11g115200.v1.2 transcript:Vigun11g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVENLTGTLSYVEVKNDATVEDLKKEIEAQQKLPCDRLLLVLHADNESLIMGKEEEKLSLFDCGIHDGSHIYLFFSSVDDESTDNFRFTCSDL >Vigun01g140600.3.v1.2 pep primary_assembly:ASM411807v1:1:32148058:32151115:-1 gene:Vigun01g140600.v1.2 transcript:Vigun01g140600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVCDGGATVIPGLPNDVAAWILSKVPYSHHARLKSTCKSWKLLLSSRSFLNSLNKRNHLLCIFPQDPSIASPFLFDPNALAWCPLPPMPCNPHVYGLCNFAAVPLGPHLYVLGGSLFDTRSFPIDRPSPSSATFRFNFHDFSWEPRAPMLTPRGSFACAVVQGRILVAGGGSRHTMFGAAGTRIRSVERYEVGRDRWVAMDPLPGFRAGCVGFVGGGGREFWVVGGYGASRTISGVFPVDEYYRDAVVMGFEGGAWREVGDVWGDGERVRVGKIVVVDDDECPTLFMLDGNEILSISGGAVCSDTLMRC >Vigun01g140600.1.v1.2 pep primary_assembly:ASM411807v1:1:32148058:32151115:-1 gene:Vigun01g140600.v1.2 transcript:Vigun01g140600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVCDGGATVIPGLPNDVAAWILSKVPYSHHARLKSTCKSWKLLLSSRSFLNSLNKRNHLLCIFPQDPSIASPFLFDPNALAWCPLPPMPCNPHVYGLCNFAAVPLGPHLYVLGGSLFDTRSFPIDRPSPSSATFRFNFHDFSWEPRAPMLTPRGSFACAVVQGRILVAGGGSRHTMFGAAGTRIRSVERYEVGRDRWVAMDPLPGFRAGCVGFVGGGGREFWVVGGYGASRTISGVFPVDEYYRDAVVMGFEGGAWREVGDVWGDGERVRVGKIVVVDDDECPTLFMLDGNEILRYEMCCNRWVYECRVPRKAPYNSSFGFVVLAGELYVVTHLCVVDFSETRRSRQQKRAGTVFIQIYDPKNKSWRSLVSKSPFNYPIDINSAVFSSISL >Vigun01g140600.2.v1.2 pep primary_assembly:ASM411807v1:1:32148058:32151115:-1 gene:Vigun01g140600.v1.2 transcript:Vigun01g140600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVCDGGATVIPGLPNDVAAWILSKVPYSHHARLKSTCKSWKLLLSSRSFLNSLNKRNHLLCIFPQDPSIASPFLFDPNALAWCPLPPMPCNPHVYGLCNFAAVPLGPHLYVLGGSLFDTRSFPIDRPSPSSATFRFNFHDFSWEPRAPMLTPRGSFACAVVQGRILVAGGGSRHTMFGAAGTRIRSVERYEVGRDRWVAMDPLPGFRAGCVGFVGGGGREFWVVGGYGASRTISGVFPVDEYYRDAVVMGFEGGAWREVGDVWGDGERVRVGKIVVVDDDECPTLFMLDGNEILRNTKVETAKEGRNSVHSNL >Vigun06g119800.1.v1.2 pep primary_assembly:ASM411807v1:6:24773315:24777071:1 gene:Vigun06g119800.v1.2 transcript:Vigun06g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVVPMEHSKGFIFALQELKNLRPQLYSAAEYCEKSYLHSDKKQVVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVSTMDLKVSMLNQKLLTCHVYTDREGLRQQQLLAFVPRHHKHYILPNSVNKKVHFSSHRQINARQNLYRTRNRLPSSGTPISKTLSWHLASETKSTLKKTTSRTSKNPKDSKFSAKTSGVFHLLDNEERAWMKPSAAQLHLTNGTLTSRAATQTFGVTDEDALKGSKPLTKFGSFDDRNGREAAQVHSRSKSVLSTLFIKQKAPKLKTSSVL >Vigun05g135800.1.v1.2 pep primary_assembly:ASM411807v1:5:16232926:16236248:-1 gene:Vigun05g135800.v1.2 transcript:Vigun05g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEQQATPKTPDADIVGNAFVDQYYHMLHESPELVHRFYQDVSKLGRPEQNGIMGITTTMSEINKKILSLGYGELSAEIISVDSQESYGGGVIVLVTGFMIGKDDNKRKFSQSFFLAPQEKGYFVLNDAFRYVDEKGFEVPAQDTVSPVHPDTVADPVLQTHVSEQIHVAVEDHGEEVYNPESTQASIEEEEAPVPEVVDEIPDASQMVAGITSQMEDLPKKSYASIVKVMKEGVVASSPLTSVSLKHAHKNHEPKQDAVASPPSIISDVNASTIQEAEAEGYSIYVKGLSSSATPYLLENEFKKFGPIKSGGIQVRTQKGFSFGFVEFEVASAMQAALEASPIIVGDRHIIVEEKRSTNRGNTRGRFPSGRAPNYRGDGLRGRGNYGNGRNYGRNDFNGRNDFNGRSDFNGRNDLNGRNEFNGRGEYGYRNGNRGWWLSNRGGEGYQRNDHMGPTGGRMNRPGAAASANAAVKTNGVRVPISA >Vigun08g097200.3.v1.2 pep primary_assembly:ASM411807v1:8:23415751:23417050:-1 gene:Vigun08g097200.v1.2 transcript:Vigun08g097200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVVFSKESEGLNSKTTMGAETESQEKCTQEKPKTSLTQEQFLSWKRQKDAAVSASRAEVSRKRAEDIAAGTVQMNGRELFAHEPWVFDNSRY >Vigun08g097200.2.v1.2 pep primary_assembly:ASM411807v1:8:23415751:23416716:-1 gene:Vigun08g097200.v1.2 transcript:Vigun08g097200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKFFLGSLGIPWQGPRTSMMRYKDHDAAVSASRAEVSRKRAEDIAAGTVQMNGRELFAHEPWVFDNSRY >Vigun04g083700.2.v1.2 pep primary_assembly:ASM411807v1:4:13285980:13289187:-1 gene:Vigun04g083700.v1.2 transcript:Vigun04g083700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPYAMSELGWGPGVTILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEIGVNIVYMVTGGTSLQKFHDTVCSDCKKIKLTFFIMIFASVHFVLSHLPDFNSITGVSLAAAVMSLSYSTIAWAASVDKGVQENVQYGYKAESTAGTVFNFFNALGTVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVAVCYFPVALIGYWMFGNEVDSDILISLEKPAWLIAMANLFVVIHVIGSYQIYAMPVFDMIETVMVKKLNFEPSTILRFVVRNVYVAFTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLVIHKPKRFSLSWFTNWICIFLGLCLMILSPIGGLRTIIIKAKTYKFYS >Vigun04g083700.1.v1.2 pep primary_assembly:ASM411807v1:4:13285994:13292170:-1 gene:Vigun04g083700.v1.2 transcript:Vigun04g083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEIEATNGHTSAPHKNTEKSERERKIDDWLPITSKRNGKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVTILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEIGVNIVYMVTGGTSLQKFHDTVCSDCKKIKLTFFIMIFASVHFVLSHLPDFNSITGVSLAAAVMSLSYSTIAWAASVDKGVQENVQYGYKAESTAGTVFNFFNALGTVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVAVCYFPVALIGYWMFGNEVDSDILISLEKPAWLIAMANLFVVIHVIGSYQIYAMPVFDMIETVMVKKLNFEPSTILRFVVRNVYVAFTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLVIHKPKRFSLSWFTNWICIFLGLCLMILSPIGGLRTIIIKAKTYKFYS >Vigun04g083700.3.v1.2 pep primary_assembly:ASM411807v1:4:13281454:13292164:-1 gene:Vigun04g083700.v1.2 transcript:Vigun04g083700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEIEATNGHTSAPHKNTEKSERERKIDDWLPITSKRNGKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVTILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEIGVNIVYMVTGGTSLQKFHDTVCSDCKKIKLTFFIMIFASVHFVLSHLPDFNSITGVSLAAAVMSLSYSTIAWAASVDKGVQENVQYGYKAESTAGTVFNFFNALGTVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVAVCYFPVALIGYWMFGNEVDSDILISLEKPAWLIAMANLFVVIHVIGSYQIYAMPVFDMIETVMVKKLNFEPSTILRFVVRNVYVAFTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLVIHKPKRFSLSWFTNWICIFLGLCLMILSPIGGLRTIIIKAKTYKFYS >Vigun04g194200.1.v1.2 pep primary_assembly:ASM411807v1:4:41868851:41873314:-1 gene:Vigun04g194200.v1.2 transcript:Vigun04g194200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSSLLLLILSLSFSLSLSYVVHMYKIMDKKPCNPSSHDPEVRKGPWTMEEDLILINYIANHGEGVWNSLAKASGLKRTGKSCRLRWLNYLRPDVRRGNITTEEQLLIFELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHMKQTENSQQQGNNSNISETNDHHHHQHHHQHQQHHQHHQHHHHQPSSSTSLASNMALQIESYSTPSYQETLEPFPSQFPPITDQSSSCTNHNINTHTNYWNIEDLWSMQLLNGD >VigunL071200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000499.1:24500:25413:-1 gene:VigunL071200.v1.2 transcript:VigunL071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDER >Vigun05g238200.1.v1.2 pep primary_assembly:ASM411807v1:5:43136946:43141551:1 gene:Vigun05g238200.v1.2 transcript:Vigun05g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILSHHHRLFSTATRLNSATRDSRRRRGSGTNSVRCADVSTATNENRRVTVSNRSDSLEICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPELLEQVRGLTKWVPPPVKMTASFVKDSINVSRKRMDVESLDMLQFHWWDYSNPGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSLVDMRPQQRMAELCRHTGVKLITYGTVMGGLLSEKFLDTNIAIPFAGPAINTPSLQKYKRMVDAWGGWNLFQGLLRTLKQVATKHGVSIATVAVKYILDQPGVAGSMIGVRLGLSEHIQDANAIFSLALDEDDVSSIREATAKGKDLLKVIGDCGDEYRRA >Vigun02g169750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31294115:31297865:-1 gene:Vigun02g169750.v1.2 transcript:Vigun02g169750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPSADSASADELTAKSAHKRYEGLMTVRTKAMKGKGAWYWAHLEPMLLNNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSAAKPISSISPSAAAATVAVSPPPSPTNHNHRKRTSASGPASSYEVSPLAVVDPLRFFGELTYALPQQPHLMLSGGKEDLGALALLEDSVKKLKSPKTSPGPTLSKAQVDSAFDCLADWVYESCGSVSFSSLEHPKFRAFLNQVGLPSVSVREFTGSRLNAKFEEAKADSEARIRDALFFQVASDGWKRKGKKYCEEKLVNMTVNLPNGTSLHRRTLFVGGSAPPSYAEEVIWETVTGICENVGQQCVGVVADKFKKTALRNLENRNPGMVNLSCQYQGFNSLIKDFNKELSLFSTVTQNCVKLATFVNYETGVRNSFHKYQQQEYGHAWLLRMPLPSRESESFDTVYAMMEDLLNSVGALQLVLLDESLKMASVEDPNAREVGDMIGDVGFWNELEAVHSLVKLVKEMAQEIETERPLVGQCLPIWGELRARVKDWSSKSQVAEGAVEKIIEKRFMKNYHPAWAAAYILDPVYLVRDTSGKYLPPFKYLTPEQEKDVDKLITRLVSRDEAHIVLMELMKWRTQGLDPVYARAVQMKERDPVTGKMKIVNPQSSRLVWETHLTEFKSLGRVAVRLIFLHATSLGFKCNWSSWRWVCAQGHSREALDRVHKLIFTAAHSKLERKDFSGDEQKNAELFSMANGEDDVLNEVFADTSSA >Vigun02g169750.2.v1.2 pep primary_assembly:ASM411807v1:2:31294083:31297865:-1 gene:Vigun02g169750.v1.2 transcript:Vigun02g169750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPSADSASADELTAKSAHKRYEGLMTVRTKAMKGKGAWYWAHLEPMLLNNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSAAKPISSISPSAAAATVAVSPPPSPTNHNHRKRTSASGPASSYEVSPLAVVDPLRFFGELTYALPQQPHLMLSGGKEDLGALALLEDSVKKLKSPKTSPGPTLSKAQVDSAFDCLADWVYESCGSVSFSSLEHPKFRAFLNQVGLPSVSVREFTGSRLNAKFEEAKADSEARIRDALFFQVASDGWKRKGKKYCEEKLVNMTVNLPNGTSLHRRTLFVGGSAPPSYAEEVIWETVTGICENVGQQCVGVVADKFKKTALRNLENRNPGMVNLSCQYQGFNSLIKDFNKELSLFSTVTQNCVKLATFVNYETGVRNSFHKYQQQEYGHAWLLRMPLPSRESESFDTVYAMMEDLLNSVGALQLVLLDESLKMASVEDPNAREVGDMIGDVGFWNELEAVHSLVKLVKEMAQEIETERPLVGQCLPIWGELRARVKDWSSKSQVAEGAVEKIIEKRFMKNYHPAWAAAYILDPVYLVRDTSGKYLPPFKYLTPEQEKDVDKLITRLVSRDEAHIVLMELMKWRTQGLDPVYARAVQMKERDPVTGKMKIVNPQSSRLVWETHLTEFKSLGRVAVRLIFLHATSLGFKCNWSSWRWVCAQGHSREALDRVHKLIFTAAHSKLERKDFSGDEQKNAELFSMANENLEWHMT >Vigun08g204700.3.v1.2 pep primary_assembly:ASM411807v1:8:36828318:36836943:-1 gene:Vigun08g204700.v1.2 transcript:Vigun08g204700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEEELVPDPKPEDQTVPLQNGDSDQRCAKKPKVDADAELKRVAEIVLVLSTMATVRAGRKPSDAEVELMREARAKLAGLCQGLAPKDIVAREAIGTVIEDLGLHSKLKDQRLGFRTPKMSIAERYSHAKWKMEEAKKFSAPSTTHTSQPLQSNIGGPVDNRVPSHVVRIFPSDKPSQPAIPSMGAVVSIPPHVSAGSSAVLQYQSTGNEVRPPVVSGVMPSGHLGRNSSSLVLPKVEHPQFKVDGGSNGSSYMLQVQANQPLVNAPAWSIPTQAASVARTVSENKVPATTSVKVEGTSDITVSRAGPQITTDPSFRPFITPTASVNLSTVHQPLQATNIVQPPLIPSHTDIAKVVQKVLQPKVPSHPTWIPPSRDYMNKALTCQMCELSVQEVDTVLLCDACEKGFHLKCLQPSVLRGIHNRVDWHCMRCLSISGGKPLPPKYGRVMRSSSTPPKLPSNIGGIQACSENKAENIEPKVLQMVTNGSTVPTVASGNHNVEVPCYSKFPDTKGIQGIGISSSIEAIDKKPDPNNSMKSLGAASSPSIGLLGECSTKQINSKVLTSKETSERESLPKLSEPAKCESMQSSQNFQVEQTMSKDNAEVSPDKHIDSKIMNNNQKDSLKGENLTYDIKRDDQDAALANIVGISGTNTEGRQDSTLSSDGSHAIEWIGDVVQLVDEKIFYQSCCVDGVTYRLQGHALFPTSNGKLAPSKLQSMWEDCKTGLKWVKVTKCYFPDDLPGNIGHPCISEVNEVYESNSDRTEMASSIQGPCEVLPSDKFKQENDRRCQLGIEESTRIQPIFLCRWFYDEFKKLFQPVIS >Vigun08g204700.2.v1.2 pep primary_assembly:ASM411807v1:8:36828318:36836943:-1 gene:Vigun08g204700.v1.2 transcript:Vigun08g204700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEEELVPDPKPEDQTVPLQNGDSDQRCAKKPKVDADAELKRVAEIVLVLSTMATVRAGRKPSDAEVELMREARAKLAGLCQGLAPKDIVAREAIGTVIEDLGLHSKLKDQRLGFRTPKMSIAERYSHAKWKMEEAKKFSAPSTTHTSQPLQSNIGGPVDNRVPSHVVRIFPSDKPSQPAIPSMGAVVSIPPHVSAGSSAVLQYQSTGNEVRPPVVSGVMPSGHLGRNSSSLVLPKVEHPQFKVDGGSNGSSYMLQVQANSSANQPLVNAPAWSIPTQAASVARTVSENKVPATTSVKVEGTSDITVSRAGPQITTDPSFRPFITPTASVNLSTVHQPLQATNIVQPPLIPSHTDIAKVVQKVLQPKVPSHPTWIPPSRDYMNKALTCQMCELSVQEVDTVLLCDACEKGFHLKCLQPSVLRGIHNRVDWHCMRCLSISGGKPLPPKYGRVMRSSSTPPKLPSNIGGIQACSENKAENIEPKVLQMVTNGSTVPTVASGNHNVEVPCYSKFPDTKGIQGIGISSSIEAIDKKPDPNNSMKSLGAASSPSIGLLGECSTKQINSKVLTSKETSERESLPKLSEPAKCESMQSSQNFQVEQTMSKDNAEVSPDKHIDSKIMNNNQKDSLKGENLTYDIKRDDQDAALANIVGISGTNTEGRQDSTLSSDGSHAIEWIGDVVQLVDEKIFYQSCCVDGVTYRLQGHALFPTSNGKLAPSKLQSMWEDCKTGLKWVKVTKCYFPDDLPGNIGHPCISEVNEVYESNSDRTEMASSIQGPCEVLPSDKFKQENDRRCQLGIEESTRIQPIFLCRWFYDEFKKLFQPVIS >Vigun08g204700.1.v1.2 pep primary_assembly:ASM411807v1:8:36828318:36836943:-1 gene:Vigun08g204700.v1.2 transcript:Vigun08g204700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEEELVPDPKPEDQTVPLQNGDSDQRCAKKPKVDADAELKRVAEIVLVLSTMATVRAGRKPSDAEVELMREARAKLAGLCQGLAPKDIVAREAIGTVIEDLGLHSKLKDQRLGFRTPKMSIAERYSHAKWKMEEAKKFSAPSTTHTSQPLQSNIGGPVDNRVPSHVVRIFPSDKPSQPAIPSMGAVVSIPPHVSAGSSAVLQYQSTGNEVRPPVVSGVMPSGHLGRNSSSLVLPKVEHPQFKVDGGSNGSSYMLQVQANSSANQPLVNAPAWSIPTQAASVARTVSENKVPATTSVKVEGTSDITVSRAGPQITTDPSFRPFITPTASVNLSTVHQPLQATNIVQPPLIPSHTDIAKVVQKVLQPKVPSHPTWIPPSRDYMNKALTCQMCELSVQEVDTVLLCDACEKGFHLKCLQPSVLRGIHNRVDWHCMRCLSISGGKPLPPKYGRVMRSSSTPPKLPSNIGGIQACSENKAENIEPKVLQMVTNGSTVPTVASGNHNVEVPCYSKFPDTKGIQGIGISSSIEAIDKKPDPNNSMKSLGAASSPSIGLLGECSTKQINSKVLTSKETSERESLPKLSEPAKCESMQSSQNFQVEQTMSKDNAEVSPDKHIDSKIMNNNQKDSLKGENLTYDIKRDDQDAALANIVGISGTNTEGRQDSTLSSDGSHAIEWIGDVVQLVDEKIFYQSCCVDGVTYRLQGHALFPTSNGKLAPSKLQSMWEDCKTGLKWVKVTKCYFPDDLPGNIGHPCISEVNEVYESNSDRTEMASSIQGPCEVLPSDKFKQENDRRCQLGIEESTRIQPIFLCRWFYDEFKKLFQPVIS >Vigun06g032600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13983189:13983542:-1 gene:Vigun06g032600.v1.2 transcript:Vigun06g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKVLALVMLVMACGMAITGLSEGIYPDKCYEYKPLFVPCTPFLADQDSRPPTPRCCDEVVHVFGKTNNPATIEKLCTCLLASIPISGFYPAKLIQLPTACEVKLSFSIEKCING >Vigun01g025900.1.v1.2 pep primary_assembly:ASM411807v1:1:3011767:3018923:1 gene:Vigun01g025900.v1.2 transcript:Vigun01g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQTKRPLQSSSAANVAAAAAGKTIEEVYQKKTQLEHILLRPDTYVGSIEKHTQTLWVYENDEMVQRPVSYVPGLYKIFDEILVNAADNKQRDPSMDALKVTIDAEQNTVSVFNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGKKSEPVITKCKAGENWTKVTFKPDLAKFKMDHLEEDVVALMKKRVLDMAGCLGKTVKVELNGQVIRMKSFRDYADLYLKSAEKSKPVPLPRIHAKVGERWEICVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQITNFVMNKVNKKKKDASVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCDVPDSMLKEVGNSGIVDTLLSWADFKQSKDLKKSDGTKTQRLRGIVKLEDANDAGGRNSEKCTLILTEGDSAKALAMAGLSVVGRDHYGVFPLRGKLLNVREASSKQIMDNEEIQNIKKILGLQQNKEYTNVKSLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPSLLKVPTFMVEFTTPIIRAFHSNGTKLSFYSMPEYESWRERLGNASGWKIKYYKGLGTSTPQEGREYFGDLGKHKKDFVWDDEQDGSAIEMAFSKKKAEDRKTWIRNFEPGTFRDHSARYINYRDFVNKELILFSRADLQRSIPSMVDGLKPGQRKILFCSFKKKLFKEIKVAQFIGYVSEHSAYHHGEQSLSSTIINMAQDFVGSNNVNLLKPNGQFGTRNLGGKDHASARYIYTELNSITRCIFHEDDDKLLEYLSEDGKSIEPNWYMPVIPLVLVNGSEGIGTGWSSFIPNYNPREIIANIRRLLNGETLVPMNPWYKGFRGTIERSPKEGGYLVNGLVEEIDEQTFRICELPIRKWTQDYKQFLESIIDGSPNVKDPLIDDFRQNGDDAIVDIEVRMKLDKIAGIMQDGLLKKFKLSTSLSTNNMHLFDAEGKIKKYDSPEEILEEFFPLRLDYYERRKNYILNNLERLLLVLDNKVRFILGVVSGEIIVNNRKNADLVIELQQKGFTPMPRKGKSAEPVVAGANDEEEQEDDNSTEQETGNLEAAKRGDYEYLLAMSIRTLTFESVQKLLAEKAEKEKEFEILKATPSKSMWLRDLDELEKKLDEIDSKEAEEERKRSSQATRKASNRGVPAATKAAKKPPQPRKYTKKAKNVEPDNDNSSMEVENVDEVTKPKGRAAGSSNTQTVGDDEILSLQERLAAYNFAASSDQSTGMETEEPPAPAGKTAPKRRGPAAKKKSSTVVLDETDSDHDNDVTADDDFEIMQPSTGAGKKKAGRKPAAQNAKKEPAATRKRNVGGKQAQLLGQKLITDMMEKTGISPEKKVRKMRASPFNKKSSSVLGRVAAAADKEESVSESLSGGSAGSNPSPSTSPEEEVVEVAPTSARARPQRANRKPMTYVLSSESDNDSDQNEEYSDFEEDED >Vigun03g038000.6.v1.2 pep primary_assembly:ASM411807v1:3:2910318:2917648:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSVSFVKFLDSETLVSASTDNTLKIWDLNRTSPVGRSTSACSLTLTGHTNEKNFVGLSVADGYITCGSETNEVYAYYKSFPMPIISHKFGSIDPVSGKETDDDYSQFVSSVCWRGKSDMLIAANTSGCIKVLQMI >Vigun03g038000.3.v1.2 pep primary_assembly:ASM411807v1:3:2910257:2917670:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSNLSCGSVN >Vigun03g038000.1.v1.2 pep primary_assembly:ASM411807v1:3:2910318:2917658:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSVSFVKFLDSETLVSASTDNTLKIWDLNRTSPVGRSTSACSLTLTGHTNEKNFVGLSVADGYITCGSETNE >Vigun03g038000.7.v1.2 pep primary_assembly:ASM411807v1:3:2910318:2917668:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSNLSCGSVN >Vigun03g038000.4.v1.2 pep primary_assembly:ASM411807v1:3:2910257:2917670:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKQY >Vigun03g038000.5.v1.2 pep primary_assembly:ASM411807v1:3:2910238:2917732:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSVSFVKFLDSETLVSASTDNTLKIWDLNRTSPVGRSTSACSLTLTGHTNEKNFVGLSVADGYITCGSETNEVYAYYKSFPMPIISHKFGSIDPVSGKETDDDYSQFVSSVCWRGKSDMLIAANTSGCIKVLQMI >Vigun03g038000.2.v1.2 pep primary_assembly:ASM411807v1:3:2910257:2917670:1 gene:Vigun03g038000.v1.2 transcript:Vigun03g038000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNFDGMDEELEIGEGVQVQHQNKDDGYSLNPEFPGILKPQEYDEIPEDKNMAEGREHLHPGLFSDGGGVMVEELMVKSCNGSTLEIGTLNSPGSLHDCRSPWRHNYQPFADSRVGRDRIIARKSVQATSSATEDFGPMSSREILARKSVNYDHGSVVKHLSADEHTAEQKEDEGDAREGMQTKTAHKSGFAEYFSRSTLKGKGIVCKGPSSNGLYVESRDQNLMKYGIDIQTDSNAFPISGLKFAKSPHNATVPGFGESDTDGVTLREWLNSRHHKGSKTEHLSIFRKIADLVGGSHSQGVAMHNLYPSYIKLLPSNHVMHLGLPTQKHKLDSVANSEVLQLENSLIRKRLSEKVKSSSHNLRMKKQKFADNVRVAGDQSQCPPRTDLYYQIANDIKVNAAVSQDHCNQYKEDIQFSKHNIRRPSRLPHISNAGQFQLNSSNEGLEEEWYTSPEGGCTILSNIYCLGVLFFELFNHFDSERAHTAAMSALRGRILPSAFLSEYPKEAGFCLWMLHPEPLSRPTIRKILQSEVINGTKVVDCEELLSSLNQYDAESELLLHFLISLKEQKHGDAHKLAEEIRCMESDIKEVERRHDLRLSLAPSSLKNNSSCGIEGVSLLKEASSAEILPPVYTVSKENELRLMKNMSPLENVYFSTRSTIKLPGTETATRPDKDVLRNSDNFCVAQKDMEKHTDTLGAFFDGLCKYARYHKFEVRGILRTSDFNNPVNVICSLSFDRDGDYFAAAGISKKIKVFQFDAIFNNSVDIHYPVVEMVNRSRLSCVCWNSYVQNYLASTDYDGVVKLWDANTGQEFSRLTEHEKRAWSVDFSILCPTKFASGSDDCSLKLWSVNEKNSLGTIRNVANVCCVQFSAHSSHMLAFGSADNSAYCYDLRFLRNPWCVLGGHRKSVSFVKFLDSETLVSASTDNTLKIWDLNRTSPVGRSTSACSLTLTGHTNEKVRFYIL >Vigun07g263600.4.v1.2 pep primary_assembly:ASM411807v1:7:37907229:37913578:-1 gene:Vigun07g263600.v1.2 transcript:Vigun07g263600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETLFDEFVLAHVIGWWGKAILIRNQPLLWVLSIGFELVEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSQQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKPMPIWLVIFWSSVGVAIVTFLLLWSWQIHRSLGNKKRR >Vigun07g263600.1.v1.2 pep primary_assembly:ASM411807v1:7:37907224:37913581:-1 gene:Vigun07g263600.v1.2 transcript:Vigun07g263600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGHARVKRNDIIKENGNSHLSNVDDEHDPWTAWAYKPRTITLLLIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETLFDEFVLAHVIGWWGKAILIRNQPLLWVLSIGFELVEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSQQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKPMPIWLVIFWSSVGVAIVTFLLLWSWQIHRSLGNKKRR >Vigun07g263600.3.v1.2 pep primary_assembly:ASM411807v1:7:37907227:37913665:-1 gene:Vigun07g263600.v1.2 transcript:Vigun07g263600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGHARVKRNDIIKENGNSHLSNVDDEHDPWTAWAYKPRTITLLLIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETLFDEFVLAHVIGWWGKAILIRNQPLLWVLSIGFELVEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSQQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKPMPIWLVIFWSSVGVAIVTFLLLWSWQIHRSLGNKKRR >Vigun07g263600.5.v1.2 pep primary_assembly:ASM411807v1:7:37907229:37913578:-1 gene:Vigun07g263600.v1.2 transcript:Vigun07g263600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGHARVKRNDIIKENGNSHLSNVDDEHDPWTAWAYKPRTITLLLIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETLFDEFVLAHVIGWWGKAILIRNQPLLWVLSIGFELVEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSQQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKPMPIWLVIFWSSVGVAIVTFLLLWSWQIHRSLGNKKRR >Vigun07g263600.2.v1.2 pep primary_assembly:ASM411807v1:7:37907222:37913665:-1 gene:Vigun07g263600.v1.2 transcript:Vigun07g263600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGHARVKRNDIIKENGNSHLSNVDDEHDPWTAWAYKPRTITLLLIGACFLIWASGALDPERDASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETLFDEFVLAHVIGWWGKAILIRNQPLLWVLSIGFELVEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSQQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDRKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKPMPIWLVIFWSSVGVAIVTFLLLWSWQIHRSLGNKKRR >Vigun10g057400.1.v1.2 pep primary_assembly:ASM411807v1:10:10707608:10711789:-1 gene:Vigun10g057400.v1.2 transcript:Vigun10g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHVVKGCLCSAGAGDISRRYHHSNANPFGNSICYVRPPDLFSTDNVTTITTFRSVSGASVSANSSTPPSTTFDSLHHSATNSPVVLDSSASFESSPSFTSMPLQQQHASCRDGSFGGSCNPVWCSPMERGFSSGPVVLGALMNERDLKKKLRRRFSHDNGFAFEDKSKKQSVRKILKRVISGSFVKRVVSIGGYKKKKENDIVKVDGDDDGGGGDDDKNSDDHPSLDDNDDDHHHPYLSDDNEKFNVVGSCCSDFKNQDFMASVTVADRELMGCENLEWAEGRAGEDRVHIVISEEHGWVFVGIYDGFNGPDATDYLLDNLFHSVHDELKGMLCGDTSEKVLLGLVNGSGRSSKIRNTVVKGCCSSMLDNWDSLNCWKKLELSVKGASSFGHSDALVGLSEALRKTEDAFLKKVDEVIGNNPVLTMMGSCVLVMLMKGEDVYLMNVGDSRAILADHSVNSLQLTMEHSTLVKEEVDRIMKEHPDDPCAITKGRVKGYLNVTRAFGAGFLKEPKQNNAVLESLKVNYIGESPYIVCSPSLYHHRLRPSDRFLILCSDGLQQYFTNEEAVAIVDSFITSSPDTDPAQLLINEALFRAAKKAGMDFHELLNIPHGERRLYHDDISIVIISFKGKIWRSSL >Vigun05g079900.1.v1.2 pep primary_assembly:ASM411807v1:5:7525678:7530770:1 gene:Vigun05g079900.v1.2 transcript:Vigun05g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHTLQEAQAALGRGLTLPETIWFKYSADKPDFLLHCHNSLFLFLFYSIAPVPFLLMELSGNQKIHKHKIQPKVKRTFWEMFKCYKDVLHTFVIAVTPLQIISYPTIKWIGIRTGLSLPSGWELFWQILVYFVIEDYLGYWVHRMLHCKWAYEKIHKLHHEYSAPIGLSAPYAHWAEIIILGIPAFIGPILVPGHITTYWLWFILRQLEAIETHSGYDFPWSCTKFIPFYGGAAFHDYHHYVGEKSQSNFASVFTYCDYIYRTHKGYQYIKQKGKENSTYTKMYKTR >Vigun06g028800.1.v1.2 pep primary_assembly:ASM411807v1:6:12710396:12715079:1 gene:Vigun06g028800.v1.2 transcript:Vigun06g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFITFFSIEVDETTEMQSEENKLSMEKNKKRRLKTPAQLTALENFYNDHKYLTEEMKSELAEELELTEKQISGWFCHRRLKDKKLMNDEVGANGRQDRSSGVIQDRGSGLVQDSCGSTKHGDYRYLDPKEVESHGLYNHEFSAADMTYAQRNNHHYAENDSATDNTSSESCSSLQDRLLPQGQDQYDMEPSSHLMPNGSLPPLNPKGANNMGYKPSGYLKVKGEIEHVAITAVKKQLGNHFQEDGPLLSVEFDTIPPGAFEGQIADLANEGYYAANPALPNSPEVSAAKKQSSGSRYDSYFTKLRSQDSHKEGSDFGSLHGPDLQDKKPHKHINQRASFHSFTNHLPHKNSSLDLYVDSTGEASAYNGAKNHRMGTKHGFEGMRSDSASNPSDHYEENAVAVKQIDSLLHLYNNINLKNVQKSEYVKSKPSNLVHKSQIYLNAEEKGLTKRMAKEEKFNGDRKLKKQYHDPDGIKVLSNEKMVAKRAKVDPFQQYDVKQTPVSDLEPRKVQRSAAEMPSSFSEDETAETSSSVD >Vigun06g028800.2.v1.2 pep primary_assembly:ASM411807v1:6:12709302:12715079:1 gene:Vigun06g028800.v1.2 transcript:Vigun06g028800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTEMQSEENKLSMEKNKKRRLKTPAQLTALENFYNDHKYLTEEMKSELAEELELTEKQISGWFCHRRLKDKKLMNDEVGANGRQDRSSGVIQDRGSGLVQDSCGSTKHGDYRYLDPKEVESHGLYNHEFSAADMTYAQRNNHHYAENDSATDNTSSESCSSLQDRLLPQGQDQYDMEPSSHLMPNGSLPPLNPKGANNMGYKPSGYLKVKGEIEHVAITAVKKQLGNHFQEDGPLLSVEFDTIPPGAFEGQIADLANEGYYAANPALPNSPEVSAAKKQSSGSRYDSYFTKLRSQDSHKEGSDFGSLHGPDLQDKKPHKHINQRASFHSFTNHLPHKNSSLDLYVDSTGEASAYNGAKNHRMGTKHGFEGMRSDSASNPSDHYEENAVAVKQIDSLLHLYNNINLKNVQKSEYVKSKPSNLVHKSQIYLNAEEKGLTKRMAKEEKFNGDRKLKKQYHDPDGIKVLSNEKMVAKRAKVDPFQQYDVKQTPVSDLEPRKVQRSAAEMPSSFSEDETAETSSSVD >VigunL060258.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:43707:44141:1 gene:VigunL060258.v1.2 transcript:VigunL060258.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLDFEFLVSNFKFEVSGFKFGVRGSCFELGVYGFGVSGFGFRVSGLGFGFRVSSFGLQVTSYGFWVSCFGCRILGSWFRISDFGFRVSGFQFGVSGFGSQDSSLGFWVLGISGYRFQVSGFDFQVEFRVWDFEFRISGLGF >Vigun04g093233.1.v1.2 pep primary_assembly:ASM411807v1:4:18287783:18288872:1 gene:Vigun04g093233.v1.2 transcript:Vigun04g093233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVDEDHTFANSIRYTLNQDPRVTYCGYNIPHPSFNRPPILIVSQRYNDDLVVKFQIEDERNWDPAKKVFKDGCLELMLICRHVRSTFDDALFEFKKTKIS >Vigun03g285400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46667880:46669649:-1 gene:Vigun03g285400.v1.2 transcript:Vigun03g285400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFTPFHQITPLPNPTIMNKNQIPRTRPWPAGFPTSKALTNFADANCMEQLLLHCANAIQTNDVTLAQQILWVLNNIASPDGDSNQRLASSFLRALTVRAGQTGTCKMLVEVTDHDRTNLSLDTHKFSVIELANFVDLTPWHRFGFTAANAAILEATDGFSVIHIVDLSLTHCMQIPTLIDAIASRHEVPPLIKLTVAADASRRDIPPMLDHSYEELGAKLVNFARSRNVVMEFRVVSSTYQDGFAGLIEQLRVQQQHFVYAVEPRPSEALVINCHMMLHYIPDETLHAIPETDLTSLLYDSSSSSAGSSSSAAVSVTPTSSLRSLFLKSLRGLDPTLVILVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADISWKIENVIAQEGVQRVERVEPKNRWEQRMKNVNFQAVTFSEDSVAEVKGMLDEHAAGWGLKREDEHIVLTWKGHNVVFASAWLPA >Vigun04g150300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36611151:36613106:-1 gene:Vigun04g150300.v1.2 transcript:Vigun04g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGFTGGGGASEYFAGAGGFTGRSIPAATMNNPNGVATATANLHPLYRTQQQNLPAMFLDPASQIAQRQTPTLIGKRTLTEFQTYNQTYNQPNNNPNHVLSNLLLRSVKPRTSFSQSSMENFPELHNQNPSLYQQQRFGVPLLHQLRPQPINLPSNGSGPMPSPNFGYRNSNLGMPQNRVRLSAPLPVPVQVVEPEKKIMDHRLLELEKQLLEDNDEDEGEADAASVITTSEWSETYQNLISPGPVQKLVSTSPTSSTTSSTSSSSSIASPASGCSRQTLMEAASAIVEGKYDMATEILNRLNGPNRTDKLTDCMVLALKSRMNPVEYPPPVTELFGYEHAESTQLILENSMCFKVGLMAANLAILEAAFEEKTENKFCVVDFEIGQGKQYPHLLNALSTRGQNVTLKLVAVAESGGEDRVRAVGDMLSRLAERKRIGFEFRLVPTQKLTELTRESLGCNEDEVLMVNFAFKLHKIPDESVSTENPRDELLRRVKGLAPRVVTIVEQEMNANTAPFLARVAETLSFYGALLESMEAMTSRDNNNSTNNSDRVRLEEGLTRKLHNSVACEGRDRVERCEVFGKWRARMSMAGFELKPLNQNIVESIKSRLTATPNNNNNRVNSGLIVKEENGGICSGWMGRTLTVTSAWR >Vigun11g194400.1.v1.2 pep primary_assembly:ASM411807v1:11:39323632:39325051:1 gene:Vigun11g194400.v1.2 transcript:Vigun11g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTDNDSANTSEIYKAQMHVNKYLLGFQSCMAVKYATELGIADAIHRHGKPITLSDLAAALNLPPSKVGVLHRFMRLLSHNGFFTKTPSQNSEEEEETYSLTLASKFLVRSNSFCLAPNAEVAYHPSLFNMWNSSNKEVLTEDTQVPLFQSVTGETYFNFINNDSQFLNVFQKAVAADSEMIKLGLQQCKHVFEGLDSLIDVGGGTGAVSRVILEAFPHLKCTVLDQPQVVANLETTQNLSFVGGDMFQSIPSADAVLLKWVLHNWDDEHSIKILKKCKEAISGKGKEGKVIIIDVVIDEAGDDRDVTELKLNFDLAMLTLLNGKEREKKEWEKLIHRAGFTSCKFSPAFGFKSIIEVYP >Vigun10g116600.2.v1.2 pep primary_assembly:ASM411807v1:10:32172704:32177238:1 gene:Vigun10g116600.v1.2 transcript:Vigun10g116600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAYNSSSDMKGLPKVLVLGPPLSFSSLQPLYSHKFHFLKPHLSDLSLQHFLQIHHPPSIAAILCSPNYSVTAEVLRLLPSLRLLVTTSIGTDHIDLRECRRRGIQVTSAGAIFSEDVADMAVALLIDGMRKISAADRWLRTQNRHNTPWHLFPLGSKLSGKQVGIVGLGRIGMEVAKRLEPFGCIISYNSKHKKTTVSYPFYPTVVELATNCGVLVLCCELNEQTKHIINREVMLALGKGGFIVNIGRGGLIDEKELVKCLMEGEIGGAGLDVFENEPRVPQELFEMNNVVLSPHAAAITEESFMNMCEVAGGNLEAFFSNKPLISPVTLPE >Vigun05g178300.19.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33412963:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.13.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.9.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33413264:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.15.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33412963:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.22.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33412963:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.1.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.10.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.18.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.14.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.21.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33413265:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.12.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33412963:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.4.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.11.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.23.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.3.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.6.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.5.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.20.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.16.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKQDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.17.v1.2 pep primary_assembly:ASM411807v1:5:33401588:33424460:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun05g178300.7.v1.2 pep primary_assembly:ASM411807v1:5:33401586:33413264:-1 gene:Vigun05g178300.v1.2 transcript:Vigun05g178300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHSDHKAGNKFNGNSNIIPDRVERLMRRRELFKSSKASLLNEAPDSNNNNNNVITEYFEHDPRLREDNNSAASYERLLEGVAAAVRGEREDGRPFKQRLLVVANRLPVSAIRKGEDSWSLEISAGGLVSALLGVKALEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFEAYQKANQMFADVVNKHYEEGDVVWCHDYHLMFLPQCLKNYNPKMKVGWFLHTPFPSSEIHRTLPSRSELLHAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSERFIRALDLPQVKGHIKELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLATVPIHHLDCSLDFHKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDKKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAAAIDKALNMKSEEREKRHKHNFKHVTTHTAQEWAETFVSELNDTIDEAQLRTKQVPPRLPMETAVERYLQSNNRLIILGFNGTLTVPVERKGDQYQETELTVHPELKQPLAELCSDPKTTVVVLSGSGRPVLDQNFKEYDIWLAAENGMFLNPSKGEWMTTMPEQLNMEWVDSVKHVFDYFTDRTPRSHFEEREASLVWSYRHSDVEFGRLQARDMLQHLWTGPISNASVEVVQGSRSVEVRAANVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLTKDEDIYAFFEPELPSIGVGLPRSKVTEGVKFPAERISSLKIPASKNGAAKSSQNKGQRVVPNSERKTNNHVCRAPRRPAPEKISWNVLDLKKENYFSCAVGRTQTNARYTLGSPDEVVSFLNELVNASPYSYV >Vigun03g112600.1.v1.2 pep primary_assembly:ASM411807v1:3:10274435:10283828:-1 gene:Vigun03g112600.v1.2 transcript:Vigun03g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVDFSKLFDGDDDDGDMFYIDMNTVQKVLDEDDNCDFLENFPDDSSSKNASPSESGTHDSFQIQNGSQVLEEQQFSRLGFADSVTSCSPFCSDASDSGVRGSVGVSDSVANSWLDIELENEGPQSQACSSPNAFPGNLSNSLSPGESDEVFCIERNRVSKHEVPACSVESSFPEAQSRNISIYGDNLNPSQWKGENEIQFKHFREDVEFENTSISSIVDNDDINIEGYVEDTTGGVSGQQENDSCTSFEAFMDADRFLHVATSPDSTIGQGSHGSSDFIDYHGSSTYYEGMHSGPFVADSSLGSLPNGFCSQLPNDEMMNNMKAKSVELNADITCMNSGMPSNTTGWTSFQDLTDNVYPSFHSRKVNFDDLPSLSLSACDSYVPYGDHYQDAKFNVDQSVRQTPGIFSSVGSQAYQFYENEDNYAVISGISNQYQDSNGRIASFQENVDNLNLQAANISWPHAQALITSEKQFGCVKREGGVRHKLADSHLSKGKIQNFIVEEDPDVCIIEDISHPAPTSRSTIIGNSSNISQSARYADSQSYMVGSTRLKACDERNILRVALQDLSQPKSEVSLPEGLLAVPLLRHQRIALSWMVQKEASSLYCSGGILADDQGLGKTVSTIALILKERPPLLNGGTNAHKSELDSLNLDVDDDVLPQNGRVKEESNICEDKSNRNPMKSMNLLNQAKGRPSAGTLIVCPTSVLRQWAEELRSKVTSQTNLSVLVYHGSNRTKDPYEVAKYDVVLTTYSIVSMEVPKQPSADKDDEEKGNVEDHAVPSRKRKSPSNSSKNGKKRSDGTVLETNARPLAKVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYDVYISFCSKIKNPISRNPANGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKYIELKKVDFSTEERDFYYKLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWRYSVEMAKTLPQEKQISLLQCLEASLALCSICNDPPEDAFVSVCGHVFCNQCICEHLTGDDNQCPAANCKIRLSTSRVFSKATLNSCLSDQGCDNSPGCPASEVEEFEPWSQSQPYESSKIKAALEVLKSLRKPQSYTSKSTSGNSTLSEDNDCPGNPSDADNGKSSLNSHECQNLSDENRYHSDSVTVVGEKAIVFSQWTRMLDLLEACLKKSSINYRRLDGTMSVVSRDKAVKDFNTLPEVSVIIMSLKAASLGLNMVVACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRTMVASAFGEDGSGDRQSRLTVDDLKYLFMM >Vigun03g112600.4.v1.2 pep primary_assembly:ASM411807v1:3:10274435:10283822:-1 gene:Vigun03g112600.v1.2 transcript:Vigun03g112600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVDFSKLFDGDDDDGDMFYIDMNTVQKVLDEDDNCDFLENFPDDSSSKNASPSESGTHDSFQIQNGSQVLEEQQFSRLGFADSVTSCSPFCSDASDSGVRGSVGVSDSVANSWLDIELENEGPQSQACSSPNAFPGNLSNSLSPGESDEVFCIERNRVSKHEVPACSVESSFPEAQSRNISIYGDNLNPSQWKGENEIQFKHFREDVEFENTSISSIVDNDDINIEGYVEDTTGGVSGQQENDSCTSFEAFMDADRFLHVATSPDSTIGQGSHGSSDFIDYHGSSTYYEGMHSGPFVADSSLGSLPNGFCSQLPNDEMMNNMKAKSVELNADITCMNSGMPSNTTGWTSFQDLTDNVYPSFHSRKVNFDDLPSLSLSACDSYVPYGDHYQDAKFNVDQSVRQTPGIFSSVGSQAYQFYENEDNYAVISGISNQYQDSNGRIASFQENVDNLNLQAANISWPHAQALITSEKQFGCVKREGGVRHKLADSHLSKGKIQNFIVEEDPDVCIIEDISHPAPTSRSTIIGNSSNISQSARYADSQSYMVGSTRLKACDERNILRVALQDLSQPKSEVSLPEGLLAVPLLRHQRIALSWMVQKEASSLYCSGGILADDQGLGKTVSTIALILKERPPLLNGGTNAHKSELDSLNLDVDDDVLPQNGRVKEESNICEDKSNRNPMKSMNLLNQAKGRPSAGTLIVCPTSVLRQWAEELRSKVTSQTNLSVLVYHGSNRTKDPYEVAKYDVVLTTYSIVSMEVPKQPSADKDDEEKGNVEDHAVPSRKRKSPSNSSKNGKKRSDGTVLETNARPLAKVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYDVYISFCSKIKNPISRNPANGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKYIELKKVDFSTEERDFYYKLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWRYSVEMAKTLPQEKQISLLQCLEASLALCSICNDPPEDAFVSVCGHVFCNQCICEHLTGDDNQCPAANCKIRLSTSRVFSKATLNSCLSDQGCDNSPGCPASEVEEFEPWSQSQPYESSKIKAALEVLKSLRKPQSYTSKSTSGNSTLSEDNDCPGNPSDADNGKSSLNSHECQNLSDENRYHSDSVTVVGEKAIVFSQWTRMLDLLEACLKKSSINYRRLDGTMSVVSRDKAVKDFNTLPEVSVIIMSLKAASLGLNMVVACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRTMVASAFGEDGSGDRQSRLTVDDLKYLFMM >Vigun03g112600.5.v1.2 pep primary_assembly:ASM411807v1:3:10274435:10283848:-1 gene:Vigun03g112600.v1.2 transcript:Vigun03g112600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVDFSKLFDGDDDDGDMFYIDMNTVQKVLDEDDNCDFLENFPDDSSSKNASPSESGTHDSFQIQNGSQVLEEQQFSRLGFADSVTSCSPFCSDASDSGVRGSVGVSDSVANSWLDIELENEGPQSQACSSPNAFPGNLSNSLSPGESDEVFCIERNRVSKHEVPACSVESSFPEAQSRNISIYGDNLNPSQWKGENEIQFKHFREDVEFENTSISSIVDNDDINIEGYVEDTTGGVSGQQENDSCTSFEAFMDADRFLHVATSPDSTIGQGSHGSSDFIDYHGSSTYYEGMHSGPFVADSSLGSLPNGFCSQLPNDEMMNNMKAKSVELNADITCMNSGMPSNTTGWTSFQDLTDNVYPSFHSRKVNFDDLPSLSLSACDSYVPYGDHYQDAKFNVDQSVRQTPGIFSSVGSQAYQFYENEDNYAVISGISNQYQDSNGRIASFQENVDNLNLQAANISWPHAQALITSEKQFGCVKREGGVRHKLADSHLSKGKIQNFIVEEDPDVCIIEDISHPAPTSRSTIIGNSSNISQSARYADSQSYMVGSTRLKACDERNILRVALQDLSQPKSEVSLPEGLLAVPLLRHQRIALSWMVQKEASSLYCSGGILADDQGLGKTVSTIALILKERPPLLNGGTNAHKSELDSLNLDVDDDVLPQNGRVKEESNICEDKSNRNPMKSMNLLNQAKGRPSAGTLIVCPTSVLRQWAEELRSKVTSQTNLSVLVYHGSNRTKDPYEVAKYDVVLTTYSIVSMEVPKQPSADKDDEEKGNVEDHAVPSRKRKSPSNSSKNGKKRSDGTVLETNARPLAKVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYDVYISFCSKIKNPISRNPANGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKYIELKKVDFSTEERDFYYKLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSNSLWRYSVEMAKTLPQEKQISLLQCLEASLALCSICNDPPEDAFVSVCGHVFCNQCICEHLTGDDNQCPAANCKIRLSTSRVFSKATLNSCLSDQGCDNSPGCPASEVEEFEPWSQSQPYESSKIKAALEVLKSLRKPQSYTSKSTSGNSTLSEDNDCPGNPSDADNGKSSLNSHECQNLSDENRYHSDSVTVVGEKAIVFSQWTRMLDLLEACLKKSSINYRRLDGTMSVVSRDKAVKDFNTLPEVSVIIMSLKAASLGLNMVVACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRTMVASAFGEDGSGDRQSRLTVDDLKYLFMM >Vigun03g450900.2.v1.2 pep primary_assembly:ASM411807v1:3:65239689:65246138:1 gene:Vigun03g450900.v1.2 transcript:Vigun03g450900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIDEDENDKELAGLTALPPHRKAHSYSQQLRGASTHKRHHRVRKHSLDDSRISSNIESSFYDSDSDDDIFSRSSSTNPGGGEEEYNEGNDVTQYQPLQEFIGTGGGTGIFKAPFRASVHPARPPCLELRPHPLRETQVGKFLRNIACTETQLWAGQEGGVRVWEIHNSYEPGSGLGGKVRRGDEDAAPFCESADTSPTLCLAVDNGNRLMWSGHKDGKIRSWKMDQRFATPFKEGLSWQAHRGPVLSIVLSSYGDLWSGSEGGILKIWPWESVEKSLSLSPEERHMAALLVERSFVDLRSQVTVNGVCSISSQDVKCLLCDHVRGRIWCAGPLSFSLWDARTKELLKVFNIEGQVENRVDMSSVQQQDQAIEDEMKVKFVSSSKKEKSQGTSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKRTEALVQTSDGMIWSGCTNGLLVQWDGTGSRVQDFNRHPCAIQCFCTFGTRLYVGYVSGIIQVLDLEGNLIAAWVAHNGPVIKLAVGSDYVFSLATHGGLRGWIIASPGPVDNMIRSELAAKELIYTRRHNVRILIGTWNVGQGRASQDSLSSWLGSIASDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAMGQWWLDTIGKALEEGKAFERMGSRQLAGLLVSLWVRKNLRTHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNTAAAGVSTAVHVLRGTNTTAGSSEEPKPDLSEADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIKFPPTYKFERHQPGLGGYDSGEKKRIPAWCDRIIYRDTRAAPVSECSLDCPVVASILQYDACMDVTDSDHKPVRCKFNPLSAQTV >Vigun03g450900.1.v1.2 pep primary_assembly:ASM411807v1:3:65239689:65246138:1 gene:Vigun03g450900.v1.2 transcript:Vigun03g450900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIDEDENDKELAGLTALPPHRKAHSYSQQLRGASTHKRHHRVRKHSLDDSRISSNIESSFYDSDSDDDIFSRSSSTNPGGGEEEYNEGNDVTQYQPLQEFIGTGGGTGIFKAPFRASVHPARPPCLELRPHPLRETQVGKFLRNIACTETQLWAGQEGGVRVWEIHNSYEPGSGLGGKVRRGDEDAAPFCESADTSPTLCLAVDNGNRLMWSGHKDGKIRSWKMDQRFATPFKEGLSWQAHRGPVLSIVLSSYGDLWSGSEGGILKIWPWESVEKSLSLSPEERHMAALLVERSFVDLRSQVTVNGVCSISSQDVKCLLCDHVRGRIWCAGPLSFSLWDARTKELLKVFNIEGQVENRVDMSSVQQQDQAIEDEMKVKFVSSSKKEKSQGTSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKRTEALVQTSDGMIWSGCTNGLLVQWDGTGSRVQDFNRHPCAIQCFCTFGTRLYVGYVSGIIQVLDLEGNLIAAWVAHNGPVIKLAVGSDYVFSLATHGGLRGWIIASPGPVDNMIRSELAAKELIYTRRHNVRILIGTWNVGQGRASQDSLSSWLGSIASDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAMGQWWLDTIGKALEEGKAFERMGSRQLAGLLVSLWVRKNLRTHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNTAAAGVSTAVHVLRGTNTTAGSSEEPKPDLSEADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIKFPPTYKFERHQPGLGGYDSGEKKRIPAWCDRIIYRDTRAAPVSECSLDCPVVASILQYDACMDVTDSDHKPVRCKFNVRISHVDRSIRRKEFGIIMKSNEKIRSILEDLCYVPEATVSPNSLVLQNLDTSFLLITNRSTKDKAIYKITCQGQSIVKNDGQAPDYSPRGGFGFPRWLEVTPAAGIIKPEQNVEISVRHEDLHNPEESANGVPQTWWNEDTRDKEVILIVYVQGSSSVQTSCRQIHVRHCMSAKTARSDSKSNSARRNQIS >Vigun04g024800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1912634:1913015:1 gene:Vigun04g024800.v1.2 transcript:Vigun04g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKNFGRVSYKSDVYSYGMMLLEMVGGRKNINGEASNTSEIYFPHWAYTKLELDDDLRPDMEMTREENEIAKRLAMVGLWCTQTFS >Vigun07g232500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35444263:35447289:-1 gene:Vigun07g232500.v1.2 transcript:Vigun07g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLTIYLLLCILSSANAEFAKKTYIIQMDKSAKPDTFSNHLEWYTSKVKSVLSNSVEAEMDKEERIIYTYQTAFHGVAAKLSQEEAEKLEAEEGVVAIFPDTKYQLHTTRSPTFLGLEPTQSTNVWSEKLANHDVTVGVLDTGIWPESESFNDTGMGSVPSHWKGACETGRGFEKYHCNKKIVGARMFYHGYEAATGKIDEKTEYKSPRDQDGHGTHTAATVAGSPVHGANLLGYAHGTARGMAPRARLAVYKVCWTGGCFSSDILSAVDTSVADGVDVLSISLGGGVSSYYRDSLSVAAFGAMEKGVLVSCSAGNAGPDPVSLTNVSPWITTVGASTMDRDFPAEVSLGNGRRLTGTSLYKGRSVLSVKKQYPLVYMGNINSSIPDPRSLCLEGTLDRRMVSGKIVICDRGISPRVQKGQVVKNAGGVGMILTNTAANGEELVADCHLLPAVAIGEKEGKELKHYALTSKKATATLGFLATRLGVRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWSGAIGPSSLATDHRRVKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTIKPLRDASSPDASTPYDHGAGHINPRRALDPGLVYDIQPQDYFEFLCTQKLTPSELGVFAKYSNRSCRHSLASPGDLNYPAISVVFPQINSSSVLTVHRTATNVGPAVSKYHVVVSPFKGASVKVEPQTLSFTKKYQKLSYRVTFTTQSRQTEPEFGGLVWKDGVHKVRSPIVITYLSPI >Vigun02g151500.1.v1.2 pep primary_assembly:ASM411807v1:2:29842680:29844371:-1 gene:Vigun02g151500.v1.2 transcript:Vigun02g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSTDLRSKYNVRSIPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGIHPSKVVVTKLRMDKDRKSLLDRKAKGRAAADKEKGEDRDDDTFYRSGVLVDSLQRRDKSQFQSV >Vigun02g085200.1.v1.2 pep primary_assembly:ASM411807v1:2:23942568:23953899:1 gene:Vigun02g085200.v1.2 transcript:Vigun02g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFCRTLLTFWSILIHLFSLFLLKSIWFTPNITVFALGNETDHFALLKFKESISSDPNRILFSWNTSTHFCNWHGITCNSMLQRVTELNLGGYKLKGFITPHIGNLSHMTTFNIGNNNFYGEIPQELGKLSKLQYLSVANNSMLEGKFPSNLTSCTDLKILFLNGNNLTGKIPVEIVSLQKLQQLYLYKNNLSGTIPSFMGNLSSLTDLSLGSNNFNGNIPQEICRLKRLTFLSLAINKLTGAFPSCLYNMSSLTIISVALNQLNGSLPSNMFHTLSNLQVLQIGVNQMSGPIPSSIANASTLSTVSMLDNNFSGQVPSLGKQIHLRRLSLFRNNLGDNSLNDLEFLKSLTNCSELQVLSLSYNNFGGHLPNSLGNLSTQLSELYLGGNQISGDIPATCGNLIGLILFTMEQNLIHGIIPTTLAKLQKLQVLDLSGNKLSSLGTFIGNLSQLYFLNLAENMLQGSIPPSLGSCQNLQHLYLSHNNLTGTIPLQVFNLSSLANIFSLSQNLLSGNIPEEVGNLKNLNRLYLSENRLSGHIPETIGECIMLEELYLNGNSLQGSIPSSLASLRGLQSLDLSRNLLSGSIPRVLQSISSLQYFNVSFNMLDGEVPTKGIFSNASGIIVTGNSKLCGGISNLHLPLCPVKVTKQAKHHSLRLIAIIVSVVASLLILLVILTIYWMRKRSNKPSLDSPTIDQLTKVSYQSLYNGTNGFSSSNLIGSGSSSSVYKGTLEQEDKIVAVKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQDFKAIIFEYMTNGSLEQWIHRGTPSAPHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGIARLLSTFNHTTSGQTSTNGIKGTIGYAPPEYGERYKVSTYGDVYSFGILILEMLTGRRPTDEMFKDGQNLRNFVAISFPNNLLQILDPRIISEYEATAVEGNCCNLNAEAEKCVVSLFRIGLACSVESPKERMKLVDVIRELNQITK >Vigun10g067000.1.v1.2 pep primary_assembly:ASM411807v1:10:15526278:15553015:1 gene:Vigun10g067000.v1.2 transcript:Vigun10g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAVATCSFFRPPSPPLLSSALRLFSSRFRCSGSLRHGAKIHGRSFHSVFDSVMEELHAARKSRHKRVSAAGSSTGLLNEELVEDRLANRSLQKGLLLEFKKDSDRVLLAVAQRPDGKKNWMVSDQNGVTSSIKLQQVTYIVPGIDNFDQADITDFALKAQDNMDPSLLEYAWVELLEKNKSVTVEELAEIIFGSTEPLESYSAHLLLSKDEVYFTVLETKGSRSIYGPRPSRQVEELSHKKLAKEAAEKEFQEFIELLASVKSMSLQDKPPKSSWTNDENNWRRIESLVAYAIDACKSDEQRKTAGMILKELGLAKTSSSAVKLLIDIGYFPVHINLDLLRSGIPTDHSEEIISVAQSLLLDTSDPDKIDRKNLTELKVYAIDVDEADELDDALSATKLQDGRIQVWIHVADPTRYVQPGSIVDREAMRRGTSVFLPTATYSMFPENLAMGGMSLRQGELCNAVTVSVVLHNDGSIAEYSVFNSVIKPTYMLTYESASELLHLNLQEEGELRILSEAANLRSNWRRQQGAIETSTLDTRIKVFNPEDPEPSLKLYVENQADPAMRLVFEMMILCGEAIATFGSQNDIPLPYRGQPQSDINVSEFSHLPEGPVRTSALVRVMRAAEIDFRKPARHGVLGIPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPPPFTAGKLEGIAAVINENVRTVRKLSNSSLRYWILEYLRRQPKEKSYRALVLRFLKDRIAALLLVEVGFQASAWVPLGSQIGDEVLVKVEEAHPRDDILFLKEVAKE >Vigun10g067000.2.v1.2 pep primary_assembly:ASM411807v1:10:15526278:15553015:1 gene:Vigun10g067000.v1.2 transcript:Vigun10g067000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLLEYAWVELLEKNKSVTVEELAEIIFGSTEPLESYSAHLLLSKDEVYFTVLETKGSRSIYGPRPSRQVEELSHKKLAKEAAEKEFQEFIELLASVKSMSLQDKPPKSSWTNDENNWRRIESLVAYAIDACKSDEQRKTAGMILKELGLAKTSSSAVKLLIDIGYFPVHINLDLLRSGIPTDHSEEIISVAQSLLLDTSDPDKIDRKNLTELKVYAIDVDEADELDDALSATKLQDGRIQVWIHVADPTRYVQPGSIVDREAMRRGTSVFLPTATYSMFPENLAMGGMSLRQGELCNAVTVSVVLHNDGSIAEYSVFNSVIKPTYMLTYESASELLHLNLQEEGELRILSEAANLRSNWRRQQGAIETSTLDTRIKVFNPEDPEPSLKLYVENQADPAMRLVFEMMILCGEAIATFGSQNDIPLPYRGQPQSDINVSEFSHLPEGPVRTSALVRVMRAAEIDFRKPARHGVLGIPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPPPFTAGKLEGIAAVINENVRTVRKLSNSSLRYWILEYLRRQPKEKSYRALVLRFLKDRIAALLLVEVGFQASAWVPLGSQIGDEVLVKVEEAHPRDDILFLKEVAKE >Vigun10g067000.3.v1.2 pep primary_assembly:ASM411807v1:10:15526278:15553015:1 gene:Vigun10g067000.v1.2 transcript:Vigun10g067000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPSLLEYAWVELLEKNKSVTVEELAEIIFGSTEPLESYSAHLLLSKDEVYFTVLETKGSRSIYGPRPSRQVEELSHKKLAKEAAEKEFQEFIELLASVKSMSLQDKPPKSSWTNDENNWRRIESLVAYAIDACKSDEQRKTAGMILKELGLAKTSSSAVKLLIDIGYFPVHINLDLLRSGIPTDHSEEIISVAQSLLLDTSDPDKIDRKNLTELKVYAIDVDEADELDDALSATKLQDGRIQVWIHVADPTRYVQPGSIVDREAMRRGTSVFLPTATYSMFPENLAMGGMSLRQGELCNAVTVSVVLHNDGSIAEYSVFNSVIKPTYMLTYESASELLHLNLQEEGELRILSEAANLRSNWRRQQGAIETSTLDTRIKVFNPEDPEPSLKLYVENQADPAMRLVFEMMILCGEAIATFGSQNDIPLPYRGQPQSDINVSEFSHLPEGPVRTSALVRVMRAAEIDFRKPARHGVLGIPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPPPFTAGKLEGIAAVINENVRTVRKLSNSSLRYWILEYLRRQPKEKSYRALVLRFLKDRIAALLLVEVGFQASAWVPLGSQIGDEVLVKVEEAHPRDDILFLKEVAKE >Vigun06g096600.12.v1.2 pep primary_assembly:ASM411807v1:6:22786338:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.10.v1.2 pep primary_assembly:ASM411807v1:6:22786313:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.9.v1.2 pep primary_assembly:ASM411807v1:6:22786313:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.6.v1.2 pep primary_assembly:ASM411807v1:6:22786092:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.8.v1.2 pep primary_assembly:ASM411807v1:6:22786092:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.14.v1.2 pep primary_assembly:ASM411807v1:6:22787294:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.13.v1.2 pep primary_assembly:ASM411807v1:6:22786338:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.11.v1.2 pep primary_assembly:ASM411807v1:6:22786338:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.7.v1.2 pep primary_assembly:ASM411807v1:6:22786465:22796983:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRLHTISGKDNAGQEAKVGQHEFVPNLATIVTDMKSPRDGNGDSLSNSPIVFSDIIKSKFGRSMFPKNENSNFSRGESQQDNINGLKADWVEQYEPGVYITFTTLPCGKKGLKRVRFSRKRFSEKEAEKWWEENQSKVYHKYGIEGYINTSQSQVKG >Vigun06g096600.4.v1.2 pep primary_assembly:ASM411807v1:6:22787294:22796982:1 gene:Vigun06g096600.v1.2 transcript:Vigun06g096600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDESLATVPFDRAIEQAIVSIKKGAYLLKCGRRGKPKLCPFRLSQDERNLIWYSGQQEKHLRLSVVTKIVQGQENIRSQRQNEPEKECHSFSLIYANGERSLDLICKDKAQAASWFVGLKAVISRCQHPRAFSSLRSCKGVQSCVSSPAGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSCTSDNLYSSTSFLSSTQGVTDNSVPSSPYIDPDVHSNIESTRIDKEHKKNLSYRHLTHSTSVHLGKSNVLKDVMIWGGGIGCLVGIVNERFIQGGVYSLVPKLLESTAMLDVQNIALGGKHAALVTKQGEVFCWGQGKWGRLGQKIDMDISSPKIVDSLNGLHVKHVACGEYHTCALTDSGEVYTWGNDVCCADLFNEGRTRSQWIPQKLGGSLDGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGDLRSYSSPKEVESLSGLRVRSVACGSWHTSAIVEVMFDRFRYNSASGKLFTWGDGDEGRLGHSDNGSKLVPTCVTQLVDYDFVQVSCGRMLTVALTNMGKVFAMGSAKYGQLGNPHAKDKAVMVEGQLKQEFVKVISTGSYHVAVLTSAGSVYTWGRGENGQLGLGDTEDRYTPCFVEALRDRQVNTITCGPSFTAAICLHKPISISDQSTCSGCRLPFGFTRKKHNCYNCGLLFCRACSSKKIINAPLAPSKSKAFRVCDQCFDKRQGGTHSIMASKSRNYNSQQLLKHQQKIADVTEDRGETTVTQGPLLSFGQSCYRKSMPSGRKDWKNHPESQQDVEDSSSMLGGMPQWGQVPCPAIFKINGTENPVVHVSSSKNKLATVSPCSMESTTYNFSNVETGTTKSEKVLLEEVHRLRTEAKRLEEQCELKNHKIQECQQKIEESWFVAREEAAKCKAAKEVIKALALRVYI >VigunL059081.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000511.1:20008:22726:1 gene:VigunL059081.v1.2 transcript:VigunL059081.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIYNLVRDARQEHENEDTCPKCIASRYKERGCLNLKKKVSCKVVSPPVPAKVLSEDVKWLSKGPNTVARRFSAYAINGYKFVIESRERKTQNSGVMVVSSTVKFRNKKDENPLVENMVLDWYL >Vigun05g237500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43055821:43057367:-1 gene:Vigun05g237500.v1.2 transcript:Vigun05g237500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYRYDAFPARIGRMRHHVRPYRGLLAPCYKIDKSPGRVRGTDMRPVHSESRRSSRPGHSESRRSSRPGHSESSRSRRRDHGHQGSDNLRRSARILMRNTSSGSGGGSTRVGVNVGNPVNPPAAPAVPSAVPPNLNPTRVVNPLGNPNTAGVVNPLGNPNTAGVGNPNTVNPNTAGVGNPNTVNPNRNPPVVPPVNPPVVPPNPGVSNPNPSPNISLSRNNVGSMGLMTHAFKTEDMASVEQINKLNADIEALVEQINKLNADIEAFKRDVKQIEDKRLACLVKYWNDATVMVNISRI >Vigun05g237500.2.v1.2 pep primary_assembly:ASM411807v1:5:43055821:43057367:-1 gene:Vigun05g237500.v1.2 transcript:Vigun05g237500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYRYDAFPARIGRMRHHVRPYRGLLAPCYKIDKSPGRVRGTDMRPVHSESRRSSRPGHSESRRSSRPGHSESSRSRRRDHGHQGSDNLRRSARILMRNTSSGSGGGSTRVGVNVGNPVNPPAAPAVPSAVPPNLNPTRVVNPLGNPNTAGVVNPLGNPNTAGVGNPNTVNPNTAGVGNPNTVNPNRNPPVVPPVNPPVVPPNPGVSNPNPSPNISLSRNNVGSMGLMTHAFKTEDMASVEQINN >Vigun01g033300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4260559:4260798:-1 gene:Vigun01g033300.v1.2 transcript:Vigun01g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMVDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun01g213600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38754573:38757589:-1 gene:Vigun01g213600.v1.2 transcript:Vigun01g213600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFIVNDSARKATFKKRKKGLMKKVSELSTLCGIDACAIVYSPYDPQPEVWPSPMGVQRVLAKFRRMPELEQSKKMVNQESFLRQRILKAKDQLKKQRKDNREKEMTQLMFQYLSAGKIMHNISMVDLNDLAWLIDQNLKDINRRIEVLTKNAQSQTQVAAPAVTDAVSKIEDKGQGSHSGQGFDINMDLMQKQNWFMNLMNNGGGGNETMPFGDVNQQNGFWPNPFFH >Vigun11g115950.1.v1.2 pep primary_assembly:ASM411807v1:11:32084049:32084662:1 gene:Vigun11g115950.v1.2 transcript:Vigun11g115950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPLYTLCNYSSLPHFPPSVPHFPLSFNALVPISSSPSSSSSSSSFFFLFPYLHLLLVYTLKTDLLLHLHLPPPITRHVL >Vigun09g251000.1.v1.2 pep primary_assembly:ASM411807v1:9:41811360:41817648:1 gene:Vigun09g251000.v1.2 transcript:Vigun09g251000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMRDRASMADAREERGNVALSNAEEERIFVSIRVRPLNERERARHDVSDWECISTNTIKFKNNGHAEQRPLSMDTYTFDRVFGEKCKTKQVYEQGIKDVALSVVRGVNSSIFAYGQTSSGKTHTMTGITEYAVRDIYEYMEKQKDREFVVKFSSMEIYNEAVRDLLNAGSTSLRILDDPEKGTVVEKLTEETLTDRGQLQQLLSICAAERTTEETAMNEASSRSHQIIRLTVESNPRDYVDAARSGALFASVNFVDLAGSERASQTLSAGTRLREGSHINRSLLSLGTVIRKLSKGRNEHVPYRDSKLTRILQNSLGGNARTAIICTISPARSQSEQSRNTLLFAGCAKQVTTNARVNQVKSDKALVKQLQKELARLENELRNYTPNTILLKEKELQIQKMENVIKELTRERDLFQSSAQNKLQSAEKDQPIRVGKDSASGLSGANNFLRRMDSASENLDITTSSLQHTGNSDDDFLLDGNSPTFVGPDPCQGWEEMASRAAAPEDDCKEVPCIEVKEVEIDHKIDAKSSSGNEHCELNPAVVDNTNNLIVDLLKESNGSSTQIDKVDQESSKHPHISNLQQKPATPQLKEPEKVSRTLPTEVERSNSNSSVCYEDKLPKSKLQAIERNSSRERSLSQEMNTSVEDVESLWDSDVEDTASILNFVVGMNEMARQKSLDEDMDDIMVRARTSGTDKRVNRVRGVSFSGTPGPWNFETQLRDTIQLWDACNIPLVHRSYFFLLIKGEISDAVYFDVELRRLSFLKDTFFNATNSTAHGSDVTPNSSLMALNRERKMLSKQVHKKFSWKERNELYVKWGVDLKSKHRSVQLAWCLWTNTKDLNHVRESAALVAKLVGFINSGDASRKIFGLSFLSRWKP >Vigun01g202800.1.v1.2 pep primary_assembly:ASM411807v1:1:37883747:37886853:-1 gene:Vigun01g202800.v1.2 transcript:Vigun01g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRHHRELTFLSSGGDFLNPALDHATHNADPPIKEMDFFSSSPSSPATHKTNTTNRINHDHHNHVGHQDHTHRQGSPTQPVNTGLNLTCASTGVTKEENGENHEAELSSLESQLRRLQEENRKLRTVLDQITRSYAQLQAQLFITLQKQKLPQNTEAKEQKNADPAGVCRKLEVVNEASVCDEKTDGEVSVCFPDAAEDVLDRSSSQSWGSSRLEEQPKTAEQVAADQVPLRKARVSVRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCVEDKTVLITTYEGNHNHPLPPAATAMASTTSAAAAMLLSGSTASKEALTNSAGYYSSLPYASMATLSASAPFPTITLDLTQNTSAMHLHRLPPHATTFPLPLHASGGHHLLGHPLFFSQKLPPVASPLLHPRQPSSMVESVSAAIASDPNFTAALAAAISSIIGVPRGGDGNSNGTTGAIGSPQLPQSCTTFSTN >Vigun09g237700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40840524:40842041:1 gene:Vigun09g237700.v1.2 transcript:Vigun09g237700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEQLKPEEEQQQQQMDIMTMMMQHLPQFSEPYGDTNTIDAFHPQQDFYSNNNNIRSGSTMPLADLLDNNPPSPLPWSSYSFTHLTPSTTSSATLSFSDTTNNNPTPIMLQQTPTEGATATATVNPYGEKRNSMAAMREMIFRMAAMQPIHIDPESVKAPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERASANNIRPLVGVNAPGIGFPVAMSGSIRTSTTSPSNSTPYFPLPNTYD >Vigun04g102600.1.v1.2 pep primary_assembly:ASM411807v1:4:23693912:23704736:1 gene:Vigun04g102600.v1.2 transcript:Vigun04g102600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIVTSILVIFVTLVLKVGYDTISCYWLTPMRIRKTMEKQGVNGPKPSFLIGNIREVASLVSTAVSQDMKTISHDIVARIMPHFVVWSNQYGKRFLYWNGTEPRLCLTDTALIKEFLSKYNSISGKSWQQQQGNKHFIGKGLLMVNGKDWHHQRHMVAPAFMGERLKGYAAHMVECTKDMLQSLQNSLESGESEVDIGECLTELTADIISRTEFGTSYKKGKQIFFLLTQLQSRCAQATRHLFLPGSRFFPSKYNREIKSLKKEVEKLLMEIIKGREECVEKGRNNSYGNDLLCILLDEMKKESGNLNLQLVMDECKTFFFAGHETTALLLTWTVMLLATNPHWQDKVRAQVKEILKGETPSLDKLSKLTLLHMVINESMRLYPPATVFPRMVFKDIELGDLHIPKGLSIWIPVLAIHHSKELWGEDANEFNPERFASKSFIPGRFIPFGSGPRNCVGQSFAMMEAKIILAMLISQFSFTISQSYKHAPVVVLTIKPKYGVQVCLKHLDP >Vigun03g392700.3.v1.2 pep primary_assembly:ASM411807v1:3:59892759:59896910:-1 gene:Vigun03g392700.v1.2 transcript:Vigun03g392700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFAGPVVNLSPRFIEFRKLSQPIFSGISRKPIRISRKTLSLKMAQPSQNPSRQQKVIITKKNDSKLVGILHESETEEIVILCHGLRSTKEDTIIINLASALENAGVSSFRFDFSGNGESDGSFEFGHYWREVDDLHDVIQHFHRANRIVTAIIGHSKGGGVVLLYASKYHDIKTVINISGRYDLKAGIEERLGKDYLERIRKDGFIDVMRAGSFDYRVTLESLMDRLDTNMHEACLQIDKECRVFTIHGSSDPVIPVEDAYEFAKILPNHKLHIIEGADHSYTNHQDELASVVVNCIKETLLQDRVTSG >Vigun03g392700.4.v1.2 pep primary_assembly:ASM411807v1:3:59892846:59896910:-1 gene:Vigun03g392700.v1.2 transcript:Vigun03g392700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFAGPVVNLSPRFIEFRKLSQPIFSGISRKPIRISRKTLSLKMAQPSQNPSRQQKVIITKKNDSKLVGILHESETEEIVILCHGLRSTKEDTIIINLASALENAGVSSFRFDFSGNGESDGSFEFGHYWREVDDLHDVIQHFHRANRIVTAIIGHSKGGGVVLLYASKYHDIKTVINISGRYDLKAGIEERLGKDYLERIRKDGFIDVMRAGSFDYRVTLESLMDRLDTNMHEACLQIDKECRVFTIHGSSDPVIPVEDAYEFAKILPNHKLHIIEGADHSYTNHQDELASVVVNCIKETLLQDRVTSG >Vigun03g392700.2.v1.2 pep primary_assembly:ASM411807v1:3:59892709:59896910:-1 gene:Vigun03g392700.v1.2 transcript:Vigun03g392700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFAGPVVNLSPRFIEFRKLSQPIFSGISRKPIRISRKTLSLKMAQPSQNPSRQQKVIITKKNDSKLVGILHESETEEIVILCHGLRSTKEDTIIINLASALENAGVSSFRFDFSGNGESDGSFEFGHYWREVDDLHDVIQHFHRANRIVTAIIGHSKGGGVVLLYASKYHDIKTVINISGRYDLKAGIEERLGKDYLERIRKDGFIDVMRAGSFDYRVTLESLMDRLDTNMHEACLQIDKECRVFTIHGSSDPVIPVEDAYEFAKILPNHKLHIIEGADHSYTNHQDELASVVVNCIKETLLQDRVTSG >Vigun03g071700.1.v1.2 pep primary_assembly:ASM411807v1:3:5897332:5899448:-1 gene:Vigun03g071700.v1.2 transcript:Vigun03g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIAIFLASLAFLWLCRSKKKTDNLPPGPIGLPILGSLNKLGANPHRDLHRLAQKYGPVMFLRLGFVPTVVVSSPQAAELFLKTHDLVFANRPRYQAQKYVSWGQKNLGFVEYGSYWRNMRKMCTLELLSQTKINSFRSTREEELDLLIKLLREAAKDGAAVDVSAKVSKLSADMACRMILGKKYMDQDLDEKGFKAVMQEALHLVATPNMGDYIPYIAALDLQGLTRRLKVVHKIFDDFFEKIIDEHMESGKEEEKTKDFVDVMLGFYGTENSEYRIERANIKAILMDMLGGSVDTSAASIEWTVSELIKNPRVMKKLQEELESVVGMKRKVEESDLEKLEYLDMVIKESLRLHPVVPLLIPHESREDCMVGEFFIPKKSKVIVNAWAIMRDPSAWVDAEKFWPERFEGINIDVRGRDFELIPFGSGRRGCPGMQLGLTVVRQTVAQVVHCFDWKLPDNMLPSELDMAEEFGLAMPRANHLFAIPTYRLSHESDY >Vigun06g186000.1.v1.2 pep primary_assembly:ASM411807v1:6:30530258:30534268:1 gene:Vigun06g186000.v1.2 transcript:Vigun06g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKILDHQNQVQAQAVENLSQGLSSFSVRDQISNSDSPQDDEVGGRRRNCDTREYFDSFSSDSTSTGRYSINSTRLYPEFPEKQLENHNKEMESSANLQKQGRYFYYDTPLQEDTGVWIPVSVPPMLEDDHKEWAKGFHSNGGYFPDEDLGWNQYVGDERELTMWDVLTEMLLVARGKVTSLASGDINMCNFSWISSRVLLEQAWREMAQTLTEANFGNVKELLEAEPPKWLADSAAASCMLCGVRFHPILCSRHHCRFCGGIFCGECSKGRSLLPSKFRVSDPQRVCDVCCVRLESVQPYLMDHVSNAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIKAYNQIGFLKPEKSIPDVILRKAKGLAIITVVRVGVVVTYNIGTGLVVARREDGSWSAPSAVSTFGVGWGAQVGGELTDFIIVLRTNDAVKTFSGNMHVSLGAGLSAAVGIVGRSVEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSMVTTRTQENSRFYGSQSIAATEILGSLPRPPAAAILYRALADLYSKVDG >Vigun05g081000.1.v1.2 pep primary_assembly:ASM411807v1:5:7627211:7632901:-1 gene:Vigun05g081000.v1.2 transcript:Vigun05g081000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERSAAMKKKKNAKSGGFESLGLSPNVFKGIKRKGYKVPTPIQRKTMPLILSGSDVVAMARTGSGKTAAFLVPMIHRLNQHVPQSGVRALILSPTRDLALQTLKFTKELAHFTDLRVSLLVGGDSMESQFEELAQSPDIIIATPGRLMHHLSEVDDMSLRSVEYVVFDEADCLFGMGFAEQLHKILSQLGENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETRISPDLKLAFFTLRQEEKYPALLYLIREHIGSDEQTLIFVSTKHHVEFLNVLFRQEGIEPSVCYGDMDQDARKIHVSKFRARKTMLLIVTDVAARGIDIPLLDNVVNWDFPPKPKIFVHRVGRAARAGRTGTAYSFVTPEDMAYLLDLHLFLSKPIKPAPSEEELLQDMDGVMSRCDQAMANKETIYGRFPQKVIDLVSDRIREVIDTSAELELLQRTCKNAFRLYSKTKPLPAKESIRRVKDLPREGLHPMFMKVLETGELTALAFSEHLKKFRPKQTILEAEGEAAKSKHQQEWGDVMKRKRAIHENIINLVHEQQKSKDNKEKEEIESEISPSMEKGKKAARGSKRKTQSFKDEDHYISSIPKNQHMEAGLSVKANDDFASNRLDSAVLDLVADDGTGIQKQRSMYHWDKRSKKYIKLNNGDRVAANGKIKTESGAKTKATKTGLYKKWKERSHGKISLRGTNDGDSHESTSLAGSYQRGRRNFKGSKKQHSVPNAHVRSEIKDMDQIRKERQKKANRTSYIKSKSTKGKKFGKNGKRRKGK >Vigun05g081000.2.v1.2 pep primary_assembly:ASM411807v1:5:7627070:7632901:-1 gene:Vigun05g081000.v1.2 transcript:Vigun05g081000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERSAAMKKKKNAKSGGFESLGLSPNVFKGIKRKGYKVPTPIQRKTMPLILSGSDVVAMARTGSGKTAAFLVPMIHRLNQHVPQSGVRALILSPTRDLALQTLKFTKELAHFTDLRVSLLVGGDSMESQFEELAQSPDIIIATPGRLMHHLSEVDDMSLRSVEYVVFDEADCLFGMGFAEQLHKILSQLGENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETRISPDLKLAFFTLRQEEKYPALLYLIREHIGSDEQTLIFVSTKHHVEFLNVLFRQEGIEPSVCYGDMDQDARKIHVSKFRARKTMLLIVTDVAARGIDIPLLDNVVNWDFPPKPKIFVHRVGRAARAGRTGTAYSFVTPEDMAYLLDLHLFLSKPIKPAPSEEELLQDMDGVMSRCDQAMANKETIYGRFPQKVIDLVSDRIREVIDTSAELELLQRTCKNAFRLYSKTKPLPAKESIRRVKDLPREGLHPMFMKVLETGELTALAFSEHLKKFRPKQTILEAEGEAAKSKHQQEWGDVMKRKRAIHENIINLVHEQQKSKDNKEKEEIESEISPSMEKGKKARGSKRKTQSFKDEDHYISSIPKNQHMEAGLSVKANDDFASNRLDSAVLDLVADDGTGIQKQRSMYHWDKRSKKYIKLNNGDRVAANGKIKTESGAKTKATKTGLYKKWKERSHGKISLRGTNDGDSHESTSLAGSYQRGRRNFKGSKKQHSVPNAHVRSEIKDMDQIRKERQKKANRTSYIKSKSTKGKKFGKNGKRRKGK >Vigun07g014100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1271106:1273741:-1 gene:Vigun07g014100.v1.2 transcript:Vigun07g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAGAASLAVVYYDGNREINVGTVVVDPSLNFKSLLSVLSQMIGISPHQFSVYLAAIDTDRKIPITAKVNLAAVRREVTGQYFFVKRSKRAKKAYANAKKKPPEKVMLLRRAAAGDPPFNTTFGPPILGRADYEKRLMNFQMERDSFRMNMSAAINGVAVGRESPKTVPASAAICEDCLKANTDGINVAFHLCVLDTVTVGFRSSAGPISRPARNSGEDGA >Vigun07g014100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1270314:1273670:-1 gene:Vigun07g014100.v1.2 transcript:Vigun07g014100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAGAASLAVVYYDGNREINVGTVVVDPSLNFKSLLSVLSQMIGISPHQFSVYLAAIDTDRKIPITAKVNLAAVRREVTGQYFFVKRSKRAKKAYANAKKKPPEKVMLLRRAAAGDPPFNTTFGPPILGRADYEKRLMNFQMERDSFRMNMSAAINGVAVGRESPKTVPASAAICEDCLKANTDGINVAFHLCVLDTVTVGFRSSAGPISRPARNSGEDGA >Vigun02g186900.1.v1.2 pep primary_assembly:ASM411807v1:2:32580901:32583005:1 gene:Vigun02g186900.v1.2 transcript:Vigun02g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTILHSYPSFKFHPFLKHPSHAIPLKPYPPISNFRNTNHTCKALFTDDAPFAAAIGACMFTSLLLPVAASPEDDEDADSAATTTDARLAVMGILSFIPYFNWLSWVFAWLDTGKRRYAVYALVYMIPYLRSNLSLSPEESWLPIASILFCIVHIQLEASIRNGDIQGFQLFRNVADQLSSNNRKKSHVNQHQEMTEEGSKKEKKNLPHTQERSRDIGGWEDSQIPLQPRQHLNEDLDDDDKERSKH >Vigun01g120900.1.v1.2 pep primary_assembly:ASM411807v1:1:29771590:29775591:1 gene:Vigun01g120900.v1.2 transcript:Vigun01g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHHLLISLFLFFVVTPTASLNSDGLSLLALKAAVDSDPTGVLSSWSENDATACHWPGVSCTGDRVTQLSLPDKGLSGYIPSELGFLSSLKRLSLPYNNFSNVIPPSLFNATTLIVLDLSHNSLCGSLPSHFLSLKFLRHLDLSSNYLNGSLPPTLSDLTSLTGTLNLSFNFFSGGIPATLANLPVAVSLDLRNNNLTGKIPQVGSLLNQGPTAFSGNPGLCGFPLQTACPEAQKPGIFANPEEGFPQNPNALHPEGSDQRVKPHGGGSVAVLVISGLSVAVGAVSLSLWVFRRRWGGGEERKLGGQKFEGEVDAGEGQDGKFVVVDEGFELELEDLLRASAYVVGKSRSGIVYKVVGVGRGSSAAANVVAVRRLSEGDSTWRFKEFESEVEAIARVRHPNVVPLRAYYYAHDEKLLITDFIRNGSLHTALHAGPSNSLPPLPWAARLKIVQEAAKGLTYIHEFSGRKYIHGNIKATKILLDDELHAYVSGFGLSRLGLGPPKRNSLNQSSITTAMSSKVAASFNHYLAPEVRMAGGKFTQKCDVYSFGIVLLEVLTGRMPDFGAENDEKVLESFVRKAFREEQPLSDIIDQALIPEVYAKKQVIAAFHIALNCTELDPELRPRMKTVSENLDHIKYSENHV >Vigun10g045000.1.v1.2 pep primary_assembly:ASM411807v1:10:6589719:6595505:1 gene:Vigun10g045000.v1.2 transcript:Vigun10g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRGSSRMSVLFERMRKLPMKVKIFCGALLALCALVALKFTITSNYYYFIASESVHIVGIIALIYKLFALKTCSGLSLKTQELTALFVTARLCCSTLTEANIHTVLDVISLLSTLVVIWMIRFKLKSSYIKDLDNMKHYFVVVPSAIVAILIHPFTHHWRVIRIVWAFSLYLEAVSVLPQLRFMQNAKMVETFTGYYVFALGVSRFMALAYWIIQIYETGGTYLFLAGSGYFWFLAAFLAEMVQSFILADFCYYYMKSFVQGQLLRKMPV >Vigun02g152100.2.v1.2 pep primary_assembly:ASM411807v1:2:29880522:29883344:-1 gene:Vigun02g152100.v1.2 transcript:Vigun02g152100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTNRFLVLLFFFFLMFQQTSFSSGSHVGETTFHRPDPLQHFKDYNGGFDLRNNHYVASAAFTGVHGYAFACVWLLCGLVLAIFLIVKCLCGGSASLTCFDHYHLHIFFLLLFFTSLAIIASSFVITTSQKTLKRTEKLKESVVGIGEDALGTLGRVLKTTNQMQFLLLPYNPQMSEFLNSTTQNLRTNSRVIRRFIDRSEQSFDQATHTSYVAHFVVLTVNLVTLVAAIVLMLLHWRPWFIIIIFFFWILTSLCWFLTGFDFFLHTFVEDACTAFEDFEKNPQNSSLGSMLPCMNESFSGKLIAQIGDTIHRFVVELNSNMSVIYRVLGVSAENEEVIGVIKICDPFPGPLNLNYIPQNCPQNAIRIGDLSKAFWCRFLQSSPVTKRAQEKNAKKREDFFLKLHTTWLMPTADPSRICWIYIQNCKDCQNAQL >Vigun02g152100.1.v1.2 pep primary_assembly:ASM411807v1:2:29880522:29883344:-1 gene:Vigun02g152100.v1.2 transcript:Vigun02g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTNRFLVLLFFFFLMFQQTSFSSGSHVGETTFHRPDPLQHFKDYNGGFDLRNNHYVASAAFTGVHGYAFACVWLLCGLVLAIFLIVKCLCGGSASLTCFDHYHLHIFFLLLFFTSLAIIASSFVITTSQKTLKRTEKLKESVVGIGEDALGTLGRVLKTTNQMQFLLLPYNPQMSEFLNSTTQNLRTNSRVIRRFIDRSEQSFDQATHTSYVAHFVVLTVNLVTLVAAIVLMLLHWRPWFIIIIFFFWILTSLCWFLTGFDFFLHTFVEDACTAFEDFEKNPQNSSLGSMLPCMNESFSGKLIAQIGDTIHRFVVELNSNMSVIYRVLGVSAENEEVIGVIKICDPFPGPLNLNYIPQNCPQNAIRIGDLSKILAKFTCHEKGTGKECKKEGRFLPEASYNMAHAYSRSIQDLLDIYPELQRLSKCTVVKNKVAEIVSNQCKPMRVSTKLLWSSMLSLSIIMVVLVFTWVAKALQWGRPLSIYSRTPPSST >Vigun03g385000.1.v1.2 pep primary_assembly:ASM411807v1:3:59033568:59035310:-1 gene:Vigun03g385000.v1.2 transcript:Vigun03g385000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPNFVFFSLLLLLTSTTISSAQLTRGFYHNVCPNVEQLVRSAVAQKFQQTFVTAPATLRLYFHDCFVRGCDASILLANSNSEKDHPDDISLAGDGFDTVIKAKAAVDSDPKCRNKVSCADILALATRDVVNLAGGPFYDVELGRRDGRISTIASVQRHLPHPDFNLDQLNSMFNFNGLSQTDMIALSGAHTIGFSHCSRFSNRIYNFSPRNRIDPTLNLQYAFQLRQMCPLRVDPRIAINMDPVTPDKFDNQYFKNLQQGRGLFTSDQVLFTDSRSKATVNSFASDETTFQKAFIDAITKLGRVGVKTGNQGEIRFDCTRPN >Vigun04g124600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:31646300:31646845:-1 gene:Vigun04g124600.v1.2 transcript:Vigun04g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESKDLAIEIELLDREWTEKLQNVKLPEMDEIHTQCIYRVPPNIREYNPKAYTPQTVSIGPYHHHNNYEGMEELKLKYLKGFLNRTELPVREFLAKIKDLEEKIRVCYADRIIYNSHDFLNMILVDACFIIELLLRWHEYDEWEKKDPLLQKPLLGTYVCDGENNNKQYTRECSGYNFP >Vigun08g057900.1.v1.2 pep primary_assembly:ASM411807v1:8:7342350:7345393:-1 gene:Vigun08g057900.v1.2 transcript:Vigun08g057900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIVAHSSSWSRALVAISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPAANIYDPESLRAGYAIFASGLIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKV >Vigun08g211500.1.v1.2 pep primary_assembly:ASM411807v1:8:37319214:37322790:1 gene:Vigun08g211500.v1.2 transcript:Vigun08g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDNKHHTKLVIPEEGEEVDDSPIEQVRLTVPITDDPTQPVLTFRTWTLGLTSCVVLAFINQFFAYRTTPLFISSVSAQIISLPLGKLMARTLPTKVFVFPFTKWSFSLNPGPFNLKEHALITIFAGAGASGVYAISIITIVRAFYHRTIHPMAAFLLSLSTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKDKRPKGGNTRLQFFFLVFVSSFAYYIIPGYLFPGIATISWVCLVWKKSVLAQQIGSGITGLGIGSFGFDWNTVAGFLGTPLATPGFAIINILLGFGLFMYVLIPISYWNNVFDAKKFPIISSHTFDSTGAIYNVTRILDAKNFYIDMDSYNNYSKLYLGITFAFYYGLCFASLTATISHVFLFHGKTIVQMWRKTTAELKEKTFGDVHTRIMKKNYEQVPEWWFVSILVVMVVVALITCEGFGKQLQLPWWGVLMSLGIALVFTLPIGVIQATTNMQVGLNVITELIIGYIYPGKPLANVAFKTYGYVSMSQALYFLGDFKLGHYMKIPPKSMFIVQLVGTVVASAVCYGTAWWLLTTVPNICDQAKLAANSPWQCPGDAVFYNASIIWGVVGPKRMFTKDGIYPGMNWFFLIGAVLPVPVWFYARKYPNQKWIQLINMPLIIMGAGSIPPARTVNYITWGAVGIFFNFYVYNKFKSWWARHTYILSAALDAGLAFMGVALYFALQSYDILGPSWWGADADHCPLAKCPTAPGVVAHGCPTL >Vigun01g214100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38790539:38791518:1 gene:Vigun01g214100.v1.2 transcript:Vigun01g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTVTTCDAILSYNNIIMPPTLLFFFFFPLLSSAQLNHTSFSLSFPLTSLPLPTNTASNMLRDSLIVYTNRTRNTTRLVSPSSPYNYRLTFNYSMALIVNLPIGTPPQVQPMVLDTGSQLSWIQCHKKPPRLPPPTASFDPSRSSTFSNLPCTHPVCKPRIPDFTLPTSCDQNRLCHYSYFYADGTYAEGNLVREKFTFSRSLFTPPLILGCATESTDPRGILGMNRGRLSFASQSKITKFSYCVPTEKPDPDPLQQAPSTWATTRTLSGFGLSRC >Vigun08g089700.1.v1.2 pep primary_assembly:ASM411807v1:8:20724243:20728039:-1 gene:Vigun08g089700.v1.2 transcript:Vigun08g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWVFGYGSLISKAGFHYDERVIGFIKGYRRVFYQGSTDHRGTPEFPGRTVTLEPAEGEICWGAAYKISKEEDAKTALTYLEVREKQYDKKEYVDFYTDLNATSPAVSGVMVYIATADKKTNVNYLGPASDEEIARQIIQSEGPAGPNRDYLFNLEKALLQIGCKDKHVIDLANEVRRILEKEQ >Vigun05g189200.2.v1.2 pep primary_assembly:ASM411807v1:5:36756654:36764145:-1 gene:Vigun05g189200.v1.2 transcript:Vigun05g189200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPSSLGSSSRSGLRLRKKHKRLDAICEEEYTRNHGELNDDNDGLNPDAGVRRSSRVRRAPVLLDASPAPPKKRRRVRKGGIDGGGESPTSLGRENRGSGGAWSSRLRSRVGNVSFRVKEEGESPRGKRKLFEGVVGKKGDDEVGRKEELEGLMPKVVKSKRPGRIKATKHDEGHADDVSRGSLEESKSQEVVLLSSSDEESDSESETELSGEDQMDESDENAPSMVGSEDGDQMADSDGSVPLLVENEERNLSNDLQMKECGDDIESSSQVEREDKVDYQLEGAKESKSVGNAAEQVDNEGFVGKEVDDGENFLKDVNVDKEDDVNENGLKDESAGRNEELKHASNDKRGHLRIKEGRRCGLCGGGTDGKPPKRLAHDNGESENEAYSGSSSSEETNYDIWDGFDDEPGWLGRLLGPINDYQGIARIWVHLHCAVWSPEVYFANFGCLKNVRAALFRGRALKCTRCGRRGATTGCRVDRCPRTYHLPCARASGCIFDHRKFLIACTDHRHLFQPRGSKYLARIKKLKARKMMWEIRKRSNEACRKDIGDEERWLENCGEDEEFLKRENKRLHRDLLRIAPVYIGGSDSACENSFQGWESVAGLKDVIGCMKEVVILPLLYPELFDNLGLTPPRGVLLHGHPGTGKTLVVRALIGACARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPVRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPEAVDPALRRPGRFDREIYFPLPSIKDRASILSLHTQKWPKPITGSLLEWIARKTPGFAGADLQALCTQAAINALKRNFPLQEVLTLAAEEKHSGSKPIPIPSFAVEERDWLEAVFSSPLPCSRRDAGNAANDVVCSPLPIQLTPCLLQPLCTLLVSLHLDERLCLPLPISKAVTVIKDATISALDKNKKPFDRWWLHMDEFLHEYNSVHELKRNLTCSGILSEVDGIIGSNDTADDTNDSNLRLESSSRNHLGMRSGLFALTNKSGFRILISGNPRSGQRHLASCLLHCFIGNIEIQKIDMATILQEGHGEVVQGIAQILMKCASRQSCVVFLPKIDLWALEKHFQVSERTDSCLKTGKSYFSPNQVVEKEREINTEKNSTEMANGQAITKASFAWMSFVEQVESIGVSTSLMIVATSEVPYKELPCKVREFFKSYQSKDNQSTPLEHTVPRFSVQIDGNFDHDMVINLSALELLRNVVKQLVQLIHQRSHVHTGSQKRHRTCESIEICKEKVCQRKDGSANDKKSEIQLESFTKVSPTPTSKSLKGKSTLLLAISTLGYQILLYPHFAELCWVTSKLKEGPCVDVSGPWRGWPFNSCIIRPNNSHDKVVVSCNSGSIKSREASGLVRGLIAVGLSAYRGVYRSVREVSLDVRKVLEVLIEKINTKIQAGKDRYQYFRILSQVAYLEDMVNNWAYSLLSLEQDSHEHTTKVTPASGGSLNNSHLISENHKIEGEDCHFSAAGDDHDLKTLEESHNEIPAEMVGCIASNNQNGNLDIDCDDGNASSEGSLQNHYFSEKHINNSAGAAMTANQPLYPATSQENGTLLVQRESLTARNNEEVREKLGTSNNFGKSMGTQTVVLSENGDHTALEPETRNIEIGNRVVSDQPLIVFSSSQDISATLSDVKSDKPENVTDNDVSSSNGSVPDDSGVICLYQCCPACLHSLHQLTKKMLLTEWGMNGDQWSVEDVHDAVETLSVDLISAVRKSFVAEDFTASSNKTSRHENHGTSLDCLNPRTCDAENQGKDVVPAECISHSASEIEDATAIEDRALNDLKFVFRDGVLVHMDPDKDVSVHCKFQNLCLCSLRELIIMTKRSFD >Vigun05g189200.3.v1.2 pep primary_assembly:ASM411807v1:5:36756640:36764252:-1 gene:Vigun05g189200.v1.2 transcript:Vigun05g189200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPSSLGSSSRSGLRLRKKHKRLDAICEEEYTRNHGELNDDNDGLNPDAGVRRSSRVRRAPVLLDASPAPPKKRRRVRKGGIDGGGESPTSLGRENRGSGGAWSSRLRSRVGNVSFRVKEEGESPRGKRKLFEGVVGKKGDDEVGRKEELEGLMPKVVKSKRPGRIKATKHDEGHADDVSRGSLEESKSQEVVLLSSSDEESDSESETELSGEDQMDESDENAPSMVGSEDGDQMADSDGSVPLLVENEERNLSNDLQMKECGDDIESSSQVEREDKVDYQLEGAKESKSVGNAAEQVDNEGFVGKEVDDGENFLKDVNVDKEDDVNENGLKDESAGRNEELKHASNDKRGHLRIKEGRRCGLCGGGTDGKPPKRLAHDNGESENEAYSGSSSSEETNYDIWDGFDDEPGWLGRLLGPINDYQGIARIWVHLHCAVWSPEVYFANFGCLKNVRAALFRGRALKCTRCGRRGATTGCRVDRCPRTYHLPCARASGCIFDHRKFLIACTDHRHLFQPRGSKYLARIKKLKARKMMWEIRKRSNEACRKDIGDEERWLENCGEDEEFLKRENKRLHRDLLRIAPVYIGGSDSACENSFQGWESVAGLKDVIGCMKEVVILPLLYPELFDNLGLTPPRGVLLHGHPGTGKTLVVRALIGACARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPVRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPEAVDPALRRPGRFDREIYFPLPSIKDRASILSLHTQKWPKPITGSLLEWIARKTPGFAGADLQALCTQAAINALKRNFPLQEVLTLAAEEKHSGSKPIPIPSFAVEERDWLEAVFSSPLPCSRRDAGNAANDVVCSPLPIQLTPCLLQPLCTLLVSLHLDERLCLPLPISKAVTVIKDATISALDKNKKPFDRWWLHMDEFLHEYNSVHELKRNLTCSGILSEVDGIIGSNDTADDTNDSNLRLESSSRNHLGMRSGLFALTNKSGFRILISGNPRSGQRHLASCLLHCFIGNIEIQKIDMATILQEGHGEVVQGIAQILMKCASRQSCVVFLPKIDLWALEKHFQVSERTDSCLKTGKSYFSPNQVVEKEREINTEKNSTEMANGQAITKASFAWMSFVEQVESIGVSTSLMIVATSEVPYKELPCKVREFFKSYQSKDNQSTPLEHTVPRFSVQIDGNFDHDMVINLSALELLRNVVKQLVQLIHQRSHVHTGSQKRHRTCESIEICKEKVCQRKDGSANDKKSEIQLESFTKVSPTPTSKSLKGKSTLLLAISTLGYQILLYPHFAELCWVTSKLKEGPCVDVSGPWRGWPFNSCIIRPNNSHDKVVVSCNSGSIKSREASGLVRGLIAVGLSAYRGVYRSVREVSLDVRKVLEVLIEKINTKIQAGKDRYQYFRILSQVAYLEDMVNNWAYSLLSLEQDSHEHTTKVTPASGGSLNNSHLISENHKIEGEDCHFSAAGDDHDLKTLEESHNEIPAEMVGCIASNNQNGNLDIDCDDGNASSEGSLQNHYFSEKHINNSAGAAMTANQPLYPATSQENGTLLVQRESLTARNNEEVREKLGTSNNFGKSMGTQTVVLSENGDHTALEPETRNIEIGNRVVSDQPLIVFSSSQDISATLSDVKSDKPENVTDNDVSSSNGSVPDDSGVICLYQCCPACLHSLHQLTKKMLLTEWGMNGDQWSVEDVHDAVETLSVDLISAVRKSFVAEDFTASSNKTSRHENHGTSLDCLNPRTCDAENQGKDVVPAECISHSASEIEDATAIEDRALNDLKFVFRDGVLVHMDPDKDVSVHCKFQNLCLCSLRELIIMTKRSFD >Vigun05g189200.4.v1.2 pep primary_assembly:ASM411807v1:5:36756654:36764145:-1 gene:Vigun05g189200.v1.2 transcript:Vigun05g189200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPSSLGSSSRSGLRLRKKHKRLDAICEEEYTRNHGELNDDNDGLNPDAGVRRSSRVRRAPVLLDASPAPPKKRRRVRKGGIDGGGESPTSLGRENRGSGGAWSSRLRSRVGNVSFRVKEEGESPRGKRKLFEGVVGKKGDDEVGRKEELEGLMPKVVKSKRPGRIKATKHDEGHADDVSRGSLEESKSQEVVLLSSSDEESDSESETELSGEDQMDESDENAPSMVGSEDGDQMADSDGSVPLLVENEERNLSNDLQMKECGDDIESSSQVEREDKVDYQLEGAKESKSVGNAAEQVDNEGFVGKEVDDGENFLKDVNVDKEDDVNENGLKDESAGRNEELKHASNDKRGHLRIKEGRRCGLCGGGTDGKPPKRLAHDNGESENEAYSGSSSSEETNYDIWDGFDDEPGWLGRLLGPINDYQGIARIWVHLHCAVWSPEVYFANFGCLKNVRAALFRGRALKCTRCGRRGATTGCRVDRCPRTYHLPCARASGCIFDHRKFLIACTDHRHLFQPRGSKYLARIKKLKARKMMWEIRKRSNEACRKDIGDEERWLENCGEDEEFLKRENKRLHRDLLRIAPVYIGGSDSACENSFQGWESVAGLKDVIGCMKEVVILPLLYPELFDNLGLTPPRGVLLHGHPGTGKTLVVRALIGACARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPVRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPEAVDPALRRPGRFDREIYFPLPSIKDRASILSLHTQKWPKPITGSLLEWIARKTPGFAGADLQALCTQAAINALKRNFPLQEVLTLAAEEKHSGSKPIPIPSFAVEERDWLEAVFSSPLPCSRRDAGNAANDVVCSPLPIQLTPCLLQPLCTLLVSLHLDERLCLPLPISKAVTVIKDATISALDKNKKPFDRWWLHMDEFLHEYNSVHELKRNLTCSGILSEVDGIIGSNDTADDTNDSNLRLESSSRNHLGMRSGLFALTNKSGFRILISGNPRSGQRHLASCLLHCFIGNIEIQKIDMATILQEGHGEVVQGIAQILMKCASRQSCVVFLPKIDLWALEKHFQVSERTDSCLKTGKSYFSPNQVVEKEREINTEKNSTEMANGQAITKASFAWMSFVEQVESIGVSTSLMIVATSEVPYKELPCKVREFFKSYQSKDNQSTPLEHTVPRFSVQIDGNFDHDMVINLSALELLRNVVKQLVQLIHQRSHVHTGSQKRHRTCESIEICKEKVCQRKDGSANDKKSEIQLESFTKVSPTPTSKSLKGKSTLLLAISTLGYQILLYPHFAELCWVTSKLKEGPCVDVSGPWRGWPFNSCIIRPNNSHDKVVVSCNSGSIKSREASGLVRGLIAVGLSAYRGVYRSVREVSLDVRKVLEVLIEKINTKIQAGKDRYQYFRILSQVAYLEDMVNNWAYSLLSLEQDSHEHTTKVTPASGGSLNNSHLISENHKIEGEDCHFSAAGDDHDLKTLEESHNEIPAEMVGCIASNNQNGNLDIDCDDGNASSEGSLQNHYFSEKHINNSAGAAMTANQPLYPATSQENGTLLVQRESLTARNNEEVREKLGTSNNFGKSMGTQTVVLSENGDHTALEPETRNIEIGNRVVSDQPLIVFSSSQDISATLSDVKSDKPENVTDNDVSSSNEWGMNGDQWSVEDVHDAVETLSVDLISAVRKSFVAEDFTASSNKTSRHENHGTSLDCLNPRTCDAENQGKDVVPAECISHSASEIEDATAIEDRALNDLKFVFRDGVLVHMDPDKDVSVHCKFQNLCLCSLRELIIMTKRSFD >Vigun11g042600.1.v1.2 pep primary_assembly:ASM411807v1:11:6109445:6110614:1 gene:Vigun11g042600.v1.2 transcript:Vigun11g042600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAREFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun08g011600.3.v1.2 pep primary_assembly:ASM411807v1:8:1006904:1011992:-1 gene:Vigun08g011600.v1.2 transcript:Vigun08g011600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSVSKLLLLVFTILFLGSELIHCSVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEDLIRKAKDGGLDAIDTYVFWNVHEPSPGNYNFEGRYDLVRFIKTVQRVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKSAMQGFTQKIVQMMKNEKLFQSQGGPIILSQIENEYGPESKQLGGAGYAYTNWAAKMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTLWTESWSGWFTEFGGPIYQRPVQDLAFAVARFIQKGGSYINYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYGHLMDLHKAIKQCERALVSSDPTVTSLGTYEQAHVFSSKNGACAAFLANYHSNSAARVTFNNRKYDLPPWSISILPDCKTDVFNTARVRFQTTKIQMLPSNSKLFSWETYDEDVSSLSESSKITASGLLEQLNATRDTSDYLWYITSVDISSSESFLRGGNKPSISVHSAGHAVHVFINGQFSGSAFGTSEDRSCTFNGPVNLRAGTNKIALLSVAVGLPNVGFHFETWKAGITGVLIHGLDHGQKDLTWQKWSYQIGLKGEAMNLVSPNGVSSVDWVRDSLGVRSQSQLKWHKAYFNAPDGVEPLALDLSSMGKGQVWINGQSIGRYWMVYAKGACNSCNYAGTYRPAKCQLGCGQPTQQW >Vigun08g011600.2.v1.2 pep primary_assembly:ASM411807v1:8:1006169:1011992:-1 gene:Vigun08g011600.v1.2 transcript:Vigun08g011600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSVSKLLLLVFTILFLGSELIHCSVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEDLIRKAKDGGLDAIDTYVFWNVHEPSPGNYNFEGRYDLVRFIKTVQRVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKSAMQGFTQKIVQMMKNEKLFQSQGGPIILSQIENEYGPESKQLGGAGYAYTNWAAKMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTLWTESWSGWFTEFGGPIYQRPVQDLAFAVARFIQKGGSYINYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYGHLMDLHKAIKQCERALVSSDPTVTSLGTYEQAHVFSSKNGACAAFLANYHSNSAARVTFNNRKYDLPPWSISILPDCKTDVFNTARVRFQTTKIQMLPSNSKLFSWETYDEDVSSLSESSKITASGLLEQLNATRDTSDYLWYITSVDISSSESFLRGGNKPSISVHSAGHAVHVFINGQFSGSAFGTSEDRSCTFNGPVNLRAGTNKIALLSVAVGLPNVGFHFETWKAGITGVLIHGLDHGQKDLTWQKWSYQV >Vigun08g011600.1.v1.2 pep primary_assembly:ASM411807v1:8:1006169:1011992:-1 gene:Vigun08g011600.v1.2 transcript:Vigun08g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSVSKLLLLVFTILFLGSELIHCSVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEDLIRKAKDGGLDAIDTYVFWNVHEPSPGNYNFEGRYDLVRFIKTVQRVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKSAMQGFTQKIVQMMKNEKLFQSQGGPIILSQIENEYGPESKQLGGAGYAYTNWAAKMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTLWTESWSGWFTEFGGPIYQRPVQDLAFAVARFIQKGGSYINYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYGHLMDLHKAIKQCERALVSSDPTVTSLGTYEQAHVFSSKNGACAAFLANYHSNSAARVTFNNRKYDLPPWSISILPDCKTDVFNTARVRFQTTKIQMLPSNSKLFSWETYDEDVSSLSESSKITASGLLEQLNATRDTSDYLWYITSVDISSSESFLRGGNKPSISVHSAGHAVHVFINGQFSGSAFGTSEDRSCTFNGPVNLRAGTNKIALLSVAVGLPNVGFHFETWKAGITGVLIHGLDHGQKDLTWQKWSYQIGLKGEAMNLVSPNGVSSVDWVRDSLGVRSQSQLKWHKAYFNAPDGVEPLALDLSSMGKGQVWINGQSIGRYWMVYAKGACNSCNYAGTYRPAKCQLGCGQPTQQWYHVPRSWLKPTKNLIVVLEELGGNPWKISLQKRTIHTPASSESNSKN >Vigun03g307100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49805151:49805600:1 gene:Vigun03g307100.v1.2 transcript:Vigun03g307100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNYGASYPTSEPKLCANNCGFFGTPENGNFCSKCYKQLCVQVPPSAINSLLRLSAPPALPDAAKPSSSAEQSPPCAAEPSKPKNRCGCCNRKVGLTGFVCKCGTTFCGVHRYPEKHQCTYDFKTAGREAISKENPTIKGEKVQRF >Vigun11g176500.1.v1.2 pep primary_assembly:ASM411807v1:11:38119868:38121126:1 gene:Vigun11g176500.v1.2 transcript:Vigun11g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRTSERCESDERKKKEKLVDLPSERKKEDRLSDLPDEVLKHIMEFLPTREAIQTCVLSKRWKNLWKGLTTLTFSFFNGIRKYNKYVSHVLSNRDDSTSLHHMSMTVFNSTAPKVLNNAIKYASQHHLQALTLIMDMKFKQTRNSFIPLVINCKSLTFLDIYVDSSSVSLTLPASLSLPSLKTLLLSNVSFTPKDGDNYAEPFSRCTSLTTLVLGRSMHSPSTQTLLISNPTISVLNMENILYWHTFEPQIVLSVPKLTSITLHNSHFSMCYKLSCTCDLPLLTEVKINNHVHVDSSIIIHWLQMFSNVRTLTISSRTLDTLLKDLYLDTLEIQPPHFARLESFKIKMDSKIKMSKDELNTVVDYLVQNCRTKNVNVIEC >Vigun04g169800.1.v1.2 pep primary_assembly:ASM411807v1:4:39405967:39409585:1 gene:Vigun04g169800.v1.2 transcript:Vigun04g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKENLGHYKVGSLPTLFYVPDFITDSDQSLLLNNIYEAPASKWKMLKNRRLQNWGGVVHEKGLLPQVLPPWLTNLTQKICDESGLFPSPMNHVLINEYQPNQGIMPHQDGPAYFPVVAILSLESPVVMNFTPHARFKQDSQDDVDKDSDFEIGKDKWLDEHHPFSVLLMPRSLLIFKDKAYSDYLHGIKDCTLHCYNGAVNETQALKHKESEGDFFNLEDAALDTTGKEEYKNISRTSNRVSLTCRLVPKVHKNLFRF >Vigun04g169800.2.v1.2 pep primary_assembly:ASM411807v1:4:39405967:39409585:1 gene:Vigun04g169800.v1.2 transcript:Vigun04g169800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKENLGHYKVGSLPTLFYVPDFITDSDQSLLLNNIYEAPASKWKMLKNRRLQNWGGVVHEKGLLPQVLPPWLTNLTQKICDESGLFPSPMNHVLINEYQPNQGIMPHQDGPAYFPVVAILSLESPVVMNFTPHARFKQDSQDDVDKDSDFEIGKDKWLDEHHPFSVLLMPRSLLIFKDKAYSDYLHGIKDCTLHCYNGAVNETQALKHKESEGDFFNLEDAALDTTGKEEYKNISRTSNRVSLTCRLVPKVHKNLFRF >Vigun06g073550.1.v1.2 pep primary_assembly:ASM411807v1:6:20452693:20457760:1 gene:Vigun06g073550.v1.2 transcript:Vigun06g073550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLKDIQLHLFKVINDSTKSSYTFIQSHPIISSALLACFSLYIFLSYIYNFLVYMSPFFVCGIIFIKIFWSSEKTQLKYVKIKDEKEEQKKVEEKCPKIPNHKKPNLLHKYPSQNATSRRRKFSDKNWDVYGGLEEKAKDLSIVFYNEFTKRNMENRWGRYFEKGESSLENRLFAKKAQVHKRQNLRSEPSMIDLVECGDLEIERKIEDGDDEEEAQEDRNKAIEWTEDDQKNLMYLGISEMERNKRLESLIVRRKEKKLFKGQHEKGLNDKKPIAPLFMKRNSPLNSSKEFDDGLEVPGSAPSLVPRSPYKIPYAHSDEKLNLLRDSFPQEFSSQNDMSLGKHESFSLGHPFTSEIKQDHGAKEHYFLNRGRKHSDRFTYSRFRRSHTEKGTHDWLIDQLIYNQSGESSESGENGLQTSNPSTKGEKLTHKEDGKRKIDMNDMKGEKVEYETKPMSNQTSESRLEKLGQWPKFPKSHERVLNLPLSSSTGDTNSNIHEAMYETVTSIVDKRQENMFLANGRLCHTPTHSVASDLQVEVSEIGSPTSTVGENVEINSSIDRDSILYDGDIDRDISSGSEELWGSSFHGVKEAQGVNNEGNNVEVNNSSKDVVSPFDPCHINEENATDMCSSSSKYDVPENTPTHATNNHQNIFDYLKHSMEEIEAPQSSNSSHALNQVPNETHFENPKEQYNMTENVANETRFINDMNNLATTNQDNIEDSRNIEDLETSVMRQESIEDASIHSVSSSPRSVLPEKTMGDEISLSNFDQHMHIDGQQSIGEDLTQESLDSEISPNIMLHTRQPVIEDVIDEISLSNFDQHMHIDGQHSIVEGLTQEILDSENSPIVIPHTKQSMIDNVIHKTSFSNFDQHMHIDGQQSIGDGLTQESLHSENSHNIMPHTGQPMLEDILDKTLLPNFDQHMHVEPHLSIVDGMIQESSNNESSHDIMHQPMQPIMDDLIDEISSSNFDQHMHIEPQQSIVDGVNQESSNNEGPHDIMSQSVQPMMDDLIDEISASNSDQLMHTESQQSTTKGVTLKTSSSESPPNVSPQNIQPIIDGTTDKLHNESQVSSTNMLKDDNNGKPSSSNDIHGESSEQTVTKPKVQNRESGYLSDVFD >Vigun08g162900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33556026:33556571:1 gene:Vigun08g162900.v1.2 transcript:Vigun08g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYEPRSSSSCAACKFLKRRCIPNCIFAPYFRSDECKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLAYEAEARLRDPIYGCIGAIALLQRKMVELQHDLAIAKDRLARYAAAATTTTPSAAATTTFNSHVSLPPFPEYFSCNDFSDNFCHNSSSSQSPPLTRHEMVDDFIQIPYIL >Vigun02g172500.1.v1.2 pep primary_assembly:ASM411807v1:2:31514193:31522335:-1 gene:Vigun02g172500.v1.2 transcript:Vigun02g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCCELRLLEKGEIMVCRKGSSIHLFKKEDLQIGDRIYRPLCDGDKVLINRPPSIHQHSMIALSVRVLPISSVVCINPLCCSPLRGDFDGDCLHGYIPQSISARVELNELVALDRQLFNGQSGRNLLSLSEDSLTAAYLLLEDGVILDVYQMQQLQMLCDKGLAPPSIVKAPSSKSSFWSGKQLFSMLLPSDFDYSFPSDGVVVSDGELVSSFEASGWLRDSDCNVFQSLVEHFQGKSLDFLFAAQNVLCEWLSMIGFSVSLSDLYLSSDSYARKNMIEEIFYGLQDAEKACNFKQLLLDCYCDFLSGNHEEESEKSMTVDADSLNYERQISAALSQASVDAFRQVFRNIQSLADKYACTDNTFLAMNKAGSKSSLVRLAQHSMCLGMQNSLVRLSYRLPRHLSCPAWNSEKGLDSIQIHSGTFESVQSYIPCAVVKSSFLTGLNPLECFVHSVSVRASGFSENADVPGTLTRRLMFFMRDLFAAYDGTVRNLYGNQLIQFSYDTEENSSCDRSFQEYAIGGEPVGALSASAVSEAGYSALDQPVSLLETSPLLNLKNVLECGSRKKSGDQSVTLFFSEKVGKQRHGFEYAALEVKNYLERLLFSKIVSTVMIITRHDSSNLEKCSPWVCHFHLDKEILKRRELKVHSIIDCLYERYYSQRKELKVSFTNLKISSNKCSGDSTAKDGEDTFVDKEDGDDCITVTIVENSENPIQLDSVRDLMIPFFLETTIKGFMDIKKVDILWNNQSKVTNSYNGSSGELYLRVIVASEGNTGRFWGVLVNHCHKIMQLIDWPRSHPDNINYISSAFGIDVAWQYYYKSLASAICDTGKSILPKHLRLLANSLSASGEFVGLNAKGMALQRQHASVSTPFVQACFSNPGRSFIKAAKSGVMDNLQGSLDALAWGNCPPMGTSGLFDIIYSEKGHEVAKSVDVYKLLEASFDKPNNKIGVYSHNYSSDKCGSEFRHKKGYAFKEGKQWKNIVRNFVTVNDIQDLTKSSRCILNKYAIDELVSEFDRSTMLRVLNFHPRRSEKLGTGPQDIKVGWHPKFKDSRCFHIVRTDGTVEDFSYRKCILRALEIVNPKMSRIQKKKWSGRDDTKNGGSLDADYQNREVVFSSGKC >Vigun01g245800.2.v1.2 pep primary_assembly:ASM411807v1:1:41420211:41425181:-1 gene:Vigun01g245800.v1.2 transcript:Vigun01g245800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIMDLAEVEENLFAASDAKLHGEMCNSLSAILCKILLLFPSLEASRPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITADSVLLKFEKAKCALEDSLKGVEDIVPQSIGCQIDEIVNELANTVFALDPSEKQVGDDLIALLQKGRKLSDSNDSSELECFHLAATTIGITSSRAALAERRSLKKLIERARAEDDKRKESIIAYLLHLMRKYSKLFRSEFSDEYDSQGSQPCSPTVQRSLEDGITGGHCHVFDRQLSKLSSFNFKSSNRESGQMLVLPEELRCPISLQLMIDPVIIASGQTYERVCIEKWFRDGHTTCPKTQQKLSHLSLTPNYCVKGLVASWCEHNGVPIPEGPPESVDFNYWRLALSDTESTNSRSANSVGSCKLKGVKVVPVEESGISEEMRGNAAENFSAQEEDHERYLSFLKVLSEGDDWKGKCKIVEQLRLLLRDDEEARIFMGANGFVDALMQFLQSAMHERNATALENGAMALFNLAVNNNRNKEIMISAGILSLLEEMISKTSSHGCVAALYLNLSCLEEAKDVIGTSQAVQFLIQILHARTEVQCKIDSLHALYNLSTLSSNIPHLLSSGIIETLQSLLANQGDCALTEKCLAVLINLAVSPPGREQMILAPALISALASTLDTGKLSEQEQATSCLLILCNRSEECCEMVLQEGVIPALVALSVNGTSRGREKAQKLLTVFREQRQRDHSPVNTNQCEPESSDFSMPPPETKPLCKSISRKKVVGKAFRFLWKSKSYSVSQC >Vigun01g245800.1.v1.2 pep primary_assembly:ASM411807v1:1:41420198:41425227:-1 gene:Vigun01g245800.v1.2 transcript:Vigun01g245800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIMDLAEVEENLFAASDAKLHGEMCNSLSAILCKILLLFPSLEASRPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITADSVLLKFEKAKCALEDSLKGVEDIVPQSIGCQIDEIVNELANTVFALDPSEKQVGDDLIALLQKGRKLSDSNDSSELECFHLAATTIGITSSRAALAERRSLKKLIERARAEDDKRKESIIAYLLHLMRKYSKLFRSEFSDEYDSQGSQPCSPTVQRSLEDGITGGHCHVFDRQLSKLSSFNFKSSNRESGQMLVLPEELRCPISLQLMIDPVIIASGQTYERVCIEKWFRDGHTTCPKTQQKLSHLSLTPNYCVKGLVASWCEHNGVPIPEGPPESVDFNYWRLALSDTESTNSRSANSVGSCKLKGVKVVPVEESGISEEMRGNAAENFSAQEEDHERYLSFLKVLSEGDDWKGKCKIVEQLRLLLRDDEEARIFMGANGFVDALMQFLQSAMHERNATALENGAMALFNLAVNNNRNKEIMISAGILSLLEEMISKTSSHGCVAALYLNLSCLEEAKDVIGTSQAVQFLIQILHARTEVQCKIDSLHALYNLSTLSSNIPHLLSSGIIETLQSLLANQGDCALTEKCLAVLINLAVSPPGREQMILAPALISALASTLDTGKLSEQEQATSCLLILCNRSEECCEMVLQEGVIPALVALSVNGTSRGREKAQKLLTVFREQRQRDHSPVNTNQCEPESSDFSMPPPETKPLCKSISRKKVVGKAFRFLWKSKSYSVSQC >Vigun08g146100.1.v1.2 pep primary_assembly:ASM411807v1:8:31912935:31915945:1 gene:Vigun08g146100.v1.2 transcript:Vigun08g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILFFIFCLVTTHISYYVDGIRVTSKEDAKFEIKANPITNSPIKTIHTRFGDIVDCVDIYKQPAFNHPLLKNHKLQLKPNFEIKKTTVDSLQIKSVFGLDDEENCPEGTVPIQRTIKDNFNEEKLSLNPHILVKNIPGVHLAEVSLNGHFGPYHQVSGTTSTYNPRIYKKNQVSMSHIWVENGSGNGVNKISAGWHVDPGLYDDYNTHFYSTWTSDDFGKTGCYNLKCSGFFQIDKYNYLGAHISNISTYGGPTYDIQMSITRDPHTKNWWLTVGGKNIGYYPAKLFSNLDSASIVGWGGRTRANVGDTSPEMGSGHFPDGKSSHACYFRSATIEDDSGDIYGPKSNQIVSYSDATNCYDVHFFGHQGGDAGIVLQFGGPGGSCGT >Vigun02g030200.1.v1.2 pep primary_assembly:ASM411807v1:2:12594583:12596368:-1 gene:Vigun02g030200.v1.2 transcript:Vigun02g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDGLIERLLEVRKNRGKRIQLVESEIRNLCSTAKDLFLSQPNLLELEAPINVCGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSVETICLLLAYKIKFPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDCFNCLPVAAVIDDKILCMHGGLSPDMDSLDQIKAIERPVDVPDQGLLCDLLWADPDSDIRGWGENDRGVSYTFGPDKVAEFLKKHDLDLICRAHQVVEDGYQFFADRQLVTIFSAPNYCGEFNNAGALMCVDETLLCSFQIIKPLGLRGKFT >Vigun03g216800.1.v1.2 pep primary_assembly:ASM411807v1:3:36102084:36108712:1 gene:Vigun03g216800.v1.2 transcript:Vigun03g216800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAASNPALQVSVSFGRFENDSLSWEKWSAFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKNVAARKAELLAQEKQTEKASVKPQYQNDEDLSCNSCVTDAECDISNAQGSSEGVKQETNSVGEIVRTDVSNLEESSAVSRDYQGSSVEGEKVNDELERRSGSSLIEKQEEVVCVEQGGSKEESPNIEAEGLKEISHNVNNEPVKASEVETQYKTMDNPKVSKKVAPVSRESNAIKGKKKSMQPTSKPKASRISTPRNPKPTSTTTKILSSASSTKREISPSISRRETGSTAENRKIPNKTLHMSLSLGPSQSEPAPRTSVRKSLIMERMGDKDIVKRAFKTFQKNFNQPKTSGENKSLVKEKVPSKVTEPRNLTSISLRKEYGQSPKVDSAGKRSGNTARTASGLKADVKAEKGKEFPRKIEENLYAKEVERMHYQSKSKEEKIKQNIKATSLPAFHQGPKKASKNHPEKEEIKMEKWR >VigunL069900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:91789:92034:-1 gene:VigunL069900.v1.2 transcript:VigunL069900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun03g126100.7.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942495:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKGAELMQENQRLKQAIIGIHY >Vigun03g126100.2.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942498:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKDEKVMQEINNLKRKGAELMQENQRLKQVPSLIHLHRQSSESIISNSSNLPEDGCSDTSLKLGLP >Vigun03g126100.4.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942495:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKDEKVMQEINNLKRKGAELMQENQRLKQAIIGIHY >Vigun03g126100.5.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942100:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKDEKVMQEINNLKRKGAELMQENQRLKQVPSLIHLHRQSSESIISNSSNLPEDGCSDTSLKLGLP >Vigun03g126100.3.v1.2 pep primary_assembly:ASM411807v1:3:11932118:11942495:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKDEKVMQEINNLKRKGAELMQENQRLKQVPSLIHLHRQSSESIISNSSNLPEDGCSDTSLKLGLP >Vigun03g126100.6.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942495:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKGAELMQENQRLKQVPSLIHLHRQSSESIISNSSNLPEDGCSDTSLKLGLP >Vigun03g126100.1.v1.2 pep primary_assembly:ASM411807v1:3:11932173:11942495:-1 gene:Vigun03g126100.v1.2 transcript:Vigun03g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALIVFSATSKLFDYASSSMQQVIERRDRHSAMHRLDRPSMELQIESDSNDILRKKVEDKNHELRHLNGEDLQGLTLQELQKIEEHLKRGLTNVSKVKDEKVMQEINNLKRKGAELMQENQRLKQVPSLIHLHRQSSESIISNSSNLPEDGCSDTSLKLGLP >Vigun07g216400.1.v1.2 pep primary_assembly:ASM411807v1:7:33823465:33826628:1 gene:Vigun07g216400.v1.2 transcript:Vigun07g216400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPAKNAGLGIAAMSYITVDYLRHLSPTWHSRLQPVLWSLLALAAVVRVPSYRHWSAEFRSAIPFVASMLFMLSALLFEALSVRSVTAVLGLDWHRNTPPLPDTGQWFLLALNEKLPSPIVEILRARIIGLHHFLMLFMMLAFSVLFDSVKAPGLGLGARYMFTMAVGRLLRAITFASTILPSARPWCAGSRFRVPGYPHPWAQKYYVPYAKDHDAISRLINLDTAYVDIGKPVGDYQPDWGSMSFLIDFLRPTVSEGPSWFSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALVWLLLLHSAQREIRERHHYTVDCIVAIYVGVLLWKMTGFIWSHEAKSSNRSLTKLETIQSRLVQASKDSDIDKVRELLKEIELISEESKDPTGIKYARLFRCATIFFALTIVVLAFTLTSDG >Vigun02g123000.2.v1.2 pep primary_assembly:ASM411807v1:2:27505550:27533380:-1 gene:Vigun02g123000.v1.2 transcript:Vigun02g123000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQNFEQHSRHLVEPDIPIPSRLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSAILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFDNMAMTGEDVKPMETSLSDQGINTTTATGSQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQANIPQLLPLMVAAISVPGPERVPPHLKTHFIELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCSDSVSIRKELLISLKHVLGTDFRRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQQSTDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRATLRSKLELPVQAVLALQVPVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHSPRPQTLVSPSSNLSPPQALRGMREDEVCKASGVLKSGVHCLALFKEKDEEREMMHLFSQILAIMEPRDLMDMFSLCMPELFDCMISNNQLVHIFSTLLSAPKVYRPFADVLVNFLVSGKLDALKQPDSPAAKLVLHLFRFIFGAVTKAPADFERILQPHAPVIMEFCMKNATEVEKPLGYMQLLRTMFKALSGCKYELLLRDLVPMLQPCLNMLLAMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLSRLMKPLVLCLKGSDELVSLGLRTLEFWVDSLNPDFLEPIMASVMSEVILALWSHLRPAPYPWGAKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPATPFLVPLDRCINLAVEAVMNKNCGMDAFYRKQALKFLRVCLSSQLNLPGSVADDGSTSKQLSALLVSTVDQTSRRSELMDVKADLGVKTKTQLMAEKSVFKILLMTVIAANGETDLTDPTDDFVVNICRHFAVIFHIDSSSSNVSVAALGGSSLSNNVHVGSRLKSNACSNLKELDPLIFLDALVEVLADENRLHAKAALGALNVFAETLVFLARSKHTDFIMSRGPGTPMIVSSPSMNPVYSPPPSVRVPVFEQLLPRLLHCCYGLTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLIYVLKKLPIYASKEQEETSQVLTQVLRVVNNVDEANSEARKQSFQGVVDFLAQELFNQNASITVRKNVQSCLALLASRTGSEVSELLEPLYQPFLQPLIVRSLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAESDDNAWVAKFINPKVMTSLTKLRTACIELLCTTMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVVVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLLGLARLLELLSNWFNVTLGGKLLEHLKRWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPPAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYASLAVDYFLARLSEPKYFRRFMYIIRSEAGQPLRDELAKSPQKILASAFSEFLPKSDVTMTPASTSTHTTLLGEESVAPSSDASNPPAPPPSATSDAYFQGLALIKTLVKLIPGWLQSNRSVFDTLVLVWKSPARISRLQKEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILTIFLFHSRIDYTFLKEFYIIEVAEGYPPGMKKALLLHFLSLFQSKQLGHDHLVTVMQMLILPMLAHAFQNGQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQNEMKVVTDSDAPNQINDVFNPSSADSKRSVDGSTFPEDATKRVKAEPGLQSMCVMSPGGPSSIPNIETPGSGSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQAKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKLLDAGKSFCSLLRMIFVAFPQEATTTPADVKLLYQKLDDLIQKHATTVTAPQTASDDNNASSISFLLLVIKTLTEVQRNFVDPLILVRILQRLQRDMGSAAGPHLRQGQRTDPDSAVTSSRQGADVGAVISNVKSILKLITDRVMIVSECKRSVSQILNALLSEKAIDASVLLCILDVVKGWIEDDFCKQGTPITPGSFLTPKEIVSFLQKLSQVDKQNFTPVALEEWDRKYLELLYGICADSNKYPLPLRQEIFQKVERLYMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQFIIQIQDWGALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPLFVSGSIMELSGMPHKVNDVLEGSEDAPLTLETLVHKHAQFLNSMSKLQVVDLLIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVTLAKPMINLLSKDYHKKQQANRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFPNDSKCSESLAELYRLLNEEDMRCGLWKKRSVTAETRAGLSLVQHGYWHRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWEALADFGKSVENYEILLDSLWKLPDWTYMKEHVIPKAQVEETPKLRLIQAYFALHDKNTNGVGDAENMVGKAVDLALEQWWQLPEMSVHSRIPLLQQFQQIVEVQESARILIDISNGNKLSGNSVVGVQGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFGATNSALHHLGYRDKAWTVNRLAHIARKQGLFDVCVTILEKLYGHSTMEVQEAFVKITEQAKAYLESKGELTSGINLINSTNLEYFPAKHKAEIFRLKGDFLLKLNDSESTNVAYSNAISLFKNLPKGWISWGDYCDMAYRETHEEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTSNEPVGRAFDKYYEQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLMKIATLYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRTQQSVSGTSTGSLGGLADGNARVQGPGGSNLPSDIQAHQGSQPASGIGSHDGGNSHGQEPERSTSVESNMHNGNDQPLQQGSANLNEGSQNTLRRAAGALGFVASAASAFDAAKDIMEALRGKHANLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPSTLSQLTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLRDFHVIDVEVPGQYFTDQEIAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFEKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKNLVNDNIFSQYMYKTLPSGNHSWAFKKQFAVQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGLIEFNEPVPFRLTRNMQAFFSHGVEGLIVSSMCAAAQAVASPKQSQHLWHHLAMFFRDELLSWSWRRPLGMPMASMAAGGTMSPVDFKQKVITNVEHVITRVKGIAPQNFSEEEENVMDPPQPVQRGVTELVEAALNPRNLCMMDPTWHPWF >Vigun02g123000.1.v1.2 pep primary_assembly:ASM411807v1:2:27505550:27533380:-1 gene:Vigun02g123000.v1.2 transcript:Vigun02g123000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQNFEQHSRHLVEPDIPIPSRLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSAILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFDNMAMTGEDVKPMETSLSDQGINTTTATGSQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQANIPQLLPLMVAAISVPGPERVPPHLKTHFIELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCSDSVSIRKELLISLKHVLGTDFRRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQQSTDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRATLRSKLELPVQAVLALQVPVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHSPRPQTLVSPSSNLSPPQALRGMREDEVCKASGVLKSGVHCLALFKEKDEEREMMHLFSQILAIMEPRDLMDMFSLCMPELFDCMISNNQLVHIFSTLLSAPKVYRPFADVLVNFLVSGKLDALKQPDSPAAKLVLHLFRFIFGAVTKAPADFERILQPHAPVIMEFCMKNATEVEKPLGYMQLLRTMFKALSGCKYELLLRDLVPMLQPCLNMLLAMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLSRLMKPLVLCLKGSDELVSLGLRTLEFWVDSLNPDFLEPIMASVMSEVILALWSHLRPAPYPWGAKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPATPFLVPLDRCINLAVEAVMNKNCGMDAFYRKQALKFLRVCLSSQLNLPGSVADDGSTSKQLSALLVSTVDQTSRRSELMDVKADLGVKTKTQLMAEKSVFKILLMTVIAANGETDLTDPTDDFVVNICRHFAVIFHIDSSSSNVSVAALGGSSLSNNVHVGSRLKSNACSNLKELDPLIFLDALVEVLADENRLHAKAALGALNVFAETLVFLARSKHTDFIMSRGPGTPMIVSSPSMNPVYSPPPSVRVPVFEQLLPRLLHCCYGLTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLIYVLKKLPIYASKEQEETSQVLTQVLRVVNNVDEANSEARKQSFQGVVDFLAQELFNQNASITVRKNVQSCLALLASRTGSEVSELLEPLYQPFLQPLIVRSLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAESDDNAWVAKFINPKVMTSLTKLRTACIELLCTTMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVVVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLLGLARLLELLSNWFNVTLGGKLLEHLKRWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPPAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYASLAVDYFLARLSEPKYFRRFMYIIRSEAGQPLRDELAKSPQKILASAFSEFLPKSDVTMTPASTSTHTTLLGEESVAPSSDASNPPAPPPSATSDAYFQGLALIKTLVKLIPGWLQSNRSVFDTLVLVWKSPARISRLQKEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILTIFLFHSRIDYTFLKEFYIIEVAEGYPPGMKKALLLHFLSLFQSKQLGHDHLVTVMQMLILPMLAHAFQNGQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQNEMKVVTDSDAPNQINDVFNPSSADSKRSVDGSTFPEDATKRVKAEPGLQSMCVMSPGGPSSIPNIETPGSGSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQAKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKLLDAGKSFCSLLRMIFVAFPQEATTTPADVKLLYQKLDDLIQKHATTVTAPQTASDDNNASSISFLLLVIKTLTEVQRNFVDPLILVRILQRLQRDMGSAAGPHLRQGQRTDPDSAVTSSRQGADVGAVISNVKSILKLITDRVMIVSECKRSVSQILNALLSEKAIDASVLLCILDVVKGWIEDDFCKQGTPITPGSFLTPKEIVSFLQKLSQVDKQNFTPVALEEWDRKYLELLYGICADSNKYPLPLRQEIFQKVERLYMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQFIIQIQDWGALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPLFVSGSIMELSGMPHKVNDVLEGSEDAPLTLETLVHKHAQFLNSMSKLQVVDLLIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVTLAKPMINLLSKDYHKKQQANRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFPNDSKCSESLAELYRLLNEEDMRCGLWKKRSVTAETRAGLSLVQHGYWHRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWEALADFGKSVENYEILLDSLWKLPDWTYMKEHVIPKAQVEETPKLRLIQAYFALHDKNTNGVGDAENMVGKAVDLALEQWWQLPEMSVHSRIPLLQQFQQIVEVQESARILIDISNGNKLSGNSVVGVQGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFGATNSALHHLGYRDKAWTVNRLAHIARKQGLFDVCVTILEKLYGHSTMEVQYLQEAFVKITEQAKAYLESKGELTSGINLINSTNLEYFPAKHKAEIFRLKGDFLLKLNDSESTNVAYSNAISLFKNLPKGWISWGDYCDMAYRETHEEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTSNEPVGRAFDKYYEQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLMKIATLYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRTQQSVSGTSTGSLGGLADGNARVQGPGGSNLPSDIQAHQGSQPASGIGSHDGGNSHGQEPERSTSVESNMHNGNDQPLQQGSANLNEGSQNTLRRAAGALGFVASAASAFDAAKDIMEALRGKHANLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPSTLSQLTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLRDFHVIDVEVPGQYFTDQEIAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFEKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKNLVNDNIFSQYMYKTLPSGNHSWAFKKQFAVQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGLIEFNEPVPFRLTRNMQAFFSHGVEGLIVSSMCAAAQAVASPKQSQHLWHHLAMFFRDELLSWSWRRPLGMPMASMAAGGTMSPVDFKQKVITNVEHVITRVKGIAPQNFSEEEENVMDPPQPVQRGVTELVEAALNPRNLCMMDPTWHPWF >Vigun03g079000.2.v1.2 pep primary_assembly:ASM411807v1:3:6588961:6594804:1 gene:Vigun03g079000.v1.2 transcript:Vigun03g079000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNFHCSSMLLHSCSKQCIVSGVPLLRPKPDFMLDNPIRNVKFSFKVDKVRAVISGEGDLLSHPNNCTGSRKSDLLHKLVEEPTRIVSDEINPAINGFFADRNEHELDCPTQGFSSIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASMVTPEAVAFIVKHGTGIVCVSMKEQDLERLQLPLMVAQKNNEEKLGTAFTVTVDAKEGITTGVSAEDRATTILKLASRNSSSDDFNRPGHIFPLKYREGGVLKRPGHTEASVDLAVLAGLEPAAVLCEIVDDDGSMARLPKLRQMANQKNLKIISIADLIRYRMKREKFVECASAAVVSTMWGHFKAYCYRSCLDGIEHIAMVKGEIGDGKNVLVRVHSECVIGDIFGATTTCECRNQLVVALKQIEAAGRGVLVYLRGHEGIGLDHIVHDCHSEDHKYEELNVTGDSTEYGIGAQMDRDAQT >Vigun03g079000.3.v1.2 pep primary_assembly:ASM411807v1:3:6588961:6592040:1 gene:Vigun03g079000.v1.2 transcript:Vigun03g079000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNPIRNVKFSFKVDKVRAVISGEGDLLSHPNNCTGSRKSDLLHKLVEEPTRIVSDEINPAINGFFADRNEHELDCPTQGFSSIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASMVTPEAVAFIVKHGTGIVCVSMKEQDLERLQLPLMVAQKNNEEKLGTAFTVTVDAKEGITTGVSAEDRATTILKLASRNSSSDDFNRPGHIFPLKYREGGVLKRPGHTEASVDLAVLAGLEPAAVLCEIVDDDGSMARLPKLRQMANQKNLKIISIADLIRYRMKREKFVECASAAVVSTMWGHFKAYCYRSCLDGIEHIAMVKGEIGDGKNVLVRVHSECVIGDIFGATTTCECRNQLVVALKQIEAAGRGVLVYLRGHEGIGLDHIVHDCHSEDHKYEELNVTGDSTEYGIGAQILRDLGVHTICLMTRKKWC >Vigun03g079000.1.v1.2 pep primary_assembly:ASM411807v1:3:6588961:6592040:1 gene:Vigun03g079000.v1.2 transcript:Vigun03g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNFHCSSMLLHSCSKQCIVSGVPLLRPKPDFMLDNPIRNVKFSFKVDKVRAVISGEGDLLSHPNNCTGSRKSDLLHKLVEEPTRIVSDEINPAINGFFADRNEHELDCPTQGFSSIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASMVTPEAVAFIVKHGTGIVCVSMKEQDLERLQLPLMVAQKNNEEKLGTAFTVTVDAKEGITTGVSAEDRATTILKLASRNSSSDDFNRPGHIFPLKYREGGVLKRPGHTEASVDLAVLAGLEPAAVLCEIVDDDGSMARLPKLRQMANQKNLKIISIADLIRYRMKREKFVECASAAVVSTMWGHFKAYCYRSCLDGIEHIAMVKGEIGDGKNVLVRVHSECVIGDIFGATTTCECRNQLVVALKQIEAAGRGVLVYLRGHEGIGLDHIVHDCHSEDHKYEELNVTGDSTEYGIGAQILRDLGVHTICLMTRKKWC >Vigun03g079000.4.v1.2 pep primary_assembly:ASM411807v1:3:6588961:6594804:1 gene:Vigun03g079000.v1.2 transcript:Vigun03g079000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNPIRNVKFSFKVDKVRAVISGEGDLLSHPNNCTGSRKSDLLHKLVEEPTRIVSDEINPAINGFFADRNEHELDCPTQGFSSIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASMVTPEAVAFIVKHGTGIVCVSMKEQDLERLQLPLMVAQKNNEEKLGTAFTVTVDAKEGITTGVSAEDRATTILKLASRNSSSDDFNRPGHIFPLKYREGGVLKRPGHTEASVDLAVLAGLEPAAVLCEIVDDDGSMARLPKLRQMANQKNLKIISIADLIRYRMKREKFVECASAAVVSTMWGHFKAYCYRSCLDGIEHIAMVKGEIGDGKNVLVRVHSECVIGDIFGATTTCECRNQLVVALKQIEAAGRGVLVYLRGHEGIGLDHIVHDCHSEDHKYEELNVTGDSTEYGIGAQMDRDAQT >Vigun04g155100.2.v1.2 pep primary_assembly:ASM411807v1:4:37527434:37530736:-1 gene:Vigun04g155100.v1.2 transcript:Vigun04g155100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RETLLKLKHDLTDPSNRLSSWNASVNPNCCEWDGVVCNNFTSHVAELHLTTPRPDVDDNLPYILHLEAYEEAWEDYHKLAFGGEINPCLVDLKHLNYLDLSGNHFPSTPIPSFIATMTSLTHLNLSDAGFMGNIPSQIGNLSNLVYLDLSNIWSLKASMPIPSFLGSMTTLIHLDLSFSRFMGNIPPQIGTIPSQIGNLSNLLYLNLHSGYSIDKTLFIGNVDWLSSLTKLEYLNLGGANLSHLSSLVHLDLSRCTLPHYNQQSFLNFSSLLTLDLSEVSYHSGISFVPKWVFGLKKLVSLVSSFNYFEGPIPDGLRNLTLLEYLDLHSNSFSSSIPHWFYSSFPHLKFLDLSSNNLQGNIYDALGNMTSLVILDLSYNQLEGPIPTSLGKVTSLVILDLSHNKLEGSIPTSLESLGELSSLRVLILSSNQLGGNPFESLRSLSKLSSLDIDYNCFEGVVTEDHLTNLTSLYKFSAPENNLTLKVGSKWYPTFQLTYLDMSSWQLGPKFPSWIQSQDKLNYLAMPNTGILDSIPYWFWKTFSEASFLNLSHNHIHGELQTTLKNPISIIAVDLSANKLSGKFPSLSNGVGFLDLSSNSFSKSMDDFLCKGKEKPMKLEFLNLASNNLSGEIPDCWDIWPYLLDVNLQNNNFVGNIPQSMSSLIELESLSIRKNLLSGTFPTILKKTNKLILLDLGENNFSGTIPTWVGERFLDMKVLILRSNRFSGHIPNKICDMSLLQVLDLAQNNLTGNIPTCFNSLKTMTQMNKSTTALIYFSARNYTFVYSDYIVISVRLWLKGRGDEYKNFLGLVTSIDLSNNKLVGEIPREVTDLNGLMFLNLSHNQLSGHIPQNIGNMGSLLSIDFSGNELSGEIPPTISNLSFLSMLDLSYNGLNGKIPTGTQLQTFDASNFIGNNLCGPPLSISCSSNDKSYIQNGKGSDRHGLNLFYVGMTFGYMVGFWIVVGPLVICRSWRYAYFHFLDHVWFKLQYFF >Vigun07g153900.1.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFRGRELYARNLKLLVPFFDRASIILQKIEKDVDSDRNFVLASWKLRTNLKLPWRPLISIDGSTIYELNEDYRIVRHVESWDVSAVEAVFQIFSLNSGG >Vigun07g153900.3.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFRGRELYARNLKLLVPFFDRASIILQKIEKDQLEASLEASDFY >Vigun07g153900.2.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFRGRELYARNLKLLVPFFDRASIILQKIEKDVDSDRNFVLASWKLRTNLKLPWRPLISIDGSTIYELNEDYRVSQMPPKLSVVYLCTN >Vigun07g153900.6.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFREKKKTSFEQCSIN >Vigun07g153900.5.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFRVTHCCYRKKENII >Vigun07g153900.4.v1.2 pep primary_assembly:ASM411807v1:7:26511682:26515725:1 gene:Vigun07g153900.v1.2 transcript:Vigun07g153900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSSEMAKLWCFSGKPPLPSRNQLRRNHGVQRYSNTDVPKSMKTYASMNKESKSETPQMLKIVVSGVTELLRVFSPSFDQASFEKQRDEFPISTVDDVLSIIKSDYDNAYFVTGNFTSSIYAENCIFEDPTIKFREKKKTSFEQCSIN >Vigun06g041900.3.v1.2 pep primary_assembly:ASM411807v1:6:16387189:16393617:-1 gene:Vigun06g041900.v1.2 transcript:Vigun06g041900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNQAQEGSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRATIERYKKASAASTNAESVSEVNTQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQQTNMMQGTLCESLPSQSYDRNFFPVNLIPSDQQYSRQDQTALQLV >Vigun06g041900.2.v1.2 pep primary_assembly:ASM411807v1:6:16387189:16393617:-1 gene:Vigun06g041900.v1.2 transcript:Vigun06g041900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNQAQEGSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRATIERYKKASAASTNAESVSEVNTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQQTNMMQGTLCESLPSQSYDRNFFPVNLIPSDQQYSRQDQTALQLV >Vigun06g041900.1.v1.2 pep primary_assembly:ASM411807v1:6:16387189:16393617:-1 gene:Vigun06g041900.v1.2 transcript:Vigun06g041900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTMELPNQAQEGSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYANNSVRATIERYKKASAASTNAESVSEVNTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQQQTNMMQGTLCESLPSQSYDRNFFPVNLIPSDQQYSRQDQTALQLV >Vigun01g243200.1.v1.2 pep primary_assembly:ASM411807v1:1:41218048:41219346:-1 gene:Vigun01g243200.v1.2 transcript:Vigun01g243200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDQQQGKPLPKFGDWDVNDPASAEGFTVIFNKARDEKKVASVSGRFPSQRKHDSRKRKNQKKSKSSTNPKKKWFCFGP >Vigun10g012600.1.v1.2 pep primary_assembly:ASM411807v1:10:1335968:1338661:1 gene:Vigun10g012600.v1.2 transcript:Vigun10g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLLILLFSAQLIAGFSAQKLSPSNNEITITILSIDGGGIRGMIPAKVLEYLDKALKAKDPNADLAHYFDAIGGTSTGGLITAMLAAPSPDDPTRAAFTPAQIVDFYRQEGPHIFNSSRPGIGPQFDGEYLHNITRELLKDTRLSQTLTNVVIPSFDLKKQKPVIFSNYKLEDAPYLNALLSDICISTSAAPSQLPPYYFVNDGVEFNMIDGGVAAGNPTQATISEVLQHNEYPKILVLSLGTGTEKYVENFLFDARLAAFWTPEIWAGVAIDMLGRASSAITEYYLASLFSGFQPPQSTYLRIDEHDLNHDFSNSVNVTKENLEGLEKTGEQLLQEKVVKMNLTTFNLEEGVGTNAEALDRLADILYEERQHRKKGKFIGNGGRPLLEILGVRSDKYQTNWAFPKNLFI >Vigun07g012900.1.v1.2 pep primary_assembly:ASM411807v1:7:1134384:1138036:-1 gene:Vigun07g012900.v1.2 transcript:Vigun07g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSKFKRICVYCGSSSGNKASYQEAAVELGEEMVKRRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMPIEITGEPIGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHSKPVGLLNVDGFYNSLLCFIDKAVDEGFISPKARRIIVSAPTAKELVRELEEHVPERDEVVSKLVWEERLSYVAESEVAM >Vigun07g012900.2.v1.2 pep primary_assembly:ASM411807v1:7:1134382:1138036:-1 gene:Vigun07g012900.v1.2 transcript:Vigun07g012900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPCGRGVKRRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMPIEITGEPIGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHSKPVGLLNVDGFYNSLLCFIDKAVDEGFISPKARRIIVSAPTAKELVRELEEHVPERDEVVSKLVWEERLSYVAESEVAM >Vigun10g049501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7612857:7613480:-1 gene:Vigun10g049501.v1.2 transcript:Vigun10g049501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWAQFWYNSSHHQSLGMTPFQAIFGRLLPSISPYIEDGRNPSQLRDSLKQRDQLLSQLKANLLKPQHYMKMQADRKRRDLQFKEGDLVLVKLQPYRQHSVTLRKHQKLGMRYFGPFPVLAKIGTVAYKLQLPESARIHPVFHVALLKPFQGVISQPYVPLPLTSTEMGPLLNLVKVWDVRIIKRLNKEVSQVLIQWEHTDAMDAM >Vigun07g230700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35250518:35252791:1 gene:Vigun07g230700.v1.2 transcript:Vigun07g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPTHDGDDTSEKLLPPMVDQPESSSPSPSSPQALQMKEEAVDAEQGVDVALPMNVIPMPLTVAKRPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVGGTLKIPTSSAARPDGEDTPKKRRRRASNSEFIDVNENHVSVSSGLAPIAQTAYGGVASGGGGGVVPLWNGNGSGPFFMFPNASNPPQYWAIPATAAPFFNVQARPISGFVSAFQMHEQDKPSSNGASDSVNSSSTVGSTMSSVSVTTSAAASSSSAATTTQILRDFSLEIYDKKELQFLGQAPPSSKP >Vigun07g230700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35250518:35252791:1 gene:Vigun07g230700.v1.2 transcript:Vigun07g230700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPTHDGDDTSEKLLPPMVDQPESSSPSPSSPQALQMKEEAVDAEQGVDVALPMNVIPMPLTVAKRPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVGGTLKIPTSSAARPDGEDTPKKRRRRASNSEFIDVNENHVSVSSGLAPIAQTAYGGVASGGGGGVVPLWNGNGSGPFFMFPNASNPPQYWAIPATAAPFFNVQARPISGFVSAFQMHEQDKPSSNGASDSVNSSSTVGSTMSSVSVTTSAAASSSSAATTTQILRDFSLEIYDKKELQFLGQAPPSSKP >Vigun01g221800.1.v1.2 pep primary_assembly:ASM411807v1:1:39527701:39529975:-1 gene:Vigun01g221800.v1.2 transcript:Vigun01g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIEKRKRDKSEEEKWVHDASVDYKNRIPLRASTGSWKAALFVLTIEFSERICHFGISSNLIMYLTKVMHEDLKTATKNVNYWVGATTLMPLIGGFVADTYTGRFHMIIFSSLVYLKGLSLLTLSQFIPSLKPCNNEICDRTRKAHEVVFFLALYCVALGTGGFKPCLQSFGADQFDDDHLEERKKKMSLFNWWCFTLCFAMLFGGTVVVYVQDFVSWGVAYLILTISMAFTVIVFYMGMPFYRYRRTEGNPLMQILRVLIAAIRKRNLFCPQNPALLHEIPKSENSQGRLLSHTSRLRFLDKAAIVEEKYTEEKDNPWRLATVTRVEETKLILNVVPIWLTSLIIGVCIAQSQTLFVKQAAAMNLNIGHNFKIPPASMVSVNAIGTIISVPTYDKIIVPLLRKLTGNERGISILRRIGIGLTLLVILMVVAALVETKRLRMVGHEVVTEGGTKRETMSELWLVPQYLIIGIGGETLSLVGLQEYFYDQVPDSMRSLGIALYLSVLGVGYFLSSFLITIVDKVTGKNGKSWIAKDINSSRLDMFYWLLAIINALNLCLFIILAKRYIYKTVHRKTVEIDGNSDGVEIMA >Vigun04g031700.1.v1.2 pep primary_assembly:ASM411807v1:4:2569633:2574568:1 gene:Vigun04g031700.v1.2 transcript:Vigun04g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELKLSRSNRIYRPSEALEGKIVIKTQSSIYHYGIRLTVKGSVNLQVRGGSAGVVESFYGVIKPIPIVNRTIEIKSSGKIGLGTTEIPFSMNLRQKDENLERFYETFHGANISIQYLVSVDIPRGYLHKSLSATTEFIVESDKADLLQRPLSPEMVIFYITQDTQRHPLLHELKSGGFRVTGKISTQCSLSGTISGELTVEASAVPIQAIDIQLFRVESILLGEKIVTETSLIQTTQIADGDVCRYLTLPIYVILPRLVTCPTTLAGPFSIEFKVAIVISFQSELSKLHKKSDPKIPRLWMAMETLPLELVRAK >Vigun04g031700.2.v1.2 pep primary_assembly:ASM411807v1:4:2569633:2574568:1 gene:Vigun04g031700.v1.2 transcript:Vigun04g031700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELKLSRSNRIYRPSEALEGKIVIKTQSSIYHYGIRLTVKGSVNLQVRGGSAGVVESFYGVIKPIPIVNRTIEIKSSGKIGLGTTEIPFSMNLRQKDENLERFYETFHGANISIQYLVSVDIPRGYLHKSLSATTEFIVESDKADLLQRPLSPEMVIFYITQDTQRHPLLHELKSGKISTQCSLSGTISGELTVEASAVPIQAIDIQLFRVESILLGEKIVTETSLIQTTQIADGDVCRYLTLPIYVILPRLVTCPTTLAGPFSIEFKVAIVISFQSELSKLHKKSDPKIPRLWMAMETLPLELVRAK >Vigun08g203600.1.v1.2 pep primary_assembly:ASM411807v1:8:36741175:36742391:-1 gene:Vigun08g203600.v1.2 transcript:Vigun08g203600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKICGRNSSNSKREESEKKFRDGEKEEDRFSILPDEIILRILSFVDAKIAVQTSVLNKRCRNLWVSLPVFNFDDSSFEDVMVFEDFIDNFFYGRDASTNVFDVNLECHDELEDGDLVDSVIDHVTDTPSIFNNIEALKILAECVVTNLPQLSLCKSLTSLKLCYIVTENTNFNLPSIKHIYLQDCKFECGEENFLNPFENCVNLKILYFHSCQYYGGIETLKISTPHLTDLSISNFRVDEKFNPDFKIELYTPELKYFKYFDSNLYCFSTKIYLSSVKKIHVNVCSLTKDIKSLFHLIELFEIMQTARHVSLSRNIIKVLSKFSDILKDEQCSPFSRMKTFEIIQDHSSSYVMPRNVKNYFFGAPS >Vigun11g146300.1.v1.2 pep primary_assembly:ASM411807v1:11:35526950:35533460:1 gene:Vigun11g146300.v1.2 transcript:Vigun11g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMEFDLNHEVTEVEKNAFCDRECEKDAGVTCWSSSTSSSSSSSSARVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVASFSPFTPMEIPSYDLQPQIFCRVVNVQLLANKENDEVYTQVTLLPQPELEGMNSEGKELEEFGAEEEGDERSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNDLPESVIGSQNCYPNVLSSVANAVSTKSKFHVFYSPRASHADFVVPYQRYVKSIKNPVSIGARFKMRLEMDESQERRCNSGTLIATSDLDPYRWPKSKWRCLMVRWDEDIETNHQDRISPWEIDPSAPLPPLSIQSSPRLKKLRTGLQVASPSQLITARGSGLVGFEESVRSPKVLQGQENAGFMSLYYGCDTVTKPLGFEMSSPSHPNLGSAEVRKVTSSELSGVHPFSYAGFVETNRFPRVLQGQEICPLKSLTGKVDLNLGAWGMPNLGFNLHQATKPNFQPTLFPYGDIHQAGQASFFGSKSTTFQRESVPFNKPPSTQAGIIVNEVRRPELPNEHKLQDNLSAAAALGAANMGVPNENNVQGKVNACKLFGFSLSGETTAQNLQNSAKRSCTKVHKQGSLVGRAIDLSRLSSYNDLLSELERLFGMEGLLKDPDNGWRILYTDSENDIMVVGDDPWHEFCDVVTKIHIYTQEEVEKMTIGMISDDTHSCLEEAPIITEASKSSSVGQPDYSPTAVRV >Vigun05g015400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1238531:1242400:1 gene:Vigun05g015400.v1.2 transcript:Vigun05g015400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRECLNRSKYLVVVDDVWQKQVWNEVKGAFPDDQNGSRILMTTRWAEVASHAGPVPPYALPFLTKEESWELLSKKVFRGEECPSDLESLGKLIAESCDGLPLALIVMAGILGNKKSPRDWSRIKDHVNWHLGRDNTLKDILKLSYDSLPARLKPCFLYFGMYPEDYRIPVKQLIQLWISEGLLTQETSVSQDIPEPEYIAEEYLDELVDRSLIQVVSRTNDGGVKTCRIHDLLRDLCISESREDKFFEVCGEIDFQNLNSCPRKLSLQGTLFHFSSSIVSDYTISATRSLLCFGQEVYKVKANHWRWLLKSFRLARVLDLGRMNVNSIPTDLEKLIHLRYLRIHSHNLETIPPSICRLWNLETLDLRGSPIKSFSGELWQLKQLRHLLLFGPVGLPEMPSESKTMPNLQTLSTVALDPRTTSLLDSRRFPGMTKLGIHYERRDKCNARIQLQSLHRLSHLRKLKVIGTTEIPQNANMFPSNITKISLTKFGFFNSTVMHMLGKLPNLQVLKLSSQTNDTRFDLHCATGGFLQLQVFEMVAIKVKVWRVDRGSMPRVRRLVVRSCKSLTQLPKEVWSLNTLREVQVLWPCTELAKGLQNLVMNNACKLVVYPLSANDELDFLEING >Vigun05g015400.2.v1.2 pep primary_assembly:ASM411807v1:5:1238524:1242400:1 gene:Vigun05g015400.v1.2 transcript:Vigun05g015400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRECLNRSKYLVVVDDVWQKQVWNEVKGAFPDDQNGSRILMTTRWAEVASHAGPVPPYALPFLTKEESWELLSKKVFRGEECPSDLESLGKLIAESCDGLPLALIVMAGILGNKKSPRDWSRIKDHVNWHLGRDNTLKDILKLSYDSLPARLKPCFLYFGMYPEDYRIPVKQLIQLWISEGLLTQETSVSQDIPEPEYIAEEYLDELVDRSLIQVVSRTNDGGVKTCRIHDLLRDLCISESREDKFFEVCGEIDFQNLNSCPRKLSLQGTLFHFSSSIVSDYTISATRSLLCFGQEVYKVKANHWRWLLKSFRLARVLDLGRMNVNSIPTDLEKLIHLRYLRIHSHNLETIPPSICRLWNLETLDLRGSPIKSFSGELWQLKQLRHLLLFGPVGLPEMPSESKTMPNLQTLSTVALDPRTTSLLDSRRFPGMTKLGIHYERRDKCNARIQLQSLHRLSHLRKLKVIGTTEIPQNANMFPSNITKISLTKFGFFNSTVMHMLGKLPNLQVLKLSSQTNDTRFDLHCATGGFLQLQVFEMVAIKVKVWRVDRGSMPRVRRLVVRSCKSLTQLPKEVWSLNTLREVQVLWPCTELAKGLQNLVMNNA >Vigun05g015400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1238531:1242400:1 gene:Vigun05g015400.v1.2 transcript:Vigun05g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVVFLIDKLTRLLVEEAKLLAGVRDQVASLQSELRFMNLFLRNSQGKRKEHDMVAELVSQIRDVAHEAEDVIDTYVAGVIKQSRRNVIGKVGFRGVDHALMLHQVAGKVDGIKARIKEIFDNKQRYGIEDGKRGGEEEAERIRKQRREVEEEEVVGFALDSKVVIEKLTVSDSRLKVVSIVGMGGLGKTTLARKVYNSNRVKNMFPCRAWGYVSNDYRPREFFLSLLKCLLSTSKYSGLFKKREETSVSDEELKMKVRECLNRSKYLVVVDDVWQKQVWNEVKGAFPDDQNGSRILMTTRWAEVASHAGPVPPYALPFLTKEESWELLSKKVFRGEECPSDLESLGKLIAESCDGLPLALIVMAGILGNKKSPRDWSRIKDHVNWHLGRDNTLKDILKLSYDSLPARLKPCFLYFGMYPEDYRIPVKQLIQLWISEGLLTQETSVSQDIPEPEYIAEEYLDELVDRSLIQVVSRTNDGGVKTCRIHDLLRDLCISESREDKFFEVCGEIDFQNLNSCPRKLSLQGTLFHFSSSIVSDYTISATRSLLCFGQEVYKVKANHWRWLLKSFRLARVLDLGRMNVNSIPTDLEKLIHLRYLRIHSHNLETIPPSICRLWNLETLDLRGSPIKSFSGELWQLKQLRHLLLFGPVGLPEMPSESKTMPNLQTLSTVALDPRTTSLLDSRRFPGMTKLGIHYERRDKCNARIQLQSLHRLSHLRKLKVIGTTEIPQNANMFPSNITKISLTKFGFFNSTVMHMLGKLPNLQVLKLSSQTNDTRFDLHCATGGFLQLQVFEMVAIKVKVWRVDRGSMPRVRRLVVRSCKSLTQLPKEVWSLNTLREVQVLWPCTELAKGLQNLVMNNACKLVVYPLSANDELDFLEING >Vigun05g015400.3.v1.2 pep primary_assembly:ASM411807v1:5:1238531:1242400:1 gene:Vigun05g015400.v1.2 transcript:Vigun05g015400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVVFLIDKLTRLLVEEAKLLAGVRDQVASLQSELRFMNLFLRNSQGKRKEHDMVAELVSQIRDVAHEAEDVIDTYVAGVIKQSRRNVIGKVGFRGVDHALMLHQVAGKVDGIKARIKEIFDNKQRYGIEDGKRGGEEEAERIRKQRREVEEEEVVGFALDSKVVIEKLTVSDSRLKVVSIVGMGGLGKTTLARKVYNSNRVKNMFPCRAWGYVSNDYRPREFFLSLLKCLLSTSKYSGLFKKREETSVSDEELKMKVRECLNRSKYLVVVDDVWQKQVWNEVKGAFPDDQNGSRILMTTRWAEVASHAGPVPPYALPFLTKEESWELLSKKVFRGEECPSDLESLGKLIAESCDGLPLALIVMAGILGNKKSPRDWSRIKDHVNWHLGRDNTLKDILKLSYDSLPARLKPCFLYFGMYPEDYRIPVKQLIQLWISEGLLTQETSVSQDIPEPEYIAEEYLDELVDRSLIQVVSRTNDGGVKTCRIHDLLRDLCISESREDKFFEVCGEIDFQNLNSCPRKLSLQGTLFHFSSSIVSDYTISATRSLLCFGQEVYKVKANHWRWLLKSFRLARVLDLGRMNVNSIPTDLEKLIHLRYLRIHSHNLETIPPSICRLWNLETLDLRGSPIKSFSGELWQLKQLRHLLLFGPVGLPEMPSESKTMPNLQTLSTVALDPRTTSLLDSRRFPGMTKLGIHYERRDKCNARIQLQSLHRLSHLRKLKVIGTTEIPQNANMFPSNITKISLTKFGFFNSTVMHMLGKLPNLQVLKLSSQTNDTRFDLHCATGGFLQLQVFEMVAIKVKVWRVDRGSMPRVRRLVVRSCKSLTQLPKEVWSLNTLREVQVLWPCTELAKGLQNLVMNNA >Vigun04g070166.1.v1.2 pep primary_assembly:ASM411807v1:4:8138223:8138977:-1 gene:Vigun04g070166.v1.2 transcript:Vigun04g070166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSIMLTGNEETAAGASDQTHRQIFDHYASHSLIPDHFLHAPTHSAVTTAAAATVDQQPPPPPPVLRPTRLPRHVLRLLPPPPSMLSLDPLPHVNAANCGPGPGPGPGGLLLVPKSEDVGRPMDFVGSRIGLNLGGRTYFSSSEDDFVSRLYRRSRPAESGSAASSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRLWWWRLSLPSPSEN >Vigun03g164200.1.v1.2 pep primary_assembly:ASM411807v1:3:18570568:18573425:1 gene:Vigun03g164200.v1.2 transcript:Vigun03g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSVWGNAPLVMVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALTAFHLDAQSWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYDRLEEKALDFRPKMIICGGSAYPRDWDYKRFRAIADMCGALLLCDMAHISGLVAAQEANNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAIYEFEDKINFAVFPSLQGGPHNHQIGALAVALKQATMPGFKAYAKQVKANAVALGKFLMGKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTLTLDIQKEHGKLLKDFSKGLVNNKAIEDLKLDVEKFSATYGMPGFLVSEMKYKN >Vigun07g085350.1.v1.2 pep primary_assembly:ASM411807v1:7:12814546:12819157:-1 gene:Vigun07g085350.v1.2 transcript:Vigun07g085350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSDGAWKRVTYNVAAIVLSNNFKVQVTWENVKNRIKLWRSWYGVVSDILGRSGFDWDGIKHMITVGDENVWNEYVNSHKEARNFRFKANPNWDDILYLCAKNKVIGHGAETAKDVDEVMSREATIDLEEPNSNLKRKAQSTSSSTNTQSQRGKLKEKELMTASMKDVAESFKQLAHVYGEKVDENEIKEVLDEVRLMPNLTKEQ >Vigun02g027600.1.v1.2 pep primary_assembly:ASM411807v1:2:9478729:9482190:1 gene:Vigun02g027600.v1.2 transcript:Vigun02g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWEPSQGSLNKFHGQHALRERARKLDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFSMKSACCKHEIVIQTDPKNCEYVIISGAQKKTEDFDIEDAETFELPADEERGKLADPFYRLEHQEEDLKKKKESEPVLVRLQRQSDNRHSDDYALNKTLRARLRSQKKRVAEEENASKKIGLGIRLLPATEEDSATAKRVKFSTKFEKNRKDKRALINAESIFSGVSSYSISDKRKQELESKRRKICATSASSLIAGGFKPSSWSHAAMISGKKKGASMTVRR >Vigun08g147550.1.v1.2 pep primary_assembly:ASM411807v1:8:32035410:32036097:1 gene:Vigun08g147550.v1.2 transcript:Vigun08g147550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHTEKYIEVPTQILNQMSMREEPNLSIYGQFDEGD >Vigun04g146500.1.v1.2 pep primary_assembly:ASM411807v1:4:36178791:36182162:1 gene:Vigun04g146500.v1.2 transcript:Vigun04g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYQFPCCDLDFWGYFMVCLLLVSLAGIASGLALGLLSFSLVDLEVLIKAGLPKDRKNAERIRPFVKNGHFVLCTLLLGKSLAMEALPIFMDSIIPSWFTILMSAPLVTVFAEILPQAICSRHGLTLGAKMAPIVQLLLLIFFPITYPASKVLDWALGKEHSVLLRRSELKTFVDLHANEAGKGGELSHHETSIITGAIDLTQKTAKDAMTPISQTFSLDINSKLDMHTMTQIMSKGHSRIPIHSGHPRSIIGLILVKNLIFCRPEDETAIKNLIIRKIPRVYESWPLYEILNQFQKGHSHMAIVLKSNKDSENTTTHAVGAPTYFNIITHKTSNSAHAEAVAESDSSFVLEMSQRSSAHESCLNSSDAEFHSPTLRNVMELDCEASQENEYFSQEQIDSIQDFIDDEVIGIITMEDVMEELLQGDILDETDEYVHVQKNIRINLLHSLKSQSRSSWRASGSNHR >Vigun07g139800.2.v1.2 pep primary_assembly:ASM411807v1:7:25001218:25022802:1 gene:Vigun07g139800.v1.2 transcript:Vigun07g139800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRDVEIGRVAQINYGKVYGRLVVIVDVIDQNRVRMKNLPCWLFLLGQKAWKCLVKAVLVSYNLLVFAVLKQSFLLAVVPSSSQVCNHTETEGEKFEICIHSHDKKSL >Vigun06g002100.1.v1.2 pep primary_assembly:ASM411807v1:6:1062079:1067494:1 gene:Vigun06g002100.v1.2 transcript:Vigun06g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRKESNHAPDQGLLVATKQATISAVEPLPYGTSRVSFTSPDNAWGLLMMWSCNVPRSWGVEDLRKIVSEVGPGVTGVELVKDMKNTNNNRGFAFIDYYNSVCAEYSKLKMMSPTFKLGENAPTVSWADPKNVDSSAASQCCSHPYLVGPELQPSLNKGLEPSQYLDFDLKASGKLQLLDSMLEELRKSDLRVLILFQQYNKKFGQEKNRIGFVHFAERSNAMKALKDMN >Vigun07g055000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5964305:5964778:-1 gene:Vigun07g055000.v1.2 transcript:Vigun07g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSNPPSLSDKWALLLQSAIKSRNPLLGRCIHAPIIKQGLCLGVFLMNNLLNFYAKTGFFSDAHCLFHEMPLKTTFSWNTILSMHVKGGHLDSARQLFDEIPHPDSVSWTTMIVGYNHLGLFNSAINTFSAWFPLEFRLPNSHLPMLLPHVLQRRP >Vigun07g185301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30227471:30230541:1 gene:Vigun07g185301.v1.2 transcript:Vigun07g185301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRAMFPLSIILFSLLLQSLVMAAIEPNSAAKDNSFECIEMERKALLKFKENLNDPFHCLSSWVGSDCCKWVGVRCNKHAGNVIRLNLRGTDICSKATNNSATHTKRPLSGELNASLLDLKHLNYLDLSHNDFEDVQVPSFLGSLGMLRYLNLSHSSFAGKVPSQLGNLSHLQYLSLSTPSSSLSASDLSWLSGLTSLQYLDMSGVNLSRASSSWLQSINRVPSLSFLRLSDCELSGLPQTLPFFNLTSLLVLDLSFNRVDSLIPGWLSNISTLIELDLRGARLKGHISDVSWGNFCKLHRLDLSSNPEVVGDVEGLVEALTMCTNSTIESLNFAFNELTGNLPTSLGYLKNLRTIRLNGNKLSGSIPSSIGNLSYLKLLDLSENIMNGTIASNIGQLTELLTLDLDGNFWEGTLTENHFNNLTHLSEFYVSSKMGSLSFNIPQNWAPSFNVVYLKIHDCQLGPEFPSWLKPQSKLKTIVLKNTGISDTVPTWFWDFSPQILSMDLSYNQLKGSLPKSLHFGDLAQVNLGFNAFEGSIPVWSGVTYLSLSNNFLSGSIPSNIGQEMSQLEGLDLSGNFISGSIPSSMSLLSDLTSLDLSNNSLSGKIPLYGEGHKLTLFTIDLSGNNLSGEIPAWMCTTPSLRIVQLSNNNLSGEISSVLPNCPHLYTIDLGDNAFFGSIPKWLGNNRTWVNELRLQGNALTGKIPESLCHLSYLHVLDLSHNNLSGHIPPCFGNMTGFKAPSDHYFGKTASLDNPVVYSEHMDLYVKGRSMEYTSQMHVINLIDLSSNHLSGEIPQSLTELSYLVSLNLSWNQLTGGISSNIGALHQLESLDLSNNHLSGPIPPNMASLTFLGHLNLSYNNLSGEIPTTNQFHTLIDPSIYEGNPHLCGTPLSAKCSEHVDETTTGNDTDEDQDSYHNKLWLCLSIGFGYIVGFCAVCGSLVLKKSWRHAYFQFVDSVKDWILLVTVMNWVRIKRKLNLEKD >Vigun04g014650.1.v1.2 pep primary_assembly:ASM411807v1:4:1055259:1056159:1 gene:Vigun04g014650.v1.2 transcript:Vigun04g014650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINAAGFFRLRGSLPFPSHLQLGTHATINTKTTSCKFTNGGGFSETVEVSYSVEGSRCKLILGRVVCSNGNVNIASFVLNDSIICSEKRNLCLPIHKIETGFLCPFDSESEGPITWKTKKNVHAWDGYGHKSLLGKKNDYHERVAKTVTIAHYFVSSNGSAAVNRTSETTDIGFSVVAKIELCDGEFDITVEGPDQHPVSALLYMFNQVSRRGIWKPSMCPHCANVRREHSRMFGESESEDSDSVPLPPRLGGQRNAATIANDGRFTGHANGSFIRCRNFYGFN >Vigun01g220900.1.v1.2 pep primary_assembly:ASM411807v1:1:39453535:39458295:-1 gene:Vigun01g220900.v1.2 transcript:Vigun01g220900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLRTSFSLKIAVFFFIAVTFFYFGKHWSDGYNQLVFFSTQHSDPDSNTSPVVTTSPNYAKSFNLSALIDQNITQAVPENVPPPPVPEVPIEQTGIVNNNGTMSNEFEVGDFEPGMVDQWVNESQVEREGSSSDSELARKKFGLCPLEMREYIPCLDNEDAIRKLPSTEKGERFERHCPEQGQGLNCLVPAPTGYRTPIPWPRSRDEVWFNNVPHTRLVEDKGGQNWISRDKDKFKFPGGGTQFIHGANEYLDHISKMIPEITFGRHIRVVLDVGCGVASFGAYLLSRNVVTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDMIHCSRCRVNWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEILEEQWEVMLNLTTRLCWNFLKKDGYIAVWQKPSDNICYTNREAGSTPPLCDPSDDPDSVWYVDLKACISELPENGYGANVTEWPARLQALPDRLQSIQLNVFSSRTELFKAESTYWKAIIASYVRALHWKKIRLRNIMDMRAGFGGFAAALIEQNFESWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEAFDTYPRTYDLLHAANLLSLERKRCNVSSIMLEMDRILRPGGHVYIRDSLEIMDELQEIGKAIGWHVMLRDTEEGPHASTMVLVEHGKNWYWRGAGRCKCKYY >Vigun01g220900.3.v1.2 pep primary_assembly:ASM411807v1:1:39452809:39458295:-1 gene:Vigun01g220900.v1.2 transcript:Vigun01g220900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLRTSFSLKIAVFFFIAVTFFYFGKHWSDGYNQLVFFSTQHSDPDSNTSPVVTTSPNYAKSFNLSALIDQNITQAVPENVPPPPVPEVPIEQTGIVNNNGTMSNEFEVGDFEPGMVDQWVNESQVEREGSSSDSELARKKFGLCPLEMREYIPCLDNEDAIRKLPSTEKGERFERHCPEQGQGLNCLVPAPTGYRTPIPWPRSRDEVWFNNVPHTRLVEDKGGQNWISRDKDKFKFPGGGTQFIHGANEYLDHISKMIPEITFGRHIRVVLDVGCGVASFGAYLLSRNVVTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDMIHCSRCRVNWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEILEEQWEVMLNLTTRLCWNFLKKDGYIAVWQKPSDNICYTNREAGSTPPLCDPSDDPDSVWYVDLKACISELPENGYGANVTEWPARLQALPDRLQSIQLNVFSSRTELFKAESTYWKAIIASYVRALHWKKIRLRNIMDMRAGFGGFAAALIEQNFESWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEAFDTYPRTYDLLHAANLLSLERKRCNVSSIMLEMDRILRPGGHVYIRDSLEIMDELQEIGKAIGWHVMLRDTEEGPHASTMVLVGEKHLLRS >Vigun01g220900.2.v1.2 pep primary_assembly:ASM411807v1:1:39453413:39458330:-1 gene:Vigun01g220900.v1.2 transcript:Vigun01g220900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDFLRTSFSLKIAVFFFIAVTFFYFGKHWSDGYNQLVFFSTQHSDPDSNTSPVVTTSPNYAKSFNLSALIDQNITQAVPENVPPPPVPEVPIEQTGIVNNNGTMSNEFEVGDFEPGMVDQWVNESQVEREGSSSDSELARKKFGLCPLEMREYIPCLDNEDAIRKLPSTEKGERFERHCPEQGQGLNCLVPAPTGYRTPIPWPRSRDEVWFNNVPHTRLVEDKGGQNWISRDKDKFKFPGGGTQFIHGANEYLDHISKMIPEITFGRHIRVVLDVGCGVASFGAYLLSRNVVTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDMIHCSRCRVNWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEILEEQWEVMLNLTTRLCWNFLKKDGYIAVWQKPSDNICYTNREAGSTPPLCDPSDDPDSVWYVDLKACISELPENGYGANVTEWPARLQALPDRLQSIQLNVFSSRTELFKAESTYWKAIIASYVRALHWKKIRLRNIMDMRAGFGGFAAALIEQNFESWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEAFDTYPRTYDLLHAANLLSLERKRCNVSSIMLEMDRILRPGGHVYIRDSLEIMDELQEIGKAIGWHVMLRDTEEGPHASTMVLVGEKHLLRS >Vigun09g150300.1.v1.2 pep primary_assembly:ASM411807v1:9:31427731:31439173:1 gene:Vigun09g150300.v1.2 transcript:Vigun09g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRNLKSQMPNLKRVKLGDSVGEDDDCSNARKKRKTNGYYPLNLLGDVIPVSFHGLLGASVSEKGFSATWCTQASCNGVESNAKNNVVVEAKKKSEVQRPPLVRTSRGRVQVLPSRFNDSVIDNWRKESKSSSGLRDGDYDDEFECKKEKLSFRAPKVCSNQKKGKNEEKTGSKARKYSALCKSYERSKCSSLPGGGALALKHGGLVVEEDERGRFLEVEGVGLMGLKENSGERRSGLFGPEDFYAGDIVWAKAGRKEPFWPAIVIDPMTQAPELVLRSCIADAACVMFLGYAGNENQRDYAWVKHGSIFPFMDYVDRFQGQSELSFYNPSDFQMAIEEAFLAERGFTEKLIADINTAATSNGYDDSILKAVQEVTRSNHYAGYRFLNQDLFDKKETRPCEACGLNLPYKMLKKTRDSRAGGQFLCRTCARLTKSKHYCGICKKVWNHSDSGSWVRCDGCKVWVHAECDKISSNLFKNLEGTDYYCPTCKAKFDFELSDSEKPHAKVKWNKNNGQLVLPNRVTVLCNGVEGVYFPSLHSVVCKCGFCGAEKQALSEWERHTGSKSRNWRTSIRVKDSMLPLEQWMLQLAEFHAIAQVPAKPKKPSLKERKQKLLTFLQEKYEPVYAKWTTERCAVCRWVEDWDYNKIIICIRCQIAVHQECYGARNVRDFTSWVCKACETPHIKRECCLCPVKGGALKPTDVDTLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYFHAMCASRAGYRMELHCLEKNGRQTTKMVSYCAYHRAPNPDTVLIMQTPLGVISTKSLLQTKKKTGSRLISSNRRKQDVPPIDNTEHEPFSAARCRIFQRINHTKKRAADEAVSHQVRGHYHHPLDAIQSLNAPRVVPEPQAFSSFRERLYYLQRTENERVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTIVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >Vigun05g296600.2.v1.2 pep primary_assembly:ASM411807v1:5:48143153:48146495:1 gene:Vigun05g296600.v1.2 transcript:Vigun05g296600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFPLSRGTISGILNGYGGISAEVYTLIYSLVLKGSASKLLLLLTVGLPAVCLVMMFFIRPCTPSGEDSSVHVHFVFVQVASVLLASYLVITTIVSDVVSINDAVTFILVAIMVLLLIAPLAIPIKMTLYPANSKCNVSLVGSSDNLASESDISTQTSPLLTPSSSAAILESFRESGDVSDVEMLIAEGQGAVVQKRRPKRGDDFKFREAFIKADFWLCWFAYFLGAGSGVTVLNNLAQIGVALGVEDTTILLSVFSFCIFIGRLGAGVVSEYFVRLIALPRTFWMTCSQIIMIVAFLFYASALNGTLYAATALLGICYGVQCSIMAPTVSEIFGLKHFGVISSFMMLGNPIGALIFSVFLAGNVYDTEAAKQGNSTCYGADCFRFTFLVLAGVCGLGSILSMILTIRMRPVYKMLYAGGSFRLSQTSSH >Vigun05g296600.3.v1.2 pep primary_assembly:ASM411807v1:5:48143152:48146495:1 gene:Vigun05g296600.v1.2 transcript:Vigun05g296600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYDVLHSALHSFWGRLFSACAFCFCPSCKCFTRLLSCYHHNYNLASESDISTQTSPLLTPSSSAAILESFRESGDVSDVEMLIAEGQGAVVQKRRPKRGDDFKFREAFIKADFWLCWFAYFLGAGSGVTVLNNLAQIGVALGVEDTTILLSVFSFCIFIGRLGAGVVSEYFVRLIALPRTFWMTCSQIIMIVAFLFYASALNGTLYAATALLGICYGVQCSIMAPTVSEIFGLKHFGVISSFMMLGNPIGALIFSVFLAGNVYDTEAAKQGNSTCYGADCFRFTFLVLAGVCGLGSILSMILTIRMRPVYKMLYAGGSFRLSQTSSH >Vigun05g296600.4.v1.2 pep primary_assembly:ASM411807v1:5:48143152:48146495:1 gene:Vigun05g296600.v1.2 transcript:Vigun05g296600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYDVLHSALHSFWGRLFSACAFCFCPSCKCFTRLLSCYHHNYNLASESDISTQTSPLLTPSSSAAILESFRESGDVSDVEMLIAEGQGAVVQKRRPKRGDDFKFREAFIKADFWLCWFAYFLGAGSGVTVLNNLAQIGVALGVEDTTILLSVFSFCIFIGRLGAGVVSEYFVRLIALPRTFWMTCSQIIMIVAFLFYASALNGTLYAATALLGICYGVQCSIMAPTVSEIFGLKHFGVISSFMMLGNPIGALIFSVFLAGNVYDTEAAKQGNSTCYGADCFRFTFLVLAGVCGLGSILSMILTIRMRPVYKMLYAGGSFRLSQTSSH >Vigun05g296600.6.v1.2 pep primary_assembly:ASM411807v1:5:48143152:48146495:1 gene:Vigun05g296600.v1.2 transcript:Vigun05g296600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVVKGGSRPPWVGLAAAVWIQIAVGNPFNFPLYSFALKSVLSLNQQQITILGVANDVGESMALLPGIASNNFPPWTLLLLGSLLCFFGYGLIFLTVTQTVSQLPYILICLALCVAANSSAWFGTAVFVTNMRNFPLSRGTISGILNGYGGISAEVYTLIYSLVLKGSASKLLLLLTVGLPAVCLVMMFFIRPCTPSGEDSSVHVHFVFVQVASVLLASYLVITTIVSDVVSINDAVTFILVAIMVLLLIAPLAIPIKMTLYPANSKCNVSLVGSSDNLASESDISTQTSPLLTPSSSAAILESFRESGDVSDVEMLIAEGQGAVVQKRRPKRGDDFKFREAFIKADFWLCWFAYFLGAGSGVTVLNNLAQIGVALGVEDTTILLSVFSFCIFIGRLGAGVVSEYFVR >Vigun05g296600.1.v1.2 pep primary_assembly:ASM411807v1:5:48143153:48146495:1 gene:Vigun05g296600.v1.2 transcript:Vigun05g296600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVVKGGSRPPWVGLAAAVWIQIAVGNPFNFPLYSFALKSVLSLNQQQITILGVANDVGESMALLPGIASNNFPPWTLLLLGSLLCFFGYGLIFLTVTQTVSQLPYILICLALCVAANSSAWFGTAVFVTNMRNFPLSRGTISGILNGYGGISAEVYTLIYSLVLKGSASKLLLLLTVGLPAVCLVMMFFIRPCTPSGEDSSVHVHFVFVQVASVLLASYLVITTIVSDVVSINDAVTFILVAIMVLLLIAPLAIPIKMTLYPANSKCNVSLVGSSDNLASESDISTQTSPLLTPSSSAAILESFRESGDVSDVEMLIAEGQGAVVQKRRPKRGDDFKFREAFIKADFWLCWFAYFLGAGSGVTVLNNLAQIGVALGVEDTTILLSVFSFCIFIGRLGAGVVSEYFVRLIALPRTFWMTCSQIIMIVAFLFYASALNGTLYAATALLGICYGVQCSIMAPTVSEIFGLKHFGVISSFMMLGNPIGALIFSVFLAGNVYDTEAAKQGNSTCYGADCFRFTFLVLAGVCGLGSILSMILTIRMRPVYKMLYAGGSFRLSQTSSH >Vigun08g145300.2.v1.2 pep primary_assembly:ASM411807v1:8:31841088:31846384:1 gene:Vigun08g145300.v1.2 transcript:Vigun08g145300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKFATMLHRNTNKMVVILVYAVLEWVLIALLLLNSLFSYLITKFAKWVGLQPPCVWCSRVDHVLQQENGAHVRKDLVCEAHAAEISKLGYCSNHQRLAETNSMCEDCLASRPNYHENSFRMRHRIAFISWVSSGQGKHESGESLIRRCSCCNESLSSELYPPYLLLKPSWGDEKYTSKGSLIVEEAIDDEKSDKDLDFERNNEVGHDDEGVADEHQILSDIESFILREVAEDRSSSVSNLHSDEKDAEKDEKEDDLTITELDPSGDDNFASQFSSTVQGSVWEDTSLELINLHFENYMACDSHRLVPVKLIDSVTSLNFETCKLKEDLGEREQKTQTQTFVDDSPVEAQSSILEREGLLTLDEINAEEKSVREVESLENSVTWEFEGLKLKPNSEITTTEAQTSLNNDNSVAAATEELDDTQVDLPRSQEPVCSSDCTQEDESSSSEDDGEIQNAFDEFISQNHLSEAQSLSNDDNSVEASMQGPESPPGVKLPPSQEPACSVQCISEDESSSSSDDDIEVQNAFDAFIAQNHLIQPQSLSNDYKSIEADMEEQENTRVFAHTANHPPSEEPASSSQCIPEEDQSTTREDNPEAPNAFDEFITQNNPCPDKTGANDNENTKMNEKTTAVEKNHEETNNESSKCSEQYEVEEDKLPETPRSVDGLQYLLKREPVPDDSMDGIVASEVECGDPASTIDRLKTVIKAERRALTAVYQELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREKEKQELERELEEYRQKVVEYEAKEKLRVLRRMKDGSVRSRDSSSSCSNMNYSDDVSIDLNREVRDEDNNVLLSSHNNASEETVSNLEEMALDCVKHVSNLDETLAEFEEERASILEQLKALEEKIISLGDNEELLDDIKLIEHSSILHGGDRDLSENGYLNGFSDDKHSPIGSLAKKLLPYLDAAENETEEEAYTVEREMESESSDLRNSVTVLEMDSMKTSIEEEVDRVYERLQALETDKEFLQHCMGSIQNGGEKGVDLLQEILQHLRDLKDVELRLKTLGNDPL >Vigun08g145300.1.v1.2 pep primary_assembly:ASM411807v1:8:31841049:31846436:1 gene:Vigun08g145300.v1.2 transcript:Vigun08g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKFATMLHRNTNKMVVILVYAVLEWVLIALLLLNSLFSYLITKFAKWVGLQPPCVWCSRVDHVLQQENGAHVRKDLVCEAHAAEISKLGYCSNHQRLAETNSMCEDCLASRPNYHENSFRMRHRIAFISWVSSGQGKHESGESLIRRCSCCNESLSSELYPPYLLLKPSWGDEKYTSKGSLIVEEAIDDEKSDKDLDFERNNEVGHDDEGVADEHQILSDIESFILREVAEDRSSSVSNLHSDEKDAEKDEKEDDLTITELDPSGDDNFASQFSSTVQGSVWEDTSLELINLHFENYMACDSHRLVPVKLIDSVTSLNFETCKLKEDLGEREQKTQTQTFVDDSPVEAQSSILEREGLLTLDEINAEEKSVREVESLENSVTWEFEGLKLKPNSEITTTEAQTSLNNDNSVAAATEELDDTQVDLPRSQEPVCSSDCTQEDESSSSEDDGEIQNAFDEFISQNHLSEAQSLSNDDNSVEASMQGPESPPGVKLPPSQEPACSVQCISEDESSSSSDDDIEVQNAFDAFIAQNHLIQPQSLSNDYKSIEADMEEQENTRANHPPSEEPASSSQCIPEEDQSTTREDNPEAPNAFDEFITQNNPCPDKTGANDNENTKMNEKTTAVEKNHEETNNESSKCSEQYEVEEDKLPETPRSVDGLQYLLKREPVPDDSMDGIVASEVECGDPASTIDRLKTVIKAERRALTAVYQELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREKEKQELERELEEYRQKVVEYEAKEKLRVLRRMKDGSVRSRDSSSSCSNMNYSDDVSIDLNREVRDEDNNVLLSSHNNASEETVSNLEEMALDCVKHVSNLDETLAEFEEERASILEQLKALEEKIISLGDNEELLDDIKLIEHSSILHGGDRDLSENGYLNGFSDDKHSPIGSLAKKLLPYLDAAENETEEEAYTVEREMESESSDLRNSVTVLEMDSMKTSIEEEVDRVYERLQALETDKEFLQHCMGSIQNGGEKGVDLLQEILQHLRDLKDVELRLKTLGNDPL >Vigun10g184300.3.v1.2 pep primary_assembly:ASM411807v1:10:40097583:40103041:-1 gene:Vigun10g184300.v1.2 transcript:Vigun10g184300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNSSEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLGKSRETSIFFGAVSTANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAVDFFMPPICSPIVRPGRPAEASPVVSKQLSDTISSSRMIDLKELSLVSGKAAWMTYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLVSDEDGQNQAQEPVNKEKRKLTLRSIPFSLTCILHKNYILADPTAEEESIVETHLTIVLDTSGQLISLYKPGGPVLAYTSAIQDCVALTRQRVKELKGLLDKENSAMEV >Vigun10g184300.2.v1.2 pep primary_assembly:ASM411807v1:10:40097555:40103049:-1 gene:Vigun10g184300.v1.2 transcript:Vigun10g184300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNSSEDLSSEMEVDAFRRLFPLRYFERHLAESIRPDGRPLGKSRETSIFFGAVSTANGSALVKIGSTTMLTAIKMEVMTPSLESPDEGCLAVDFFMPPICSPIVRPGRPAEASPVVSKQLSDTISSSRMIDLKELSLVSGKAAWMTYLDIYCLDADGALFDAALLSAVAALSHLQIPAVAMNDDGKIVLVSDEDGQNQAQEPVNKEKRKLTLRSIPFSLTCILHKNYILADPTAEEESIVETHLTIVLDTSGQLISLYKPGGPVLAYTSAIQDCVALTRQRVKELKGLLDKENSAMEV >Vigun10g067300.1.v1.2 pep primary_assembly:ASM411807v1:10:15673403:15676337:-1 gene:Vigun10g067300.v1.2 transcript:Vigun10g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESNKVFTLSEVSQHNNAKDCWLVIHGKVYNVTKFLEDHPGGDDVLLSSTGKDASNDFDDIGHSTSAVAMMDEFYVGDIDSSSIPSKVAYTPPNQPKYNQDKTPEFIIRILQFLVPLFILGLAAGIHFYTKSK >Vigun11g003000.2.v1.2 pep primary_assembly:ASM411807v1:11:285074:292418:1 gene:Vigun11g003000.v1.2 transcript:Vigun11g003000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHGVTLINRLARRSSCGANNSVYQAVFQRGYRTLNSGPFNPSRVTVGGGVNLKKWLLLGSANKYWEASRLIHGSAFLARDYYDILGVSKNASSSEIKKAYYGLAKRLHPDTNKDDPEAEKKFQEVSIAYEVLKDEERRQQYDQLGHDAYVNQQSTGFGGGEGGFNPFEQIFRDHDFVKSFFHQNIGGEDVKTFVELSFMEAVQGCTKTITFETDMLCNSCGGSGVPPGTRPETCKRCKGSGVLFVQAGIFRMESTCGTCKGTGKIVSNFCKSCRGQKVVKGTKSVKLDIMPGIDTNETIKVFGGGGADPDRDHHGDLYVTIKVREDPVFRREGSDIHVDAVLSITQAILGGTIQVPTLTGEVVLKVRPGTQPGQKVVLKKKGIKTKNSYTFGDQYVHFNVNIPTNLTQRQRELIEEFAKEEQEEFDKRRSASASG >Vigun11g003000.1.v1.2 pep primary_assembly:ASM411807v1:11:285074:292418:1 gene:Vigun11g003000.v1.2 transcript:Vigun11g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHGVTLINRLARRSSCGANNSVYQAVFQRGYRTLNSGPFNPSRVTGTFASNVGGGVNLKKWLLLGSANKYWEASRLIHGSAFLARDYYDILGVSKNASSSEIKKAYYGLAKRLHPDTNKDDPEAEKKFQEVSIAYEVLKDEERRQQYDQLGHDAYVNQQSTGFGGGEGGFNPFEQIFRDHDFVKSFFHQNIGGEDVKTFVELSFMEAVQGCTKTITFETDMLCNSCGGSGVPPGTRPETCKRCKGSGVLFVQAGIFRMESTCGTCKGTGKIVSNFCKSCRGQKVVKGTKSVKLDIMPGIDTNETIKVFGGGGADPDRDHHGDLYVTIKVREDPVFRREGSDIHVDAVLSITQAILGGTIQVPTLTGEVVLKVRPGTQPGQKVVLKKKGIKTKNSYTFGDQYVHFNVNIPTNLTQRQRELIEEFAKEEQEEFDKRRSASASG >Vigun09g008000.2.v1.2 pep primary_assembly:ASM411807v1:9:619717:623857:1 gene:Vigun09g008000.v1.2 transcript:Vigun09g008000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGGQAGLTYWSPNVNIFRDPRWGRGQETPGEDPTLAAKYAASYVQGLQGDGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYDVPFKACVLEGHVASVMCSYNQVNGKPTCADPDLLRNTIRGQWGLNGYIVSDCDSVGVFYDNQHYTRTPEEAAAEAIKAGLDLDCGPFLAIHTDAAIRKGLISENDLNLALANLITVQMRLGMFDGEPSAQPYGNLGPRDVCTPAHQNLALQAARESIVLLQNKRNSLPLSPARIRTVGVIGPNSDATVTMIGNYAGVACGYTSPLQGIARYVKTSHQVGCRNVACRGNELFGAAETVAKQVDATVLVMGLDQSIEAETRDRLGLLLPGLQQELVARVARAARGPVILVIMSGGPVDVKFAVNDPKISAILWVGYPGQAGGTAIADVIFGQTNPGGRLPMTWYPEGYLSKVPMTIMDMRPNPATGYPGRTYRFYKGPVVFPFGHGLGYSRFSHSLAMAPKEVSVPLATLRALTNSSLSSEAVRVSHAICDETSEMEFHVDVKNEGSMDGTHTLLVFSKPPPGKWSQIKQLVNFEKTHVPAGSKQRVKVGVHVCKHLSVVDQFGIRRIPSGQHELHIGDLKHSISVQTVQQIKN >Vigun09g008000.1.v1.2 pep primary_assembly:ASM411807v1:9:617936:623857:1 gene:Vigun09g008000.v1.2 transcript:Vigun09g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNHKKLLPILLVLLCVALSFPRPTQGRVPFACDPSNGLTRGFKFCNTHVPIHVRVQDLIARLTLPEKIRLVVNNAIAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATMFPQVITTAASFNQSLWRQIGRVVSDEARAMYNGGQAGLTYWSPNVNIFRDPRWGRGQETPGEDPTLAAKYAASYVQGLQGDGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYDVPFKACVLEGHVASVMCSYNQVNGKPTCADPDLLRNTIRGQWGLNGYIVSDCDSVGVFYDNQHYTRTPEEAAAEAIKAGLDLDCGPFLAIHTDAAIRKGLISENDLNLALANLITVQMRLGMFDGEPSAQPYGNLGPRDVCTPAHQNLALQAARESIVLLQNKRNSLPLSPARIRTVGVIGPNSDATVTMIGNYAGVACGYTSPLQGIARYVKTSHQVGCRNVACRGNELFGAAETVAKQVDATVLVMGLDQSIEAETRDRLGLLLPGLQQELVARVARAARGPVILVIMSGGPVDVKFAVNDPKISAILWVGYPGQAGGTAIADVIFGQTNPGGRLPMTWYPEGYLSKVPMTIMDMRPNPATGYPGRTYRFYKGPVVFPFGHGLGYSRFSHSLAMAPKEVSVPLATLRALTNSSLSSEAVRVSHAICDETSEMEFHVDVKNEGSMDGTHTLLVFSKPPPGKWSQIKQLVNFEKTHVPAGSKQRVKVGVHVCKHLSVVDQFGIRRIPSGQHELHIGDLKHSISVQTVQQIKN >Vigun06g198800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31398300:31400372:1 gene:Vigun06g198800.v1.2 transcript:Vigun06g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKTTAVKVNTNANAAAAAGVKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFPPPSDSNVKNASPSQSSTVESSSRDRDVAADSSPLDLNLAPAAVSAARFPFQHQFPVFPAAAANQVLYFDAVIRAGLGGPRGFGFGYNQHPVAASEFHATTSDSDSSSVIDLNHSEGDVKGSGSRIFDLDLNNPPPQEMA >Vigun03g037200.1.v1.2 pep primary_assembly:ASM411807v1:3:2856957:2859491:1 gene:Vigun03g037200.v1.2 transcript:Vigun03g037200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEWSWEQNTLINELVQGMELARKLKEDLRMPYSVDSKDMLLQRILSSYEKALLILRCNASTSELQATSQATATLLPESPISVHGSPLSEDLDGAVKDHQEIKHDSKKRKITPKWMDHVRVSCESGLEGPQEDGYNWRKYGQKDILGAKYPRSYYRCTFRNTQGCWATKQVQRSDEDPTIFDITYRGKHTCSQGNNAVLPPKSPDKQEKTHSHNIDIHHAQASQENLTKFRNILSVNTDKLDNGDMAYPFTFPSTSSGCTKQYNHSLIPLTLENEYFLSDQYQTHLLSPTTPESNYLPSPTFQMNEFGAIYNKPYSDSDINEIISTNTSATNSPIPDFNFSLDPVEIDPNFPFNTPGFFS >Vigun01g097200.2.v1.2 pep primary_assembly:ASM411807v1:1:26341824:26343700:1 gene:Vigun01g097200.v1.2 transcript:Vigun01g097200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEVLQELHNLSHIESEESLNLILCTLWSTRNTGLPLSDKTRFQSLLRLSSLSQLDPVLACLRSLLRKCARYNLARHDHHHDVLKLFPPDLPQQLRTNLLSSLRRNSDRWKEDASLQFNGTPNVAPSLLWPRHDPASVAPLNRADSETASSCFQCDAVAPCDDLPSLPQIDDVDHGEPWIIIPCG >Vigun01g097200.1.v1.2 pep primary_assembly:ASM411807v1:1:26341824:26344405:1 gene:Vigun01g097200.v1.2 transcript:Vigun01g097200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEVLQELHNLSHIESEESLNLILCTLWSTRNTGLPLSDKTRFQSLLRLSSLSQLDPVLACLRSLLRKCARYNLARHDHHHDVLKLFPPDLPQQLRTNLLSSLRRNSDRWKEDASLQFNGTPNVAPSLLWPRHDPASVAPLNRADSETASSCFQCDAVAPCDDLESLPCLKSMTWTMENRGSSSPADRVAIISLKLHDYSKSPSGEKEVKFFLTRDTLEAMLRSLTYIREQLNTAETSSGPPNKKQKL >Vigun01g097200.3.v1.2 pep primary_assembly:ASM411807v1:1:26341824:26344405:1 gene:Vigun01g097200.v1.2 transcript:Vigun01g097200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEVLQELHNLSHIESEESLNLILCTLWSTRNTGLPLSDKTRFQSLLRLSSLSQLDPVLACLRSLLRKCARYNLARHDHHHDVLKLFPPDLPQQLRTNLLSSLRRNSDRWKEDASLQFNGTPNVAPSLLWPRHDPASVAPLNRADSETASSCFQCDAVAPCDDLPSLPQIDDVDHGEPWIIIPCG >Vigun09g072500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7995332:7998614:1 gene:Vigun09g072500.v1.2 transcript:Vigun09g072500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPTFLVSRDLPSSCEQETRWIYNSFCVMQLTNNKRGLELEEEAVLRKSCKLSDALEEGETKKNIQDLSLSLNQANDQNHATDQTDSTSLIYQLGRDISINCLLRCSRSDYGSIASLNQSFRSLIRTGELYRLRRQMGIIEHWVYFSCNLPEWEAFDPNTGRWMRLPRMPSNECFICSDKESLAVGTELLVFGKEIMSPVIYRYSILMNSWSSGMEMNVPRCLFGSASLGEIAILAGGCDPRGNILSSAELYNSETGTWELLPNMNKARKMCSGVFIDGKFYVIGGIGVGNSRQLTCGEEFDLQTRKWREIPNMFPRRNGGSEVTDVSAAAEAPPLVAVVNNVLYAADYALQEVRRYDKDNNSWVTIGRLPDRIVSMNGWGLAFRACGNRLIVIGGPRALDGRVIEINACVPGEGVPEWNLLASRQSGSFVYNCAVMGC >Vigun09g072500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:7995322:7998614:1 gene:Vigun09g072500.v1.2 transcript:Vigun09g072500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPTFLVSRDLPSSCEQETRWIYNSFCVMQLTNNKRGLELEEEAVLRKSCKLSDALEEGETKKNIQDLSLSLNQANDQNHATDQTDSTSLIYQLGRDISINCLLRCSRSDYGSIASLNQSFRSLIRTGELYRLRRQMGIIEHWVYFSCNLPEWEAFDPNTGRWMRLPRMPSNECFICSDKESLAVGTELLVFGKEIMSPVIYRYSILMNSWSSGMEMNVPRCLFGSASLGEIAILAGGCDPRGNILSSAELYNSETGTWELLPNMNKARKMCSGVFIDGKFYVIGGIGVGNSRQLTCGEEFDLQTRKWREIPNMFPRRNGGSEVTDVSAAAEAPPLVAVVNNVLYAADYALQEVRRYDKDNNSWVTIGRLPDRIVSMNGWGLAFRACGNRLIVIGGPRALDGRVIEINACVPGEGVPEWNLLASRQSGSFVYNCAVMGC >Vigun05g145550.1.v1.2 pep primary_assembly:ASM411807v1:5:19843265:19844207:-1 gene:Vigun05g145550.v1.2 transcript:Vigun05g145550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRADEKKIGGAKVASLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNVSAANNVSKWIGTVYMFSLIGAFLSDSYWGRYLTCTVFQLVFVLVFYS >Vigun10g187501.1.v1.2 pep primary_assembly:ASM411807v1:10:40352366:40355177:1 gene:Vigun10g187501.v1.2 transcript:Vigun10g187501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLNQIKGPHNTKYSSEKVQCGLYRKQSMTEENPIGESVLSGTVFVEKRGEFFGDGSVVETSESTWGR >Vigun03g260500.1.v1.2 pep primary_assembly:ASM411807v1:3:42717362:42719481:1 gene:Vigun03g260500.v1.2 transcript:Vigun03g260500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun01g047800.1.v1.2 pep primary_assembly:ASM411807v1:1:7137640:7141397:1 gene:Vigun01g047800.v1.2 transcript:Vigun01g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRYFPLLLLLSCIRFISNAEESTTTIINVWPKPRNLTWSPPYQTTLLSSSFTIITTTIHHNKHLSAAISHYHTLIKSEHHHPLVPLGVTISKDLPPLQNLTIAVVDPHAGLVHDVNESYTLSILHSHATLAAQTVWGVMRGLETFSQLAWDCPTQIAVGVEVWDSPVFVHRGLMVDTARNYYPMKDLLRTVEAMSMNKLNVLHLHLTDAESFPLVLPSEPALAEKGAYAPHMVYTPEDVKTLVEFGLDHGVRVIPEIDTPGHTASWALAHPEIVTCANMFWWPAGSDWSERLASQPGTGHLNPLNPKTYQVLKNVIHDITKLFPEPFFHSGADEIVPGCWKTDSTIQKHLSDGGTLNQVLEKYINNTLPHIVSLNRTVIYWEDVLLDKTVHVPSTILPKEHVILQTWLNGHDHTKKIVSAGYRTIVSSAEFYYLDCGHGTYVGNNSAYDNQDGNTGNGGSWCAPFKTWQTIYNYDITYGLSKEEAKLVLGGEVALWSEQADGSVLDARVWPRASAMGESLWSGNRDENGVKRYAEATDRLNEWRSRMVSRGIGAEPIQPLWCVKNPGMCNTQNSV >VigunL080501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000612.1:19424:19922:-1 gene:VigunL080501.v1.2 transcript:VigunL080501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDVLIGNIGKEALHLGIKDTKTLNGWDNKYPSRSYFGYP >Vigun10g138600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35493641:35495481:1 gene:Vigun10g138600.v1.2 transcript:Vigun10g138600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDREQARPLAPAIERPSSEEDETSLHTKKQAHKKLIKRCACPLIFLLLLAIVIIVLIFTVFRVKDPVITMNGVTITKLELSNTMALRTRSNMSLVADVSVKNPNVASFRYSNTTTSLFYHGVMVGEARGPPGRAKARRTMRMNVTIDVITDRVVSTPGFTMDLGSGLMTMSSFSRVPGQVKILNLIKRHVVVKMNCSTTFNISSQAILEQRCIRKVKL >Vigun05g082300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7758737:7762234:-1 gene:Vigun05g082300.v1.2 transcript:Vigun05g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGNISFASSQSGSQSHGDTDSVHVAVCSLCQKVLSHDNEMASDLASHGVCGDCKFLLLEDFGNHTFTQSSRRRLRGRLRHNSSESVDNSFSQQIPHVLSTVRQYQSPASGEDDQLLDGDTPAWSMPYASTHTTPSGSRRWRHVLSDTDSDGFDNWTSLYGENESSVSFRRYRVPHGETDSFSHSAYGGDSDISMDTHSFVGTGVFNLPDEFDSDTDIDPMHAGHGQWISDEDDEEEDEDGEEEEEEEEEEDDDDDDDEEEEEDREWELAEAEEAEATARLQIFFTSSPSESRDRNNWEQRFNSTESEGMFSHIIRDTWQAFEDADLPHGANFGDYLDARRFEDLLEHLAENDSSRRGAPPAAVSFMKNLPRVVIGKEHEKHDELVCAICKDVLAPGTEVNQLPCSHLYHIDCILPWLSARNSCPLCRYELPTDDKDYEEGKQNIDGRNVIHERQQMDVIDDSSSDVSDGDELNEENGSSEDVSSGSTAISSTRRRGRWLFLAAAPIVSLVGIVLVLWLGNNSEIEGNRHLGSHYLSGQNQHAVHAYAAPNQRESRSRRWWCPF >Vigun08g058100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:7361815:7366701:1 gene:Vigun08g058100.v1.2 transcript:Vigun08g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKFDPRVNRHVLFTEAKMK >Vigun07g065100.1.v1.2 pep primary_assembly:ASM411807v1:7:7617438:7619589:-1 gene:Vigun07g065100.v1.2 transcript:Vigun07g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNGSTRRYVPRPDSSFGFLYNYNYTPYPGIEVKQQSVAESSSSMEKMNQDKKKRLTSDQLDSLESSFQKEIKLDPDRKMKLSKELGLQPRQIAVWFQNRRARWKNKQLEHLYDSLKQEFDVISKEKQKLEEEVMKLKGMLREQASRTQVSTGYTEISGEETVESTSEALRCSKRRTVQQQQQHQQHQNIGEGNCSFTLEDYNTVPVLPYWPGVPYYP >Vigun11g200300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39850353:39850676:-1 gene:Vigun11g200300.v1.2 transcript:Vigun11g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSTYLTLTMLVVAGCLMCNTREVSAQCGGSVTDLISQCQQYVQKTGPKIKPSAACCAVLKQFNVPCACKLITKEVASLVSIPKAVFVGRSCGLSLPPGMRCGGN >VigunL007266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:25233:25580:-1 gene:VigunL007266.v1.2 transcript:VigunL007266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun02g156200.1.v1.2 pep primary_assembly:ASM411807v1:2:30250048:30252884:1 gene:Vigun02g156200.v1.2 transcript:Vigun02g156200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVYAGQEMQMVENDSCIMNGNWKKRVHVFGERVTRIPTKAWQTTWRVGREDPRRLIHAFKVGLSLTLVSLLYLLEPFYKTIGQSAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLLAGLLAFIVGYIANACARASQAIIIGAAVFLIGALATYIRFIPYIKKNYDYGIVIFLLTFNLIAVSSYRVDNVLKIAHERVYTIAIGCAVCLLMSLLVFPNWSGEDLHNSTVYKLEGLAKSIEACVNEYFYGELEGSGDIKLSEDPIYKGYKAVLDSKSIDETLALHASWEPRHSRYCHRFPWQQYVKVGAVLRQFGYTVVALHGCLRTEIQTPRSVRAMFKDPCIRLAAEVSKVLIELSNSIRNRRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGPKHRHNQASNMFKIAAEQVGHGKTSLSSVKTDSSALLEWKNKRVTTEQTKESERKSLRPQLSKIAITSLEFSEALPFAAFASLLVETVAKLDLVIEEVEELGRLACFKEFIPGDEFVVTCDEPRIDVFQNHLPSHGVD >Vigun03g168700.1.v1.2 pep primary_assembly:ASM411807v1:3:19846118:19846455:1 gene:Vigun03g168700.v1.2 transcript:Vigun03g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSDTCEMCEGKYGFGSLRYYWHNTSKKDYCRSITSVMELQSDWIKHRKSSSYSAHVVRSATSFMPWSCRIPIGEGYYEFL >Vigun09g050700.1.v1.2 pep primary_assembly:ASM411807v1:9:5000388:5004487:1 gene:Vigun09g050700.v1.2 transcript:Vigun09g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLRRKPITLSFPSHRTFHISTFLLRNFPQHSNSKAPSLDLLDRISRLLLLRRPASLNRLRFPLSDPLTDALLRRLRLHPAAALEFFQLAAQDPHYRPHATSFCLLLHILARAKLFSEARSLLHQLLSLHCTNNFRAFAVCRDVVSIYKEFGFSPTVFDMLLNAFAERGMTRHALNVFDEMGRLGRTPSLRSCNCLLARLVRAGEGGPALTVFEQVLKMGIVPDVFMISIVVNAHCREGNVDCAERFVEKMVGMGFEVNVVVYNALVGGYACKGDVGEAERVLHLMSRKGVERNVVTWTLLMKGYCRQGRVDEAEGLLRRMEEDEVIFVDDRVYGLLVDGYCHVGKMDDAVRVRDEMARVGLKVNVFVCNALVNGYCKQGWIRKAEEVFRGMLDWNVRPDCYSYNTLLDGYCREGRMSEALMLCEEMLREGIDPSVVTYNTVLKGLVDVGSYGDALSLWHSMVEGDVVPNEVSCCTLLDCFFKMGDYDGAMKLWKEILSRGFTNSTVAFNTMIGGLCKMGKVVEAKVVFDRMKELGCSPDEITYRTLSDGYCKTGSVIEAFRIKDMMERQTISPSIEMYNSLIYGLFKSRKSSDVADLLVELRTRGLSPDTVTYGTLIYGWCNEGKLDKAFNLYFEMIERGFSPNFFICSKIVSSLYKNDRINEATVILSKMVDFDLLTVHKCSDKSVKNDSISQEAQRIADSLDKIAICNSLPSNIVYNIAIYGLGKSGKIDEARSVLSILLSRGFLPDNFTYGALIHACSAAGDVDGAFKLRNEMLARVVNECEVFFFIQ >Vigun07g135400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24547359:24548111:-1 gene:Vigun07g135400.v1.2 transcript:Vigun07g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRQRKSSSFKSVFNIFKSSSRQRGGHYDAYDDGPKQWTRVWPSDEDRGRWGVADPVIDMRATAFIAQYKKRVSESEVHCQAQVQQ >Vigun03g305900.1.v1.2 pep primary_assembly:ASM411807v1:3:49653971:49657081:-1 gene:Vigun03g305900.v1.2 transcript:Vigun03g305900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTISLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLVPSPTQFHLGHSFFSPSHNLLEEIPNPSPNFLMNQANLTASNDFSMPARTAADELPRPPIINRPPEKRQRVPSAYNRFIKDEIQRIKSVNPDITHREAFSAAAKNWAHFPHIHFGLMPDQTVKKTNVCQQEGEEVLMKDGFYASANVGVSPY >Vigun05g265600.1.v1.2 pep primary_assembly:ASM411807v1:5:45762384:45764621:-1 gene:Vigun05g265600.v1.2 transcript:Vigun05g265600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGCFSNAPILNASSGVGHVVNVGGVNSYVTGSPLAVLAILLVSDVYGFKAPLLRKIADKVAAHGYYVLVPDFLHDDPYDPKNLSRPTRVWLKDHEPGKPSEEAKPIIEALRRKGVSAVGIAGFCWGAKIVTNLARPELIQASVLLHPSYITVDDIRGVNVPIAILGAEHDSLSPPKLLKQFEEVLKAKPQIDSYVKVFPNVSHGWTLRYDPTDPKAAMAAGKAHTIMINWFDKHLKK >Vigun05g044000.1.v1.2 pep primary_assembly:ASM411807v1:5:3640918:3642846:1 gene:Vigun05g044000.v1.2 transcript:Vigun05g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKYSMHLDLCLMLLCIHAVMVFAANSDVARHESQGWLNHGGDLFNRRYASKERKISPKTAPDLRMKWKFYAGRDITATPAIYDRTLYFPSWNGNIYAVKEADGSLVWKQNLDKLTGLKAPVGLIKNVNWTVSRSTPTVVGNLLIMGIYAPAAVIAVKRATGKLAWKTILDYHPKALITMSGTYYKRGYYVGTSSLESLVKRDECCTFRGRFVKLDPESGDITWRTYTIPDNNNTKGGYAGAAVWGSSPSIDEKRNHVYMATGNLYAAPLRIRQCRERQINRTQPTQPDECRRLDVSLLVCSDSPTPAPDCPPLADKPDADFGEAPMMLTVDINGNKRDIVVAVQQSGIAWALDRNNGDVVWYTEAGPYGSAGGGSWGAATDEKRVYTNIVNSDAQNFTLKPSNKITTAGGWVAMDANNGRVLWSTANPANSTADGPVSVANGVLFAGSADKMGYIYAMDAKSGKILWSYKTGASVYGGMSINKGCIYVGHGFNAGYGFNKKLTQGNSLFAFCVKD >Vigun09g100000.1.v1.2 pep primary_assembly:ASM411807v1:9:16348921:16352346:1 gene:Vigun09g100000.v1.2 transcript:Vigun09g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGHWKHHDVTVKDSNTLLFGDKPVTVFGHRNPDEIPWGETGADIVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPELDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTVDVSVVDLTVRLEKSASYAEIKNAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSSRVIDLLVHVAKTSF >Vigun11g185700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38654486:38654764:1 gene:Vigun11g185700.v1.2 transcript:Vigun11g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRASFTARQATSKSEDIPKGYFAVYVGEKQNRFVIPISYLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCSEDVFKHTISCLNRQ >Vigun01g133900.2.v1.2 pep primary_assembly:ASM411807v1:1:31196282:31201808:-1 gene:Vigun01g133900.v1.2 transcript:Vigun01g133900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSPSRGLKRKPEGDLSPDPTDSEAPCLTSKIRKKILHQVSLLNSAASDCATVKRAIYNLSVLAENEDLADDVLDCGTVPALVRHLQLSDAGNAADDKPDKCYNDGVTEHDKFEVAKGCSLMIELLAVKQEHQDLIVGAGVLPCLVESLKRHKLGTISEPLVNLLKRAADAITSLAHENDDIKTRVRREGGIPPLVELLEINDVKVQRAAARALRTLAFKNDGNKNQIVECNALPTLVLMLGSEDTKLHSEAIGVIGNLVHSSPNIKKDVLLAGALQPVICLLSSCCSESRREAALLLGQFATTDSDCKVHIAQRGAIPPLVDMLKSSDAELQEMSSFALGRLAQDSHNQAGIVHSGGIEPLLKLLDSKMTLVQQNVVFALYGLADNEDNVVCIVKADGFQRLKTGNFKSQQTVECAVKALKRLEEKTQGRVLKHLIRLMCYPEEKVQRRIVIALAYLCSPRDIKTIFIDNSGLNLLMDMLKSPNVNQKGDASAALHNLAAKAHTSVVSLFELPPRSPNQQMYLGEEYVNNPKLSDVTFLVEGKSFYAHRDCLVSSDIFRAMFDGSYREREANNVLIPNIKWNVFELMMRFIYTGTVDVSLDVAKDLLRAADQYLLDGLKRICECAIVQEISVENVVPLYKMAEDFNAASLRNACILFMLENFNVLSREPWYSTVLRHVLPNICMFFSDLLAKSNPADL >Vigun09g065800.1.v1.2 pep primary_assembly:ASM411807v1:9:6928114:6933985:-1 gene:Vigun09g065800.v1.2 transcript:Vigun09g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLNLLPSSSSKFLSRYILSFSTKLPPPLAPPFSHHPATTTTTMSVNLRTHAFAGNPLRSRTPNRVEPFSPAAAHETLKARIAESAGESVSVNLKVLPFRNGRPLASSGSSDTWQLAWMRLEEVKRLLGVNLSADSFVYLGYDADEDSVYWAIDLSRESSLVAEFGAMKLCFVELRTLMVATDWVDLKAMGNLAIAGHARALLEWYNISRFCGHCGEKTVPMEAGRRKQCSDESCKKRIYPRVDPVVIMLVIDSENDRALLSKQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEEVGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFFAYAKSLEINVDKEELEDAQWHSREEVRKALAFTEYKKAQQTAASKVEQMCKGVEKTHSLSKEFNVESGELAPMFVPGPFAIAHHLISSWAFPDKDVNGIECRSKQPSGSMSNL >Vigun06g083400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21472195:21473492:1 gene:Vigun06g083400.v1.2 transcript:Vigun06g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVIHDFLKCSMIVRYGNGKSPLVKKFQILPVVRLQMLLYLDADLDYIRPHRLQLDRKKVTVGGVDTKNVILRSVLNIGASYLNFRPQWVTELRNLEVLQLGRWQDSALHHIEVGSEEFLKDLRTLKQLKYVSLRGISRILELPSSIAELESLLILDLKACHNLERLPHDISSMRSLTHLIMSDCCLLEGMPKGIEKLSNLEVLKGFLISTYEKTPCRISDLVNLRKLRRLSIHIGSEAEIRDEEFEGLEDFSALKHLKISWSVSDRKYAKINFVLPPSLRKLHLECFPGKSLEECFMPSVHGRFRFILTELNITGGKLEHIVDFKWWRVEILRLKYLKQLNVDIYDLKALFPELKYVKVKQVSNISYLQHEWAD >Vigun10g198600.5.v1.2 pep primary_assembly:ASM411807v1:10:41125273:41128766:1 gene:Vigun10g198600.v1.2 transcript:Vigun10g198600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFHSASYPPSLSPTSTARRPNFNVSNKTFTVRNFSLPSALAYDSELESKKHLLLSSVQDTQRGLLTTPDQRSSIEEALVNVEGRNMGHPINLAKLDGTWRLQYTSAPDVLILLQAAATLPFFQVGQIFQKFECRDQSNGGIIRNVVRWSIPNLLEEQEGATLLVSAKFNVVSVRNIYLQFQEITVQDINISEELQALIAPAILPRSFISLQILQFLRTFKAQIPVRDPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >Vigun10g198600.1.v1.2 pep primary_assembly:ASM411807v1:10:41125445:41128766:1 gene:Vigun10g198600.v1.2 transcript:Vigun10g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSIDCPVSAAMDLAFHSASYPPSLSPTSTARRPNFNVSNKTFTVRNFSLPSALAYDSELESKKHLLLSSVQDTQRGLLTTPDQRSSIEEALVNVEGRNMGHPINLAKLDGTWRLQYTSAPDVLILLQAAATLPFFQVGQIFQKFECRDQSNGGIIRNVVRWSIPNLLEEQEGATLLVSAKFNVVSVRNIYLQFQEITVQDINISEELQALIAPAILPRSFISLQILQFLRTFKAQIPVRDPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >Vigun10g198600.3.v1.2 pep primary_assembly:ASM411807v1:10:41125272:41128839:1 gene:Vigun10g198600.v1.2 transcript:Vigun10g198600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFHSASYPPSLSPTSTARRPNFNVSNKTFTVRNFSLPSALAYDSELESKKHLLLSSVQDTQRGLLTTPDQRSSIEEALVNVEGRNMGHPINLAKLDGTWRLQYTSAPDVLILLQAAATLPFFQVGQIFQKFECRDQSNGGIIRNVVRWSIPNLLEEQEGATLLVSAKFNVVSVRNIYLQFQEITVQDINISEELQALIAPAILPRSFISLQILQFLRTFKAQIPVRDPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >Vigun10g198600.2.v1.2 pep primary_assembly:ASM411807v1:10:41125273:41128778:1 gene:Vigun10g198600.v1.2 transcript:Vigun10g198600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFHSASYPPSLSPTSTARRPNFNVSNKTFTVRNFSLPSALAYDSELESKKHLLLSSVQDTQRGLLTTPDQRSSIEEALVNVEGRNMGHPINLAKLDGTWRLQYTSAPDVLILLQAAATLPFFQVGQIFQKFECRDQSNGGIIRNVVRWSIPNLLEITVQDINISEELQALIAPAILPRSFISLQILQFLRTFKAQIPVRDPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >Vigun10g198600.4.v1.2 pep primary_assembly:ASM411807v1:10:41125273:41128839:1 gene:Vigun10g198600.v1.2 transcript:Vigun10g198600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPINLAKLDGTWRLQYTSAPDVLILLQAAATLPFFQVGQIFQKFECRDQSNGGIIRNVVRWSIPNLLEEQEGATLLVSAKFNVVSVRNIYLQFQEITVQDINISEELQALIAPAILPRSFISLQILQFLRTFKAQIPVRDPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >Vigun05g199100.3.v1.2 pep primary_assembly:ASM411807v1:5:38525174:38530318:1 gene:Vigun05g199100.v1.2 transcript:Vigun05g199100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHSVSDSRVSRMHYSSTDEDQDGDDEEDEEDNDFNFYDTNVGVEDETKKPDIFSGSGFWRESGVVLDPRAKWVQEWNRVFLLVSTTGLFVDPLFFYALSISDTCMCLFIDGWLVVTVTVLRCMTDTLHVWNMWLQFKMAKRSSSLARNAHASRGGCALRYLKAKRGFFFDLFVIFPIPQIVLWVVIPSLLEKGSITLVMTVFLIMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGLQRAAKCLEEQCAKTEGCGLRTLCCKKPIYYGGSSIVRDKTRLAWAENREARSTCLDNADNYDYGAYAWSVQLITNDSRLEKILFPIFWGLMTLSNFLIIDVTSTFGNLESTPERLEVIFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMSKRKLPQSFRQRVRNYERQRWAAMRGVDECQMIKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSYCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLEATEAFGLEAKDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTCLSFIRPRRPASRCTSMEEDRLRLYTALLTSPKPNQDQFDF >Vigun05g199100.2.v1.2 pep primary_assembly:ASM411807v1:5:38525174:38530319:1 gene:Vigun05g199100.v1.2 transcript:Vigun05g199100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHSVSDSRVSRMHYSSTDEDQDGDDEEDEEDNDFNFYDTNVGVEDETKKPDIFSGSGFWRESGVVLDPRAKWVQEWNRVFLLVSTTGLFVDPLFFYALSISDTCMCLFIDGWLVVTVTVLRCMTDTLHVWNMWLQFKMAKRSSSLARNAHASRGGCALRYLKAKRGFFFDLFVIFPIPQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGLQRAAKCLEEQCAKTEGCGLRTLCCKKPIYYGGSSIVRDKTRLAWAENREARSTCLDNADNYDYGAYAWSVQLITNDSRLEKILFPIFWGLMTLSTFGNLESTPERLEVIFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMSKRKLPQSFRQRVRNYERQRWAAMRGVDECQMIKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSYCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLEATEAFGLEAKDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTCLSFIRPRRPASRCTSMEEDRLRLYTALLTSPKPNQDQFDF >Vigun05g199100.1.v1.2 pep primary_assembly:ASM411807v1:5:38525174:38530318:1 gene:Vigun05g199100.v1.2 transcript:Vigun05g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHSVSDSRVSRMHYSSTDEDQDGDDEEDEEDNDFNFYDTNVGVEDETKKPDIFSGSGFWRESGVVLDPRAKWVQEWNRVFLLVSTTGLFVDPLFFYALSISDTCMCLFIDGWLVVTVTVLRCMTDTLHVWNMWLQFKMAKRSSSLARNAHASRGGCALRYLKAKRGFFFDLFVIFPIPQIVLWVVIPSLLEKGSITLVMTVFLIMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGLQRAAKCLEEQCAKTEGCGLRTLCCKKPIYYGGSSIVRDKTRLAWAENREARSTCLDNADNYDYGAYAWSVQLITNDSRLEKILFPIFWGLMTLSTFGNLESTPERLEVIFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMSKRKLPQSFRQRVRNYERQRWAAMRGVDECQMIKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSYCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLEATEAFGLEAKDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTCLSFIRPRRPASRCTSMEEDRLRLYTALLTSPKPNQDQFDF >Vigun05g215950.1.v1.2 pep primary_assembly:ASM411807v1:5:40790914:40791432:-1 gene:Vigun05g215950.v1.2 transcript:Vigun05g215950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGSKCSTTSSSSSQNRSSGFVPRICGCGEILLLLKATTHKNNGRFFWRCKNWANDRNCRFFQWADEVEPEKEVPIEKNEEDNVCVNERMLVDVLQKNAKLKKKLIEERKMGQLKMCAFLVSWAVTVMFCVFFVMKINCNR >Vigun07g050800.1.v1.2 pep primary_assembly:ASM411807v1:7:5282044:5289784:-1 gene:Vigun07g050800.v1.2 transcript:Vigun07g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGPHAPLLSRGKPPPSLAVVDALPSSSHFTRTTTLYPLRRRQKSGLSFARCTIRALASNISGVEPGDYGSSNEKDGHNVFEKNSVEDSSSKVITLTDFSYRLSLTNLLSVKPPTQIPYPLSIAFVLLGCAFVFSLIAFVKGGPSSILAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQFEKGLVLLGSMGALGLMSVLSVVIGRIFQSVPAQFQTTVPIGEYAAVTLLLFFGLKAIKDAWDLPSDVAKKGDNSSPELDELAEAEELVKEKVSKKLSNPLEIIWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLVATTIAILGGAFLANYISEKLVGYLGGGLFLIFAVATFFGVF >Vigun07g050800.2.v1.2 pep primary_assembly:ASM411807v1:7:5282044:5289784:-1 gene:Vigun07g050800.v1.2 transcript:Vigun07g050800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGPHAPLLSRGKPPPSLAVVDALPSSSHFTRTTTLYPLRRRQKSGLSFARCTIRALASNISGVEPGDYGSSNEKDGHNVFEKNSVEDSSSKVVKPPTQIPYPLSIAFVLLGCAFVFSLIAFVKGGPSSILAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQFEKGLVLLGSMGALGLMSVLSVVIGRIFQSVPAQFQTTVPIGEYAAVTLLLFFGLKAIKDAWDLPSDVAKKGDNSSPELDELAEAEELVKEKVSKKLSNPLEIIWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLVATTIAILGGAFLANYISEKLVGYLGGGLFLIFAVATFFGVF >Vigun09g239600.3.v1.2 pep primary_assembly:ASM411807v1:9:40984970:40989435:1 gene:Vigun09g239600.v1.2 transcript:Vigun09g239600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKWVFSYKKTTLLVCFFNIAVALYVLRSLYASLYIYSGNVSRNVALYNPDQIRKMEESMQIRREFKPVELIKWVKALEGELSSETVAVELPQHLKQNIIEEILQTLRGLNSSGADIAKEREAVESWRKGKLEGVKSALVKGTSNSTIPHEEAGILVRALESDWTMLCEEIGLWIPAQVANEEHDDKPEGAEEFEEEVLPGRPLSPECHAELHTDYDGSAVRWGLTHHKDSAADCCQACLDHAKHAKEGDKKCNIWVYCPSEFGCHSPDIYQHKHQECWLKYAEKPRLNFKDKYPEWYRNSHPSAPVIVPWVSGITSS >Vigun09g239600.1.v1.2 pep primary_assembly:ASM411807v1:9:40984970:40989346:1 gene:Vigun09g239600.v1.2 transcript:Vigun09g239600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKWVFSYKKTTLLVCFFNIAVALYVLRSLYASLYIYSGNVSRNVALYNPDQIRKMEESMQIRREFKPVELIKWVKALEGELSSETVAVELPQHLKQNIIEEILQTLRGLNSSGADIAKEREAVESWRKGKLEGVKSALVKGTSNSTIPHEEAGILVRALESDWTMLCEEIGLWIPAQVANEEHDDKPEGAEEFEEEVLPGRPLSPECHAELHTDYDGSAVRWGLTHHKDSAADCCQACLDHAKHAKEGDKKCNIWVYCPSEFGCHSPDIYQHKHQECWLKYAEKPRLNFKDKYPEWYRNSHPSAPVIVPWVSGITSS >Vigun09g239600.2.v1.2 pep primary_assembly:ASM411807v1:9:40983518:40989321:1 gene:Vigun09g239600.v1.2 transcript:Vigun09g239600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKWVFSYKKTTLLVCFFNIAVALYVLRSLYASLYIYSGNVSRNVALYNPDQIRKMEESMQIRREFKPVELIKWVKALEGELSSETVAVELPQHLKQNIIEEILQTLRGLNSSGADIAKEREAVESWRKGKLEGVKSALVKGTSNSTIPHEEAGILVRALESDWTMLCEEIGLWIPAQVANEEHDDKPEGAEEFEEEVLPGRPLSPECHAELHTDYDGSAVRWGLTHHKDSAADCCQACLDHAKHAKEGDKKCNIWVYCPSEFGCHSPDIYQHKHQECWLKYAEKPRLNFKDKYPEWYRNSHPSAPVIVPWVSGITSS >Vigun05g224700.1.v1.2 pep primary_assembly:ASM411807v1:5:41711857:41714013:-1 gene:Vigun05g224700.v1.2 transcript:Vigun05g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLHSPIKTKPTLMKFILVFSSFCAISLCVSMLLLATPKIIDVHSSPQDVYEPTTVDQLVFGIASSGNSWPKRKEYSRMWWNSNPNKTMRGCVFVDSLPNENVNNDASLPPLCVSEDTSQFLYTYKHGGLRSAIRVARVVKETVALKHSGVRWYVFGDDDTIFFPHNLVKTLSKYDHRLWYYVGSYSEIYEGTQVFGFGMAFGGGGFAISSSLAQVLAKVLDSCIQRYPHLYGSDARVYSCITELGVGLTLEPGFHQVDLRGNIFGLLASHPLTPLLSLHHPDHTDPIFPNMSTKKSLQHLFEAVHVDSERILQQTVCYEKKLSWTISVSWGYAVQVFQNNMVLPEVVRVEKTFKQWKEGNVLLGTYTFNTRELHPDPCKRSTIFYLDKVSSGKDGITSTYRKHSENCTYKGPMQKLSVIKVVTNKLYIDNKQTPRRHCCDVLPSNAGDLMEVAIRECRYEELIYMH >Vigun06g035600.2.v1.2 pep primary_assembly:ASM411807v1:6:14958113:14965797:1 gene:Vigun06g035600.v1.2 transcript:Vigun06g035600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEASASNSSGTTVTHPPRHDKWKRARQKPTGDYISVETESVARRTDELVEQSTQGSFIPQGREDILAVAIGRLEHPGRVRGVGKFVGIRQFFGPPSANHNKVNINEEVIMSIKEEMREQMREEIREEIKKEMKKEYFDMKAQLLSDMRAELASPSAQPCNPHQQPSIICVSTKGSCDVPLEEASLIGDADYELFIDDLLQSLVALGKMYALGSTIHHATIADDMMRVVVVDVRDATAPVPVPTQEVKTVGQAPGNFILWPVRLAKVIAKEIRVQEKEDVNESLPQQTILERLGAMVATIALQPIQLEMPPQATNRTFNTPFFICQKDIFEVLSSTDMLCISVIQLWLLYLHRRCTEKKNDHIYGFIDPIAIQGVGNKSEEVQKYLLEAFDVGKKEVYLAPYLQQGHWQLLVILPQKFVVVLLCSLQKKPNTLKIKSTLQAAVEAHSRLQGQQITSRKKLQFIAPTCSRQPGSYECGYYTMRHMHRIISANIVDSWDMIFNDTSPMDQGVLKEVREQWASFLLSVYIR >Vigun10g140800.1.v1.2 pep primary_assembly:ASM411807v1:10:35765321:35768222:-1 gene:Vigun10g140800.v1.2 transcript:Vigun10g140800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKVSKSSCTFSKFLVICMVAFSLVDSSYCKCDFGGIFNFGDSNSDTGGFHSAFPAQPGPYGMTFFEKPVGRASDGRLILDFLAQGLGLPFLSPYLESIGSDYSHGANFASSASTVIPPIASFSHSGLSPFSLSIQLNQMKQFKARVDEFHQIGTTSSSGIPSPDIFKKAIYMLNIGQNDLTSKIAGTGTIDGVMDTFPQIVSQINDAVKELYAQGARTIMVFNLGPVGCYPGYLVQLPHAVTDFDEFGCMASYQNVVNYYNKLLKDTLSQTRQSLPDVSLIHVDTYSALSELFHHPTLYGFKYGTKTCCGHGGGDHNYNPKILCGNMLASACAEPREYVSWDGIHFTEAANKIVANAILNGSLFDPPFPLHELCDLQPIA >Vigun03g351900.1.v1.2 pep primary_assembly:ASM411807v1:3:55355652:55357323:-1 gene:Vigun03g351900.v1.2 transcript:Vigun03g351900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDPSNQEAVVRRKNNGPPIKFLIPLIYAPVLPLIRLTLRHKPVLRDRLFTAVLAGGFAHGFYLITDLYDKESK >Vigun05g277400.1.v1.2 pep primary_assembly:ASM411807v1:5:46704892:46707209:1 gene:Vigun05g277400.v1.2 transcript:Vigun05g277400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLIWEIVKKNNSFLVKEFGRGTQSLQFSREPNNLYNLNTFKYSGLANKKTVTIQPAGKDQSVLLATTKTKKQNKPASLLHKSVMKKEFRRMAKAVQNQVGDNYYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQAVRVVGRK >VigunL039184.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:349394:350446:-1 gene:VigunL039184.v1.2 transcript:VigunL039184.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun08g048200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5365695:5367300:1 gene:Vigun08g048200.v1.2 transcript:Vigun08g048200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEMVAEPAEAAVTAVKCCCCALVEECTQAYIGRVKERYGGRWICGLCSEAVKEEREREKIMITTDEALKRHMRFCQQFRSSTPPDSTNEDFILAVKQILFRTLDSPRKDRFSCRPLGRSQSCFSTMQGTQEAQRE >Vigun08g048200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5365671:5367300:1 gene:Vigun08g048200.v1.2 transcript:Vigun08g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLKETKSMTAVEMVAEPAEAAVTAVKCCCCALVEECTQAYIGRVKERYGGRWICGLCSEAVKEEREREKIMITTDEALKRHMRFCQQFRSSTPPDSTNEDFILAVKQILFRTLDSPRKDRFSCRPLGRSQSCFSTMQGTQEAQRE >VigunL029650.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000451.1:6031:6510:1 gene:VigunL029650.v1.2 transcript:VigunL029650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITKVSSESNSNDLKHFTLFWKLKDSIVWIWGTNTQFQVSKQNSILDIIDTYIILWKAVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun11g134400.1.v1.2 pep primary_assembly:ASM411807v1:11:34391847:34395075:1 gene:Vigun11g134400.v1.2 transcript:Vigun11g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSSLSSSSSSLFHHTFTGSSKVPIHFPNQTAHFFVFAQKKAKKTRKIILKEDVAAVGKQGQLLDVRAGFYRNYLLPLGKAQLVTPQILKEMKIEEERIEAEKRRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRVVDLPEIRETGEYIAELKLHPEVTARVKLNVSAN >Vigun01g232700.2.v1.2 pep primary_assembly:ASM411807v1:1:40470078:40470934:-1 gene:Vigun01g232700.v1.2 transcript:Vigun01g232700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQSWVSDHKLTTIASLVTYSCKKSPMKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKPSLQPKPVADNIPATSFNHLLQYEIHCPF >Vigun01g232700.1.v1.2 pep primary_assembly:ASM411807v1:1:40470078:40470934:-1 gene:Vigun01g232700.v1.2 transcript:Vigun01g232700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQSWVSDHKLTTIGALWASGIGASLVTYSCKKSPMKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKPSLQPKPVADNIPATSFNHLLQYEIHCPF >Vigun05g259400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45303137:45306461:1 gene:Vigun05g259400.v1.2 transcript:Vigun05g259400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNTVTSGYEFDEWDLNGHYTNLVTDPFFYQSDVGQPGFSPNTILNSDPLVSSSADSAAMAATVEEDTDFSETFKFISQILMEENFEQKPCMCYDPLTLQHTEKSFYDALELEPPLPLSPNQHPLESPDGNSSNSTTDSANSHDLKPSSPNTPVSGGGEALHSPTHAPSFFVPPSALTKINEGTVDMDSSVSKLLAENIFSDAESMLQFRRGLEEASKFLPQRPQLFTGLESATVSAEPKVGGELTVKSENSIGVKSRKNHARQDEEEGRSNKHSEVCVDEENEISEIFDKVLLSVENVPLYAGKSASVAVGDSNTKLSEQPPSVDVGKVRSKRQGKKKETVDLRTLLVLCAQAASASDNRTANELLKQIRQHSSALGDASQRLAHYVANALEARLIGAGTGTQIFYMSYKNFTTTDFLKAYQVFISACPFKKFAHFFANKMIMKTAEKAETLHIIDFGILYGFQWPILIKFLSSRTGGPPKLRITGIEYPQPGFRPSERIEETGRRLAKYCNRFNVPFEYKAIPSRNWETIKIEDLKIESNEVLAVNCLVRFKNLLDESIEVSSPKNAVLNLIRKMNPHIFVHSVVNGSYNAPFFVTRFREALFHYSSIYDMFDTLVSRENEWRLMLEREFLGREIMNVVACEALERVERPETYKQWQVRNTRAGFRQLPLDKELMAKFRGKLREWYHKDFVFDEDGGWMLQGWKGRILYASTCWVPA >Vigun04g050400.1.v1.2 pep primary_assembly:ASM411807v1:4:4448567:4452909:1 gene:Vigun04g050400.v1.2 transcript:Vigun04g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKTLAERKGREFCGISRETIASSNSRRNRRRQRKKPPVQMLFETCKVVFASAGTGFVPPLQDIDKLRSVLDGIRPEDVGLRPDMPYFRTSATQRVPRIQYLHIYECEKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDMPPESPNIINPSESQTREMRLAKVKVDADFTAPCNPSILYPEDGGNMHCFTAVTACAVLDVLGPPYSDTEGRHCTYYHNFPFSNFSVDGLSIPEEEKSAYEWLQEREELEDLEVNGKMYNGPKIVES >Vigun11g044501.1.v1.2 pep primary_assembly:ASM411807v1:11:6566780:6570958:-1 gene:Vigun11g044501.v1.2 transcript:Vigun11g044501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNDSGTKKPKRLTSVVWNHFERVRKADICYAVCVHCNKRLSGSSNSGTTHLRNHLMRCLKRSNFDVSQLLAAKRRKKDNTISLANISFDEGQRKEEYIKPTIIKFEQEHKKDDIISFGSSKFDQERSQLDLARMIILHGYPLSLVEQVGFKVFVKNLQPLFEFMPNSAVEISCIDIYRREKEKMYDMINKLQGRINLSIEMWSSAENSSYLCLSAHYIDEEWTLQKKILNFVTLDSLHTEDLLPEVIIKCLNEWDIDGKLFALTLDDCSIDDDITLRIKERVSEKRPFLSTRQLLDIRSAAHLINSIAQDAMDALQEVIQKIRESIRYVRSSQLVQAKFNEIAQHATVNTQKVLFLDFPVQWKSTYLMLETAVEYRSAFSLFQDHDPSYSSTLTDEEWEWATSVTGYLKLLVEITNVFSANKFPTANIYFPEICDVHIQLIDWCRSSDSFLSPMALKMKAKFDKYWNKCSLSLALAAVLDPRFKMKLVEYYYSLIYGSTALERIKEVSDGIKELFNAYSICSTMIDQGSALPGSSLPSTSCSSRDRLKGFDRFLHETSQSQSMTSDLEKYLEEPIFPRNSDFNILNWWKVHMPRYPILSMMARDVLGTPMSTLAPELAFSTGGRVLDSSRSSLNPDTREALICTQDWLRNESGDLNPSPIHSALPLLLE >Vigun04g083900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13358355:13362321:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13359980:13362318:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13359711:13362325:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13360279:13362252:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13359977:13362311:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13359865:13362354:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun04g083900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:13359865:13362325:-1 gene:Vigun04g083900.v1.2 transcript:Vigun04g083900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHARSIRYILTAKEAGRVAHQRTFATGKAKKGSKGGGAADAPKASTLSKEVKSSTVVGANILKEGTDPKILPDSDYPDWLWHLLDKRPALSELRRKNIETLAYDDLKRFVKLDNRARIKENNTVKAKN >Vigun09g172700.1.v1.2 pep primary_assembly:ASM411807v1:9:34277499:34280338:-1 gene:Vigun09g172700.v1.2 transcript:Vigun09g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHHSVLKTSRFLNPHREALGLTSVIVDSVCHLAFSSMKQINSIGDSSLCTLAPSKESMSVKSTPEIEKKFVHHVYDAIAPHFSATRFAKWPKVASFLSSLPLGSLVLDAGCGNGKYLGLNQDCFFIGCDISPSLIKICSDRGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRRKAIEELVRVVKKGGHVLITVWAVEQEDTTLITKWTPLTEKYVEEWVGPGSPRARTPSPLPLESIPESEESSTGENIKVCSESNVCEDLEAEKHMKNQQEYFVPWHLPYHRAEISGASSHALAAGLATKDDKKGAVVYNRYYHVFSEGELESLTTGINNARIVDQFFDKSNWCIILEKTA >Vigun04g197800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42262437:42264405:-1 gene:Vigun04g197800.v1.2 transcript:Vigun04g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLPYAAATIVALFFITLFFHRRLCCRHPLFTDYPILGMLPQLLINLNRCHDFFTEVLKNHGGTGEFTGPWFTNMNYLVTGDPLNVHHVMSKSFHNYVKGPVFREIFQAFGDGIFTADSETWKFNRDLFHSLFRQKSFELFLEKTIHKKVQNSLVPVLDHVEGSVVDLQDVFNRFTFDNICSIVLGKDPNCLSLDFPEVEIEKAFNQAEESIFHRHAVPRCVWKLQKWLQIGQEKKMTEACNTLDEFIYSCIASKRENLSRYEENESFHVDLLTSLMREGNKEQHDDMFLRDAVFNLFVAGRDTLTSALTWFFWLVATNPLVEEKILEEINQNFGSNEATPAVLTAEEVKKLVYLHGAICETLRLFPPIPFERKQALQDDVLPSGHCVNPNTVILFCLYSMGRFEEIWGEDCMEFKPERWISQKGSNVYVPSYKFIAFNAGPRTCLGKDSSFVQLKMVVTAILQKYRVEVVEGFVPKPTLSIVLLMKDGLKVKLRKRET >Vigun09g165800.3.v1.2 pep primary_assembly:ASM411807v1:9:33360787:33365278:-1 gene:Vigun09g165800.v1.2 transcript:Vigun09g165800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIKPQSPEVTSHATENVNDGSTDEDVNGAMISISKDRDVPDGLRNMSEKLSAALVNVSAKEDLVKQHAKVAEEAISGWEKAENEVTSLKKQVEALTLRNSTLEDRVTHLDSALRECVRQLRQTREEQEKNIHDAVLKKTHELESSKATLEKQLMEVQSKSDFSNASSSTSIDFDKYQKVEYLEKENTVLRHELQALSEKLELRTIEWNLSTQTAEMASKQHLESINKVARLEAECRRLTNMACRASTTSSLCCVESLKDGQSNTGERTTVEIDTTKKSGSEPDIDELSCSDSWASALIAELDQFKNEKYKQISSSSINIDLMDDFLEMERLAALPDTKNESFIKESAVANDCIHEESSTREEFDASNRQIDELKEKLEKAKEEKEEVKACLVKSECVIEISQLKMREAETKLEELQRELENAYKSKQVLENELATMQSEAKSITAKVHLIEAEVDKEKAISVEIENRYKELEEELERKKQEEKFGSITSFSEMKLKQEDLALAAGKLAECQKTIASLGNQLSSLATLEDFLIDTTSIPELSATPSLIARDGAVMLKSNSNGTYSTKRDSGSSSKNEESSPPPSSTNLPKHDSEKSINGFVNLFSQTEIGLQLEI >Vigun09g165800.1.v1.2 pep primary_assembly:ASM411807v1:9:33360653:33365370:-1 gene:Vigun09g165800.v1.2 transcript:Vigun09g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRGLWERKSCGETENSSSASSLSEEQLDEEDEALNESPIEHNQSPEVTSKIAVIPEDINDMSFIKPQSPEVTSHATENVNDGSTDEDVNGAMISISKDRDVPDGLRNMSEKLSAALVNVSAKEDLVKQHAKVAEEAISGWEKAENEVTSLKKQVEALTLRNSTLEDRVTHLDSALRECVRQLRQTREEQEKNIHDAVLKKTHELESSKATLEKQLMEVQSKSDFSNASSSTSIDFDKYQKVEYLEKENTVLRHELQALSEKLELRTIEWNLSTQTAEMASKQHLESINKVARLEAECRRLTNMACRASTTSSLCCVESLKDGQSNTGERTTVEIDTTKKSGSEPDIDELSCSDSWASALIAELDQFKNEKYKQISSSSINIDLMDDFLEMERLAALPDTKNESFIKESAVANDCIHEESSTREEFDASNRQIDELKEKLEKAKEEKEEVKACLVKSECVIEISQLKMREAETKLEELQRELENAYKSKQVLENELATMQSEAKSITAKVHLIEAEVDKEKAISVEIENRYKELEEELERKKQEEKFGSITSFSEMKLKQEDLALAAGKLAECQKTIASLGNQLSSLATLEDFLIDTTSIPELSATPSLIARDGAVMLKSNSNGTYSTKRDSGSSSKNEESSPPPSSTNLPKHDSEKSINGFVNLFSQTEIGLQLEI >Vigun09g165800.2.v1.2 pep primary_assembly:ASM411807v1:9:33360788:33365227:-1 gene:Vigun09g165800.v1.2 transcript:Vigun09g165800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRGLWERKSCGETENSSSASSLSEEQLDEEDEALNESPIEHNQSPEVTSKIAVIPEDINDMSFIKPQSPEVTSHATENVNDGSTDEDVNGAMISISKDRDVPDGLRNMSEKLSAALVNVSAKEDLVKQHAKVAEEAISGWEKAENEVTSLKKQVEALTLRNSTLEDRVTHLDSALRECVRQLRQTREEQEKNIHDAVLKKTHELESSKATLEKQLMEVQSKSDFSNASSSTSIDFDKYQKVEYLEKENTVLRHELQALSEKLELRTIEWNLSTQTAEMASKQHLESINKVARLEAECRRLTNMACRASTTSSLCCVESLKDGQSNTGERTTVEIDTTKKSGSEPDIDELSCSDSWASALIAELDQFKNEKYKQISSSSINIDLMDDFLEMERLAALPDTKNESFIKESAVANDCIHEESSTREEFDASNRQIDELKEKLEKAKEEKEEVKACLVKSECVIEISQLKMREAETKLEELQRELENAYKSKQVLENELATMQSEAKSITAKVHLIEAEVDKEKAISVEIENRYKELEEELERKKQEEKFGSITSFSEMKLKQEDLALAAGKLAECQKTIASLGNQLSSLATLEDFLIDTTSIPELSATPSLIARDGAVMLKSNSNGTYSTKRDSGSSSKNEESSPPPSSTNLPKHDSEKSINGFVNLFSQTEIGLQLEI >Vigun07g218700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34126584:34126820:1 gene:Vigun07g218700.v1.2 transcript:Vigun07g218700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYLNLVLLTLMLFVIYFVGHSYGSRHTYQVFKVQPKAQTLPPNFFGFLPKAMPIPPSGPSRKHNDIGLQSSTGKP >Vigun03g047100.3.v1.2 pep primary_assembly:ASM411807v1:3:3763451:3770440:-1 gene:Vigun03g047100.v1.2 transcript:Vigun03g047100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYGSCISISVPHRFPQTPLSFHAYHWNQFQTARKNQRITVLCGSDQRSSNLGSERKRKIVEHVCLLKAKQELSEEEENDMLDYLYTTQYQMGGVVAISLGRVSAPNPERYTHALFMRFQKKQDLEKFYENPFYLKVLKDHVLTYCHGLVNVDFESEVDDEMLSIFRKGEEFNHGVEFLLLISFNETAVSNQAEDALASLASIMSESPSLIVQFTHGLNFSPSSKEYTHGIVIRFRSVEAFEIFMNSKEYKNVWHSKFQPIAYKSLSLHFSVDPVGTEIM >Vigun03g047100.1.v1.2 pep primary_assembly:ASM411807v1:3:3763335:3770655:-1 gene:Vigun03g047100.v1.2 transcript:Vigun03g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYGSCISISVPHRFPQTPLSFHAYHWNQFQTARKNQRITVLCGSDQRSSNLGSERKRKIVEHVCLLKAKQELSEEEENDMLDYLYTTQYQMGGVVAISLGRVSAPNPERYTHALFMRFQKKQDLEKFYENPFYLKVLKDHVLTYCHGLVNVDFESEVDDEMLSIFRKGEEFNHGVEFLLLISFNETAVSNQAEDALASLASIMSESPSLIVQFTHGLNFSPSSKEYTHGIVIRFRSVEAFEIFMNSKEYKNVWHSKFQPIAYKSLSLHFSVDPVGTEIM >Vigun03g047100.4.v1.2 pep primary_assembly:ASM411807v1:3:3767601:3770440:-1 gene:Vigun03g047100.v1.2 transcript:Vigun03g047100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYGSCISISVPHRFPQTPLSFHAYHWNQFQTARKNQRITVLCGSDQRSSNLGSERKRKIVEHVCLLKAKQELSEEEENDMLDYLYTTQYQMGGVVAISLGRVSAPNPERYTHALFMRFQKKQDLEKFYENPFYLKVLKDHVLTYCHGLVNVDFESEVDDEMLSIFRKGEEFNHGVEFLLLISFNETAVSNQAEDALASLASIMSESPSLIVQFTHGLNFSPSSKEYTHGIVIRFRSVEAFEIFMNSKEYKNVWHSKFQPIAYKSLSLHFSVDPVGTEIM >Vigun03g047100.6.v1.2 pep primary_assembly:ASM411807v1:3:3768890:3770491:-1 gene:Vigun03g047100.v1.2 transcript:Vigun03g047100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYGSCISISVPHRFPQTPLSFHAYHWNQFQTARKNQRITVLCGSDQRSSNLGSERKRKIVEHVCLLKAKQELSEEEENDMLDYLYTTQYQMGGVVAISLGRVSAPNPERYTHALFMRFQKKQDLEKFYENPFYLKVLKDHVLTYCHVCTNMD >Vigun03g047100.5.v1.2 pep primary_assembly:ASM411807v1:3:3768890:3770491:-1 gene:Vigun03g047100.v1.2 transcript:Vigun03g047100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMQCSSYGSCISISVPHRFPQTPLSFHAYHWNQFQTARKNQRITVLCGSDQRSSNLGSERKRKIVEHVCLLKAKQELSEEEENDMLDYLYTTQYQMGGVVAISLGRVSAPNPERYTHALFMRFQKKQDLEKFYENPFYLKVLKDHVLTYCHGLVNVDFESEVDDEMLSIFRKGEVGLYIEGLLIKCSFDHNPGPQFYSH >Vigun06g106300.1.v1.2 pep primary_assembly:ASM411807v1:6:23567624:23570595:1 gene:Vigun06g106300.v1.2 transcript:Vigun06g106300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLSQLQRQLAEFTSSLFDEGFLDDQFNELQQLQDESNPEFVVEVVTLFFEDAERLLNELANTLALDNIDFKRMDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNVEGCLKTLQEIKQECSLMKSKFETLFKMEQQVFACRRLG >Vigun11g166108.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37347129:37347281:-1 gene:Vigun11g166108.v1.2 transcript:Vigun11g166108.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAVREFLMGQPKIYPFGTCTMY >Vigun04g191164.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41505332:41513304:-1 gene:Vigun04g191164.v1.2 transcript:Vigun04g191164.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGGALLSAFLQVAFDRLASPQFVDFFRGRKLDEKLLGNLNIMLHSINALADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTDKVSTFFNSTFSSFNKKIESEMREVLEKLEYLAKQKGALGLKEGIYSGDSSGSKVSQKLPSSSLVVETVIYGRDVDKEIIFNWLTSETGNHNHPSVLSIVGMGGLGKTTLAQHVYNDTKLEEAKFDITAWVCVSDHFNVLTVTKTILEAVTKSKDDSGDLQMVHERLKEKISGKKFFLVLDDVWNERQEKWEAVQTPLSYGAPGSRILVTTRGEKVASIMRSKVHRLKQLKKDICWNVFEKHALRDDELELNDEKKEIGRRIVQKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYKFDKKELILLWIAQDFLHCSQQSNNLEEIGEQYFNDLLMRSFFLQSDFKTCFFMHDLLNDLAKYVCADFCFRLKFDKGNCISKTTRHFSFGISDVKYFDGLGSLTDAKRLRSFFPYKEFGRRYIDYYPLQFKILVHELFSNFKFLRVLSLDQYSELREVPDSIGDLKHLHSLDLSGTQIQKLPDSTCLLYNLLILKLNYCSSLKELPLNLHKLTKLRCLEFENTNVTEMPMHFGELKNLQVLSAVFVDKNKEFSTKHLGGLNLHGGLSINEVQNIVNPVDALEANLKNKDLVKLELKWKSDYIPDDPRKEKKVLENLQPSKTLEHLSIKSYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLKTLQIIGFDGIVSIGDEFYGNSSSSFTSLERLTFSNMKELEECERKTAAFPRLEFLSVYQCPKLKGLPKELVNVKYLDIRGSMKAWCLERCEHTVSHNSLEDLNFCAFPIMNIPMSRSFDLLEQINIFRGCDSLTTFPLDFFPNLKALSLYFCRNLQIISQDHTHNHLESLTIDGCSRFDSFPSEGLSAPRLKRIDIDEAENLKLLPKQMQILLPSLNILKIICCPKVEMFPNGGLPPNVKAVFLSSLKLMASLRETLGTNTCLQSLYIEKMDVEFFPDEVLLPHSITALRICDCPNLKKMEYKGLCHLSSLLLHNCPNLQCLPEDGLPKSISSLQILNCPLLKQRCQNPEGQDWNKIAHIEDLIILP >Vigun03g086300.1.v1.2 pep primary_assembly:ASM411807v1:3:7149808:7154251:-1 gene:Vigun03g086300.v1.2 transcript:Vigun03g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVEENIGDGRVAVVKLMRHRETKEHVAVKFMERGHKVALTPTHLAIVMEYAAGGELFYHVLHQRRIAEDQARYFFQQLIAGVSYCHDMEICHRDLKLENTLLDGSPAHRLKICDFGYSKSCLNYSRTHSMVGTPAYIAPEIISGKDYDGKLADVWSCGVMLYTMLVGTLPFEDKNDRQNLRKMVQRISAVQYEFPHNVLSEGSKNLISRIFVANPSKRITMEEIKSHPWFLKNLPMELSEDAHYFYLNEENTKYCVQSIEEIMNIVNEAKTPPVASSPNL >Vigun04g041200.1.v1.2 pep primary_assembly:ASM411807v1:4:3575864:3581937:1 gene:Vigun04g041200.v1.2 transcript:Vigun04g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVGAGVEKAAGDGTTAWDSGGTNILVRRVMYVAMLLGGVGVLWMSFYHFGSPLECFTFSHYSMDESSKEDDNDQKLESVLRRASMKDKTVILTTLNDAWTAPGSIFDLFLESFRVGNETEWLLNHLVVITYEQKTQERCLTAHKYCHQLISKGDNFTGEQRFMTPNYLHMMWKRLEFLGSILDMGYSFVFTDCDIMWLRDPFKQFDKDADFQVACDAFNGNPSDIRNPSNAGFKYARSNYRTNWFYKFWINSRSSYPKLNEQDVLNRIKEHPSVSDMKLKIKFLSTSYFGGFCQISEDFNKVTTMHANCCVGLEKKSNDLKLVLEDWKKYMALPEHNKTESHHSWRVPQNCKF >Vigun05g080600.3.v1.2 pep primary_assembly:ASM411807v1:5:7587575:7592062:-1 gene:Vigun05g080600.v1.2 transcript:Vigun05g080600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSSTTINSSGVAPNYSAHQQHHHFQGLVESQSQDQQNPVPAVCGFSGLPLFPSQSQRNRDNINNSTATNNVRTSGGNIVDVVASSSSSPSMDDTSAATSGWIDGILKDLIHSSNSVSIPQLISNVREIIYPCNPNLATILEYRLRLLTESTPQNKRGTEGVPLPPSVSSAKLMNNRVDGIVPNLHFTDASGGPVVVNQHMLSNWGVPPITHHHDNNATNNNANTNTNPSVSLVTLPSPPPPPHYSPPQEKQPHPQEEDLAATSSAEVALTRKKKEELREQKKKDEEGLHLLTLLLQCAEAVSAENLEDANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPHTHQSHKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFYILASRPGGAPYVRLTGLGTSMEALEATGKRLSDFANKLGLPFEFFPVAEKVGNLDPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNSGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRTGEPKFHNWREKFQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHNGTAITHHN >Vigun05g080600.2.v1.2 pep primary_assembly:ASM411807v1:5:7587575:7592258:-1 gene:Vigun05g080600.v1.2 transcript:Vigun05g080600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSSTTINSSGVAPNYSAHQQHHHFQGLVESQSQDQQNPVPAVCGFSGLPLFPSQSQRNRDNINNSTATNNVRTSGGNIVDVVASSSSSPSMDDTSAATSGWIDGILKDLIHSSNSVSIPQLISNVREIIYPCNPNLATILEYRLRLLTESTPQNKRGTEGVPLPPSVSSAKLMNNRVDGIVPNLHFTDASGGPVVVNQHMLSNWGVPPITHHHDNNATNNNANTNTNPSVSLVTLPSPPPPPHYSPPQEKQPHPQEEDLAATSSAEVALTRKKKEELREQKKKDEEGLHLLTLLLQCAEAVSAENLEDANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPHTHQSHKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFYILASRPGGAPYVRLTGLGTSMEALEATGKRLSDFANKLGLPFEFFPVAEKVGNLDPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNSGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRTGEPKFHNWREKFQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHNGTAITHHN >Vigun05g080600.1.v1.2 pep primary_assembly:ASM411807v1:5:7587575:7592257:-1 gene:Vigun05g080600.v1.2 transcript:Vigun05g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACALFTAATNITEDGNVNNATGTAAAAASPLTSASNSSNMSNDEQHNNLHGIIHCDRKMVRKRMASEMDQVNNVHVTPPHNSSSTTDYPRFPRRSAGTNMNMLEKGSTTTATTLVAADNVGIPNYPTVTVTTNYSTMLLPSSTTINSSGVAPNYSAHQQHHHFQGLVESQSQDQQNPVPAVCGFSGLPLFPSQSQRNRDNINNSTATNNVRTSGGNIVDVVASSSSSPSMDDTSAATSGWIDGILKDLIHSSNSVSIPQLISNVREIIYPCNPNLATILEYRLRLLTESTPQNKRGTEGVPLPPSVSSAKLMNNRVDGIVPNLHFTDASGGPVVVNQHMLSNWGVPPITHHHDNNATNNNANTNTNPSVSLVTLPSPPPPPHYSPPQEKQPHPQEEDLAATSSAEVALTRKKKEELREQKKKDEEGLHLLTLLLQCAEAVSAENLEDANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPHTHQSHKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFYILASRPGGAPYVRLTGLGTSMEALEATGKRLSDFANKLGLPFEFFPVAEKVGNLDPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNSGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRTGEPKFHNWREKFQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHNGTAITHHN >Vigun05g080600.4.v1.2 pep primary_assembly:ASM411807v1:5:7587575:7592062:-1 gene:Vigun05g080600.v1.2 transcript:Vigun05g080600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACALFTAATNITEDGNVNNATGTAAAAASPLTSASNSSNMSNDEQHNNLHGIIHCDRKMVRKRMASEMDQVNNVHVTPPHNSSSTTDYPRFPRRSAGTNMNMLEKGSTTTATTLVAAGTCSNNSNTNNNNNNYHFNNSNHNSNSGSISSRDNVGIPNYPTVTVTTNYSTMLLPSSTTINSSGVAPNYSAHQQHHHFQGLVESQSQDQQNPVPAVCGFSGLPLFPSQSQRNRDNINNSTATNNVRTSGGNIVDVVASSSSSPSMDDTSAATSGWIDGILKDLIHSSNSVSIPQLISNVREIIYPCNPNLATILEYRLRLLTESTPQNKRGTEGVPLPPSVSSAKLMNNRVDGIVPNLHFTDASGGPVVVNQHMLSNWGVPPITHHHDNNATNNNANTNTNPSVSLVTLPSPPPPPHYSPPQEKQPHPQEEDLAATSSAEVALTRKKKEELREQKKKDEEGLHLLTLLLQCAEAVSAENLEDANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPHTHQSHKVASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFYILASRPGGAPYVRLTGLGTSMEALEATGKRLSDFANKLGLPFEFFPVAEKVGNLDPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNSGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRTGEPKFHNWREKFQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHNGTAITHHN >Vigun08g221800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:38002613:38005783:1 gene:Vigun08g221800.v1.2 transcript:Vigun08g221800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVVPVSVPVPVPVPVTDPAVARNPNGGVTIRTRLSQLCQQGQPQLARHLLDSLPRASTAVWNTVIIGFICNKMPLEALQLYAEMKSRRNTASDGYTFSSTLKACALTQNLMAGKALHSHFLRSQSNSRVVYNSLLNMYSACLPPFSTQPQHDYVLKLFAVMRKRNVVAWNTLISWFVKTHRHLHALRAFATLVKASLTPTPVTFVNVFPAVTHPTTALMLYGLLLKHGADYVDHVFAVSSAIVMFADLGCLEYARMVFDCCSNKNTEVWNSMIGGYVQNNCPLQGIDVFVRALESEEAVCDDVTFLSVISAVSQLQQIKLAQQIHAFVLKSLAVTPTIVVNAIIVMYSRCSSVDTSFKVFEKMSERDAVSWNTIISSFAQNGLDEEALMLVCEMQKQRFTIDSVTVTALLSAASNMRDSYIGRQTHAYLIRHGIQFEGMESYLIDMYAKSGLITTSELLFEQNGPSDRDLASWNAMIAGYTQNGLSDKAILILREALVRKVIPNAVTLASILPSCSSMGSTDLARQLHGFSIRLLLDQNVYVGTALVDAYSKSGAISYAENVFIRTPEKNSVTYTTMIMSYGQHGMGKRALALYDSMLRCGIKPDAITFIAILSACNYSGLVEEGLHIFESMDKVHKIKPSTEHYCCVADMLGRVGRVVEAYEFVERLGEDGDAVEIWGSILGACKNHGYFELGKVIAEKLLNMEKEKRIAGYHVLLSNIYAEEGEWENVDRVRNHMKEKGLQKEIACSWVEIAGCVNYFVARDEKHPLSGEIYYILDILTRDMMGVGYKPGYNSNLNRILESSD >Vigun11g183300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38608647:38609667:1 gene:Vigun11g183300.v1.2 transcript:Vigun11g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLPGIRKVLFAANQASSKAVDAPKGYLAVYVGEKMKRLMIPVSYLNEPSFQDLLSQVEEEYGYEHPMGGLTIPCSEDVFQHITGCLNGR >Vigun11g013401.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1631711:1633005:-1 gene:Vigun11g013401.v1.2 transcript:Vigun11g013401.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFVGSALLSAFLQVAFEKLASPQILDFFRARKLDEKLLNKLETKLHSIHSLADDAERKQFTDPHVRNWLLKVKDAVLDAEDLLDDLHNLSKSQVDVESESQTFSGCSCKVFNFFKSSPIRSFNKEIESRMEQNLDGLEFLSSQKGALGLKTASGVGYGMSNELPQKSQTTSLVLGTDIYGRDDDKELIFDWMTSDINNCYQPSILSIVGMGG >Vigun11g013401.1.v1.2 pep primary_assembly:ASM411807v1:11:1624417:1632797:-1 gene:Vigun11g013401.v1.2 transcript:Vigun11g013401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFVGSALLSAFLQVAFEKLASPQILDFFRARKLDEKLLNKLETKLHSIHSLADDAERKQFTDPHVRNWLLKVKDAVLDAEDLLDDLHNLSKSQVDVESESQTFSGCSCKVFNFFKSSPIRSFNKEIESRMEQNLDGLEFLSSQKGALGLKTASGVGYGMSNELPQKSQTTSLVLGTDIYGRDDDKELIFDWMTSDINNCYQPSILSIVGMGGSEKGLLWKHRM >Vigun09g049300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4853161:4857085:1 gene:Vigun09g049300.v1.2 transcript:Vigun09g049300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYAMALLKLRQSSFLSPPFLRRFCSVPPPPSESNDAELLSNLLLQHHNPFHAAESSLQLHGVTLTPDLLFQTLLRLKHHSKIALSLFHYAKTLPNPPLTHASYTLLIDSVAKVRQFDVAWQLIVEMAQRDLHPTPATFLTLIRRLIAAGLTRQAVRAFHDIDAFAKTTPDDFCFLLDTLCKYGYVRLAVEVFNRNRTTFPPSVKMYTVLIYGWCKLGKVKMAQTFLNEMIERGIEPNVVTYNVLLNGVCRKVSLHPEERFERTIRNAEEVFDQMRKNRIEPDVTSFSILLHVYSRAHKPDLVLDKLRLMKEKGIFPNVVMYTSVIKCLASCGRLEDAERLLEEMVKDGVSPCAATYNCFFKEYRGRKDAESALRMFKRMKEDGFCAPSSHTYVILIRMFLRLDKIRVVKEIWDDMKIIGAGPDLDLYTVLIHGLCERQNWREACHYFVEMIENGFLPLKGTFETLYRGLIQADMLRTWRRLKKKLDEESIAFGSEFQNYQLKPYRR >Vigun09g049300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4853182:4857085:1 gene:Vigun09g049300.v1.2 transcript:Vigun09g049300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYAMALLKLRQSSFLSPPFLRRFCSVPPPPSESNDAELLSNLLLQHHNPFHAAESSLQLHGVTLTPDLLFQTLLRLKHHSKIALSLFHYAKTLPNPPLTHASYTLLIDSVAKVRQFDVAWQLIVEMAQRDLHPTPATFLTLIRRLIAAGLTRQAVRAFHDIDAFAKTTPDDFCFLLDTLCKYGYVRLAVEVFNRNRTTFPPSVKMYTVLIYGWCKLGKVKMAQTFLNEMIERGIEPNVVTYNVLLNGVCRKVSLHPEERFERTIRNAEEVFDQMRKNRIEPDVTSFSILLHVYSRAHKPDLVLDKLRLMKEKGIFPNVVMYTSVIKCLASCGRLEDAERLLEEMVKDGVSPCAATYNCFFKEYRGRKDAESALRMFKRMKEDGFCAPSSHTYVILIRMFLRLDKIRVVKEIWDDMKIIGAGPDLDLYTVLIHGLCERQNWREACHYFVEMIENGFLPLKGTFETLYRGLIQADMLRTWRRLKKKLDEESIAFGSEFQNYQLKPYRR >Vigun07g082700.1.v1.2 pep primary_assembly:ASM411807v1:7:11960613:11962017:-1 gene:Vigun07g082700.v1.2 transcript:Vigun07g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPLHLKNHKYPTMTTTSVALFLCFAFLFCVSEGAKVTFTNKCTYTVWPGTLTGDQKAQLSSTGFELGSGASSSLDLPSPWSGRFWARTGCSNNNGRFSCATADCGSGQVTCNGAGGNPPATLVEITVAENGGQDFYDVSNVDGFNIPMSVAPQGGSGECKTSTCRNNINAACPADLQMKGSDGSVIGCKSACLAFGDDKYCCKGAYDKPETCPPTSYSEFFENQCPEAYSYAYDDKNSTFTCSNRPDYVITFCP >Vigun04g167900.4.v1.2 pep primary_assembly:ASM411807v1:4:39256433:39259016:1 gene:Vigun04g167900.v1.2 transcript:Vigun04g167900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLVCSSRRPKSKETVWKRKKLPQNEDLPPILPKNKKKPYPIPFKDIQQAAREDKKLAQMGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLAQLLHHIPVHGCSECSEVHVAQTGHNFRDCSGPNGRERRSSHAWVKGSVNDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAIVELCIQAGVDIPEYPSRRRTNPIRMLGKRVIDRGGNLEEPKPWRFADPSSLNDFDTYRAFERFSRPSLSDLPKIAEETMAAYEIVKKGVRKLMRMYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPNGPPLASALRRYYGKAPAVVEVCTQAGAQIPDEYKPMMRLDIIIPDPEEARMIA >Vigun04g167900.2.v1.2 pep primary_assembly:ASM411807v1:4:39255351:39259016:1 gene:Vigun04g167900.v1.2 transcript:Vigun04g167900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLSSALWDPSHSCFSPNVKLIWPHLSSKSYCLGLKFKCQQFCKGRSTMPGVLVCSSRRPKSKETVWKRKKLPQNEDLPPILPKNKKKPYPIPFKDIQQAAREDKKLAQMGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLAQLLHHIPVHGCSECSEVHVAQTGHNFRDCSGPNGRERRSSHAWVKGSVNDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAIVELCIQAGVDIPEYPSRRRTNPIRMLGKRVIDRGGNLEEPKPWRFADPSSLNDFDTYRAFERFSRPSLSDLPKIAEETMAAYEIVKKGVRKLMRMYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPNGPPLASALRRYYGKAPAVVEVCTQAGAQIPDEYKPMMRLDIIIPDPEEARMIA >Vigun04g167900.5.v1.2 pep primary_assembly:ASM411807v1:4:39256432:39259016:1 gene:Vigun04g167900.v1.2 transcript:Vigun04g167900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLVCSSRRPKSKETVWKRKKLPQNEDLPPILPKNKKKPYPIPFKDIQQAAREDKKLAQMGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLAQLLHHIPVHGCSECSEVHVAQTGHNFRDCSGPNGRERRSSHAWVKGSVNDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAIVELCIQAGVDIPEYPSRRRTNPIRMLGKRVIDRGGNLEEPKPWRFADPSSLNDFDTYRAFERFSRPSLSDLPKIAEETMAAYEIVKKGVRKLMRMYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPNGPPLASALRRYYGKAPAVVEVCTQAGAQIPDEYKPMMRLDIIIPDPEEARMIA >Vigun04g167900.3.v1.2 pep primary_assembly:ASM411807v1:4:39255351:39259016:1 gene:Vigun04g167900.v1.2 transcript:Vigun04g167900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLSSALWDPSHSCFSPNVKLIWPHLSSKSYCLGLKFKCQQFCKGRSTMPGVLVCSSRRPKSKETVWKRKKLPQNEDLPPILPKNKKKPYPIPFKDIQQAAREDKKLAQMGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLAQLLHHIPVHGCSECSEVHVAQTGHNFRDCSGPNGRERRSSHAWVKGSVNDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAIVELCIQAGVDIPEYPSRRRTNPIRMLGKRVIDRGGNLEEPKPWRFADPSSLNDFDTYRAFERFSRPSLSDLPKIAEETMAAYEIVKKGVRKLMRMYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPNGPPLASALRRYYGKAPAVVEVCTQAGAQIPDEYKPMMRLDIIIPDPEEARMIA >Vigun04g167900.1.v1.2 pep primary_assembly:ASM411807v1:4:39255351:39259016:1 gene:Vigun04g167900.v1.2 transcript:Vigun04g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVNYRNREQTYMGLPLLSSALWDPSHSCFSPNVKLIWPHLSSKSYCLGLKFKCQQFCKGRSTMPGVLVCSSRRPKSKETVWKRKKLPQNEDLPPILPKNKKKPYPIPFKDIQQAAREDKKLAQMGIEKPLEPPKNGLLVPDLVPVAYEVFEAWKLLIKGLAQLLHHIPVHGCSECSEVHVAQTGHNFRDCSGPNGRERRSSHAWVKGSVNDILVPIESYHLFDPFGRRIKHDTRFEYDRIPAIVELCIQAGVDIPEYPSRRRTNPIRMLGKRVIDRGGNLEEPKPWRFADPSSLNDFDTYRAFERFSRPSLSDLPKIAEETMAAYEIVKKGVRKLMRMYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPNGPPLASALRRYYGKAPAVVEVCTQAGAQIPDEYKPMMRLDIIIPDPEEARMIA >Vigun07g033100.1.v1.2 pep primary_assembly:ASM411807v1:7:3141125:3147214:-1 gene:Vigun07g033100.v1.2 transcript:Vigun07g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTMDVLHFQHTPFYCEENVYLLCKKLCSDGVAKAEGSDLFVVFISNEKKQIPLWNQKASKRADGVILWDYHVICIQIKQGNVPPLVWDLDSTLPFPSPLPLYVSETIRPSFQLFSDYNRLFRVVHAPLFLRSFASDRRHMKDSGGKWIEEPPPHEPIIAEDGTVHNLNEYINISVADAITDVSISSVKDVIFTQKNGVVIKDNQLEEFICQLSSLE >Vigun01g189850.1.v1.2 pep primary_assembly:ASM411807v1:1:36888882:36890696:-1 gene:Vigun01g189850.v1.2 transcript:Vigun01g189850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSHIQHSVWNFSLLCIWVSAVMVFADERFLMNVSLVAGMCPHSQWEKVAKGYWTRSMSPFDHKILDIRTVVRDASPFGDSHDEHMYNKMQNKED >Vigun03g308600.1.v1.2 pep primary_assembly:ASM411807v1:3:50049877:50053092:-1 gene:Vigun03g308600.v1.2 transcript:Vigun03g308600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHFLLLCALVALCSSHLVRGNAELRALMDLKKSLDPEDTLLGSWTSDGDPCSGSFLGVACNQHNKVANISLPGRGLSGRVSPAVAELRCLSGLYLHFNHLSGDIPREIANLTELMDLYLNVNNLSGKIPTDIGNMTSLRVLQLGYNQLEGNIPKELGSLKQLNAISLQHNKLTGQIPLSLGSLENLTMLYLSSNNFIGIIPATLADIANLKVLDIQNNSLSGTVPSALQRLREGFKGANNPDLCGVGFSTLKACNSDKIYGVGQISSPNKSINNNPSATFSNPANTHLNCSQIHCSKSGGFLHLVIAASVTTTIITFLSSGIFMFVRYRRQRQRIRNTSECSESQPSPYLPKDFYRSSSPLVNLENYYSGWDSVADGQNASGLSLEYLNRFRFNIDEIESATQHLSEANLLGKSKLSAVYKGVHRDGSLVAIRSISVTCCKTEEDEFLKGLILLTTLRHENIVKMKGFCYSRSRGEWYFVYDFATRGNLSQYLDKEDGSDSVLEWSKRVSIIRGIAKGIGYLHSNEASKPTIVHQNVSVEKVILDHEFNPLIMDAGLPKLLADDVVFSALKASAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQVLSGKALVGGSIRVAVEAFRFEDFVDTNLKGDYSKSEAAILSKLAIVCTHEVPELRPTMVEVIQELAMLPLHSS >Vigun03g308600.2.v1.2 pep primary_assembly:ASM411807v1:3:50049877:50053092:-1 gene:Vigun03g308600.v1.2 transcript:Vigun03g308600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSSNNFIGIIPATLADIANLKVLDIQNNSLSGTVPSALQRLREGFKGANNPDLCGVGFSTLKACNSDKIYGVGQISSPNKSINNNPSATFSNPANTHLNCSQIHCSKSGGFLHLVIAASVTTTIITFLSSGIFMFVRYRRQRQRIRNTSECSESQPSPYLPKDFYRSSSPLVNLENYYSGWDSVADGQNASGLSLEYLNRFRFNIDEIESATQHLSEANLLGKSKLSAVYKGVHRDGSLVAIRSISVTCCKTEEDEFLKGLILLTTLRHENIVKMKGFCYSRSRGEWYFVYDFATRGNLSQYLDKEDGSDSVLEWSKRVSIIRGIAKGIGYLHSNEASKPTIVHQNVSVEKVILDHEFNPLIMDAGLPKLLADDVVFSALKASAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQVLSGKALVGGSIRVAVEAFRFEDFVDTNLKGDYSKSEAAILSKLAIVCTHEVPELRPTMVEVIQELAMLPLHSS >Vigun03g308600.3.v1.2 pep primary_assembly:ASM411807v1:3:50049877:50053092:-1 gene:Vigun03g308600.v1.2 transcript:Vigun03g308600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRYRRQRQRIRNTSECSESQPSPYLPKDFYRSSSPLVNLENYYSGWDSVADGQNASGLSLEYLNRFRFNIDEIESATQHLSEANLLGKSKLSAVYKGVHRDGSLVAIRSISVTCCKTEEDEFLKGLILLTTLRHENIVKMKGFCYSRSRGEWYFVYDFATRGNLSQYLDKEDGSDSVLEWSKRVSIIRGIAKGIGYLHSNEASKPTIVHQNVSVEKVILDHEFNPLIMDAGLPKLLADDVVFSALKASAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQVLSGKALVGGSIRVAVEAFRFEDFVDTNLKGDYSKSEAAILSKLAIVCTHEVPELRPTMVEVIQELAMLPLHSS >Vigun08g061300.1.v1.2 pep primary_assembly:ASM411807v1:8:8222641:8225614:1 gene:Vigun08g061300.v1.2 transcript:Vigun08g061300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMKLGRWLTSVLFLIVATVNNAVGAFVGVNIGTGVTDLPSASNLVAILTAHQITHVRLYDANEHMLQALSKTGIEVIVSVTDEEILGIGKSASVAAAWISNNVAAYMPFTNITAISVGSEVLTSVPKVASVLVPAMNHLHKALVASNLNFRVKISTPQSMDIISRPFPPSTATFNSSWNSTIYQLLQFLQNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLSPVKQIVDPNTLFHYNSMFEAMVDATYYAIEAFNFNNIPIVITETGWPSFGAVNEPDATEKNAETYNNNLILRVLNGSGPPSQPKIALNTYLYELFNEDKRKGPVSEKNWGVFYANGSSVYPLSFSGSNLSNGNSLGSFCVAKDDSDTDKLQAGLSWACGQGQANCVAIQPGRPCYSPNNVKSHASYAYNDYYQKMHNAGGTCDFDGTATTTTEDPSYGSCIYAGSANSSIGGRSSSSTAIGLGPISPVGVGAGLNLQVSTFQYLISSISVLSALMMS >Vigun10g180900.4.v1.2 pep primary_assembly:ASM411807v1:10:39872930:39877608:1 gene:Vigun10g180900.v1.2 transcript:Vigun10g180900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRSVGLDVRKRTTAYALQHANSNVLDERNNSSLNSGMLIGSLSSCYTSFKKLSSLAASAKTCFSGSDDQSKEAIEVTDVTSSSEGIEHNRVHCVVWLLHESSRSFSQSINSLGVARSGPALAMAWIGKDVHEWHRRIAYEVAVYALMKAVIDLEILLSHERLNEFSPVKEILSPQMNQMREHIEIRLKMKHPYLVQWFRDTEMPRIAGYFIPLLKKWSMEYAGSGIAGIIVAITCCSAVVKFGSRHICCSLLVLSLEDVLVKLMDFSPNLASIDKLHQLATEAGFEQDFLYHFGGKVFPSEKTEDLEFWIGLAHKKLLKAFNEESITLKKQNFQQKIRADSLATLGLFTYLGRKTRMFLSVMGIKDLDGVVKDFLSYLEFGILFIYPEFSSISVYQCFMEVVTDEIGWLDFYMSFVQINSKEKRSKQNARQAEKEIISSVVFTVCYDVFSGFAHFNRSTQQSLDTTSRSYLLIWESLNTMDHAAIDYQTSYMGSITGPKLPRVFEVQQMSHDLTTEGCPEINFRNSCTFKKASVSAITSKKTYEKDSCIDKSNTQPQNFIKRYIIKLASTSADIWMGTVLLFTDISVALELLSRQMHGCKPSGSQKKRLNRTLTDIIVLIPVTILMLLPVTAVGHAAILTAIKKYMPFLIPSSYSSERLDVVKQIKQTREMGDQLHLAQFDLEDQPVTISSI >Vigun10g180900.2.v1.2 pep primary_assembly:ASM411807v1:10:39871683:39877608:1 gene:Vigun10g180900.v1.2 transcript:Vigun10g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFNLQHQLFNYSSVGLDVRKRTTAYALQHANSNVLDERNNSSLNSGMLIGSLSSCYTSFKKLSSLAASAKTCFSGSDDQSKEAIEVTDVTSSSEGIEHNRVHCVVWLLHESSRSFSQSINSLGVARSGPALAMAWIGKDVHEWHRRIAYEVAVYALMKAVIDLEILLSHERLNEFSPVKEILSPQMNQMREHIEIRLKMKHPYLVQWFRDTEMPRIAGYFIPLLKKWSMEYAGSGIAGIIVAITCCSAVVKFGSRHICCSLLVLSLEDVLVKLMDFSPNLASIDKLHQLATEAGFEQDFLYHFGGKVFPSEKTEDLEFWIGLAHKKLLKAFNEESITLKKQNFQQKIRADSLATLGLFTYLGRKTRMFLSVMGIKDLDGVVKDFLSYLEFGILFIYPEFSSISVYQCFMEVVTDEIGWLDFYMSFVQINSKEKRSKQNARQAEKEIISSVVFTVCYDVFSGFAHFNRSTQQSLDTTSRSYLLIWESLNTMDHAAIDYQTSYMGSITGPKLPRVFEVQQMSHDLTTEGCPEINFRNSCTFKKASVSAITSKKTYEKDSCIDKSNTQPQNFIKRYIIKLASTSADIWMGTVLLFTDISVALELLSRQMHGCKPSGSQKKRLNRTLTDIIVLIPVTILMLLPVTAVGHAAILTAIKKYMPFLIPSSYSSERLDVVKQIKQTREMGDQLHLAQFDLEDQPVTISSI >Vigun10g180900.3.v1.2 pep primary_assembly:ASM411807v1:10:39872930:39877608:1 gene:Vigun10g180900.v1.2 transcript:Vigun10g180900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRSVGLDVRKRTTAYALQHANSNVLDERNNSSLNSGMLIGSLSSCYTSFKKLSSLAASAKTCFSGSDDQSKEAIEVTDVTSSSEGIEHNRVHCVVWLLHESSRSFSQSINSLGVARSGPALAMAWIGKDVHEWHRRIAYEVAVYALMKAVIDLEILLSHERLNEFSPVKEILSPQMNQMREHIEIRLKMKHPYLVQWFRDTEMPRIAGYFIPLLKKWSMEYAGSGIAGIIVAITCCSAVVKFGSRHICCSLLVLSLEDVLVKLMDFSPNLASIDKLHQLATEAGFEQDFLYHFGGKVFPSEKTEDLEFWIGLAHKKLLKAFNEESITLKKQNFQQKIRADSLATLGLFTYLGRKTRMFLSVMGIKDLDGVVKDFLSYLEFGILFIYPEFSSISVYQCFMEVVTDEIGWLDFYMSFVQINSKEKRSKQNARQAEKEIISSVVFTVCYDVFSGFAHFNRSTQQSLDTTSRSYLLICQGLLSFCLQYHWAAYDKSGESLNTMDHAAIDYQTSYMGSITGPKLPRVFEVQQMSHDLTTEGCPEINFRNSCTFKKASVSAITSKKTYEKDSCIDKSNTQPQNFIKRYIIKLASTSADIWMGTVLLFTDISVALELLSRQMHGCKPSGSQKKRLNRTLTDIIVLIPVTILMLLPVTAVGHAAILTAIKKYMPFLIPSSYSSERLDVVKQIKQTREMGDQLHLAQFDLEDQPVTISSI >Vigun10g180900.1.v1.2 pep primary_assembly:ASM411807v1:10:39871683:39877608:1 gene:Vigun10g180900.v1.2 transcript:Vigun10g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFNLQHQLFNYSSVGLDVRKRTTAYALQHANSNVLDERNNSSLNSGMLIGSLSSCYTSFKKLSSLAASAKTCFSGSDDQSKEAIEVTDVTSSSEGIEHNRVHCVVWLLHESSRSFSQSINSLGVARSGPALAMAWIGKDVHEWHRRIAYEVAVYALMKAVIDLEILLSHERLNEFSPVKEILSPQMNQMREHIEIRLKMKHPYLVQWFRDTEMPRIAGYFIPLLKKWSMEYAGSGIAGIIVAITCCSAVVKFGSRHICCSLLVLSLEDVLVKLMDFSPNLASIDKLHQLATEAGFEQDFLYHFGGKVFPSEKTEDLEFWIGLAHKKLLKAFNEESITLKKQNFQQKIRADSLATLGLFTYLGRKTRMFLSVMGIKDLDGVVKDFLSYLEFGILFIYPEFSSISVYQCFMEVVTDEIGWLDFYMSFVQINSKEKRSKQNARQAEKEIISSVVFTVCYDVFSGFAHFNRSTQQSLDTTSRSYLLICQGLLSFCLQYHWAAYDKSGESLNTMDHAAIDYQTSYMGSITGPKLPRVFEVQQMSHDLTTEGCPEINFRNSCTFKKASVSAITSKKTYEKDSCIDKSNTQPQNFIKRYIIKLASTSADIWMGTVLLFTDISVALELLSRQMHGCKPSGSQKKRLNRTLTDIIVLIPVTILMLLPVTAVGHAAILTAIKKYMPFLIPSSYSSERLDVVKQIKQTREMGDQLHLAQFDLEDQPVTISSI >Vigun10g011400.1.v1.2 pep primary_assembly:ASM411807v1:10:1199956:1202930:1 gene:Vigun10g011400.v1.2 transcript:Vigun10g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLFTLVFCSQVMAALNTPLPPPSYGDKVSILSIDGGGIRGIIPATVLIHLDNALKAKDPTTSLAHYFDVISGTSTGGLMALMLAAPNSSHSHRPLFTPSQVVQFYKKNGPDIFRSHHLFRCPKYDGEALRQKTTELLRETRLSETLTNVVIPTFDEKKIQPVIFSNYKLKKESHLNAKLSDIGLGTSAAPTFLPSHEFENDGVQFDLIDGAMAANNPAMAAVSEVIQEHHGEKEILLLSLGTGIQKSKDKLGGYFDLGCQASWLPWHINTIGEAIYSTDMTHYYLATIFPALLSADNYLRIEEYNLDPSMAEMDNANKKNMDNLEKVGKGLLTQKVKRINVNTFLPYELDQTNIQALDRLAEKLYAERQLRLKRKSMEKGGRGFVESVEDLFDGIRAT >Vigun10g155100.1.v1.2 pep primary_assembly:ASM411807v1:10:37508671:37512927:-1 gene:Vigun10g155100.v1.2 transcript:Vigun10g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRRKNDDDSDVEESETAFSKRKKTVATEEKKDQLRPSMIKNKEKRSEVHAKLKHQKKLDKRAKAKARDAAVKKALELGEELPEKKVPRTIENTREFDETVCKPDDEELFAGNDADEFSSILNQQQTPKILITTCRFNSSRGPAFISELLSVIPNAHYYRRGTYDLKKIVEYAKNKDFTSIIVVHTNRREPDALLIIGVPNGPTAHFKLSKLVLRKDIKNHGTPTSHKPELVLNNFTTRLGSRIGRLIQSIFPQDPEFKGRRVVTFHNQRDFIFFRHHRYIFEKKEVKKAESKGKKDKDGKSDNVPEQKVIARLQECGPRFTLKLISLQNGTFDTKGGEYEWVHKAEMDTSRRRFFL >Vigun08g041300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4223673:4224942:-1 gene:Vigun08g041300.v1.2 transcript:Vigun08g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSMVLPLSSATKNRSKSNPPPSEAFLKRSAAKKWSEAEPKKLTMEASLKEKAVKGLSAAAVTSGMVIPEVAHAAGYDFSPSLQNFLLSIAAGGVVLVAIFGAVIGVANFDPVKRT >Vigun06g009500.1.v1.2 pep primary_assembly:ASM411807v1:6:4481244:4490995:-1 gene:Vigun06g009500.v1.2 transcript:Vigun06g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVFYLGESRSIGEKKPKLSGEDMLSITELSGYSFKREEFDVEYDNDAEQVLADMEFKNTDTEAEHQMKLHVLHIYSKRLNERKRRKHFVLERELLYPDPFEKSLLPEELQICQHYKVFMRFHSKEQHQDFLKNIIEELRLVRRIQDLQEARIAGCVTAGDGYRFIEQKRTKEAESSSCKESGQIGTSANTLQRPNNLKGELDSSPRGLQKGTAALFADSAIQAITKSLEEWDISGFAGAEFLSESEIKLCNEIRILPSHYLNMKQTISLEISKGSVTKKSDAHKLFKVEPSKVDRVYDMLVKKGVLQT >Vigun03g376400.1.v1.2 pep primary_assembly:ASM411807v1:3:57923647:57926215:1 gene:Vigun03g376400.v1.2 transcript:Vigun03g376400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSNNIIGLLNFLTFLLSIPIVVGGVWLSKHGSTECDRWLDKPVIAIGVFLLIVSLAGLIGACCRVTWLLWLYLLVMFLLIVILFAFTIFAFVVTNKGAGEVVSNRGYKEYRLGDYSNWLQKRVNNAKTWNRIKSCLQSGKVCTEFHEKFLNDTVNDLYKENLSALQSGCCKPSDECNFIYENPTTWTKTANASLNNPDCNAWDNDPNTLCFNCQSCKAGLLHNVKTAWKKVAVINIIVLIFLIIVYTIGCCAFRNNRQDNWKRY >Vigun10g038400.1.v1.2 pep primary_assembly:ASM411807v1:10:5274527:5275940:-1 gene:Vigun10g038400.v1.2 transcript:Vigun10g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFRCSLIGVGAYHEFFNVVSDNISEVLAGGECCDASLQCDNHSYWLAYIGEGDSVSFTMPPHHDVKGMILCVIYLSIPEIVATEGLRSVLIVNYTKCTLHVHMHGTIICFNDIDWEGIKSNLRSGDKVEIFVTFGHGLVVKNTRLYLICGESNYLETKPRLKKNLVIRFIKKVVE >Vigun03g099000.1.v1.2 pep primary_assembly:ASM411807v1:3:8468111:8469921:1 gene:Vigun03g099000.v1.2 transcript:Vigun03g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGQASEGIKLFGTTITLHGRERREDSKVSEEGTEEKRADRIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPIGAGRRKAKPPCHDPAGFLDGGLASTVQQQFGLEERLILDQWHVATIAHGDFRQFFPTKRRRINSGEHESQKEFVITEHRNEIETKEGLKGRDSVKLI >Vigun09g129200.1.v1.2 pep primary_assembly:ASM411807v1:9:28626875:28632834:-1 gene:Vigun09g129200.v1.2 transcript:Vigun09g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MALALNISVGFPHQRCPTLFKPSRPILSFPTASAVSSLTQLSVSVGEDFPDNYSDWIPNSDLRRRCGILLHPTSFRGPHGIGDLGHEAFRFIDWLHRAGCSVWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEGLVDDGLLEKHELPEPIDAERVNFSVVAELKDPLITKAAERLISSEGELKTEFENFRRDPDISSWLEDAAYFAAIDDSLNTFSWYNWPEPLRNRHLVALEDIYQQKQDFINVFIAQQFLFQRQWQKVHSYAQSKGISIMGDMPIYVGYHSADVWANKKQFLLNRKGFPLLVSGVPPDAFSETGQLWGSPLYDWKAMEKDRYSWWIRRIRRAQNLFDEFRIDHFRGFAGYWAVPAEAKVAMLGKWKVGPGMSLFDAIFRAVGRINIIAEDLGVITEDVVQLRRSIGAPGMAVLQFGFGGDAKNPHLPHNHECNQVVYTGTHDNDTIRGWWEALNQEEKSKALSYLSLNEGDDISWGLIQRVLGSVSQTAIIPMQDVLGLGNSARMNIPATQFGNWGWRIANSVSFDGLEREADRLREMLSMYGRL >Vigun11g169200.3.v1.2 pep primary_assembly:ASM411807v1:11:37564706:37567175:1 gene:Vigun11g169200.v1.2 transcript:Vigun11g169200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMNMNTNMASKSNSTIFGVPSFTTCPFSTTRARTCCIHVLALPNAKTRDTVSLSLSMFKRRSLGGFFSPIETTLGHLNTPISALNSGLEASITDSNELSAVLTNAKIVLDSQDENKIQLRVDLTGDQTQKVFDRIVTNLGRTAPPVPGFRMQKGE >Vigun11g169200.2.v1.2 pep primary_assembly:ASM411807v1:11:37564706:37567163:1 gene:Vigun11g169200.v1.2 transcript:Vigun11g169200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMNMNTNMASKSNSTIFGVPSFTTCPFSTTRARTCCIHVLALPNAKTRDTVSLSLSMFKRRSLGGFFSPIETTLGHLNTPISALNSGLEASITDSNELSAVLTNAKIVLDSQDENKIQLRVDLTGDQTQKVFDRIVTNLGRTAPPVPGFRMQKGDPKGLPCSDARGRTGYQICNTRNTQLYHG >Vigun11g169200.1.v1.2 pep primary_assembly:ASM411807v1:11:37564706:37567163:1 gene:Vigun11g169200.v1.2 transcript:Vigun11g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMNMNTNMASKSNSTIFGVPSFTTCPFSTTRARTCCIHVLALPNAKTRDTVSLSLSMFKRRSLGGFFSPIETTLGHLNTPISALNSGLEASITDSNELSAVLTNAKIVLDSQDENKIQLRVDLTGDQTQKVFDRIVTNLGRTAPPVPGFRMQKGGKSSKIPKDFLVQMLGEERVIKFAIQEILNSTMADYVKKENMDEKDWKISTTQTAEQLKKSFTPGNDFGFNVTLEPEN >Vigun02g168400.1.v1.2 pep primary_assembly:ASM411807v1:2:31194212:31198268:1 gene:Vigun02g168400.v1.2 transcript:Vigun02g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLSSHSELPCSYVPIPDGPYSPAEPDPPRHVAAKKVLLISSVLLLLLLSLVAFNAYYPHFSGDGDHASLAPTTPNELKFSPHTVPSSMLKPFSRGVSSGVSEKSNNWMLKSSNGESYPWNNSMLSWQRTAYHFQPEKNWMNDPNGPMYYKGWYHFFYQYNPEGAVWGSIVWGHAVSRDLIHWLHLPLAMVADQWYDQNGVWTGSATILPDGQVIMLYTGSTNESVQVQNLAYPADPSDPLLVDWIKYPSNPVLFPPPGIDTKDFRDPTTAWLTSEGKWRISIGSKLNKTGIALLYDTNDFKTYERVEGLLRAVPGTGMWECVDFFPVSSEGENGLDASINGENVKHVVKVSLDDDRHDYYAVGTYDEKNAKFTPDDLNNDVGIGLRYDYGIFYASKTFYDESKGRRVLWSWIGESDSEYADVAKGWASIQGIPRTVTLDKKTGSSLLQWPVAEVESLRLGSNEFENLKVKPGSVVPLEIGTAAQLDIIAEFEIDNKSLEMTAQSNKEYECSTSGGAAERGALGPFGLLVLADDDLSEYTPTYFYVVKGSDGQLKTSFCSDQLRSSLATDVGKKIFGSSVTVLGDEKLSVRILVDHSVVESFAQGGRTCVTSRVYPTKAIYGAARLFLFNNATEATVTASVKVWQMNSAFIRPFNPQESNSI >Vigun02g168400.2.v1.2 pep primary_assembly:ASM411807v1:2:31193720:31198268:1 gene:Vigun02g168400.v1.2 transcript:Vigun02g168400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLSSHSELPCSYVPIPDGPYSPAEPDPPRHVAAKKVLLISSVLLLLLLSLVAFNAYYPHFSGDGDHASLAPTTPNELKFSPHTVPSSMLKPFSRGVSSGVSEKSNNWMLKSSNGESYPWNNSMLSWQRTAYHFQPEKNWMNDPNGPMYYKGWYHFFYQYNPEGAVWGSIVWGHAVSRDLIHWLHLPLAMVADQWYDQNGVWTGSATILPDGQVIMLYTGSTNESVQVQNLAYPADPSDPLLVDWIKYPSNPVLFPPPGIDTKDFRDPTTAWLTSEGKWRISIGSKLNKTGIALLYDTNDFKTYERVEGLLRAVPGTGMWECVDFFPVSSEGENGLDASINGENVKHVVKVSLDDDRHDYYAVGTYDEKNAKFTPDDLNNDVGIGLRYDYGIFYASKTFYDESKGRRVLWSWIGESDSEYADVAKGWASIQGIPRTVTLDKKTGSSLLQWPVAEVESLRLGSNEFENLKVKPGSVVPLEIGTAAQLDIIAEFEIDNKSLEMTAQSNKEYECSTSGGAAERGALGPFGLLVLADDDLSEYTPTYFYVVKGSDGQLKTSFCSDQLRSSLATDVGKKIFGSSVTVLGDEKLSVRILVDHSVVESFAQGGRTCVTSRVYPTKAIYGAARLFLFNNATEATVTASVKVWQMNSAFIRPFNPQESNSI >Vigun03g300200.2.v1.2 pep primary_assembly:ASM411807v1:3:48852590:48854872:1 gene:Vigun03g300200.v1.2 transcript:Vigun03g300200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFPMNSSLEMVQNKEKKKRHMVHLNVYDLTPANNYLYLFGVGIFHSGIEVHGMEYGFGAHEYPTSGIFEVEPRNCPGFIFRRSILLGSTNMSYQEFHSFIECLSTKYHGDTYHLIAKNCNHFTDEVCQQLTGKPIPAWINRLARVGSFCNCLLPESLQVAAVRHLPEHLDISDEGSEYDDLSESDESEEEVSNRHLITSPDSRDVTIISEKPITLARDLL >Vigun03g300200.1.v1.2 pep primary_assembly:ASM411807v1:3:48852588:48854899:1 gene:Vigun03g300200.v1.2 transcript:Vigun03g300200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFPMNSSLEMVQNKEKKKRHMVHLNVYDLTPANNYLYLFGVGIFHSGIEVHGMEYGFGAHEYPTSGIFEVEPRNCPGFIFRRSILLGSTNMSYQEFHSFIECLSTKYHGDTYHLIAKNCNHFTDEVCQQLTGKPIPAWINRLARVGSFCNCLLPESLQVAAVRHLPEHLDISDEGSEYDDLSESDESEEEVSNRHLITSPDSRDVTIISEKPITLARDLL >Vigun08g132000.1.v1.2 pep primary_assembly:ASM411807v1:8:30300617:30301177:1 gene:Vigun08g132000.v1.2 transcript:Vigun08g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILWFLQCLFFMAMLLINPSVAPNAPAPPSGGDLKVPEAPPQLLHKVSGSRP >VigunL081600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:12620:14780:1 gene:VigunL081600.v1.2 transcript:VigunL081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGHNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIQYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPAL >Vigun04g069200.1.v1.2 pep primary_assembly:ASM411807v1:4:8029629:8034238:-1 gene:Vigun04g069200.v1.2 transcript:Vigun04g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVPRSNSDMGMEAFNKQIHEVDKQIDKLAVLLQKLKEANEESKAVTKAPAMKGIKKRMEKDIDEVGKIAHGVKTKIEAINRDNLSNRQNPGCEKGTGIDRARMNMTNALAKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQRAILEAGRGQVVNTVEEIQERHNAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTTALQNAKKLQKNSRKWMCIAIVILLIIVAIIVVGVLKPWKSS >Vigun08g034200.2.v1.2 pep primary_assembly:ASM411807v1:8:3187817:3197376:1 gene:Vigun08g034200.v1.2 transcript:Vigun08g034200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDINALLTSAGINIGLCVVLFSFYSVLRKQPSNVNVYFGRRLASQHSRRVDLCLERFVPSPSWILKAWETSEEEILAIGGLDGVVFVRMLVFSIRVFSIAAVICLALVLPINYHGMDRTHKHIPFESLEVFTIENVKEGSTWLWAHCVALYIITFSACTLLYIEYKSITNLRLLHIVGSPPNPSHFTILVRSVPCSSEESYCETVKRFFSHYHASAYLSHQMIYKSGKVQKLKDDAEHVCKVLKNASLDKTCKPSFRHCCSAASTHSFKKFAIGMDSANGGADNTDMNLETTKKECAAAFVFFKSRYAALMAAKVLQTPNPMLWVTDVAPEPHDIYWSNICVPYRQLWIRKIATLVASIGFMLVFLIPVTFVQGLTQLDKLQKMFPFLRGMLKEKLVRQVVTGYLPSVILVLFLCTVPPMMMLFSSVEGSISRSERKKSACCKVLYFTIWNVFFVNVFTGSVISQLSVFLA >Vigun11g186400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38682108:38682945:1 gene:Vigun11g186400.v1.2 transcript:Vigun11g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIRLPFLVNAKHTSLKSNNVPKGHVAVYVGDLEKKRFVVPISYLKHPLFLDLLNRAEEEFGFNHPMGGLTIPCKEEAFINLTSQMRAFSDRHHC >Vigun03g431700.1.v1.2 pep primary_assembly:ASM411807v1:3:63587357:63589672:-1 gene:Vigun03g431700.v1.2 transcript:Vigun03g431700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECCSNPPILSPTAGNGTVEKLGALDCYLTASPHSNFAVLMLSDIFGYQAPLLRKLADKVAAAGYYVVVPDLLEGDPFKPHDSLNDLPVWLKDHGPVEKGAEGSKPVIEALKSKGFSAIAGVGFCWGAKVAVELAKSRLIQTAVLLHPAFLSVDDFKDVDTPIAILGAESDKYCPPELVKQFEELLAAKPGVSSFVKIYGEVEHGWAVRYDTEDAEAVKAAEEAHKDLLDWLAKHLK >Vigun03g431700.2.v1.2 pep primary_assembly:ASM411807v1:3:63587357:63589672:-1 gene:Vigun03g431700.v1.2 transcript:Vigun03g431700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECCSNPPILSPTAGNGTVEKLGALDCYLTASPHSNFAVLMLSDIFGYQAPLLRKLADKVAAAGYYVVVPDLLEGDPFKPHDSLNDLPVWLKDHGPVEKGAEGSKPVIEALKSKGFSAIAGVGFCWGAKVAVELAKSRLIQTAVLLHPAFLSVDDFKDVDTPIAILGAESDKYCPPELVKQFEELLAAKPGIVFVLTGIEFC >Vigun06g044000.1.v1.2 pep primary_assembly:ASM411807v1:6:16796305:16799058:1 gene:Vigun06g044000.v1.2 transcript:Vigun06g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSSSPRKVIFILLPPQKGNFTEPEQNLSASRSPSSKGLLHQRIRGFQTHMIKWIEDGRVQWQKH >Vigun02g171600.4.v1.2 pep primary_assembly:ASM411807v1:2:31432559:31440591:1 gene:Vigun02g171600.v1.2 transcript:Vigun02g171600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTFTLLQPQPFTSPRPTTFVPISSFSSTSVSPLHLLQFNARRFCLRRRLFLFPVRATADQGNVEGDDVVDSKILPYCSIDKKEKKTVGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSTVVMLSPEEQKFLEASMAYVSGKPILSDKEFDDLKLRLKMEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMFLLNAPATVVALGLFFFIDDLTGFEISYLIKIPEPYSFILTWFAAIPFILWLAQSITRAIVKDFLILKGPCPNCGTENTSFFGTILSVSSGNSINNVKCENCETKMVYDSRTRLITLPEGSSA >Vigun02g171600.3.v1.2 pep primary_assembly:ASM411807v1:2:31432559:31440591:1 gene:Vigun02g171600.v1.2 transcript:Vigun02g171600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTFTLLQPQPFTSPRPTTFVPISSFSSTSVSPLHLLQFNARRFCLRRRLFLFPVRATADQGNVEGDDVVDSKILPYCSIDKKEKKTVGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSTVVMLSPEEQKFLEASMAYVSGKPILSDKEFDDLKLRLKMEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMFLLNAPATVVALGLFFFIDDLTGFEISYLIKIPEPYSFILTWFAAIPFILWLAQSITRAIVKDFLILKGPCPNCGTENTSFFGTILSVSSGNSINNVKCENCETKMVYDSRTRLITLPEGSSA >Vigun02g171600.2.v1.2 pep primary_assembly:ASM411807v1:2:31432559:31440591:1 gene:Vigun02g171600.v1.2 transcript:Vigun02g171600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTFTLLQPQPFTSPRPTTFVPISSFSSTSVSPLHLLQFNARRFCLRRRLFLFPVRATADQGNVEGDDVVDSKILPYCSIDKKEKKTVGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSTVVMLSPEEQKFLEASMAYVSGKPILSDKEFDDLKLRLKMEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMFLLNAPATVVALGLFFFIDDLTGFEISYLIKIPEPYSFILTWFAAIPFILWLAQSITRAIVKDFLILKGPCPNCGTENTSFFGTILSVSSGNSINNVKCENCETKMVYDSRTRLITLPEGSSA >Vigun02g171600.1.v1.2 pep primary_assembly:ASM411807v1:2:31432559:31440591:1 gene:Vigun02g171600.v1.2 transcript:Vigun02g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTFTLLQPQPFTSPRPTTFVPISSFSSTSVSPLHLLQFNARRFCLRRRLFLFPVRATADQGNVEGDDVVDSKILPYCSIDKKEKKTVGELEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSTVVMLSPEEQKFLEASMAYVSGKPILSDKEFDDLKLRLKMEGSEIVAEGPRCSLRSRKVYSDLSVDYLKMFLLNAPATVVALGLFFFIDDLTGFEISYLIKIPEPYSFILTWFAAIPFILWLAQSITRAIVKDFLILKGPCPNCGTENTSFFGTILSVSSGNSINNVKCENCETKMVYDSRTRLITLPEGSSA >Vigun06g108800.2.v1.2 pep primary_assembly:ASM411807v1:6:23775920:23779008:1 gene:Vigun06g108800.v1.2 transcript:Vigun06g108800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATATPACSWDDEVTRNLRWKTCGSKEEALAFIRDVCIPHPWRRSICLDDCSIGFVSVYPWSGEEAHKADIGYAIGTSYWGQGIATKVLKIAVPQVFKDFPNLLRLQAFVDVENKACQRRKFLSLTRLLLVMFGLVWCK >Vigun06g108800.1.v1.2 pep primary_assembly:ASM411807v1:6:23777141:23779197:1 gene:Vigun06g108800.v1.2 transcript:Vigun06g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATATPACSWDDEVTRNLRWKTCGSKEEALAFIRDVCIPHPWRRSICLDDCSIGFVSVYPWSGEEAHKADIGYAIGTSYWGQGIATKVLKIAVPQVFKDFPNLLRLQAFVDVENKACQRRKFLSLTRLLLVMFGLVWCK >Vigun04g189300.1.v1.2 pep primary_assembly:ASM411807v1:4:41334781:41340184:-1 gene:Vigun04g189300.v1.2 transcript:Vigun04g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGGALLSAFLQVAFDKLASTQFVDFFRGRKLDEKLLDNLNIMLHSINSLADDAEQKQFTDPHVKAWLLSVKEAVFDAEDLLGEIDYELTRCQVEAGSEPQTFTYKVSTFFNSTFSSFNKKIELEMKEVLEKLKYLEQQKDALGLKKGTSYSDSKVSQKLPSSSLVVESIIYGRDADKEIIFNWLTSETDNHNHPSILSIVGMGGLGKTTLAQHVYRDPKMEEAKFDIRAWVCVSDHFDVLTVTKTILEAITKSKDDSGDLEMVHGRLKDEISGRKFLLVLDDVWNEGGEEWEAVRTPLSYGAPGSKILVTTRSKKVASNMRSRVHLLEELREDKSWNVFEKHALKDGEIELNDDLKEIGRRIVVKCKGLPLALKTIGRLLHTKSSISDWQNILESDMWNLPKEASEIIPALLLSYHYLPTPLKRCFAYCALFPKDYEFAKKELILLWMAENFLHCPQEIRHPEEVGEQYFNDLLSRSFFQQYEGNFVMHDLLNDLAKYVYGDICFRLKFDKGKYIPKTTRHFLFTPGDVKCFNGLESLSDAKRLRSFIAIARLRGRYLGNYPWQFMISLHDLFSKIKFLRVLSFYGISDLKKVPDSIGNLKHLQSIDLEYTNIQKLPDSIGLLYNLLILKLSNCYDLEELPSNLHKLTKLRCLEFERTKVTKMPKHFGELKNLQVLSTFLVDRNNECNIKQLGGLNLHGSLSIKEVQNIVNPLDALEANLKNKHLVNLELEWKRKHIPDDPLKEKKVLENLQPSKHLERLSIENYGGTEFPSWVFNNSLSTLVFLCLENCKYCLCLPPLGLLSSLKTLKIRGFDGIVSIGAEFCGSNSTSFTSLESLEIDNLKEWEEWECKTTFPCLRYLFINRCPKLKGTSEQLLNLKELFVSLRGKLIIYSCPLVNIPITHYDFLEEVIINGGCDSLTIFPLDFFPKLHLLHITGLRNLRGISHEHTHNHLKEMEIDECPQFESFPSEGLSAPQLWKIEIKGPRNLKLLPKRMQILLPSLTELRITDCPQVEMFEEGSLPSNLKEVSLSCFRLIASLREALGANTCLETLSIEEVDVQCFPDEGLLPPSLTFLKIYDCPTLKKLNYKGLCHLSSLRLFGCPNLECLPEEGLPKSISFLHIWYCPLLRPRCQNSEGEDWGKIAHIQQLSID >Vigun08g059500.1.v1.2 pep primary_assembly:ASM411807v1:8:7750072:7750756:-1 gene:Vigun08g059500.v1.2 transcript:Vigun08g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSKVKVAV >Vigun08g059500.2.v1.2 pep primary_assembly:ASM411807v1:8:7750072:7750584:-1 gene:Vigun08g059500.v1.2 transcript:Vigun08g059500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSKVKVAV >Vigun08g039300.1.v1.2 pep primary_assembly:ASM411807v1:8:3846347:3848728:1 gene:Vigun08g039300.v1.2 transcript:Vigun08g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVVKEEETVTGGGGGSSSSSSSPLSFTPQPMEGLNEVGTPPFLTKIYDMVEDHSTDSVVSWSTSCNSFVVWDSHNFSTNILPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLAGQRHLLKAIKRRRRVSQSMQERGVGGACVEVGEFGPEGELERLKRDSNILMAEIVRLRHQERNSRDQLSAMETRLQATEKKQHKMMLFLAKALKNPSFMQQLVHKTPQMLDAEINRKRRLIAGQSLENLQQDDPVTLMDYSNQQDLATMEPEMNTFFSPAFDSELGHEIKESALDSDITAGQSYFGDTILEDLLIEDLVSGDPKDEVIIGDCSQIGVPMEDLVAYPDDWNHMNHSGSEP >Vigun08g039300.2.v1.2 pep primary_assembly:ASM411807v1:8:3846347:3848728:1 gene:Vigun08g039300.v1.2 transcript:Vigun08g039300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVVKEEETVTGGGGGSSSSSSSPLSFTPQPMEGLNEVGTPPFLTKIYDMVEDHSTDSVVSWSTSCNSFVVWDSHNFSTNILPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLAGQRHLLKAIKRRRRVSQSMQERGVGGACVEVGEFGPEGELERLKRDSNILMAEIVRLRHQERNSRDQLSAMETRLQATEKKQHKMMLFLAKALKNPSFMQQLVHKTPQMLDAEINRKRRLIAGQSLENLQQDDPVTLMDYSNQQDLATMEPEMNTFFSPAFDSELGHEIKESALDSDITAGQSYFGDTILEDLLIEDLVSGDPKDEVIIGDCSQIGVPMEDLVAYPDDWNHMNHSGSEP >Vigun05g253000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44758198:44763828:1 gene:Vigun05g253000.v1.2 transcript:Vigun05g253000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKVSRLDSECSPRSRESMSSDEEVIRRRNSAVESDDDDEFDDADSGAGSDDFDLLELGETGAEFCQIGNQTCSIPLELYDLTGLEDVLSVDVWNDFLSEEERFELAKYLPDMDQETFVRTLKDVFTGCNLHFGSPIKKLFDMLKGGLCEPRVALYREGMNSFQKRRHYHLLRKHQNNMVSNLCQIRDAWLNCRGYSIEERLRVLNIMRSQKSLMYEKEDLEVESSDEESGEGIWNRKNKDRKISQKMSRYPFHGVGSGSDIHPRVRSAAVEQEKFGKQNPKGILKLAGSKPPSVKDPSGRISSPYHALDVNPGVNGSTSALSHQNKSAGYDSGSMLRMRDPQWNGDNNEEMSHGPTALQDRNLLRSNMIEKSSFRKMGKRHDLLRGDEMDTDNLMGLSLSSRTDLHGYTRNAHQTSDLKVFPAKPSSKRGLYEYSRNAKYPENVQQFVGSEQAKSRFRSSQLPLKGSTVDSGDYDELFGSNETPGQEFGMDSSFKYDDWYQKGKKWKAGRESPDLSYTPFRSSSPQVNDRLLSSDFRAKSLQEKIRGASMQNGGKETMPLRGNQMLLRSEETESDSSEQLGDEEDDTPLLHSKYAYMVGTAAGSRSKLLKSHLDKKAKFVTDLKPNVVAPFKKKGGFTERGQMHGVDNYLSKAKKKGEIRNGGPFHKQAGKFIEESYPLGSDMLDDGDDDWRQAYKAGKNGRIRGDPIGRFDMPSSNPYAAERKKKGRTDLDHSIVRSKYLHDYVGDEDDSFERRLVVDSNEVGQSRHGRKGQKYVASYKGDQNERSEAPLLGCNSASKKRKIKDDVDIGGRDEDGNFLSNTLTDDLTYSKRKSKKKIEVERISSEMDNSDLRLTDMGTAEREQETKPQKKTFTLITPTVHTGFSFSIVHLLSAVRLAMISPHAEDSLEVGKPREELNKAQEGTANGDLSNNKIDANGESGDPLSMLSLTVQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKVLAVYEKSSKSWSWTGPVIHNSADHDTIEEVTSPEAWGLPHKMLVKLVDSFANWLKCGQETLQQIGSLPAPPLALMQVNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLKRGGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQINQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTADQSDQGTVTVACQGIAEQSGYDLCSDLNVDPPPCTDDDKGMELLSADARLNEEAHVDVNLASEEGNACDGNSMAWESLGLNPTRELCQENSTNEDFDDESFGRERPVGLLSASLL >Vigun05g117100.3.v1.2 pep primary_assembly:ASM411807v1:5:12527368:12537642:1 gene:Vigun05g117100.v1.2 transcript:Vigun05g117100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKVKLDEDLRPDREDDFQTDDEENQAQRVFEHLDDDTDSDNSPPSPSLSNDVSMISWPQSYRQSMDMLTSATPPGLNLLKRIGSVGRSNSPITSFKRSQEQQDDSTLSLPLVSEPCGFKQESRLVSVPRLQPLSSAKFSMDELPPPQEQCSYAKSVINGTNILCGIGLLTTPYAIKEGGFLSLAILLMFAIMCCYTGMLLIKCLESNDGLKTYPDIGQAAFGIVGRLGIAIFLYVELFASCVEYIILVSDNLSSLYPNTQMRFGGIDLNSEEIFAITAAVIVLPTVWLRNLSLLSYISVGGIFATVLVALCLFWVGTMEQVVGYKPGKKVLDFANLPVSVGLYSFCFAGHAVFPNIYSSMKEPSKFPSVLFVCFVFCTFMYVSVGIMGYLTFGDLVSSQFTLNMPKELYASEIATWTTVVTPLAKYALTLLPIALSIEELATSPRLRCHAISVLVRTILVILSLLVALYIPYFGSLMALIGSFLSILVALIYPCACFLKLHRGRLSNAEITICGIIIVVGLISACMGTLSAVSRITGEGD >Vigun05g117100.2.v1.2 pep primary_assembly:ASM411807v1:5:12527368:12537642:1 gene:Vigun05g117100.v1.2 transcript:Vigun05g117100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKVKLDEDLRPDREDDFQTDDEENQAQRVFEHLDDDTDSDNSPPSPSLSNDVSMISWPQSYRQSMDMLTSATPPGLNLLKRIGSVGRSNSPITSFKRSQEQQDDSTLSLPLVSEPCGFKQESRLVSVPRLQPLSSAKFSMDELPPPQEQCSYAKSVINGTNILCGIGLLTTPYAIKEGGFLSLAILLMFAIMCCYTGMLLIKCLESNDGLKTYPDIGQAAFGIVGRLGIAIFLYVELFASCVEYIILVSDNLSSLYPNTQMRFGGIDLNSEEIFAITAAVIVLPTVWLRNLSLLSYISVGGIFATVLVALCLFWVGTMEQVVGYKPGKKVLDFANLPVSVGLYSFCFAGHAVFPNIYSSMKEPSKFPSVLFVCFVFCTFMYVSVGIMGYLTFGDLVSSQFTLNMPKELYASEIATWTTVVTPLAKYALTLLPIALSIEELATSPRLRCHAISVLVRTILVILSLLVALYIPYFGSLMALIGSFLSILVALIYPCACFLKLHRGRLSNAEITICGIIIVVGLISACMGTLSAVSRITGEGD >Vigun09g243600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41256743:41258730:-1 gene:Vigun09g243600.v1.2 transcript:Vigun09g243600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESTGRSPPSPSYFNNNNSNDAGNFECNICFELAQDPIITLCGHLFCWPCLYKWLHFHSQSRECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGMNIPHRPAGQRPETAPPPETNNFPHHGFGFMGGLGGFAPPPMASTRFGNFGLPAAFGGFIPSFFNFQLHGFHDGALYGGASGFPHGFSNTFHGIHAHGYPLRTHQGQQDYYLKKLLLVVVFCVLLAFIWQ >Vigun10g114700.1.v1.2 pep primary_assembly:ASM411807v1:10:31834507:31836603:1 gene:Vigun10g114700.v1.2 transcript:Vigun10g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLSFSGVPKRSKTMEYLLPLLLITIVCVTIHVLISTFKPKKTSKYPPGPRPLPIIGNILDLGILPHQTLAKLSKIYGPIMSLKLGSTTTIVISSPHVAREVLQKNDQIFSNRTIPDTVRVLDHHILSVAWIPPSAQWRILRRACATKVFSSKQLDSTQVLRQGKVQELMDYVKGRCEKGEALDIGEASFTTVLNSISNTFFSMDFAHYASDKSQELKDVIWGIMEEAGRPNVVDFFPIFRMLDPHGARRRMNGYFGKLLAFFDGLIDERLRLRALEKEGTTCKDVLDSVLELMLEDNSQLTRPHVLHLFLDLFVAGIDTTASSIEWVMAELLHNPEKLEKVRKEVQTLGKGEQVKESDISKLPYLQAVVKETFRLHPPIPMLLPHKPEVNVEICNFMVPKSAQILINVWAMGRESSIWTNPNEFRPERFWESDIDFKGKDFELIPFGAGRRMCPGLPMASRTIHIVLASLLCNYDWKLKDGEKHEELDMLEKSGLTSHKAQPLLVIPIQA >Vigun03g172050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20916766:20917333:1 gene:Vigun03g172050.v1.2 transcript:Vigun03g172050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNKIKGQHKITQNMTLGVTRKNWGAQRKDVPSHTPPPSSFTRAGLTLRPEIQTKASPAIPIHLPKQLFHPFLFLDFSKNKFYLLECSMNNKIKTINQIKERKTLNACIFNKF >Vigun04g108900.1.v1.2 pep primary_assembly:ASM411807v1:4:26650582:26656154:-1 gene:Vigun04g108900.v1.2 transcript:Vigun04g108900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPEQTLNINNCTTTDKKRKTTKSGVLEEKQEPWTLTDSVTNPSKEATLVQKMENCINKIDKSEFYHTFKDRIQTSVADYFNRVLGSELKMQMVIYGIGSIQLYDHEFPIEPPRLQLSLAILLRRDFSWIGIIEVFDPIISATESQVLESLGCVVMSINKHGRREALKLTMFFMAHCEAELYNNLLQANLKLNLLKNMVLFGNNFETYSSMCHYAKTHLFLIQWGHILAARGFTHEFRIQTVSDDYYNVFHDSSWHFFSPSLETELQFINS >VigunL087501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:144183:147494:-1 gene:VigunL087501.v1.2 transcript:VigunL087501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLDCSTPGKRPRRARSQSVPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQRSVGGATHKGIPPISFLAPYEFTHPLTRTHVRLLGPCFKTGRMGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSHQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSLAPPSRGLGPGPPLRTLLQTTIRTPKAIDSHDGLFPVRSPLLGESLLVSFPPLIDMLKLSGQACPQPNGFRRNLRSKTRWFTGFCNSHQVSHFATFFIDARAEISVAESHFMTLHGDSKGKFP >Vigun08g001900.1.v1.2 pep primary_assembly:ASM411807v1:8:226338:231177:1 gene:Vigun08g001900.v1.2 transcript:Vigun08g001900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNMAVVLCFSLFAMVAVAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDQPFLLSWNGVQQRRNSFEDGVSGTTCPIPPGGNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDYTVLIGDWYKLNHTDLRAILDGGSKLPFPDGILINGRGSNGASFNVEQGKTYRLRISNVGLENSLNFRIQNHKLKLVEVEGTHTVQTTYSSLDVHVGQSYSVLVTADQPAQDYYIVVSSRFTSTVLTSTAILRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIILSSSAGIVNGKQRYGINSVSYLAPDTPLKLADYFKISGVFRVGSISDRPTGGGIYLDTSVLQTDYRTFVEIVIQNDEDVIQSYHLDGYSFFVVGMDGGQWTAASRNQYNLRDAVSRSTTQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQFYMRVYTTSTSIRDEYPVPKNALLCGRASGRHTRPL >Vigun08g001900.2.v1.2 pep primary_assembly:ASM411807v1:8:226338:231177:1 gene:Vigun08g001900.v1.2 transcript:Vigun08g001900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNMAVVLCFSLFAMVAVAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDQPFLLSWNGVQQRRNSFEDGVSGTTCPIPPGGNFTYILQDLRAILDGGSKLPFPDGILINGRGSNGASFNVEQGKTYRLRISNVGLENSLNFRIQNHKLKLVEVEGTHTVQTTYSSLDVHVGQSYSVLVTADQPAQDYYIVVSSRFTSTVLTSTAILRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIILSSSAGIVNGKQRYGINSVSYLAPDTPLKLADYFKISGVFRVGSISDRPTGGGIYLDTSVLQTDYRTFVEIVIQNDEDVIQSYHLDGYSFFVVGMDGGQWTAASRNQYNLRDAVSRSTTQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQFYMRVYTTSTSIRDEYPVPKNALLCGRASGRHTRPL >Vigun09g137600.1.v1.2 pep primary_assembly:ASM411807v1:9:29888903:29891257:1 gene:Vigun09g137600.v1.2 transcript:Vigun09g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHENGDSNTQIPEGAKSFYLFRYNSPIIQIVLIGLVCFCCPGMFNALSGMGGGGQLKTTVSNNALTALYTTFAVFGILGGGVYNILGPHLTLFAGCSTYVLYAGSFLYYNHYQHQFFAIIAGAILGIGAGLLWAAQGAIMTSYPPENRKGTYISIFWSIFNMGGVIGGLIPFILNYNRGDAAATVNDGTYIGFMAFMSAGAVLSLTILPASKVVRDDGTRCTHMLYSNVTTESYEILKLFYNWKMLLIVPAAWSSNFFYTYQFNHVNKPQFNLRTRGFNNVFYWGAQMLGSVAIGYVMDFSFKSRRKRGVVGISVVALLATGIWVGALANQIKRDLGVIMDFKDSDYAGPFVLFFSFGLLDSMFQSMVYWVIGALANDSEILSRYAGFYKGIQSAGAAVAWQIDNHKVSGMAQLIVNWVLTTVSYPLLLVLVVLAVKEDNKGEEEQAKQVAPSSAENAFVH >Vigun08g117200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28476144:28477081:-1 gene:Vigun08g117200.v1.2 transcript:Vigun08g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun03g095400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8079934:8081137:-1 gene:Vigun03g095400.v1.2 transcript:Vigun03g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKQTLLFSLLLIAPIFSITTLAQSPAAAPKPPAKPAPAAPAPAPAKPLVPALPQSPSSGDTSGSQDIVKILRKAKSFNTLIRLLKTTQIINQVNAQLVTSKNGGLTILAPDDGSFSELKAGYFNSLGDRQQKALIQYHVLPVYVSSSNFDALSNPVLTLASDSPTGYQLNVTAYGNSVNISTGVVNATLTGIVYTDKTLAIYHVDKVLIPLDFSKPKTIAPAPAVAKAPKADKENSSAEDDDQGRAAKDSSGATSFVSTHGTTLVSFGVGLLAAAATMSW >Vigun04g068400.1.v1.2 pep primary_assembly:ASM411807v1:4:7806993:7809147:-1 gene:Vigun04g068400.v1.2 transcript:Vigun04g068400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGTNNVGVGGSSSTWSRVCDTCRSAPCIVYCHADSAYLCSSCDARVHAANRVASRHERVWVCEACERAPAAFLCKADAASLCSSCDADIHSANPLASRHHRVPILPISGSLLVEPDHEPEHGFVNEVEEEEEEVFDEYDDEIEAASWLLPHPLKGNEEEENGFLYGDEFLDNLVDCNSCGHHNQFSNVFQQQQNYNTVPQNYAVVPVQVLQQSQHFQPGLEFDSSKAGFSYDGSISQSVSVSSMEVGVVPESTISDISVSYSKSPIGTSDLFPPLPMPSHLTPLDREARVLRYREKKKTRKFEKKIRYASRKAYAETRPRIKGRFAKRTDVEAEVDQMLSTTLFNEVGGTIFPSF >Vigun03g344900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54406722:54407162:-1 gene:Vigun03g344900.v1.2 transcript:Vigun03g344900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFAGEECHSSESGWTMYIGSPMDDAGHTDDAADNDHQAVQTDPQNADDDDDEDEDESDDDSMASDASSGPSHLHGFPEFFQRDAEEECDAAKCCLEKKPNKTQHKQMEGKKVENKGMLFVATKGKSPVQGCTNVKKRNFVGKRK >Vigun10g003500.1.v1.2 pep primary_assembly:ASM411807v1:10:295001:297421:1 gene:Vigun10g003500.v1.2 transcript:Vigun10g003500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKHTVPSSHKEANMSHFCSNKSDSSSRVARSFKNSAWSMSSLMTALPESPSTICSNASTTFHTNTHHATEFSTPRHHSHGMACNIDNGDNNNSKLSTCLSDIDEWLEHANKFCRSSNHPTESIDSGQNGSQEEEEYSRLPALCNSYPVAQEHGELHNITLPCVREESPVFKGQTCLTCTHRTAKCGGYERTRLMGPYDEFFDDVRRRKQEAERDARKAKHVELMLKMRRKEHAINDWELQQTRKAMDKMDKIQSDLERKQFKASVRTQKKICTVREKAEKQKLNLRRSTMKRFQQMQTSETHSPSQLSWGSG >Vigun04g109500.1.v1.2 pep primary_assembly:ASM411807v1:4:27156677:27161340:1 gene:Vigun04g109500.v1.2 transcript:Vigun04g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGSRDPLVVGRVIGDVLDPFECSIPMRVTYNNRDITNGCEFKPSQVFNQPRITIGGDDLRNFYTLIAVDPDAPSPSDPNLREYLHWLVTDIPATTGPSFGHEVVTYESPRPMMGIHRIVFVLFRQLGRETVYAPGWRQNFNTREFAELYNLGLPVAAVYFNIQRESGSGGRRLY >Vigun03g442000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64460482:64461099:-1 gene:Vigun03g442000.v1.2 transcript:Vigun03g442000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLCVTCYKTNEFCARDDSDFKNEGKQGRFCRILKRKEVERDEKKVRNVTLEDWIIASPGLKPEYIMSSGEMSFSSKNFQKKVHPCVSQRSGSLITETKDSLCLDRPMKKHDEEGEEMLSSTSLNVKSERRVRFKLPHIVICYSQDDPCSPEETYYSSQIDKGSFYSSICREYSFDSSAEEPFLRLAVDILPHVSFSSKMEYS >Vigun01g237000.2.v1.2 pep primary_assembly:ASM411807v1:1:40820426:40825712:-1 gene:Vigun01g237000.v1.2 transcript:Vigun01g237000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSAQARDVYSISVLPEEDESENCASPLAFLSILEVPNQAKSPMCLDSPINCQNCIDFQMKNDDVYSQCSIDIPCVNGNSVSHESYEETVECFKTGNSPTSVLWRESSFKGGKLMQSLVNVSNPRDKPISEKLHDLPSNRWRRYKRAASFDSRKVALLFSILSSFGTLVLIYLTLRLRQRADGFALI >Vigun01g237000.1.v1.2 pep primary_assembly:ASM411807v1:1:40820426:40825712:-1 gene:Vigun01g237000.v1.2 transcript:Vigun01g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSAQREHQFREFVDGNGNVAVGLKLHNKPTSGDLNQQFPLEIPPILFEDSSLPAGLHSSHARDVYSISVLPEEDESENCASPLAFLSILEVPNQAKSPMCLDSPINCQNCIDFQMKNDDVYSQCSIDIPCVNGNSVSHESYEETVECFKTGNSPTSVLWRESSFKGGKLMQSLVNVSNPRDKPISEKLHDLPSNRWRRYKRAASFDSRKVALLFSILSSFGTLVLIYLTLRLRQRADGFALI >Vigun04g156400.1.v1.2 pep primary_assembly:ASM411807v1:4:37823037:37826225:1 gene:Vigun04g156400.v1.2 transcript:Vigun04g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSYIELSNSDKKRERGKMEKQTLEKAKPKYRKGLWSPEEDNKLRNHILKHGHGCWSSVPIKAGLQRNGKSCRLRWINYLRPGLKRGVFSKHEEDTIMALHHMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKKVMKTKEMESEKQIQHASSSSDTVENSLSPQKLATQDPSYALLQNMEKPATHSDNFFSQSYDFSKEACQSSLPKLLFSEWLSEDQVNGAGSVNSDDSLVLGNMFDQNSSFQEAIMQLLEGNFGEEYHNSLIHSSTSEVYNSQLKPSNQVDGSDFIHCIPGNDLCSNFSLINRAM >Vigun07g211000.1.v1.2 pep primary_assembly:ASM411807v1:7:33225933:33232947:-1 gene:Vigun07g211000.v1.2 transcript:Vigun07g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSQHRCVFVGNIPYDATEEQLVEICQEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGTDRNREQGRGGPGMSTNVDPQKQVGGPAGEAVQHQPIGLHIAITAATVMTAALGGAQFGIQSNQNSLQSQSALAHDPLTLHLAKMSRSQLTEMISELKGMATQNKDLARQLLLSRPQLPKALFQAQIMLGMVSSQVLQMPNLRLVSDQPSQSITNEGQLGQPPLVQTLPGLPPHGQSKLQSGLTPYAQEGQVSTILHNPLAPNQLTAHPKPPVQPRIPIQQHPNNLVLPGTLSGQPNLLLPSVRPGLGSLSVRPPIQPTTSTALNQQMHVSLLQNSTHVGNSTVGHNIQMVRPDANFQPGPSISSSTSQLLSKGDKSSNITYSNMPLGMEKTNMVRDSSESFSRPSKLMKLDEGRSTPLSTGMSDVPVNDGPSHNLGRSSLPVHATPKAEGQYSELQSSQLPPDVESVLLQQVLNLTPEQLSSLPPEQQQQVIQLQQALRRDQMQPS >Vigun04g066100.2.v1.2 pep primary_assembly:ASM411807v1:4:7317693:7319755:1 gene:Vigun04g066100.v1.2 transcript:Vigun04g066100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKKDEPQDEKQDELAESLDDLFCSISTMIKSELQGTNNHLELLEKMNVRVAEEYKGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDNIEKQVTEFEAVVSMLDKYVALLESRVQ >Vigun04g066100.5.v1.2 pep primary_assembly:ASM411807v1:4:7317766:7319691:1 gene:Vigun04g066100.v1.2 transcript:Vigun04g066100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKKDEPQDEKQDELAESLDDLFCSISTMIKSELQGTNNHLELLEKMNVRVAEEYKGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDNIEKQVTEFEAVVSMLDKYVALLESRVQYVYLPKIHLPNQQ >Vigun04g066100.4.v1.2 pep primary_assembly:ASM411807v1:4:7317657:7319695:1 gene:Vigun04g066100.v1.2 transcript:Vigun04g066100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKKDEPQDEKQDELAESLDDLFCSISTMIKSELQGTNNHLELLEKMNVRVAEEYKGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDNIEKQVTEFEAVVSMLDKYVALLESRVQYVYLPKIHLPNQQ >Vigun04g066100.3.v1.2 pep primary_assembly:ASM411807v1:4:7317901:7319756:1 gene:Vigun04g066100.v1.2 transcript:Vigun04g066100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKKDEPQDEKQDELAESLDDLFCSISTMIKSELQGTNNHLELLEKMNVRVAEEYKGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDNIEKQVTEFEAVVSMLDKYVALLESRVQ >Vigun06g164800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28661873:28665034:-1 gene:Vigun06g164800.v1.2 transcript:Vigun06g164800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKRDEGAKEGRGKSKVESDTKTDPPASPLALTIGPSAPSSSTQSQKKEPLEKQLSNFWAKQRQEVEEPSELRNHHSLPLARIKRIMKADEDVRMVSAEAPVLLAKACEMFIMELTMRAWSNVEENKRKTLSKNDIAAAMTKSDIYDFLIDIVPREDTRGSQVFPGVPGATPFPQTLSQIMPNYPVPPHQHAAAGSQSDAAAAQTMLMGMPLLDPTHPQQLQPPTFPSPMMPPNPEEENSPSPDSDDD >Vigun06g164800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28661873:28665034:-1 gene:Vigun06g164800.v1.2 transcript:Vigun06g164800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKRDEGAKEGRGKSKVESDTKTDPPASPLALTIGPSAPSSSTQSQKKEPLEKQLSNFWAKQRQEVEEPSELRNHHSLPLARIKRIMKADEDVRMVSAEAPVLLAKACEMFIMELTMRAWSNVEENKRKTLSKNDIAAAMTKSDIYDFLIDIVPREDTRGSQVFPGVPGATPFPQTLSQIMPNYPVPPHQHAAAGSQSDAAAAQTMLMGMPLLDPTHPQQLQPPTFPSPMMPPNPEEENSPSPDSDDD >Vigun05g162700.1.v1.2 pep primary_assembly:ASM411807v1:5:26069345:26071733:1 gene:Vigun05g162700.v1.2 transcript:Vigun05g162700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLQRALFYLHSLIVILSIFLVTPSFCFNPKKLFNTSYYSPSASDWSPSLATWYGPANGDGSEGGACGYGNAVGQPPFSSLISAGSPLIYDSGKGCGSCYEVKCTGNSACSGNPVKVVITDECAGCGSDAQYHFDLSGSAFGAMAVSGEDENLRNAGKINIQHRRVECNYPGKSIAFRVDSGSNQEYFATLVEFEDGDGDLAKVELKEAHDDSSSWDSMQQSWGAVWKLDKGSPLKAPFSIRLTTLESGKTIVANNVIPAQWTPGQTYRSIVNFQT >Vigun10g079600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21794471:21794656:-1 gene:Vigun10g079600.v1.2 transcript:Vigun10g079600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVPCRSTNDCLKKACCEVSRFEEIKGCMVFALNEKYTTESSIVKDTNELAIIPPIRGG >Vigun05g080800.1.v1.2 pep primary_assembly:ASM411807v1:5:7615918:7619509:-1 gene:Vigun05g080800.v1.2 transcript:Vigun05g080800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREESAVVSNAQVQQLHHAASLGASARSQNAGSEKKHGHGHGHGHRHNLSECASDLSEACSTPRGNSSSNTHVISFTLYELETITKSFRGDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWATRMMIAFGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPGKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSRVSAGEVSLSGSNSVTAGPFAMNKISDYRMRQRFSNNVGPGATCRSPNPNCSPGAPAALRVR >Vigun05g094800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9190099:9191283:1 gene:Vigun05g094800.v1.2 transcript:Vigun05g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEHMLLEDVLCEDILLEILLRLPVASLMRFKCVSKYFQSLILDPCFVTMHLQNSRRSTNFFLRYLNGDKTSWFVVPSPITSIEDSTSFFDDDIIHASKDNVLGSFNGLVCLGEWNEKGIVFYLWNPVTKEEFGNLTLTKKDNVAMLGFGYDNSRHTYNVVAIVYHLNSDHPFRTFIGSLNDESGWREIQGFLADPFIVEGDGIYLNNTLNWLGIPNYNDYEYDDIAISFDGVVIASLDLETETYTQMLLPHELNGVFIRDFCYLCEELHSNEFPLVGVLGGCLSLFLHNRRTKCFSIWQMKEFGNQKSWTLLLNTSLQDLGINTNIYSILLPLCMIENDRDIVIIHSSLQDCVKQIIIYDLKNNTVTFRNITQNLLWIYPFHYVESLVCPVA >Vigun08g044400.1.v1.2 pep primary_assembly:ASM411807v1:8:4657848:4661355:1 gene:Vigun08g044400.v1.2 transcript:Vigun08g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSEIDGIEVPEAVMENLNRTLGSLQQLETELPQFLALSDPDLLAQLPFLERAHSLFSLAKLTSTLFSLKLRCRGVNPNDHPVKSELDKLNVLQKKLERLTRLSEAQEQIRNINEGEELEMNYEERAGQKRKYPSSEEPSIQIDDMESLVKVKEENLGYNSGNVKGTMVIDISDDDDDEFM >Vigun11g191300.1.v1.2 pep primary_assembly:ASM411807v1:11:39065109:39068956:1 gene:Vigun11g191300.v1.2 transcript:Vigun11g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAPLRPKRKKIWVDYFVQFRWILVIFVVLPISFTIYFLTYLGDVRSEWKSYKTRQKEHDENVRKVIKRLKQRDPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILDIDRERMIARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHKYGLFSDTVVAYEVVLADGTLVRATKDNEFSDLYYAIPWSQGTLGLLVAAEIRLIPVKEYMKLTYKPVVGNLQDLAQAYCDSFAPRDGDQDNEEKVPDFVEGMVYTATEGVMMTGRYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQCWFRFLFGWLMPPKVSLLKATQGDAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKMFKLPIKTMIYPEPGFELHRRQGDTQTAQMYTDVGVYYAPGPVLRGEVFDGAEAVRKMESWLIENHGFQPQYAVSELSEKSFWRMFDAGLYESVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLENAYAEVDQPVD >Vigun08g120800.1.v1.2 pep primary_assembly:ASM411807v1:8:28910112:28914504:-1 gene:Vigun08g120800.v1.2 transcript:Vigun08g120800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRHLSSKTRRFTSLSRFSTSTSPVHQAGLGPTKAHEKPRVVVLGSGWAGCRLMKGLDPKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRTVAEPIARIQPAISREPGSFFFLANCTSIDALNHVVQCESVTEGTQTLDPWKFTIAYDKLVIALGAQPTTFGIHGVYEHAIFLREVYHAQEIRRKLLLNLMMSDVPGIEEEEKRRLLHCVVVGGGPTGVEFSGELSDFIVRDVRERYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVEEKKIILNDGTEVPYGLLVWSTGVGPSAFIQSLDFPKSPGGRIGTDEWLRVPTVEDIFSIGDCSGFVESTGKQALPALAQVAERQGKYLAILLNKIGNANGGRANSAKDLDFGEQFVYKHLGSMASIGSYKALVDLRQSKEAKGLSLAGFVSWFIWRSAYLTRVISWRNRFYVAINWATTFVFGRDISRI >Vigun03g378800.1.v1.2 pep primary_assembly:ASM411807v1:3:58281188:58287696:-1 gene:Vigun03g378800.v1.2 transcript:Vigun03g378800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGFPQFVKVVWDPSQQKYTASGYCMDVFNAVLTYLPFNVSLHVLPAVVKSSYGDVTILANRSKLVDFTVPYTGSGVKMVVPVKHGRDQNMWTFVKPFSWDLWLSIIIISTFIGIAILIMERNVNALPNQEGSPNQTKLGASTILWFPISQAILPEREVVVKGCSRFVLMVWLLLAFILMQSYTANLTSILTLDQLRPIFLNVNDLRREGYYVGYQFGSFVYDVLIDQFKFDPSKLRPYRNIGEYHDALKLGSQNGGVAAIFDEVPYLKLYLQEYGSNYIMAGPEYRNAGFGFVFPLNSNLTAYFSRAILNVIESGLMNEIEDKHFGKNYVGGEHESAQISSSPLSLSFHSFSGFFFISGISTLLALLVSERFIWQRLILMAKALTEIMSPIHLFKKETRTRPIQDSIHETEA >Vigun01g064800.1.v1.2 pep primary_assembly:ASM411807v1:1:17132707:17136046:-1 gene:Vigun01g064800.v1.2 transcript:Vigun01g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGLLKRAAQRVPSSPAFKLSFIRAHASEAQAQQVEPKARDTTSLKTFQIYRWNPENPSKPELKDYQINLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSASTASTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPVPGKEILQSKKDREKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQISHIKSLQPKA >Vigun08g153300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32562143:32562784:-1 gene:Vigun08g153300.v1.2 transcript:Vigun08g153300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRIRGYDVWLRTGDDEVKVKVSDILRIDVTIGVRYIHSVHSIETTLLKESISISCQNFIQNNQDFLRSFMFDPRCSTSFSPASIDLYRLSEEIVDMSINIIEFGCDSEYVDSKCQELPLKFDITVEILPPGETTMIPPSKGAIDSLNTFTPSSSLREGTQNCSICMEDLCFREKLLSMPCNHVFHHLCIVEWLKISRTCPLCRYPIPHL >Vigun09g211300.3.v1.2 pep primary_assembly:ASM411807v1:9:38559451:38565922:1 gene:Vigun09g211300.v1.2 transcript:Vigun09g211300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSGMILGIAIGIVMIIGFARHESVRSKRRSDLAKTIAVFARMTVEDSRKLLPPKFYPSWVVFTQRQKLNWLNVQLTRIWPYVDEAVSELIRTSVEPILEQYTPVVLASLTFSKLTLGTVAPQFTGVTILEEDSGPDGVTMDIEMQWDGNPNIVLDIKTRVGVVLPVQVKDIGFTGVFRLIFKPLVNEFPGFGAVCFSLKEKKDLDFTLKVIGGDLSALPGVSDAIEETIRDAIEDSITWPVRQIIPILPGDYSNLELKPVGILEVKLVQARNLTNKDLIGKSDPYAVLFVRPLRARTKSSKVVNNQLSPIWNEHFEFTIEDAATQHLTIRIFDDEGIQASELIGCAKVSLQELEPGKVKDVWLKLVKDLEIQRDNKYRGEVHLELLYCPIGVESAIKNPFDPDFSLTSLEKTLKSGEGEDEDPTGSRKRSNVIVRGVLSVTVISAEDLPVVDLMGKADPFVVLSLRKTEKKLKTRVVNESLNPVWNQTFDFVVEDGLHEMLILEVYDHDTFGKEKIGRVILTLTKVILEGEYNETYPLDGAKSGNISLYLKWTPQHKFRDT >Vigun02g128700.1.v1.2 pep primary_assembly:ASM411807v1:2:28041073:28044885:-1 gene:Vigun02g128700.v1.2 transcript:Vigun02g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEDSSAWNSDLPHPKLNERILSSLSRRTVAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKKSGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEEYKKNENKKVDVEDFLPSEAAVDAIKYSMDLYAAYIVESLRH >Vigun02g128700.2.v1.2 pep primary_assembly:ASM411807v1:2:28041572:28044644:-1 gene:Vigun02g128700.v1.2 transcript:Vigun02g128700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEDSSAWNSDLPHPKLNERILSSLSRRTVAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKKSGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEEYKKNENKKVDVEDFLPSEAAVDAIKYSMDLYAAYIVESLRH >Vigun02g128700.3.v1.2 pep primary_assembly:ASM411807v1:2:28041572:28044644:-1 gene:Vigun02g128700.v1.2 transcript:Vigun02g128700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEDSSAWNSDLPHPKLNERILSSLSRRTVAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKKSGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEEYKKNENKKVDVEDFLPSEAAVDAIKYSMDLYAAYIVESLRH >Vigun09g119700.2.v1.2 pep primary_assembly:ASM411807v1:9:26423519:26430500:-1 gene:Vigun09g119700.v1.2 transcript:Vigun09g119700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWLVMVMVLVSWRICNAREAFCAKSEVTYDGRSLIIDGQRKILFAGSIHYPRSTPQMWPNLIAKAKEGGVDVIQTYVFWNLHEPQPGKYDFSGRNDLVGFIKEAKAQGMYVCLRIGPFIESEWTYGGFPFWLHDIPGIVYRTDNEPFKFYMQNFTTKIVNMMKEEGLYASQGGPIILSQIENEYNNIQRAFGQAASQYVQWAAKMAVGLDTGVPWIMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGQPYIRSAEDLAFHVSLFIARNGSYVNYYMYHGGTNFGRTSSAYVITAYYDQAPLDEYGLLRQPKYGHLKQLHTAIKSCSTTLLQGVQRNFSLGQLQEGYVFEEEKGGCVAFLINNDIQKKVTVQFRNRSYELLPKSISILPDCQNVAFNTANVNTTSNQRIISPKQKLSSVDVWKQFQDVIPSFEDTSVKSNSFLEQMNTTKDQTDYLWYIHRFENNLSCSKPTLRVQSAAHVAHAFVNNTYIGGAHGNHDVKNFTLELPVTLHEGTNNLSILSVMVGLPDSGAFLERRFAGLISVQLDCSEKESLSLTNSLWGYKIGLLGEQLQAYKGENSSGIEWSQLGNIKEQTLVWYKTAFDTPKGDDPVVLDLGSMGKGEAWVNGQGIGRYWILFHDSKGNPSQSLVQRNPIH >Vigun09g119700.3.v1.2 pep primary_assembly:ASM411807v1:9:26423108:26430500:-1 gene:Vigun09g119700.v1.2 transcript:Vigun09g119700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGIFTSPNQESGRNDLVGFIKEAKAQGMYVCLRIGPFIESEWTYGGFPFWLHDIPGIVYRTDNEPFKFYMQNFTTKIVNMMKEEGLYASQGGPIILSQIENEYNNIQRAFGQAASQYVQWAAKMAVGLDTGVPWIMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGQPYIRSAEDLAFHVSLFIARNGSYVNYYMYHGGTNFGRTSSAYVITAYYDQAPLDEYGLLRQPKYGHLKQLHTAIKSCSTTLLQGVQRNFSLGQLQEGYVFEEEKGGCVAFLINNDIQKKVTVQFRNRSYELLPKSISILPDCQNVAFNTANVNTTSNQRIISPKQKLSSVDVWKQFQDVIPSFEDTSVKSNSFLEQMNTTKDQTDYLWYIHRFENNLSCSKPTLRVQSAAHVAHAFVNNTYIGGAHGNHDVKNFTLELPVTLHEGTNNLSILSVMVGLPDSGAFLERRFAGLISVQLDCSEKESLSLTNSLWGYKIGLLGEQLQAYKGENSSGIEWSQLGNIKEQTLVWYKTAFDTPKGDDPVVLDLGSMGKGEAWVNGQGIGRYWILFHDSKGNPSQSLYHVPRSFLKDSGNVLVLVEEGGGNPLGISLDTVSVTDLDQKFSKLSFPSSA >Vigun09g119700.1.v1.2 pep primary_assembly:ASM411807v1:9:26423108:26430500:-1 gene:Vigun09g119700.v1.2 transcript:Vigun09g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWLVMVMVLVSWRICNAREAFCAKSEVTYDGRSLIIDGQRKILFAGSIHYPRSTPQMWPNLIAKAKEGGVDVIQTYVFWNLHEPQPGKYDFSGRNDLVGFIKEAKAQGMYVCLRIGPFIESEWTYGGFPFWLHDIPGIVYRTDNEPFKFYMQNFTTKIVNMMKEEGLYASQGGPIILSQIENEYNNIQRAFGQAASQYVQWAAKMAVGLDTGVPWIMCKQTDAPDPVINTCNGMKCGETFTGPNSPNKPALWTENWTSFYQVYGGQPYIRSAEDLAFHVSLFIARNGSYVNYYMYHGGTNFGRTSSAYVITAYYDQAPLDEYGLLRQPKYGHLKQLHTAIKSCSTTLLQGVQRNFSLGQLQEGYVFEEEKGGCVAFLINNDIQKKVTVQFRNRSYELLPKSISILPDCQNVAFNTANVNTTSNQRIISPKQKLSSVDVWKQFQDVIPSFEDTSVKSNSFLEQMNTTKDQTDYLWYIHRFENNLSCSKPTLRVQSAAHVAHAFVNNTYIGGAHGNHDVKNFTLELPVTLHEGTNNLSILSVMVGLPDSGAFLERRFAGLISVQLDCSEKESLSLTNSLWGYKIGLLGEQLQAYKGENSSGIEWSQLGNIKEQTLVWYKTAFDTPKGDDPVVLDLGSMGKGEAWVNGQGIGRYWILFHDSKGNPSQSLYHVPRSFLKDSGNVLVLVEEGGGNPLGISLDTVSVTDLDQKFSKLSFPSSA >Vigun01g088900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24757218:24759269:-1 gene:Vigun01g088900.v1.2 transcript:Vigun01g088900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQSSDLVVPSEITPNSISSLSLCDQIKLPNHGSQLYIYTNTSITDDSSFASAIHTLSTSLSKTLTVFHPVAGRLSRIHGGRFQLLCNAKGVLLVAATCNTELSFQDLCEFAPTYAVPKINYDVPIEDVPLLAAQLTRFPSGFTTLGIAMCRAFLDGTALSNFVSSWAKIARGESIDSGLIPLFDRTKLDSFKLNKPPRFEHPEFLPPPLWAKRDEVMQHELGTAVMVITKGQVQKLKNRASDFGIGHGKVPVRGFTSFEVISGHLWRCMCKVRYSGDVSQPTRLNTLVNCRKRLRPSIPTAYFGNATFPTVTETCSFDEIMQKPLGYAVRKVRDSIERMRDEYVRSALDYIARVEDMDLLRDTFYNSAGKGREDPNVNVVGWANFSYFETDFGWGKPVILLPGNINSNGKAFLLDTANGDGFVVAVCLRKSYVDVLKKLFFEDIEKHASKL >Vigun01g088900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24757218:24759269:-1 gene:Vigun01g088900.v1.2 transcript:Vigun01g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQSSDLVVPSEITPNSISSLSLCDQIKLPNHGSQLYIYTNTSITDDSSFASAIHTLSTSLSKTLTVFHPVAGRLSRIHGGRFQLLCNAKGVLLVAATCNTELSFQDLCEFAPTYAVPKINYDVPIEDVPLLAAQLTRFPSGFTTLGIAMCRAFLDGTALSNFVSSWAKIARGESIDSGLIPLFDRTKLDSFKLNKPPRFEHPEFLPPPLWAKRDEVMQHELGTAVMVITKGQVQKLKNRASDFGIGHGKVPVRGFTSFEVISGHLWRCMCKVRYSGDVSQPTRLNTLVNCRKRLRPSIPTAYFGNATFPTVTETCSFDEIMQKPLGYAVRKVRDSIERMRDEYVRSALDYIARVEDMDLLRDTFYNSAGKGREDPNVNVVGWANFSYFETDFGWGKPVILLPGNINSNGKAFLLDTANGDGFVVAVCLRKSYVDVLKKLFFEDIEKHASKL >Vigun11g002200.2.v1.2 pep primary_assembly:ASM411807v1:11:227172:230621:-1 gene:Vigun11g002200.v1.2 transcript:Vigun11g002200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDNPSQPCRLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVVVGVVGYVKTPRGLRTLNTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYTKKYETEEGKKDVESQLEKLKKYSTVIRVLAHTQIRKLRGLKQKKAHLMEIQVNGGTVSQKVDYAYSFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVAKTGQESHTAITEFDRTEKDITPMGGFPHYGVVKDDYIMVKGCCVGPKKRVLTLRQSLLKQTSRVALEDIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >Vigun11g002200.1.v1.2 pep primary_assembly:ASM411807v1:11:227172:230676:-1 gene:Vigun11g002200.v1.2 transcript:Vigun11g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDNPSQPCRLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVVVGVVGYVKTPRGLRTLNTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYTKKYETEEGKKDVESQLEKLKKYSTVIRVLAHTQIRKLRGLKQKKAHLMEIQVNGGTVSQKVDYAYSFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVAKTGQESHTAITEFDRTEKDITPMGGFPHYGVVKDDYIMVKGCCVGPKKRVLTLRQSLLKQTSRVALEDIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >Vigun06g152366.1.v1.2 pep primary_assembly:ASM411807v1:6:27656366:27659449:1 gene:Vigun06g152366.v1.2 transcript:Vigun06g152366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSKNLCVRRQQCPCGDWKCYITYEGDSEEGSTAPELVKEEKASSGAMITPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRISPQLGIYKRDFVCYRSGFAPVKKKPNGEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKMLEQEKGIQGGQLPFLERDVRNFVQNRKKVVLENEALLSEKRENDVLELLEACKVMKEADDEFMYDFTVDGNDKVETVAWSYGDSVNANAMFGDVVYFDTSYRSVTYGLLFGVWFGIDSYGRTIFYGCVLLQDETPQSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAIISEFPGTKHVVPQWNILHKVPCWFSPTLGSRYAEFKSEFDALFHIENTEEFEHQWSQMISVFGLGSDKHADLLYTVRASWAEAYVRGYFLARMATITYSKSIDAFLKGIFSAHTCLRSFFEQVGISASFQHQAFKETQYIQLKTCIPIEEHARSILTPFAFNALQQELLLAMQYAASEMANGSYIVRHFKRMNGERLVIWLVEDDQIHCSCKEFESSGILCRHALRVFVIKNYFQLPDKYFLGRWRRECSLLVDDDNNQGVGGEWFQEYQSLAETLFQESSITKERSDYVRKELTKELNRILNEVRNLPEADGVCMNMSVSPTN >Vigun06g152366.3.v1.2 pep primary_assembly:ASM411807v1:6:27656338:27659449:1 gene:Vigun06g152366.v1.2 transcript:Vigun06g152366.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSKNLCVRRQQCPCGDWKCYITYEGDSEEGSTAPELVKEEKASSGAMITPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRISPQLGIYKRDFVCYRSGFAPVKKKPNGEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKMLEQEKGIQGGQLPFLERDVRNFVQNRKKVVLENEALLSEKRENDVLELLEACKVMKEADDEFMYDFTVDGNDKVETVAWSYGDSVNANAMFGDVVYFDTSYRSVTYGLLFGVWFGIDSYGRTIFYGCVLLQDETPQSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAIISEFPGTKHVVPQWNILHKRNLNISGAR >Vigun06g152366.2.v1.2 pep primary_assembly:ASM411807v1:6:27656338:27659449:1 gene:Vigun06g152366.v1.2 transcript:Vigun06g152366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSKNLCVRRQQCPCGDWKCYITYEGDSEEGSTAPELVKEEKASSGAMITPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRISPQLGIYKRDFVCYRSGFAPVKKKPNGEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKMLEQEKGIQGGQLPFLERDVRNFVQNRKKVVLENEALLSEKRENDVLELLEACKVMKEADDEFMYDFTVDGNDKVETVAWSYGDSVNANAMFGDVVYFDTSYRSVTYGLLFGVWFGIDSYGRTIFYGCVLLQDETPQSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAIISEFPGTKHVVPQWNILHKVPCWFSPTLGSRYAEFKSEFDALFHIENTEEFEHQWSQMISVFGLGSDKHADLLYTVRASWAEAYVRGYFLARMATITYSKSIDAFLKGIFSAHTCLRSFFEQVCLREVSCLVCLH >Vigun01g173600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550916:35554761:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun01g173600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550916:35554761:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun01g173600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550916:35554761:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun01g173600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550806:35554761:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun01g173600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550805:35554761:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun01g173600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35550916:35552009:1 gene:Vigun01g173600.v1.2 transcript:Vigun01g173600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEQKYGVRKLEISDKSKGFIELLQQLSVCDSVSDRDFEDRFRELSAVGDDHVIGVIEDEASGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKHLGKRIVSFLTEHARSIGCYKVILDCSLENKAFYEKCGFQHKSLQMAMYFAHH >Vigun09g023100.1.v1.2 pep primary_assembly:ASM411807v1:9:1856139:1860089:-1 gene:Vigun09g023100.v1.2 transcript:Vigun09g023100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRIHEICLKSGPHLGSVPSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFFRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVATAAAAAASLMSDGGGTTL >Vigun10g133250.1.v1.2 pep primary_assembly:ASM411807v1:10:34294428:34297140:-1 gene:Vigun10g133250.v1.2 transcript:Vigun10g133250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPKLRQPPPDLKLKTKDLYKSQTLNRVSSSKSRNHLLRNDKFLHAAATYTSRHHQRHAQHQSTTHLQPLSRFALSLLPPPFTTLTLLLPHPFTATAPQPQERTPPPFHESRPPRRRSSSTPFTIHGHRTAGTQLLHPFDDSRPPRRSSGSPRPLSRFTLVNHPSRFRPALCI >Vigun06g039900.2.v1.2 pep primary_assembly:ASM411807v1:6:15947664:15967896:1 gene:Vigun06g039900.v1.2 transcript:Vigun06g039900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEHVAAESGVPVAAEKVVQSVKEIVDCTEHEIYAVLEECDMDVNRAVEKLLSQDTFHEVKSKREKKKEGAFNSRTRGKNVGLSRGGKTSSGRDCSVVQSGLTHMTYNEHYKANGKGEGGSVCVSASVMGPTTHDLMKSINSDYFSNDNGRQSLITRHSVLDSVQASSGPQPSITGVKKGHLSMADIVRMGRTSSQDVVNNFNTSGVSACRNLESSLGLPRQNHSEQQVFHDEWPVIEHPIARDSQAPNMYASNSNGPLEHPSLHVAALCSHRNSDLDVAPVSWRDVTCDDVVSEETESAFMSTKHALLSSNTGLQSHSNPNFGNTLFPDHRSSNKHHEDVSSSASMFQRLSIGESKPEVPTFEDDPSVVIPIHLQALGADCSHLSFGTYNSGSNSASVVLTSTHLSKNVMEVKSAAVDDSSAEFLDASSVDYGDKQLGFDVPKKAAGDKNSDFLSSPKQWPVNHIIPQEIVEHEHNIAASFSDLSFLTSRWVNTSLPLKQPGLGSRNHSTFPREQYADPNSIPGDLLAYLTSQSQPARHSNEVSSISNPAISMSNVIEPSAFSLPMRSALPHDPTIQSSTHFHQFLDKKGYLSLPSPQAFSGHTAHEYPADMKYNLVQNGNEFLINRLPPRATARDAFGYGNLGSSVYSSESFLANLSPSHVIPSSNLNETLSSQYRGGHNLSSLHQHDSFSHWDYGSESRSSFIPERTQSNFLGHPNQASLLQYASPGYFNLNHAQPRVLEEHQHPEEEPAQ >Vigun06g039900.1.v1.2 pep primary_assembly:ASM411807v1:6:15947664:15960636:1 gene:Vigun06g039900.v1.2 transcript:Vigun06g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEHVAAESGVPVAAEKVVQSVKEIVDCTEHEIYAVLEECDMDVNRAVEKLLSQDTFHEVKSKREKKKEGAFNSRTRGKNVGLSRGGKTSSGRDCSVVQSGLTHMTYNEHYKANGKGEGGSVCVSASVMGPTTHDLMKSINSDYFSNDNGRQSLITRHSVLDSVQASSGPQPSITGVKKGHLSMADIVRMGRTSSQDVVNNFNTSGVSACRNLESSLGLPRQNHSEQQVFHDEWPVIEHPIARDSQAPNMYASNSNGPLEHPSLHVAALCSHRNSDLDVAPVSWRDVTCDDVVSEETESAFMSTKHALLSSNTGLQSHSNPNFGNTLFPDHRSSNKHHEDVSSSASMFQRLSIGESKPEVPTFEDDPSVVIPIHLQALGADCSHLSFGTYNSGSNSASVVLTSTHLSKNVMEVKSAAVDDSSAEFLDASSVDYGDKQLGFDVPKKAAGDKNSDFLSSPKQWPVNHIIPQEIVEHEHNIAASFSDLSFLTSRWVNTSLPLKQPGLGSRNHSTFPREQYADPNSIPGDLLAYLTSQSQPARHSNEVSSISNPAISMSNVIEPSAFSLPMRSALPHDPTIQSSTHFHQFLDKKGYLSLPSPQAFSGHTAHEYPADMKYNLVQNGNEFLINRLPPRATARDAFGYGNLGSSVYSSESFLANLSPSHVIPSSNLNETLSSQYRGGHNLSSLHQHDSFSHWDYGSESRSSFIPERTQSNFLGHPNQASLLQYASPGYFNLNHAQPRVLEEHQHPEIILVGLGELISWWYFHSELERQFNMYIPL >Vigun06g039900.3.v1.2 pep primary_assembly:ASM411807v1:6:15947664:15960636:1 gene:Vigun06g039900.v1.2 transcript:Vigun06g039900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEHVAAESGVPVAAEKVVQSVKEIVDCTEHEIYAVLEECDMDVNRAVEKLLSQDTFHEVKSKREKKKEGAFNSRTRGKNVGLSRGGKTSSGRDCSVVQSGLTHMTYNEHYKANGKGEGGSVCVSASVMGPTTHDLMKSINSDYFSNDNGRQSLITRHSVLDSVQASSGPQPSITGVKKGHLSMADIVRMGRTSSQDVVNNFNTSGVSACRNLESSLGLPRQNHSEQQVFHDEWPVIEHPIARDSQAPNMYASNSNGPLEHPSLHVAALCSHRNSDLDVAPVSWRDVTCDDVVSEETESAFMSTKHALLSSNTGLQSHSNPNFGNTLFPDHRSSNKHHEDVSSSASMFQRLSIGESKPEVPTFEDDPSVVIPIHLQALGADCSHLSFGTYNSGSNSASVVLTSTHLSKNVMEVKSAAVDDSSAEFLDASSVDYGDKQLGFDVPKKAAGDKNSDFLSSPKQWPVNHIIPQEIVEHEHNIAASFSDLSFLTSRWVNTSLPLKQPGLGSRNHSTFPREQYADPNSIPGDLLAYLTSQSQPARHSNEVSSISNPAISMSNVIEPSAFSLPMRSALPHDPTIQSSTHFHQFLDKKGYLSLPSPQAFSGHTAHEYPADMKYNLVQNGNEFLINRLPPRATARDAFGYGNLGSSVYSSESFLANLSPSHVIPSSNLNETLSSQYRGGHNLSSLHQHDSFSHWDYGSESRSSFIPERTQSNFLGHPNQASLLQYASPGYFNLNHAQPRVLEEHQHPGSFKDLSSKHLHQFWQHNN >Vigun06g231300.3.v1.2 pep primary_assembly:ASM411807v1:6:33780035:33781630:-1 gene:Vigun06g231300.v1.2 transcript:Vigun06g231300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRSRIVVNGVRRTRTFHYFWCLYCQRTVRIPFTNNNGSTCPYCFHHLRYELDISRPRLLMNVPNNLEPSPATQLLHNLALILDPPLRRQNNIHLNTTTHWETENTENEDGADPQAWVTLRFPRPTRAPRPISPPQNLVPPSNHTETLLDDFIDGVIQNNTRPGPPPAASSAIAALPVVKLTQTHLGSDPNCPICKDEFELEMEVRELPCKHFYHSDCITPWLRMHNTCPVCRFELQGVVTPDANYHYRFQVDENDMRFAFEDISNSLNWIWNQLASFRPIRSVLDWTRSYFDFHETRLRARRGNVGSSWWRSLFVI >Vigun06g231300.2.v1.2 pep primary_assembly:ASM411807v1:6:33780035:33781630:-1 gene:Vigun06g231300.v1.2 transcript:Vigun06g231300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRSRIVVNGVRRTRTFHYFWCLYCQRTVRIPFTNNNGSTCPYCFHHLRYELDISRPRLLMNVPNNLEPSPATQLLHNLALILDPPLRRQNNIHLNTTTHWETENTENEDGADPQAWVTLRFPRPTRAPRPISPPQNLVPPSNHTETLLDDFIDGVIQNNTRPGPPPAASSAIAALPVVKLTQTHLGSDPNCPICKDEFELEMEVRELPCKHFYHSDCITPWLRMHNTCPVCRFELQGVVTPDANYHYRFQVDENDMRFAFEDISNSLNWIWNQLASFRPIRSVLDWTRSYFDFHETRLRARRGSSWWRSLFVI >Vigun06g231300.1.v1.2 pep primary_assembly:ASM411807v1:6:33780035:33781630:-1 gene:Vigun06g231300.v1.2 transcript:Vigun06g231300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRSRIVVNGVRRTRTFHYFWCLYCQRTVRIPFTNNNGSTCPYCFHHLRYELDISRPRLLMNVPNNLEPSPATQLLHNLALILDPPLRRQNNIHLNTTTHWETENTENEDGADPQAWVTLRFPRPTRAPRPISPPQNLVPPSNHTETLLDDFIDGVIQNNTRPGPPPAASSAIAALPVVKLTQTHLGSDPNCPICKDEFELEMEVRELPCKHFYHSDCITPWLRMHNTCPVCRFELQGVVTPDANYHYRFQVDENDMRFAFEDISNSLNWIWNQLASFRPIRSVLDWTRSYFDFHETRLRARRGTAGSSWWRSLFVI >Vigun08g066400.1.v1.2 pep primary_assembly:ASM411807v1:8:9798537:9802956:1 gene:Vigun08g066400.v1.2 transcript:Vigun08g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLDDETAKKVVRQVEFYFSDSNLPRDNFLKKTVSESEDGMVSLALICSFNRMRKHLKLEEVKSAEVPDDTVKAVAQALKDSALVRLSEDGSKVGRSTKLLKPEEVIEQVEIRTIAASPFQYDVKLEDVEAFFGQYAKVNSVRLPRHVGDKRVFCGTALVEFSSDEEMEKILKQSLVYAGAELELKPKKDFDVQREKELKEQEKFRPPVGVDHQSNISTEADYPIGLLIAFKLKIISDEVPSEQNNPEQQTNDAHDISKTDQQNPSEIAAEESGKNISENDENDKENGEVSEGKETEGEEKSKETDGKNSAAADKDNKFVVSREDLKVVFEKFGTVKYVDFKIGEESGYIRFEEPEGAQKARAAAVLSEKEGLVVKNCIAILDPVTGEAEREYWSLLRGNQDKRRGFMNNRGRGRKHGRGGKFNRSRENESGSNRPNKFRKF >Vigun03g358850.1.v1.2 pep primary_assembly:ASM411807v1:3:56123482:56123735:1 gene:Vigun03g358850.v1.2 transcript:Vigun03g358850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRIPTRNTNPIHNDVLENRTFLLFDQPLGEANTTANFKAIVMFLILQFTNKRTIPFDPSTPGCLS >Vigun09g082800.1.v1.2 pep primary_assembly:ASM411807v1:9:10047033:10048330:-1 gene:Vigun09g082800.v1.2 transcript:Vigun09g082800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAATLVIQHPNGKVDKFYVPLSATHVMKTNPGHYVALLISSTTLCPTKHIQNCPDKSHHKGNIVNNNNNPVRLTRIKLLKPTDNLILGQVYRLITTQEVMKGLREKQKAKMRQNVVESVQKPDLEKEKLPRRPDIEDNKVMAKPERGRARITAATNNGGGGTAKTRFWQPSLQSISESAS >Vigun02g117600.1.v1.2 pep primary_assembly:ASM411807v1:2:27041992:27044937:1 gene:Vigun02g117600.v1.2 transcript:Vigun02g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTFDVSAACFRVPPRFSRNPCHTRFSVLNSLNPHRGRISVNVPPKFPNFRREVALKGDLEAVVPTSVPVRVAYELLLAGHRYLDVRTPEEFDEGHVPGAINIPYMFRVGSGMTKNSNFIREVSSHFRKDDEIIVGCQLGKRSIMAASDLLAAGFTGLTDMAGGFAAWTQNGLPTER >Vigun02g117600.3.v1.2 pep primary_assembly:ASM411807v1:2:27040565:27044490:1 gene:Vigun02g117600.v1.2 transcript:Vigun02g117600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTFDVSAACFRVPPRFSRNPCHTRFSVLNSLNPHRGRISVNVPPKFPNFRREVALKGDLEAVVPTSVPVRVAYELLLAGHRYLDVRTPEEFDEGHVPGAINIPYMFRVGSGMTKNSNFIREVSSHFRKDDEIIVGCQLGKRSIMAASDLLAAGFTGLTDMAGGFAAWTQNGLPTER >Vigun02g117600.2.v1.2 pep primary_assembly:ASM411807v1:2:27042055:27044490:1 gene:Vigun02g117600.v1.2 transcript:Vigun02g117600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTFDVSAACFRVPPRFSRNPCHTRFSVLNSLNPHRGRISVNVPPKFPNFRREVALKGDLEAVVPTSVPVRVAYELLLAGHRYLDVRTPEEFDEGHVPGAINIPYMFRVGSGMTKNSNFIREVSSHFRKDDEIIVVSAYLLKTLVE >Vigun04g145400.2.v1.2 pep primary_assembly:ASM411807v1:4:36047266:36052595:1 gene:Vigun04g145400.v1.2 transcript:Vigun04g145400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQLQYVNLSSNYFGYKKIPEFFGSFRYLKYLDLSSCGFGGEIPRQLGSLSNLKYLNLASNSLNGSIPGQFGNLSKLQHLDLWHNFLEANIPSFLGNLSQLQHLRLSCYYFEGNIPSQLGNLTKLEQLYLGGYLGGGIKIEDGGQWLSNLLSLTHFSLVSVSNLDRSYSWLKVIAKLPELRELSLVGCSLSDHFILLSKLSKFNFSTSLSVLDLSQNTFTSPMVLQWVSNITSNLVELDLSANHLEGFTSSDFGMVKNSLRRLDLSSNNFKAKDLKSFTNICTLHSLYLSSNKFTEDLPSILSNLSSGCVRHSLQELDLSLNHIVGTLSDISVFSSLKTLFLQINRLSGRIPEGVKLPSTLEDLSISENFFKGGIPKSFGNACALHSFDMSANNLNGTLPDFSTFTSLKVLYLSENKLNGEIHKDIQFPHQLEELDVHSNSLKGVLSDYNFTNMSKLHVLDLFDNSLALTFTQNWVPPFQLFTIKLRSCNLGPAFLKWLQTQNKYFYIDISKAAISDIVPKWFWTKLPLQQVMTMNISYNNLRGIISNFPLTHLSDSMSLESNQFEGSIPQFLGNSKIVDLSKNKFSYPLSFLCRDDTIQTLFYLDLSYNKLFGHIPDCWRQLNKLVYLDLSQNNFSGKIPMSMGSLLVLQALFLRNNNLVEGIPFSLRNCTELVMLDMSENKLSGSIPDWIGTKEELQILSLGKNQFFGSLPLEVCCLRSIQVLDLSINNLSGKIPKCIKDLTSMTQTPSSIDYGYHSYFFKIGVFDTSMTYDLSALLTWKGLEQMFMNKGLSLLKLIDLSSNHFSGEIPVEIEKLSGLISLNLSRNNLIGKIPSNIGKLASLNSLDLSRNWLVGSIPPSLAQLYGLCVLDLSHNHLSGKIPTGTQLQSFNKSSYENNLDLCGPPLERLCIDGKLTQGPNVKVYKDEYSFFNNDFFISMAIGFALSFWTVFGSILFKRSWRHSYFNFLNKLTDNILVK >Vigun08g006900.2.v1.2 pep primary_assembly:ASM411807v1:8:594727:602818:-1 gene:Vigun08g006900.v1.2 transcript:Vigun08g006900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAERFEDEEKWLAEGIAGIQHNAFFMHRALDEDNLRDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRRLEMFFKDERRHGVAIADLYELVQHAGNILPRLYLLCTVGSAYLRSKDAPVKDVLKDLVEMCRAVQHPIRGLFLRSYLSQVSKDKLPDIGYEHEEGESNDVMVAVEFVLQNFTEMNKLWVRLQLQHQGPSRIREKREKERNELRDLVGKNLHVLSQIEGVNLEMYKDIVLPSVLEQVVNCNDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSNYAASNIEVLPEFLQVEAFAKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRFDYVDQVLGSCVKKLSGKPKLDDSRATKQVVALLSAPLDKYNDIVTALTLSNYPRVMDHLDHETNKVMAMVIIQSIMKNNTCISTADKVEVLFELIKGLIMDLDGTIVDEVDEEDFNEEQNSVARLIHMLHNDEPEEMFKIICTVKKHIMSGGPRRLPFTVPSLIFSALRLIRQLHGQDGDIVGEEVPTTPKKIFQLLNEIIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQYSAKLLKKPDQCRAVYACSHLFWLDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYIYYFEKGNPQITSATIQGLIELITTEMQSDSASALPASDAFFTSTLRYIQFQKQKGGILGEKYDPIKV >Vigun08g006900.1.v1.2 pep primary_assembly:ASM411807v1:8:594727:602818:-1 gene:Vigun08g006900.v1.2 transcript:Vigun08g006900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAERFEDEEKWLAEGIAGIQHNAFFMHRALDEDNLRDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRRLEMFFKDERRHGVAIADLYELVQHAGNILPRLYLLCTVGSAYLRSKDAPVKDVLKDLVEMCRAVQHPIRGLFLRSYLSQVSKDKLPDIGYEHEEGESNDVMVAVEFVLQNFTEMNKLWVRLQLQHQGPSRIREKREKERNELRDLVGKNLHVLSQIEGVNLEMYKDIVLPSVLEQVVNCNDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSNYAASNIEVLPEFLQVEAFAKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRFDYVDQVLGSCVKKLSGKPKLDDSRATKQVVALLSAPLDKYNDIVTALTLSNYPRVMDHLDHETNKVMAMVIIQSIMKNNTCISTADKVEVLFELIKGLIMDLDGTIVDEVDEEDFNEEQNSVARLIHMLHNDEPEEMFKIICTVKKHIMSGGPRRLPFTVPSLIFSALRLIRQLHGQDGDIVGEEVPTTPKKIFQLLNEIIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGSLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWLDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYIYYFEKGNPQITSATIQGLIELITTEMQSDSASALPASDAFFTSTLRYIQFQKQKGGILGEKYDPIKV >Vigun08g006900.3.v1.2 pep primary_assembly:ASM411807v1:8:594727:602818:-1 gene:Vigun08g006900.v1.2 transcript:Vigun08g006900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDELRRLEMFFKDERRHGVAIADLYELVQHAGNILPRLYLLCTVGSAYLRSKDAPVKDVLKDLVEMCRAVQHPIRGLFLRSYLSQVSKDKLPDIGYEHEEGESNDVMVAVEFVLQNFTEMNKLWVRLQLQHQGPSRIREKREKERNELRDLVGKNLHVLSQIEGVNLEMYKDIVLPSVLEQVVNCNDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSNYAASNIEVLPEFLQVEAFAKLSTAIGRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRFDYVDQVLGSCVKKLSGKPKLDDSRATKQVVALLSAPLDKYNDIVTALTLSNYPRVMDHLDHETNKVMAMVIIQSIMKNNTCISTADKVEVLFELIKGLIMDLDGTIVDEVDEEDFNEEQNSVARLIHMLHNDEPEEMFKIICTVKKHIMSGGPRRLPFTVPSLIFSALRLIRQLHGQDGDIVGEEVPTTPKKIFQLLNEIIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGSLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWLDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYIYYFEKGNPQITSATIQGLIELITTEMQSDSASALPASDAFFTSTLRYIQFQKQKGGILGEKYDPIKV >Vigun10g033633.1.v1.2 pep primary_assembly:ASM411807v1:10:4495927:4501597:-1 gene:Vigun10g033633.v1.2 transcript:Vigun10g033633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIASMDFASSSSKLPLMLNVIINFHGEDVRKKFVSHLESDLSTVGITTYLHHENEANAMPIQQPILDLCRITIVVFTKNYSQSAWCLHQLHQIIKWHQTYCRHVLPVYYEIQPSDVRLQKGDFGEAFKATAKQTFSAQQLEHGMSRWSHALTKAANFFGWDESNYRSDAELVEKIVKSVLNLPVLSATKFPVGLQSHVEDVIHTIKNNSTEVCMIRICGMEGSGKTTVAKAIYNKIHDSFMDKIFVEDYIAQDNKKKWLHFKEKLLSDVLKPKVEVRNIEKGRNIRERHFRKRVLIVLDNVNDHSLLINLSDSLLWFDKGTVIIITTKHEQLLKTHDVNAVFRINLLNAKESLELLSWHAFREAKPKEEYHDLAKAIVTHCGGLPLALEVIGTYLYERTKEEWHRVLFKLGKTPQHDVLPVLKICFEGLPNQIERNLFLDIYCFFVGKDRAYVTKILNGCGVDADSGIGILIERSLIIVKKNNKFGLHPLLREMAREIIGEITSGMEAKKTSRLWFDMDADYVLLEHILFSSQEKKFIQRFPPKWFPTVKDFFERDYLEVRDAIRRMKLGGHCEYRSKELGLIRLEKFSSEYHPIGFQHDAIAIDLKHRLPRLVWKEPQVLIT >Vigun08g199200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36423541:36425524:-1 gene:Vigun08g199200.v1.2 transcript:Vigun08g199200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGIFQITSNSFDPFAEAKDRDAPGAKEYVHIRIQQRNGKKSLTTVQGLRKEFSYEKILKDLKKEFCCNGNVVHDKELGKIIQLQGDQRKNVSHFLIQAALVRKDQIKIHGF >Vigun06g007820.1.v1.2 pep primary_assembly:ASM411807v1:6:3808658:3809016:-1 gene:Vigun06g007820.v1.2 transcript:Vigun06g007820.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTVHFPAILVTVTCNPRRCVRTPLSGRQRKDFSETSFQTRESTFPYENWVHVSVRGRVKGSPLLRISPLIIDRSSEGYPSPKAQRDHLPLVFGRRGLLHKNAGT >Vigun09g075700.1.v1.2 pep primary_assembly:ASM411807v1:9:8600932:8602907:1 gene:Vigun09g075700.v1.2 transcript:Vigun09g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSVLCKEEVPMDLPPGFRFHPTDEELITHYLYRKVTEISFSARAIGEVDLNRSEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFRGKSPVGMKKTLVFYKGRAPKGEKTDWVMHEYRLEGKFSVPNLPKTAKNEWVICRVFQKSSGGKKTHISGIMMLDSYGNELGSSALPPLTDSSPSIGNTKALSATEQVYVPCFSNPIEVPRGIFDSLNNIDINIINNNNNNNALYGVSSNHSFYSTQGFHQLQAPPNLPSPGSSNHYLRSFFHKGSLLRNGFEPDTEWSVSHRKQVFLLMSTPKPLQLCQILRWVKGSLRIKTTPLVQLHQWTLLPYGTTDIKCRKQSQLFQQKKNIRIYYLFFVMLSLFLR >Vigun09g075700.2.v1.2 pep primary_assembly:ASM411807v1:9:8600932:8602907:1 gene:Vigun09g075700.v1.2 transcript:Vigun09g075700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFRGKSPVGMKKTLVFYKGRAPKGEKTDWVMHEYRLEGKFSVPNLPKTAKNEWVICRVFQKSSGGKKTHISGIMMLDSYGNELGSSALPPLTDSSPSIGNTKALSATEQVYVPCFSNPIEVPRGIFDSLNNIDINIINNNNNNNALYGVSSNHSFYSTQGFHQLQAPPNLPSPGSSNHYLRSFFHKGSLLRNGFEPDTEWSVSHRKQVFLLMSTPKPLQLCQILRWVKGSLRIKTTPLVQLHQWTLLPYGTTDIKCRKQSQLFQQKKNIRIYYLFFVMLSLFLR >Vigun05g275400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46558243:46560844:1 gene:Vigun05g275400.v1.2 transcript:Vigun05g275400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAVSKCFRSLLSPFQSIFVVSPSISHIHTHSFYVTHTHELVDSLHVFFQRCLTLHQARQLHSQLLLTAAHRVPFLAASLIAAYSRFGFLSDARKVFAAIPVEGLHHLLLWNSIIRANVSHGYHQHALQLYLEMRNLGILPDGFTLPLIIRACSSLAVSGLCRIVHCHALQLGFRNHLHVVNELVGMYGKHGRMEDARQLFDGMSVRSLVSWNTMVSGYAFNCDSLGASAIFKRMELEGLQPNSVTWTSLLSSHARCGLYDETVELFKLMRTRTRIIDISAEALAVMLPVCATVFQVDWGKEIHGLVVKGGYEDYLFVKNALIGTYGKHQNLGDAQRVFLDIKNKSLVSWNALISAYAEAGLCEEAYATFLQMEKSCTDGQSPVRPNVISWSAVIGGFASKGLGEKSLELFRQMQLSKVMANCVTISCVLSVCAELAALNVGRELHGYAIRNLVGDNILVGNGLINMYMKCGNFNEGHIVFDSIKGRDLISWNSLIGGYGMHGLGENALRSFDEMIRAGMKPDNITFVAILSACSHAGLVAAGRNIFYQMARKFSIEPNVEHYACMVDLLGRAGLLKEATDIVRNMPIEPNEYIWGALLNSCRMYRDVNIAEETASQILTLKSKITGSIMLLSHIYAANGRWDDFARVRVSARTKGLKKVPGQSWIEVRKKVYMFSAGNSVHLSLDEVYVILEELALHMASENYNL >Vigun04g008400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:602987:605393:-1 gene:Vigun04g008400.v1.2 transcript:Vigun04g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKELLPPAKSSSTTYYDHTNDPWFKQRFSSEEEKSAAAAAIKQKPVPPYLKRAGFVPRKIEDFGDGGAFPEIHVAQYPLDMGRDRSSKPGSKILPVTVDAHGNVAYDAIVKQNENARKIVYTQQKDLVPKILKNDEDSDDDDVVSDDETQKQIEETMQETKAALEKIVNVRLSAAQPKNVPKQNSDAKYIKYKPSQQSAAFNSGAKERVIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMLKEKERKEQELRALAQKARSERIGGERIGVVPAPPAAVPVDDDDMRVDYEHEKENPRERDRDRSFVKESREEREERLQREKIREERRKERERERRLESKDAAMGKRSKITRDRDRDISEKVALGMASTKPGTEVMYDERLFNQDKGISSGFATDDQYNVYEHGLFTAQPTLSTLYRPKKNVDDETYGGADEQLEKIMKTDRFKPDKGFAGASERTGPRDRPVEFENEEADPFGLDQFLTEVKKGKKAMEKVGGGGTMRASAGSSMREGYDGGSGRTRIGFERGH >Vigun08g109600.2.v1.2 pep primary_assembly:ASM411807v1:8:27188614:27205302:-1 gene:Vigun08g109600.v1.2 transcript:Vigun08g109600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRATYFFPRQFPERGLDESSKQRLDHEKRKLVNSIKSSDTTFAYESDAPKKPTPIPTPAAKDNDDVVFSSGKQSAVSDLFTGADKFRTKQKQIAAFCDWLIDKKKDINRPSHRLRTYPNDEEEEEDERELLLPPPPPPASAAAQVVKDAVDRSFDRQVSLPRLSSGSSYAGSLFTLDGTATFSSDVTKDETSSFQVFTEEDARKRKEEEENVKLNTAQKYKESYYLQLTLAQRLSFLASLASEPVLALDAGPETWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDVEEEGKRLPTLMALKAVEPSETSIEVVFFDRQEDSRLKQLQDKAQELYSASENALVLVEKLGKLVAICMGGTFPVEQGDLHKRWKLVSKRLRNFHQCVVLPVGSLSSGLCRHRAILFKRLADYIGLPCRIARGCRYCVSDHRSSCLVKIKDDRQLSREYVVDLVGEPGNILGPDSSINGAYASSIPSPFQISHLKESQSPYVDATACSQSLDNTYSGFVQEDQRVDETDRQKNNNGSIYSAIDQTRGGVSEDLDEVSGAPIHEYPRLSKDSVVVIEASKEIVVKGSSGVKSIYKQSILSSSTEPEQEHVKNKLENQGAGNIPRYLNLEPSLAMDWLEIPWDDLRIKERVGAGSFGTVYRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHKPASGEILDPRRRLRMALDVAKGINYLHCLKPPIVHWDLKTPNLLVDRNWTVKVCDFGLSRFKANTFLSSKSVAGTVELSLQVPHLQ >Vigun08g109600.1.v1.2 pep primary_assembly:ASM411807v1:8:27188614:27205299:-1 gene:Vigun08g109600.v1.2 transcript:Vigun08g109600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRATYFFPRQFPERGLDESSKQRLDHEKRKLVNSIKSSDTTFAYESDAPKKPTPIPTPAAKDNDDVVFSSGKQSAVSDLFTGADKFRTKQKQIAAFCDWLIDKKKDINRPSHRLRTYPNDEEEEEDERELLLPPPPPPASAAAQVVKDAVDRSFDRQVSLPRLSSGSSYAGSLFTLDGTATFSSDVTKDETSSFQVFTEEDARKRKEEEENVKLNTAQKYKESYYLQLTLAQRLSFLASLASEPVLALDAGPETWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDVEEEGKRLPTLMALKAVEPSETSIEVVFFDRQEDSRLKQLQDKAQELYSASENALVLVEKLGKLVAICMGGTFPVEQGDLHKRWKLVSKRLRNFHQCVVLPVGSLSSGLCRHRAILFKRLADYIGLPCRIARGCRYCVSDHRSSCLVKIKDDRQLSREYVVDLVGEPGNILGPDSSINGAYASSIPSPFQISHLKESQSPYVDATACSQSLDNTYSGFVQEDQRVDETDRQKNNNGSIYSAIDQTRGGVSEDLDEVSGAPIHEYPRLSKDSVVVIEASKEIVVKGSSGVKSIYKQSILSSSTEPEQEHVKNKLENQGAGNIPRYLNLEPSLAMDWLEIPWDDLRIKERVGAGSFGTVYRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHKPASGEILDPRRRLRMALDVAKGINYLHCLKPPIVHWDLKTPNLLVDRNWTVKVCDFGLSRFKANTFLSSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTLQQPWNGLSHAQVVGAVAFQNRRLAIPPNISPALASLMEACWADKPGDRPSFGSIVESLKKLLKSPADAIKMGGK >Vigun08g109600.3.v1.2 pep primary_assembly:ASM411807v1:8:27188614:27205299:-1 gene:Vigun08g109600.v1.2 transcript:Vigun08g109600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRATYFFPRQFPERGLDESSKQRLDHEKRKLVNSIKSSDTTFAYESDAPKKPTPIPTPAAKDNDDVVFSSGKQSAVSDLFTGADKFRTKQKQIAAFCDWLIDKKKDINRPSHRLRTYPNDEEEEEDERELLLPPPPPPASAAAQVVKDAVDRSFDRQVSLPRLSSGSSYAGSLFTLDGTATFSSDVTKDETSSFQVFTEEDARKRKEEEENVKLNTAQKYKESYYLQLTLAQRLSFLASLASEPVLALDAGPETWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDVEEEGKRLPTLMALKAVEPSETSIEVVFFDRQEDSRLKQLQDKAQELYSASENALVLVEKLGKLVAICMGGTFPVEQGDLHKRWKLVSKRLRNFHQCVVLPVGSLSSGLCRHRAILFKRLADYIGLPCRIARGCRYCVSDHRSSCLVKIKDDRQLSREYVVDLVGEPGNILGPDSSINGAYASSIPSPFQISHLKESQSPYVDATACSQSLDNTYSGFVQEDQRVDETDRQKNNNGSIYSAIDQTRGGVSEDLDEVSGAPIHEYPRLSKDSVVVIEASKEIVVKGSSGVKSIYKQSILSSSTEPEQEHVKNKLENQGAGNIPRYLNLEPSLAMDWLEIPWDDLRIKERVGAGSFGTVYRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHKPASGEILDPRRRLRMALDVAKGINYLHCLKPPIVHWDLKTPNLLVDRNWTVKPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTLQQPWNGLSHAQVVGAVAFQNRRLAIPPNISPALASLMEACWADKPGDRPSFGSIVESLKKLLKSPADAIKMGGK >Vigun02g116601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26977387:26978259:1 gene:Vigun02g116601.v1.2 transcript:Vigun02g116601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFHVRSNSFPTGSHPSISRVEEELNKLKTWEDTSTSTSNSIATGFSLLSDLHVCLEDILNMASTQKLLSNHQGEKCIQELLAGSVRILDICDITRDNMLQIKENVQALHSALRRRKGDSSIERIVAEYNFFSKKMKKNAKKLITTLKQMENKFGVSQILDEDQQLVSLVRVVREVIGMNMSVFQSLLAFLTVSASKSKATKWLLVSKLMQKGVIACEEKQKNLNELQCVEASLNSLVNEGSNVATMKAAHERLEALENGIEIIENGLESLFRRMVRTRACFLNIITQ >Vigun08g071550.1.v1.2 pep primary_assembly:ASM411807v1:8:11602057:11624058:-1 gene:Vigun08g071550.v1.2 transcript:Vigun08g071550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPINHISVDMCNGLEFSHVNITAPMESPNTNGIDISGSSNVFVHSSTIQTGNDCIAINSGSSFVNNISDIFCGSSHGISVGSLGRNGDDARVENVHVRNCTFLGSSNGVRLKTWREAQGYARKIISIMLLFEENVYFLCNKLCSDGIAKSEGFNLFDVFISNEKKQLMIQVMLLTPETERGTSWELELGIYYVLKFYLGLVITFGITFIE >Vigun08g071550.4.v1.2 pep primary_assembly:ASM411807v1:8:11602057:11624058:-1 gene:Vigun08g071550.v1.2 transcript:Vigun08g071550.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMNSPINHISVDMCNGLEFSHVNITAPMESPNTNGIDISGSSNVFVHSSTIQTGNDCIAINSGSSFVNNISDIFCGSSHGISVGSLGRNGDDARVENVHVRNCTFLGSSNGVRLKTWREAQGYARKIISIMLLFFPTSQQSPCEVTYEVAIIV >Vigun08g071550.2.v1.2 pep primary_assembly:ASM411807v1:8:11602057:11624058:-1 gene:Vigun08g071550.v1.2 transcript:Vigun08g071550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPINHISVDMCNGLEFSHVNITAPMESPNTNGIDISGSSNVFVHSSTIQTGNDCIAINSGSSFVNNISDIFCGSSHGISVGSLGRNGDDARVENVHVRNCTFLGSSNGVRLKTWREAQGYARKIISIMLLFEENVYFLCNKLCSDGIAKSEGFNLFDVFISNEKKQVMLLTPETERGTSWELELGIYYVLKFYLGLVITFGITFIE >Vigun08g071550.3.v1.2 pep primary_assembly:ASM411807v1:8:11602057:11624058:-1 gene:Vigun08g071550.v1.2 transcript:Vigun08g071550.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMNSPINHISVDMCNGLEFSHVNITAPMESPNTNGIDISGSSNVFVHSSTIQTGNDCIAINSGSSFVNNISDIFCGSSHGISVGSLGRNGDDARVENVHVRNCTFLGSSNGVRLKTWREAQGYARKIISIMLLFFPTSQQSPCEVTYEVAIIV >Vigun10g069750.1.v1.2 pep primary_assembly:ASM411807v1:10:16814518:16816480:-1 gene:Vigun10g069750.v1.2 transcript:Vigun10g069750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTINDKWATYAGPGGWNDPDMLEVGNGSMTYQEYRAHFSIWALAKALLLIGCDVRNLTAETLEILSNQEVIAINQDSLGVQGRKVQASGVDGCRQIKHSKNFHSIMPILMKELSFSSTKHFEKES >Vigun06g048700.1.v1.2 pep primary_assembly:ASM411807v1:6:17349052:17354386:-1 gene:Vigun06g048700.v1.2 transcript:Vigun06g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQNQNNTPDGSGDVPLKRKRGRPRKYPKPDSEESSYILVSQNKRQNPVRAEQTPVPPGFETVNGSQQFQRGQESHLNNAMVGQLVSGVIEAVFDAGYLLSVRVGDSDTTLRGLVFKPGRYVPISPENDVAPSVPMIQRNEVSFPSRAAQFQTPLPKERNEQAVNVHRVETLAMNGSPSVPQIPRGAVSSSNLVASSGKNVPSVPSVPGQITHQLPRGNVVPVLLQPNNFTNGVPVSNQASQVNTQVSLGSGVISSKEIPVDGNPSLVSHTQTISHSTGMQSEDARPPHNQSSSHVVNEDEAKSMRMPGMPFEHLVTEVVKRIQDPSDAMDNETTDNCKSGDNMVEKDPSGTQEDKVNDVDQPILIKPLQAVQSCPQESSASAPKPSDYTETGRMTELLQVFQHNNTENQASKAAELESGNKLDDIRNLGTGLEDGGTIQSTKPF >Vigun06g048700.2.v1.2 pep primary_assembly:ASM411807v1:6:17349052:17354386:-1 gene:Vigun06g048700.v1.2 transcript:Vigun06g048700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQNQNNTPDGSGDVPLKRKRGRPRKYPKPDSEESSYILVSQNKRQNPVRAEQTPVPPGFETVNGSQQFQRGQESHLNNAMVGQLVSGVIEAVFDAGYLLSVRVGDSDTTLRGLVFKPGRYVPISPENDVAPSVPMIQRNEVSFPSRAAQFQTPLPKERNEQAVNVHRVETLAMNGSPSVPQIPRGAVSSSNLVASSGKNVPSVPSVPGQITHQLPRGNVVPVLLQPNNFTNGVPVSNQASQVNTQVSLGSGVISSKEIPVDGNPSLVSHTQTISHSTGMQSEDARPPHNQSSSHVVNEDEAKSMRMPGMPFEHLVTEVVKRIQDPSDAMDNETTDNCKSGDNMVEKDPSGTQEDKVNDVDQPILIKPLQAVQSCPQESSASAPKPSDYTETGRMTELLQVFQHNNTENQASKAAELESGNKLDDIRNLGTGLEDGGTIQSTKPF >Vigun08g043500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4542798:4544630:1 gene:Vigun08g043500.v1.2 transcript:Vigun08g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSENSHHWTTFLVLLILPMTIVQPFSFSITNFEDSESAALVGYAGVAKIVNGTILLNSLTYSGVGRAIYGQPIHLKNSSNQRVTDFSTRFSFTIQSPNSIYGDGFAFYVAPLAYQIPKTDLAGVRLGLYDENIPIVAVEFDTFINELDPPLQHVGINNGSVVSLNYTKFDIESNKGNMGHALITYNASAKLLAVSWFFERTSSASTPNAYLSYQIDLAELLPEWVALGFSGSTGSSIEENVIHSWEFSSSLDLTISPANEENVIATKYKGRRKVVVVAVIWCTIFVIVVVGVTCWMMKKRRNEDGFGFDREAMPRRFGYDELVAATNGFADDRRVGGEGGHGEVYKGFVSDLGRVVAVKRVCSDVEDSEGIFRNEVKIISRLIHKNLVQLIGWCEEEGELLIVMEYLDNGSLETHLFGNRRSLKWGVRYNIALGVARALRYLHEEVEQCVVHRDIKSSNVLLDTDFNTKVSDFGIAKLVDPRLRTQRTKVVGTYGYLAPEYVKEGRVSKESDIYSFGVLALEIACGRRSYDDVGLMNWVWKHYVDGKILNGADEKMKRDFDVSEMRCLLTVGLWCTLQDHKERPTAEQVINVLQQKVSLPILSTKHA >Vigun10g076300.2.v1.2 pep primary_assembly:ASM411807v1:10:20625726:20628140:1 gene:Vigun10g076300.v1.2 transcript:Vigun10g076300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNFMVFLLQFFNLGQVAAANVLATLGGASNLTAVIAACVADAYLGKFKTIAISSFGALMGMVILTLTAWVPQLHPPSCTSTENCVPPTSFQLGFLILGYGCLALGTGGIGPCTIPFAIDQFDTTSSEGRKVMNRFFNWYFTSVTVIQLFSLTAVVYLQNRSWILSFGMLSLLMSFSIIIFFVGASIYVYIPPEGTIFSGIAQVFFAAYKKRRLKIPVIEDEGIYYDPPVDAKTLLKMPLTKQLSCLNKAALIEDNELNRGGTVKNPWRVCSIQQVEEVKCLIKMLPIWASGILCLVPVVQQGTFPVSQALKMDRHLGANLKLPPATFNVVSLITIIIFLPSFDLFLQPILAKVTKNEEGLTSLQKIVIGDICSVLTMLSAGLVEWRRRGVAIAHGAADGVAPMSAMWLAPQFVFLGLCEILTVVGHTQFYNTESPENMKSIGNSLQYLVMAFSIYVGTLMVNVVNQVTRKYGGIDWLNDDINAGRLDYYYFLLAGFATINLVYILYCVKRYHYKVVIPPNIF >Vigun10g076300.1.v1.2 pep primary_assembly:ASM411807v1:10:20625727:20628139:1 gene:Vigun10g076300.v1.2 transcript:Vigun10g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPGWRAISYILGNQIVERIATLGMMGNFMVFLLQFFNLGQVAAANVLATLGGASNLTAVIAACVADAYLGKFKTIAISSFGALMGMVILTLTAWVPQLHPPSCTSTENCVPPTSFQLGFLILGYGCLALGTGGIGPCTIPFAIDQFDTTSSEGRKVMNRFFNWYFTSVTVIQLFSLTAVVYLQNRSWILSFGMLSLLMSFSIIIFFVGASIYVYIPPEGTIFSGIAQVFFAAYKKRRLKIPVIEDEGIYYDPPVDAKTLLKMPLTKQLSCLNKAALIEDNELNRGGTVKNPWRVCSIQQVEEVKCLIKMLPIWASGILCLVPVVQQGTFPVSQALKMDRHLGANLKLPPATFNVVSLITIIIFLPSFDLFLQPILAKVTKNEEGLTSLQKIVIGDICSVLTMLSAGLVEWRRRGVAIAHGAADGVAPMSAMWLAPQFVFLGLCEILTVVGHTQFYNTESPENMKSIGNSLQYLVMAFSIYVGTLMVNVVNQVTRKYGGIDWLNDDINAGRLDYYYFLLAGFATINLVYILYCVKRYHYKVVIPPNIF >Vigun05g289800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47652738:47652938:1 gene:Vigun05g289800.v1.2 transcript:Vigun05g289800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMTSCVLLIFLNTFFKMPFPEIMPESHHRNLWIVGLWHFQSHHHLHWTTVREGLPSLPSQNPS >Vigun05g289800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47652460:47654310:1 gene:Vigun05g289800.v1.2 transcript:Vigun05g289800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMTSCVLLIFLNTFFKMPFPEIMPESHHRNLWIVGLWHFQSHHHLHWTTVREGLPSLPSQNPS >Vigun05g289800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47652459:47655761:1 gene:Vigun05g289800.v1.2 transcript:Vigun05g289800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRMTSCVLLIFLNTFFKMPFPEIMPESHHRNLWIVGLWHFQSHHHLHWTTVREGLPSLPSQNPS >Vigun11g164000.2.v1.2 pep primary_assembly:ASM411807v1:11:37143972:37150169:1 gene:Vigun11g164000.v1.2 transcript:Vigun11g164000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVHIILLCSSNLMFAFVSQAELAVSEFSGNGAGILSTASTAASTFLLVFVSEWGDKSFFSTIALAAASSPFGVIAGALAGHGVATLLAVLGGSLLGTYLSEKLSMDSSPNLN >Vigun09g180000.1.v1.2 pep primary_assembly:ASM411807v1:9:35252197:35253765:1 gene:Vigun09g180000.v1.2 transcript:Vigun09g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEGEKNRTMKRLLLIINCLLLAVGTAGGPLVMRLYFLRGGNRVWLSSFLQTAGFPFMLLPLAVSYFRRRAAAAAERTAKPSPVSMKTPLLAASVFIGVITGLDDYLYAYGVARLPVSTSVLIIASQLGFTALFAFLLVGQKFTSYSVNAVVLLTAGAGVLALHTKGDRPHGESMKDYVMGFVMTAIAAALYGLVLPLVELVYKKTKQPITYSLVMEIQLVMSFSATLFCFIGMIINNDFKVIPREAKTFKHGEVNYYAVLVGTAIIWQAFFLGAVGVIFCASSLFSGVLIAVLLPITEVLAVIFYKEKFQAEKGVSLFLSLWGMVSYLYGEIKHEKKMKKEKSSDMEATATAMSELGND >Vigun11g053300.1.v1.2 pep primary_assembly:ASM411807v1:11:9421575:9425619:1 gene:Vigun11g053300.v1.2 transcript:Vigun11g053300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSFHVEKKWQFPLIMISIVFIFFLATCFNMGLVSTIHSFNSILFFLPSRLAINQSAPVFVETKIPSTAPGPTVLAIPRFAYLISGSKNDLEKLWRTLLVLYHPLNHYIVHLDLESPLEVRLELASRIEKQPIFSEVGNVFMIQKANMVTYRGPTMISHTLHACAILLKRTKDWDWFINLSASDYPLVTQDDLLYTFSDVDRSLNFIEHTSHLGWKQEKRAMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTIVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKEQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun11g053300.3.v1.2 pep primary_assembly:ASM411807v1:11:9421903:9425585:1 gene:Vigun11g053300.v1.2 transcript:Vigun11g053300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSFHVEKKWQFPLIMISIVFIFFLATCFNMGLVSTIHSFNSILFFLPSRLAINQSAPVFVETKIPSTAPGPTVLAIPRFAYLISGSKNDLEKLWRTLLVLYHPLNHYIVHLDLESPLEVRLELASRIEKQPIFSEVGNVFMIQKANMVTYRGPTMISHTLHACAILLKRTKDWDWFINLSASDYPLVTQDDLLYTFSDVDRSLNFIEHTSHLGWKQEKRAMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTIVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKEQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun11g053300.2.v1.2 pep primary_assembly:ASM411807v1:11:9421575:9425619:1 gene:Vigun11g053300.v1.2 transcript:Vigun11g053300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSFHVEKKWQFPLIMISIVFIFFLATCFNMGLVSTIHSFNSILFFLPSRLAINQSAPVFVETKIPSTAPGPTVLAIPRFAYLISGSKNDLEKLWRTLLVLYHPLNHYIVHLDLESPLEVRLELASRIEKQPIFSEVGNVFMIQKANMVTYRGPTMISHTLHACAILLKRTKDWDWFINLSASDYPLVTQDDLLYTFSDVDRSLNFIEHTSHLGWKQEKRAMPLIIDPGLYRTNKSDVFWVGPKRTLPTAFKLFTGSAWMVLSRSFVEYVVWGWDNLPRTLLMYYTNFISSPEGYFQTVICNEPELAKTIVNSDLHYISWDNPPKQHPHVLTINDTNKMIASKAAFARKFKHNDPVLDEIDKQLLNREKEQLFTPGGWCSGNPTCSKVGNIYKITPGPGSRRLRFLVTRLTWMAKFGQKQCK >Vigun03g288800.1.v1.2 pep primary_assembly:ASM411807v1:3:47095371:47101983:-1 gene:Vigun03g288800.v1.2 transcript:Vigun03g288800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICQTHIDREIESLIERIHPPRVCIDNDSCSDCTVVKIDSANRHGILLEMVQVLAELDLVVSKSYISSDGGWVMDAFHVTDQFGHKLTDKGLVHYIQQALCEARSSKGISNDLELRSCNGLPGSNLSVSALNFAIELTTRDRPGLFLDISTALLGLGFNISSATVWTHNERAACIIHVEDVNKLGPIHVAESLAHLQEELLKVVKARDGEEEKKRARLRLRRIAAGRNHTERRLHQMMYDDGDYEMCGAWQGEKENGRDGIEVSVGRYAEKGYWVVNVRSRDRPKLLFDTVCILTDMQYEVFHAAVITNTSMTDQEYFVRRKGSLDLENESERQKLALCLIAALERRVSHGLKVEIRTEKRMGLLSKVTRVIGENGLSIAKMEIGVEGETAIGSFYLTNCSGEDVNPNIEELMRIETGGSVTANYISPYRVPKPMLSSSSRSNMHERKSSSHVRRKPSLGSMLWSQLECLTHNFRPI >Vigun01g241600.1.v1.2 pep primary_assembly:ASM411807v1:1:41118320:41122996:1 gene:Vigun01g241600.v1.2 transcript:Vigun01g241600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEIETWTVLVGVLCILVFIVKEFVMGGKGSKESGRRYEYGASSSSWDNNNYGGYPPQSPYPSHQTPQHQHASAPTPFYDNAQPKRKLDRKYSRIADNYRSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHDIGSGQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFFSEERYCNGFEEVLSRYRQIIPYLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGNLSPQEKNTIDAIVKASKYPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMTRNVDSSRKETDFALSALMEIPSQYKATIEHGILGARRGHSPDRVALPPPLYGRTSSSISTKPTRSNSFQQSAPTRTSYDHSVHTEASASSLYDNKVCPICLTNTKDMAFGCGHQTCCECGEDLEFCPICRSTINTRIKLY >Vigun01g241600.6.v1.2 pep primary_assembly:ASM411807v1:1:41118320:41122996:1 gene:Vigun01g241600.v1.2 transcript:Vigun01g241600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGSKESGRRYEYGASSSSWDNNNYGGYPPQSPYPSHQTPQHQHASAPTPFYDNAQPKRKLDRKYSRIADNYRSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHDIGSGQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFFSEERYCNGFEEVLSRYRQIIPYLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGNLSPQEKNTIDAIVKASKYPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMTRNVDSSRKETDFALSALMEIPSQYKATIEHGILGARRGHSPDRVALPPPLYGRTSSSISTKPTRSNSFQQSAPTRTSYDHSVHTEASASSLYDNKVCPICLTNTKDMAFGCGHQTCCECGEDLEFCPICRSTINTRIKLY >Vigun01g241600.4.v1.2 pep primary_assembly:ASM411807v1:1:41118320:41122996:1 gene:Vigun01g241600.v1.2 transcript:Vigun01g241600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGSKESGRRYEYGASSSSWDNNNYGGYPPQSPYPSHQTPQHQHASAPTPFYDNAQPKRKLDRKYSRIADNYRSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHDIGSGQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFFSEERYCNGFEEVLSRYRQIIPYLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGNLSPQEKNTIDAIVKASKYPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMTRNVDSSRKETDFALSALMEIPSQYKATIEHGILGARRGHSPDRVALPPPLYGRTSSSISTKPTRSNSFQQSAPTRTSYDHSVHTEASASSLYDNKVCPICLTNTKDMAFGCGHQTCCECGEDLEFCPICRSTINTRIKLY >Vigun01g241600.5.v1.2 pep primary_assembly:ASM411807v1:1:41118320:41122996:1 gene:Vigun01g241600.v1.2 transcript:Vigun01g241600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEIETWTVLVGVLCILVFIVKEFVMGGKGSKESGRRYEYGASSSSWDNNNYGGYPPQSPYPSHQTPQHQHASAPTPFYDNAQPKRKLDRKYSRIADNYRSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHDIGSGQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFFSEERYCNGFEEVLSRYRQIIPYLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGNLSPQEKNTIDAIVKASKYPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMTRNVDSSRKETDFALSALMEIPSQYKATIEHGILGARRGHSPDRVALPPPLYGRTSSSISTKPTRSNSFQQSAPTRTSYDHSVHTEASASSLYDNKVCPICLTNTKDMAFGCGHQTCCECGEDLEFCPICRSTINTRIKLY >Vigun01g241600.2.v1.2 pep primary_assembly:ASM411807v1:1:41118289:41122996:1 gene:Vigun01g241600.v1.2 transcript:Vigun01g241600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGSKESGRRYEYGASSSSWDNNNYGGYPPQSPYPSHQTPQHQHASAPTPFYDNAQPKRKLDRKYSRIADNYRSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHDIGSGQNPYEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQDVFSFFSEERYCNGFEEVLSRYRQIIPYLKLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGNLSPQEKNTIDAIVKASKYPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMTRNVDSSRKETDFALSALMEIPSQYKATIEHGILGARRGHSPDRVALPPPLYGRTSSSISTKPTRSNSFQQSAPTRTSYDHSVHTEASASSLYDNKVCPICLTNTKDMAFGCGHQTCCECGEDLEFCPICRSTINTRIKLY >Vigun02g145200.1.v1.2 pep primary_assembly:ASM411807v1:2:29337442:29341286:-1 gene:Vigun02g145200.v1.2 transcript:Vigun02g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRFLDSRTKCRTPTIFASLFFSLFLTSSAACFNGNCQLLEACTAATDCGPGLYCGNCAALGRTRPVCTRGQATIVTSLVNDLPFNKYTWIMTHNSFSIVDAPLLAGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFREQCFNFTAFQPAINTLREVEAFLTANPTEIVTIVIEDYVRAPKGLTNLFSNAGLDKYWFPVSDMPKKGEDWPTVTEMVQANRRLLVFTSDSSKEAEEGIAYQWTYMIENESGDPGVQGGSCPHRKESKALTSRTASLFLQNYFPTYPVEADSCKEHSAPLADMVNTCYKAAGNVLPNFIAVNFYMRSDGGGIFDIVDRMNGHSLCGCNTVSACQEGAPFGSCKNIAVPYTSPVTNTAGSFTGSVQFSRSASPSLSPNSCLFLFFYFLLISLSLGL >Vigun02g097700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25324009:25326837:-1 gene:Vigun02g097700.v1.2 transcript:Vigun02g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVSFLLDKLNALLQEEVNLQRGVREDVQYIKYELERHKAILRVADAMEDRDPELKAWVKGVRDVAHDMEDAIDEFNLRLVDQHGQGNGSSLHRFTFGLKTMKARRRIALDMQSIKSKVNVISLGRPELPGLGSRSSQRLSSSLDSQGDALLLEEADLVGIDKPKRQLCDLLFNEEPGRAVIPVYGMGGLGKTTLAKQVYDDPKVKKRFRIHAWINVSQSFKLQELLKDLVQQLHNVIGKPAPEAVGQMKSEELKELIKNLLQSSRYLIVLDDVWHVKVWDSVKLALPNSNRGSRVMITTRKKDIALYSCAELGKDFDLEFLPEEEAWYLFCKKTFQGNSCPPHLEEVCRKILKMCGGLPLAIVAIGGALATRGRANIEEWQMVCRSFGSEIEGNDKLEDMKKVLSLSFNELPYYLKSCLLYLSIFPEFHAIEHMRLIRLWIAEGFVNGEDGKTREEVADSYLKELLDRSLLQVVAKTSDGRMKTCRMHDLLREIVNLKAKDQNFATIAKDQDIIWPDKVRRLSIINTLDNVRQNRTSFQLRSLLMFDLSDPLEHFSIRGLCSTGYKLIRVLDLQDAPLEVFPAEIVNLYLLKYLSLKNTKVKSIPGSIKKLQQLETLDLKQSLVTVLPAEIVELQQLRHLLVYRYEIESYAYFHSRHGFKVAAPIGLMKSLQKLCFIEADQALMVELGKLTQLRRLGIRKMRQQDGAALSLSIEKMTNLRSLSITAIEDDEIIDIHNIFKPPPYLQQLYLSGRLDIFPHWISSLKNLVRVFLKWSRLREDPLVHLQDLPNLRHLEFLQVYVGETLNFKAKGFPSLKVLGLDDLDELKCMIVEEGAMPGLKKLIIQRCDSLKQVPFGIEHLTKLKSIEFFDMPEELITRLHPNGGEDYWRAQHVPAVYSSYWRDGGWDVYSLETLGERETDFSRSTAMRSLEICTLWKV >Vigun01g104600.1.v1.2 pep primary_assembly:ASM411807v1:1:27513921:27516614:1 gene:Vigun01g104600.v1.2 transcript:Vigun01g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSFTMIATIFFVLAQTIVGVSSLPESDKISNLPGQPQVKFQQFSGHITVDDQNQRALFYYFTEAEEDPASKPLVLWLNGGPGCSSIGVGAFGEHGPFRPSDNNVLEKNDYSWNKEANVLYLESPAGVGFSYSSNKSFYGLVTDEITARDNLVFLQRWFSKFPEYSNNDFFITGESYGGHYVPQLAQLIVQTKTNFNLKGIAIGNPLLEFNSDFNARSEYFWAHGLISDSTLEILTTVCNYSSIRRQLQNGNLEVVCAKANKQLYDEVSDYVDEYDVTLDVCLSSVNQQAYVLNQLQETQKIDVCVGDKTTTYLNRKEVQEALHAKLVGVTKWSTCSSVLHYDYRNLEIPTIPILGSLAKSGIRVLVYSGDQDSVIPLTSSRYLVNGLAKEIGLETTVAYRAWFEEKQVAGWTQTYGNILSYATIRGASHEAPFTQPQRSLLLLKTFLQGKQLPSVK >Vigun09g058451.1.v1.2 pep primary_assembly:ASM411807v1:9:5930117:5931564:-1 gene:Vigun09g058451.v1.2 transcript:Vigun09g058451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHEMRSHSYACTKPLPKPICRCRSKIFHPTCIKAARNPSPMVSHPTCTKVIDRTISFVPQCFTKSQQNQPTVIDGKPFVVRWKWKALKLQIQIHFRHSCGTKYVASINN >Vigun05g044400.1.v1.2 pep primary_assembly:ASM411807v1:5:3673053:3677081:-1 gene:Vigun05g044400.v1.2 transcript:Vigun05g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRNLSEKKGRELRELREETNTNANKSRKNRKHRLRKMSPGQRLFQTCNQVFASTGPGIVPSPQHIEMLLSVLGEIKQEDLDLRPDMPYFSTNNPRRTPKITYLHIYKCEQFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWAVDLPPHMVKQSETTQTSDVRLAKVKVDAEFNAPCDPSILYPADGGNMHWFTAVTACAVLDVLGPPYSDPDGRHCTYYQNFPFSTYSVDGISIPEEERKTYEWLREKEEPENLQVAVKMYSGPKIVEN >Vigun10g148200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36669158:36671187:1 gene:Vigun10g148200.v1.2 transcript:Vigun10g148200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNIRKNLRFVSILHSCNSTFHCSLFFSNTPPSSSATPLLSPPRLASYLLFSFGCSTLCFPHHPFTVSSTFRSNVDNGHSRFNLNLHFRTRMYFCTRTCTPFWGRPLATSEQVSQIIALIREDGDDLVTKLNSMNVCLSDASVVDIFQILASERVSALQFFDWLKGSDPDICCDPDIGCLFVNNCGLLGNYEAMVPVLREFSLKRMFLGMKAFGFLLDLGLDKTSSMKCVKKIMTVFNEVGGVYQSFGVQLLIEMFGLSGSFEIAEFVIRTDGRKMKNYHVLMRIMCKRGDCKRVGDLVKEMERSGCDMNAGTYNLLLSCLCKSGKIDEAWLVLEAMEKNYGLTDVHSFGILINTFCKRYQFDLVMKLLDKMTLKGIEPSILTHAAIIKSYFESGKYEEAHVYVIGSADNLSYSSNANYSLLATLHLKNGNVLLASKVLSEMMDKGLKPNFSAYKKIRTHLEKKAEKDLSMELSRRYLSLIEK >Vigun04g137100.3.v1.2 pep primary_assembly:ASM411807v1:4:34267735:34270179:1 gene:Vigun04g137100.v1.2 transcript:Vigun04g137100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQPTKYGNFGYVTQQSNRATFVMILDLSNNAIEGQLPDCWNSVHNLRILDLSHNELSGKIPESMGSLVHLNALVLRNNNLMGEFPSTLKNCSYLVVLDVRGNILSGSIPSWIGESMNQLVILNMQQNNFSGSIPNDLCNLKHLQLLDLSWNMLSKGIPTCLKNLTAMSEQGFNGNTILYYVVSAQGYMFFGMDAYMSNIRWMWKGVEHEFKIRHLSFKSIDLSSNNLTGEIPKEIGYLVGLVSLNLSRNNLSGKIPSEMGNLSFLESLDLQRNHISGEIPFSLSEIDFLGILDLSHNSLSGRIPSGRHFETFDVSSFEGNVGLCGEQLNKTCSGDGNQTTIKPHEHGIFYEAFYISMGIGYFIGFWGLLGPKLLWRPWRNYYIRFVNRLINYIYAWFW >Vigun04g137100.2.v1.2 pep primary_assembly:ASM411807v1:4:34267735:34270179:1 gene:Vigun04g137100.v1.2 transcript:Vigun04g137100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQPTKYGNFGYVTQQSNRATFVMILDLSNNAIEGQLPDCWNSVHNLRILDLSHNELSGKIPESMGSLVHLNALVLRNNNLMGEFPSTLKNCSYLVVLDVRGNILSGSIPSWIGESMNQLVILNMQQNNFSGSIPNDLCNLKHLQLLDLSWNMLSKGIPTCLKNLTAMSEQGFNGNTILYYVVSAQGYMFFGMDAYMSNIRWMWKGVEHEFKIRHLSFKSIDLSSNNLTGEIPKEIGYLVGLVSLNLSRNNLSGKIPSEMGNLSFLESLDLQRNHISGEIPFSLSEIDFLGILDLSHNSLSGRIPSGRHFETFDVSSFEGNVGLCGEQLNKTCSGDGNQTTIKPHEHGIFYEAFYISMGIGYFIGFWGLLGPKLLWRPWRNYYIRFVNRLINYIYAWFW >Vigun02g015750.1.v1.2 pep primary_assembly:ASM411807v1:2:5752191:5752736:1 gene:Vigun02g015750.v1.2 transcript:Vigun02g015750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYKCVPEKRRSVKVVHLLLHLVALVAGVLGITAIFNSKKEAHLPDMYTLHSWLGISAICLFGLQYIMGFFSYFFPGAEMSTRASLMPWHRFLGMAIFFLAVAIAETGLVQYFQFLHLFRSQEALIVNSTALLLFLYALFVALSVTLPRT >Vigun02g044000.1.v1.2 pep primary_assembly:ASM411807v1:2:17637403:17642493:-1 gene:Vigun02g044000.v1.2 transcript:Vigun02g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGKVAFRHSNIGLEPESESFVFIDDDRISDGYKLPSQKLKEYENEQGLDSLQYDMESVDCEKNEYEAKVKDLVEPVSHFNPREGEELPRNSVDVYMVKTVTECEPHPEVCYNESNYHVVKDICIDEGVLKKDNVVFVTPLDEKSHDFFPFESCETKEKQKDNTTINVLSLTPTEESDKVSANHNQHKDLMLTDVSGDVNKETPSLGGKVLLQDLLTEDSASSDDKGEQMEPEAMLSSPSLSVADGESKKDNKPQEPADCGKEECSQAGSCKCAEIHHTSRPIEWKSDDQSVTSLIRHSLGESSFSAVGPVSGRISYSGPVPYSGSISLRSDSSTTSTRSFAFPIIQSEWNSSPVRMAKADRGHHRKQRCCWTGGFLCCKF >Vigun02g044000.4.v1.2 pep primary_assembly:ASM411807v1:2:17637403:17642493:-1 gene:Vigun02g044000.v1.2 transcript:Vigun02g044000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDCEKNEYEAKVKDLVEPVSHFNPREGEELPRNSVDVYMVKTVTECEPHPEVCYNESNYHVVKDICIDEGVLKKDNVVFVTPLDEKSHDFFPFESCETKEKQKDNTTINVLSLTPTEESDKVSANHNQHKDLMLTDVSGDVNKETPSLGGKVLLQDLLTEDSASSDDKGEQMEPEAMLSSPSLSVADGESKKDNKPQEPADCGKEECSQAGSCKCAEIHHTSRPIEWKSDDQSVTSLIRHSLGESSFSAVGPVSGRISYSGPVPYSGSISLRSDSSTTSTRSFAFPIIQSEWNSSPVRMAKADRGHHRKQRCCWTGGFLCCKF >Vigun02g044000.2.v1.2 pep primary_assembly:ASM411807v1:2:17637403:17642493:-1 gene:Vigun02g044000.v1.2 transcript:Vigun02g044000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGKVAFRHSNIGLEPESESFVFIDDDRISDGYKLPSQKLKEYENEQGLDSLQYDMESVDCEKNEYEAKVKDLVEPVSHFNPREGEELPRNSVDVYMVKTVTECEPHPEVCYNESNYHVVKDICIDEGVLKKDNVVFVTPLDEKSHDFFPFESCETKEKQKDNTTINVLSLTPTEESDKVSANHNQHKDLMLTDVSGDVNKETPSLGGKVLLQDLLTEDSASSDDKGEQMEPEAMLSSPSLSVADGESKKDNKPQEPADCGKEECSQAGSCKCAEIHHTSRPIEWKSDDQSVTSLIRHSLGESSFSAVGPVSGRISYSGPVPYSGSISLRSDSSTTSTRSFAFPIIQSEWNSSPVRMAKADRGHHRKQRCCWTGGFLCCKF >Vigun02g044000.3.v1.2 pep primary_assembly:ASM411807v1:2:17637403:17642493:-1 gene:Vigun02g044000.v1.2 transcript:Vigun02g044000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDCEKNEYEAKVKDLVEPVSHFNPREGEELPRNSVDVYMVKTVTECEPHPEVCYNESNYHVVKDICIDEGVLKKDNVVFVTPLDEKSHDFFPFESCETKEKQKDNTTINVLSLTPTEESDKVSANHNQHKDLMLTDVSGDVNKETPSLGGKVLLQDLLTEDSASSDDKGEQMEPEAMLSSPSLSVADGESKKDNKPQEPADCGKEECSQAGSCKCAEIHHTSRPIEWKSDDQSVTSLIRHSLGESSFSAVGPVSGRISYSGPVPYSGSISLRSDSSTTSTRSFAFPIIQSEWNSSPVRMAKADRGHHRKQRCCWTGGFLCCKF >Vigun02g003400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:1818294:1820090:1 gene:Vigun02g003400.v1.2 transcript:Vigun02g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYIGVFVPIILSFIFYQISRRHKRNMPFTKLPIIGMLHDLLLNLSNIHDYAILVLKHYGGTLMFEGPWFTNMNFILTADPMNVHHITTKNFSNYGKGSNFGEIFEFFGGGIINSDNLHAWKQERSILHSLFQRQNLKIFLRKTIQKKLENDLIPFLDHTSEVGTEVDLQFALRRFTFDIACSCFLGYDPHSLPNKNTKLSQPAYERASVVIEESLFHRHITPRFLWKLQEWLQIGQEKKHTEAKEIFDKFLYECVAAKREEKNRCNSTKEVHESDHDLLGVLMEEGAQKGRIMDNKYLRDTAFTFVSAGSGTISASLSWFFWLVSTHPHVEAKILEEIKDNCIDQDGSWIASGVEEFGKLVYLHGAICEALRLFPTVPYDHKCAIKSDTLPSGHHVSPNTMILYSLYAMGRMEQIWGHDCMEFKPERWISESGDIIHVPSHKFIAFNAGPRSCLGKDITFTEMKMLAVAILWRFQMKVVDGHPITPRVSVVLTIEQGLKVMVTKRCT >VigunL087100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000664.1:16031:17894:1 gene:VigunL087100.v1.2 transcript:VigunL087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >VigunL059191.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000576.1:9163:9486:-1 gene:VigunL059191.v1.2 transcript:VigunL059191.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAPTPNKNNTLMRLLRDLELSRKEAFEQLRKDKEQSDLRIQEHIQSLKLKSKKERLGKEGILGATHHKRSKLQRFLGSMDEVIPKSSLIGKLKLIKFSMKIM >Vigun02g169500.1.v1.2 pep primary_assembly:ASM411807v1:2:31265703:31267502:1 gene:Vigun02g169500.v1.2 transcript:Vigun02g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWSPERASKAYIHTVQSCRVFSESGVAEFISAMAAGWNAQLIVETWSQGGPIATSIGLAVARSHTCGRHVCVVPDERARSEYAERMGEAGVAAEIVVGEAEEAMRGLVGVDFLVVDSRRKDFPRVLRLAKLSNKGAVLLCKNANSTSKGFIWRSLVAQGSSRRVVRSAFLPVGKGLDMAHVSACGSNSTGRRWIKHVDQRSGDVHFIRR >Vigun06g102300.1.v1.2 pep primary_assembly:ASM411807v1:6:23228939:23241566:1 gene:Vigun06g102300.v1.2 transcript:Vigun06g102300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVICILVKSLSSNLHFFFHHFSRHRILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRW >Vigun03g030000.1.v1.2 pep primary_assembly:ASM411807v1:3:2257053:2258478:1 gene:Vigun03g030000.v1.2 transcript:Vigun03g030000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGVIGHNSRTCTSLRGSSFVGLRLFGVQLDTCVTIKKSFSMDSLPSSSSSSFSSSRLTIDENSDRTSFGYLSDGLIGRVQERKKGVPWTEEEHRIFLVGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRLATIDKKKRRSSLFDVVGSNNVGGCHEKDESKCEVKNNDATLSLLGRISYFEQETKSDYNKKQEKLHQVPNLELTLRSCLGQLV >Vigun03g149800.3.v1.2 pep primary_assembly:ASM411807v1:3:15549243:15558514:1 gene:Vigun03g149800.v1.2 transcript:Vigun03g149800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFCFVVLDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYLIIFPIRILVLTLGWIIFLSSFIPVHCLLKGKDDLRRKIERCLVEMMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDREIVARKLREHVQGANNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNLKPGETSIEFAERVRDIISHRAGLKMVPWDGYLKYSRPSPKHRERKQQNFAQSVLRRLEEK >Vigun03g149800.1.v1.2 pep primary_assembly:ASM411807v1:3:15549243:15558514:1 gene:Vigun03g149800.v1.2 transcript:Vigun03g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGKLKSSSSELDLDRPNIEDYLPSGSSVQHERHGKLSLCDLLDISPSLSEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYLIIFPIRILVLTLGWIIFLSSFIPVHCLLKGKDDLRRKIERCLVEMMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDREIVARKLREHVQGANNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNLKPGETSIEFAERVRDIISHRAGLKMVPWDGYLKYSRPSPKHRERKQQNFAQSVLRRLEEK >Vigun03g149800.2.v1.2 pep primary_assembly:ASM411807v1:3:15549243:15558514:1 gene:Vigun03g149800.v1.2 transcript:Vigun03g149800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGKLKSSSSELDLDRPNIEDYLPSGSSVQHERHGKLSLCDLLDISPSLSEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYLIIFPIRILVLTLGWIIFLSSFIPVHCLLKGKDDLRRKIERCLVEMMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDREIVARKLREHVQGANNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNLKPGETSIEFAERVRDIISHRAGLKMVPWDGYLKYSRPSPKHRERKQQNFAQSVLRRLEEK >Vigun07g247700.1.v1.2 pep primary_assembly:ASM411807v1:7:36759357:36762486:1 gene:Vigun07g247700.v1.2 transcript:Vigun07g247700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMAAISSMLAFLLSYLISRASAQVTHSYCDNSKGNYTINSTYHNNLNTLLSTLSSHTQINYGFYNFSYGQNSDKVNAIGLCRGDVNPDQCRRCLNDSAATITRLCPNQKEAILWLKTNECQLRYSQRSIFGVLESSPWFYMKNSNNVTEPDKFNQALSNLMKNLTIVAASGDSRRKYATDSVVASNFQTIYGLVQCTPDLSETDCNRCLDGAIYEISPCCANKKGVRVVRPSCNIRFETYSFYDPTPKLDPDVKPPSASPPSSFNSTSPKESNNTITIVIAVVVPTLVAAVVSLLCLCRYLRRRKARKSLAVNQDDDDIEIAQSLQLDFDTIRVATEDFSDSNKLGEGGFGAVYMGRLPNEQMIAVKRLSSGSSQGDTEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNKSLDYFIFDPAKKALLDWAMRYKIIRGIARGLLYLHEDSLLRIIHRDLKASNILLDEEMNPKIADFGMARLVLLDQTHANTNRVVGTYGYMAPEYIMQGQFSVKSDIFSFGVLLLEIVSGQKNSDFRHGDDVEDLLSFTWRNWRDGTAVNIVDPSLNNNSINEMMRCIHIGLLCVQDNLSDRPSMATVMLMLSSYSLSLPIPSEPAFYAHSTTRSLPATMSWGHSSRATTNESTNKSAQESENEISITELYPR >Vigun08g001600.1.v1.2 pep primary_assembly:ASM411807v1:8:182918:195123:1 gene:Vigun08g001600.v1.2 transcript:Vigun08g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHMHRFRARVFLRSISLCKSKWHQHHEAQIHFSQNRTSKTGPEFVGFATEPSMQMQVLKALCSGERKKASDLLLGFGSRTHLLTADDFLHIFKYCARSPDPLFVMEIWRFMESKGVSMNNICSSLMMEALCKGGYLEEAFDIMDFLGGSQCLYPVLPLYNSLLGSCTKMQSLIQANKCLDLMEKKMVGKSEVTYTELLKLAVLLKDLPAAHLVWQEYMKNYSMSIIALRKFIWSFTRLGDLESAYKTLQQMVSLAVRGNFSIAKTVYGKLYSTRMDIPVPSNKGLDLTLSDLKEIKKLDSCTSAELSGLNGEEHSLLVKVLRWSFNDIIHGCANQKNYILARKLMLQMENLGLQPSCHTYNGIIKAAVSHGNFGDAIGVLKKMQQKNLKPNDSTLATLSITCSKALQLDLAEAFLNQISGCLYPHPYNALLASCDELNQPERAVQVFAKMKQKKVLPNIRTCELLFSLFGVVNAPYEDSDILSQVDAAKRINAIEKDMVTNGIKHSHLSIKNILKALGEEGMIRELIQYLHLAENLFIYRSPSLGTPMYNTVLHYLVEAKESDMAIAVFKKMKLCGCDPDSETYNIMIDCCTIIQSYSSACLLISMMIRKGFCPAICTYTAIIKILLEDDNFDEALNLLKQVKLDGIQPDVLLFNTVLKQACYKGRIDVIEFVVERMHREKVPPDPRTCGYVFSAYVNSGFHNTAIEALQVLSLRMMSEDGNILGEKKKFVNEFILSEDLAAESQILKLFEDSEDELAIGLLNLRWCAIAGFPICESADESMWARRLEGKRL >Vigun08g200700.1.v1.2 pep primary_assembly:ASM411807v1:8:36525411:36526022:1 gene:Vigun08g200700.v1.2 transcript:Vigun08g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPLFMLLITQMTLILVLSFANPIRKLVVKGLDLSKQGRGPLVTKTVAATMLVVFSSTVYTITEIKKRLKDAGIVNPTDEVLMAHRLLEAFFLGFSLFLGLVIDRQHYYIREINLLRRSLETAKKQSLSPDSSKRRAAVPDK >Vigun02g196600.6.v1.2 pep primary_assembly:ASM411807v1:2:33191518:33193252:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKG >Vigun02g196600.2.v1.2 pep primary_assembly:ASM411807v1:2:33186305:33193857:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKGGRGFSELISSAE >Vigun02g196600.3.v1.2 pep primary_assembly:ASM411807v1:2:33186305:33193857:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKGNCAEILGIIFFVSCCLVGKENLQSSLWLQYKPYHIAAGAAYLASKFLKIDLTANHNIWQEFGATPSIIRDISQQLMELV >Vigun02g196600.5.v1.2 pep primary_assembly:ASM411807v1:2:33186305:33193857:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKGFALKLS >Vigun02g196600.4.v1.2 pep primary_assembly:ASM411807v1:2:33186305:33193857:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKGTLCMHSDFNYIDFWSLKPLQHVWPGNLNNSLNEYKIML >Vigun02g196600.1.v1.2 pep primary_assembly:ASM411807v1:2:33186305:33193857:-1 gene:Vigun02g196600.v1.2 transcript:Vigun02g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRNLQAQGYQHGGHCPTFDGNNNNGNRKRSRINYHHGNYDIRNHYWAEHDFYANVHNFDYGNYVQHDAVPSSLKRRKHSTPSWENNQKHYLPSTVHSNIPTTSVNFQEPPSRSNVDASISPVCKLDCSIFEDKEPVFMSRDEIDKCSPSRKDGIDVLHETHLRYSYCAFIQNLGMWLNLPQTTIGTAMVLCHRFFVRRSHACHDRFLISTAALFLAGKSEDTPCPLNSVLRASSEILYKKDFTLLCYLLPLDWFEKYHDRVLEAELLLLTTLNFELNVQHPYAPLTSILDKLGPSKTILVNLALNLISKGLQSSLWLQYKPYHIAAGAAYLASKFLKIDLTANHNIWQEFGATPSIIRDISQQLMELV >Vigun03g330000.1.v1.2 pep primary_assembly:ASM411807v1:3:52701405:52704955:-1 gene:Vigun03g330000.v1.2 transcript:Vigun03g330000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEKKTNLAKLIIPSSSSSPSPSPSITSPHVIHSRSHSFVGPSPRIDPSSTPLFFLQEVDDDDDEDEDEEKEPLSSDPVKIGPSDFHILRVVGQGAFGKVFLVRKKGNGFDGDADADGDGVFAMKVMRKDIIIKKNHVDYMKAERDILTKVLHPFIVQLRYSFQTKSKLYLVLDFVNGGHLFFHLYRQGIFSEDQARLYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIDELGRSNSLCGTVEYMAPEILQAKGHNKDADWWSVGILLYEMLTGKAPFTHTNRKKLQEKIIKEKVKLPPFLTSEAHSLLKGLLQKDPTTRLGHGPNGDEQIKSHKWFRSINWKKLEAREVEPKFKPDVSGKDCTANFDKCWTAMPPNDSPATTPTAGDHFQGYTYVAPNPWLSSRSLQ >Vigun08g087500.1.v1.2 pep primary_assembly:ASM411807v1:8:19733425:19739681:1 gene:Vigun08g087500.v1.2 transcript:Vigun08g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSKVETISRLAQWRIDNFGPCSYKKSDPFKLGIWNWYISIERNRYLYIHIFPEPSRLSKEQPPVARFILRVSNNSGPTRKFYISPVHERVLRTSDDFVWPVDTAFLGRFVIDVEFLDLKACPGNGGVTRSVWPSDGKLQTVADQSALRCLSRMLDESIHADLTIITADGTLKAHKAVLSASSTVFHGLYLQNGDEEDTSTIHMEDMSQESCKSLLSYLYGTINQEDFWNHRLPLLGAANKYEIGDLKDTCEESLLEDLNSGNVLDRLNDAWLYQLHNLKKGCFTFLFDFGKIYDVRDEINTFFQHADRELMQEMFQEVLTILK >Vigun08g087500.2.v1.2 pep primary_assembly:ASM411807v1:8:19733425:19739253:1 gene:Vigun08g087500.v1.2 transcript:Vigun08g087500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSKVETISRLAQWRIDNFGPCSYKKSDPFKLGIWNWYISIERNRYLYIHIFPEPSRLSKEQPPVARFILRVSNNSGPTRKFYISPVHERVLRTSDDFVWPVDTAFLGRFVIDVEFLDLKACPGNGGVTRSVWPSDGKLQTVADQSALRCLSRMLDESIHADLTIITADGTLKAHKAVLSASSTVFHGLYLQNGDEEDTSTIHMEDMSQESCKSLLSYLYGTINQEDFWNHRLPLLGAANKYEIGDLKDTCEESLLEDLNSGNVLDRLNDAWLYQLHNLKKGCFTFLFDFGKIYDVRDEINTFFQHADRELMQEMFQEVLTILK >Vigun08g207300.2.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019602:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.17.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019384:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINER >Vigun08g207300.15.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018519:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.5.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019553:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.13.v1.2 pep primary_assembly:ASM411807v1:8:37014152:37019527:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.3.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019598:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESLAATTSPEIFC >Vigun08g207300.7.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019553:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESLAATTSPEIFC >Vigun08g207300.19.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018519:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINER >Vigun08g207300.9.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019384:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSSMMSYSEEHIISLSPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.14.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018912:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.16.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018912:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESLAATTSPEIFC >Vigun08g207300.4.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019598:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESLAATTSPEIFC >Vigun08g207300.12.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019528:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.1.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019602:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.11.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018519:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSSMMSYSEEHIISLSPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.6.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019553:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.8.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37019553:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERKYTDRIEHTVCYSPHCVDTDSELNSSTPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESLAATTSPEIFC >Vigun08g207300.10.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018912:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINERCRKYTDRIEHTVCYSPHCVDTDSELNSSSMMSYSEEHIISLSPRSLGNHRSFRRMLIQEQEHEQAREHERRSLAQAQLSSRSLSSSPHFGFSLEETKVSDDHFNLQSPEESFSFPLHAKSRHTDDNFYDEESYQGLNLPDSPFAFPIDSEM >Vigun08g207300.18.v1.2 pep primary_assembly:ASM411807v1:8:37014153:37018912:-1 gene:Vigun08g207300.v1.2 transcript:Vigun08g207300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHYTRIVFDKIHKFEPEHARKIIGYLLLQDHGELEMAKLASYPDYFIREVAFQAKRELLRLSAKPDMLPISHMVNPQQGYSHLAVMSPRTPTTTNFQAPPLYWDPQPAGNINSDFMAMNYLDSVMELQKQTQLCSLENRVDAVKTGTVGVANDYYRDASAANLGGKAGRRFSEYPMKVCHYFNKGFCKHGNNCRFYHGQVAPENLSQMYGNDANNEDHVISPGSLAQLESEIIELLRSRDDAISIASLPMAYYDRYKKVLQADGYLTESQRHGKSGYSLTKLLARLKNSIRLIVRPHGQHSVTLAEDAPTQMQKGEFARNISASRQIYLTFPADSTFTEDDVSNYFNTFGLVADVRIPNQQRRMFGFVTFAHSETVKTVLDKGNPHCVRGSRVLVKPYREKAKINER >Vigun06g033800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14247772:14250677:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKGQKSN >Vigun06g033800.9.v1.2 pep primary_assembly:ASM411807v1:6:14247772:14250614:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.10.v1.2 pep primary_assembly:ASM411807v1:6:14247772:14250614:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.5.v1.2 pep primary_assembly:ASM411807v1:6:14247744:14250623:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.4.v1.2 pep primary_assembly:ASM411807v1:6:14247744:14250623:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.3.v1.2 pep primary_assembly:ASM411807v1:6:14247772:14250676:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.6.v1.2 pep primary_assembly:ASM411807v1:6:14247767:14250614:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.8.v1.2 pep primary_assembly:ASM411807v1:6:14247772:14250614:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun06g033800.7.v1.2 pep primary_assembly:ASM411807v1:6:14247772:14250614:-1 gene:Vigun06g033800.v1.2 transcript:Vigun06g033800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLLARLTTRTPHFGVLCHNVILFFNSRTLSVSNTNHHKGGTFNAFSIINSCGLSPEKALEISKRLKLKNPDGANAAIDLLRNHGFSESQLCSLVKKFPSVLLSNSDKILLPKLKFFRSIGFSATDLPKFLVMKPTFLKSSLEKTIIPCYGVIRSLVRNDNEAVSTLKHGLWHCSSNVVNNSLPNIEALRQLGLPQSSISLLVANFPSVTFMKHAKFVEAVEKVRELGFDPSKTNFVMALKVFADMSEASWKSKFEVLEKCGWSSDVFLLAFKKHPQFMVSSEHKILKIMSFLMKDMGLAPKEIARCPALLKCNLEKTVIPRFAVVKILKRRGLVKSDLQISTFIKISEKLFLKKYVTRFQSHEPLVLAAYKVNL >Vigun05g203400.1.v1.2 pep primary_assembly:ASM411807v1:5:39189869:39196947:1 gene:Vigun05g203400.v1.2 transcript:Vigun05g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPINGRTRPAFGVVNGGHDLGPSSAPPSNAGSDYGVIEFTREDVEALLNEKAKRKDRFNYKERCENMMDYIKRLKVCIRWFQDLEISYSLEQEKLKSSLELAQQKCMEIELLLKIKEDELNSIIVEMRRNCTSLQEKLVKEETEKTAAAESLVKEREARLNFERSQNTLQEDLGRAQRDLQSANQKISSLNDMYKRLQDYITSLQQYNGKLHSELSTVETELKRVEKEKAAVVENLTLLKGQLTLSMSSQEEATKQKDALASEVGSLRVELQQVRDERDRQLSQVQTLTTELERSKDSTEKSCSELNNLTIRTNELEAKCALQDERIKMLQEKLTTAEEKLQVSDISASETRTEFEGQQKLVHELQRRLEDAEYKVIVGEKLRKELHNTILELKGNIRVFCRVRPLLPDEACSTEGKLISYPSSMEASGRGIELTQSGQKHSFTFDKVFAPDALQEEVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQAKQSQKPQGWKYEMQVSMLEIYNETVRDLLSTNKSSSDGTPTRVENGTPGKQYSIKHDANGNTHVSDLTVVDVQSVKEVAFLLSQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSRSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHIPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQASAGESLCSLRFASRVNACEIGTPRRHTNGQRPIESRLTYF >Vigun05g203400.2.v1.2 pep primary_assembly:ASM411807v1:5:39191023:39196981:1 gene:Vigun05g203400.v1.2 transcript:Vigun05g203400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPINGRTRPAFGVVNGGHDLGPSSAPPSNAGSDYGVIEFTREDVEALLNEKAKRKDRFNYKERCENMMDYIKRLKVCIRWFQDLEISYSLEQEKLKSSLELAQQKCMEIELLLKIKEDELNSIIVEMRRNCTSLQEKLVKEETEKTAAAESLVKEREARLNFERSQNTLQEDLGRAQRDLQSANQKISSLNDMYKRLQDYITSLQQYNGKLHSELSTVETELKRVEKEKAAVVENLTLLKGQLTLSMSSQEEATKQKDALASEVGSLRVELQQVRDERDRQLSQVQTLTTELERSKDSTEKSCSELNNLTIRTNELEAKCALQDERIKMLQEKLTTAEEKLQVSDISASETRTEFEGQQKLVHELQRRLEDAEYKVIVGEKLRKELHNTILELKGNIRVFCRVRPLLPDEACSTEGKLISYPSSMEASGRGIELTQSGQKHSFTFDKVFAPDALQEEVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPEEKGLIPRSLEQIFQAKQSQKPQGWKYEMQVSMLEIYNETVRDLLSTNKSSSDGTPTRVENGTPGKQYSIKHDANGNTHVSDLTVVDVQSVKEVAFLLSQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGILNLIDLAGSERLSRSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHIPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQASAGESLCSLRFASRVNACEIGTPRRHTNGQRPIESRLTYF >Vigun08g213500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37440207:37441399:-1 gene:Vigun08g213500.v1.2 transcript:Vigun08g213500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILNLAPLTGAVTTLRPPPGATMVRAFTATIDSRRPASLYEVLRIKQNASAVEIKSAYRNLAKVYHPDSALRRSESDERDFIMIHDAYQTLSDPSARAVYDLSLMAARGGSGSFSSLVAPDGSSRLYYQTRKWETDQCW >Vigun07g110200.1.v1.2 pep primary_assembly:ASM411807v1:7:20394988:20401886:1 gene:Vigun07g110200.v1.2 transcript:Vigun07g110200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSVTAPSSSLVAAIIPRHTFFSRPYSLPLRFSRASPLNSLSNGSSAQSNHQLFSPSDPPQPRTLFPGGYKRPELKVPTIILQLDSDEVLAADNNAFALIDKAVSKWVGIVLLSSNEASGGKLYQAACSLKSLLQDRAYLLVAERVDIAAAAAASGVLLSDQGLPTVVARSTMVDSKSELVVLPLVARIVHTVDAAMNASKSEGADFLIYGGGDLQRVGREVVSVRESVKIPIFVSCGKDNMSYADMSGLLASGASGFVTSLANFGRYGDEFLHKVFGTVYASTDGGNVSEIELNVDNGFQSGTEEIVAGFVKLEDREKQLIETERLVLNEAVEVIKKAAPLMEEVSLLNDAVSQIDEPFLLVIVGEFNSGKSTVINALLGERYLKEGVVPTTNEITFLRYNDLDIEQQRCERHPDGQYICYLPAPILKEMTIVDTPGTNVILQRQQRLTEEFVPRADLLLFVISADRPLTGSEIDFLRYSQQWKKKAVFVLNKADIYQNNQELEEAMLFIKDNIKRLLNTENVILYPVSARSALESKLIATSNVGRLNEELSTSDSHYGANSFFELENFLYSFLDGSTIPGMDRMRLKLETPVSIADRLISACETLVTQDYRYAKQDLAAVKDIVNSVNDFALNMETESLSWRRQTVSLIETTKSRIVELAETNLQLANFDIIASYAFKGEKNAMPTTSKIQNDIIGPAVSAVQKILQEYEDWLYSKYTLQGRLYKESFEKRWPSLRHESNQMNFETNQLQKKVDQAGSQVIASFSSSAVSKAFEQEVREMIVGTFGQLGVAGFSASLLTSVLQTTLEDLLALGICSAGGYLAISTFPGRRQKVIDKVKRKADNLAYELEEAMKKDLTEAIQSLNTFVKVLSEPYHDEAQNRLNNLVQIQEELSNVERKLRTLQIDIQNLHVS >Vigun02g040700.2.v1.2 pep primary_assembly:ASM411807v1:2:16602704:16606359:-1 gene:Vigun02g040700.v1.2 transcript:Vigun02g040700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLTLGIFSGVFECFQVHDFVFVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVGIVLPHSFSDREVFFSLYLQDLSIECIDGLAFVLSPQHYEKFRNEARRTHLEPFVCIHQFDNDDVKPFDITQIKGYWKQEILRYMYTQLDSKCSGSSGQSDDALEPDENHMSTISIRPKKRLRLAKDDAKDAIDLTALKLENLNNIKNNAKISSGNNSLKRVGHRNMTATIKGKNEHCSQHLLVGSQVEVLSQDSGMRGCWFTASVVKRHKYKVKVQYRDIQDAVDETKKLEEWVLASRISVPDSLGLRMHGRTIVRPAPLSDKRELSWVGDVGSVVDAWWHDGWWEGLVVQRDSEANYHVYFPGENVVSVFGSGNLRQAQDWVGNEWVNVRERPDLVASVLSSLKTPQNSSKSNENKSIAASTRDGIQPKPSDNCLNSDRDRPKTPVMVSDLLKDDVLLQLRWTTTRKRRRGSTSYQKPRCPESHRKRSPKVLKSNAPDSFVIPASLKVDHDDCKYAGGDPSIFTSSVVPSLTSMVMCR >Vigun02g040700.1.v1.2 pep primary_assembly:ASM411807v1:2:16602704:16606223:-1 gene:Vigun02g040700.v1.2 transcript:Vigun02g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASWAGTTSCEKSVYVSWEEVLVSSDKGRREVHYLLKRRGGAADLAVVGKEKTLRHMSYRYAIRNPSLGPYVKLKSRREVVDWLDAVVSDSSSGDAVVVRKHGCESESGALKDTQLQKMQNCTKEFSWIGFPWTCRKRRKHYQAYKRNGFQISVHDFVFVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVGIVLPHSFSDREVFFSLYLQDLSIECIDGLAFVLSPQHYEKFRNEARRTHLEPFVCIHQFDNDDVKPFDITQIKGYWKQEILRYMYTQLDSKCSGSSGQSDDALEPDENHMSTISIRPKKRLRLAKDDAKDAIDLTALKLENLNNIKNNAKISSGNNSLKRVGHRNMTATIKGKNEHCSQHLLVGSQVEVLSQDSGMRGCWFTASVVKRHKYKVKVQYRDIQDAVDETKKLEEWVLASRISVPDSLGLRMHGRTIVRPAPLSDKRELSWVGDVGSVVDAWWHDGWWEGLVVQRDSEANYHVYFPGENVVSVFGSGNLRQAQDWVGNEWVNVRERPDLVASVLSSLKTPQNSSKSNENKSIAASTRDGIQPKPSDNCLNSDRDRPKTPVMVSDLLKDDVLLQLRWTTTRKRRRGSTSYQKPRCPESHRKRSPKVLKSNAPDSFVIPASLKVDHDDCKYAGGDPSIFTSSVVPSLTSMVMCR >Vigun07g118200.2.v1.2 pep primary_assembly:ASM411807v1:7:21917020:21919615:1 gene:Vigun07g118200.v1.2 transcript:Vigun07g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGRDDGPGGRQGKGIGRGLEEGGPKGQGGGRGRGGPGGKPGGNRGRGRG >Vigun07g118200.1.v1.2 pep primary_assembly:ASM411807v1:7:21917020:21919615:1 gene:Vigun07g118200.v1.2 transcript:Vigun07g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGRDDGPGGRQGKGIGRGLEEGGPKGQGGGRGRGGPGGKPGGNRGAGRGRG >Vigun04g039000.1.v1.2 pep primary_assembly:ASM411807v1:4:3270455:3272891:-1 gene:Vigun04g039000.v1.2 transcript:Vigun04g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSAATHPDEQDECLSSTFASRYVRQPIPRYKLPDNSVPKDAAYQLINDELMLDGLPRLNLASFVTTWMEPECEKLIMASLNKNYVDMDEYPVTTELQNRCVNIIANLFHAPLSEQETAVGVGTVGSSEAIMLAGLAFKRKWQTKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEDYYVMDPVKAVELVDENTICVAAILGSTMTGEFEDVKLLNELLTEKNKETGWGTEIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWKTKEDLPDELIFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCWANARTLRKGIEKIGRFNIISKDIGVPVLAFSLKDSSKHTVFEISDELRKYGWIVPAYTMPPDAEKIAILRVVVREDFNHGLAERLAADIDRVVKLLDTLPSLNTTNAAHVTPIPAEPNEKVKKSEKETQREVAVYWKRFVEGKKLGAC >Vigun09g110700.1.v1.2 pep primary_assembly:ASM411807v1:9:23911015:23915047:-1 gene:Vigun09g110700.v1.2 transcript:Vigun09g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSDSNGWNRARGLAVKTLLLIGGALLVKRLRKSTTRWDHAHFVSKALTGQKYSKDQASRDPDNYFNIRMLTCPAAELVDGSKVLYFEQAFWRSPQKPFRQRFFMVKPCPKELKCDVELSAYAIRDMEEYKNFCDRPRDQRPQPEEVIGDIAEHLTTVHLKRCSRGKRCLYEGSTPPGGFPNSWNGASYCTSELAIMKSNEIHTWDRGYDDDGNQVWGQKEGPYEFKPAPTSSFNDMFSPFNFPPPPSMERRIEGSFVLQE >Vigun04g072101.1.v1.2 pep primary_assembly:ASM411807v1:4:8395024:8395932:-1 gene:Vigun04g072101.v1.2 transcript:Vigun04g072101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQAALPQGIVPFVFAKEYNVHPAVLSTGKHLLDQEDVDMVNTLDKEKGITLEDFKLIKMHMASCMISFSMSFFNFYHTFYIYSPHATTLFLFSLTFCFWLLLMIIVLILVDVVSDSEFLVAVVILSFEC >Vigun04g111500.2.v1.2 pep primary_assembly:ASM411807v1:4:28012409:28014455:-1 gene:Vigun04g111500.v1.2 transcript:Vigun04g111500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAFSSLFCSTRFIPTPFLSTATNIVSPQHTCGSFIPQKKHSLAVASVPYQPINFEYLQEEFGGHGVSFEGAGESCVVKMELRNGSTVTMMLPSGLITSYKALMWHGGKVELLHTSVSEGDNGDPLIQGGVSLNFNFQTDDDDDDDGELSWSPTNWVVHKIHGRPRESIQVELASRTPDDKIGLKYIVTLEKDTLNSELKISNRRSLPLRMTGSILSHLTLSSPEATYAIGLEGSSYCSTPLFESEFMLSPQDSGREGFGKAFPQWSTKSKNNGLEGSQVKNEEIDNYKQLSEELSLVYTEAPRSFTLIDRVNCIVVSFQDNDILTHFFDSR >Vigun04g111500.1.v1.2 pep primary_assembly:ASM411807v1:4:28012409:28014455:-1 gene:Vigun04g111500.v1.2 transcript:Vigun04g111500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAFSSLFCSTRFIPTPFLSTATNIVSPQHTCGSFIPQKKHSLAVASVPYQPINFEYLQEEFGGHGVSFEGAGESCVVKMELRNGSTVTMMLPSGLITSYKALMWHGGKVELLHTSVSEGDNGDPLIQGGVSLNFNFQTDDDDDDDGELSWSPTNWVVHKIHGRPRESIQVELASRTPDDKIGLKYIVTLEKDTLNSELKISNRRSLPLRMTGSILSHLTLSSPEATYAIGLEGSSYCSTPLFESEFMLSPQDSGREGFGKAFPQWSTKSKNNGLEGSQVKNEEIDNYKQLSEELSLVYTEAPRSFTLIDRGRRNSVSVGRSGFDEMYLFSPGSRVEIYSKYSYICVGQASILKPITINPQDVWRGEQFIYNPNLDA >Vigun04g111500.3.v1.2 pep primary_assembly:ASM411807v1:4:28012409:28014455:-1 gene:Vigun04g111500.v1.2 transcript:Vigun04g111500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAFSSLFCSTRFIPTPFLSTATNIVSPQHTCGSFIPQKKHSLAVASVPYQPINFEYLQEEFGGHGVSFEGAGESCVVKMELRNGSTVTMMLPSGLITSYKALMWHGGKVELLHTSVSEGDNGDPLIQGGVSLNFNFQTDDDDDDDGELSWSPTNWVVHKIHGRPRESIQVELASRTPDDKIGLKYIVTLEKDTLNSELKISNRRSLPLRMTGSILSHLTLSSPEATYAIGLEGSSYCSTPLFESEFMLSPQDSGREGFGKAFPQWSTKSKNNGLEGSQVKNEEIDNYKQLSEELSLVYTEAPRSFTLIDRKKLSVSWKKRI >Vigun01g202000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37818950:37821632:1 gene:Vigun01g202000.v1.2 transcript:Vigun01g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWQVQKCVVSNFQMYFLRAIKQREFIFNKRRNAEMCQLLSRTTCFCPRNMCTVTSAKLNYMIDAHIQDNNINQARELFDDNPSSRNLVSWNMMMTCHVKHYQIEHAHKLFDQMPLKDTVSWNIMLSGFSRITDSDGLYRHFLQMGRSGVSPDDYTISTLLRTVISTKLDVLVPQVHALALHLALNLSVFVGSSLIRAYASLREEEAFKRAFDDILVKDVTSWNALVSGYMEVGSMDDAQTNFDVMPQRNIISWTTLVNGYIRNKKINKARSVFNKMSERNVVSWTVMISGYVQNKRFMDALKLFLLMFKSGTRPNHFTFSSVLDACAGCSSLLMGMQVHLCVIKSGIPDDVISLTSLVDMYAKCGDTDAAFLVFESIPKKNLVSWNSIIGGFASNGLGNRALEKFDRMKRVGVKPDEVTFVNVLSACVHAGLVEEGEKHFTSMLSKYGIEAEMEHYTCMVDLYGRAGQFDEAVKLIENMPFEPDVVLWGALLAACGLHSNLELAEYAAERIRKLERNHPVSYSILSKIQGEKGIWSSVNELRDLMNVKHIRKQKASSWVL >Vigun05g057500.1.v1.2 pep primary_assembly:ASM411807v1:5:4926211:4928898:1 gene:Vigun05g057500.v1.2 transcript:Vigun05g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCAKPLTLQLQLHSRTSSSSFQSHVGGVTVTTSTLSLRPSPPLVLTHNVHFQPKIKRASTTIRCASALTPQLKSTLDTVIGSNKVVLFMKGTKDFPQCGFSNTVVQILKSLNVPFETIDVLENEMLRLGLKEYSSWPTFPQLYIEGEFFGGCDITVEAYKNGELQELLEKAMLS >Vigun09g079300.1.v1.2 pep primary_assembly:ASM411807v1:9:9354714:9357295:1 gene:Vigun09g079300.v1.2 transcript:Vigun09g079300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVANPWTAVAAAIWIQSSCGASYTFSIYSAVLKSTQGYDQSTLDTVSVFKDIGANFGVLSGLLYTAVVSYTHRSALPGSPSKSKWTSLSGPWVVLASGAVQFFAGYLFIWASVVGLISPPPVPVMSFFAWLAANGQTFLNTTNVVTGLRNFPGYSGTIIGIMKGFLGLSGAILIQIYHTFFDGEPSTFILMLALLPSLICVLFMFLLRIYEIHGSDYKKHLDSFSVVTVIIVAYLVFIIMLQNFVRLPYWGWMLEFVILVVLLASPFVIAIKAHWEESQNFPQSYTIESGSSTNKGTTSSSYSASGGDQVEYHELPSDEGQVQGTSDDELPQEEDKNLLQAICTLEFWMLFVIMISGLGSGLATINNMSQIGQSLGYSTIEITNMVSLWSMWNFLGRFGGGHISDYIMHRKGWPRPLLMTATLGMMVVGHLIIACGFRGNLYLGPVLVGIGYGAHWSLMPTITSEIFGVKHMGTIFNAIAAASPLGSYILSVKVVGYIYDKQADEDNFCFGIDCFMSSYFILAGVTFLTLLVALLLYFRTQSFYKQVVLRRLKHYAR >Vigun04g085800.1.v1.2 pep primary_assembly:ASM411807v1:4:14277455:14287947:-1 gene:Vigun04g085800.v1.2 transcript:Vigun04g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREIELESAMYTNCLLLGLDPAIIGVGASNATPRVGHFRHSNPKLGEQLLYFILSSLRGPIQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFTADISSNPLPAPLTDVAFSHAATLLPVTKARIALERRKFLKNAEMAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWESLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSGQPGDLSAIDNKEESDGSHFSNETLTIVDDRTGRVHQTVDVAEVIRRWTHALQRIHKQSLHLAKANDGEGPDILRSGQEEGSSGHAESLAATLAEHQQHLASFQVLINQLKDVAPTIQRSISECTEKVNCIAANLPPMSRHNGRSTSPIQSQSSGRMDNSTDDVSEVTSRLSNIQLDKVSISPPTLKLPQLFSLTPSSGKAGNVQRRQSIAPQTSQTENLSDSKSLDPPSNNEVASSAEDSDSLYVQNLKRSVREAALSLRSCNSDSSRDSQSDGSSEHFFVPLSETNFSHLDAEKRGASLRSKRLFVSQMDDSLLESHSSGGHGVTKFDELPDMLNDLERLSDYDNVNGFLSYTGSNATSDAQRSIFDFEDTQDQVFSPPLLMDSSLLTDSFEDLLAPLSETETALIDH >Vigun04g085800.2.v1.2 pep primary_assembly:ASM411807v1:4:14277455:14287947:-1 gene:Vigun04g085800.v1.2 transcript:Vigun04g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREIELESAMYTNCLLLGLDPAIIGVGASNATPRVGHFRHSNPKLGEQLLYFILSSLRGPIQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFTADISSNPLPAPLTDVAFSHAATLLPVTKARIALERRKFLKNAEMAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWESLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSGQPGDLSAIDNKEESDGSHFSNETLTIVDDRTGRVHQTVDVAEVIRRWTHALQRIHKQSLHLAKANDGEGPDILRSGQEEGSSGHAESLAATLAEHQQHLASFQVLINQLKDVAPTIQRSISECTEKVNCIAANLPPMSRHNGRSTSPIQSQSSGRMDNSTDDVSEVTSRLSNIQLDKVSISPPTLKLPQLFSLTPSSGKAGNVQRRQSIAPQTSQTENLSDSKSLDPPSNNEVASSAEGELVLGIVSKASMTKWFRIQPLAP >Vigun08g211400.1.v1.2 pep primary_assembly:ASM411807v1:8:37314260:37317975:1 gene:Vigun08g211400.v1.2 transcript:Vigun08g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVDDVDNKHDTKVVIPEEGEEVDDSPIEQVRLTVPITDDPTQPVLTFRTWTLGLASCVVLAFINQFFGYRTTPLFISSVSAQIISLPLGKFMARTLPTKAYVFPFTKWSFSLNPGPFTLKEHALITIFASAGSSGVYAINIITIVKAFYHRSIHPMAAFLLALSTQMLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKEKRPKGGNTRLQFFLLVFVSSFAYYVIPGYLFPGIATISWVCLVWKKSILAQQIGSGITGLGIGSFGFDWNTVAGFLGTPLATPGFAIINTLAGFVLFMYVLIPISYWNNVYDAKKFPIISSHTFDSTGAVYNVTRILNAKNFDIDMDSYNNYSKLYLSITFAFDYGLSFASLTATISHVVLFHGKTIVQMWRKTTTTLKGKAFGDVHTRIMKKNYEQVPEWWFVSILVVMVVVALITCEGFGKQLQLPWWGVLMSLGIALVFTLPVGVIQATTNMQAGLNVITELIIGYIYPGKPLANVAFKTYGYISMSQALAFLGDFKLGHYMKIPPKSMFVVQLVGTVVASSVYFGTAWWLLTTVPNICDQAKLAANSPWQCPGDDVFYNASIIWGVVGPKRMFTKDGIYPGMNWFFLIGALLPVPVWFFSRKYPNQKWIELVNMPLIILGAGAIPPARTVNYITWGAVGIFFNFYIYNKFKSWWARHTYILSAALDAGLAFMGVVLYFALQSYDILGPTWWGADADHCPLAKCPTAPGVVAHGCPTL >Vigun08g211400.2.v1.2 pep primary_assembly:ASM411807v1:8:37314260:37317982:1 gene:Vigun08g211400.v1.2 transcript:Vigun08g211400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRAFHEKEKRPKGGNTRLQFFLLVFVSSFAYYVIPGYLFPGIATISWVCLVWKKSILAQQIGSGITGLGIGSFGFDWNTVAGFLGTPLATPGFAIINTLAGFVLFMYVLIPISYWNNVYDAKKFPIISSHTFDSTGAVYNVTRILNAKNFDIDMDSYNNYSKLYLSITFAFDYGLSFASLTATISHVVLFHGKTIVQMWRKTTTTLKGKAFGDVHTRIMKKNYEQVPEWWFVSILVVMVVVALITCEGFGKQLQLPWWGVLMSLGIALVFTLPVGVIQATTNMQAGLNVITELIIGYIYPGKPLANVAFKTYGYISMSQALAFLGDFKLGHYMKIPPKSMFVVQLVGTVVASSVYFGTAWWLLTTVPNICDQAKLAANSPWQCPGDDVFYNASIIWGVVGPKRMFTKDGIYPGMNWFFLIGALLPVPVWFFSRKYPNQKWIELVNMPLIILGAGAIPPARTVNYITWGAVGIFFNFYIYNKFKSWWARHTYILSAALDAGLAFMGVVLYFALQSYDILGPTWWGADADHCPLAKCPTAPGVVAHGCPTL >Vigun07g278000.3.v1.2 pep primary_assembly:ASM411807v1:7:39298401:39301685:1 gene:Vigun07g278000.v1.2 transcript:Vigun07g278000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MNMIMQNLVERLRSLVGLNGWDYCIYWKLSEDERFLEWLGCCCGGTESNQNGGEEHLFPVSSVVSCRDTTYPHPRTKPCDLLSQLSTSISIDNSGIYTQTLLTNQPSWVNYSNSLDSSILDETMGTQVLISAPGGLIELFVTKQVPEDHQVIDFVTNQCIEAVNQSMSFNIDVNAMSNMQPNPLLGDENEGNNSRNTHFHPSEHVITMDHRIGMCSSPLNFMQQFNYNQLNRMKSDAFSEEYQGSFLHDKQTNPEDEHDAYQKSLMTTDSQYIESLEAKEKQEEDKELLKHVVARSDSMSDCSEQNEEEEDGKYRRRNGKGNQSKNLMAERKRRKKLNDRLYKLRSLVPRISKLDRASILGDAIEYVKDLQKQVKELQDELEENADTESNCINGNNDNNNNNNNNNNQYVGVGELCPNSEHAKGQSGLHVGTSGNGYVSKQKEDAAVIDKQTQQMEPQVEVALIDGNEYFVKVFCEHRPGGFVKLMESLNTIGMDVVHATVTSHKGLVSNVFEVEKKDNESVEAEDVRESLLELTRNRYRGWSHEVTATSENGVGRDQHQIPSYSHHFHT >Vigun07g278000.2.v1.2 pep primary_assembly:ASM411807v1:7:39298401:39301685:1 gene:Vigun07g278000.v1.2 transcript:Vigun07g278000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MNMIMQNLVERLRSLVGLNGWDYCIYWKLSEDERFLEWLGCCCGGTESNQNGGEEHLFPVSSVVSCRDTTYPHPRTKPCDLLSQLSTSISIDNSGIYTQTLLTNQPSWVNYSNSLDSSILDETMGTQVLISAPGGLIELFVTKQVPEDHQVIDFVTNQCIEAVNQSMSFNIDVNAMSNMQPNPLLGDENEGNNSRNTHFHPSEHVITMDHRIGMCSSPLNFMQQFNYNQLNRMKSDAFSEEYQGSFLHDKQTNPEDEHDAYQKSLMTTDSQYIESLEAKEKQEEDKELLKHVVARSDSMSDCSEQNEEEEDGKYRRRNGKGNQSKNLMAERKRRKKLNDRLYKLRSLVPRISKLDRASILGDAIEYVKDLQKQVKELQDELEENADTESNCINGNNDNNNNNNNNNNQYVGVGELCPNSEHAKGQSGLHVGTSGNGYVSKQKEDAAVIDKQTQQMEPQVEVALIDGNEYFVKVFCEHRPGGFVKLMESLNTIGMDVVHATVTSHKGLVSNVFEVEKKDNESVEAEDVRESLLELTRNRYRGWSHEVTATSENGVGRDQHQIPSYSHHFHT >Vigun07g146500.1.v1.2 pep primary_assembly:ASM411807v1:7:25756958:25762626:-1 gene:Vigun07g146500.v1.2 transcript:Vigun07g146500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNIVKVFAGEDKLRHRVKGTVVLMKKNVLDFNDFSASFLDRLHEFVGKRVSLQLVSAVNVDPGNSNGLKGKLGKPAFLEDWITTLAPLTVGETAFKVTFEWDEEIGTPGAFIIRNNHHSEFYLKSLTLENVPGQGVIRFICNSWVYPADKYEKDRIFFSNKAYLPSETPVPLLKYREEELENLRGNGKGQLQEWDRVYDYDVYNDLGNPDKGPQHARPTLGGSNEYPYPRRGRTGRPPTKSDPKCESRLNIASSLDVYVPRDERFGHLKMADFLAYALKSIVQVLKPELESLFDSTPNEFDSFEDVFKLYEGGIEVPEGILTEVRDNIPAEMLKEIFRSDGERFLKFPVPQVIAVDKSAWQTDEEFAREMLAGINPVIIRGLHEFPPASKLDPKLYGNQTSTITKEHIESNLEGLTVDEAIKERRLFILDLHDAVIPYIRRINSTSTKTYASRTILFLQKNGILKPLAIELSLPHPEGDQYGAISKVYTPEEQGVEKSFWQLAKAYVVVVDSGYHQLISHWLHTHAVIEPFILATNRQLSVLHPIHKLLQPHFRDTMNINALGRQILINAGGALESTVCPSRYSMEFSSVLYKDWVFPEQALPEDLLKRGVAVEDPTSQYGLRLLIEDYPFAVDGLEIWFAIRTWVQDYCSFYYREDDAVKKDNELQSWWKEIREVGHGDKKNEPWWPKMQTCEDLIQTCTILIWVASALHAAINFGQYPFGGFPPSRPAISRRFMPEKGTPEYDELVADPVKGYLKTISSQFQAVLGISLVEILSKHSSDEVYLGQRETPDWSSDAEPLQAFEKFGQKLASIEERILRMNSDEKFRNRFGPVKMPYTLLYPTSKGGLTGMGVPNSISI >Vigun11g109100.1.v1.2 pep primary_assembly:ASM411807v1:11:30996717:31001031:-1 gene:Vigun11g109100.v1.2 transcript:Vigun11g109100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLERESGSTIFSEEELREVSGVKRVGDYVEVTCGCTSHRYGDAVGRLRVFVNGYLEITCECTPGCQEAKLTPSAFEKHSGRETARKWKNNVWVIVNGEKVPLCKTVLLKYYNQVSKAANGSHRSQNGRACHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADANWKCSDLPYDKITCDDEEERASRRVYRGCTCSPTCKGCTSCVCFGCDICRFSDCSCQTCADFTNNAKA >Vigun08g151100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32396142:32396885:1 gene:Vigun08g151100.v1.2 transcript:Vigun08g151100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSQSEVDNAVIIGDDRKNNWEERGFAEDAAASMWPPRPYSCTFCKREFRSAQALGGHMNIHRRDKARLKQNPNPHHHNYQPFYHHTIKPLLGNHNHHRFSAPLFSTQINCGITHSHSYSSQATTISTTRSISQENKFSHTSSSSFIFRQMGSPNSEQEKEYSFGGLECGNFVETSLSQNSSPCWDRPNMSCKRLKNSICSLPLFLRPCSKDKGLALQPVEIPLGLHHRIEDLDLELRLGKQQKVE >Vigun04g150400.2.v1.2 pep primary_assembly:ASM411807v1:4:36647794:36651554:1 gene:Vigun04g150400.v1.2 transcript:Vigun04g150400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALATLCGQAFGAGQIVSTCIYVQRSWIILTITCTILLPVYVYATPILKLLGQDEEIADLTGTYSIQLIPQMFSFAIVFPTLTFLQAQSKVKVIMVIAFVVLLIQNGLLYLFIHVFGWGVTGLAMVSDIIGWLYAVALVVYTIAWNKDEWSGFSWMAFRDLWAFAKLCLSSSFMICLQQWYLTCIMLLVGLLENPLVSVSSYSVCFNVQGWHSTLLVGINTATSVRVSNTLGMAHPRAAKYSFYVTMFQSLLLGIFFMTVIFLTKEHFATIFTNNEDIILAVIDLAYLLGITMVLNSISQVISGVAIGSGWQVMVAYINMACYYIVGLPIGIFLGFKQHLGVKGVWGGTMCGNILQILVLLLITWKTNWTKEVEQTAHRMRTWNIDNHYSENVI >Vigun04g150400.1.v1.2 pep primary_assembly:ASM411807v1:4:36647794:36651554:1 gene:Vigun04g150400.v1.2 transcript:Vigun04g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLTQKFTSESDYSAVKGLKDVKFVVWKETVKIWKIALPVALTHLFQFLTNSSTSIYAGHLGDIQLSSISVSQGVVSSIYFYLLFGMSSALATLCGQAFGAGQIVSTCIYVQRSWIILTITCTILLPVYVYATPILKLLGQDEEIADLTGTYSIQLIPQMFSFAIVFPTLTFLQAQSKVKVIMVIAFVVLLIQNGLLYLFIHVFGWGVTGLAMVSDIIGWLYAVALVVYTIAWNKDEWSGFSWMAFRDLWAFAKLCLSSSFMICLQQWYLTCIMLLVGLLENPLVSVSSYSVCFNVQGWHSTLLVGINTATSVRVSNTLGMAHPRAAKYSFYVTMFQSLLLGIFFMTVIFLTKEHFATIFTNNEDIILAVIDLAYLLGITMVLNSISQVISGVAIGSGWQVMVAYINMACYYIVGLPIGIFLGFKQHLGVKGVWGGTMCGNILQILVLLLITWKTNWTKEVEQTAHRMRTWNIDNHYSENVI >Vigun07g234500.2.v1.2 pep primary_assembly:ASM411807v1:7:35625859:35635323:-1 gene:Vigun07g234500.v1.2 transcript:Vigun07g234500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESECFECLQLRIKSDFSEEVFFNYAISNSAFPFGSSAIVNISGTTDGEASGGQFILQYMPSRDKNCFINYVNEYSLDSGEITTRSGDPDIGGSKNNVIKGRITSSDDCDSGKAFSGNTNCSHSGRFSCLRTITSLLPIAHVGISSNSTFQKVSSDFLSGLIEDHVLNSLDLFVEEKGSGRDSINFLGLIGLPSFEEDAFPGSLRHPNIAPVLAIFKTSDHVNVVLPKTPYNLESILHFNPNALKSDWNRRFLIYQLLSALSYVHGLGVSHGNICPSNIMLTDSLWCWLRLWSEPVLESNLTSQETESVNSEPARIGCCNVGCHSYGLYADLKLSPTIDWNACFQQWWRGEISNFEYLLILNRLAGRRWGDHTFHPVMPWVIDFSSKPDDNCDVGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDAQIFKSIHDGMTDLAVPSWAESPEDFIKLHFDALESDRVSFQLHHWIDITFGYKMSGQEAIAAKNVMLPLSEPLMPRSTGRRQLFTQPHPIRHATTKTKRHGSNKYAKVWSQAYEMHQETSLLAGTAYLQELEQASKFSEHARHLNACYHYPSNQMTGQNISSLGDPSTKTFSENISKLSMIDRNYQVPYKMNLISFLQHMKEEDEGSLGYPDLLLWKQKLSSSRLCSEDVAGDIFSIGCLLAEIHLSRPLFDPVSLSIYLEDGTFPGFLQDLPPNIRLLVEACIQKDWTRRPSTKFLLESPYFPKSVKSSYLFLAPLQLVAKDETRLRYAANLAKHGALREMGAFATEMCTTYSLPLIVNAVSDVEAEWAYMLLKEFMKCLTVQAVKTLILPTIQKILQVALLQDSFVREIWNQVGKQAYLETIHPLVLSNLYNSPDKSSAASASVLLISSSEELGVPITIHQTILPLVHCFGKGLCADGIDVLVRIGGIFGELFIVKQMVPLLKNVVRSFIDVSCMNKPDPVQSWTALALIDCMMTLDGLVAFLTEEVIVKQLLEDLSCIHIGVLMQKHMDIAVLQIAASTLFGICQRIGADLTALHIVPKLKELFDELAFSQEVSKGSTTLGKNLKASKIKFGGDLHIESRVDLVLVLYPSFASLLGIEKLRQCCATWLILEQYLLRHHNWKWEYAGESSKNGSEIILARRPVISQGFTSEYNPAKLLLNGVGWSIPQSQGSRSAKNLIPQRRPFKVHQTPVVVHEGMSYQTNQEPWFWFPSPATVWDGPEFLGRVGIQKDDLPWKIRASVIHSIRAHHGALRSLAVNQDECTVFTAGIGQGYKGTVQKWELSRTNCLSGYHGHEEVVNDICILSSSGRVASCDGTIHIWNSQTGKQILVFAESQTESSHPTSHPSSASKINSDQANVLNLNTLSNGILSSAFDSSLYTCMHQLYSTETLVVGTGNGSLRFIDVSRGQKLHIWRGESTESTFPSLISAICSTGSDKMQAGGISSLPSFIAAGLSSGHCKLFDAKSGNVITSWRAHDGYVTKLAAPEEHLLISSSLDRTLRVWDLRMNLPLQPVIFRGHSDGISSFSIWGHDVISISRSRIGLLSLSKSANETDGQHHIIPQRLYVSDNGQRSLSALSSISILPFSRLFLIGTEDGYLRICC >Vigun07g234500.1.v1.2 pep primary_assembly:ASM411807v1:7:35625859:35635323:-1 gene:Vigun07g234500.v1.2 transcript:Vigun07g234500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESECFECLQLRIKSDFSEEVFFNYAISNSAFPFGSSAIVNISGTTDGEASGGQFILQYMPSRDKNCFINYVNEYSLDSGEITTRSGDPDIGGSKNNVIKGRITSSDDCDSGKAFSGNTNCSHSGRFSCLRTITSLLPIAHVGISSNSTFQKVSSDFLSGLIEDHVLNSLDLFVEEKGSGRDSINFLGLIGLPSFEEDAFPGSLRHPNIAPVLAIFKTSDHVNVVLPKTPYNLESILHFNPNALKSDWNRRFLIYQLLSALSYVHGLGVSHGNICPSNIMLTDSLWCWLRLWSEPVLESNLTSQETESVNSEPARIGCCNVGCHSYGLYADLKLSPTIDWNACFQQWWRGEISNFEYLLILNRLAGRRWGDHTFHPVMPWVIDFSSKPDDNCDVGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDAQIFKSIHDGMTDLAVPSWAESPEDFIKLHFDALESDRVSFQLHHWIDITFGYKMSGQEAIAAKNVMLPLSEPLMPRSTGRRQLFTQPHPIRHATTKTKRHGSNKYAKVWSQAYEMHQETSLLAGTAYLQELEQASKFSEHARHLNACYHYPSNQMTGQNISSLGDPSTKTFSENISKLSMIDRNYQVPYKMNLISFLQHMKEEDEGSLGYPDLLLWKQKLSSSRLCSEDVAGDIFSIGCLLAEIHLSRPLFDPVSLSIYLEDGTFPGFLQDLPPNIRLLVEACIQKDWTRRPSTKFLLESPYFPKSVKSSYLFLAPLQLVAKDETRLRYAANLAKHGALREMGAFATEMCTTYSLPLIVNAVSDVEAEWAYMLLKEFMKCLTVQAVKTLILPTIQKILQTTGYLRLKVALLQDSFVREIWNQVGKQAYLETIHPLVLSNLYNSPDKSSAASASVLLISSSEELGVPITIHQTILPLVHCFGKGLCADGIDVLVRIGGIFGELFIVKQMVPLLKNVVRSFIDVSCMNKPDPVQSWTALALIDCMMTLDGLVAFLTEEVIVKQLLEDLSCIHIGVLMQKHMDIAVLQIAASTLFGICQRIGADLTALHIVPKLKELFDELAFSQEVSKGSTTLGKNLKASKIKFGGDLHIESRVDLVLVLYPSFASLLGIEKLRQCCATWLILEQYLLRHHNWKWEYAGESSKNGSEIILARRPVISQGFTSEYNPAKLLLNGVGWSIPQSQGSRSAKNLIPQRRPFKVHQTPVVVHEGMSYQTNQEPWFWFPSPATVWDGPEFLGRVGIQKDDLPWKIRASVIHSIRAHHGALRSLAVNQDECTVFTAGIGQGYKGTVQKWELSRTNCLSGYHGHEEVVNDICILSSSGRVASCDGTIHIWNSQTGKQILVFAESQTESSHPTSHPSSASKINSDQANVLNLNTLSNGILSSAFDSSLYTCMHQLYSTETLVVGTGNGSLRFIDVSRGQKLHIWRGESTESTFPSLISAICSTGSDKMQAGGISSLPSFIAAGLSSGHCKLFDAKSGNVITSWRAHDGYVTKLAAPEEHLLISSSLDRTLRVWDLRMNLPLQPVIFRGHSDGISSFSIWGHDVISISRSRIGLLSLSKSANETDGQHHIIPQRLYVSDNGQRSLSALSSISILPFSRLFLIGTEDGYLRICC >Vigun11g087333.1.v1.2 pep primary_assembly:ASM411807v1:11:26120567:26121406:-1 gene:Vigun11g087333.v1.2 transcript:Vigun11g087333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVHPQEQSNHQQQSFFNFNSHGQTQDLMQKERGSDLGKHKNATNGTNEISSAPIKTKSKGQGKDQKDDFNWDSLRIEAQTKVGKREKTANTMDSLDWDVVRCVDVNEIAQTIKERGMNNRLAEQIQNFLNRLVEEHGSIDLEWLRDVPPDKAKEYLLSVKGLGLKSVECVRLLTLHHLAFPVS >Vigun02g093300.1.v1.2 pep primary_assembly:ASM411807v1:2:24853224:24857501:1 gene:Vigun02g093300.v1.2 transcript:Vigun02g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGSAPAASIPNANAPPPFLSKTYDMVEDPSTDAIVSWSATNNSFIVWDPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRNITRRKPAHGQNHQQAQQPNGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFFAQFVQQQNESNRRITEVNKKRRLKQEGIAETVSAATPDGQIVKYQPLVNEAAKAMLKQIMKWDTSRVESFNKNPEEYIIGDASSSSSGMDSSGSSGWTSGVTLKEVSPASVQSSHVPAATGTQGHVLPTVKPEIPSVAQALASENVTEDGGHGAPSIPVSQANVVIPDLPPITEMVTGNMLDIPEENYMATESDEGFMDPSLGAAGSFPIDFEVISPDNIDDFLGNPSIWDEILQTPVPEDIDTNIADVPNGNEVQPTENGWSKTQRMDHLTEQMGLLSSDTKRV >Vigun08g075700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:13316569:13317072:-1 gene:Vigun08g075700.v1.2 transcript:Vigun08g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSNFCLMLLCLMMSWSLMCNTVLSNVAPAPSNWPGPVSSYQSYLANCAANLDPICGRDIYFAVFYGNATVIEDCCDKLVSNVGKVCHDDMTKFVLTKTQFLSSAVQIVERSQKVWNDCVAVVKS >Vigun10g081700.1.v1.2 pep primary_assembly:ASM411807v1:10:23010807:23014047:1 gene:Vigun10g081700.v1.2 transcript:Vigun10g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSGKFPVIKTCVLLFLVIFLYAESFNLLIFNLKPCPTNSGKPKSNDKKTQEMVPNPNAGWFNDGFVYEPEECDFSNGKWVFNSSIKPLYSDNSCPYISRPYSCVKNGRVDSDYHYWEWHPEDCPLPKFNPELALKKLEGKRLLFVGDSLQKSQWESFVCMVEWIIPEKQKSMKRGTHSVFKAKEYNASIEFYWAPMLVESNTEFFTIRDPKKQIVKIDSIMDRARNWTGVDILVFNTYVWWMSDIKVKALWGSFGNGEEGYEELDNQIAYNLGLRTWANWVDSTVDPNKTRVFFTTMSPTHTRSADWGKKDGVKCFNETKPIGKRKHWGSGSNKGMMRVVEKVAKRMKVAVTFINITQISEYRIDAHSSVYTESGGKLLSEEEKANPRNADCIHWCLPGVPDTWNQIFLAML >Vigun07g289450.1.v1.2 pep primary_assembly:ASM411807v1:7:40168035:40176679:1 gene:Vigun07g289450.v1.2 transcript:Vigun07g289450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMADDGVQNPDPPHADDGVQNPDPPHADDGVQNPDPPHADDGVQNPDPPHADHGVQNPHPPHADHGVQNPHPPPPEDEFPDLGQTLDETYRVLLYMVVNLTTTKKSYIRDIISCHYSLEECETFSATLRAQHPTPLTAVQIRCFFLQISDLFKDYCRTLKCGVSGLITDLDEGTFDVIDPDNPLHRVTFSTLVRKYKGVRDHFKALRTLFPHIWRRYKKPPPRRDQIMRRRNRRLGPGETPGETETPRET >Vigun05g291464.1.v1.2 pep primary_assembly:ASM411807v1:5:47764056:47765108:1 gene:Vigun05g291464.v1.2 transcript:Vigun05g291464.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun02g022000.1.v1.2 pep primary_assembly:ASM411807v1:2:7523113:7525470:1 gene:Vigun02g022000.v1.2 transcript:Vigun02g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRELKHFKEILSQTILTGLIADPYAASRLINFSTRSALVPFHYSLQIFNHLHNPNAFTWNTIMRAHFELQNNPHQALTLYKLFLAKHAKPDNYTYPTLLQCCAARVSEFEGRELHAHVVRFGFHQDVYVWNTLINFYAICGSMPSARQVFEESPVSDVVSWNTVLAGYVQAGNVEEAERVYRGMPERNTIASNSMIVLFGRKGCVEKARRIFDEVRGRDMDMVSWSAMVSCYERNEMCEEALVLFVEMKASGVAVDEIVVVSVVSACSRILNAEMGRLVHGLAAKVGVEDYVSLKNALIHLYSSCGEIVDAQRIFDDGGVLLDLISWNSMISGYLRCGSIEDAEKLFYSMPEKDVVSWSAMISGYAQHECFSEALALFQEMQLHGVRPNETALVSAISACTHLAALDLGKWIHAYINKNKLQVNTILSTTLIDMYVKCGCVENALEVFYAMEEKGVSTWNAIILGLAMNGLVEQSLNMFADMKKSNTLPNEITFMGVLGACRHMGLVDEGRHYFSSMIHEHKIEPNIKHYGCMVDLFGRACFLKEAEELIESMPMAPDVATWGALLGACRKHHDHEMGERVGRKLIQLQPNHDGFHVLLSNIYASKGNWGNVLEIRGIMTQHGVVKTPGCSMIEVNGIVHEFLAGDKTHPQISDIAHMLDEVATKLKIEGYVPTTSEVSLDIDDEEKETALFRHSEKLAVAFGLITIAPPTPIRVMKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFRHGACSCMDFW >Vigun08g020900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1829311:1830668:-1 gene:Vigun08g020900.v1.2 transcript:Vigun08g020900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIQSPSSPTMDNFDFGSNMGSIYHSVPSSPKGFGNYFMSAPTSPSRLSQLYSEFEYFSTTATSSFEAANKIQDDDDDDKDDYSFAFSVSRESDKSSRSAEELFDGGKIKPLNESLDSKESKSEERRGRGKEKLPSSSSNRRVTRSHSPYRWEAEKQQLQQQEQPKSNNKEESSVLSSSSSSKGGSKRWWLKDLLLFRSASEGRGSSKDPLKKYYKKNGTEEVKGSSSFRSSDSSRRKGHVSAHELHYAMKRAESEDMKKRTFLPYRQGILGRLAGFGI >Vigun04g029100.9.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKETVEEAKKQLWLAGPMVFVCVFQYSLQMISLMFVGHLDEVLLAGASLAASFVNVTGFSVLIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.7.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVGLLLGIVLALSIQVLCFLAVTLRTNWEKEANKAAIRVKGKGDQAETH >Vigun04g029100.14.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.10.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKETVEEAKKQLWLAGPMVFVCVFQYSLQMISLMFVGHLDEVLLAGASLAASFVNVTGFSVLIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.2.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKETVEEAKKQLWLAGPMVFVCVFQYSLQMISLMFVGHLDEVLLAGASLAASFVNVTGFSVLIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVANKAAIRVKGKGDQAETH >Vigun04g029100.1.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKETVEEAKKQLWLAGPMVFVCVFQYSLQMISLMFVGHLDEVLLAGASLAASFVNVTGFSVLIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVGLLLGIVLALSIQVLCFLAVTLRTNWEKEANKAAIRVKGKGDQAETH >Vigun04g029100.8.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATDLCSLCADQEHRLRKDNMIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVANKAAIRVKGKGDQAETH >Vigun04g029100.3.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVANKAAIRVKGKGDQAETH >Vigun04g029100.15.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.11.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVANKAAIRVKGKGDQAETH >Vigun04g029100.18.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.6.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVGLLLGIVLALSIQVLCFLAVTLRTNWEKEANKAAIRVKGKGDQAETH >Vigun04g029100.5.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATDLCSLCADQEHRLRKDNMIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVGLLLGIVLALSIQVLCFLAVTLRTNWEKEANKAAIRVKGKGDQAETH >Vigun04g029100.12.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATDLCSLCADQEHRLRKDNMIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.4.v1.2 pep primary_assembly:ASM411807v1:4:2248335:2252093:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSGIARGCGWQKLGAFVNLGSYYVVGVPFSIILAFVLHMKGVGLLLGIVLALSIQVLCFLAVTLRTNWEKEANKAAIRVKGKGDQAETH >Vigun04g029100.16.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.17.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun04g029100.13.v1.2 pep primary_assembly:ASM411807v1:4:2248334:2252094:1 gene:Vigun04g029100.v1.2 transcript:Vigun04g029100.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATDLCSLCADQEHRLRKDNMIGMSSALDTFCGQSYGAKQCDMVGIHMQRGIVVTMVATIPMSIIWAYLRPILVVLHQDKRIAAHAELYARYLIPSLSANALLRCFVKFLQTQNIVLPMVLASGFTTLTHVLLSWLFILKLRLGIKGAAIAFCISNWLNTVLLALYIRLSSSCKTTWTGFSRKSLHDIPQFLRLAFPSTLMVCLETWTFELMVLLSGALTNPTLQTSVLSICFQTAGLFWMIPFGVGAAASTRISNELGAGCPKSAFLAVKVTLLVSFIIGALEFTLLILTRNFWGRIFSNVPEVVTYVESMTPILASCVFVDSIQTAFSEALASST >Vigun08g011000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:973157:974547:-1 gene:Vigun08g011000.v1.2 transcript:Vigun08g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWETKDTLLSFVISPLKILWDSLRIIHTNKILFCSILCFTTLPLSFLTFTLAISTHHLRSKIYHLEAVASVAPTRVEARHVWHESRDNAVSLLRTRLLYALLSFPISLAAAVSAIHAAAHGKPISPRAANWKAPAVTVVFVYVLLMAFSLVPRVLAAATFGSPLWIRVLARMIGSGSEVYLLSVMSLGIVVSVAEDRVGWEAVRVGWGLIRGGRVCGWVLSALFVFVSGFINRNVEAMLENQNSEISVWNKTVLMCFYAVVVVFSYVVTTVFYCDSRRRHDNNINNVKEQPQGDDEVQGFDADSSSEL >Vigun03g228800.1.v1.2 pep primary_assembly:ASM411807v1:3:38087720:38091710:1 gene:Vigun03g228800.v1.2 transcript:Vigun03g228800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCGATFVKPNHAFSPTHKPKAPTPYYDSRKKFCVRVSASDSGDVEKTIIRKEKDGWKINYSGEKPQTPLLDTVNHPIHMKNLSTQDLEQLAAELRADIVHSVSNTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESTHDAFGVGHSSTSISAGLGMAVARDLLGKKNSIISVIGDGALTAGQAYEALNNAGFLDSNMIVVLNDNKQVSLPTATLDGPASPVGALSSALSKIQASTEFRKLREAAKSITKQIGGQTHQVAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIEDLVTIFEKVKATPAAGPVLIHIVTEKGKGYPPAEKAADRMHGVVKFDPKTGKQFKAKASTLSYTQYFAESLIKEAEIDNKIVAIHAAMGGGTGLNYFHKRFPDRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAATIDDRPSCFRFPRGNGIGATLPLNNKGTPLEIGKGRILMEGSRVAILGYGSVVQQCRHASELLKEVGVNVTIADARFCKPLDTDLIRLLAKEHEILITVEEGSIGGFGSHVSQFLSLSGILDGPLKWRAMMLPDRYIDHGSPKDQVEEAGLSSKHIAATVLSLLERPKEALMFK >Vigun03g061000.3.v1.2 pep primary_assembly:ASM411807v1:3:5001383:5003908:1 gene:Vigun03g061000.v1.2 transcript:Vigun03g061000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVGNKDTKSVVITGHSIGGATASLCTLWLLSYLQSISSSSVSILCITFGAPLLGNEAFSQSIFRERWGGNFCHVVSKHDIMPRLLFAPITFLTTQLNSLLQFWHFSMTSEDFGKLANQISEKEKANLFNAVMDYLEAASQEEGETSVPILFHPFGNYFFVTEEGAVCVDSPSAIIKMMHLMLATGSPVSSIEDHLKYGYYVNKLSSQTLNQEISMQRNIPDSSYEAGLELAIQSSGIANQESAITSAKECLKKTRRMGPSPNLNAATLAVSLSKVVPLRAQIEWYKNWCEEQDDQIGYYDSFKSRDSSSSKRDMKININRCKLARFWNNVIDMLERGELPHDFDKRAKWVNASHFYKLLVEPLDIAEYYGKGMHRAKGHHYMQHGRERRYEIFDRWWKDRTVTTAAEENKERSKFASLTQDSCFWARVEEARDWLNCVRSERDANKLALLWDKIENFEKYAMNLVENKEVSCDVLFKNSSYSIWVEDLRELKQLKVKVQRLPHQFTGFLDGEVVP >Vigun03g061000.2.v1.2 pep primary_assembly:ASM411807v1:3:4998802:5003908:1 gene:Vigun03g061000.v1.2 transcript:Vigun03g061000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFISSTPLLSDSWRLCNQANAAPFRTFVADRVGASVYVAFSGVQMPGASDPNWRDLVPLHSIGGVPLFSPRRSREAEEPVMVHAAMLNLFLSLFNSFQNQMLEIVGNKDTKSVVITGHSIGGATASLCTLWLLSYLQSISSSSVSILCITFGAPLLGNEAFSQSIFRERWGGNFCHVVSKHDIMPRLLFAPITFLTTQLNSLLQFWHFSMTSEDFGKLANQISEKEKANLFNAVMDYLEAASQEEGETSVPILFHPFGNYFFVTEEGAVCVDSPSAIIKMMHLMLATGSPVSSIEDHLKYGYYVNKLSSQTLNQEISMQRNIPDSSYEAGLELAIQSSGIANQESAITSAKECLKKTRRMGPSPNLNAATLAVSLSKVVPLRAQIEWYKNWCEEQDDQIGYYDSFKSRDSSSSKRDMKININRCKLARFWNNVIDMLERGELPHDFDKRAKWVNASHFYKLLVEPLDIAEYYGKGMHRAKGHHYMQHGRERRYEIFDRWWKDRTVTTAAEENKERSKFASLTQDSCFWARVEEARDWLNCVRSERDANKLALLWDKIENFEKYAMNLVENKEVSCDVLFKNSSYSIWVEDLRELKQLKVKVQRLPHQFTGFLDGEVVP >Vigun03g061000.1.v1.2 pep primary_assembly:ASM411807v1:3:4998802:5003908:1 gene:Vigun03g061000.v1.2 transcript:Vigun03g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAIQGVLRSNHSKFQSPQDSNVRNIEEKNHYSMGMASNEASPFESRQMLASFISSTPLLSDSWRLCNQANAAPFRTFVADRVGASVYVAFSGVQMPGASDPNWRDLVPLHSIGGVPLFSPRRSREAEEPVMVHAAMLNLFLSLFNSFQNQMLEIVGNKDTKSVVITGHSIGGATASLCTLWLLSYLQSISSSSVSILCITFGAPLLGNEAFSQSIFRERWGGNFCHVVSKHDIMPRLLFAPITFLTTQLNSLLQFWHFSMTSEDFGKLANQISEKEKANLFNAVMDYLEAASQEEGETSVPILFHPFGNYFFVTEEGAVCVDSPSAIIKMMHLMLATGSPVSSIEDHLKYGYYVNKLSSQTLNQEISMQRNIPDSSYEAGLELAIQSSGIANQESAITSAKECLKKTRRMGPSPNLNAATLAVSLSKVVPLRAQIEWYKNWCEEQDDQIGYYDSFKSRDSSSSKRDMKININRCKLARFWNNVIDMLERGELPHDFDKRAKWVNASHFYKLLVEPLDIAEYYGKGMHRAKGHHYMQHGRERRYEIFDRWWKDRTVTTAAEENKERSKFASLTQDSCFWARVEEARDWLNCVRSERDANKLALLWDKIENFEKYAMNLVENKEVSCDVLFKNSSYSIWVEDLRELKQLKVKVQRLPHQFTGFLDGEVVP >Vigun01g203400.1.v1.2 pep primary_assembly:ASM411807v1:1:37960909:37962613:1 gene:Vigun01g203400.v1.2 transcript:Vigun01g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDETMTFLSSLHEEDFDDDDDDDGFDDHHLRQPHPHNLSRLSVCTSSTFGVDDNDDDVNNLASMCVSQLSIESFDADGELSDGKELSSDSENESGSCYSLPSTPPQRRKEVKEYASDNGGEGKGTKKNDPRRRKRRMRRERWGFEFEEVEKSKRKEEEHVGQGLSGESDQSGVMVITRPKGGKRSLCMDLEEVKACRDLGFELEHERMLEIPSRLSFSNSTFETSSGSNSPIANWRISAPGDDPRDVKARLKVWAQAVALASASKYST >Vigun09g179000.1.v1.2 pep primary_assembly:ASM411807v1:9:35129141:35130279:-1 gene:Vigun09g179000.v1.2 transcript:Vigun09g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALPLGSLIAITMLLPTLATVHTVGDSSGWAIGADYSSWTGDKTFAVGDTLVFNYGAGHTVDEVKESDYKSCSAGNSISTDSSGATTIALKTAGTHYFICSVPGHCSGGMKLAVTVKAGKDTTPSTGKASPSDGTATTPTSTTTTTTTAARSNSASTSGVSSIVAMLIVSWISFFGLRMA >Vigun01g135800.1.v1.2 pep primary_assembly:ASM411807v1:1:31567798:31570833:-1 gene:Vigun01g135800.v1.2 transcript:Vigun01g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPKKDIEVAPALIAVHPDQCSVAVAVGHHLRVFDLLAGSAVSLTDDSGASPFHTDNIRAIRFGAKGKLFVSAGDDKTVKVWSTQSWRCITSVSSEKRVSAVAISDDGTYVCFADKFGLVWIVDLDPPLHDKKPAPLLSHYCSIITSLEFSPDGRFILSADRDFKIRVTCFPKNPLNGAHQIQSFCLGHTEFVSCLAFVQAQECPQGLLLSGSGDATVRLWNVESGALLDTCEVATKAGLLESDGKAEDHDHAVTDLCTVLDGLLVAVAIQSLQGIILLSCNVSAKTLSVSKVVSIGGEAFVPTCLASPSTGTLWMVTGVSTLPGCNYPALARVRVISGIDVEQEPVIVGDDSIPGGEKLLETLQGTASVDDNAFLAAAEAVKTAMGHLLIKKQYPSENRESRKKCRNDRKLKG >Vigun09g101101.1.v1.2 pep primary_assembly:ASM411807v1:9:16939773:16941239:-1 gene:Vigun09g101101.v1.2 transcript:Vigun09g101101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAWVEAVADIRHWVEANKRYEEGRNLTYAEFPTKFVWIDGYSIGRLTYVPPGSGECYYMRILLTKQKGCINHDSIKIINGKTFSTYQEACQELGLLANDKEFIDAIKEASHLAYGN >Vigun11g086300.2.v1.2 pep primary_assembly:ASM411807v1:11:25891728:25892782:1 gene:Vigun11g086300.v1.2 transcript:Vigun11g086300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHDLQVQDALNYAIKTIQQKSNSLLSYVLHEVVDAKAEVV >Vigun07g004600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:378034:378573:1 gene:Vigun07g004600.v1.2 transcript:Vigun07g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHFYNDVLNHAQFIGLCNNTSSKGCKLCNQVFTSTQSLIIHLESHMAQEEIAIKRLYSLPQHVNSQKQLGSQFAPQPTMGDARVFQAQSQPIMEITHSIRRNSFSNAIQIGSSSIPFRRMQVPSQSFHYDASINDDGSKAYILKLEKPIKKIDFIDLVDIDDDNNSEVEEVDLNLKL >Vigun02g002800.2.v1.2 pep primary_assembly:ASM411807v1:2:1462988:1465482:1 gene:Vigun02g002800.v1.2 transcript:Vigun02g002800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSDLQIHINDEETFVLDKKFISKYCGRMKKMLNHEKRMCHSKTLSIEINDFPGGSEGFELVLRFCYNNGKISITVSNVLLLHCCALFLGMTEEVFSSNLLQQTEIFLRGIYYWTWNELIVSLKNCELFHTYADNCGLLENIIGALLAKMDQNFEGNLFISSSSSSPSSPESNYAKTFSCSTQDTLKTVKSSLANKEWWFEDLATLPPKIIEKILQIIGAYKTDNNNPILTRFLLHYLKKVTQTEEINCHNSVDYAGLAETAVCGITFAGNKSFSCRVLFWVLRIVSRFGMRRDCRIQIEKLIGGVLDQATLDDLLVSGHHMGLSYDVTSVARLIKQFVYINGSDGEECAEKLKKLQNLYLVLLGTASMEFTEPLTFIFSLIQCWHLRKGQDCADA >Vigun02g002800.3.v1.2 pep primary_assembly:ASM411807v1:2:1462924:1465482:1 gene:Vigun02g002800.v1.2 transcript:Vigun02g002800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSDLQIHINDEETFVLDKKFISKYCGRMKKMLNHEKRMCHSKTLSIEINDFPGGSEGFELVLRFCYNNGKISITVSNVLLLHCCALFLGMTEEVFSSNLLQQTEIFLRGIYYWTWNELIVSLKNCELFHTYADNCGLLENIIGALLAKMDQNFEGNLFISSSSSSPSSPESNYAKTFSCSTQDTLKTVKSSLANKEWWFEDLATLPPKIIEKILQIIGAYKTDNNNPILTRFLLHYLKKVTQTEEINCHNSVDYAGLAETAVCGITFAGNKSFSCRVLFWVLRIVSRFGMRRDCRIQIEKLIGGVLDQATLDDLLVSGHHMGLSYDVTSVARLIKQFVYINGSDGEECAEKLKKVGRLVDKYLIEISPDPNLKVTNFLAVAESLPGSARNCFDGVYRAIDIYLQSHPMLAFEERSRLCRCLNYNKLSFEICKDIAKNPRIPPIIAMQALISQQPNVPSSDLEIQESEIILCNDKKDSFLEEKEDMRQNLQRMELRVKELEILCKEMKIHMSKFH >Vigun02g002800.1.v1.2 pep primary_assembly:ASM411807v1:2:1462986:1465482:1 gene:Vigun02g002800.v1.2 transcript:Vigun02g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSDLQIHINDEETFVLDKKFISKYCGRMKKMLNHEKRMCHSKTLSIEINDFPGGSEGFELVLRFCYNNGKISITVSNVLLLHCCALFLGMTEEVFSSNLLQQTEIFLRGIYYWTWNELIVSLKNCELFHTYADNCGLLENIIGALLAKMDQNFEGNLFISSSSSSPSSPESNYAKTFSCSTQDTLKTVKSSLANKEWWFEDLATLPPKIIEKILQIIGAYKTDNNNPILTRFLLHYLKKVTQTEEINCHNSVDYAGLAETAVCGITFAGNKSFSCRVLFWVLRIVSRFGMRRDCRIQIEKLIGGVLDQATLDDLLVSGHHMGLSYDVTSVARLIKQFVYINGSDGEECAEKLKKVGRLVDKYLIEISPDPNLKVTNFLAVAESLPGSARNCFDGVYRAIDIYLQSHPMLAFEERSRLCRCLNYNKLSFEICKDIAKNPRIPPIIAMQALISQQPNVPSSDLEIQESEIILCNDKKDSFLEEKEDMRQNLQRMELRVKELEILCKEMKIHMSKFH >Vigun04g055000.1.v1.2 pep primary_assembly:ASM411807v1:4:5358477:5360190:-1 gene:Vigun04g055000.v1.2 transcript:Vigun04g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAHCLVLAYPAQGHINPMLQFSKLLERQGVRITLVTTRFYYNNLQTVPPSIALETISDGFDNGGPKEAGGFKPYLERFWEVGPLTFSELLEKHGGSKHVDCVVYDSFFSWAPEVAKRFGIVGAAYLTQNMAVNSIYYHVHLGKLQVPLKQHQFSLPAMPKLYLQDMPSFFFGEDFTFLDFVVSQFSSIHKADWILCNTFYDLDKEIADWIVKVWPQFKTIGPNIPSFFLDKQWEDDQDYGVTEFKSEEHCMEWLDEKPKGSVVYVSFGSMASFGEEQMEEIAWCLRECSSYFLWVVRASEETKLPKDFERKTEKGLVVKWCSQLKVLAHEAVGCFVTHCGWNSTLETLCLGVPTVAVPYWSDQNTNAKLVSEVWKTGTRAVVDEKKVVRRETLKHCINEIMNGCREMKNNAIQWRSLAVRAVTEGGSSYENIKEFVNNLWHS >Vigun01g147100.1.v1.2 pep primary_assembly:ASM411807v1:1:32874260:32875148:1 gene:Vigun01g147100.v1.2 transcript:Vigun01g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFHSLTFSSSLSKTLHHSHSSSVSSIIFHHSSTHSSFNGQSVNLPRLRLSKLKQNPPKHFFVMMMAKPTMQFIRGTDEQTIPDVRLTTSRDGTNGTAIFNFDQPSVFDSFREVADITGLYMIDEEGVLQSVDVSAKFVNGKPSGIEAKYVMRTPKDWDRFMRFMERYSDANGLQFIQK >Vigun03g330300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52749262:52751780:-1 gene:Vigun03g330300.v1.2 transcript:Vigun03g330300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKSKNEKSLCEKSMKVVVNIIKLSSFSIAQKSLGGTSKKSGPESDMDSDEEAPVPDQFPTTRRSQQPQSRANPTYVIKSCGSNGSTEHLIYQERVPTDVNPNKEQCVDGLASDYISKIRNKLGRGV >Vigun06g156900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28034481:28035128:-1 gene:Vigun06g156900.v1.2 transcript:Vigun06g156900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGVVLQESLQREPRTILGLLRDQMDGVEGGARRRKTFKERFGFIGIGCCGATWGFRSDALSFSQGEQQQQHQQQANQQETDPGQGSGLDCVDHGAAGSGMNLAAALAAERQIRGPQEEVARAPGTPWRVSLMRLLEESEAGESAAVEKEKEEEKGGVVGNDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >Vigun08g173100.1.v1.2 pep primary_assembly:ASM411807v1:8:34383984:34384850:1 gene:Vigun08g173100.v1.2 transcript:Vigun08g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMTFSIFKLVLSSFLLCTFLQEPTNALRKTYIVYLGGHSHGPDPSPTDLETATNSHHDLLASVLGSDENAKEAIMYSYNKHINGFAALLEEEEAAEICSEYVRNLKF >Vigun02g172100.1.v1.2 pep primary_assembly:ASM411807v1:2:31485602:31491496:1 gene:Vigun02g172100.v1.2 transcript:Vigun02g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSLGMMGGLSGEVSGDHHRQLKAEIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLSHSHHLIRSYVSHHTHSLSPNDRQELDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACRDIENTLQALTGVSLGEGTGATMSDDEDELQMDFSLDQSSAEGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >Vigun03g250900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:41686797:41689352:1 gene:Vigun03g250900.v1.2 transcript:Vigun03g250900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHNYVLAVLVLATIQFKAIAGSHDHLQHLKSLHFSLFQHETINKTGYIIVEGIKGGAGVSQTTTPFGTLFAFQDPLTVAANRSSKLVGISEGTTVTSSLDGLRSISIAKLTLRLKHHKGSLSVVGVTDNVKSSNLPVVGGTEDFLFVQGYVTSSPVDLTGLTVTYKIQFHLYWPPYATPSS >Vigun05g107200.2.v1.2 pep primary_assembly:ASM411807v1:5:10922418:10928649:1 gene:Vigun05g107200.v1.2 transcript:Vigun05g107200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKRRMKSVVRLCFLIFLLLEAMWCCEGCWKEEREALLGINPLWDSSYFTPNVHTDCCEWDGVYCNSSTGRVAQLHLSYNYWNSDSEQYINYSEFSVLKDLKNLSLFGNIVGCVGGKDLPNLQLLDLTGNTLDTAALLSCLDGLPSLKSLSLRHNSFNTSSLNHVFESVSPKLHSNLEVLDISGNYLSNDILASLEGFTSLKELNLAETQLDSDLNIQGLCSRLRNLEILDLSYNNFNHTDIGSALSGLSSLNSLYLINSQLSWRSIYNISKLSSLEKLHLGSNNLNESGSILRPFKENDTFKWPTNLQRLSLWSNGLSNRFVSFLRGLPHLQFLDLSHNQLEGSLDISGLSTLSNLTKLYLGDNDIHNFVAHQGPISLSRLDFLDLDWNMIDGDKLRESLRALSSSIRELSISANYLKGTIVADDFHDLRKLERLTLDGNNNMKNEFFKSIGNLTSLKTLSLSHCHINDTLPTADWSKMKKLEELYLIDNGFEGFLPDSFVNMTSLRKLELSQNNFIGRFDSKIATLTSLEEFGFIENQFEVPISFSSFVNHSNLIAIRGKGNKIILDSQHNLHTWIPKFQLRKLSLSSSIGTSSFQLPKFLLYQKDLIILEFTSLKLEGSFPHWLLENNTKLIEVTFRNCSLTGTMQLPLRPLLGLWNIDVSNNIIIGEIPRKNISSIFPNLENLNLSRNLIQGSIPREFGQMKLLTELDLSINQLSGEISDDIFEDGQQLTTLIFSNNKLEGSIFTIPANLKYLSLNDNNFSGKLPRRIFNTSIISLDISNNHFVGHIPRILTNFSGLSEFRMSNNHFQGFIPLELAQQLTDLSYLDLSQNNLTGLVPSFLNSSVKSIHLSNNHLIGLSKQMFNGNSPLVMLDLSYNEISGNIQDLTQDLSYTKLNFLLLKGNHINGDIPKQLCQLIYLTMLDLSDNKFSGEIPHCLGTMPFDNKNLDPLLKASKGSFIIEEYSQAPLASEYKKEKASFTSKRSTYTYIGSILIYMSGINLSQNKLKGNIPYELGNLTRIKTLNLSHNDLSGQIPNSFSNLEQIESLDLSFNKLNGQIPPKLNILISLEVLSVAHNNLSGPIPEWTNQFATFDESSYEGNPFLCGPPLLKSCNLSSTIIPNETNTHKGNDSLLDIYVFCMSFVVSYPLALIATIGALYINPYLRQAWFYYMELVSLNGYYFIVDNFCRLCSVRNI >Vigun05g107200.3.v1.2 pep primary_assembly:ASM411807v1:5:10922418:10928649:1 gene:Vigun05g107200.v1.2 transcript:Vigun05g107200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKRRMKSVVRLCFLIFLLLEAMWCCEGCWKEEREALLGINPLWDSSYFTPNVHTDCCEWDGVYCNSSTGRVAQLHLSYNYWNSDSEQYINYSEFSVLKDLKNLSLFGNIVGCVGGKDLPNLQLLDLTGNTLDTAALLSCLDGLPSLKSLSLRHNSFNTSSLNHVFESVSPKLHSNLEVLDISGNYLSNDILASLEGFTSLKELNLAETQLDSDLNIQGLCSRLRNLEILDLSYNNFNHTDIGSALSGLSSLNSLYLINSQLSWRSIYNISKLSSLEKLHLGSNNLNESGSILRPFKENDTFKWPTNLQRLSLWSNGLSNRFVSFLRGLPHLQFLDLSHNQLEGSLDISENDTFKWPTNLQHLDLSLNRLNNRFVSSLRGLSHLQFLDLSHNRLEGVLDVTGLSTLSNLTKLYLGDNDIHNFVAHQDFHDLRKLERLTLDGNNNMKNEFFKSIGNLTSLKTLSLSHCHINDTLPTADWSKMKKLEELYLIDNGFEGFLPDSFVNMTSLRKLELSQNNFIGRFDSKIATLTSLEEFGFIENQFEVPISFSSFVNHSNLIAIRGKGNKIILDSQHNLHTWIPKFQLRKLSLSSSIGTSSFQLPKFLLYQKDLIILEFTSLKLEGSFPHWLLENNTKLIEVTFRNCSLTGTMQLPLRPLLGLWNIDVSNNIIIGEIPRKNISSIFPNLENLNLSRNLIQGSIPREFGQMKLLTELDLSINQLSGEISDDIFEDGQQLTTLIFSNNKLEGSIFTIPANLKYLSLNDNNFSGKLPRRIFNTSIISLDISNNHFVGHIPRILTNFSGLSEFRMSNNHFQGFIPLELAQQLTDLSYLDLSQNNLTGLVPSFLNSSVKSIHLSNNHLIGLSKQMFNGNSPLVMLDLSYNEISGNIQDLTQDLSYTKLNFLLLKGNHINGDIPKQLCQLIYLTMLDLSDNKFSGEIPHCLGTMPFDNKNLDPLLKASKGSFIIEEYSQAPLASEYKKEKASFTSKRSTYTYIGSILIYMSGINLSQNKLKGNIPYELGNLTRIKTLNLSHNDLSGQIPNSFSNLEQIESLDLSFNKLNGQIPPKLNILISLEVLSVAHNNLSGPIPEWTNQFATFDESSYEGNPFLCGPPLLKSCNLSSTIIPNETNTHKGNDSLLDIYVFCMSFVVSYPLALIATIGALYINPYLRQAWFYYMELVSLNGYYFIVDNFCRLCSVRNI >Vigun05g107200.4.v1.2 pep primary_assembly:ASM411807v1:5:10922418:10928649:1 gene:Vigun05g107200.v1.2 transcript:Vigun05g107200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKRRMKSVVRLCFLIFLLLEAMWCCEGCWKEEREALLGINPLWDSSYFTPNVHTDCCEWDGVYCNSSTGRVAQLHLSYNYWNSDSEQYINYSEFSVLKDLKNLSLFGNIVGCVGGKDLPNLQLLDLTGNTLDTAALLSCLDGLPSLKSLSLRHNSFNTSSLNHVFESVSPKLHSNLEVLDISGNYLSNDILASLEGFTSLKELNLAETQLDSDLNIQGLCSRLRNLEILDLSYNNFNHTDIGSALSGLSSLNSLYLINSQLSWRSIYNISKLSSLEKLHLGSNNLNESGSILRPFKENDTFKWPTNLQRLSLWSNGLSNRFVSFLRGLPHLQFLDLSHNQLEGSLDISGLSTLSNLTKLYLGDNDIHNFVAHQDFHDLRKLERLTLDGNNNMKNEFFKSIGNLTSLKTLSLSHCHINDTLPTADWSKMKKLEELYLIDNGFEGFLPDSFVNMTSLRKLELSQNNFIGRFDSKIATLTSLEEFGFIENQFEVPISFSSFVNHSNLIAIRGKGNKIILDSQHNLHTWIPKFQLRKLSLSSSIGTSSFQLPKFLLYQKDLIILEFTSLKLEGSFPHWLLENNTKLIEVTFRNCSLTGTMQLPLRPLLGLWNIDVSNNIIIGEIPRKNISSIFPNLENLNLSRNLIQGSIPREFGQMKLLTELDLSINQLSGEISDDIFEDGQQLTTLIFSNNKLEGSIFTIPANLKYLSLNDNNFSGKLPRRIFNTSIISLDISNNHFVGHIPRILTNFSGLSEFRMSNNHFQGFIPLELAQQLTDLSYLDLSQNNLTGLVPSFLNSSVKSIHLSNNHLIGLSKQMFNGNSPLVMLDLSYNEISGNIQDLTQDLSYTKLNFLLLKGNHINGDIPKQLCQLIYLTMLDLSDNKFSGEIPHCLGTMPFDNKNLDPLLKASKGSFIIEEYSQAPLASEYKKEKASFTSKRSTYTYIGSILIYMSGINLSQNKLKGNIPYELGNLTRIKTLNLSHNDLSGQIPNSFSNLEQIESLDLSFNKLNGQIPPKLNILISLEVLSVAHNNLSGPIPEWTNQFATFDESSYEGNPFLCGPPLLKSCNLSSTIIPNETNTHKGNDSLLDIYVFCMSFVVSYPLALIATIGALYINPYLRQAWFYYMELVSLNGYYFIVDNFCRLCSVRNI >Vigun05g107200.1.v1.2 pep primary_assembly:ASM411807v1:5:10922418:10928649:1 gene:Vigun05g107200.v1.2 transcript:Vigun05g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKRRMKSVVRLCFLIFLLLEAMWCCEGCWKEEREALLGINPLWDSSYFTPNVHTDCCEWDGVYCNSSTGRVAQLHLSYNYWNSDSEQYINYSEFSVLKDLKNLSLFGNIVGCVGGKDLPNLQLLDLTGNTLDTAALLSCLDGLPSLKSLSLRHNSFNTSSLNHVFESVSPKLHSNLEVLDISGNYLSNDILASLEGFTSLKELNLAETQLDSDLNIQGLCSRLRNLEILDLSYNNFNHTDIGSALSGLSSLNSLYLINSQLSWRSIYNISKLSSLEKLHLGSNNLNESGSILRPFKENDTFKWPTNLQRLSLWSNGLSNRFVSFLRGLPHLQFLDLSHNQLEGSLDISENDTFKWPTNLQHLDLSLNRLNNRFVSSLRGLSHLQFLDLSHNRLEGVLDVTGLSTLSNLTKLYLGDNDIHNFVAHQGPISLSRLDFLDLDWNMIDGDKLRESLRALSSSIRELSISANYLKGTIVADDFHDLRKLERLTLDGNNNMKNEFFKSIGNLTSLKTLSLSHCHINDTLPTADWSKMKKLEELYLIDNGFEGFLPDSFVNMTSLRKLELSQNNFIGRFDSKIATLTSLEEFGFIENQFEVPISFSSFVNHSNLIAIRGKGNKIILDSQHNLHTWIPKFQLRKLSLSSSIGTSSFQLPKFLLYQKDLIILEFTSLKLEGSFPHWLLENNTKLIEVTFRNCSLTGTMQLPLRPLLGLWNIDVSNNIIIGEIPRKNISSIFPNLENLNLSRNLIQGSIPREFGQMKLLTELDLSINQLSGEISDDIFEDGQQLTTLIFSNNKLEGSIFTIPANLKYLSLNDNNFSGKLPRRIFNTSIISLDISNNHFVGHIPRILTNFSGLSEFRMSNNHFQGFIPLELAQQLTDLSYLDLSQNNLTGLVPSFLNSSVKSIHLSNNHLIGLSKQMFNGNSPLVMLDLSYNEISGNIQDLTQDLSYTKLNFLLLKGNHINGDIPKQLCQLIYLTMLDLSDNKFSGEIPHCLGTMPFDNKNLDPLLKASKGSFIIEEYSQAPLASEYKKEKASFTSKRSTYTYIGSILIYMSGINLSQNKLKGNIPYELGNLTRIKTLNLSHNDLSGQIPNSFSNLEQIESLDLSFNKLNGQIPPKLNILISLEVLSVAHNNLSGPIPEWTNQFATFDESSYEGNPFLCGPPLLKSCNLSSTIIPNETNTHKGNDSLLDIYVFCMSFVVSYPLALIATIGALYINPYLRQAWFYYMELVSLNGYYFIVDNFCRLCSVRNI >Vigun07g249900.1.v1.2 pep primary_assembly:ASM411807v1:7:36925736:36928143:-1 gene:Vigun07g249900.v1.2 transcript:Vigun07g249900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFGRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGVEEDEEY >Vigun01g243800.2.v1.2 pep primary_assembly:ASM411807v1:1:41262290:41266795:-1 gene:Vigun01g243800.v1.2 transcript:Vigun01g243800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSACLVRSFSSPADTRYEGNPIPALGESISFGRFMTENLDWEKWSTFTQNRYVEEAERYSKPGSVAAKKAYFEAHYKRKAAERAAALIQEANANLQANGTFELEAQQRNHADSSSETSSSVQHVVAANEQPDEETVNCQVVECADGDQRKCDAGQSDLDISNVEGVVVDVAHPLVNANINLGSFTTMDNSNQFDHVEDNTNTGPVEEKMLEHGAAGPEGKREANSSPELSTKTVSSKCFPLDERKVAAASVSSRSGINSGPKGKKSVGDFVEKNKLIAHSVHSSINLSSGTGETRKRAAAAIQSRNGLSTFSTNKKSVGGMVEKKRIGSPLLKMSINLPSGAVMPNNTATAATKPRNGTNFIAKVIKSVGNSVGKRPTSRSLHMSINLPSGAAETSKTASVFDQNIIKKVHSNLPKNNPLAMRASTEASRGLQDQGSANLLSQSGRTERLLNKSVSGGVTVNAKPSSSISFGFLKSSSTNKSNLQSATVYLPFKFRSEERAVKRREFLQRMDETKSKEEEKVKLQRTLKGKTVLDHKKLGQSSASLSKQNEDKIGGSRSPSNRIRKTSPTLPRSPKLVRKASSSSSTVQDKSLGNASKPPISTNNSKPTTEKINRTTRPVNSLSSTTRENASPNIQH >Vigun01g243800.3.v1.2 pep primary_assembly:ASM411807v1:1:41262290:41266797:-1 gene:Vigun01g243800.v1.2 transcript:Vigun01g243800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLDWEKWSTFTQNRYVEEAERYSKPGSVAAKKAYFEAHYKRKAAERAAALIQEANANLQANGTFELEAQQRNHADSSSETSSSVQHVVAANEQPDEETVNCQVVECADGDQRKCDAGQSDLDISNVEGVVVDVAHPLVNANINLGSFTTMDNSNQFDHVEDNTNTGPVEEKMLEHGAAGPEGKREANSSPELSTKTVSSKCFPLDERKVAAASVSSRSGINSGPKGKKSVGDFVEKNKLIAHSVHSSINLSSGTGETRKRAAAAIQSRNGLSTFSTNKKSVGGMVEKKRIGSPLLKMSINLPSGAVMPNNTATAATKPRNGTNFIAKVIKSVGNSVGKRPTSRSLHMSINLPSGAAETSKTASVFDQNIIKKVHSNLPKNNPLAMRASTEASRGLQDQGSANLLSQSGRTERLLNKSVSGGVTVNAKPSSSISFGFLKSSSTNKSNLQSATVYLPFKFRSEERAVKRREFLQRMDETKSKEEEKVKLQRTLKGKTVLDHKKLGQSSASLSKQNEDKIGGSRSPSNRIRKTSPTLPRSPKLVRKASSSSSTVQDKSLGNASKPPISTNNSKPTTEKINRTTRPVNSLSSTTRENASPNIQH >Vigun01g243800.1.v1.2 pep primary_assembly:ASM411807v1:1:41262290:41266795:-1 gene:Vigun01g243800.v1.2 transcript:Vigun01g243800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSACLVRSFSSPADTRYESHVVFLEETDHPWFSTSMGNPIPALGESISFGRFMTENLDWEKWSTFTQNRYVEEAERYSKPGSVAAKKAYFEAHYKRKAAERAAALIQEANANLQANGTFELEAQQRNHADSSSETSSSVQHVVAANEQPDEETVNCQVVECADGDQRKCDAGQSDLDISNVEGVVVDVAHPLVNANINLGSFTTMDNSNQFDHVEDNTNTGPVEEKMLEHGAAGPEGKREANSSPELSTKTVSSKCFPLDERKVAAASVSSRSGINSGPKGKKSVGDFVEKNKLIAHSVHSSINLSSGTGETRKRAAAAIQSRNGLSTFSTNKKSVGGMVEKKRIGSPLLKMSINLPSGAVMPNNTATAATKPRNGTNFIAKVIKSVGNSVGKRPTSRSLHMSINLPSGAAETSKTASVFDQNIIKKVHSNLPKNNPLAMRASTEASRGLQDQGSANLLSQSGRTERLLNKSVSGGVTVNAKPSSSISFGFLKSSSTNKSNLQSATVYLPFKFRSEERAVKRREFLQRMDETKSKEEEKVKLQRTLKGKTVLDHKKLGQSSASLSKQNEDKIGGSRSPSNRIRKTSPTLPRSPKLVRKASSSSSTVQDKSLGNASKPPISTNNSKPTTEKINRTTRPVNSLSSTTRENASPNIQH >Vigun03g075200.5.v1.2 pep primary_assembly:ASM411807v1:3:6248832:6252981:1 gene:Vigun03g075200.v1.2 transcript:Vigun03g075200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETVTLVLVNLASIMQRADESLLPGVYKEVGQDLNADPTALGSLTLFRSLVQSLCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTVDNNRGMAFGWLQLTGNLGSIIGGLFSVLIASSTVAGIPGWRIAFHLVALISVIVGISVRLFANDPHYSKSDHTATNGASNKSFCSDMKDLMKEAKSVIRIPTFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHVTTATLWTLFIVAGSFGSLFGGWMGDFLSQKLPNSGRIVLSQISAGSAIPLAAILLLGLPDDSSTAFMHGLVLVIMGFTTAWNAPATNKNSS >Vigun03g075200.4.v1.2 pep primary_assembly:ASM411807v1:3:6248832:6252981:1 gene:Vigun03g075200.v1.2 transcript:Vigun03g075200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQVAISRGLNGIGLAIVIPAIQSLVADSTVDNNRGMAFGWLQLTGNLGSIIGGLFSVLIASSTVAGIPGWRIAFHLVALISVIVGISVRLFANDPHYSKSDHTATNGASNKSFCSDMKDLMKEAKSVIRIPTFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHVTTATLWTLFIVAGSFGSLFGGWMGDFLSQKLPNSGRIVLSQISAGSAIPLAAILLLGLPDDSSTAFMHGLVLVIMGFTTAWNAPATNNPIFAEIVPEKSRTAIYALDCSFESILASFAPPIVGVLAQRVYGYRPIPRGSSDSVGIQTDRENAASLAKALYTAILIPMIICVSVYSLLYFTYPRDRERARMVSLVDSEMLHLQLEDATKEQHYQVTDLESNWVNDDKESSKFHIDYPREESVEVDDDDKKVLLS >Vigun03g075200.1.v1.2 pep primary_assembly:ASM411807v1:3:6248832:6252981:1 gene:Vigun03g075200.v1.2 transcript:Vigun03g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETVTLVLVNLASIMQRADESLLPGVYKEVGQDLNADPTALGSLTLFRSLVQSLCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTVDNNRGMAFGWLQLTGNLGSIIGGLFSVLIASSTVAGIPGWRIAFHLVALISVIVGISVRLFANDPHYSKSDHTATNGASNKSFCSDMKDLMKEAKSVIRIPTFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHVTTATLWTLFIVAGSFGSLFGGWMGDFLSQKLPNSGRIVLSQISAGSAIPLAAILLLGLPDDSSTAFMHGLVLVIMGFTTAWNAPATNNPIFAEIVPEKSRTAIYALDCSFESILASFAPPIVGVLAQRVYGYRPIPRGSSDSVGIQTDRENAASLAKALYTAILIPMIICVSVYSLLYFTYPRDRERARMVSLVDSEMLHLQLEDATKEQHYQVTDLESNWVNDDKESSKFHIDYPREESVEVDDDDKKVLLS >Vigun03g075200.3.v1.2 pep primary_assembly:ASM411807v1:3:6251005:6252981:1 gene:Vigun03g075200.v1.2 transcript:Vigun03g075200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFPNNLVLQDMDDQVAISRGLNGIGLAIVIPAIQSLVADSTVDNNRGMAFGWLQLTGNLGSIIGGLFSVLIASSTVAGIPGWRIAFHLVALISVIVGISVRLFANDPHYSKSDHTATNGASNKSFCSDMKDLMKEAKSVIRIPTFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHVTTATLWTLFIVAGSFGSLFGGWMGDFLSQKLPNSGRIVLSQISAGSAIPLAAILLLGLPDDSSTAFMHGLVLVIMGFTTAWNAPATNNPIFAEIVPEKSRTAIYALDCSFESILASFAPPIVGVLAQRVYGYRPIPRGSSDSVGIQTDRENAASLAKALYTAILIPMIICVSVYSLLYFTYPRDRERARMVSLVDSEMLHLQLEDATKEQHYQVTDLESNWVNDDKESSKFHIDYPREESVEVDDDDKKVLLS >Vigun03g075200.2.v1.2 pep primary_assembly:ASM411807v1:3:6248832:6252981:1 gene:Vigun03g075200.v1.2 transcript:Vigun03g075200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETVTLVLVNLASIMQRADESLLPGVYKEVGQDLNADPTALGSLTLFRSLVQSLCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTVDNNRGMAFGWLQLTGNLGSIIGGLFSVLIASSTVAGIPGWRIAFHLVALISVIVGISVRLFANDPHYSKSDHTATNGASNKSFCSDMKDLMKEAKSVIRIPTFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHVTTATLWTLFIVAGSFGSLFGGWMGDFLSQKLPNSGRIVLSQISAGSAIPLAAILLLGLPDDSSTAFMHGLVLVIMGFTTAWNAPATNKPIPRGSSDSVGIQTDRENAASLAKALYTAILIPMIICVSVYSLLYFTYPRDRERARMVSLVDSEMLHLQLEDATKEQHYQVTDLESNWVNDDKESSKFHIDYPREESVEVDDDDKKVLLS >Vigun04g150600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36669771:36670226:1 gene:Vigun04g150600.v1.2 transcript:Vigun04g150600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLCANDCGFYGSAATENLCSKCYKDYLTKVKCCKSVECETKSKSFHLENLSSSSSCISESESSTIDNMISRSVTNSKTTSEKNERKRCKSCRKKMGLLGFQCRCGDVFCGIHRYPEMHACEIDLKKIGREVLIQQNPLCVSDKLKYRI >Vigun04g003300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:235005:236384:1 gene:Vigun04g003300.v1.2 transcript:Vigun04g003300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLHASMAAVGSISRAANSVVFTHSSTSVPICTYAVPDSSRRRNGNNTSRSAYKTPPLRKGNHPIEPKSKLDQQNQNASLPLNVDLVALCEEGKHDQVVELMGQGAAADYRVYLALLNLCERTKSLELGKRVHEFLRRSTFRGDVELGNRLIGMYSKCGSVKDARRVFDQMQERNTASWHLMIGGYTANGLACDGLLAFQKMKQAGVPFDGETFELVLAACAQAEAVEEGLIHLESMKENGIVPRMEHYLEVINILGNAGRLNEAEEFIEKMPIEVGAEAWESLRNFARIHGNLDLEDRAEELLKYLDPSKTITDKLPMPPRKKQHDINMLEEKNRVAEYRYSIPYKEETHEKLGGLSGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSERLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >Vigun04g125600.2.v1.2 pep primary_assembly:ASM411807v1:4:31777107:31784836:-1 gene:Vigun04g125600.v1.2 transcript:Vigun04g125600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTLHFHIQLHLSIVPLAMVLLKIWRQTAFSVFGYLNFTKAGFLDHSKNFKPEDMEVQIPGKNCIVTGANSGIGYATAEGLAKRGATVYLVCRNKEKGEAALSEIQTRTGNQNVHLEICDLSSVNEIKSFTSRFSKRNVPVHVLINNAGILEQNRVTTPEGFELNFAVNVLGTYTMTELMVPLLEKASPDARVITVSSGGMYTSPLTKDLQYSESNFNGVVQYARNKRVQVVDLQKNICMLT >Vigun04g125600.1.v1.2 pep primary_assembly:ASM411807v1:4:31777107:31784836:-1 gene:Vigun04g125600.v1.2 transcript:Vigun04g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTLHFHIQLHLSIVPLAMVLLKIWRQTAFSVFGYLNFTKAGFLDHSKNFKPEDMEVQIPGKNCIVTGANSGIGYATAEGLAKRGATVYLVCRNKEKGEAALSEIQTRTGNQNVHLEICDLSSVNEIKSFTSRFSKRNVPVHVLINNAGILEQNRVTTPEGFELNFAVNVLGTYTMTELMVPLLEKASPDARVITVSSGGMYTSPLTKDLQYSESNFNGVVQYARNKRVQIALTEKWAKIYENKGIGFYSMHPGWAETPGVAKSLPSFSERFSGKLRTSEEGADTVIWLTLQPKEKLVSGAFYFDRAEAPKHLTFSGTSDSHAIIDSVVESLHSMASLFG >Vigun04g010900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:821004:822492:1 gene:Vigun04g010900.v1.2 transcript:Vigun04g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIRRVGLLSTAGAGEDEPFHYQLGRKVSIDLSGRYFEVNRSGFWSEKWTMSYTVDLSTCTITITRSGKDEGAKALLIQLFTVIPSETGSSEKYVFDLDVHTVTESDALINASLSSKLCGRGSLTRFEPTDQIHPGSSVTETLLCLFGAGDKGGLNVLEMKKKIKEEQPYAVTLAHYFAITSAGIIDFSRTKTDAGLSVVVKIRASDGNLDITVEGPDQHPGFGLRYLFGEAVRTKIWKPTLCPHCANIQKQRSTMIWQSESDDSESVPVARRHGGGQKILRTVNNGGRFNGNGNGNFIENKYMFFGKRWW >Vigun10g100700.1.v1.2 pep primary_assembly:ASM411807v1:10:29370158:29373484:1 gene:Vigun10g100700.v1.2 transcript:Vigun10g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQQVLHLPPQKLFFSCSPFNVRKIPSFVNCKNGYAYSYCTVNALTLDELPPNALRRKREPQWRGGFSLGLDLGLARTGLALSKGFSIRPLTVLELRGQKLEVQIINIAEQEEVDEFIIGLPKSADGKETPQSNKVRSVAGRLAVQAAERGWRVYLQDEHGTTTEAMDRMVNMGLSKSSQQKKLDAFAAMMVLERYFSTSGQGTELVLPKNLELQAKLQRGPPKDVDFFSDED >Vigun07g125050.1.v1.2 pep primary_assembly:ASM411807v1:7:23130992:23132378:1 gene:Vigun07g125050.v1.2 transcript:Vigun07g125050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTIRNVFLLRHVRLTCLSQLVFFSLLWHDPLVSTPSTFSSHQGDPFLGQVRADHLTKQAKDIPVTIKPNGYKFMPHCVTFFWFSIDVKLQPQYHTFTTCYDVWNKAKRVYSNDVHRLYNVVFNFIIVKLENNDIQRYLGKLNCLIVDFESLIPFSNDADQDVERDKFFMVLALVGLPTEFDSVRNQILYGTVVPAYDTVSEQLLCLSVPHMFGHSPVHPIDSFTFYSQSSYYGGQSGNRGGYRGQRSRCNFHPRYGHTKAKCLRKARQHYKTTNIAQTLEQDMSLEDYTICHNRLLVSPMRLKLLSINA >Vigun07g170400.10.v1.2 pep primary_assembly:ASM411807v1:7:28505341:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNTKVLQDHSSKIALKRKFCIASSLGALLSNTCITLLHLFQRTKELTYLWPTRLTEFSEHFLEFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.4.v1.2 pep primary_assembly:ASM411807v1:7:28502800:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTLTHQETHARRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.5.v1.2 pep primary_assembly:ASM411807v1:7:28503391:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTLLFSSLVCETIKQVIQLGHILKLCTSVKSCSRTSISYFYFIKGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.2.v1.2 pep primary_assembly:ASM411807v1:7:28501768:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLQSCQPWILPPTYTHLSSITCNSRRYMPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.3.v1.2 pep primary_assembly:ASM411807v1:7:28501770:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLQSCQPWILPPTYTHLSSITCNSRRYMPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.7.v1.2 pep primary_assembly:ASM411807v1:7:28501770:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHRRYMPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.11.v1.2 pep primary_assembly:ASM411807v1:7:28505341:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNTKVLQDHSSKIALKRKFCIASSLGALLSNTCITLLHLFQRTKELTYLWPTRLTEFSEHFLEFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.1.v1.2 pep primary_assembly:ASM411807v1:7:28501729:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLQSCQPWILPPTYTHLSSITCNSRRYMPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.6.v1.2 pep primary_assembly:ASM411807v1:7:28502051:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLQSCQPWILPPTYTHLSSITCNSRRYMPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.9.v1.2 pep primary_assembly:ASM411807v1:7:28503896:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun07g170400.8.v1.2 pep primary_assembly:ASM411807v1:7:28501770:28516941:1 gene:Vigun07g170400.v1.2 transcript:Vigun07g170400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHFSWIKSSALHMRGPRGQAGGRREVDSHRSEVKPSSNTYKHVPENLGTKFFWMKKHSSSSQGQQAGSMIQFMSFYIILRLTHKQLCDLVIKAGHALLPYLRQTLIATSLPLACVSNALNKPKPLNLDVSLPSFHDIGWSLARLLYLFNIQLERNVATFLVVLIVACFSFVIVGGIMFFKLRGNQNSLEDCLWEAWACLCSSSTHLKQRTRVERVVGLLLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDRIADNIAKDLNHIDVLSKSCSLSLTKSFERAAANKARAIIILPTKGERYEVDTDAFLSVLALQPIPNMDSVPTIVEVSSSRTCELMKSISALKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLRSLPDLEGMTYRQIRHKFQEVIVCGLYRCGKLNFHPNDGEILQKTDKVLFIGSLQSAKNPEVTLNGKEGTHVIHDEHIPEKDVEHAVKLSKVRLANIVKRPAKSGSKASEGNVGPKECVLLLGWRPDAVEMIQEYDNYLGPGSVLEVLSDTPLDDRISKSCNTNGHNKLKNVRVSHRIGNPMDYDTLKETIMNIQNSLKNEDLPLSVAVISDREWLLGDPTKADKLSAYSLLLAENICNKLGVKVHNLVAEIVDSKLGKQISRIKPSVTYIAAEEIMSLVTAQVAENSELNDVWKDVLDADGDEIYVKNIGLYMKEGENPSFSELSERAHLRREVAIGYVKNKKNVINPVPKSESLSLEMTDSLIVISELEGEQPVVLKC >Vigun05g101132.1.v1.2 pep primary_assembly:ASM411807v1:5:10000473:10002988:-1 gene:Vigun05g101132.v1.2 transcript:Vigun05g101132.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHFTMHQLIHYSFHRTTLPSLSTTMPEPHSITPLPPEETASASSHTPPARSREAERARGTSSRGNWSICGGSAASFRDETCSCFAVVFSFWLFVSMTMILGVYGSMTIVLGPTSSTVFQPSSLFVKSVKVENLMANHGAGAILYGTYGYPPLDIVSTWGETLNVSLPSGAEKEWKLYLNTGSAINISYHVSSTSSSVFLIVAQGTETFTRWLENPTEPNTTLSWNVIHGKTYKDILLYIFVGLNFDLL >Vigun08g127000.1.v1.2 pep primary_assembly:ASM411807v1:8:29711629:29716426:-1 gene:Vigun08g127000.v1.2 transcript:Vigun08g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYNLLRSRFRRCHYHAAAYRSLSVVFAKPDHHPPPVVPSFPHPSKPKQFVLLAFNDHRFPCHRSFFTRAKAAQKIEFNDRHSQRAVKTALWCNFLVFSLKFGVWLASSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATVVNGVQNLWIAQPPENMQYAALVICGSFVIEGASLVVAIQAVKKGAASEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLLIAGASLVAVNVTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLHFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGEMVVQNYLKRTGRGEWARQFREAAKKKDDTALMNIMSDYGEEVVTALGSEVDRLEKEIQNLVPGIRHVDIEAHNPPTEIQ >Vigun03g055500.1.v1.2 pep primary_assembly:ASM411807v1:3:4531245:4539083:1 gene:Vigun03g055500.v1.2 transcript:Vigun03g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGECSSSTSANNLDEIWAKLVSSDERYSDVEIRSDEKVITSETSATSSDTHSWCKIVRNPDLCSATLENKSQDAILVDGAVIHSDDTIVIKDGSQIIPGPDREGFVSYKFHIMSSPDGSQRQLKICVDADHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSNERHSAVLCPQCRAVVLFVGKNHFLRTIAEDMLRADSSLRRPEDEISLLDSYALVRSNLVIGSGKKNRKRAYTPLDDQSDGTYHQCPQCVTEVGDFGCKHDTVHLQCQACGGMMPSRTGFGIPQYCSGCDRPFCGAYWHALGVTGNGSYPVCSQDTFRPISEHPISSIPLLTHEKNLHEQKITDSCIRQMGRTLPDVISEWIQKLDNREIDRSRMMLNHAEMITARTFVCRDCHHKLVSFLLYWFRVSIPKYLLPPDASARQDCWYGYACRTQHRSEEHARKRNHVCRPTRGSNV >Vigun01g098150.4.v1.2 pep primary_assembly:ASM411807v1:1:26460759:26461232:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.8.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26470851:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYHRQHGIAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.7.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26470851:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYHRQHGIAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.1.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26471109:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.3.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26461338:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.6.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26461338:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.5.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26470684:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun01g098150.2.v1.2 pep primary_assembly:ASM411807v1:1:26460057:26470851:1 gene:Vigun01g098150.v1.2 transcript:Vigun01g098150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLAISLLKHFAAAFISPFFFKFKLSGKMPWNPRKPESAAISQNQAKSWWLALVWRPRWEYLTMASFSVTEVTTMVVTF >Vigun05g042500.1.v1.2 pep primary_assembly:ASM411807v1:5:3467624:3469202:-1 gene:Vigun05g042500.v1.2 transcript:Vigun05g042500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKFFAAMILALIAISMLQTVVMAANGHGDHLNDNKSKYGSGSLKSYQCPSQCSRRCSKTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >Vigun02g081900.1.v1.2 pep primary_assembly:ASM411807v1:2:23468156:23470616:1 gene:Vigun02g081900.v1.2 transcript:Vigun02g081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKEMKRQPFWHANQPKTSLFNPKQRSAAETRNNLSIYLSYFLFLSAFTSFTILHIFTKMNPNLNDFALLSHLLHHLHPHTHPPLPTQFHQSLLSNFPHLNHPQVLTSLTQRASTLNVAHTLSLLRTIGPRPDPSAVAAARAKIADPHAPDDEAQIFHALVRVDDMHEECVKQFMAAEEMLVEAYAQSVKGVGEEVDEGVVGILRKAETEEVEKVDLSGSQLKILPEAFGKIRGLVVLNLSQNQLEAIPDSIAGLQKLVELDVSSNVLESLPDSIGLLVNLKILNVSGNKLTALPESIALCRSLVELDASFNNLMCLPTNMGFGLVNLEKLLIHLNKIRLLPSSIGEMKSLKHLDVHFNELHGLPQSIGKLTNLEYLNLSSNFSDMTELPETFGDLVNLRELDLSNNQIRALPYTFSRLENLTKLNLDQNPIIIPPTEVVSQGVEAVKEFMAKWWHDLIEEAQQKNMAETNNQHAQTGWLAWGFSLLNNVAGVSESVVEYFGARKAPRDPWLDQQL >Vigun09g092400.1.v1.2 pep primary_assembly:ASM411807v1:9:13043432:13044904:-1 gene:Vigun09g092400.v1.2 transcript:Vigun09g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNAMMYCHPFWVSIFNPTKEYIQFDPGFVVYWSKEVRHNLNYLVDPRGNKIVIRIGQTSVPENFFCGGYEIASFYKFKENYFVAIKYLGNRVFDLRIFDTDMTEIQYPAPKNPTHVHPEIPLPRFFNCFNVKLSIQQESITLADAFSRFWGPTFASDNFEMIDPVGNIHQIIISRGFLHMRFITKGIPQFIKYYDIKNDHVLRLTYMGDNKFIVRIFDLAGDEITYITKSTDECSTTMESNDENPFYFSTEKTLTEYDIRSSSLYLDAQFADVALVKSQKIYNLSNENGDLWECNIRWSNRSGMGCYITQGWNQFCIDNGVEAGNKVMFGVDKNRSRTIHVLIT >Vigun03g351650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:55350984:55351926:-1 gene:Vigun03g351650.v1.2 transcript:Vigun03g351650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATLSFNRNPIPLSSLQKLLTSWDTSSLLLRFFFFSSPFLFILSINENTPSCSYEKILSLPQAPPIQSCVHISLFSHQPSNFHCMEVIFNKLLYTSKLCTQFLHKKQLYIFIFIKLLMYM >Vigun03g351650.2.v1.2 pep primary_assembly:ASM411807v1:3:55351185:55351583:-1 gene:Vigun03g351650.v1.2 transcript:Vigun03g351650.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATLSFNRNPIPLSSLQKLLTSWDTSSLLLRFFFFSSPFLFILSINENTPSCSYEKILSLPQAPPIQSCVHISLFSHQPSNFHCMEVIFNKLLYTSKTTNSN >Vigun05g136600.1.v1.2 pep primary_assembly:ASM411807v1:5:16439893:16441341:1 gene:Vigun05g136600.v1.2 transcript:Vigun05g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKHSMNDKLGGSDHSGSAEPEMMKQRFARFTKLLLDLQCSYNLKCHYQSLCNCIWPKLKVGTTKAREEGYVEKGNEGLLSVCDYIQEFAPIKRWHNCGDDEKQAKVRHQYQPPCTIEA >Vigun05g073700.2.v1.2 pep primary_assembly:ASM411807v1:5:6485031:6488520:-1 gene:Vigun05g073700.v1.2 transcript:Vigun05g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEQARSLFGISLSDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFVQGFVYLCLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYVSAILLVVGLILFTLADAQTSPNFSVIGVVMISGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLIFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLLLIAMGITLKMLPDSKFSSNKRDLNSSPRDLRAKSSGDQELGNLPNSEGENDERRPLV >Vigun05g073700.3.v1.2 pep primary_assembly:ASM411807v1:5:6485031:6488517:-1 gene:Vigun05g073700.v1.2 transcript:Vigun05g073700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEQARSLFGISLSDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFVQGFVYLCLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYVSAILLVVGLILFTLADAQTSPNFSVIGVVMISGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLIFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLLLIAMGITLKMLPDSKFSSNKRDLNSSPRDLRAKSSGDQELGNLPNSEGENDERRPLV >Vigun05g073700.1.v1.2 pep primary_assembly:ASM411807v1:5:6485031:6488517:-1 gene:Vigun05g073700.v1.2 transcript:Vigun05g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEQARSLFGISLSDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFVQGFVYLCLIYLQGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYVSAILLVVGLILFTLADAQTSPNFSVIGVVMISGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLIFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLLLIAMGITLKMLPDSKFSSNKRDLNSSPRDLRAKSSGDQELGNLPNSEGENDERRPLV >Vigun01g017200.5.v1.2 pep primary_assembly:ASM411807v1:1:1874517:1877581:-1 gene:Vigun01g017200.v1.2 transcript:Vigun01g017200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKIQPEKQSSSAATTPVVSSCRKKKNEEAAFLEDLKEHIDEFLSASVDEHKSCFKKTIQKMFGLSKAVTEGHSNASKEVESSLPLRTTVQD >Vigun01g017200.4.v1.2 pep primary_assembly:ASM411807v1:1:1874517:1877581:-1 gene:Vigun01g017200.v1.2 transcript:Vigun01g017200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKIQPEKQSSSAATTPVVSSCRKKKNEEAAFLEDLKEHIDEFLSASVDEHKSCFKKTIQKMFGLSKAVTEGHSNASKEVESSLPLRTTVQD >Vigun06g166100.1.v1.2 pep primary_assembly:ASM411807v1:6:28806074:28810163:1 gene:Vigun06g166100.v1.2 transcript:Vigun06g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLFSKMELHVLILGIDKSGKTTLLEKMKSVYSNIEGIPPDRIIPTVGLNIGRMEVANSKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAGSPSRFEDAKSALEKVLRHEDLQGAPLLILANKQDLPEAVSAEELARYLDLKKLDERVYMFEAVSAYDGMGIRESAEWLVEVMERSKRTEMLRARAGAMGPGS >Vigun06g166100.2.v1.2 pep primary_assembly:ASM411807v1:6:28806074:28810163:1 gene:Vigun06g166100.v1.2 transcript:Vigun06g166100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLFSKMELHVLILGIDKSGKTTLLEKMKSVYSNIEGIPPDRIIPTVGLNIGRMEVANSKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAGSPSRFEDAKSALEKVLRHEDLQGAPLLILANKQDLPEAVSAEELARYLDLKKLDERVYMFEAVSAYDGMGIRESAEWLVEVMERSKRTEMLRARAGAMGPGS >Vigun03g213200.1.v1.2 pep primary_assembly:ASM411807v1:3:35414539:35420278:-1 gene:Vigun03g213200.v1.2 transcript:Vigun03g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQNQITRWEGYVNWRNKPALRGRHGGMLAASFVLVVEVLENLAFLANASNLVLYMIQYMHMSPSKSANNVTNFMGTAFLLALLGGFLCDAFLTTYHIYLISAVIEFLGLIILTVQARVPSLKPAACDPSTPCHEVGGGKAAMLFAGLYLVALGVGGIKGSLPAHGAEQFDEATPSGRKQRSTFFNYFVFCLSCGGLIAVTFVVWIEDNKGWEWGFGISTISIVLSIPVFLAGSATYRSKIPSGSPLTTIFKVLIAASLSGCFNRNSSSAVVNMNSSPSNLISGRRQEEKEAGIANKERQAPTNTLKFLNRALESNPMCSSVECTVEQVEDVKIVLKVLPIFACTIMLNCCLAQLSTFSVEQAATMNTKLGSLKVPPSSLPIFPVIFIMILAPVYDHIIIPFARRVTKTEMGISHLQRIGIGLVLSIVAMAVAAVVEVKRKRVATHSGLLDDATKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSFASLAVGYYLSSAIVAIVNSVTGNTSHRPWLSGANLNHYDLDRFYWLMCVLSALNFLHYLFWAIKYKYRGTGTSTG >Vigun07g084400.3.v1.2 pep primary_assembly:ASM411807v1:7:12530995:12536170:1 gene:Vigun07g084400.v1.2 transcript:Vigun07g084400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELKKKISKSSWPNFNVRKWLNKRSNGDSFHSDYSLPEGWLMDSTNELKHSAPVKEAPPVSDIDTLNLRMFVGTWNVGGKSPNEGLNLREWLMLPSPADIYVIGFQEIIPLNAGNVLGPEDSGPATKWLTLIREALNSNTSEESEESCSTLCSPSEHEQQHYYCLAASKQMVGIFLCVWVREDLYKHVTNLKVSCVGRGIMGYLGNKGSVSISMTLYHTTFCFVGTHLASGEKDGDEIRRNLDVSEILKKTKFSHSFKALGQTLPPPETILEHDKIIWLGDLNYRLVAGYDDTLELLKKNDWQALLEKDQLRIEQRAGRVFKEWKEGKIYFAPTYKYLFDSDQYVAQTNKSKEKRRTPAWCDRILWKGEGVEQLWYVRGESKFSDHRPVYSLFSVDVDMRCKKLGSYVGASESGGSISMSRSCSSRTITNAALSSSCFAKVQAEEQLLLLTREHSHRTCRILS >Vigun07g084400.2.v1.2 pep primary_assembly:ASM411807v1:7:12531197:12536170:1 gene:Vigun07g084400.v1.2 transcript:Vigun07g084400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAFFLSSFFLSFLIILLLLFNLRHPRSHAILLFLIICCCLIWFSWRKAMRMELKKKISKSSWPNFNVRKWLNKRSNGDSFHSDYSLPEGWLMDSTNELKHSAPVKEAPPVSDIDTLNLRMFVGTWNVGGKSPNEGLNLREWLMLPSPADIYVIGFQEIIPLNAGNVLGPEDSGPATKWLTLIREALNSNTSEESEESCSTLCSPSEHEQQHYYCLAASKQMVGIFLCVWVREDLYKHVTNLKVSCVGRGIMGYLGNKGSVSISMTLYHTTFCFVGTHLASGEKDGDEIRRNLDVSEILKKTKFSHSFKALGQTLPPPETILEHDKIIWLGDLNYRLVAGYDDTLELLKKNDWQALLEKDQLRIEQRAGRVFKEWKEGKIYFAPTYKYLFDSDQYVAQTNKSKEKRRTPAWCDRILWKGEGVEQLWYVRGESKFSDHRPVYSLFSVDVDMRCKKLGSYVGASESGGSISMSRSCSSRTITNAALSSSCFAKVQAEEQLLLLTREHSHRTCRILS >Vigun07g084400.1.v1.2 pep primary_assembly:ASM411807v1:7:12530375:12536170:1 gene:Vigun07g084400.v1.2 transcript:Vigun07g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELKKKISKSSWPNFNVRKWLNKRSNGDSFHSDYSLPEGWLMDSTNELKHSAPVKEAPPVSDIDTLNLRMFVGTWNVGGKSPNEGLNLREWLMLPSPADIYVIGFQEIIPLNAGNVLGPEDSGPATKWLTLIREALNSNTSEESEESCSTLCSPSEHEQQHYYCLAASKQMVGIFLCVWVREDLYKHVTNLKVSCVGRGIMGYLGNKGSVSISMTLYHTTFCFVGTHLASGEKDGDEIRRNLDVSEILKKTKFSHSFKALGQTLPPPETILEHDKIIWLGDLNYRLVAGYDDTLELLKKNDWQALLEKDQLRIEQRAGRVFKEWKEGKIYFAPTYKYLFDSDQYVAQTNKSKEKRRTPAWCDRILWKGEGVEQLWYVRGESKFSDHRPVYSLFSVDVDMRCKKLGSYVGASESGGSISMSRSCSSRTITNAALSSSCFAKVQAEEQLLLLTREHSHRTCRILS >Vigun02g202200.2.v1.2 pep primary_assembly:ASM411807v1:2:33653006:33656023:-1 gene:Vigun02g202200.v1.2 transcript:Vigun02g202200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIEEMSQEWMSCLSEVYTEEEADFMNQLLGNCSIPEQFYESLNMETKAPNADSQSQNSAHSTIGFSLQELGLESGRENLADMDLQDHNVRQILVSEPEKDNSRSMEKSEKRFRSSFEGPENKRNVKSKKNPKPASLRNTEEEASPDPQNQTLNSCCSDDDSKDSRAPKLSGKSISNRDPATDTQSAYARKRRERINERLRILQSLVPNGTKVDISTMLDEAVQYVKFLQLQIKLLSSDDLWMYAPIAFNGMNIGLELNINITKQQKKCIS >Vigun05g257200.1.v1.2 pep primary_assembly:ASM411807v1:5:45110817:45116873:-1 gene:Vigun05g257200.v1.2 transcript:Vigun05g257200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VHKRRHSRDDSRIGSGKVTQRTYYESKGESYSERDSHSRYDHDDGTKRRRYEDSWRTPGMHYLFCNTHHSSFNSSSPWDHVSPSLVPIRASGYSAKSSVSRHNERLNQLNSSETSNTFQVEMADKSELGEEHKYGITENMRLEMDYDADRAWYDREEGSTLFEDDSSSLFLGNEASCQKKEAELAKRLVRRDGTGMSLAQSKKLSQLTADNARWDDRQLLRSGAVRGTEVQTEFDDEEEHKVVLLVHDTKPPFLDGGVVFTKQADPIMPIKDPTSDMAIISRTRSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDVDTAEVGEDGEIDYKDETKFSQHLKKAEAVSDFATSKTIAEQRQYLPIFSVREELLKVVRENQVVVVLGETGSGKTTQLTQYLHEDGYTVGGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLNTDILFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSCPIFHIPGRTFPVKILWSKTPVEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACHALAERMEQMMSSSNKLVPKLLILPIYSQLPSDLQAKIFQKAEDGARKCIIATNIAETSLTVDGIFYVIDSGYGKMKLLLKRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLTGKLLGCVEEVLTIVSMLSVPSVFFRPKDWAEESDAARERFLVPESDHLTLYNVYQQWKQHDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCWPDTDIVRKAICSAYFQNAARLKGVGEYVNCRNGMPCHLHPSSALYGMGCTPEYVVYHELVLTTKEYMQCATAVEPQWLAELGPMFFSVKESDISLLEHKKRQKEEKTAMEEEMENLKKVQAEVERERKQKEKERTAKHQQQISMPGLRKGSSTFFRPKKFGL >Vigun03g014000.1.v1.2 pep primary_assembly:ASM411807v1:3:935371:939206:1 gene:Vigun03g014000.v1.2 transcript:Vigun03g014000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLVGFSSSGGSCRSLLPIHLFLFHNFLLLSHTLFPLFIKQNHTRSDQHCTLMDSEPSPQVKRKHKFAPRAPPRLVPKKEVKTEVVEDAQADANQAKDLLRRFNESAMKARNKVEKKVSASQIAFGYGGESTLKSYGIARGGRSVNINQNSASSVVAEKEYTEPWDYYSNYPVTLPLRRPYSGNPELLDEEEFGEAAESRTYDEDASNSAMELGLLEENLEANMFLVKLPPKLPMVIQSTTDGGKEVNPKSKPPGGSKKVEKFCELKDLPSGFMGKMLVYKSGKIKLKLGNTLYDVSSGMNCAFSQDVVAINTAEKNVCTIGEISKHVTVTPDIDDILDNLSY >Vigun11g015500.2.v1.2 pep primary_assembly:ASM411807v1:11:1924170:1928212:1 gene:Vigun11g015500.v1.2 transcript:Vigun11g015500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RSG3-301 MAAEMVTGVLVSTFLGRTIDTLASRLFDIFHQKKHKKQLRNLKMKLLAIDVVAFDAEQKQFTDSCVRDWLLRAKDVVIDAEDLLDELDYELSKSQVEAESQSAAKKVWSSLNSSFLIENEIESRMAQVIEDLDDLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESDICGRDDDKESIFKWLTSDTHNNLSILSIVGMGGLGKTSLAQHVFNDPRLEGKFDINVWVSVPQEFDVLKVSRAILDTIASSTDHSIPKEVIQKRLKENLMGKKFLLVLDDVWNENSSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKYRLEVLKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSFLWEWENIMRSDIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYQFDKDILIQWWMAQNFLESHVQIKSPIEVGEQYFNDLLSWSFFQQSSNQDEERFIMHDLLNDLAKYVCKDVCIRIGVDEPEGIGVENVAAHLGKLKNVQVSMSSFHVEKSKEMNIQRLGQFNLYGSLTIDDLQNIENPSDALEADLKSKPHLMGLHLEWNFIGSSSVDSTKAEDIIENLRPSKYLKKLSIRNYIGKQFPNWLLHNSLPNLVSLVLDDCRSCERLPPLGLLPFLKELRIYRLDGIVSIDADFHGNNSSSFKSLQTLWFSDMRQWEKWDCQDVTALIVSAIELLLQDCGKLQLDCSTMEKLTMDGHDMAASSVAMVGHMLFNTSLEDLSICSTLETISDDCVSLRIFPLDFFPTLKRLELSGFPNLQMISQDHVHNHLQDLTIEKCPKFESLPANMHMLLPSLVGLHIEDCPTLESFPDGGLPSNLNYIRLGNCFRLVGLLKGALGDSSSLESLGISTPDAECFLDGGLLPTSLTELQFLECQNLEKLDYKGLLQLSSLRRLYLLDCPNLQRLPEEGLPKSISSLHIIDCPLLKQRCQEGGEDWEKVAHIRNLYICSRKNLYKIELLK >Vigun11g015500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1924159:1928284:1 gene:Vigun11g015500.v1.2 transcript:Vigun11g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RSG3-301 MAAEMVTGVLVSTFLGRTIDTLASRLFDIFHQKKHKKQLRNLKMKLLAIDVVAFDAEQKQFTDSCVRDWLLRAKDVVIDAEDLLDELDYELSKSQVEAESQSAAKKVWSSLNSSFLIENEIESRMAQVIEDLDDLADESNILGLKKGGGVEVGSGSSSKLTYSSLPNESDICGRDDDKESIFKWLTSDTHNNLSILSIVGMGGLGKTSLAQHVFNDPRLEGKFDINVWVSVPQEFDVLKVSRAILDTIASSTDHSIPKEVIQKRLKENLMGKKFLLVLDDVWNENSSKWEDVQKPLVFGGQGSRILVTARGEKVADSMRSEKYRLEVLKEDYCWELFAKHAFQGANPQQDPDFVEIAKKIVKKCDGLPLALKTMGSLLHNKSFLWEWENIMRSDIWDLSENESGILPALKLSYLHLPSHLKKCFAFCALLPKGYQFDKDILIQWWMAQNFLESHVQIKSPIEVGEQYFNDLLSWSFFQQSSNQDEERFIMHDLLNDLAKYVCKDVCIRIGVDEPEGISKTTRHCSFLSSELYFDGFGSSIDTQKLHTFTRTDPNSGWIWYCKMSIDDLFSRFKLIRILSLNHYLNLTEVPESIGNLKHLRSLDLSCTNIEELPDSMSLLYKLQILKLNKCRRLKQFPSCLHKLQNLRCLELVGIGVENVAAHLGKLKNVQVSMSSFHVEKSKEMNIQRLGQFNLYGSLTIDDLQNIENPSDALEADLKSKPHLMGLHLEWNFIGSSSVDSTKAEDIIENLRPSKYLKKLSIRNYIGKQFPNWLLHNSLPNLVSLVLDDCRSCERLPPLGLLPFLKELRIYRLDGIVSIDADFHGNNSSSFKSLQTLWFSDMRQWEKWDCQDVTGAFPRLEDFWIKNCPKLKAYLPKFVALKYLYVSNCEQLEALIVSAIELLLQDCGKLQLDCSTMEKLTMDGHDMAASSVAMVGHMLFNTSLEDLSICSTLETISDDCVSLRIFPLDFFPTLKRLELSGFPNLQMISQDHVHNHLQDLTIEKCPKFESLPANMHMLLPSLVGLHIEDCPTLESFPDGGLPSNLNYIRLGNCFRLVGLLKGALGDSSSLESLGISTPDAECFLDGGLLPTSLTELQFLECQNLEKLDYKGLLQLSSLRRLYLLDCPNLQRLPEEGLPKSISSLHIIDCPLLKQRCQEGGEDWEKVAHIRNLYICSRKNLYKIELLK >VigunL087301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:131501:133572:-1 gene:VigunL087301.v1.2 transcript:VigunL087301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGIRSSFPADSAKPVPLAVVSLDSRQGQWESLGFPLSVPVLSRLFDARGRGPEGPVPNPSPDRHATTRSRRESSSSSPPTADGFGTGTPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun01g089500.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24871687:24871878:-1 gene:Vigun01g089500.v1.2 transcript:Vigun01g089500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDPRSSHIPSIFPCFCLLIYLHRFVYDHFMHGMGLISDQASFLTPTFPCRSKSTTTRQRQR >Vigun01g089500.1.v1.2 pep primary_assembly:ASM411807v1:1:24870987:24871878:-1 gene:Vigun01g089500.v1.2 transcript:Vigun01g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDPRSSHIPSIFPCFCLLIYLHRFVYDHFMHGMGLISDQASFLTPTFPCRSKSTTTRQRQRLTSIFGNYWHKPCC >Vigun01g089500.2.v1.2 pep primary_assembly:ASM411807v1:1:24871071:24871878:-1 gene:Vigun01g089500.v1.2 transcript:Vigun01g089500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDPRSSHIPSIFPCFCLLIYLHRFVYDHFMHGMGLISDQASFLTPTFPCRSKSTTTRQRQRTHSCFV >Vigun06g032100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:13877851:13878320:1 gene:Vigun06g032100.v1.2 transcript:Vigun06g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVFGVLIFVMVYGLAVTRLSYGQIPATCNGDEKLLSYCGLYLINNVANPSSDCCNGASDAFKRAMAVPNGQGIRDICNCLRVAGSNLHFHPDKLVSLPTACHIQLSFSMELCVSGP >Vigun10g177100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39545045:39548013:1 gene:Vigun10g177100.v1.2 transcript:Vigun10g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSWDTSQFEANTPILWSTIQPPADFEGGYSMPNVLNQIQDLQKAETGHADSNSERVIYQSADATTTSSEIMDVMQMQRQNTNLLQHAPRMMMPVKSGTGASWGEAFTSQSYPSLSVNGPQSMNLISNYMPDFNMAHQQQLVNGSQNGKGACSLESFDCLISATNSNTDTSVEDDDGISMILSDCRKNLWNFSYGSAASTGESESNASNGRKEDLKCPVNEVDETVSQSSLDRGKKVQSKADCSKRSHEETEMIKVGGTGSGSCFSIVQNCSSVEEGGFRLIDNPSKSKKPRWEKSSSSNNINFQQPNSSMCSSIEEPDPEAIAQMKEMIYRAAAFRPVNFGLDAAEKPKRKNVRISTDPQTVAARHRRERISERIRVLQKLVPGGSKMDTASMLDEAANYLKFLRSQVKALECLGNKVDAMNCPPTSIAFSFNPSFPMQTIHHSQG >Vigun10g177100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39545445:39548036:1 gene:Vigun10g177100.v1.2 transcript:Vigun10g177100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSWDTSQFEANTPILWSTIQPPADFEGGYSMPNVLNQIQDLQKAETGHADSNSERVIYQSADATTTSSEIMDVMQMQRQNTNLLQHAPRMMMPVKSGTGASWGEAFTSQSYPSLSVNGPQSMNLISNYMPDFNMAHQQQLVNGSQNGKGACSLESFDCLISATNSNTDTSVEDDDGISMILSDCRKNLWNFSYGSAASTGESESNASNGRKEDLKCPVNEVDETVSQSSLDRGKKVQSKADCSKRSHEETEMIKVGGTGSGSCFSIVQNCSSVEEGGFRLIDNPSKSKKPRWEKSSSSNNINFQQPNSSMCSSIEEPDPEAIAQMKEMIYRAAAFRPVNFGLDAAEKPKRKNVRISTDPQTVAARHRRERISERIRVLQKLVPGGSKMDTASMLDEAANYLKFLRSQVKALECLGNKVDAMNCPPTSIAFSFNPSFPMQTIHHSQG >Vigun10g177100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39545445:39548036:1 gene:Vigun10g177100.v1.2 transcript:Vigun10g177100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSWDTSQFEANTPILWSTIQPPADFEGGYSMPNVLNQIQDLQKAETGHADSNSERVIYQSADATTTSSEIMDVMQMQRQNTNLLQHAPRMMMPVKSGTGASWGEAFTSQSYPSLSVNGPQSMNLISNYMPDFNMAHQQQLVNGSQNGKGACSLESFDCLISATNSNTDTSVEDDDGISMILSDCRKNLWNFSYGSAASTGESESNASNGRKEDLKCPVNEVDETVSQSSLDRGKKVQSKADCSKRSHEETEMIKVGGTGSGSCFSIVQNCSSVEEGGFRLIDNPSKSKKPRWEKSSSSNNINFQQPNSSMCSSIEEPDPEAIAQMKEMIYRAAAFRPVNFGLDAAEKPKRKNVRISTDPQTVAARHRRERISERIRVLQKLVPGGSKMDTASMLDEAANYLKFLRSQVKALECLGNKVDAMNCPPTSIAFSFNPSFPMQTIHHSQG >Vigun01g062200.1.v1.2 pep primary_assembly:ASM411807v1:1:13654468:13656164:1 gene:Vigun01g062200.v1.2 transcript:Vigun01g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSESFNKDNHHECVDDDEIPLPGFRFHPTDEELVSFYLRRKLDKKPISIELIKQIDIYKYDPWDLPKSSGSGGEKEGYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSQGGEGNDCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNTDTNTSFPNSRNYVDVPQEAEIWTLCRIFKRNVSQRKHVPDLKQVSAKRHAFYDKSSRESNMEFDGGNKESYINFGGHYHNEQKPAINYTNSDKRNQFNVVSQLCSTVAQQQPQLTAPAPNLWTNLPGNDFFTFDNWDELGSVVKFAVDSPSL >Vigun06g195100.1.v1.2 pep primary_assembly:ASM411807v1:6:31113163:31116690:1 gene:Vigun06g195100.v1.2 transcript:Vigun06g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLMQKIMILLVTIPLYSLAVEIPLVRQVASKYNVSCILVFGDSSVDSGNNNVLHTTMKSNFLPYGKNFFDSRPTGRFSNGRLATDFVAEAVGYRKVIPPFLDPNLKPEDLEYGVSFASAATGFDDYTAEVSNVLSVSKQLEYFAHYKIHLRRKVGEERAEFITRNALYIISMGTNDFLQNYFLEPTRPKQFSLEEFENFLLSRFTKDVQEMHRLGARRLIIVGVLPLGCIPLIKTLRNAEGCDQSLNSVAHAFNAKLLHQLNVLKTKLGLRTALVDVYGMIQRAVTNPKQYGFVEGSKGCVGTGTIEYGDSCKGVNSCSDPDKYVFWDAVHPTQKMYKLIADEAIASFINDFF >VigunL004600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:46582:53453:-1 gene:VigunL004600.v1.2 transcript:VigunL004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWVNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKNLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGEEIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun03g141250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14164610:14165026:1 gene:Vigun03g141250.v1.2 transcript:Vigun03g141250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMLDPPLHDGGSYPTPPTMDEYNPGLTQSQPSVLSCRTSTSQGSKRKAPMVDFMNSKFKKLTKKLDRFMDVMGMGNSRFEKISFTIERQVIAIEKRNDILVEQVGMMQHMTNFHYSESDIWETLADMNIYDENVME >Vigun11g010400.3.v1.2 pep primary_assembly:ASM411807v1:11:1245603:1253405:1 gene:Vigun11g010400.v1.2 transcript:Vigun11g010400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLIHLFLFLPILFFTFLSLPFPFPTYFWAWKSQLSHPVADLVLRNGVIYTSDDSLPFAESMAVANGRVLRVGNHSFVKELEGYGTQVLDLGGKVVVPGFIDSHVHFIAGGLQMMQVELRGVNKKEEFVRRVKDAAQSSKQGFWILGGGWNNDLWEGDLPAASWIDDITPNNPVWLSRVDGHMGLANSVALKLAGITNLTDDPMGGIIVRAANGEPTGVLIDSAVTLMTSLIPEDSVDDRREALLRASSLALTRGLTTVVDMGRYYPGFSPELSWDDFSDVYQWANSMSKMKIRVCLFFSMETRSRLVDLINKVGHTLSEWIYIGGVKAFADGSLGSNSALLYEPYVDEPDNYGLQLTEPEALLNMTLESDLYGLQVAIHAIGDKTNDLILDLYSSVASANGMRDRRFRIEHAQQLAAGTPGRFGKQRVIASMQVFLFYYHYLVFFHYY >Vigun11g010400.2.v1.2 pep primary_assembly:ASM411807v1:11:1245603:1255117:1 gene:Vigun11g010400.v1.2 transcript:Vigun11g010400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGRVLRVGNHSFVKELEGYGTQVLDLGGKVVVPGFIDSHVHFIAGGLQMMQVELRGVNKKEEFVRRVKDAAQSSKQGFWILGGGWNNDLWEGDLPAASWIDDITPNNPVWLSRVDGHMGLANSVALKLAGITNLTDDPMGGIIVRAANGEPTGVLIDSAVTLMTSLIPEDSVDDRREALLRASSLALTRGLTTVVDMGRYYPGFSPELSWDDFSDVYQWANSMSKMKIRVCLFFSMETRSRLVDLINKVGHTLSEWIYIGGVKAFADGSLGSNSALLYEPYVDEPDNYGLQLTEPEALLNMTLESDLYGLQVAIHAIGDKTNDLILDLYSSVASANGMRDRRFRIEHAQQLAAGTPGRFGKQRVIASMQPDQLLDDADYTSKKLGKDRADTESYLFRSLLNNNALVAFGSDWPVVDINPLSGIKTAMKRTPPTWESAWIPSECISLDDAIKAYTISAARASFLDKDLGSLSPGKLADFVILSTDSWQDFAEDADASIEQTYVSGVRAYP >Vigun11g010400.1.v1.2 pep primary_assembly:ASM411807v1:11:1245603:1255117:1 gene:Vigun11g010400.v1.2 transcript:Vigun11g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLIHLFLFLPILFFTFLSLPFPFPTYFWAWKSQLSHPVADLVLRNGVIYTSDDSLPFAESMAVANGRVLRVGNHSFVKELEGYGTQVLDLGGKVVVPGFIDSHVHFIAGGLQMMQVELRGVNKKEEFVRRVKDAAQSSKQGFWILGGGWNNDLWEGDLPAASWIDDITPNNPVWLSRVDGHMGLANSVALKLAGITNLTDDPMGGIIVRAANGEPTGVLIDSAVTLMTSLIPEDSVDDRREALLRASSLALTRGLTTVVDMGRYYPGFSPELSWDDFSDVYQWANSMSKMKIRVCLFFSMETRSRLVDLINKVGHTLSEWIYIGGVKAFADGSLGSNSALLYEPYVDEPDNYGLQLTEPEALLNMTLESDLYGLQVAIHAIGDKTNDLILDLYSSVASANGMRDRRFRIEHAQQLAAGTPGRFGKQRVIASMQPDQLLDDADYTSKKLGKDRADTESYLFRSLLNNNALVAFGSDWPVVDINPLSGIKTAMKRTPPTWESAWIPSECISLDDAIKAYTISAARASFLDKDLGSLSPGKLADFVILSTDSWQDFAEDADASIEQTYVSGVRAYP >Vigun11g010400.4.v1.2 pep primary_assembly:ASM411807v1:11:1245603:1255117:1 gene:Vigun11g010400.v1.2 transcript:Vigun11g010400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLIHLFLFLPILFFTFLSLPFPFPTFSFTFSDFWAWKSQLSHPVADLVLRNGVIYTSDDSLPFAESMAVANGRVLRVGNHSFVKELEGYGTQVLDLGGKVVVPGFIDSHVHFIAGGLQMMQVELRGVNKKEEFVRRVKDAAQSSKQGFWILGGGWNNDLWEGDLPAASWIDDITPNNPVWLSRVDGHMGLANSVALKLAGITNLTDDPMGGIIVRAANGEPTGVLIDSAVTLMTSLIPEDSVDDRREALLRASSLALTRGLTTVVDMGRYYPGFSPELSWDDFSDVYQWANSMSKMKIRVCLFFSMETRSRLVDLINKVGHTLSEWIYIGGVKAFADGSLGSNSALLYEPYVDEPDNYGLQLTEPEALLNMTLESDLYGLQVAIHAIGDKTNDLILDLYSSVASANGMRDRRFRIEHAQQLAAGTPGRFGKQRVIASMQPDQLLDDADYTSKKLGKDRADTESYLFRSLLNNNALVAFGSDWPVVDINPLSGIKTAMKRTPPTWESAWIPSECISLDDAIKAYTISAARASFLDKDLGSLSPGKLADFVILSTDSWQDFAEDADASIEQTYVSGVRAYP >Vigun09g198000.6.v1.2 pep primary_assembly:ASM411807v1:9:37290216:37292688:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNCLTYSSNTSSFSTISLPQPEEQSLCDLFIQRKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.2.v1.2 pep primary_assembly:ASM411807v1:9:37290179:37292703:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNCLTYSSNTSSFSTISLPQPEEQSLCDLFIQRKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.1.v1.2 pep primary_assembly:ASM411807v1:9:37290179:37292703:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNCLTYSSNTSSFSTISLPQPEEQSLCDLFIQRKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRQDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.5.v1.2 pep primary_assembly:ASM411807v1:9:37290216:37292688:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNCLTYSSNTSSFSTISLPQPEEQSLCDLFIQRKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRQDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.4.v1.2 pep primary_assembly:ASM411807v1:9:37290206:37292703:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.7.v1.2 pep primary_assembly:ASM411807v1:9:37290216:37292688:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRQDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.3.v1.2 pep primary_assembly:ASM411807v1:9:37290206:37292703:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRQDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >Vigun09g198000.8.v1.2 pep primary_assembly:ASM411807v1:9:37290216:37292688:1 gene:Vigun09g198000.v1.2 transcript:Vigun09g198000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEAIMKEGTDIEMEGTHAKEETLPPGFRFHPTDEELVTCYLLNKISDSNFTGRAITDVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSGTSELIGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSSFRTTRDEWVVCRVFKKSGGAKKFPSSNHGRAVNPYSLEIGQNIMQAPVMQMGDPAAHFLYGRNYMNSVELGELARVLRGGGSTSSVNQPMQSQLGYPTSAGFTISGLNLNLGGATTTTQPVFRPMQASSAPPVHTMGQVLDVNSSMMTTGSLGADVGYGSEMSNMNSQGNRFMGMEHCMDLDNYWPSY >VigunL067800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000013.1:471564:471812:1 gene:VigunL067800.v1.2 transcript:VigunL067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSPRQCPDRYAFRAGRNLPDKEFRYLRTVIVTTAVHRGFGRRLPYHQVTNFLDLPALGRRQPPYMVLRLCGDLCYW >Vigun03g323100.1.v1.2 pep primary_assembly:ASM411807v1:3:51879388:51884912:-1 gene:Vigun03g323100.v1.2 transcript:Vigun03g323100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASDAGVEALRRLKATDPPLWLAPNAELSEATRTASQYLFSKLKPFSPKTPLDQLLVEGFDAEQIWQQIDLQSQPLLSTLRRRLKQLAENPEEIFQLKVPSEIGNKVEEKSTDEGEGDSDGFDEELDEEEEEEGDEDEEEQGEEEGMEEDEEDEVEDEEDNEEGGGIEDKFLKIDELAKYLDKEEEDFQNGEADGEGEEEDDDEESDEDDDFGSGDEDDVDEEAEDMENARYEDFFGGKKERGSKRKAQVLQESKYSEDEDDMEFDNEKKGTASAHEKQLEKIQSKIEQMEKANIEPKTWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTASIEDMIKKRIIEGLFNDVQRLSKLPSKAPREVKELDDNKSKQGLAEIYEQEYVQKTDPTSAPLSFKDEQKNEANMLFKRLCLKLDALSHFNFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEVFDGKGDIKEEVELTQAERKRRRANKKRKFKEEAVKKTKKKAQEGAIHSQVNG >Vigun05g136750.1.v1.2 pep primary_assembly:ASM411807v1:5:16485018:16486520:1 gene:Vigun05g136750.v1.2 transcript:Vigun05g136750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLPPRAVFRFHYATQTASFIMQLKLQDLNNKIFVNVVKGLSKGLVAEHLLSTMQERECTLILFCAWEKSK >Vigun03g121100.1.v1.2 pep primary_assembly:ASM411807v1:3:11294058:11297361:-1 gene:Vigun03g121100.v1.2 transcript:Vigun03g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQDYERRSVGRKLNKCKSWREERRKSERCRRMNEVNSSGMGMATREAYSQSPKKKVPLFPLLSLLCFTSIFLLLSQFRTTSSPSITNSLPTFHKHTLASCNFSDGKWITHPPRIPTYDHTCKEIFKGWNCLSANKSNAALLPTWRWQPRHCDLPQFHPLNFLRAHTHTAIGFVGDSLNRNMFVSLFCALKSASEGQVKKWRPAGADRGFTFLAYNLTIAYHRTNLLARYGSWSASDKGGALETLGFRDGYRVDVDVPDSTWAKALSFHDILIFNTGHWWWAPSKFDPGKSPMLFFKNGEPVIPPLRPDQGLDMVLEHMIKYVEKNARPGAVKFFRTQSPRHFEGGDWDQGGSCLRDRPLSIEQVEELFSVKNNGTNVETRLVNDHLYKALKGSDFIILDITHMSEFRADAHPASAGGKKHDDCMHWCLPGITDTWNDLFIQLLNSKFQG >Vigun05g031600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2509242:2510468:1 gene:Vigun05g031600.v1.2 transcript:Vigun05g031600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLLSCFSKPEAGVNRLLVTTTFNIMGEHCHHFSLAEIQSSLTNVKESLVIGEDSFGVVYKGFLNKGATTVAIKCFNKGSLSGLSESQLKNEVLFLCQLHHPNIMPVIGFCIERFPHLILVHEYMFNGSLNDHLHLTNNHKFVPLSWERRLRICIGVARGLHYLHTGGKCSVIHNFFKTQYILLDQNWEPKISGLLLSKRGSVDVASSFLVARNHDTFAYCDPEYAASGILTVKSNVFSFGVVLLEVVSAKQARDLYLERVKNPNYSVELHAEKIVDPFIKSKIAPDCWKTFIDITERCLHKQGTERPNMGEVEIQLELALQLQEKAET >Vigun10g042801.1.v1.2 pep primary_assembly:ASM411807v1:10:5979747:5992847:-1 gene:Vigun10g042801.v1.2 transcript:Vigun10g042801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSPSSIPISQHKPSLTFLSLFSLPLSSPLIFLSPNCKSIILSTNPSLSTSPSSIPKPIPAPIFFTSPSPQQLLPLSSPLTFLSQTPTTLTLSSYPSHSPSPIIFSTSPSQSSLVPKLTPTFLSPSLPRRLSSLPSPLTFLSQTLAPIISSSNHLLPSPTPKLTPSPIILTPGPSLSPPSIFKRVSVPIFLFPSPPSPQLLPLSSSLPILSQTPTLVILASNPSLSPSSTPTPSPIDVSPTPSLLPPSPNIILSPTPSSSSPPSPSPSSSLSPSPSPSPSPSLSPIPKSTPPRPSLSPSSTLKPSPSGNHRFWKWKVILGVICAILGGFMICIIIFYFRYKSLTPLVNLCWTTKSDLNIEEFLKDHGSVALKRYTFSEVKKMTNSFKVKLGQGGFGAVYKGKLPTGCPVAVKLLNVSKRNGEEFINEVASISRTSHVNIVTLQGFCLEGRRKALIYEFMANGSLDKLIYNSGPESIASLSWENLYQISIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPRKESIISLSDTRGTMGYVAPEMCNRHFGGVSHKSDVYSYGMMLLEMVGGRKNINAEASHTSEIYFPYLVYRRLEEDNNVRPDQVMTIEENEIVRRMTIVGLWCIQTFPNDRPTMSGVVEMLEGNINSLEMPPKPLFSSPARSVSCSFSGI >Vigun10g042801.2.v1.2 pep primary_assembly:ASM411807v1:10:5979747:5992847:-1 gene:Vigun10g042801.v1.2 transcript:Vigun10g042801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSPSSIPISQHKPSLTFLSLFSLPLSSPLIFLSPNCKSIILSTNPSLSTSPSSIPKPIPAPIFFTSPSPQQLLPLSSPLTFLSQTPTTLTLSSYPSHSPSPIIFSTSPSQSSLVPKLTPTFLSPSLPRRLSSLPSPLTFLSQTLAPIISSSNHLLPSPTPKLTPSPIILTPGPSLSPPSIFKRVSVPIFLFPSPPSPQLLPLSSSLPILSQTPTLVILASNPSLSPSSTPTPSPIDVSPTPSLLPPSPNIILSPTPSSSSPPSPSPSSSLSPSPSPSPSPSLSPIPKSTPPRPSLSPSSTLKPSPSGVICAILGGFMICIIIFYFRYKSLTPLVNLCWTTKSDLNIEEFLKDHGSVALKRYTFSEVKKMTNSFKVKLGQGGFGAVYKGKLPTGCPVAVKLLNVSKRNGEEFINEVASISRTSHVNIVTLQGFCLEGRRKALIYEFMANGSLDKLIYNSGPESIASLSWENLYQISIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCPRKESIISLSDTRGTMGYVAPEMCNRHFGGVSHKSDVYSYGMMLLEMVGGRKNINAEASHTSEIYFPYLVYRRLEEDNNVRPDQVMTIEENEIVRRMTIVGLWCIQTFPNDRPTMSGVVEMLEGNINSLEMPPKPLFSSPARSVSCSFSGI >Vigun01g169000.1.v1.2 pep primary_assembly:ASM411807v1:1:35057879:35063153:1 gene:Vigun01g169000.v1.2 transcript:Vigun01g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVSIKARQIFDSRGNPTVEVDLSCSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVDNVNSIIAPALIGKDPTQQTAIDNLMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGASVLKIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMVLPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYKEDKTYDLNFKEDNNDGSQKISGDALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKLTAEVGTNVQIVGDDLLVTNPKRVQKAIDTKACNALLLKVNQIGSVTESIEAVRMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGANFRTPVEPY >Vigun03g411600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61894833:61897268:1 gene:Vigun03g411600.v1.2 transcript:Vigun03g411600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLPSVSFFLVHILLLSCILLTPTLSSDGDGDDDGDLVDQICRKTPFYDLCSSILHSNPLAPKSDPKGMALIMVNDIQANATDTLSYIEDLIKQTSDEELEQQLAFCAESYIPVVKYILPQAADAISQGRFGFASYCIVDAQKEVNACDKKFSGSSQAPLSDRNDIMQKLVDVAAAIVKLLLNG >VigunL079400.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000587.1:177:2259:-1 gene:VigunL079400.v1.2 transcript:VigunL079400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPYSLPLFNRYFCALSPPLMAQTPPSLDAEQPHDDHHHHHLGFPARSLCGESRAERAWAHWSKLGRPRFIVAPMVDNSELPFRMLCRKYGAQGAYTPMLHSRIFSETETARKVEPYCDYVDINLGCPQRIAKRGNYGAFLMDNLPVVKSLRLVVMLLAVHGRTRDEKDGKKFRADWKAIRAVKNAVRIPVLANGNIRHMDDVRDCLEETEVEGVLSAETLLENPALFAGFRTAEWVSESEGTNVDGKLDQADLLIEYLKLCEKYPVPWRMIRSHVHKLLGDWFSLQPHIREELNKQSKLTFEFLYDMVDRLRDTGTRIPLYKETRVELTSDSYSDRLGCTPTFEAQS >Vigun04g108000.1.v1.2 pep primary_assembly:ASM411807v1:4:26265005:26266999:1 gene:Vigun04g108000.v1.2 transcript:Vigun04g108000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYYGFFVVLFLYSLKVLFRSRKFRNLPPGPLAYPIVGNLLQLEQPFHRFFTRLSKKHGKIFSLWFGNRLVIVASDLPVVQECFSKYDTVLANRPHFLLGKHISYNNSTILHSCYGEHWRHLRRILSLEVVSTHRLNASYEIRRDELTKLLQRLARTSRNDFTQVDLKSMVMETSLNTMMRLVSGKRFYGDDCDVSDVEKAREFRGILREMVSLAGVNNRGDFLPFMRWFDLDNLEKRLKNIGKRIDTFLQSIVDELRASNKTTNTMISQLLVQQKSQPEQYSDQIIKGLCMSMLLAGTDTSALTLEWAMANLLNHPEVLKRAKEELDAHVGSDRLVDESDMSKLPYILNIFFETIRLHPAAPLWSPHMSSEDCTIGGYNLPKNTILLVNAWSIHHDPTLWKNPTEFRPERFEKECESSSLLSFGIGRRSCPGNMLAQRTVGLALASLIQCFEWQRLGKEEIDMTEAKGITISRQNPLEVMCKAREIPAVTDLY >Vigun02g140100.2.v1.2 pep primary_assembly:ASM411807v1:2:28905159:28907143:1 gene:Vigun02g140100.v1.2 transcript:Vigun02g140100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGIHPKQAEELNSISEYYEPLMEFLESLDGEERVILVGHSLGGVGVSLAMEKFPEKIAATVFVSSWMPSPDLSFFTLSQEARRRSESNSHSKFDDNNSSQPKRSMKFTPEFLASNLYQLSPPEDLTLALSLLRPTRIFGDEEMSGENARVTEEKYGSVKRVYIMCEQDNIILKEYRKSVS >Vigun02g140100.1.v1.2 pep primary_assembly:ASM411807v1:2:28905159:28907143:1 gene:Vigun02g140100.v1.2 transcript:Vigun02g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGIHPKQAEELNSISEYYEPLMEFLESLDGEERVILVGHSLGGVGVSLAMEKFPEKIAATVFVSSWMPSPDLSFFTLSQESQARRRSESNSHSKFDDNNSSQPKRSMKFTPEFLASNLYQLSPPEDLTLALSLLRPTRIFGDEEMSGENARVTEEKYGSVKRVYIMCEQDNIILKEYRKSVS >Vigun03g054100.1.v1.2 pep primary_assembly:ASM411807v1:3:4413930:4417652:1 gene:Vigun03g054100.v1.2 transcript:Vigun03g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKRVPLGIFAVLLLISASSFQLLRASDDADDAIFYESFDEDFPDRWIISQKDEYSGVWKHAKSEGHDDYGLLVSEKARKYAIVKELDQAVSFKDGTVVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNESPYSIMFGPDKCGSTNKVHFIFKHKNPKTGEYVEHHLKAPPSVPSDKLSHVYTAILKPDNEVQILVDGEQKKKANFLSADDFEPPLIPPKTIPDADDKKPEDWDEREKIPDPSAVKPDDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPKCEAAPGCGEWKRPMKRNPAYKGKWHAPLIENPAYKGIWKPRDIPNPAYFELKKPDFEPIAAIGIEIWTMQDGILFDNILIAKDDKIAESYRETTWKPKFSVEKEKQKAEEAEAGSNGLAGFQKKVFDLLYKIADIPFLSAYKPKIHVSNIQIFVFFPNSVFLENDLQFYLSIFFLQDLIEKGEEQPNLTVGILVAILVVFVSIFFRIIFGGKKQPTKVEKTNVDSSAKNQSGENGENEENKENKEKEESSSAPPRRRSTRREN >Vigun03g054100.2.v1.2 pep primary_assembly:ASM411807v1:3:4413929:4417688:1 gene:Vigun03g054100.v1.2 transcript:Vigun03g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKRVPLGIFAVLLLISASSFQLLRASDDADDAIFYESFDEDFPDRWIISQKDEYSGVWKHAKSEGHDDYGLLVSEKARKYAIVKELDQAVSFKDGTVVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNESPYSIMFGPDKCGSTNKVHFIFKHKNPKTGEYVEHHLKAPPSVPSDKLSHVYTAILKPDNEVQILVDGEQKKKANFLSADDFEPPLIPPKTIPDADDKKPEDWDEREKIPDPSAVKPDDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPKCEAAPGCGEWKRPMKRNPAYKGKWHAPLIENPAYKGIWKPRDIPNPAYFELKKPDFEPIAAIGIEIWTMQDGILFDNILIAKDDKIAESYRETTWKPKFSVEKEKQKAEEAEAGSNGLAGFQKKVFDLLYKIADIPFLSAYKPKIHDLIEKGEEQPNLTVGILVAILVVFVSIFFRIIFGGKKQPTKVEKTNVDSSAKNQSGENGENEENKENKEKEESSSAPPRRRSTRREN >Vigun08g157700.1.v1.2 pep primary_assembly:ASM411807v1:8:33014833:33017439:-1 gene:Vigun08g157700.v1.2 transcript:Vigun08g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSSNLKCWHFRTPYYCDSWKTPRVASSSSLLLRRVTERNNNVMPCGLVYRTRKSKVQRGKPVYSALFDDFHQEEMMNLVQIGCCDENGNEIACAVSDITPNKQLKNVSGRGSSEEFRTMEPEVLEPSLLGIQPEPPSWPERDEILRLSFERKVNSVEIPLSIRMIKKKLQLEEGLKEAGEFTELTNCSMKKAFSSMMFIMHELQSQALQTRETLCGEDLESVMAKLGREMDASFVWLFQQVFWKTPILVADVMVLLANFLVFSMNEDTVKAIIPSMITEAVTLTNNESKVQRLLDGTDDDQGEYVKQELSEEEEMLWNSLQEEAAILQKELRSEVLDHETREQFVAPVSVELEEDHYEEYIKTELYYKMHLLRTPHCSLLLSNYAHFLFLVLHDIDRAEEYYKRSVLVETPEGEAFSRYADFLLMIRKDVWAAELRYLQALEADPGNSYYLSKYANFLWNTGGQDANSFPIEELDNLQL >Vigun09g123566.1.v1.2 pep primary_assembly:ASM411807v1:9:27546537:27549655:1 gene:Vigun09g123566.v1.2 transcript:Vigun09g123566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISISASAAPTLLPPYYFQNEGVDFNLISDADLSMLSNDLVNGNPLYHECSPLKLSAIVVSLLGMTMVLSESLSLPLESRCS >Vigun03g380900.1.v1.2 pep primary_assembly:ASM411807v1:3:58552539:58554310:-1 gene:Vigun03g380900.v1.2 transcript:Vigun03g380900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLTIVLTSPTNTVINTIINHFSYTYLSIQSLPIAEELSLTRHCHCFLEMALTSSYMLLFPLLVMVAFAGDFNKDFDLTWGDGRAKILNNGELLTLTLDQASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDYEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSITWNPQRIIFSVDGTPIREFKNSEAIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNAQACIWSSGASSCGSSASESTGGSSWLSQELDSAGQQRLKWVQRNYMIYNYCTDAKRFPQGFPPECNIS >Vigun01g136100.1.v1.2 pep primary_assembly:ASM411807v1:1:31593171:31594437:1 gene:Vigun01g136100.v1.2 transcript:Vigun01g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLMAFQIGVILTALAVSSVNSVTGQVSTSCTTSMMNSFTPCANVITGSTNNNGLKPPSTCCDSLRSFMNTNSNCACFLLSANAPFLQLPLTLALSFSQACNINALPLQCKASGSPLPAPGPAVLGSNGPALSPGASNESKDSKMVEEEKYEKVQLAAAAAASPAEAEAPSRSRIPQIRPVLTPLPSPSRSSFLSSPPSTFLVGIVLLCLYS >Vigun08g172300.1.v1.2 pep primary_assembly:ASM411807v1:8:34322277:34323927:-1 gene:Vigun08g172300.v1.2 transcript:Vigun08g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPVINLANINGEEKKTTLDQIEDACQNWGFFELVNHGISHELLDRVERLTKEHYRKCMEKRFNEAVGRKELECVQDELKDMDWESTFFLRHLPTSNISEIPDLTKEYRDAMKEFAEKLEKLAEELLDMLCENLGLEKGYLKRAFHGSRGPNFGTKVANYPACPKPELVKGLRAHTDAGGIILLLQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQIEVISNGKYKSVEHRVMARSDGTRMSVASFYNPGSDAVIYPAPPLLEREAKETEVAYPKFVFEDYMKLYATLKFQPKEPRFQAIKAVNSS >Vigun08g180250.1.v1.2 pep primary_assembly:ASM411807v1:8:35008332:35009356:-1 gene:Vigun08g180250.v1.2 transcript:Vigun08g180250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWCELEPKGQRIDSLNNAYQNFVAAAANVLEAKENAGSVKTTATDNCS >Vigun08g215201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37572655:37572882:-1 gene:Vigun08g215201.v1.2 transcript:Vigun08g215201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKREVVKSETIFICYYVGLLFVVVVGVVVCMYRERKRERLCCCEYRGERRGQKKGPKQRTGTDAMLFSSCCFSI >Vigun05g181400.3.v1.2 pep primary_assembly:ASM411807v1:5:34703135:34713019:-1 gene:Vigun05g181400.v1.2 transcript:Vigun05g181400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVTGFMHIPLLTKSNSSAQKPMKFTIAHACDNNNSKEAEGRRRVLETKRRHDGGKESSEFQTNSVRLFKITTERRGIVPQTSKNQEGGRCYDSQTNSVRLFKSTITEKKGKILLVQTKRKHDEGTN >Vigun05g181400.1.v1.2 pep primary_assembly:ASM411807v1:5:34709766:34711310:-1 gene:Vigun05g181400.v1.2 transcript:Vigun05g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVTGFMHIPLLTKSNSSAQKPMKFTIAHACDNNNSKEAEGRRRVLETKRRHDGGKESSEFQTNSVRLFKITTERRGIVPQTSKNQEGGRCYDSQTNSVRLFKSTITEKKGKILLVQTKRKHDEGTN >Vigun05g181400.2.v1.2 pep primary_assembly:ASM411807v1:5:34709596:34713019:-1 gene:Vigun05g181400.v1.2 transcript:Vigun05g181400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVTGFMHIPLLTKSNSSAQKPMKFTIAHACDNNNSKEAEGRRRVLETKRRHDGGKESSEFQTNSVRLFKITTERRGIVPQTSKNQEGGRCYDSQTNSVRLFKSTITEKKGKILLVQTKRKHDEGTN >Vigun07g061000.1.v1.2 pep primary_assembly:ASM411807v1:7:6898320:6903208:-1 gene:Vigun07g061000.v1.2 transcript:Vigun07g061000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGHVISSPNVSPVTSVGKLGCRGSKASQQKIKTKIQYSPLRFQQSPFNHHYKCIERGATYEESNKNYVVKAVTVPSSESESEASNSKNIVESVKNFIAVLYEFIYPYALYGQASAAISASLVAIEKLSDISPLFIIGLLQAVLPHSFVVLYINGVNQLFDFEIDKINKPYLPLAAGKISFRSCAIIVALSAILGLGLNLMIGSPPLIWNFVLCVTIWTCYSVNLPFLRWKQYPVMASLLIFVCWAYIFPITYFFHVQTFVFKRPVVFTRSLIVSLLFLSIYTTGLALAKDIPDVEGDIKHGIDSFAARLGQKKVFWICVFLFEMAFGVAFLAGASSSSPFLIKIATCLGNVVLGSILWYRTKYVDVTNPASTRSFYSFIWKLLMGSYVLLPLIR >Vigun07g061000.2.v1.2 pep primary_assembly:ASM411807v1:7:6898320:6903208:-1 gene:Vigun07g061000.v1.2 transcript:Vigun07g061000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGHVISSPNVSPVTSVGKLGCRGSKASQQKIKTKIQYSPLRFQQSPFNHHYKCIERGATYEESNKNYVVKAVTVPSSESESEASNSKNIVESVKNFIAVLYEFIYPYALYGQASAAISASLVAIEKLSDISPLFIIGLLQAVLPHSFVVLYINGVNQLFDFEIDKINKPYLPLAAGKISFRSCAIIVALSAILGLGLNLMIGSPPLIWNFVLCVTIWTCYSVNLPFLRWKQYPVMASLLIFVCWAYIFPITYFFHVQTFVFKRPVVFTRSLIVSLLFLSIYTTGLALAKDIPDVEGDIKHGIDSFAARLGQKKVFWICVFLFEMAFGVAFLAGASSSSPFLIKIATEMLFLVQFCGTGPNT >Vigun01g000800.1.v1.2 pep primary_assembly:ASM411807v1:1:153700:157026:1 gene:Vigun01g000800.v1.2 transcript:Vigun01g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFICMTKRIRKMLDREAREWSCIFRHCPMALQQHQLSQRFEGSMSRRIGSSRRHAVSESFSANKQFVLIHGEGFGAWCWYKTVALLEEAGLLPVALDLTGSGIDLTDTNSVTTLADYSKPLTVYLENLPEDEKVILVGHSIGGAYLKAIFLCATMVSDGQKPFDVYAEELGSAERFIQESKFLIHGNGKDKPPTGFMFEKEQMKGLYFNQSPAKDVALAMVSMRHSPLGPIMEKLSLSTEKYGSGRRFYIQTLDDRALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILVEIAQIP >Vigun08g143400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31584781:31585365:-1 gene:Vigun08g143400.v1.2 transcript:Vigun08g143400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFLTLLMERRKPPKNSTNTNTNTNDLSFVKAAAWAWYQHNSGSKGKTITEFHATVTHHEPRPSRYRLEAMRTAMEAREGSPNLHAKKLSLLDEYEVQSISRQLNSLVEDSHHKPFNGADNNSTNGRTQKKKNEKKKVRKGFWLRHGAVCGGEKDVVDPSAVGVSRRGLPTKQVPAVNEVKRLPMEMGAL >Vigun04g081100.1.v1.2 pep primary_assembly:ASM411807v1:4:12200818:12202988:1 gene:Vigun04g081100.v1.2 transcript:Vigun04g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTINNFLSFFLPTKPPPPSTPASPLQFNPTTSSPTHKPSQPLIAQYDPSSSSSPSPSPSSCSSSELSSVICPSMAYTNTLFFQSPYNVQVVVAEDEPEERLLNRFRREVLKAGVIQECRRRRYFENKQEEKKRKAREAAKRNRKRRPMLKTAAQKKEDVPATKREDDDNWDLPDGDALY >Vigun02g063500.1.v1.2 pep primary_assembly:ASM411807v1:2:21116576:21120185:-1 gene:Vigun02g063500.v1.2 transcript:Vigun02g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQNPIIFSHVANPRSIAWRTLHTSKLLLSLSPFLLLLFHYLIHSSPTVAPPNGDSFIQYSIIVKEPNRADLMEAHGGGLRRILLLAFCVAGIWSAYIYQGVLQENVSTKRFGPNGERFEQLAFLNLAQSVVCLVWSFIMIKIWSSGNSGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYSLPEYICTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSIKGRYPKTSAWGIMLGMNLWGTIYNFIYMFGWPHASGFEAVRFCKQYPEAAWDIFLYCCCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVISSVLSGNPLSTKQWGCVFMVFSGLSYQIYLKWEKLQRLQKKRKAM >Vigun03g232000.2.v1.2 pep primary_assembly:ASM411807v1:3:38634474:38635827:1 gene:Vigun03g232000.v1.2 transcript:Vigun03g232000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATASRRPQRSCQRSDHFLLHSFSRARPFLLVIVRQNAPIFASAHHHAASLLATPIDAGDVYLLRETQRPVLAHATFTLPPTRTTYAPTPKATADNTQITATQTATIVPLPLKFQLTDGDVVDDFLSSFGFVFLFWVAMMRRWNVRAKVMTFVGDRVGWVGLW >Vigun06g218500.1.v1.2 pep primary_assembly:ASM411807v1:6:32902432:32905241:1 gene:Vigun06g218500.v1.2 transcript:Vigun06g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGEGERENVPQLTASSTRRRLRTKFRPDPFLSLCRCFSFLVILSSFFCIAVNVLSAIRSFKNQSDIFDGIFRCYAVFIACFGVLAETEWSFIIKFWKVIEYWVARGLLHIFVAVMTRAFPDYSGERKELIVLQSIACYMLLACGVIYVVSGVLCIGFLKRSRQKQEISREQAEKDLEELERRREELEQLLITE >Vigun08g031500.1.v1.2 pep primary_assembly:ASM411807v1:8:2862936:2866650:1 gene:Vigun08g031500.v1.2 transcript:Vigun08g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDNAACNHASAVTDNKGGATNKNAGAAKKVEEVKPHAVHEQLPGVQYCILSPPPWREAVLLGFQHYLLTLGVTVLIPTILVPQMGGGVAEKTRVFQTLLFVSGISTFLQSLFGTRLPIVVVGSYTYIVPITSIIHARRYNSYTDPYERFIQTMRAIQGALIITACFQMAIGFFGFWRNAVRFLSPLSVVPYITFTGLSLYRFGFPMVAECVEIGLPTLVVFVFISQYLNRYIGIKKPIYDRYSVLFTVTIAWLFALFLTSCTVYNHKSESTQNNCRTDRAGLITAAPWVYFPGFFQWGPPTFNAGEALAMIAASFVSFFEYTGTCYAAARYGSATPVPPSVISRGAGWVGVSALLSGVFGSITGSTASVENAGLLALTKAGSRRVVQISSGFMILFSIFGKVGAFFASVPMPIIAALYCVLFGYVSSGGLGFLQFCNLNNFRTKFVLGFSFFLGLSIPQYFTEYYHVKEHRGIPSWLNDVVSVMLMSHTTVAALVGFVLDVTLSREDDCARKDISLQWWERFSLYSADVKNDEFYSLPCRLDKLFPPS >Vigun01g198400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37493734:37496151:1 gene:Vigun01g198400.v1.2 transcript:Vigun01g198400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRKVIMRIILLVIIIIGTVDGRKVQNCGGFGNGRSADGGFGSAGTGTGSNIGSGGGAKIGEGGSIGAGAGGGVGASGGASGSNNVGGGGNIGGGVGGGGSIGAPAGGGVVVGGGASGGGNIGGGVGGGGSVGVGGGGNIGGDGNVGAGGRGSIGGGIGGGGAGGSSNVGGGGNIGGGSGRGGNVGVGGTGSVGAGGGVGVGGGASGGANVGGGIGGGGSVGIGGGAAGDGNVGGGGGGSVGAGGGGVSGGGNIGSGVAAGGNVGAGGSGSIGGVGGGGGSNVGGGGSIGGGAGGSVGVGGSASGRGNVGGGIGGGGATGGGGGSSNVGEEVA >Vigun04g087000.1.v1.2 pep primary_assembly:ASM411807v1:4:14792469:14795651:1 gene:Vigun04g087000.v1.2 transcript:Vigun04g087000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWNSFPLEVSYQVLGWLAFLSWGVAGYPQLILNFRRKSVVGLSLDFQILSLTKHCSYLIYNASLFFSPLVQKQYFEKYGYEQMIPVAANDVAFSSHAVVLHLIIICQFAMFERGNQKFSIYTIAIAVAVWFTAGVCFFIALPTQSWLWLVSIFNIIQAIMTLIKYFPQTLLNFLRKSTNGFSIGTVLLDFSGGVFNYSQMAVQSIDQGSWVNFYGNIGKVLISLVTISYDSILICQHYVLYPDNKKGLPSKNSEEIKQSLICASPSPIDQQQIKGSVTSSYQSPPEV >Vigun04g087000.2.v1.2 pep primary_assembly:ASM411807v1:4:14792469:14795651:1 gene:Vigun04g087000.v1.2 transcript:Vigun04g087000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWNSFPLEVSYQVLGWLAFLSWGVAGYPQLILNFRRKSVVGLSLDFQILSLTKHCSYLIYNASLFFSPLVQKQYFEKYGYEQMIPVAANDVAFSSHAVRGNQKFSIYTIAIAVAVWFTAGVCFFIALPTQSWLWLVSIFNIIQAIMTLIKYFPQTLLNFLRKSTNGFSIGTVLLDFSGGVFNYSQMAVQSIDQGSWVNFYGNIGKVLISLVTISYDSILICQHYVLYPDNKKGLPSKNSEEIKQSLICASPSPIDQQQIKGSVTSSYQSPPEV >Vigun07g202400.2.v1.2 pep primary_assembly:ASM411807v1:7:32383887:32391760:-1 gene:Vigun07g202400.v1.2 transcript:Vigun07g202400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTKPFSTDALSAFASLNSDLRSLSPGYLRLSLRPRLPKRLQIQAAGSTYGNHFRVTTYGESHGGGVGCVIDGCPPRIPLSEADMQVDLDRRRPGQSRITTPRKETDTCKIFSGVSEGLTTGTPIHVFVPNTDQRGNDYSEMSLAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKDFSGTEILAYVSQVHKVILPEDLIDHDTLTLHQIESNIVRCPDPEYAEKMIAAIDAVRVRGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKAVMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDEHGRTRTRTNRSGGIQGGISNGEIINMRIAFKPTSTIGKQHTVTRDKKETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPVNLDLQEPLVPELEPEEVPF >Vigun07g202400.1.v1.2 pep primary_assembly:ASM411807v1:7:32383887:32391760:-1 gene:Vigun07g202400.v1.2 transcript:Vigun07g202400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTKPFSTDALSAFASLNSDLRSLSPGYLRLSLRPRLPKRLQIQAAGSTYGNHFRVTTYGESHGGGVGCVIDGCPPRIPLSEADMQVDLDRRRPGQSRITTPRKETDTCKIFSGVSEGLTTGTPIHVFVPNTDQRGNDYSEMSLAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKDFSGTEILAYVSQVHKVILPEDLIDHDTLTLHQIESNIVRCPDPEYAEKMIAAIDAVRVRGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKAVMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDEHGRTRTRTNRSGGIQGGISNGEIINMRIAFKPTSTIGKKQHTVTRDKKETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPVNLDLQEPLVPELEPEEVPF >VigunL085600.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:72192:73457:1 gene:VigunL085600.v1.2 transcript:VigunL085600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSVNSPRRTLSLSKKRRATVSFFDPEDKTSGFGLSGDHGPKPSEVYGFVGSITTIVATVIFFIWAYGLQKTRSWCMKQCQFSCVVGLLGRDGGYWALAVPTYVMVTIVLMLGFYIGLNFISTPSPSSLNTVFDEFSRDPSSNECSLEDEKPINPISDIGLDRINDIMFNNAT >VigunL059222.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000014.1:401388:401591:1 gene:VigunL059222.v1.2 transcript:VigunL059222.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPHVVVDGFTYEAEAIQGWLDGGNDNSPMTNDKLDPHNLVPIMLFVLQSMTGFKTTYLVLFSHFN >Vigun02g150500.1.v1.2 pep primary_assembly:ASM411807v1:2:29741714:29743941:-1 gene:Vigun02g150500.v1.2 transcript:Vigun02g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCILRPCLQWIDTPEAQGHATVFVAKFFGRADLMSFISNVPEAQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTVRPVPELLGLDAPTPDDASEGEVTCNDKWRVQDPNPSFRLPGAMSDKAASGGKRKRLEELSKLQTATTDLNLRLKPSFLQNAASFGCRQEIRWPRSPSINSEESGTTTAACLESGIGDLYAPDGGRKVLNLFI >VigunL018800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:86239:86646:1 gene:VigunL018800.v1.2 transcript:VigunL018800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGTASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIGPMITHYFCVPLVPQRLLVVLLAHTTVGSSTGVKS >Vigun07g095500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15549247:15549609:1 gene:Vigun07g095500.v1.2 transcript:Vigun07g095500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLYEYDIFWAFLIISSLIPILAFLISGILAPISKGPEKLSSYESGIEPIGDAWLQFRIRYYMFALIFVVFDVETVFLYPWAMSFNVLGVSVFLEAFLFVLILIVGSVYAWRKGTLEWS >Vigun11g199600.1.v1.2 pep primary_assembly:ASM411807v1:11:39770193:39780448:-1 gene:Vigun11g199600.v1.2 transcript:Vigun11g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENQVVSEVPGTKVVVEADHKNDGIKETNGGLSSEVKKEEEENALDGEFIKVEKEENATDDKSHKTERSSDSQSRELLEAQEKIRELDVELQRLTESLKTSEHENNHLREEISVTKQKFEESGKKYEELELSHKKLQEQTVEAENKYNQQLSNLEEALQSQEVKQKELLHVKEAFDDINLELENSRKKMQELQDELKLSADEARKFEELHKQSGSHAESEGKKALEFERLLEEAKLTAKGMEDEMASLKEELKGVYDKISENQKIEEALKTTTAELSTIQEELTLSKSQLLEVEKRLSSRDSLVDELTREVNLIKTSETQLKEDMSALQNLLATSKEELQEKNSELQTARSKLQEEEKLRESIEAALKNQETQFLNVQEELAKLKTENGTLEATMEDLTLNSKKFEKLCAELEEKLKLSDENFHRTDSLLSQALSNNSELELKVKSLEDLHNESGAAAATATQRSLELEGHIQTSVEAAEAAKAQLRDLETRFIAAEQKNLELEQQLNLLQLKTSDADREVTESSEKISHLNAKLEEAKKEKNLLNDQMQEYMEKVAQLESELNQSSLRSSILEEELKKVNDKCYEHEDRATMNHRRSRELEDLIQSSNSKLEDSGKKVSELELLLEADKYRIQELEQQISALEDKCRVSEAQNNKYLSDLSDLTLKLEAIQTQTSTLEVTLQAANEREKELEDSLNAMTDEKKKLEDASSSLNEKAVEKEKLMEILQDDLNLTQSKLQSTESDLRAAELRESEIIKKLTASEENLVIRGRDIEETASRHSELQLLHESRTRDAEQKLQEAIEKFSNKDSEVQSLLEKVKILEELITRGGEQSTSLNNQLEESLSKLSSLESENEVLKRQVLEAESKSAQSVSENELLVGLNIELKTKIGELEESLNRELSEKDAATQELVAHRNSIAQLNDLQSKSTQIQSANESRILEVESQLQEALQRHTEKESETKVLNEKLNTLENQIMLFEEQALKAVVTSGTQKDELEQSLIKLKQLENVIEELQNKSLHHEKETSGLNDENSKLNQEIAIYESKLSDLQSKLSAALAEKDAIEELVSKHSAEVQTLNSQLSSVIDEKNLLNETNQDIKKELQSFILDLEEKLKEKQKIEGSLRSEIEILKIEIAEKSVLQRQLGEIEGKLTRSESRLSEEVGSVQAAASQREAELNSKLIDYEQKFNDRNVLIEKVAELEKELQLARDTLANQKGAESQKLELETALKNSVEEHESKKKDISLLQKQVADLEQKLQLASDKSPVKGDEEGLEVKSRDIGSNLSSPSKRKNKKKSEVPSVQTSSASETHVQSGHRSSVVNYKFVFGVAIVSIVLGIILGKYY >Vigun03g372401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57502855:57503223:1 gene:Vigun03g372401.v1.2 transcript:Vigun03g372401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQTHHTSLSISLFLSHHNYVPRIALLQAPKKSHLHHCSFKTTIFFTELCGLFGFLCLGQSFSQRKQESENLLTPSPLLSFLGLSFPISFTHVLFHHPSPLTPPHPTHPPSSFSLSAFSL >Vigun07g287400.1.v1.2 pep primary_assembly:ASM411807v1:7:40025464:40031367:1 gene:Vigun07g287400.v1.2 transcript:Vigun07g287400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRPTGIICAINGNFSGGKSQEIVVARGKVLDLLRPDDNGRIQTILSVEIFGAIRSLAQFRLMGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTLVYSICGVDCGFENPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRDDLPAERGVLIVSAAMHKLKSMFFFLLQTEYGDIFKVTLEHNNDRVSELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFKSIGDEDDVEASSATLMETEEGFQPVFFQPRRLKNLVRIDQVESLMPIMDMKVSNLFEEETPQIFTLCGRGPRSSLRILRTGLAVSEMAVSKLPGIPSAVWTVKKNVIDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTISKVGSNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQALSVQSVSSAPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCVEGVVAVAGEALRIFTIERLGETFNETVIPLRYTPRKFVLQPKRKLLVMIESDQGALTAEEREAARKECFEAAQAGENGTGSADQMENGGDDEDKDDPLSDEHYGYPKAESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSICTVNFHDKEYGTLLAVGTAKGLQFLPKRTVTAGFIHIYRFVEEGRSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTIVSIQAYRDRIYVGDVQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECIVFGTVMGSVGALHAFTSRDDVDFFSHLEMHMRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >Vigun11g011800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1436834:1438674:-1 gene:Vigun11g011800.v1.2 transcript:Vigun11g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDHILHLSFLSDEHCWSLFSDHCFGNKSSCNPQLGVLGRRILSKCGGVPLAAKMLGGLLHKKDDKDWMEILNSPQWEQTNINFATPFVRLCYLSLPIQLKRCITYLGMFHKGYQFQKRQVVLLWIGKALIQREKNESMENLGNKYFDFLVARSFLIRSNSGDEADFTMHDTVRDLALAVSRKSHYLSYQSLFGSDYESIDTSFLISNIQNTEESSSELYEPQTEEYAPSKDNVGTANITHGLDQQSPEIDANLPTITNDVVQPSFESGTQFDTV >Vigun10g149300.1.v1.2 pep primary_assembly:ASM411807v1:10:36776969:36780704:1 gene:Vigun10g149300.v1.2 transcript:Vigun10g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVHKRFHHNSLKDGFASNMKKTEPAINNADSQALLKQVALTESLGGWEDGILTIGTLGYDPLKSMNPHKEYFALEIEVEQEDGEGENGIMVDEEEEKCYNAEHEELNPLMYNTFEHNFEDIVSENYDDGDVVRSLNEIVVAPPVICDEVMESYDMEADEKKKKGERITLADLFLADSDVKIKLNPAKVCHLASSEKPNLKAKHGLSFAKKLIPRVKDNPHPMKDIKKLMKKMLKRKIHPDLDVKNLKPEGEEVSAAALNDNHMNEGNDSSYFLSI >Vigun09g264400.1.v1.2 pep primary_assembly:ASM411807v1:9:42865328:42867044:1 gene:Vigun09g264400.v1.2 transcript:Vigun09g264400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFRFHQYQVVGRALPTEADQHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYNEMASRHRVRCPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKAKKPNLFM >VigunL003432.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:22263:23236:-1 gene:VigunL003432.v1.2 transcript:VigunL003432.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGATVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDRLNKYGRPLLGCTIKPKLGLSAKNYGRVVYECLRVLPVASRGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGVVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun05g149100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21601171:21602546:1 gene:Vigun05g149100.v1.2 transcript:Vigun05g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAGENLFTTFSSSSTTSITISTTISSSSSSSSCSSATSSSQTSPPDDSTAAQNQNTPISNKKRQRNGDDNKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPNLAQDLPRPITTSPKDIQAAAAKAAATTFFHHAKHCEPQKDEQNHAEQASFSTQAQHVQAEEASPSTLSMDTTQGSSTSHSTADDDTLFDLPDLFPDENNGLFFSYSSSWGLCAADSGFRLEEQFLWENY >Vigun07g188700.1.v1.2 pep primary_assembly:ASM411807v1:7:30611655:30626194:1 gene:Vigun07g188700.v1.2 transcript:Vigun07g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFSLLDKCNHRHEEQDNINGITDVHSTHLIRGRRMLLLASSSSPCLSLSSVSHLHYHLPSSFPCRSLHLRFPPQATPLRLHTPPPLSCSLNSSSSVEQSASLSQMQNSLLYSRAYWVTESLIAWNVDVANGFSCHLFGSKNASLTIANGQIEGEDLRVELQKDRAGLPSNVLEKFPHIRGYKAFKLSSTLDVKSLLKSQLAVAIYDSDDKCSNCTGLQLPGVLDELFSYNGPLGALYSEEAVSLYLWAPTAQAVRAYIYKDPSGDDPIEIVCLEEENGVWRTKGPKSWEHCYYVYEVCVYHHSTLRVEKCYANDPYARGVSSDGRRTFLLNLDSDELKPEGWDHLANGKPTIHCFTDISLYEMHIRDFSATDLSVQPAFRGGYLAFTLQDSAGVLHLKRLSSAGISHVHLLPTFQFAGVDDQKENWRFVDTSILESFPPDSDQQQALITAIQNFDGYNWGYNPVLWGVPKGSYASNPNGPYRTIEFRKMVKALNHIGLRVVLDVVYNHLQGSGPSDEHSVLDKIVPGYYLRRNRDGFIENSTCINNTASEHFMVERLILDDLVHWALNYKIDGFRFDLMGHIMKRTMVKAKTALHSLTKEKDGVDGSSIYIYGEGWDFGEVAKNGRGINASQFNLPGTQIGSFNDRIRDAILGGSPFGNPLQQGFVTGLLLQPNGHDHGTEENAKSMLAASMDHIQIGMAANLRDFVLTNSKGEEVKGSEILTYDGTPVAYASCPIETINYVSAHDNETLFDIVSLKTPMDITVTERCRINHLATSIIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPQEKNEKSWPLIKPRLANPSFKPQKIDILAAMENFLNLLRIRYSSPLFRLKTANAIQQRVSFHNTGPSWFCGVIVMSIEDGHDGFPGLSQLDPIYSFIVVVINASPKEASFVSPALQSRSLQLHPIQVSSSDEVVKSSRYEASSGCFVVPQRTTAVFVEPRKT >Vigun07g188700.2.v1.2 pep primary_assembly:ASM411807v1:7:30611655:30626194:1 gene:Vigun07g188700.v1.2 transcript:Vigun07g188700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFSLLDKCNHRHEEQDNINGITDVHSTHLIRGRRMLLLASSSSPCLSLSSVSHLHYHLPSSFPCRSLHLRFPPQATPLRLHTPPPLSCSLNSSSSVEQSASLSQMQNSLLYSRAYWVTESLIAWNVDVANGFSCHLFGSKNASLTIANGQIEGEDLRVELQKDRAGLPSNVLEKFPHIRGYKAFKLSSTLDVKSLLKSQLAVAIYDSDDKCSNCTGLQLPGVLDELFSYNGPLGALYSEEAVSLYLWAPTAQAVRAYIYKDPSGDDPIEIVCLEEENGVWRTKGPKSWEHCYYVYEVCVYHHSTLRVEKCYANDPYARGVSSDGRRTFLLNLDSDELKPEGWDHLANGKPTIHCFTDISLYEMHIRDFSATDLSVQPAFRGGYLAFTLQDSAGVLHLKRLSSADTSILESFPPDSDQQQALITAIQNFDGYNWGYNPVLWGVPKGSYASNPNGPYRTIEFRKMVKALNHIGLRVVLDVVYNHLQGSGPSDEHSVLDKIVPGYYLRRNRDGFIENSTCINNTASEHFMVERLILDDLVHWALNYKIDGFRFDLMGHIMKRTMVKAKTALHSLTKEKDGVDGSSIYIYGEGWDFGEVAKNGRGINASQFNLPGTQIGSFNDRIRDAILGGSPFGNPLQQGFVTGLLLQPNGHDHGTEENAKSMLAASMDHIQIGMAANLRDFVLTNSKGEEVKGSEILTYDGTPVAYASCPIETINYVSAHDNETLFDIVSLKTPMDITVTERCRINHLATSIIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPQEKNEKSWPLIKPRLANPSFKPQKIDILAAMENFLNLLRIRYSSPLFRLKTANAIQQRVSFHNTGPSWFCGVIVMSIEDGHDGFPGLSQLDPIYSFIVVVINASPKEASFVSPALQSRSLQLHPIQVSSSDEVVKSSRYEASSGCFVVPQRTTAVFVEPRKT >Vigun08g021500.1.v1.2 pep primary_assembly:ASM411807v1:8:1888340:1890747:-1 gene:Vigun08g021500.v1.2 transcript:Vigun08g021500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQIFVLKKDSMDIKATKNFPATLRLVVLVMAATCGLYICSVNLEKPTRVRVNTKLLEQKVINHSCHTSSVEEWEEPYLHYPQPKTYNREECACNPVRFFCILTMQRSGSGWFETLLNSHMNVSSNGEIFVVAKRRENVTSILKTMDEVFNLDWFSGASKNECSAAVGFKWMLNQGLMDHHEEIVEYFERRGVSTIFLFRRNLLRRMVSVLANSYDKIAKPLNGTHKSHVHSKEEAGILAKYRPWINSTLLMTEIKQTEETVAKALQYFKNTHHIVLHYEDLVKNATKVKDVQEFLGLPLRDMHSRQVKIHTGPLWKQIGNWEEVRKTLRGTPYQNFLFPD >Vigun08g021500.2.v1.2 pep primary_assembly:ASM411807v1:8:1888340:1890748:-1 gene:Vigun08g021500.v1.2 transcript:Vigun08g021500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQIFVLKKDSMDIKATKNFPATLRLVVLVMAATCGLYICSVNLEKPTRVRVNTKLLEQKVINHSCHTSSVEEWEEPYLHYPQPKTYNRMNDWWMNREECACNPVRFFCILTMQRSGSGWFETLLNSHMNVSSNGEIFVVAKRRENVTSILKTMDEVFNLDWFSGASKNECSAAVGFKWMLNQGLMDHHEEIVEYFERRGVSTIFLFRRNLLRRMVSVLANSYDKIAKPLNGTHKSHVHSKEEAGILAKYRPWINSTLLMTEIKQTEETVAKALQYFKNTHHIVLHYEDLVKNATKVKDVQEFLGLPLRDMHSRQVKIHTGPLWKQIGNWEEVRKTLRGTPYQNFLFPD >Vigun11g070551.1.v1.2 pep primary_assembly:ASM411807v1:11:20558412:20558901:1 gene:Vigun11g070551.v1.2 transcript:Vigun11g070551.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RPTIDNNSPPNTAHNFHRTVLSKEFYGRSATPGCRRRPWGDLVVPTGWRRWGRSMDSFSFCHISLKGLKGDSASSCSQGPA >Vigun07g256800.1.v1.2 pep primary_assembly:ASM411807v1:7:37434975:37437083:-1 gene:Vigun07g256800.v1.2 transcript:Vigun07g256800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNTLTLPKPKRQIFILSGQSNMSGRGGIVRAPDRKYWDGVVPPECSPHPSILRLAANLQWEPANEPLHVDIDTGKACGVGPGMAFVNALRPRVGEEVGLVPCAVGGTALKEWARGEELYENMVKRAKESVKGHENCEIKALLWFQGESDSLNDEDATIYKVTMETLIHNVRQDLNLPSLPIIQVALASGFEFIEKVREAQKAIDLPNVIYVDAEGLQLKEDNLHLTTESQVKLGGMLAQAYLKHFHV >Vigun06g178600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29860927:29864495:-1 gene:Vigun06g178600.v1.2 transcript:Vigun06g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRFSSQQSPVHKLGDPQMKLSPKFRLAAVQSSLANPSPELEHSLREEPLIPSLPDDVALNCLLRIPVQSHSACRAVCKRWHMLLGNKERFFTNRKQFGLKDPWLFVFAYHKCTGKIQWQVLDLTHFSWHTIPAMPCKDRVCPHGFRCVSIPRDGTLYVCGGMVSDVDCPLDLVLKYEMQKNRWTVKNRMITARSFFASGVIDGMIYAAGGNSTDLYELDSAEVLDPLNGSWRPIANMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPTTNNWENMAVGLREGWTGSSVVVYGHLFVVSELERMKLKVYEPQTDSWEAIEGPPLPEQICKPFAVSACDCHIYVVGRNLLVAVGHISKLKPKESCKEQWNFGVRWNVIDAPECLSDLTPSSSQVLFA >Vigun02g104500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25930800:25932544:1 gene:Vigun02g104500.v1.2 transcript:Vigun02g104500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLMKQKKLKPAHFTFLFQIQHYFMCLPHQNKHQPHSLSFSLFSSPKPFSSMGMPQHHLQSQSSSNNSKRRKNQQQHEQEQEQEHVLIAGLPDHIAQLCLSSVNPSLLFSISHSWRRLIYSPSFPPFFSLYAILSPPKSHSFIEFHTFDPISHSWRILPHHPHLRHLLLRHPSFLSRSLSVQSVSAAGRLILLAATTHNLSPALPRPLIFHPLSGTWSFGPVLATPRRWCALGVLGPDVYVASGIGAHFSIHVARSLEKWDVRSPDGDVWEKKTDLKDGRFSREAIDAVGWRQKLCMVNVKGDAAKEGAVYDVAEEAWKDMPEGMLYGWRGPVAAMEEEVMYVVDEAKGVLRRYLAEEDAWEEILENERLKGAEQLVAEKGKLCVVSTSGISVVDVAASPPRIIPVLLPEGFEPVAVHVLPRMPVGH >Vigun10g140250.1.v1.2 pep primary_assembly:ASM411807v1:10:35726838:35727095:1 gene:Vigun10g140250.v1.2 transcript:Vigun10g140250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTEQNPATTEPQRQSLLSFLHTETEVGVLCTPNLKGYDGKPLLLVHGYLWFRNNSVSTTSRVCMTWEMSLL >Vigun10g149200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36757514:36758376:1 gene:Vigun10g149200.v1.2 transcript:Vigun10g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPIPIPIRPWSRLASLRSLPAPDSLPKPQSAELSVETSHDRKESATKSVPETPDVHSPMQSRKLKLSTPLKSQAQLEIKIALEEEVTTGNGRGSLKSDWDENEVIAKEVKTKGKGSSDGHAMRVITISGENKGASMQITTPHKKPFHKLRAVYANSNVQCVNNSIVLNTRLTHHDPGMHLTIPKKPFGEGFHLKKR >Vigun03g194300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:27180821:27182086:1 gene:Vigun03g194300.v1.2 transcript:Vigun03g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFRERERTRERRLSWARLAIFVLWVILVFSLISLFFSMDKESKTTTTSSTTTTTTSATTRTRTTHVLKQRSFSRTLFHTPSRSSSSSSSSRSYHTQQKTKVVERDPHRTNIYGDDKRIIHTGPNPLHN >Vigun10g058528.1.v1.2 pep primary_assembly:ASM411807v1:10:11107210:11108401:-1 gene:Vigun10g058528.v1.2 transcript:Vigun10g058528.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYDAVEDPTTSHIVSWSRSIPLASLQTLTTAAIVLFSPIYDRLFVLATRAITSKPSSITMLQRIGTGIFISVFTVVFAALVETKRLKTAQESGVVDEPKATVSVSIRRLKTLILI >VigunL009401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:116861:117064:-1 gene:VigunL009401.v1.2 transcript:VigunL009401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun05g005800.1.v1.2 pep primary_assembly:ASM411807v1:5:481167:483371:-1 gene:Vigun05g005800.v1.2 transcript:Vigun05g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGKSFTSKMKSITTLAVSRIVILKNQHKARASYARSDVAQLLNLGYHDPALLRVDQWIIEQNMLEVFSMIESYCNFLRERAEALETNKECPVDLKETLSSLIFASSRCGDFPELHKIREILTSRFGKEFAEHAVELHKNNRVNSKMIQKLSPKRPTMEIKIKALKQIASEIGVTLRLEQEPVLINKDKLNVERRQDEREIRKNSVDDHHNKVNIQNNPEKTIQHELVSNRNEERKRHTDTAAAAEKALESESFEIEVNKHSNHAIELKASERREIKTLAWEDFQLSLNPRDKMTAISKNAVLISEEHAEEANREDNNDHNSNSDAATENEHFKSNEERVYASSNAIKWNSQRSQSDPTQHPLAARHVMETSNHEHVDWKMMSVRSR >Vigun08g202150.1.v1.2 pep primary_assembly:ASM411807v1:8:36626690:36630137:-1 gene:Vigun08g202150.v1.2 transcript:Vigun08g202150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKVQIRYLAPCIWLLSFTTIFLHLCESFNYGQALSQSLLYFESQRSGRLPYNQRVTWRHHSALTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTVTLLSWAAIEYGQQIAAAGEYAHTLEAIKWGTDYFIKAHTHPNVLWVEVGDGYTDHYCWQRPEDMTTSRRAYKVDGDNPGSDVAGETAAALAAASMVFRRTNPHYSQQLLHHAEQLFEFGDKYKGKYDESVGVAKGYYTSVSGYMDELLWAAIWLYKATEKDQYLNYFVEKAHDFGGTTWAISEFSWDVKYAGVQAIASMLSTEEKKHKQHEVVLKQYRSKAEHYLCACLNLNNVSNVERTPGGYCTPANGTTCSTWQRRRFFSHCILITSKPHIRSCIAKGERWVHTRCLPLRNHRLITS >Vigun05g070900.1.v1.2 pep primary_assembly:ASM411807v1:5:6104467:6105666:1 gene:Vigun05g070900.v1.2 transcript:Vigun05g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEKGGSGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >Vigun05g186800.1.v1.2 pep primary_assembly:ASM411807v1:5:36131633:36134132:1 gene:Vigun05g186800.v1.2 transcript:Vigun05g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQILSRVFPKTLTLAPFLSRSFTSPPPSSLSALSFLRRISVAANPSLCRLLLPTTSSFRALSTRATTSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVEKPEGDPTRDEIIDSYIKTLAKVVGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPGVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNADRSRNFDRRRENVVNRDMQGRPPMSNPGGPPSNAGGYAPPPSNPGAYAPPSNQGAYAPPSNHGGYAPPSNQGGYAPNNAGGYPPNNAGGYAPPNAGGYAPPNAGGGYPPPSPPNMGGGYGPGGGAPQGNYTGNMGGVPPNQNAGGFQPNAGWSNNAPSRDVPSRDMGGAPGGNPYTA >VigunL082400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:28991:29467:1 gene:VigunL082400.v1.2 transcript:VigunL082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCACDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPHLRRILMPENWIGWPLRKDYIAPNFYEIQDAH >Vigun03g128001.1.v1.2 pep primary_assembly:ASM411807v1:3:12373931:12374582:1 gene:Vigun03g128001.v1.2 transcript:Vigun03g128001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHRKLLELPYPPDLKDDKQSPNSNHKTTYLIVCFSVVAAVFVVLCSYAIYVKFFSPRNRSVRRTLSRPQTEEDLLDEEAHGPVVDHPIWYIRTTGLQQSVIGAITVCKYKKDEGLIEGSECSVCLSEFEEDESLRLLPKCLLWRWRFLKVVMEKNIIMFKLKPNMVMIC >Vigun11g064700.1.v1.2 pep primary_assembly:ASM411807v1:11:15305332:15305808:1 gene:Vigun11g064700.v1.2 transcript:Vigun11g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQSSSSYCNNGVQRSVPASRTQALRPTCDCGQAAVLRTARTPRNVGRKFWDCANYKRQSEGGGVCCNFIKWWYEDVDEEKEVIIVNQNMKIEDLENVVRDLKKCFNVLAVVVSIVGLINVVMLALMLKD >Vigun06g053000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17875301:17876623:1 gene:Vigun06g053000.v1.2 transcript:Vigun06g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFKKSQVLVLCLLLVLLVITPYLPSSLRPTYLYFIINFLIMALCAEAGLLSVFPSPMEDKKPSEASSEKREGSNSTPIVSDAVSEQAEKCASERVVSVTNMMQNSPPMPTSLFFIEADAEGMDEEDEAEEEIGGVNGQELFAKAEAFIGNFYKQLRMQSEESWIYQKGF >Vigun04g019840.1.v1.2 pep primary_assembly:ASM411807v1:4:1513773:1516596:-1 gene:Vigun04g019840.v1.2 transcript:Vigun04g019840.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEDQISSWRMMITDIPGVTCFEDLPVKFAALQKEVIHSTQKEGEITARMKQMEVALDAIEIGKKNAEAEAALAKEKAEVLISEIKRIELMVSV >VigunL086400.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:59148:62392:-1 gene:VigunL086400.v1.2 transcript:VigunL086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDLINNYGKVVFSRKDKKPASAEIDDDAESLSFAMELASVASEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDRAEKKYGKIPTGDTKPNSWTLLDFGDVVVHIFLPSQRTFYNLEEFYGNATPVELPFENQPPFRS >Vigun02g160400.3.v1.2 pep primary_assembly:ASM411807v1:2:30635047:30638732:-1 gene:Vigun02g160400.v1.2 transcript:Vigun02g160400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKVREIPMEDPVALASETACNVSDVEALYELFKNISKSVIDDGLISKEEFQLAIFNKKKKENLFASRIFDLFDVKKKGVIDFGDFVRALNVFHPSTPIEVKIAFSFKLYDLDNTGFIERHEVKQMINALLCEAEIKLPEEMIEMIINKTFMDADPNQDGKIDKYEWKNFVYENPSLLKVMTLPFLRDVTTAFPSFVFHSKVEDEIAE >Vigun02g160400.1.v1.2 pep primary_assembly:ASM411807v1:2:30635047:30638732:-1 gene:Vigun02g160400.v1.2 transcript:Vigun02g160400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKVREIPMEDPVALASETAFSVSDVEALYELFKNISKSVIDDGLISKEEFQLAIFNKKKKENLFASRIFDLFDVKKKGVIDFGDFVRALNVFHPSTPIEVKIAFSFKLYDLDNTGFIERHEVKQMINALLCEAEIKLPEEMIEMIINKTFMDADPNQDGKIDKYEWKNFVYENPSLLKVMTLPFLRDVTTAFPSFVFHSKVEDEIAE >Vigun02g160400.2.v1.2 pep primary_assembly:ASM411807v1:2:30635047:30638732:-1 gene:Vigun02g160400.v1.2 transcript:Vigun02g160400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKVREIPMEDPVALASETAFSVSDVEALYELFKNISKSVIDDGLISKIFDLFDVKKKGVIDFGDFVRALNVFHPSTPIEVKIAFSFKLYDLDNTGFIERHEVKQMINALLCEAEIKLPEEMIEMIINKTFMDADPNQDGKIDKYEWKNFVYENPSLLKVMTLPFLRDVTTAFPSFVFHSKVEDEIAE >Vigun07g127100.1.v1.2 pep primary_assembly:ASM411807v1:7:23430017:23433976:1 gene:Vigun07g127100.v1.2 transcript:Vigun07g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEMVVKTEDAVGSSSFPCYNSNVYPFSNAFDFSEVEKSSLGFMELLGVQDYSPLLELPQLSTVSAPHHSTVKAPSDNGKECSEVLNHQPATPNSSSISSASSDAVNDEQNKTLDQVEEDDDEEEEGQHKTKKQLKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKRVERSFTDPSVVVTTYEGQHTHPSPVMPRSGVPAGYATNFASVFPQPGNYLSQYQQQQQHQHQQQLIFNTLSSLGFPYNDSSTAKNAGFTQERRLCNPGTNAFLRDHGLLQDVVPSHMLKEE >Vigun11g096700.1.v1.2 pep primary_assembly:ASM411807v1:11:28206611:28207729:1 gene:Vigun11g096700.v1.2 transcript:Vigun11g096700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPFHLKNQKYPTMTTTNVVLFLCFVFFFYVSEGAKVTFINKCSFTVWPGTLTGDQKPQLSSTGFELGPGASNSLDLPSPWSGRFWARTGCSNNNGKFSCATADCASGQVTCNGAGAIPPATLVEITIAPNGGQDFYDVSNVDGFNVPMSVTPKGGSGDCRSSSCPKDINAACPEDLQLKGSNGNVIGCKSACLAFKDDKYCCTGPNNTPETCPPTSYSQFFEEQCPDAYSYAYDDKNSTFTCSNGPDYEITFCP >Vigun05g260600.1.v1.2 pep primary_assembly:ASM411807v1:5:45377876:45379228:-1 gene:Vigun05g260600.v1.2 transcript:Vigun05g260600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFASSLISSSPHITTFLSSSSSSSSSLHSYHPLRTTTLPSLSSNFPITTPFKKSILFHNPLRPIILLPPPPAAAAEDVISTAETTAAAVEKLYPTTDQGVATVVSALFFLAFLGLSAITIGVIYLAVVDFLQKREKEKFEKEEASKKGKKKKKKVVGRARAGPRGFGQKVVEEDEEKDD >Vigun03g252800.1.v1.2 pep primary_assembly:ASM411807v1:3:41901821:41905516:1 gene:Vigun03g252800.v1.2 transcript:Vigun03g252800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASEASARTKRLVKLTLSLLRLGFNSSKCKTAAKMAVARIKLLRNKREVVVRQMRRDIALLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELIVARLSIIAKQRECPADLKEGIASLIFAAPRCSEIPELVSLKNIFEKKYGKDFVSAAVDLRPSCGVNRQLIEKLSVRTPAGEVKLKVLKEIAKEHQIDWDTTESEKELLKPPEEPIEGPRTFVSASSLPVKPSTNVSVESNKPASRLSGGGKTDAVHYEDSRSAAEAAAEAAKKAIAAAEVAAYMAKKEYNEAPQPYVYSGTFQANNPSTEDKMYRSHSLPRSDQMKSEEDSLPNQYGENDHRRHSYHPTSAHSDIKFDESDCDEETEAEEPRSTLPPNRLPPPVPSSLAKQDGSIHRVHPKLPDYDELAARFDALKFRK >Vigun02g202000.1.v1.2 pep primary_assembly:ASM411807v1:2:33642865:33644146:-1 gene:Vigun02g202000.v1.2 transcript:Vigun02g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVSHMWGAMHLDHFLSHICFNCPPPVCIYSQTNTRNAIIFTCNTNTNQNHFLRDMELPAKPRALIAFLILLLNHACLLHSRNLPTSPSPAPEGIAPATYERSLNPHEGKKANPRLGSFPATCQTKCNQCKPCVPVEVTIKTMAEEENQYYPIAWKCMCQSNIFSP >Vigun11g087366.1.v1.2 pep primary_assembly:ASM411807v1:11:26122106:26122410:1 gene:Vigun11g087366.v1.2 transcript:Vigun11g087366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNQMSIQHLMTYLLKILQVYILIMKLIGDLSYLYKKLADQEGLFLIL >Vigun09g260200.5.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539491:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRMVDLIALQFVRNFNTKGRFFH >Vigun09g260200.6.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539458:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRMVDLIALQFVRNFNTKGRFFH >Vigun09g260200.1.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539458:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRVCRSLRVISDKELEEANLSIDEVISLADGGFDSTAICEELQHKGTVLSLDTEEKLSETTGRNFSDPRQQQESPVLKGNLDCVRECSTSVEGNLSSVGISKMGERRRAKAEKTITLQVLRQYFAGSLKDAAKNIGVCTTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGAFQIDSFYSNFPELASPNLSGTTMFSTLNQTDNPNSISTHPDPGSLSPEGTSKSPSSSCGQSSISSHSCSSMSELQQQNPATNIAGNKDSTMAGEDSADVALKRIRSEAELKSLNQDKAKVLPRSLSQETLGEHPKNQYQRPLLKTSSKVDGHRVKVTYGDEKTRFRMPKNWGYEDLMQEIGRRFSVSDMRKFDVKYLDDDSEWVLLTCDADLEECIDVCRSSESATIKLSLQISSHSMRSSLEFR >Vigun09g260200.4.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539458:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRVCRSLRVISDKELEEANLSIDEVISLADGGFDSTAICEELQHKGTVLSLDTEEKLSETTGRNFSDPRQQQESPVLKGNLDCVRECSTSVEGNLSSVGISKMGERRRAKAEKTITLQVLRQYFAGSLKDAAKNIGVCTTTLKRICRQHGIKRWPSRKIKKN >Vigun09g260200.7.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539458:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRMVDLIALQFVRNFNTKGRFFH >Vigun09g260200.3.v1.2 pep primary_assembly:ASM411807v1:9:42534391:42539492:1 gene:Vigun09g260200.v1.2 transcript:Vigun09g260200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGGVTSSSPASMMEAPPPDGTTTTITTMDFDYMGELFLDGCWLEASVDGSDFLPQSPSFSNPLFDPSFSWPALETNHNEPQGAAFGTQQEGHNNDNMVNVVVGQELQPETTAIEGASEGVRRWRFAPAPSPAPGPSIMEKLIRALMWIKDYNRNKNMLTQIWVPVHRGGRPILAANDILFSLDSRSVNLAKYREISVRYEFSAEEGEVKELVAAEKGGSKELLPGLPGRVFRDKVPEWTPDVRFFRSDEYPRVDHAQEYDVRGSLAVPIFEQGSKMCLGVIEVVMTTQQINYGPELDSVCKALEAVDLRSSKQLSIHNVEACNRSYEAVLPEIQLVLRSACEMHRLPLAQTWIPCVQQGKEGCRHSEDNYLLCISPVEHACYVGDPKIRPFHESCTEHHLLKGEGVAGGAFMTNQPCFSDDITSLSKKDYPLSHHARLFGLRAAVAIRLRSIFNSTDDFVLEFFLPVDCNDSEEQRKMLTSLSIIIQRVCRSLRVISDKELEEANLSIDEVISLADGGFDSTAICEELQHKGTVLSLDTEEKLSETTGRNFSDPRQQQESPVLKGNLDCVRECSTSVEGNLSSVGISKMGERRRAKAEKTITLQVLRQYFAGSLKDAAKNIGGKSCTRACFFYCFCFCVQTLGTVCHADRTEWNSKVLFYTTLFHPFS >Vigun10g129800.1.v1.2 pep primary_assembly:ASM411807v1:10:33790603:33807377:-1 gene:Vigun10g129800.v1.2 transcript:Vigun10g129800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNSAIGGPSARDTGRSDSPSLPANFSVSSRRQPPLNPFKLKCDKEPLNSRLGAPDFHPQTSNCPEETLTREYLQSGYRDTVEGLEEAREISLTQVPHFNKNVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVALSGSQLARSGIFPELRPCGEDFQKKWIEGLSQQHKRLRSLADYVPHGYKRASLLDVLIRNNVPLLRATWFIKVTYLNQVQPGSVGISSGTADKIQLSRSDVWTKDVINYLQALLDEFLSKNVSHSASHGRERSPQIPGPLQNKSDPLLSVSDGEGPSLHFRWWYIVRLLQWHHAEGLLHPSLVIDWVFTQLQEKDLLEVWQLLLPIIYGFLETIVQSQTYVRTLAGLALRVIRDPAPGGSDLVDNSRRAYTTCAVIEMLRYLILVVPDTFVALDCFPLPSSVISHTMNDGNFVLKSTEAAGKVKNSSDDFGRIISRIQKHTEDLVKASIPGSPGHCLAKVAKALDKALVLGDLHVAYKFLFDDLCGGTVSEGWVAKVSPCLRLSLKWFGTVNTPLIYSVFFLCEWATCDFRDFRGSPPHDIKFTGRKDLSQVHIAVRLLKMKIKDVKILLKQTNEYRRASRFAKNLGQRPNSNYVGKVSRLKSSSKSTGSSVIFESPGPLHDIIVCWIDQHVVHKGEGSKRLQLFIVELIRAGIFYPLAYVRQLIVSGIMDANVNLVDMERRRRHYCILKQLPGCFIHDVLEDLGIVDGVHLKEALQIYLNERRLILRGPLSESHDDASGTDLSALKRKRYPASIKDEASGMTVDQRNVISTTKNVKDNANIEELKTAISVLLQLPNCSSNLSATGCDESEGTVKRSIGSQNSKIDPVEGTPGCEECSRTKRQKLSEERNSCVQGHSPVQSDDDDAWWVKKGMKSPEPLKVDQPQKLTKQVTKSRQKIVRKTQSLAQLAASRIEGSQGASTSHVCGSKVSCPHHKTAMDVDGQRSVDIIPTSHFGDIVSIGKALKQLRFVEKRAIAVWLLSVVRQVIEETDKNIGKVGQFGRPSSGADDRSSIRWKLGEDELSAILYLMDISHDLVSAVKFLLWLLPRVLNSPNSTIHSGRNVLMLARNAENQVCDVGEAILLSSLRRYENILVAADLIPEALSSAMHRAAKIVSSNGRLSGSGVLAFARYLLRKYSNVASVIEWEKTFKATCDTRLSSELDSCRSVDGELGLPLGVPAGVEDHDDFFRQKISGGRLQSRVGAGMREVVQRNVEEAFHFLFGKDRKLFAAGTLKGLPPVEKWDNGYQIAQQIVMGLIDCIRQTGGAAQEGDPSLVSSAVSAIVGSVGPTLAKMPDFSSGSNHSNITSTSNLLNYARCILRMHITCLGLLKEALGERQSRVFDIALATEASTALAGVFTPSKASRAQFQTFPEVHDSSNTISNDMGSNSNKVVVAKTTKIAAAVSALFVGAIIYGVTSLERMVTVLRLKEGLDAVQFVRSTRSNANGNARSVMAFNKMDSSIEVHVHWFRLLVGNCRTICEGLVVELLGEPFIMALSRMQRMLPLNLVFPPAYSIFAFIRWRPFILNATVREDMNQIYQSLIVAITEAIKHLPFRDVCFRDCQGLYDLMSADNSDSEFANLLEFNGSDMHLKLTAFVPLRSRLFLNAIIDCKMPQSIYAKDDGSRISGPGESKVKPTDSGSKLQDMLVHVLDTLQPAKFHWQWVLLRLLLNEQALIEKVENHDVPLSDAIKLSSPSPEKAASASENENNFIQILLTRLLVRPDAAPLFSELIHLFGRSLEDSMLLQAKWFLGGQDVLFGRKAIRQRLHNIAVNKKLSVKTQFWEPWGWCSPTTDSLTIKGDNKKFDSTSLEEGEVVEEGTYLKRCQQQVIERALIELLLPCIDQSSDEARNSFATDLVKQLSYIETHITAITGGSKPVGSAPAGVEGQPNKVNNRKNLRTGNATLSRRPTVATDSSPPSPAALRASMSLRLQLLLRFLPTLCTDREPSVRSTRQFLASVIFRLLGSRVVHQDADISANAVPLPTREAESSSEVASAAFVDSSSQSLFDRLLLVLHGLLSSYPPSWLRPKPSKTSNEPTIDRELLETLQNDLDRMQLPDTVRWRIQAAMPVLIPSMRCSLSCQPPSVSNSALMCIQPGTTNPGVNSISSTIPQRGPALSRVASNVSGKQKRQDNDLEIDPWTLLEDGAGSVPPAGNTTSIGSGDHVNIRAASWLKGAVRVRRTDLTYVGAVDDDS >Vigun10g129800.2.v1.2 pep primary_assembly:ASM411807v1:10:33790616:33807371:-1 gene:Vigun10g129800.v1.2 transcript:Vigun10g129800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNSAIGGPSARDTGRSDSPSLPANFSVSSRRQPPLNPFKLKCDKEPLNSRLGAPDFHPQTSNCPEETLTREYLQSGYRDTVEGLEEAREISLTQVPHFNKNVVLNCKEAIRKRLRAINESRAQKRKAGQVYGVALSGSQLARSGIFPELRPCGEDFQKKWIEGLSQQHKRLRSLADYVPHGYKRASLLDVLIRNNVPLLRATWFIKVTYLNQVQPGSVGISSGTADKIQLSRSDVWTKDVINYLQALLDEFLSKNVSHSASHGRERSPQIPGPLQNKSDPLLSVSDGEGPSLHFRWWYIVRLLQWHHAEGLLHPSLVIDWVFTQLQEKDLLEVWQLLLPIIYGFLETIVQSQTYVRTLAGLALRVIRDPAPGGSDLVDNSRRAYTTCAVIEMLRYLILVVPDTFVALDCFPLPSSVISHTMNDGNFVLKSTEAAGKVKNSSDDFGRIISRIQKHTEDLVKASIPGSPGHCLAKVAKALDKALVLGDLHVAYKFLFDDLCGGTVSEGWVAKVSPCLRLSLKWFGTVNTPLIYSVFFLCEWATCDFRDFRGSPPHDIKFTGRKDLSQVHIAVRLLKMKIKDVKILLKQTNEYRRASRFAKNLGQRPNSNYVGKVSRLKSSSKSTGSSVIFESPGPLHDIIVCWIDQHVVHKGEGSKRLQLFIVELIRAGIFYPLAYVRQLIVSGIMDANVNLVDMERRRRHYCILKQLPGCFIHDVLEDLGIVDGVHLKEALQIYLNERRLILRGPLSESHDDASGTDLSALKRKRYPASIKDEASGMTVDQRNVISTTKNVKDNANIEELKTAISVLLQLPNCSSNLSATGCDESEGTVKRSIGSQNSKIDPVEGTPGCEECSRTKRQKLSEERNSCVQGHSPVQSDDDDAWWVKKGMKSPEPLKVDQPQKLTKQVTKSRQKIVRKTQSLAQLAASRIEGSQGASTSHVCGSKVSCPHHKTAMDVDGQRSVDIIPTSHFGDIVSIGKALKQLRFVEKRAIAVWLLSVVRQVIEETDKNIGKVGQFGRPSSGADDRSSIRWKLGEDELSAILYLMDISHDLVSAVKFLLWLLPRVLNSPNSTIHSGRNVLMLARNAENQVCDVGEAILLSSLRRYENILVAADLIPEALSSAMHRAAKIVSSNGRLSGSGVLAFARYLLRKYSNVASVIEWEKTFKATCDTRLSSELDSCRSVDGELGLPLGVPAGVEDHDDFFRQKISGGRLQSRVGAGMREVVQRNVEEAFHFLFGKDRKLFAAGTLKGLPPVEKWDNGYQIAQQIVMGLIDCIRQTGGAAQEGDPSLVSSAVSAIVGSVGPTLAKMPDFSSGSNHSNITSTSNLLNYARCILRMHITCLGLLKEALGERQSRVFDIALATEASTALAGVFTPSKASRAQFQTFPEVHDSSNTISNDMGSNSNKVVVAKTTKIAAAVSALFVGAIIYGVTSLERMVTVLRLKEGLDAVQFVRSTRSNANGNARSVMAFNKMDSSIEVHVHWFRLLVGNCRTICEGLVVELLGEPFIMALSRMQRMLPLNLVFPPAYSIFAFIRWRPFILNATVREDMNQIYQSLIVAITEAIKHLPFRDVCFRDCQGLYDLMSADNSDSEFANLLEFNGSDMHLKLTAFVPLRSRLFLNAIIDCKMPQSIYAKDDGSRISGPGESKVKPTDSGSKLQDMLVHVLDTLQPAKFHWQWVLLRLLLNEQALIEKVENHDVPLSDAIKLSSPSPEKAASASENENNFIQILLTRLLVRPDAAPLFSELIHLFGRSLEDSMLLQAKWFLGGQDVLFGRKAIRQRLHNIAVNKKLSVKTQFWEPWGWCSPTTDSLTIKGDNKKFDSTSLEEGEVVEEGTYLKRCQQQVIERALIELLLPCIDQSSDEARNSFATDLVKQLSYIETHITAITGGSKPVGSAPAGVEGQPNKVNNRKNLRTGNATLSRRPTVATDSSPPSPAALRASMSLRLQLLLRFLPTLCTDREPSVRSTRQFLASVIFRLLGSRVVHQDADISANAVPLPTREAESSSEVASAAFVDSSSQSLFDRLLLVLHGLLSSYPPSWLRPKPSKTSNEPTIDRELLETLQNDLDRMQLPDTVRWRIQAAMPVLIPSMRCSLSCQPPSVSNSALMCIQPGTTNPGVNSISSTIPQRGPALSRVASNVSGKQKRQDNDLEIDPWTLLEDGAGSVPPAGNTTSIGSGDHVNIRAASWLKGAVRVRRTDLTYVGAVDDDS >Vigun01g219500.1.v1.2 pep primary_assembly:ASM411807v1:1:39345816:39349022:-1 gene:Vigun01g219500.v1.2 transcript:Vigun01g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYGHQHPKYLTLSIPHKIKTLFFIFMVRNHQDTQTTMANPEGSGRASRWSLKGTTALVTGGTRGIGHAVVEELAEFGANVYTCSRNEEELNARLKEWKEKGFSVSGSVCDASSPAQRESLIKQVASAFNGTLNILVNNVGTNVRKPTIEYTTEEYSKLMATNLESAYHLSQLAHPLLKASENGSVVFISSVAGQRSVGSGAIYAATKAAIDQFTKYLACEWAKDNIRSNSVAPWYTKTPLAEPVLANKEIVNEIISRTPIKRIAEAHEVSSLVTFLCLPAASYITGQVICVDGGFTVNGFQPSVRIT >Vigun09g063200.2.v1.2 pep primary_assembly:ASM411807v1:9:6597633:6599788:1 gene:Vigun09g063200.v1.2 transcript:Vigun09g063200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQLWSQASEGTIPKSSITSPKESADTGFSVSPKLTLDSKHRNGGAFLPFSKERNSCQGIGVLPELALASSESEMKKCEEVEKCSKRDNSGKGGSFEGIVDAAIATEAQTTNTTTTTTNTTGRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSLHAGAATPQLVVLGGIWVPPEYATAAGGPSLYGPHPTSHVPPPHYCAATPVAQEFYNSAPSLSLPPPHDTLHHHHFHMYETAPHTQSSPESDVRSGGGRSESIEDGKSESGSWKGSGEKKGLAALRDQEGEDSTGSEITLRF >Vigun09g063200.1.v1.2 pep primary_assembly:ASM411807v1:9:6596999:6599788:1 gene:Vigun09g063200.v1.2 transcript:Vigun09g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAEHSMDYKPYTYSTLLKSFADQTDQSYKLQDFLSRLEEERLKIDAFKRELPLCMQLLTNAVEASRQQLQAFRSNQGTRPILEEFIPIKHSNSQESTEKTSNISDKASWMTSAQLWSQASEGTIPKSSITSPKESADTGFSVSPKLTLDSKHRNGGAFLPFSKERNSCQGIGVLPELALASSESEMKKCEEVEKCSKRDNSGKGGSFEGIVDAAIATEAQTTNTTTTTTNTTGRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSLHAGAATPQLVVLGGIWVPPEYATAAGGPSLYGPHPTSHVPPPHYCAATPVAQEFYNSAPSLSLPPPHDTLHHHHFHMYETAPHTQSSPESDVRSGGGRSESIEDGKSESGSWKGSGEKKGLAALRDQEGEDSTGSEITLRF >Vigun08g137900.1.v1.2 pep primary_assembly:ASM411807v1:8:31019795:31027605:-1 gene:Vigun08g137900.v1.2 transcript:Vigun08g137900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEGLWGLAEYHEKRGEIGKAVKCLEAICQSEVSFFPIVEVKTRLRIATLLLHHSHNVNHAKSHLERSQLLLKSIPSCFELKCRAYSLLSQCYHLVGAIPPQKQVLHKGLELTASVGYEISMKLWSCNFNSQLANALSIEGDYQGSISALECGYVCATEVCLPELQMFFATSILHVRLMQWDDDNLVEQAVNKCNEIWESIDLDKRRQCPGLLFYNELLHIFYRLRLCDYKNAAPHVDNLDAAMKFDMQQTQQIQELVKELDILDQSLSRSDLHYRDRTALSRKQTMIKEQLSSMTGLNLIGQESLQPVYFGNVRRTIGDKLQLAPPPIDGEWLPKSAVYALVDLIVVVFGRPKGLFKECAKRIQSGMHIIQDELVKLGITDGVREVDLQHSSIWMAGVYLMLLVQFLENKVAIELTRAEFVEAQEALVQMKNWFMRFPTILQACECIIEMLRGQYAHSVGCYNEAVFHYIEAVKLTDSKSMQAMCQVYAAVSYICIGDAESSSQALDLIGPVYGVMDSFVGVREKTGVLFAYGLLLMKQQDLQEARNRLARGLQLTHTYLGNLQLVSQYLTILGSLALALRDTVQAREILRSSLTLAKKLYDIPTQIWVLSVLTALYKELGERGNEMENVEYQAKKTEDLQRRLADAHASIYHIEIIDKIRLQVHQLNDLDIKRAMAGPALGINLDIPESIGLSAAMPAPSSSRLVDIDTRRRGKRRL >Vigun01g217700.1.v1.2 pep primary_assembly:ASM411807v1:1:39154819:39158176:-1 gene:Vigun01g217700.v1.2 transcript:Vigun01g217700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQTKKSGLNLPAGMSGTSLRLDTFASSPSSSRSIASLTSPSSLRSISNLTSPSKSSTCSDRFIPCRSSSRLHTFGLVDRPSPVKEGSNEAYSRLLKCELFGSDFASPSSLSSPSAGAPPSPISPSKNMLRFKTDHSTTPSSPYSPSILGQQSSFASDSSTPPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPYDGVCSVQWTREGSFISIGTNLGQVQVWDGTQCKKVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDMRVSGDFINKLVGHKSEVCGLKWSCDDRELASGGNDNQLLVWNQHSQQPTLRLTEHTAAVKAIAWSPHQSGLLVSGGGTADRCIRFWNTTNGHQLNSVDTGSQVCNLVWSKNVNELVSTHGYSQNQIMVWKYPSLAKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKAPAPVKDTGLWSLGRTQIR >Vigun06g197500.1.v1.2 pep primary_assembly:ASM411807v1:6:31290219:31292788:1 gene:Vigun06g197500.v1.2 transcript:Vigun06g197500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACHPAKKLSFNRSISDGDLVIVYEKHESMKAVTVCEGSVLQNRFGVFKHSDWIGKQFGSKVFSSKGGFVYLLAPTPELWTLVLNHRTQILYIADISFVITYLEVLPGCVVLESGTGSGSLTTSLARAVAPSGHVNTFDFHEQRAESARADFERTGLSSLVTVKVRDIQGEGFPDDFTGMADSVFLDLPQPWLTIPSAAKVLRHDGTLCSFSPCIEQVQRTCETLRTRFTDIRTFEVLLRTYEVREERMQSLSEDGDGSNGSVHRKRRQCSDGSYVLSGSPSISSVMARPCGEARGHTGYLTFARVKCVS >Vigun03g426600.2.v1.2 pep primary_assembly:ASM411807v1:3:63175493:63178527:-1 gene:Vigun03g426600.v1.2 transcript:Vigun03g426600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLEQSWWANMPHELLREVLLRIESSEAKWPRRRTVVACAGVCRTWRLIIKEIVKPPQLSSNITFPISLKQPGPREHLLQCFIRRNSGTQTYYLFLSLSSALADDGKFLLAARKFRRPTCTDYIISLDADDMSRESNAYIGKLRSNFLGTKFTIYDSQLPHARAKMTKSCSTKLVNPKQVSPKVPIGNYPVAHVSYELNVLGSRGPRRMHCVIDSIPASAIEPEWVAPSQTSYSVSNIGTSFPFFQTNSTHMENSISGEQNNKTDDVLVLRNKAARWHEQMQCWCLNFHGRVTVASVKNFQLAALPVNGHAEPQEDDVILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFATTVACE >Vigun03g426600.1.v1.2 pep primary_assembly:ASM411807v1:3:63175670:63178527:-1 gene:Vigun03g426600.v1.2 transcript:Vigun03g426600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLEQSWWANMPHELLREVLLRIESSEAKWPRRRTVVACAGVCRTWRLIIKEIVKPPQLSSNITFPISLKQPGPREHLLQCFIRRNSGTQTYYLFLSLSSALADDGKFLLAARKFRRPTCTDYIISLDADDMSRESNAYIGKLRSNFLGTKFTIYDSQLPHARAKMTKSCSTKLVNPKQVSPKVPIGNYPVAHVSYELNVLGSRGPRRMHCVIDSIPASAIEPEWVAPSQTSYSVSNIGTSFPFFQTNSTHMENSISGEQNNKTDDVLVLRNKAARWHEQMQCWCLNFHGRVTVASVKNFQLAALPVNGHAEPQEDDVILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFATTVACE >Vigun11g039800.2.v1.2 pep primary_assembly:ASM411807v1:11:5601460:5606534:1 gene:Vigun11g039800.v1.2 transcript:Vigun11g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFSSCSLVSPYLKHPIRHKLQNLLAFMYLWLWWSTRIHIQAANDSLNPGDTLNYTATLCSEKCTYYLAFTSFSPGDNNYLAVLTAKNDIEVWMSDRNQLVDQDSAVLSLDHYGVLKIGPQRVKPIILYSPPKPINNTKATLLDTGNFVLQQLHPNGTNTLLWQTFDYPTDILIPTMKLGVNHKTGHRWLLVSWITKTLAPPGAFTLEWEHVEQELIIRRRGKVCWRSGKLRNNRFEHISEDAQSGLKYTLVSNGDENSFSFTTTNEEPDRWWSLSDIGQISDGEGYVARADLCYGYNNTDGGCQRWQDIPKCRNPGDVFQKNIGYFSTNNLSVEENTSYVHSDCEASCWSNCTCSAFKELYPNGTGCVFFHWISAENYTFDSTGGPFYLLVNTLSHHKGTKKWIWTGAVAATALFAICLFTICLVLKKRKYVFQEKKSQRIVMKMVHLATCSRSSPTEDFEVVLKEGHGLRVFNYTSVMAATNGFSTENKLGQGGFGPVYKGILPTGQEIAVKRLSKTSGQGIVEFKNELTLICELQHMNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRRKLLDWKKRFKIIEGISQGLLYLHKYSRLKVIHRDMKASNILLDENMIPKISDFGMARIFTQQESASNTNRIVGTHGYMSPEYMMEGAFSTKSDVFSFGVLLLEIVSGRRNLGCYDVDRPLNLIGHTWELWKDGACLELVDPSIKESIDADEVQRCIHIGLLCVEHYADDRPTMSDVVSMLTNKSAIVSLPQTPAFYIGRKILHDNLSSKGSCTDSTSEITASTMDTTDSYLIESR >Vigun11g039800.1.v1.2 pep primary_assembly:ASM411807v1:11:5601460:5606534:1 gene:Vigun11g039800.v1.2 transcript:Vigun11g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFSSCSLVSPYLKHPIRHKLQNLLAFMYLWLWWSTRIHIQAANDSLNPGDTLNYTATLCSEKCTYYLAFTSFSPGDNNYLAVLTAKNDIEVWMSDRNQLVDQDSAVLSLDHYGVLKIGPQRVKPIILYSPPKPINNTKATLLDTGNFVLQQLHPNGTNTLLWQTFDYPTDILIPTMKLGVNHKTGHRWLLVSWITKTLAPPGAFTLEWEHVEQELIIRRRGKVCWRSGKLRNNRFEHISEDAQSGLKYTLVSNGDENSFSFTTTNEEPDRWWSLSDIGQISDGEGYVARADLCYGYNNTDGGCQRWQDIPKCRNPGDVFQKNIGYFSTNNLSVEENTSYVHSDCEASCWSNCTCSAFKELYPNGTGCVFFHWISAENYTFDSTGGPFYLLVNTLSHHKGTKKWIWTGAVAATALFAICLFTICLVLKKRKYVFQEKKSQRIVMKMVHLATCSRSSPTEDFEVVLKEGHGLRVFNYTSVMAATNGFSTENKLGQGGFGPVYKGILPTGQEIAVKRLSKTSGQGIVEFKNELTLICELQHMNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRRKLLDWKKRFKIIEGISQGLLYLHKYSRLKVIHRDMKASNILLDENMIPKISDFGMARIFTQQESASNTNRIVGTHGYMSPEYMMEGAFSTKSDVFSFGVLLLEIVSGRRNLGCYDVDRPLNLIGHTWELWKDGACLELVDPSIKESIDADEVQRCIHIGLLCVEHYADDRPTMSDVVSMLTNKSAIVSLPQTPAFYIGRKILHDNLSSKGSCTDSTSEITASTMDTTDSYLIESRPRPCILHAEFQSLS >Vigun11g039800.3.v1.2 pep primary_assembly:ASM411807v1:11:5601460:5606534:1 gene:Vigun11g039800.v1.2 transcript:Vigun11g039800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFLVSPYLKHPIRHKLQNLLAFMYLWLWWSTRIHIQAANDSLNPGDTLNYTATLCSEKCTYYLAFTSFSPGDNNYLAVLTAKNDIEVWMSDRNQLVDQDSAVLSLDHYGVLKIGPQRVKPIILYSPPKPINNTKATLLDTGNFVLQQLHPNGTNTLLWQTFDYPTDILIPTMKLGVNHKTGHRWLLVSWITKTLAPPGAFTLEWEHVEQELIIRRRGKVCWRSGKLRNNRFEHISEDAQSGLKYTLVSNGDENSFSFTTTNEEPDRWWSLSDIGQISDGEGYVARADLCYGYNNTDGGCQRWQDIPKCRNPGDVFQKNIGYFSTNNLSVEENTSYVHSDCEASCWSNCTCSAFKELYPNGTGCVFFHWISAENYTFDSTGGPFYLLVNTLSHHKGTKKWIWTGAVAATALFAICLFTICLVLKKRKYVFQEKKSQRIVMKMVHLATCSRSSPTEDFEVVLKEGHGLRVFNYTSVMAATNGFSTENKLGQGGFGPVYKGILPTGQEIAVKRLSKTSGQGIVEFKNELTLICELQHMNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRRKLLDWKKRFKIIEGISQGLLYLHKYSRLKVIHRDMKASNILLDENMIPKISDFGMARIFTQQESASNTNRIVGTHGYMSPEYMMEGAFSTKSDVFSFGVLLLEIVSGRRNLGCYDVDRPLNLIGHTWELWKDGACLELVDPSIKESIDADEVQRCIHIGLLCVEHYADDRPTMSDVVSMLTNKSAIVSLPQTPAFYIGRKILHDNLSSKGSCTDSTSEITASTMDTTDSYLIESRPRPCILHAEFQSLS >Vigun11g039800.4.v1.2 pep primary_assembly:ASM411807v1:11:5601460:5606534:1 gene:Vigun11g039800.v1.2 transcript:Vigun11g039800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFLVSPYLKHPIRHKLQNLLAFMYLWLWWSTRIHIQAANDSLNPGDTLNYTATLCSEKCTYYLAFTSFSPGDNNYLAVLTAKNDIEVWMSDRNQLVDQDSAVLSLDHYGVLKIGPQRVKPIILYSPPKPINNTKATLLDTGNFVLQQLHPNGTNTLLWQTFDYPTDILIPTMKLGVNHKTGHRWLLVSWITKTLAPPGAFTLEWEHVEQELIIRRRGKVCWRSGKLRNNRFEHISEDAQSGLKYTLVSNGDENSFSFTTTNEEPDRWWSLSDIGQISDGEGYVARADLCYGYNNTDGGCQRWQDIPKCRNPGDVFQKNIGYFSTNNLSVEENTSYVHSDCEASCWSNCTCSAFKELYPNGTGCVFFHWISAENYTFDSTGGPFYLLVNTLSHHKGTKKWIWTGAVAATALFAICLFTICLVLKKRKYVFQEKKSQRIVMKMVHLATCSRSSPTEDFEVVLKEGHGLRVFNYTSVMAATNGFSTENKLGQGGFGPVYKGILPTGQEIAVKRLSKTSGQGIVEFKNELTLICELQHMNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRRKLLDWKKRFKIIEGISQGLLYLHKYSRLKVIHRDMKASNILLDENMIPKISDFGMARIFTQQESASNTNRIVGTHGYMSPEYMMEGAFSTKSDVFSFGVLLLEIVSGRRNLGCYDVDRPLNLIGHTWELWKDGACLELVDPSIKESIDADEVQRCIHIGLLCVEHYADDRPTMSDVVSMLTNKSAIVSLPQTPAFYIGRKILHDNLSSKGSCTDSTSEITASTMDTTDSYLIESR >Vigun09g264600.1.v1.2 pep primary_assembly:ASM411807v1:9:42884448:42885302:1 gene:Vigun09g264600.v1.2 transcript:Vigun09g264600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNVAVFVVFSLLLVGSLSSARKDLDGYWKETMKEQPMPEAIKDLIEDSQVSETGKDRFIRDFDVKPNVILYHTHVVSMKQTQKNQD >Vigun11g077650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22978641:22979339:1 gene:Vigun11g077650.v1.2 transcript:Vigun11g077650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQTVLPDIVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISLEIKEKIGNLSFQNYRPTKKIFL >Vigun06g122100.1.v1.2 pep primary_assembly:ASM411807v1:6:24937193:24942632:1 gene:Vigun06g122100.v1.2 transcript:Vigun06g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVKVRFVRCPKCQNLLAEPIDYSVYKCGGCGAVLKAKHKGYVCGSLSDDGKVGVGDSGKSESSLEKGLVDRSDAKPRTEMESVRFSTSKYPDEGPSNGFSSKYMGSWRNRNEADGADMVQHLEQDRAELLRKLDELKVHPCVLTRPLDASVCPPSQLSSMASLGTALNSFAWTVDSAIRSSSGSSGEETFPVRSFASVVDRAINSDSAEDVEEDFMLFTRTEIVATATDNFSVEVENTIKKSVQDCSWSNHTGDPSLLNVVTVNGKPMSNFNIKKAEKIAGPIQPGNYWYDFRGGFWGVMGGPCLGIIPPFIEEFNLPMPYKCCGGNTGVYVNGRELHQKDLDLLAKRGLPKDRDRSYIIEISGRVLNEDTGEELLGLGKLAPTMERRKRGFGMKVPSGGA >Vigun06g087800.1.v1.2 pep primary_assembly:ASM411807v1:6:21990860:21992860:1 gene:Vigun06g087800.v1.2 transcript:Vigun06g087800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTPFLLLSNLQSFWPSLVVIITCFTIMIKTLRHNFIHSYAKKQKPNLPPGPKPWPIVGNLPQMLASKPAYKWIHNLMKQMNTEIACIRLGNAYVIPVTCPTIATEFLRKQDATFASRSLSMSSDLITSGYSTTIFVPFGEQWKKMKKIVTNHLLSPLKHLWLHGKRTEEADNLMFYVYNKCKMVDDGVSGLVNVRIAARHYCGNLIRKITFNARYFGEGREDGGPGFEEVEHVDSIFDLLNYIYSFSVSDYMPCLARLDLDGHQKKMKEALRKIKKYHDPIVQHRIKQWNDGLKVDAEDWLDVLISLKDANNNPSLTLEEISAQIIELMLATVDNPSNAFEWALAEMINQPELLCRAVEELDSVVGKDRLVQESDIPKLNYVKACAKEAFRLHPIAPFIPPHVSMSDTVVGNYFIPKGSHVVLSRQELGRNPKVWNEPYKFKPERHLESDTDDVALTEPNMKFISFSTGRRGCPGVMLGTTMTVMLFARLLHGFTWTAPPHVSSINLSESDDDILLAEPLMAIAQPRLPPKLYKL >Vigun09g132900.2.v1.2 pep primary_assembly:ASM411807v1:9:29150633:29155538:-1 gene:Vigun09g132900.v1.2 transcript:Vigun09g132900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDITLVPDQRIENGLSSPLVFQDDPLRFNCPTQQRRVGDPGPKTRDLGAFIDEKMFIDRDRFFAAQNPDFRRYADCSSRQDPRNWTVNGTAATPSEDDDSDEDDEDDDEEDDDEGDTEVEGLVGGGSKSEIIANNNGGGGNLPSVANGKAHAFVSGRELLGKDGGEIGQLVLSNVSGGGDDDHRQEGLGKSQNSVTVAETDCEDYYSHYLQGADGASGHKVMVDDGGCGFSGRKDAMYSTESGESLRNILSDPVTGALMDDAMILPCGHSFSGSGIQHVIRMKACCTCSQPTTEESISPNLSLRAAVQAYRREEESQFYRSPKRRRERFDQDRVDLEIHLLWNHQGVEVFSFHLLLWTGLS >Vigun09g132900.1.v1.2 pep primary_assembly:ASM411807v1:9:29150633:29155538:-1 gene:Vigun09g132900.v1.2 transcript:Vigun09g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDITLVPDQRIENGLSSPLVFQDDPLRFNCPTQQRRVGDPGPKTRDLGAFIDEKMFIDRDRFFAAQNPDFRRYADCSSRQDPRNWTVNGTAATPSEDDDSDEDDEDDDEEDDDEGDTEVEGLVGGGSKSEIIANNNGGGGNLPSVANGKAHAFVSGRELLGKDGGEIGQLVLSNVSGGGDDDHRQEGLGKSQNSVTVAETDCEDYYSHYLQGADGASGHKVMVDDGGCGFSGRKDAMYSTESGESLRNILSDPVTGALMDDAMILPCGHSFSGSGIQHVIRMKACCTCSQPTTEESISPNLSLRAAVQAYRREEESQFYRSPKRRRERFDQGGFGDSSVMEPSRSRGVQFPFAVMDRVVIKGNKRTPQRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVLDDPSKPASSKMSPNWL >Vigun05g279900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46923784:46935639:1 gene:Vigun05g279900.v1.2 transcript:Vigun05g279900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSQLIGASQPPPISPSTVLADRFHQQAQNVAANLNLLGPVVQQVAANLVANLNQIATEDLNRFNPIIQDYGAEIRRLEDVEKLRNAEITRLGDLGRKQDEEIARLKYMLEDLTNRYEAGRAKVKDLEEIKIPDYESKIKDHKKSYARLKKSYGRSKAQIGELKEHKEQLTLTLDVLRKGMKEHKEQLRLTLEALRRDKKMCLDKLDRARIDQEELKKISIQLDDNMTRLHQNINRWDDNLDINTLDDNLVQ >Vigun11g110800.2.v1.2 pep primary_assembly:ASM411807v1:11:31209993:31211685:1 gene:Vigun11g110800.v1.2 transcript:Vigun11g110800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNGLKKGPWTPEEDLKLINYIQNHGPGNWRSLPKTAGLQRCGKSCRLRWTNYLRPDIKRGRFSLEEEDTIIQLHSIMGNKWSSIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDMSSILRSVLNPSLNMQGFLGGAQVLMNQGLLNLAATLSLIENQNLASHNYVQNQVAQPLDITQLQSVAMENFSCSNSPSGDENLVLQQNQVDLLGNYNHVLVHSLKNEEQKKGYDSAMPTPESSSNQLNSSSNYLRSGTEEEKNDFCNSDLFEFDIPEGLDIADIFCTVPQC >Vigun10g137301.1.v1.2 pep primary_assembly:ASM411807v1:10:35382174:35383314:-1 gene:Vigun10g137301.v1.2 transcript:Vigun10g137301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFILPPTLSSNGNDDVDCSSATFTSFIDNEISLGNAWSKFYSTTNIPNPTTVLLPSASILMLNNFDKLWRFHHSVCLHNMDLLWGCLVWQKDCINLGAYRWGASLLQVFLFNILSLIIIMLFFIKFSVLWLLL >Vigun11g066150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:16181078:16181380:1 gene:Vigun11g066150.v1.2 transcript:Vigun11g066150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRRRRRRRREEEGEEEEEEGEDEGEEGEEEEEEDDGGGDDGGGDDGGSGDGDGDGNDDGDIDGDGDDDHEKKNEKKIERGRRKKMNSVTNLPTDFW >Vigun04g117700.1.v1.2 pep primary_assembly:ASM411807v1:4:29975760:29977781:1 gene:Vigun04g117700.v1.2 transcript:Vigun04g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKQQPSPLRKMVAVSSIAAGIQFGWALQLSLLTPYVQTLGVPHVWASFIWLCGPISGLVVQPIVGYSSDRCQSPFGRRRPFILAGAIAVAVSIFLIGYASDIGHMAGDDITKRTRPRAVAIFVTGFWILDVANNMLQGPCRAFLGDLAAGDSRKTRTANAFFSFFMAVGNVLGYAAGSYNDLHKIFPFTETVACNIFCANLKSCFFFSIILLLGLCIIVLSCVDDPQFTPTRKELKEDEARKTQSSCFSGECCAAFKGLEKPMWMLMLVTAINWIAWFPYVLFDTDWMGREVYGGDVGQKAYDAGVQAGSLGLMLNSVVLAVVSLGVEPLGRLVGGTKWLWAIVNVILAVCMAMTVLITKIAERQRANNPALIGNPSMDVKIGSLAFFCVLGIPLAVTYSVPFALASIYSSSSGAGQGLSLGLLNIAIVIPQMIVAAISGPWDALFGGGNLPAFVLGAVAAAISAILAVVLLPNTKKEDEANISNLKMASFH >Vigun06g091100.1.v1.2 pep primary_assembly:ASM411807v1:6:22344948:22346906:-1 gene:Vigun06g091100.v1.2 transcript:Vigun06g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDQGLAIGIDLGTTYSCVAVWREHHSRVHIIHNDQGNNTTPSSVAFTDQERLIGDAAKNQAATNPQNTVFDAKRLIGRKYSDPVVQKDMMLWPFKVVAGANDKPMITLNYKGEEKQFCAEEISSMVLVKMREIAEAYLETKVKNAVVTVPAYFNDSQRKSTIDAGTIAGLNIMRIINEPTAAAIAYGLDRRMNCVGERNIFIFDLGGGTFDVSILTIKGKIFEVKATAGNTHLGGEDIDNRMLNYFVEKIKKEKKEDISGNPRALRRLRSACERPKRTLSLAVTASIEVDGLSNGIDFRSSITRARFEEINMELFNQCMETVDRCLADAKMHKCSIHDVVLVGGSSRIPKVQELLKDFFEGKDLCKSINPDEAVAYGAAVQGALLSEGIKNVPDMVLLDVTPLSLGKHVLGDIMSVMIPRNTTIPVKRTKEYLTVADDQSCVKIQVYEGERTRASDNNLLGSFMLSGFPPAPRGHRFDVCFAIDENGILSVSAKENTTGISNKIIITNDNERLSTEEINRMIQEADDYQAEDMKFLRKAKARNKIDDYAYKLKNALKNENFSSKLFSEDREKISSAITKATELIDGDQQEEEIDVFEDHLEELINVFKRFVGKSD >Vigun11g000900.1.v1.2 pep primary_assembly:ASM411807v1:11:139662:150840:-1 gene:Vigun11g000900.v1.2 transcript:Vigun11g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEDLSELADSMRQAAALLADEDVDESNSSSNSRRPSTFLNVVALGNVGAGKSAALNSLIGHPVLPTGENGATRAPICIDLQRDTSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKSRDQIYLKLRTSTAPPLKLVDLPGLDQRIMDESLVSEYAEHNDAILLVIVPAAQAPEIASSRALRYAKEYDGEGTRTIGVISKIDQAASDQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIATAQSGSAGSENSLETAWRAESETLKSILTGAPQSKLGRIALVDALGQQIQNRMKLRLPNLLSGLQGKSQIVQDELARLGESMVTTSEGTRAIALELCREFEDKFLQHITSGEGSGWKIVSCFEGRFPDRMKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLIDIVSSAANATPGLGRYPPFKREVVAIATSALEGFKNESKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGGRSSKKGQDAEQSILNRHEVNEGGEKEKEKDKSGQSEKEGQEGSGLKTAGPEGEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECSIEEAGDEDDPPTKSSKDKKSNGPDSGKVNLVFKITSRIPYKSVLKAHSTVVLKAESASDKVEWIKKINNVIQAKGGQIRISDGGSTMRQSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTAKIEELLLEDQNVKRRRDRIQKQSSLLSKLTRQLSIHDNRAAAASGWSNGSAESSPKSSGPGDDWRSAFDAAANGPVSRSGSSRSGSNGHSRHNSDPAQNGDVNSGSNSGSRRTPNRLPPAPPGSSGYKY >Vigun08g154500.1.v1.2 pep primary_assembly:ASM411807v1:8:32683633:32687090:-1 gene:Vigun08g154500.v1.2 transcript:Vigun08g154500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCNRDENFQKKKEGLPSSASDSAQELKGCASPPLGWPIRKATLSKCRKSDEKENEPVSHLEDTKFTSISSKMAGIDAMKERFAKLLLGEDMSGSGKGVCSALAISNAITNLCATVFGQLWRLEPVPCEKKEMWRREMEWLVSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLFMNLPALRKLDNMLLEILDSCKDMEFWYVDQGIVAQDAEGSASFCKRIQRQEDKWWLPVPRVPPAGLSENSRKQLNHTRECASQILKAAMAINNGALAEMEVPESYLETLPKNGRTCLGDFVYHYITSEKFSPECLLDCLDLSSEHVALEIANRVEASIYVWRRRAHSKPPPNPNRSTTKSSWEIVKDFMADGDKRELLAERAENVLISLKQKYPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESMAFNIVARIDDLLYVDDLTKHSDRFPLVPMKVSVSGTPHKAVGTPSFSPAPPLISSARGERSPFLNNNINNNNNNNNIMKPQRRGFGVRRVLSNYLGAESKETKNFSSSTVVVNGSNPYPSSNKTEQVEHHKKPHAINGKTK >VigunL054900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:4397:6082:-1 gene:VigunL054900.v1.2 transcript:VigunL054900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMILPLSLHKFSPQCSVT >VigunL045000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:466406:466546:-1 gene:VigunL045000.v1.2 transcript:VigunL045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun03g266700.1.v1.2 pep primary_assembly:ASM411807v1:3:43704823:43706989:-1 gene:Vigun03g266700.v1.2 transcript:Vigun03g266700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSCGNSQAHVMGTCTCTMLHTETNSYSILFSMPNTHAPYYDYQHDIYPSSSVDCTLSLGTPSTRFTEGEELRNRHEHRSSVTNFRWDMLQSKHTSQSQTNKSTRATNAASNNDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRASAAAATSAAVPGSVMESSRSYGSQSSSWYAHPQMGNELRFMEDSDDRDSEDGIPFFSWNLNVPDRTSMVHDYTR >VigunL010100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:42763:43317:1 gene:VigunL010100.v1.2 transcript:VigunL010100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKLNHANVMEVPGSCEIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTQRGSTGKSFRSNPFLGSNKEKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETK >Vigun05g158200.1.v1.2 pep primary_assembly:ASM411807v1:5:25564773:25566298:1 gene:Vigun05g158200.v1.2 transcript:Vigun05g158200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLKDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVVPFMTRLGITNSWGGWNITVGAITNPGIWSYKGVAGAHIVFSGLCFLAAIWHWVYWDLKIFCDERVACFGFGAFHVTGLYGPEIWVSDPYGLIGRIQSVNPAWGVEGFDPFVPGGIASHHIVVGTLGILAGLFHLSVRPLQRLYKGLRVGNIEIVLSSSITAVFFAAFVVARTMWYGSTTTPIELFGPTRYKWDQGYFQADSMDNGDGIAVGWLGHPIFRDKEGHELFVRRLPTFFEIFPVVLVDGDEIVRADFYGGELNGISYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALPLFFGHIWHASRTLFRDFFASIDPDLDSQVEFGAFQKLRDPTTRRQVV >Vigun07g168800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28281898:28282299:1 gene:Vigun07g168800.v1.2 transcript:Vigun07g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVIEYITLAASSYAFIFCFCNLIIVVILVDLKPKLSFNQGGEEIPLSVLTNTGIEGANSKCLVNKSTLSPQAREHVKEEVVVDRVQIEGDDDYNCNSTEEDDELRRRVEEFIERVNEGWKAEHFSSSSLL >Vigun03g294000.1.v1.2 pep primary_assembly:ASM411807v1:3:47985462:47989867:1 gene:Vigun03g294000.v1.2 transcript:Vigun03g294000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLQPPSSSSTMTFSSSSSSLFTGSSHAAALRTLGFVDIDNSRARILAPCSVKCDMSESSNFPNGKPIIPVLNERTLPKFLESARAETAVSRNSNRLKLFSGTANPVLSQEIARYMGLELGQISIKRFADGEIYVQLQESVRGCNVYLIQPTCPPANENLMELKIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKTISSSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVTEKNYFPQLTILTVANLLGETIWRIHDDSSVSSIFQ >Vigun06g041950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16435289:16439361:-1 gene:Vigun06g041950.v1.2 transcript:Vigun06g041950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDAVIVKSSRLKSVVWNDFDRIKKGDTCVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSSHGIAQYISTREKRKEGTLAIANFNIDQDTNKDDNTLSLVNIKFEQTQLKDDSVNPGTSNFDQRRSRFDLARMIILHGYPLAMVEHVGFRAFVKNLQPLFELVSLNRVEADCIEIYEREKKKVNEMLDKLPGKISLSADVWNAVGDAEYLCLTSNYIDESWQLRRRILNFIRIDPSHTEDMVSEAIMSCLMYWDIDRKLFSMILDSCSTCDNIAVRIGDRLLQNRFLYCNGQLFDIRCAANVINAMVQHALGAVSEIVIKIRQTIGYIKSSQIILAKFNEMAKEVGILSQKGLCLDNASQWNSTYSMLEVALEFKDVLILLQENDTAYKVCLSDVEWERITAVTGYLKLFVEVINVFTKNKYPTANIYFPELCDVKLHLIEWCKNSDEYISSLASRLRSKFDEYWEKCSLGLAVAAMLDPRFKMKLVDYYYPQIYGSMSGSRIEEVFDGVKALYNEHSIGSPLASHDQGLAWQVGNGPLLLQGSAKDSRDRLMGFDKFLHETSQGEGTKSDLDKYLEEPLFPRNVDFNILNWWRVHTPRYPVLSMMARNVLGIPMAKVAPELAFNHSGRVLDRDWSSLNPATVQALVCSQDWIRSELEN >Vigun06g041950.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16435256:16439377:-1 gene:Vigun06g041950.v1.2 transcript:Vigun06g041950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDAVIVKSSRLKSVVWNDFDRIKKGDTCVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSSHGIAQYISTREKRKEGTLAIANFNIDQDTNKDDNTLSLVNIKFEQTQLKDDSVNPGTSNFDQRRSRFDLARMIILHGYPLAMVEHVGFRAFVKNLQPLFELVSLNRVEADCIEIYEREKKKVNEMLDKLPGKISLSADVWNAVGDAEYLCLTSNYIDESWQLRRRILNFIRIDPSHTEDMVSEAIMSCLMYWDIDRKLFSMILDSCSTCDNIAVRIGDRLLQNRFLYCNGQLFDIRCAANVINAMVQHALGAVSEIVIKIRQTIGYIKSSQIILAKFNEMAKEVGILSQKGLCLDNASQWNSTYSMLEVALEFKDVLILLQENDTAYKVCLSDVEWERITAVTGYLKLFVEVINVFTKNKYPTANIYFPELCDVKLHLIEWCKNSDEYISSLASRLRSKFDEYWEKCSLGLAVAAMLDPRFKMKLVDYYYPQIYGSMSGSRIEEVFDGVKALYNEHSIGSPLASHDQGLAWQVGNGPLLLQGSAKDSRDRLMGFDKFLHETSQGEGTKSDLDKYLEEPLFPRNVDFNILNWWRVHTPRYPVLSMMARNVLGIPMAKVAPELAFNHSGRVLDRDWSSLNPATVQALVCSQDWIRSELEN >Vigun11g053200.1.v1.2 pep primary_assembly:ASM411807v1:11:9312504:9317545:-1 gene:Vigun11g053200.v1.2 transcript:Vigun11g053200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFETVQGPAENGAEEDKPVSEEIENGQLEQDAEVADAIPFGSHGDESAKPEEEVAPDSNAVLDSNVPKDAAEDWPAPKQILSYYFVRFRPYDDPIIKANLDKLDKEMSQKNQARIQVTDALRAKRSERAELISQIKSLRDDNKQYQSIVDEKIKEIEPLQQALGKLRNTNNSGRGGALCSSEEELNNLISSLQYHIQHESIPLAEEKQILREIKQLEGTREKVIANATMRAKLQDSMGQKEAIQDQVKLIGGDLDGAKKERQVIRSKIKQLDDGVKALDKDIQSLQEELGAVTEKRDKAYENIQQLRKQRDQGNSYFYQSRTILNKARELAAKKDINALEEFVETEVEKVMSLWNSDKSFRDDYEKRLLPSLDMRQLSRDGRMRNPDEKPLLEEPKPVEIGTLPKSIVKQAEGSKLVPQATVPEQKFQKETKKKGKDLKSNVDSKVLEDDDEYEFEMKKETTVKEPPINPEKLKEKKREEEIAKAKQALERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKAKKAAGGPGAVSNPEEPATDVAEDTEQKTSVNMEASAAPAGPAAPAKVQKESSGRPRIRPKALKGAPESIPKAILKRKRSQNYWLWIVSCVLIVLAALVLAFIILS >Vigun11g053200.3.v1.2 pep primary_assembly:ASM411807v1:11:9312526:9317555:-1 gene:Vigun11g053200.v1.2 transcript:Vigun11g053200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFETVQGPAENGAEEDKPVSEEIENGQLEQDAEVADAIPFGSHGDESAKPEEEVAPDSNAVLDSNVPKDAAEDWPAPKQILSYYFVRFRPYDDPIIKANLDKLDKEMSQKNQARIQVTDALRAKRSERAELISQIKSLRDDNKQYQSIVDEKIKEIEPLQQALGKLRNTNNSGRGGALCSSEEELNNLISSLQYHIQHESIPLAEEKQILREIKQLEGTREKVIANATMRAKLQDSMGQKEAIQDQVKLIGGDLDGAKKERQVIRSKIKQLDDGVKALDKDIQSLQEELGAVTEKRDKAYENIQQLRKQRDQGNSYFYQSRTILNKARELAAKKDINALEEFVETEVEKVMSLWNSDKSFRDDYEKRLLPSLDMRQLSRDGRMRNPDEKPLLEEPKPVEIGTLPKSIVKQAEGSKLVPQATVPEQKFQKETKKKGKDLKSNVDSKVLEDDDEYEFEMKKETTVKEPPINPEKLKEKKREEEIAKAKQALERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKAKKAAGGPGAVSNPEEPATDVAEDTEQKTSVNMEASAAPAGPAAPAKVQKESSGRPRIRPKALKGAPESIPKAILKRKRSQNYWLWIVSCVLIVLAALVLAFIILS >Vigun11g053200.2.v1.2 pep primary_assembly:ASM411807v1:11:9312504:9317545:-1 gene:Vigun11g053200.v1.2 transcript:Vigun11g053200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFETVQGPAENGAEEDKPVSEEIENGQLEQDAEVADAIPFGSHGDESAKPEEEVAPDSNAVLDSNVPKDAAEDWPAPKQILSYYFVRFRPYDDPIIKANLDKLDKEMSQKNQARIQVTDALRAKRSERAELISQIKSLRDDNKQYQSIVDEKIKEIEPLQQALGKLRNTNNSGRGGALCSSEEELNNLISSLQYHIQHESIPLAEEKQILREIKQLEGTREKVIANATMRAKLQDSMGQKEAIQDQVKLIGGDLDGAKKERQVIRSKIKQLDDGVKALDKDIQSLQEELGAVTEKRDKAYENIQQLRKQRDQGNSYFYQSRTILNKARELAAKKDINALEEFVETEVEKVMSLWNSDKSFRDDYEKRLLPSLDMRQLSRDGRMRNPDEKPLLEEPKPVEIGTLPKSIVKQAEGSKLVPQATVPEQKFQKETKKKGKDLKSNVDSKVLEDDDEYEFEMKKETTVKEPPINPEKLKEKKREEEIAKAKQALERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKAKKAAGGPGAVSNPEEPATDVAEDTEQKTSVNMEASAAPAGPAAPAKVQKESSGRPRIRPKALKGAPESIPKAILKRKRSQNYWLWIVSCVLIVLAALVLAFIILS >Vigun11g053200.5.v1.2 pep primary_assembly:ASM411807v1:11:9312600:9317525:-1 gene:Vigun11g053200.v1.2 transcript:Vigun11g053200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFETVQGPAENGAEEDKPVSEEIENGQLEQDAEVADAIPFGSHGDESAKPEEEVAPDSNAVLDSNVPKDAAEDWPAPKQILSYYFVRFRPYDDPIIKANLDKLDKEMSQKNQARIQVTDALRAKRSERAELISQIKSLRDDNKQYQSIVDEKIKEIEPLQQALGKLRNTNNSGRGGALCSSEEELNNLISSLQYHIQHESIPLAEEKQILREIKQLEGTREKVIANATMRAKLQDSMGQKEAIQDQVKLIGGDLDGAKKERQVIRSKIKQLDDGVKALDKDIQSLQEELGAVTEKRDKAYENIQQLRKQRDQGNSYFYQSRTILNKARELAAKKDINALEEFVETEVEKVMSLWNSDKSFRDDYEKRLLPSLDMRQLSRDGRMRNPDEKPLLEEPKPVEIGTLPKSIVKQAEGSKLVPQATVPEQKFQKETKKKGKDLKSNVDSKVLEDDDEYEFEMKKETTVKEPPINPEKLKEKKREEEIAKAKQALERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKAKKAAGGPGAVSNPEEPATDVAEDTEQKTSVNMEASAAPAGPAAPAKVQKESSGRPRIRPKALKGAPESIPKAILKRKRSQNYWLWIVSCVLIVLAALVLAFIILS >Vigun04g181400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40544427:40545907:-1 gene:Vigun04g181400.v1.2 transcript:Vigun04g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLSFLTLLSFFCFCANARLTLDFYKDTCPQFAQIIRDTVTAKQIASPTTAPATIRLFLHDCLLPNGCDASILLSSTAFNKAERDADINLSLPGDAFDLVVRAKTALELACPNTVSCADILSAATRDLLTMLGGPFYPVFLGRRDGRFSSAASVPNHLPTPSMPMPQITEIFTRRGFSVEEFVALSGAHTVGFSHCSEFVSNLSNSSSSYNPRFSEALSKACADYRTNPTLSVFNDIMTPNKFDNVYFQNLPKGLGVLKSDHSLYSDPVTRPFVEGFAKDQARFFRVFASAMQKLSLLNVQTGRKGEIRRRCDQIN >Vigun07g276100.1.v1.2 pep primary_assembly:ASM411807v1:7:39142430:39144304:-1 gene:Vigun07g276100.v1.2 transcript:Vigun07g276100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYEVKGEAETMLKAIFVPFISTSHLIPVVDMARLFAMHGVDVTIITTPANAAVFQSSVDRDSSRGRPIRTHVVKFPQVPGLPEGVETINADTPQPLTMKISQALSILQGQYQELFRVMQPDFIVTDMFYPWSADAAAELGIPRLVYVGASYFSHCAMNCVEEFAPHAKVDSDGEIFELPGLPDKVEMTRLQLPDWLRAPTPYTYLKKMIKESEKKSYGSLFKSFYEFEGAYEEHYKRVMGTKSWSIGPVSLWVNQDESDKAGRGHAKEGEGRGKDEELMKWLDSKKENSVLYVSFGSMNKFPTAQLVEIAHALEDCGHDFIWVVRKSDDGARGFLEEYEKRVKESNKGYLIWGWAPQLVILDHRATGAVVTHCGMNTVFESVIAGLPLVAWPLFSEQFFNEKLVVDVLKIGVSVGAKEWRNLNDFGSETVKREEIGKAIGLVMGGEECEEMRRRVKVLSDEAKKAIQSGGTSHNNLKELIEELKSLKLQKDI >Vigun07g024600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2224051:2226538:1 gene:Vigun07g024600.v1.2 transcript:Vigun07g024600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLYLHNFSSFPQFFLKLLIIASLLCGSVVHVGCLNFSYPTFYYENGTDFNRSTNSIIEKGTIKIPIEGSGSEISNLSGRVFYSERLKLRDTQRRMKASFNSSFVFNIDPLTTPGGEGFAFILTDDTSLQLNSGGQWLGIVNPTSIGVSDIVAVEFDTRKSYTEDVDDNHVGVDVKSIYSINQEPLGPHGVNLSSGIDVFATVYFDAEGGNMMISVSPSDFMLRTPPVLEVHLDLSKLLPEDVFVGFSASTGVYTQINTIRSWYFSSMEHIDIDPISQVRLWIWIPIIVVGGVCGLAGALYWRKKRRKRQRTEEDLNIELEIKSSSNAPHKFHLKEILSATKNFHPSNKLGKGGFGMVYRGTLNGKDVAVKRISKNTRHGKQDFIAEIRTIGNLNHKNLVKLIGWCYEKGEILLVYDLMQNGSLDRFIFSTTGGDSSLSWERRLSVICGVSRALEYLHNGCDKRVLHRDIKPSNVMLDSDFNARLGDFGLARTINLSEETHHSTKEIAGTLGYMAPESFHTRRASVETDVYAFGVLVLEVVCGGRNVEHKQNVSILEWVWELHGRENITEAVDLSLKGDFDKVEAKCVLELGLACCHPNPCERPSMRTVLQVLTGEAPPPSVPFQKPAFTWPATAPLLNNHFNSQVTVSQNEPITEITSGR >Vigun07g024600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2223986:2226538:1 gene:Vigun07g024600.v1.2 transcript:Vigun07g024600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFNSSFVFNIDPLTTPGGEGFAFILTDDTSLQLNSGGQWLGIVNPTSIGVSDIVAVEFDTRKSYTEDVDDNHVGVDVKSIYSINQEPLGPHGVNLSSGIDVFATVYFDAEGGNMMISVSPSDFMLRTPPVLEVHLDLSKLLPEDVFVGFSASTGVYTQINTIRSWYFSSMEHIDIDPISQVRLWIWIPIIVVGGVCGLAGALYWRKKRRKRQRTEEDLNIELEIKSSSNAPHKFHLKEILSATKNFHPSNKLGKGGFGMVYRGTLNGKDVAVKRISKNTRHGKQDFIAEIRTIGNLNHKNLVKLIGWCYEKGEILLVYDLMQNGSLDRFIFSTTGGDSSLSWERRLSVICGVSRALEYLHNGCDKRVLHRDIKPSNVMLDSDFNARLGDFGLARTINLSEETHHSTKEIAGTLGYMAPESFHTRRASVETDVYAFGVLVLEVVCGGRNVEHKQNVSILEWVWELHGRENITEAVDLSLKGDFDKVEAKCVLELGLACCHPNPCERPSMRTVLQVLTGEAPPPSVPFQKPAFTWPATAPLLNNHFNSQVTVSQNEPITEITSGR >Vigun07g029200.1.v1.2 pep primary_assembly:ASM411807v1:7:2662873:2666434:1 gene:Vigun07g029200.v1.2 transcript:Vigun07g029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRISSLCGLILFYFGFHCHLLKTFSTISFTPSCFRSMLLSKHVLSSTHKALFQNLRLFSLLSNSHHVLSTHSRDTQLREALLQMALRGHDMNFQDYSTVLNECVSKRAFREGQRVHAHMIKTNYLPCVFLWTRLIVFYVKCDSLTNARNLFDEMPQRNVVSWTAMISAYSQRGYASQALSLFVQMLRSGTEPNEFTFATVLTSCIGSLGVVLGRQIHSLIIKLNYEAHVYVGSSLLDMYAKDGKIHEARGIFQCLPERDVVSCTAIISGYAQLGLDQEALELFRQLQDEGMQSNYVTYTSVLTALSGLAALDHGKQVHNHLLRSEVPSYVVLQNSLIDMYSKCGNLTYARRIFDNMHERTVISWNAMLVGYSKHGEGREVLELFNLMRDENRVKPDSVTVLAVLSGCSHAGLEDKGMEIFYEMTSGKIGVEPDTKHYGCVVDLLGRAGRVEEAFKFIRKMPFEPSAAIWGCLLGACSVHLNVDIGEIVGHRLLEIEPENAGNYVILSNLYASAGRWEEVRSLRDLMLKKTVRKEPGRSWIELDQILHTFHASDCSHPRREEVSAKVQELSVRFKEAGYVPDLSCVLHDVDEEQKEKILLSHSEKLALCFGLIATPESVPIRVIKNLRICVDCHNFAKYISKIYGREVSLRDKNRFHRIVGGKCSCGDYW >Vigun03g361200.2.v1.2 pep primary_assembly:ASM411807v1:3:56445191:56449974:-1 gene:Vigun03g361200.v1.2 transcript:Vigun03g361200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLLCLIVGVIVSLIGFCNNLAVENLAGIKFVVTSNMMLEKRFQLAFFIFFVSNLGLTLFASLITALIAPAATGSGIPEVKAYLNGVDAPGIFTVQTLFVKIIGSITAVSSSLLIGKAGPMVHTGACVAALVGQGGSKRYGLSWKWLQFFKNDRDRRDLITCGSAAGMAAAFRSPVGGVLFALEEMASWWRSALLWRAFFTAAIVAILLRAMIDLCLSGKCGLFGKGGLIMFDVYSASISYHLVDVPPVFILGVIGGLLGSLFNLLLSKVLRIYNFINEKGTIFKILLACVISVCTSCLLFGLPWFTSCRPCPPNPTEPCPTIGRSGIYKKFQCAPNHYNDLASLIFNTNDDAIRNLFSKNTDDEFEVTSMLIFFVTCFLLSIFSYGVVAPAGLFVPVIVTGASYGRIVGMLLGKNSNLNHGLYAVLGAASFLGGSMRTTVSLCVIILELTNNLLLLPLIMMVLFISKTVADAFNANIYDIIMKAKGLPYLETHAEPYMRQLTVGDVVTGPLQTFNGIEKVRNIVFILKSTGHNGFPVIDEPPMSEAPVLFGIILRDHLLTLLKKKAFISSPSATSGAVFDNFAANDFAKKGSSKVRLKIEDIELLEEEMDMFIDLHPFTNTSPYTVVETMSLGKALTLFRALGLRHLLVIPKISSRSPVVGILTRHDFIPEHILGLHPFLVRKAGKILRF >Vigun03g361200.1.v1.2 pep primary_assembly:ASM411807v1:3:56445191:56449977:-1 gene:Vigun03g361200.v1.2 transcript:Vigun03g361200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNHLRNGYGDSEPLLRQALLSSSQRSIVNSTSQVAIVGVNVSPIESLDYEIFENEFFKQDWRSRGKTQIFQYIFMKWLLCLIVGVIVSLIGFCNNLAVENLAGIKFVVTSNMMLEKRFQLAFFIFFVSNLGLTLFASLITALIAPAATGSGIPEVKAYLNGVDAPGIFTVQTLFVKIIGSITAVSSSLLIGKAGPMVHTGACVAALVGQGGSKRYGLSWKWLQFFKNDRDRRDLITCGSAAGMAAAFRSPVGGVLFALEEMASWWRSALLWRAFFTAAIVAILLRAMIDLCLSGKCGLFGKGGLIMFDVYSASISYHLVDVPPVFILGVIGGLLGSLFNLLLSKVLRIYNFINEKGTIFKILLACVISVCTSCLLFGLPWFTSCRPCPPNPTEPCPTIGRSGIYKKFQCAPNHYNDLASLIFNTNDDAIRNLFSKNTDDEFEVTSMLIFFVTCFLLSIFSYGVVAPAGLFVPVIVTGASYGRIVGMLLGKNSNLNHGLYAVLGAASFLGGSMRTTVSLCVIILELTNNLLLLPLIMMVLFISKTVADAFNANIYDIIMKAKGLPYLETHAEPYMRQLTVGDVVTGPLQTFNGIEKVRNIVFILKSTGHNGFPVIDEPPMSEAPVLFGIILRDHLLTLLKKKAFISSPSATSGAVFDNFAANDFAKKGSSKVRLKIEDIELLEEEMDMFIDLHPFTNTSPYTVVETMSLGKALTLFRALGLRHLLVIPKISSRSPVVGILTRHDFIPEHILGLHPFLVRKAGKILRF >VigunL039800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:358392:358637:-1 gene:VigunL039800.v1.2 transcript:VigunL039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun07g051300.2.v1.2 pep primary_assembly:ASM411807v1:7:5376396:5379892:1 gene:Vigun07g051300.v1.2 transcript:Vigun07g051300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKALLQTCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDVVVSTKIFWGGQGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDISTPIEETVRAMNHVIDNGWAFYWGTSEWSAQQITEAWAVAQRLDLVGPIVEQPEYNLLARHKVESEYLPLYTNYGIGLTTWSPLASGVLTGKYKKGVIPPDSRFSLENYKNLASRSLVDDVLKKVDGLQPIADELGVPLAQLAIAWCAANPNVSSVICGATKESQIHENMKAIDVIPLLTPVVMEKIEAVVQSKPKRPDSYR >Vigun07g051300.3.v1.2 pep primary_assembly:ASM411807v1:7:5376396:5379892:1 gene:Vigun07g051300.v1.2 transcript:Vigun07g051300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKALLQTCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDVVVSTKIFWGGQGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDISTPIEETVRAMNHVIDNGWAFYWGTSEWSAQQITEAWAVAQRLDLVGPIVEQPEYNLLARHKVESEYLPLYTNYGIGLTTWSPLASGVLTGKYKKGVIPPDSRFSLENYKNLASRSLVDDVLKKVDGLQPIADELGVPLAQLAIAWCAANPNVSSVICGATKESQIHENMKAIDVIPLLTPVVMEKIEAVVQSKPKRPDSYR >Vigun07g051300.1.v1.2 pep primary_assembly:ASM411807v1:7:5376396:5379892:1 gene:Vigun07g051300.v1.2 transcript:Vigun07g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKALLQTCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDVVVSTKIFWGGQGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDISTPIEETVRAMNHVIDNGWAFYWGTSEWSAQQITEAWAVAQRLDLVGPIVEQPEYNLLARHKVESEYLPLYTNYGIGLTTWSPLASGVLTGKYKKGVIPPDSRFSLENYKNLASRSLVDDVLKKVDGLQPIADELGVPLAQLAIAWCAANPNVSSVICGATKESQIHENMKAIDVIPLLTPVVMEKIEAVVQSKPKRPDSYR >Vigun08g098450.1.v1.2 pep primary_assembly:ASM411807v1:8:23872014:23874131:-1 gene:Vigun08g098450.v1.2 transcript:Vigun08g098450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMCDVVMINFRFQYLIAETCEEIFTRFTQRVRSKSFHKDIYKHLFIRLEIAHNDITKATESAYRYSTPRSMD >Vigun02g159800.1.v1.2 pep primary_assembly:ASM411807v1:2:30588907:30591565:1 gene:Vigun02g159800.v1.2 transcript:Vigun02g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSVSLVNQKETRLQNPKEDVSTKVSYLAKPKPNQSFRLRTKSSMQHTHVTRDSNSNNFNAEVTMKNEKREKLHAPLVGHSHNDSMRVPVFVMLPLDTVTMGGNLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKEEPLKYNWEGYAELVQMVQRHGLKLQVVMSFHQCGGNVGDSCSIPLPSWVLEEMSKNPELVYTDKSGRRNPEYISLGCDSMPVLRGRTPIQVYSDYMRSFRDKFRHYLGSVIIEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMRASLEASAEAIGKKHWGRSGPHDSGQYNNFPEETGFFSREGTWNSEYGKFFLDWYSTKLVEHGERILVSAKAYSSRVG >Vigun09g130200.1.v1.2 pep primary_assembly:ASM411807v1:9:28779682:28781782:1 gene:Vigun09g130200.v1.2 transcript:Vigun09g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFDEIGKKIKREGDVSSQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPECQRADAANSLVYEANVRLRDPVYGCMGAISGLQQQVQSLQAELNVVRAEILKYKLREAHMIPPSSHHVPMLPSSGAVSIAAPPPPPPPPPPLPPPNSIPQTSSSSSIYIQHRDPTTTYTTISTDNISYFG >Vigun07g220800.1.v1.2 pep primary_assembly:ASM411807v1:7:34364615:34367998:1 gene:Vigun07g220800.v1.2 transcript:Vigun07g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSERYQKLGLRESLQKCYRYPIACKELSFILREAFHQIPKSLQSIIFEDTLFAFRLLPEIETKSAVSAVHFLLQSVEAALPNRKKNVAVTEFKHAMVSHKRRNKAQLVEKGSLQLPQDILVHVFSFLDMKSLVSVGLVCRSWNIAANDNHLWQMQYVVLFGNGAKLKHAKTVEGQNYRLLQGTVDNRLITNWKESVKGAYTGALSSILTTNRGYCGHCKSVVWLNNAKCPNLHSGISEIQDIKPVTAFQVVEYLLDDSLPITSSSDSDSDSEGGPISKLWAYGKFRK >Vigun06g101400.2.v1.2 pep primary_assembly:ASM411807v1:6:23151990:23156814:-1 gene:Vigun06g101400.v1.2 transcript:Vigun06g101400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFVSTQMDIMQQNDNNNLTEHASNSPPSSPYISNLIGAPQLNPRVGDEYQVKVPSLIKESERLQLLRNPAESEIGPDNSLSFAIGLPISVTWIHNAKDCSENEGWGCVGDNADELKPTTFQSEITGDSNSSELGESKNFALAPGILSNPWSDSDAEVFLLGLYIFGKNFIQIQRFLENKEMGEILAFYYGKFYKSDEYRRWSDCRKIKGRKSAIGQKLFTGRRQQELLSRLIPHVSGESKDTLIQVSKSYTEGRASLEEYISSLKSTVGLGILVGAVGIGKEKDDLTTLVLEPVKNNKVFSVPTCKAWSSLGPNDILKFLTGFRLSKARSNDLFWEAVWPRLLARGWHSEQPKNRGYVSSKDYLVFLIPGVKTFSRRKLVKGDHYFDSVSDVLSKVVAEPNLLDLEEAKVGSCDDEEPERGSNEDDDSDYQRQCYLKPRASTYNTDQIKFTVIDTSLVHGGKPSDLREFKPAPVNPVGEVEVNVADTHEEAKLMSEVNHNKNISENIDQKLAGTSVPCERKLLKVRELQFLPIEEEDASKVLDNLRESKGSYYDDSPSVMEASMLDIGNADNQNNAKKMVQSLENKKTSVSNDNMLKRTIKHQFKRRARSGNSNHVVVPIKRRRLAACSKAETSHIIKNSSGDSRSEKMALSHTKVDDPFTCQKNGSLIPSSDEKSLEKNNKESILNEKGDSVTFNIPQAPLKSEIDVVMAMLEEDKQSLKPNDPCLLSDTKGVIEEPLGASSDVSSVEQQPSITSRRQSKRNRPLSVRALESLANEFLYGERRQKRKLVPTQTDAFNVCRKARTRSKTMPHNQSSDNVTAVLVEEKQLNGNSTA >Vigun06g101400.1.v1.2 pep primary_assembly:ASM411807v1:6:23151873:23156913:-1 gene:Vigun06g101400.v1.2 transcript:Vigun06g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMQQNDNNNLTEHASNSPPSSPYISNLIGAPQLNPRVGDEYQVKVPSLIKESERLQLLRNPAESEIGPDNSLSFAIGLPISVTWIHNAKDCSENEGWGCVGDNADELKPTTFQSEITGDSNSSELGESKNFALAPGILSNPWSDSDAEVFLLGLYIFGKNFIQIQRFLENKEMGEILAFYYGKFYKSDEYRRWSDCRKIKGRKSAIGQKLFTGRRQQELLSRLIPHVSGESKDTLIQVSKSYTEGRASLEEYISSLKSTVGLGILVGAVGIGKEKDDLTTLVLEPVKNNKVFSVPTCKAWSSLGPNDILKFLTGFRLSKARSNDLFWEAVWPRLLARGWHSEQPKNRGYVSSKDYLVFLIPGVKTFSRRKLVKGDHYFDSVSDVLSKVVAEPNLLDLEEAKVGSCDDEEPERGSNEDDDSDYQRQCYLKPRASTYNTDQIKFTVIDTSLVHGGKPSDLREFKPAPVNPVGEVEVNVADTHEEAKLMSEVNHNKNISENIDQKLAGTSVPCERKLLKVRELQFLPIEEEDASKVLDNLRESKGSYYDDSPSVMEASMLDIGNADNQNNAKKMVQSLENKKTSVSNDNMLKRTIKHQFKRRARSGNSNHVVVPIKRRRLAACSKAETSHIIKNSSGDSRSEKMALSHTKVDDPFTCQKNGSLIPSSDEKSLEKNNKESILNEKGDSVTFNIPQAPLKSEIDVVMAMLEEDKQSLKPNDPCLLSDTKGVIEEPLGASSDVSSVEQQPSITSRRQSKRNRPLSVRALESLANEFLYGERRQKRKLVPTQTDAFNVCRKARTRSKTMPHNQSSDNVTAVLVEEKQLNGNSTA >Vigun09g272200.4.v1.2 pep primary_assembly:ASM411807v1:9:43383216:43385467:1 gene:Vigun09g272200.v1.2 transcript:Vigun09g272200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIATDRGNCSSYSGSQMPHSCRNDPIIVDLSPEVSENRSEHCCRGGLLSAWSIDPFGAFSSFELEVRNVGDNPLGQPPNNLTLMAPGPGYTCSPLLDTHPSVSSDFGGLRQVPVLRTWKSTCAYSSFLANTLPVCCVSLSSFYNPVVTSCRNCSCGCREAYKSTASCIRPGSLSRSNEDNTIETVECTDHMCPVRVHWHFKNNYMNQWRVKLTISNYNYNKNYSNWNLLVQHPGFTQKTSTYSFNSTKLPTLGIQDGVSLFWGIDFYNNELLHSEKAKVGVVTTEILLDKDPNSFTVSNGWAFPRRIYFNGDNCEMPLPDTFPMLPNRSSTLAPPYYGFTLLFILLFLPLLVSWV >Vigun09g272200.3.v1.2 pep primary_assembly:ASM411807v1:9:43382885:43385466:1 gene:Vigun09g272200.v1.2 transcript:Vigun09g272200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCFDPLDPTGNISVTFDIYQRTDDGYLARVRLENYHQYRHVEKPGWKLGWRWAKSEVIWSMSGAIATDRGNCSSYSGSQMPHSCRNDPIIVDLSPEVSENRSEHCCRGGLLSAWSIDPFGAFSSFELEVRNVGDNPLGQPPNNLTLMAPGPGYTCSPLLDTHPSVSSDFGGLRQVPVLRTWKSTCAYSSFLANTLPVCCVSLSSFYNPVVTSCRNCSCGCREAYKSTASCIRPGSLSRSNEDNTIETVECTDHMCPVRVHWHFKNNYMNQWRVKLTISNYNYNKNYSNWNLLVQHPGFTQKTSTYSFNSTKLPTLGIQDGVSLFWGIDFYNNELLHSEKAKVGVVTTEILLDKDPNSFTVSNGWAFPRRIYFNGDNCEMPLPDTFPMLPNRSSTLAPPYYGFTLLFILLFLPLLVSWV >Vigun09g272200.1.v1.2 pep primary_assembly:ASM411807v1:9:43383216:43385466:1 gene:Vigun09g272200.v1.2 transcript:Vigun09g272200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVPTLKLIIFFALFVFSDCFDPLDPTGNISVTFDIYQRTDDGYLARVRLENYHQYRHVEKPGWKLGWRWAKSEVIWSMSGAIATDRGNCSSYSGSQMPHSCRNDPIIVDLSPEVSENRSEHCCRGGLLSAWSIDPFGAFSSFELEVRNVGDNPLGQPPNNLTLMAPGPGYTCSPLLDTHPSVSSDFGGLRQVPVLRTWKSTCAYSSFLANTLPVCCVSLSSFYNPVVTSCRNCSCGCREAYKSTASCIRPGSLSRSNEDNTIETVECTDHMCPVRVHWHFKNNYMNQWRVKLTISNYNYNKNYSNWNLLVQHPGFTQKTSTYSFNSTKLPTLGIQDGVSLFWGIDFYNNELLHSEKAKVGVVTTEILLDKDPNSFTVSNGWAFPRRIYFNGDNCEMPLPDTFPMLPNRSSTLAPPYYGFTLLFILLFLPLLVSWV >Vigun04g101600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23502373:23503160:1 gene:Vigun04g101600.v1.2 transcript:Vigun04g101600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKRSKEVLIYSITESRKISNIFSAFIIFLGSLGLLLVAISSYLGVGLFLFSQEISNFPFIPQGVAMTFYGIGGLFISFYLWWIILWDIGGGFDIFDKKNKEELCFLRWGFPGKNRRIILKIPMNEIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFFLGVPLLY >Vigun03g192400.2.v1.2 pep primary_assembly:ASM411807v1:3:26703877:26709063:-1 gene:Vigun03g192400.v1.2 transcript:Vigun03g192400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWADLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNFRFIAADTLQKIIMLFALAIWTNFTANGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFYSMMGYAPRHSNFGAADLYSVQSTSRGVTPRPSNFEENSAPAPQAISSPRFGFYPAVQTVPAAYPAPNPEFSSGLTKSVSKNSQTQPQPQPQPQSQPQAQATPQVSQPPNSSNKASHDAKELHMFVWSSSASPVSEAGGLHFSGADFGASDQSGRSDQGAKEIRMLVADEHPQNGEANKAEGEFRAEDLKFPGKEGEQAEEEAEKGGAAGLNKLGSSSTVELHPKSGIAAAAKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPNIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >Vigun03g192400.1.v1.2 pep primary_assembly:ASM411807v1:3:26703877:26709063:-1 gene:Vigun03g192400.v1.2 transcript:Vigun03g192400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWADLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNFRFIAADTLQKIIMLFALAIWTNFTANGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEVGDDGKLHVTVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFYSMMGYAPRHSNFGAADLYSVQSTSRGVTPRPSNFEENSAPAPQAISSPRFGFYPAVQTVPAAYPAPNPEFSSGLTKSVSKNSQTQPQPQPQPQSQPQAQATPQVSQPPNSSNKASHDAKELHMFVWSSSASPVSEAGGLHFSGADFGASDQSGRSDQGAKEIRMLVADEHPQNGEANKAAAEGEFRAEDLKFPGKEGEQAEEEAEKGGAAGLNKLGSSSTVELHPKSGIAAAAKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPNIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >Vigun03g063800.1.v1.2 pep primary_assembly:ASM411807v1:3:5228118:5231536:-1 gene:Vigun03g063800.v1.2 transcript:Vigun03g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLIPGFRFHPSGVELVVYFLKRKVMGKKFFGGAIAELDIYKYAPWDLPAKSCLRTGELEWYFFCPLEKKYGSGSRMKRATEIGYWKATGRDRVVQHNHKTVGMIRTLIFHKGKSPKGERTNWVMHEHRLEDKDLADKGIAQHSYVVCKVFEKEGLGPRNGAQYARPFNEEEWNDEELDIPCTASTAPVPILPMTSDASVPTDNPVPASGYTSCLSTSMPVPGTADPSDPNDQVVNNDDEDILRMNDISEDGDKLSEKADNDPKKIAGDVPPLPEFFEGLDAYWDAYHAGISSGQNAEFSTNGMVTTDDVWSSDFLQLSDLDIPLVWPNTQP >Vigun03g312200.2.v1.2 pep primary_assembly:ASM411807v1:3:50515985:50518949:1 gene:Vigun03g312200.v1.2 transcript:Vigun03g312200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRGLLGLKKTERSTSPAKPPKEKRRWSFVKSNTEKDNNTAATCPPQGNDGSKHAMAVAAATAAVAEAAVAAAEAAAVVVRLTSSSGRCTDVGPTRIRQHWAAVKIQAAFRGCLARRALRALKGLVKLQALVRGHIERKRTAQWLKRVQALLHTQAQVSAGLVLHASPSSSKFSTHLHGPETPEKFESPISSKSMKYEQSPIFKRNGSKSCVQINGYQEICGSRLENQVTDQPWSSARSLIRTYSSNDERNDRVLEVDSGKPHFTKRRNLSYSTVSDHYSKSLNSTKESTSLQSGQSPCGEVQSYSCSSQKVNEIEESPFCTADNSPQFLSATSKDDGFNRSPFTPARSDGSRSYIRGYADYPSYMACTESSKAKVRSLSAPKQRPNSERPGSSNRYSLNGFDMSRLATQRAMHASFTNKAYPGSGRLDKLGMPVGYRF >Vigun03g312200.4.v1.2 pep primary_assembly:ASM411807v1:3:50515975:50519012:1 gene:Vigun03g312200.v1.2 transcript:Vigun03g312200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRGLLGLKKTERSTSPAKPPKEKRRWSFVKSNTEKDNNTAATCPPQGNDGSKHAMAVAAATAAVAEAAVAAAEAAAVVVRLTSSSGRCTDVGPTRIRQHWAAVKIQAAFRGCLARRALRALKGLVKLQALVRGHIERKRTAQWLKRVQALLHTQAQVSAGLVLHASPSSSKFSTHLHGPETPEKFESPISSKSMKYEQSPIFKRNGSKSCVQINGYQEICGSRLENQVTDQPWSSARSLIRTYSSNDERNDRVLEVDSGKPHFTKRRNLSYSTVSDHYSKSLNSTKESTSLQSGQSPCGEVQSYSCSSQKVNEIEESPFCTADNSPQFLSATSKDDGFNRSPFTPARSDGSRSYIRGYADYPSYMACTESSKAKVRSLSAPKQRPNSERPGSSNRYSLNGFDMSRLATQRAMHASFTNKAYPGSGRLDKLGMPVGYRF >Vigun03g312200.3.v1.2 pep primary_assembly:ASM411807v1:3:50515920:50519022:1 gene:Vigun03g312200.v1.2 transcript:Vigun03g312200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRGLLGLKKTERSTSPAKPPKEKRRWSFVKSNTEKDNNTAATCPPQGNDGSKHAMAVAAATAAVAEAAVAAAEAAAVVVRLTSSSGRCTDVGPTRIRQHWAAVKIQAAFRGCLARRALRALKGLVKLQALVRGHIERKRTAQWLKRVQALLHTQAQVSAGLVLHASPSSSKFSTHLHGPETPEKFESPISSKSMKYEQSPIFKRNGSKSCVQINGYQEICGSRLENQVTDQPWSSARSLIRTYSSNDERNDRVLEVDSGKPHFTKRRNLSYSTVSDHYSKSLNSTKESTSLQSGQSPCGEVQSYSCSSQKVNEIEESPFCTADNSPQFLSATSKDDGFNRSPFTPARSDGSRSYIRGYADYPSYMACTESSKAKVRSLSAPKQRPNSERPGSSNRYSLNGFDMSRLATQRAMHASFTNKAYPGSGRLDKLGMPVGYRF >Vigun05g110500.2.v1.2 pep primary_assembly:ASM411807v1:5:11477907:11483183:-1 gene:Vigun05g110500.v1.2 transcript:Vigun05g110500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREASKLWKRICAETTTEINLLAENWKYLLAGIVFQYIHGLAARGVHYLHRPGPTLQDLGFILLPELGQEKAYISETLFTFIFLSFVLWTFHPFLFKSKKIYTVLIWCRVLCFLVVSQALRIVTFYATQLPGPNYHCREGSKLATLPRPDSIFEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLGWTLSVCQSLLIIASRKHYTVDVVVAWYTVNLVVFFVEKKLPELPDRTNAAATLLLPLSTKDNKDGRTKEENHKLLNGNSVVDPADWAENSSKW >Vigun05g110500.1.v1.2 pep primary_assembly:ASM411807v1:5:11477907:11483183:-1 gene:Vigun05g110500.v1.2 transcript:Vigun05g110500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREASKLWKRICAETTTEINLLAENWKYLLAGIVFQYIHGLAARGVHYLHRPGPTLQDLGFILLPELGQEKAYISETLFTFIFLSFVLWTFHPFLFKSKKIYTVLIWCRVLCFLVVSQALRIVTFYATQLPGPNYHCREGSKLATLPRPDSIFEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLGWTLSVCQSLLIIASRKHYTVDVVVAWYTVNLVVFFVEKKLPELPDRTNAAATLLLPLSTKDNKDGRTKEENHKLLNGNSVVDPADWRQRTQANGKILEDGHTNHADTAMNGA >Vigun09g143900.1.v1.2 pep primary_assembly:ASM411807v1:9:30668503:30671155:-1 gene:Vigun09g143900.v1.2 transcript:Vigun09g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Vigun05g081700.1.v1.2 pep primary_assembly:ASM411807v1:5:7687756:7694197:-1 gene:Vigun05g081700.v1.2 transcript:Vigun05g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMEGRRRGGCSGRRIVASKRGNGNGNGSGNGINSNTITNSISVIKKLQTREICSKPHRAFASATSPHRFRTMRLTHYYDSHDPSKSRSSLLPFLLKRTKVVEIVAAKNLIFALYHSGLCAAFSRETDERICFLNVCPDEVIRSLFFNKNNDSLITVSVYASENFSSLKCRSTKIEYIRRGTPDAGFPLFQSESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSIADKHVQEIKISPGIMLLIFNRTSGHIPLKIISIEDGTVLKVFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRTEFMTPSAFIFLYENQLFLTFRNRTVSVWNFRGELVTSFEDHQLWHPDCNTNNIYITSDQDLIISYCKADSDDQWMEGNAGSIHVSNILTGKCVAKINTTISCARADECSRSGCSCRESLYPCLMRNSVTEALEDITALFYDEDRNEIYTGNRHGLLHVWSN >Vigun10g078800.2.v1.2 pep primary_assembly:ASM411807v1:10:21420573:21422824:-1 gene:Vigun10g078800.v1.2 transcript:Vigun10g078800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPAAQFFLFLVQWTDCHLAGALGLLRVLIYKVYEDGKTTMSIYERKTSLKDFYGVIFPSLLQLHRGITDVEERKQKDLFAKKYMNKDIVRKGKLSEIDIEREEECGICMEMNNKVVLPNCNHTLCMKCYRNWHSRSQSCPFCRDSLQRVNSGDLWIYLNNNEIKDLASINKENLKRLFTYVDKLPLIVSDPSLMSYPQR >Vigun10g078800.5.v1.2 pep primary_assembly:ASM411807v1:10:21420573:21424797:-1 gene:Vigun10g078800.v1.2 transcript:Vigun10g078800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYERKTSLKDFYGVIFPSLLQLHRGITDVEERKQKDLFAKKYMNKDIVRKGKLSEIDIEREEECGICMEMNNKVVLPNCNHTLCMKCYRNWHSRSQSCPFCRDSLQRVNSGDLWIYLNNNEIKDLASINKENLKRLFTYVDKLPLIVSDPSLMSYPQR >Vigun10g078800.4.v1.2 pep primary_assembly:ASM411807v1:10:21420573:21424888:-1 gene:Vigun10g078800.v1.2 transcript:Vigun10g078800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQVYEDGKTTMSIYERKTSLKDFYGVIFPSLLQLHRGITDVEERKQKDLFAKKYMNKDIVRKGKLSEIDIEREEECGICMEMNNKVVLPNCNHTLCMKCYRNWHSRSQSCPFCRDSLQRVNSGDLWIYLNNNEIKDLASINKENLKRLFTYVDKLPLIVSDPSLMSYPQR >Vigun10g078800.3.v1.2 pep primary_assembly:ASM411807v1:10:21420573:21422824:-1 gene:Vigun10g078800.v1.2 transcript:Vigun10g078800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPAAQFFLFLVQWTDCHLAGALGLLRVLIYKVYEDGKTTMSIYERKTSLKDFYGVIFPSLLQLHRGITDVEERKQKDLFAKKYMNKDIVRKGKLSEIDIEREEECGICMEMNNKVVLPNCNHTLCMKCYRNWHSRSQSCPFCRDSLQRVNSGDLWIYLNNNEIKDLASINKENLKRLFTYVDKLPLIVSDPSLMSYPQR >Vigun10g078800.1.v1.2 pep primary_assembly:ASM411807v1:10:21420573:21424888:-1 gene:Vigun10g078800.v1.2 transcript:Vigun10g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKALEADILFANTLASDYPRESDGACLQMRLSYSPAAQFFLFLVQWTDCHLAGALGLLRVLIYKVYEDGKTTMSIYERKTSLKDFYGVIFPSLLQLHRGITDVEERKQKDLFAKKYMNKDIVRKGKLSEIDIEREEECGICMEMNNKVVLPNCNHTLCMKCYRNWHSRSQSCPFCRDSLQRVNSGDLWIYLNNNEIKDLASINKENLKRLFTYVDKLPLIVSDPSLMSYPQR >Vigun08g114600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28129325:28129762:-1 gene:Vigun08g114600.v1.2 transcript:Vigun08g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGKPMVAAPSNVIYLSSILGQDGPVPCHRCNWKCENEHVCGNMYRCKLTMLTHICDKNCNQRILYDNHSSLCLASGQIFPLTPAEEQAVRGVRRKLDAENSPSDSCGFKRRRDAQFHPSPFERSFTAVGPICSQVGDGMDMN >Vigun03g307300.2.v1.2 pep primary_assembly:ASM411807v1:3:49823524:49828877:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEVGLSNKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGTGGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFGNLFGNDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun03g307300.1.v1.2 pep primary_assembly:ASM411807v1:3:49823525:49828876:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFGNLFGNDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun03g307300.6.v1.2 pep primary_assembly:ASM411807v1:3:49823525:49828876:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGRGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun03g307300.5.v1.2 pep primary_assembly:ASM411807v1:3:49823525:49828876:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGRGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFGNLFGNDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun03g307300.4.v1.2 pep primary_assembly:ASM411807v1:3:49823525:49828876:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGTGGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFGNLFGNDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun03g307300.3.v1.2 pep primary_assembly:ASM411807v1:3:49823524:49828877:1 gene:Vigun03g307300.v1.2 transcript:Vigun03g307300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERQQKEFQKDDIEGLTTEAEHRISEAQKGYSDSLEVGLSNKERMKYQKDYNKESIKKLEEKVTENKKKYEEFCMTSNAEMAIVPVEEVVILKKLLQKETLIRNATEGELNHLKSQMEELKMWEASRESHISKLGKMLEDETHQKEKLKREIARLQSQLLQLGFNVGKTKQKIRRGGSEKVAVGSDYSSSFLVKHQQQASGNGEEASAANLIEHGRGLQKILSLLEAEDADAQIYAVKIIANLACEERNQKKIVEAGGIPPLLSLFKISKDETTHRVAASAIANLAMNESNQDLIAAQGGINLLSMVAANAEDPQTLRMVAGAFGNLFGNDKLQIKIRDEGGLKTLLGMIRCRHPDVYTQVARAIANFAKCESKASTQGTKIERSLLIVDGLLPWIVENAKNEVSLVRRHIEIALCHIAKYEAKANAVDMINGGAIRELVRVSRDSSREDIRILARETLISNSAFHAEIRNSEMKMVE >Vigun07g191200.2.v1.2 pep primary_assembly:ASM411807v1:7:30932262:30938808:-1 gene:Vigun07g191200.v1.2 transcript:Vigun07g191200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQPLQQKPEPADSHSEFERGLEEFMRGHLDECMPFASCSSSRAPDDEDDESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGQEGAVERPSTQASAILQMWRELEDEHLLNRARERMRVRLRQQRNSDSNTNVSSTMSDSRGSENHGSLGDASESENDYGTWSHDQIGSRNAHGDHNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRAEWLGETERERVRIVREWVQMTSQQRGSRGSRRDSQVSDGAQADPVRDVADNDESQPEHVRRDMLRLRGRQALVDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERTVEDERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQAGTNPDTTSNNNATETRGDENQTNSMVDGQQENYEQQQIRNLETDVRQLPNGTGTMESSTSESISWQETGNQGGNWQEQIAEEGGGNWQQSPFNQTRDGRSVNDWPQEPPRNLAGEDPHPREAQRIWHEDNTRETAGNWSDGPSGVSRNHRGVPVRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVQRQGRAPIDWDLHRNLPTPTPASPERDPDQQNGERAEGQHETINRPSLVLPSPPVPPPQPLWHQDLHQTGWSRHNMHRSEIEWEIMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLDAETSDDGSKWGHVKKGTCCVCCDNHIDSLLYRCGHMCTCSKCANELIRGGGKCPLCRAPIVEVVRAYSIL >Vigun07g191200.4.v1.2 pep primary_assembly:ASM411807v1:7:30932157:30938856:-1 gene:Vigun07g191200.v1.2 transcript:Vigun07g191200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQPLQQKPEPADSHSEFERGLEEFMRGHLDECMPFASCSSSRAPDDEDDESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGQEGAVERPSTQASAILQMWRELEDEHLLNRARERMRVRLRQQRNSDSNTNVSSTMSDSRGSENHGSLGDASESENDYGTWSHDQIGSRNAHGDHNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRAEWLGETERERVRIVREWVQMTSQQRGSRGSRRDSQVSDGAQADPVRDVADNDESQPEHVRRDMLRLRGRQALVDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERTVEDERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQAGTNPDTTSNNNATETRGDENQTNSMVDGQQENYEQQQIRNLETDVRQLPNGTGTMESSTSESISWQETGNQGGNWQEQIAEEGGGNWQQSPFNQTRDGRSVNDWPQEPPRNLAGEDPHPREAQRIWHEDNTRETAGNWSDGPSGVSRNHRGVPVRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVQRQGRAPIDWDLHRNLPTPTPASPERDPDQQNGERAEGQHETINRPSLVLPSPPVPPPQPLWHQDLHQTGWSRHNMHRSEIEWEIMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLDAETSDDGSKWGHVKKGTCCVCCDNHIDSLLYRCGHMCTCSKCANELIRGGGKCPLCRAPIVEVVRAYSIL >Vigun07g191200.1.v1.2 pep primary_assembly:ASM411807v1:7:30932203:30938856:-1 gene:Vigun07g191200.v1.2 transcript:Vigun07g191200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQPLQQKPEPADSHSEFERGLEEFMRGHLDECMPFASCSSSRAPDDEDDESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGQEGAVERPSTQASAILQMWRELEDEHLLNRARERMRVRLRQQRNSDSNTNVSSTMSDSRGSENHGSLGDASESENDYGTWSHDQIGSRNAHGDHNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVSQRNNNRRAEWLGETERERVRIVREWVQMTSQQRGSRGSRRDSQVSDGAQADPVRDVADNDESQPEHVRRDMLRLRGRQALVDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERTVEDERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQAGTNPDTTSNNNATETRGDENQTNSMVDGQQENYEQQQIRNLETDVRQLPNGTGTMESSTSESISWQETGNQGGNWQEQIAEEGGGNWQQSPFNQTRDGRSVNDWPQEPPRNLAGEDPHPREAQRIWHEDNTRETAGNWSDGPSGVSRNHRGVPVRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVQRQGRAPIDWDLHRNLPTPTPASPERDPDQQNGERAEGQHETINRPSLVLPSPPVPPPQPLWHQDLHQTGWSRHNMHRSEIEWEIMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLDAETSDDGSKWGHVKKGTCCVCCDNHIDSLLYRCGHMCTCSKCANELIRGGGKCPLCRAPIVEVVRAYSIL >Vigun11g186800.1.v1.2 pep primary_assembly:ASM411807v1:11:38710626:38712734:-1 gene:Vigun11g186800.v1.2 transcript:Vigun11g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQWYPNNSASSPFWNNGHCAPGFMDSGISFQHKQQQQLAEQHLGELCNGSQGGAFDPNLHVCSSKGMNSPMFAIQFEKQWGEIDQYMKSEDEKLRYMIKEHGKQQVMALLRKLETRTLHVLREKDVEIAQAMKKRLELEEYLKRLEAENNKWQRLAQEKENMALTLYKTLEEMTEGGNFLNNGTVANDAVSCCGETGGTEEREEEEEEETAEKGLECGGVNVDEVEQITRKGGVMVCKSCHSRRSCFLFLPCRHLSSCKVCNASLEACPVCRTPKKATIELRL >Vigun08g221700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37999916:38001622:-1 gene:Vigun08g221700.v1.2 transcript:Vigun08g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQQLLSMGFPDELAAQALAATGGKSTVKATEWILTHKSNAANTSTFQPKLDRFFHSSQSPTPPQSQSQEQIEEEEEDEEPSKRPRPSTHSAQHPQPQPPPPPPPQQNWPTFFLKTAEKKNANTHIHQPLYERLRPRTLDDVVGQDHLLAANSLLRSAIQRNRLPSILLWGPPGTGKTTIAKAIVKSSTATRYRFVSLSAVTSGVKDVRDAVDEARKLRLKSNQSTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFVGATTENPSFHLITPLLSRCRVLTLNPLQPHHLALLLNRAVSDADKGLVQSAGVQVDVKEDAVDYISNNCDGDARVALNALEIAAVTAAARVQHGKQKEEGVEDLHTNEDYKVAVVSVDDAREALQCKHLAYDKAGEEHYNLISALHKSMRGSDANAGIYWLARMLEGGEEPLYIARRLIRFASEDVGLADPLALNQAVSCYQACHFLGMPECNVILAQCVAYLALAPKSVAVYRALGAAQKAVRESAGQNEGVPLHLRNAPTKLMKEIGYAKGYIYPPDNPSSTQTYLPPSLQGYKFLDWPDRIPYDGSEH >Vigun04g042200.1.v1.2 pep primary_assembly:ASM411807v1:4:3671205:3675249:-1 gene:Vigun04g042200.v1.2 transcript:Vigun04g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSSVSKELHAKHTKILEGLVKLPDNRECADCGTKAPRWASVNLGIFICMQCSGIHRSLGVHISQVRSTTLDTWLPDQVSFMQLMGNARSNKHWEAELPPNFDRNGYGIERFIRSKYVEKRWASKGELQPASKSAEIIFNRNESPATGSKSGIQKNRRLSLEESILVKHMNHIPLPTTRSPTPRSQERSPDMQNKLSPVPLRRPSASYDFDNSRMKNNGTVDHFGLFSPPNAKQEFSTTPPSWITFD >Vigun10g142900.3.v1.2 pep primary_assembly:ASM411807v1:10:35981207:35985622:-1 gene:Vigun10g142900.v1.2 transcript:Vigun10g142900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGHHVSSIIFIIFLVYNRKITESELVCEPEESNSEDDEAGVSDNEAETEILIHFLISLREEKKKQAAKLEKVLNFLNEDIKEVERSYSLGTDSVFPLAPMKNPKLRGNDVHSQDSSNSDIGKMIRRPFVDEERLMSNINELESSYFAARSRVLPKEASSVSSNDKNVMESEWRHVENVDNKEPRRIQSSVACLESFCEGLCKFARYSKFEECGRLENSDGNIICGLSFDRDEDYIATGGISTKIKIFELSSAISSDSDDIQYPVVVEMSNQSKISSVCWNTCIQNHLASADYDGEIKMWDAGTGQLLSQYIEHEKRAWSVDISLSDPKMFASGSDDGSVKVWNINERNSVETITMVANICCVQFSPPSKNLLFFGSADHKVYGYDLRHTRIPWCSLAGHGKAVSYVKFIDAETVVTASTDNSLKLWDLKKTSSSALSSDACALTFKGHRNKKNFVGLSVSDGYIACGSESNEVYCYHKSLLVPIATHKFEPIDPISDDPRNGGFVSSVCWRKKSDMLVAANSVGIVKLLQMV >Vigun10g142900.1.v1.2 pep primary_assembly:ASM411807v1:10:35981207:35986540:-1 gene:Vigun10g142900.v1.2 transcript:Vigun10g142900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLLHPTTSTLTSRTLLNQPFRPTSASAANPLAVAVIAAVCALILLLILTALSALYYCLRRRKTFFADPTVNLFHCDDAAAAACRKAGNTMESPELQPLLARKASKAAVDDEEQQLCSPRGKITESELVCEPEESNSEDDEAGVSDNEAETEILIHFLISLREEKKKQAAKLEKVLNFLNEDIKEVERSYSLGTDSVFPLAPMKNPKLRGNDVHSQDSSNSDIGKMIRRPFVDEERLMSNINELESSYFAARSRVLPKEASSVSSNDKNVMESEWRHVENVDNKEPRRIQSSVACLESFCEGLCKFARYSKFEECGRLENSDGNIICGLSFDRDEDYIATGGISTKIKIFELSSAISSDSDDIQYPVVVEMSNQSKISSVCWNTCIQNHLASADYDGEIKMWDAGTGQLLSQYIEHEKRAWSVDISLSDPKMFASGSDDGSVKVWNINERNSVETITMVANICCVQFSPPSKNLLFFGSADHKVYGYDLRHTRIPWCSLAGHGKAVSYVKFIDAETVVTASTDNSLKLWDLKKTSSSALSSDACALTFKGHRNKKNFVGLSVSDGYIACGSESNEVYCYHKSLLVPIATHKFEPIDPISDDPRNGGFVSSVCWRKKSDMLVAANSVGIVKLLQMV >Vigun10g142900.4.v1.2 pep primary_assembly:ASM411807v1:10:35981207:35985622:-1 gene:Vigun10g142900.v1.2 transcript:Vigun10g142900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGHHVSSIIFIIFLVYNRKITESELVCEPEESNSEDDEAGVSDNEAETEILIHFLISLREEKKKQAAKLEKVLNFLNEDIKEVERSYSLGTDSVFPLAPMKNPKLRGNDVHSQDSSNSDIGKMIRRPFVDEERLMSNINELESSYFAARSRVLPKEASSVSSNDKNVMESEWRHVENVDNKEPRRIQSSVACLESFCEGLCKFARYSKFEECGRLENSDGNIICGLSFDRDEDYIATGGISTKIKIFELSSAISSDSDDIQYPVVVEMSNQSKISSVCWNTCIQNHLASADYDGEIKMWDAGTGQLLSQYIEHEKRAWSVDISLSDPKMFASGSDDGSVKVWNINELITRFMVMIFVTLESLGAH >Vigun10g142900.2.v1.2 pep primary_assembly:ASM411807v1:10:35981207:35986540:-1 gene:Vigun10g142900.v1.2 transcript:Vigun10g142900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLLHPTTSTLTSRTLLNQPFRPTSASAANPLAVAVIAAVCALILLLILTALSALYYCLRRRKTFFADPTVNLFHCDDAAAAACRKAGNTMESPELQPLLARKASKAAVDDEEQQLCSPRGKITESELVCEPEESNSEDDEAGVSDNEAETEILIHFLISLREEKKKQAAKLEKVLNFLNEDIKEVERSYSLGTDSVFPLAPMKNPKLRGNDVHSQDSSNSDIGKMIRRPFVDEERLMSNINELESSYFAARSRVLPKEASSVSSNDKNVMESEWRHVENVDNKEPRRIQSSVACLESFCEGLCKFARYSKFEECGRLENSDGNIICGLSFDRDEDYIATGGISTKIKIFELSSAISSDSDDIQYPVVVEMSNQSKISSVCWNTCIQNHLASADYDGEIKMWDAGTGQLLSQYIEHEKRAWSVDISLSDPKMFASGSDDGSVKVWNINELITRFMVMIFVTLESLGAH >Vigun11g112900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31544026:31545115:1 gene:Vigun11g112900.v1.2 transcript:Vigun11g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGFEDLLPVMATKLGGEGLMKELCNGFELLVDKEKGVITLESLREKAALLGLQDLKEDELVSMMREGDLDGDGALTQMEFCVLMFRLSPELMEESWFWLEDALQHEQLNQTTNNNSSI >Vigun04g035100.1.v1.2 pep primary_assembly:ASM411807v1:4:2917051:2921278:1 gene:Vigun04g035100.v1.2 transcript:Vigun04g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILTYVVAAEAAVAIVLTLPTPKLLRNRLASLVSLILQPALFIVPFAGFHLLDIYWKNEHRLMCTSEVCTAAERDRYEKSIYKAQRNVILCITSILLYWSISRICKYQKDVESMEEVEKRYKSQ >Vigun04g035100.2.v1.2 pep primary_assembly:ASM411807v1:4:2917051:2929905:1 gene:Vigun04g035100.v1.2 transcript:Vigun04g035100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILTYVVAAEAAVAIVLTLPTPKLLRNRLASLVSLILQPALFIVPFAGFHLLDIYWKNEHRLMCTSEVCTAAERDRYEKSIYKAQRNVILCITSILLYWSISRICKYQKDVESMEEVEKRYKSQ >Vigun03g091900.2.v1.2 pep primary_assembly:ASM411807v1:3:7751398:7754356:1 gene:Vigun03g091900.v1.2 transcript:Vigun03g091900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWKIIVGSIVGFLGSAFGNIGGVGGGGIFVPMLTLIIGFDTKSAIAISKCMITGGATATVFYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISIGVDFNVIFPEWMLTVLLIILFVGLSIKSFLKGVDTWKQETIMKKEAKKNSRIDDIATAEDAAHYIQTEDLVKDDTKELKKKVSMVENIRWKDLGHLFAVWFMILALEIGKNYTTTCSGAYWAVNLLQIPIAVGMSAYQAMRLYKGQRSIASKGDQQPRWSVWKLIVFCCCGTVAGTLAGLLGLGGGFILAPLFLGIGIPPQVASATSILAMAFSASMAVVEYYLLKRFPVPYALCLVGVATVASLVGQYLVRKVVALLGRASVIIFILTFTLCVSAVLLGGVGVDHMIQKIERKEYMGFGNLCMYTAKN >Vigun06g212350.1.v1.2 pep primary_assembly:ASM411807v1:6:32469179:32470561:-1 gene:Vigun06g212350.v1.2 transcript:Vigun06g212350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALERVLAAKQTPKGIFLIPVCSGRLWIESCMLR >Vigun07g210700.1.v1.2 pep primary_assembly:ASM411807v1:7:33212157:33215797:1 gene:Vigun07g210700.v1.2 transcript:Vigun07g210700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSYLLSLNTIHVHAGISGRQCKPSIFKPNNFRFGVWKSSKYPTKRNGNSPVVECCSQYTEVGESAGIAISSLLDAATTKVSLNSDLLLKTLKLSIPETSHGSKDLVTRLVLADLDPATAKLAIGFLGPFLSVFGFLFILRIVMSWYPKLPVGKFPYVIAYAPTEPLLIPTRKVIPPLAGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQVN >Vigun10g122300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:32994044:32995013:-1 gene:Vigun10g122300.v1.2 transcript:Vigun10g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQQKLTLEITVMSGENISVDRNSVSDEVYVVVRAESLKCCTTQMKNGDDGVHAWNEKLLLEVPSYARSVTFEVQCKKYKGFRPVGVARIALSDLLLAKNNNVLSESVSQMFCYGLRSWEGRRNGVLHFAVKVVDNLSAQAKPEKDIEMVSCRGFENEVTGFHVNPKNSSHGITAIPVKVH >Vigun08g129050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29981705:29982416:1 gene:Vigun08g129050.v1.2 transcript:Vigun08g129050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINNLTFLQFGEDYIYGEILGQDWYKSKINQNIELYQRSSWGKILELLKVNSRELVTESMKKKLKLFNQHFKEICKAQSEWLIFDNELREVLIKSIEKFLLPAYGAFIGMIHDVVGKDAYEFIRYGMQNIQDRLGHLFLVKIA >Vigun05g076450.1.v1.2 pep primary_assembly:ASM411807v1:5:6995735:6996366:-1 gene:Vigun05g076450.v1.2 transcript:Vigun05g076450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLNELLEDTFMMFECDFDDILDEDEDEEFDVEMVRIYNLVHDARQEAYTGCKKFSKILIILSQNLYFLTGWHYPYFFS >Vigun03g018000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1285284:1286552:1 gene:Vigun03g018000.v1.2 transcript:Vigun03g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPKPTNTDKRKRVRDDSDSLPNSLKLPRVDNSSSSESHRVDSAEFQLARVDPDFFSMLNDAENVTERDPAVEVEHLDSFIKSFEEEILAPPSSDPNLPPEPEVFEPNLGYLLEASDDELGLPPTVAPCEDESKHEIFDSGRVGPEGVDLTGFLGFEDDIGSYEGFGFVGYGDVDDNGGGYVTIDGLFDHAEPGADILWRSESLQAM >Vigun05g192100.1.v1.2 pep primary_assembly:ASM411807v1:5:37328645:37336433:-1 gene:Vigun05g192100.v1.2 transcript:Vigun05g192100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRERLVRAVKKFVGVRYKVFSNRYGQNIIDILDFPIKVVLSPFTLAFDIAGSAPRGFGVPELISKLSATSVFAIAALGTYDIAFELGRKVLCQRNCNTCSGWRALRCTMCRGSGRVHYQVKNYTLKSGEKPTAESVADAIANNRAELVHLPSSLDFQKPLPSKECPTCDGTGVMSCPECKHRLVHVRISADDIMEPPWQAYNVLKKMDYPYEHIVHSMKDPSIAAFWLITFPQIMGGFTYDDDVKQKIWWQYKESRRYDQLRDVVAKRKPGWEYLQEALISIDPDRARDDPVIVKNVPYYKAQKALETEVMKLDPPPRPSNWGELDLPLNASSWSEEDLKNPEKFYEMTVLLNAQREISDKILDAQWETKWRQDKLNEMLEAKVKPYIQDIDNAVLPEPILLKPQIQEKIQRRRWFFF >Vigun05g217600.1.v1.2 pep primary_assembly:ASM411807v1:5:40943282:40947084:1 gene:Vigun05g217600.v1.2 transcript:Vigun05g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLSCNANCIITDFDDIITWFQHVSQNAASVQTQTLCRILKQNCGVEYLKKWLGSYSISDMDASQLESLFTSLVPLATHADFEPFIQKIANGDTAPLLTQQPITTLSLSSGTTEGRQKFVPFTRHSAQTTLQTFTLSAAFRSRVYPTREGGRILEFIYSSNQFKTKGGLMVGTATTHYYASEEFKTKQEKTKAFICSPYEVISGGDYKQSTYCHLLLGLFLCDHVEFISSAFVYGIVQAFCTFEEVWKDLCNDIRDGTLSSRIKIPKMRDAVLGIISSNPSLASKLEASCLELEAVDWFGLVPKLWPNAKYVCSIMTGSMQHYLKKLRHYANGVPLVSGDYGSTESWIGINVDPSLPPEKVTFAVVPTFSYFEFIPIYRHKHKQDSSFVADHDFVEDEPIPLSQVKVGQEYEIVLTTFTGLYRCRLGDVVEVGGFHNGTPKLNFICRRKLILTVNIDKNTERDLQIVVEKGSQILNKAKAELVDYTSYADMSNEPGCYVIFWEVKGEAEDKVLEACCREMDAAFVDHGYVVSRKTRSIGPLTLCIVERGTFKKILDYFVEIGAALGQFKTPRCTNNPVLVKILSACTIKTFHSTAYSSTH >Vigun05g217600.2.v1.2 pep primary_assembly:ASM411807v1:5:40943282:40947084:1 gene:Vigun05g217600.v1.2 transcript:Vigun05g217600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELQYLRHGCFSVGISFHFSCSPCNACRFRAIYPKNCKWRHCSFTHTTTYNHSLFKRLCSSGTTEGRQKFVPFTRHSAQTTLQTFTLSAAFRSRVYPTREGGRILEFIYSSNQFKTKGGLMVGTATTHYYASEEFKTKQEKTKAFICSPYEVISGGDYKQSTYCHLLLGLFLCDHVEFISSAFVYGIVQAFCTFEEVWKDLCNDIRDGTLSSRIKIPKMRDAVLGIISSNPSLASKLEASCLELEAVDWFGLVPKLWPNAKYVCSIMTGSMQHYLKKLRHYANGVPLVSGDYGSTESWIGINVDPSLPPEKVTFAVVPTFSYFEFIPIYRHKHKQDSSFVADHDFVEDEPIPLSQVKVGQEYEIVLTTFTGLYRCRLGDVVEVGGFHNGTPKLNFICRRKLILTVNIDKNTERDLQIVVEKGSQILNKAKAELVDYTSYADMSNEPGCYVIFWEVKGEAEDKVLEACCREMDAAFVDHGYVVSRKTRSIGPLTLCIVERGTFKKILDYFVEIGAALGQFKTPRCTNNPVLVKILSACTIKTFHSTAYSSTH >Vigun05g217600.3.v1.2 pep primary_assembly:ASM411807v1:5:40943282:40947084:1 gene:Vigun05g217600.v1.2 transcript:Vigun05g217600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTATTHYYASEEFKTKQEKTKAFICSPYEVISGGDYKQSTYCHLLLGLFLCDHVEFISSAFVYGIVQAFCTFEEVWKDLCNDIRDGTLSSRIKIPKMRDAVLGIISSNPSLASKLEASCLELEAVDWFGLVPKLWPNAKYVCSIMTGSMQHYLKKLRHYANGVPLVSGDYGSTESWIGINVDPSLPPEKVTFAVVPTFSYFEFIPIYRHKHKQDSSFVADHDFVEDEPIPLSQVKVGQEYEIVLTTFTGLYRCRLGDVVEVGGFHNGTPKLNFICRRKLILTVNIDKNTERDLQIVVEKGSQILNKAKAELVDYTSYADMSNEPGCYVIFWEVKGEAEDKVLEACCREMDAAFVDHGYVVSRKTRSIGPLTLCIVERGTFKKILDYFVEIGAALGQFKTPRCTNNPVLVKILSACTIKTFHSTAYSSTH >Vigun04g197000.2.v1.2 pep primary_assembly:ASM411807v1:4:42164381:42171419:-1 gene:Vigun04g197000.v1.2 transcript:Vigun04g197000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENSSAKPRVRPIVRLGIFLISHSNIVSLVCFLAGVVALLLLPVLAKNTYISENALMPGSANNMLSTHHVSDANKFIKDLTDLEFTSMASPIESQKLIARYMSALDAEVTFHKFYPQFSQFHPLHFFTSPDSGIISKNGTCSSFGVNTIGIIRAPRGDGKEAIVLVTPYNPSKVGLGEAVSLGIAYSVFLLLSRVTWLAKDIIWLVADSQYGEYSSVAAWLREYQAPVFHKVDVVTSEACNESSSFNELGQGLYLDGKLSGDFRRAGTMAAALVIKVVDQGNQYEDSLNIYAEASNGQMPNLDLINIVNYMAVHKQGLRIKVKKMWSLIDSWWLNTLGVIFESLGKVARSLNPQLKFGIPASDYVEGAATLASSLYYQGLGVPTGPHGAFRDYQVDAITLEISPKVSLTKLNRRNEFMLRGGRLIEGVIRSINNLLEKFHQSFFLYLLTSPGKFVSVGVYMIPFALLVAPLPIVAAYLHANGSNSTPQNPSSSLEVDGSHKSWEWLNSARKVLVIHLWGVFVSLLPFFLRQVPNTTLTMNFVLWGFLSIFSLLILYLTLDCPIFKASASQLEKNKWTSLKSVTISTAFIGLSLMSVINFATAEIGALLIVPICLLARPLKLDIQARSWRTLLRASCNIVLGFVVFSPVSFVLLKGAFEDFYGINVGDYWKWVESLWAWNSATYLYIGVVHLPCWALCIHILFHPC >Vigun04g197000.3.v1.2 pep primary_assembly:ASM411807v1:4:42164380:42168436:-1 gene:Vigun04g197000.v1.2 transcript:Vigun04g197000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENSSAKPRVRPIVRLGIFLISHSNIVSLVCFLAGVVALLLLPVLAKNTYISENALMPGSANNMLSTHHVSDANKFIKDLTDLEFTSMASPIESQKLIARYMSALDAEVTFHKFYPQFSQFHPLHFFTSPDSGIISKNGTCSSFGVNTIGIIRAPRGDGKEAIVLVTPYNPSKVGLGEAVSLGIAYSVFLLLSRVTWLAKDIIWLVADSQYGEYSSVAAWLREYQAPVFHKVDVVTSEACNESSSFNELGQGLYLDGKLSGDFRRAGTMAAALVIKVVDQGNQYEDSLNIYAEASNGQMPNLDLINIVNYMAVHKQGLRIKVKKMWSLIDSWWLNTLGVIFESLGKVARSLNPQLKFGIPASDYVEGAATLASSLYYQGLGVPTGPHGAFRDYQVDAITLEISPKVSLTKLNRRNEFMLRGGRLIEGVIRSINNLLEKFHQSFFLYLLTSPGKFVSVGVYMIPFALLVAPLPIVAAYLHANGSNSTPQNPSSSLEVDGSHKSWEWLNSARKVLVIHLWGVFVSLLPFFLRQVPNTTLTMNFVLWGFLSIFSLLILYLTLDCPIFKASASQLEKNKWTSLKSVTISTAFIGLSLMSVINFATAEIGALLIVPICLLARPLKLDIQARSWRTLLRASCNIVLGFVVFSPVSFVLLKGAFEDFYGINVGDYWKWVESLWAWNSATYLYIGVVHLPCWALCIHILFHPC >Vigun04g197000.1.v1.2 pep primary_assembly:ASM411807v1:4:42164381:42171419:-1 gene:Vigun04g197000.v1.2 transcript:Vigun04g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENSSAKPRVRPIVRLGIFLISHSNIVSLVCFLAGVVALLLLPVLAKNTYISENALMPGSANNMLSTHHVSDANKFIKDLTDLEFTSMASPIESQKLIARYMSALDAEVTFHKFYPQFSQFHPLHFFTSPDSGIISKNGTCSSFGVNTIGIIRAPRGDGKEAIVLVTPYNPSKVGLGEAVSLGIAYSVFLLLSRVTWLAKDIIWLVADSQYGEYSSVAAWLREYQAPVFHKVDVVTSEACNESSSFNELGQGLYLDGKLSGDFRRAGTMAAALVIKVVDQGNQYEDSLNIYAEASNGQMPNLDLINIVNYMAVHKQGLRIKVKKMWSLIDSWWLNTLGVIFESLGKVARSLNPQLKFGIPASDYVEGAATLASSLYYQGLGVPTGPHGAFRDYQVDAITLEISPKVSLTKLNRRNEFMLRGGRLIEGVIRSINNLLEKFHQSFFLYLLTSPGKFVSVGVYMIPFALLVAPLPIVAAYLHANGSNSTPQNPSSSLEVDGSHKSWEWLNSARKVLVIHLWGVFVSLLPFFLRQVPNTTLTMNFVLWGFLSIFSLLILYLTLDCPIFKASASQLEKNKWTSLKSVTISTAFIGLSLMSVINFATAEIGALLIVPICLLARPLKLDIQARSWRTLLRASCNIVLGFVVFSPVSFVLLKGAFEDFYGINVGDYWKWVESLWAWNSATYLYIGVVHLPCWALCIHILFHPC >VigunL041300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:398091:398450:1 gene:VigunL041300.v1.2 transcript:VigunL041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun03g393000.1.v1.2 pep primary_assembly:ASM411807v1:3:59929956:59937500:-1 gene:Vigun03g393000.v1.2 transcript:Vigun03g393000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRNTSLLTRSLFVPKGFELGLFGTSQILSFSSKGRRRSKSDGSDSGEENMSKKELALQQALDQITSAFGKGSIMWLGRSVSPKNVPVVSTGSFSLDIALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDKTLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHALSLSQSILIFINQVRSKISTFGGFSGPTEVTCGGNALKFYASVRLNIKRTGFVKKGEEILGSQVLVKVVKNKLAPPFKTAEFELEFGKGICKETEIIDLSVQHKLILKAGAMYYYNEQNFRGKDALKSFLAENCSALEELETKLREKVLNVETEQVQESDVIKGDVTEEITSVNSTVEETAVVEA >Vigun05g019700.5.v1.2 pep primary_assembly:ASM411807v1:5:1600219:1604422:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun05g019700.6.v1.2 pep primary_assembly:ASM411807v1:5:1600219:1604422:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun05g019700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1600219:1604415:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGKSVNDFVKRLMLARVIGSFHPAYYVSFSHHYKRMIHIRYLKICVISVPACICNLSNLETLFVIYAKTVSSEIWKLKRLRHLHLERGDVLPMLPNGTIIENLRTLQLFHGVSEIISLFKSGIFPRLVKLGLRPRGPVFHSFGEVISLSSVVNISHFTLKAFNAGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun05g019700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1600219:1604415:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGKSVNDFVKRLMLARVIGSFHPAYYVSFSHHYKRMIHIRYLKICVISVPACICNLSNLETLFVIYAKTVSSEIWKLKRLRHLHLERGDVLPMLPNGTIIENLRTLQLFHGVSEIISLFKSGIFPRLVKLGLRPRGPVFHSFGEVISLSSVVNISHFTLKAFNAGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun05g019700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1600219:1604415:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGKSVNDFVKRLMLARVIGSFHPAYYVSFSHHYKRMIHIRYLKICVISVPACICNLSNLETLFVIYAKTVSSEIWKLKRLRHLHLERGDVLPMLPNGTIIENLRTLQLFHGVSEIISLFKSGIFPRLVKLGLRPRGPVFHSFGEVISLSSVVNISHFTLKAFNAGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun05g019700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1600219:1604415:1 gene:Vigun05g019700.v1.2 transcript:Vigun05g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFLVQNLSQLLVSEIKLLSGVEGKVKSLHNDLKLMDIFLRSSEGKYKDAMVKEVVTQIRDVAYRAEDVVDTYILNIAKHKRRNKLCRLFHFKEKFIVPHEIDAEIEEIKSRIDEIYKNKERYGIKEGEFQSEEAVTTEWRRKRRINVEEEDVVGLVNDSNIVIQQLQKDYVRLNVASILGMGGLGKTTLARKIFNKDNVKKLFPCRAWGNVSNDYRPKELFLSLLRSLNLSASENLSEEDLKKEVAKGLKGKKYLVVLDDIWETRVWDDIKGAFPDEKRGSRILITSRNKDVARYSGTTSPYDLPFLTEDQSWELFCKKVFRGEECPSDLELLGRSIAKSCGGLPLAIVVLGGVYAMKEKSEREWSRMKKMRWHPTEDKSEVMDILRLSYDNLPLRLKPCFLYFGMYPEDYEMNAREMIRLWVAEGFVKPHEDAEPEVVADFYLDELVDRSLVQVTRRRTDGGVKICQLHDLLRDLCISESESSKFLQVWKTSNIDTLSDTNPRRLSLQCQPQSNISAVSFQKSMSSTRSMIIFTDLGKSVNDFVKRLMLARVIGSFHPAYYVSFSHHYKRMIHIRYLKICVISVPACICNLSNLETLFVIYAKTVSSEIWKLKRLRHLHLERGDVLPMLPNGTIIENLRTLQLFHGVSEIISLFKSGIFPRLVKLGLRPRGPVFHSFGEVISLSSVVNISHFTLKAFNAGRLPSDTNAFPSKLTKITFESIEGDASPLMKTLSQLPNLQILKLLEHFGVLLNIDVGNGEFPKLQVFHMRGLDQIKSWKLEEGAMPCLQLLHIKDCPNLFELPQQLWSLRTLQLVHIVAPSQQLATTLQNVKFNNNCKLILEQN >Vigun01g143900.1.v1.2 pep primary_assembly:ASM411807v1:1:32540692:32542786:-1 gene:Vigun01g143900.v1.2 transcript:Vigun01g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPFSLKQSDLCRQENLITLSLASVAVFLLYVRLSLSLSLFISISEKLSVIMYLQFYINDNGDKVYTTKKESPLGLATQSAHPARFSPDDKYSRQRVTLKKRFGLLPTQQPPPKY >Vigun05g294600.2.v1.2 pep primary_assembly:ASM411807v1:5:48015681:48018329:1 gene:Vigun05g294600.v1.2 transcript:Vigun05g294600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGGCLGSFKKSPLIANADVPPKGLKKRGKEAKKASTSEDFWITSTHDMDNSAVHSQGSISSASITNPPADSHGSSCNPTEFVNHGLILWNQTRQSWIGNKRCENRTEQSREPKVSWNATYESLLGGNKPFPQHIPLAEMVDFLVDIWEQEGLYD >Vigun05g294600.1.v1.2 pep primary_assembly:ASM411807v1:5:48015681:48018329:1 gene:Vigun05g294600.v1.2 transcript:Vigun05g294600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGGCLGSFKKSPLIANADVPPKGLKKRGKEAKKASTSEDFWITSTHDMDNSAVHSQGSISSASITNPPADSHGSSCNPTEFVNHGLILWNQTRQSWIGNKRCENRTEQSREPKVSWNATYESLLGGNKPFPQHIPLAEMVDFLVDIWEQEGLYD >Vigun03g132700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12981465:12982961:-1 gene:Vigun03g132700.v1.2 transcript:Vigun03g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPPTSGPEVSSIEKKNLGHIDQIIGPVLDVAFPPGKMPNIYNALVVKGRDTVGQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMQVIDIGTPLSVPVGGATLGRIFNVLGEPIDNLGPVDTRTTSPIHRSAPTFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILDLDELSEEDRLTVARAQKIERFLSQPFFVAEVFTGSAGKYVGLVETIRGFNLILSGELDGLPEQAFYLVGNIDEAIAKATNLETESNLKK >Vigun04g012400.2.v1.2 pep primary_assembly:ASM411807v1:4:907250:911041:1 gene:Vigun04g012400.v1.2 transcript:Vigun04g012400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKCPSTSFSSLGFKSQLVPFQSGFSFKPFLSFSSLSAESASSRIQCSNRKQFSVLKAAKVENSNSNSAPVAVKEPSVASSKEKEVPNGKHSGATIADEVSVTAFMSQVADLVKLVDSRDIVEFQLKQSDYELMIRKKEALQPPPVSVPPMYAAFPPPPAAPTAAPTSSSPQKALPALPSPAKASSSSHPPLKSPMAGTFYRSPGPDEPPFVKVGDKVKKGQVICIIEAMKLMNEIEADQSGTIAEILIEDSKPVSLETPLFVIAP >Vigun04g012400.1.v1.2 pep primary_assembly:ASM411807v1:4:907250:911041:1 gene:Vigun04g012400.v1.2 transcript:Vigun04g012400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKCPSTSFSSLGFKSQLVPFQSGFSFKPFLSFSSLSAESASSRIQCSNRKQFSVLKAAKVENSNSNSAPVAVKEPSVASSKEKEVPNGKHSGATIADEVSVTAFMSQVADLVKLVDSRDIVEFQLKQSDYELMIRKKEALQPPPVSVPPMYAAFPPPPAAPTAAPTSSSPQKALPALPSPAKASSSSHPPLKSPMAGTFYRSPGPDEPPFVKVGDKVKKGQVICIIEAMKLMNEIEADQSGTIAEILIEDSKPVSLETPLFVIAP >Vigun09g254100.1.v1.2 pep primary_assembly:ASM411807v1:9:42074242:42077131:1 gene:Vigun09g254100.v1.2 transcript:Vigun09g254100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKLSLQFLLTLHLLASGAVSTTTFTVVNKCDYSVWPGILSNAGIAALPTTGFLLQTGEAKTITAPTSWGGRLWGRTLCTQDSAGKFSCLTGDCGSGTIECAGNGASPPATLAEFTLNGDRGLDFFDVSLVDGYNVPMLVTPRGGSGDNCTSTGCVGDLNGTCPSELRVTSVDGKQSMACRSACQAFGSPQYCCKGVYGSPNTCKPTAYSQIFKSACPRAYSYAYDDKTSTFTCAPSADYTITFCPSPNDNPSQKSWQGQNPRSDSSGSSSGSPQLNNGTMVYVGGAMDQSELSWSAGTHVKESQAIAGFVSITIALWLLCHL >VigunL070700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:109664:109774:-1 gene:VigunL070700.v1.2 transcript:VigunL070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKLFVYTVAIFFVSLFIFGFLSNDPGRNPGREE >Vigun03g132600.2.v1.2 pep primary_assembly:ASM411807v1:3:12969886:12973152:-1 gene:Vigun03g132600.v1.2 transcript:Vigun03g132600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGCVIQATPSSNKKNNKKKKKNRGGTKKKMTNDQVLAFKFVSEWVFLDHPSASSSSSSSSPSSASCVVDDFGVQKPVGRGGEKLVFELHSHSKFSDGFFSPSKVIERAHINGVKVLALTDHDTMAGIPEAVESARKYGIKIIPGVEISSIFSPRGDSELEEPVHILAYYSSIGPARFEELDKFLSNIRDGRFLRAKNIVLKLNNLKLPLKWEHVCRIAGKGVAPGRLHVARAMVEQGYVENLRQAFSRYLFDGGPAYSKGSEPLAEEAVKMICHTGGVAVLAHPWALKHPVPIVRRLKEAGLHGMEVHKSDGKLAAYSDLADAYGLLKIGGSDYHGRGGHNESELGSVNLPVLVLHDFLKVARPIWCNAIREIVECYAEEPSDSNLAAITRFGRTRILKGGSPLSCGQDLIDHCLPLWLSSQEMENAEFEAIKLKLSNVSVSQGGIQVLVETK >Vigun03g132600.1.v1.2 pep primary_assembly:ASM411807v1:3:12969886:12973162:-1 gene:Vigun03g132600.v1.2 transcript:Vigun03g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGCVIQATPSSNKKNNKKKKKNRGGTKKKMTNDQVLAFKFVSEWVFLDHPSASSSSSSSSPSSASCVVDDFGVQKPVGRGGEKLVFELHSHSKFSDGFFSPSKVIERAHINGVKVLALTDHDTMAGIPEAVESARKYGIKIIPGVEISSIFSPRGDSELEEPVHILAYYSSIGPARFEELDKFLSNIRDGRFLRAKNIVLKLNNLKLPLKWEHVCRIAGKGVAPGRLHVARAMVEQGYVENLRQAFSRYLFDGGPAYSKGSEPLAEEAVKMICHTGGVAVLAHPWALKHPVPIVRRLKEAGLHGMEVHKSDGKLAAYSDLADAYGLLKIGGSDYHGRGGHNESELGSVNLPVLVLHDFLKVARPIWCNAIREIVECYAEEPSDSNLAAITRFGRTRILKGGSPLSCGQDLIDHCLPLWLSSQEMENAEFEAIKLKLSNVSVSQGGIQVLVETK >Vigun10g147400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36567706:36568651:1 gene:Vigun10g147400.v1.2 transcript:Vigun10g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVNSSNDNNNNYCGFMSCDKLDRMANWVGANVASAFFASLERCSCINLSIDNDDDINNNNNNHNDDRPLFLSQPNSNLPS >Vigun03g184100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:23967342:23968045:1 gene:Vigun03g184100.v1.2 transcript:Vigun03g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNNGQVDINFYEESCPNFPTIIVRYDVWSAIKNDTRMAASLLRLHFQDCIVNAFFFFFISYISTLTACIPTYYLISMVFLCVFFFSHNHGEFFSLGTHLYASLSFLLLCIIISLSTQFFYFGLSIFRSNAAALRLNP >Vigun10g063400.1.v1.2 pep primary_assembly:ASM411807v1:10:13599409:13603634:-1 gene:Vigun10g063400.v1.2 transcript:Vigun10g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMDVDESIELFSWHAFKQASPEEDFIELSRNVVAYAGGLPLALEVLGSYLFDMEVTEWKSVLEKLRKIPNDEVQEKLKISYDGLSDDTEKETFLDITCFFIGKDRNDVIHILNGCGLFAENGIRVLVERSLVTVDDKNRLGMHDLLRDMGREIIRSKSPMELEERSRLWFHEDVLDVLSKETGTKYIEGLSLKLPRSNTKSLSTKAFMNMKKLRLMQLSGVELVGDFEYLSKDLRWLCWHGFPFAFIPTNFYQGSLVSIELENSKITMVWKATQLMEKLKILNLSHSHYLTKTPDFLNLPNLEKLVLMDCPRLSEVSYTIGHLTKVLLINFQDCISLRNLPRSIYKLKSLKTLILSGCLKIDKLEEDIEQMESLTTLVADNTGITRVPFSIVRSKSIGYISLCGYEGFSRNVFPSIIWSWMSPVNSLSSRVQTFVDMSSLVSLDVQNSSSNQLSYISEELPKLQSLWIECGSDLQLSRDTTSILEALNATNSVESESSATASQLQNVFTLIECNSGSKLFEKTLLIQMGRSWEITHILKQRILQNMTTSDGGDCLLPGDCYPDWLTFSSESSSVTFEIPQVNGRNLKTMMCHIHYSSSDSITSDGLKNLLVINHTKSTIQLYKRNALASFDDEEWQRVLSNIEPGNNVQIVVVFCSRLTVNMTTIYLIYEEIDEKVEQYHAPNLSIPSYESSCAVGSISPRVESMEDLRVASVKSLTKGLLNKFFSCKYFCKGRVEKKKNKG >Vigun03g385600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59114152:59114902:1 gene:Vigun03g385600.v1.2 transcript:Vigun03g385600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFNLMTNHYHARSPTKMRPMFAVRPPAATVATLSSMPMPAQALLATHSRAKHRNTASVARVFFGPPPFVHRKAAGDDLLLLPSRTNGLLQRFSRETLLFR >Vigun07g256900.1.v1.2 pep primary_assembly:ASM411807v1:7:37439455:37443004:-1 gene:Vigun07g256900.v1.2 transcript:Vigun07g256900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSQNLHFLWRLSSFLLLLLQSATSQSVTSLNSDGILLLKFKYSILSDPLSVLESWNYDDATPCSWYGVTCDEIGAPGTPDLFRVTGLTLPNSQLLGSISEDLGLIQYLRHIDLSNNFLNGSLPNTIFNSSQLQVLSLSNNVISGQLHQLVGKLTDLKVLNLSDNAFAGLVPENLTTLPNLTVVSLKSNYFSGSVPTGFKYVEILDLSSNLFNGSLPEDFGGESLRYLNLSYNKISGTIPPTFAKQIPGNSTVDLSFNNLTGAVPESLASLNQKTEFLSGNADLCGKPLKILCTVPSTESSAPPNVTTSSPAIAAIPKIDSNPSSNTTGTPTSSQNVSPSGLKPATIAAIVVGDLAGMALLALVILFVYQQRKKRYSDPNPNPNSKRDTNAASGNNSEKKYETASRQDADAKTVTPSLPCSCLTIKEEETSEATSSDSDRESNTAVDIMAAQNGNLPKQGTLVTVDGETNLELETLLKASAYILGNSRVSIVYKAVLEDGRAFAVRRIGECGIERRKEFENQVRAIAKLRHPNLVKVRGFCWGRDDKLLICDYVPNGSLATIDHRKSGSSPLNLSLEIRLKIAKGVARALVFLHEKKHVHGNVKPGNILLNSEMEAIISDFGLDRLLLNDVTHRGNGSARQVMANQRSQQEVAFGSSPFGAMGSSSSGAGHIMVYQAPESLENIKPSHKWDVYSFGMVLLELLTGRVFLERELEQWNEPGLVEEEQNRVVRMADAAIKSEIEGRENVVLTWFKLGLSCVSHTPQRRPSMKEALQILDKIHASAPLN >Vigun06g057400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18406892:18408497:1 gene:Vigun06g057400.v1.2 transcript:Vigun06g057400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFPSTPKKLGMTITCFLSGAAILAAGVHFSYVNVAPQQARTKARNEFVMETLKKKYGYTSPYEKLARSDSHDRRTEVSTRDHYTQARNGQRDI >Vigun06g057400.1.v1.2 pep primary_assembly:ASM411807v1:6:18406892:18408497:1 gene:Vigun06g057400.v1.2 transcript:Vigun06g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKMVLCFPSTPKKLGMTITCFLSGAAILAAGVHFSYVNVAPQQARTKARNEFVMETLKKKYGYTSPYEKLARSDSHDRRTEVSTRDHYTQARNGQRDI >Vigun03g140300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13949172:13949528:1 gene:Vigun03g140300.v1.2 transcript:Vigun03g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVSRTLEEYETDIIQKWQLVANKLDKRIFLRMDLARANSSEHGKQTIQANFVSVFLGGVEEFNSIDAKELPRVGFGQKRLY >Vigun08g106466.1.v1.2 pep primary_assembly:ASM411807v1:8:26436982:26441976:-1 gene:Vigun08g106466.v1.2 transcript:Vigun08g106466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSRSYLLAWSVMPFWSGSSFGILFFVLLLLLGDKSPFSQQNLQGDSLDGDEETSRIQYLAEIAKFLGITTTVDTEAIQFLGNLRNLRDSHAALAFGSSETSDGPSSVTRIISECESALTVLNRDLGILSASIAREQGEKMNI >Vigun02g008101.1.v1.2 pep primary_assembly:ASM411807v1:2:3446189:3449457:1 gene:Vigun02g008101.v1.2 transcript:Vigun02g008101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNEFTSSQEIGDVGNESIKSSSTGVATDIESGGEDILALDSEVVEQDDKMNEDKAGIQVEEGLNLLHKQEEIDVVPNNNIHISSDIHARLGAYKHFVDLDNAQIALLLEAITTYPHLWNASKKFSERFQAWRLKILADMLLFLQKESVDSVIPQREKEFHKLCEEAIEVGFESSWVEEMRQRVVARDPKLGEDIAKTQIDENSKSLLDRCSCGDTVPDSQGDGPNITFEEGSNSVDKGGEIVVSNDHIVAQSNEEPEQEFVAEVSTSEIPIIATSLTNSQPVERPTPSYFYIPLRETPSNALVDTQRNSEPFLMNQKKSVGEIPQLGFSLSEVTHHCPTLSSTSFCQSALVHNYPPPSTIVHRRLLSQFRPVTTGSGSPIRATTNPAGVEGKFSSTRRHSVRCSQARDTHVLPSRCRNLVASLLQGSPELPLSVQPPRS >Vigun03g149750.1.v1.2 pep primary_assembly:ASM411807v1:3:15532744:15533412:-1 gene:Vigun03g149750.v1.2 transcript:Vigun03g149750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHCTRSFQQRIQNVLAPTTRPPSESSKSRTSSSGRITIQNVLIHTTRPPPKTTTSKHTAPK >Vigun09g205800.5.v1.2 pep primary_assembly:ASM411807v1:9:38023307:38032470:-1 gene:Vigun09g205800.v1.2 transcript:Vigun09g205800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQSQQSQHQQQQQQQQHMQMQQLLLQRAQQQQQQQQQQQQQQQQQQQQSQQQQPQPQQQQSRDRAHLLNGSANGLVGNPGTANALATKMYEERLKLPLQRDSLDDAALKQRFGENMGQLLDPNHASILKSAAAPGQPSGQVLHGAAGGMSPQVQVQARTQQLPGSTLDIKGEISPVLTPRAAGPEGSLMGMPGSNQGSNNLTLRGWPLTGLEQLRTGLLQQQKPFMQAPQPFHQIQMLTPQHQQHLMLAQQNLASPSATEESRRLRMLLSNRGIGLNKDGLSNPVGDVVSNVGSPLQGGGPPFPRGDTDMLMKVKLAQLQQQHQQHSSTNAQQQQQQLQQHALSNQQSQTSNHSMHQQDKVGGGGGSVTVDGGMSNSFRGNDQVSKNQIGRKRKQPGSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPNSGSSSKPLMMFGTDGPGALTSPSNQLWDDKDLELQADVDRFVEDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFTDVSSVRASTSKVACCHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPSMPRLATSSFDRTVRVWDVDNPGYSLRTFTGHSSTVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCARVWKGGTTQMRFQPRLGRYLAAAAENIVSIFDVETQVCRYSLKGHTKTVDCVCWDPSGELLASVSEDSVKVWSLGSGSEGECVHELSCNGSKFHACVFHPTYPSLLAIGCYQSLELWNMSENKTMTLSAHDGLITALAVSTVNGLVASASHDKFLKLWK >Vigun09g205800.2.v1.2 pep primary_assembly:ASM411807v1:9:38023307:38032391:-1 gene:Vigun09g205800.v1.2 transcript:Vigun09g205800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQSQQSQHQQQQQQQQHMQMQQLLLQRAQQQQQQQQQQQQQQQQQQQQSQQQQPQPQQQQSRDRAHLLNGSANGLVGNPGTANALATKMYEERLKLPLQRDSLDDAALKQRFGENMGQLLDPNHASILKSAAAPGQPSGQVLHGAAGGMSPQVQVQARTQQLPGSTLDIKGEISPVLTPRAAGPEGSLMGMPGSNQGSNNLTLRGWPLTGLEQLRTGLLQQQKPFMQAPQPFHQIQMLTPQHQQHLMLAQQNLASPSATEESRRLRMLLSNRGIGLNKDGLSNPVGDVVSNVGSPLQGGGPPFPRGDTDMLMKVKLAQLQQQHQQHSSTNAQQQQQQLQQHALSNQQSQTSNHSMHQQDKVGGGGGSVTVDGGMSNSFRGNDQVSKNQIGRKRKQPGSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPNSGSSSKPLMMFGTDGPGALTSPSNQLADVDRFVEDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFTDVSSVRASTSKVACCHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPSMPRLATSSFDRTVRVWDVDNPGYSLRTFTGHSSTVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCARVWKGGTTQMRFQPRLGRYLAAAAENIVSIFDVETQVCRYSLKGHTKTVDCVCWDPSGELLASVSEDSVKVWSLGSGSEGECVHELSCNGSKFHACVFHPTYPSLLAIGCYQSLELWNMSENKTMTLSAHDGLITALAVSTVNGLVASASHDKFLKLWK >Vigun09g205800.6.v1.2 pep primary_assembly:ASM411807v1:9:38023307:38032470:-1 gene:Vigun09g205800.v1.2 transcript:Vigun09g205800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQSQQSQHQQQQQQQQHMQMQQLLLQRAQQQQQQQQQQQQQQQQQQQQSQQQQPQPQQQQSRDRAHLLNGSANGLVGNPGTANALATKMYEERLKLPLQRDSLDDAALKQRFGENMGQLLDPNHASILKSAAAPGQPSGQVLHGAAGGMSPQVQVQARTQQLPGSTLDIKGEISPVLTPRAAGPEGSLMGMPGSNQGSNNLTLRGWPLTGLEQLRTGLLQQQKPFMQAPQPFHQIQMLTPQHQQHLMLAQQNLASPSATEESRRLRMLLSNRGIGLNKDGLSNPVGDVVSNVGSPLQGGGPPFPRGDTDMLMKVKLAQLQQQHQQHSSTNAQQQQQQLQQHALSNQQSQTSNHSMHQQDKVGGGGGSVTVDGGMSNSFRGNDQVSKNQIGRKRKQPGSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPNSGSSSKPLMMFGTDGPGALTSPSNQLADVDRFVEDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFTDVSSVRASTSKVACCHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPSMPRLATSSFDRTVRVWDVDNPGYSLRTFTGHSSTVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCARVWKGGTTQMRFQPRLGRYLAAAAENIVSIFDVETQVCRYSLKGHTKTVDCVCWDPSGELLASVSEDSVKVWSLGSGSEGECVHELSCNGSKFHACVFHPTYPSLLAIGCYQSLELWNMSENKTMTLSAHDGLITALAVSTVNGLVASASHDKFLKLWK >Vigun09g205800.3.v1.2 pep primary_assembly:ASM411807v1:9:38023307:38032391:-1 gene:Vigun09g205800.v1.2 transcript:Vigun09g205800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQSQQSQHQQQQQQQQHMQMQQLLLQRAQQQQQQQQQQQQQQQQQQQQSQQQQPQPQQQQSRDRAHLLNGSANGLVGNPGTANALATKMYEERLKLPLQRDSLDDAALKQRFGENMGQLLDPNHASILKSAAAPGQPSGQVLHGAAGGMSPQVQVQARTQQLPGSTLDIKGEISPVLTPRAAGPEGSLMGMPGSNQGSNNLTLRGWPLTGLEQLRTGLLQQQKPFMQAPQPFHQIQMLTPQHQQHLMLAQQNLASPSATEESRRLRMLLSNRGIGLNKDGLSNPVGDVVSNVGSPLQGGGPPFPRGDTDMLMKVKLAQLQQQHQQHSSTNAQQQQQQLQQHALSNQQSQTSNHSMHQQDKVGGGGGSVTVDGGMSNSFRGNDQVSKNQIGRKRKQPGSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVISMPALPNSGSSSKPLMMFGTDGPGALTSPSNQLWDDKDLELQADVDRFVEDGSLDENVESFLSHDDTDPRDTVGRCMDVSKGFTFTDVSSVRASTSKVACCHFSSDGKLLASGGHDKKAVLWYTDSLKQKATLEEHSSLITDVRFSPSMPRLATSSFDRTVRVWDVDNPGYSLRTFTGHSSTVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCARVWKGGTTQMRFQPRLGRYLAAAAENIVSIFDVETQVCRYSLKGHTKTVDCVCWDPSGELLASVSEDSVKVWSLGSGSEGECVHELSCNGSKFHACVFHPTYPSLLAIGCYQSLELWNMSENKTMTLSAHDGLITALAVSTVNGLVASASHDKFLKLWK >Vigun08g169300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34030580:34030921:-1 gene:Vigun08g169300.v1.2 transcript:Vigun08g169300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSKAMVVFGVGVLVVSMWCMKGTESSLEDEAASIIHAVKREDPPLHHQGGCGPNHTEGGVEECSGEDDNLGLYHDVDDTFKAAAQRAAFHGAENDDSPNIANNNVNVLGH >Vigun04g180400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40439898:40441101:-1 gene:Vigun04g180400.v1.2 transcript:Vigun04g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDILKPLILFLVLCAVNPFPSVNSAVPFLEILRGIRRGQNANGVGTLRSYLKTLGYQVNEQSSSDNNFDENVESALKQYQAFHHLQTSGVVDDETIRTMSLPRCGLPDITATPNPNPNPNNPNGLSSPAPQNYEYFPGNPKWSRFNLTYRVTQNPRGVSVTRNDLREAMSNAFQTWGNDNNFTFTERTGRTDIVSGFYYWFHGDFAPFDGPGMVLAHAYAPEDGRAHFDASERWSTTGAGDFIDLLSVVLHEIGHVLGLGHSNDSNAVMAPTYTGVRRNLAQDDKDGLNNLYGFPN >Vigun01g166900.5.v1.2 pep primary_assembly:ASM411807v1:1:34879780:34886266:1 gene:Vigun01g166900.v1.2 transcript:Vigun01g166900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSLWLVLATVALSAALVHGGLTGTFLPLERAIPLNQRVELEALRARDRARHSRILQGVFGGVVDFTVQGTSDPYSVGLYFTKVKLGSPAKEFYVQIDTGSDILWLNCMTCSNCPQSSGLGIQLDFFDTTGSSTAAFVSCADPICSYAARTATSECSSQANHCSYTFQYGDGSGTTGYYVSDTMYFDTVLGQSLISNSSSTIVFGCSTYQSGDLTKTDKAVDGIFGFGPGALSVISQLSSQGLTPKAFSHCLKGQDNGGGVLVLGEILEPNIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDSDVFATTNNRGTIVDTGTTLAYLVQEAYNPFVDAITAAVSQFYKPIISKGNQCYLVSNSVGNIFPQVSLNFMGGASMVLDPEHYLMHYGFLDGAAMWCIGFQKVDQGFTILGDIVLKDKIFVYDLANQRIGWANYDCSLAVNVSMATSKSKDAYINNSGHMSVGCSHIRTFSNLLASACPCVETGGGCCFLRRI >Vigun01g166900.1.v1.2 pep primary_assembly:ASM411807v1:1:34879780:34886266:1 gene:Vigun01g166900.v1.2 transcript:Vigun01g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSLWLVLATVALSAALVHGGLTGTFLPLERAIPLNQRVELEALRARDRARHSRILQGVFGGVVDFTVQGTSDPYSVGYGLYFTKVKLGSPAKEFYVQIDTGSDILWLNCMTCSNCPQSSGLGIQLDFFDTTGSSTAAFVSCADPICSYAARTATSECSSQANHCSYTFQYGDGSGTTGYYVSDTMYFDTVLGQSLISNSSSTIVFGCSTYQSGDLTKTDKAVDGIFGFGPGALSVISQLSSQGLTPKAFSHCLKGQDNGGGVLVLGEILEPNIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDSDVFATTNNRGTIVDTGTTLAYLVQEAYNPFVDAITAAVSQFYKPIISKGNQCYLVSNSVGNIFPQVSLNFMGGASMVLDPEHYLMHYGFLDGAAMWCIGFQKVDQGFTILGDIVLKDKIFVYDLANQRIGWANYDCSLAVNVSMATSKSKDAYINNSGHMSVGCSHIRTFSNLLASGIAAFLVHTVFMEFPFL >Vigun01g166900.3.v1.2 pep primary_assembly:ASM411807v1:1:34879780:34886266:1 gene:Vigun01g166900.v1.2 transcript:Vigun01g166900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSLWLVLATVALSAALVHGGLTGTFLPLERAIPLNQRVELEALRARDRARHSRILQGVFGGVVDFTVQGTSDPYSVGLYFTKVKLGSPAKEFYVQIDTGSDILWLNCMTCSNCPQSSGLGIQLDFFDTTGSSTAAFVSCADPICSYAARTATSECSSQANHCSYTFQYGDGSGTTGYYVSDTMYFDTVLGQSLISNSSSTIVFGCSTYQSGDLTKTDKAVDGIFGFGPGALSVISQLSSQGLTPKAFSHCLKGQDNGGGVLVLGEILEPNIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDSDVFATTNNRGTIVDTGTTLAYLVQEAYNPFVDAITAAVSQFYKPIISKGNQCYLVSNSVGNIFPQVSLNFMGGASMVLDPEHYLMHYGFLDGAAMWCIGFQKVDQGFTILGDIVLKDKIFVYDLANQRIGWANYDCSLAVNVSMATSKSKDAYINNSGHMSVGCSHIRTFSNLLASGIAAFLVHTVFMEFPFL >Vigun01g166900.4.v1.2 pep primary_assembly:ASM411807v1:1:34879780:34886266:1 gene:Vigun01g166900.v1.2 transcript:Vigun01g166900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSLWLVLATVALSAALVHGGLTGTFLPLERAIPLNQRVELEALRARDRARHSRILQGVFGGVVDFTVQGTSDPYSVGYGLYFTKVKLGSPAKEFYVQIDTGSDILWLNCMTCSNCPQSSGLGIQLDFFDTTGSSTAAFVSCADPICSYAARTATSECSSQANHCSYTFQYGDGSGTTGYYVSDTMYFDTVLGQSLISNSSSTIVFGCSTYQSGDLTKTDKAVDGIFGFGPGALSVISQLSSQGLTPKAFSHCLKGQDNGGGVLVLGEILEPNIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDSDVFATTNNRGTIVDTGTTLAYLVQEAYNPFVDAITAAVSQFYKPIISKGNQCYLVSNSVGNIFPQVSLNFMGGASMVLDPEHYLMHYGFLDGAAMWCIGFQKVDQGFTILGDIVLKDKIFVYDLANQRIGWANYDCSLAVNVSMATSKSKDAYINNSGHMSVGCSHIRTFSNLLASACPCVETGGGCCFLRRI >Vigun01g166900.2.v1.2 pep primary_assembly:ASM411807v1:1:34879780:34886266:1 gene:Vigun01g166900.v1.2 transcript:Vigun01g166900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSLWLVLATVALSAALVHGGLTGTFLPLERAIPLNQRVELEALRARDRARHSRILQGVFGGVVDFTVQGTSDPYSVGYGLYFTKVKLGSPAKEFYVQIDTGSDILWLNCMTCSNCPQSSGLGIQLDFFDTTGSSTAAFVSCADPICSYAARTATSECSSQANHCSYTFQYGDGSGTTGYYVSDTMYFDTVLGQSLISNSSSTIVFGCSTYQSGDLTKTDKAVDGIFGFGPGALSVISQLSSQGLTPKAFSHCLKGQDNGGGVLVLGEILEPNIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDSDVFATTNNRGTIVDTGTTLAYLVQEAYNPFVDADGAAMWCIGFQKVDQGFTILGDIVLKDKIFVYDLANQRIGWANYDCSLAVNVSMATSKSKDAYINNSGHMSVGCSHIRTFSNLLASGIAAFLVHTVFMEFPFL >Vigun10g022900.2.v1.2 pep primary_assembly:ASM411807v1:10:2688948:2699971:-1 gene:Vigun10g022900.v1.2 transcript:Vigun10g022900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAREHSRCIGSDLQTIWLQESDFKEWKLVVQEIVRFLKADTAFMNLRPLRYSLVLDPHPDTLPHVPAAITKRHLKLRDAVLSSFHQNEVKYSELTMDTFRMLQCLEWEPSGLFYQSSGSKLGQNGATGTSRISYIQDIADPTLPANPRKAVLYRPALTHFIAVLATICEELPSDGILLVYLSASGYCSSPQNESGCIHLGCHGERGSNCIYPSDFLPFTRRPLLLVIDNDNSNAFKVIADAEKGETVAMLLSPSCSPAVSDCSHHPNGSLFTMFLTAPLQAFCLLIGLSDTDIDVDTFNKAETILTTSLNTWGLALAISDTLNPVWGQVLGDPFIRRLILRFMFCHEVLTLYAPVYKENKFLPTCVPALPMPAMPPTYSYESVILNIASMFGATKHFIFSEDVYQPEKLEVDMNELTL >Vigun10g022900.3.v1.2 pep primary_assembly:ASM411807v1:10:2688948:2699971:-1 gene:Vigun10g022900.v1.2 transcript:Vigun10g022900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAREHSRCIGSDLQTIWLQESDFKEWKLVVQEIVRFLKADTAFMNLRPLRYSLVLDPHPDTLPHVPAAITKRHLKLRDAVLSSFHQNEVKYSELTMDTFRMLQCLEWEPSGLFYQSSGSKLGQNGATGTSRISYIQDIADPTLPANPRKAVLYRPALTHFIAVLATICEELPSDGILLVYLSASGYCSSPQNESGCIHLGCHGERGSNCIYPSDFLPFTRRPLLLVIDNDNSNAFKVIADAEKGETVAMLLSPSCSPAVSDCSHHPNGSLFTMFLTAPLQAFCLLIGLSDTDIDVDTFNKAETILTTSLNTWGLALAISDTLNPVWGQVLGDPFIRRLILRFMFCHEVLTLYAPVYKENKFLPTCVPALPMPAMPPTYSYESVILNIASMFGATKHFIFSEDVYQPEKLEVDMNELTL >Vigun10g022900.1.v1.2 pep primary_assembly:ASM411807v1:10:2688948:2699971:-1 gene:Vigun10g022900.v1.2 transcript:Vigun10g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQQQMQNSGGGGGGSGSMGIPASEVFWTLADKADKKFSKIRDIPFYQRSRHDTYFYKVFKVYTQLWKFQQENRQKLVEAGLRRWEIGEIASRIGQLYFGQYMQTSDANYLSESYIFYEAILTREYFKEGMFQDVNIANKQLRFLARFIMVCLVLNRREMVQQLVNQLKVLVDECKRAFQESDFKEWKLVVQEIVRFLKADTAFMNLRPLRYSLVLDPHPDTLPHVPAAITKRHLKLRDAVLSSFHQNEVKYSELTMDTFRMLQCLEWEPSGLFYQSSGSKLGQNGATGTSRISYIQDIADPTLPANPRKAVLYRPALTHFIAVLATICEELPSDGILLVYLSASGYCSSPQNESGCIHLGCHGERGSNCIYPSDFLPFTRRPLLLVIDNDNSNAFKVIADAEKGETVAMLLSPSCSPAVSDCSHHPNGSLFTMFLTAPLQAFCLLIGLSDTDIDVDTFNKAETILTTSLNTWGLALAISDTLNPVWGQVLGDPFIRRLILRFMFCHEVLTLYAPVYKENKFLPTCVPALPMPAMPPTYSYESVILNIASMFGATKHFIFSEDVYQPEKLEVDMNELTL >Vigun10g022900.4.v1.2 pep primary_assembly:ASM411807v1:10:2688948:2699971:-1 gene:Vigun10g022900.v1.2 transcript:Vigun10g022900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQQQMQNSGGGGGGSGSMGIPASEVFWTLADKADKKFSKIRDIPFYQRSRHDTYFYKVFKVYTQLWKFQQENRQKLVEAGLRRWEIGEIASRIGQLYFGQYMQTSDANYLSESYIFYEAILTREYFKEGMFQDVNIANKQLRFLARFIMVCLVLNRREMVQQLVNQLKVLVDECKRAFQESDFKEWKLVVQEIVRFLKADTAFMNLRPLRYSLVLDPHPDTLPHVPAAITKRHLKLRDAVLSSFHQNEVKYSELTMDTFRMLQCLEWEPSGLFYQSSGSKLGQNGATGTSRISYIQDIADPTLPANPRKAVLYRPALTHFIAVLATICEELPSDGILLVYLSASGYCSSPQNESGCIHLGCHGERGSNCIYPSDFLPFTRRPLLLVIDNDNSNAFKVIADAEKGETVAMLLSPSCSPAVSDCSHHPNGSLFTMFLTAPLQAFCLLIGLSDTDIDVDTFNKAETILTTSLNTWGLALAISDTLNPVWGQVLGDPFIRRLILRFMFCHEVLTLYAPVYKENKFLPTCVPALPMPAMPPTYSYESVILNIASMFGATKHFIFSEDVYQPEKLEVDMNELTL >Vigun10g022900.5.v1.2 pep primary_assembly:ASM411807v1:10:2688948:2695935:-1 gene:Vigun10g022900.v1.2 transcript:Vigun10g022900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPLRYSLVLDPHPDTLPHVPAAITKRHLKLRDAVLSSFHQNEVKYSELTMDTFRMLQCLEWEPSGLFYQSSGSKLGQNGATGTSRISYIQDIADPTLPANPRKAVLYRPALTHFIAVLATICEELPSDGILLVYLSASGYCSSPQNESGCIHLGCHGERGSNCIYPSDFLPFTRRPLLLVIDNDNSNAFKVIADAEKGETVAMLLSPSCSPAVSDCSHHPNGSLFTMFLTAPLQAFCLLIGLSDTDIDVDTFNKAETILTTSLNTWGLALAISDTLNPVWGQVLGDPFIRRLILRFMFCHEVLTLYAPVYKENKFLPTCVPALPMPAMPPTYSYESVILNIASMFGATKHFIFSEDVYQPEKLEVDMNELTL >Vigun01g250500.1.v1.2 pep primary_assembly:ASM411807v1:1:41706521:41709109:-1 gene:Vigun01g250500.v1.2 transcript:Vigun01g250500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVTHSQNQCVLLLKLNSNCRGSKKCSSSSSVFPKPFATFPSLTTQICHASFHGLPFADTVSDTKHRTLLVETYHHHDSLRALLVKLEREDSNPIHILAQDGDWSKDHFWAAVRFLKHASRFAEILQVFDMWKNIEKSRISEFNYNKIIGLLCEDEMMEEALSVLQEMKVQGMKPCLDTYNPIIHGLSRAGKFSDALRFFDEMKEYGLEPDSETYDGLIGGYGKFQMYDEMGECVKKMELNGCSPDHITYNILIQEYARGGLLQRMEKLYQRMLSKRMILQSSTFVAMLKAYTTFGIVEKMEKVYRKVLNSKTCVEDDVIRKMAEVYIKNYMFSRLEDLGLDLCSAFGESDLVWCLRLLSYACLLSKKGMDIAVKEMLDSKMNWSVGVANIIMLAYVKMKDFRHLRILLSQLPIYRVRPDIVTVGIVMDASRIGFDGRGALESWRRMGLLDRVVELKTDSLVITAFGKGHFLKSCEEVYSSLHPEDKERKKWTYNDLIALLPRSKHTGSMTV >Vigun11g154700.1.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36414567:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSGLSSSSATHLL >Vigun11g154700.5.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36414567:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSGLSSSSATHLL >Vigun11g154700.2.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36414517:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSEKF >Vigun11g154700.4.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36414567:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSGLSSSSATHLL >Vigun11g154700.6.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36413493:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSGLSSSSATHLL >Vigun11g154700.3.v1.2 pep primary_assembly:ASM411807v1:11:36409795:36414517:1 gene:Vigun11g154700.v1.2 transcript:Vigun11g154700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVFVDPPNWHQQPNHAQANGSDNAQLLPPLPPQQAHVGASVGSIRPGSMADRARLAKIPPPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKRSRSKSPASSDKQTLSGSSGALPPSAGNTHELMGQLPQPPNLPFMASLQNLNRYAVGNMGLGLREIHGQNDHMGFQIGGSGNPSAGGGMDQWRLQQIPFLNGFESAASAGSYHFQNESIETPSALVGDLASNSRVSQMPPVKMEESGALNLSRSPLNVSENNHYYSWTDMSGLSSSSATHLL >Vigun10g104800.1.v1.2 pep primary_assembly:ASM411807v1:10:30190284:30192379:1 gene:Vigun10g104800.v1.2 transcript:Vigun10g104800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSLLWSNLQSLWLFVSFIIVLKVLIPSLTQKWSKKQKPKLPPGPKPWPVVGNLPEMLANKPVHRWIHNLMKQMNTEIACIRLGNTYVIPVTCPTIARQFLSEHDATFASRSLTTCTDLVSSGYLTTILVPFGDQWKKMKKLLTTALLSSQNHLLLHDKRIEEADNTMFYVYNKSKTVNNGVTGLVDIRSVARHYCGNVMRKILFNTRYFGKGRKDGGPGFEEEEHVESVFVLLRYLYAFSISDFIPCLRRLDLDGHQKKVKEALKVMKKYHDPIIQERVKQWNDAGKIDEKDWLDILISLKDANNNPLLTMEEISTQIVEILIATVDNPSNAFEWALAEMINQPSLLQRATEELDSVVGKERLVQESDIPKLNFVKACAREAFRLHPLAAFNVPHVSMSDTTVGNYFIPKGSHVILSRRELGRNPKVWNEPLKFKPERHLNGSNVVLTEPNLRFISFSTGRRGCPGVMLGTTMTVMLFARLLHGFTWTAPSTVSKINLAESKDQLNLAEPLMAVAKPRLAPQLYHL >Vigun05g053800.1.v1.2 pep primary_assembly:ASM411807v1:5:4620644:4624975:-1 gene:Vigun05g053800.v1.2 transcript:Vigun05g053800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFFICVLLFFIFVYKFLTAYGDFTLMSKKQPKREEIEDKVVWITGASRGIGEILAKQLASLGAKLIISARNEVELNRVRTQLKGKHAPDEVKILPLDLSSGEDSLRIAVEKAESFFPDSGVDYMIHNAAFERPKTSILDVTEEGLKATFDVNVFGTITLTKLLTPFMLKRGHGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHTLRSELCQKGIRVTVVCPGPIETSNNAGSKVPSEKRVSSEKCVELTIIAATHGLKEAWISYQPVLAVMYLVQYMPSVGYWLMDKVGKNRVEAAEKKGNTYSLSLLFGKKKAP >Vigun07g277000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39237822:39241033:-1 gene:Vigun07g277000.v1.2 transcript:Vigun07g277000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSKKMIATQEEMVEARVPLAYRDQCAHLLIPLNKCRQAEFYLPWKCENERHSYEKCEYELVMERMLQMQKIREEQQKANAKQPLILVPKPANA >Vigun04g078300.2.v1.2 pep primary_assembly:ASM411807v1:4:10761314:10768851:-1 gene:Vigun04g078300.v1.2 transcript:Vigun04g078300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSLGPQYVCTSFWTFICMTCSGIHREFTHRVKSVSMAKFTSQEVDALQSGGNQRAREIYLKNWDFQRQRLPDNSNVNKIREFIKSVYVDGRYAAVKSSEKPPRDAQSPGIHEDDRRASSYHSYSQSPPYDYQYEDRKYGKQAAALTRKPGSDKARYEGKISSIIYSPGRFSDHAYDDRFANEGSGPRISDFSVFSGGEQFKSDVHSPNFYKDIKISSPSYQRRSGSSSSEDVWSQARNASLETNVNAKIDGNGIRPPQITASEQSGDNKISSLRSYNSGSLVDFFSEPVQPSEPLQNKAFGIPRPPGPTRSVSMDLSKAPLESAAAASQAPTVDLFQSSFSSAIPFFNENQLTQASQPASIEFFADLSQKPSTVNLNEKALDLTVPKNEGWATFDMPQSTSSTAQVEIPATVPLSTESLQERFDPFSTSNANTQWPSFDFSSPWNAFEGSGGHHPVDALGQGLQLHNFPSADNQILGLRDSEGSKSNDDKGIVPVDGFENHDIPSHVSDSAYPPSMLPSMGDIEPIGMKCKSTNPFDFPHESDVAHNNMFLDMSSLQDALPDALFPTSFHSGIAESWLPQNTVTPYISSSGEGGLSFMAVQSPGSQIQNIQTPEPVASFGGNPFA >Vigun04g078300.3.v1.2 pep primary_assembly:ASM411807v1:4:10761314:10768851:-1 gene:Vigun04g078300.v1.2 transcript:Vigun04g078300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSLGPQYVCTSFWTFICMTCSGIHREFTHRVKSVSMAKFTSQEVDALQSGGNQRAREIYLKNWDFQRQRLPDNSNVNKIREFIKSVYVDGRYAAVKSSEKPPRDAQSPGIHEDDRRASSYHSYSQSPPYDYQYEDRKYGKQAAALTRKPGSDKARYEGKISSIIYSPGRFSDHAYDDRFANEGSGPRISDFSVFSGGEQFKSDVHSPNFYKDIKISSPSYQRRSGSSSSEDVWSQARNASLETNVNAKIDGNGIRPPQITASEQSGDNKISSLRSYNSGSLVDFFSEPVQPSEPLQNKAFGIPRPPGPTRSVSMDLSKAPLESAAAASQAPTVDLFQSSFSSAIPFFNENQLTQASQPASIEFFADLSQKPSTVNLNEKALDLTVPKNEGWATFDMPQSTSSTAQVEIPATVPLSTESLQERFDPFSTSNANTQWPSFDFSSVSMHSSLTSNLWHDGVWNSEEQVSAMSANTQPWNAFEGSGGHHPVDALGQGLQLHNFPSADNQILGLRDSEGSKSNDDKGIVPVDGFENHDIPSHVSDSAYPPSMLPSMGDIEPIGMKCKSTNPFDFPHESDVAHNNMFLDMSSLQDALPDALFPTSFHSGIAESWLPQNTVTPYISSSGEGGLSFMAVQSPGSQIQNIQTPEPVASFGGNPFA >Vigun04g078300.1.v1.2 pep primary_assembly:ASM411807v1:4:10761314:10768851:-1 gene:Vigun04g078300.v1.2 transcript:Vigun04g078300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSLGPQYVCTSFWTFICMTCSGIHREFTHRVKSVSMAKFTSQEVDALQSGGNQRAREIYLKNWDFQRQRLPDNSNVNKIREFIKSVYVDGRYAAVKSSEKPPRDAQSPGIHEDDRRASSYHSYSQSPPYDYQYEDRKYGKQAAALTRKPGSDKARYEGKISSIIYSPGRFSDHAYDDRFANEGSGPRISDFSVFSGGEQFKSDVHSPNFYKDIKISSPSYQRRSGSSSSEDVWSQARNASLETNVNAKIDGNGIRPPQITASEQSGDNKISSLRSYNSGSLVDFFSEPVQPSEPLQNKAFGIPRPPGPTRSVSMDLSKAPLESAAAASQAPTVDLFQSSFSSAIPFFNENQLTQASQPASIEFFADLSQKPSTVNLNEKALDLTVPKNEGWATFDMPQSTSSTAQVEIPATVPLSTESLQERFDPFSTSNANTQWPSFDFSSVSMHSSLTSNLWHDGVWNSEEQVSAMSANTQPWNAFEGSGGHHPVDALGQGLQLHNFPSADNQILGLRDSEGSKSNDDKGIVPVDGFENHDIPSHVSDSAYPPSMLPSMGDIEPIGMKCKSTNPFDFPHESDVAHNNMFLDMSSLQDALPDALFPTSFHSGIAESWLPQNTVVCHSWLCNHQVLKYRTSRHQNQLLRLGETLLHRIMLFLSYRNLLVASSWAWNHLMLCGSKSGVVLSIIVPGIIRP >Vigun04g078300.4.v1.2 pep primary_assembly:ASM411807v1:4:10759017:10768851:-1 gene:Vigun04g078300.v1.2 transcript:Vigun04g078300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSLGPQYVCTSFWTFICMTCSGIHREFTHRVKSVSMAKFTSQEVDALQSGGNQRAREIYLKNWDFQRQRLPDNSNVNKIREFIKSVYVDGRYAAVKSSEKPPRDAQSPGIHEDDRRASSYHSYSQSPPYDYQYEDRKYGKQAAALTRKPGSDKARYEGKISSIIYSPGRFSDHAYDDRFANEGSGPRISDFSVFSGGEQFKSDVHSPNFYKDIKISSPSYQRRSGSSSSEDVWSQARNASLETNVNAKIDGNGIRPPQITASEQSGDNKISSLRSYNSGSLVDFFSEPVQPSEPLQNKAFGIPRPPGPTRSVSMDLSKAPLESAAAASQAPTVDLFQSSFSSAIPFFNENQLTQASQPASIEFFADLSQKPSTVNLNEKALDLTVPKNEGWATFDMPQSTSSTAQVEIPATVPLSTESLQERFDPFSTSNANTQWPSFDFSSVSMHSSLTSNLWHDGVWNSEEQVSAMSANTQPWNAFEGSGGHHPVDALGQGLQLHNFPSADNQILGLRDSEGSKSNDDKGIVPVDGFENHDIPSHVSDSAYPPSMLPSMGPTSFSLSLAFSS >Vigun04g078300.5.v1.2 pep primary_assembly:ASM411807v1:4:10759017:10768851:-1 gene:Vigun04g078300.v1.2 transcript:Vigun04g078300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEEKNEKIIRGLMKLPPNRRCINCNSLGPQYVCTSFWTFICMTCSGIHREFTHRVKSVSMAKFTSQEVDALQSGGNQRAREIYLKNWDFQRQRLPDNSNVNKIREFIKSVYVDGRYAAVKSSEKPPRDAQSPGIHEDDRRASSYHSYSQSPPYDYQYEDRKYGKQAAALTRKPGSDKARYEGKISSIIYSPGRFSDHAYDDRFANEGSGPRISDFSVFSGGEQFKSDVHSPNFYKDIKISSPSYQRRSGSSSSEDVWSQARNASLETNVNAKIDGNGIRPPQITASEQSGDNKISSLRSYNSGSLVDFFSEPVQPSEPLQNKAFGIPRPPGPTRSVSMDLSKAPLESAAAASQAPTVDLFQSSFSSAIPFFNENQLTQASQPASIEFFADLSQKPSTVNLNEKALDLTVPKNEGWATFDMPQSTSSTAQVEIPATVPLSTESLQERFDPFSTSNANTQWPSFDFSSPWNAFEGSGGHHPVDALGQGLQLHNFPSADNQILGLRDSEGSKSNDDKGIVPVDGFENHDIPSHVSDSAYPPSMLPSMGPTSFSLSLAFSS >Vigun09g201100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37525528:37531035:-1 gene:Vigun09g201100.v1.2 transcript:Vigun09g201100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLGELKAQIVKKLGADKSKRYFYCLNRFLSQKLSKTEFDKFCFRVLGRENLPLHNHFIKSILKNACQAKIPPSIHPSGPQKFGEHASDISPGREDGHEQSVGSFHNQNQKAPVWSNGILPVSPKVRPGIRERKLRDRPSPLGPNGKVDSVSHQSMGTDDCGSKVDMENGILTPCDYQRPMQHLQAVAELPEYERGDADRRPVEKPRIHGKGPAEMSIVEDGEEVDQLNRLGLSKSPLIAPLGIPYCSASVGGARKALPVSSAGYFDSCCDSGRLSDTETLRRRMDQIAAVQGLGAISMECANMLNNMLDVYLKRLIRSCVDLVRARSTNEPRKTPAPKQQMQGKVINGMWPNNHLHVQCDGAAEAVSEHKPACLVSLHDFKVAMELNPQQLGEDWPLWLEKISMQSFEE >Vigun01g184000.1.v1.2 pep primary_assembly:ASM411807v1:1:36437912:36439784:-1 gene:Vigun01g184000.v1.2 transcript:Vigun01g184000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQRETSIRFETFTWKIQNFSKQNTKKLQSKAFRIRGYKWRIRLYPLMKNVDHFSLYLMVADSLPPYGWDRNTYFKLSLINQLDGNKSVVKETQQKFNGGYRSWGSFFVNRSVFFDSKQGYLVNDTCIIEAHVCVSDLSSLEVNTLNTNSPTSKSKLGDEARRNSESIEQEDDKETETETSDESETHSSTSCGSSQTEGEVQSSDLTLKDLLDLGSLGKEEAAFVPLLEEACIWHPSLIRSQRKSSRWFRLWAFTSLGQVLYLLKTSKVKDMNEDACNRLHGLWEELVKHSGFQLSWLEPYVESALGMKAHLEKTEVVNKLKDSVVALEIKMKKLRGELAAAEAEFEVTRRALVEAKKGFVELDFNADLGYAMF >Vigun06g013500.1.v1.2 pep primary_assembly:ASM411807v1:6:6207211:6212933:1 gene:Vigun06g013500.v1.2 transcript:Vigun06g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRITGLRAVFIWCIWLGLIQFSVGGRVRHYKFDVEYMIRKPDCLKHVVMGINGKFPGPTIRAEVGDTLNISLTNKLFTEGTVIHWHGIRQVETPWADGTAGISQCAINPGETFNYSFKVDRPGTYFYHGHYGMQRSAGLYGSLIVDLPRGQNEPFHYDDEFNLLLSDFWHTSSHEQEVGLSSLPFEWIGEPQTLLINGRGQFNCSLAAKFINTTLPECKFKGGEECAPQILHVEPNKTYRLRIASTTALASLNLAISNHKLIVVEADGNYVTPFVVDDIDIYSGESYSLLFHTDQDPKKNYWVSIGVRGRKPNTPQGLTILNYKTISASVFPSSPPPITPLWNDFERSKAFTKKIIAKMGTPQPPKRSDRTIFLLNTQNRVDGFTKWSINNVSLTLPPTPYLGSIKFKLNDAFDQTPPPVTFPQDYDIFNPPVNPNSTIGNGVYRFNLGEVVDVILQNANQLSGNGSEIHPWHLHGHDFWVLGYGEGKFKHGDEKKFNLTHAPLRNTAVIFPYGWTALRFKADNPGVWAFHCHIEPHLHMGMGVIFAEAVHKVREIPREALTCGLTGKKLVENGRH >Vigun04g021100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1586356:1587632:-1 gene:Vigun04g021100.v1.2 transcript:Vigun04g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTKKRVRDDDSSESPECKIPRVDSSESQLHSVLHSDVQLQDDIFNMLDDADNVPDRDTVQGLDSVIKSFEDEIKAPALDPSGLSDPTQVPDLQTKLGYLLEASDDELGLPPTEAAAEEPGRVDPALVELAGFNGFDDYVPGFDAFGFGSGFAMAESDGGAGGFVTLDGLFDYADSPADVLWRSESLQAM >Vigun05g153950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24514267:24514891:1 gene:Vigun05g153950.v1.2 transcript:Vigun05g153950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALIPFLLLIVVSNIVIANATFESNEANNVVIDSAQQRLISNIKNKGFHVLPKGPVPPSGPSHGCTPPCLPSNIVNNIKSKGFHVLPKGPPHHSGPSSPCAHPPCGGTVAHKKCC >Vigun06g079400.1.v1.2 pep primary_assembly:ASM411807v1:6:21128660:21129376:-1 gene:Vigun06g079400.v1.2 transcript:Vigun06g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKGKKVQDSSSNAEQSQAEMAPVEPNVMDPKLRRLFSNRLSAQRSRQKKVKLVEELEHRHIMLQDQLAQLQPIQARQQSRMHSLMVEEQTLFHEMEYLQKKAMLRDAEDEKNRKKKSRLENLRDIKQQLLNLHIDPFTGVSIDTSLKSWYRFILTA >Vigun03g415300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62225299:62225865:1 gene:Vigun03g415300.v1.2 transcript:Vigun03g415300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAYGISVLAVGAGEAPRRARQPQSQSSPTTHDQNNSNPTPSEEFAYLNSGKQHVKGLTNQTGYVKGNANGTINFGTLRTSARQP >Vigun04g129050.1.v1.2 pep primary_assembly:ASM411807v1:4:32457010:32457267:-1 gene:Vigun04g129050.v1.2 transcript:Vigun04g129050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWYNFGVSKVTVGNHHRSIYVRLIRKYIDGRWLYTWTTNEHAHARGDKSGFSRW >Vigun01g199500.1.v1.2 pep primary_assembly:ASM411807v1:1:37601784:37603565:-1 gene:Vigun01g199500.v1.2 transcript:Vigun01g199500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNKKKSRSAEEVKNSEDTRKGPWSVEEDALLQNYVATHGDGRWNSVARCAGLKRSGKSCRLRWLNYLRPDVRRGNITLQEQITILDLHSRWGNRWSKIARHLPGRTDNEIKNYWRTRVLKQARNLKCDVDSEQFKDALRYVWMPRLIERIQPSSETHDPLHVSPSELYPNPTPPLHHQNGFHGSCSGSNALESSSAKSEDLGHNVSVPGLCENLNDRDMARGNMTLPFGGGDSMESLWDDENLWLMQQLCDDLEIKDNFLA >Vigun01g199500.2.v1.2 pep primary_assembly:ASM411807v1:1:37601951:37603497:-1 gene:Vigun01g199500.v1.2 transcript:Vigun01g199500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNKKKSRSAEEVKNSEDTRKGPWSVEEDALLQNYVATHGDGRWNSVARCAGLKRSGKSCRLRWLNYLRPDVRRGNITLQEQITILDLHSRWGNRWSKIARHLPGRTDNEIKNYWRTRVLKQARNLKCDVDSEQFKDALRYVWMPRLIERIQPSSETHDPLHVSPSELYPNPTPPLHHQNGFHGSCSGSNALESSSAKSEDLGHNVSVPGLCENLNDRDMARGNMTLPFGGGDSMESLWDDENLWLMQQLCDDLEIKDNFLA >Vigun04g065300.2.v1.2 pep primary_assembly:ASM411807v1:4:7162652:7164916:-1 gene:Vigun04g065300.v1.2 transcript:Vigun04g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSERRPHAVLIPYPVQGHINPLFRLAKLLHLRGFHITFVHTEYNYKRLLNSRALKALQNLPHFHFETIPDGLPLTDHAVNVTQDTLSLCKSVRDNFLPPFRQLLATLNHSAIAGLTPPLTALVSDFSLTFTTQAAQELALPILLFSPASATSLLSIMNLRTLSDKAIIPLKDEGYLTNGYLDTKLDWIPDNMLKASAIVINTSDELESEALKALSSMLPSVHPIGPFPSFLNQSPQSDLESLGSNLWKEDTECLEWLNKSKEPNSVVYVNFGSITVMSAEKLLEFAWGLANSKRPFLWIIRPDLVIGGSVILSSEFVNEISDRGLIASWCPQEEVLNHPSIGGFLTHCGWNSTVESICAGVPMLCWPFFADQPTNCRSICSEWGIGIEIDTDVKREEVEKNVIELMLGEKGKQMRQKVMQLKKKVEEDTRSGGLSYMNLDKVISEVLPKQN >Vigun04g065300.1.v1.2 pep primary_assembly:ASM411807v1:4:7162652:7164916:-1 gene:Vigun04g065300.v1.2 transcript:Vigun04g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSERRPHAVLIPYPVQGHINPLFRLAKLLHLRGFHITFVHTEYNYKRLLNSRALKALQNLPHFHFETIPDGLPLTDHAVNVTQDTLSLCKSVRDNFLPPFRQLLATLNHSAIAGLTPPLTALVSDFSLTFTTQAAQELALPILLFSPASATSLLSIMNLRTLSDKAIIPLKDEGYLTNGYLDTKLDWIPGLKNFRLKDLPGYIRTTDPNNFMLKYLIDLADNMLKASAIVINTSDELESEALKALSSMLPSVHPIGPFPSFLNQSPQSDLESLGSNLWKEDTECLEWLNKSKEPNSVVYVNFGSITVMSAEKLLEFAWGLANSKRPFLWIIRPDLVIGGSVILSSEFVNEISDRGLIASWCPQEEVLNHPSIGGFLTHCGWNSTVESICAGVPMLCWPFFADQPTNCRSICSEWGIGIEIDTDVKREEVEKNVIELMLGEKGKQMRQKVMQLKKKVEEDTRSGGLSYMNLDKVISEVLPKQN >Vigun03g083300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6930736:6933582:-1 gene:Vigun03g083300.v1.2 transcript:Vigun03g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVFGIARNSGFVHGYRQGYIYQQKFSLVNIKLKWVKDRTLDVVVAAQRDVKATGILVSIIHSSSQCCLPIYHLSRYRGQLGLPSDLKLSTFIRRYPNIFVESCFLDSGGSPVPWFSLSPEALELHHREVSILQQGQLELRDRLCKLLMLTSDRILPLQTIDQLKWDLGLPYDYQYSFIPNHPERFSYVRLPDDRVGLKLLFWDDKLAISELQKNSSLQQKGEDIKNGSLAFPVSFTRGFGLKRKCMEWLKEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELLHLTLHKQTERKNVSNLRRPLSLPQKFTKAFERHPGIFYISKKIDTQTVVLREAYYGQEPVQNHPLVQIREKFASLMKKGLLDRSRGVYKRNKDTNSVDDLRNADKTNQISSKDKSDSMFYDHDSDSPLQSPC >Vigun03g083300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6930736:6933582:-1 gene:Vigun03g083300.v1.2 transcript:Vigun03g083300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVFGIARNSGFVHGYRQGYIYQQKFSLVNIKLKWVKDRTLDVVVAAQRDVKATGILVSIIHSSSQCCLPIYHLSRYRGQLGLPSDLKLSTFIRRYPNIFVESCFLDSGGSPVPWFSLSPEALELHHREVSILQQGQLELRDRLCKLLMLTSDRILPLQTIDQLKWDLGLPYDYQYSFIPNHPERFSYVRLPDDRVGLKLLFWDDKLAISELQKNSSLQQKGEDIKNGSLAFPVSFTRGFGLKRKCMEWLKEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELLHLTLHKQTERKNVSNLRRPLSLPQKFTKAFERHPGIFYISKKIDTQTVVLREAYYGQEPVQNHPLVQIREKFASLMKKGLLDRSRGVYKRNKDTNSVDDLRNADKTNQISSKDKSDSMFYDHDSDSPLQSPC >Vigun03g083300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6930736:6933582:-1 gene:Vigun03g083300.v1.2 transcript:Vigun03g083300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVFGIARNSGFVHGYRQGYIYQQKFSLVNIKLKWVKDRTLDVVVAAQRDVKATGILVSIIHSSSQCCLPIYHLSRYRGQLGLPSDLKLSTFIRRYPNIFVESCFLDSGGSPVPWFSLSPEALELHHREVSILQQGQLELRDRLCKLLMLTSDRILPLQTIDQLKWDLGLPYDYQYSFIPNHPERFSYVRLPDDRVGLKLLFWDDKLAISELQKNSSLQQKGEDIKNGSLAFPVSFTRGFGLKRKCMEWLKEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELLHLTLHKQTERKNVSNLRRPLSLPQKFTKAFERHPGIFYISKKIDTQTVVLREAYYGQEPVQNHPLVQIREKFASLMKKGLLDRSRGVYKRNKDTNSVDDLRNADKTNQISSKDKSDSMFYDHDSDSPLQSPC >Vigun07g255300.1.v1.2 pep primary_assembly:ASM411807v1:7:37335962:37342911:-1 gene:Vigun07g255300.v1.2 transcript:Vigun07g255300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCPEIDPLSSEISFFKIIVHTTLQEGKIMIPKKFTMKYGAILSNPVFLEVPNGIEWEVCWTKDGAGHVWLEKGWKEFATYYSLGYGHLVVFKYQQTCTLEVHIFDASGTEINYPSNSTPTSPSLPGPSKKRMTHSSGVVGQSSSLPQHVQDKVDQVQENSSCSIRSETPSFMVAMKDSNVYGYVLVIPSVFVKKYLKEMATQDILLQVLDGRTWQMTLKHGRIIKGWRAFASNNDLNVGDVCIFELTDSQTPCFKVSIIPISQGREDVDLTKIRGLKCINTFPINAMLEAENFASENPFFALHIRANDRGDCRPRVPLDFVEQYFNKKQVVMLQFRNKLWRVKLIGDVPTKLSKGWGPFAKACKLQNGNVCVFELINKEDLVFDVHIFRGQS >Vigun02g050900.3.v1.2 pep primary_assembly:ASM411807v1:2:19253027:19256896:-1 gene:Vigun02g050900.v1.2 transcript:Vigun02g050900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSFGILGATRLHASDPHLTLDYYASTCPTVFDIVRKEMECAVLSDPRNAAIIVRLHFHDCFVQGCDGSILLDDTVTLKGEKKASNNINSLKGAGIVDRIKNMVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTANFDLANTNLPTPDESLLSIISKFLYQGLSVTDMVALAGAHTIGMAQCKNFRSRIYGGFDSTSLKNPISESHLSNLRSVCPAVGGGDNNITAMDYLTPNLFDNSFYQLLLNGEGLLNSDQEMYSSLFGIQTRELVKKYAADPLAFFQQFSESMVKMGNITNSESFITGEVRKNCRFVNT >Vigun02g050900.1.v1.2 pep primary_assembly:ASM411807v1:2:19253027:19256895:-1 gene:Vigun02g050900.v1.2 transcript:Vigun02g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFTSKSLLHFVLILGILGATRLHASDPHLTLDYYASTCPTVFDIVRKEMECAVLSDPRNAAIIVRLHFHDCFVQGCDGSILLDDTVTLKGEKKASNNINSLKGAGIVDRIKNMVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTANFDLANTNLPTPDESLLSIISKFLYQGLSVTDMVALAGAHTIGMAQCKNFRSRIYGGFDSTSLKNPISESHLSNLRSVCPAVGGGDNNITAMDYLTPNLFDNSFYQLLLNGEGLLNSDQEMYSSLFGIQTRELVKKYAADPLAFFQQFSESMVKMGNITNSESFITGEVRKNCRFVNT >Vigun02g050900.5.v1.2 pep primary_assembly:ASM411807v1:2:19253027:19255381:-1 gene:Vigun02g050900.v1.2 transcript:Vigun02g050900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTANFDLANTNLPTPDESLLSIISKFLYQGLSVTDMVALAGAHTIGMAQCKNFRSRIYGGFDSTSLKNPISESHLSNLRSVCPAVGGGDNNITAMDYLTPNLFDNSFYQLLLNGEGLLNSDQEMYSSLFGIQTRELVKKYAADPLAFFQQFSESMVKMGNITNSESFITGEVRKNCRFVNT >Vigun02g050900.4.v1.2 pep primary_assembly:ASM411807v1:2:19253027:19256895:-1 gene:Vigun02g050900.v1.2 transcript:Vigun02g050900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECAVLSDPRNAAIIVRLHFHDCFVQGCDGSILLDDTVTLKGEKKASNNINSLKGAGIVDRIKNMVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTANFDLANTNLPTPDESLLSIISKFLYQGLSVTDMVALAGAHTIGMAQCKNFRSRIYGGFDSTSLKNPISESHLSNLRSVCPAVGGGDNNITAMDYLTPNLFDNSFYQLLLNGEGLLNSDQEMYSSLFGIQTRELVKKYAADPLAFFQQFSESMVKMGNITNSESFITGEVRKNCRFVNT >Vigun02g050900.2.v1.2 pep primary_assembly:ASM411807v1:2:19253027:19256895:-1 gene:Vigun02g050900.v1.2 transcript:Vigun02g050900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFTSKSLLHFVLILGILGATRLHASDPHLTLDYYASTCPTVFDIVRKEMECAVLSDPRNAAIIVRLHFHDCFVQGCDGSILLDDTVTLKGEKKASNNINSLKGAGIVDRIKNMVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTANFDLANTNLPTPDESLLSIISKFLYQGAHTIGMAQCKNFRSRIYGGFDSTSLKNPISESHLSNLRSVCPAVGGGDNNITAMDYLTPNLFDNSFYQLLLNGEGLLNSDQEMYSSLFGIQTRELVKKYAADPLAFFQQFSESMVKMGNITNSESFITGEVRKNCRFVNT >Vigun07g252500.1.v1.2 pep primary_assembly:ASM411807v1:7:37145717:37150335:-1 gene:Vigun07g252500.v1.2 transcript:Vigun07g252500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSEWVIDGEYDWPPKCCQCQAVLEEGDASQTTRLGCLHVIHTNCFVSHIKSFPPHTAPAGYACPSCSTSIWPPKSVKDSGSRLHSKLKEAIIQSGMEKNVFGNHPVSMTESRSPPPAFSSDPLVSRENHGNSDPVDGFSPATGSELPKLTVADIMEIDGANSGGNFMKASSPGAPGATTRKGTVHVERQNSEISYYADDEDGKRKKYTKRGPFHHKFLRALLPFWSTSLPTLPVTAPPRKDATNAAETSEGRTRHQRSSRMDPRKILLLIAIMACMATMGILYYRLVQRGPGDEHGLPNEE >Vigun03g422700.3.v1.2 pep primary_assembly:ASM411807v1:3:62834092:62838105:1 gene:Vigun03g422700.v1.2 transcript:Vigun03g422700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEKGDDGRHVLILDRTIFYPQGGGQPADTGFVLIHGLENKFLVSDVRSKDGIVFHYGFFENLMGEFEPTREKGNEVSLFVDEHRRKLNSRLHSAGHLLDICLPRIGLGHLEPGKAYHFSDGPWVEYKGTVPQNELQSKQKDLELEANALISLGERISVDILPYDEAAKICGGSLPDYISKESTPRIVRIGDNPGCPCGGTHVADISDIVQIKVSQIRSKKGLTKVSYKVES >Vigun03g422700.1.v1.2 pep primary_assembly:ASM411807v1:3:62833750:62838105:1 gene:Vigun03g422700.v1.2 transcript:Vigun03g422700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYKNPTKLEYYDDMWSLQCTATLLSHFKGDDGRHVLILDRTIFYPQGGGQPADTGFVLIHGLENKFLVSDVRSKDGIVFHYGFFENLMGEFEPTREKGNEVSLFVDEHRRKLNSRLHSAGHLLDICLPRIGLGHLEPGKAYHFSDGPWVEYKGTVPQNELQSKQKDLELEANALISLGERISVDILPYDEAAKICGGSLPDYISKESTPRIVRIGDNPGCPCGGTHVADISDIVQIKVSQIRSKKGLTKVSYKVES >Vigun03g422700.2.v1.2 pep primary_assembly:ASM411807v1:3:62833750:62838105:1 gene:Vigun03g422700.v1.2 transcript:Vigun03g422700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYKNPTKLEYYDDMWSLQCTATLLSHFKGDDGRHVLILDRTIFYPQGGGQPADTGFVLIHGLENKFLVSDVRSKDGIVFHYGFFENLMGEFEPTREKGNEVSLFVDEHRRKLNSRLHSAGHLLDICLPRIGLGHLEPGKAYHFSDGPWVEYKGTVPQNELQSKQKDLELEANALISLGERISVDILPYDEAAKICGGSLPDYISKY >Vigun03g358000.3.v1.2 pep primary_assembly:ASM411807v1:3:55991895:55995907:-1 gene:Vigun03g358000.v1.2 transcript:Vigun03g358000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPLRGSIIQQIFRVVVDAHSPATMKNKECQEKLPAVVLRAEEIMYSKANSEAEYLNPDTLWDRLNDAINTIIRRDETSETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLSPKYQPPPSVPLKPVVGNPLNYGKVTASAVSPIPVPDSTHPSSKLMGSPSYHFSEGLPSAHHQPLTMETRPSLNMGSVYPLYYGYEAREPQPRTTPRDTPCSDTIFVGRPVVQVPEPSGIVMWQSYSDGKFRHAASRLAEENALVTQGEAPGRECDLSLRLGQCLHPCSSSKSSSAYEIDDVGLVASPEGSKFSHLSLQRNKEFCFYPRETGYGNIESSSKCYVEGDDRRLEATLGKRKAPLGNNMEDGQFCRHLGVPSHRFTGRPGS >Vigun03g358000.1.v1.2 pep primary_assembly:ASM411807v1:3:55991314:55995559:-1 gene:Vigun03g358000.v1.2 transcript:Vigun03g358000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPLRGSIIQQIFRVVVDAHSPATMKNKECQEKLPAVVLRAEEIMYSKANSEAEYLNPDTLWDRLNDAINTIIRRDETSETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLSPKYQPPPSVPLKPVVGNPLNYGKVTASAVSPIPVPDSTHPSSKLMGSPSYHFSEGLPSAHHQPLTMETRPSLNMGSVYPLYYGYEAREPQPRTTPRDTPCSDTIFVGRPVVQVPEPSGIVMWQSYSDGKFRHAASRLAEENALVTQGEAPGRECDLSLRLGQCLHPCSSSKSSSAYEIDDVGLVASPEGSKFSHLSLQRNKEFCFYPRETGYGNIESSSKCYVEGDDRRLEATLGKRKAPLGNNMEDGQFCRHLGVPSHRFTGRPAGMTEKIIIT >Vigun03g358000.2.v1.2 pep primary_assembly:ASM411807v1:3:55991314:55995907:-1 gene:Vigun03g358000.v1.2 transcript:Vigun03g358000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPLRGSIIQQIFRVVVDAHSPATMKNKECQEKLPAVVLRAEEIMYSKANSEAEYLNPDTLWDRLNDAINTIIRRDETSETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLSPKYQPPPSVPLKPVVGNPLNYGKVTASAVSPIPVPDSTHPSSKLMGSPSYHFSEGLPSAHHQPLTMETRPSLNMGSVYPLYYGYEAREPQPRTTPRDTPCSDTIFVGRPVVQVPEPSGIVMWQSYSDGKFRHAASRLAEENALVTQGEAPGRECDLSLRLGQCLHPCSSSKSSSAYEIDDVGLVASPEGSKFSHLSLQRNKEFCFYPRETGYGNIESSSKCYVEGDDRRLEATLGKRKAPLGNNMEDGQFCRHLGVPSHRFTGRPGMTEKIIIT >Vigun03g338500.2.v1.2 pep primary_assembly:ASM411807v1:3:53657942:53662992:-1 gene:Vigun03g338500.v1.2 transcript:Vigun03g338500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDITGLLSRPEIKFSESQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGILKVADFGLANFSNSGNKQPLTSRVVTLWYRPPELLLGSTDYGPSVDIWSVGCVFAELLIGKPILQGRTEVEQLHKIFKLCGSPPEEYWKKTRLPHATLFKPQQPYDSCLRETFKDFHISSVNLLQTLLSVEPSKRGTASSALSLEYFKTKPYACDPSTLPIYPPSKEIDAKHEEESRRKKIGGRAGVPESRKPSRKPLALSKLAPAEDLTTQTQTSLKIDDRCIHTLKEENTNTVEEAPKQCSGKPEDDSHVKNASKVDIPFPGPLQVSKSSGFAWAKRRRDDTSIRTHSRSISRGYIFNSLETSILNSRNNSESRNYENNEFFGTCTNSRGHDLLEISKLSMKNQWSKFDRPDSFDTEEYHSQELSMALYNRQDSLSKRSNLSYQDQGEKVEFSGPLLSQMHTVDELLERHERHIRRSVRRSWFQRGKKQGT >Vigun03g338500.1.v1.2 pep primary_assembly:ASM411807v1:3:53657942:53662992:-1 gene:Vigun03g338500.v1.2 transcript:Vigun03g338500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVAKQAVSVTPAIEHSVESDKNRKKKTESGRSELGESGRASSNGGSESLSFRLGNLSKYVEGEQAAAGWPAWLSAVASEAIHGWVPLRADAFEKLEKIGQGTYSSVFRAKEIETGKIVALKKVRFDNFEPESVRFMAREIMILRRLDHPNIIKLEGLITSRLSCSIYLVFEYMEHDITGLLSRPEIKFSESQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGILKVADFGLANFSNSGNKQPLTSRVVTLWYRPPELLLGSTDYGPSVDIWSVGCVFAELLIGKPILQGRTEVEQLHKIFKLCGSPPEEYWKKTRLPHATLFKPQQPYDSCLRETFKDFHISSVNLLQTLLSVEPSKRGTASSALSLEYFKTKPYACDPSTLPIYPPSKEIDAKHEEESRRKKIGGRAGVPESRKPSRKPLALSKLAPAEDLTTQTQTSLKIDDRCIHTLKEENTNTVEEAPKQCSGKPEDDSHVKNASKVDIPFPGPLQVSKSSGFAWAKRRRDDTSIRTHSRSISRGYIFNSLETSILNSRNNSESRNYENNEFFGTCTNSRGHDLLEISKLSMKNQWSKFDRPDSFDTEEYHSQELSMALYNRQDSLSKRSNLSYQDQGEKVEFSGPLLSQMHTVDELLERHERHIRRSVRRSWFQRGKKQGT >Vigun04g006000.1.v1.2 pep primary_assembly:ASM411807v1:4:404004:424260:1 gene:Vigun04g006000.v1.2 transcript:Vigun04g006000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNHDPSQQPMNGNGGNKVPDDSGFDQRILTAPVKSAVDKFQLLPEFLKVRGLVKQHLDSFNFFVKTEIKKIVRANNLIRASRHPHIYLRFLNVKIRKPSIVDESVTDEVTPQTCRLSDQTYAAPICVDVEYTHGSRDDPRLHRRLNVEIGRMPIMLRSCCCVLYGRDEAELAKLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIETDKKGNIAASVTSSTEKIKTKTVIVVENEKFWLHLNQFPKKVPLMVVMKAMGMESDQEVTQMVGRDPRYSYLLLASFEECRKCNVYTQEQALEYLDKMVKRSMYSSNSAEKESRAFSVLRDVFLANVPVDGDNFRTKCIYVAVMMRRIMDAILNKDAMDDKDYVGNKRLELSGQLVSLLFEDLFKSMTTEVKNLTDKMLDKPDKAKNFDISTLLNRTQNIITLGLERTLSTGNFEIKRFRMERKGMTQVLQRLSFIGAMGHMTRVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEAPLISMCYSLGVEDLELLSAEELHTPDSFLVILNGLILGKHRKPLRFANAIRKLRRVGKIGEFVSVFVHNKQHCVYLASDGGRVCRPLVIADKGVSRIKEHHMKELMDGVRTFDDFLRDGLLEYLDVNEENNTLIALYEGDATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAFNQLRRNDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVMKKYSAVIQKHSNDTSDRILRPNRAADTAGRMRVLDDDGIAAPGEILKPFDIYINKQSPIDTRTPKASAANLPDSAYRSNAQSFKGHGGEVVDRVVLCSDKDKNMCIKFLIRHTRRPELGDKFSSRHGQKGVCGTIVPQEDFPFSEKGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGERSGHADKVEAISETLVSKGFSYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIYERLMLSSDPFEVQVCTACGLLGYYNHKLKTGICSYCKNGDKISTMKLPYACKLMIQELQSMNIVPRLKLADA >Vigun04g006000.3.v1.2 pep primary_assembly:ASM411807v1:4:409979:424260:1 gene:Vigun04g006000.v1.2 transcript:Vigun04g006000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRIMDAILNKDAMDDKDYVGNKRLELSGQLVSLLFEDLFKSMTTEVKNLTDKMLDKPDKAKNFDISTLLNRTQNIITLGLERTLSTGNFEIKRFRMERKGMTQVLQRLSFIGAMGHMTRVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEAPLISMCYSLGVEDLELLSAEELHTPDSFLVILNGLILGKHRKPLRFANAIRKLRRVGKIGEFVSVFVHNKQHCVYLASDGGRVCRPLVIADKGVSRIKEHHMKELMDGVRTFDDFLRDGLLEYLDVNEENNTLIALYEGDATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAFNQLRRNDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVMKKYSAVIQKHSNDTSDRILRPNRAADTAGRMRVLDDDGIAAPGEILKPFDIYINKQSPIDTRTPKASAANLPDSAYRSNAQSFKGHGGEVVDRVVLCSDKDKNMCIKFLIRHTRRPELGDKFSSRHGQKGVCGTIVPQEDFPFSEKGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGERSGHADKVEAISETLVSKGFSYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIYERLMLSSDPFEVQVCTACGLLGYYNHKLKTGICSYCKNGDKISTMKLPYACKLMIQELQSMNIVPRLKLADA >Vigun04g006000.2.v1.2 pep primary_assembly:ASM411807v1:4:404004:424260:1 gene:Vigun04g006000.v1.2 transcript:Vigun04g006000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNHDPSQQPMNGNGGNKVPDDSGFDQRILTAPVKSAVDKFQLLPEFLKVRGLVKQHLDSFNFFVKTEIKKIVRANNLIRASRHPHIYLRFLNVKIRKPSIVDESVTDEVTPQTCRLSDQTYAAPICVDVEYTHGSRDDPRLHRRLNVEIGRMPIMLRSCCCVLYGRDEAELAKLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIETDKKGNIAASVTSSTEKIKTKTVIVVENEKFWLHLNQFPKKVPLMVVMKAMGMESDQEVTQMVGRDPRYSYLLLASFEECRKCNVYTQEQALEYLDKMVKRSMYSSNSAEKESRAFSVLRDVFLANVPVDGDNFRTKCIYVAVMMRRIMDAILNKDAMDDKDYVGNKRLELSGQLVSLLFEDLFKSMTTEVKNLTDKMLDKPDKAKNFDISTLLNRTQNIITLGLERTLSTGNFEIKRFRMERKGMTQVLQRLSFIGAMGHMTRVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEAPLISMCYSLGVEDLELLSAEELHTPDSFLVILNGLILGKHRKPLRFANAIRKLRRVGKIGEFVSVFVHNKQHCVYLASDGGRVCRPLVIADKGVSRIKEHHMKELMDGVRTFDDFLRDGLLEYLDVNEENNTLIALYEGDATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAFNQLRRNDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVMKKYSAVIQKHSNDTSDRILRPNRAADTAGRMRVLDDDGIAAPGEILKPFDIYINKQSPIDTRTPKASAANLPDSAYRSNAQSFKGHGGEVVDRVVLCSDKDKNMCIKFLIRHTRRPELGDKFSSRHGQKGVCGTIVPQEDFPFSEKGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGERSGHADKVEAISETLVSKGFSYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIYERLMLSSDPFEVQNGDKISTMKLPYACKLMIQELQSMNIVPRLKLADA >Vigun03g072200.2.v1.2 pep primary_assembly:ASM411807v1:3:5944768:5948546:1 gene:Vigun03g072200.v1.2 transcript:Vigun03g072200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKQNLVTVTLSYGALTCAAEVLATLLASAVVKATLLAPDLYRLLLINTPITSGDNTSQSEDKQHDAEGEDGNDDEGNDDDEGDGVSGEGEEGEGEDELSSEDGGGYGNNSNNRSNSKKAPEGGAGGVEENGEDDEDEDGDEQEDDDEDDDDDEDDEDEGGEEEEEEGAEDDENEEDEEEEDEEALQPPKKRKK >Vigun03g072200.1.v1.2 pep primary_assembly:ASM411807v1:3:5944768:5948675:1 gene:Vigun03g072200.v1.2 transcript:Vigun03g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKQNLVTVTLSYGALTCAAEVLATLLASAVVKATLLAPDLYRLLLINTPITSGDNTSQSEDKQHDAEGEDGNDDEGNDDDEGDGVSGEGEEGEGEDELSSEDGGGYGNNSNNRSNSKKAPEGGAGGVEENGEDDEDEDGDEQEDDDEDDDDDEDDEDEGGEEEEEEGAEDDENEEDEEEEDEEALQPPKKRKK >Vigun03g072200.3.v1.2 pep primary_assembly:ASM411807v1:3:5944768:5948546:1 gene:Vigun03g072200.v1.2 transcript:Vigun03g072200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKQNLVTVTLSYGALTCAAEVLATLLASAVVKATLLAPDLYRLLLINTPITSGDNTSQSEDKQHDAEGEDGNDDEGNDDDEGDGVSGEGEEGEGEDELSSEDGGGYGNNSNNRSNSKKAPEGGAGGVEENGEDDEDEDGDEQEDDDEDDDDDEDDEDEGGEEEEEEGAEDDENEEDEEEEDEEALQPPKKRKK >Vigun02g116400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26971314:26972348:1 gene:Vigun02g116400.v1.2 transcript:Vigun02g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFHVRSNSFPSGSHPNTSKVEEELNKLKTWETTSTSTLNSIGTGLSLLSDLHICLEDILNMTSTQKLISNHQGEKCIQELLEGSVRILDICDITRDNMLQIKENVQALHSALRRRKGDSSIERIVAEYNFFSKKMKKNAKKLMTCLKQMESKFGVSPVLDKDQQLVSLVRVLREVIAMNMSIFRSLLAFLTVPAPKSKPTKWLSKLMQKGVITCEENTENSNELQCVEASLSSLLSEGSNVGKMEAATERLEALENGIENIENGLESVFRRMVKTRACLLNIMTQ >Vigun07g285300.1.v1.2 pep primary_assembly:ASM411807v1:7:39842464:39848028:1 gene:Vigun07g285300.v1.2 transcript:Vigun07g285300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRVASSIAKHEAIRRNLYGGGALYCGKRTTTVLPSTSRIRNLHSTVFKSREQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMQLLLLVRAYQVNGHMKAKLDPLGLEERKVPDELDLAFYGFTEADLDREFFLGVWKMSGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIPDRGKCNWLRDRIETLTPTQYNRERREVIFDRLAWSTLFENFLATKWTSAKRFGLEGGESIIPGMKEMFDRASDLGVESIVMGMAHRGRLNVLGNVVRKPLRQIFCEFSGGQPADEAGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVNPLVVGKTRAKQYYSNDKDRMKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVFNNQVAFTTDPKSGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQKKLLESGELRREDIDKIHKKVTSILNDEFLASKDYVPKRRDWLSAYWSGFKSPEQISRIRNTGVKPEILKNVGKAITLLPENFNPHKAVKRIYEQRSQMVETGEDIDWGFAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVVHDQTTGERYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDNFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMADDHPYTIPEMDPTLRKQIQECNWQIVNVTTPANFFHVLRRQIHRDFRKPLIVMSPKNLLRSKVCRSHLSEFDDVQGHPGFDKQGTRFKRLIKDQNNHKDVEGGIRRLVLCSGKVYYELDEQRTKEDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEVVWCQEEPMNMGGYTYVLPRLVTSMKGVGRGGYEDVKYAGRAPSAATATGFLKVHHKEQAELVHKAIQPEPINFPY >Vigun01g028900.2.v1.2 pep primary_assembly:ASM411807v1:1:3464341:3466043:1 gene:Vigun01g028900.v1.2 transcript:Vigun01g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFITLFIFFSLLLLLLLLFWPATSHIIIIIMGLAAEAQFHVLAVDDSLIDRMLIERLLKTSSFHVTAVDSGSKALRFLGLVEDEKRNEEPPTIALEANQDVDVNLIITDYCMPEMTGYDLLRKIKESKSLKDIPVVIMSSENVPSRINSSTVRCKQAETTFVEIKS >Vigun01g028900.1.v1.2 pep primary_assembly:ASM411807v1:1:3464341:3466043:1 gene:Vigun01g028900.v1.2 transcript:Vigun01g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFITLFIFFSLLLLLLLLFWPATSHIIIIIMGLAAEAQFHVLAVDDSLIDRMLIERLLKTSSFHVTAVDSGSKALRFLGLVEDEKRNEEPPTIALEANQDVDVNLIITDYCMPEMTGYDLLRKIKESKSLKDIPVVIMSSENVPSRINRCLEDGADEFFLKPVQQSDVNRLRPHLLKSKVKDEEEQQMNNKRKETEEEEESDSPDKSRTKMEPQKVVNSS >Vigun10g021700.1.v1.2 pep primary_assembly:ASM411807v1:10:2604805:2606409:-1 gene:Vigun10g021700.v1.2 transcript:Vigun10g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPETKWIYRKPKTCIAGLTGYGVSKVVESGHPDYKKDDLVWGITKWEEYSFISPSQICFKIHHTHVPLSFYTGILGMPGLSAYAGFFELGSPKKGENVYVSAASGVVGQLVGQFAKLSGCYVVGSAGSRDKVDLLKNKLGFDEAFNYKEEPDLNAALKRYFAEGIDIYFENVGGKTLDAVLPNMRLHGRIPVCGMISQYNLTQPEGVTNLAHLIFKRVKMEGFIVNDFYHSYSKFLEFVLPLIREGKVVYVEDIAEGLENGPAALVGLFSGCNVGKQVVVVARE >Vigun10g084600.2.v1.2 pep primary_assembly:ASM411807v1:10:24038762:24039505:1 gene:Vigun10g084600.v1.2 transcript:Vigun10g084600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLFSFLSDYPDAIVPYPWQRVLDIPSNLLYYYHHETGFVIYDFRPFVDFGEGVFLENDIGFSFTDDEVVEQLRNNYEDFMNSPSLLLFCCGCSGKTYYGIIEQPVVRCPLCKGITLMLP >Vigun10g084600.1.v1.2 pep primary_assembly:ASM411807v1:10:24038640:24039634:1 gene:Vigun10g084600.v1.2 transcript:Vigun10g084600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLFSFLSDYPDAIVPYPWQRVLDIPSNLLYYYHHETGFVIYDFRPFVDFGEGVFLENDIGFSFTDDEVVEQLRNNYEDFMNSPSLLLFCCGCSGKTYYGIIEQPVVRCPLCKGITLMLP >Vigun05g122175.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13513103:13513294:-1 gene:Vigun05g122175.v1.2 transcript:Vigun05g122175.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPIYLLIVIVIVIVEACKYSSLSLCGDARSYAVETCFLLSKMSNSMSLDLSCLYLLLQFE >Vigun01g060400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:12654637:12657028:1 gene:Vigun01g060400.v1.2 transcript:Vigun01g060400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFINGDGRLVNDKVVEDGGEGVMLMMAREIEGEELDFVYFSFVQWRRDIGGRKQDVSFSNITQIQQTSYTNTTSVMFMPKYSSIGAYLLELLLVVLGLLVQVCDPLCLSCFYSFLPSSHFLLSPLLLVIVCYPLHFEMVKSSCASKLPVLGSCPLFKLLSLLFSFESSSHVIISLPAKGFLHLSHPATL >Vigun09g105750.1.v1.2 pep primary_assembly:ASM411807v1:9:19699346:19706743:1 gene:Vigun09g105750.v1.2 transcript:Vigun09g105750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGIVFHCLKSRLNFRKFKDALGFIKFESIFEGE >Vigun11g099300.1.v1.2 pep primary_assembly:ASM411807v1:11:29188077:29189929:-1 gene:Vigun11g099300.v1.2 transcript:Vigun11g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLRKLWVISILIIQQFTFLADASHSRKKIVPALYVFGDSTVDAGNNNNLKTLAKANKFPYGIDFNNCSTGRFSNGKTIADIIAIRLGLPMPPPYLGVPKSQRHEVVTGLNYASGSCGILNSTRSGDCLSLDKQIEYFTLSVDNDLPRSIHSKTKLRHYLANSIYLLSIGSNDYMLNYFKYPNETNNKLNPEKYADYLLEQLTSRIKRIYDLGARKFVVSRIGQIGCTPTVSIRTPYSQKCNEDMNQKVKPYSDKLPGKLQELQTQLPHSLFVNLDNYNFAQKIRNSPEKFGFKNIFDSCVQGGKPCANRNEYYFFDFAHPTEATNKIHANECFSGTQLCLPYNIQKLIHAH >Vigun02g084600.1.v1.2 pep primary_assembly:ASM411807v1:2:23862475:23867978:1 gene:Vigun02g084600.v1.2 transcript:Vigun02g084600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWVSHIRTKQSKWMEQNLQDMEEKVQSVMKLLAEEGDSFAKRAEMYYKRRPELISFVEESFKAYRALAERYDHISTELQNANNTIASVFPDRVPFMDEDDDDQRPPKKNPEKLKNIPKPPHKDLKTVITTAAAASKKLQSKKSAATAAAATPKVPKSGLSRKEARGEIDKLQKEILALQTVKEFAKNSYENSRSRYRDTDEQIKELQERVSSLQEELGEGVDIEDDEARRLIAGAALQSCQEALTKLEKKQERSLDETKIESKRVKDVKAKVGSLMDEFHYERNNSKEPGIQSDLKIIAETELDENADDLSRKKKELQLLKENLKENFETSSNSSFSVAEMAEKIDELVNKVISLETAVSSQSAMVKRLRTETDELQEQIRILEKDKENLINDRNTLNDQLRKMEKKMHGVEDLNQIVEDQNSNLQTQLTEAHSNLDHLSEKVQNVQPGEESNTTHLSDEQKDSSTQEEIKCESTAGLVEDDDATDKGLKVAGTVEDDLTSDNKPEVTGSPFANGTGSLENDVKSVNEVEVTSSLKMEETTPVENKFTEDLKEEEKNVNPGKGDEKATTDVSTTTENQEVGQQLASNKLDSCSESSEKQQENDAKQSSCEIENPLKVDSKEQATAQDEPDWQKLLTDCLQMEETTPVENNFPEELKEQEKNVNPGKRDEKATTDVSTTTESQEVEQQLESNKLDSCSESSEKQQENDAKQSPCEIEKSLKVDSNEQATAQDEPDWRKLFTNGMHDREQVLLAEYTNTLRNYKDVKKRLAEIETKNQDSNFNSSVQLKELKTANATKDEQIKILRQKLVLLQRSFEGNEYCAEVTVVMPSEKNGIEELLKIEPESTSPIEDKFRSNVDEILEENLTFWLKFSAYYSEIQKFQTTVKDLQTELSKLQERGKTSEGSSSIKYSIRSDAKPIYKHLTEIQGEITVWMEKGAVLKEELHSRFTSLCDIQEEITAALKSSAEDDDFRFTSYQAAKFQGEILNMKQENNKVADELQAGLDVVSALQLDIEKALVNLNDEHGFSVSKRQQNGQLRQSETRAKVPLRSFIFGSKPKKQSIFSCMAPGTNRKYR >Vigun03g323900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51958662:51960402:-1 gene:Vigun03g323900.v1.2 transcript:Vigun03g323900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFESEVAEGERYRVGYALQPKKVESFLQPSLLDHAKQHAIDLVQIDPSTPLEQQGPFHCIIHKLHTPQWNKHLQQFSATHPDTAIIDSPELVTRLHDRVSMLEAVTRLQISLQNATVGVPNQVLLNEPKSPDFNKIEELGLRFPAIAKPLAADGGGGSHEMCLVFDREGLNALSAPTVLQEFVNHGGVVFKIYVAGRHVKCVKRKSLGDISEERLRSLKGEVLPFSRVSNLGVEDQGGPVEKAEMPPQCVVDELAKALREALGLNLFNVDVIRDSKEPTRYLVIDINYFPGYAKLPSYEPFITDFLLDVVRTMAN >Vigun03g042100.1.v1.2 pep primary_assembly:ASM411807v1:3:3303103:3306675:1 gene:Vigun03g042100.v1.2 transcript:Vigun03g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKESEKVIWEPMRTPSATLIAPTAHHRNIPKLLVILVVFVSVTYVVYTLKLISTSHACHHFLFPTATFSKTVPTVNATSTVTVPAVPSLLRRESRETISDDRTDLRHVVFGIAASAKLWEQRKNYIKLWYRPREMRGVVWLDEKVKLGKDSDALPPVRISGDTERFHYTNKQGHRSAIRISRIVAETLRLGLKDVRWFVMGDDDTVFVTENLIRVLRKYDHNQFYYIGSLSESHLQNIYFSYNMAYGGGGFAISYPLAKALYKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQYDVYGNLFGLLASHPVTPLVSLHHLDVVEPIFPNVTRLQALQRLTIPMKLDSAGLMQQSICYDKLKSWTVSVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYFSNAKYSSAMEQIVSEYERHRVPHPECRWKMDDPAALDKVEVYKKPDPNLWNRAPRRNCCRVRRTKEKGKMVIDVGMCRDGEVSET >Vigun07g218300.1.v1.2 pep primary_assembly:ASM411807v1:7:34051221:34065507:1 gene:Vigun07g218300.v1.2 transcript:Vigun07g218300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEGVGESSSPPRAFSGFGNYDVRNDVFNRLLETGHDQAVSNPEFREQLEAHFNRLPPSYGLDVNIDKAEDVLLHQKLLALARDPDKRPVFHIRFLENISTRTEGEDQQISSTHYRTGSSHATNGVAIPSGKSMVRDIANEFEPCSKLEDLNLDVRKKSKETEEIFLADNFFQRHEHSSIRVHEIIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEETDELYDAMEKAVARSEGSWSRSSNSHSAVEKALATEGRSGDWDIDRRLLKLGEKIASGSSGDLYRGVYLGEDVAVKVLRSEQLNDPLEDEFAQEVAILRQVQHKNVVRFIGACTKCPNFCIVTEYMPGGSLYDYMHKNHNVLELSQLLKFAIDVCKGMEYLHANNIIHRDLKTANLLMDTHNVVKVADFGVARFLNQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFSIVLWELVTAKVPYDNMTPLQAALGVRQGLRPELPNHGHPKLLELMQRCWEAIPIDRPSFNEITVELENLLQEMEKDSEANGA >Vigun10g141000.1.v1.2 pep primary_assembly:ASM411807v1:10:35791327:35793850:1 gene:Vigun10g141000.v1.2 transcript:Vigun10g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKSRAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDVIAQITSASIAGDIVLAAAYAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKDKKELDADVHRKYVFGGHVASYMKSLMEDEPEKYQTHFSEYIKRGIEADGLEELYKKVHAAIRADPTFKKSEKEPPKEHKRYNLKKLTYEERKAKLVARLQALNSAADNDEDDDDDE >Vigun07g013700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1233077:1234875:-1 gene:Vigun07g013700.v1.2 transcript:Vigun07g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECDHHKGKKRKIFRRVFWGIVVFLFLVLVAILLIWAILRPTKPTFTLQDVTVYAFNATVANFLTSNFQITLISRNPNDHIGVYYDRLETYVTYRSQQVTFRTSIPPTYQGHKEVNVWSPFVYGTNIPVAPFNFLGLSQDQAAGNVLVTVKANGRVRWKVGTFISGRYHLYVRCPAFISFGPRSNGVAVGENAMKFQILQRCSVSV >Vigun11g004000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:378237:378974:-1 gene:Vigun11g004000.v1.2 transcript:Vigun11g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISRRLRSTLTSSLLKPCRFSSSSSITSFSQNPSFPIFKPSQNPLSPFIPFSFLSSSKQFSSSSSSESDEARKPTADPCQAQAQAQSPYPSQNPNFKHQEIEGPTVERDLSPLATETRVVLETMMRNMYRLSHALAAMGLVHLVLGAWITYLTKSDPLTEVSVQSLAAFAFPFSVAFMLRRALKPVVFFRKMEEQGRLQILTLTLQTAKQLDALFARARVVSLLCVFGVAVGVGIAVASKFT >Vigun07g045300.2.v1.2 pep primary_assembly:ASM411807v1:7:4572392:4581525:1 gene:Vigun07g045300.v1.2 transcript:Vigun07g045300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERSTLCTWVIFPKASNPRNHFTSLCFEISPAGLDGVVSVFPNKRNELQTTRSWDFVGLSECVKRRSAESDIIVGVIDTGIWPESDSFNDEGFGPPPQKWKGSCNNFPCNNKIIGAKYFRTSHSYGEEDDFISPRDSNGHGTHCASIVGGNPVEFASFFGLASGTARGGVPSARIAVYKPCWSSGYCDDADILQAFVEAIEDGVDIISISIGPTYVQYNDYFNDINAIGAFHAMKKGILTSTSAGNSGPEYYTISKNAPWALSVAATTIDRRILTSVRLGDGTVYQGVSVDIFDPNYESYPLIYAGNAPNIVGGYNSSISRLCQENSLDKNLVKGKIVLCDGLQESSYVGLVSGAAGILYRSLVPKDVAFTYGWSVVHLRHIDGARIHSYISRSSNPTATIFKSHEDEDSEAPYIAQFSSRGPNAITPNILKPDLAAPGVDILAAWSPISPVFNVRGDIGVAEYSIMSGTSMACPHATAAAAYIKSFHPNWSPASIKSALMTTATPMSPLLNPEAEFAYGAGQINPMKALNPGLVYDANESDYVNFLCSQGYDTKNLQTITNDDSSCTQQPNDGMGLDLNLPSFALSMYTSIPFSSTFHRTVTNVGANTSIYKARLNVPSSSLKLKVEPDVMSFSYVGEKKSFTLVIEGTLDVETVSCSLIWDDETFQVRSPIVVFPLFTSKEESEGE >Vigun07g045300.1.v1.2 pep primary_assembly:ASM411807v1:7:4572392:4581525:1 gene:Vigun07g045300.v1.2 transcript:Vigun07g045300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRLWSLLLILKCFLLLAQTYSQDERKIYIVYMGDLPKGFQSTESLHITMLRNITGSKFTPDILLHSYKKILNGFVAKLTEEEAVKMAGLDGVVSVFPNKRNELQTTRSWDFVGLSECVKRRSAESDIIVGVIDTGIWPESDSFNDEGFGPPPQKWKGSCNNFPCNNKIIGAKYFRTSHSYGEEDDFISPRDSNGHGTHCASIVGGNPVEFASFFGLASGTARGGVPSARIAVYKPCWSSGYCDDADILQAFVEAIEDGVDIISISIGPTYVQYNDYFNDINAIGAFHAMKKGILTSTSAGNSGPEYYTISKNAPWALSVAATTIDRRILTSVRLGDGTVYQGVSVDIFDPNYESYPLIYAGNAPNIVGGYNSSISRLCQENSLDKNLVKGKIVLCDGLQESSYVGLVSGAAGILYRSLVPKDVAFTYGWSVVHLRHIDGARIHSYISRSSNPTATIFKSHEDEDSEAPYIAQFSSRGPNAITPNILKPDLAAPGVDILAAWSPISPVFNVRGDIGVAEYSIMSGTSMACPHATAAAAYIKSFHPNWSPASIKSALMTTATPMSPLLNPEAEFAYGAGQINPMKALNPGLVYDANESDYVNFLCSQGYDTKNLQTITNDDSSCTQQPNDGMGLDLNLPSFALSMYTSIPFSSTFHRTVTNVGANTSIYKARLNVPSSSLKLKVEPDVMSFSYVGEKKSFTLVIEGTLDVETVSCSLIWDDETFQVRSPIVVFPLFTSKEESEGE >Vigun09g086150.1.v1.2 pep primary_assembly:ASM411807v1:9:11296748:11298361:1 gene:Vigun09g086150.v1.2 transcript:Vigun09g086150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQIQNAVKFLSHPKVRGSPVIHRRSFLEKKGLTKEEIDEAFRRVPDSAPSVQTAGVNQDEQLKPSSNIHQQSQPQALQTTVPASTGVITSLGTLSHHHFHWSHALIAVGLLATSGAGTVILIKNSVLPWLKSWLRNVLFHHIPFFTFQLPL >Vigun09g036700.1.v1.2 pep primary_assembly:ASM411807v1:9:3242789:3245807:1 gene:Vigun09g036700.v1.2 transcript:Vigun09g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSVADKKVTKNEVVLRISDSEGATNAMTEIKDSESFSVAESSSLSPHHNTQMRNHDEVSTELMTTTKRLIGQSEFSKPKSRMMDPPCPRYAKTVEEKARMTSSFTRISRNRNVPEATIVTPRTPLLGTPPPEEDDDDEEMYKIANIEVTKRSGRKWRVVELFAFAFIMGFFIASLTVAELQKREIWGLKLWKWCVFILVILCGRLVTEWFINVLVFLIERNFLFKKKVLYFVYGVKKSVQGFIWLSLVLVTWDMLFSPGVKITSKVGRILNFIALTLASCLIGAALWLAKTLLIKLLASSFQSTRFFDRVQESIFHQYILKTLSGPPLMEMAEKVGTTSNTDQLSYKTMVNKKEGEKGQVIDVDKLKKMKQEKVSPLTMKGLINVIRSSGLSTISYAQDEDENEQKDNEINSEWEAKAAAYRIFRNVAKPGHKYIEIDDLLRFMKIEEVENLLPLFEGAVETRRIKRKSLKNWLVKVYLGRRSLIHSLNDTNTAVDDLNILASAVVVIVTIVVWLLLTGVLTTKVLVFISSQLLLVGFMFGNTAKTVFEAIIFVFVVHPFDVGDRCVVDGVQMVVEEMNILTTVFLRYDNEKIFYPNSVLSTKPISNFNRSPEMSDSVEFAVDVSTSIESIGALKAKLKVYLESRPQHWHTKHNVLVKDIENVNKMKMAVYVTHTINFQNYGDKSCRRSELVLELKKILEDLNIKYHLLPQEVHVSYGRSQDSTSQAL >Vigun10g138800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35497716:35500354:1 gene:Vigun10g138800.v1.2 transcript:Vigun10g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNIHSPEDKGMTKQNVNTKLKKCRSVYYFIFIPGALALLLSVAAMSKFLSFKSFLGTESSYSNLNLEDPYGNENKVMMITVEIVIQKIEQQMEKLRETKQDPSSSSSSFAFQQASFLADILGLLESAATPHQHNEGNNQQNGSFTTHPLVRKKKRSDEPAEYFLREEIRKYVRIKPNRLGKQNFMGANASFTSIGHACFAMKEELEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCFSRAPKLYNQPFPITESLWKLPDDRNVRWSQYRCKNFACLAGNTTGKGFFKCAHCFNLTSHEKPRWMSLESDSNQTAEFLISDVLAIKPGEIRMGLDFSVGTGTFAARMREFNVTIVSATINFGAPFSEMIALRGLVPLYLTINQRLPFFDNTLDVIHTTRFLDGWIDFVLLEFILYDWDRVLRPGGLLWIDSFFCLKEDLYDYLKAFKMLRYKKLKWVVVPKLDKDDQEMFFSAVLEKPPRPFR >Vigun07g044733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4521780:4523234:1 gene:Vigun07g044733.v1.2 transcript:Vigun07g044733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSCLESGMFIDSDEDVENDQHKDDDEDVENDQHKDDDEDVENDQHKDDGEDVENDQHKDENDGNDSDYSNYSNENHSKRKPSSYSMAWPQSYSSASPLCA >Vigun10g001800.3.v1.2 pep primary_assembly:ASM411807v1:10:193805:199085:-1 gene:Vigun10g001800.v1.2 transcript:Vigun10g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGVLRNIVRPLSLASSRTLTSRMPTNVSMTSFCPVSPSPCKPPQWILPLWNHFHSLTDSLTDTRFPKRRPSDKSRRKRASLRPSGPYAWVQYTPGQPILPNKPNEGSVKRRNEKKRMRQRRAFILAERKKRKAQLQEANRKKNIERVERKMAAVAREREWAERLAELQRLEAQKTI >Vigun10g001800.5.v1.2 pep primary_assembly:ASM411807v1:10:194233:199022:-1 gene:Vigun10g001800.v1.2 transcript:Vigun10g001800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGVLRNIVRPLSLASSRTLTSRMPTNVSMTSFCPVSPSPCKPPQWILPLWNHFHSLTDSLTDTRFPKRRPSDKSRRKRASLRPSGPYAWVQYTPGQPILPNKPNEGSVKRRNEKKRMRQRRAFILAERKKRKAQLQEANRKKNIERVERKMAAVAREREWAERLAELQRLEAQKTI >Vigun10g001800.1.v1.2 pep primary_assembly:ASM411807v1:10:193924:199085:-1 gene:Vigun10g001800.v1.2 transcript:Vigun10g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGVLRNIVRPLSLASSRTLTSRMPTNVSMTSFCPVSPSPCKPPQWILPLWNHFHSLTDSLTDTRFPKRRPSDKSRRKRASLRPSGPYAWVQYTPGQPILPNKPNEGSVKRRNEKKRMRQRRAFILAERKKRKAQLQEANRKKNIERVERKMAAVAREREWAERLAELQRLEAQKTI >Vigun10g001800.4.v1.2 pep primary_assembly:ASM411807v1:10:194233:199022:-1 gene:Vigun10g001800.v1.2 transcript:Vigun10g001800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGVLRNIVRPLSLASSRTLTSRMPTNVSMTSFCPVSPSPCKPPQWILPLWNHFHSLTDSLTDTRFPKRRPSDKSRRKRASLRPSGPYAWVQYTPGQPILPNKPNEGSVKRRNEKKRMRQRRAFILAERKKRKAQLQEANRKKNIERVERKMAAVAREREWAERLAELQRLEAQKTI >VigunL009801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:124571:135611:-1 gene:VigunL009801.v1.2 transcript:VigunL009801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun07g220300.1.v1.2 pep primary_assembly:ASM411807v1:7:34283793:34285596:1 gene:Vigun07g220300.v1.2 transcript:Vigun07g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKFSMIVLFLSFFAFSFDLTQSADFQISQFGGKPNGNIAKALQSAWTKACASTSASKIVIPKGTFQMTHVLLKGPCKAPVELYVDGTIKAPVKPEDMGGDEILRIDYVNGLSITGNGVFDGQGSYAWKQNECSKKANCKLLGMNFAFNFVTNSIVRSITSKDSKHFHVNVLGCKNLTFDGFKVTAPHNSANTDGIHIGRSNGVNVLNTNIATGDDCVSLGDGSRNVLVQNVKCGPGHGIAIGSLGKYKEEEPVDSITIKGCTLKGTDNGVRIKTWPSTPGTITVTNMRFEDITMDNVKNPIIIDQEYCPWNQCTKKYPSKIRISKVIIKNIKGTSATKEGLILACSSGVPCQGVEISNVDLKFNGAPAIAVCSNVKPKISGKVPPCTAPNNKKQ >Vigun04g037100.1.v1.2 pep primary_assembly:ASM411807v1:4:3132824:3133849:1 gene:Vigun04g037100.v1.2 transcript:Vigun04g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPVANSMLFLRIVALAASAASVALLFTNKVKFDDGTKLRFQDFYSFRYEAVVGIIGGAYCILQLPFAIYYAVKQKRLIRNGFLPEFDFYGDKVICVLLGTGVGVGFGVCLEFKKFFDDIFDSVGTPKSDPTRKTYDKFFVRGIVASAILLVACLSMIIVSLISSINRNRSKGIFN >Vigun11g025000.1.v1.2 pep primary_assembly:ASM411807v1:11:3244303:3246559:-1 gene:Vigun11g025000.v1.2 transcript:Vigun11g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNHVIPLLTPYRLGKFNIAHRIVMAPLYRARAYNNVPQPHAILYYSQRATKGGLLIAEANSISPSSKYTPNSPGIWSREQIEAWKPIVDAVHAKGGIFFCQIFHVGRASEEDGEVPVSSTNKPLKSGSGMQPRALETDEIPSIINDFRIAARNAMEAGFDGVEIHGAHGFLIDQFLKDQVNDRRDKYGGSLENRCRFALEVVEAVVEEIGADRVGMRLSPFSDYNECVDSKPQELGLYMAQSLKKHGVVYLHMVEPRWNISGENVESPYTLEPMKKAFNGTFIVAGGYDREEGNKAVAENYTDLVAYGRLFLANPDLPKRFEVDAPLNKYNRDTFYTHDPIVGYTDYPYLEATA >Vigun04g066000.1.v1.2 pep primary_assembly:ASM411807v1:4:7311709:7316960:1 gene:Vigun04g066000.v1.2 transcript:Vigun04g066000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSTNPHSKEIAIRRRISSIFNKREDDFPSLREYNDYLEEVEDMTFNLIEGIDVSAIEEKIAKYQEENAEQIMINRARKAEELAAALAASKGQPAVTDNDAATNQNSQTGFGGIAQGQYAPTFAGGQPRPTGMAPQPLPLGGGDMLGLGGDDEETKKLRDERGARAGGWTVEISRKRAYEEALGSLWVC >Vigun06g080100.2.v1.2 pep primary_assembly:ASM411807v1:6:21193008:21200685:-1 gene:Vigun06g080100.v1.2 transcript:Vigun06g080100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRPCEVPVAAEETENSDICSSSFSKKNRIAAGTADSTVKNDDFTVRSFNNNSSSKSGSTSEGASAMALGDSNPPEIDEDLHSRQLAVYGRETMRRLFGSSVLVSGMQGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDVGKNRATSSVSKLQELNNAVIVQSLTTPLTKEQLSNFQAVVFTDISLEKACEFNDYCRSQQPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHSGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEIHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGMYEKGGIVTQVKQSKVLNFKSLREALSDPGDFLLSDFSKFDRPPLLHLAFQGLDKFISELGRLPVAGSEEDAKKLVSIVSEINDNTTDGKLEDINPKLLRNFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSDPVDPDDFKPVNGRYDAQISVFGRKLQKRLEDAKVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSFNIEALQNRVGTETENVFNDTFWENLNVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTTSMRNAGDAQARDNLERVLECLDSDKCETFEDCITWARLKFEDYFANRLKQLIYTFPEDAATSTGAPFWSAPKRFPHPLQFSSSDLGHLNFVLAASILRAETFGIPIPDWVKNTRKVAEAVDRVIVPDFQPKKGVKIVTDEKATSLSTASIDDAAVINDLIVRLERCRATLPSEFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKIIKHQDMNWTVWDRWIVGDNPTLRELLEWLKAKGLNAYSISCGSCLLYNSMFPKHKERMDKKVADLGRDVAKLDIPSYRRHLDVVVACEDDDDNDIDIPQISIYFR >Vigun06g080100.1.v1.2 pep primary_assembly:ASM411807v1:6:21193008:21200685:-1 gene:Vigun06g080100.v1.2 transcript:Vigun06g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRPCEVPVAAEETENSDICSSSFSKKNRIAAGTADSTVKNDDFTVRSFNNNSSSKSGSTSEGASAMALGDSNPPEIDEDLHSRQLAVYGRETMRRLFGSSVLVSGMQGLGVEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDVGKNRATSSVSKLQELNNAVIVQSLTTPLTKEQLSNFQAVVFTDISLEKACEFNDYCRSQQPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHSGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEIHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGMYEKGGIVTQVKQSKVLNFKSLREALSDPGDFLLSDFSKFDRPPLLHLAFQGLDKFISELGRLPVAGSEEDAKKLVSIVSEINDNTTDGKLEDINPKLLRNFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSDPVDPDDFKPVNGRYDAQISVFGRKLQKRLEDAKVFVVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPSFNIEALQNRVGTETENVFNDTFWENLNVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTTSMRNAGDAQARDNLERVLECLDSDKCETFEDCITWARLKFEDYFANRLKQLIYTFPEDAATSTGAPFWSAPKRFPHPLQFSSSDLGHLNFVLAASILRAETFGIPIPDWVKNTRKVAEAVDRVIVPDFQPKKGVKIVTDEKATSLSTASIDDAAVINDLIVRLERCRATLPSEFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKIIKHQDMNWTVWDRWIVGDNPTLRELLEWLKAKGLNAYSISCGSCLLYNSMFPKHKERMDKKVADLGRDVAKLDIPSYRRHLDVVVACEDDDDNDIDIPQISIYFR >Vigun10g094350.1.v1.2 pep primary_assembly:ASM411807v1:10:27235020:27235612:1 gene:Vigun10g094350.v1.2 transcript:Vigun10g094350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FYGRSATPGCRRRPWGDLVVPTGWRRWGRASLILFPRMIPNEETLGEPPTPTTVHVRSILDLTNCPSYLLYSFNGMFRSSFPLLRKSEPPVQVQDTIITAWTIRHPTRNRNDPIARAELYQLSYIPRAEWSMHEGVNWAILDLNQRPRP >Vigun06g049800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17492818:17493589:1 gene:Vigun06g049800.v1.2 transcript:Vigun06g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKSQSSCRYDSDDDEDWDFSADKGSFGAVSATTMEVKIKISKKQLEELLSKVDVRDLKVEQVLSQLMDHSGGFQALQRPWRPALQSIPED >Vigun01g080600.1.v1.2 pep primary_assembly:ASM411807v1:1:22680966:22682995:-1 gene:Vigun01g080600.v1.2 transcript:Vigun01g080600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLECISVRPSTPNTNASIPTPFHSNSQGNSTEVEESESEEEEEDDEDASTASLNVSTEFLRALQAPSYNEIRSIIQAAPPVHHFQDEDSHHRYVLSQFLQPDSDSVREALAKSKPTSQLTRLVSTYFDHSETTSDFCVRLLLTILRARDLYAPLFRLLSVLPADGPPLSQDQCDCAYDLFLQFDGQENPFVLSHLHQLRDSFSHLKGDIQRDLRRRHSRIRMFRHATAGCAVISVAAIAAVAVVAVHAAIGFTAVAAAPFCVPRQKRRELARLKQLEAAENGTLVVNDINTIDSLVDRLQTAVEADKAYVRFALERGRERHPIQAVLKQLRKNQPVLEHLLGDLEQHIYFCFKSVNKARNALLNEICNHQTL >Vigun01g012000.3.v1.2 pep primary_assembly:ASM411807v1:1:1322671:1325136:-1 gene:Vigun01g012000.v1.2 transcript:Vigun01g012000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAKKEKAKAQQHMPYQGGISFHKSKGQHILKNPLLVDSIVQKSGVKPTDVVLEIGPGTGNLTKKLLEVAKKVIAVEIDPRMVLELQRRFQGTPHSNRLTVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLNHQPVFRAAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARVFHLLKVGRNNFRPPPKVDSSVVRIEPRRPRIEVKQKEWEGFLRICFNRKNKTLGSIFRQKSVISLLEKNYRTVRALQIGQEDSLKETDTKMDFASFGDDQGMEMDDEGADDDEMEVEDGEPDEVQSEFKDKVLGVLKEGDFEEKRSSKLTLQEFLYLLSLFNKAGIHFS >Vigun01g012000.2.v1.2 pep primary_assembly:ASM411807v1:1:1322671:1325056:-1 gene:Vigun01g012000.v1.2 transcript:Vigun01g012000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAKKEKAKAQQHMPYQGGISFHKSKGQHILKNPLLVDSIVQKSGVKPTDVVLEIGPGTGNLTKKLLEVAKKVIAVEIDPRMVLELQRRFQGTPHSNRLTVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLNHQPVFRAAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARVFHLLKVGRNNFRPPPKVDSSVVRIEPRRPRIEVKQKEWEGFLRICFNRKNKTLGSIFRQKSVISLLEKNYRTVRALQIGQEDSLKETDTKMDFASFGDDQGMEMDDEGADDDEMEVEDGEPDEVQSEFKDKVLGVLKEGDFEEKRSSKLTLQEFLYLLSLFNKAGIHFS >Vigun07g050300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5253501:5254678:1 gene:Vigun07g050300.v1.2 transcript:Vigun07g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSSTAVFCVAMLFPFALMAVPISMAGTEPVMELYMHDILGGSNPTARPVTGLLGSIYGGQVPFATPVGFKTPQGAIPIPNANGAIPTVNGVTGIPLGTGLAGTFFAPNNNNNNQNNAQLELGPDGLGLGFGTVTVIDDVLTSQPELGSQLVGKAQGVYVASSADGSRQMMAFTAVFEGGEYGDSLNFYGLYKIGSTMSRLSVIGGTGKFKSARGFAELRSLIPPGQVSTDGAETLLRITVHLN >Vigun10g004300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:391724:392566:-1 gene:Vigun10g004300.v1.2 transcript:Vigun10g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEKLRVLGEGSYGTVYLVIMRLLEEHNSKFLVLALKTSSSYTMLREKKVLELLLGCEGIVQCYFYKYIVERGCLTYNLFMEYAPHGSLGDLIKTELLSEKEVILYTHMILKGLSCMHEKGIVHCDLKPDNILLFPSLDGCAKYKLKIADFGLSKTKEDENTDLGEIKFRGTPYYMSPESVRGFKETSLDIWSLGCIVIEMSTGLREWWNFQTTNQLLLKLALLKEAPKIPDQLSCNCKDFLEKCLRKNPEQRWTAKMLLDHPFIQKEYQMSSPTCNT >Vigun11g161200.2.v1.2 pep primary_assembly:ASM411807v1:11:36866804:36867211:-1 gene:Vigun11g161200.v1.2 transcript:Vigun11g161200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFMLWFSSLTHRTIPYSATLHSTLQSLPMTGPAEETAYIEKLYEYGEQLNNARDRSQVQNLHFNSCFSRFTVLDSDVRACN >Vigun09g194500.1.v1.2 pep primary_assembly:ASM411807v1:9:36911178:36919111:1 gene:Vigun09g194500.v1.2 transcript:Vigun09g194500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAMAIRVFLLLLFFLSSTNIGYSLYEDQVGLMDWHQQYIGKVKHALFHTQKTGRKRVLVSTEENVVASLDLRHGEIFWRHVLGTNDVVDGLDIALGKYVITLSSDGSILRAWNLPDGQMVWETSLQGSKTSKSILYIPKNPKSDKDDLILVFGKGSLHAVSSIDGEVLWRKDFAGESIEVSHIIQSTDKIYVAGFVGSSKFYVYGLNADSGELLKNDHTILPCDTFGELLSVSGDKFVVLDKMRSKILTINIKNGEISYKQTLISDLIKESSGQAVILPSRLPELFALRIDSLVLTIKVTNEDDLVLVDKINNAAAVSDALSISEGQHAFAFIQHEGSNIHLFVKDVNDWNGDLLKESIIIDHQRGNIEKIFINNYVRTDRSYGFRALMVMEDHSLLLVQQGEIVWSREDGLASVVDVTTSELPVEKEGVSVATVEQNLFEWLKGHVLKLKGTLMIASPEDVVAIQAMRLRSSEKSKMTRDHNGFRKLLIVLTRAGKVFALHTGDGRVVWSILLHTLRKTEVCEHPVGLNIYQWQVPHHHALDENPSILVVGRCGPSLAAPAALSFIDAYTGKELNSLRLAHTIAQVIPLPYTDSTEQRLHLIIDIDQHAYLYPRTPEAIDILQREFSNVYWYSVEDDNGIVRGHALKTNCIHKIVDEYCFDFRDLWSIVFPSESEKIIATVTRKSNEVVHTQAKVMTDYDVMYKYVSNNILFVANAAPKATGEIGTATPEEAWLVIYIIDTVTGRILHRMTHHGCQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEVYDQSRADNKDVWKFVLGKHNLTSPISSYYRPEVTTKSQSYFFTHSVKAIEVTLTSKGITSKQLLIGTIGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDSLPIIPQSYITHSLKVEGLRGIVTVPAKLESTSLVFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALVAAIFVTWVLSERKDLQEKWK >Vigun10g105800.1.v1.2 pep primary_assembly:ASM411807v1:10:30370749:30372629:1 gene:Vigun10g105800.v1.2 transcript:Vigun10g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTISHHASTSEVTNLNQVLTSLSSSIICRIALGKRYEEEGTERSMFHRLFSECQVMMSSFFVSEYIPFMGWDDTLRGLHARLERTFKEMDKFYQQVIEEHMADSHKKTPQEQDIVDVLLQLKKNYSFSIDITNDNIKAVLMNILTAATDATSVTVVWAMTLLLKHPRVMKKVQEEIRSLSGKKPFLDEDDVQKLPYLKAVIKEAMRLHPAVPLLLPRETREKVMIDGYEIPAKTIIYVNGWAIHRDPEAWEDAEEFIPERFLNSTVDLRGKDFCFIPFGAGRRICPGLNMAFATFDVILGNLLYSFDWELPEGMKSEDIDTETLPGVTQYKKNPLCVMAKCQM >Vigun06g215400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32662632:32664383:1 gene:Vigun06g215400.v1.2 transcript:Vigun06g215400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYQSYPDSGDSSPRSREIDFDNPPPPWDEQQQQQQNYKVKFMCSYGGKIHPRSHDNQLSYVGGETKILAVDRSIKFGALLAKLSALCEVAPQESNGSSLTFKYQLPGEDLDALISVTNDDDLDHMMHEYDRLYRASARPARMRLFLFLADTLPSPLPASAPLQPVIKPNNVDFLFGLEKGAGVAVAAQPAQIPPAAVKFHDPVPEPVAPPPEYQSRLNLNPADRVSASDPSAIQRQLQQLQIAESEQGAYRRKSEDNYPAGDYYVQKLPEKFPPANLQTNAQGYWSEKHVSGEAYPPGVSAPSGGGDAPVYMIPAPGGFYHAPVVRPPATQGYYAVQRMASDGYREAAMYGGVTPAKPAAQANLAPGQQPVKAPAYTEGFGVMRPSGIVDNTGAPYAQVAYDSAGGRQVYYTAPGGVVHAPPYHGVFPPVTSDLRQTAVTLGQDVKVLNKVTQGSV >Vigun10g115600.1.v1.2 pep primary_assembly:ASM411807v1:10:31979474:31991213:1 gene:Vigun10g115600.v1.2 transcript:Vigun10g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSGIFMGLVFGIALMAGWARMMKYRSAKRIAKAADIKLLASLNREDLKKICGENLPEWISFPVYEQVKWLNKKLTKLWPFVAEAATLVIRESVEPLLEEYRPTGITSLKFSKLSLGNVAPKIEGIRVQSLTKGQIIMDIDFRWGGDPSIILAVEAALVASIPIQLKDLQVFTIIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVNSIVTDMLQWPHRIVVPLGGIPFDISDLELKPQGKLSVTVVKATALKNMEMIGKSDPYVVVYIRPLFKYKTKVVDNNLNPTWNERFELIAEDKETQSLILEVFDKDIGQDKRLGVVQVPLNDLDAQTEKEMELRLLASLDTLKVKDKKDRGTLTIKVLYYQFNKEEQLAALEAEKKILEERKKLKEAGVIGSTMDALDGAVSVVGSGVGLVGAGAGLVGSGVVAGAGLVGSGIGSGFGAVTSGLSKAGKFMGRTITGHSGSKKSGSSTPVNNAQENGGGAKPL >Vigun10g115600.2.v1.2 pep primary_assembly:ASM411807v1:10:31979478:31991176:1 gene:Vigun10g115600.v1.2 transcript:Vigun10g115600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSGIFMGLVFGIALMAGWARMMKYRSAKRIAKAADIKLLASLNREDLKKICGENLPEWISFPVYEQVKWLNKKLTKLWPFVAEAATLVIRESVEPLLEEYRPTGITSLKFSKLSLGNVAPKIEGIRVQSLTKGQIIMDIDFRWGGDPSIILAVEAALVASIPIQLKDLQVFTIIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVNSIVTDMLQWPHRIVVPLGGIPFDISDLELKPQGKLSVTVVKATALKNMEMIGKSDPYVVVYIRPLFKYKTKVVDNNLNPTWNERFELIAEDKETQSLILEVFDKDIGQDKRLGVVQVPLNDLDAQTEKEMELRLLASLDTLKVKDKKDRGTLTIKVLYYQFNKEEQLAALEAEKKILEERKKLKEAGVIGSTMDALDGAVSVVGSGVGLVGAGAGLVGSGVVAGAGLVGSGIGSGFGAVTSGLSKAGKFMGRTITGHSGSKKSGSSTPVNNAQENGGGAKPL >Vigun11g045900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6945260:6946373:1 gene:Vigun11g045900.v1.2 transcript:Vigun11g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNMASLKQVSPLLLPLLLIFISLFKPSHAGGIAVYWGQNGNEGSLADACNTGNYKYVNIAFLSAFGGGQTPQLNLAGHCNPSINNCNVFSDQIKGCQSRGIKVLLSLGGASGSYSLSSADDATQVANYIWNNFLGGQSSSRPLGDAVLDGVDFDIEAGSGNHWDDLARSLKGHNSQLLLAAAPQCPIPDAHLDSAIKTGLFDYVWVQFYNNPPCQYSSGNTNSLISSWNQWTSSQAKQLFLGVPASTAAAGSGFIPANDLISQVLPAIKGSSKYGGVMLWDRFNDVQTQYSDAIKGSV >Vigun07g154000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26516374:26517360:-1 gene:Vigun07g154000.v1.2 transcript:Vigun07g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPACFSQPNTPPSSSTTQAPQNLVTCIYQTQLCNSLTYLTLTWFRTLLSHSLTIYAPHTFSITITLNPSTLSFFRTRPGSKSIYLTRPHKRSHKIKLHWDFSGALFSTRNSAEPESCFYLAICCNGKVEFFLGDLFRILPLQLSTHQTGDQTLVSRREHVFGSTSYVSRGEFLGSKREIEIELCSGEVLRVKMDGQVCLVVKRLAWKFRGNEKIFIDGVEVEFYWDVLSWVVDSENGNGYGVFVFQVGDGGAVWPEMVGPEKKLMKKRLVGPTMTSSIATLSPTNSGVLQWTEESSDGGRSSCSSSTRSCGSSNGGFSLLLYAWRRD >Vigun01g066150.1.v1.2 pep primary_assembly:ASM411807v1:1:17507927:17509270:1 gene:Vigun01g066150.v1.2 transcript:Vigun01g066150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFGNYRASTSTPQQSPVTRSSRRAQEEEPQEEAAAAAPQTQGRKKKRSKPGTAALREIRHFQKSCKLLIPAAPFIRCVSFSATISDLLFSGD >Vigun06g226900.1.v1.2 pep primary_assembly:ASM411807v1:6:33472299:33475146:-1 gene:Vigun06g226900.v1.2 transcript:Vigun06g226900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVLFVLSLLFFSFLMVCSDGQLQVGFYSNTCPQVDSIVRDVVREAALSDTNMAAVLLRLHFHDCFVEGCDGSILIDNGPESERNAFGHQGVRGFDVIEKAKAQLEGSCPGVVSCADIVALAAREAIVLANGPTYEVPTGRRDGLVSNVSLADDMPDVSDSIELLKTKFLNKGLTVKDLVLLSGAHTIGTTACFFMTRRLYNFFPSGEGSDPSISPNFLPQLQATCPQNGDVNVRLAIDEGSEQSFDVHILKNIREGFAVLESDARLNDDIATKNVLDSYFSPFGQTFEPSFEADFVDSFVRMGQIDVKTGFLGEIRTVCSQFN >Vigun04g174600.1.v1.2 pep primary_assembly:ASM411807v1:4:39882654:39888068:1 gene:Vigun04g174600.v1.2 transcript:Vigun04g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRENISESGLVLISVILVTAIFAKIILFKLEKEDKRKCRLPPGRRGWPLIGDSINWYNAVASSHPPQFVEEMVKRYGKIFSCSLFGKWAVVSADPSFNRFVMQNEGRLFISSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLGNFSNNQVILLQDVCRKVAIHLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGYAYHTAMKARENIISKINKIIEEHRQKGAPMEGNGVLGRLLEEESLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEHGSLRSDSGDELLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDVQYQDFVIPKGCFVVPFLSAVHLDEKVYSGALDFNPWRWMEQENEEKRNWRSSSFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQMKEDRMSFFPSARLVNGFEICLTRRQNETD >Vigun04g174600.5.v1.2 pep primary_assembly:ASM411807v1:4:39882590:39888074:1 gene:Vigun04g174600.v1.2 transcript:Vigun04g174600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRYGKIFSCSLFGKWAVVSADPSFNRFVMQNEGRLFISSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLGNFSNNQVILLQDVCRKVAIHLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGYAYHTAMKARENIISKINKIIEEHRQKGAPMEGNGVLGRLLEEESLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEHGSLRSDSGDELLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDVQYQDFVIPKGCFVVPFLSAVHLDEKVYSGALDFNPWRWMEQENEEKRNWRSSSFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQMKEDRMSFFPSARLVNGFEICLTRRQNETD >Vigun04g174600.4.v1.2 pep primary_assembly:ASM411807v1:4:39882590:39888068:1 gene:Vigun04g174600.v1.2 transcript:Vigun04g174600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRENISESGLVLISVILVTAIFAKIILFKLEKEDKRKCRLPPGRRGWPLIGDSINWYNAVASSHPPQFVEEMVKRYGKIFSCSLFGKWAVVSADPSFNRFVMQNEGRLFISSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLGNFSNNQVILLQDVCRKVAIHLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGYAYHTAMKARENIISKINKIIEEHRQKGAPMEGNGVLGRLLEEESLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEHGSLRSDSGDELLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDVQYQDFVIPKGCFVVPFLSAVHLDEKVYSGALDFNPWRWMEQENEEKRNWRSSSFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQMKEDRMSFFPSARLVNGFEICLTRRQNETD >Vigun04g174600.2.v1.2 pep primary_assembly:ASM411807v1:4:39882590:39888068:1 gene:Vigun04g174600.v1.2 transcript:Vigun04g174600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRENISESGLVLISVILVTAIFAKIILFKLEKEDKRKCRLPPGRRGWPLIGDSINWYNAVASSHPPQFVEEMVKRYGKIFSCSLFGKWAVVSADPSFNRFVMQNEGRLFISSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLGNFSNNQVILLQDVCRKVAIHLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGYAYHTAMKARENIISKINKIIEEHRQKGAPMEGNGVLGRLLEEESLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEHGSLRSDSGDELLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDVQYQDFVIPKGCFVVPFLSAVHLDEKVYSGALDFNPWRWMEQENEEKRNWRSSSFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQMKEDRMSFFPSARLVNGFEICLTRRQNETD >Vigun04g174600.3.v1.2 pep primary_assembly:ASM411807v1:4:39882590:39888074:1 gene:Vigun04g174600.v1.2 transcript:Vigun04g174600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRENISESGLVLISVILVTAIFAKIILFKLEKEDKRKCRLPPGRRGWPLIGDSINWYNAVASSHPPQFVEEMVKRYGKIFSCSLFGKWAVVSADPSFNRFVMQNEGRLFISSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLEKLKFHFMNDIQKVMLQTLGNFSNNQVILLQDVCRKVAIHLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSIPINIPGYAYHTAMKARENIISKINKIIEEHRQKGAPMEGNGVLGRLLEEESLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPRAMKQLLDEHGSLRSDSGDELLTWQDYKAMPFTQCVIDETLRLGGIAIWLMREAKEDVQYQDFVIPKGCFVVPFLSAVHLDEKVYSGALDFNPWRWMEQENEEKRNWRSSSFYAPFGGGARFCPGAELARLQIALFLHYFVTTYRWTQMKEDRMSFFPSARLVNGFEICLTRRQNETD >Vigun04g062700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6630809:6635189:-1 gene:Vigun04g062700.v1.2 transcript:Vigun04g062700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSLTLFFFLLHFSAHLQAYTPDEIFTINCGTTGESSDGQRTWTGDADTKYLSPQEATISYKATTQSISADQIPFSTSRLSRSQFNYSFRVSSGTKFVRLFFYPADYPSFPRNQASFTVQSNQFTLLNDFNASLNADAQATDTIFKEYVVNVNHGKRLILTFTPSHPNSYAFINGIEVLSMPTDLYYTPANETGFTFLGSSTLYNIGTSFALQTEHRIKTGSQVEIPPQNDSGLFRNWVNEKDYFIEQNSQLNDVPTDYTYKRITVNPDYVAPKELFRTARNMGTNATFNNMRNLTWEFPVDYGFTYVLRLHFCELDPDINDIGDRQFFIYIASQLAEERADVMKWTQKKKGLAVHRNYAVLIPNNGTQKKFNLLLQMHPYEYHVDSRYSDAFLNGVEIFKISEAGSNNLAGPNPDPSQTPHNSIHGQNGKIRWGSGRVIIGVEVVLLSDVVLISLVVFFVAFLGHKRTTYTKSKDYESKSTTTWNSSLPTDLCRRFSLDEMRAATQNFDEVFIIGTGGFGQVYKGYIDEGSVPIAIKRLKPDSQQGSREFLNEIKMLSQLRHLNVVSLIGYCNDNKEMILVYDFMTRGNLRDHLYNTDNPTISWKQRLQICIGVAHGLHYLHTGAKHTIIHRDVKTTNILLDDKWVAKVSDFGLSRLGPIGTSKTHVSTDVRGSFGYLDPEYYKRYRLTEKSDVYSFGVVLFEILCGRPPLIHSAEREQVSLSNWVRHCYQSGTISEIVEPTLKEEIAAECLKKFCEIGMSCLSENGSMRPAMEDVARMLEFTLGLQESAEHRQNVDFAIPN >VigunL008301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:92030:92389:-1 gene:VigunL008301.v1.2 transcript:VigunL008301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun09g100200.1.v1.2 pep primary_assembly:ASM411807v1:9:16394732:16400075:1 gene:Vigun09g100200.v1.2 transcript:Vigun09g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTTKRTPVGASSADDSASSSSLHHRRSRSLSRPARHSFLSRDDDGGADRRTPKGRFVNTVRGSVFPEISLDDLAIEFFESGNRGRFGGSRTSESEASPAVAGASQRRGRSVSRKSSGAGDDRRSSVGGGGGVRPVADANSRRRRSVSVVRYQISDSESDLDQSQNSRSRSNLKNTDVGNKLMHKPVASDQRPVLRKSLSQRDLRAYDGYSSHSSVLTDDEAAAAHSNKSGIAKQRAVYAQKKVSLTDIDKGLHKAKQKVLRNMEPEQAVVKPRTSTLSAGDHLLSSNSSIRSSYETELEQSEKRKQELLAEIVYEEQRGRELSKIVNELIPAKEDNHIQNPLRTRKRSNDRSRVSMRLTEEAERYIEDFISNVEDTDISSLDGERSDASSSIGGLIKPETVNSLPVTVPRSLPVLMDGVTLPWLQWETGNDASPMTSLNKARMAVTPKTSSSTQENIKVEDQGSISISSRGSWSPDYLQEYVGKDVYSKFGEAYCYTEQSLTGKSKGLRYDMDDYLKVKSNEDLLIESWMQRRRINSGSLLLCSLRLF >Vigun09g100200.2.v1.2 pep primary_assembly:ASM411807v1:9:16394732:16400075:1 gene:Vigun09g100200.v1.2 transcript:Vigun09g100200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTTKRTPVGASSADDSASSSSLHHRRSRSLSRPARHSFLSRDDDGGADRRTPKGRFVNTVRGSVFPEISLDDLAIEFFESGNRGRFGGSRTSESEASPAVAGASQRRGRSVSRKSSGAGDDRRSSVGGGGGVRPVADANSRRRRSVSVVRYQISDSENSRSRSNLKNTDVGNKLMHKPVASDQRPVLRKSLSQRDLRAYDGYSSHSSVLTDDEAAAAHSNKSGIAKQRAVYAQKKVSLTDIDKGLHKAKQKVLRNMEPEQAVVKPRTSTLSAGDHLLSSNSSIRSSYETELEQSEKRKQELLAEIVYEEQRGRELSKIVNELIPAKEDNHIQNPLRTRKRSNDRSRVSMRLTEEAERYIEDFISNVEDTDISSLDGERSDASSSIGGLIKPETVNSLPVTVPRSLPVLMDGVTLPWLQWETGNDASPMTSLNKARMAVTPKTSSSTQENIKVEDQGSISISSRGSWSPDYLQEYVGKDVYSKFGEAYCYTEQSLTGKSKGLRYDMDDYLKVKSNEDLLIESWMQRRRINSGSLLLCSLRLF >Vigun09g001800.1.v1.2 pep primary_assembly:ASM411807v1:9:150673:154171:1 gene:Vigun09g001800.v1.2 transcript:Vigun09g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNGNSDSREVSATRDGSSSITHRRLEAPSTPGRPIFSFNSVGKFSRKSFPSKWDDAEKWLISSHHSPPHTIKLSEPSNIKVHPDSANFQGSASLDHLDTPHASNGISCSTHTVLKDKFTDSTVPIFPNLQYSEATEEGLLFGDPAGEAVKDACKEVVRKDVGTEMTPLGSCTASRCHTPFKSSSPARHNTPASKSGPLSSANHNNKTCTIDLIRLEECHFAKLQVGTQYNSVTSHWSSREEEEEEISKSLRHNASQKADSDCRAATWEQEEKTKSCLRYQREEAKIQAWLDLHSAKAEAQSKKLEVKVQKMRSTLEEKLMKKMAVVHRKAEEWKAAARQQHLEQIKKTAEQAQKIKNQCNPLVLGHNTCGCFPCNNNHH >Vigun09g001800.4.v1.2 pep primary_assembly:ASM411807v1:9:150673:155210:1 gene:Vigun09g001800.v1.2 transcript:Vigun09g001800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNGNSDSREVSATRDGSSSITHRRLEAPSTPGRPIFSFNSVGKFSRKSFPSKWDDAEKWLISSHHSPPHTIKLSEPSNIKVHPDSANFQGSASLDHLDTPHASNGISCSTHTVLKDKFTDSTVPIFPNLQYSEATEEGLLFGDPAGEAVKDACKEVVRKDVGTEMTPLGSCTASRCHTPFKSSSPARHNTPARTQYNSVTSHWSSREEEEEEISKSLRHNASQKADSDCRAATWEQEEKTKSCLRYQREEAKIQAWLDLHSAKAEAQSKKLEVKVQKMRSTLEEKLMKKMAVVHRKAEEWKAAARQQHLEQIKKTAEQAQKIKNQ >Vigun09g001800.2.v1.2 pep primary_assembly:ASM411807v1:9:150671:155706:1 gene:Vigun09g001800.v1.2 transcript:Vigun09g001800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNGNSDSREVSATRDGSSSITHRRLEAPSTPGRPIFSFNSVGKFSRKSFPSKWDDAEKWLISSHHSPPHTIKLSEPSNIKVHPDSANFQGSASLDHLDTPHASNGISCSTHTVLKDKFTDSTVPIFPNLQYSEATEEGLLFGDPAGEAVKDACKEVVRKDVGTEMTPLGSCTASRCHTPFKSSSPARHNTPASKSGPLSSANHNNKTCTIDLIRLEECHFAKLQVGTQYNSVTSHWSSREEEEEEISKSLRHNASQKADSDCRAATWEQEEKTKSCLRYQREEAKIQAWLDLHSAKAEAQSKKLEVKVQKMRSTLEEKLMKKMAVVHRKAEEWKAAARQQHLEQIKKTAEQAQKIKNQ >Vigun09g001800.3.v1.2 pep primary_assembly:ASM411807v1:9:150673:154171:1 gene:Vigun09g001800.v1.2 transcript:Vigun09g001800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNGNSDSREVSATRDGSSSITHRRLEAPSTPGRPIFSFNSVGKFSRKSFPSKWDDAEKWLISSHHSPPHTIKLSEPSNIKVHPDSANFQGSASLDHLDTPHASNGISCSTHTVLKDKFTDSTVPIFPNLQYSEATEEGLLFGDPAGEAVKDACKEVVRKDVGTEMTPLGSCTASRCHTPFKSSSPARHNTPARTQYNSVTSHWSSREEEEEEISKSLRHNASQKADSDCRAATWEQEEKTKSCLRYQREEAKIQAWLDLHSAKAEAQSKKLEVKVQKMRSTLEEKLMKKMAVVHRKAEEWKAAARQQHLEQIKKTAEQAQKIKNQCNPLVLGHNTCGCFPCNNNHH >Vigun04g151950.1.v1.2 pep primary_assembly:ASM411807v1:4:36858213:36867081:-1 gene:Vigun04g151950.v1.2 transcript:Vigun04g151950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYSRKGDSGFSSSSTAEEVTLGIDATGLTAIVTGASSGIGAETARVLALRGVHVIMGVIEMIDAYNVKESILKQIPTAKVDVMKLDLSSMASVHHFADEFNSTSLPLNILINNAGICAPPFMLSEDNIELQFAINYLGHFLLTNLLLDNMKKTTRESKIQGRIINVSSMGHRFTYPQGILFDKINDPSSYSSWRAYGQSKLANILHVNELARRLKEDGVDITANSLHPGATFTNIYLQSPLLTGAWNLIGPFVIHLVAGFFVKNVQQGASTTCYLALHPEVSGISGSYFVDNNVSETSSHGRDMDLAKKLWDFSVNLTNQNSRIREKMWWLGRKGPSGFSASSTAEEVTQGIDATDLTAIVTGASSGIGAETTRVLALRGVHIVMGIRNLAAGGEIKETIQRDNPSAKIDMMELDLSSMESVRKFAAQFKSCGLPLNILVNNAGIMATPFRLSKDNIELQFATNHVGHFLLTNLLLETMKQTAIEQRKEGRIVNVSSRRHKLSYPEGIRFDKINDESGYNSFSAYGQSKLANVLHANELARRLKEEGTNITANSISPGPIATSLFRHHSLIDVFVGLLGKYVMKNIQQGAATTCYVALHPQLKGLSGRYFADSNLAEASSEAVDPELGRKLWEHSSNLVKS >Vigun05g233000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42697646:42698654:1 gene:Vigun05g233000.v1.2 transcript:Vigun05g233000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQHAESNTHLSAYSHLVIPTNATMTKDCGHHNEERQKLLRRVFAAVLGFIFLILLVIFLIWIILRPTKPRFILQDATVYAFNLSSSGAIPSPTAPTPNTLTLTMQVTLSAFNPNHRIGVYYTKLDAYASYRGQQVSLATALPPTYQGHRDTAVWSPFLYGTAVPVSPFMLQILQQDKTSGGVLVNVKVNGRVKWKVGTWVSGRYHINVNCPAYIRLAGDDDDAIGVAAPAVKFQLFQSCIVDV >VigunL059202.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000635.1:36906:37490:-1 gene:VigunL059202.v1.2 transcript:VigunL059202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVIVVVEVVAAVVEVVAAVVVIVVVEVVGAVVVAMVVVLVVVVVVVVVMVVSVMVVMFLVVVVVVMLVVVVVVVLVVVVVALVEVVVVAVVVVVVIAVVVVVVVAVVVVSVLVVLVLLVVMVVVVVVVVVEVVALVVVMVVVVAVVVFVVVVVVVIMVVVVMMVVIVGAVVVVEMMGGGGRGCSGGGGWLW >Vigun03g066700.1.v1.2 pep primary_assembly:ASM411807v1:3:5506543:5509377:1 gene:Vigun03g066700.v1.2 transcript:Vigun03g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDFYRLAVSSHFSNFPKSFSLQKKVPWWYQILNPQSKFVAQWNRTFLYVCIAALFLDPLYFYFPITGDKACMQTDLVLGVFVTFSRTIADLFFLFHMVLKFRTAYIAPTSRVYGRKELVTDPRDIASRYLKHDFIVDLLATLPLPQIVVWFVIPAVKDSTVAHVNHTLSLIVLLQFIPRLFQIFPLQRRILKTSGLIAKTALAGALYNLGSYMLASHVLGATWYVASIQRQYECWIITCKHEMNRTHSPSCTPSFLDCATLDHRERQAWFKRTRVLSNCDVLNNKNEFQFGLFADAFTDHVSSSRFIQKYFYCLWWGLKNLSSYGQNLQTSTYSGETLFSSFICIAGLILFAHLIGNMQNYLQSTTARIEEWRLRQKDTEEWMNHRQLPPELQQRVRRFVQYKWLATRGVDEEAILCALPLDLRRQIQRHLCLDIVRRVPFFGQMDDQLLDAICERLVSSLNTKDSYIVREGDPVREMLFIIRGQVESSTTDGGRVGFYNSITLRPGDFCGEELLTWALMPSSNLNLPSSTRTVKSITEVEAFALRAEDLKFVANQFKRLHSKKLQHAFRYYSHHWRAWGAHFIQAAWRRHRKRKLAMELLGKESLYYSNVVEIVEEEEGVDGDGGDGGGAGESSSGPSVGFQNLGATVLASKFAANTRKGKIKKVKINLPDSDSLKMPKMFKPTEPDFSTFHD >Vigun04g097500.1.v1.2 pep primary_assembly:ASM411807v1:4:21005636:21007524:-1 gene:Vigun04g097500.v1.2 transcript:Vigun04g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPPSTGLFVGLNKGHIVTKKELPPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRLFYVLLSTLYSIPYIFY >Vigun04g097500.2.v1.2 pep primary_assembly:ASM411807v1:4:21005602:21007524:-1 gene:Vigun04g097500.v1.2 transcript:Vigun04g097500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPPSTGLFVGLNKGHIVTKKELPPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGAGDKKK >Vigun04g097500.3.v1.2 pep primary_assembly:ASM411807v1:4:21005636:21007524:-1 gene:Vigun04g097500.v1.2 transcript:Vigun04g097500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPPSTGLFVGLNKGHIVTKKELPPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGAGDKKK >Vigun03g137800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13539063:13542430:1 gene:Vigun03g137800.v1.2 transcript:Vigun03g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYGRLSRRSCCYASASLTRTYCTSGLHQHNKYISNLIRNGRLREARALFDSMKHRNAVTWNSMISGYVHRREIVKARQLFDEMPQRDIVSWNLIVSGYFSCRGSRFIEEGRKLFELMPQRDCVSWNTVISGYAKNGRMDQALKLFNAMPERNVVSSNAVITGFLLNGDVDLAVGFFKTMPEHDSASLSALISGLVGNGELDMAAGILHEFGGDDGGKDNLVHAYNTLIAGYGQRGHVEEARRLFDEIPDDRGGGEKDQRRFRRNVVSWNSMMMSYVKAGDIVSARELFDRMVKRDTCSWNTLISGYVQISNMDEASKLFREMPSPDVLSWNSIVCGFAQNGNLNLARYFFEKMPHKNLISWNTMIAGYVKNEDYKGAVRLFSQMQLEGERPDKHTLSSVISVCTGLVDLYLGKQIHQLVTKIVLPDSPINNSLITMYSRCGSILDACTVFNERKLYKDVITWNAMIGGYASHGLAAEALELFKLMKRLKIHPTYITFISVLNACAHAGLVEEGRIQFKSMVTDYGIEPRVEHFASLVDILGRQGQLKEAMDVINTMPFKPDKAVWGALLSACRVHNNVELAIVAADALIRLEPESSAPYVLLYNMYANLRQWEDAERVRVLMEEKNVKKQAGYSWVD >Vigun07g146600.2.v1.2 pep primary_assembly:ASM411807v1:7:25770258:25773777:-1 gene:Vigun07g146600.v1.2 transcript:Vigun07g146600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDIFRQLINSNFSSSSSSTATVTVTTTATTVISPMNSHFPALSSTDILRLIFQNLPIPDLARASCVCRLWNSVASQREMLTRAFVAPWKLNDVIGDPLSGSFWRDNSLAKFAISHHIWRGDTVASLAVKYSVQVMGIKRLNNMISDHGIYSRERLLIPISNPDILINRTCFIELDVYAKREVAVLYPNDVPDTRTTYVSNRISSEESNKRVVDSLKRSMHVDNETAQYYWSVSNGDPRAAFAEFSADLNWDRQAGHS >Vigun07g146600.3.v1.2 pep primary_assembly:ASM411807v1:7:25770258:25773777:-1 gene:Vigun07g146600.v1.2 transcript:Vigun07g146600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDIFRQLINSNFSSSSSSTATVTVTTTATTVISPMNSHFPALSSTDILRLIFQNLPIPDLARASCVCRLWNSVASQREMLTRAFVAPWKLNDVIGDPLSGSFWRDNSLAKFAISHHIWRGDTVASLAVKYSVQVMGIKRLNNMISDHGIYSRERLLIPISNPDILINRTCFIELDVYAKREVAVLYPNDVPDTRTTYVSNRISSEESNKRVVDSLKRSMHVDNETAQYYWSVSNGDPRAAFAEFSADLNWDRQAGHS >Vigun07g146600.5.v1.2 pep primary_assembly:ASM411807v1:7:25770792:25773777:-1 gene:Vigun07g146600.v1.2 transcript:Vigun07g146600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDIFRQLINSNFSSSSSSTATVTVTTTATTVISPMNSHFPALSSTDILRLIFQNLPIPDLARASCVCRLWNSVASQREMLTRAFVAPWKLNDVIGDPLSGSFWRDNSLAKFAISHHIWRGDTVASLAVKYSVQVMGIKRLNNMISDHGIYSRERLLIPISNPDILINRTCFIELDVYAKREVAVLYPNDVPDTRTTYVSNRISSEESNKRVVDSLKRSMHVDNETAQYYWSVSNGDPRAAFAEFSADLNWDRQAGHS >Vigun07g146600.6.v1.2 pep primary_assembly:ASM411807v1:7:25770798:25773777:-1 gene:Vigun07g146600.v1.2 transcript:Vigun07g146600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDIFRQLINSNFSSSSSSTATVTVTTTATTVISPMNSHFPALSSTDILRLIFQNLPIPDLARASCVCRLWNSVASQREMLTRAFVAPWKLNDVIGDPLSGSFWRDNSLAKFAISHHIWRGDTVASLAVKYSVQVMGIKRLNNMISDHGIYSRERLLIPISNPDILINRTCFIELDVYAKREVAVLYPNDVPDTRTTYVSNRISSEESNKRVVDSLKRSMHVDNETAQYYWSVSNGDPRAAFAEFSADLNWDRQAGHS >Vigun07g146600.4.v1.2 pep primary_assembly:ASM411807v1:7:25770258:25773777:-1 gene:Vigun07g146600.v1.2 transcript:Vigun07g146600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEDDGDIFRQLINSNFSSSSSSTATVTVTTTATTVISPMNSHFPALSSTDILRLIFQNLPIPDLARASCVCRLWNSVASQREMLTRAFVAPWKLNDVIGDPLSGSFWRDNSLAKFAISHHIWRGDTVASLAVKYSVQVMGIKRLNNMISDHGIYSRERLLIPISNPDILINRTCFIELDVYAKREVAVLYPNDVPDTRTTYVSNRISSEESNKRVVDSLKRSMHVDNETAQYYWSVSNGDPRAAFAEFSADLNWDRQAGHS >Vigun01g254500.3.v1.2 pep primary_assembly:ASM411807v1:1:41958803:41964964:-1 gene:Vigun01g254500.v1.2 transcript:Vigun01g254500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADLAANSASENAGTSNSTAPTRPVYVPPHLRNRAASASTESTPAPPPPNANANNNAGSRWGAPRNGWGSRSGGWDRREVNPFGDQEDAAEEGATAFGEEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQGQPPQRPPRGARTVYPLALVLSPTRELSVQILEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCRNGFPATTIHGDRSQQERELALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNASLARPLADLMQEANQEVPDWLSRYAARSSIGGGRNRRTGGNRFGGRDFRREGSFSRGGGSDYYSTGNNSGGYGNSGGYGGGYGPGVTSAWD >Vigun01g254500.5.v1.2 pep primary_assembly:ASM411807v1:1:41958803:41964633:-1 gene:Vigun01g254500.v1.2 transcript:Vigun01g254500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADLAANSASENAGTSNSTAPTRPVYVPPHLRNRAASASTESTPAPPPPNANANNNAGSRWGAPRNGWGSRSGGWDRREVNPFGDQEDAAEEGATAFGEEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQGQPPQRPPRGARTVYPLALVLSPTRELSVQILEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCRNGFPATTIHGDRSQQERELALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNASLARPLADLMQEANQEVPDWLSRYAARSSIGGGRNRRTGGNRFGGRDFRREGSFSRGGGSDYYSTGNNSGGYGNSGGYGGGYGPGVTSAWD >Vigun01g254500.1.v1.2 pep primary_assembly:ASM411807v1:1:41958787:41964964:-1 gene:Vigun01g254500.v1.2 transcript:Vigun01g254500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADLAANSASENAGTSNSTAPTRPVYVPPHLRNRAASASTESTPAPPPPNANANNNAGSRWGAPRNGWGSRSGGWDRREVNPFGDQEDAAEEGATAFGEEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQGQPPQRPPRGARTVYPLALVLSPTRELSVQILEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCRNGFPATTIHGDRSQQERELALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNASLARPLADLMQEANQEVPDWLSRYAARSSIGGGRNRRTGGNRFGGRDFRREGSFSRGGGSDYYSTGNNSGGYGNSGGYGGGYGPGVTSAWD >Vigun01g254500.2.v1.2 pep primary_assembly:ASM411807v1:1:41958787:41964964:-1 gene:Vigun01g254500.v1.2 transcript:Vigun01g254500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADLAANSASENAGTSNSTAPTRPVYVPPHLRNRAASASTESTPAPPPPNANANNNAGSRWGAPRNGWGSRSGGWDRREVNPFGDQEDAAEEGATAFGEEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQGQPPQRPPRGARTVYPLALVLSPTRELSVQILEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCRNGFPATTIHGDRSQQERELALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNASLARPLADLMQEANQEVPDWLSRYAARSSIGGGRNRRTGGNRFGGRDFRREGSFSRGGGSDYYSTGNNSGGYGNSGGYGGGYGPGVTSAWD >Vigun01g254500.4.v1.2 pep primary_assembly:ASM411807v1:1:41958803:41964964:-1 gene:Vigun01g254500.v1.2 transcript:Vigun01g254500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADLAANSASENAGTSNSTAPTRPVYVPPHLRNRAASASTESTPAPPPPNANANNNAGSRWGAPRNGWGSRSGGWDRREVNPFGDQEDAAEEGATAFGEEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGQGQPPQRPPRGARTVYPLALVLSPTRELSVQILEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCRNGFPATTIHGDRSQQERELALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNDNNASLARPLADLMQEANQEVPDWLSRYAARSSIGGGRNRRTGGNRFGGRDFRREGSFSRGGGSDYYSTGNNSGGYGNSGGYGGGYGPGVTSAWD >Vigun05g024300.1.v1.2 pep primary_assembly:ASM411807v1:5:2001378:2005612:1 gene:Vigun05g024300.v1.2 transcript:Vigun05g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRGTALLFFTLFFFWSSADALLSPKGVNFEVQALMSIKRSLEDPHGVLDNWDGDAVDPCSWTMVTCSSDNLVVGLGTPSQSLSGTLSPSIGNLTNLQIVLLQNNNISGPIPSELGKLPKLQTLDLSNNFFRGEIPQSLGHLRSLQYLRLNNNTLVGEFPESLANMTQLNFLDLSYNNLSGPVPRILAKSFSIVGNPIVCATGKEPNCHGMTLMPMSMNLNNTEHALQSGRPKSHKMAIAFGLSLGCLCLIVIGFGGVIWWRHKHNQQAFFDVKDRHHEEVYLGNLKRFQFRELQISTNNFSSKNILGKGGFGNVYKGVLSDGTLVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKHIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKSANNKGAMLDWVKKIHQEKKLEMLVDKDLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRVDTTKFKPQESSSSDRYSDLTDDSLLLVQAMELSGPR >Vigun05g134000.1.v1.2 pep primary_assembly:ASM411807v1:5:15786187:15787517:1 gene:Vigun05g134000.v1.2 transcript:Vigun05g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRYLMDGRNEDSNSAKRDHKSRQKKPTLNIFCCFRCEKSGTNNVVKDDNVINYSESSSNLIRNSSNPTHVSNSLDNHPTTSQLNKKECLSRQSSYAKELEEEVLRSGR >Vigun03g119700.1.v1.2 pep primary_assembly:ASM411807v1:3:11145072:11147194:-1 gene:Vigun03g119700.v1.2 transcript:Vigun03g119700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEEKEASSSTPNRKVSCTASFDALWFCYSPVHQMQQYYRLGVLDNCSRQWKAMVDCLMLKTKPASEVQEILETEEKSKSKSHIWTFRTPEEASYYWKELYGHLDDEPE >Vigun01g219350.1.v1.2 pep primary_assembly:ASM411807v1:1:39325833:39330481:-1 gene:Vigun01g219350.v1.2 transcript:Vigun01g219350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTEVGVSQFLQGTSRQTLFLKKKPQKHRSHMLWGTLWNRNWALGSTRRALPLSCQAHENPRAVQPGLVEKPASEVVHLFRVPFMQKSAAAELLKDTQVKISSQIVEIQTEQCYNVGLILKWLLQETFEPENLGNESFLEKKRKEGLSPVIVEVGPRLSFTTAWSTNAVAICHACGLTEVTRLERSRRYLLFTTSELQDHQINEFASMVHDRMTECVYSQKLTSFETSIVPEEIRYIPDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQLMEKTLMQIVKSTLQANPNNSVIGFKDNSKIAVHELDILFTAETHNFPCAVAPYPGAETGAGATAGYCVGNLNTSGFYAPWEDPSFTYPSNLAPPLQILIDSSNGASDYGNKFGEPLIQGFCRTFGMRLPSGERREWLKPIMFSAGIGQIDHLHITKGEPDIGMLVVKIGGPAYRIGMGDGSKTISSCSGCIEMGDKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVEYQEQDAILVKPESRDLLESICSREKVSMAVIGTISGDGRVVLVDSLATQQFDLELEKVLGDMPKNLLNFSRVVYERSHLILHLDYSDRFFEEGVEFTICLFKRFLTTKVDRCVTDSLADVAVTAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKGHFLSDVKASGNWMYAAKLDGEGADMYDAAISLSEAMIELGIAIDGGKDSLSMAARSDGEVVKAPGNLVISVYVTCPDITKTVTPDLKLRMKRRLGGSALAQAFDQVGDECPDLDDVPYLKKVFEGVQDLLTDELISAGHDISDGGLLVCALEMAFAGNCGLNLNLASQGNSLFQTLYAEELGLVLEVSKKNLTLIFLTEKTTILRDMWEETSFQLEKFQRLASLAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNRKISLQEFRGIVFVGWSACIRFNEHVLQQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPVIGVFIHNASGRFECRFTSVTILPSPAMMFKGMAGSTMGIWAAHGEGKAYFPDEGSYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWDVEKNGPSPWLRMFQNAREWCS >Vigun11g210000.1.v1.2 pep primary_assembly:ASM411807v1:11:40543472:40545647:1 gene:Vigun11g210000.v1.2 transcript:Vigun11g210000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAIVTSRFHESITRHALRSYLSEFISTFFYVFLVVGSGMSSRKLMPDASLNPTSLVVVSIASAFALSCVLYNAWEISGGHVNPAVTFAMAVGGHVSVPTALFYWVAQLIASVMACLVLRGIVVGMHVPTYTIAEEMTGFGASVLEGILTFVLVYTVYAARDPRRVQLGSIGILVVGFVAGAGVLAAGPFSGGSMNPACAFGSATIAGSFRNQAVYWVGPLIGATIAGLLYDNVLFRSLPPAALSEGIGV >Vigun04g173250.1.v1.2 pep primary_assembly:ASM411807v1:4:39750783:39751841:1 gene:Vigun04g173250.v1.2 transcript:Vigun04g173250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQLPIPAMRLEGKVALITGGARGIGECMARLFSKHGAKVVIADIQDELGQAVQADIGTESASYIHCNVSKEKDVENAVNMAVSKYGKLDIMVNNAATIDDAKDIILDNDVAEFERVVRVNLIGPFLGTKHAARVMIPEKKGSIISIGSVSSSVGGIATHAYTSCKHAIVGLAKNGAAELGKFSIRVNCVSPYFISNESGKGFFKLDDDGSSKVYSNLGGVALTEEDVAHAALYLASDESKYISGHNLAVDGGFTTINPIFGLFSRSSL >Vigun09g245200.2.v1.2 pep primary_assembly:ASM411807v1:9:41388571:41390137:1 gene:Vigun09g245200.v1.2 transcript:Vigun09g245200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGIHDADSRNADRKRHFLFWVLALVILVALWTVFAGSVTLKWSTTNNDDFDSNIFQDLDVLEVEEREKVVRQMWDVYSRTRTNYVGLPKFWWEAFEAAYEELVSDVREVRDGAVSEIAKMSLLRSLPFQSHRA >Vigun09g245200.3.v1.2 pep primary_assembly:ASM411807v1:9:41388570:41390137:1 gene:Vigun09g245200.v1.2 transcript:Vigun09g245200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGIHDADSRNADRKRHFLFWVLALVILVALWTVFAGSVTLKWSTTNNDDFDSNIFQDLDVLEVEEREKVVRQMWDVYSRTRTNYVGLPKFWWEAFEAAYEELVSDVREVRDGAVSEIAKMSLLRSLPFQSHRLIKCKWVNF >Vigun09g245200.1.v1.2 pep primary_assembly:ASM411807v1:9:41388571:41390137:1 gene:Vigun09g245200.v1.2 transcript:Vigun09g245200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGIHDADSRNADRKRHFLFWVLALVILVALWTVFAGSVTLKWSTTNNDDFDSNIFQDLDVLEVEEREKVVRQMWDVYSRTRTNYVGLPKFWWEAFEAAYEELVSDVREVRDGAVSEIAKMSLLRSLPFQSHRNSE >Vigun01g203900.2.v1.2 pep primary_assembly:ASM411807v1:1:37989798:37995819:1 gene:Vigun01g203900.v1.2 transcript:Vigun01g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCLVPFNGKSGVDLEFLEPLDEGLGHSFCYVRPSIFESPAITPSNSERFTVDSSTLDSETLSGSFRHETIEERPGKNVPETTFKTISGASVSANVSTARTGNQNALLASDVLEPAASFEGTSSFAAIPLQPVPRGSGPLNGFMSGPLERFASGPLDKGGGFMSGPIEKGVMSGPLDATDKSNFSAPLARGRRRPHLQRLMRSVSGPMRNTFSRTFSKHSMGVSWVQRLFLHPVSQLAWNSKEAKFRSEVSRNCTEVGSSELEYKHTQNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKFIDKELEGLLWDYEDNPVDPLKPDVLNNGNDVVALECGREEMSDAHNNISNEERSCCTETSCPVMVKDQPSNSEIVEVNAEIKVNVEQKNCGSPSVDHPVPVSVPIGQLSGQCRRSVRLYELLQMESWNEQVSEQGKDSIVPAELKQERQLRFCSSDGKEDRSGHQDECPTTSGENGRPGFSSTNLEPIAPFSVSGQRQNTRKSYIGTKIRKMYRKQKSLRKKLFPWSYDWHREETCFDKKLVESAGPIRICKSGVDHNAVLRAMALALERTEEAYLKMVENSMDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPCLVKDDMRHRNRSRESLVGMELDRISEESPVHNINKHVNKINKNREISMCRLKMRAVQLSTDHSTSIEEEVFRIRAEHPDDNQAIFNDRVKGQLKVTRAFGAGFLKRPCFNEPLLKMFRVDYVGNGPYLSCASSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >Vigun01g203900.1.v1.2 pep primary_assembly:ASM411807v1:1:37989798:37995819:1 gene:Vigun01g203900.v1.2 transcript:Vigun01g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCLVPFNGKSGVDLEFLEPLDEGLGHSFCYVRPSIFESPAITPSNSERFTVDSSTLDSETLSGSFRHETIEERPGKNVPETTFKTISGASVSANVSTARTGNQNALLASDVLEPAASFEGTSSFAAIPLQPVPRGSGPLNGFMSGPLERFASGPLDKGGGFMSGPIEKGVMSGPLDATDKSNFSAPLARGRRRPHLQRLMRSVSGPMRNTFSRTFSKHSMGVSWVQRLFLHPVSQLAWNSKEAKFRSEVSRNCTEVGSSELEYKHTQNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKFIDKELEGLLWDYEDNPVDPLKPDVLNNGNDVVALECGREEMSDAHNNISNEERSCCTETSCPVMVKDQPSNSEIVEVNAEIKVNVEQKNCGSPSVDHPVPVSVPIGQLSGQCRRSVRLYELLQMESWNEQVSEQGKDSIVPAELKQERQLRFCSSDGKEDRSGHQDECPTTSGENGRPGFSSTNLEPIAPFSVSGQRQNTRKSYIGTKIRKMYRKQKSLRKKLFPWSYDWHREETCFDKKLVESAGPIRICKSGVDHNAVLRAMALALERTEEAYLKMVENSMDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPCLVKDDMRHRNRSRESLVGMELDRISEESPVHNINKHVNKINKNREISMCRLKMRAVQLSTDHSTSIEEEVFRIRAEHPDDNQAIFNDRVKGQLKVTRAFGAGFLKRPCFNEPLLKMFRVDYVGNGPYLSCASSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >Vigun03g382600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58739029:58741331:1 gene:Vigun03g382600.v1.2 transcript:Vigun03g382600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPANGSDILLQTREWFPPARALVALSAFRQTRRALAANKHSTPDDAYAAESIGDDPLAASSGQVIVGVESRYRVVYRLVNGIYVLGITVADHDNSVNVFECIHIVNQAVSVVVTACRGVDVTPEKLSRKYAEIYMALDIVLRGVSNIRFAAMLATMHGESIAKMVHSAIDTENKIRGADTWLAAEVHSLEHQACIDALSTVSFELPPETLEAGEEVAASLAPAQPETQEEPQQKPEEPQVEDPFAASDAINKPQELVDGFKKTKDPATDLTSALEGLDVTTLPPPEATQSTQINVEGFEGNYGGVEFGHEQASIGEAFEGFNDAWGGGLDPSEFVGTTKPPKPQGLGGVELLQTGPDAAPKAAAESGSGTPLENLLVKKTEMKGPEMYISEVISAEFRESLLARVGLMGVVYLRTLPPKTAGDKETEFSFRIDGTSAVKRFVIQSSRVSSLGNGLFHVRTAASEEPIPIIKYSLVPRLTPLPLRVRLTKRHTGSLLSVMIQYASNPDLLVPLHDVTFTLKIPIDPTLLKVSPKAVLNRTEREIKWHVPEIPLKGSPGRLRVRMPVDSSEDDEEIEVVGYVKFSEQVTQSLSGVSIRPASEGKTDFYEVSDRLESGVYMCD >Vigun05g030600.1.v1.2 pep primary_assembly:ASM411807v1:5:2428842:2434380:1 gene:Vigun05g030600.v1.2 transcript:Vigun05g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFGQTEINWDKLDKTRFYVVGAGLFTGVSVALYPISVVKTRLQVAAKDAVERSVFSVVKGLLKRDGVPGLYRGFGTVITGAIPARIIFLSTLETTKVAAFRMLEPFRLSETTKAAIANGVAGMTSSIFAQSVFVPIDVVSQKLMVQGYSGHAQYSGGFDVARKVLRSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRFLDHDTEYDEHTPSLQKIMLVQATGGIIAGASSSCITTPLDTIKTRLQVMGHENRISIKQVAKDLMNEDGWKGFYRGFGPRFFSMSAWGTSMILTYEYLKRVCAKDE >Vigun03g119000.1.v1.2 pep primary_assembly:ASM411807v1:3:11085727:11086300:1 gene:Vigun03g119000.v1.2 transcript:Vigun03g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKIAEAECYKPSAHFKGPCFQSDNCNGQCTSEGHPGGECQGLFPRRCMCIC >Vigun09g265600.1.v1.2 pep primary_assembly:ASM411807v1:9:42974886:42977615:1 gene:Vigun09g265600.v1.2 transcript:Vigun09g265600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCANTSGNLAGDMTVWERQRARMKWQEEQGYFSAFDALFSSSSSSSLHLQDSFLHPSDSGCALGEVVAQAQAQPRSMNKPSVHGFDASSSILRTFSCPPALVEPEPEPEPRPTGSSIGKESSKKRKPEKLHNAKFVTENDMKDKRIKVGADDEQSKITGSPKSHSNKRETCADTSNSKQNSKASEVQNQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDLSIDDLFEKEVFPCAANFPNIGMSSDLTNSGYVQFNSPQQMVSYGGLDTVINPPYMGLKRNVSVPETYLHSSSFTQLLPSSTWEGDFQNLCNLDFDQVRATSFPSHLSSGLVEAGNHLKMEM >Vigun03g371700.3.v1.2 pep primary_assembly:ASM411807v1:3:57452783:57456184:1 gene:Vigun03g371700.v1.2 transcript:Vigun03g371700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGYQEKGPKILLTNIALSSMIRKRWNFLKVEPFGYPSLPLFLEAYHGVLKFLALQHGLFQLKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPTLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARRRKNVDLIRTYHGFKGDKQGTLEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVNDNIDGYYPSSHFPIFTEFMLPRTVRMLESPVQEDT >Vigun03g371700.2.v1.2 pep primary_assembly:ASM411807v1:3:57452783:57456184:1 gene:Vigun03g371700.v1.2 transcript:Vigun03g371700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGYQEKGPKILLTNIALSSMIRKRWNFLKVEPFGYPSLPLFLEAYHGVLKFLALQHGLFQLKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPTLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARRRKNVDLIRTYHGFKGDKQGTLEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVNDNIDGYYPSSHFPIFTEFMLPRTVRMLESPVQEDT >Vigun03g371700.1.v1.2 pep primary_assembly:ASM411807v1:3:57452783:57456184:1 gene:Vigun03g371700.v1.2 transcript:Vigun03g371700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTVMTFNLHDDEPHDSPNSWEKRRDLCISVITNYSPIILCTQQGVKTQLDFLQQGLPGYDQFGISRKGPQDTTDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSISWGSEVPCIATWAIFQLKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPTLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARRRKNVDLIRTYHGFKGDKQGTLEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVSCEVVNDNIDGYYPSSHFPIFTEFMLPRTVRMLESPVQEDT >Vigun10g115151.1.v1.2 pep primary_assembly:ASM411807v1:10:31908064:31908646:1 gene:Vigun10g115151.v1.2 transcript:Vigun10g115151.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKPAGESTTTTPKSSHISSISTNNIDSGNIVSCINMIHNREKWIVDSGATDHVTISLDNFDSFNKINNIQVNLPNGIHVKATHKGNIKLNNGIILHNVLFIPEFNYNLISISKLIKDSQVHIAFTDSGCFIQDLNKRMIGSVEYQEGLYVLSNPTRSVNSINSNIWHKRLANLITYTL >Vigun09g004600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:348160:349456:-1 gene:Vigun09g004600.v1.2 transcript:Vigun09g004600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKDSNDPRRAACTAITIFLLLAGVTLLVLWLVYRPHKPRFTVIGAAIYGLNATTPPLMSTTMQFSVLIKNPNRRVSIYYDRLSAFVSYRNQAITPQVLLPPLHQGKHTAVSVSPVIGGTAIPVSVEVSNGLVVDEAYGVVGLRLIFQGRVRWKAGAIKTAHYGLYVKCDVLMGLKKGLVGPVPLLGVTPCDVDV >Vigun03g374700.1.v1.2 pep primary_assembly:ASM411807v1:3:57745018:57745959:1 gene:Vigun03g374700.v1.2 transcript:Vigun03g374700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGMRCGVLGLNYCVPVRKFGRRFGTTLARRKDFEENDKIQQRPFSPLKISKSIIARAAIGVFGLGFIDAGYSGDWSRIGVITSQSEELLKVAAFLVVPLCIFLVFFLPTDTDS >Vigun11g085000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25448214:25449975:1 gene:Vigun11g085000.v1.2 transcript:Vigun11g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIPCIFFCSFAACGSSFGLELIMGKKSMESSEAKLLRAVHKRKEVDQSSKPKELNRFFETLKGAQVIATRSCMEIDGEFVKSLERSSGKLVIPIGLLPPSPEDSNDHNWYTILNWLNKWEKGSVIYVAFGTEVTLSDEEFTEIGMGLDMSGFPFFWTMKNRNTSGGSDESQDWIENESKRGMMWRRWAPQSRILAHKSVGAFFTHCGWSSVIEGLQVGCPLVMLPFQYDQWPIAKFMEEKKVGLKVHRNEHDFKFTRDSMAKALTSVMLEEERKCYRSGAQEMSKIVGDKQLQEKYVNQFVDYMKMNRPGYN >Vigun02g028425.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10407027:10407794:1 gene:Vigun02g028425.v1.2 transcript:Vigun02g028425.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTITTRPPPPWLPPLQPPRPPPPPQLPTPPPWPPPRPPPPPPPPSPPPPPPQLPKPPPWPPPRLPPPPPQQSPPPPSHLDNHHHDHSPHHHNHNQHNQHPNHHDPTTAIAITTTTTTITTTTTTHNHHHGHTTTTTTTTTTTTTTTTTTTTTPPTPSPPPPPPPQQPPRQQPPRPPAPPPQPPTSPSLPPRPPPSPPPPPSPPPPPPSPPQPPAPPPSLPLPQPPPPHDNHQHHHTHRNHHQYHHDHHHHPKP >Vigun02g090000.4.v1.2 pep primary_assembly:ASM411807v1:2:24493204:24505262:1 gene:Vigun02g090000.v1.2 transcript:Vigun02g090000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGQSPNPSWFSPKRLLMIFCIINMLNYVDRGAIASNGVNGSLATCTESGICTGGSGIQGDFNLNNFQDGILSSAFMVGLLIASPIFASLAKSHNPFRLIGVGLSVWTFAIAGCGCSFDFWSIAICRMLVGVGEASFISLAAPFIDDHAPAAQKTAWLATFYMCIPAGTALGYVYGGFVGSQFNWRVAFWVEAILMLPFPILGFLTKPLQLEGFAPLEPKHTPKSIETNDSETGGDDMLAEDQALLRGSKSTSKLWDQFTIFLKDIQELLHDQVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADLLFGGMTIVCGIFGTLAGGLFLDRISSTISNSFKLLSGATFLGAIFCFIAFLFKSLSGFIVFFSMGELLIFVTQAPVNYVSLRCVKPSLRPLSMAISTVSIHVFGDVPSSPLVGVLQASRRKYFRLAENAPVKRALCRIIFIHELII >Vigun02g090000.3.v1.2 pep primary_assembly:ASM411807v1:2:24493204:24505262:1 gene:Vigun02g090000.v1.2 transcript:Vigun02g090000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGQSPNPSWFSPKRLLMIFCIINMLNYVDRGAIASNGVNGSLATCTESGICTGGSGIQGDFNLNNFQDGILSSAFMVGLLIASPIFASLAKSHNPFRLIGVGLSVWTFAIAGCGCSFDFWSIAICRMLVGVGEASFISLAAPFIDDHAPAAQKTAWLATFYMCIPAGTALGYVYGGFVGSQFNWRVAFWVEAILMLPFPILGFLTKPLQLEGFAPLEPKHTPKSIETNDSETGEVSVIGDDMLAEDQALLRGSKSTSKLWDQFTIFLKDIQELLHDQVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADLLFGGMTIVCGIFGTLAGGLFLDRISSTISNSFKLLSGATFLGAIFCFIAFLFKSLSGFIVFFSMGELLIFVTQAPVNYVSLRCVKPSLRPLSMAISTVSIHVFGDVPSSPLVGVLQASRRKYFRLAENAPVKRALCRIIFIHELII >Vigun02g090000.2.v1.2 pep primary_assembly:ASM411807v1:2:24493204:24505262:1 gene:Vigun02g090000.v1.2 transcript:Vigun02g090000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGQSPNPSWFSPKRLLMIFCIINMLNYVDRGAIASNGVNGSLATCTESGICTGGSGIQGDFNLNNFQDGILSSAFMVGLLIASPIFASLAKSHNPFRLIGVGLSVWTFAIAGCGCSFDFWSIAICRMLVGVGEASFISLAAPFIDDHAPAAQKTAWLATFYMCIPAGTALGYVYGGFVGSQFNWRVAFWVEAILMLPFPILGFLTKPLQLEGFAPLEPKHTPKSIETNDSETGGDDMLAEDQALLRGSKSTSKLWDQFTIFLKDIQELLHDQVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADLLFGGMTIVCGIFGTLAGGLFLDRISSTISNSFKLLSGATFLGAIFCFIAFLFKSLSGFIVFFSMGELLIFVTQAPVNYVSLRCVKPSLRPLSMAISTVSIHVFGDVPSSPLVGVLQDHINDWRKTSLCLTSIFFLAAVIWFIGIFMKSVDVYEKDDEDRSATSRRGKLTPLIEGSRDASSEI >Vigun02g090000.1.v1.2 pep primary_assembly:ASM411807v1:2:24493204:24505262:1 gene:Vigun02g090000.v1.2 transcript:Vigun02g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGQSPNPSWFSPKRLLMIFCIINMLNYVDRGAIASNGVNGSLATCTESGICTGGSGIQGDFNLNNFQDGILSSAFMVGLLIASPIFASLAKSHNPFRLIGVGLSVWTFAIAGCGCSFDFWSIAICRMLVGVGEASFISLAAPFIDDHAPAAQKTAWLATFYMCIPAGTALGYVYGGFVGSQFNWRVAFWVEAILMLPFPILGFLTKPLQLEGFAPLEPKHTPKSIETNDSETGEVSVIGDDMLAEDQALLRGSKSTSKLWDQFTIFLKDIQELLHDQVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADLLFGGMTIVCGIFGTLAGGLFLDRISSTISNSFKLLSGATFLGAIFCFIAFLFKSLSGFIVFFSMGELLIFVTQAPVNYVSLRCVKPSLRPLSMAISTVSIHVFGDVPSSPLVGVLQDHINDWRKTSLCLTSIFFLAAVIWFIGIFMKSVDVYEKDDEDRSATSRRGKLTPLIEGSRDASSEI >Vigun03g441200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64385552:64386610:-1 gene:Vigun03g441200.v1.2 transcript:Vigun03g441200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRCLILLMFVLSASAVARKNSMSGGWSPIKNIDDPHVKEIADYAVTEYAKQSGHKLKLEKVLKGETQAVAGVNYRLTLAATDGSSSKNYEAIVWEKVWQHFRNLTSFTPVRA >Vigun02g195400.2.v1.2 pep primary_assembly:ASM411807v1:2:33116387:33118896:1 gene:Vigun02g195400.v1.2 transcript:Vigun02g195400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDERDMSRVEAVLELLRKQTPLTVKQEKFCNYACVERFLKAKGDNVKRAAKQLRACLSWRESIGADEFSGELADGLAYVAGHDDEYRPVMILRMKQEYQKFHSQKMFTRLLVFTMEVAVSTMPIYVQQFVLLFDASFYRSVSAFTNLLVGALKIMGEYYPGRLHKAFIIDPPSLFPYFWKGVGAFVELSAVTKVVSSLDFDGEDESSGDLTGGRSCSSSRFAFTVSHHVDSLKPWYLSLSETSVASRTPVQQRTPRPSFLQSPAGMVFRRGGRVCRESFSPLWKLYRRPYDEMVYRSKMWGPVGGHFRGRHVSLSQRF >Vigun02g195400.1.v1.2 pep primary_assembly:ASM411807v1:2:33116388:33118896:1 gene:Vigun02g195400.v1.2 transcript:Vigun02g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDERDMSRVEAVLELLRKQTPLTVKQEKFCNYACVERFLKAKGDNVKRAAKQLRACLSWRESIGADYLVADEFSGELADGLAYVAGHDDEYRPVMILRMKQEYQKFHSQKMFTRLLVFTMEVAVSTMPIYVQQFVLLFDASFYRSVSAFTNLLVGALKIMGEYYPGRLHKAFIIDPPSLFPYFWKGVGAFVELSAVTKVVSSLDFDGEDESSGDLTGGRSCSSSRFAFTVSHHVDSLKPWYLSLSETSVASRTPVQQRTPRPSFLQSPAGMVFRRGGRVCRESFSPLWKLYRRPYDEMVYRSKMWGPVGGHFRGRHVSLSQRF >Vigun09g147300.1.v1.2 pep primary_assembly:ASM411807v1:9:31101798:31102450:1 gene:Vigun09g147300.v1.2 transcript:Vigun09g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKINTFLLLLLLLLLPFSSSGLAQGFRENMHPTHHHGLTLQVKSRKLFGHDFVLDYDEAGANPRHTKKPGKGP >Vigun11g014800.1.v1.2 pep primary_assembly:ASM411807v1:11:1816971:1820846:1 gene:Vigun11g014800.v1.2 transcript:Vigun11g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPGYKLSNTSLPNESVMYGRDDDKEFVFNWLTSHTDNKLSILCIVGMGGLGKTSLAQHVFNDPMIDGKFDIKAWISVPQEFDVLNVSKAILDTIAGSTDHSIQQELVQRRLKEKLTGKKFLFVLDDVWNERQSKWEDVQKPLLFGGQGSRILVTTRSEKVAITMRSEKYLLQVLREDYCWDLFAKHAFQSGTNPQPDPEFMEIGKKIVGKCNGLPLALKTMGSLLHNKSSLCEWESIMKSEIWDFSENESDILPALRLSYLYLPSHLKKCFEFCALFPKGYIFNKKCLIELWMAENLLESPVQKKSPEEVGKQYFSDLLSLSFFQQLGKEEGVCFIMHDLLNDLAKYVSDGIYIRLGVDELKGIHKTTRHLSFSTTRSLFDGFGSLIDTQKLHTFIPTSWYWSWKMLADDNFVSKFKFMRVLSLSHCHNLREVPKSVENLKHLRSLDLSYTSIEKLPESISLLYKLQILKLNGRRRLKELPSYLYQLHNLCCLEFIASGVRNFPTHLGNLKNLQVWMSSFCVKKSKEFNIQLLGELNLHGSLTIDELQNIENPSYALEADLKNKPHLVGLRLIWNFVGCSSFDSIKVRDVIENLQPSKHLKTLSIVNFCGKQFPNWLLNNSVPNLVSLVLRKCKSCQRLPPLGVLPFLKRLEISGFDEIVNIDADFHGNNSSSFKSLQTLEFSYMTQWEKWECQAVTGAFPRLQRLSISSCPKLKGQLPEFVALKKLEVFDCEQLEDLNVSAPELHIQDFRKLQLDWTTIKRDIIFDTSSEHLHISSPLKSVRDDPDPLRNFSLNFFPSWTTLTINGCANVEEMISHDHTNNLLEDLTIKKCPKLESLPANMHMLLPSLKRLSIYDCPRLESFPDRGLPSNISYMTLINCSRLIDSLKGALGGNPYCLRSLWIGKMDAECFPNEGLLPLSLTSLAISHCRNLKELDYKGLHQLSSLKTLSLCVCSNLQCLPEEGLPKSVSYLEIGECPLLKERCQKEGGKDWKKIAHIVTVKIW >Vigun03g212100.1.v1.2 pep primary_assembly:ASM411807v1:3:35174903:35178124:-1 gene:Vigun03g212100.v1.2 transcript:Vigun03g212100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQFQLHSQPSPFSSLSSLSSSSLKLFANHSFSPNSLPFNPPKPFSLRCRHSDLFDQNTLASSQRPTRPTASVGALPPRVYVGYSIYKGKAALTLTPRPPEFVPLDSGAYKISKEGYVLLQFAPAVGTRQYDWNRKQVFSLSVGEMGSVISLGTRESCEFFHDPFKGKSDEGKVRKILKLEPLPDGSGHFFNLSVQNKLVNVDENIYIPITKAELAVLCSTFNFIMPYLLGWHTFANSIKPEDTSGVNNANPRYGGDYEWNR >Vigun02g188700.1.v1.2 pep primary_assembly:ASM411807v1:2:32692298:32693865:-1 gene:Vigun02g188700.v1.2 transcript:Vigun02g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQVQRAEGPATILAIGTATPSNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEVLKKNPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRKKSAENGFKTTSEGLEWGVLFGFGPGLTIETVVLHSVTI >Vigun08g142200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31465274:31468517:-1 gene:Vigun08g142200.v1.2 transcript:Vigun08g142200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFDLEVEAYAEYESEVSSQVASNVSIQETSIGPCSDRLTNISHTTNLTGSHPNSDSVSLDLTLNFKNSEPGGRDSIGFSFSSTSESSNEPASQTTAATIPRVFSCNYCKRKFFSSQALGGHQNAHKRERTLAKRALRMGFFSERYANLASLPLHGSFRSLGIKAHSSLHHGFSPTMRPPEIKSNAKFDQGYVGIPIFLEDDESDLLWPGSFRQVVEAGDSHQNFILTGSSDLSFTGVNPPVDIENSTPDLTLKL >Vigun08g142200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31465112:31468699:-1 gene:Vigun08g142200.v1.2 transcript:Vigun08g142200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFDLEVEAYAEYESEVSSQVASNVSIQETSIGPCSDRLTNISHTTNLTGSHPNSDSVSLDLTLNFKNSEPGGRDSIGFSFSSTSESSNEPASQTTAATIPRVFSCNYCKRKFFSSQALGGHQNAHKRERTLAKRALRMGFFSERYANLASLPLHGSFRSLGIKAHSSLHHGFSPTMRPPEIKSNAKFDQGYVGIPIFLEDDESDLLWPGSFRQVVEAGDSHQNFILTGSSDLSFTGVNPPVDIENSTPDLTLKL >Vigun08g142200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31465112:31468699:-1 gene:Vigun08g142200.v1.2 transcript:Vigun08g142200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFDLEVEAYAEYESEVSSQVASNVSIQETSIGPCSDRLTNISHTTNLTGSHPNSDSVSLDLTLNFKNSEPGGRDSIGFSFSSTSESSNEPASQTTAATIPRVFSCNYCKRKFFSSQALGGHQNAHKRERTLAKRALRMGFFSERYANLASLPLHGSFRSLGIKAHSSLHHGFSPTMRPPEIKSNAKFDQGYVGIPIFLEDDESDLLWPGSFRQVVEAGDSHQNFILTGSSDLSFTGVNPPVDIENSTPDLTLKL >Vigun07g172100.2.v1.2 pep primary_assembly:ASM411807v1:7:28738991:28743752:1 gene:Vigun07g172100.v1.2 transcript:Vigun07g172100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSDGLQQKHSLAFLIVVAIFLGFLYVYGGSIFGSQNSGSSALEYGRSLKRLGSSYLGAEDDNDGNQDESSATNIVLKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPAERRYNCLIPPPSGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVEKGDKIMFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGILGTKRLPYPSRSFELVHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEENLKIWKEMSELVESMCWKIAAKRNQTVVWQKHGTNDCYMERKTGAHPPLCHPEDDPDAVWGVNMKACITPYSDHDNRAEGSGLAAWPARLTTPPPRLSDFGYSNEMFEKDTELWQRRVAKYWDLLSPKIASNTLRNIMDMKAYMGSFAAALIDKNVWVMNVVPNVGVNTLKLIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWNILSDIEKRGCSLEDLLLEMDRVLRPTGFVIIRDKQTVIDFVKKYLSALHWEAIDSSSDSVQDGDEVVFIIQKKLWLTSESFRDAE >Vigun07g172100.4.v1.2 pep primary_assembly:ASM411807v1:7:28739009:28743752:1 gene:Vigun07g172100.v1.2 transcript:Vigun07g172100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSDGLQQKHSLAFLIVVAIFLGFLYVYGGSIFGSQNSGSSALEYGRSLKRLGSSYLGAEDDNDGNQDESSATNIVLKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPAERRYNCLIPPPSGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVEKGDKIMFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGILGTKRLPYPSRSFELVHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEENLKIWKEMSELVESMCWKIAAKRNQTVVWQKHGTNDCYMERKTGAHPPLCHPEDDPDAVWGVNMKACITPYSDHDNRAEGSGLAAWPARLTTPPPRLSDFGYSNEMFEKDTELWQRRVAKYWDLLSPKIASNTLRNIMDMKAYMGSFAAALIDKNVWVMNVVPNVGVNTLKLIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWNILSDIEKRGCSLEDLLLEMDRVLRPTGFVIIRDKQTVIDFVKKYLSALHWEAIDSSSDSVQDGDEVVFIIQKKLWLTSESFRDAE >Vigun07g172100.3.v1.2 pep primary_assembly:ASM411807v1:7:28738992:28743752:1 gene:Vigun07g172100.v1.2 transcript:Vigun07g172100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSDGLQQKHSLAFLIVVAIFLGFLYVYGGSIFGSQNSGSSALEYGRSLKRLGSSYLGAEDDNDGNQDESSATNIVLKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPAERRYNCLIPPPSGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVEKGDKIMFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGILGTKRLPYPSRSFELVHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEENLKIWKEMSELVESMCWKIAAKRNQTVVWQKHGTNDCYMERKTGAHPPLCHPEDDPDAVWGVNMKACITPYSDHDNRAEGSGLAAWPARLTTPPPRLSDFGYSNEMFEKDTELWQRRVAKYWDLLSPKIASNTLRNIMDMKAYMGSFAAALIDKNVWVMNVVPNVGVNTLKLIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWNILSDIEKRGCSLEDLLLEMDRVLRPTGFVIIRDKQTVIDFVKKYLSALHWEAIDSSSDSVQDGDEVVFIIQKKLWLTSESFRDAE >Vigun07g172100.5.v1.2 pep primary_assembly:ASM411807v1:7:28739009:28743752:1 gene:Vigun07g172100.v1.2 transcript:Vigun07g172100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSDGLQQKHSLAFLIVVAIFLGFLYVYGGSIFGSQNSGSSALEYGRSLKRLGSSYLGAEDDNDGNQDESSATNIVLKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPAERRYNCLIPPPSGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVEKGDKIMFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGILGTKRLPYPSRSFELVHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEENLKIWKEMSELVESMCWKIAAKRNQTVVWQKHGTNDCYMERKTGAHPPLCHPEDDPDAVWGVNMKACITPYSDHDNRAEGSGLAAWPARLTTPPPRLSDFGYSNEMFEKDTELWQRRVAKYWDLLSPKIASNTLRNIMDMKAYMGSFAAALIDKNVWVMNVVPNVGVNTLKLIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWNILSDIEKRGCSLEDLLLEMDRVLRPTGFVIIRDKQTVIDFVKKYLSALHWEAIDSSSDSVQDGDEVVFIIQKKLWLTSESFRDAE >Vigun07g172100.1.v1.2 pep primary_assembly:ASM411807v1:7:28739009:28743758:1 gene:Vigun07g172100.v1.2 transcript:Vigun07g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSDGLQQKHSLAFLIVVAIFLGFLYVYGGSIFGSQNSGSSALEYGRSLKRLGSSYLGAEDDNDGNQDESSATNIVLKSFPVCDDRHSELIPCLDRHLIYQMRMKLDLSVMEHYERHCPPAERRYNCLIPPPSGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVEKGDKIMFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGILGTKRLPYPSRSFELVHCSRCRIDWLQRGGILLLELDRLLRPGGYFAYSSPEAYAQDEENLKIWKEMSELVESMCWKIAAKRNQTVVWQKHGTNDCYMERKTGAHPPLCHPEDDPDAVWGVNMKACITPYSDHDNRAEGSGLAAWPARLTTPPPRLSDFGYSNEMFEKDTELWQRRVAKYWDLLSPKIASNTLRNIMDMKAYMGSFAAALIDKNVWVMNVVPNVGVNTLKLIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWNILSDIEKRGCSLEDLLLEMDRVLRPTGFVIIRDKQTVIDFVKKYLSALHWEAIDSSSDSVQDGDEVVFIIQKKLWLTSESFRDAE >Vigun02g173100.3.v1.2 pep primary_assembly:ASM411807v1:2:31573224:31580161:-1 gene:Vigun02g173100.v1.2 transcript:Vigun02g173100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGLVDDDFYLQAKAYFDCREYKRAAHVLRDQNGRKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELSTLRNNGKIDPFGLYLYGLVLKQKGSENLARTVLVESVNSYPWNWNVWTELQSLCKTVDILNSLNLNSHWMKDFFLASVYQELRMHNDSLSKYEYLLGTFGNSNYIQAQIAKAQYSLREFDQVEAIFEELLSNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKAVVYFRRALKLNKNFLSAWTLMGHEFVEMKNTPAAVDAYRRAVDIDPRDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPNDSRLWIAMAQCYETDQLRMLDEAVKCYRRAANCNDREAIALHNLARLLSELGRPEEAAFYYKKDLERMESEEREGPKMVEALLYLAKYYRAQKKFEEAEVYCTRLLDYTGPERETAKSILRGMRTVQSGFPSMDVEHFPPNPF >Vigun02g173100.2.v1.2 pep primary_assembly:ASM411807v1:2:31573224:31580161:-1 gene:Vigun02g173100.v1.2 transcript:Vigun02g173100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGLVDDDFYLQAKAYFDCREYKRAAHVLRDQNGRKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELSTLRNNGKIDPFGLYLYGLVLKQKGSENLARTVLVESVNSYPWNWNVWTELQSLCKTVDILNSLNLNSHWMKDFFLASVYQELRMHNDSLSKYEYLLGTFGNSNYIQAQIAKAQYSLREFDQVEAIFEELLSNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKAVVYFRRALKLNKNFLSAWTLMGHEFVEMKNTPAAVDAYRRAVDIDPRDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPNDSRLWIAMAQCYETDQLRMLDEAVKCYRRAANCNDREAIALHNLARLLSELGRPEEAAFYYKKDLERMESEEREGPKMVEALLYLAKYYRAQKKFEEAEVYCTRLLDYTGPERETAKSILRGMRTVQSGFPSMDVEHFPPNPF >Vigun02g173100.1.v1.2 pep primary_assembly:ASM411807v1:2:31573224:31580161:-1 gene:Vigun02g173100.v1.2 transcript:Vigun02g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKESCRSELRIAIRQLSDRCLYSASKWAAEQLVGIEHDPVKFTPSNTRFQRGSSSIRRKYKTHDVTVTPIAGVSYVATPVMEEDGLVDDDFYLQAKAYFDCREYKRAAHVLRDQNGRKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELSTLRNNGKIDPFGLYLYGLVLKQKGSENLARTVLVESVNSYPWNWNVWTELQSLCKTVDILNSLNLNSHWMKDFFLASVYQELRMHNDSLSKYEYLLGTFGNSNYIQAQIAKAQYSLREFDQVEAIFEELLSNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKAVVYFRRALKLNKNFLSAWTLMGHEFVEMKNTPAAVDAYRRAVDIDPRDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPNDSRLWIAMAQCYETDQLRMLDEAVKCYRRAANCNDREAIALHNLARLLSELGRPEEAAFYYKKDLERMESEEREGPKMVEALLYLAKYYRAQKKFEEAEVYCTRLLDYTGPERETAKSILRGMRTVQSGFPSMDVEHFPPNPF >Vigun02g056550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20038056:20038400:-1 gene:Vigun02g056550.v1.2 transcript:Vigun02g056550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLNLNPKITLKHRKQNPKESFFSFHQPSLTARQLHCLTAPTKRTHLRCTRSGHHAALAPGTRVCSTLNASDDDDHRRRSCRRSCHLSSLAFAFANLDSHDLDCRRDAITAC >Vigun09g228600.1.v1.2 pep primary_assembly:ASM411807v1:9:40062618:40069579:1 gene:Vigun09g228600.v1.2 transcript:Vigun09g228600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFNWGVKDTHRGTPVVVKMENPNWSMVELEGPEEDDLMTPTSSPAGVSRDKGKGRGKNAKQLTWVLLLKAHRAAGCLTSLAPALWGLVSAVKRRVAAGKTDADTDGGRELENPTVKTRFYSCIKVFLWLSVFLLFLEVAAYFKGWHFVAAGIQFEHFLWAPAFGVKDFFAWLYASWVFVRVEYLAPPLQFLTNACIVLFLIQSVDRLVLCLGCFWIRFKKIKPVPKCGDAIADLESGESKGFSFFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPKGKLLIQVLDDSDDPTTQQLIKEEVQKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYIKDYEFVAIFDADFQPTPDFLKKTVIHFKDNDELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSRISVWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPAAMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLIEKGPKLQRGSSAPDLEEIKEELRRQEQKTSKKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >Vigun09g228600.2.v1.2 pep primary_assembly:ASM411807v1:9:40062618:40069579:1 gene:Vigun09g228600.v1.2 transcript:Vigun09g228600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFNWGVKDTHRGTPVVVKMENPNWSMVELEGPEEDDLMTPTSSPAGVSRDKGKGRGKNAKQLTWVLLLKAHRAAGCLTSLAPALWGLVSAVKRRVAAGKTDADTDGGRELENPTVKTRFYSCIKVFLWLSVFLLFLEVAAYFKGWHFVAAGIQFEHFLWAPAFGVKDFFAWLYASWVFVRVEYLAPPLQFLTNACIVLFLIQSVDRLVLCLGCFWIRFKKIKPVPKCGDAIADLESGESKGFSFFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPKGKLLIQVLDDSDDPTTQQLIKEEVQKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYIKDYEFVAIFDADFQPTPDFLKKTVIHFKDNDELGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSRVCIYKCVEEIQHDISVLPSEKADLTILFVHLVLYNSTYDNVRAGG >VigunL046464.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:501132:502184:-1 gene:VigunL046464.v1.2 transcript:VigunL046464.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWGGLRPIETVYLRLSPGP >Vigun07g070166.1.v1.2 pep primary_assembly:ASM411807v1:7:8632468:8632937:-1 gene:Vigun07g070166.v1.2 transcript:Vigun07g070166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMKLLASYTEFAPAPVIPVRKVSHAPVLETILEEAEECDQELLILF >Vigun11g161000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36834073:36835809:-1 gene:Vigun11g161000.v1.2 transcript:Vigun11g161000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRQWPRLILAFAICLMAITVAADDYKPYYGQPWNNYPKQTPPYYYNAPPYYYKSPPPPSPSPPPPPYVHKYPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYPYLYNSPPPPAY >Vigun05g210200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40079292:40079537:-1 gene:Vigun05g210200.v1.2 transcript:Vigun05g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKKVLLCLILLLLLFAQFESRSLEAFMEEKKKTPLEGFSQKFIKISQLMKPNFPNTKPFTNPTVSKRLSPGGPDPKHH >Vigun09g014300.1.v1.2 pep primary_assembly:ASM411807v1:9:1062883:1064858:1 gene:Vigun09g014300.v1.2 transcript:Vigun09g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPIDNALPTTTPERPKKQPKIAVATQKQQDRAAAVNGENQVPLPSSGDATVDYVSSDNLKPLSDPEVQIQSLIEDLNSKNWIKVCESLNDARRFALFHSSLLFPILGNIVLVVAKTMKNPRSALCKTAIMAAADIFNASGDKLLDPETSDAFDGLLLQLLLKASQDKRFVCEEADRALGSMVSSMTPLPLLQKLRVYVSHKNLRVRAKAAVSLSNCVSKMGLEEMEQFSLAELIEVAADLLNDRLPEARDAARSIATDVYEALTKDAEQKMELWQSFCQSKLPPIHALSMMKIVKP >Vigun02g051600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19373881:19375622:1 gene:Vigun02g051600.v1.2 transcript:Vigun02g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPSSSSTHVGAASSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETLEMAAAAYDVAALHFRGRDARLNFPELASTLPRPVSNNADHIRMAAHEAALRLRTNPAPPETGGGISPTAAPLTVRLSPTQIQAINDSPMDSPQTWMQMPDTFMMHDQSMMFANGYAFEDNEWEHMQNDSLWDP >Vigun03g121800.3.v1.2 pep primary_assembly:ASM411807v1:3:11388230:11391277:-1 gene:Vigun03g121800.v1.2 transcript:Vigun03g121800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSIRELPDMEQEIMEDPNFLRQWHLSSIEDPNLLPIAAAFGETFQHHAFTYPDFNPKASMETTLMDIERPTKHHRNISWNPIKGSAQTSDTQFVSFPNLLSFMDSNHISPLGLVKANEMACPITNNSTTSLDTFSQGILGNHNYLFKACQETKKIGRRSKISHPQDHIIAERKRREKLSQRFIALSALVPGLQKTDKASILGDAISYLKQLQEKVKALEEAQNMKKTVESVVIVRKSQLSNDVNNSSEYVGPLFDETVPEIEARFCERNVLIRVHCEKTKGVVEKTIHEIENLHLKVTNSNAMAFGRCAIDMTIIAQMDMEFCMGVKDVVRNLRSAFTSFM >Vigun03g121800.1.v1.2 pep primary_assembly:ASM411807v1:3:11388230:11391275:-1 gene:Vigun03g121800.v1.2 transcript:Vigun03g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFFIFNNVHVHVPKNHGVFMILTSCSFDCRIGFLMEISSIRELPDMKEQEIMEDPNFLRQWHLSSIEDPNLLPIAAAFGETFQHHAFTYPDFNPKASMETTLMDIERPTKHHRNISWNPIKGSAQTSDTQFVSFPNLLSFMDSNHISPLGLVKANEMACPITNNSTTSLDTFSQGILGNHNYLFKACQETKKIGRRSKISHPQDHIIAERKRREKLSQRFIALSALVPGLQKTDKASILGDAISYLKQLQEKVKALEEAQNMKKTVESVVIVRKSQLSNDVNNSSEYVGPLFDETVPEIEARFCERNVLIRVHCEKTKGVVEKTIHEIENLHLKVTNSNAMAFGRCAIDMTIIAQMDMEFCMGVKDVVRNLRSAFTSFM >Vigun03g121800.2.v1.2 pep primary_assembly:ASM411807v1:3:11388230:11391277:-1 gene:Vigun03g121800.v1.2 transcript:Vigun03g121800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSIRELPDMKEQEIMEDPNFLRQWHLSSIEDPNLLPIAAAFGETFQHHAFTYPDFNPKASMETTLMDIERPTKHHRNISWNPIKGSAQTSDTQFVSFPNLLSFMDSNHISPLGLVKANEMACPITNNSTTSLDTFSQGILGNHNYLFKACQETKKIGRRSKISHPQDHIIAERKRREKLSQRFIALSALVPGLQKTDKASILGDAISYLKQLQEKVKALEEAQNMKKTVESVVIVRKSQLSNDVNNSSEYVGPLFDETVPEIEARFCERNVLIRVHCEKTKGVVEKTIHEIENLHLKVTNSNAMAFGRCAIDMTIIAQMDMEFCMGVKDVVRNLRSAFTSFM >Vigun01g084550.1.v1.2 pep primary_assembly:ASM411807v1:1:23945489:23947941:1 gene:Vigun01g084550.v1.2 transcript:Vigun01g084550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQIFFSFFLLLLPTFSSSQYLGNNLLSNRKIFLKEEENLTSYAAIFDAGSTGTRVHVFHFDQNLDLLRIGNNLEFLASVTPGLSSYADNPEKAARSLIPLLEAAENVVPQNLHPKTPLTLGATAGLRLLEGNAAEQILQAVRDLFRNRSSLNVQSDDAVAIVEGTREGSYLWISTNRIKHNDHPTSTMK >Vigun03g235066.1.v1.2 pep primary_assembly:ASM411807v1:3:39102876:39105057:1 gene:Vigun03g235066.v1.2 transcript:Vigun03g235066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSFFLSHFPSNHEEYEMWRIFQRWGKVQEVFISKRLNQKGQRFGFVRFFGISNPEALEKQLDNIWIGNMKIHVNMQKYRRNTNLSKPKIDKTYAQVVKGRAVSEHCKQIWKGMEIQVKEEAKEWLQRSYVGRLNKFCNIEDIKESFILNDLNFIRLRYLGDNVMLLTAKGEQSIEKAIIDNQERLSNTFESLLAWTNQTSIGYRRAWVRCRGIPIYLWGWECFEKVSAAIDTLISIDKATEEWDEIEYARLNVRLPIETIATKGFQMKINGKIYQISFEEESTLFTIPKCSCESWEKLEEDHSYSQSCIGDDPQQSSDSECGSINEYIRSQSNMVGRAIFSSEVVPFRLVSHSSSSSVSDSDIINCNRLFWLRNNSTEAINMWNICKSVGFSYSGEEQDIIQREETKKGITIMINEDLIF >Vigun03g235066.2.v1.2 pep primary_assembly:ASM411807v1:3:39102876:39104027:1 gene:Vigun03g235066.v1.2 transcript:Vigun03g235066.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSFFLSHFPSNHEEYEMWRIFQRWGKVQEVFISKRLNQKGQRFGFVRFFGISNPEALEKQLDNIWIGNMKIHVNMQKYRRNTNLSKPKIDKTYAQVVKGRAVSEHCKQIWKGMEIQVKEEAKEWLQRSYVGRLNKFCNIEDIKESFILNDLNFIRLRYLGDNVMLLTAKGEQSIEKAIIDNQERLSNTFESLLAWTNQTSIGYRRAWVRCRGIPIYLWGWECFEKVSAAIDTLISIDKATEEWDEIEYARLNVRLPIETIATKGFQMKINGKIYQISFEEESTLFTIPKCSCESWEKLEEDHSYSQSCIGDDPQQSSDSECGSINEYIRSQSNMVGRAIFSSEVVPVGEDLPEGLD >Vigun11g082000.1.v1.2 pep primary_assembly:ASM411807v1:11:24361751:24363131:-1 gene:Vigun11g082000.v1.2 transcript:Vigun11g082000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDMSKHSFILAFLFVILATEVYYGHSFSKETNTEDVVITITPCQTKQDCIRNIRSVGCGASVVHCFDGYCRCNHISGNLFPRGPLGENH >Vigun10g093100.1.v1.2 pep primary_assembly:ASM411807v1:10:26713202:26715850:1 gene:Vigun10g093100.v1.2 transcript:Vigun10g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEKVLHMKGGDGETSYTNNSLLQRKVASMVKPILEDTVKQLTSNFSSERCWKVADLGCSSGPNSLFFVSDMLSIMDKASFSLNQGTPRALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDKHVRCFIHATPGSFYGRLFSDDYIHFFHSSYCLHWLSQAPTSTDIAEPLNKGNVYITNERRPSVYEAYMKQFEKDFKLFLKSRSEELRSGGIMLLTFIGREKSQNMTSPWGIIGMVLNDMVQEGLVEEAKLDFFDLPIYGPTPEEVRQVIEEEGSFSLKTLEIVKIGWDGNLQEDVDDAIVDSKTRALIVAKSIRAVFEPLLSAKFGEVIMDEFFFRFAVIVAQLIDTKFESLEYTNLIVSMTKDS >Vigun10g093100.2.v1.2 pep primary_assembly:ASM411807v1:10:26713202:26715850:1 gene:Vigun10g093100.v1.2 transcript:Vigun10g093100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEKVLHMKGGDGETSYTNNSLLQRKVASMVKPILEDTVKQLTSNFSSERCWKVADLGCSSGPNSLFFVSDMLSIMDKASFSLNQGTPRALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDKHGLVEEAKLDFFDLPIYGPTPEEVRQVIEEEGSFSLKTLEIVKIGWDGNLQEDVDDAIVDSKTRALIVAKSIRAVFEPLLSAKFGEVIMDEFFFRFAVIVAQLIDTKFESLEYTNLIVSMTKDS >Vigun10g093100.4.v1.2 pep primary_assembly:ASM411807v1:10:26713273:26715725:1 gene:Vigun10g093100.v1.2 transcript:Vigun10g093100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEKVLHMKGGDGETSYTNNSLLQRKVASMVKPILEDTVKQLTSNFSSERCWKVADLGCSSGPNSLFFVSDMLSIMDKASFSLNQGTPRALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDKHVRCFIHATPGSFYGRLFSDDYIHFFHSSYCLHWLSQAPTSTDIAEPLNKGNVYITNERRPSVYEAYMKQFEKDFKLFLKSRSEELRSGGIMLLTFIGREKSQNMTSPWGIIGMVLNDMVQEVTHEGLVEEAKLDFFDLPIYGPTPEEVRQVIEEEGSFSLKTLEIVKIGWDGNLQEDVDDAIVDSKTRALIVAKSIRAVFEPLLSAKFGEVIMDEFFFRFAVIVAQLIDTKFESLEYTNLIVSMTKDS >Vigun10g093100.3.v1.2 pep primary_assembly:ASM411807v1:10:26713239:26715850:1 gene:Vigun10g093100.v1.2 transcript:Vigun10g093100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEKVLHMKGGDGETSYTNNSLLQRKVASMVKPILEDTVKQLTSNFSSERCWKVADLGCSSGPNSLFFVSDMLSIMDKASFSLNQGTPRALQIYMNDLFGNDFNTIFKLIPDFFQRIHEEKNDKHVRCFIHATPGSFYGRLFSDDYIHFFHSSYCLHWLSQGLVEEAKLDFFDLPIYGPTPEEVRQVIEEEGSFSLKTLEIVKIGWDGNLQEDVDDAIVDSKTRALIVAKSIRAVFEPLLSAKFGEVIMDEFFFRFAVIVAQLIDTKFESLEYTNLIVSMTKDS >Vigun09g081200.1.v1.2 pep primary_assembly:ASM411807v1:9:9690941:9697886:1 gene:Vigun09g081200.v1.2 transcript:Vigun09g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTHHTYSRKQKSLGLLCTNFLRLYNREGVRLIGLDDAASRLGVERRRIYDIVNVLESVGVLTRKAKNQYTWKGFSAIPEALQELKEDGLKENPGSFDDSNDSAKVSDDEDEEETSPHVNAESQNDKEIPDSTALGLCSKNENRREKSLALLTQNFVKLFICSSIEMISLDEAAKLLLGNANTSIMRTKVRRLYDIANVLSSMNLIEKTHTTNTRKPAFRWLGWRGKAWSESVDLGHNSNFNEPGKRKFGTDITNTSFKRSKTDFLLDGHTCKMQNQQENMSYRVQPERSNFEKDAKQTSKGYQFGPFAPAYVPKVGTSEDNSGNQVHDWDSLAQVHRPQYQNQALRDLFSHYMDAWKSWYSEVAEKKPTL >Vigun09g081200.2.v1.2 pep primary_assembly:ASM411807v1:9:9690941:9697886:1 gene:Vigun09g081200.v1.2 transcript:Vigun09g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTHHTYSRKQKSLGLLCTNFLRLYNREGVRLIGLDDAASRLGVERRRIYDIVNVLESVGVLTRKAKNQYTWKGFSAIPEALQELKEDGLKENPGSFDDSNDSAKVSDDEDEEETSPHVNAESQNDKEIPDSTALGLCSKNENRREKSLALLTQNFVKLFICSSIEMISLDEAAKLLLGNANTSIMRTKVRRLYDIANVLSSMNLIEKTHTTNTRKPAFRWLGWRGKAWSESVDLGHNSNFNEPGKRKFGTDITNTSFKRSKTDFLLDGHTCKMQNQQENMSYRVQPERSNFEKDAKQTSKGYQFGPFAPAYVPKVGTSEDNSGNQVHDWDSLAQVHRPQYQNQGI >Vigun05g238500.1.v1.2 pep primary_assembly:ASM411807v1:5:43154087:43160425:-1 gene:Vigun05g238500.v1.2 transcript:Vigun05g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHENGANAGEEEPMIGPGPAPRARPKRPLQFEHAYLNALPSANMYEKSYMHRDVVTHVAVSAADFFITGSSDGHLKFWKKKPIGIEFAKHFRSHLGPIEGLAVSLDGLLCCTISDDRSVKIYDVVNYDMMVMIRLPYTPGAVEWVYKQGDVKARLAISDRNSSFVHIYDARAGSNDPIVSKEIHMCPIKVMKYNAVYDSVLSADAKGIIEYWSPVTLQFPEDEVNFKLKSDTDLFEIAKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTESAPLPNAVFDESSNFLIYATLLGIKIVNLHTNKVSRILGKVENNDRFLRIALYQGDRSSKRVRKIPSAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPENVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVAKGMDVVQAIEKVKTDKTDKPYQDVKILNVTVPKS >Vigun11g115900.1.v1.2 pep primary_assembly:ASM411807v1:11:32028825:32031728:-1 gene:Vigun11g115900.v1.2 transcript:Vigun11g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVPMIPAGEPSSSAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Vigun11g115900.2.v1.2 pep primary_assembly:ASM411807v1:11:32028831:32031708:-1 gene:Vigun11g115900.v1.2 transcript:Vigun11g115900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVPMIPAGEPSSSAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Vigun10g005600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:543286:544092:-1 gene:Vigun10g005600.v1.2 transcript:Vigun10g005600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRINMETDADFFFSSSSTSTTTTTPSIFTHTNTSISTTSSSSSSSNSDSCNKQHSKLTLQNDSNTSQTMSEENEKDSKGCKKRKLLESPTNERCCPSYRGVRMRNWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPELAQELPTPASTSPKDIQAAAAKAANTHFEVVVNRCQSTVLEEEGEEEKERRQVEHVSMDNTQDSSSSNSTIDDDETLFDLPDLFPHELSSWHLCAVGSGFRLQESLFWENY >Vigun03g110600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:9979296:9983111:-1 gene:Vigun03g110600.v1.2 transcript:Vigun03g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLKSLQSKTLVFVFSSYRTLHPPHYSPHYPLIPVRRFSSLLPKDSIFIPATKTHLYASFFCTLIRLYLACGRFCIASHAFSRMRALSLVPSLPLWNDLLYEFNACGLVSQVKVLYSEMFFCGVVPNVFSVNVLVHSLCKVGDLGLALGYLRNNSVFDHVTYNTVLWGFCKHGLADQGFGLLSEMVKKGVCFDSVTCNILAKGYCEVGLVQYAEGIVDNLVRGGVPLDVTGLNTLVDGYCEAGLMSRALALVEDSWKNGIKPDIVTYNTLLNAFCKNGDLAKGESLINDILGFQRGEESGVVNDCSVESQDEIRDLQPTVVTWTTLISAYCNHRGVGDFLSLYERIVMSGIMPDVVTCSSILYGLCRHGKLPEAAVLLREMYKMDLDPNHVSYTTIIDALLKSGRVMEAFSFQSQMIVRGISFDLVLCTTIMDGLFKVGKSEDAEEMFQSVLKLNLVPNCVTYSALVDGHCKLGDMKSAELVLQKMEKEHVLPNVVTFSSIINGYAKKGMLNKAVDVLRKMVQMNIMPNAFIYAILMDACFRSGQQEAAAGFYKEMKSWRLEDNNIILDIFLNNLKRSGSMKEANSLIEDICSKGIYPDIFNYTSLIDGYFKEGNESAALSLVQEMTDKNIQFDVVAYNALIKGLLRPGKFEPNSVFSRMMELGLTPDCVTYNSVINTYFIQGKKENALDVLNEMKSYGIMPNMVTYNILIGGLCKTGAIEKAIDVLDEMLLMGYIPTPIIHKFLLKACSRNRKADAILQIHKKLLSMGLNLDQTVYNTLITVLCRLGMTKKANVVLREMVMKGISADIVTYNALICGYCTGSHVEKAIDTYSQMLADGISPNISTYNILLEGFSTAGLMRDADKLISEMRERGLVPNASTYNILVSGHGKIGNKRDSIKLYCEMVTKGFIPTTGTYNVLIQDCAKAGKMHQARELLNEMLTRGRIPNSSTYDILICGWCKLSCEPEMDRALKLSYQNEAKKLLRDMCEKGHVPSETTLLYFSSNFSMPGKRADARRLLKVFTQKKDL >Vigun02g110000.1.v1.2 pep primary_assembly:ASM411807v1:2:26386153:26389324:-1 gene:Vigun02g110000.v1.2 transcript:Vigun02g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNARINGLLALFYTGCLTFPEHRKTNHKFHISIKNYVPASIFVSRYHNPHVTYKTLQNRVPEKLTVRKMKEVEELWREVRDLSLGNSGRVERLECPPNPVEFLRDFITPNKPCVISNAISHWPALSSWPNHEYLSEALSAATISLHLTPTGAADALAPLDSSLCFASAHMQHVPFPEALSLISNSEPNKLVAYAQQQNDCFRSEYSSLAADCDPHLGWATEAIGSEPEAVNLWIGNQHSQTSFHKDHYENLYAVVTGEKHFILLPPTDVHRLYIRHYPAATYTHSSDTGEFDLELEKPTRYVPWCSVDPYPSVETVDDEMAKFPLYFNGPRPFECTVKAGEVLYLPSMWFHHVRQSADDGGLTIAVNYWYDMQFDIKYAYFNFLQSIQYRSTPSPVLKDKLAEEIDSGPDEYES >Vigun07g263500.1.v1.2 pep primary_assembly:ASM411807v1:7:37904048:37906743:1 gene:Vigun07g263500.v1.2 transcript:Vigun07g263500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVATFTSPASTYFVRSCSMRENHDQLKQPGNTGIRTNGSFRSPIKVETLSQIAATTSTTLGQAVTIDKNCLRQNIPTKKQLVDPHRQGLITEGGVGYRQTVVIRSYEVGADKTATLESILNLLQETALNHVWMSGLLGDGFGATHGMVRNDLIWVVSRMQVLIDYYPIWGEVVEIDTWVGASGKNGMRRDWLIRSQATGHIFARATSTWVMMNRKTRRLSKMPEEVRAEVAPWFIEKQAIKEDAQEKIVKLDKEAKYMNSDLKPKRSDLDMNQHVNNVKYVRWMLETIPDQILEGHQLSSITLEYRRECGSSDIVQSLCEPEDDEILHGVVEPDYCTNLLNGLSSEIINGSGVLSYLEQRPSRYTHLLQIKGEKQNDEIVRGRTTWKRKIVTKPFST >Vigun06g217300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32802536:32805027:-1 gene:Vigun06g217300.v1.2 transcript:Vigun06g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRRLFTTVAPTCSSPSDQIKTFLSKGLHHHILQLFTQLHFSAHSSIPFVLPSVIKASSSAQSHAFGTQLHCLALKTASHSEAVVSNSIISMYAKFSDVESARQVFDTISHRDPITWNSLINCYLQNGCFQEALEVLKDVYFHGLVPKPELLASVVSMCGRKIGSRGIGRQIHALVVVDERIGESVFLSTAFVDFYFRCDDYLMALRVFDGMEVKNEVSWTAMISGCIANQDYDEAFACFREMQAEGVCPNRVTSIALLPACAEPGFVKHGREIHGYAFRRGFESSPSFSSALINMYCDCGESLHLAELIFKGSCCRDVVLWSSIIGSYSRRGDSYKALELFNKMRSEETEPNYVTLLAVISACTNISSLKYGCGLHGHVFKCGFTYNISVGNALINMYAKCGCLDGSRKIFLEMRNRDSITWSSLINAYGIHGCGKQALHLFHEMKERGVKPDVITLLAVLSACNHAGLVAEGQQIFKQVNADCEIPLTIEHYACLVDLLGRSGNLEDALEIVRTMPMKPSARVWSSLVSACKLHGRLDIAEMLAPHLIRSEPNNAGNYTLLNMIYAEHGHWLDTEQVRKAMKLQRLIKCYGFSHIEAGDESF >Vigun06g138200.1.v1.2 pep primary_assembly:ASM411807v1:6:26362233:26364442:-1 gene:Vigun06g138200.v1.2 transcript:Vigun06g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIVKVLVGIIVVVVAGAGATPPGIANNPSHASCNIKKYKHCYNLVHVCPKFCPDQCTVECASCKPICVGTANPPPSSPSTPQNPPPSTPSTPQNPPPSSPSTPQNPPPSTPSPPQNPPPSSPSTPQNPPPSTPSTPQNPPPSTQDNPPPSTPTTPSTPKNPPPSPSTPENPPPSTPSTPKNPPPSTPSTPQNPPPSSPTTPSTPQNSPPSSPTPPTPSPPENPPPSSSTPTPANPPPSSSTPSPTTPSPSTPPSTNPPPTLPRTATCRNKNYSKCYNMEHVCPNACPRGCEVDCVTCKPVCKCDRPGAVCQDPRFVGGDGITFYFHGKKDRNFCLVSDPNLHINGHFIGRRNNNMKRDFTWVQSIAILFHNHQLFVGALKTATWEDHIDRLQLILDGQPITLHQSEGATWTSSTVPKVSIVRTTSTNTVLVEVEGRLRVTAKVVPITEEDSRIHNYGITKEDCFAHLDLGFKFFTLSNEVSGVLGQTYKANYVSRVDVAANMPVMGGGKEFETTTLFSPDCSVSRFIGKNELTEGDSFII >Vigun11g010500.2.v1.2 pep primary_assembly:ASM411807v1:11:1264975:1270055:1 gene:Vigun11g010500.v1.2 transcript:Vigun11g010500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGLRDLVLIAPTPSQLHHHQHQHQHQHHQHQHQPISAEHHPNLPLPSPASLSVGLGIFPLLTVPHTNDVHDQVQNCANNTTTTTNATTTNHNANYWNLKMCGVSEVNSTRKGVMNVEDEGSNKHVMESEESGEFRVCQDCGNRAKRDCSYKRCRTCCKGRGFDCSTHVKSTWVPASLRRSGANSGSEGNGDGDGDGGASKRLRTIGSSKNVAATSLSSTSNATPSKSFSLDTSSCQQDYR >Vigun11g010500.1.v1.2 pep primary_assembly:ASM411807v1:11:1264975:1270055:1 gene:Vigun11g010500.v1.2 transcript:Vigun11g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGLRDLVLIAPTPSQLHHHQHQHQHQHHQHQHQPISAEHHPNLPLPSPASLSVGLGIFPLLTVPHTNDVHDQVQNCANNTTTTTNATTTNHNANYWNLKMCGVSEVNSTRKGVMNVEDEGSNKHVMESEESGEFRVCQDCGNRAKRDCSYKRCRTCCKGRGFDCSTHVKSTWVPASLRRSGANSGSEGNGDGDGDGGASKRLRTIGSSKNVAATSLSSTSNATPSKSFSLDTSSCQQDAGFKQSLPRHVRAPAVFRCHRVSAIGSGEDEIAYLATVHISGHVFKGFLYDHGADARNDVPSVSELQLGNNGSGKSNNRECSSAIGVPTSAYPASVC >Vigun05g012500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1006864:1008232:1 gene:Vigun05g012500.v1.2 transcript:Vigun05g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKRGCPSHSEQPMQLKPPGSPYRCSGCREVGFGRSYHCENKNCGYILHEECATAVSFAFHRFFPRSHFELYEEAPGHRVRYCDACGKDVLGFVYHCSSTGYDLHPCCLKMKDSISDEEGLVSLQLCQKVPSKCVKCKHRNVVDGIRGWSYVSSDSGGSCCYHVWCVKQLILENLNKGYFSREIGMSESESESEREYSQLAVRSMDMVRSRRSTRRSGTMKKYTKIAVLVFKLVFSAVFGNPITAIASLVEALVSD >Vigun05g012500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1006864:1008232:1 gene:Vigun05g012500.v1.2 transcript:Vigun05g012500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKRGCPSHSEQPMQLKPPGSPYRCSGCREVGFGRSYHCENKNCGYILHEECATAVSFAFHRFFPRSHFELYEEAPGHRVRYCDACGKDVLGFVYHCSSTGYDLHPCCLKMKDSISDEEGLVSLQLCQKVPSKCVKCKHRNVVDGIRGWSYVSSDSGGSCCYHVWCVKQLILENLNKGYFSREIGMSESESESEREYSQLAVRSMDMVRSRRSTRRSGTMKKYTKIAVLVFKLVFSAVFGNPITAIASLVEALVSD >Vigun03g199550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:28793861:28794178:-1 gene:Vigun03g199550.v1.2 transcript:Vigun03g199550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVFVMANSKLKKKDVRKTKGYNIKDLASDEDILVEVGEDGDASRGGAAAPMDDLEVPPIIYNDEGHGGEEINESEDHVEVDDHYPASDMKDFLGSFVCIKHL >Vigun09g199700.1.v1.2 pep primary_assembly:ASM411807v1:9:37408805:37415529:-1 gene:Vigun09g199700.v1.2 transcript:Vigun09g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLKLQQQQALMQQALLQQQQMYHPGMLAAAMSQMEPVPSGNLPPGFDTSACRSVYVGNIHVNVTDKLLAEVFQSAGPLAGCKLIRKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDHKTGRSKGYGFVSFRDHQDAQSAINDMTGKWLGNRQIRCNWATKGAGTSSNEEKSNENQNAVVLTNGSSDGGQDNNNNEDAPENNSLYTTVYVGNLPHDVTQAELHCQFHALGAGVIEEVRVQRDKGFGFVRYNTHEEAALAIQVANGRIIRGKSMKCSWGSKPTPPGTASNPLPPPAQPYQILPTAAGMNQGYSPAELLAYQRQLALSQAAVSGLSGQALLQMTGQHGLAPASMGVNSAGSQAMYDGYTGNSSRQQLMYYR >Vigun05g151500.1.v1.2 pep primary_assembly:ASM411807v1:5:23122589:23126202:-1 gene:Vigun05g151500.v1.2 transcript:Vigun05g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQCNTSQDPEVRKGPWTMEEDLILINYISNHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAENFQQQSNSNSEINDHQASTSQVSTMAEPMETYSSPCYQGMLEPFSTQFPNIPDQSSCCTNDNNNNYWSMEDIWSMQLLNGD >Vigun10g183950.1.v1.2 pep primary_assembly:ASM411807v1:10:40077484:40077920:-1 gene:Vigun10g183950.v1.2 transcript:Vigun10g183950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLITDYKCIHVPLQPNIKDSRNRFLFGYNPQKESLVAKGYRQYHTIDYHHTFRALDPMPMFIYMVSNNDQAVIPCWKREKKDETQMLNLCIELTPWSLIYTYKFIVKNTRT >Vigun10g078266.1.v1.2 pep primary_assembly:ASM411807v1:10:21305120:21305578:1 gene:Vigun10g078266.v1.2 transcript:Vigun10g078266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISTATKFLTNIHRLRTKHLFRVKLTKSQSQASHLDLPTDFAAYVRKCRFKRLELQGLTNTCIVQCKLLVRNSPKKSTKIGKGWKDFCIFNRLKEGDVIVFAAHNQMRKKKIKVYVKKEFSF >Vigun07g083400.1.v1.2 pep primary_assembly:ASM411807v1:7:12190641:12198868:1 gene:Vigun07g083400.v1.2 transcript:Vigun07g083400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAQPIFFSPNFPTLIKKTNLRASLQPHNNFPPLRVSQMPKPLGVRARPKTLLAPLQKKSGVQICHSFKKESEVGGNDERDWTTSFLLFLLWATLIYYVFFLTPNQTPSRDLYFLKKLLNLKGDDGFKMNEVLVSLWYIMGLWPLVYSMLLLPTGRSSKNSIPVWPFLILSCFGGAYVLLPYFVLWKPPAPPVEETQLKTWPLNFLESKVTALISLVAGVAIIIYAGLAGQDVWKEFYQYFRESKFIHITSIDFIVLSTFAPFWVYNDMTARKWFDKGSWLLPISLIPYLGPGLYLLLRPSLSAVSISQTPVEPE >Vigun08g002300.1.v1.2 pep primary_assembly:ASM411807v1:8:262806:264256:1 gene:Vigun08g002300.v1.2 transcript:Vigun08g002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVKVYGPPMSTAVSRVLACLLEKDVPFQLIPVNMSKGEHRTPHFLKLHPFGQVPAFQDADDISLFESRAICRYVCEKYGDRGNKDLYGSNPLAKASIDQWVEAEGQNFNPPSSTLVFQLAFAPRMKIKQDEGVIKQSKEKLAKVLDVYEKRLGENRFLAGDEFSLADLSHLPNTQYLLAAPDAAPLFSSPNVARWWDEISSRDSWKKVVDLQRGA >Vigun04g184500.1.v1.2 pep primary_assembly:ASM411807v1:4:40920764:40924624:-1 gene:Vigun04g184500.v1.2 transcript:Vigun04g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLFFDACASRGNTNMLFLGNTELAFRGRSMMSLEEASKRRPFFTSPDELYDEEYYEKQMPEKKHRLSSEQVHLLEKSFEEENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDFDVLKSSYDTLLASYDSLMKENEKLKSEVVSLNEKLQVQAKEVPEEPLYDKKVDPLPVEDIASIFSTRVEDHQSSGSVGSAVVDEGSPQLVVDSVDSHFPADNPGGCVGPVERVQSEEEDGSDDGRSYMDVFVVSETENQNHEEGEGLVWWTNMYYVG >Vigun03g326000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52229035:52230380:1 gene:Vigun03g326000.v1.2 transcript:Vigun03g326000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPRLRRILIPENWIGWPLRKDYIAPNFYEIQDAH >Vigun05g142350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18364955:18365702:-1 gene:Vigun05g142350.v1.2 transcript:Vigun05g142350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFALFLFSTLTFYPPSTTAQPVTDGDGNIVKNGGRFYILPAGLGDPGGGIRALQTDNESIPLSVVQSPFKGDIGLPIIISSPIRTEFLPEGQVTLSFEHTNREWTVVEGLPEGTLVKVQGYPHTVPGTFVIKKAEAETNRYKLSFCQGVLCGNVAVVRNENWVLAVTQDEPYVFHLEQVLPTSADA >Vigun03g297300.1.v1.2 pep primary_assembly:ASM411807v1:3:48391735:48396127:1 gene:Vigun03g297300.v1.2 transcript:Vigun03g297300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPLKELGSGNFGVARLAKDKKTGELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVLLTPTHLAIVLEYASGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGKPSPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIIGVQYSIPDYVRVSSECRNLLSRIFVADPAKRITIPEIKQNPWFLKNLPKEIIEAERKGFEETKKDQPSQKVEEIMKIIQEARVPGQGSKAGDGIGGQGGTGSLDIEDVEEIDVSGDFEHV >Vigun01g177800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35893681:35895567:1 gene:Vigun01g177800.v1.2 transcript:Vigun01g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRGSIVTRSVWSSNLESEFELIRSVIDSFPLISMDTEFPGVVVRPDTGDPSFRHREPAAHYAVLKANVDRLHLIQIGLTLSDQVGNLPTLGTSNGFVWEFNFRDFDVSRDAHAHDSVELLRRQGIDFEKNREFGIDSVRFAELMMSSGLVCDDPVSWVTFHSAYDFGYLVKLLTQRALPEELAEFLRLVRVFFGDRVFDVKHLMKFCSNLHGGLDRVCQSLNVERVVGKSHQAGSDSLLTLHAFQNIREVYFGKADGLIQYAGVLYGLEVF >Vigun11g096400.1.v1.2 pep primary_assembly:ASM411807v1:11:28024022:28027329:1 gene:Vigun11g096400.v1.2 transcript:Vigun11g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCHFQVIIGDTTSELLEKNAHVLNNISTNLEKKEKVQKTKTKLTKRKLEDLNVEMVTLEQDLSILKYPSNAFELFLC >Vigun11g096400.2.v1.2 pep primary_assembly:ASM411807v1:11:28024022:28027329:1 gene:Vigun11g096400.v1.2 transcript:Vigun11g096400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHPCWPRMLKCHFQVIIGDTTSELLEKNAHVLNNISTNLEKKEKVQKTKTKLTKRKLEDLNVEMVTLEQDLSILKYPSNAFELFLC >Vigun03g302800.1.v1.2 pep primary_assembly:ASM411807v1:3:49259853:49260927:1 gene:Vigun03g302800.v1.2 transcript:Vigun03g302800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Vigun08g181701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35139233:35140981:-1 gene:Vigun08g181701.v1.2 transcript:Vigun08g181701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATLVATVAFAAALTVPGDKKNPWFIVFIVTNAVAYSLLLPPYSLSCQISLLQDLQKLNLLYHCIPA >Vigun07g131300.2.v1.2 pep primary_assembly:ASM411807v1:7:24095615:24097694:1 gene:Vigun07g131300.v1.2 transcript:Vigun07g131300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PKDSVEQLLLQWGELEGIPASQCQYYRIWGSWRWSHSQYKSISECHLLPKFICRQRWSQAFCPPGRWLTGSFDLISHLTLWLDNDAVILGSTNSEDWPVVEPLPSYGRGRELPGGRHRSLIYGRNLTDVVITGNNGTVDGQGSIWWNKFRNKTLDYTRPHLVELMNSTGVLISNVTFLNSPFWTIHPVYCSHVTIHNVTVISPRGSPNTDGINPDSSDNVCIEDCYISTGDDLVSIKSGWDGYGISFGRPSRNINIRRLIGKTTSAGIAIGSEMSGGVSEVHVEDIYIFDSHTAIRIKTSPGRGGYVRNVYVSNVILANVDIAIRFTGLYGEHPDDNYDPDALPVIERITIKDVIGKKVKRAGLIQGIKGDTFVNICLSNITLNVTSKLPWNCSYVKGYSALVSPEACEPLNQRISPEHCSDCCYLPNHITSLGNQNWGAWV >Vigun08g113750.1.v1.2 pep primary_assembly:ASM411807v1:8:28045115:28046067:1 gene:Vigun08g113750.v1.2 transcript:Vigun08g113750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFSSKFHYSLVTLGRKESEGASLSPFMDTE >Vigun04g088900.2.v1.2 pep primary_assembly:ASM411807v1:4:15543359:15546293:-1 gene:Vigun04g088900.v1.2 transcript:Vigun04g088900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKK >Vigun04g088900.1.v1.2 pep primary_assembly:ASM411807v1:4:15543359:15546293:-1 gene:Vigun04g088900.v1.2 transcript:Vigun04g088900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKK >Vigun10g042700.1.v1.2 pep primary_assembly:ASM411807v1:10:5973778:5976649:-1 gene:Vigun10g042700.v1.2 transcript:Vigun10g042700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKLQRRYDVLINFNGEDIHRKFVSHLDSALSTVGFTTFLHEENTMKGMNIQEPILNLCRVAIVVFTKTYSQSSWCLNQLQQIIKWNETYCRHVLPVYYEIQPSDVRLQKGDFGKALEVTAQQTFSGHHQERGMSKWSQALTKAANFFGWDESNHRSDAELVEKIVKSVLNLPILSATKFPVGLHSRVEKVIGTIKNNSTKVCIIGICGEGGSGKTTLARAIYHQIQLRFTEKSFIEDIGQVGGIKGDLRLREQLLLDILKTKVEIPSVDMGRSMIRERLSGKRMLIVLDDVPYCSILLDLWDRFKWLGGGTVVIITTRDESILTIPQGYSVFRTKLMNAKESLELLSWHAFREAKPKEEYYGLAKRVVHNCGGLPLALEVIGSTLFERTKYIWHSVLFKLEKIPRYNVIEKLKISFDSLSNQMERYIFLDVCCFFVGKGIAYATKILNGCGVEANNGIRVLIERSLIKVKKNNKCGMHPLLQEMGITLSREISLKEPGENRRLWFHKDEKYGTKAMHWLPLNQDQVQLAVNSEYLFQKLRWISLHGFSSEYLHNKFYVHDAIAIDLKHSLLRLVWKEPQVLRSLKVLNLSHCKYLTTTPDFTGLQSLEQLILKCCPRLRKVHQSIGCLGNLLLLNLKDCTNLSNLPRGIYKLKSLKTLILSGCSKIDLMEKDTAEMESLITLIAENTAVKELPISIVSSKSIGYISLGRFERLSPNIFPSVIRSWISPTMNPISYMHSFCMDIEDNNWDDIAPLLSTLRNLRSVLV >Vigun07g139400.3.v1.2 pep primary_assembly:ASM411807v1:7:24913373:24918374:1 gene:Vigun07g139400.v1.2 transcript:Vigun07g139400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKLRRFQEAEDDCTEALDLDDRYIKAYSRRATARKELGKIKESMEDAEFALRLEPNNQEIKKQYADAKSLYEKDILQKASGALRSTVQGTQKVGKSEVKVNGGSIHPISHGTQKSGPAEVNHKKVSEQQIPVKESLITEEVDSRDTVTRKRPQAQGVDDSKKGLSASNSLEQRNHRIKPEMKASVQQLASRAASRAMAEAAKNITPPTTAYQFEVSWRAFSGDLALQARLLKAISPQELPKIFKNALSSTLLVDIIKCLSSFFTEDMDLVVSYMEHLIKVPRFDMIVMCLSSTNKDDIRKIWDEVFKSEATPIEYAEILDNLRSKFCLGQ >Vigun07g139400.4.v1.2 pep primary_assembly:ASM411807v1:7:24913637:24918375:1 gene:Vigun07g139400.v1.2 transcript:Vigun07g139400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNHKLAEVCTFYSKDLLWNVESQFTKASSSRLTGSSPGLYGLSKINDPLNRLHGSFVPEDVPDAASEKDLGNEFFKQKKFKEARDCYSRSIALSPTAVAYANRAMANIKLRRFQEAEDDCTEALDLDDRYIKAYSRRATARKELGKIKESMEDAEFALRLEPNNQEIKKQYADAKSLYEKDILQKASGALRSTVQGTQKVGKSEVKVNGGSIHPISHGTQKSGPAEVNHKKVSEQQIPVKESLITEEVDSRDTVTRKRPQAQGVDDSKKGLSASNSLEQRNHRIKPEMKASVQQLASRAASRAMAEAAKNITPPTTAYQFEVSWRAFSGDLALQARLLKAISPQELPKIFKNALSSTLLVDIIKCLSSFFTEDMDLVVSYMEHLIKVPRFDMIVMCLSSTNKDDIRKIWDEVFKSEATPIEYAEILDNLRSKFCLGQ >Vigun07g139400.2.v1.2 pep primary_assembly:ASM411807v1:7:24912938:24918374:1 gene:Vigun07g139400.v1.2 transcript:Vigun07g139400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFTKASSSRLTGSSPGLYGLSKINDPLNRLHGSFVPEDVPDAASEKDLGNEFFKQKKFKEARDCYSRSIALSPTAVAYANRAMANIKLRRFQEAEDDCTEALDLDDRYIKAYSRRATARKELGKIKESMEDAEFALRLEPNNQEIKKQYADAKSLYEKDILQKASGALRSTVQGTQKVGKSEVKVNGGSIHPISHGTQKSGPAEVNHKKVSEQQIPVKESLITEEVDSRDTVTRKRPQAQGVDDSKKGLSASNSLEQRNHRIKPEMKASVQQLASRAASRAMAEAAKNITPPTTAYQFEVSWRAFSGDLALQARLLKAISPQELPKIFKNALSSTLLVDIIKCLSSFFTEDMDLVVSYMEHLIKVPRFDMIVMCLSSTNKDDIRKIWDEVFKSEATPIEYAEILDNLRSKFCLGQ >Vigun07g139400.1.v1.2 pep primary_assembly:ASM411807v1:7:24912938:24918374:1 gene:Vigun07g139400.v1.2 transcript:Vigun07g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQGFLNDLQDWELSRKDKTQTVKSQQENQFTKASSSRLTGSSPGLYGLSKINDPLNRLHGSFVPEDVPDAASEKDLGNEFFKQKKFKEARDCYSRSIALSPTAVAYANRAMANIKLRRFQEAEDDCTEALDLDDRYIKAYSRRATARKELGKIKESMEDAEFALRLEPNNQEIKKQYADAKSLYEKDILQKASGALRSTVQGTQKVGKSEVKVNGGSIHPISHGTQKSGPAEVNHKKVSEQQIPVKESLITEEVDSRDTVTRKRPQAQGVDDSKKGLSASNSLEQRNHRIKPEMKASVQQLASRAASRAMAEAAKNITPPTTAYQFEVSWRAFSGDLALQARLLKAISPQELPKIFKNALSSTLLVDIIKCLSSFFTEDMDLVVSYMEHLIKVPRFDMIVMCLSSTNKDDIRKIWDEVFKSEATPIEYAEILDNLRSKFCLGQ >VigunL081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:10808:11413:-1 gene:VigunL081500.v1.2 transcript:VigunL081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >VigunL045400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:471093:471224:-1 gene:VigunL045400.v1.2 transcript:VigunL045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Vigun05g291000.1.v1.2 pep primary_assembly:ASM411807v1:5:47712694:47721817:-1 gene:Vigun05g291000.v1.2 transcript:Vigun05g291000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDLSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLESLSKKLKSKTVRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPVEATSVEEYLQQVHEMAMVSAVQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNIAANSSVGTLPGQIASVSSTPQVSSGSSSMEIVPLTGRPIVEKKASVYAEVVKNLNKARESGLPFKPAAAFKGAYENLGIDTSSGKSVTMRKIWHLVQMLMGEDSAPQRVSKRMSLIIGARRHLEWGHEKYIMDTIQSHPAQAALGGGVGNLQRIRAFLRIRLRDYGVLDFDASDARRQPPVDTTWQQIYFCLRSGYYDEARNIALSSRASHQFAPLLTEWINTGGMVPKETASTASEECEKMLRTGDRVGRTAYDKKKLLLYAIISGSRRHIDRLLRDQPTLFSTIEDFLWFKLSAVRDCPSGPSSLVLSDGLIPYSLDDLQSYLNKFEPSYYTKNGKDPLVYTYILLLSIQLLPAVLYLSKEAGDEGYNIDAVHLSIVLADHGVLSEGAGSGQKLGMMDAYAEVSTIIRQYGSMYLRLGDLQMALEFYAQAAAAVGGGQLSWTGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGARGSGEEGELGRFVTDPKARQQFLIEAACQCQEAGMYDKSIEIQKRVGSFSMALDTINKCLSEAISALFRGRLDGESRTAGLIHSGNEILETYTYYPDVSLQEREHVFEQQTVLRQLESILSIHKLARLGHYVDALREVAKLPFLPLDPRSPDSAVDAFENLSSHVQACIPDLLKVALTCLDNVTDSDGSLRALRAKIATFIANNLKRNWPRDLYERVAQRL >Vigun07g279000.1.v1.2 pep primary_assembly:ASM411807v1:7:39398871:39402610:-1 gene:Vigun07g279000.v1.2 transcript:Vigun07g279000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLRPDRLSPGSVFLRPPVRPLLHKPIAAEPWRAAPPRIQCRRRTVAPVHAGSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNAILANCKDDQDAVAQVEAAYDMLLMQSLTQRRAGKVANSSVRYADVKRVKPPTTGSMPQWLKNSPVSVESPSTSDLGLQAGVYGALMGLTYLNGASTPVAAYAGADVPGLLLAGSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSAVENWLQVDIVPFLGIHSPAAVVSEIIIISQFLVSLYLR >Vigun07g279000.2.v1.2 pep primary_assembly:ASM411807v1:7:39398546:39402350:-1 gene:Vigun07g279000.v1.2 transcript:Vigun07g279000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLRPDRLSPGSVFLRPPVRPLLHKPIAAEPWRAAPPRIQCRRRTVAPVHAGSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNAILANCKDDQDAVAQVEAAYDMLLMQSLTQRRAGKVANSSVRYADVKRVKPPTTGSMPQWLKNSPVSVESPSTSDLGLQAGVYGALMGLTYLNGASTPVAAYAGADVPGLLLAGSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSAVENWLQVDIVPFLGIHSPAAVVSEIIIISQFLVSLYLR >Vigun11g157200.4.v1.2 pep primary_assembly:ASM411807v1:11:36590651:36595372:-1 gene:Vigun11g157200.v1.2 transcript:Vigun11g157200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFSEYVPERTLMRVHPARPVNGNSLAFLITVILIFMILNSPQMLHHFLLWVVLAIFVMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAIATRGRLQGLRLQLALLDREFDELDYETLRALDTDTAPSTRSMTEEEINALPIHTYKVPVPPKDGSAGLASSSGAAEIKQESGGTEAGIRGSEDELTCTICLDQVKRGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKLRIGSGSGGSRESESDGSDIA >Vigun11g157200.5.v1.2 pep primary_assembly:ASM411807v1:11:36590702:36595162:-1 gene:Vigun11g157200.v1.2 transcript:Vigun11g157200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFSEYVPERTLMRVHPARPVNGNSLAFLITVILIFMILNSPQMLHHFLLWVVLAIFVMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAIATRGRLQGLRLQLALLDREFDELDYETLRALDTDTAPSTRSMTEEEINALPIHTYKVPVPPKDGSAGLASSSGAAEIKQESGGTEAGIRGSEDELTCTICLDQVKRGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKLRIGSGSGGSRESESDGSDIA >Vigun11g157200.2.v1.2 pep primary_assembly:ASM411807v1:11:36590701:36595162:-1 gene:Vigun11g157200.v1.2 transcript:Vigun11g157200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFSEYVPERTLMRVHPARPVNGNSLAFLITVILIFMILNSPQMLHHFLLWVVLAIFVMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAIATRGRLQGLRLQLALLDREFDELDYETLRALDTDTAPSTRSMTEEEINALPIHTYKVPVPPKDGSAGLASSSGAAEIKQESGGTEAGIRGSEDELTCTICLDQVKRGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKLRIGSGSGGSRESESDGSDIA >Vigun11g157200.1.v1.2 pep primary_assembly:ASM411807v1:11:36590651:36595299:-1 gene:Vigun11g157200.v1.2 transcript:Vigun11g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFSEYVPERTLMRVHPARPVNGNSLAFLITVILIFMILNSPQMLHHFLLWVVLAIFVMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAIATRGRLQGLRLQLALLDREFDELDYETLRALDTDTAPSTRSMTEEEINALPIHTYKVPVPPKDGSAGLASSSGAAEIKQESGGTEAGIRGSEDELTCTICLDQVKRGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKLRIGSGSGGSRESESDGSDIA >Vigun04g060200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6112236:6116134:1 gene:Vigun04g060200.v1.2 transcript:Vigun04g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASSLTITFSSSSSSPPHPPSSSSHCGSVIKGNSLMQFLFLVFVVLLTLQNDAVAIDSDKSALLRMKASLSDPAGVLSTWTTADGSDSSHSGHCYWSGVLCDANSRVVAVNVTGNGANRTSHPCSDSSKFPLYGFGIRRTCKGSKGSLFGNVSSVGFNFISELTELRVLSLPFNALEGEIPEAIWGMEKLEVLDLEGNLISGYLPLRINGLRKLRVLNLGFNRIIGEVPSSIASLESLEVLNLAGNELNGSVPGFVGRLRGVYLSFNQFSGVVPREIGENCWKLEHLDLSGNSLVQGIPVSLGNCERLRTLLLYSNLLEEGIPGELGKLKSLEVLDVSRNTLSGSVPGELGNCSELSVLVLSNLFDPRGDVAGDFGKLGSVNDELNYFEGSMPVEVLSLPKLRILWAPMVNLEGSFQGNWGGCQSLEMVNLAQNFFSGEFPNRLGVCKRLHFLDLSGNNLTGVLSKELHVPCMSVFDVSGNMLSGSVPDFSNTVCPPVPSWNGNLFEDGNVSSPYASFFLSMVHERSLFTSMGGIGTSVVHNFGQNSFTGIQSLPLPHDRLGKKNGYTFLVGGNILTGTFPTYLFEKCDRLDAFLLNASYNNISGHIPSNISRMCRSLKFLDVSGNQLAGPIPVDLGNIVSLVSLNLSRNQLQGQIPTSLGQMKNLKFLSLAGNKLNGSIPTSLGQLYSLEVFDLSSNSLTGEIPKAIENMRNLTDVFLNNNNLSGHIPDGLAHVTSLSVFNVSFNNLSGYLPSNSGLFKCSSAVGNPYLSPCRGVSLAVPSGNQPGPIDSNSYNSETEQATGKKSGTDFSSIEIASITSASAIVSVLIALIILFFYTRKWKPRSRVVGSTRKEVTVFTDIGVPLTFESVVQATGNFNAGNCIGSGGFGATYKAEIASGILVAVKRLAVGRFQGVQQFDAEIKTLGRLHHPNLVTLIGYHACETEMFLIYNYLPGGNLEKFIHERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSFGNGFNIVAWACMLLKQGRANEFFTAGLWEAGPGDDLVEVLHLAIVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Vigun06g169600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29117071:29121557:-1 gene:Vigun06g169600.v1.2 transcript:Vigun06g169600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMLYTCCNCVLDLLHFIYLDHDSNMSCCYSMALPYPFSYLRFSSSFFISHHRNITPVPVLPKFPLQPLIRHTTPSPLLNITPNQKSQPASTPRLHQDPNCLALIPHLHCHSSPPKHHPSLHSHSLCFTHHHLHLHVPPAKNNKTSTHNTLLLTTDTIHQPTHIQFLHLCYIQIQRATQNEIPPVRVFSWNKNHNTQNTKSQPVENKIEEEKIAGGCRRRVREGAERRRCILLFPIRRATVGGLGKRRCSA >Vigun06g169600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29117071:29121557:-1 gene:Vigun06g169600.v1.2 transcript:Vigun06g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMLYTCCNCVLDLLHFIYLDHDSNMSCCYSMALPYPFSYLRFSSSFFISHHRNITPVPVLPKFPLQPLIRHTTPSPLLNITPNQKSQPASTPRLHQDPNCLALIPHLHCHSSPPKHHPSLHSHSLCFTHHHLHLHVPPAKNNKTSTHNTLLLTTDTIHQPTHIQFLHLCYIQIQRATQNEIPPVRVFSWNKNHNTQNTKSQPVENKIEEEKIAGGCRRRVREGAERRRCILLFPIRRATVGGLGKRRCSA >Vigun06g169600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29117071:29118875:-1 gene:Vigun06g169600.v1.2 transcript:Vigun06g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMLYTCCNCVLDLLHFIYLDHDSNMSCCYSMALPYPFSYLRFSSSFFISHHRNITPVPVLPKFPLQPLIRHTTPSPLLNITPNQKSQPASTPRLHQDPNCLALIPHLHCHSSPPKHHPSLHSHSLCFTHHHLHLHVPPAKNNKTSTHNTLLLTTDTIHQPTHIQFLHLCYIQIQRATQNEIPPVRVFSWNKNHNTQNTKSQPVENKIEEEKIAGGCRRRVREGAERRRCILLFPIRRATVGGLGKRRCSA >Vigun07g095800.1.v1.2 pep primary_assembly:ASM411807v1:7:15756887:15758292:1 gene:Vigun07g095800.v1.2 transcript:Vigun07g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLVEGGSARAEDHFATAAGEEKSSWTEVAKLVVSRHHFYRRTRRWESHIWDCGKQVYLGEVAIRGFVLDYVFHLSSLSTRGSGRQKRPEEEREGLVRRTWGATSLLVTTWASPKRLMLSGSSLGAAWRKKIVGRG >Vigun07g095800.2.v1.2 pep primary_assembly:ASM411807v1:7:15756887:15758292:1 gene:Vigun07g095800.v1.2 transcript:Vigun07g095800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLVEGGSARAEDHFATAAGEEKSSWTEVAKLVVSRHHFYRRTRRWESHIWDCGKQVYLGEVAIRGFVLDYVFHLSSLSTRGSGRQKRPEEEREGLVRRTWGATSLLVTTWASPKRLMLSGSSLGAAWRKKIVGRG >Vigun05g266500.1.v1.2 pep primary_assembly:ASM411807v1:5:45860475:45864499:-1 gene:Vigun05g266500.v1.2 transcript:Vigun05g266500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLHALSTPVRVWPHWEVGSTKRKHIVCNAQKEDVEEGEVTTLSHVSRRLALGTALIGGAAAAGAKVSPANAADDAELSLDQPAFITTLPASISSEEYPASVVEALSLNRTSFPKGFVFGTASAAYQYEGAAFEDGRKASIWDAFTHRYPERIRDRSNGDVAVDEYHRYREDIQIMKDMNLDAYRFSISWSRIVPNGKVGPYEEGVNQAGIDYYNRLIDYLIDNGLKPYVTLFHWDLPQALEEEYGGFLSHHVVDDFRDYARVCFKNFGNRVKHWITLNEPWSYSNNGYAVGTFAPARCSEWQDPTCLGGDSGREPYIVTHNLLLSHAAAVEEYRKFQEYQEGMIGITLISHWYEPQTDSESDKDAAKRALDFMFGWYMEPLTTGKYPKSMRYLVGNRLPEFSKHESKLLADSYDFIGINYYTTVCVADNPSVQPESKRSYSTDPNVIYSTQRNGVLIGVPTASDWLYVCPKGIKKLLLYTKKEYNDPVIYITENGRGNDIGEEHDTLEESLIDVYRIDFYYRHLYYLLSAIRDRVKVKEYFAWSLLDNFEWKDGYLVGFGLNYVDRKNKLKRYPKLSAKWFKNFLQKA >Vigun02g069100.1.v1.2 pep primary_assembly:ASM411807v1:2:21924111:21928459:1 gene:Vigun02g069100.v1.2 transcript:Vigun02g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEHLMECKPLSELEVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGSAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >VigunL059180.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000046.1:208614:209476:1 gene:VigunL059180.v1.2 transcript:VigunL059180.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNCVRVTTMAMVVPSLRPTCCASSSSSSASTIVINTEQLCSQIDHLHAEADATRAKATNARLRLLRLSEVAEKLPK >Vigun10g198800.1.v1.2 pep primary_assembly:ASM411807v1:10:41136346:41139681:1 gene:Vigun10g198800.v1.2 transcript:Vigun10g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKMKKFRKSPRADGEKNLSDKAVAEPEEPKLNANGPDQSKSGNADSAGEAEDDDDFITNEVKRRLKELRRNSFMVLIPEEDSCPEEGEDEEEEGETSSNEWRDVEAEGQQWWRGFDAVFEKYCERMLLFDRMSTQQLREAGKGSQHTSTPSPRSASKKLASPLRCLSLKRFEEPDDETEHLQQPENDPYQDIETAYVGQICLTWEALHCQYSHMSQKISWQHDNPTCYNHSAQEFQQFQVLLQRFIENEPFEHGRRAEIYARTRNKLPKLLQVPNIRGSDHELTDDSEIRVLAPDLIRIIESSILTFHLFLKRDKKKSSGTTNLFGNHNQLATPLHQIQSTLEKKVVKLKELRRKKKGWKKNCWPQKHEDIQLLLGLVDVKMVSRVLRMTRMSREQLFWCEEKMKKLDLSNGRLERDPCPILFPC >Vigun07g079650.1.v1.2 pep primary_assembly:ASM411807v1:7:11072714:11074170:-1 gene:Vigun07g079650.v1.2 transcript:Vigun07g079650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLFFFLHSQCLTVSSISLFSLSTLCVKELLTFSLIHILRSLPFFSPSLICFFFFACTCFGFGFLILLSLEGFIFRNLLDLEGLIIISPPHYWVFKDIFENEKEKTEKFLKDEGKTLNQKVEVGFKKIWLFK >Vigun01g125200.1.v1.2 pep primary_assembly:ASM411807v1:1:30201543:30201907:-1 gene:Vigun01g125200.v1.2 transcript:Vigun01g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDKVFVDKLRQIFASFHESELHLFPFPLLFSSPVALTLALLHTRNYKLLKLSCLPYID >Vigun07g110000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20382456:20385604:1 gene:Vigun07g110000.v1.2 transcript:Vigun07g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAQAYQHRPLAIKLWPPSQGTRLMLVERMTKNLTTPSIFSRKYGLLSKEEAEEDAKQIEDDAFATATQHFEKEPDGDGSSAVQIYAKESSKLMLEVLKRGPRVKEGGELTSGKADATAETVFDISGGRRAFIEGKEAAELLEPLRGPNSYTKIIFSNRSFGLDAARVAEPILISIKDQLKEVDLSDFIAGRTEAEALEVMSIFSSALEGCALRYLNLSNNAVGEKGVRAFRSLLKSQINLEELYLMNDGISEEAAKAVSELLPSTEKLRVLHFHNNMTGDEGAIAIAEIVKHSPALEDFRCSSTRVGSDGGVALAEALGACKHLRKLDLRDNMFGAEAGVALSKVIPAYTDLTEIYLSYLNLEDDGAEALANALKESAPSLEILDLAGNDITAEGAVSVAACISSKQFLTKINLSENELKDEGVALISKALEVGRQLIEVDLSTNSITWSGAKLVAEAVVGKPGFKLLNINANFISDEGIVELKNIFKNSPDMLGPLDENDPEGEDNEGKDEEDGEHDELESKLKGLGI >Vigun06g139700.1.v1.2 pep primary_assembly:ASM411807v1:6:26509224:26518479:-1 gene:Vigun06g139700.v1.2 transcript:Vigun06g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLAIPAENFPFCTIEPNEARVNIPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEIITEELRLKDVEFMERKIEDVEKSMKRSNDKQLKIELECCQRVKALLQEGKDVRLGEWKAADIEILNSFQLLTAKPVIYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEQIIPFSCAFERNLSDLPPDEAAKYCEENKIQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Vigun03g057000.1.v1.2 pep primary_assembly:ASM411807v1:3:4626699:4627148:-1 gene:Vigun03g057000.v1.2 transcript:Vigun03g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTILGFMLLLVVIFASDVGVKRTEAKECEVTAKIFKGQCIIEKDCEIICKMEGFPTGRCENQQCMCIQPNC >Vigun03g363900.1.v1.2 pep primary_assembly:ASM411807v1:3:56749089:56753338:-1 gene:Vigun03g363900.v1.2 transcript:Vigun03g363900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKQVGETREDTKMGDSSPKAYDNDTMVFISMSQELKNEGNKLFQKRDLEGAMLKYEKALKLLPRNHIDVSYLRSNMAACYMQMGVSEFPRAIHECDLALVVTPKYSKALLKRARCYEALNRLDLALRDVSTVLKMEPNNAMALEISEKVKNALEEKGLRVNDTIIELPPNYVETPSALTPEKVVKENMRKKGYEEEKKAPNDKILERKTEEKKINTSKKKKAKEKNDEKKADIKEVIEERSNGRREHVPNKTVKLIFGDDIRCAELPINCSLFQLREVIQDRFSSLGAVLVKYRDQEGDLVTITSDDELRRVETGSHSCIRLYIVEATPEQDPLFEKFKVKEGEVVGINIAVENGCVGKANGTVSSSCIEDWIIQFAKLFKNHVGFECDRYLDFHEHGMKLYSEAMEETVTGEEAQGLFDMAGDKFQEMTALALFNWGNVHISKARKKVYKTEDSSKEHVCELIKSSYEWALEEYSKAGEKYDAAIKIKSDFHEGFLALGLQKFEQAKLLWYHALSSNVDLLTLPSSEVLHLYNNAEENMEKGMQIWEESEKQKLSKMSDSNYSILDLQKMGLDGVFQSMSLEELAAQEAHMRSQINLLWGTILYERSIVEFKSGLPVWHETLAASVEKFELAGASPTDIAVILKNHCSNNTAVDGLAFKIDEIVQAWNEMYKAKMWKSGVPSFRLEPLFRRRVSKTYHAFELA >Vigun03g318800.1.v1.2 pep primary_assembly:ASM411807v1:3:51348767:51351484:1 gene:Vigun03g318800.v1.2 transcript:Vigun03g318800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMLFSTFYLSLPFFISVLFLFKLARKTKSKPNLNLPPSPPKLPFIGNLHQLGTLPHLSLRDLSLKYGDIMLLQLGQMQNPTVVVSSVDAAMEIMKKHDMAFSNRAQNTAAKIFLYGCIDIAFGNYGETWSQKKKIWAHELLSPKMVQSFHEIREEEVAKVVKKVREVSSREECYVNLGEMLMSTSNNIVCKCALGRKYTGDGYSRVKELAREVMIHLTAFTVRDYFPWLGWVDVVTGKIQKYKAIFQSLDVLFDQAIAEHLTLKKESNHSRKKDLLDILLHFQENNMLNFELTKNDLKALLMDMFVGGTDPAAVTLNWAISELARNPAIMKKVQEEVRRVVGHKSCVEEDDINEMHYLKCTVKETLRLHPPAPLLAPRVTISGVKLKGYDIPAESTVYINAWAIQRDPSFWESPEEFQPERFEKSEVDFKGHHSQFIPFGFGRRGCPGMHFGVANVEYVLANLLYWFDWKLSESDELKQDIDMNEVSGLVVSKKTPLYLKPIAYSFSSKS >Vigun03g061400.1.v1.2 pep primary_assembly:ASM411807v1:3:5037179:5046241:-1 gene:Vigun03g061400.v1.2 transcript:Vigun03g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALTLHLCRLGRNLCTKLFLTPSPALPNPRRVTFRRKFRRQISFSSSFSRRFCASSTSRVVDCESGVVDEKYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNVSRGPAVRALRAQTDKREYAWQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMKFYAPSIILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDIRTVNFSVLEPQHGDQEVSWFSFDPEVHIEREQMCCYLTRTTSTTHQIIRENLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRTLPGLEKCSMLRPAYAVEYDYLPAHQCARSLMTKKIQGLFFSGQINGTTGYEEAAAQGIISGINAARHADDKPLIVLERESSYIGTLIDDLVTKDLREPYRVLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISGEKKRLKSVRISGGDLAAEVTSLSGQPVKDSSTLESLLKKPHIEYEILDKHGFGDKSLSRMEKECVEIDIKYEGFILRQQSQLQQLVAQHHRPLPEDLDYYAMTTLSHEAREKLSKVRPQTIGQATRVGGVSPADITALLIVLETRRRKAQEQRRHKMMNAVQANNQVEMPEVSLTEKVSSGLPCNCYTRRNQNRAPQMQPASYPMPPQCSSLQH >Vigun02g125800.1.v1.2 pep primary_assembly:ASM411807v1:2:27799356:27806148:-1 gene:Vigun02g125800.v1.2 transcript:Vigun02g125800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSRATLQFLLRSFPHCSNNPPLLPSARLSSHFPSGFDEKRRFSQRNIVHGDRRFLSLFNLVRNFVETKQWGVGIQRKCYGSSAGLVQRNPRFSKFNDDDVRYFEGILGSKNVIQDEDKLATSNTDWMHKYKGSSKLLLQPRTTDEVAQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIISLSSMNKIISFDKVSGILVCEAGCILENIISFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGVEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNVAFLACKDYNSCQKLLQEAKRKLGEILSAFEFLDSQSMNLVLNHLEGARNPIPTSLHNFYVLIETTGSDESSDKQKLEAFLLGSMENELISDGVLAQDINQASSFWLLREGIPEALMRAGAVYKYDLSIPLEHMYNLVEEMRSRLGNTANVIGYGHLGDSNLHLNVSTPHYDDKILSQIEPFVYEWTSKHRGSISAEHGIGLMKANKIYYSKSRETVQLMASIKNLMDPNHILNPYKVLPYSLTS >Vigun02g125800.2.v1.2 pep primary_assembly:ASM411807v1:2:27799355:27806149:-1 gene:Vigun02g125800.v1.2 transcript:Vigun02g125800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRGWFKGTPDSQSLTMMTLDTSRVYWVVKMLFRMRTSLPLQTLIGCINTKAPVAQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIISLSSMNKIISFDKVSGILVCEAGCILENIISFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGVEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNVAFLACKDYNSCQKLLQEAKRKLGEILSAFEFLDSQSMNLVLNHLEGARNPIPTSLHNFYVLIETTGSDESSDKQKLEAFLLGSMENELISDGVLAQDINQASSFWLLREGIPEALMRAGAVYKYDLSIPLEHMYNLVEEMRSRLGNTANVIGYGHLGDSNLHLNVSTPHYDDKILSQIEPFVYEWTSKHRGSISAEHGIGLMKANKIYYSKSRETVQLMASIKNLMDPNHILNPYKVLPYSLTS >Vigun02g125800.3.v1.2 pep primary_assembly:ASM411807v1:2:27799355:27806148:-1 gene:Vigun02g125800.v1.2 transcript:Vigun02g125800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSRATLQFLLRSFPHCSNNPPLLPSARLSSHFPSGFDEKRRFSQRNIVHGDRRFLSLFNLVRNFVETKQWGVGIQRKCYGSSAGLVQRNPRFSKFNDDDVRYFEGILGSKNVIQDEDKLATSNTDWMHKYKGSSKLLLQPRTTDEVAQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIISLSSMNKIISFDKVSGILVCEAGCILENIISFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGVEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNVAFLACKDYNSCQKLLQEAKRKLGEILSAFEFLDSQSMNLVLNHLEGARNPIPTSLHNFYVLIETTGSDESSDKQKLEAFLLGSMENELISDGVLAQDINQASSFWLLREGIPEALMRAGAVYKYDLSIPLEHMYNLVEEMRSRLDSVTN >Vigun02g170400.1.v1.2 pep primary_assembly:ASM411807v1:2:31345822:31355721:-1 gene:Vigun02g170400.v1.2 transcript:Vigun02g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQGGNSHRNGTHSHRTSLKPHVKSKPAQRRNSTGKDHAAVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDADTYEFDEVLTEFSSQKRVYEVVARPVVESVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDNAARGIMVRAMEDILADISLETDSVSVSYLQLYMETIQDLLDPANDNIAIVEDPKTGDVSLPGASLVDIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVKGRDGALSSENGNHQHMVKSIKPSLVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETSSTIMFGQRAMKVENMVKLKEEFDYKSLSRRLDIELDKLIVEHERQQKAFEDEVERLATEAQQRISDAERNYVDLMEKERSKYQKDYMESIKKLEEQLVMNQRKNEELHMKSSGEIPRVSLEELADLKKMLQKETHLRKAAEGELNNIKSQVAELKKSEASGKSEILKLHNMLEDKEHQTKKLEGEIAILQSHLLQLRLEADETRRHFDRGGFEKETGGPNSLTAQVKQQQQQQQASGNGEKPSIAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQGKIVEAGGLTSLLNLLKSSQDETIHRVAAGAIANLAMNEANQELIMVQGGISLLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIKALLGMVRCRHPDVHAQVARGIANFAKCESRASSQGTKSGRSFLIEDGALPWIVQNANNEASSVRRHIELALCHLAQHEINARDMISGGALWELVRISRDCSREDIKTLAHRTLLSSPTFQAEMRRLRVN >Vigun06g104000.1.v1.2 pep primary_assembly:ASM411807v1:6:23341624:23343974:-1 gene:Vigun06g104000.v1.2 transcript:Vigun06g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDLLKIQTCVLKVNIDCDGCAQKVKKTLQKIDGVYSVKVDAGEGRVVVAGDVDPEKLVKKIKMGGKHAEIYGGQNMNHHINPKFQNFHVDNSKVGRDTKSQNQKGQKGGDGGQIGQIGQIAHFPNMKGVQDLKVPVKEQKSVRFNLPEEEFDASDDGFDEYEDSFDEYDDEEEEEEEVIAHGHGHGHGHGNGNSVHHKKMMPKMGDGGGPHGPGGVMNGPAINNGHKDNAGGSRNNNGSDKKGGVIDEAMVMKGKGGNYNEAKVDDGEGKKSSQKGKKKKKDGGLLGRFLGLGRKSKKVGLEEVTYSSNSKNKDNGSGNKKEKEGKMKDHSNGKKDFDSLDYDKTPLLHKNGKVGPSQKGYDAMEQHQGMQMQHVPYNNLQQQQQQQYMMGMMMNQPQHQHQHHQHQHHYQHEANMNNMYPTNMMYGRPHPYMNYMAPPPMPSHPMADPITHTFSDENVESCRII >Vigun09g081100.1.v1.2 pep primary_assembly:ASM411807v1:9:9643926:9646152:1 gene:Vigun09g081100.v1.2 transcript:Vigun09g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWLRPEVYPLFAAVGAAIGICGFQLVRNICINPEVRVNKEGRKAGVLENFAEGEKYTEHFLRKYVRNRSPEIMPSLNNFFADPSRN >Vigun01g009600.3.v1.2 pep primary_assembly:ASM411807v1:1:1110408:1125037:-1 gene:Vigun01g009600.v1.2 transcript:Vigun01g009600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNDCDVGDTSLTTSLFDGEASVLSSTFLLDHVGEVTVTFHSDRLNWKLVGSLDNMYRFTVHGFIRSKNQPSQCILADYTFGHTNLQVCRKWVNQLNASLKQEVGRPKNLLVFVHPRSGKGNGCRTWEAVAPIFSRARVETKVIVTERAGEAFDVMSSITNVELNSYDGVVAVGGDGFFNEILNGFLSPRFKAPYPPTPSDFVHGVKDNGDSLVHDGDEIVAETSSKMEDQFPLISSPKQFGSRISNLSSEDKAAEFPVPNEWFRFGIIPAGSTDAIVICTTGTRDPITSALQIVLGKRVHLDIAQVVRWKMTPRSEVEPNVRYAASFSGYGFYGDVITESEKYRWMGPTRYDYAGTMVFLKHRSYEAEIAYLDVESDEINLTSKRDYQGNLIRAIRSSHISERRICRTNCKVCNEKPNHASVGVCSLTPHLNSEETRWARSKGHFLSVGAAVISCRNEKAPDGLVADAHLSDGFLHLILIRDCPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGDESVWNVDGEIFQAHQLSAQVFRGLVSMFASGPEV >Vigun01g009600.1.v1.2 pep primary_assembly:ASM411807v1:1:1110408:1125037:-1 gene:Vigun01g009600.v1.2 transcript:Vigun01g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNDCDVGDTSLTTSLFDGEASVLSSTFLLDHVGEVTVTFHSDRLNWKLVGSLDNDVSTCLRVKYFPKAATEIKLSEIYAVELNGHGSIYISSLPPASECLLLGQDIQMYRFTVHGFIRSKNQPSQCILADYTFGHTNLQVCRKWVNQLNASLKQEVGRPKNLLVFVHPRSGKGNGCRTWEAVAPIFSRARVETKVIVTERAGEAFDVMSSITNVELNSYDGVVAVGGDGFFNEILNGFLSPRFKAPYPPTPSDFVHGVKDNGDSLVHDGDEIVAETSSKMEDQFPLISSPKQFGSRISNLSSEDKAAEFPVPNEWFRFGIIPAGSTDAIVICTTGTRDPITSALQIVLGKRVHLDIAQVVRWKMTPRSEVEPNVRYAASFSGYGFYGDVITESEKYRWMGPTRYDYAGTMVFLKHRSYEAEIAYLDVESDEINLTSKRDYQGNLIRAIRSSHISERRICRTNCKVCNEKPNHASVGVCSLTPHLNSEETRWARSKGHFLSVGAAVISCRNEKAPDGLVADAHLSDGFLHLILIRDCPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGDESVWNVDGEIFQAHQLSAQVFRGLVSMFASGPEV >Vigun01g009600.2.v1.2 pep primary_assembly:ASM411807v1:1:1110406:1125037:-1 gene:Vigun01g009600.v1.2 transcript:Vigun01g009600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNDCDVGDTSLTTSLFDGEASVLSSTFLLDHVGEVTVTFHSDRLNWKLVGSLDNAATEIKLSEIYAVELNGHGSIYISSLPPASECLLLGQDIQMYRFTVHGFIRSKNQPSQCILADYTFGHTNLQVCRKWVNQLNASLKQEVGRPKNLLVFVHPRSGKGNGCRTWEAVAPIFSRARVETKVIVTERAGEAFDVMSSITNVELNSYDGVVAVGGDGFFNEILNGFLSPRFKAPYPPTPSDFVHGVKDNGDSLVHDGDEIVAETSSKMEDQFPLISSPKQFGSRISNLSSEDKAAEFPVPNEWFRFGIIPAGSTDAIVICTTGTRDPITSALQIVLGKRVHLDIAQVVRWKMTPRSEVEPNVRYAASFSGYGFYGDVITESEKYRWMGPTRYDYAGTMVFLKHRSYEAEIAYLDVESDEINLTSKRDYQGNLIRAIRSSHISERRICRTNCKVCNEKPNHASVGVCSLTPHLNSEETRWARSKGHFLSVGAAVISCRNEKAPDGLVADAHLSDGFLHLILIRDCPHASYLWHLTQLTRRGGSPLNFKFVEHHKTPAFTFTSSGDESVWNVDGEIFQAHQLSAQVFRGLVSMFASGPEV >Vigun07g026150.1.v1.2 pep primary_assembly:ASM411807v1:7:2357795:2360099:-1 gene:Vigun07g026150.v1.2 transcript:Vigun07g026150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSIEVNNAGIGGVVIKDSDLISIVILNRGSFPKESWARGVLSDADNLTEEKVDEIVKMFLSDFKEGSLESKGWPRYLGAYIVSKTALC >Vigun05g175000.2.v1.2 pep primary_assembly:ASM411807v1:5:32166532:32169045:1 gene:Vigun05g175000.v1.2 transcript:Vigun05g175000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSSSKKKKCEEDEDMISNLPDVIISRILSFLLTKEAVCTCVLSKRWMNMWTTITKLRFIDRKLYYRTYISRTHFLNSVYRVLLHLDAKSIEGFSLSLTKDYDSYHINQWISAVLSRGVKELFIESNRKLDIFLHSLWKSQSLEKLVLDMNVCAVRLHMNGCAVKVPHLVYLSSLTVLELSGVTVVCPPSNESKNMCLNFPLLRVHRATNCIWLNVKVVSFEVPLLEVLSISHTRAFKSVDSQTVIKFCAPRLTKFSYSGFIAPIPDTVLLDLDLSTARIASANIDPWEFVRESTENTGSFAFELLKQFNNNVECLKFERSKVLAVAHDEFPAFGMLTRLEMGNVTGDILLIFLRNSPFLKTLDIQELLGFGEEHCNPENVPYCFISNLEVMKFGKLKGVEDELRFAKFALEHARVLKRVSFCHHWELRKSIFGKVKKKILSFKRSVSSPIIEFL >Vigun05g175000.1.v1.2 pep primary_assembly:ASM411807v1:5:32166433:32169116:1 gene:Vigun05g175000.v1.2 transcript:Vigun05g175000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSSSKKKKCEEDEDMISNLPDVIISRILSFLLTKEAVCTCVLSKRWMNMWTTITKLRFIDRKLYYRTYISRTHFLNSVYRVLLHLDAKSIEGFSLSLTKDYDSYHINQWISAVLSRGVKELFIESNRKLDIFLHSLWKSQSLEKLVLDMNVCAVRLHMNGCAVKVPHLVYLSSLTVLELSGVTVVCPPSNESKNMCLNFPLLRVHRATNCIWLNVKVVSFEVPLLEVLSISHTRAFKSVDSQTVIKFCAPRLTKFSYSGFIAPIPDTVLLDLDLSTARIASANIDPWEFVRESTENTGSFAFELLKQFNNNVECLKFERSKVLAVAHDEFPAFGMLTRLEMGNVTGDILLIFLRNSPFLKTLDIQELLGFGEEHCNPENVPYCFISNLEVMKFGKLKGVEDELRFAKFALEHARVLKRVSFCHHWELRKSIFGKVKKKILSFKRSVSSPIIEFL >Vigun09g237200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40755346:40757125:-1 gene:Vigun09g237200.v1.2 transcript:Vigun09g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCKSSMKTMDGPVVQRVSKCFVKASHSTQESNQICHLTPWDLAMLSAHYIQKGLLYKKPETLVDEHDFIGNLLEKLKHSLSLTLSHFYPLCGRLVTHKTKDPPFYAVSIDCTTNSGVRFIHATLDMTISDILSPVDVPPIVQSLFDHDRALNHDGHTMPLLSIQVTELVDGVFIGCSMNHSLGDGTAYWNFFNTWSEIFRAQAQGHEHDVPISHPPIHNRWFPNGCVPPINLPFNHHDEFISRYEAPMMRERIFHFSAESIAKLKAKANSECNTTKISSFQSLSALVWRCITRARRLARDQKTSCKLSTNNRTRMDPPLAQEYFGNSIHTLNAGTATSGELLENGLGWAAWKLHMAVINHNDRVVLGWVREWLKSPLIYQLGRYFDPYCVMMGSSPRFNMYGNEFGMGKAIAVRSGYANKFDGKVTSYPGHEGGGSVDLEVCLSPGVMAALESDQEFMDSVSVSNVL >Vigun11g198500.1.v1.2 pep primary_assembly:ASM411807v1:11:39682765:39686015:-1 gene:Vigun11g198500.v1.2 transcript:Vigun11g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGKLGRKKSGGNGQVLDGSNIMELVGNDQVFTTFVDNKFQQLDIDRDGKLSVKELQPAVADIGAALGLPPHGTNPDSDHIYSEVLNEFTHGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFVNGSAYEAEMVSIFSQIESPNRSLHDHIIEALGKLTVEQGIPPIADSWVLSNIVEPALLSQDRSGLDKPVSQETFLKEFKVVALAVANRLKEQPVIVAHSENTFDGSGVKRLLSNKFELDKTLNSALETLPKDRNGKMSKEYLRVALDIVAPSAGLPPLGAIEEMDKVIGEVFKMVNADEAKMVKEEEFKKVLTEILGSIMLQLEGSPISVSSNSVVHEPLDSSSTLLQPSSSETAP >Vigun01g081500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23047097:23048314:1 gene:Vigun01g081500.v1.2 transcript:Vigun01g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRTTSSSSKTKKKQTAPEPHQTAWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTADEAALAYDRAARAMRGSRARTNFVYADTTPGSSLTPIISPDQQPLPQPDPVLTLDPFSLLGFPSGSSSYDENASANVAAASHFSQPPFFPNNNNINYYNNNNDNDNSGSTVELPPLPPDITSSMGYEMEQQSDGFYWDASSMFGAMSTVSENVCAESQVFELGSSSYFF >Vigun07g009300.2.v1.2 pep primary_assembly:ASM411807v1:7:793615:797581:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGGPGSVLGLVLRMTQFTLAAGSIASMATTSRFFNLTAFCYLIASMGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun07g009300.1.v1.2 pep primary_assembly:ASM411807v1:7:793615:797011:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGGPGSVLGLVLRMTQFTLAAGSIASMATTSRFFNLTAFCYLIASMGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun07g009300.4.v1.2 pep primary_assembly:ASM411807v1:7:793615:796812:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGGPGSVLGLVLRMTQFTLAAGSIASMATTSRFFNLTAFCYLIASMGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun07g009300.3.v1.2 pep primary_assembly:ASM411807v1:7:793615:797581:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCGGPGSVLGLVLRMTQFTLAAGSIASMATTSRFFNLTAFCYLIASMGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun07g009300.5.v1.2 pep primary_assembly:ASM411807v1:7:793615:797582:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTDYLIASMGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun07g009300.6.v1.2 pep primary_assembly:ASM411807v1:7:793615:797582:-1 gene:Vigun07g009300.v1.2 transcript:Vigun07g009300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQIIWSFLLFLLDLFSLVKHQSLLSPVLISLFVVGDWVTATLSLAAASASAGITVLYFHDLGHCHSGEECQKYQVSVALAFLSWFSTLLSSIIMFCLLATR >Vigun09g243900.1.v1.2 pep primary_assembly:ASM411807v1:9:41280802:41283769:-1 gene:Vigun09g243900.v1.2 transcript:Vigun09g243900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLKANTRHTHNTSMAPGKVFPKRLFDAVKMSLRTVLTSPESSDKSFFRRFLEVRSVYGHSDIPSLPARDKLRDKFKGIGTVDLNVNHPTAPDASRIFINDARKTLRTLQMEKVKAKLRDIPHTSISYSHYFGICLQHCDYNQRQATEFAETLDHSGNVIVSGDVVFLRPEQVAKTIGRLISESIPNPKDPRRKELEMMEKQKSMIDEKAKAQVRCELYCGLGFLTVQTIGLMRLTFWEIGWNVMEPICFYLMSPYFSLAYMFFLRTSTQPTFQDYFQSRFKTKQQRLMKIYNLDIQRYNYLCKACYVPAKSQPFSP >Vigun09g042800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3982739:3984314:1 gene:Vigun09g042800.v1.2 transcript:Vigun09g042800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSNTTINNLFDDSLTEIFCKLPCKSLFSCKSVSKHWLTLISNPNFHSLYLTHQHTLFQKMQSQGEDPDQPSFILKPYNALVIAPNLPSLQLGSLENHLSLTSLGSDFEPANIVPQLRSVLKFVFACSNGLLVYGNPRPRHKCVYHVRNPLTKDSLKLPSAPTLCDHAGVLVGFMCDPYYYPANENSGVSIVPTSERRFRVVRIPAFSDTRLAFDVEVFSSETGKWKRFLVSCPQGFACGFFLTSSSVEHEGKLYFMGGGKVLVYDPYDEECVGSVIELPRGFGEAYRGCLGVCCGKLQLSEFPISPSSAFEAYSGRVWDLQYCEKGEERRWELVHEFCLPEIIGPMFQELKALEVEYVRGCSRILAFHPYVKDSVFLKFGDNIICCNLLTRRFRVCKYGGLSLLFYPVIPVLLPWWPTPIPSPPSLVDPSL >Vigun04g165800.1.v1.2 pep primary_assembly:ASM411807v1:4:39024105:39032821:1 gene:Vigun04g165800.v1.2 transcript:Vigun04g165800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATLGPKERRAFGLWCCVVCLAICARVCGAARSDKETRERFYGNINLTSPESNNTFAKMFDRVLEKEFSENDQPEESDKSSFNSSVADQQAVLETVAKITHDKPKRNDTHEGNATRAFQFQDVFSLENEDSDDVTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIVFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGAVAVLGGLLQIVIFMFMCGILSMLFGASLSEGVFVGSFLSMSSTAVVVKFLVERNSSNALHVQITIGTLIFQDCAVGLLFALLPVLGGNSGLLQGIMSMGRLLLVLSLYITATSILSWSFVPRFLKLMMRLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFGQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWNHVDILLASVILVVVVKTAVVAVVTKAFGYSLKTAFIVGISLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPAVMNLGVLMHWFPSESSTQIEGKVSVNEASRML >Vigun05g118600.1.v1.2 pep primary_assembly:ASM411807v1:5:12765567:12770387:-1 gene:Vigun05g118600.v1.2 transcript:Vigun05g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRGTIKKKPENNQIQAFEILANVAGNFLQESENSGLDNVASAKDPHTFSSADMKDKLEDEGGSFKKDLCEHGNCSEIVSACVPNRQGKHENLRVVDNASFPGNHLEGQGQNILEREDERVKRRRVNEKNTHIKGCFEGLMEPSCKVSKDCSSENNVAKSSLEGHRHLDSFPSTSSGRKLGRDDDENLVNSTQLNSRDKISSTPPDMQKLKYASSLMSDENNSRDNSELENLQRMYPFKKRKFFNQTSSSTSDRGSQCQGTFDSSDTTRVNDTNHGTAIEESSSRVGQQVHSGSKGCNVKLSIKSFKVPELFINIPETATIGSLKRTVMEAVTTILGNELHVGILLQGKKIRDDSKTLIQTGISQDDKRHRLAFMLEPRHTSNSPSSCNDNPCFLTTGSQQKLSKQSTSLMLQQGTYNVSQERSMIKIESCVEGDLNVVSSPTDTYANNNMSKCRTLVAVPAINMEALAVVPFRRKSGNPDFAQRRIRRPFSVLEVEALVQAVEKLGTGRWRDVKQRAFDHAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQCKHQLKPL >Vigun05g149900.1.v1.2 pep primary_assembly:ASM411807v1:5:22054887:22058045:1 gene:Vigun05g149900.v1.2 transcript:Vigun05g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWNSSMILLAGNEVAAGEPDQTRRQIFDHNFAMLTDPILHGSTPTATAAFSIHHPFNPPPQHQQQHHHHHHPQQPFFDPRAFHSASTASFSPPPSVLSLNPIPPLAPSHYGLGPGSGLGFLLMSKSEDVTSPMNFECSGHGLGPGPGLGLNLGGRTYFAASNEDTLDRFYRSKTVESVSTVSSNLPRCQAEGCNTDLSEAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQQATQEIHKSQPSLDNVTWSSSESGAQSSSSLKVAVSPPDYFQQRPKQNRGTSSTSSSGSLFFSSGTNLHG >Vigun05g149900.2.v1.2 pep primary_assembly:ASM411807v1:5:22054887:22058045:1 gene:Vigun05g149900.v1.2 transcript:Vigun05g149900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWNSSMILLAGNEVAAGEPDQTRRQIFDHNFAMLTDPILHGSTPTATAAFSIHHPFNPPPQHQQQHHHHHHPQQPFFDPRAFHSASTASFSPPPSVLSLNPIPPLAPSHYGLGPGSGLGFLLMSKSEDVTSPMNFECSGHGLGPGPGLGLNLGGRTYFAASNEDTLDRFYRSKTVESVSTVSSNLPRCQAEGCNTDLSEAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQQATQEIHKSQPSLDNVT >Vigun07g027200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2480723:2481604:1 gene:Vigun07g027200.v1.2 transcript:Vigun07g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSSTPSNANYSSHAANIALKEPPRHHHHVVPNRRNFSSRPKGHRAEQQHGTKPLGNDETFSSYIKDSKFKMRSKSNIGGHEDHSDAVPVDVASESNDRNMENEKDHHFSDFIANARKRLRTVTRRNSSIRRG >Vigun03g304200.2.v1.2 pep primary_assembly:ASM411807v1:3:49392956:49396670:-1 gene:Vigun03g304200.v1.2 transcript:Vigun03g304200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVELERVQTQLLQRISKLEHSYIPSSPSQNDTVDDAVTDTVARLSSILRSNGVPEFSFKRVPSDYYDWPLEARRDALSAASIHHLCKSIVLVNTQAPANVVDCTNRNNSKYYVVVVQYTARFNAEAVKNFLYSLNNGSIAKKKFNMRLAPEETSMELTGYGHNAVTCIGMKTDIPILKKQGSGYAVLW >Vigun03g304200.1.v1.2 pep primary_assembly:ASM411807v1:3:49392281:49396670:-1 gene:Vigun03g304200.v1.2 transcript:Vigun03g304200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVELERVQTQLLQRISKLEHSYIPSSPSQNDTVDDAVTDTVARLSSILRSNGVPEFSFKRVPSDYYDWPLEARRDALSAASIHHLCKSIVLVNTQAPANVVDCTNRNNSKYYVVVVQYTARFNAEAVKNFLYSLNNGSIAKKKFNMRLAPEETSMELTGYGHNAVTCIGMKTDIPVILDEAIVKLTPDFFWLGGGEVDLKLGIRTSQLIKFINPFIVNCSNN >Vigun08g194400.1.v1.2 pep primary_assembly:ASM411807v1:8:36083454:36085973:-1 gene:Vigun08g194400.v1.2 transcript:Vigun08g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDIIYFILWGLFPLLVSLIFLRHKQCCKDKRKLPPGEMGFPLIGETMEFFNAQRRNKLFEEFVHPRVVKHGKIFRTRIMGSPTVVVNGAEANKFLLSNEFKLVKSSWPSSSVELMGRDSIMEKDGERHRFLRGVIATTLGYAGLEVLVPRLCNSVQFHLATKWKGQENISIHRSTKVLTFSIVFECLLGIRVEPGMLDTFERVLEGVFSPAVMFPGSRFWRAKKARVEIEKMLVKVVREKRREMEGSSEREQDGMLLSKLVSGMIQGEISEKEVIDNVVLLVFAAHDTTSFAVAMTFKMLSQHPDCYGKILEEHVGIMSNKNQGENLTMEDIKKMKYTWQVARESMRLFPPIFGSFRKAITDIEYEGFVIPRGWKVLWTTYGTHYNEEYFKDPMSFNPSRFEEGVPQYAYVPFGGGPRVCAGYQLAKLNILIFVHYVVTQYEWFLLHPDEPVTMDPLPFPSLGMPIKISPKHA >Vigun11g179500.1.v1.2 pep primary_assembly:ASM411807v1:11:38337473:38343642:-1 gene:Vigun11g179500.v1.2 transcript:Vigun11g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHAFCVSASSSMLSPFPSPKGALLQDWKLRNHALSLRLTPFPWNSSCRKRRRTFICAANQEPEDAFKKTVEIDRLIDTLREANPRELQQLVVENILAFNPSFWVRLAARTDTCKSEDDKKDYEELAASVMSVVDCVVHKTNEKIESSTDVLKGVLKPVIDEEGEIPWPPRDPQAVTLMEKEISQREQEGQLDEGFLAEVNAQLRQAKEDGDKPGLEAMLQKVLQLYASKVLSKRSYAIKGKEVLKDEQFLETIIQAPEQEWNNILINGLTIGAGNISAEELFAVLKKRIERVLIRTEGGSYRQRILTEYLRGIEKRTEEIVQALQGKPQ >Vigun03g075000.1.v1.2 pep primary_assembly:ASM411807v1:3:6223630:6224729:-1 gene:Vigun03g075000.v1.2 transcript:Vigun03g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLSLSLLFLLSLCIAGTVRSDDDCVYTAYVRTGSVVKGGTDSKIGIKLYDKFGYYIYIKNLEAWGGLMGKDYNYFERGNLDIFSGKAPCLEGPVCAVNVTSDGSGSHHGWYLNYVEVTSTGPHKPCSQEQFEVEQWLALDTSPYQLWAVRNHCRYSLEQARLASERAESESESGSGSGPRSAI >Vigun03g036200.4.v1.2 pep primary_assembly:ASM411807v1:3:2784128:2788550:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.6.v1.2 pep primary_assembly:ASM411807v1:3:2783913:2788551:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.7.v1.2 pep primary_assembly:ASM411807v1:3:2784128:2788550:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.2.v1.2 pep primary_assembly:ASM411807v1:3:2783866:2788656:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.10.v1.2 pep primary_assembly:ASM411807v1:3:2783913:2788551:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.3.v1.2 pep primary_assembly:ASM411807v1:3:2783866:2788656:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.9.v1.2 pep primary_assembly:ASM411807v1:3:2784828:2788550:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.5.v1.2 pep primary_assembly:ASM411807v1:3:2783868:2788656:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.8.v1.2 pep primary_assembly:ASM411807v1:3:2784135:2788550:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPPKLKIIPDHFQVPTSSLESPESRTSSITEPGTDQSSRSSRHQRTRRKLRTAFMLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun03g036200.11.v1.2 pep primary_assembly:ASM411807v1:3:2784828:2788550:1 gene:Vigun03g036200.v1.2 transcript:Vigun03g036200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFSPRRLSWGSSTGGQEKVELTAAEVESLRTELADIEEREAHLKAQLEHIDEVLRSARLSGYLYIRTRWAALPGEPAPIDDTEVDDRLPRFVVLHGECIFLYLLCTDLSPQDSTLLSDIIEVGSLPCFKQEDDEIQYAFYILTRHGLRYECSSSSKIQVDSWLSALQSECKLECDTFCKADCKLESDTSVPNGSTEM >Vigun01g082200.1.v1.2 pep primary_assembly:ASM411807v1:1:23287596:23291434:-1 gene:Vigun01g082200.v1.2 transcript:Vigun01g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMVKDIDGKRETLKLAVRIVDLWYVESWESKRSMEMVLMDQKGDVIVAMIKKEDMGVWEEKLKEGESYIMHNFKLLKNRAQYRVCEHPFKLLFIGATSIRAQPIASIPRKLWKFKSIKDIIDGKYCSYLLVDVIGMVDNVEEKGHSKNVVFDLKDLSGAIICCTLWDSYCEKLLTYWRTCSQTSNVAIILTQAKIKPASGPWPVSLSNSWNGSKLIIGDDIPELINFKKQFAETCGSETFEEGSQYSGSSQINHVDRFMYKTVVKSVSEIMTVLEEISCVTVARTLKFNLGNDGWNYLVCNFCGKRTHEVGSFKCLSCDAFNDSPRIRYKLEIQVTDGKKVGNFMLWDQDCMNLIGVSAADLRKKMIKHGEDDPKCFPEDLDVILGCIFAFKVKLQGKNRPASVMRVSTDVKIIDHVKALLGQEEASFVGECIVSCPSDIVHDTSNSSPMVIGKCSNASLLDVPTSSGTSLAIELAECIGNAACDLTADTDSSLMCLSSTADDEPDIVLCMTPSKDVSARIDDVPDIPSSIMEFDFLEDIPLAQLSATKTTKSTKSIKKEKL >Vigun07g242900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36412457:36415447:1 gene:Vigun07g242900.v1.2 transcript:Vigun07g242900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSQQRSFSIKLWPPSQNTRQTLVERMTNNLTTKSLFTQKYGTLDREEAEENAKRIEDVAFATANLHYEKEPDGDGGSAVQLYAKECSKLLLDVLKRGPGRKEDESAHTTTPHESVFDISKGKRAFIEAEEAQELLSPLKDPGNSFTKICFSNRSFGIGAAQVAEPILTSLKDQLREVDLSDFIAGRPEAEALDVMKIFSSALEGSVLKSLNLSDNALGEKGVRAFGALLKSQKCLEELYLMNDGISKEAATAVCELIPFTEKLKVLHFHNNMTGDEGAQAIAEVVKRSPSLEDFRCSSTRIGSEGGVALCDALGNCTHLKKLDLRDNMLGTEGGVSLSKALSKHTELREVYLSYLNLADDGAIAIVDALKESAPQLEVLELSGNDITADAAPAIAAFISAKQFLSKLNLSENELKDEGANLISKAIEGHGLLKEVDISANQITRNGAHQLAVTVVQKADFKLLNINGNFISEEGIEELTEIFKSSPDMLGPLDENDPDGEDIDEESEGGDADELESKMKNLVVD >Vigun07g217500.1.v1.2 pep primary_assembly:ASM411807v1:7:33944736:33948387:-1 gene:Vigun07g217500.v1.2 transcript:Vigun07g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSADAQRTDLMTITRFVLNEQSKQPEARGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNDVFVKALVSSGRTCILVSEEDEEATFVEPSKRGKYIVVFDPLDGSSNIDCGVSIGTIFGIYLVKENREPTIEDVLQPGKNMLAAGYCMYGSSCTFVLSTGRGVNGFTLDPSLGEFILTHPDIKVPKKGKIYSVNEGNAKNWDGPTTKYVENCKFPKDGSSPKSLRYVGSMVADVHRTFLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKERALDLVPTKLHERSPIFLGSYEDVEEIKALYAADQKLE >Vigun04g156500.1.v1.2 pep primary_assembly:ASM411807v1:4:37838028:37841508:1 gene:Vigun04g156500.v1.2 transcript:Vigun04g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILIMVFLHLCWISLSISRETVCIESERETLLKLKHNLTDPSNRLSSWNTSVNPNCCEWDGVVCNNFTSHVAELHLTTSFPDFNYSLFDPLYEADYEEAWEEYNRVALGGEINPCLVDLKHLNYLDLSGNHFPTTPIPSFIATMTSLTHLNLSDAGFMGNIPSQIGNLSNLLYLDLSYVANGTIPPQIGNLSNLLHLDLKDGYHPQSLFLENINWLSSLSKIRYLTFSNIWSLKASMPIPSFIGSMTTLIHLDLSFSGFIGNIPPQIGNLSNLAYLDLSCAITGTLPSQIGNLSNLLYLDLGSDLTSIPKNVDCLSRLTKLEYLDLGGANLSQSFHLLHTLQALSSLLHLHLSGCTLPHYNQPSFLNSSSLLTLDLFDISYHSKISFVPKWVFGLKKLVSLFSSFNYFEGQIPDGLQNLTLLENLDLKENSFSSSIPHWFYSSFPHLKLLDISNNNLQGNIYDALGNVTFLVTLDLSYNQLEGPIPTSLGKVTSLIELDLSQNKLKGSIPTSLGNNLTLDYCGNKGDGEHLKSLGEFSSLRALILSSNQLSGNPFESLKSLSKLSYLDIGDNHFEGVVMEDHLSNLTSLDEFYASGNNLTLKVGPNWHPTFQLTYLDMSSWQLGPNFPSWTHLQNKLQYLAMSNTEILDYIPPYFWEALYSLEYLNLSNNHIQGEIENSPTKPLSFLTLDLSSNHLHGKLPYISNQVQWLDLSGKIPNCWIQWPELMDVNLQSNHFVGNMPSSMGSLASLESLNIRNNSLSGILPITLKKNTQLISVDLGENNLSGTIPTWIGERLLGLKILRLRSNNFSGHIPNEICNKTFLQDLDLAQNSLSGNIPNCFNHLKSMLQKYKSSNLIIKNGVYVPNERDDAISIILWIKGRALEYSKILGLVTNVDLSDNNLSGGIPREITYLDGLIYLNLSKNQLSGQIPPSIGNMRLLESIDLSRNQLSGEIPPTISNLSFLNNLDLSHNHLKGEIPIGTQIQSFEASDFIGNNLCGPPLPINCSSIQQIPFIDRSKTKNDWHGVNWFFVSMTFGFVFGFWVVVTPLFIYKSWRYAYFCFLDDMWYKLQSCW >Vigun09g221100.1.v1.2 pep primary_assembly:ASM411807v1:9:39477735:39480212:-1 gene:Vigun09g221100.v1.2 transcript:Vigun09g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIMFRIMAWCFALPLLYIMISFMNTMWWRPRKIEKLLRKQGIRGTSYKLFTGDTLDMKDSAQKASSKPISLYHQIIPRVIPFVHQMVQQHGKISMCWFGRRPRLIIADAELARLILTNKKGHFVKPPRNPFIDLLSLGLSSLEGESWSKRRRVVTSAFHHEKLKAMVPAFSSSCCNMIERWENLVEARGWYELNVCSEFDILTGDVISRTAFGSSYQEGKKIIELQKEQVILVSEALNNIYIPGFRFLPTTKNKRRYNLDIQIKTMLRDMIEKKEQAMKENKIKEHDLLSTLLQYRDQSDSLTTDDVIEECKLFYFAGQVTTANLLAWTMIILSMHPNWQEKARTEVLEIFGKKTLDYEDINHLKIVSMIVYEVLRLYPPVVVLNKYNWCETRVGNMLIPAGVEVSLPLLLLHYDNNYWDKPEEFNPGRFNEGISKASKDHIAFYPFGWGSRICPGQNFAFLEAKMALTFILQHFSFQLSPTYVHAPTASITLRPQHGAPIIIRRI >Vigun07g005700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:451603:452013:-1 gene:Vigun07g005700.v1.2 transcript:Vigun07g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun10g031900.1.v1.2 pep primary_assembly:ASM411807v1:10:4175526:4176235:-1 gene:Vigun10g031900.v1.2 transcript:Vigun10g031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASSSSCSSFLRSEPYFIYDVFINFWGQDIGRKFVSHLHAVLLQAQVKTLLNEEKFHEGMKVEEHKRAIACSKIAIIVFSETYTESTNCLLELEKIIECRQTFGQIVLPVFYEIDPLDVRHQKDDFGRTLAETAHKSYSGEQMEHALSRWSRALTTAASIIGWDVRDFSMMPNFWMLLLIAFRHYWTIRTSLLPNFLLD >Vigun03g000500.1.v1.2 pep primary_assembly:ASM411807v1:3:48859:52669:-1 gene:Vigun03g000500.v1.2 transcript:Vigun03g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSGGGGSQSAGEHDASDSHEKRKRYHRHTSTQIQRLESMFRECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCTLRADNDKIRCENIAIREALKNVICPSCGGPPLNDDSYFDDQKLRLENAHLKEELDRVSGIAAKYIGRPISQLPPVQPIHLSSLDLSMSCFGNQLMVDHVLAPSPSLNLDLLSAGTSSPVPNLPYQPPYLSDMDKSLMSDIASNAMEELLRLVQTNEPLWLKSNVDGRDVLNSDAYERIFPKPNSRPKTPNLRLEASRGSAVVLMNSLALVDMFMDPNKWTELFPTIVSVATTIQAISSGMMGGSLQLMYAEVQVLSPLVSSREFYFLRYCQQIEKGTWAVVDVSYDFPQDSHFDPHFRSHRRPSGCLIQDMPDGHSKITWVEHVEIEDKTLPHRLYRNLIYSGMAFGAERWLATLQRTCERLTYLMDSTGDNPGGVISSAEGKRSMMRLAERMVTNFCGSIGTSSGRRWTTISGLNEILIRVTVHKSSNPGQPNGVVLSAATTIWLPTPPHTVFNFFKDETKRPQWDVLSNGNAVQEVAQIANGPNPGNSISVLRAFNTSQNMLILQESCIDSSGSLVVYCPVDLPSINIAMSGEDTSCIPLLPNGFTILPDGETEQEGDGASTSSNANRNKARCGGSLVTVAFQILVSSSPSAKLNMEVTTVCNLIGSTVQQIKASFSCPT >Vigun01g134400.1.v1.2 pep primary_assembly:ASM411807v1:1:31271105:31273992:-1 gene:Vigun01g134400.v1.2 transcript:Vigun01g134400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRFPFSFPRPQPPQFPRPFTAFAAVAAVAGASAAVVAVSSSDRPFLRNALNSVFSSGHSLPLWGSISLADSGVSVLEAKTGTSFPSVLASSQKLCGIGLRKKSVLGLKNIDVYAFGVYADDDDIKAHLSDKYGKFSASELQGNKEFIDDLMDNDISMTVRLQIVYGRLSIRSVRSAFEESVGNRLQKFGGSDNKELLQRFTSQFRDEIKLPRGSVIHLSREKGHVLRTSIDGQEVGSIKSKLLCKSIIDLYCGEEAFDKQAKEEIEHNVASYL >Vigun07g044500.1.v1.2 pep primary_assembly:ASM411807v1:7:4504877:4508875:1 gene:Vigun07g044500.v1.2 transcript:Vigun07g044500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSTLKFSKLRVEYIPPPALRLCSSLLQPNQVLASVEGDAERWEMMKAAEKRKWVQWWLKKWEADHRPQLVSQDIPSSSSYPKRWVLPKLMLYGFMLSIMGEELYLVITFHASFVWEILVPWCVSRMASKLFFSRFSRLQTHTASFSKLDDDVVAALCNSFRARRNWDSITHQFRSLDLNDSLVQHLLMQFNSPSDAKAALGFFHWAATRPNSTFQPTTRSYAITINLLLRANLLVHARALLRSLTKTNTHSHAVPALVDSLLDVLVSTSRDLAVNLLIQAYAKARLTDVAFHVCRYVEEHGVSVTVVSFNALLHAVQRSEKCFLVWEVYEFMIRRRIYPNLTSLRIMVDVLCKEGELQKIVDTLDRIMRRSTFRSPSMIVNCSLMLRILERGRVAESESDVVVLLKRMLQKNLLHENVVWSLVLHAKVAFGDLDSAWGLYGEMVRRGFEPNAFVYTSFVGAFCRDGRVGEAISLVREMEGKELRPYGETYEHVVAADSEECVRVFDEMVRVGFVPGCVVFNKVVERLCEKGEVEKANGMLSVLMDKGFSPDDVTYSLLMQGYTRKEEVQEVLKLYYEMEYKRVNPGLSVFVTVVRCLCRCGKVEEAERYLRVMRERVVALDACVYEELIDGYIKKGDTARALYLQEELASLEL >Vigun03g392000.1.v1.2 pep primary_assembly:ASM411807v1:3:59814862:59821514:-1 gene:Vigun03g392000.v1.2 transcript:Vigun03g392000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVLGRLSQLFKRVSSVSKSAGPFDALLCVGQFFPDSPEQLEEFTAYIEGGSPIPLPTYFVGDYGVAAPKFLLQASKDSANRGFMMDGFKVCHNLYWLKGSGKFPLFGLSVAYLSGRKSSSVQQFGTYSEDDVDALRAIAEEPEIVDLFLTNEWPSGVTNGAAASDIPAGASDVVGSDSTISELVQEIKPRYHIAGTKGIYYAREPYTNVDAVHITRFIGLASVGNRNKQKFIHAISPTPASTMSSTEIAMKTTSTTLSPYTFVEKRTSPVDSTKRSSDSISESQYWRYDVSQKRQKHETGQADKLCFKFVSSGSCPHGEKCHFRHDTDAREQCRRGVCFDLLNKGKCERGPDCNFKHNLQDGGDSHPSRRPGSGRSKECWFCLSSPNVETHLIVSIGENYYLALAKGPLVEDHALIIPVEHMPSTLSMSSESEIELSRFQNSLKNYCRLQEKEVIFFEWVSIRGTHANLQAIPIPSSKAVMAEKVFNLAAQKLGFEFVAKTFDSISDGRKFLKTQIDGGSSLFYAQVPGGKILLHHVEKNEKFPAQFGREVMAGLLNMADNADWRNHKHSKDEETKIVKNFKSRFQEYDPNC >Vigun03g392000.3.v1.2 pep primary_assembly:ASM411807v1:3:59815767:59821514:-1 gene:Vigun03g392000.v1.2 transcript:Vigun03g392000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVLGRLSQLFKRVSSVSKSAGPFDALLCVGQFFPDSPEQLEEFTAYIEGGSPIPLPTYFVGDYGVAAPKFLLQASKDSANRGFMMDGFKVCHNLYWLKGSGKFPLFGLSVAYLSGRKSSSVQQFGTYSEDDVDALRAIAEEPEIVDLFLTNEWPSGVTNGAAASDIPAGASDVVGSDSTISELVQEIKPRYHIAGTKGIYYAREPYTNVDAVHITRFIGLASVGNRNKQKFIHAISPTPASTMSSTEIAMKTTSTTLSPYTFVEKRTSPVDSTKRSSDSISESQYWRYDVSQKRQKHETGQADKLCFKFVSSGSCPHGEKCHFRHDTDAREQCRRGVCFDLLNKGKCERGPDCNFKHNLQDGGDSHPSRRPGSGRSKECWFCLSSPNVETHLIVSIGENYYLALAKGPLVEDHALIIPVEHMPSTLSMSSESEIELSRFQNSLKNYCRLQEKEVIFFEWVSIRGTHANLQAIPIPSSKAVMAEKVFNLAAQKLGFEFVAKTFDSISDGRKFLKTQIDGGSSLFYAQVPGGKILLHHVEKNEKFPAQFGREVMAGLLNMADNADWRNHKHSKDEETKIVKNFKSRFQEYDPNC >Vigun03g392000.2.v1.2 pep primary_assembly:ASM411807v1:3:59815748:59821516:-1 gene:Vigun03g392000.v1.2 transcript:Vigun03g392000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVLGRLSQLFKRVSSVSKSAGPFDALLCVGQFFPDSPEQLEEFTAYIEGGSPIPLPTYFVGDYGVAAPKFLLQASKDSANRGFMMDGFKVCHNLYWLKGSGKFPLFGLSVAYLSGRKSSSVQQFGTYSEDDVDALRAIAEEPEIVDLFLTNEWPSGVTNGAAASDIPAGASDVVGSDSTISELVQEIKPRYHIAGTKGIYYAREPYTNVDAVHITRFIGLASVGNRNKQKFIHAISPTPASTMSSTEIAMKTTSTTLSPYTFVEKRTSPVDSTKRSSDSISESQYWRYDVSQKRQKHETGQADKLCFKFVSSGSCPHGEKCHFRHDTDAREQCRRGVCFDLLNKGKCERGPDCNFKHNLQDGGDSHPSRRPGSGRSKECWFCLSSPNVETHLIVSIGENYYLALAKGPLVEDHALIIPVEHMPSTLSMSSESEIELSRFQNSLKNYCRLQEKEVIFFEWVSIRGTHANLQAIPIPSSKAVMAEKVFNLAAQKLGFEFVAKTFDSISDGRKFLKTQIDGGSSLFYAQVPGGKILLHHVEKNEKFPAQFGREVMAGLLNMADNADWRNHKHSKDEETKIVKNFKSRFQEYDPNC >VigunL029500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:150936:152929:-1 gene:VigunL029500.v1.2 transcript:VigunL029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPGNYIAAQNWLKITGRFE >Vigun03g399000.2.v1.2 pep primary_assembly:ASM411807v1:3:60552485:60558416:-1 gene:Vigun03g399000.v1.2 transcript:Vigun03g399000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQIKHLVGLDSRFERVKSLIDVESNDEVCILGIYGVGGIGKTTFAVDLYNKIRHHFEAASFLANVREKTNKSIKGLEDLQRTLLSEMGEETETIIGSTFKGSSEIKCRLGHKRVLLVVDDVDSVKQLEALAGGYDWFGRGSRIIITTRDKDVLHKHDVEIKGYEMEELNYHESLELFCWYAFNRSSPAENFASISTSAVRYAKGIPLALRVIGSNLKGRGPEKWETELQKYRKVPDSEIQGVLEISYTSLSNLDQKIFLDIACFFKGERWDYVKRILDACDFNPDIQVFVSKCLISVDENGCLEMHDLLQDMGREIVRKESPSNPGYRSRLWSHKDVHEVLKENSGSYAVEGIMLYPQKQEKIDYWTMTAFKKMKNLRILIVRNAIFSYGPSYLPNSLRLIDWKGYPSKSFPPDFYPHRIVDFKLPHSSLIFEKPFQRFEDLTFINLCHCQFITQIPDLSGAKSLKVLTLDQCYKLARFDKSIGFMPNLVYLSASECTQLTSFVPKMYLPSLEVLSFNFCRRLEHFPHVMHKMDKPLKIYMMSTAIKKIPTSIGNLTGLEHMDMSVCKELKNLPSSFFLLPKLVTLKVDECSQLGESFQRFRESRHSVANGSSNLVTLQFRETNPSYEDLCAILEIFPKLEDLNVSHNGFVALPNIIRGSSHLKSLDVSFCRNLKEIPELPLSIQKVDARYCQSLTSEASSMLWSKVSEEIQRMQVVMPMLKREIPEWFDCIGTKDIPHFWARRKFPVVALSLVFQEPKKKLSDFEHAFQSAVESFTGFVNWHTVSLHLFIDGQEIYGRDYHCFNVGEDHVLFCDLRVLFRDEEWQGLDASLGDDWKSIQVQYESDLILSHWGVNVYEQETNMDDIQFRFPIPSSTRNLIPSSLLVPKVCPKQKMKHMLESFDPRDIFNMNLSLIESEEGPSRSGKVLLRTWRNAKAEITEEASVSVYGASLKQEHEESVDDVVEVLEMIKENVPKHFSDSNPEEMQLFGGFVERLLRARVEVMKENGLDMGMPILLEYTDVGGSKYRRFWGVLQLKVGDPFYKAVLRKYNQLSWEFSTSNRASSSGTWFENLRITIVLLKCLDPAMEAASGFGYEESLEEGYYDPELAELMMRIEQDAMGFNKSYGKMKACIVRTDESVSPQYLFETLMFRRLIALGKLTTFGFITKFKITPYGNIRVEDDPFRIPKTCFWSLILVLHLLFFIIIWLFCFVGYVGLFICRIPVIGKILVCGWWLLMQVLVSCKYLYHRMGKIMKIKKKDL >Vigun03g399000.1.v1.2 pep primary_assembly:ASM411807v1:3:60552485:60558416:-1 gene:Vigun03g399000.v1.2 transcript:Vigun03g399000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGDFTYDVFMSFKGENGTRYAFTDHLYRALLRHGINAFRDDQSLRSGDEIRPSLLQAIEASRISLVVLCQNYASSSWCLDELAKIIHCYENKGKHVVAIFYLVEPSDVRYQKNSYATAMSKHESRYGKDSEKVKTWRSALARVCDLTGIHYRNHMYETEVIEKIVKDTSAKLPPMPLQIKHLVGLDSRFERVKSLIDVESNDEVCILGIYGVGGIGKTTFAVDLYNKIRHHFEAASFLANVREKTNKSIKGLEDLQRTLLSEMGEETETIIGSTFKGSSEIKCRLGHKRVLLVVDDVDSVKQLEALAGGYDWFGRGSRIIITTRDKDVLHKHDVEIKGYEMEELNYHESLELFCWYAFNRSSPAENFASISTSAVRYAKGIPLALRVIGSNLKGRGPEKWETELQKYRKVPDSEIQGVLEISYTSLSNLDQKIFLDIACFFKGERWDYVKRILDACDFNPDIQVFVSKCLISVDENGCLEMHDLLQDMGREIVRKESPSNPGYRSRLWSHKDVHEVLKENSGSYAVEGIMLYPQKQEKIDYWTMTAFKKMKNLRILIVRNAIFSYGPSYLPNSLRLIDWKGYPSKSFPPDFYPHRIVDFKLPHSSLIFEKPFQRFEDLTFINLCHCQFITQIPDLSGAKSLKVLTLDQCYKLARFDKSIGFMPNLVYLSASECTQLTSFVPKMYLPSLEVLSFNFCRRLEHFPHVMHKMDKPLKIYMMSTAIKKIPTSIGNLTGLEHMDMSVCKELKNLPSSFFLLPKLVTLKVDECSQLGESFQRFRESRHSVANGSSNLVTLQFRETNPSYEDLCAILEIFPKLEDLNVSHNGFVALPNIIRGSSHLKSLDVSFCRNLKEIPELPLSIQKVDARYCQSLTSEASSMLWSKVSEEIQRMQVVMPMLKREIPEWFDCIGTKDIPHFWARRKFPVVALSLVFQEPKKKLSDFEHAFQSAVESFTGFVNWHTVSLHLFIDGQEIYGRDYHCFNVGEDHVLFCDLRVLFRDEEWQGLDASLGDDWKSIQVQYESDLILSHWGVNVYEQETNMDDIQFRFPIPSSTRNLIPSSLLVPKVCPKQKMKHMLESFDPRDIFNMNLSLIESEEGPSRSGKVLLRTWRNAKAEITEEASVSVYGASLKQEHEESVDDVVEVLEMIKENVPKHFSDSNPEEMQLFGGFVERLLRARVEVMKENGLDMGMPILLEYTDVGGSKYRRFWGVLQLKVGDPFYKAVLRKYNQLSWEFSTSNRASSSGTWFENLRITIVLLKCLDPAMEAASGFGYEESLEEGYYDPELAELMMRIEQDAMGFNKSYGKMKACIVRTDESVSPQYLFETLMFRRLIALGKLTTFGFITKFKITPYGNIRVEDDPFRIPKTCFWSLILVLHLLFFIIIWLFCFVGYVGLFICRIPVIGKILVCGWWLLMQVLVSCKYLYHRMGKIMKIKKKDL >Vigun10g170600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38965371:38968894:-1 gene:Vigun10g170600.v1.2 transcript:Vigun10g170600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFKYSKEFLRWALQPPGYFRSWHIGVRVKSTKKMVAFITGVPARIRVRDEVVHMAEINFLCVHKKLRTKRLAPVMIKEVTRRVHLENMWQAAYTAGVILPTPIATCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMEIHDVPAVTRLIRTYLSRFVVAPDFDENDVEHWLLPKDDVIDSFLVESPETHEVTDFCSFYTLPSTILGHPSYSLLKAAYSFYNISTVTPLLQLMNDALIVAKQKDYDVFNALDVMQNETFLRELKFGPGDGKLHYYLYNYRIRHALKPSELGLVLL >Vigun08g067500.2.v1.2 pep primary_assembly:ASM411807v1:8:10105578:10109576:-1 gene:Vigun08g067500.v1.2 transcript:Vigun08g067500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDFELERQLQVINKPSLKSIQTEFRYIVDCVDIYKQLAFDHPLLKDHKLQRKPSFRNSFEKTSINNSSREFIFGLPKEKCPKGTVPIRRTKKDDLIQEKSSFYNQSMVQDVPGVHVAPQFHNGSTGTYVFSAWTSDNFKQTGCYNLQCSGFVQISQDNFIGSQLHKISVYGGQMADITISITMDRYTKNWWLSVVGHDIGYYPAELFSNMASADRVGWGGRTVTPPGLPSPQMGSGIFPDGNFLHAAYFKFISFQNEDRKDVAPNKHMIDAFVDKFDCFNTTEKKEEISEVFSYLEDPVACVAIDDRNIQLSKVENEST >Vigun02g134000.1.v1.2 pep primary_assembly:ASM411807v1:2:28430619:28433704:-1 gene:Vigun02g134000.v1.2 transcript:Vigun02g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMEPERKAWILIFFLTISLCGVKASSEGHHGEPQEGPVVKKDQRRTLLATEFGQITALDIKEEPDKLPYHLQFITLEPNSLFLPVLLHADMVFYVHTGSGKLTWAHDDDTGTIPLREGDLCNLVEGSVFYIQSDLEAERRKLRIYAMLTNTEDNTFNPSIGAYSRIDRLVKGFDKRIMQAALKVPDDLIEEIVNKKNTPAIVHADSKKTNIVKTLEASFLKNFVGVGSNSNKLEKYNILNHDPDFKNRYGSSVAVSKRQLKSLKRTNIGFLMVHLNEGSILGPHWNPKAAELAVAVEGKGMVRVVNGSSTEDETESQNMRFKVNAGDAFVVPRFHSMAQMAFNDEPFVFLGFSTSAKDNHPQFLAGKGSVLDNLDKHILATSLGVSDRTAEELQRSPRDAIIFGCSSCAEEEENTMEEEERERKREEEERKREEEEEDRKQEREREREEEEAKRQQEEREKKREEEEEREHEEGGGRGKERERKEEREREEEEARRQQEKREKKREKEKGEETEDKREQEKEQDQDKREGEREAEAAAQKEQEQARREQEKREKKRQEEGQREGKEEEDPTWWERPRREKGKRSSSEEEVAEEEQEQAKRQQEEREKRREQEGDADPFEGRRALKVRNV >Vigun07g169200.1.v1.2 pep primary_assembly:ASM411807v1:7:28310323:28315821:-1 gene:Vigun07g169200.v1.2 transcript:Vigun07g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMAWTALKGSPRASSHPRPLSWIVVIVGALAVFLFCASWVLVSSPIGSRVQGYFYSVSSSEKLDLPVSPLNEYSIDDGHLNTSLDHANDKPPSENLQSSSISNDVSNDSKIEQTNAKTNSQVDLSESTPVNHPMTKEVNKDPAVSSSGEPVTTVGDSADVSNSTLPAQESADVANSGLPAQEGADVANSGLPDKESADVSNSGLPAKESEDVSNSGLPAQESVDVANSGLPAQESVDVANSGLPAQENSQIDSSSSKTMPLAGSNSSNETGNIRLEEPAPVASINQSSVVSTASNEISPSSDDSTSTAVPASVEKPQSTSSAGCDLYHGHWIHDPQGPLYTNNSCPVLTQMQNCQGNGRPDQDYENWRWKPSQCDLPRFDPKKFLELMRGKTLAFIGDSVARNQMESMLCILWQVETPKNRGNHNMQRYYFRSTSFMIVRIWSSWLVKLITEPFDYAPADVDKLHLDAPDEKLMQHIPNFDVVVLSSGHWFAKKSVYILNNEIVGGQLWWPDKSQTMKVDSVKAYGISVETILTAIASIPNYKGLTIVRSYSPDHYEGGAWNTGGSCTGKVKPLAPGEGVENLHTNIMHEQQVTGFKRALEKSTNGSRLRLMDITEAFQFRHDGHPGPYRNPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEIVFEIIRREFEGGSAS >Vigun09g176200.2.v1.2 pep primary_assembly:ASM411807v1:9:34792676:34795354:1 gene:Vigun09g176200.v1.2 transcript:Vigun09g176200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMENNKMSKKRWKRKAAPLYLSEIAPPKWRGAFNTGFQFFLGVGVLVAGCINFVTAKHTWGWRVSLGIAVVPAAVMTVGALLITDTPNSLVERGKIEQARRALRKARGSSCDVEPELDELIKWSQIAKSVDKEPFKTIFERQYRPHLAMAIAIPFFQQMTGINIVAFYAPNLFQSVGLGHDAALLSAIILGAVSLASLLVSTAVVDRFGRRFLFISGGIVMLVCQISVSVMLAVVTGVHGTKDISKGNAIGVLVLLCFYTAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVAVQFITIFILSQTFLTMLCHFKFASFLFYAGWIIVMTLFVILFVPETKGIPLESMYTIWGKHWFWRRFVTQLPQQNLP >Vigun09g176200.1.v1.2 pep primary_assembly:ASM411807v1:9:34790824:34795354:1 gene:Vigun09g176200.v1.2 transcript:Vigun09g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVPVDSSPITNGFVGKITLSIVITCIVAASSGLLFGYDLGISGGVTTMVPFLEKFFPDILRKIGGSEVNMYCVYDSQVLTLFTSSLYLAGLVSSLVASRVTTAVGRRNTMLLGGVVFLLGGSLNAAAQNIVMLILGRVLLGFGVGFTNQAAPLYLSEIAPPKWRGAFNTGFQFFLGVGVLVAGCINFVTAKHTWGWRVSLGIAVVPAAVMTVGALLITDTPNSLVERGKIEQARRALRKARGSSCDVEPELDELIKWSQIAKSVDKEPFKTIFERQYRPHLAMAIAIPFFQQMTGINIVAFYAPNLFQSVGLGHDAALLSAIILGAVSLASLLVSTAVVDRFGRRFLFISGGIVMLVCQISVSVMLAVVTGVHGTKDISKGNAIGVLVLLCFYTAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVAVQFITIFILSQTFLTMLCHFKFASFLFYAGWIIVMTLFVILFVPETKGIPLESMYTIWGKHWFWRRFVTQLPQQNLP >Vigun09g162300.6.v1.2 pep primary_assembly:ASM411807v1:9:33002048:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWGPLPMKNIIGRYVTCYHRPTNI >Vigun09g162300.4.v1.2 pep primary_assembly:ASM411807v1:9:33002047:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKLYGENTETVFIKRIVAKAGDAVEVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWGPLPMKNIIGRYVTCYHRPTNI >Vigun09g162300.1.v1.2 pep primary_assembly:ASM411807v1:9:33002048:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKASYYIKNPSIHDIIIFKDPTQLYGENTETVFIKRIVAKAGDAVEVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWGPLPMKNIIGRYVTCYHRPTNI >Vigun09g162300.3.v1.2 pep primary_assembly:ASM411807v1:9:32999467:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKASYYIKNPSIHDIIIFKDPTQLYGENTETVFIKRIVAKAGDAVEVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWPICEEHSFLRQKMAF >Vigun09g162300.5.v1.2 pep primary_assembly:ASM411807v1:9:33002048:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKLYGENTETVFIKRIVAKAGDAVEVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWGPLPMKNIIGRYVTCYHRPTNI >Vigun09g162300.2.v1.2 pep primary_assembly:ASM411807v1:9:33002047:33005088:-1 gene:Vigun09g162300.v1.2 transcript:Vigun09g162300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPSAIYNFVTSYPSLRWMPCQSWGFLRWPGLDGFLRLMVVGLLWSTFSELRFIPSSSMFPTLRVGDRIIVEKASYYIKNPSIHDIIIFKDPTQLYGENTETVFIKRIVAKAGDAVEVHHGVLYVNGVAQQEDFIAQPPAYTIPLTTVPNDHVYVLGDNRNNSYDSHVWGPLPMKNIIGRYVTCYHRPTNI >Vigun04g159800.1.v1.2 pep primary_assembly:ASM411807v1:4:38218230:38223713:1 gene:Vigun04g159800.v1.2 transcript:Vigun04g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDSTPPYHPTTKPSKPNTHCKKTNLYSLVALLCIFSYTFGAYQQNSKTTPPTTTLSTKPCFHSVPSTSHLDFSSHHNATAASLPLPTSTFRYPPCDVSLSEYTPCEDHDRSLRYSRHKMVYRERHCPSKTETLKCRVPAPHGYRNPFPWPASRDVAWYANVPHRELTVEKAVQNWIRYNGDRFHFPGGGTMFPDGADKYIDDIGKLVNLRDGTVRTAVDTGCGVASWGAYLLSRDIITVSVAPRDTHEAQVQFALERGVPALIGVLASKRLPFPSRAFDMAHCSRCLIPWAEYDGLYLNEIDRILRPGGYWILSGPPIRWKKYWRGWERTKEDLNEEQTKIENVAKSLCWNKLVEKDDIAIWQKAKNHLECKANHELTHHRRFCKAQDNPDKAWYTDMQTCLSPLPDVSSKEETAGGALKNWPDRLKATPPRISKGTIKGVTPEIFSNDNKLWKKRVSYYKNVNNQLEKAGRYRNLLDMNAYLGGFAAALIDSPVWVMNVVPVQAKVDTLGAIYERGLIGTYHNWCEAMSTYPRTYDLIHADSVFSLYSHRCELEDILLEMDRILRPEGSVIIRDDVDILVKVKSIVNGMDWNSQIVDHEDGPLEREKLLFAVKNYWTSPLHPHKNS >Vigun03g034700.1.v1.2 pep primary_assembly:ASM411807v1:3:2655580:2658138:-1 gene:Vigun03g034700.v1.2 transcript:Vigun03g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTETKQRIEETVRRILQESNMDEVTESKIRKQASEELGLNLSQPHFKAFVKQVVGAFLQEIEEQQQQQEENDEEEGEEEQGVSQGKEYDDEGNLIICKLSDKRRVTVQDFRGKTLVSIREYYKKDGKELPSSKGISLSEEQWFAFKKNLPAIEKAIKKMESR >VigunL043700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:447539:448795:1 gene:VigunL043700.v1.2 transcript:VigunL043700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF MKNITDSFLCLGSWPSAGSFVFNTDILATNPINLSVVLGLLVFFGKGVLRDLLDNRKQKIWRTIRNSEELQENAIEQLEKAQARLRKVETEADLFRVNGYSEIKREKLNLINSIYTTLEQLENYKNEAIDFEQQRVINQVRQRVLQQALQGALGTLNSCLNNELHLRTVSANIGMFGMMK >Vigun05g233700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42721166:42722872:-1 gene:Vigun05g233700.v1.2 transcript:Vigun05g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPQTKKPLCWFFLLFLVLLQKRFQMGVVDQDSSHSQPNRILIRAVWASNLEAEFQILRGVIDDYPFVSMDTEFPGVIYLPQVVVPTETTRRHLKPSEHYAFLKSNVDVLNLIQVGLTLSDADGNLPHLGTSNRFIWEFNFRDFNVERDSHAPDSIDLLRRQGIDFGRNAAEGIDSCLFAELMMSSGLVCNEAVSWVTFHSAYDFGYLVKILTRRSLPHGLKDFLKILKAFFGGNVYDIKHMMRFCDGLYGGLDRLALTLNVDRAVGKCHQAGSDSLLTWHAFKRMWDIYFAEGGPQKHAGVLFGLELAYSSS >VigunL031300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:188018:188485:-1 gene:VigunL031300.v1.2 transcript:VigunL031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun11g054100.1.v1.2 pep primary_assembly:ASM411807v1:11:9750768:9756294:-1 gene:Vigun11g054100.v1.2 transcript:Vigun11g054100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIELHGEDSVVLRVTHSNLKTFNADIRFSLQLTVEGVKDKLWKKCGTSVNSMHLELYDDARNDKIADLSDNSKPLGFYSPLNGFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKVGSRCEVEHGAKRGVVKFVGRAESLGHGFWVGVQYDEPLGKHDGMVKGVRYFQCPPNHGGIVRPDKVKVGDYPERDPFEEGEI >Vigun11g054100.3.v1.2 pep primary_assembly:ASM411807v1:11:9750768:9756294:-1 gene:Vigun11g054100.v1.2 transcript:Vigun11g054100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIELHGEDSVVLRVTHSNLKTFNADIRFSLQLTVEGVKDKLWKKCGTSVNSMHLELYDDARNDKIADLSDNSKPLGFYSPLNGFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKDPDVKLNMGQKEVL >Vigun11g054100.2.v1.2 pep primary_assembly:ASM411807v1:11:9750768:9756294:-1 gene:Vigun11g054100.v1.2 transcript:Vigun11g054100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTKSPISLIIPNPLASIPLLMGLYFRIFLSTPFARFTTAFSCTRFRLHVVDLDPTSISSGGWLEDTSLVEKYEISDEAYNKRQDTFRKYKEKITSHVHATEEAKISDTSMEELCANIKVGSRCEVEHGAKRGVVKFVGRAESLGHGFWVGVQYDEPLGKHDGMVKGVRYFQCPPNHGGIVRPDKVKVGDYPERDPFEEGEI >Vigun09g250300.1.v1.2 pep primary_assembly:ASM411807v1:9:41754385:41761575:1 gene:Vigun09g250300.v1.2 transcript:Vigun09g250300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGSRFHIELVIELVVDTTTTTSNVSSHTNSFSNYQHSTSFTTLLIYVLTKFHAGYFRISLSLGSQALLWKTIITSEQDTTTLPRVLSTLPSAAVYALWSLSLFTLVLLSFLYLLRCFFFFKMVKAEFLHPVGVNYLFAPWISWLLLLQSAPFVAPKTALYFVLWWVFAVPMMVLDVKIYGQWLTKGKRVLSSAAGNPTSQLSVIGNLVGAQAAAHMGWKECALWLFAVGMVHYVVLFVTLYQRLSGRDGVPVLLRPVLFLFIAAPSVASLAWESIVGTFDTASKMLFFLSLFLFASLICRPRLFRRSMRRFSVAWWAYSFPITALALVSTDYAEEVKGTFSHILMLLLLALSVLVSIALIMFTLLNSNMLLPADI >Vigun09g250300.2.v1.2 pep primary_assembly:ASM411807v1:9:41759656:41761575:1 gene:Vigun09g250300.v1.2 transcript:Vigun09g250300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGSRSQIELVVDTTTTTSNVSSHTNSFSNYQHSTSFTTLLIYVLTKFHAGYFRISLSLGSQALLWKTIITSEQDTTTLPRVLSTLPSAAVYALWSLSLFTLVLLSFLYLLRCFFFFKMVKAEFLHPVGVNYLFAPWISWLLLLQSAPFVAPKTALYFVLWWVFAVPMMVLDVKIYGQWLTKGKRVLSSAAGNPTSQLSVIGNLVGAQAAAHMGWKECALWLFAVGMVHYVVLFVTLYQRLSGRDGVPVLLRPVLFLFIAAPSVASLAWESIVGTFDTASKMLFFLSLFLFASLICRPRLFRRSMRRFSVACSCLHCSHHVYSPQL >Vigun09g069000.1.v1.2 pep primary_assembly:ASM411807v1:9:7370735:7374650:-1 gene:Vigun09g069000.v1.2 transcript:Vigun09g069000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRSFDAEEILEGSFKLPKRAGPSHELFSLSESVFPDDDYHTQLPKPSEDGCTQVSSGGIEKVESGSFGEFAIEPGNSETSFPVIDIPASSWATSSTSDDLHLEPPLHLALFPEYFSPERPIRTLARYEDIYSILLEHSPRKPISVGANHQADVPAWDFLGATNRSNASASVSDTDFTVGDRDETEERLLGTCVIPLPQMELSSIDDEVGKGRTECDCEDQGSMRCVRQHIAEERDKLIKTFGPEKFTELGFTNMGEQVAEKWSAEDEQLFHEVVFNNPASLDKNFWNYLSIAFPSRTKKEIVSYYFNVFMLRRRAEQNRNDLLNIDSDNDEWQGSDSNDIATREEDEDSVAESPVCQDESCMADCQDNDLQTYDEYASDEPCAANETVDFTSRNTDDDSKYDAVEELHHSGGSPLIQPQDQPVWQDSCDEKVKDDSCTSSDAGVASRQTKVNTENGDHWCGNYTGVSNGYSQGYVLEPCDTKVWDSGFVSCSKNKIDFLPTCNMIEEVFGDGRRQDMRRA >Vigun03g441400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64404354:64406679:-1 gene:Vigun03g441400.v1.2 transcript:Vigun03g441400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFFKHSQGPHFSLSYCCLLLNHSLSQKSLNFVKSVHANFIKLGLNTYTYLGNRCLNLYSELGHVNDALKVFDDISHKNSTSWNICLKALLKSGQLGKACHVFDAMPARDVVSWNSMISGYASCGFLSHAWELFVEMQGTGMRPSGFTFSIVMSLASSPSHAKQIHCRMIRSGMDLDNVVLGNSLITMYGKIGLVEYAFGVIMTMKQFDVISWNSLIWACQRAGNHEQALELFYQMRNADFLPDQFTCSMLMSAYSNLRDLDKGKQVLAFCFKMGFVYNSIVSSAAIDLFSKCNRLEDSVQLFKEQDQWDSALCNSMISGYARHDLGADALRLFVLTLRKNIRPTKYMVSSLLSSVSVLLPVEVGNLIHSLVPKLGFESDAVIANSLVDMYAKFGFIDDALNIFNEMKIKDLVSWNTMLMGLTYNGRVSSTVDLFRELLTREDMVPDRITLTAVLLACNYGLLVDEGIEIFSLMEIKFGVKPGEEHYECVVAMLSKVGKLKEAIDIIETMPYISTSGIWRSILSACAVYGDLQIMEGVAKKIIDGETQTSLPYLVLAQTYQMRGRWETMVRMRKAVETIGTKEVIGHSSIGIKNNLYTFSSNQLQHYGGKDLYLLLNLLVWEMETEGCV >Vigun01g050800.1.v1.2 pep primary_assembly:ASM411807v1:1:8106779:8115593:-1 gene:Vigun01g050800.v1.2 transcript:Vigun01g050800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLCLATLIVISITHWVYRWRNPSCNGKLPPGSLGFPLLGESLQFFTPYTSSDINPFIKQRMNRYGPIFKTGFAGRPTVVSTDPKFNHLIFQQEGEMFKSWYPDTLNEILGKQNLGSLHGVVFKYVKNMVFKMFGPEGLKRMLSEVDQKACTTLQQWSCQDAVELMDATKRMIFDLTAKKLLSCDSKETLESLMANFVSFMEGLISLPLYIPGTAYYKCLQGRKRAMKKLKKMLHERREEPRKEESDFFDFVVEELSKEGTLLNEEIALDLMFSLLFASFETTSLALTYAIKALSDHPSVLKRLEEEHEAILKGREDPNSGLTWQEYKSMKFTLQVINETIRLANIVPGIFRKTIQEVKYGGYTIPEGWAIMICPPAVHLNPTLYEDPLSFNPWRWEGMEMSGASKHFMAFGGGMRFCVGADFAKVQMAVFLHNLVTKYKWRPIKGGNIVRNLGLRFPDGFYVRITEKDPWKYESE >Vigun09g228900.1.v1.2 pep primary_assembly:ASM411807v1:9:40095209:40096464:-1 gene:Vigun09g228900.v1.2 transcript:Vigun09g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMDSDRAKLFVGGISRETTEDVLKFHFAKYGTVLDSTISVDHSTRCPRGFGFVTFSDLSAADQALQDTHVILGRTVEVKKAIPRSEQQQHQNQLHHRGGVNYSSSCDYSSDTNVRTKKIFVGVYLLDSVTHRPRGFGFITFDSEESVQSVMVKSFHDLNGRQVEVKRAVPKEGNHGYDGFSKLRSKSERGVPKSFPPYSPRNMLPGLVPLPWYGSDGVYAYGSNAYGCWYPMGGYGGNGYAIPSDASRNFWYGPMMAGPQACQVPYASAVPNIAYVGGRIGIVGSGVGSWGYGGILGSATNLKFDQPFIGNGFVPGNTTLHHGVKQNVVDSSSFKGSNGEISS >Vigun10g169266.1.v1.2 pep primary_assembly:ASM411807v1:10:38820517:38822431:-1 gene:Vigun10g169266.v1.2 transcript:Vigun10g169266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHTHAVTEPFAISTHRNLSVLHPIYKLLYPHFRDTFSINSFARKSLISAGGIIEQTFLPGPYSMEMTAAVYKNWVFADQALPKDLIKRGLAVKDVSAPHGLRLAIEDYPYAVDGLEIWNAIKLWVQDYVHLYYSDEGAIERDWELQTWWEEAVEKGHGDLRAPWPELHSSQDLVEICTTIIWVASALHAAVNFGQYSYGGYIMNRPTQSRRWIPKAGTEEYEEAKNNPQEAFLKTITAKYHTIIDLSVMELLSTHSSDEVYLGQRDSLIWTSDEEANALFKRFTDDLGKIEKDISDRNNNKELKNRTGPVQMPYTVLLPTSEPGLTFRGIPNSISI >Vigun04g037500.2.v1.2 pep primary_assembly:ASM411807v1:4:3161330:3162933:1 gene:Vigun04g037500.v1.2 transcript:Vigun04g037500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFQILLQYKRLGLEYVDLYLIHFPVRLRQGAKGTKYGKEDILPLDMKGTWEDMEQCSKLGLAKSIGVSNFGVKKLSQLVQNAIITPALVQVEMNAAWQQENLRKFCKEKGIHVSAWSPLGANGAVWGSLAVVDSPILKDIALKSGKSVAQVALRWIIEEGATPIVKSFNSERMRQNLEIFDWELSESDSEKIKQIPQHRGFKGERFVSEFGPFKTLEDLWE >Vigun04g037500.1.v1.2 pep primary_assembly:ASM411807v1:4:3158564:3162933:1 gene:Vigun04g037500.v1.2 transcript:Vigun04g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKTIPEVVLNSGQKMPMLGFGTGTVPLPPPHVLIPAFMEAIKVGYRHFDTAAYYGSEEPLGEAIAQALDQGLIKSRSEIFVTTKLWCTEAHSGLVLPALHRSLQRLGLEYVDLYLIHFPVRLRQGAKGTKYGKEDILPLDMKGTWEDMEQCSKLGLAKSIGVSNFGVKKLSQLVQNAIITPALVQVEMNAAWQQENLRKFCKEKGIHVSAWSPLGANGAVWGSLAVVDSPILKDIALKSGKSVAQVALRWIIEEGATPIVKSFNSERMRQNLEIFDWELSESDSEKIKQIPQHRGFKGERFVSEFGPFKTLEDLWE >Vigun07g108300.1.v1.2 pep primary_assembly:ASM411807v1:7:20058173:20059381:1 gene:Vigun07g108300.v1.2 transcript:Vigun07g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGFVLCVVTVMVATMWTQNATAQSGCTSTLTSLSPCLNYIMGSSSTPSTSCCSQLSTVVQSSPQCLCSVLNGGSTFGITINQTLALSLPGACKVQTPPVSQCQAGNGPTSPSTAPVGSPSDSSPESPQESISPSASDFPSGAGSKTVPSTDGGSSDGNAIEVPSHMVFYLIAVVSCVLTFIKF >Vigun07g291600.5.v1.2 pep primary_assembly:ASM411807v1:7:40313422:40319193:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.3.v1.2 pep primary_assembly:ASM411807v1:7:40313592:40319193:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.8.v1.2 pep primary_assembly:ASM411807v1:7:40313853:40319177:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.4.v1.2 pep primary_assembly:ASM411807v1:7:40314424:40319193:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.7.v1.2 pep primary_assembly:ASM411807v1:7:40313576:40319177:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.2.v1.2 pep primary_assembly:ASM411807v1:7:40313038:40319205:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.1.v1.2 pep primary_assembly:ASM411807v1:7:40313579:40319205:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.6.v1.2 pep primary_assembly:ASM411807v1:7:40313169:40319177:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun07g291600.9.v1.2 pep primary_assembly:ASM411807v1:7:40314580:40319177:1 gene:Vigun07g291600.v1.2 transcript:Vigun07g291600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGVGNSSIPIKDVASNFRAYPLPLAKYEEVVDNSQLFMFTLEKLHASMGTKYMIPTVGGRELDLHRLFVEVTSRGGIAKIIRERKWKDVTSVFNFPSTATNASFVLRKYYASLLYHYEQIYYFKSREWDPTAPDVLQNQSTLAVPPPKIQFPQSLPEIQPAVFQQSNVNAAKLPEAMATSSAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQNPIMHGSHGAAANNTNASASVGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKALHHGKDREISRMIGELWNKLKESEKTVYQEKAMKDKERYRAEMEDYREKLKMGQVISDAVPLQQRLPEGDADMLDVDLKTDEAEADSLQTPEESSSGGSDYEDYNKTAERGFDMDSLPVIGMGAETSYLGSEDKSSKGGL >Vigun10g098750.1.v1.2 pep primary_assembly:ASM411807v1:10:28941155:28942522:-1 gene:Vigun10g098750.v1.2 transcript:Vigun10g098750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISSVPESVVRLSSSISVSKKICRHPFSRLHSFFRRSLSLGGHPHQAFKILV >Vigun08g086800.1.v1.2 pep primary_assembly:ASM411807v1:8:19502960:19520725:1 gene:Vigun08g086800.v1.2 transcript:Vigun08g086800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKCMVMMPLTLLHFASVLVLVLGERMIMKVENTQKYGGSFLSKAMNFLWQSGESGYQHVWPEIEFGWQIILGTIIGFFGAAFGSVGGVGGGGIFVPMLSLVIGFDSKSSTAMSKCMIMGAALSTVYYNLNLRHPTLDLPVIDYDLALLIQPMLMLGISIGVVFNVVVADWMVTMLLLILFLGTSAKAFSKGVETWKKETIEKQENARKEDSNGFGSEVEYRPIPNEADNDSAKETKRGEVNILENVYWKEFGLLLFVWLAFLGIQIAMNQTTKCSTIYWVLNFLQIPVSVGVAGYEAVSLYKGRRAIASVGDQGKSFTIPQLALYSFFGISAGIVGGLLGIGGGFVMGPLFLELGVPPQVASATATFAMVFSSSMSVVEYYLLKRFPVPYAMYFIVVAAISAIVGQHIVRKLIDILGRASIIIFVLAITIFVSAVSLGGVGIAAMVKKIENHEYMGFDDLCKYNS >Vigun02g081400.1.v1.2 pep primary_assembly:ASM411807v1:2:23424833:23433616:1 gene:Vigun02g081400.v1.2 transcript:Vigun02g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNGTEPHAADESQTRSQQRNAAVFTAGSADMAPITGPGDFYREFVLESKKLWFLAGPAIFSFVSKYSLGAFTQIFAGHIGTIDLTAVSVENSLIAGFSYGILLGMGSALETLCGQAVGAGKLNMLGVYMQRSWVLLSMTACVLCFLYIFAGPFLILIGQDPEISRAAGKFAIWMLPQLFAYALNFPVAKFLQTQSKVIVIAAISGVAMAVHPLLSWLLIMKAEWGLVGAAVVLNGSWWFIVVAQLGYVLSGRCGAAWKGFSWEAFGNLWGFFRLSLASAVMLCLETWYFMALLLFAGYLKNAKIYLGAFSICMNILGWTIMVSFGMNASTSVRISNELGAHHPRTALFSLVVAVITSIMIGLFLAFVLMVTRDVYPSLFSNDIEVQNLVKELTPLLSFCIVINNVQPVLSGVAIGAGWQAFVAYVNIGCYYLFGIPLGLVLGYKFHRGIRGIWQGMISGTVLQTIVILVMIYKTNWNREASRAEDRVQTWGGQKVGDDKENTEEET >Vigun07g109200.1.v1.2 pep primary_assembly:ASM411807v1:7:20207425:20215778:-1 gene:Vigun07g109200.v1.2 transcript:Vigun07g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSRARLGTVSGGEEVVHKKKVVVKSEAVDKGGEGLEVQNSTIEKKGEVALDVKEECGFDLNVSASGEVDLEENESGKGCFSGGGDTVVVEVDKKAKKEQGSNNEVLVGGRVLRSRSKGVKDRRVYYGESEDAVSESDRECSGVETVKVKTECEEAGEFLADSYENEKAKVKKAENGTGNLKRKRGRPPKIKLKVEDQPVHQSPRKRGRPPLASKQNHVVVHNRKEKVVLRKDKKSLTMRRDANVNAIDDADSRKSAGVELEKKEVSSVKKSKFTKALETGNNVVASPVRSNTDNALVSEKSGRNRVKQLVRDQIKEILSAAGWTVDYRPRNGREYHDAVYVSLDGHTHWSITLAYKRLKEYYEAGNGEGKAYGPGFKFTPIAAEDFQILTKVIKKQRQGKVKSVKKGGKGGNKNKGRSGSGAAVGKSVKRKMKRKRSPGDTDSISPNRMPVLVRDHKRHKTQHKLRSGPLVRNAKEIDSETEGYVLYSGKRTLFAWMIDLGTVLLNAKVHYKAPEDKSAALDGKITGDGIHCGCCDKVITISEFEVHAGRKFGDPLQNIYVEEGKSLLQCLLDSWNKQDESERQGFHFVDVAGEDPNDDTCGVCGDGGDLICCDGCPSTFHQDCLDIQKFPSGDWHCIYCCCKFCGSVNGCSDQKDSDDDLTVSKLLTCRLCEQKYHRSCIEANDCNTIDYNDVIFCGNRCQELSERLEMLLGVRHEIEDGYAWTFIRRSDVGIDDSQKKPQMVECNSKLAVALSIMDECFMPYIDHRSGVNLIHSILYNRGSNFNRLNYSGFVTAILERGDEMICAASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIELGLSSLNVELLVIPAISELRETWTSVFGFEPLESTIKQTVHNTNLLVFPHVDMLQKKISKHDMASHNPIPSEAVNQIAYNCNGAGSSGSDLINYIEIPPCPNTCQINDEATSNESDCHLPEGSVNNVPAVASNINGCRQSTKDDTCEVVCHTGDENPVMDNTKKNVCEDVTDTSGVAGDGQLNTTSCINTEATKVQCVNVSLEVTENLPNHVAVSNEVLSNNKSAEDSEPCGTESITRDSKLSADSIHQDSSIVSDSCKLRSDTDCGQQNIIGTEEKRGEFISVCGSNGRPNGDCASGEGTAATNELLHLPSQDMTNKKPWVKGSSDLPEPDLQVDQTEENSAPSPWSPNTASGCASTGSASRGSTEGIFLSNQAS >Vigun07g109200.3.v1.2 pep primary_assembly:ASM411807v1:7:20207425:20215778:-1 gene:Vigun07g109200.v1.2 transcript:Vigun07g109200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSRARLGTVSGGEEVVHKKKVVVKSEAVDKGGEGLEVQNSTIEKKGEVALDVKEECGFDLNVSASGEVDLEENESGKGCFSGGGDTVVVEVDKKAKKEQGSNNEVLVGGRVLRSRSKGVKDRRVYYGESEDAVSESDRECSGVETVKVKTECEEAGEFLADSYENEKAKVKKAENGTGNLKRKRGRPPKIKLKVEDQPVHQSPRKRGRPPLASKQNHVVVHNRKEKVVLRKDKKSLTMRRDANVNAIDDADSRKSAGVELEKKEVSSVKKSKFTKALETGNNVVASPVRSNTDNALVSEKSGRNRVKQLVRDQIKEILSAAGWTVDYRPRNGREYHDAVYVSLDGHTHWSITLAYKRLKEYYEAGNGEGKAYGPGFKFTPIAAEDFQILTKVIKKQRQGKVKSVKKGGKGGNKNKGRSGSGAAVGKSVKRKMKRKRSPGDTDSISPNRMPVLVRDHKRHKTQHKLRSGPLVRNAKEIDSETEGYVLYSGKRTLFAWMIDLGTVLLNAKVHYKAPEDKSAALDGKITGDGIHCGCCDKVITISEFEVHAGRKFGDPLQNIYVEEGKSLLQCLLDSWNKQDESERQGFHFVDVAGEDPNDDTCGVCGDGGDLICCDGCPSTFHQDCLDIQKFPSGDWHCIYCCCKFCGSVNGCSDQKDSDDDLTVSKLLTCRLCEQKYHRSCIEANDCNTIDYNDVIFCGNRCQELSERLEMLLGVRHEIEDGYAWTFIRRSDVGIDDSQKKPQMVECNSKLAVALSIMDECFMPYIDHRSGVNLIHSILYNRGSNFNRLNYSGFVTAILERGDEMICAASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIELGLSSLNVELLVIPAISELRETWTSVFGFEPLESTIKQTVHNTNLLVFPHVDMLQKKISKHDMASHNPIPSEAVNQIAYNCNGAGSSGSDLINYIEIPPCPNTCQINDEATSNESDCHLPEGSVNNVPAVASNINGCRQSTKDDTCEVVCHTGDENPVMDNTKKNVCEDVTDTSGVAGDGQLNTTSCINTEATKVQCVNVSLEVTENLPNHVAVSNEVLSNNKSAEDSEPCGTESITRDSKLSADSIHQDSSIVSDSCKLRSDTDCGQQNIIGTEEKRGEFISVCGSNGRPNGDCASGEGTAATNELLHLPSQDMTNKKPWVKGSSDLPEPDLQVDQTEENSAPSPWSPNTASGCASTGSASRGSTEGIFLSNQAS >Vigun07g109200.2.v1.2 pep primary_assembly:ASM411807v1:7:20207425:20215778:-1 gene:Vigun07g109200.v1.2 transcript:Vigun07g109200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSRARLGTVSGGEEVVHKKKVVVKSEAVDKGGEGLEVQNSTIEKKGEVALDVKEECGFDLNVSASGEVDLEENESGKGCFSGGGDTVVVEVDKKAKKEQGSNNEVLVGGRVLRSRSKGVKDRRVYYGESEDAVSESDRECSGVETVKVKTECEEAGEFLADSYENEKAKVKKAENGTGNLKRKRGRPPKIKLKVEDQPVHQSPRKRGRPPLASKQNHVVVHNRKEKVVLRKDKKSLTMRRDANVNAIDDADSRKSAGVELEKKEVSSVKKSKFTKALETGNNVVASPVRSNTDNALVSEKSGRNRVKQLVRDQIKEILSAAGWTVDYRPRNGREYHDAVYVSLDGHTHWSITLAYKRLKEYYEAGNGEGKAYGPGFKFTPIAAEDFQILTKVIKKQRQGKVKSVKKGGKGGNKNKGRSGSGAAVGKSVKRKMKRKRSPGDTDSISPNRMPVLVRDHKRHKTQHKLRSGPLVRNAKEIDSETEGYVLYSGKRTLFAWMIDLGTVLLNAKVHYKAPEDKSAALDGKITGDGIHCGCCDKVITISEFEVHAGRKFGDPLQNIYVEEGKSLLQCLLDSWNKQDESERQGFHFVDVAGEDPNDDTCGVCGDGGDLICCDGCPSTFHQDCLDIQKFPSGDWHCIYCCCKFCGSVNGCSDQKDSDDDLTVSKLLTCRLCEQKYHRSCIEANDCNTIDYNDVIFCGNRCQELSERLEMLLGVRHEIEDGYAWTFIRRSDVGIDDSQKKPQMVECNSKLAVALSIMDECFMPYIDHRSGVNLIHSILYNRGSNFNRLNYSGFVTAILERGDEMICAASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLLNAIELGLSSLNVELLVIPAISELRETWTSVFGFEPLESTIKQTVHNTNLLVFPHVDMLQKKISKHDMASHNPIPSEAVNQIAYNCNGAGSSGSDLINYIEIPPCPNTCQINDEATSNESDCHLPEGSVNNVPAVASNINGCRQSTKDDTCEVVCHTGDENPVMDNTKKNVCEDVTDTSGVAGDGQLNTTSCINTEATKVQCVNVSLEVTENLPNHVAVSNEVLSNNKSAEDSEPCGTESITRDSKLSADSIHQDSSIVSDSCKLRSDTDCGQQNIIGTEEKRGEFISVCGSNGRPNGDCASGEGTAATNELLHLPSQDMTNKKPWVKGSSDLPEPDLQVDQTEENSAPSPWSPNTASGCASTGSASRGSTEGIFLSNQAS >Vigun10g088200.1.v1.2 pep primary_assembly:ASM411807v1:10:25399123:25403030:-1 gene:Vigun10g088200.v1.2 transcript:Vigun10g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPLQRIAISGPTLASLIQRFSTSPSAIHGLLFGHVTHLPTTLSDDSSSTVPTLLATITGFLCSPSFHDSSGAVLPSALHPHSSLLGWFSARRRSALRPSMREFSVTSSLSSLSQFSTSIDNSNPNLNSNSREQPSLFPPCVFLLLASPPFDNAPSSHVHTHEYRAFQFRTGPQLFEPRSLDVVNIGPAFRGHYGAFSPNSRLPALDCGLRGSPMDEGGDDRLAGMKQAANDQRELDGCVEGFEVGKLSRMVGSDARSYTEGLEELYKKMLVKIQNLTSLVDESSAMVLEQENHNRKLKHKIFRSAASE >Vigun05g164100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26482433:26484774:-1 gene:Vigun05g164100.v1.2 transcript:Vigun05g164100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMDGSSAKPPQISEMFQKFALAFKTKTFEFFSDENASPLDDIDGFSLLDSTEEIIPDQKVVVIKPDPDPSHNFSPPPRSPPPQSPPESRNPPPQSPPPQSPPESRNPTPQITPPAPESPEPVKAPALTEAQIKETAHALISSVFAAVSAFEASYFQLQSAHVPFVEEQVTTADKVLVSHLQRLSELKKFYLAPEHRGFPFGSCLEAEVEENQSKLRTLGTVSNRLQWELEQKHDEVVALKAKLDEIHRGNVNLSKKLCASALNPSSDVLLTVKVFDLLLHDASRATHRFTKILIGLMRKAGWDLGLAANAVHPNVDYAKKGHNQYALLSYVCLGMFHGFDSLDFGMEETVSNEHVCSDVDKRDGCLKQLLEHVSSNPMDLLGIHPGCEFSRFCEHKYERLIHPSMESSIFVNLEEKEAVLNSWRSLSMFYEAFVGMASAVWTLHKLSYTFEPVVEIFQVERGVEFSMIYMEDVTKRLTWPNKGRAKVGFTVLPGFKIGRVVIQSQVYIGNFRCTEKSNQSSS >Vigun04g133400.1.v1.2 pep primary_assembly:ASM411807v1:4:33464584:33465069:-1 gene:Vigun04g133400.v1.2 transcript:Vigun04g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQSSSSYCNNCVQRSVPASRTQSLRPICDCGQAAVVRTARTPRNVGRKFWGCANYKRQSEGGGVSCNFFQWWYEDVDEEKEVLIVNQNMKIEDLENVVRDLKKCFNVLAVVVSIVGLINVVMLALMLKD >Vigun08g102500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25446220:25447479:1 gene:Vigun08g102500.v1.2 transcript:Vigun08g102500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTPLTPPLYISTNISFFHHTNSPTTFHFSLRQHKQMASLTSLNFLLCIFLAISIFRFSSARKLTESEPQLKFQYHRGPLLTGKISVNLIWYGNFKPSQKAIVTDFITSLSSPKPLTAQPSVDTWWKATEKYYQTPSPKLALSLGSQILDENYSFGKSLTTDQIVKLASKGPQRNAINVVLTSADVAVEGFCSSRCGTHGSSVGARVNGKRYKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPALVAPNNDVGVDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNANGVNGRKYLLPALVDPKTSACSTIV >Vigun10g160600.3.v1.2 pep primary_assembly:ASM411807v1:10:37948507:37949607:1 gene:Vigun10g160600.v1.2 transcript:Vigun10g160600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPTPETGLGKLRKFNTSSAGGPTVAEDVPISRSITIIRTHSALGSTSTSSPASPSSVPDTPCTPFSPETPGGGVKRFTRRKSSTVEGAANRSPTIYDWVIMSALDRY >Vigun10g160600.2.v1.2 pep primary_assembly:ASM411807v1:10:37948506:37950022:1 gene:Vigun10g160600.v1.2 transcript:Vigun10g160600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPTPETGLGKLRKFNTSSAGGPTVAEDVPISRSITIIRTHSALGSTSTSSPASPSSVPDTPCTPFSPETPGGGVKRFTRRKSSTVEGAANRSPTIYDWFSG >Vigun10g160600.1.v1.2 pep primary_assembly:ASM411807v1:10:37948506:37950022:1 gene:Vigun10g160600.v1.2 transcript:Vigun10g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPTPETGLGKLRKFNTSSAGGPTVAEDVPISRSITIIRTHSALGSTSTSSPASPSSVPDTPCTPFSPETPGGGVKRFTRRKSSTVEGAANRSPTIYDWVIMSALDRY >Vigun10g160600.4.v1.2 pep primary_assembly:ASM411807v1:10:37948507:37949607:1 gene:Vigun10g160600.v1.2 transcript:Vigun10g160600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPTPETGLGKLRKFNTSSAGGPTVAEDVPISRSITIIRTHSALGSTSTSSPASPSSVPDTPCTPFSPETPGGGVKRFTRRKSSTVEGAANRSPTIYDWFSG >Vigun09g032600.1.v1.2 pep primary_assembly:ASM411807v1:9:2787176:2788825:1 gene:Vigun09g032600.v1.2 transcript:Vigun09g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIGFLAVVVATFVVAATAGSFLQDFELTWGGGRAKILENGNLLTLSLDKASGSGFRSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSLGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTKYFHTYSVQWNPASIIFSVDGTPIREFRNLETKGVPFPKNQPMRIYSSLWDAEDWATRGGLVKTDWSQAPFTASYRNFNAEACVWSSSGSSSCSSSSGQSLDATGQAKLRLVQKNYMVYNYCTDIRRFPQGLPPECSSA >Vigun03g292800.1.v1.2 pep primary_assembly:ASM411807v1:3:47790363:47791761:-1 gene:Vigun03g292800.v1.2 transcript:Vigun03g292800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPAPPTPYTPPANGAQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATNVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGANATTSTTEQKFNTVTIQ >Vigun03g292800.2.v1.2 pep primary_assembly:ASM411807v1:3:47790394:47791761:-1 gene:Vigun03g292800.v1.2 transcript:Vigun03g292800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPAPPTPYTPPANGAQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATNVQCSCCHTVNLALEGGTCQLWELQDATDVPIWSKIREMCSLQFCNISWGQCDNKHH >Vigun03g292800.3.v1.2 pep primary_assembly:ASM411807v1:3:47790837:47791761:-1 gene:Vigun03g292800.v1.2 transcript:Vigun03g292800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPAPPTPYTPPANGAQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATNVQCSCCHTVNLALEGILVLMFKELCMHDGENLGDVCVVS >Vigun08g161700.11.v1.2 pep primary_assembly:ASM411807v1:8:33413279:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFNKLQAEQNPQDVVHPSANFDPQVVFHYGVPSTASILAFDHFQNLLAMGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEAVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEGSSNYFRKVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELCCSRCYSKSISKPK >Vigun08g161700.9.v1.2 pep primary_assembly:ASM411807v1:8:33413279:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEAVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEGSSNYFRKVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun08g161700.2.v1.2 pep primary_assembly:ASM411807v1:8:33413279:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFNKLQAEQNPQDVVHPSANFDPQVVFHYGVPSTASILAFDHFQNLLAMGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEGSSNYFRKVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun08g161700.8.v1.2 pep primary_assembly:ASM411807v1:8:33413278:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFNKLQAEQNPQDVVHPSANFDPQVVFHYGVPSTASILAFDHFQNLLAMGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun08g161700.1.v1.2 pep primary_assembly:ASM411807v1:8:33413279:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFNKLQAEQNPQDVVHPSANFDPQVVFHYGVPSTASILAFDHFQNLLAMGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEAVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEGSSNYFRKVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun08g161700.10.v1.2 pep primary_assembly:ASM411807v1:8:33413279:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEGSSNYFRKVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun08g161700.7.v1.2 pep primary_assembly:ASM411807v1:8:33413278:33427335:-1 gene:Vigun08g161700.v1.2 transcript:Vigun08g161700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFNKLQAEQNPQDVVHPSANFDPQVVFHYGVPSTASILAFDHFQNLLAMGTLDGRIKVCGGDNIEGILISPKQAPFKNLEFLENQGFLASVSNDNEIQVWDLKSKQIASALQWESIITAFSVIYGTSYMYVGTEYGMVYVLMFDSEDRKIKILPYYVPTNVISEAAGMSLDQVSVVRVLHQPCSDGNRLLIAYENGLMVLWDASKDRIVLMRNHKDIKLKRKIVASYANGPRPQLSNDKLEHEEQEKEISSLSWASSDGSVVVVGYVDGDILFWDFSSADFPQDKQVKTLSNDVVKLQLLSADTRLPITVLYWLANNKGGKLFVYGGCEIGSEEVLTVLSIDWSGGLENLRCTGRFDVTLHGSFADMVLLCRDCHTEGASNVLSVLTSPGQLDIYGNDCLSSLMSQKEKKTSVPTVQYPIVVPTLEPHMTTARLDVVCQDVKPFKALFKILEAGKHHSIQNQKSIATKWPLTGGVPGLPFKENHPIIQIYIAGYQDGSVQIWDATYPALSLAYNIKSEVGDVKIGNASAPVSALGFCPDTLHLSVGDESGVVRLYALIRSSNTTSLHFVTENGTEAVHDTHQGDGPNCKALFTLQKSAVYGLHFANHGRRLIVGYEHGQVAMLDISSSTVLFLTKTESNTSAVVSMNAIFSDSRSSNTQDSVSDISDNPGMGLVYVVTRDAHFVAIDAVTGNIVCSKTISPRVKSNAISMYIIDRSTSTLPAEKLSPNSPRKSDSAMQPNVQSENAQVKVETATTVENSYCGQILSNSLILLCYESELSIHTSNFVFEVDLVQRCCWTTIFKKNEKECVLALLYQRGNIELRSLPALEVLGEISLMSILRWNLEINMEKTICSSSNGKIILVNGNETACISLLKCENELWIPESFPCLHDEVLAAAVDATASLSQNQNERQGASGIFVNIAKNFKAGKADHNANQAVHTDRLENLKQLFSTPPFLKSSSSTVDNQDPFSLDIDDIQIDEVVVFSSPKKIHIDNRDKGKETDRPTLFEKGTDILKIFNDKGKATDRQKLFEDASTDSKPRARTTEEIKAKYRKTGTGDASAAAALARDKLLERQQKLQLLNERTEELQNGAQDFASMATELAKRMENRKWWQL >Vigun07g037900.1.v1.2 pep primary_assembly:ASM411807v1:7:3643295:3645451:1 gene:Vigun07g037900.v1.2 transcript:Vigun07g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMERQSVCEYPLPVVDFSDENMKPGTDTWVAACEMVRRGFEDHGCFLAHFDKVGPNLINSVFHAMEQLFGLPLETKRTKTSEKPNHGYTGQVPTSPLFESFAIENPSNIQDCQKFSNIMWPTGNHHLCESVNEYAKLLQELDRSVKRMVFDSYGLEKVKCESFLESTDYSFRSYKYMIPAMDESNVGVNSHTDSTFITILHQRVDGLEVKLKDGEWFPIHASPFFCVLAGDAFMVWSSERIRACEHRVILKSKVTRYSLGLLSYSTKMVQTLEDLVDEEHPIRYKPFDHYSYVGFRFTEEAIKCTSRIKAYCGI >Vigun03g102500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8822108:8823731:1 gene:Vigun03g102500.v1.2 transcript:Vigun03g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAILPFLSIFLLMAASDPVVEDAILQCLSLHSNPSYPISSVTYFPNTTSYIPILHSYIRNLRFTSPTTPKPLFIVAPTHISHIQASIICCKSFQLQIRIRSGGHDYDGLSYVSHSPFAIMDMFMMRSVEVNLEGETVWVDSGSTIGELYHAIAERSKVYGFPAGVCHSVGVGGHFSGGGYGNMMRKFGLSVDHVLDAVIVDAEGRVLDRKKMGEDLFWGIRGGGGASFGVIVSWKIKLVPVPEVVTVFRVQKTLEQGATDVVHRWQYVAGNMHDELFIRVVLSAVKRKGQKTIRAKFNALFLGNAQDLVRVMSDSFPELGLVGEDCIEMSWIDSVLFWDNFPVGTSVDVLLERHDTTEKFLKKKSDYVQQPISKTGLEGVWSKMMELEKPVLALNPYGGRMGEISEMEVPFPHRAGNLYKIQYSVNWKEEGEDVGDRYLDLIRRLYDYMTPYVSKSPRSSYLNYRDVDIGVNGPGNATYEEARVWGEKYFKRNFDRLVEVKTKVDPSNFFRYEQSIPSLAAFSVGIMSE >Vigun05g290600.1.v1.2 pep primary_assembly:ASM411807v1:5:47695299:47701142:1 gene:Vigun05g290600.v1.2 transcript:Vigun05g290600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLGSPNIKVLNLHFSGKNVGLSKHVGTRSWRRQSLQYTGLVTSRQADRLLASSNDPASEIKVIKRSEEGSEEIKSSDLTSPLIPNFNEVEFLVTKLCDSSSIGELELKLAGFHLHIVRDLTQKHKTLPPPIPASVSANNVIETPKTNGSVSTTSLAISKPVNPVDPISSPGSIQKFLDRAADEGLVIIPSPKVGFFRRSRTIKGKRSPPSCKEKQRVEEGQVICYIEQLGGQVPIESDVSGEVIKILRKDGDPVGYGDALVAILPSFPGIKKLQ >Vigun04g041300.1.v1.2 pep primary_assembly:ASM411807v1:4:3583785:3588245:1 gene:Vigun04g041300.v1.2 transcript:Vigun04g041300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVGAGVEKAVGDGTKAWDSGGTNILVRRVMYVAMLLGGVGVLWMSFHHFGSPLECSTFSHHSMDESSKEGDNDQKLESVLRRASMKDKTVILTTLNDAWTAPGSIFDLFLESFRIGNETEWLLNHLVVITYEQKTQERCLTVHKHCHQLISKGDNFTGEQRYMTPNYLHMMWKRLEFLGSILDMGYSFVFTDCDIMWLRDPFKQFDKDADFQIACDAFNGNSSDIHNSPNAGFKYARSNYRTNWFYKFWINSRSSYPKLKQQDVLNRIKEHPSVSDMKLKIKFLSTSYFGGFCQISEDFNKVTTMHANCCVGLENKSIDLKLVLEDWKKYMALPEYNKTESHHSWRVPKSCK >Vigun04g041300.2.v1.2 pep primary_assembly:ASM411807v1:4:3583785:3588245:1 gene:Vigun04g041300.v1.2 transcript:Vigun04g041300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVGAGVEKAVGDGTKAWDSGGTNILVRRVMYVAMLLGGVGVLWMSFHHFGSPLECSTFSHHSMDESSKEGDNDQKLESVLRRASMKDKTVILTTLNDAWTAPGSIFDLFLESFRIGNETEWLLNHLVVITYEQKTQERCLTVHKHCHQLISKGDNFTGEQRYMTPNYLHMMWKRLEFLGSILDMGYSFVFTDCDIMWLRDPFKQFDKDADFQIACDAFNGNSSDIHNSPNAGFKYARSNYRTNWFYKFWINSRSSYPKLKQQDVLNRIKEHPSVSDMKLKIKFLSTSYFGGFCQISEDFNKVTTMHANCCVGLENKSIDLKLVLEDWKKYMALPEYNKTESHHSWRVPKSCK >Vigun07g184200.1.v1.2 pep primary_assembly:ASM411807v1:7:30127458:30128188:1 gene:Vigun07g184200.v1.2 transcript:Vigun07g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNVTCNMAASEHEITEPNTLSVEFINLVETEVARLEKLKSSKMKELVLKKRIELEEICRKTRLIPEIDNAVEYAVDAIKSVLWTLLVCSNKLNFGLPKSKKKLLAEKRYLKGLRNGWQHAMKSLGLRNTTGMIIGTMLGEVLILLSSEL >Vigun09g076700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8768243:8768930:1 gene:Vigun09g076700.v1.2 transcript:Vigun09g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAYTILMGLELTLIGIKYEGSNTNPFQHSTPILLLFLTATCSHVLASTAQTTCPTIFIFHVSGVVGCEALLWILIAPEFIWWYIINLLLLLLASFCFDYNHINQLISHTTHDPNLASPV >Vigun07g275300.1.v1.2 pep primary_assembly:ASM411807v1:7:39067430:39074484:-1 gene:Vigun07g275300.v1.2 transcript:Vigun07g275300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNVFLAPSFALHGGRVSDPRSIPLLSPPSDVVFRSSTSFSRDRNIILASAYHVNAVGSASLKSNDNPDSVPMPIVLIDQDSDPEATIVQLSFGDRLGALLDTMKALKDLGLDVSKGTVSTEGLVKQTKFFITQSDTGRKVEDPDMLERIRLTIINNLLKYHPESSELLAMGEVFGIKSPKKKRNEDIKTHIQVKEDGPKRSLLCIETADKPGLLVEIIKVISDVNIDVESAEIDTEGLVAKDKFHVSYGGAALNRSMSQVLVNCLRYYLRTPDTDIDSY >Vigun10g038500.1.v1.2 pep primary_assembly:ASM411807v1:10:5275941:5278747:-1 gene:Vigun10g038500.v1.2 transcript:Vigun10g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATSSSKLPRKYDVLISFNGDDIRRKFVSHLDSALSAVGLTTLLHYENAVKSTHIQQPILNLCRVVIVVFTKTYSQSAWCLHQLQQIIQWHQTYCRHVLPVYYEIQPSHVRIQQGDFGMAFKTTAQQTFSEHELEHGLSSWSHALTKAANFFGWDESNHRSDAELVDKIVKSVLNLSALSATKFPVGLQDPVELLLQAINNKSMDVCTIGVCGMGGSGKTTLAKAIYHQIHGTFMQKSFIEDIAQVSKPRGRIHLQQQLLSDVLKTKVEIHSVEMGRSMIRERLFRKRVLIVLDDMDDYLPLLDLRNSRSWLSEGTVIIITTRDRHLLRKHQVDFVFEMNLLNENESLELLSWHAFREAKPKEEYHDLAKAIVTHCGGLPLALEVIGSTLFESTEEEWQTVLFELEEIPMDDVQLKLKISLDRLHSQMEKDLFLDVCCYFVGKDRAYATKILNGSGVDADNEIRTQHGYIVDADTGIRVLMERNLIKVKSNNKFGMHPLLQEMGIGFFREISGEKRLKNSQLLFDVDVEYALKVNSGRKSFEVLPVKLLSTRREISRQTKDALNSEYLPPKLRWITLHGFSSQYLPNGFNVQDAIAIDLKHSLLRFFWEEPQVLRSLKVLNLSHSMYLTETPDFSRLPSLEQLILKDCPRLRIVHSSIGDLCYLILLNLKDCQCLSNLPREIYKLKSLRTLILSGCSKICRMEKDILRMKSLITLIAENTAAKQVPFSIIIAGMILCHCLVA >Vigun07g180300.1.v1.2 pep primary_assembly:ASM411807v1:7:29727679:29732201:-1 gene:Vigun07g180300.v1.2 transcript:Vigun07g180300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHTRRESYPSATSSRLDYHVHSQRRPDTNALSLPFGKLHGLDDDQVRETAYEIFFTSCRSTPGFGGRHALTFYSNHDTNGSGGEGGKTNQVVTKPTSRVKKMLGLRMVKRSPSRRMVSNGVSSTPSSPVGAPGSPLSGSVPSFRPRRPMTAAEIMRQQMRVTEHDDHRLRKTLMRTLVGQMGRRAETIILPLELIRHLKPSEFSDSQEYHMWQIRQLKVLEAGLLLYPAIPLEKTSTFATRLRDIVISGESKPIDAGKNSDTMRSLCNSVVSLSWRTINGTPADVCHWADGFPFNIHLYTSLLQAIFDVRDETLVLDEVDELLELMKKTWSILGITLPIHNVCFAWVLFKQYVSTGQIEVDLLCASHAMMNEVANDAKRENESTYVKIVSSVLSSMQSWAEKRLLNYHEYFQRGNAGQIENLVPVVLTASKILVEDLTFTEGDRGQKGGMAIVDCRDRIDDYIRSSMQHAFDKVIETVNAKSAESERRKEFNEVLLQVVQETEALMLKERHHYSPILKKWHSTAGAVAAMVLHSCFGKLLKHYVNEVNSLTTESVQVLQKAGKLEKGFVQMMVEEASDCDDGGKTVISEMAPYDVDSIILSLLGKWIDDSLLRGKQYLQEAKDTETWSANSKSEPYAQSAAELMKLATTTVDEFFKVPIAITEDLVQDLADGLENLFHDYMKFVASCGTRQSFIPMLPPLTRCNSDSTFTKLWKMAAPCSAGFEARLRNMKGKHESQNPRPSTSRGTQRLYVRLNTLHYLLSQIHSLERTISMNPGVVPSNRLRFASIRKSSGSFFESVNLSILVACQHVSEVAAYRLVFHDSAPVMYDGLYVGGVARGQMKAALRVLKQNLTLMTTTLTDRAQAVAVKEVMRAWHDAFLMVLLCGGSSRVFSRYDQERIREDFEKLQRVFRDGVEGLIAENVVDGEGSVVEGVIELMGQSSEQLIEDLSLVSCTSSGIGLMGNGLKLPMPPTTGKWHRTDPNTILRVLCHRNERAANLFLKKTFQLPRRK >Vigun08g176100.2.v1.2 pep primary_assembly:ASM411807v1:8:34656438:34665112:1 gene:Vigun08g176100.v1.2 transcript:Vigun08g176100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMLIHFSSNSSNQSDHSLPTKIAKLEARMVGKGSSTSSQQPGWSSVSVPSAGKFGRAVENSAEASTSSDSDDDNGGAFLIQANTSKRQKVQEDGNTSVFESVEVVTDGKQTSLDAVETKMHIEMNRKKHGRGRGSSGSGRGRGSRVNDQTKTLISSPTALASNGQIDNVYHKDEVASLQAKVVALEEELRKSKQEAVDYQNLTQQLEKELKEMTDHEQQMKPKRMKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAQLKQLVETKEAIERQRKLFKKKQSDKGDGTDAEAALPEDILIHDEIYKCRLASLKREEEIVLRERDRYEIEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVDHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPILSEREAKVIIVQIFQGLVYMNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILYYQMLFGRRPFGHHQTQERILREDTIIKARKVDFPSKPTISNEAKDFIRRCLTYNQAERPDVLTIAQDPYLTFSKK >Vigun08g176100.1.v1.2 pep primary_assembly:ASM411807v1:8:34656458:34665112:1 gene:Vigun08g176100.v1.2 transcript:Vigun08g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMLIHFSSNSSNQSDHSLPTKIAKLEARMVGKGSSTSSQQPGWSSVSVPSAGKFGRAVENSAEASTSSDSDDDNGGAFLIQANTSKRQKVQEDGNTSVFESVEVVTDGKQTSLDAVETKMHIEMNRKKHGRGRGSSGSGRGRGSRVNDQTKTLISSPTALASNGQIDNVYHKGGKPSYQFHSDNCVSLEDEVASLQAKVVALEEELRKSKQEAVDYQNLTQQLEKELKEMTDHEQQMKPKRMKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAQLKQLVETKEAIERQRKLFKKKQSDKGDGTDAEAALPEDILIHDEIYKCRLASLKREEEIVLRERDRYEIEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVDHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPILSEREAKVIIVQIFQGLVYMNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILYYQMLFGRRPFGHHQTQERILREDTIIKARKVDFPSKPTISNEAKDFIRRCLTYNQAERPDVLTIAQDPYLTFSKK >Vigun04g161800.1.v1.2 pep primary_assembly:ASM411807v1:4:38419251:38421850:1 gene:Vigun04g161800.v1.2 transcript:Vigun04g161800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRNSSGSNNHKTNGLSSIMNAGGSAACRSEPGSKEWEMRPGGMLVQMRTADSDRNPVLVPTIRVRVKYGSIYHEVNISSQATFGELKKMLSGSTGLHHEDQKLFYKDKERDSKAFLDMVGVKDKSKIVLVEDPISQEKRLLERRKNAKMEKAAKSISEISLEVDRLAGRVSAFESIISKGGKVVETDVLNLIELLMNQLLKLDGIMADGDVKLQRKMQVKRVQKYVETLDLLKVKNSVPSSNGDHAAVQPQQQKHSNGQRFGAAVTEKHQKHSNGHNRLALAPIQEQQQEQPRNSNENSLALYQEQQQQQHHHQTSRNSTSEVVVTTNWELFDSAPPLIPVQSTSPPQQPPSVTHNSGPPKFNWEFFN >Vigun05g192550.1.v1.2 pep primary_assembly:ASM411807v1:5:37422089:37423614:1 gene:Vigun05g192550.v1.2 transcript:Vigun05g192550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQKVIIEAADFPYGFSNPSSAKESMQTSDPEELTSDPDIISALSIKGNFQFEPCSHGDFLGSVLGTGIVREKLEDIILQGEQGAQIIVVPELVEFLMSTLVKVRNVPVTCTKIPLISLDYEPPRTKSFKTIEASLRVDALASAGFKILRSKLVNLSNISFVNYL >Vigun03g110300.4.v1.2 pep primary_assembly:ASM411807v1:3:9934800:9938641:1 gene:Vigun03g110300.v1.2 transcript:Vigun03g110300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLNIHVEICQGTLKNGRQVAVKTLSAGSKQGVREFLSEIKTISNVKHPNLVELVGCCVQETNRILVYEYVENNSLDRALLGARGSNIRLDWRKRSDICMGTARGLAFLHEELVPHIVHRDIKASNILLDRHFKPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTLKADVYSFGVLILEIISGKSSARTNWGGSNKFLLEWAWQLYEEGKLLELVDPDMVEFPEEEVIRYMKVAFFCTQAAASRRPMMSQVVNMLSRNTRLNEKELTAPGFFQDSGTSSQKKSSFESTSYQFSSNPSSITHLAPR >Vigun03g110300.3.v1.2 pep primary_assembly:ASM411807v1:3:9934800:9938641:1 gene:Vigun03g110300.v1.2 transcript:Vigun03g110300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCFGASTLKKKRNPSHTPNEIDGFPLDNVKNFSDKDLRLATDNYHPSKKLGRGGFGTVYQGTLKNGRQVAVKTLSAGSKQGVREFLSEIKTISNVKHPNLVELVGCCVQETNRILVYEYVENNSLDRALLGARGSNIRLDWRKRSDICMGTARGLAFLHEELVPHIVHRDIKASNILLDRHFKPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTLKADVYSFGVLILEIISGKSSARTNWGGSNKFLLEWAWQLYEEGKLLELVDPDMVEFPEEEVIRYMKVAFFCTQAAASRRPMMSQVVNMLSRNTRLNEKELTAPGFFQDSGTSSQKKSSFESTSYQFSSNPSSITHLAPR >Vigun03g110300.2.v1.2 pep primary_assembly:ASM411807v1:3:9934800:9938641:1 gene:Vigun03g110300.v1.2 transcript:Vigun03g110300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCFGASTLKKKRNPSHTPNEIDGFPLDNVKNFSDKDLRLATDNYHPSKKLGRGGFGTVYQGTLKNGRQVAVKTLSAGSKQGVREFLSEIKTISNVKHPNLVELVGCCVQETNRILVYEYVENNSLDRALLGARGSNIRLDWRKRSDICMGTARGLAFLHEELVPHIVHRDIKASNILLDRHFKPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTLKADVYSFGVLILEIISGKSSARTNWGGSNKFLLEWAWQLYEEGKLLELVDPDMVEFPEEEVIRYMKVAFFCTQAAASRRPMMSQVVNMLSRNTRLNEKELTAPGFFQDSGTSSQKKSSFESTSYQFSSNPSSITHLAPR >Vigun03g110300.1.v1.2 pep primary_assembly:ASM411807v1:3:9934800:9938641:1 gene:Vigun03g110300.v1.2 transcript:Vigun03g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCFGASTLKKKRNPSHTPNEIDGFPLDNVKNFSDKDLRLATDNYHPSKKLGRGGFGTVYQGTLKNGRQVAVKTLSAGSKQGVREFLSEIKTISNVKHPNLVELVGCCVQETNRILVYEYVENNSLDRALLGARGSNIRLDWRKRSDICMGTARGLAFLHEELVPHIVHRDIKASNILLDRHFKPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTLKADVYSFGVLILEIISGKSSARTNWGGSNKFLLEWAWQLYEEGKLLELVDPDMVEFPEEEVIRYMKVAFFCTQAAASRRPMMSQVVNMLSRNTRLNEKELTAPGFFQDSGTSSQKKSSFESTSYQFSSNPSSITHLAPR >Vigun01g160532.2.v1.2 pep primary_assembly:ASM411807v1:1:34232344:34243726:-1 gene:Vigun01g160532.v1.2 transcript:Vigun01g160532.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCSGKGCQKRYHPSCVDPPLKYIPLRFWHCIWCTMKKVEFGVHSISKGVKSILDSREVVSNNKVMQRDYFVKYQGLAHAHNRWITESTMLLEAPKLLTKFKSKPQVTRWKRDWSIPHRLLLKREIVHYAVHGDNDPICCYEWLVKWTGLGYDNATWELQDASFLTSAKGRKLIRDYESRRKRVDKLSKSHFEDNEETKSFIVDLSVLPFGDSPGLYNQYLSYVNKLRKCWHKGQNALIVDDQIDQERIIKVILFVLSLNCNSKRPFLIISTSTALSVWETEFLHLAPSANLVVYKGNRDVRSGIRALEFYSEENGILFQILLSSSDIVVEDLHELRCIPWEAIIIDECQQSRISGHLDSIKILKTEMKLLLVSGQIKEDRSDYIKLLSFLKSAHHGPSIAPIETSFSASISNLKSQLEKYVVFKCKSGSTRFVEYWVPACLSHLQLEQYCSMLLSNLILLCSGQKSDSVGALHELIISTRKCCDHPYLLEPALHNSVAKGLPVEEHLDIGIKASGKLLLLEKILLEAKCRGLRVLILFQSSCGSGSIGDILDDVLCQRFGKDCYVRYDRAYTLKQRKLL >Vigun01g160532.1.v1.2 pep primary_assembly:ASM411807v1:1:34232344:34244843:-1 gene:Vigun01g160532.v1.2 transcript:Vigun01g160532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHTLVMDEDGGDARSGCIEGLAKEYQNNAQANPYMKNRKGGKEVVCSNCLGGGVLLCCSGKGCQKRYHPSCVDPPLKYIPLRFWHCIWCTMKKVEFGVHSISKGVKSILDSREVVSNNKVMQRDYFVKYQGLAHAHNRWITESTMLLEAPKLLTKFKSKPQVTRWKRDWSIPHRLLLKREIVHYAVHGDNDPICCYEWLVKWTGLGYDNATWELQDASFLTSAKGRKLIRDYESRRKRVDKLSKSHFEDNEETKSFIVDLSVLPFGDSPGLYNQYLSYVNKLRKCWHKGQNALIVDDQIDQERIIKVILFVLSLNCNSKRPFLIISTSTALSVWETEFLHLAPSANLVVYKGNRDVRSGIRALEFYSEENGILFQILLSSSDIVVEDLHELRCIPWEAIIIDECQQSRISGHLDSIKILKTEMKLLLVSGQIKEDRSDYIKLLSFLKSAHHGPSIAPIETSFSASISNLKSQLEKYVVFKCKSGSTRFVEYWVPACLSHLQLEQYCSMLLSNLILLCSGQKSDSVGALHELIISTRKCCDHPYLLEPALHNSVAKGLPVEEHLDIGIKASGKLLLLEKILLEAKCRGLRVLILFQSSCGSGSIGDILDDVLCQRFGKDCYVRYDRAYTLKQRKLL >Vigun07g102400.1.v1.2 pep primary_assembly:ASM411807v1:7:18450753:18452868:1 gene:Vigun07g102400.v1.2 transcript:Vigun07g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRSCFVFILLLVVIYVSHCNAYPLSTYNRWIMDEATGQRVKLVCANWAGHLQPMIPEGLDKRAMKDIVGELVKHKFHCVRLTYAIYMWTRYADENVNATFASLDVPEVVEGIAKNNPSVLSMTHVQAFDAVVHELGVQNMKVLLDNHVSEPMWCCDDDDENGFFHDRHFNPQEWVHGLTLAAKHFSENHVVVAMSLRNELHGPRQNLKDWYRYMSQGAVAIHKTNPNVLVLISGLNYDTELQFLRRKPLKIDLGKKMVFETHLYSWSGIGTLKLKEIWTKQPLNRICANNVKAIDYRAGFLTTGKNATPLIFTEFGFNEESSSVEDNKFLTCLQTYLLGKDLDWGFWAFQGTYYIKKDQVQVDESFGVMDETWHHLRYPNFTDKFQLLQRKNLVNDKNEVELGSCKTKNRWVRGENATKILLHGTKKCLTAAGEGIAVVVSDCEGNISSWKFVSLSKLHLATMNQHKGQLCLQKDSNSSTIVTSKCICVKDDSLCLDDPQSQWFQLVQTNV >Vigun04g018600.1.v1.2 pep primary_assembly:ASM411807v1:4:1384195:1389513:-1 gene:Vigun04g018600.v1.2 transcript:Vigun04g018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKWLNIVVDGNSALAPYWPNIFSDCLQKIVRTFFEDSRNEGANAQVGLVMYNANNNPGLDIQYIPWTKEVDNFLGVLSSLLFNGNNENQHTMVKGLAEALLMFPRPSNFMTTEEYYNETRHCIVVAARDPIPQKMLVSVPELNHGKTVGTQIYTVNADFYDVAELFGPFAASLSIISPVQHPCFEVIFNMGNNGSPLETAPISNFRIGEFNVILSRNFKEAHVALRGKETMDPPTNDVMVPIHYASDNQEDLFTIAKMMMSGGRNTTNPVSAVSNVSTSATPAMAVSNAATSITGEGCSEGLVEGVSGMADPMGFPPTHNSTPSGSSENSFNILTYLSPQTLPNLANITTTGSSSNGLSRIPYLAAGFDRYGRPTYEPNGVPPPPVTPQFNPFDFWPPQPSSISLNLVQAWQGTLIAKTHRSRKNLQRGKAHRRVTSPSTLTNEWSSRLEVAFYLPQRAVNYTITIFRGPIDYVFFYMLHFNNLDLYEHLMKKSLCAKVNLPSQTLIISPTKNRHFYVGTVFPADTTFVQMN >Vigun03g007600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:517561:518091:1 gene:Vigun03g007600.v1.2 transcript:Vigun03g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIIFCVAISLATLAVIVLAVLSPVTSHNKTHHARSWLDLSFYIQQPQISSTLNTHLVPREDGGALIFPRVLTEGPEKSSRVVGRAQGFVILGDMFQRSLFDVMYLSFDTPHHKGSLSVQAHEDKQRFKVIGGTGSFAFARGVAVFTRTDEAAATYHLKLQLQFPNRSPNSLPT >Vigun11g051400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8722014:8722613:-1 gene:Vigun11g051400.v1.2 transcript:Vigun11g051400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIFSFKTQEHGQQRAKHNKKRLTEEQVTILEKCFSSNMKLEPEQKFHLANQLGVPPRQVAIWYQNKRARWKTQNLEVDHGVLQAKLENVMAEKKQLEKDVERLKAELKKAQEMLLIGDVVKGGKNSNYNSGNNNNNNKNNNGSCCEFSTSFEEGGNSGVVLDDHECWQSGEVMQVEELYAYFMGANYGSGLHEKRA >Vigun07g020000.3.v1.2 pep primary_assembly:ASM411807v1:7:1661330:1670261:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANNTSLVRTKSDQLVESMVAAMKSPPSSDHSANGVVEGGGTLSRKSSRRLTGASPGRGGGGKNTHIRKSRSAQISQMKLDFDDLSSGAALSRASSASLGLSFSFTGFTMPPEEIADSKPFSDDDIPEDIEAGPRTKFQTEPTLPIFLKFTDVSYKVVIKGMTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun07g020000.2.v1.2 pep primary_assembly:ASM411807v1:7:1660828:1670261:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANNTSLVRTKSDQLVESMVAAMKSPPSSDHSANGVVEGGGTLSRKSSRRLTGASPGRGGGGKNTHIRKSRSAQISQMKLDFDDLSSGAALSRASSASLGLSFSFTGFTMPPEEIADSKPFSDDDIPEDIEAGPRTKFQTEPTLPIFLKFTDVSYKVVIKGMTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEYLVEAYETRVAETEKKRLMVPIPLDEAVKSKVCSHKRQWGASWDEQYSILFWRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDTKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun07g020000.5.v1.2 pep primary_assembly:ASM411807v1:7:1660828:1670352:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANNTSLVRTKSDQLVESMVAAMKSPPSSDHSANGVVEGGGTLSRKSSRRLTGASPGRGGGGKNTHIRKSRSAQISQMKLDFDDLSSGAALSRASSASLGLSFSFTGFTMPPEEIADSKPFSDDDIPEDIEAGPRTKFQTEPTLPIFLKFTDVSYKVVIKGMTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEYLVEAYETRVAETEKKRLMVPIPLDEAVKSKVCSHKRQWGASWDEQYSILFWRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDTKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun07g020000.4.v1.2 pep primary_assembly:ASM411807v1:7:1660828:1665781:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAAEDIEAGPRTKFQTEPTLPIFLKFTDVSYKVVIKGMTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEYLVEAYETRVAETEKKRLMVPIPLDEAVKSKVCSHKRQWGASWDEQYSILFWRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDTKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun07g020000.6.v1.2 pep primary_assembly:ASM411807v1:7:1660828:1669438:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEYLVEAYETRVAETEKKRLMVPIPLDEAVKSKVCSHKRQWGASWDEQYSILFWRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDTKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun07g020000.7.v1.2 pep primary_assembly:ASM411807v1:7:1660828:1665527:-1 gene:Vigun07g020000.v1.2 transcript:Vigun07g020000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEEKDILNGITGSVNPGEVLALMGPSGSGKTTLLNLLGGRLCHPISGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTFTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMTYFQSIGCSPLISMNPAEFLLDLANGNINDVSLPSELEDRVHMENAEAETPNGKPSPAVVHEYLVEAYETRVAETEKKRLMVPIPLDEAVKSKVCSHKRQWGASWDEQYSILFWRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDTKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSVAPFFLTILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQRVPIFFSWIRYMSFNYHTYKLLLKVQYEHISPIINGIRIDSGATEVAALIVMVFGYRFLAYLSLRRMKLQSGA >Vigun05g257800.2.v1.2 pep primary_assembly:ASM411807v1:5:45173282:45176325:1 gene:Vigun05g257800.v1.2 transcript:Vigun05g257800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETSYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun05g257800.4.v1.2 pep primary_assembly:ASM411807v1:5:45173942:45176285:1 gene:Vigun05g257800.v1.2 transcript:Vigun05g257800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETSYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun05g257800.1.v1.2 pep primary_assembly:ASM411807v1:5:45173687:45176285:1 gene:Vigun05g257800.v1.2 transcript:Vigun05g257800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETSYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun05g257800.3.v1.2 pep primary_assembly:ASM411807v1:5:45173742:45176285:1 gene:Vigun05g257800.v1.2 transcript:Vigun05g257800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAVGIHETSYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Vigun11g006500.3.v1.2 pep primary_assembly:ASM411807v1:11:678939:683181:-1 gene:Vigun11g006500.v1.2 transcript:Vigun11g006500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCVAAKDKTLQSASPSDIIHRNIRYSPTWNFRWDHRGRVAGEDTAVTWFSNGISRNDGLENKNELSYASDDGSPLQNNQRNRCQKSAVSEGTARNLINSPSDQTLLRNVSTNVSMEQAKGSAESSTCPDPTKPLHSLPSTSSSISPQPYDSHPFPSSSTTSRWSCHSTGEQVSYQVSDSQTLGYKTPGNSYVSEERPVLPSWSNESGMHSHGGSSGNWSRSGFSELTGSSFKDRWSFDSETFGFNCERLVRSSSRFSNSPVDLQTCGVCSKLLTEKSSLGTQKIIASNDLSVVSVLICGHVYHAECLECLTPEVNKYDPLCPVCTFGEKYTLKLSEKALKAEMDLKAKNKKSRNRIVDSDIDDNSVVHEHFREKWRQASKGLRIDSSSSGRSSNGKPFLKRHFSFGSRSSKSMLDNQSSRKKGFFWAKSSRE >Vigun11g006500.2.v1.2 pep primary_assembly:ASM411807v1:11:678939:683181:-1 gene:Vigun11g006500.v1.2 transcript:Vigun11g006500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCVAAKDKTLQSASPSDIIHRNIRYSPTWNFRWDHRGRVAGEDTAVTWFSNGISRNDGLENKNELSYASDDGSPLQNNQRNRCQKSAVSEGTARNLINSPSDQTLLRNVSTNVSMEQAKGSAESSTCPDPTKPLHSLPSTSSSISPQPYDSHPFPSSSTTSRWSCHSTGEQVSYQVSDSQTLGYKTPGNSYVSEERPVLPSWSNESGMHSHGGSSGNWSRSGFSELTGSSFKDRWSFDSETFGFNCERLVRSSSRFSNSPVDLQTCGVCSKLLTEKSSLGTQKIIASNDLSVVSVLICGHVYHAECLECLTPEVNKYDPLCPVCTFGEKYTLKLSEKALKAEMDLKAKNKKSRNRIVDSDIDDNSVVHEHFREKWRQASKGLRIDSSSSGRSSNGKPFLKRHFSFGSRSSKSMLDNQSSRKKGFFWAKSSRE >Vigun11g006500.1.v1.2 pep primary_assembly:ASM411807v1:11:678872:684210:-1 gene:Vigun11g006500.v1.2 transcript:Vigun11g006500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCVAAKDKTLQSASPSDIIHRNIRYSPTWNFRWDHRGRVAGEDTAVTWFSNGISRNDGLENKNELSYASDDGSPLQNNQRNRCQKSAVSEGTARNLINSPSDQTLLRNVSTNVSMEQAKGSAESSTCPDPTKPLHSLPSTSSSISPQPYDSHPFPSSSTTSRWSCHSTGEQVSYQVSDSQTLGYKTPGNSYVSEERPVLPSWSNESGMHSHGGSSGNWSRSGFSELTGSSFKDRWSFDSETFGFNCERLVRSSSRFSNSPVDLQTCGVCSKLLTEKSSLGTQKIIASNDLSVVSVLICGHVYHAECLECLTPEVNKYDPLCPVCTFGEKYTLKLSEKALKAEMDLKAKNKKSRNRIVDSDIDDNSVVHEHFREKWRQASKGLRIDSSSSGRSSNGKPFLKRHFSFGSRSSKSMLDNQSSRKKGFFWAKSSRE >Vigun03g158400.1.v1.2 pep primary_assembly:ASM411807v1:3:17342322:17366822:1 gene:Vigun03g158400.v1.2 transcript:Vigun03g158400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKSVDYLAFLFLCLALLSCLDLGIAHQSHSHSHSGFCGSDVHHHCEEHHDHDHHHHHDHAHDHKDKIEGRSKLPEELAEEEDMKLYGFGFPHQHDHDHDHLDTSELSGLSLWLNALGCSFLVSMASLTCLIVLPVIFVQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHHNHEDHDHHGSSGHGHSHSLADLSIGLSILAGIVLFLLVEKVVRYVEENSGEANSWTHGHHHHNHNSKKKLKDDNFSNDAKEDRLLDERKDDQVSSDVLKGDNPSQSETLLRKRTGSNVTKSTVDSSSDDIKSSNVEEPVRLPTSLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILIRSGFSIPKALFFNFLSALVALAGTALALLWGKDPGQSSLIEGFTAGGFIYIAIAGVLAEMNSNGNTKFSSTVVQIISLAMGMAVALGISLIE >Vigun10g015800.1.v1.2 pep primary_assembly:ASM411807v1:10:1757214:1760862:1 gene:Vigun10g015800.v1.2 transcript:Vigun10g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVKILLGAVLVLLIHVFNVLVLRPRSMRAKLQKQGIKGPSPHFYFGNIPEMKNILLQVHSTPATEDKEKDEDFSVSHKWPFTLFPHVQKWINQYGPIYLFSSGSIQWLMVSDIEMVKEVVMHTSLNLGKPTYLSKDMGPLLGKGIMTSNGSIWVHQRKIIAPELFLVKVKAMINLIVDSTNTTLRSWEGRLQGEGAVSEIKIDEDLRSLSADIIARACFGSNYIEGREIFSKLRDLQTILSKRHSGIPGFRYLPNKSNREMWRLEKEIDSKIAKLIKQRLEETHEQDLLQMILEGAKNCEGGGDGLVSDSISRDRFVIDNCKNIFFAGHETTAITASWCLMLLAVHQDWQDRVRAEVLEVCGENAPDADMLKSLKTLTMVIQETLRLYPPAVFVVRTALEDVSLKGLLIPKGMNIQIPLSVLQHDPLLWGADAHKFNPERFENGVLGACKVPQAYIPFGIGARVCVGQHLAMVELKVILSLILMKFHFSLSPSYCHSPAFRLVVEPGHGVVLQMTRI >Vigun10g015800.2.v1.2 pep primary_assembly:ASM411807v1:10:1757749:1760862:1 gene:Vigun10g015800.v1.2 transcript:Vigun10g015800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDIEMVKEVVMHTSLNLGKPTYLSKDMGPLLGKGIMTSNGSIWVHQRKIIAPELFLVKVKAMINLIVDSTNTTLRSWEGRLQGEGAVSEIKIDEDLRSLSADIIARACFGSNYIEGREIFSKLRDLQTILSKRHSGIPGFRYLPNKSNREMWRLEKEIDSKIAKLIKQRLEETHEQDLLQMILEGAKNCEGGGDGLVSDSISRDRFVIDNCKNIFFAGHETTAITASWCLMLLAVHQDWQDRVRAEVLEVCGENAPDADMLKSLKTLTMVIQETLRLYPPAVFVVRTALEDVSLKGLLIPKGMNIQIPLSVLQHDPLLWGADAHKFNPERFENGVLGACKVPQAYIPFGIGARVCVGQHLAMVELKVILSLILMKFHFSLSPSYCHSPAFRLVVEPGHGVVLQMTRI >Vigun08g087400.1.v1.2 pep primary_assembly:ASM411807v1:8:19660903:19664358:-1 gene:Vigun08g087400.v1.2 transcript:Vigun08g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Vigun08g074666.1.v1.2 pep primary_assembly:ASM411807v1:8:12913038:12914247:-1 gene:Vigun08g074666.v1.2 transcript:Vigun08g074666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKLEHGSQGPTSNDTIQQPDSNSFDDDGRSRRTVIVFAVVTYHCLVLQAYCFRTGDQLTGQRNYTYMEAVTSHLGGMKAKFCAWVQYLDLFARPIGYTIAASTSIMAVTRSKCYHNSGGNHPCQISKFYMILFGIVQIIMLSQIPDFNENQLWWVSGLLSFISYIYSIIGLRLGIAKVIENKQVKGSLTGLSTGNSTLSQSLIKKSQACGNVAFAFSHTMILIKIQVHLLFLNFVTFKYIHHSKDSFLSTVTSQLQLRW >Vigun03g431600.1.v1.2 pep primary_assembly:ASM411807v1:3:63581275:63585291:-1 gene:Vigun03g431600.v1.2 transcript:Vigun03g431600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCSNPPLLNPNAGAGHVEKLAGLDSYVAGSPNSNLTVLLISDIYGYEAPKLRNIADKVAAAGYYVVVPDFFFGDPFNPENADRPVPVWIKDHGTDKGFEAAKSIVEALKSKGVSAIGAAGFCWGAKVVVELAKSTLIQAAVLLHPSFVSVDDFKGVDIPTAILGAEIDKMSPPELVKQFEQVLTAKPGVDSFVKIFPKVSHGWTVRYKNEDEIAVKAAEEAHQDLLNWFAKHLK >Vigun02g169900.4.v1.2 pep primary_assembly:ASM411807v1:2:31308316:31309049:1 gene:Vigun02g169900.v1.2 transcript:Vigun02g169900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFAWLISFFLLIALLVLVTYQLMSLADLEFDYINPYDSSSRINKVVLPEFATQAALCLFYLVTQHWIMALFCAPYLLFNVRLYRQRRHLIDVTEIFNLLHWEKKQRLVKFFYLVFTLFLSVFWMIYSSLE >Vigun02g169900.2.v1.2 pep primary_assembly:ASM411807v1:2:31307939:31309921:1 gene:Vigun02g169900.v1.2 transcript:Vigun02g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFAWLISFFLLIALLVLVTYQLMSLADLEFDYINPYDSSSRINKVVLPEFATQAALCLFYLVTQHWIMALFCAPYLLFNVRLYRQRRHLIDVTEIFNLLHWEKKQRLVKFFYLVFTLFLSVFWMIYSSLE >Vigun02g169900.3.v1.2 pep primary_assembly:ASM411807v1:2:31307939:31309764:1 gene:Vigun02g169900.v1.2 transcript:Vigun02g169900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFAWLISFFLLIALLVLVTYQLMSLADLEFDYINPYDSSSRINKVVLPEFATQAALCLFYLVTQHWIMALFCAPYLLFNVRLYRQRRHLIDVTEIFNLLHWEKKQRLVKFFYLVFTLFLSVFWMIYSSLE >Vigun03g391800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59793622:59795668:-1 gene:Vigun03g391800.v1.2 transcript:Vigun03g391800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKARIVIIGAGMAGLTAANRLHSVSASKNLFEVCVVEGGNRIGGRINSSEFGGDRIEMGATWIHGIEGSPIHKIAQQIHALESDQPWECMDGNDNKATTIAEGGFVLTPSFVHPITKLFNSLMDHAQGKMSTPTTNGDSGILSIGSFLRQGLDAYWGSSKEEKELKGFGKWNRKLLDEAIFAMHENTQRTCTSVSDLLTLDYGAESEYQMFPGEEITIARGYSSIIETLASVLPPGSVQLGRKVTRIEWQPERHEANGTCSRPVVLHFSDGSIMSADHVIVTVSLGVLKASIRDDGDSGMSMFHPPLPSFKAEAISRLGFGVVNKLFMQLSPPHGRREEHPRGFPFLQMVFHSPNSELRHKKIPWWMRKTATLCPIYNNSSVLLSWFAGEEALALESLKDEEIIKGVSDTVSCFLSHSVEFCNGNVNSEKHSHEYNVKFSKVLKSQWGTDPLFLGSYSHVAVGSSGDDFDTMAEPLPKCSSSSSSHPSASPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLIQHYSPC >Vigun02g124100.1.v1.2 pep primary_assembly:ASM411807v1:2:27609495:27613841:-1 gene:Vigun02g124100.v1.2 transcript:Vigun02g124100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESTFSSEQTPGDGITTITERSEASDPILERLKSLKITPPILTSPPTEGTLTDILVRKPSSSSLSATVNPKVLLELFSMYRDWQEKKAQEITKRQEDIENKIEVADALAIKLLQRYNHSKSTMKSASQHLSGVHALQVEIGEFKGRLTEVISNCDALCKRIETEGSESLRSSIKPFSIATADQKTGSSSSNLQTVSKINHPPSAEE >Vigun05g167000.1.v1.2 pep primary_assembly:ASM411807v1:5:27910984:27911748:-1 gene:Vigun05g167000.v1.2 transcript:Vigun05g167000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYFLVAILAFTSSIASAYDPSPLQDFCVALNDTKNAVFVNGKLCKDPKVVKAQDFFRHVEAGNTSNPLGAQVSQVFVDQLPGFNTLGISMARIDFAPKGLNAPHTHPRGTEILIVVEGSLYVGFVSSNQDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAALSSQNPGTIIIANALFKSTPSISSQVLTKAFQVDKSIIDYLQKQFWTDNNH >Vigun05g127900.1.v1.2 pep primary_assembly:ASM411807v1:5:14622606:14625225:-1 gene:Vigun05g127900.v1.2 transcript:Vigun05g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENNGGMDTQDRISSLPDEILCHILSFLSTYDSFATSFVSKRWQPLWLFSAILHLDDQTFIRNGRSYTSFFNFAYGTLFKCRMHQPITVARFLLSPRTCGYGSDFPYPLFKIWVSTVVQRGVQQLFIEIPRALEVPNIIWNCKTLVVLKLYRMSVDAFVKVHLPVLKTLHLDFLFVSKSKYLAEILHGCPVLEDFRAYHIFLDNKLDGVEFRTMPKLVRADLKVDYVFEFPLKVVSNVEHFRFFLKLKKESFPIFKNLLHLELHLGLDIQWHLVIQMLNHCPKLETIMLHMPMEPFSCTGWISPQVVPQSIASQLKRFSIFNYTGRNSEVQFTKFILRNSTALQIMTIHKIPPNKIYSSNRQNKFQMIQELVMCPKSSAKCKLYFK >Vigun11g159900.1.v1.2 pep primary_assembly:ASM411807v1:11:36743913:36746108:1 gene:Vigun11g159900.v1.2 transcript:Vigun11g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIQRHRLVLIPPPFQGHLTPMLQLATILHSKGFSITVAHAHFNSPDPSNHPNFCFLPLFYGLSDTHISSKNVVDITATLNKNCVSPIKEVLVDQIEKAKINHEKIACVIYDGLMYSIDSVARELKLPNIVLRTTSATNFLTYHAFVQRQTSGCLPLQDSMSLDLVPELEPLRFKDLPMFNSCDMQKQIAKTMEVTPSLGVICNTVNCLEEESLYRLHHVYKVSLFPIGPLHMIAEEDSSSSSFVEEDYSCIGWLKKQARESVLYVSLGSIASWEEKELREVACGLANSKQKFLWVIRPGTISDVSEWVESLCKDVRVAIAERGCIVKWAPQGEVLADEAVGGFWSHCGWNSTLESLCEGVPMMCQPHFGDQRVNARLLSHVWKVGLEWSNVMERDEIEVAVRRLMVNSEGKEMRQKALKLKNQIKVAVKGGSSYDALNRLVKSILSVNVK >Vigun04g069000.5.v1.2 pep primary_assembly:ASM411807v1:4:8003291:8009381:-1 gene:Vigun04g069000.v1.2 transcript:Vigun04g069000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSESTWMMFPGTNLFARIPFHAAHTILETDQAKLDFEPKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun04g069000.3.v1.2 pep primary_assembly:ASM411807v1:4:8003372:8009368:-1 gene:Vigun04g069000.v1.2 transcript:Vigun04g069000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSESTWMMFPGTNLFARIPFHAAHTILETDQAKLDFEPKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun04g069000.2.v1.2 pep primary_assembly:ASM411807v1:4:8003270:8009412:-1 gene:Vigun04g069000.v1.2 transcript:Vigun04g069000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQKKLTELEVEAEHHLLARQQLVENDRLRNGNREALTALRKRARTTTSSVPSPFESMMRGVAGSSRPLVQEVCNTCGNHNTSESTWMMFPGTNLFARIPFHAAHTILETDQAKLDFEPKKLQSIMKEKAYLLSESGVLADKISPGLLKSLVTLNDKRK >Vigun09g271000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43338219:43338512:-1 gene:Vigun09g271000.v1.2 transcript:Vigun09g271000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRFPTSFGAKHILRRSNLAAATSLDVPKGYFAVYVGEGEKKRFVIPVSVLNQPSFQELLSIAEEEFGFNHPMGGLTIPCTQDIFDNITSGLHRL >Vigun05g211500.1.v1.2 pep primary_assembly:ASM411807v1:5:40239995:40242276:1 gene:Vigun05g211500.v1.2 transcript:Vigun05g211500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSRASYENISKKWIPELRHYAPTVPIVLVGTKLDLREDRQYLIDHPAATPITTVQQGEELKKAIGAAVYIECSSKTQQNVKAVFDAAIKVVLQPPKPKKKRKKNRSCVFL >Vigun05g211500.2.v1.2 pep primary_assembly:ASM411807v1:5:40239685:40242331:1 gene:Vigun05g211500.v1.2 transcript:Vigun05g211500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSRASYENISKKWIPELRHYAPTVPIVLVGTKLDLREDRQYLIDHPAATPITTVQGEELKKAIGAAVYIECSSKTQQNVKAVFDAAIKVVLQPPKPKKKRKKNRSCVFL >Vigun04g128800.1.v1.2 pep primary_assembly:ASM411807v1:4:32411027:32418125:1 gene:Vigun04g128800.v1.2 transcript:Vigun04g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETETMVEKREIINNVMCLLTDPDGTPLGSPMYLPQNAGPQHLNQIVNKLLNNEEKLPYAFYISDEELIVPLETYLQKNKVSVEKALPIVCQPQAIFRIRPVNRCSATISGHAEAVLSVAFSPDGRQLASGSGDTSVRFWDLTTQTPLYTCKGHKNWVLCIAWSPDGKYLVSGSKAGELICWDPQTGKSLGNPLTGHKKWITGISWEPVHLNAPSRRFVSASKDGDARIWDVSLKKCVMCLSGHTLAITCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPEEMKKVALERYQAMRGNAPERLVSGSDDFTMFLWEPFVSKHPKTRMTGHQQLVNHVYFSPDGLWVASASFDKSVKLWNGTTGKFVAAFRGHVGPVYQISWSADSRLLLSGSRDSTLKVWDIRTRKLKQDLPGHSDEVFSVDWSPDGEKVASGGKDKVLKLWMG >Vigun06g054900.1.v1.2 pep primary_assembly:ASM411807v1:6:18127954:18131519:-1 gene:Vigun06g054900.v1.2 transcript:Vigun06g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVVVSNMELERLLSMKGGKGEGSYANNSQAQAIHAKSMHHLLKEALDGVQLQDPNIPFVVVDLGCSCGSNTINVVDVIIKHIIKRYEALGSEPPEFSAFFSDLPGNDFNTLFQLLPPLANYGVSMEECLAATNHRAYFAAGVPGSFYRRLFPARFIDVFHSAFSLHWLSQVPECVMEKRSSAYNKGRVFIHGASEITAKAYRKQFRSDLAGFLSSRSVELKRGGSMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISSEKRDSFNIPVYAPSLQDVKEVVESDGSFAINKLEVFKGGSPLVVNQPGDAREVGKALANTCRSVSGVLVEAHIGDKLSEELFLRVERRAARHGKELLEQLQFFHIVASLSFAQ >Vigun06g054900.2.v1.2 pep primary_assembly:ASM411807v1:6:18127954:18131519:-1 gene:Vigun06g054900.v1.2 transcript:Vigun06g054900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVVVSNMELERLLSMKGGKGEGSYANNSQAQAIHAKSMHHLLKEALDGVQLQDPNIPFVVVDLGCSCGSNTINVVDVIIKHIIKRYEALGSEPPEFSAFFSDLPGNDFNTLFQLLPPLANYGVSMEECLAATNHRAYFAAGVPGSFYRRLFPARFIDVFHSAFSLHWLSQGLISSEKRDSFNIPVYAPSLQDVKEVVESDGSFAINKLEVFKGGSPLVVNQPGDAREVGKALANTCRSVSGVLVEAHIGDKLSEELFLRVERRAARHGKELLEQLQFFHIVASLSFAQ >Vigun02g162300.2.v1.2 pep primary_assembly:ASM411807v1:2:30750158:30759019:1 gene:Vigun02g162300.v1.2 transcript:Vigun02g162300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNSLEHHSGEEEIAADESLLVYCKPVELYNILYRRGLQNPSFLSRCLRYKITARKKRRLRAGIVIFNYRDRYNMLRKTEVTEDFSCPFCLMQCGSFKGLRLHLCSSHDLFNFEFWVTEDYQAVNVSVKMDILRSENVADGIIPQLQTFFFCSRPRKRRRKDSVQNEKRSNVKFMELDSPEDIPNGFMQKDDDILCCKGENVSRTSRNEKILLSGRNDGGKLGPDHLGTMDDLEHVESSFNISGVSIAMPHSSGDPECSKPICKSDPALPVKSKKLSMDRSDSRNRMLLQKRLFFHSHRVQPMAPEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQVMHLWNSFMRKQRVLADGHVPWACEAFSKLHGKNLVTSPTLFWCWRLLMVKLWNHGLLDAGTMNNCNRILDSYRNEGLGTSK >Vigun02g162300.4.v1.2 pep primary_assembly:ASM411807v1:2:30750158:30758992:1 gene:Vigun02g162300.v1.2 transcript:Vigun02g162300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNSLEHHSGEEEIAADESLLVYCKPVELYNILYRRGLQNPSFLSRCLRYKITARKKRRLRAGIVIFNYRDRYNMLRKTEVTEDFSCPFCLMQCGSFKGLRLHLCSSHDLFNFEFWVTEDYQAVNVSVKMDILRSENVADGIIPQLQTFFFCSRPRKRRRKDSVQNEKRSNVKFMELDSPEDIPNGFMQKDDGENVSRTSRNEKILLSGRNDGGKLGPDHLGTMDDLEHVESSFNISGVSIAMPHSSGDPECSKPICKSDPALPVKSKKLSMDRSDSRNRMLLQKRLFFHSHRVQPMAPEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQVMHLWNSFMRKQRVLADGHVPWACEAFSKLHGKNLVTSPTLFCRCWRLLMVKLWNHGLLDAGTMNNCNRILDSYRNEGLGTSK >Vigun02g162300.1.v1.2 pep primary_assembly:ASM411807v1:2:30750158:30759019:1 gene:Vigun02g162300.v1.2 transcript:Vigun02g162300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNSLEHHSGEEEIAADESLLVYCKPVELYNILYRRGLQNPSFLSRCLRYKITARKKRRLRAGIVIFNYRDRYNMLRKTEVTEDFSCPFCLMQCGSFKGLRLHLCSSHDLFNFEFWVTEDYQAVNVSVKMDILRSENVADGIIPQLQTFFFCSRPRKRRRKDSVQNEKRSNVKFMELDSPEDIPNGFMQKDDDILCCKGENVSRTSRNEKILLSGRNDGGKLGPDHLGTMDDLEHVESSFNISGVSIAMPHSSGDPECSKPICKSDPALPVKSKKLSMDRSDSRNRMLLQKRLFFHSHRVQPMAPEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQVMHLWNSFMRKQRVLADGHVPWACEAFSKLHGKNLVTSPTLFCRCWRLLMVKLWNHGLLDAGTMNNCNRILDSYRNEGLGTSK >Vigun02g162300.3.v1.2 pep primary_assembly:ASM411807v1:2:30750158:30759019:1 gene:Vigun02g162300.v1.2 transcript:Vigun02g162300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNSLEHHSGEEEIAADESLLVYCKPVELYNILYRRGLQNPSFLSRCLRYKITARKKRRLRAGIVIFNYRDRYNMLRKTEVTEDFSCPFCLMQCGSFKGLRLHLCSSHDLFNFEFWVTEDYQAVNVSVKMDILRSENVADGIIPQLQTFFFCSRPRKRRRKDSVQNEKRSNVKFMELDSPEDIPNGFMQKDDGENVSRTSRNEKILLSGRNDGGKLGPDHLGTMDDLEHVESSFNISGVSIAMPHSSGDPECSKPICKSDPALPVKSKKLSMDRSDSRNRMLLQKRLFFHSHRVQPMAPEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQVMHLWNSFMRKQRVLADGHVPWACEAFSKLHGKNLVTSPTLFWCWRLLMVKLWNHGLLDAGTMNNCNRILDSYRNEGLGTSK >Vigun03g210166.1.v1.2 pep primary_assembly:ASM411807v1:3:34590152:34591278:1 gene:Vigun03g210166.v1.2 transcript:Vigun03g210166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSCRMTTGTSSGSGDGAGSKFSGKRVGTLMLHDCCISVFFFCIFKVTVSEVHQGNDECRDNTIL >Vigun05g166350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27608018:27609050:1 gene:Vigun05g166350.v1.2 transcript:Vigun05g166350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLIPPIKMKIAAIAGLSSQMLELSQSQMLCLFFKANPPILLLRFSPRLSKSTRAPLIIFKSSLGMTTTNYVIWTIISPETSFNSPYFSHPCNSSTNNYFCNKFNYLSLFF >Vigun11g111300.1.v1.2 pep primary_assembly:ASM411807v1:11:31306999:31308676:-1 gene:Vigun11g111300.v1.2 transcript:Vigun11g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPYFDKNGTKKGAWCEEEDNKLIAYVEKHGHPNWRQLPKFAGLQRCGKSCRLRWMNYLRPNLKRGNYTPKEEQIITDLHKKHGNKWSLIAESLPGRTDNEIKNYWHSHLKKFQSSNNNTCCDEDLKSNGCKEFEMAMDEAPSVESHHILESSVSETSYTDHNSPSLSFSSSHTESTMNLCKEEESVASWETLDLGFSSNFWTEPFISENALDEDYFSISSYGTEPFYLW >Vigun08g211800.1.v1.2 pep primary_assembly:ASM411807v1:8:37336990:37340389:-1 gene:Vigun08g211800.v1.2 transcript:Vigun08g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGSLWRGGRRFMKRKDSDAGDAGRALEELRASLYNEIRTSEGAKRQQQKYCGPVVALSFNFMVAVGIIMANKLVMGKVGFNFPIFLTFVHYITAWVLLAIFKTLSVLPVSPPSKTTPFSSLFALGAVMAFASGLANTSLQYNSVGFYQMAKIAVTPTIVLAEFILFRKTISFKKVLALAVVSAGVAVATVTDLEFNLFGALIAIAWIIPSAINKILWSTLQQQGNWTALALMWKTTPVTIFFLGALMPWIDPPGVLSFKWDVNNSTAVLVSALLGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGFILFKSDPGVVSIGGAVVALSGMSVYTSLNLQGSQENMQQILPSPKPKSIPDDSTDFNVNTNGSTIV >Vigun02g030900.2.v1.2 pep primary_assembly:ASM411807v1:2:12984290:12988363:-1 gene:Vigun02g030900.v1.2 transcript:Vigun02g030900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEKAKERREKRLQEIRFQMTVPYSDHQRWWSKETVAVVTGGNRGIGFEICRQLAVHGLTVILTSRDSSAGVESIKSLQEGGLSVVYHQLDVVDHSSINQFVEWLRENYGGLDILVNNAGVNFNLGSDNSVENAHKVIETNYYGTKNMIEAIIPLMKPSHVGARIVNVSSRLGRLNGRRNRIGNVALREELSDVESLSDEVIDRTVSTFLRQVEDGTWSTSGWPQVYTDYSVSKLAVNAYTRLMGRKLSERAEGQKIYINCYCPGWVKTALTGYAGNNTVEEGADTGVWLALLSVQTFMGKFFAERREINF >Vigun02g030900.1.v1.2 pep primary_assembly:ASM411807v1:2:12984604:12988383:-1 gene:Vigun02g030900.v1.2 transcript:Vigun02g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEKAKERREKRLQEIRFQMTVPYSDHQRWWSKETVAVVTGGNRGIGFEICRQLAVHGLTVILTSRDSSAGVESIKSLQEGGLSVVYHQLDVVDHSSINQFVEWLRENYGGLDILVNNAGVNFNLGSDNSVENAHKVIETNYYGTKNMIEAIIPLMKPSHVGARIVNVSSRLGRLNGRRNRIGNVALREELSDVESLSDEVIDRTVSTFLRQVEDGTWSTSGWPQVYTDYSVSKLAVNAYTRLMGRKLSERAEGQKIYINCYCPGWVKTALTGYAGNNTVEEGADTGVWLALLSVQTFMGKFFAERREINF >Vigun03g380400.1.v1.2 pep primary_assembly:ASM411807v1:3:58502014:58503844:-1 gene:Vigun03g380400.v1.2 transcript:Vigun03g380400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAALKAYIENNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICNLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSNFNAKDTNNGIEENTYSNALSSSALERLQLHMQLQSLQNPFSFYNNPALWPRLHPFQEKVIQSLQSLNEGSNPVVQDALSSPHVEQGQKDEFIYKPQHDAAKIHSPQLHLLDNIPSSNSGLPFVASESNNNPMHSSLAPRAEAVEQTNNVGFQQLGALQTELDDILNNRTMGYVPQEDHQMAEFDCFREMNGSKDSLIWWSNDSDTRSASSNSWESSTTPALLTQGMFQDYELGYSL >Vigun04g004200.1.v1.2 pep primary_assembly:ASM411807v1:4:276100:281264:1 gene:Vigun04g004200.v1.2 transcript:Vigun04g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIGFILLLTLCVVLIALVQAQQQIGFVSIDCGSSENLYTDESIKIMYTGDGSYIQSGVIKNISTEYNYPNNPNLPQVLSDLRSFPNGERNCYSIAGLRSDSLYLIRAYFLYGNYDGENKPPEFDLYVDVNFWSTVKFRNASEELVLEIISLAQSDVTYVCLVNKGTGTPFISGLELRPVNSSVYNTEFGEPASLTLFKRWDIGSLNGSGRYEDDIYDRIWSPFNSSSWDSVSTSEPINVNGDGFRPPFEVIRTAARPKNGTDTLELSWTPDDPSWKFYVYLYFAEVEQLEKNQLRKFNISWNGSPLFDSIVPRHLFATTLSNSKSLVANEHRISIRKTEDSTLPPILNAVEIYVVKLDALATFQQDVDAMVDIKESYKIQRNWMGDPCEPKNHSWEGLTCNYSTSVPPRIISLNMSSSSLSGTITSAISNLSSLESLDLHNNSLTGAVPEFLEELKSLKYLDLKDNLFSGSVPVTLLERSRAGKLTLRVDDKNLGGSGGSSNKTVKIVVPIVVSVSVIVILVAFIIFWKHRRNERSDEEISMLNKRGKNVTTKNWQYTYSEVLEITNNFEKVIGKGGFGIVYSGQMRDGKEVAVKMLSPSSSQGPKEFQTEAELLMTVHHKNLVSFVGYCDDDNKMALIYEHMVNGSLKDFLFLSDGNSHCLSWERRIQIAIDAAEGLDYLHHGCMPPIVHRDVKSANILLSQDLEAKIADFGLSKEFRKDNQKQQSHVIHTDATNDQSAIMGTTGYLDPEYYKLGGLTEKSDIYSFGIVLLELITGRPAIFKGNTIMHILEWLRPELERGELNKILDPRLQGKFGANSGWRALGIALQCSASTSIQRPTMSVVIAELKQCLTMESPSNTETFVPPPKQIYTEFYSSSEAHSYDSESITYSFPR >Vigun01g250633.1.v1.2 pep primary_assembly:ASM411807v1:1:41711477:41713811:-1 gene:Vigun01g250633.v1.2 transcript:Vigun01g250633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLFVLNIFLLRCVWIVVFLMDRIIQAQRGTPTRTPGHNDDHGAVEGSNPSDNGHLSDNDPHSQGSNPFDSDNVDQENLGAQENLTSSGDGLFQDANSSFSDSAPSSGSMEPLQDAAADVEIADDVALPKNEGENFKDHEGGTSESSVNIPSSDSLEPKQDELKDSDSDDEDSSALTNQTQLNEEREVLPPDEPVPDSTMERTESWEIVGEESTESSSDTLMNIGLPRTETTGGMSLDKNECADKSAVSDAEEDNGMSRISSANQECDITASAENLSASTDVLPPSSGNISWASLEGSLDSNGGVDVIEIFAEMSDSGGIILHSPIHNVIPMGDASSRSESESSANEGVTVEGGNSATDANSENDKEGIGFNWATCLCCCFCGGR >Vigun02g183800.2.v1.2 pep primary_assembly:ASM411807v1:2:32386699:32394704:-1 gene:Vigun02g183800.v1.2 transcript:Vigun02g183800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTPALSTVTDAKFHSCFKPLSLFPSSLHFRTLHSQQFSSIRCQSINTDKKKSSRNILDNASNLLTDFLSGGSLGSMPIAEGAVTDLFDRPLFFSLYDWFLEHGGVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPAFHNSYLEAMVKIFTACSERTILKVNKLLEGDGNGGPKSAELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKIPLARWIVPRQRKFHDDLKIINACLDELIKNAKESRQETDVEKLQQRDYVNLKDASLLRFLVDMRGADVDDRQLRDDLMTMLVAGHETTAAVLTWAVFLLAQNPSKMKKAQAEVDLVLGTERPTYESLKKLQYIRLIIVEALRLYPQPPLLIRRTLKSDVLPGGYKGDKDGYAIPAGTDLFISVPFIEQLICSFLTTKRSAPSGNSPHWQFFACNLFRGEIGYPVGGGIAFGIQDMPLIVF >Vigun02g183800.1.v1.2 pep primary_assembly:ASM411807v1:2:32385797:32394704:-1 gene:Vigun02g183800.v1.2 transcript:Vigun02g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTPALSTVTDAKFHSCFKPLSLFPSSLHFRTLHSQQFSSIRCQSINTDKKKSSRNILDNASNLLTDFLSGGSLGSMPIAEGAVTDLFDRPLFFSLYDWFLEHGGVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPAFHNSYLEAMVKIFTACSERTILKVNKLLEGDGNGGPKSAELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKIPLARWIVPRQRKFHDDLKIINACLDELIKNAKESRQETDVEKLQQRDYVNLKDASLLRFLVDMRGADVDDRQLRDDLMTMLVAGHETTAAVLTWAVFLLAQNPSKMKKAQAEVDLVLGTERPTYESLKKLQYIRLIIVEALRLYPQPPLLIRRTLKSDVLPGGYKGDKDGYAIPAGTDLFISVYNLHRSPYFWDRPHEFEPERFLVENKNEEIEGWSGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALTMLLQNFDVELKGTPESVELVTGATIHTKNGLWCKLKKRSDSH >Vigun02g139900.2.v1.2 pep primary_assembly:ASM411807v1:2:28893092:28896484:-1 gene:Vigun02g139900.v1.2 transcript:Vigun02g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALAMDKFPEKVSLGVFLAAFAPDTHHKPSYVLEELTKRDPANGWMDCEISNSGSKTTFLFGPQFLSTTLYQFCSTETLIRKGSLFAEELSEAKNFSQEGYGSVSRAYIVFSEDLIIPKEYQQWMIQNAGIHAVWEINGADHMAMLGKTKDLCSTLLEIAHN >Vigun02g139900.1.v1.2 pep primary_assembly:ASM411807v1:2:28893092:28896484:-1 gene:Vigun02g139900.v1.2 transcript:Vigun02g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALAMDKFPEKVSLGVFLAAFAPDTHHKPSYVLEELTKRDPANGWMDCEISNSGSKTTFLFGPQFLSTTLYQFCSTEDLELAKTLIRKGSLFAEELSEAKNFSQEGYGSVSRAYIVFSEDLIIPKEYQQWMIQNAGIHAVWEINGADHMAMLGKTKDLCSTLLEIAHN >Vigun04g145600.1.v1.2 pep primary_assembly:ASM411807v1:4:36064265:36067931:-1 gene:Vigun04g145600.v1.2 transcript:Vigun04g145600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAVARPLMAKVKASTGIVGLEVVPNAREVLIGLYSKTLKEIQKVPEDEGYRKAVESFTKHRLGVCKEEEDWEAIEKRLGCGQVEELIEEAQDELKLISYMIEWEPWGVPDDYECEVIENDAPVPKHVPLHRPPPLPTEFHKTLETLSSQSGKDTPPATSTESPSKT >Vigun03g269200.1.v1.2 pep primary_assembly:ASM411807v1:3:44103637:44107729:1 gene:Vigun03g269200.v1.2 transcript:Vigun03g269200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLAQRLLNITKISNYRISSAAVRSPNPAKPDIAPDPGDSGIFRRFLHKRPVFSSELQQPASDSILHRLREMDIARSRIRLDGLTPPEKREVEAKDVKKVLRAVQIETVKSKLRKIPESCISYSEFMRMCTEGCSDPEQARNMAQMLDDSASVIILGDVVFLRPEQVAKTIQTILPIPAGEKMNESARKELEGMEKIKEAIDERAKTLVRRELWAGLGFLLAQTLGFMRLTFWELTWDVMEPICFYVTSMYFMAGYTFFLRTSKEPCFEGFYQSRFSSQQKRLIKLHSFDIARYNELKAALPSPPSSDDQIHTSFVIQPIQQFHKKL >Vigun09g151600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31586580:31591907:1 gene:Vigun09g151600.v1.2 transcript:Vigun09g151600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESSRSRGAKEARKIPILPWELVIEILLRLPVKSLFRFKCVCKSWLSLLSDPHFATSHFQRPTANTARLLLIAPPNPEIRSIDFNASLHDDSATYTLNLDFLSPRDYNDLRIIGSCRGFLLLNSYQSLWVWNPSTGVHKKLPSTLIESNLRQPMFPSFLYGFGYDPSTDDYLVVKVSCKTEHAITRVEFFSLRTNVWGDVEATHLSYMNDSDDIRGGSLLNGAIHWLAYCGGSMDVIVVFDLTERKLSEMLLPVELLDYDDIDYYDLGVLGDLLSISFVEWDGSLEIWVMEEYGVQSSWTKTIVVSAENMSPDTHFFPICYTKGGDIFGKYGGTGLAKYNGMGQLQQHRSYCSGWYGFVVAVYTESLLSFPM >Vigun06g183566.2.v1.2 pep primary_assembly:ASM411807v1:6:30314858:30316456:1 gene:Vigun06g183566.v1.2 transcript:Vigun06g183566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVLLSVLVLAANMLQQYCVDGQQAQVPCLFAFGGTLSDHGNNNNLRTRAKSNYGPYGINFPRGSTGRFTNGKTQVDFIAEFTRLNTLIPPFANRSGSDILKGVDYASGSAGILMDTGSHLGVNINFMRQIANHRNIFSRIVIRLGGVDRARQHLRQCLYYVNIGNNDYIYNYYLPQFYPELRRLGQENS >Vigun06g183566.1.v1.2 pep primary_assembly:ASM411807v1:6:30314858:30316456:1 gene:Vigun06g183566.v1.2 transcript:Vigun06g183566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVLLSVLVLAANMLQQYCVDGQQAQVPCLFAFGGTLSDHGNNNNLRTRAKSNYGPYGINFPRGSTGRFTNGKTQVDFIAEFTRLNTLIPPFANRSGSDILKGVDYASGSAGILMDTGSHLGVNINFMRQIANHRNIFSRIVIRLGGVDRARQHLRQCLYYVNIGNNDYIYNYYLPQFYPVSHFLNTEQFAQLLINSYSSYIQELRRLGQENS >Vigun09g257400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42320937:42322226:-1 gene:Vigun09g257400.v1.2 transcript:Vigun09g257400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVSEPFQAMSLKHVFSASGIKGKRVTALSPQENALTDFIRTIIAEKPDIDSPFSVLDLGVVMALFEKWATKLPTVQPFYAVKCNPNLSLIAALAALGTSFDCASKAEIQAILSLGVSPDRIIYANPCKPESHIRYAATVGVNVTTYDSKHEVEKIRKCHPTCELILRIRPPQESGARTSLGLKYGALPEEVPELLSVAHAAGLKVTGVSFHIGSGGGDAQAYEGAISAAKSVFDTASRLGLPRMRVLDIGGGFTSGPVFDAAAMKINAAIQGSFGNEEELVVIGEPGRYFAETAFTLATKVIGKRVRGELREYWIDDGIYGTLINIVFDYATVTCMPLACTSKPENPSCMDSKTYSSTVFGPTCDSLDTVLSDYQLPELQVNDWLVFPNMGAYTTSSSTNFNGFSSSVKSTFLAYTNPITREQTML >Vigun01g210500.1.v1.2 pep primary_assembly:ASM411807v1:1:38517968:38522574:-1 gene:Vigun01g210500.v1.2 transcript:Vigun01g210500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKRRSKGSFFSLFDWNSKSRKKLVWSDPEISKQGKENVENLHKSQLTRIKVDEIGASPSNIQSCDFDSTLSICSDEGCGSKAPGLVARLMGLDSLPASAVTEPSCTSHYGSTSHGVSDCNEGTLHSVDEFFPPDYINTLLKLEKSQLGAMESRARKVENLPIKRFQTEMLPPKSAKPIPVTHNKLLSPIKSPGFLPKNAAHVMEAAAKIIEASPQSYLRNRTSTGSSSVPLKILNLKERLEAAQYTSVPGKPVNMSKTYPANGKLSERSSNLSKCTSAFKGSRGSEKNSSSHLASKGKPVSLAIHAKNNAHSRDTLISNGDIKCMRQKEKNEMKSNQYSRSQKPSTQKAMQQRPCSSRNGNVLGQNNQKQNSMTSKGKSTSKIDSNKPTTRASPSETFGIRKTTNKGATNANVHPRRSSIRATDLQKEFPRSRSVSTSRKIKYISSDVHEAGGPDNAVNNFGSKSIKCNFTTDGSFDQDAFNMKESKDVISFTFTSPLRRSMPESSSSTGHSDNFYPKKLSLSPQGKHMIDSDALSILLDKKLQELTSRINLPQCTLAAEGSSSGLGSSVQDNFPSVASTMPKEQDRSLFPELFSDKLDSILDNRPSDDPVLPMNQQLQTSEVNQDPSCSSNSESGNDIVCCRSTSVAGSETLVSESYLDSEDSAYASTVYSSMQDEEASSFSQISESVSLESEVKGPEQSPSTSGDGNMTVKQISGISNSVDFKRSSNTELEYVQDILGNAEFMAEEFAMGQTSAVITPNLFDLLENQNSRTENYGEEYSKLERKAIFDCVSEFLELRCKQAFIGTCKVWPGWMMSVQRKSWMAEELYKEMLSFRSMEELMVDELVTKDMSSGCGKWLDFDVEAFEEGLEVEGTY >Vigun07g193800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31298562:31304125:1 gene:Vigun07g193800.v1.2 transcript:Vigun07g193800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSRRREEDHAAPHRFESGPDPFRRNRRDGSDPVQQRKMSPLKVDGARRGGGGSKGGSDGFEGRDYDWQRVGGGRRSGRVRSRSPPMEAVRKRSHFDDGVSRDRSCSPPPPGPRARYELSKAMDYSVDNGNLDAKRIYLDREKDLVEGRLGGGQGGMVDQKFVVRENEVGGSYKYRSVQDTGVSVTMRYEETGRHFPPPSRGVPTGRRFDHDRLQHRDGLPMEKIPLTESHSGADKTILHARDVSYSALSPSYAKDFAGTSHMRDYGGSSIEMSRSEFLCSHGDGICLPASYDLSRSSGKLAEPAGFSGHGQRTVLDPARALEIGSRNMTCHQRCEFSPTRTEHVDYLNYKSQVRAAQDERLYQYDDLPRRTVPHGRLDYEQAVAEYDNREFSRPYIPHPDLDRNGKSEDSYGNQRRAIVHDHPAIQKPKYYDYHDVRRTSITSMQDEAYMRSGYHLENGKRMPQDYEVSHMGAPEADRLPILRTEYESRRDVGPGLQQERFQSSPMSKHNSETYRQAVRADMRQDIGIHEYSERLMKRKYNANDEIDMHDLRTIKSSKWGATEDFQDAYENEEWVDEEDMDVLYSSGNVEFNPKMYRKYKNEYNELENEEDFPSDELIIPQGSMGHVPMNSLQFRKYSNQNIKHHSKSSSSNWSKSQHFSKRNAIQKQPKVWKKYHGYDENKHAANDESSEDWISAAESEPTEGSEEFNQMVHENFLLYSKKLNLNLYVQRRYQDQGKAGSLYCIACGRRSVFFSVTLFY >Vigun07g193800.1.v1.2 pep primary_assembly:ASM411807v1:7:31298562:31304125:1 gene:Vigun07g193800.v1.2 transcript:Vigun07g193800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSRRREEDHAAPHRFESGPDPFRRNRRDGSDPVQQRKMSPLKVDGARRGGGGSKGGSDGFEGRDYDWQRVGGGRRSGRVRSRSPPMEAVRKRSHFDDGVSRDRSCSPPPPGPRARYELSKAMDYSVDNGNLDAKRIYLDREKDLVEGRLGGGQGGMVDQKFVVRENEVGGSYKYRSVQDTGVSVTMRYEETGRHFPPPSRGVPTGRRFDHDRLQHRDGLPMEKIPLTESHSGADKTILHARDVSYSALSPSYAKDFAGTSHMRDYGGSSIEMSRSEFLCSHGDGICLPASYDLSRSSGKLAEPAGFSGHGQRTVLDPARALEIGSRNMTCHQRCEFSPTRTEHVDYLNYKSQVRAAQDERLYQYDDLPRRTVPHGRLDYEQAVAEYDNREFSRPYIPHPDLDRNGKSEDSYGNQRRAIVHDHPAIQKPKYYDYHDVRRTSITSMQDEAYMRSGYHLENGKRMPQDYEVSHMGAPEADRLPILRTEYESRRDVGPGLQQERFQSSPMSKHNSETYRQAVRADMRQDIGIHEYSERLMKRKYNANDEIDMHDLRTIKSSKWGATEDFQDAYENEEWVDEEDMDVLYSSGNVEFNPKMYRKYKNEYNELENEEDFPSDELIIPQGSMGHVPMNSLQFRKYSNQNIKHHSKSSSSNWSKSQHFSKRNAIQKQPKVWKKYHGYDENKHAANDESSEDWISAAESEPTEGSEEFNQMVHENFLLYSKKLNLNLYVQRRYQDQGKAGSLYCIACGRSSSKEFMDTQRLVTHAFMSHKAGLRAKHMGLHKAICVLMGWDTVVPQDTVTWVPQVLPPAEALSQKEDLILWPPIVIIHNISMSDQNPQNWKVVSMETIEAFLRGKGFVRGRIKLCLGKPADQSIVLVKFLGTFGGLGDAERLHKYLSDNNRSRAEYERVKSEGIENCNMGETEEGAKVENILYGYVGIAEDFDKLDFNSKKWSMVKSRKEIDDLDKAPVKTDERR >Vigun08g064400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:9024285:9027645:1 gene:Vigun08g064400.v1.2 transcript:Vigun08g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAKESSVVGKEKKATTSISHTTTTKRTTKPSISTSTSTRSSTTTTTSIKKPNTTSSDKNIPNYLKPTLTSRHESLSSKHPKTNTLNNNNNSNNKTSEAVRRRSLDKPLSSSHITKPSLRRSSIGPLSKTTVPSKPISDRTIKATTDAKTKPLHTRVTKKTTPSTSTIASTSTRKVVANRDHHGSVKSAKSAPKQTKKALSVETEEVKEVTSQEVEVVKVEDEEHSVHEVEHVPEISLLPDVESEHEIEHVMVPDNDNSDPSHCQVDDERVISTVSEAEAEEEKEKDEEQEVEEDKENKDGVVENEVIENEKDEGGVENEMEDEKSEEEEKKKNNDEEEEEVEKEKVGVENEEEVVKVEETEEASKEQLEERKNGKKVAQISNDVIEETTSKLMEARKSKVRAMAGAFQTVIDHQATTK >VigunL059294.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000603.1:13699:14351:1 gene:VigunL059294.v1.2 transcript:VigunL059294.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLYYSRPSTLNCGVLMGSGALVLVVLLDLIAKNGATRGLPRRSPILVLLSPKHA >Vigun03g244450.1.v1.2 pep primary_assembly:ASM411807v1:3:40735205:40736520:-1 gene:Vigun03g244450.v1.2 transcript:Vigun03g244450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGKKSVILDFLTTIPNPYFTVQDFMHTLSLSCTPWHTMWVCAMWSLSPHAFSSSFSSTLCLEHMQMQMMIHQTKP >Vigun03g338600.9.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675425:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.7.v1.2 pep primary_assembly:ASM411807v1:3:53671144:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTGYLSNHSPTRNVKSQEAWKIQA >Vigun03g338600.2.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.5.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVLKKKATPVGVKEEDEEFAQLLALSNE >Vigun03g338600.4.v1.2 pep primary_assembly:ASM411807v1:3:53671077:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.12.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.10.v1.2 pep primary_assembly:ASM411807v1:3:53669912:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.13.v1.2 pep primary_assembly:ASM411807v1:3:53670722:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.1.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTDKELDIIEMAVYGDVARPGNQCRCRTIAEKLGKFKPKDDKDACKLPQG >Vigun03g338600.8.v1.2 pep primary_assembly:ASM411807v1:3:53673204:53675348:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTDEGLAGPCWGTKMLGRL >Vigun03g338600.11.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun03g338600.6.v1.2 pep primary_assembly:ASM411807v1:3:53673995:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTGMSASLFVYLCISLFLYVIVVVITTL >Vigun03g338600.3.v1.2 pep primary_assembly:ASM411807v1:3:53669926:53675424:-1 gene:Vigun03g338600.v1.2 transcript:Vigun03g338600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRSRGDGEKRPRFFDSNAKAICWSKADIVPGRHPERWRKDAAGNIVCKRFYNCIGCLCYEYDHIIPFSKGGESTADNCQILQSRVNRLKSDKYDIDADQLKDYSCEVNFTVEGSKDIELLTN >Vigun01g162500.1.v1.2 pep primary_assembly:ASM411807v1:1:34420893:34429880:-1 gene:Vigun01g162500.v1.2 transcript:Vigun01g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAIRECDDRRLQTKYKNATYVVQRALTLYSIEEVAFSFNGGKDSTVLLHILRAGYFLHKKGQNSVNGDLKDFPIRTIYFESPCAFPEINSFTYDTAATYGLQIDTISLDFKSGLEALLKEKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVNYCSLYDQGYTSIGSIHDTVPNSLLSVSNSSYKFKPAYLLADGRLERAGRAKRPSSTGGQLPVDSNGLTSLDSHKNSMLTASIIAVGDEILFGIVEDQLGPYLCRKLHSIGWSVFKLSVVHNNIDSVAEEVERQKSKSDMVFIYGGVGPLHSDVTIAGIAKAFGVRLAPDEEFEEYLRHIIGDQCTGDRNEMAQLPEGITELWHHDKLSVPLIKCQNVIILSATNVSELEKQWDCWIELAKSSDLLALLEPYVSKNAATNLSDVEIAQPLSKLCLEFPDLYIGCYRKARYGSLIVSFKGKDLARIDSAIKALHKKFQPGAFIEMN >Vigun04g060450.1.v1.2 pep primary_assembly:ASM411807v1:4:6198189:6200144:1 gene:Vigun04g060450.v1.2 transcript:Vigun04g060450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGFCYESLDHLLDHNCTLFSVTQFFWSHHPVFSQPSSKMPLTILLPLESLFNISISLRFFCVPLFLSIYHFPWSGKVICP >Vigun03g118200.2.v1.2 pep primary_assembly:ASM411807v1:3:11005115:11008026:-1 gene:Vigun03g118200.v1.2 transcript:Vigun03g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISITSLPIPLSSSSSSSFPHLTKHRKPKRHHLYKVSCHTNHNVPNEEPKASHNRRNVLIGFGGLYGASTLADNPFAIAAPTSPNLKGCGLPELPSDVPPTNCCPPKYSNIIDFKFPRPRRTPRVRPAAQYVDDTYVAKYQKALSKMKALPPDDPRSFTQQANIHCAYCNDAYHQVGFPELDYKVHGSWLFFPFHRWYLYFYERILGSLINDPDFSIPYWNWDNPYGGMVIPSIFTDTNSPLYDPRRNVDHQPPTLVNLDYNKNKDASQSPKQQISNNLATMYKSVVSCGKIPSLFLGSPYRAGGEPGGAGSLETVPHTPVHVWTGDPRQPHREDLGVFYSAGRDPLFYAHHANVDRMWNIWKTLPRGKRRDFTDKDWLESIFYFYDENKNLVRVKVKDSCDTKKLGYVYKDVEIPWLREKPKPRTSKSKAKAKKKVAFAESFGVSAARAAEATSVTFPLILNSKVSTVVKRPKLSRSQEEKEEEEEVLVIDGIEFDMEKDLKFDVFINDEDEEEINPGNSEFAGSFVSVSHTMNRNKMVKTCLRLGITDLLEDLEAEDDDTIVVTLVPKYGGPVTIQDIKIDGRVCAKPSYGTVSSGVHIVMVHTFFLLGERLTEENIEIIDRIPAIISSPTTILRLWDDLGNAQDENQKGNDGSYVNCRFFFPSRQMSMVYYGYRQIF >Vigun07g099800.1.v1.2 pep primary_assembly:ASM411807v1:7:17613304:17623906:1 gene:Vigun07g099800.v1.2 transcript:Vigun07g099800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRYCLLWSLDSVTILSLKSVSKERSDIWRERKSLVGMAKEQVQVLNALDTAKTQWYHFTAIIVSGMGFFTDAYDLFSISLVTKLLGRIYYHVDGAANPGSLPPNVSAAVNGVAFVGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVLASIASGLSFGSDAKTVITTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFVAAVFAMQGFGILAGGVFAIIVSSVFMAKYDVPSYEVDPLGSTVPQADYCWRIILMVGALPAALTYYWRLKMPETARYTALVAKNMEKAAADMSKVMQVEIQAVPKKEDERKSYGLFSKEFMSRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNALEEVFRIARAQTLIALCSTVPGYWFTVAFIDIIGRFTIQLMGFFFMTVFMFALAIPYDHWTLKENRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAIVGSFGFLYLAQNKDLSKTDAGYPAGIGVRNSLMVLGVVNVLGFICTFLVPESKGRSLEEISGEQEEEVQATKA >Vigun05g158451.1.v1.2 pep primary_assembly:ASM411807v1:5:25579192:25579668:1 gene:Vigun05g158451.v1.2 transcript:Vigun05g158451.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKECPKTYIQNLRTGIYKETIQLIKTHNEDRKNTILHFSTNIICFFILSSYSILGFHSTHGWELLFGFVYKDFGFVQNDQIIYGLVSTFPVILDTILKYWIFRYLNRISPSLVVIYHLMND >Vigun05g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:10345337:10345942:-1 gene:Vigun05g103600.v1.2 transcript:Vigun05g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEITEEIDEDTHWFINTEEQKEKIRNIIQYQKSLYRSSASSSLSSSAASTSSFSSPHKSRSLLGLMKGGSTSMRRLFDMEHTSLANHFEFYTGSPITKPISLWDSDSERDFQDPWALIKEVGSTRFVGTDRESELASKGSNVDGDLGFHNRNLDSGNRKLSRKKSFRRLPRFGLWRCGRFRFPLRFRRLKIRIWGRLSR >Vigun03g078800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6518814:6519284:-1 gene:Vigun03g078800.v1.2 transcript:Vigun03g078800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSIGLLEMKLEASGGGCKYHPDNKQVQGVCAFCLRDKLLKLYDINNPTYPLPSPSQPFSSYMSHRHHHHHRRHASSVADSVSSVVGFNYGLKKSNSIAFGSGSRNTDKEVNENSGGSKKKGVWSKLLKLTRKNSKEAFMHSRTVREGKSLHF >Vigun08g003700.1.v1.2 pep primary_assembly:ASM411807v1:8:332350:334139:1 gene:Vigun08g003700.v1.2 transcript:Vigun08g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATVMMFITTLHLASLVRALNVNYYENTCPHVDSIVAASVHKATMNDKTVPAALLRMHFHDCFIRGCDASVLLESKGKTIAEKDGPPNISLHAFYVIDNAKKALEEVCPGVVSCADILALAARDAVTLSGGPTWDVPKGRKDGRISMATETRQLPAPTFNISQLQQSFSQRGLSLEDLVALSGGHTLGFAHCSSFQNRIHRFSPKQDIDPSLNPSFGSRLRSICPSHNKVKNAGTSLDSSSTLFDNAYYKLLLQGESIFSSDQALLTHPTTKALVSKFAYSQEEFERAFVKSMIKMSSITNDGQQQIRLDCKFVR >Vigun03g056600.1.v1.2 pep primary_assembly:ASM411807v1:3:4599866:4600334:-1 gene:Vigun03g056600.v1.2 transcript:Vigun03g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLRFIMFLLFLVLVADVTVKRTEAEECWVPTTSFKGICQNNDDCATVCRREGAIGGECRSFLLLCYCTRPCSN >Vigun10g167800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38667385:38669001:-1 gene:Vigun10g167800.v1.2 transcript:Vigun10g167800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSVSVNVEGEKEETKGWRNGVKKVGNWLKHRDKDELMKDMRGNLSLVATVIATITFQSALNPPGGVIPAKEGGGGPVVCEGDDDQRHPCPGESVLAYAFTQDYTYFLIWNTTCFISSSAVCLLLVSGFPLNHRFFTWLLSIGMCITITSLALTYMFGATLVTPDPVWYKSSSMFQNVFYIWITLLGLVALLLCLRFFVWILTKWITRPKH >Vigun10g167800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38667385:38668989:-1 gene:Vigun10g167800.v1.2 transcript:Vigun10g167800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSVSVNVEGEKEETKGWRNGVKKVGNWLKHRDKDELMKDMRGNLSLVATVIATITFQSALNPPGGVIPAKEGGGGPVVCEGDDDQRHPCPGESVLAYAFTQDYTYFLIWNTTCFISSSAVCLLLVSGFPLNHRFFTWLLSIGMCITITSLALTYMFGATLVTPDPVWYKSSSMFQNVFYIWITLLGLVALLLCLRFFVWILTKWITRPKH >Vigun10g167800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38667385:38669001:-1 gene:Vigun10g167800.v1.2 transcript:Vigun10g167800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSVSVNVEGEKEETKGWRNGVKKVGNWLKHRDKDELMKDMRGNLSLVATVIATITFQSALNPPGGVIPAKEGGGGPVVCEGDDDQRHPCPGESVLAYAFTQDYTYFLIWNTTCFISSSAVCLLLVSGFPLNHRFFTWLLSIGMCITITSLALTYMFGATLVTPDPVWYKSSSMFQNVFYIWITLLGLVALLLCLRFFVWILTKWITRPKH >Vigun07g175600.1.v1.2 pep primary_assembly:ASM411807v1:7:29067602:29071414:-1 gene:Vigun07g175600.v1.2 transcript:Vigun07g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETERYSCSKLLIPNAHTAKEQPIFVKATWFRTHFHLAVTDGLTAWHCHPSEEEVKQRAAQWDLAVSEYLELSERYLGLQQPGSVYAFDDAGDGHKRLSWTFEKEGITMLWRWKCLLSPDSKKSNVEILDFLMGSNLNISGKVGGENELFDKMKVEAEKCLAQSERIANERLEFESEIYSKFLRVLNSKKSKLRELRDKLSKQENTEKYPQEEDTDKTESFGEESDFDRSDEDPQKDITSSSSVVVANKPSRTKRTKRT >Vigun05g266600.1.v1.2 pep primary_assembly:ASM411807v1:5:45874503:45878554:-1 gene:Vigun05g266600.v1.2 transcript:Vigun05g266600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLHALSTPVRVWPHWEVGSTKRKHIVCNAQKEDVEEGEVTTLSHVSRRLALGTALIGGAAAAGAKVSPANAADDAELSLDQPAFITTLPASISSEEYPASVVEALSLNRTSFPKGFVFGTASAAYQYEGAAFEDGRKASIWDAFTHRYPERIRDRSNGDVAVDEYHRYREDIQIMKDMNLDAYRFSISWSRIVPNGKVGPYEEGVNQAGIDYYNRLIDYLIDNGLKPYVTLFHWDLPQALEEEYGGFLSHHVVDDFRDYARVCFKNFGNRVKHWITLNEPWSYSNNGYAVGTFAPARCSEWQDPTCLGGDSGREPYIVTHNLLLSHAAAVEEYRKFQEYQEGMIGITLISHWYEPQTDSESDKDAAKRALDFMFGWYMEPLTTGKYPKSMRYLVGNRLPEFSKHESKLLADSYDFIGINYYTTVCVADNPSVQPESKRSYSTDPNVIYSTQRNGVLIGVPTASDWLYVCPKGIKKLLLYTKKEYNDPLIYITENGRGNDIGEEHDTLEESLIDVYRIDFYYRHLYYLLSAIRDGRVNVKGYFAWSLLDNFEWRDGYLIGFGLNYVDRKNKLKRYPKLSAKWFKNFLQKA >VigunL086501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000659.1:65767:66227:1 gene:VigunL086501.v1.2 transcript:VigunL086501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDHDAEHESGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun04g138500.2.v1.2 pep primary_assembly:ASM411807v1:4:34480415:34488000:1 gene:Vigun04g138500.v1.2 transcript:Vigun04g138500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSNYEISSLITGLFYQITQHKWAWPFMQPVDVEGLGLHDYYEVIDKPMDFSTIKNQMEAKDGTGYKHIREICADVRLVFKNAMKYNDEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEETRREEEEAEAQLALQVAQEAAQAKMARDLSNELYEVDVILEELREMVLKRCRKMSTEEKRKLGDGLTRLSPDDLSKALEIVAQSNPSFQATAEEVDLDMDAQSESTLWRLKYFVKEALEVQGKNSGNMGGNENQNNNKRKKEFCDAIAKTKKKTKKTT >Vigun04g138500.1.v1.2 pep primary_assembly:ASM411807v1:4:34472467:34488000:1 gene:Vigun04g138500.v1.2 transcript:Vigun04g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETFGASISEVRNVATGNSNDGTVEMEGFKQRVDEIISKVDKLEQKVHDVENFYSSMNKKQTNTLKGNSTSKDKEKHVPSIKKQQQDASRREVAALKRMQDLMRQFGTILRQITQHKWAWPFMQPVDVEGLGLHDYYEVIDKPMDFSTIKNQMEAKDGTGYKHIREICADVRLVFKNAMKYNDEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEETRREEEEAEAQLALQVAQEAAQAKMARDLSNELYEVDVILEELREMVLKRCRKMSTEEKRKLGDGLTRLSPDDLSKALEIVAQSNPSFQATAEEVDLDMDAQSESTLWRLKYFVKEALEVQGKNSGNMGGNENQNNNKRKKEFCDAIAKTKKKTKKTT >Vigun10g037000.5.v1.2 pep primary_assembly:ASM411807v1:10:5001151:5007336:-1 gene:Vigun10g037000.v1.2 transcript:Vigun10g037000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSSSPSSKSERIYDVFINFRGVDTRKKFVSHLHSSLSKAGVKTFLDEENLLKGMELKELLRAIEVSQIAIVVFSKRYADSSWCLEELQKIFECRQTCGLRVVPVFYYVEPSEVRQQKGDFGDALRAAARSGYAGEHLEFALSSWRRTLTDAANLSGWNPKDWRTEAELVRDIVNYVIANLDYNALPITKFPVGLDHPVQEVIRFIERTRNSCKIGIWGMGGSGKTTIAKTIYNKLHRLFENKSFIENIREVCQTDRRRGLVRLQEKLLSDILKVKVEIQSNGIGQGMIENRFIGKRAVIVLDDVNKFDQLQALCGNTQWMGERSVIIITTRDLRLLKSFEVDFVYEMKEMEANESLELFCRHAFREEKPREDFKELAKDAVAYCGGLPLALEVLGSYLSKRTMIEWRSVLSKLKISPNTQVQEKLRISFDSLCDQMEKEIFLDVCCVFIGKDRGCVTEVLNGCGLFADIGITVLLERSLIKVEKNNKLRMHHLLQDMGREIIREGSNKEPGKRSRLWFQEEVRDVLTNSTGTDAIEGLTLKLNLTNRECFKADAFEEMSSLRLLQLHHVELTGDYGYLSKQLRWIYWQGFPSKYIPDNFYLEDAIAINFKHSNLRQLWKEPKVLFMLKFLNLSHSKYLTETPNFSGLPYLEKLILKYCPSLRCVHKSIGDLCKIVLINLKDCTSLSSLPREIYKLKSLKTLILSGCSKIDTLEEDIVEMKSLTTLIAENAVAKQVPFSICLGAV >Vigun10g037000.1.v1.2 pep primary_assembly:ASM411807v1:10:5001151:5007336:-1 gene:Vigun10g037000.v1.2 transcript:Vigun10g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSSSPSSKSERIYDVFINFRGVDTRKKFVSHLHSSLSKAGVKTFLDEENLLKGMELKELLRAIEVSQIAIVVFSKRYADSSWCLEELQKIFECRQTCGLRVVPVFYYVEPSEVRQQKGDFGDALRAAARSGYAGEHLEFALSSWRRTLTDAANLSGWNPKDWRTEAELVRDIVNYVIANLDYNALPITKFPVGLDHPVQEVIRFIERTRNSCKIGIWGMGGSGKTTIAKTIYNKLHRLFENKSFIENIREVCQTDRRRGLVRLQEKLLSDILKVKVEIQSNGIGQGMIENRFIGKRAVIVLDDVNKFDQLQALCGNTQWMGERSVIIITTRDLRLLKSFEVDFVYEMKEMEANESLELFCRHAFREEKPREDFKELAKDAVAYCGGLPLALEVLGSYLSKRTMIEWRSVLSKLKISPNTQVQEKLRISFDSLCDQMEKEIFLDVCCVFIGKDRGCVTEVLNGCGLFADIGITVLLERSLIKVEKNNKLRMHHLLQDMGREIIREGSNKEPGKRSRLWFQEEVRDVLTNSTGTDAIEGLTLKLNLTNRECFKADAFEEMSSLRLLQLHHVELTGDYGYLSKQLRWIYWQGFPSKYIPDNFYLEDAIAINFKHSNLRQLWKEPKVLFMLKFLNLSHSKYLTETPNFSGLPYLEKLILKYCPSLRCVHKSIGDLCKIVLINLKDCTSLSSLPREIYKLKSLKTLILSGCSKIDTLEEDIVEMKSLTTLIAENAVAKQVPFSIVSSKSIGYLFPCGYEGLSHDVLPSIIRSWMSLTMNPLSCIHPLCGISASLVSMNMQNIDLGDLAPILTNLLNLRSVWVQCDTEFQITKQVRKILNDVQGVHFTDLEIASCTSEISDNSLRSHWIRIGSYQEEDFNTLNKSITKGLAASGSCNAFLLGGNYPLLLAHTGEGHSVDFTVPEDWDMKGMALCFVYLSTPETVATKCLISVVLVNYTKCSIQIYKRDTVISFNDADWQGIISHLEAGDKVEIFLSFRNELVIKNTAVYLLKKPVMKVYSRKRNLKDQESSRKRQG >Vigun10g037000.2.v1.2 pep primary_assembly:ASM411807v1:10:5001134:5007494:-1 gene:Vigun10g037000.v1.2 transcript:Vigun10g037000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSSSPSSKSERIYDVFINFRGVDTRKKFVSHLHSSLSKAGVKTFLDEENLLKGMELKELLRAIEVSQIAIVVFSKRYADSSWCLEELQKIFECRQTCGLRVVPVFYYVEPSEVRQQKGDFGDALRAAARSGYAGEHLEFALSSWRRTLTDAANLSGWNPKDWRTEAELVRDIVNYVIANLDYNALPITKFPVGLDHPVQEVIRFIERTRNSCKIGIWGMGGSGKTTIAKTIYNKLHRLFENKSFIENIREVCQTDRRRGLVRLQEKLLSDILKVKVEIQSNGIGQGMIENRFIGKRAVIVLDDVNKFDQLQALCGNTQWMGERSVIIITTRDLRLLKSFEVDFVYEMKEMEANESLELFCRHAFREEKPREDFKELAKDAVAYCGGLPLALEVLGSYLSKRTMIEWRSVLSKLKISPNTQVQEKLRISFDSLCDQMEKEIFLDVCCVFIGKDRGCVTEVLNGCGLFADIGITVLLERSLIKVEKNNKLRMHHLLQDMGREIIREGSNKEPGKRSRLWFQEEVRDVLTNSTGTDAIEGLTLKLNLTNRECFKADAFEEMSSLRLLQLHHVELTGDYGYLSKQLRWIYWQGFPSKYIPDNFYLEDAIAINFKHSNLRQLWKEPKGLAASGSCNAFLLGGNYPLLLAHTGEGHSVDFTVPEDWDMKGMALCFVYLSTPETVATKCLISVVLVNYTKCSIQIYKRDTVISFNDADWQGIISHLEAGDKVEIFLSFRNELVIKNTAVYLLKKPVMKVYSRKRNLKDQESSRKRQG >Vigun10g037000.4.v1.2 pep primary_assembly:ASM411807v1:10:5001151:5007336:-1 gene:Vigun10g037000.v1.2 transcript:Vigun10g037000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSSSPSSKSERIYDVFINFRGVDTRKKFVSHLHSSLSKAGVKTFLDEENLLKGMELKELLRAIEVSQIAIVVFSKRYADSSWCLEELQKIFECRQTCGLRVVPVFYYVEPSEVRQQKGDFGDALRAAARSGYAGEHLEFALSSWRRTLTDAANLSGWNPKDWRTEAELVRDIVNYVIANLDYNALPITKFPVGLDHPVQEVIRFIERTRNSCKIGIWGMGGSGKTTIAKTIYNKLHRLFENKSFIENIREVCQTDRRRGLVRLQEKLLSDILKVKVEIQSNGIGQGMIENRFIGKRAVIVLDDVNKFDQLQALCGNTQWMGERSVIIITTRDLRLLKSFEVDFVYEMKEMEANESLELFCRHAFREEKPREDFKELAKDAVAYCGGLPLALEVLGSYLSKRTMIEWRSVLSKLKISPNTQVQEKLRISFDSLCDQMEKEIFLDVCCVFIGKDRGCVTEVLNGCGLFADIGITVLLERSLIKVEKNNKLRMHHLLQDMGREIIREGSNKEPGKRSRLWFQEEGTDAIEGLTLKLNLTNRECFKADAFEEMSSLRLLQLHHVELTGDYGYLSKQLRWIYWQGFPSKYIPDNFYLEDAIAINFKHSNLRQLWKEPKVLFMLKFLNLSHSKYLTETPNFSGLPYLEKLILKYCPSLRCVHKSIGDLCKIVLINLKDCTSLSSLPREIYKLKSLKTLILSGCSKIDTLEEDIVEMKSLTTLIAENAVAKQVPFSICDTEFQITKQVRKILNDVQGVHFTDLEIASCTSEISDNSLRSHWIRIGSYQEEDFNTLNKSITKGLAASGSCNAFLLGGNYPLLLAHTGEGHSVDFTVPEDWDMKGMALCFVYLSTPETVATKCLISVVLVNYTKCSIQIYKRDTVISFNDADWQGIISHLEAGDKVEIFLSFRNELVIKNTAVYLLKKPVMKVYSRKRNLKDQESSRKRQG >Vigun10g037000.3.v1.2 pep primary_assembly:ASM411807v1:10:5001151:5007336:-1 gene:Vigun10g037000.v1.2 transcript:Vigun10g037000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDSSSPSSKSERIYDVFINFRGVDTRKKFVSHLHSSLSKAGVKTFLDEENLLKGMELKELLRAIEVSQIAIVVFSKRYADSSWCLEELQKIFECRQTCGLRVVPVFYYVEPSEVRQQKGDFGDALRAAARSGYAGEHLEFALSSWRRTLTDAANLSGWNPKDWRTEAELVRDIVNYVIANLDYNALPITKFPVGLDHPVQEVIRFIERTRNSCKIGIWGMGGSGKTTIAKTIYNKLHRLFENKSFIENIREVCQTDRRRGLVRLQEKLLSDILKVKVEIQSNGIGQGMIENRFIGKRAVIVLDDVNKFDQLQALCGNTQWMGERSVIIITTRDLRLLKSFEVDFVYEMKEMEANESLELFCRHAFREEKPREDFKELAKDAVAYCGGLPLALEVLGSYLSKRTMIEWRSVLSKLKISPNTQVQEKLRISFDSLCDQMEKEIFLDVCCVFIGKDRGCVTEVLNGCGLFADIGITVLLERSLIKVEKNNKLRMHHLLQDMGREIIREGSNKEPGKRSRLWFQEEVRDVLTNSTGTDAIEGLTLKLNLTNRECFKADAFEEMSSLRLLQLHHVELTGDYGYLSKQLRWIYWQGFPSKYIPDNFYLEDAIAINFKHSNLRQLWKEPKVLFMLKFLNLSHSKYLTETPNFSGLPYLEKLILKYCPSLRCVHKSIGDLCKIVLINLKDCTSLSSLPREIYKLKSLKTLILSGCSKIDTLEEDIVEMKSLTTLIAENAVAKQVPFSICDTEFQITKQVRKILNDVQGVHFTDLEIASCTSEISDNSLRSHWIRIGSYQEEDFNTLNKSITKGLAASGSCNAFLLGGNYPLLLAHTGEGHSVDFTVPEDWDMKGMALCFVYLSTPETVATKCLISVVLVNYTKCSIQIYKRDTVISFNDADWQGIISHLEAGDKVEIFLSFRNELVIKNTAVYLLKKPVMKVYSRKRNLKDQESSRKRQG >Vigun10g113500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31569247:31570983:1 gene:Vigun10g113500.v1.2 transcript:Vigun10g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAQGRPLPPPFLTRDLQLHPHHQFQPHHNHQNTEDEAANGRGQKRDRDDNAGAGGGSATPPHGAGDGQEPGSGDGGGSEMARRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVTAFARRRQRGVCVLSGSGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLAIYLAGGQGQVVGGSVVGPLVASGPVVIMAASFGNAAYERLPLEEEEPPVAVPGTGGLGSPGIAGTQQQSQSQQQQQPQQLVGDPNSSSLFHGVPQNLLNSVQLPAEGYWGGTARPPF >Vigun10g148500.5.v1.2 pep primary_assembly:ASM411807v1:10:36691759:36696996:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLVIGSYVGLATVGIFVLWYTQASFLGINLVSDGHTIIEFSQLRNWGECPSWSNFTVTPFNVGGGRLITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRKLPPWRNPWLLVAMSISLGLHCLILYIPFLAEVFGVIPLSFNEWFMVLLISAPVILIDEILKLVARSQRRLTKEKKA >Vigun10g148500.2.v1.2 pep primary_assembly:ASM411807v1:10:36691120:36697046:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLVIGSYVGLATVGIFVLWYTQASFLGINLVSDGHTIIEFSQLRNWGECPSWSNFTVTPFNVGGGRLITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRKLPPWRNPWLLVAMSISLGLHCLILYIPFLAEVFGVIPLSFNEWFMVLLISAPVILIDEILKLVARSQRRLTKEKKA >Vigun10g148500.1.v1.2 pep primary_assembly:ASM411807v1:10:36691120:36697015:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLVIGSYVGLATVGIFVLWYTQASFLGINLVSDGHTIIEFSQLRNWGECPSWSNFTVTPFNVGGGRLITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRKLPPWRNPWLLVAMSISLGLHCLILYIPFLAEVFGVIPLSFNEWFMVLLISAPVILIDEILKLVARSQRRLTKEKKA >Vigun10g148500.8.v1.2 pep primary_assembly:ASM411807v1:10:36691359:36697023:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFISCIGNPRMHDTSATSMGEFGY >Vigun10g148500.4.v1.2 pep primary_assembly:ASM411807v1:10:36691199:36696996:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLVIGSYVGLATVGIFVLWYTQASFLGINLVSDGHTIIEFSQLRNWGECPSWSNFTVTPFNVGGGRLITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRKLPPWRNPWLLVAMSISLGLHCLILYIPFLAEVFGVIPLSFNEWFMVLLISAPVILIDEILKLVARSQRRLTKEKKA >Vigun10g148500.3.v1.2 pep primary_assembly:ASM411807v1:10:36691192:36697013:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLVIGSYVGLATVGIFVLWYTQASFLGINLVSDGHTIIEFSQLRNWGECPSWSNFTVTPFNVGGGRLITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLRKLPPWRNPWLLVAMSISLGLHCLILYIPFLAEVFGVIPLSFNEWFMVLLISAPVILIDEILKLVARSQRRLTKEKKA >Vigun10g148500.7.v1.2 pep primary_assembly:ASM411807v1:10:36691199:36697016:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLLAFLFCGTLKHLFLESIL >Vigun10g148500.6.v1.2 pep primary_assembly:ASM411807v1:10:36691199:36697016:1 gene:Vigun10g148500.v1.2 transcript:Vigun10g148500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMEEKPFPAWSWSVEQCLKEYGVKLDKGLSTHEVQKRREKYGWNELAKEKGKPLWELVLEQFDDMLVKILLAAAFVSFLLAYFHGTDSGKFGFDAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESGKVLRDGYFVPDLPARELLPGDIVELHVGDKVPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTSPVFLEDCELQAKENMVFAGTTVVNGGCVCIVITTGMETEIGKIQKQIHEASQEESDTPLKKKLDEFGNRLTTAIGLVCLIVWAINYKNFISWDVVDGWPSNVRFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTQFFTLGGKTTASRLISVEGTTYDPKDGGIVDWGCYNMDANLQVMAEICAVCNDAGIYFDGRLFRATGLPTEAALKVLVEKMGVADLKSRSKTRDNAQLAANNLMDVNTVVKLGCCEWWNKRSKRVATLEFDRIRKSMSVIVREPNGQNRLLVKGAVESLLERSSHVQLADGSLVPIDNQCRELLLQRLQEMSSKGLRCLGFSYKDELGEFSDYYADTHPAHKKLLDPTNYSSIESDLVFVGIVGLRDPPREEVHNAIEDCKEAGIRVMVITGDNKSTAEAICREIKLFSEDEDLKGQSLTGKEFITLPHSEQVKILLSPGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRRSDDALISSWVLFRYLLAFLFCGTLKHLFLESIL >Vigun07g188000.5.v1.2 pep primary_assembly:ASM411807v1:7:30467470:30470045:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDWYVLISEHTVFCFP >Vigun07g188000.1.v1.2 pep primary_assembly:ASM411807v1:7:30467467:30473656:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDWWDKKPITNRETAKVPFLGRIAEITRRGSMLATHVLMRFGKDPSV >Vigun07g188000.6.v1.2 pep primary_assembly:ASM411807v1:7:30467470:30473657:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDCLVHLA >Vigun07g188000.4.v1.2 pep primary_assembly:ASM411807v1:7:30467471:30473656:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDWWDKKPITNRETAKVPFLGRIAEITRRGSMLATHVLMRFGKDPSV >Vigun07g188000.2.v1.2 pep primary_assembly:ASM411807v1:7:30467467:30473656:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDWWDKKPITNRETAKVPFLGRIAEITRRGSMLATHVLMRFGKDPSV >Vigun07g188000.3.v1.2 pep primary_assembly:ASM411807v1:7:30467471:30473656:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDWWDKKPITNRETAKVPFLGRIAEITRRGSMLATHVLMRFGKDPSV >Vigun07g188000.7.v1.2 pep primary_assembly:ASM411807v1:7:30467470:30473657:1 gene:Vigun07g188000.v1.2 transcript:Vigun07g188000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMARVPRMVYSAQQRFVNNRVSLPKNFAMATHCVGRERAFKGTFDYAAAKYADGLMPHILHLYGSCATPRDFDIYAANASFEDPLMCARGVKQIKSAFYSLPKLFKESKIVEYSIKEYMISPGNGEILIDNKQYYKFLGRNIDMVSLIKLYLKEGKIVRHEDC >Vigun09g133700.2.v1.2 pep primary_assembly:ASM411807v1:9:29313927:29316667:1 gene:Vigun09g133700.v1.2 transcript:Vigun09g133700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVINSIRRASELVQKLEQDLPNLANQPGTLSLSIDQITEAFTAAKEKLLLISRHTQTSESPPMLLHETTPQQPQMDATLMQEWLRSSHALTIDQLFQMQRAAAMGGREVEDSERTIGSEGDQAQGIHASSSRPRRSREANQEKKKILVPAPQFGNTEMPPEDGFTWRKYGQKEILGSKYPRSYYRCTHQKLYECQAKKMVQRLDHNADIFEVTYRGKHTCHMSSTAPSSVPPEHILVDMTQNSNAISSQLSPSVNLSLHPASAGAATTSGRGGASASRYGGDYPVVDMADAMFNSGSSSGNNSMEFLFSPTEDKTDAN >Vigun09g133700.1.v1.2 pep primary_assembly:ASM411807v1:9:29313927:29316667:1 gene:Vigun09g133700.v1.2 transcript:Vigun09g133700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVINSIRRASELVQKLEQDLPNLANQPGTLSLSIDQITEAFTAAKEKLLLISRHTQTSESPPMLLHETTPQQPQMDATLMQEWLRSSHALTIDQLFQMQRAAAMGGREVEDSERTIGSEGDQAQGIHASSSRPRRRSREANQEKKKILVPAPQFGNTEMPPEDGFTWRKYGQKEILGSKYPRSYYRCTHQKLYECQAKKMVQRLDHNADIFEVTYRGKHTCHMSSTAPSSVPPEHILVDMTQNSNAISSQLSPSVNLSLHPASAGAATTSGRGGASASRYGGDYPVVDMADAMFNSGSSSGNNSMEFLFSPTEDKTDAN >Vigun03g115200.2.v1.2 pep primary_assembly:ASM411807v1:3:10533072:10537768:1 gene:Vigun03g115200.v1.2 transcript:Vigun03g115200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEELQSESNVANANTNTNEDYSFPALRFDVSPHRTYHFHRQFITPSNPNNFHKAVKWSPDGSCFLTSSEDNTLRLFAPPGTESDASVAASDDDSFAADVVMHEGESIHDFCWYPYMSFSDLVTNVFATTTRDHPIHLWDATSGQLRCTYRAYDAMDEITAAFSIAFNPAGTKIFAGYNKCIRIFDLHRPGRDFELYSTVKDKKEGQTGRTTWNSCTFCMVKKVELLMPSFPKMEIIYTLEVGRTLIYSAGMCASRLIVFTSYTDHQKTPISGYCSILTLLDSILVQGVRMV >Vigun03g115200.1.v1.2 pep primary_assembly:ASM411807v1:3:10533072:10537426:1 gene:Vigun03g115200.v1.2 transcript:Vigun03g115200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEELQSESNVANANTNTNEDYSFPALRFDVSPHRTYHFHRQFITPSNPNNFHKAVKWSPDGSCFLTSSEDNTLRLFAPPGTESDASVAASDDDSFAADVVMHEGESIHDFCWYPYMSFSDLVTNVFATTTRDHPIHLWDATSGQLRCTYRAYDAMDEITAAFSIAFNPAGTKIFAGYNKCIRIFDLHRPGRDFELYSTVKDKKEGQTGIISAMAFSPFHSGMLALGSYNQTAAIYREDNMELLYLLHGQEGGITHAQFSKDGNYLYTGGRKDPYILCWDVRKSVDCVYKLYRSSENTNQRILFDIDPSGQHLGTGGQDGLVHIYNLQTGQWVSSFEAALDTVNGFSFHPFLPHAVSSSGHRRFVIPDDGNEELRLSGRENCVSVWTFCCDSMEIDMKSNGSFNDQSESGNLD >Vigun07g249500.1.v1.2 pep primary_assembly:ASM411807v1:7:36891205:36896073:-1 gene:Vigun07g249500.v1.2 transcript:Vigun07g249500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGEISSGEDEEEKQPGTTTFSGQEVVVGYALTSKKKKSFLQPSFTGLARNKGINFVAVDLKKPLPEQGPFDIILHKLSGEEWHETIEDYRQTHPEVTVLDPPGAIQHLHNRQSMLQDVVDLNLSDCHGKVSIPRQLVITKEKDPSSIPYEVTKAGMKLPLVAKPLVVDGTAKSHELFLAYDEFSLSDLEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNISKRELSKVAGVFRFPRVSCAAASADDADLDPNIAEHPPRPLLEKLARELRHRLGLHLFNIDMIREYGTKDVFYVIDINYFPGYGKMPDYEHVFTDFLLSLTQSKFKKKLTT >Vigun07g249500.3.v1.2 pep primary_assembly:ASM411807v1:7:36891205:36896073:-1 gene:Vigun07g249500.v1.2 transcript:Vigun07g249500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDQIVEISPQVFKQDYRQTHPEVTVLDPPGAIQHLHNRQSMLQDVVDLNLSDCHGKVSIPRQLVITKEKDPSSIPYEVTKAGMKLPLVAKPLVVDGTAKSHELFLAYDEFSLSDLEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNISKRELSKVAGVFRFPRVSCAAASADDADLDPNIAEHPPRPLLEKLARELRHRLGLHLFNIDMIREYGTKDVFYVIDINYFPGYGKMPDYEHVFTDFLLSLTQSKFKKKLTT >Vigun07g249500.4.v1.2 pep primary_assembly:ASM411807v1:7:36891205:36894679:-1 gene:Vigun07g249500.v1.2 transcript:Vigun07g249500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDQIVEISPQVFKQDYRQTHPEVTVLDPPGAIQHLHNRQSMLQDVVDLNLSDCHGKVSIPRQLVITKEKDPSSIPYEVTKAGMKLPLVAKPLVVDGTAKSHELFLAYDEFSLSDLEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNISKRELSKVAGVFRFPRVSCAAASADDADLDPNIAEHPPRPLLEKLARELRHRLGLHLFNIDMIREYGTKDVFYVIDINYFPGYGKMPDYEHVFTDFLLSLTQSKFKKKLTT >Vigun07g249500.2.v1.2 pep primary_assembly:ASM411807v1:7:36891205:36896073:-1 gene:Vigun07g249500.v1.2 transcript:Vigun07g249500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVHALLIMNHDQIVEISPQVFKQDYRQTHPEVTVLDPPGAIQHLHNRQSMLQDVVDLNLSDCHGKVSIPRQLVITKEKDPSSIPYEVTKAGMKLPLVAKPLVVDGTAKSHELFLAYDEFSLSDLEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNISKRELSKVAGVFRFPRVSCAAASADDADLDPNIAEHPPRPLLEKLARELRHRLGLHLFNIDMIREYGTKDVFYVIDINYFPGYGKMPDYEHVFTDFLLSLTQSKFKKKLTT >Vigun10g173300.2.v1.2 pep primary_assembly:ASM411807v1:10:39184438:39190657:1 gene:Vigun10g173300.v1.2 transcript:Vigun10g173300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLIFLLIQLHLVVCTQQIHEYAATKLQHSSDRSHFSIAIPPKSSSEGHSSIAHSPSKSSSGVPESIAVSPSKLIHKAPTIIWTHGSDDSPISHHKHHYSKRKFHNPTIAPIYAVQPPTYIHQGPSVFKSLPPFSSPNIKDIHAPAPAPSPAIVPPSHFNVPSTSPMISPLGSSLQKKKTPPPAYEFVLPPPPPNKDCLSMTCSEPLTYTPPGSPCGCVWPLQVKIHINIAIYKFFPLVAELAKEIAASVLLNHTQVRIVEADAASQQLEKTTVLIDLVPKGVKFDDTTAFLIYKKFWRREILIDASVFGAYEVLYVHYPGLPPSPPSTPSDASVIDDEPNPGHGNNGMMMKPLGVDVPKKKKEGSNGRMIVIIVLSSVTAFVVFIGLAWICALKCCGYVHEHKPVPDGLISSSSKQSRAARSLARGIRSGSGSQSFNSGTITYTGSAKIFTLNDLEKATNNFDSSRILGEGGFGLVYKGVLNDGRDIAVKVLKRDDQRGGREFLAEVEMLSRLHHRNLVTLFGICIEKQTRCLVYELVPNGSLESHLHGADKETDPLDWNARMKIALGAARGLAYLHEDSNPCVIHRDFKASNILLEYDFTPKVSDFGLARTALDERNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVTWVRPLLTSKEGLQMIIDPVVKPNISLDIVVKVAAIASMCVQPEVSQRPFMGEVVQALKLVCSEVEETDYLRSMSYQEGFLTDVEGKFSEASGERMEFSEYQKTLSGYQSGEEKVELSAPEFLSASGQEFESFRRYSRSGPLTIGKKRQFWQKLRSLSGGSTSEHGFSTKLWSGSH >Vigun10g173300.1.v1.2 pep primary_assembly:ASM411807v1:10:39184438:39190657:1 gene:Vigun10g173300.v1.2 transcript:Vigun10g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLIFLLIQLHLVVCTQQIHEYAATKLQHSSDRSHFSIAIPPKSSSEGHSSIAHSPSKSSSGVPESIAVSPSKLIHKAPTIIWTHGSDDSPISHHKHHYSKRKFHNPTIAPIYAVQPPTYIHQGPSVFKSLPPFSSPNIKDIHAPAPAPSPAIVPPSHFNVPSTSPMISPLGSSLQKKKTPPPAYEFVLPPPPPNKDCLSMTCSEPLTYTPPGSPCGCVWPLQVKIHINIAIYKFFPLVAELAKEIAASVLLNHTQVRIVEADAASQQLEKTTVLIDLVPKGVKFDDTTAFLIYKKFWRREILIDASVFGAYEVLYVHYPGLPPSPPSTPSDASVIDDEPNPGHGNNGMMMKPLGVDVPKKKKEGSNGRMIVIIVLSSVTAFVVFIGLAWICALKCCGYVHEHKPVPDGLISSSSKQSTGAARSLARGIRSGSGSQSFNSGTITYTGSAKIFTLNDLEKATNNFDSSRILGEGGFGLVYKGVLNDGRDIAVKVLKRDDQRGGREFLAEVEMLSRLHHRNLVTLFGICIEKQTRCLVYELVPNGSLESHLHGADKETDPLDWNARMKIALGAARGLAYLHEDSNPCVIHRDFKASNILLEYDFTPKVSDFGLARTALDERNKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVTWVRPLLTSKEGLQMIIDPVVKPNISLDIVVKVAAIASMCVQPEVSQRPFMGEVVQALKLVCSEVEETDYLRSMSYQEGFLTDVEGKFSEASGERMEFSEYQKTLSGYQSGEEKVELSAPEFLSASGQEFESFRRYSRSGPLTIGKKRQFWQKLRSLSGGSTSEHGFSTKLWSGSH >Vigun06g109800.3.v1.2 pep primary_assembly:ASM411807v1:6:23886267:23888286:-1 gene:Vigun06g109800.v1.2 transcript:Vigun06g109800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEFTVRRCQPQLVSPAIPTPHEVKLLSDIDDQEGLRFHVPWIQIYRMQASMAEKDPVQVIRQALSQTLVFYYPFAGRLREGPHRKLMVDCTGEGVMFIEAHADVTLDEFGDSLHPPFPCFHELLYHVPGSQQVTNTPILLVQVTRLRCGGFILAFSFNHTICDGAGLSQFMSTWAEMARGATKPSIPPVWRRELLMARDPPRITCNHREYEHVPDTVKGTVTSNEHDMVLRSFFFGPSQIAAIRGLVPPHLQHCSTFDLITACLWRCRTKALEIEAEEEVRMMVIVNARAKFNPPLPVGYYGNAFAYPAAVATAGKLCENPIGYAVELIKKAKGEVTEEYVHSVADLMVIKDGCTFTPIRSCLISDLSRGRFREVDFGWGEGVYGGVAEGGAGRFVGATYQVLHKNGRGEEGIVLPIWLPAEAMDRFADELDHMFGNQNQTTITTLYFPRSTL >Vigun06g109800.2.v1.2 pep primary_assembly:ASM411807v1:6:23886257:23888286:-1 gene:Vigun06g109800.v1.2 transcript:Vigun06g109800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEFTVRRCQPQLVSPAIPTPHEVKLLSDIDDQEGLRFHVPWIQIYRMQASMAEKDPVQVIRQALSQTLVFYYPFAGRLREGPHRKLMVDCTGEGVMFIEAHADVTLDEFGDSLHPPFPCFHELLYHVPGSQQVTNTPILLVQVTRLRCGGFILAFSFNHTICDGAGLSQFMSTWAEMARGATKPSIPPVWRRELLMARDPPRITCNHREYEHVPDTVKGTVTSNEHDMVLRSFFFGPSQIAAIRGLVPPHLQHCSTFDLITACLWRCRTKALEIEAEEEVRMMVIVNARAKFNPPLPVGYYGNAFAYPAAVATAGKLCENPIGYAVELIKKAKGEVTEEYVHSVADLMVIKDGCTFTPIRSCLISDLSRGRFREVDFGWGEGVYGGVAEGGAGRFVGATYQVLHKNGRGEEGIVLPIWLPAEAMDRFADELDHMFGNQNQTTITTLYFPRSTL >Vigun05g147240.1.v1.2 pep primary_assembly:ASM411807v1:5:20953719:20954022:1 gene:Vigun05g147240.v1.2 transcript:Vigun05g147240.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQARFGFIESAFIFSSPSKKGSSSNWRSESSFWKFDLDPSNRCSSSSSLVRHCSSSPSSLIGQWSSISARWPLLVS >Vigun04g095800.1.v1.2 pep primary_assembly:ASM411807v1:4:20319170:20324302:1 gene:Vigun04g095800.v1.2 transcript:Vigun04g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHFNINILFTFLLAVTTACFYVPELKHRGWIIIASCMAMAMLYHLLMKRPCKVYLVDFACFKPSVACLCSKETLLDRAKRVGFLSDENYKLVTKILDRSGLGPWTYVPEGLLEIPPKLTLDEARKETDTVLFGAVDELLEKTGIEAKDIGILVVNCCLFNPTPSLSDSIINRYKLRGNILAYNLSGMGCSAGVLAVDFAKQLLLAHPNSYALVLSTENEISSMYWGNNPSMLLVNCLFRMGGSAALLSSHPSDRRRAKYQLLHTLRTHVGGDDNSYRCVFQEEDEENKVGVSLSKELMNVARDALKVHITSLGPLVLPLSEKIKFLSNLIERKVLKTKIESYMPNFKLAFDHFCIHTGGRAVLDRMQKSLELEDWHMEPSRMTLYRFGNTSSSSVWYELAYCEGKGRVKKGDRVWQMAFGSGFKCNTAVWLALNTIDASSCRSAWRDEIANFPVKIDPLPVKTNK >Vigun03g262200.2.v1.2 pep primary_assembly:ASM411807v1:3:42939670:42946666:1 gene:Vigun03g262200.v1.2 transcript:Vigun03g262200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPTLIYKEGQKEVSSKHSIPLLSLFFFFPFFLHLNTLCMILCDMEWIIGLWSLMGIILTWCCYWFLCVKKDNGNRVKQKKKKKGKVPRGNKGWPLLGETLEFIACGYTSNPVSFMEKRKSLYGSVFKTSILGTGVIVSTDPEVNKVILQNQGNIFVPAYPKSVRELMGDYSILQMNGNMHRKIHSLLGGFLRSPQFKARITADIQHSVKQCFATWIHQPIYVQDQVKKITFTILVKVLLSIGPGEDLDFLKREFEEFIKGLICLPLKFPGTRLYKSLKAKERMMKIVKRVIEERNNNIHNKKNTEEKGGAVNDVVDVLLRDIGDSNTISNMLENICENIIEMMIPGEETLPTAMTMAVKFLSDSPLALSKLLEENMELKRQKNCAEDYVWSDYLQLPFTQNVISETLRMANIVNAIWRKAVKDVEIKGYLIPKDWCVVASLSSVHMDNMNYENPFKFDPWRWEKSGGGANNNWFTPFGGGQRLCPGIELSRLELSIFLHHLVTTYSLYSADGLLRRMK >Vigun03g262200.3.v1.2 pep primary_assembly:ASM411807v1:3:42942687:42946666:1 gene:Vigun03g262200.v1.2 transcript:Vigun03g262200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSILQMNGNMHRKIHSLLGGFLRSPQFKARITADIQHSVKQCFATWIHQPIYVQDQVKKITFTILVKVLLSIGPGEDLDFLKREFEEFIKGLICLPLKFPGTRLYKSLKAKERMMKIVKRVIEERNNNIHNKKNTEEKGGAVNDVVDVLLRDIGDSNTISNMLENICENIIEMMIPGEETLPTAMTMAVKFLSDSPLALSKLLEENMELKRQKNCAEDYVWSDYLQLPFTQNVISETLRMANIVNAIWRKAVKDVEIKGYLIPKDWCVVASLSSVHMDNMNYENPFKFDPWRWEKSGGGANNNWFTPFGGGQRLCPGIELSRLELSIFLHHLVTTYRWVAEKDEIIYFPTVKMKRKLPISVTSINS >Vigun03g262200.1.v1.2 pep primary_assembly:ASM411807v1:3:42939670:42946666:1 gene:Vigun03g262200.v1.2 transcript:Vigun03g262200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPTLIYKEGQKEVSSKHSIPLLSLFFFFPFFLHLNTLCMILCDMEWIIGLWSLMGIILTWCCYWFLCVKKDNGNRVKQKKKKKGKVPRGNKGWPLLGETLEFIACGYTSNPVSFMEKRKSLYGSVFKTSILGTGVIVSTDPEVNKVILQNQGNIFVPAYPKSVRELMGDYSILQMNGNMHRKIHSLLGGFLRSPQFKARITADIQHSVKQCFATWIHQPIYVQDQVKKITFTILVKVLLSIGPGEDLDFLKREFEEFIKGLICLPLKFPGTRLYKSLKAKERMMKIVKRVIEERNNNIHNKKNTEEKGGAVNDVVDVLLRDIGDSNTISNMLENICENIIEMMIPGEETLPTAMTMAVKFLSDSPLALSKLLEENMELKRQKNCAEDYVWSDYLQLPFTQNVISETLRMANIVNAIWRKAVKDVEIKGYLIPKDWCVVASLSSVHMDNMNYENPFKFDPWRWEKSGGGANNNWFTPFGGGQRLCPGIELSRLELSIFLHHLVTTYRWVAEKDEIIYFPTVKMKRKLPISVTSINS >Vigun11g182100.1.v1.2 pep primary_assembly:ASM411807v1:11:38540374:38543980:-1 gene:Vigun11g182100.v1.2 transcript:Vigun11g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNQSMEELLVQFLRAAWLAATLPIVIASFSIPNLSFLRRTLIGLAGRGKIMQSSQQKFTIPQRLFFHFYLVAFLWTTLLLVATWAYAYRMVPVVAEPFSYSTITSFLTGGSTIRADSHKVKQGYAAWEAVSLLLLMEFHVLRRLFESIHVFDYSPSARMHVIGYFTGLFFYIAAPLSLCGDCAVEVFNFVANLVTEFIVKGKNQMQVTELDIWQVLNPLIRLGWKHWIAGAVFLWGWIHQYHCHKILGSLRHSAEEEEYVIPHGDWFEIVSSPHYLSEIVIYASFVVATGGCNLTIWLLFSFVVANLSFAAVETHKWYRQKFKDYPSNRFAIIPFLL >Vigun11g182100.2.v1.2 pep primary_assembly:ASM411807v1:11:38540332:38544036:-1 gene:Vigun11g182100.v1.2 transcript:Vigun11g182100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNQSMEELLVQFLRAAWLAATLPIVIASFSIPNLSFLRRTLIGLAGRGKIMQSSQKFTIPQRLFFHFYLVAFLWTTLLLVATWAYAYRMVPVVAEPFSYSTITSFLTGGSTIRADSHKVKQGYAAWEAVSLLLLMEFHVLRRLFESIHVFDYSPSARMHVIGYFTGLFFYIAAPLSLCGDCAVEVFNFVANLVTEFIVKGKNQMQVTELDIWQVLNPLIRLGWKHWIAGAVFLWGWIHQYHCHKILGSLRHSAEEEEYVIPHGDWFEIVSSPHYLSEIVIYASFVVATGGCNLTIWLLFSFVVANLSFAAVETHKWYRQKFKDYPSNRFAIIPFLL >Vigun11g055100.1.v1.2 pep primary_assembly:ASM411807v1:11:10292574:10296812:1 gene:Vigun11g055100.v1.2 transcript:Vigun11g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHSPSHFVPVMELFSFSSSTATMCVLSVIVAVVPLWAMKMLNTLWLRPKRLEKLLRAQGLRGDPYSLSLSTSNINHAPQNNLQSQSFVVSDDVAPRLSLPANNTVAKYGKNSFFWEHTTPKVIITDPNQIKEVFNNIHDFQKPKITGIAKFLINGLVTYEGDKWVQHRNIIKPAFHLAKLKNMLPAFSQSCHDVIREWMEMLSSDGKCEIDVFPFLRNLTRDVISRTAFGSSYVEGKKIFQLLKIQGHLVMTAKYKNTPILRHLPTPTKMKMKAVDKQMKILIGDMIKKREKTMKNGESNNEDLLGMLLESNQREMQGSGNSKSNGMTIDEVIGECKLFYLAGQETTSSLLVWTLIMLSRYHEWQTRAREEVLHVFGKQNPNFDGLSQLKITTMILYEVLRLYPPTDFIHRTLQKDVELGNLSLPIGVKVTLPIIVHHQDADIWGNDAKEFKPERFSEGVAKATKGKVVFYPFGWGPRICIGQNYVLLEAKVALSLLLQNFSFELSPVYVHSPTVMLSLQPKHGAPLILQKL >Vigun03g342700.7.v1.2 pep primary_assembly:ASM411807v1:3:54142282:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVVDSTTPTRECSEAATLI >Vigun03g342700.2.v1.2 pep primary_assembly:ASM411807v1:3:54142283:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVVDSTTPTRECSEAATLI >Vigun03g342700.3.v1.2 pep primary_assembly:ASM411807v1:3:54142283:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVTS >Vigun03g342700.1.v1.2 pep primary_assembly:ASM411807v1:3:54142283:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVQWWIPQLQQENAAKQQH >Vigun03g342700.5.v1.2 pep primary_assembly:ASM411807v1:3:54142283:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVTS >Vigun03g342700.6.v1.2 pep primary_assembly:ASM411807v1:3:54143954:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQGMK >Vigun03g342700.4.v1.2 pep primary_assembly:ASM411807v1:3:54142283:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIDTGAWTNVTSATNGPSARFSVAGDCLDPFKGGVLVFIGGCNKSLEALDDMYYLYTGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVTS >Vigun03g342700.8.v1.2 pep primary_assembly:ASM411807v1:3:54142282:54149308:-1 gene:Vigun03g342700.v1.2 transcript:Vigun03g342700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKVEVKAIGIEGGGGGNEVYGPGKRWGHTCNAVKGGRLVYVFGGYGKDNCQTNQVHVFDTVKQTWSQPTVKGSPPTPRDSHTCTAIGDNLFVFGGTDGMNPLKDLHILDTSLHTWVSPTIRGEGPPAREGHSAAVVGKRLFIFGGCGKSADSNNEVYYNDLYILNTETFVWKCATTSGTPPSPRDSHSCSSWKNKIIVIGGEDGHDYYLSDVHILDTDTLIWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYMLDIGIARESEQRPEKLSLRKQLKLKCQEQNPNPSQNQVLARYGVGSDMGQIMSVLNYGQQSRLNIPVNHSLPPGKKMFEAKVTENISEGYTIETVIDGKPLRGILFLNKPNSLYSAAHTPSRKRTLGEIDSVLSNGIHSNQLKTPKVLKQNQMENQEAFCGDSSESHEHRTESIAVVMSSNPVTANAPNTHKVSANLEPEASALNQNDEKHETPISLSEDLKKDGANDVICSKDEVQTNDQTNGPISNFELTRHDTKFDAPNYNTEFQKPAASESVLCLSNQVQWWIPQLQQENAAKQQH >Vigun06g170400.1.v1.2 pep primary_assembly:ASM411807v1:6:29196770:29203525:1 gene:Vigun06g170400.v1.2 transcript:Vigun06g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIFSTNNFVGRQIWEFDSEAGSDEERAQVEEARLHFHQNRFQRKACGDRLWRFQVLREKEFKQRIRKVKIEEDEEITWEKATQTIKRASHYLSALQTSDGHWPAHGGGSQFFTPIMIISIYSTGHLDSVISEEHRKEILRYLYNHQNEDGGWGLHIEGGSTMYCTALNYVTLRILGEGANGGDKNACAKARKWIHDHGTITLMPSWGKFWLSVLGIVDWSGCNPLPPEFWILPTFLPMHPAKMWYYCRHVYMPMSYIYGERFVCPVTPLITNLRQELFTEPYDETTWKKARHKCAKEDLYYPHHWIQDLIWDTAYLFTEPLLTRWPFNKIREKALEVAIKGIHYEDQNTRYIHGGCINKSGSLLACWVHDPFGDAFKKHLARVPDYLWLSEDGMCVQGINSQSWDAGFMVQAFLATNLFDDLGPTIAKAYYFIKKSQVVDNRSGDFESMFHHISKGAWTFADRDHGLQISDGTAECLKCCLLLSMLPEEIVGEKLEPERMYDSVNFILSLQSKNGGLSVWEPAKAQKWLENLNPAEFIADIVIEHEYIESTGSAIQALVLFKKLYPNHRREEIEKFVVKATQYIEDQQLPNGSWHADWGVCFTYTSWFALGALAAAGNTYSNCVAIRKAVKFLLSIQNDDGGWGESYLSCSMKTYVGLEGNRSHVVQTAWALMALINGGQAERDPTPLHRAAKLLINSQLEDGDWPQQEAVGVYKSSCVLHYPFYRNYFPIWALSEYRNNVLVHSTSV >Vigun07g185700.2.v1.2 pep primary_assembly:ASM411807v1:7:30246755:30250553:-1 gene:Vigun07g185700.v1.2 transcript:Vigun07g185700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKILKLIQLFLLILAASKAVSQPSPDCPQSCGNLNIPYPFGTREGCYMNDTFLVTCNDNSSTPIPLLGTNNIVVLNISLEDGEIIVSSPVIRDCYGTESNNSKDQNGLNLTHFSVSPSRNKFTAVGCDTVGVFMGYDSSQKHVTTRGCVSMCDTLGEIRNGSCDGIGCCQIGVPDGVYGFAVQSWSINHSTVRDFNPCNYAFTVAEGYYNFETTNLKSLENPRLPLVLDWGVGNQTCEDAQQHRSSYACKDKNSKCLNSTNGIGYRCKCHHGGNPYVYGGCHVKDECALNNNPCIHKKTCKNVPEEGFKCSCPKGHEGDGLTNGQGCRSKRDKNTGNLILIIGLSLSLTLLAVVVGCLNIYPQIRDRKLMKIRDNYFQKNGGMLLQRQIDLLNGSTERATIFTAEELKRATNNYDDDRIIGKGGHGTVYKGLLSNGSVVAIKKAMVSNQAEIAQFINEVVVLSQINHRNVVKLQGCCLETEFPLLVYEFITNGTLCEHLHFEGEEDKHKLSWTTRLRIAAETAEALAYLHSSVSTPIIHRDVKTANILLDENLTAKVSDFGASKLVPLDQTQFTTLVQGTMGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTSKKALSFFRPQSERNLSMYFVCAMNEGRLDEILDREIVSDASVEYVIEVANLAKRCLRLHGEERPSMKEVAMELEGIRVMEKHSVGGAKDVASQEETESFLKPRSHFNMVDHGDGSSSGTISRFYDLHNQILEPLGDGR >Vigun07g185700.1.v1.2 pep primary_assembly:ASM411807v1:7:30246571:30250573:-1 gene:Vigun07g185700.v1.2 transcript:Vigun07g185700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKILKLIQLFLLILAASKAVSQPSPDCPQSCGNLNIPYPFGTREGCYMNDTFLVTCNDNSSTPIPLLGTNNIVVLNISLEDGEIIVSSPVIRDCYGTESNNSKDQNGLNLTHFSVSPSRNKFTAVGCDTVGVFMGYDSSQKHVTTRGCVSMCDTLGEIRNGSCDGIGCCQIGVPDGVYGFAVQSWSINHSTVRDFNPCNYAFTVAEGYYNFETTNLKSLENPRLPLVLDWGVGNQTCEDAQQHRSSYACKDKNSKCLNSTNGIGYRCKCHHGGNPYVYGGCHVKDECALNNNPCIHKKTCKNVPEEGFKCSCPKGHEGDGLTNGQGCRSKRDKNTGNLILIIGLSLSLTLLAVVVGCLNIYPQIRDRKLMKIRDNYFQKNGGMLLQRQIDLLNGSTERATIFTAEELKRATNNYDDDRIIGKGGHGTVYKGLLSNGSVVAIKKAMVSNQAEIAQFINEVVVLSQINHRNVVKLQGCCLETEFPLLVYEFITNGTLCEHLHFEGEEDKHKLSWTTRLRIAAETAEALAYLHSSVSTPIIHRDVKTANILLDENLTAKVSDFGASKLVPLDQTQFTTLVQGTMGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTSKKALSFFRPQSERNLSMYFVCAMNEGRLDEILDREIVSDASVEYVIEVANLAKRCLRLHGEERPSMKEVAMELEGIRVMEKHSVGGAKDVASQEETESFLKPRSHFNMVDHGDGSSSGTISRFYDLHNQILEPLGDGR >Vigun07g290200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:40219999:40220851:1 gene:Vigun07g290200.v1.2 transcript:Vigun07g290200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEKKPAEKKPAEKTLEKAKAEKKIPKDATSGDKKKKRKAKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun08g073700.2.v1.2 pep primary_assembly:ASM411807v1:8:12601961:12613705:1 gene:Vigun08g073700.v1.2 transcript:Vigun08g073700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVACIDMAFSADMAAALSPSYQCFFKPPPSFLGLGLGLGLEFQRKGRKLRRHFNLVISAQLSNSFSFSFGLDSPNLNSFQSNDTSRLSWMGPVPGDIAEVEAFCRIFRNSERLHSALMDALCNPLTDVSTTDDELPPLALFRSEMKRCCESLHVALENYLVLDDDRSLNVWRKLQRLKNVCYDSGFPRGEGFPCHTLFSNWNPVYLSAAKEDMETKDTEAVFWTGGQVTEEGLKWLLDKGYKTIIDLRAETVKDNFYQAALDDAISSGRIELVKIPVEARTAPTMEQVVRFASYVLNGSKGPVYLHSKEGVLRTSAMVSRWRQYMTRSSSQIVSNPPVTPYDMLSRYTDGSARLRDSSINAERSSLEKDVNSLPENLDSTHSSVSAFDNGEAQGTAVLSEVSTDNRELSEATAANEEGSFPSDFSKINPLKSQVPPCDIFSKREMSKFLESRKISPPSYVNYQSRRLEGSLQQRNMNKTKQQGGVGVSSSDNAIPKIVGPESSNGSAHVDYLSRESQITVDGNWKLVNGNTSSSVRTTVNGFSEHEYMTNGNVSNIVNDDFDNVAANSRRIENHMVKDRLALNDDDLGSIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFSHPSTQQQMLMWKSTPKNVLLLKKLGDELMEEAKMVASFLHRQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHEQVDFVACLGGDGVILHASNLFREAVPPIVSFNLGSLGFLTSHDFDDYKQDLRQVIHGNNTRDGVYITLRMRLRCEIFHKGKARPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCILFTPICPHSLSFRPVILPDSAQLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >Vigun08g073700.1.v1.2 pep primary_assembly:ASM411807v1:8:12601961:12613686:1 gene:Vigun08g073700.v1.2 transcript:Vigun08g073700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVACIDMAFSADMAAALSPSYQCFFKPPPSFLGLGLGLGLEFQRKGRKLRRHFNLVISAQLSNSFSFSFGLDSPNLNSFQSNDTSRLSWMGPVPGDIAEVEAFCRIFRNSERLHSALMDALCNPLTGECSVSYEVPSEEKPQLEDKIVSVLGCMVSLVNKGREDILSGRSSIMNSFRAADVSTTDDELPPLALFRSEMKRCCESLHVALENYLVLDDDRSLNVWRKLQRLKNVCYDSGFPRGEGFPCHTLFSNWNPVYLSAAKEDMETKDTEAVFWTGGQVTEEGLKWLLDKGYKTIIDLRAETVKDNFYQAALDDAISSGRIELVKIPVEARTAPTMEQVVRFASYVLNGSKGPVYLHSKEGVLRTSAMVSRWRQYMTRSSSQIVSNPPVTPYDMLSRYTDGSARLRDSSINAERSSLEKDVNSLPENLDSTHSSVSAFDNGEAQGTAVLSEVSTDNRELSEATAANEEGSFPSDFSKINPLKSQVPPCDIFSKREMSKFLESRKISPPSYVNYQSRRLEGSLQQRNMNKTKQQGGVGVSSSDNAIPKIVGPESSNGSAHVDYLSRESQITVDGNWKLVNGNTSSSVRTTVNGFSEHEYMTNGNVSNIVNDDFDNVAANSRRIENHMVKDRLALNDDDLGSIEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFSHPSTQQQMLMWKSTPKNVLLLKKLGDELMEEAKMVASFLHRQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHEQVDFVACLGGDGVILHASNLFREAVPPIVSFNLGSLGFLTSHDFDDYKQDLRQVIHGNNTRDGVYITLRMRLRCEIFHKGKARPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCILFTPICPHSLSFRPVILPDSAQLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >Vigun07g072700.1.v1.2 pep primary_assembly:ASM411807v1:7:9159693:9166633:1 gene:Vigun07g072700.v1.2 transcript:Vigun07g072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNSEEIKTVEQWRWSEMQGLELMSSSAPVSDSHESNPTLEEKREERVMEEPSVAKKDAAASNGTCSSGGGGDKKGESISSVRFGELFRFADGLDYILMAIGTVGAFVHGCSLPLFLRFFADLVNSFGSNANDLDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLEAALDQDIQFFDTEVRTSDVVFAINSDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPIIAVIGGIHTTTLAKLSSKSQEALSQAGNIVEQTVVQIRVVLAFVGETRALQAYSSALRTAQKIGYRTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFSVMIGGLALGQSAPSMAAFTKARVAAAKIFRVIDHKPGIDRKSESGLQLESVTGLVELKNVNFSYPSRPEVMILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSGQVMLDEHDVKTLKLKWLRQQIGLVSQEPALFATTIRENILLGRPDADQDEIEEAARVANAHSFIIKLPEGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELFAKGENGVYAKLIRMQEMAHETSMTNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDASHANYRPEKLAFKDQASSFWRLAKMNSPEWLYALIGSIGSVVCGSLSAFFAYVLSAVLSVYYNPNHRHMIQEIEKYCYLLIGLSSAALLFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLSLDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSERKIVGLFTSNLETPLRRCFWKGQISGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIQVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRRTEIEPDDADATPVPDHLRGEVELKHVDFSYPTRPDMSVFRDLSLRARAGKTLALVGPSGCGKSSVIALVQRFYDPTSGRVMIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHDSATEAEIIEAATLANAHKFISSLPDGFKTFVGERGVQLSGGQKQRIAIARAFVRKAELMLLDEATSALDAESERSVQEALDRACAGKTTIIVAHRLSTIRNANLIAVMDDGKVAEQGSHSQLLKNHPDGIYARMIQLQRFTNNQVIGMATGSSSSARSKDDEREG >Vigun01g198200.1.v1.2 pep primary_assembly:ASM411807v1:1:37465963:37470375:1 gene:Vigun01g198200.v1.2 transcript:Vigun01g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQSTYSFERSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYDDNNNDEGNKNSNDGDEIDPLDAFMEGIHEEMRAAPPPKPKEKAEDRYRDDDDDPMESFLKAKKDLGLTLASEALHAGYDSDEEVYAAAKAVDAGMIEYDSDDNPIVIDKKKIEPIPALDHSSIDYEPFNKDFYEETPSISGMSEQDVSEYRKSLAIRVSGFDVPKPIKAFEECGFSSQIMNAIKKQGYEKPTSIQCQAFPVVLSGRDIIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKAYGVRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDMLKMKALTMMRATYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPRKVEKLAREILTDPIRVTVGEVGMANEDITQVVYVIPSDTEKLPWLLEKLPEMIDQGDTLVFASKKATVDEIESQLAQRGFKVAALHGDKDQASRMDILQKFKSGLYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGVAYTLITQKEARFAGELVNSLVAAGQNVSVELMDLAMKDGRFRSKRDARKGGGKKGRGRGGGGGGRGVRGVDFGLGIGYNPESNNAPSNPVPTRSAAVNSLRTGMMSQFRNNFVAASSNSQNQGFGNNTNMAANKRPALPGFVSGGSIGGDVNTYQHTASPSPVSSAVNSSTQGSGVNSGQKSTNSSKPKERRRPSGWDR >Vigun10g149500.1.v1.2 pep primary_assembly:ASM411807v1:10:36804484:36807387:-1 gene:Vigun10g149500.v1.2 transcript:Vigun10g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHIDNLWVLALASKCTQENIAWSLLIMASLWLTMTFFYWSHPGGPAWGKYYSFHYWKKPTSTSSNNLNLSSDTDKIIPGPKGYPIIGSITLMISLAHHRIFTAAKACNAIRLMAFSLGDTRAIVTCHPDVAKEILTSSVFADRPIKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKASELQRAEIAAQMTRSFANRHGAFQLRQVLKKASLNNIMWSVFGQRYKLDEANAEMEELSQLVEQGYDLLGTLNWGDHLPFLKDFDLQKIRFTCSKLVPKVNRFVGSIIADHEADITQTNRDFVHVLLSLQGPDKLSHSDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPEVQRRVQEELDAVVGGGSRALTEDDVAMTVYLPAVVKEVLRLHPPGPLLSWARLAITDTTIDGHHVPAGTTAMVNMWAIARDPDVWVDPLEFKPERFVGLENEFSVFGSDLRLAPFGSGRRTCPGKTLGLSTVTFWVASLLHEFQWIPSDEANGVDLTEVLRLSCEMANPLTVKVRPRRGLSP >Vigun07g103900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:18911754:18912449:-1 gene:Vigun07g103900.v1.2 transcript:Vigun07g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEGTISEYHCRMRTKENRPKVLKLNDILRIDVTIILRYIHYFHGVERSTLLKSSIPIRYQNFIENNKDFVRSFMFDPHCFQCFIPEIVDFASEKILNMSKVILEFSFDPESLDSESQEFPLNLDIMVDVLQDGESEVEEEEEEEEVMELNAIMIPASEEAIDSLIKFTTLPSLRMETEKCSICMENFRLRENEETVKLSSMSYDHVFHHLCIVKWLKTSHTCPLCRYPV >Vigun07g217300.1.v1.2 pep primary_assembly:ASM411807v1:7:33918194:33921907:-1 gene:Vigun07g217300.v1.2 transcript:Vigun07g217300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSAVLGAGGGSGGGEGGASADAVAGVTHERAVAASGGGGGVGSNSGDDERGRIEEGERSFGGNRWPRQETLALLRIRSDMDVAFRDASVKGPLWEEVSRKMAELGYHRSSKKCKEKFENVYKYHKRTKEGRSGKQDGKTYRFFDQLQALENHTPTPHSPNPSPKPPQSAPSRVIAATAASVSLPIATAATTASSLPLPIPTTITTVPMQPILSNTTLTSTVPHITVPSTTTLPITIPQPMLTTPSIHLSTPSFPPTNPTTNTTPPPSFPSLPTDTFSNSSSSSTSSDETLEGRRKRKRKWKDFFERLMKEVIQKQEDLQKKFLEAIEKREHERIAREEAWRVQEMQRINREREILAQERSIAAAKDAAVMSFLQKVAEQQNLGQALNTINLVQQPSQQQPQPPLQQLPPSSVAPSPVQQPLPAVVPQPVVFPVVSQVTNMEIVKVDNNNNNNNSRDNFTPSSSSRWPKVEVQALIKLRTNLDAKYQENGPKGPLWEEISSSMRKLGYNRNAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDALYREKGKVEGVAAAVKPESTVAPLMVRPEQQWPPQEETMRDRDIRMEDVENEEDEYEEEREGEGEGEDEEDDEEDEEGGGGGGKYEIVANKTSGGSVAASTE >Vigun03g140400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13949800:13951341:1 gene:Vigun03g140400.v1.2 transcript:Vigun03g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFQVARTLEENATEIIHKWQRVANKFNESITMKANMVRINSSKSGNPTVEAQFISLYLGRVDELIPMMQKSFPELGLVREECTEMSWIESILWKAGFANGEPTDVLLNRTQLIGLLFFKAKSDYVRDPIPDDGLEGLWPFFYEDEAKDAYIQFTPYGGRMDEISESETPFAHRSGYIFHIQYGVYWEEKGDEAAQRYMNWIRRVYKYMKPYVSESPRAAYMNYRDLDIGVNNNGYTSFSQASIWGFKYFGNNFNRLAQVKTRVDPRNFFRNEQSIPPLISKGDTNKPSWVPL >Vigun06g200800.2.v1.2 pep primary_assembly:ASM411807v1:6:31594063:31596734:-1 gene:Vigun06g200800.v1.2 transcript:Vigun06g200800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKAEFIELAKKSGNFNDTTIEFQERVLKKSGIGDETYLPKETVFRPGYRNSLKDGRQEVSMVMFGAINDVLAATKVKAKDIRILIVNCGILNTTPSLSSMIVNHFKLRHDIHSFNLGGMGCGAGITAIDLAKDLLDAYPRTYALVVSTEAVSSTWYSGNDIEMQLPNCFFRMGAAAIMLSNFRLDRWRAKYELKQLVRIHKGMDNRSYKSIHQREDSEGRKGLFVSKDIIEVGGHALKANITTLGPLVLPVSEQLHFFTNLILKKKKAKPYIPDYKLAFEHMCVLATSKKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSIWYELAYLEFNSRIKRGDRVCQIASGAGFMCNSVVWKALRNVKKPNHSPWIEDEC >Vigun06g200800.1.v1.2 pep primary_assembly:ASM411807v1:6:31594063:31596734:-1 gene:Vigun06g200800.v1.2 transcript:Vigun06g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESEDFSTEIVQRGVENSGPNAGSISFSVRVRPRLPDFLSSVNLKYVKLGYGYLISHRLYLFLAPPLLAAFIARIAKFTWHDLYENYGLIEILFISALLFLLLYFYIDFTPGSTYLLDFSCFRPSNEYKISKAEFIELAKKSGNFNDTTIEFQERVLKKSGIGDETYLPKETVFRPGYRNSLKDGRQEVSMVMFGAINDVLAATKVKAKDIRILIVNCGILNTTPSLSSMIVNHFKLRHDIHSFNLGGMGCGAGITAIDLAKDLLDAYPRTYALVVSTEAVSSTWYSGNDIEMQLPNCFFRMGAAAIMLSNFRLDRWRAKYELKQLVRIHKGMDNRSYKSIHQREDSEGRKGLFVSKDIIEVGGHALKANITTLGPLVLPVSEQLHFFTNLILKKKKAKPYIPDYKLAFEHMCVLATSKKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSIWYELAYLEFNSRIKRGDRVCQIASGAGFMCNSVVWKALRNVKKPNHSPWIEDEC >Vigun01g040100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5532671:5535975:1 gene:Vigun01g040100.v1.2 transcript:Vigun01g040100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTENEETEFKVPETITLCVNNCGVTGNPATNNMCQNCFTAFTASTATTSSATAATAGVSSLSARSAASARPQKRSFPEEPSSAADPPSSDQNTPSEAKRVVNRCSGCRRKVGLTGFRCRCGELFCAEHRYSDRHDCSYDYKAAGREAIARENPVVRAAKIVKV >Vigun01g040100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5533100:5533600:1 gene:Vigun01g040100.v1.2 transcript:Vigun01g040100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTENEETEFKVPETITLCVNNCGVTGNPATNNMCQNCFTAFTASTATTSSATAATAGVSSLSARSAASARPQKRSFPEEPSSAADPPSSDQNTPSEAKRVVNRCSGCRRKVGLTGFRCRCGELFCAEHRYSDRHDCSYDYKAAGREAIARENPVVRAAKIVKV >Vigun02g108200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26248089:26250689:1 gene:Vigun02g108200.v1.2 transcript:Vigun02g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRALRAKDIHFQLVDYIKLSLRKPKIVTATVAHCAALKLGALAHLPNSTSLLTVYSKAGDFVSSKGLFDEIPNRDVIAWNAIVAASLENKYYRTAMDFLEKMIKGKTGFDSTTLLLMVSASSLIKNFDQGRVIHCVSIKSGMLTDLSLGNALIDMYAKCGDLSSSEGVSQEMESKDIISWNSMMTGSIYNGDPVKALYYFKRVSFSEETADSVSLSCAISASSSLGELALGQSIHGLGIKLGYKDSTHVSVANSLISLYSQCEDIKAAETVFREITLKDTVSWNAMMEGFASNGKINEVFDLLVEMQIVGSFPPDMVTLTTILPLCAELMLYREGRTIHGFAIRRQMMVSHHVMLLNSLIDMYSKCNLVEKAELLFNSTTEKDPVTWNIMISCYSHNRYSDEAKKLFREMLRWGLNGSSSTVFAILSSCDSFNSLHFGKSVHCWQLKSGFLNHILLVNSLMHMYINCEYLAASFSILHENSALADIASWNTLIVGCVRCNHFREALDIFKLMRQEPPFNYDSITLVNILSACANLELSSLGKSLHGLALKTPLGSDTRVQNSLITMYDRCRDINSAKVVFKFCSIPNLCSWNCIISALSHNGESREALGLFRHLQFEPNVITIVSLLSACTQIGVLRHGKQAHGHVFRTGIGDNSFISAALIDFYSNCGRLDTALHVFRHAKEKSESAWNSMISAYGYHGNGEKAVKLFNEMCESGERVSKSTFVSLLSACRHSGLVNQGIWYYECMLEKYGVQAGTEHQVYVVDMLGRSGRLNEAYKFAKGCDSSGVWGTLLSACNYHGELKLGKIVAQHLFQLEPHNVGYYISLSNMYVAAGSWKDATDLRQLIQDLGLRKTPGYSLIDFDF >Vigun05g089700.1.v1.2 pep primary_assembly:ASM411807v1:5:8610298:8611202:-1 gene:Vigun05g089700.v1.2 transcript:Vigun05g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVACMIVMCMGMVGAPMMVHGISCSEVTTYMAPCLSYLRNGGEVPDSCCGGVRSILGAAGTTSEKQTVCNCLKEAANNFGINDDYAQALPTFCGVTVSYKISRSTNCQNIRF >VigunL013501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:119424:124115:1 gene:VigunL013501.v1.2 transcript:VigunL013501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRNRGLVEFQIFKFTNRIRRLTSHFELHRKDYSSQTGLRKILGKWQRLLSYLSKKDGIRYKKLINQFNIRQSQIR >Vigun01g232201.1.v1.2 pep primary_assembly:ASM411807v1:1:40435986:40437626:-1 gene:Vigun01g232201.v1.2 transcript:Vigun01g232201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTQNNETRHCLANSDSRIVFLSTETRNLFLELANSQASASDADSSNKRLVLALYDALSSGDSAAVAKILAADLEWWFHGPPSHQFLMRMLTGDSTAETFRFVPQSTAAFGSTVLVEGCDTARNIAWVHAWTVADGIITQVREYFNTALTVTRFENSGEIVPASSGTGRFPCVWESSLSGRVGKSVPGLVLAI >Vigun01g153800.1.v1.2 pep primary_assembly:ASM411807v1:1:33646443:33649833:-1 gene:Vigun01g153800.v1.2 transcript:Vigun01g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDIFCASQASTAICLSMDEPSSSISNTAQFGGRAIDRHNPIITDPRRTPSRDFIVPSSSPKPHIDSKPLHDHLPKPKKNSTSKPSGQKKKHATKGLDQKKKSSVEKLTEHITNNYSSKPIDSVLRRSWARPPTDLITPPGSSRYLLSDMPSFHGGSSLYDPVLALTNVDKEKAQVLHHDKPSSSSSLPKSASSDQVVVLRVSLHCKGCEGKVRKHLSRMRGVTSFNIDFAAKKVTVVGDVTPLSVLASISKVKNAQFWPEPVSVVGSGYAETKKTNFI >Vigun02g028000.1.v1.2 pep primary_assembly:ASM411807v1:2:10083490:10085046:-1 gene:Vigun02g028000.v1.2 transcript:Vigun02g028000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKGNDQLLFWSFMLILLVVLPTLVTAECTCDKEDEDHDRVKAMRYKIIALISILIASAIGLCIPLLGKVIPALSPEKDIFFMIKAFAAGVILATAFIHVLPDAFENLTSPCLKEHPWGDFPFTGFVAMCTAMGTLMVDTYATAYFQEHQSKEIQNESGDVEQERGHMHLHTHATHGHSHGSVQYDDHSSQSLRHQVISQVLELGIIVHSIIIGVSLGASESPNTIRPLVAALTFHQFFEGMGLGSCISQANFKRIYVITMGIFFALTTPMGIAIGINITSVYDENSPTALIVEGVFNAASAGILIYMALVDLLAADFLNQRMQQSGRLRLGANVSLLLGAGLMSLIAKWA >Vigun02g033200.1.v1.2 pep primary_assembly:ASM411807v1:2:13984757:13985581:1 gene:Vigun02g033200.v1.2 transcript:Vigun02g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTFQFSILISLFLVSFFWIVEGNLPQSCKQNECPTYTVVEAGNGYEIRKYDSPVWISTPPIQNKSFVGATTNGFIRLFSYARGKNNQNKQIKLTAPVTSEVSFNGGKPSIVVSFFVPLANQNNPALANGLSVKRWKSKFVAVKQFGGFVTDSNVGRYVASLKASLGATKRSFTFGKSFIIAQYDPPFKLTDRVNEVWFLSQ >Vigun05g163600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26342653:26344187:1 gene:Vigun05g163600.v1.2 transcript:Vigun05g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYFSQVVFHPITGAINRYNHRAPVQPRNREAQSRLSSFINFHILHPPNLTFSFHFQHPTTSFNHLQFQHNKDIIHQHFHLISNIFRLVHSTQGSHSSQPRSTFIHSTIQFTPLYHKSSVIPLLSPILQ >Vigun08g007000.2.v1.2 pep primary_assembly:ASM411807v1:8:607582:608363:1 gene:Vigun08g007000.v1.2 transcript:Vigun08g007000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKFFDWNTVQISDSEEASLLNEAMAEEPNIQHLFPYSDESFFLSNALFEYTIDPNGGFLCPSDIHSPFDPFDPFTSLPHHQIFPTHEEYYDPLPKRQKFFCDEQQELSPPEGFVNGYSFQPEETQQQQQQLFSMDNQCEEKGNKERTISPQSVAARERRRKITNKTQELGKLVPGGTKMNTAEMLHAAAKYVKYLQVQVEMLELMNSLEL >Vigun04g196150.1.v1.2 pep primary_assembly:ASM411807v1:4:42083140:42083764:-1 gene:Vigun04g196150.v1.2 transcript:Vigun04g196150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFSACVCGVEHVGGHVPEGHSMTLDVGLTYCEGV >Vigun10g150500.1.v1.2 pep primary_assembly:ASM411807v1:10:36969499:36974262:-1 gene:Vigun10g150500.v1.2 transcript:Vigun10g150500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPPMIPMMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPAMPPQMAPHPAMQPGFYMQHPQAAAAAAAMAQQQGMFPQKMPLQFGNPHQIQDQQQQLHQQAIQGQMGLRPGGINNGMHPMHSEAVLGSGNSGGPPSAAGPNDARGGNKQDASEAGTAGGDGQGGSAAAHNSGDGESSYLKGSEGAK >Vigun05g199700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38592998:38593733:-1 gene:Vigun05g199700.v1.2 transcript:Vigun05g199700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTKAWVVASSIGAVEALKDQLGVCRWNFALRSLQQHAKNNIRSYGKATKVSSAASSASVPNKMKRSKEESMNKVMEFNCWGPSTTRF >Vigun11g033900.1.v1.2 pep primary_assembly:ASM411807v1:11:4531314:4533542:1 gene:Vigun11g033900.v1.2 transcript:Vigun11g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHHKTKAMAEFLLLATVIVGTAMGDSSSTDKDECAQQLVGLATCLPYVGGQAQAPATDCCSGLKQVLQNNKKCLCVIIRDRNDPDLGGLQINVTLALNLPTACNSPVNVSKCPELLHMDPKSKEAQVFYQLEKGKNGTSPAPSPSAAVGANPSSNQTSSAPQKNDAFCKKNGFFRLNVLAIGLQVWALTGLLC >Vigun02g004800.8.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKESAVNVTETELINKLDSEKETVKESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun02g004800.4.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKKTVQESAVNVTETELINKLDSEKETVKESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKVRRSLMERHQESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun02g004800.9.v1.2 pep primary_assembly:ASM411807v1:2:2344581:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKKTVQESAVNVTETELINKLDSEKETVKKTLQESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKVRRSLMERHQESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVSCTYLSIYPHFFFSAIAM >Vigun02g004800.5.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKESAVNVTETELINKLDSEKETVKESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKVRRSLMERHQESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun02g004800.3.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKKTVQESAVNVTETELINKLDSEKETVKKTLQESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKVRRSLMERHQESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun02g004800.6.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKKTVQESAVNVTETELINKLDSEKETVKKTLQESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun02g004800.7.v1.2 pep primary_assembly:ASM411807v1:2:2344582:2359563:-1 gene:Vigun02g004800.v1.2 transcript:Vigun02g004800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLNLVVGSHVWVEDPELAWIDGEIQETKKGEITILFESGTKVVSKSTNMYPKDPEFPPAGVDDMTRLAYLHEPGVLQNLHIRYSINEIYTYTGNILIAVNPFQRLPHLTETSTMAKYKAAAFGEQSPHPFAIASSAYRKMINEEKSQSILVSGESGAGKTESTKMLMHYLAFLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPKEESGKYKVGDPRKFHYLNQSNCIELDGLDDSKEYLATKRAMEVVGISADEQDAIFRIVAAVLHLGNIEFIKGAEDELDSSQPKDEKSIYHLKTAAELLMCDVKSLEDSFCKRVMVTRGDTITKSLDPNSAALSRDALAKIVYSRLFDWIVCKINNTIGQDPDSNKLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTFKDNKRFSKPKLSRTDFTINHYAGDVTYQTDFFLDKNKDYVVPEHAALLSASKCSFVSGLFPPLPEETTKSTKFSSIATQFKLQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVQRFTILEPSVLKACPDEMTACKRLLDRANLKDYQVGKTKVFLRAGQMAELDACRAEVLGLSASVIQRRARTYICRKQYVLLQLSAVELQRVAKGQLARRRYECMRREAASIKLQKDFRMYVSRKAYTTKYASSICIQTGMRGMAARNDLRFRRRTKAAIIIQARQRCHSARTYHLKLKKAAIFAQCSWRRTIARRELRKLKMAAREQKALEEAKSNLEKQVKELISSLEEEKAMREAKTRENEKLQQALQEKELRFQEIKEANTKETEKLQEALKEMELQFQEIKEGKAQETEKLQNALKEMEVQFQEIKESKTQETEKLQNDLKEMELQFQEIQESKTQEAEKLQNALKEMELQFQEIQEAKTQETEKLQDALKEMEAALIQEQEAAKKLAEQTPSMQEKSVNDVDHELISKLTAENGQLKELVNSLEKKTLQGIPADVTDNELINKLTSENEHLKERINSLEKNTEQGFPVNLTDNEVINKLTSENEQLKERINSLEKNTEQGFPVNLTDKELINKLTSENGHLKERINSLEKKMVQEFPVNVTENELINKLDSEKENVKKTVQESAVNVTETELINKLDSEKETVKESSVNAATDNELISKLKTENEQLKGQVNSLEIKIDETERKYEECNRISEERMSQIIETESKMIEIKTNMQRLEEKVSDMETENQVLRQQTLLSSSSSRRMSGKFSPATVPPVENGQAQQTAAPAKTFGTEDSKESIDVLFKCVTKDLGFCEGKPVAAFTLYNCLLHWKSFEAEKTSIFDRLIQIIGSEIEDPDKNDSMAYWLSNTASLFFHLHRCLRVPTARKPPTPTSFFGRMAQGFRSSNSLSSSAFDVVHQVDAKYPALLFKQQLAAYVEKIYGIVRENFKTDLTPLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLKIMKENYVPNVLVHKLFNQIFQYINVELFNSLLLQGECCTFKHGEYIKSGLAELEGWCNEATEEYIGSSLDELKHATQAVKFLVAEKKEELSYDDLTNDLCPVLSAQQLHRICTLYSDDDDDKKQSVSTDVTTRLKLLMSDDADEDSKTYLLEDNTSHPIIVEEIPSSQDKSPPKVKPPAELLENANFQFLHDYY >Vigun06g171900.3.v1.2 pep primary_assembly:ASM411807v1:6:29309640:29314244:1 gene:Vigun06g171900.v1.2 transcript:Vigun06g171900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAGASSRTTPSVSVQEKGSRNKRKFRADPPLGEPNKSIPSAQHESLSYEFSAEKFEITPGHGQVSTSDMCSVNQDHSDGLKLGLGLSSSVVSSEFRLSQPKEESEVDDEFHDADWSDLTEAELEELLMSSLNIIFKSAIKKLVGCGYTEDVATKAILRSGICYGCKDAVSNVVDNGLAFLRNGQEDPSREHYFEDLMQLEKYILAELVCVLREVRPFYSIGDAMWALLISDMNVSHACAMDGDPSNSFGNDGCSSVQTQSQSKLQSKGPELSLPSPSKSAPSGSQPEKSSVAGHTVLDNAKNSQILGLSGKEVTNSVRDSIDKSSSTSGTPQSPFVEERCGSVRKVHSSGTKREYIFRQKSFHVEKGYRTYGSKGSLRGGRLNGLNGLILDKKLKSVSESTTINLKSASLNISNTMGVDATNDNLNAVFSSNDGPSTPAAFSLDSSDTASQSRDTSSSVPEANSILAVGNPNALPSMDTDLSLSLSSKSEYPVTSICCDNEAPNSSCVGIPRDKPLGQWIPQDRKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKDEVERLRKEKQSLEENTMKKISEMENALCKASSQVERTNADVRKLEVENVVLRKEMEAARLRAAESATSCQEVSRREKKTQMKFQSWEKQKSLFQEELMAEKHKLAQFQQELGQAKAQQDQVEARWQQVAKAKEELLSQASSIRKEREQIEESAKSKEDMIKLKAEENLQRYRDDIQKLEKEIAQLRQKTDSSKIAALRRGIDGNYASSRVDMENGSVLEESRATFISELATGLNEYSLTGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSPILKRISVRFAHT >Vigun06g171900.1.v1.2 pep primary_assembly:ASM411807v1:6:29309589:29314367:1 gene:Vigun06g171900.v1.2 transcript:Vigun06g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAGASSRTTPSVSVQEKGSRNKRKFRADPPLGEPNKSIPSAQHESLSYEFSAEKFEITPGHGQVSTSDMCSVNQDHSDGLKLGLGLSSSVVSSEFRLSQPKEESEVDDEFHDADWSDLTEAELEELLMSSLNIIFKSAIKKLVGCGYTEDVATKAILRSGICYGCKDAVSNVVDNGLAFLRNGQEDPSREHYFEDLMQLEKYILAELVCVLREVRPFYSIGDAMWALLISDMNVSHACAMDGDPSNSFGNDGCSSVQTQSQSKLQSKGPELSLPSPSKSAPSGSQPEKSSVAGHTVLDNAKNSQILGLSGKEVTNSVRDSIDKSSSTSGTPQSPFVEERCGSVRKVHSSGTKREYIFRQKSFHVEKGYRTYGSKGSLRGGRLNGLNGLILDKKLKSVSESTTINLKSASLNISNTMGVDATNDNLNAVFSSNDGPSTPAAFSLDSSDTASQSRDTSSSVPEANSILAVGNPNALPSMDTDLSLSLSSKSEYPVTSICCDNEAPNSSCVGIPRDKPLGQWIPQDRKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKDEVERLRKEKQSLEENTMKKISEMENALCKASSQVERTNADVRKLEVENVVLRKEMEAARLRAAESATSCQEVSRREKKTQMKFQSWEKQKSLFQEELMAEKHKLAQFQQELGQAKAQQDQVEARWQQVAKAKEELLSQASSIRKEREQIEESAKSKEDMIKLKAEENLQRYRDDIQKLEKEIAQLRQKTDSSKIAALRRGIDGNYASSRVDMENGSVLEESRATFISELATGLNEYSLTGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSPILKRISVRFAHT >Vigun06g171900.2.v1.2 pep primary_assembly:ASM411807v1:6:29309589:29314367:1 gene:Vigun06g171900.v1.2 transcript:Vigun06g171900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAGASSRTTPSVSVQEKGSRNKRKFRADPPLGEPNKSIPSAQHESLSYEFSAEKFEITPGHGQVSTSDMCSVNQDHSDGLKLGLGLSSSVVSSEFRLSQPKEESEVDDEFHDADWSDLTEAELEELLMSSLNIIFKSAIKKLVGCGYTEDVATKAILRSGICYGCKDAVSNVVDNGLAFLRNGQEDPSREHYFEDLMQLEKYILAELVCVLREVRPFYSIGDAMWALLISDMNVSHACAMDGDPSNSFGNDGCSSVQTQSQSKLQSKGPELSLPSPSKSAPSGSQPEKSSVAGHTVLDNAKNSQILGLSGKEVTNSVRDSIDKSSSTSGTPQSPFVEERCGSVRKVHSSGTKREYIFRQKSFHVEKGYRTYGSKGSLRGGRLNGLNGLILDKKLKSVSESTTINLKSASLNISNTMGVDATNDNLNAVFSSNDGPSTPAAFSLDSSDTASQSRDTSSSVPEANSILAVGNPNALPSMDTDLSLSLSSKSEYPVTSICCDNEAPNSSCVGIPRDKPLGQWIPQDRKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKDEVERLRKEKQSLEENTMKKISEMENALCKASSQVERTNADVRKLEVENVVLRKEMEAARLRAAESATSCQEVSRREKKTQMKFQSWEKQKSLFQEELMAEKHKLAQFQQELGQAKAQQDQVEARWQQVAKAKEELLSQASSIRKEREQIEESAKSKEDMIKLKAEENLQRYRDDIQKLEKEIAQLRQKTDSSKIAALRRGIDGNYASSRVDMENGSVLEESRATFISELATGLNEYSLTGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMQDCPSCRSPILKRISVRFAHT >Vigun01g251600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41786518:41788910:-1 gene:Vigun01g251600.v1.2 transcript:Vigun01g251600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGIIFRGCYDNHHFCLAILFSFLFCSLFLSFRSPNHALTFKHLNPSNTKNLTITDPCFGRYVYIHQLPSRFNNDLLHNCHTLTRGTDKPNMCPYMQNMGLGPEIATSRGVLTNDTWYATNQFLLEVIFHNRMRKYACLTNDSSLASAIFVPFYAGLDVSRFLWLSNLTDRDSSGRDLLQWLANRPEWKKMWGRDHFLISGRIAWDFRRQRDQESYWGSKFRFLPESINMSMLAVEASSWNNDYAIPYPTSFHPSEDTQVVQWQRKIRHQKREYLFTFTGAPRPELEGSIRGKIIEQCRASSVCKFVDCSYGVERCDDPVNVIKVFRSSVFCLQPPGDSYTRRSIFDSMLAGCIPVFFHPGSAYSQYKWHLPKNRTGYSVYIPVKDVKEWNASVEEVLLGIPESEVLAMREEVIKVIPNIIYADPRSKLDLFEDAFDLAVKGMVERIEKVREATRRGRDPSIGFADEDHYKYTFSQS >Vigun08g104400.1.v1.2 pep primary_assembly:ASM411807v1:8:25976242:25978518:1 gene:Vigun08g104400.v1.2 transcript:Vigun08g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANTIFTPTITCVAVGTFGRFPASNVDHHLRFNSRIKHNRFSVPEKIRGLRFQNDDRRKMNMVVYSCIIPEHSNDSSPGYYCRKLWILGTIFSILASFFRGKWGPLLQLKEKVDTTIHEAHRVVDIIEEVAEEVDKVAEEAVKHLPEGKFRHAVELVEKVAENVEKRAQRVEDALEKVENMEKELESFITESTTHHKSSVTTTSEAKEQK >Vigun08g104400.2.v1.2 pep primary_assembly:ASM411807v1:8:25976242:25978518:1 gene:Vigun08g104400.v1.2 transcript:Vigun08g104400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANTIFTPTITCVAVGTFGRFPASNVDHHLRFNSRIKHNRFSVPEKIRGLRFQNDDRRKMNMVVYSCIIPEHSNDSSPGYYWKLWILGTIFSILASFFRGKWGPLLQLKEKVDTTIHEAHRVVDIIEEVAEEVDKVAEEAVKHLPEGKFRHAVELVEKVAENVEKRAQRVEDALEKVENMEKELESFITESTTHHKSSVTTTSEAKEQK >Vigun07g174600.1.v1.2 pep primary_assembly:ASM411807v1:7:28971070:28974467:-1 gene:Vigun07g174600.v1.2 transcript:Vigun07g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAISSSCARFTMRLLSTSTFTAALPKPSTLSLPSRRFLFPLSHAIVPPSTRVAGIRCRVNRAGDSAYSPLNSGSSSFSDRPPTEMAPLFPGCDYNHWLIVMDKPGGENANKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRHQDRPRYNDRTRYVRRRENTQ >Vigun07g189100.1.v1.2 pep primary_assembly:ASM411807v1:7:30701616:30704799:-1 gene:Vigun07g189100.v1.2 transcript:Vigun07g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRVGSSSYDYSFKVLLIGDSAVGKSSLLLSFISNSNNSIHDLSPTIGVDFKIKFFTVAGKRLKLTIWDTAGQERFGTVISSYYRGAHGIILVYDVTRRETFTNLIDIWAKEVELYSTNHDSIKILVGNKVDKESERAVSKEEGMALAQQHRCLFLECSAKTRENVQQCFNDLSLKILDVPGLREKGSLAVRRQNQKHLYETSQRGGCCS >Vigun03g273200.1.v1.2 pep primary_assembly:ASM411807v1:3:44863012:44867301:1 gene:Vigun03g273200.v1.2 transcript:Vigun03g273200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAVLGKVLDQLLSTVVDMKDRAVKFRDTLNKLHSTLEKVAPMARQIDGLNKRLDKPATETQKLIDQIKQGKELVMECSKVDWWNFCYKANSQQKLQDLIDSIYEYFKLDMQGNINIIVLENQIMLSEIHATLMENVPRRTELKGLCSPPEPPAFTVGLDVHLRALKFKLLNNHHVGSVLTVTGTGGSGKSTLAKKFCSDKEVKGKFKDNIFFISLVDVEAPKFRTIVERLFEHNGCKKPQFQSDEGAVYRLETLLKEIGKNPMLLVLDGVLPESASLVEKFVFQIPNYKILVTSRFAIKGFGQPYVLKSLNEADALNLFRHSASLDQTSSEIPDNVVKKIAKGCSGSPLALIVTGKSLSLEAPVVWQNRAKTLSKGHSILAYSSSNDVLHTCLQNCFDDLNAKVAEVFTDLSLFPEAQKIPAAALVDICAEQRDEDDDIAMENILELVKRNVADLIVTRIGTVDYNYHYVTQHGLLRDLAIHQTRNLPTEKKHRLIIDLRGNNIPKWWTTQNEYHIAARTLSISTDEEFTSDWCNLQPNEVEVLVMNLREKKRSLPPFMMKMNKLKVLIITNYDVNRAELENLEVLDYLTDLKRIRLEKVSIPFLSKTGVALKNLHKFSFFMCNVNEAFKNSTINVSDVLPNLKEMNIDYCDVEELPAGLSDIVSLKKLSITNCHNLSKLPEGIGKLVNLESLRLTSCTKLEELPDTITNLHKLNFLDISDCVNLGMLPENIGELRSLERFNCRGCTRLSELPYSVTELESLRVVVCNEETAALWEPIKPMFSDLKLEVVLIDFKLESIS >Vigun08g212000.1.v1.2 pep primary_assembly:ASM411807v1:8:37346619:37349591:1 gene:Vigun08g212000.v1.2 transcript:Vigun08g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPYSDPNSTTATPPWYDMFRSASLRKPSSDPAPPPPPNADQKVNPSGDSQARLALYIAMAHAGLVFAILILFSLYKLLQQYLKPLQWAILCSIPLRLIHQAIVSFWSHPLKLGLLHTLLALPLTVFSLFVTSLAEIRQTLLTILLRKPKPPNEEDSKKLSAFSTLLRLLLSFGVFVFAYERLGAVGSLSLLGLGFVFSSKNVAFSFSPFGAYFTRGILKKLKTVVAVGLIVGMIVGFLTGVIFFSYQIGGEGKEAMISLKLRMEENNYAERLGVKKWIEDNDIAGMVDKYTGQFYETVSDQIDGLAAQYNMTEFVTVVKQFVITDPAIANSSQVPADSLMVVSPLAERILNLKGMIRNREWGGIYAEVNALLRELIITREDLFERAKGYAVKGMDVGQRLLASGTSVLGSGAKFVFSILNSIVSGAAEVFNFVSQTMVFFWVLYYLITSESGGVTEQMMHMVPISNSAKIRCVEVLDKAISGVLLATPEISFFQGCLTWLLFRLYRIHFLYVSTVFAFISPFIPILPSWLSTIPATIQLVLEGRYIVAIFLSVTHLFLLDYGATEILEDVPGQSAYLTGMSIIGGIALFPSAIEGAIMGPLITTVMIALKDLYAEFVLGERDDKVKQKEN >Vigun04g119100.2.v1.2 pep primary_assembly:ASM411807v1:4:30439062:30441610:1 gene:Vigun04g119100.v1.2 transcript:Vigun04g119100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLDMIKDIDGRRETLKLGVRVLDLWYIHNRESNVHLEMILIDQMGDKIHCIVKNDEFDMWDGKLKEGDTYIMHNFKIVKNDGQYRVCDHPFKLLFIGATSVRPQPIANIPNSVYQFRSIKEVVAGNFSPDLLIGIGGVVSCTLWDEYCKKFLERYNDNPNSDKLVIILTQAKVKAATGEWPVSVSNTWNGTKLLMDDAIPEITQFKQRLNEMSADDLTIMSQSGSQLTQSSQYSDAERFVYKCLVKSVSEIPLIKKEMICVTVATTTKFSLDNDGWYYLVCNHCNKRTNETGPFKCTYCDQDNNMPSFKFVFYFIFSQLYMLMFLYFICYNVRNVYRYKLQLQVCDDAFNYANFVVWDQECRNIIDISAEELQMKMIKVGEDDPKCFPDELDVMLGCTLAFKLRTQPRNKFASVIKVSDLPEIINYIKKLIQPVNMSLSGTADNDPDNSSLGTPSKRIVPNSGVSVQSSEDIESGELSATKPMKTIKQEID >VigunL059037.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:2723:2923:1 gene:VigunL059037.v1.2 transcript:VigunL059037.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun01g210800.1.v1.2 pep primary_assembly:ASM411807v1:1:38538265:38539187:1 gene:Vigun01g210800.v1.2 transcript:Vigun01g210800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRTYDEEDHHSNDDINIQTVVKRRRAETIDHSLLAVLKPVLRELLEEVIPPMLQRYWSPCCRKCHNHEGGSSGGRDFQLCFVNGLPRQIFTMANLTAEDGGSLQIELRDAASQQRVDRVEFSSMKAQIYVLDGDFESQDWTAEEFDGNIVKPREGRDHYSEDKHLLKLKKGLVLSIRRGLRLLIILAPQEPKRFGWELKSGDLTPLKQGSGKP >Vigun08g095100.1.v1.2 pep primary_assembly:ASM411807v1:8:22675753:22677997:-1 gene:Vigun08g095100.v1.2 transcript:Vigun08g095100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKIETVSLVNKTQELSNKTVVFEFESTLLRSTSLFSYFMLVAFEAGGLLRSLILFLSYPLVWLLGEEQHGLKIMVFLCLFGIRKDTFRIGSAVLPKFFLEDVGWEGFEAVMRCERKVALSKLPRVMVEGFLKDYLGVESVLARDIKSFKGYFLGLFEETKEKNTCLYEAKQDNENMIRITGSHIGYIDRELFPEFKKVCLILSSQERRNWHVLPKERYPKPLIFHDGRLAFKPTAASALAFFMWLPFGLFLSIFRFTFGIALPFNVSAPILAFSGTRTTLSRPNNNPLSLVDEENQKGVLYVCNHRTLLDPLYIAYVLDKPLSAVTYSLSRFNELVAPIRTIRLTRDRERDRETMDKLLSLGNLVVCPEGTTCREPYLLRFSPLFAELTDDIVPVAVDVKVTMFYGTTASGHKCLDPFFHFLNPNPTYFVKILDRLPRSQTCREGGKSRIEVANSVQREIGNALGFECTSLTRKDKYMILAGNEGVNGGPCNNCK >Vigun06g085000.1.v1.2 pep primary_assembly:ASM411807v1:6:21727574:21729945:-1 gene:Vigun06g085000.v1.2 transcript:Vigun06g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRVASVTAAEEEETLQNHGNNRNEEEDSEGNGFFACYLLTSLSPRYKGHTYIGFTVNPRRRIRQHNGEIGCGAWRTKKRRPWEMVLCIYGFPTNVSALQFEWAWQHPVESLAVRKAAVEFKSLSGIGNKIKLAYTMLTLPSWQSMNITVNFFSTKYMKHCGACPSLPAHMKTKIGSLDELPCYSINGLSENEDDNIDDVELDDNNNTSASASVPDVSDDLDSPDSPKNQIHGEKINEAFEWIKESEATEPSGNSLSSQEQHLQISSTTPLMKKSSSSSTTTTLLQRIEIIEEDEFMNVMNKSDSGLSEPAAQSDATLAANTNGSIGKIFVVPHEAEIVDLSTPSPTCRSVLDRKKRRVSSSVTDFIDLTNSPNFVQL >Vigun04g109950.1.v1.2 pep primary_assembly:ASM411807v1:4:27476797:27479913:-1 gene:Vigun04g109950.v1.2 transcript:Vigun04g109950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKKPVCVISRNVLGVLCNLWVERRGGFLLNSIIVPFKLLDVCLGLGLRIIGDVVDLDEVVIESVCRNIFSQKKVTIGVIYNYLLNHSECVGVDDFCKLYILIGISEFLLPNRNATVFPILFKIVDDLKSLCQYNWGRVVYEYLVGSLCNASLVLKMERHRKHFHVVGCLWCFNHFLFVKRKGARGGNEFPRLLRMNIKVGDSALKSSLEKNVVIADLCVLKQELLHAVVREAYEVLGHEVGRSKRQTERVDVGTRKVEVEVLIENQEREIGELRQSLSLLEGVVHERKTERTKDCDPVTPSTNVHNERGKTFEDQFYSEGGHQSHEAWSPLQTTVKHNVGVKVGEVIVDTPDGSDEELSKDVSRAEEPIPPQSNMYDRMKLHRRVRIKSCALRTPYTTNAPKKSGSQKLLLL >Vigun07g030100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2743615:2744143:-1 gene:Vigun07g030100.v1.2 transcript:Vigun07g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKVSCAVLIVAASMSAALAATEVPAPAPGPSSGASATVVGSLVGASVLSFFALFH >Vigun07g232900.1.v1.2 pep primary_assembly:ASM411807v1:7:35474235:35477513:-1 gene:Vigun07g232900.v1.2 transcript:Vigun07g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTDFLLALKPLFATVIAVISVAVIVKILGIRFFDKKRKYHPVAGTVIHQLFNFTRLLDYMIDCTNQRKTYRLLSFNRSEVYTANPVNIEYFLATNFSNYGKGWYHHSVLSDFLGDSIFTVDGEQWRHQRKAASYQFSTKMLRDYSNSAFKSNALKLAEIVSEAAISNNIIDMQELFMEATLDSVCKVMLGVDLDTMRGTYIEGREFSIAFDEASAAIMYRYFNILWRVMRFLNIGSEAVLRKSLRVMDEFVYELIRNKIEQDQKPQDNLPVVKGDMLSRFIELKETDLKYLRDISLSFILAGKDTTAITLSWFFYEICKNSHVQEKIAQEIRETTNVAAGSTIDELAARVTEENLEKMQYLHASLNETVRLHPAVPVQGKFCFSDDTWPDGFSVRKGDLVSFQPYVMGRMKFLWGEDAENFRPERWLDENGILKKESPFKFTAFHAGPRICLGKEFAYRQMKIYSTLLLGSHSFKLADQNKSVKYRTMLTLQIEGGLHVYASRRE >Vigun02g200500.1.v1.2 pep primary_assembly:ASM411807v1:2:33526030:33529704:-1 gene:Vigun02g200500.v1.2 transcript:Vigun02g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMATLSALSSTVLLNANTSTNTGSRTICTFPLHSNIHTRLSFSFCSKRTSLTCTGSSVKDDQQTESSTTTTVSVAPENDVDHDSGSLSDSDSDSDTQQEMDWKADEEFKKFMGNPSIEAAIKLEKKRADRKLKQLDRETTNSNPLLGLFNTLVRDNLLREKERLQKAEETFKALDLNQLKSCFGFDTFFATDVRRFGDGGIFIGNLRKPIDEVIPKLEKKLSDAAGRDVVVWFMEERANDINKQACVVQPKAEMDLQFESTKLSTPLGYFSAILLAVTTFGTVALMSGFFLKPDATVDDYLANVVPLFGGFLFILGVSEITTRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAIAAFVADGSFNGGDNALYIRPQFFYNNPLLSFIQYVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRNTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEIPAKDEITPLGESRYAWGIILGLICFLTLFPNGGGTFSSSFLSGPFFRGDI >Vigun10g194600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40838355:40839617:-1 gene:Vigun10g194600.v1.2 transcript:Vigun10g194600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRQEPCPSESLNIITFSDTMPCQRSSIDTQNKRNHTESDDQKHPHDSNPHVLLDLSLSNKDSGDDESKPELNLLNCFHTNFSENSSESSQGNELDPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLARRGYKAGAADFGHTYSTMPFLPSHSLYNKSLGIQVHAMINKPSYQAPIFGLCRSNGWQRQPLDSQPAIGNFRVGAESESPLADSVPKLGKFSTRVVTEGFGGYCFGSFSHLKSKQEKLQKLDLSLKL >Vigun07g194400.1.v1.2 pep primary_assembly:ASM411807v1:7:31369159:31372173:-1 gene:Vigun07g194400.v1.2 transcript:Vigun07g194400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSVSGTLCKGQTFSFHKPKTSQIIELPINLRLPNTINHSNLSSSSSSCNWSITRVAATTYPSFISSTTQNRHWMVVMDTPPQGLNSKPQVIDYYVNILQTVLGREEDAQMCIYDASWDTHFGFCCDVDEEISSQLASLPGVLLVRPDPDLNSLKKDYSLSRDHVVHPSGLQTVTNMLFPARNSKHWLVKMDKPGVEVVTKAQIVDYYAQILTKVMGNETDAQMCIYHVSWKTSFGFCCELDEDCAQELAGVPGVLSVQPDNSFESENKDYAGSTLENSWNISNSSEASHEAPLKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKVIVDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKPNPPRYNRNSAGSSRSAV >Vigun03g224400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37262966:37266962:1 gene:Vigun03g224400.v1.2 transcript:Vigun03g224400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEYDPAPVAPPYNTPPALIAFTLGVLVVCFVAFSIVYLCKYCFSSVVQTWAFQRTASGSIIRLTPHRSPPRGLDPSLLEVFPTFPYSSVKELRKDQKYSLECAICLVEFEDDSLLRLLTLCCHVFHQDCIDLWLCSHKTCPVCRRDLDQPLKPGDVVVSSHSGEIRVDVREEEVPQHVCDGNHEQQQQQQHQHQHEQHEQHEPERGRGLEHDEVVDEHEEQMFVRSHSTGHSIVMIRGEGVDEGKDYDDKYTLRLPEHVLRVNGKHNSSRSCASFKDMTKLDAPPVPCSNCGFVQPPSPCCSSSPTHTQRS >Vigun02g063200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21081633:21082840:1 gene:Vigun02g063200.v1.2 transcript:Vigun02g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLSPPAAATATAAATGSNQACPLPLSPLYSKSHRRLTRLHVSSPTNNPRTAATPTTSPGSGETIFFDGGAHYGDLAANLLLGFTLFWLPLTLAAVSRAMYLRYRFTNLRVTVISGLTGEDRSDFGYSVIKDVQVVPRFIGEWGDVIITLKDGTKVDLRSVPKFREIAKYCLEMAQKSQGLKETGPKGF >Vigun09g185400.2.v1.2 pep primary_assembly:ASM411807v1:9:35908602:35912781:-1 gene:Vigun09g185400.v1.2 transcript:Vigun09g185400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGVQLTIQDNHVVMENGILTLTLSNPGGIVTGIQYNNIDNLLEVLNDESNRGNMSSTSCRYWDLVWSSPTSTGTSGTFDVIKGTKFQVMVENEDQVEVSFTRTWDVSLEGKLVPLNIDKRFIMLRGCSGFYSYAIYEHLQEWPAFNLDETRLAFKLRKDKFHYMAMADNRQRNMPLPDDRLAPKGKALAYPEAVLLVNPIEPELKGEVDDKYQYSCDNKDNKVHGWICMDPAVGFWLITPTDEFRSGGPLKQNLTSHVGPTTLAVFLSAHYSGEDLVPKFNAGEAWKKVFGPVFIYLNSSYDGGDPLMLWDDAKQQMLVEVQSWPYSFPESEDFAKWDERGNVSGRLLVRERCINDDYISAKGAYVGLAPPGDVGSWQRECKNYQFWAKADDDGYFTITNVLAGDYNVYAWVPGFIGDYKYDVVMNITEGCDIDLGELVYEPPRDGPTVWEIGIPDRTAAEFYVPDPNPKYINKLYVNHPDKFRQYGLWERYAELYPDKDLIYTIGVSDYTKDWFFAQVTRKKDDNTFQGTTWQIKFQLDKVNTTSSYKLRVALASATLSELQVRVNNPKSPRPLFSSGLIGRDNSIARHGIHGLYWLYSVDIAGTQFVEGDNTIFLTQSRGNSPFQAIMYDYIRLEAPPSSNINNTK >Vigun09g185400.1.v1.2 pep primary_assembly:ASM411807v1:9:35908593:35912856:-1 gene:Vigun09g185400.v1.2 transcript:Vigun09g185400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGVQLTIQDNHVVMENGILTLTLSNPGGIVTGIQYNNIDNLLEVLNDESNRGYWDLVWSSPTSTGTSGTFDVIKGTKFQVMVENEDQVEVSFTRTWDVSLEGKLVPLNIDKRFIMLRGCSGFYSYAIYEHLQEWPAFNLDETRLAFKLRKDKFHYMAMADNRQRNMPLPDDRLAPKGKALAYPEAVLLVNPIEPELKGEVDDKYQYSCDNKDNKVHGWICMDPAVGFWLITPTDEFRSGGPLKQNLTSHVGPTTLAVFLSAHYSGEDLVPKFNAGEAWKKVFGPVFIYLNSSYDGGDPLMLWDDAKQQMLVEVQSWPYSFPESEDFAKWDERGNVSGRLLVRERCINDDYISAKGAYVGLAPPGDVGSWQRECKNYQFWAKADDDGYFTITNVLAGDYNVYAWVPGFIGDYKYDVVMNITEGCDIDLGELVYEPPRDGPTVWEIGIPDRTAAEFYVPDPNPKYINKLYVNHPDKFRQYGLWERYAELYPDKDLIYTIGVSDYTKDWFFAQVTRKKDDNTFQGTTWQIKFQLDKVNTTSSYKLRVALASATLSELQVRVNNPKSPRPLFSSGLIGRDNSIARHGIHGLYWLYSVDIAGTQFVEGDNTIFLTQSRGNSPFQAIMYDYIRLEAPPSSNINNTK >Vigun03g003800.5.v1.2 pep primary_assembly:ASM411807v1:3:250857:258287:-1 gene:Vigun03g003800.v1.2 transcript:Vigun03g003800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSTNLWVLLGLGLAGIVLITRKFKKSVREDFGAFLEKLQLLPPPQPAPPKAPHPLTALTFALSDLFDIEGRVSTFGHPEWARSHEPASSTSPAVSALVEGGATCVATTVVDDFALGIGGENKHYGTPTNPAAPARVPGGASSGAAVAVAANFVDFALGIDTTGGVRVPAGFCGILGFRPSHGAVSHLGIIPISTSLDTVGWFAKDPSILRRVGHILLQAPFVVQRSPRQIVIADDCFQHINVPLDRSSQVVVKATEKLFGRQVLKHINLGDYISSRVPSLKGCSGQKTNGEVKASSLKLLANIMQFLQRHEFKRTHDEWLNTVKPDQSPSVSAQLHDKFEVSDVEIENSKSVRSEMRSAINLLLKDEGILVIPTVADPPPKLGGKEILSEDYQSRAFSLLSIASISGCCQVSVPLGFYDKYPISVSLIARHGGDRFLLDTLQTVYTTLQELADIASKSKPSENVVSKEQSAEFAKEKGNQAYKDRQWQKAIGFYTEAIKLCSDNATYYSNRAQAYLELGSYLQAEADCTKAISLDKKNVKAYFRRGTAREMLGYYKEAIDDFKYALVLEPTNKRAAAAADRLRKLFQ >Vigun03g003800.2.v1.2 pep primary_assembly:ASM411807v1:3:250858:258287:-1 gene:Vigun03g003800.v1.2 transcript:Vigun03g003800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSTNLWVLLGLGLAGIVLITRKFKKSVREDFGAFLEKLQLLPPPQPAPPKAPHPLTALTFALSDLFDIEGRVSTFGHPEWARSHEPASSTSPAVSALVEGGATCVATTVVDDFALGIGGENKHYGTPTNPAAPARVPGGASSGAAVAVAANFVDFALGIDTTGGVRVPAGFCGILGFRPSHGAVSHLGIIPISTSLDTVGWFAKDPSILRRVGHILLQAPFVVQRSPRQIVIADDCFQHINVPLDRSSQVVVKATEKLFGRQVLKHINLGDYISSRVPSLKGCSGQKTNGEVKASSLKLLANIMQFLQRHEFKRTHDEWLNTVKPDQSPSVSAQLHDKFEVSDVEIENSKSVRSEMRSAINLLLKDEGILVIPTVADPPPKLGGKEILSEDYQSRAFSLLSIASISGCCQVSVPLGFYDKYPISVSLIARHGGDRFLLDTLQTVYTTLQELADIASKSKPSENVVSKEQSAEFAKEKGNQAYKDRQWQKAIGFYTEAIKLCSDNATYYSNRAQAYLELGSYLQAEADCTKAISLDKKNVKAYFRRGTAREMLGYYKEAIDDFKYALVLEPTNKRAAAAADRLRKLFQ >Vigun03g003800.4.v1.2 pep primary_assembly:ASM411807v1:3:252345:258287:-1 gene:Vigun03g003800.v1.2 transcript:Vigun03g003800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSTNLWVLLGLGLAGIVLITRKFKKSVREDFGAFLEKLQLLPPPQPAPPKAPHPLTALTFALSDLFDIEGRVSTFGHPEWARSHEPASSTSPAVSALVEGGATCVATTVVDDFALGIGGENKHYGTPTNPAAPARVPGGASSGAAVAVAANFVDFALGIDTTGGVRVPAGFCGILGFRPSHGAVSHLGIIPISTSLDTVGWFAKDPSILRRVGHILLQAPFVVQRSPRQIVIADDCFQHINVPLDRSSQVVVKATEKLFGRQVLKHINLGDYISSRVPSLKGCSGQKTNGEVKASSLKLLANIMQFLQRHEFKRTHDEWLNTVKPDQSPSVSAQLHDKFEVSDVEIENSKSVRSEMRSAINLLLKDEGILVIPTVADPPPKLGGKEILSEDYQSRAFSLLSIASISGCCQVSVPLGFYDKYPISVSLIARHGGDRFLLDTLQTVYTTLQELADIASKSKPSENVVSKEQSAEFAKEKGNQAYKDRQWQKAIGFYTEAIKLCSDNATYYSNRAQAYLELGSYLQAEADCTKAISLDKKNVKAYFRRGTAREMLGYYKEAIDGTIFSFFQTFSFFASYYFIRKIEKECQICSIILKRSY >Vigun03g003800.6.v1.2 pep primary_assembly:ASM411807v1:3:251089:258287:-1 gene:Vigun03g003800.v1.2 transcript:Vigun03g003800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSTNLWVLLGLGLAGIVLITRKFKKSVREDFGAFLEKLQLLPPPQPAPPKAPHPLTALTFALSDLFDIEGRVSTFGHPEWARSHEPASSTSPAVSALVEGGATCVATTVVDDFALGIGGENKHYGTPTNPAAPARVPGGASSGAAVAVAANFVDFALGIDTTGGVRVPAGFCGILGFRPSHGAVSHLGIIPISTSLDTVGWFAKDPSILRRVGHILLQAPFVVQRSPRQIVIADDCFQHINVPLDRSSQVVVKATEKLFGRQVLKHINLGDYISSRVPSLKGCSGQKTNGEVKASSLKLLANIMQFLQRHEFKRTHDEWLNTVKPDQSPSVSAQLHDKFEVSDVEIENSKSVRSEMRSAINLLLKDEGILVIPTVADPPPKLGGKEILSEDYQSRAFSLLSIASISGCCQVSVPLGFYDKYPISVSLIARHGGDRFLLDTLQTVYTTLQELADIASKSKPSENVVSKEQSAEFAKEKGNQAYKDRQWQKAIGFYTEAIKLCSDNATYYSNRAQAYLELGSYLQAEADCTKAISLDKKNVKAYFRRGTAREMLGYYKEAIDDFKYALVLEPTNKRAAAAADRLRKLFQ >VigunL056532.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000642.1:59443:60443:-1 gene:VigunL056532.v1.2 transcript:VigunL056532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFI >Vigun10g114932.1.v1.2 pep primary_assembly:ASM411807v1:10:31870990:31871215:-1 gene:Vigun10g114932.v1.2 transcript:Vigun10g114932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPLLLNVEFRTLVLKYLTQGKARSHSPRIHGNAV >Vigun01g089700.1.v1.2 pep primary_assembly:ASM411807v1:1:24949951:24953802:-1 gene:Vigun01g089700.v1.2 transcript:Vigun01g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATETRTVQVKQLSDLAGEREIHEFFSFSGEIEHVEILSEDGKSKTAYVTFKDPKALEIALLLSGATIVDQVVRITPADNYVPNHEMQEERVVENSINFAPSENVSTDIEEGKASPTNRRIYLTKAQDAVTSMLAKGSAIRQDAVNKAKAFDEKHQLTANASAKVISFDKKVGLTEKWTVGIAAVNQKVKSVDQRLHVSDKTMAAIIAAERKLNDTGSAVKTSRYVTAGTAWLNGAFSKVAKAGHVASSKTREKFNLAVSNLTTKEPSVAAA >Vigun06g135600.1.v1.2 pep primary_assembly:ASM411807v1:6:26182487:26188214:1 gene:Vigun06g135600.v1.2 transcript:Vigun06g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFQNLWLRLPVTQSLFSKLIPRTYAAVLSTRQCTVWCHSLARNTTVPSTPGVVEQVVDSTSDAPKVKVLKEKMELLGISLEDSCLPGQYHNLFCPKCKGGQLKERSLSFHIISNGEFAMWRCFRAKCGWAGQVFADDQELYSGVCTNAKFSRKIAEESLGLELLGPKLVAYFRERLISEKTLSRNAVRQLSDNKTVIAFTYKQNGLLVGCKYRALEKRFWLERGTDKILYGIDDIRQVSEIIIVEGEIDKLSLEEAGFRNCVSVPVGAPVKVSSKDLPPIEKDTAFQYLWNCKEYLDKAVRIILATDNDPPGQALAEELARRLGRERCWRLLWPKKDEFSSFKDANEVLQYLGADALKKVVENAEPYTMPTR >Vigun10g168300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38739388:38740692:1 gene:Vigun10g168300.v1.2 transcript:Vigun10g168300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKTTAKHPVIETNKGKRRRRASSSSPERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWVNYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVDLTEKIRPSITKKFIEHFCTHPEDLEQQEEPQLDQQAEDQPAEDQPAREEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYHNVRGPYPGITPPEFFTYLQWPGDSPIFPGGGGPDAGEGPSGAADTDGADIEDEIDFGGD >Vigun08g085400.1.v1.2 pep primary_assembly:ASM411807v1:8:18724845:18728733:1 gene:Vigun08g085400.v1.2 transcript:Vigun08g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNHEELEEIQNCDSDDIEKAELEKPEDQSSMASWRSQITIRGLITSFFIGIIYSVIVMKLNLSTGLVPNLNVSAALLGFVLVRTWTMLLEKANVVTTPFTRQENTIIQTCAVACYSTSFGGGFGSHLLGLNRKTYEQVGVDTPGNTPITKEPGIGWMTAFLFVTYFVGLAILVPLRKLMIIDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVHGFMKFFSFSFLWSFFQWFYAGGQQCGFVQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHIVNLSLLFGAVLSWGIMWPLIRGLKGQWFPESISESSMKSLNGYKVFISIALILGDGLYNFAKILLFTATNIHASMERRNKKSLSISDKQKQQDHDDIKRNEVFVRESIPMWLALSGYILFSAISVTAIPFIFPEVKWYYVVVAYLLAPTLSFCNAYGAGLTDMNMAYNYGKVALFVLAALGGKSHGVVAGLVGCGVIKSLVSTSSDLMQDFKTGHLTFTSPRSMLLGQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPHYCLKLCCGFFAFSVVANLVRDFNPKNIGRWIPLPMAMAVPFVIGGYFAIDMCVGSLIVYAWHTLKPKKATLMIPAIASGLICGDGLWILPSSVLALFKVRPPICMRFLPMD >Vigun08g085400.4.v1.2 pep primary_assembly:ASM411807v1:8:18724845:18728733:1 gene:Vigun08g085400.v1.2 transcript:Vigun08g085400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNHEELEEIQNCDSDDIEKAELEKPEDQSSMASWRSQITIRGLITSFFIGIIYSVIVMKLNLSTGLVPNLNVSAALLGFVLVRTWTMLLEKANVVTTPFTRQENTIIQTCAVACYSTSFGGGFGSHLLGLNRKTYEQVGVDTPGNTPITKEPGIGWMTAFLFVTYFVGLAILVPLRKLMIIDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVHGFMKFFSFSFLWSFFQWFYAGGQQCGFVQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHIVNLSLLFGAVLSWGIMWPLIRGLKGQWFPESISESSMKSLNGYKVFISIALILGDGLYNFAKILLFTATNIHASMERRNKKSHKQKQQDHDDIKRNEVFVRESIPMWLALSGYILFSAISVTAIPFIFPEVKWYYVVVAYLLAPTLSFCNAYGAGLTDMNMAYNYGKVALFVLAALGGKSHGVVAGLVGCGVIKSLVSTSSDLMQDFKTGHLTFTSPRSMLLGQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPHYCLKLCCGFFAFSVVANLVRDFNPKNIGRWIPLPMAMAVPFVIGGYFAIDMCVGSLIVYAWHTLKPKKATLMIPAIASGLICGDGLWILPSSVLALFKVRPPICMRFLPMD >Vigun08g085400.2.v1.2 pep primary_assembly:ASM411807v1:8:18724605:18728733:1 gene:Vigun08g085400.v1.2 transcript:Vigun08g085400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKANVVTTPFTRQENTIIQTCAVACYSTSFGGGFGSHLLGLNRKTYEQVGVDTPGNTPITKEPGIGWMTAFLFVTYFVGLAILVPLRKLMIIDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVHGFMKFFSFSFLWSFFQWFYAGGQQCGFVQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHIVNLSLLFGAVLSWGIMWPLIRGLKGQWFPESISESSMKSLNGYKVFISIALILGDGLYNFAKILLFTATNIHASMERRNKKSHKQKQQDHDDIKRNEVFVRESIPMWLALSGYILFSAISVTAIPFIFPEVKWYYVVVAYLLAPTLSFCNAYGAGLTDMNMAYNYGKVALFVLAALGGKSHGVVAGLVGCGVIKSLVSTSSDLMQDFKTGHLTFTSPRSMLLGQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPHYCLKLCCGFFAFSVVANLVRDFNPKNIGRWIPLPMAMAVPFVIGGYFAIDMCVGSLIVYAWHTLKPKKATLMIPAIASGLICGDGLWILPSSVLALFKVRPPICMRFLPMD >Vigun08g085400.3.v1.2 pep primary_assembly:ASM411807v1:8:18724605:18728733:1 gene:Vigun08g085400.v1.2 transcript:Vigun08g085400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKANVVTTPFTRQENTIIQTCAVACYSTSFGGGFGSHLLGLNRKTYEQVGVDTPGNTPITKEPGIGWMTAFLFVTYFVGLAILVPLRKLMIIDYKLTYPSGTATAVLINGFHTPKGDKMAKKQVHGFMKFFSFSFLWSFFQWFYAGGQQCGFVQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHIVNLSLLFGAVLSWGIMWPLIRGLKGQWFPESISESSMKSLNGYKVFISIALILGDGLYNFAKILLFTATNIHASMERRNKKSLSISDKQKQQDHDDIKRNEVFVRESIPMWLALSGYILFSAISVTAIPFIFPEVKWYYVVVAYLLAPTLSFCNAYGAGLTDMNMAYNYGKVALFVLAALGGKSHGVVAGLVGCGVIKSLVSTSSDLMQDFKTGHLTFTSPRSMLLGQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPHYCLKLCCGFFAFSVVANLVRDFNPKNIGRWIPLPMAMAVPFVIGGYFAIDMCVGSLIVYAWHTLKPKKATLMIPAIASGLICGDGLWILPSSVLALFKVRPPICMRFLPMD >Vigun06g236100.2.v1.2 pep primary_assembly:ASM411807v1:6:34146798:34153045:1 gene:Vigun06g236100.v1.2 transcript:Vigun06g236100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSWREGMSSDNIKGLCLALSSSFFIGASFIVKKKGLKKAGASGLRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHIFGILGCVLCVVGSTTIVLHAPQEREIESVTEVWDLAMEPAFLLYAASVITATFILIFHFIPLYGQTHIMVYIGVCSLVGSLTVMSVKALGIAIKLTLSGMNQLIFPQTWAFTLVVTVCVLTQMNYLNKALDTFNTAVVSPIYYVMFTTFTIVASVIMFKDWDRQSPTQVITEICGFVTILSGTFLLHKTKDMADGLQTSPSIRLGKHSEEDGFDGGEGIPLRRQESMRST >Vigun06g236100.3.v1.2 pep primary_assembly:ASM411807v1:6:34146797:34153045:1 gene:Vigun06g236100.v1.2 transcript:Vigun06g236100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHIFGILGCVLCVVGSTTIVLHAPQEREIESVTEVWDLAMEPAFLLYAASVITATFILIFHFIPLYGQTHIMVYIGVCSLVGSLTVMSVKALGIAIKLTLSGMNQLIFPQTWAFTLVVTVCVLTQMNYLNKALDTFNTAVVSPIYYVMFTTFTIVASVIMFKDWDRQSPTQVITEICGFVTILSGTFLLHKTKDMADGLQTSPSIRLGKHSEEDGFDGGEGIPLRRQESMRST >Vigun06g236100.1.v1.2 pep primary_assembly:ASM411807v1:6:34146688:34153065:1 gene:Vigun06g236100.v1.2 transcript:Vigun06g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSWREGMSSDNIKGLCLALSSSFFIGASFIVKKKGLKKAGASGLRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHIFGILGCVLCVVGSTTIVLHAPQEREIESVTEVWDLAMEPAFLLYAASVITATFILIFHFIPLYGQTHIMVYIGVCSLVGSLTVMSVKALGIAIKLTLSGMNQLIFPQTWAFTLVVTVCVLTQMNYLNKALDTFNTAVVSPIYYVMFTTFTIVASVIMFKDWDRQSPTQVITEICGFVTILSGTFLLHKTKDMADGLQTSPSIRLGKHSEEDGFDGGEGIPLRRQESMRST >Vigun03g214000.1.v1.2 pep primary_assembly:ASM411807v1:3:35570224:35581391:1 gene:Vigun03g214000.v1.2 transcript:Vigun03g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSTSLPPSLHLAMAALLGASFMAISAFYMHRRTVDHVLHRLVEIRRKPLAASDDDSEDDDDDLSGLGDDDGGTDKDADPRNYFRTFSKSMDDTSNVLRSYRFSSSMPNVVSAADWFPEDTKNRASSFENLQFAPLGLPSNRTGSTNGESAQISRSYKRISSVGRIMTPRSPGRNAFESAGDSDEEETQLADNNTIPFSDTYGVNSNMGNLSAVPFRVDDANCAKNQMYGEVSKEAKAGANMNVVSSTSVHVAEDDRVFANNVLPARIPGHETNIEEDEVCKMIQECLDLREKYIYKENIPLRTEPVETNFDPYHFEPVEATKHHFRMEDGVIRVFASKTDTEELFPVASSTRFFTDMHYILKVMSIGNVRSACYHRLRFLEEKFRLHLLLNADREFLAQKGAPHRDFYNIRKVDTHIHHSACMNQKHLVRFIKSKLRKESDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKTTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLIDLEASKYQMAEYRISVYGRKQSEWDQLASWFVNNALYSKNAVWLIQLPRLYNVYKNMGIVTSFQNILDNVFIPLFEVTVDPNSHPQLHLFLMQVVGFDLVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYLYYSYANLYTLNKLRESKGMTTIKLRPHCGEAGDSDHLAAAFLLCHNISHGINLRKTPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPMFFQRGLNVSLSSDDPLQIHLTKEALLEEYSVAAKVWKLTACDLCEIARNSVYQSGFSHQAKSHWLGEKYLLRGPEGNDIHKTNVPSLRISFRYETWKEEMQYIYAGKAIFLEDVYP >Vigun07g198800.1.v1.2 pep primary_assembly:ASM411807v1:7:31937221:31938729:1 gene:Vigun07g198800.v1.2 transcript:Vigun07g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVDVKGPCKAPIEIQLDGTIKAPQKPEDVGGDQLLRIGYVNALTISGKGVIDGQGSYAWKQNDCSKNTKCKLLGMNLGFNFINNSIIRGITTKDSKHFHVNVLGCNNFTFDGFKVSTPGDSANTDGIHIGRSTGVNVLNTDIATGDDCVSLGDGSRQVLVQNVKCGPGHGISIGSLGKYKEEESVDGITVKGCTLKGTTNGVRIKTWPNEPGTITVTNMRFEDITMDNVMNPIIIDQEYCPWNQCSKQNPSKIKISKVIIKNIKGTSGTKEGIILACSSGVPCEGVEISNVDLTFKGAPVITTCSNVKPKITGKAPACTTPSTKKE >Vigun09g059800.1.v1.2 pep primary_assembly:ASM411807v1:9:6112570:6114566:-1 gene:Vigun09g059800.v1.2 transcript:Vigun09g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGATAILKEEVDIVIPTIRNLEFLEKWRAFFEPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKAHCISFKDSACRCFGFLLSKKKYIFTIDDDCFVAKDPSGKEINALEQHLKNLLTPSTPFFFNTLYDPFREGTDFVRGYPFSLREGVPTAASHGLWLNVPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGEGQPIGRYDDMWAGWCMKVISDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVSLPKECTTAQKCYVELSKQVRAKLGKVDEYFNKLADTMVTWIEAWEELNPSGPPKSDALPNGSAK >Vigun01g161200.6.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLLTCYPTRELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQVRPLSKFVFLL >Vigun01g161200.2.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLLTCYPTRELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQFGLF >Vigun01g161200.5.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLLTCYPTRELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQVDPRTEQDIQETTIVPD >Vigun01g161200.3.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQFGLF >Vigun01g161200.7.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQVRPLSKFVFLL >Vigun01g161200.4.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQEITADSAIEIPDVCVSKQLMRRHVHNLLNILSPKEKSIIRLRFGIEDGKEKTLQDIGKVFGLTKERVRQLESRALSKLKECLESQGLDAYSDLLI >Vigun01g161200.1.v1.2 pep primary_assembly:ASM411807v1:1:34303854:34309801:1 gene:Vigun01g161200.v1.2 transcript:Vigun01g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSPPFPSSSSSSVMMLHEQAAPAVASWSSSSTARHFPTSVLLQEQREEYRPLLHAYNKEATLNTRQMDMSSVQEKCNTGNPDQLVHDFVHQLHLRSHLQNLLTCYPTRELAASLTLQPVDNDSERPADGLPSNAVSLAQQALSASKQAASSVEVDDDDDPLSFGLASTSLVDSSPKRNKIVRSTRIIERRSKQRKASKSILLDEESYLDRKSYVQRKLRLEKKLNEGFDQNDPLRMFLSGPESRQLLTREEESELITQLQDFSRLEEVKIRLQSQLRREPTLAEWADVLGLSCCALQTQLHCVKRSKEKLFHANMRMVVHIAKHYQGRGLSLQDLLQEGSTGLMKSIDKFKPGAGCRFGTYAYWWIRHSIRKAIFLHSRTIRIPENLYRLLGKVIEAKKSFIQEGNIHPSKEELARRVGITIDKLETLLFASRHPISMQQTVWADQETTFQEITADSAIEIPDVCVSKQLMRRHVHNLLNILSPKEKSIIRLRFGIEDGKEKTLQDIGKVFGLTKERVRQLESRALSKLKECLESQGLDAYSDLLI >Vigun05g246666.1.v1.2 pep primary_assembly:ASM411807v1:5:43979389:43984804:-1 gene:Vigun05g246666.v1.2 transcript:Vigun05g246666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVGSLPCLSTTQTLSKSSTPFRTPPNFNPTSFTHQFIHSLGNNLPIEPLQEKATKLEEKVRFMINGADMEPLTLLQLIDDIERLGLFFRFQDWINKTLLALVSIQNFKDRTTTSLHETALLFRILRRHGFDVSHGIFMNFKDEKGKFKAEISNDVQGMLSLYEASYLTLEGEESVWEANAFSRTHLMNLMKEKSMDVKMAEKVKYVLEGLPYHKSCCRLVAQHYINTYDKTKPHNLLLLELAKLEFNMVQSSYQNELKELSRWWWDVDLSSKLKFARDRLVETFIWMVAIFPEPQLVVCRKRMSKVIVLVTYLDDIYDIYGTLDELELFTDAVERWDVNSINTLPDYMVLCFLAIYNTINGMAYDIFKERGINCLPYLTKYWSDLCKAYLQEAKWFHNKIIPPFDKYLENARISIAAGAILTHFYFLVSQDISEQVIHSLTDHDHDLLRSVYTICRLSNDLGTSTDEIERGETSNSIVSYMHETGLSEENVRQYFKTLMEKEWKNLNKYLVKDSIFPKSFVQVVINLARSGHFVYQYGDGFGRQNNISKSRITSFLMYPFPLM >Vigun01g146500.2.v1.2 pep primary_assembly:ASM411807v1:1:32814867:32830149:1 gene:Vigun01g146500.v1.2 transcript:Vigun01g146500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSESVVTEASAHSGAEAYASAGYVESGTNPAVEAGSTADQPSGEGAQSTSTYGFGYSNTGDVNSYAGDPNSVLQQSQFNATGDTKPAGGVPDANEASAGVGSTAAEPALASDYSSSINGGVVGSVTNVGGLENGNALENIDGSADEKQLADGYAALSAEEDRLWNIVRANSLDFSAWTSLIEETEKVAEDNILKIRRVYDSFLAEFPLCYGYWKKYADHEARLGSIDKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQDWARLAIIYTRILENPNQQLDRYFSSFKELAGNRPLSELRTAEEAAVSVASEATGQGTEGEVHPDGAEKSPKSVSAGLTEAEALEKYIAIREEIYKKAKEFDSKIIGFETAIRRPYFHVRPLNVGELENWHNYLDFLEREGDLSKIVKLYERCVIACANYPEYWIRYVLCMEASGNMDLANNVLARATQVFVKRQPEIHLFCARFKEQNGDIDGARAAYQLVHTETSPGLLEAIIRHANMERRLGKMEDAFSLYEQAIAIEKGKEHSQTLPMLFAQYSRFVYLASGNAEKARQILVEGLENVLLSKPLLEALLHFEAIQPLPKQVDIDFLESSVCKFIMPNSESPGVASAIEREELSSIFLEFLNLFGDVQSIKRAEDRHAKLFLPHRSMSELKKRHAEDFLASDKVKVSRAYSAQSPAQSVVGAYPNAQNQWTNYGVQPQTWPPVTQAQGQQWTAGYTQQASYGAYAGYGGNYANSQLPASVPPSTAYGAYPPSYPAQPAVPQQTYAQPVAAPAQQPAAVPQAYYGSYY >Vigun08g153700.2.v1.2 pep primary_assembly:ASM411807v1:8:32595047:32600836:1 gene:Vigun08g153700.v1.2 transcript:Vigun08g153700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYPKGMEFTESLHTNIVQSVIKQVTYYNTVLDPHYKSFNGFVARLTKEESERMKGMDGVVSVIPNRVHSTQTSRSWNFLGFPENVQRSKEENNIIVGVIDSGISLNSSSFTGRFGPPPQKWKGTCHNFTCNNKIIGAKYFRLSGVFADEDMVSPADTSGHGSHCASIAAGNHVSNANLFGLASGTARGGVPSARIAVYKVCWTTGCETVDILAGFDAAIIDGVDIISVSLGPKNFMRIEYFEDPTAIGAFHAMKKGILTSKSAGNSGPSHYTISNSAPWFISVAASTMDRKFFTNLQLGNGRIFQGISVNTFSPTKKSYPLIYGGDAPAAGFNSSLSRSCLDDSLNGALVRGKIVLCDYDDIYTYPAKVGFASGAAGLIFRTTSPLAVPDLYALPAIQISASDGNSPDIAAPGVEILAAWSPISPISGVEGDKRVSNFNIVSGTSMACPHVTAAAVYVKSFHPNWSPAAIKSALMTTATPMNPARNVEAEFAYGAGQINPLKAVNPGLVYDIGENDYIRFLCGQGLSSPALQIITGDNSTCTSANEGSVFDLNLPSFALSTPRSSHKNVTFSRTVTNVGSGTSKYKATISAHPSSLNVRVVPNVLAFSSLGQRLSFTLNIEGSINADLVSFSLIWDDGTFKARSPVVVYV >Vigun07g008000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:682798:684486:1 gene:Vigun07g008000.v1.2 transcript:Vigun07g008000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMRLGKKLQPAKKAWNSFSNTVQYKLHKLNIPKSFKTTLQRLLSAFHSLGHLIHSKLHHSLTTTRPRATTYYHVQHKHCAAIHIDDLFDKANSVSMHANDNRSVHAQGQTSKGKEKEEHSILKGNLVGECYTQSQTLLKRNLIYECSNQNHHSETTVKRSLTDKHSPNQNGESSGLNTIEDAWKVVVAKSPQLHVDQKAEEFISKFREDMRLQKERSMLEFQEMLARSA >Vigun03g186700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24732413:24733913:-1 gene:Vigun03g186700.v1.2 transcript:Vigun03g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSFSRATLTPEQELSVMVAALTNVVSGSTSSDAVSLPDFRLPNASQPLAGNTFAPPTMDTCRECNIAGCLGCNFFPEEKKKQKRAKKKYRGVRQRPWGKWAAEIRDPRRAARVWLGTFNTAEDAARAYDKAAIEFRGPRAKLNFPLVDESLSMQQSEPQRVAAEEQIKNENLNQGMEMETLEFGNKDTEFWDRIGEADFHQLMMMMDFTGDSSDSATGNTLSS >Vigun03g336100.1.v1.2 pep primary_assembly:ASM411807v1:3:53434690:53436474:1 gene:Vigun03g336100.v1.2 transcript:Vigun03g336100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVVDPIATDAAVDMAVDSSEPAAVDSASNGEPNQKRSRENHDDEVDGISKKQKVEAEEEREHLNGEDEEEKKPSGPVKLGFINFASSVEMFDYFNNLLHTWPSYLNLNQYEHAMLLELLKKGHTEPDEKIGGGIRVFQVRNHPRWKSRCFFLIRDDESVDDFSFRKCVDHILPLPEEMQVKADANRALGGGAKNHRGKSGGGKGGHGHGKKGGSRH >Vigun01g091500.3.v1.2 pep primary_assembly:ASM411807v1:1:25262887:25268781:1 gene:Vigun01g091500.v1.2 transcript:Vigun01g091500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHHPSVFLVLLTFVLSICYSEQLQSSHSQTLLRIQQQLNFPAALSNWNNDTDFCSTDSNSSLSVVCYEDTITQLHIIGERRDSPLPRNFSINSFVTTLVRLPSLKVLTLVSLGIWGPLPSKIARLSSLEIVNMSSNFLYGSIPQELSSLKNLQTLIFDNNMLAETFPPWLDSLPALTVLSLKNNMLNGSLPNSLGNVENLRALSLSHNHLYGVLPDFSRLKNLQVLELDDNAFGPEFPQLGNKLVTLVLRNNRFRDGIPAELISYYQLEHLDISSNSFVGPFQPALLSLPSITYLNISGNKLTGMLFENLSCNSELDVVDLSSNLLTGNLPKCLVSNSSDSTFLYARNCLDSANQNQQAQPFCHTEALAVGILPERKKHRQVSKVVLSLGIVGGTLGGVALVLLIFFIVRRGNARTKMKNPPTRLISENAASGYTSKLLSDARYISQTKKLGAVGLPTYRSFSLEEIEAATNYFDTASLMGEDSYGRMYRGQLKNGSVVAIRCVEMKKKYSTQNFVNHIELISKLRHRHLVSAIGHCFECSLDDSSVNKVFLVFEYVPNGTLRNWISDENAKKCLSWTQRIGAAIGVAKGIQFLHTGIVPGVYSNDLKIEDVLMDQNLVAKISSYHLPLLSNMGKVRHGSSSSGLKHSSNNKSVKHEDKSDIYDLGVILLELILGRQIKTANDADAFRDLLQASLGADEEGRRSVVDAAIRKACLDQSLKTMMEICVRCMVKEAEDRPSIEDVLWNLQFASQVQDAWRGDSQSSEGSPNSESRGLPFQ >Vigun01g091500.2.v1.2 pep primary_assembly:ASM411807v1:1:25262887:25268781:1 gene:Vigun01g091500.v1.2 transcript:Vigun01g091500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHHPSVFLVLLTFVLSICYSEQLQSSHSQTLLRIQQQLNFPAALSNWNNDTDFCSTDSNSSLSVVCYEDTITQLHIIGERRDSPLPRNFSINSFVTTLVRLPSLKVLTLVSLGIWGPLPSKIARLSSLEIVNMSSNFLYGSIPQELSSLKNLQTLIFDNNMLAETFPPWLDSLPALTVLSLKNNMLNGSLPNSLGNVENLRALSLSHNHLYGVLPDFSRLKNLQVLELDDNAFGPEFPQLGNKLVTLVLRNNRFRDGIPAELISYYQLEHLDISSNSFVGPFQPALLSLPSITYLNISGNKLTGMLFENLSCNSELDVVDLSSNLLTGNLPKCLVSNSSDSTFLYARNCLDSANQNQQAQPFCHTEALAVGILPERKKHRQVSKVVLSLGIVGGTLGGVALVLLIFFIVRRGNARTKMKNPPTRLISENAASGYTSKLLSDARYISQTKKLGAVGLPTYRSFSLEEIEAATNYFDTASLMGEDSYGRMYRGQLKNGSVVAIRCVEMKKKYSTQNFVNHIELISKLRHRHLVSAIGHCFECSLDDSSVNKVFLVFEYVPNGTLRNWISDENAKKCLSWTQRIGAAIGVAKGIQFLHTGIVPGVYSNDLKIEDVLMDQNLVAKISSYHLPLLSNMGKVRHGSSSSGLKHSSNNKSVKHEDKSDIYDLGVILLELILGRQIKTANDADAFRDLLQASLGADEEGRRSVVDAAIRKACLDQSLKTMMEICVRCMVKEAEDRPSIEDVLWNLQFASQVQDAWRGDSQSSEGSPNSESRGLPFQ >Vigun01g091500.1.v1.2 pep primary_assembly:ASM411807v1:1:25262866:25268781:1 gene:Vigun01g091500.v1.2 transcript:Vigun01g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHHPSVFLVLLTFVLSICYSEQLQSSHSQTLLRIQQQLNFPAALSNWNNDTDFCSTDSNSSLSVVCYEDTITQLHIIGERRDSPLPRNFSINSFVTTLVRLPSLKVLTLVSLGIWGPLPSKIARLSSLEIVNMSSNFLYGSIPQELSSLKNLQTLIFDNNMLAETFPPWLDSLPALTVLSLKNNMLNGSLPNSLGNVENLRALSLSHNHLYGVLPDFSRLKNLQVLELDDNAFGPEFPQLGNKLVTLVLRNNRFRDGIPAELISYYQLEHLDISSNSFVGPFQPALLSLPSITYLNISGNKLTGMLFENLSCNSELDVVDLSSNLLTGNLPKCLVSNSSDSTFLYARNCLDSANQNQQAQPFCHTEALAVGILPERKKHRQVSKVVLSLGIVGGTLGGVALVLLIFFIVRRGNARTKMKNPPTRLISENAASGYTSKLLSDARYISQTKKLGAVGLPTYRSFSLEEIEAATNYFDTASLMGEDSYGRMYRGQLKNGSVVAIRCVEMKKKYSTQNFVNHIELISKLRHRHLVSAIGHCFECSLDDSSVNKVFLVFEYVPNGTLRNWISDENAKKCLSWTQRIGAAIGVAKGIQFLHTGIVPGVYSNDLKIEDVLMDQNLVAKISSYHLPLLSNMGKVRHGSSSSGLKHSSNNKSVKHEDKSDIYDLGVILLELILGRQIKTANDADAFRDLLQASLGADEEGRRSVVDAAIRKACLDQSLKTMMEICVRCMVKEAEDRPSIEDVLWNLQFASQVQDAWRGDSQSSEGSPNSESRGLPFQ >Vigun01g091500.4.v1.2 pep primary_assembly:ASM411807v1:1:25262887:25268781:1 gene:Vigun01g091500.v1.2 transcript:Vigun01g091500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHHPSVFLVLLTFVLSICYSEQLQSSHSQTLLRIQQQLNFPAALSNWNNDTDFCSTDSNSSLSVVCYEDTITQLHIIGERRDSPLPRNFSINSFVTTLVRLPSLKVLTLVSLGIWGPLPSKIARLSSLEIVNMSSNFLYGSIPQELSSLKNLQTLIFDNNMLAETFPPWLDSLPALTVLSLKNNMLNGSLPNSLGNVENLRALSLSHNHLYGVLPDFSRLKNLQVLELDDNAFGPEFPQLGNKLVTLVLRNNRFRDGIPAELISYYQLEHLDISSNSFVGPFQPALLSLPSITYLNISGNKLTGMLFENLSCNSELDVVDLSSNLLTGNLPKCLVSNSSDSTFLYARNCLDSANQNQQAQPFCHTEALAVGILPERKKHRQVSKVVLSLGIVGGTLGGVALVLLIFFIVRRGNARTKMKNPPTRLISENAASGYTSKLLSDARYISQTKKLGAVGLPTYRSFSLEEIEAATNYFDTASLMGEDSYGRMYRGQLKNGSVVAIRCVEMKKKYSTQNFVNHIELISKLRHRHLVSAIGHCFECSLDDSSVNKVFLVFEYVPNGTLRNWISDENAKKCLSWTQRIGAAIGVAKGIQFLHTGIVPGVYSNDLKIEDVLMDQNLVAKISSYHLPLLSNMGKVRHGSSSSGLKHSSNNKSVKHEDKSDIYDLGVILLELILGRQIKTANDADAFRDLLQASLGADEEGRRSVVDAAIRKACLDQSLKTMMEICVRCMVKEAEDRPSIEDVLWNLQFASQVQDAWRGDSQSSEGSPNSESRGLPFQ >VigunL059757.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000410.1:17426:17842:1 gene:VigunL059757.v1.2 transcript:VigunL059757.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFHFSGVEFWVTSFRFRVSWFGFEVWVSAFGFRLSSFGLKVTGFETRVWVSNFGFLVSGFGFRDLGFGFRFLGFGFRVSNFKLQVSSFEFRMSVFSFGFSDFRFWVTSFGLPISGFGFRGSGLGFRVRGSCFEFGI >Vigun11g055033.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10214399:10214659:1 gene:Vigun11g055033.v1.2 transcript:Vigun11g055033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSFPSSTATLCVLTVIVAVIPLWAAVKMVNTLWLRPKRLEKLLRAQGLSIII >Vigun03g214100.2.v1.2 pep primary_assembly:ASM411807v1:3:35598264:35599715:1 gene:Vigun03g214100.v1.2 transcript:Vigun03g214100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVYGGRTTSLPSQVLTFHSSTKWNEHFDAFKKTNKLMVVDFTASWCRPCKLMDPVIQEFAATFRDVEFVKIDVDELMEVAELFQVQGMPTFMLIKKGKVTDKVVGVKKEELQRLIEQHRK >Vigun03g214100.1.v1.2 pep primary_assembly:ASM411807v1:3:35598196:35599715:1 gene:Vigun03g214100.v1.2 transcript:Vigun03g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGPSIFCRVFSTTYVGSDLSDMAMVYGGRTTSLPSQVLTFHSSTKWNEHFDAFKKTNKLMVVDFTASWCRPCKLMDPVIQEFAATFRDVEFVKIDVDELMEVAELFQVQGMPTFMLIKKGKVTDKVVGVKKEELQRLIEQHRK >Vigun04g153700.1.v1.2 pep primary_assembly:ASM411807v1:4:37144209:37147993:-1 gene:Vigun04g153700.v1.2 transcript:Vigun04g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGLPGTLVFFAMLPLLLLLKLAFSWWISPIHTHFKLKRCGFGGPTPSFPLGNIQEMKNNNTVSSSPVPSNLIHDIHSTVFPYFSRWQNSHGKVFIYWLGTEPFLYIADPEFLKKMSTEVLAKKWGKPRVFRHDRDPLFGNGLVMVEGNEWVRHRHVIAPAFSPLNLKAMASMMSESTNEMIERWIAQINSGNSEIDVEKEVVETAGEIIAKTSFGMKGKYAKEVSEKLRALQMTLFRTTRYVGVPFGECFNVKKTLKAKELGKEIDELLLSVITSRMKSIKRQTQEDLLGLLLQGNHHEGDGKVGKTFTTRDLLDECKTFFFAGHETTALAISWTLLLLAMHQDWQNQLRDEIREVVGDRELDINVLAGLKKMKWVMNEVLRLYPTAPNVQRQAREDIQVDNLRIPNGTNMWIDVVAMHHDEALWGKDANEFRPERFMNDVNGGCNHKMGYLPFGFGGRTCVGRNLSFMEYKIVLTLLLSRFSFKVSPGYNHSPSIMLSLRPTYGLHLLVQPLN >Vigun10g167100.1.v1.2 pep primary_assembly:ASM411807v1:10:38612141:38617970:1 gene:Vigun10g167100.v1.2 transcript:Vigun10g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKGSEPEKVPSPSSEPNVLSEEEKEDDKNKKSKKIKDGLREKRKTKWSCLDHCCWWVGCICTVWWFLLFLYQMMPSSIPQYVTEALTGPMPDPPGLKLKKEGLRVKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGEVYKRPSCWVDHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKTMYMASYDWRIAFQNTEVRDQTLSRIKSNIELMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKYIKAVLNIGGPFLGVPKAIAGLFSAEARDIAVARTIAPGFLDNDLFRLQTLQHVMRMTRSWDSTMSMIPRGGDTIWGGLDWSPEEGYHPSKRKHSNGYTQLPHQDTNETHPVNYGRMISFGRDVAEAPSSEIEITDFRGALKGRSVANTTCRDVWTEYHEMGIEGVRAVAEHKVYTAGSIVDLLHFVAPKMMARGSAHFSYGIADNLDDPKYSHYKYWSNPLETKLPNAPDMEIFSLYGVGLPTERSYVYKLTPFASCYIPFEIDTTQDGGNGEDRCLQGGVYTVDGDETVPVLSSGFMCAKGWRGKTRFNPSGIRTYVREYDHSPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGEDLGGDRVYSDIFKWSEKIKLQL >Vigun10g167100.2.v1.2 pep primary_assembly:ASM411807v1:10:38613754:38617970:1 gene:Vigun10g167100.v1.2 transcript:Vigun10g167100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNRPSCWVDHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKTMYMASYDWRIAFQNTEVRDQTLSRIKSNIELMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKYIKAVLNIGGPFLGVPKAIAGLFSAEARDIAVARTIAPGFLDNDLFRLQTLQHVMRMTRSWDSTMSMIPRGGDTIWGGLDWSPEEGYHPSKRKHSNGYTQLPHQDTNETHPVNYGRMISFGRDVAEAPSSEIEITDFRGALKGRSVANTTCRDVWTEYHEMGIEGVRAVAEHKVYTAGSIVDLLHFVAPKMMARGSAHFSYGIADNLDDPKYSHYKYWSNPLETKLPNAPDMEIFSLYGVGLPTERSYVYKLTPFASCYIPFEIDTTQDGGNGEDRCLQGGVYTVDGDETVPVLSSGFMCAKGWRGKTRFNPSGIRTYVREYDHSPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGEDLGGDRVYSDIFKWSEKIKLQL >Vigun04g170100.1.v1.2 pep primary_assembly:ASM411807v1:4:39431551:39433683:-1 gene:Vigun04g170100.v1.2 transcript:Vigun04g170100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYARPASVLATADIEVPVA >VigunL026732.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:4801:4962:1 gene:VigunL026732.v1.2 transcript:VigunL026732.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQAVKQKR >Vigun05g091400.4.v1.2 pep primary_assembly:ASM411807v1:5:8829866:8835110:1 gene:Vigun05g091400.v1.2 transcript:Vigun05g091400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQPLSKKANRYLRKFRKWPHSPYKTSWHHNFGQEQAMQKLKQATLEMGFSQEPQTANLPHPFLLSTLLDSFKAYSCDPNPKAYYFVIKTLTNTSQLQDIPAVLDHLEHLEKFETPESILVYLIKFYGLSDKVQDAVDLFFRIPRFRCTPTVCSLNLVLSLLCRKREYLKMVPEILLKSQHMNIRIEESTFRVLIKALCRIKRVGYAIKMLNCMIEDGYGLDERICSSIISSLCEQKDMTSVEALVVWRDMRKLGFCPGVRDYTNMIRFLVKEGKGMDALDVLNQQKQDGIKPDVVSYTMVLSGLVAEGEYAKLDQLFDEILVFGVVPDVYTYNVYINGLCKQNNVDEALEIVASMEELECKPNVVTCNTLLGALCAAGDLSKAREVMKEMGWKGVGLNLHSYRIMLDGLVGKDVPKGLDC >Vigun05g091400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8829876:8835110:1 gene:Vigun05g091400.v1.2 transcript:Vigun05g091400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQPLSKKANRYLRKFRKWPHSPYKTSWHHNFGQEQAMQKLKQATLEMGFSQEPQTANLPHPFLLSTLLDSFKAYSCDPNPKAYYFVIKTLTNTSQLQDIPAVLDHLEHLEKFETPESILVYLIKFYGLSDKVQDAVDLFFRIPRFRCTPTVCSLNLVLSLLCRKREYLKMVPEILLKSQHMNIRIEESTFRVLIKALCRIKRVGYAIKMLNCMIEDGYGLDERICSSIISSLCEQKDMTSVEALVVWRDMRKLGFCPGVRDYTNMIRFLVKEGKGMDALDVLNQQKQDGIKPDVVSYTMVLSGLVAEGEYAKLDQLFDEILVFGVVPDVYTYNVYINGLCKQNNVDEALEIVASMEELECKPNVVTCNTLLGALCAAGDLSKAREVMKEMGWKGVGLNLHSYRIMLDGLVGKGEIGEACFLLEEMLEKCFFPRSSTFDNIIFQMCQKDLIAEAIELTKKIVAKSFVPGARAWEALLLKSGSKLGFSEITFSGLLGQN >Vigun05g091400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8829876:8835110:1 gene:Vigun05g091400.v1.2 transcript:Vigun05g091400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQPLSKKANRYLRKFRKWPHSPYKTSWHHNFGQEQAMQKLKQATLEMGFSQEPQTANLPHPFLLSTLLDSFKAYSCDPNPKAYYFVIKTLTNTSQLQDIPAVLDHLEHLEKFETPESILVYLIKFYGLSDKVQDAVDLFFRIPRFRCTPTVCSLNLVLSLLCRKREYLKMVPEILLKSQHMNIRIEESTFRVLIKALCRIKRVGYAIKMLNCMIEDGYGLDERICSSIISSLCEQKDMTSVEALVVWRDMRKLGFCPGVRDYTNMIRFLVKEGKGMDALDVLNQQKQDGIKPDVVSYTMVLSGLVAEGEYAKLDQLFDEILVFGVVPDVYTYNVYINGLCKQNNVDEALEIVASMEELECKPNVVTCNTLLGALCAAGDLSKAREVMKEMGWKGVGLNLHSYRIMLDGLVGKGEIGEACFLLEEMLEKCFFPRSSTFDNIIFQMCQKDLIAEAIELTKKIVAKSFVPGARAWEALLLKSGSKLGFSEITFSGLLGQN >Vigun10g114000.1.v1.2 pep primary_assembly:ASM411807v1:10:31648543:31652839:-1 gene:Vigun10g114000.v1.2 transcript:Vigun10g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDASGNPIPSSSVLMASSKHIGIRCHSENLDFLKCKKKDPNPEKCLDKGRDVTRCVLGLLKDLHQKCTKEMDDYVGCMYYHTNEFDLCRKEQQAFEKKCSLE >Vigun10g114000.2.v1.2 pep primary_assembly:ASM411807v1:10:31648631:31652839:-1 gene:Vigun10g114000.v1.2 transcript:Vigun10g114000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDASGNPIPSSSVLMASSKHIGIRCHSENLDFLKCKKKDPNPEKCLDKGRDVTRCVLGLLKDLHQKCTKEMDDYVGCMYYHTNEFDLCRKEQQAFEKKCSLE >Vigun03g032600.3.v1.2 pep primary_assembly:ASM411807v1:3:2514808:2519164:1 gene:Vigun03g032600.v1.2 transcript:Vigun03g032600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEDQFYDTREELCSVSDGSSDCSESDESSSGNNGHVTRHKVWAKDLASVNQRRQNFLRWMGLESDLDYSTKGEEFQDQPCGIDRITATSGAVLRTSLAVEEGLPSTSNQIVLDSLSGEASGSRENRENSACMIRNLDDGTQYIVDKLGQDGTLSTLRVLGSNQLISLEEFQRNTGPSSMVRRHLQRDAENTRLLGVGKRKMKRGWLKTLDSIACFVHNHGLDETKCKDCDSVDGSGIQRVRVHSYKKRFKELSSLYTEQEFKAHKGVILTMKFSLDGKYLASGGEDGMVRVWKVVEDERSSELDILDNDPSNIYFKINNFSCIAPLGVDKEKLVKPEKLRRPPEATCVIIPPRTFRISAKPLHEFQGHSGDILDLAWSKRGFLLSSSVDKTVRLWHVGIDRCLRVFPHNNYVTCVNFNPINDNVFISGSIDGKVRIWEVVNSRVSDYIDIREIVTAVCFRPDGKGTIVGTMAGNCRFYDIQDKHLQLDAQLCLRGKKKTSGKRITGFQFSPTDPSKLLVASADSHVCILSGDDIIYKFKGLRSAGQMNASFTTDGKHIISVSEDSNVCIWNYNGHDRSNSKAKKVWSSESFLSHNASIAVPWCGIESIPGTLLSPSLGDDANQRCSLPSPDCFFLSRGFLSELIPKVSATWPEEALVDSCQTQTVVSPTMCKSEYKFLRSACKGMSNSHLWGQVIVTAGWDGYIRVYQNYGLPVRG >Vigun03g032600.1.v1.2 pep primary_assembly:ASM411807v1:3:2514808:2519164:1 gene:Vigun03g032600.v1.2 transcript:Vigun03g032600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEDQFYDTREELCSVSDGSSDCSESDESSSGNNGHVTRHKVWAKDLASVNQRRQNFLRWMGLESDLDYSTKGEEFQDQPCGIDRITATSGAVLRTSLAVEEGLPSTSNQIVLDSLSGEASGSRENRENSACMIRNLDDGTQYIVDKLGQDGTLSTLRVLGSNQLISLEEFQRNTGPSSMVRRHLQRDAENTRLLGVGKRKMKRGWLKTLDSIACFVHNHGLDETKCKDCDSVDGSGIQRVRVHSYKKRFKELSSLYTEQEFKAHKGVILTMKFSLDGKYLASGGEDGMVRVWKVVEDERSSELDILDNDPSNIYFKINNFSCIAPLGVDKEKLVKPEKLRRPPEATCVIIPPRTFRISAKPLHEFQGHSGDILDLAWSKRGFLLSSSVDKTVRLWHVGIDRCLRVFPHNNYVTCVNFNPINDNVFISGSIDGKVRIWEVVNSRVSDYIDIREIVTAVCFRPDGKGTIVGTMAGNCRFYDIQDKHLQLDAQLCLRGKKKTSGKRITGFQFSPTDPSKLLVASADSHVCILSGDDIIYKFKGLRSAGQMNASFTTDGKHIISVSEDSNVCIWNYNGHDRSNSKAKKVWSSESFLSHNASIAVPWCGIESIPGTLLSPSLGDDANQRCSLPSPDCFFLSRGFLSELIPKVSATWPEEALVDSCQTQTVVSPTMCKSEYKFLRSACKGMSNSHLWGQVIVTAGWDGYIRVYQNYGLPVRG >Vigun05g028600.1.v1.2 pep primary_assembly:ASM411807v1:5:2289897:2292304:-1 gene:Vigun05g028600.v1.2 transcript:Vigun05g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKCFGGSSSSGREYPTVIEELCRHFSLADIRKSTNNFDENRVIGEGAVGTVYRGCLQHNDGSDYAVAVKRFKAQYSEEFKRDVELLCQLHHPNCVSIVGFCNCETENIIVYEYMSNGSLDQHLGSEVREALSWKKRIEICIGAARGLHYLHAGLKRTIIHRDITPDHIVLDEKMHPKLSGFRLCILGAHFKERPKPIQTDLAGTYGYLPLEYARDGTVTDKCDVYSFGVVLLQVVSGKGIYGPDKDHCLAKELLEKCVEELIDPKIKGKIAADCWQVFIDITFRCIKNEADERPAMGEVEVELELALLLQEQADLRNINGDYTLLSETILNPESEWGWG >Vigun01g188500.1.v1.2 pep primary_assembly:ASM411807v1:1:36787526:36792951:1 gene:Vigun01g188500.v1.2 transcript:Vigun01g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGGRDAIKGLGLGLGLGLGAGRREMVESELEEGEACSFQNHEDYDATVDPDVALSYIDEKIQDVLGHFQKDFEGGLSAESLGAKYGGYGSFLPTYQRSPVWSHPRTPQKNHSQNTPKSPNNLLPEGGQSDAVQCSTGTQSSRLGPGSGNSSRMASNKGLYLEDGTHQEKYLVTTNVDTSTSKHESLNKKFTSTSDQKTLKVRIKMGPDNLSTRKNAAIYSEIGLDVSPSSSLDDSPSESEGISRGPQEAPFESPTIILQIMTDLPQPLSPLSESIIELTIKEMRARDSIPGLVHLDDAESFDMSLNESNNVKGDRKLSRGSGRKMKSLEGCESSMEVKGSTKKNGRIDAGVLSRKEQSTDASTMEELVSNTMKLPLLSSSYSFGDDLVKADDGPCDSLKETHKVVVREKTFSGQGQKEGLEPTSTEVNGFSERTKGSSRRKVVGDKVPFDDYIVKENSQGDNNCHSIMAESNVSKVRTASNTEEPPKKANQRGSLCEQDSMAIPLVTEHPVLVGKKKAKGSHDTVVMEREKENLKIGSSSAPKTKRSSDDSSASKNETEDVRAQKSLGKTRDTYRDFFGELEEEEDRIDVLETPFEEKVKEPEVVERSAPTTSFGAKERPGAKKVDKLLTAEIYPKSATNIWCTGNANGTDAENGKGIPVMIPPVEMEDNWVQCDRCHKWRLLPVGTNPDNLPEKWLCSMLNWLPDMNRCSFSEDETTKALIALYQGPPFDGQSNLQNASGSVMVGGAMATSQPPNQHQLNNDVHAVPGGKKKFVKEIPNSTNKDFSQSSYPTKKNLSAVKSRSLNDVKSPVMSEADVPSEKHKNKHRTQEHSSDRGDTKNMKVKSRRDHDQDFPRPSKKSKTDKAHSTNEDWVEQSGTTRKVGVQSSTSTFPTTSVAKDRPRQKAHSSSRDSKSRKDRIPVSAENTRDKGQGSLDEGSLDLGNCDSIGSVKKRKLKGYQDAITYSPGNPRIQESKTSEHDFSDSRKEKKAKSSKSGGKESSTSKGSGRTDKKLVMQRTRNSGKTLRAVCHNGA >Vigun08g003900.1.v1.2 pep primary_assembly:ASM411807v1:8:341096:342910:-1 gene:Vigun08g003900.v1.2 transcript:Vigun08g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPNHTVTVSGWAAHDTSGKISPYTFKRRENGVNDVTIKILYCGICHTDLHYAKDEWGITKYPVVPGHEIIGVVTKTGSDVKGFKEGDRVGVGCMAASCLECEHCKTDQENYCDKMVLIYNGVFWDGSITYGGYSKLIIADYRYVVHIPESLPMDAAAPLLCAGITVFTPLKDHDLVATPGKKIGVVGLGGLGHLAVKFGKAFGHHVTVISTSPSKEAEAKQRLGADDFIISSNPKQLQDAKRSLDFILDTVSGDHPLLPILELLKVNGTLFLVGAPDKPLQLPAFPLIFGKRAVKGGIIGGIKETQEMLDLCAKYNITSDIEVITPDKINEAIDRLARNDVRYRFVIDIGAASSE >Vigun05g267800.6.v1.2 pep primary_assembly:ASM411807v1:5:45975735:45985601:-1 gene:Vigun05g267800.v1.2 transcript:Vigun05g267800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPVCGNLCVFCPSLRARSRQPVKRYKKLMAEIFPRNQVAEPNDRKIGKLCEYASRNPLRIPKITENLEQRCYKDLRHENFGSVKVVLCIYEKLLSTCKEQMPLFANSLLGILQTLLEQTRSEEMQILGCKTLVEFTNCQTNGTYMFNLEGFIPKLCQLAQEAGDNEQALLLRSAGLQALSYMVQFMGEHSHLSTDFDQIISVILENFPDPQSKSNLAEVEKLNSQSQSHLVQQFPKGGDLRSLSAISKKDASLLNGVTGTETEPKLDTAKDPAYWSKICLYNMAKLAKEATTVRRVLEPFFHNFDAENYWSSEKGVASCILQYLQSLLAESGDNSHLLLSILVKHLDHKNVAKQHILQIDIIKTTTQLAQNVKYQASVAIIGAISDLIKHFRRCLQNLAEASSTGDGTYRLNTELQSVLEMCILQLSKKVGDKGPILDLMAVALENIPVTTVTARSTISAVYQIAKLVTSVPNVSYRNKAFPDALFHQLLLVMVHPDYETQIGAHRIFSLVLTPSMVSPWLDHKIMTAHTGDENFNGKLEEEKTISSVKSKKYAIHPYRGYSFSPKLTNGNDDKSSLRLSSHQVSLLLSSIWVQATSVGNGPSNYEAMAHAYTIALLFTCSKASSHTGLARSFQLAFSLRRISLDQQGGLQPSRRRSLFTLASYMLIFSARAGSLHDLIPIVKASLTKETVDPFLELVDDIRLLAVRKESENIIYGSQEDEAAAVKSLSAVELDDKQLKEIVISYFMTKYSKLPEDELSSIKNQLVQGFSPDDAYPLGPLSFMETSRPCSPLHMIEFPSFDEIMIPDDFTEIETGHSGSQSDRKTSLSTNHPDVLNVDQLLDSVLETARQVASFSTSSTPLPYDQMKDQCEALEAGKQQKMSVIQSFKHQQESNAIVLSSENEVTVAPIHAKALEYSKSDLKLVTQETFKEQDGARDHYDDYGLQHSLRLPPSSPYDKFLRAAGC >Vigun06g010200.1.v1.2 pep primary_assembly:ASM411807v1:6:4685677:4687573:1 gene:Vigun06g010200.v1.2 transcript:Vigun06g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLSLSPDTKDLSHPSGEVHVIVGPMFAGKTTALLRRIKSELNAVRNVVMLKSSKDTRYAIDSVVTHDGVKFPCWALPNLLSFREEYGHDAYQKLDVIGIDEAQFFEDLYEFCCKAADEDGKTVIVAGLDGDYLRRSFGSVLHIIPLADSVTKLTARCEVCGKRAFFTLRKTEDQETELIGGADVYMPVCRMHYMNSQVA >Vigun05g288200.1.v1.2 pep primary_assembly:ASM411807v1:5:47523341:47525011:1 gene:Vigun05g288200.v1.2 transcript:Vigun05g288200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQSQSGTHSSESDAVDCCNLKETELTLGLPGTKRSFSDTVDLLHLATSLNKTKLPTPKEQVVGWPPVRASRKNAMKSCKFVKVAVDGAPYLRKVDLELYDSYEHLMTELETMFCGLAIRNHLMNERKLMESGNGIEYMPTYEDKDGDWMLVGDVPWKMFVESCKRMRLMISSEAIGLGPRSSSKCSGST >Vigun07g138200.5.v1.2 pep primary_assembly:ASM411807v1:7:24807220:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQFRSQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSFGRYQRQSRGSLIISGFCCRLVENVL >Vigun07g138200.4.v1.2 pep primary_assembly:ASM411807v1:7:24799346:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQFRSQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSVEMLQKGLKELGILRGVGSSSYHKLNPTSIGHYLGMDIHDCSMVSFDSPLKPGNNY >Vigun07g138200.2.v1.2 pep primary_assembly:ASM411807v1:7:24799346:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSVEMLQKGLKELGILRGVGSSSYHKLNPTSIGHYLGMDIHDCSMVSFDSPLKPGVVITIEPGVYIPSSFNGPERYRGIGIRIEDEVLITETGYEVLTASIPKEVKHIESLLNNFCHGMGTTLDSQNN >Vigun07g138200.1.v1.2 pep primary_assembly:ASM411807v1:7:24799346:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQFRSQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSVEMLQKGLKELGILRGVGSSSYHKLNPTSIGHYLGMDIHDCSMVSFDSPLKPGVVITIEPGVYIPSSFNGPERYRGIGIRIEDEVLITETGYEVLTASIPKEVKHIESLLNNFCHGMGTTLDSQNN >Vigun07g138200.6.v1.2 pep primary_assembly:ASM411807v1:7:24807220:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSFGRYQRQSRGSLIISGFCCRLVENVL >Vigun07g138200.3.v1.2 pep primary_assembly:ASM411807v1:7:24799346:24812879:-1 gene:Vigun07g138200.v1.2 transcript:Vigun07g138200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVRKLTSITSHRQVVGFRSYCTGGVAFDVGQPTSASHPQLLKDGELTPGISTEEYILRRKKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYITGCQQPGGVAILGHDCGLCMFMPEAKPYDVIWQGQIAGVDAALDTFKADKAYPMRKLHEILPDMIKGSSKVFHNVQTVTPAYMEIEAFKKLAYCNNVMDLAVYTHQLRWIKSPAELKLMKESASIACQALLLTMLHSKTYPFEGTLAAKVEYECKIRGAQRMGFNPVVGGGPNGSIIHYSRNDQRIKQGDLVLMDIGCELHGYVSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSVEMLQKGLKELGILRGVGSSSYHKLNPTSIGHYLGMDIHDCSMVSFDSPLKPGNNY >Vigun10g030800.1.v1.2 pep primary_assembly:ASM411807v1:10:4017519:4021592:1 gene:Vigun10g030800.v1.2 transcript:Vigun10g030800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQTSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILARYQLAEFELCVLGNLCPETVEEAIAMVPSIKTRGRAQDDEAIEKMLNDLSLIKKFE >VigunL083700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000616.1:98489:98710:-1 gene:VigunL083700.v1.2 transcript:VigunL083700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun10g004200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:387617:388420:-1 gene:Vigun10g004200.v1.2 transcript:Vigun10g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEKLSVLGEGSYGTVYLVGVHLLEEHNSKFLLLALKTSSSYTMLREKKVLELLLGCEGIVQCYFYKYIVERGCLTYNLFMEYAPHGSLGDLIKTELLSDKEVIVYTHMILKGLSCMHEKGIVHCDLKSNNILLFPSSDGRAKYKLKIADFGLSKTREEANTDLGEIKFRGTPYYMSPESVRGFKETPLDIWSLGCIVIEMSTGLREWWNFQTRDQLLWKLAFFEEAPKIPDKLSYDCKNFLEKCLMKNPEQRWTAKMLLDHPFI >Vigun04g174800.1.v1.2 pep primary_assembly:ASM411807v1:4:39900440:39904488:1 gene:Vigun04g174800.v1.2 transcript:Vigun04g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVQSCIHFSCHIFLVLFFFLVHTSSQSLNDQEHAVLLNIKQYLEDPSFLSHWSSTSSHCSWPEITCTAASVTSLTLSHSNINKTIPPFICDLTNLTHLDFSFNFIPGDFPTPLYNCSKLEYLDLSGNNFDGKVPHDIDRLGANLQYLNLGSTNFYGDVPASIANLKQLRQLKLQFCLLNGTVAAEIDSLPNLEFLDLSSNFLFPKWKLPWNLTKFNKLKVFYLFGTNLVGEIPDNVGDMVALEKLDASKNGLTGGIPSGLFLLKNLTSLYLFENSLSGEIPSVVEALNLVNLDLARNDLTGKIPDDFGKLQQLSWLSLSLNSLSGVIPQSLGTLPALSDFRVFFNNLSGTLPPDFGRYSKLKTFLIASNNFTGKLPDNLCYHGTLLNLSVYENKLSGELPEFLGNCSSLLDLKIHENEFSGNIPNGLWTSFNLSNFMVSHNKFTGVLPERLSWNVSRFEIGYNQFSGGIPSGVSSWTNLVVFDASKNNFNGSIPEGLTALPKLTTLLLDQNQLTGALPSDIISWKSLVTLNLSQNQLSGQIPHAIGQLPVLSQLDLSENGFSGQLPSLSTRLTNLNLSYNHLTGRIQREFENSAYAGSFLGNSGLCSDNPALNLTLCNSGLQRTSKGSSWSIGLIICLAVVALLLALLASVMFIRFQRKRKKGLDNSWKLISFQRVNFTESTIVSSMTEQNIIGSGGYGTVYRIDVGSDYVAVKKIWNNRKLEKKLESSFRAEVRILSNIRHTNIVRLMCCISNEDSMLLVYEYLENLSLDKWLHQSVKPGSVNKVVLDWPKRLKIAIGVAQGLSYMHHDCLPPIVHRDIKTSNILLDSQFNAKVADFGLAKLLIKPGELSTMSAVIGSFGYIAPEFVQSTRVSEKIDVFSFGVVLLELTTGKEASYGDQHSSLSEWAWRHILIGDNVEELLDKNVTEASNIDEMCTVFKLGVMCTATLPTSRPSMKDALQILQSLAEPLFYAEKNFGHYYDAMPLLKSSKETKLNVDHDSE >Vigun08g066100.1.v1.2 pep primary_assembly:ASM411807v1:8:9707904:9718424:1 gene:Vigun08g066100.v1.2 transcript:Vigun08g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVKSEQIVDVGSVVEAVSADDGDAPLYSLESLCMRCGENGITRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCRYTLAIPSGEQKMLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILMRAADELQALQEERKKVAPETAEAIDQFLVKLRACATGESAITFILDDPAGNSFIENPFAPSSDPSLTIKFYERTPEQQASLGYVVDSTQIERTHVDASEGGEAVPTDQVRREPHGSIGATAGHRAIAQSNSAEMAEALFRYTAPEEVMTFPSTCGACASKCETRMFVTNIPYFQEVIVMASTCDACGYRNSELKPGGRIPEKGKKITLSVKNVNDLSRDVIKSDTASVKVPELDLELASGTLGGIVTTVEGLITRIGESLERVHGFTFGDSLDENSRSKWIDFKTRLNKLLSLEEAWTLILDDALANSFIAPATDDMKEDKQLAFEEYERSWEQNEELGLNDMDTSSAEVGSKTE >Vigun08g010000.1.v1.2 pep primary_assembly:ASM411807v1:8:865412:867003:1 gene:Vigun08g010000.v1.2 transcript:Vigun08g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun07g256700.1.v1.2 pep primary_assembly:ASM411807v1:7:37429629:37433117:-1 gene:Vigun07g256700.v1.2 transcript:Vigun07g256700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTNLRFPSPSLSLSSRLPLSSAFSVSLRPRRGASGPLVVLVSAGLGAKPTVLVAEKLGDAGLKLLKDFANVDCSYNLSTEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAIGVELVGFDEAISSADFISLHMPLTAATSKMLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDSGIVAQAALDVFTEEPPPKDSKLILHELVTATPHLGASTAEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPSEVLSELKPFVDLAEKLGRLAVQLVAGGSGVKTVKVTYATARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERVILDGSPENPLEFIQVQIANVESRFASAISDSGEIKVEGRVKDGIPHLTKVGSFDVDVSLEGSIILCRQVDQPGMIGKVGSVLGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKESLKKIGDIPAVEELVFLKL >Vigun04g020300.1.v1.2 pep primary_assembly:ASM411807v1:4:1542401:1546256:1 gene:Vigun04g020300.v1.2 transcript:Vigun04g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEFPQIKGVVIITLPPPDNPSLGKTITAFTFSDPSSPQPSLLQQSNQNQTNLNHHNNTDPPLRSNPSNSQLSFSLRRLFHATPLKLFSFFGLLLFALFLYGSVSSTTTLELSGPKNDADDDDGKPGSYLLPLYPKFGVLGQKNMKLQVGKLVHKDKFLTQTKNRVGSEVVAVDSSSVFPVSGNVFPDGLYFTILRVGNPPRSYFLDVDTGSDLTWMQCDAPCTSCGKGAHALYKPTRSNVVSSMDSLCLDVQKNQKNGHHESLQQCDYEIQYADRSSSLGVLIRDELHLVSKNGSKTKLNFVFGCGYDQEGLLLNTLAKTDGIMGLSRAKVSLPNQLASKGLIKNVVGHCLSNDEVGGGFMFLGDDFLPYWGMTWVPMAYTLTTDLYQTEILGINYGNRQLSFDGQSKVGKVVFDSGSSYTYFPKEAYLDLVASLNEVSELRLVQDDLDTTLPICWQANFPIKSVKDVKDYFKTLTLRFGNKWWILSTIFQIPPEGYLIISNKGNVCLGILDGSNVNDGSSIILGDISFRGYMVVYDNAKQKIGWKRADCDMSSRRLRKKNSFIPDTML >Vigun04g020300.2.v1.2 pep primary_assembly:ASM411807v1:4:1542401:1546256:1 gene:Vigun04g020300.v1.2 transcript:Vigun04g020300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEFPQIKGVVIITLPPPDNPSLGKTITAFTFSDPSSPQPSLLQQSNQNQTNLNHHNNTDPPLRSNPSNSQLSFSLRRLFHATPLKLFSFFGLLLFALFLYGSVSSTTTLELSGPKNDADDDDGKPGSYLLPLYPKFGVLGQKNMKLQVGKLVHKDKFLTQTKNRVGSEVVAVDSSSVFPVSGNVFPDGLYFTILRVGNPPRSYFLDVDTGSDLTWMQCDAPCTSCGKGAHALYKPTRSNVVSSMDSLCLDVQKNQKNGHHESLQQCDYEIQYADRSSSLGVLIRDELHLVSKNGSKTKLNFVFGCGYDQEGLLLNTLAKTDGIMGLSRAKVSLPNQLASKGLIKNVVGHCLSNDEVGGGFMFLGDDFLPYWGMTWVPMAYTLTTDLYQTEILGINYGNRQLSFDGQSKVGKVVFDSGSSYTYFPKEAYLDLVASLNEVSELRLVQDDLDTTLPICWQANFPIKSVKDVKDYFKTLTLRFGNKWWILSTIFQIPPEGYLIISVILSFCFNFLFQLILLLSCILKNYLFCCRTKAMCVWVSLMVVM >Vigun03g278750.2.v1.2 pep primary_assembly:ASM411807v1:3:45645354:45650183:-1 gene:Vigun03g278750.v1.2 transcript:Vigun03g278750.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEGDSSGTSPHLSIELLEKLLPSFLQATENRQGSRELPLFPTPNLPPRETFDGVFGSNVPLSSFHGGTSQNPSTYVDLSLRLGPPNQGAVRSPAMNSCNIFAARNAMRMQGMHGQNKRGFPDYGFGEHHVGNMGQLALLAKRRATESQISASNNLNASPPFSQMQQLFNRTAPTSPVQVGEGGVRDKGKAIESSSSSSSSHYRPIGLPAQAYMQERLQHRPIGYSPSFYDLTHGPPPVTPGIPMMPKLCPFLFEQKLDNENTQNAQNENAQLQADTLLSDSESEEEEEETDEEDQEDDDQDGQTEDLLDDEGKYIIEPVGNGWSPAHRAHDAINYVIQTQFRGVFHSYGIIPLADRQQWFRVFKEKCTWDARHEQHIQMRFEAHCSKHLGDILSQVRNTRKKPQWMKVEDWIELLAYWDTPSFKNISARNQANRTPNRGITVNTGGYQDFTDVAKDLAKKFGRDPYPDEFFLATNKYNYPGHWMDSCAAKTYEEYQLRLLKRQSQVGEASSSRVTQETRVDILSEVAKGKTSGHVYGIADKAVNVPTKASSLTQESGAPPSPSEASEEIDKAHQ >Vigun03g278750.1.v1.2 pep primary_assembly:ASM411807v1:3:45645354:45650183:-1 gene:Vigun03g278750.v1.2 transcript:Vigun03g278750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEGDSSGTSPHLSIELLEKLLPSFLQATENRQGSRELPLFPTPNLPPRETFDGVFGSNVPLSSFHGGTSQNPSTYVDLSLRLGPPNQGAVRSPAMNSCNIFAARNAMRMQGMHGQNKRGFPDYGFGEHHVGNMGQLALLAKRRATESQISASNNLNASPPFSQMQQLFNRTAPTSPVQALTVGEGGVRDKGKAIESSSSSSSSHYRPIGLPAQAYMQERLQHRPIGYSPSFYDLTHGPPPVTPGIPMMPKLCPFLFEQKLDNENTQNAQNENAQLQADTLLSDSESEEEEEETDEEDQEDDDQDGQTEDLLDDEGKYIIEPVGNGWSPAHRAHDAINYVIQTQFRGVFHSYGIIPLADRQQWFRVFKEKCTWDARHEQHIQMRFEAHCSKHLGDILSQVRNTRKKPQWMKVEDWIELLAYWDTPSFKNISARNQANRTPNRGITVNTGGYQDFTDVAKDLAKKFGRDPYPDEFFLATNKYNYPGHWMDSCAAKTYEEYQLRLLKRQSQVGEASSSRVTQETRVDILSEVAKGKTSGHVYGIADKAVNVPTKASSLTQESGAPPSPSEASEEIDKAHQ >Vigun01g157100.2.v1.2 pep primary_assembly:ASM411807v1:1:33946550:33950618:1 gene:Vigun01g157100.v1.2 transcript:Vigun01g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISESASSMRKRTVQDRRLSIIDVSSADDSLLDGNALHHSENQAHGDLLCTPNSKNFEDAATKVQQWEQEPHSNGAYGIEKPKKNSKCNLRKSLAWDSAFFTSAGVLDPEELSSIIEGVEKDEKHELPDIQEDVYKSCESISTLASDSLTFESAEVEGDLFEDVRASIQKSSRKSSPAGANTKVPSSPAVPRFQTHDSSKKVGMVSRNKMKASPGSKNLSSGMQGFGKTMKKNNPIFPQLPQKPAAARRESSILRQSKVLPKPSLSSTTSSKRESLSNLHVKARVSSVTKTPVIGGSRGIVTKPTLPSKSSSGLTVPTRTKSVTSTSSAVRTPSRIAPRNKAEPEISSLSSLMSATKLSSSISPASSISDFSSESSSTISVAKRVCNSSRSSIDSCSSRKVLLDADADQGTHSHIPQNDPSLERQEDQHHSQKERTTPGVAVLPPAPKKPSGLRLPSPKIGFFDGVKPLVRTPRGGTQPRTVVPGSLPKHGALSPNEGQNKTEIRKLHDSKSIVSIENTKSINQQAPHPNSFLESSDVAIKTSDGAQNKSCSNLPLGADENTSLIPVAEKVNHDLLPLKGVNNQANAHHDDQIDSLSKQVGHMDINFETREKFNRDSLSLFQTDISSQDDSNGLELSLARSPFAVKDSFCNMDDVVFTESTVSETKSTPNLPVLDSIIMKENN >Vigun01g157100.1.v1.2 pep primary_assembly:ASM411807v1:1:33946550:33950618:1 gene:Vigun01g157100.v1.2 transcript:Vigun01g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISESASSMRKRTVQDRRLSIIDVSSADDSLLDGNALHHSENQAHGDLLCTPNSKNFEDAATKVQQWEQEPHSNGAYGIEKPKKNSKCNLRKSLAWDSAFFTSAGVLDPEELSSIIEGVEKDEKHELPDIQEDVYKSCESISTLASDSLTFESAEVEGDLFEDVRASIQKSSRKSSPAGANTKVPSSPAVPRFQTHDSSKKVGMVSRNKMKASPGSKNLSSGMQGFGKTMKKNNPIFPQLPQKPAAARRESSILRQSKVLPKPSLSSTTSSKRESLSNLHVKGERDKTKRIIGARVSSVTKTPVIGGSRGIVTKPTLPSKSSSGLTVPTRTKSVTSTSSAVRTPSRIAPRNKAEPEISSLSSLMSATKLSSSISPASSISDFSSESSSTISVAKRVCNSSRSSIDSCSSRKVLLDADADQGTHSHIPQNDPSLERQEDQHHSQKERTTPGVAVLPPAPKKPSGLRLPSPKIGFFDGVKPLVRTPRGGTQPRTVVPGSLPKHGALSPNEGQNKTEIRKLHDSKSIVSIENTKSINQQAPHPNSFLESSDVAIKTSDGAQNKSCSNLPLGADENTSLIPVAEKVNHDLLPLKGVNNQANAHHDDQIDSLSKQVGHMDINFETREKFNRDSLSLFQTDISSQDDSNGLELSLARSPFAVKDSFCNMDDVVFTESTVSETKSTPNLPVLDSIIMKENN >Vigun01g157100.3.v1.2 pep primary_assembly:ASM411807v1:1:33946550:33950618:1 gene:Vigun01g157100.v1.2 transcript:Vigun01g157100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISESASSMRKRTVQDRRLSIIDVSSADDSLLDGNALHHSENQAHGDLLCTPNSKNFEDAATKVQQWEQEPHSNGAYGIEKPKKNSKCNLRKSLAWDSAFFTSAGVLDPEELSSIIEGVEKDEKHELPDIQEDVYKSCESISTLASDSLTFESAEVEGDLFEDVRASIQKSSRKSSPAGANTKVPSSPAVPRFQTHDSSKKVGMVSRNKMKASPGSKNLSSGMQGFGKTMKKNNPIFPQLPQPAAARRESSILRQSKVLPKPSLSSTTSSKRESLSNLHVKGERDKTKRIIGARVSSVTKTPVIGGSRGIVTKPTLPSKSSSGLTVPTRTKSVTSTSSAVRTPSRIAPRNKAEPEISSLSSLMSATKLSSSISPASSISDFSSESSSTISVAKRVCNSSRSSIDSCSSRKVLLDADADQGTHSHIPQNDPSLERQEDQHHSQKERTTPGVAVLPPAPKKPSGLRLPSPKIGFFDGVKPLVRTPRGGTQPRTVVPGSLPKHGALSPNEGQNKTEIRKLHDSKSIVSIENTKSINQQAPHPNSFLESSDVAIKTSDGAQNKSCSNLPLGADENTSLIPVAEKVNHDLLPLKGVNNQANAHHDDQIDSLSKQVGHMDINFETREKFNRDSLSLFQTDISSQDDSNGLELSLARSPFAVKDSFCNMDDVVFTESTVSETKSTPNLPVLDSIIMKENN >Vigun01g157100.4.v1.2 pep primary_assembly:ASM411807v1:1:33946550:33950618:1 gene:Vigun01g157100.v1.2 transcript:Vigun01g157100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISESASSMRKRTVQDRRLSIIDVSSADDSLLDGNALHHSENQAHGDLLCTPNSKNFEDAATKVQQWEQEPHSNGAYGIEKPKKNSKCNLRKSLAWDSAFFTSAGVLDPEELSSIIEGVEKDEKHELPDIQEDVYKSCESISTLASDSLTFESAEVEGDLFEDVRASIQKSSRKSSPAGANTKVPSSPAVPRFQTHDSSKKVGMVSRNKKPAAARRESSILRQSKVLPKPSLSSTTSSKRESLSNLHVKGERDKTKRIIGARVSSVTKTPVIGGSRGIVTKPTLPSKSSSGLTVPTRTKSVTSTSSAVRTPSRIAPRNKAEPEISSLSSLMSATKLSSSISPASSISDFSSESSSTISVAKRVCNSSRSSIDSCSSRKVLLDADADQGTHSHIPQNDPSLERQEDQHHSQKERTTPGVAVLPPAPKKPSGLRLPSPKIGFFDGVKPLVRTPRGGTQPRTVVPGSLPKHGALSPNEGQNKTEIRKLHDSKSIVSIENTKSINQQAPHPNSFLESSDVAIKTSDGAQNKSCSNLPLGADENTSLIPVAEKVNHDLLPLKGVNNQANAHHDDQIDSLSKQVGHMDINFETREKFNRDSLSLFQTDISSQDDSNGLELSLARSPFAVKDSFCNMDDVVFTESTVSETKSTPNLPVLDSIIMKENN >Vigun03g145700.2.v1.2 pep primary_assembly:ASM411807v1:3:14854329:14855496:1 gene:Vigun03g145700.v1.2 transcript:Vigun03g145700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTGSLVFLFIFPLLCNNLGGHVKFAYGLEAQKSWCVAKASSSDSTLQNNIEYACSILGDCKMIQPGGSCFDPNNLFNHASVVMNQYYAFSGGNGWNCYFSGSGLIVVSDPSYDNCKYA >Vigun03g145700.1.v1.2 pep primary_assembly:ASM411807v1:3:14854329:14855496:1 gene:Vigun03g145700.v1.2 transcript:Vigun03g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTGSLVFLFIFPLLCNNLGGHVKFAYGLVKTHELNREAQKSWCVAKASSSDSTLQNNIEYACSILGDCKMIQPGGSCFDPNNLFNHASVVMNQYYAFSGGNGWNCYFSGSGLIVVSDPSYDNCKYA >VigunL076900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000522.1:46785:48628:1 gene:VigunL076900.v1.2 transcript:VigunL076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVDEDHTFANSIRYTLNQDPRVTYCGYSIPHPSFNRVNIRLQTTGDPAKEVFKDGCLELMLMCRHVRSTFDDALTEFKKTKTS >Vigun05g077500.2.v1.2 pep primary_assembly:ASM411807v1:5:7186110:7189432:-1 gene:Vigun05g077500.v1.2 transcript:Vigun05g077500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCCFPVTLDRSRSRRGSSKLCKSSSKPRRKENYKGPLIVCFGEMMMNLVPTVLRVSLAEAPAYKKFPSGATANVAVGASRLGVSAAFIGKVGNDEFGCMLSDILKQNGVDSSGLVFDDDARTALAFYALKSNGEPEFLFYRNPSADMLLRPHEIDLSLVKKATIFHYGSVSLITEPCRSAHLAAMSMAKVSGCILSYSPNLALPLWPSKEAARQGIMSIWNYADIIKVSVDEVRFLIEGDDPYDDKVIMMKLYHYNVKLLVVTEGERGCRYYTKDFKGWVAGFKVEAVDPTGAGDSFVGGLLSIVAAHNHIYKDEKRLREALDFANACGAVTVTRRGGIPSLPTKDAVLTVMFSCDS >Vigun05g077500.4.v1.2 pep primary_assembly:ASM411807v1:5:7186110:7189432:-1 gene:Vigun05g077500.v1.2 transcript:Vigun05g077500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDILKQNGVDSSGLVFDDDARTALAFYALKSNGEPEFLFYRNPSADMLLRPHEIDLSLVKKATIFHYGSVSLITEPCRSAHLAAMSMAKVSGCILSYSPNLALPLWPSKEAARQGIMSIWNYADIIKVSVDEVRFLIEGDDPYDDKVIMMKLYHYNVKLLVVTEGERGCRYYTKDFKGWVAGFKVEAVDPTGAGDSFVGGLLSIVAAHNHIYKDEKRLREALDFANACGAVTVTRRGGIPSLPTKDAVLTVMFSCDS >Vigun05g077500.3.v1.2 pep primary_assembly:ASM411807v1:5:7185976:7189554:-1 gene:Vigun05g077500.v1.2 transcript:Vigun05g077500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDILKQNGVDSSGLVFDDDARTALAFYALKSNGEPEFLFYRNPSADMLLRPHEIDLSLVKKATIFHYGSVSLITEPCRSAHLAAMSMAKVSGCILSYSPNLALPLWPSKEAARQGIMSIWNYADIIKVSVDEVRFLIEGDDPYDDKVIMMKLYHYNVKLLVVTEGERGCRYYTKDFKGWVAGFKVEAVDPTGAGDSFVGGLLSIVAAHNHIYKDEKRLREALDFANACGAVTVTRRGGIPSLPTKDAVLTVMFSCDS >Vigun05g077500.1.v1.2 pep primary_assembly:ASM411807v1:5:7185976:7189554:-1 gene:Vigun05g077500.v1.2 transcript:Vigun05g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCCFPVTLDRSRSRRGSSKLCSSKPRRKENYKGPLIVCFGEMMMNLVPTVLRVSLAEAPAYKKFPSGATANVAVGASRLGVSAAFIGKVGNDEFGCMLSDILKQNGVDSSGLVFDDDARTALAFYALKSNGEPEFLFYRNPSADMLLRPHEIDLSLVKKATIFHYGSVSLITEPCRSAHLAAMSMAKVSGCILSYSPNLALPLWPSKEAARQGIMSIWNYADIIKVSVDEVRFLIEGDDPYDDKVIMMKLYHYNVKLLVVTEGERGCRYYTKDFKGWVAGFKVEAVDPTGAGDSFVGGLLSIVAAHNHIYKDEKRLREALDFANACGAVTVTRRGGIPSLPTKDAVLTVMFSCDS >Vigun05g077500.5.v1.2 pep primary_assembly:ASM411807v1:5:7186110:7189432:-1 gene:Vigun05g077500.v1.2 transcript:Vigun05g077500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDILKQNGVDSSGLVFDDDARTALAFYALKSNGEPEFLFYRNPSADMLLRPHEIDLSLVKKATIFHYGSVSLITEPCRSAHLAAMSMAKVSGCILSYSPNLALPLWPSKEAARQGIMSIWNYADIIKVSVDEVRFLIEGDDPYDDKVIMMKLYHYNVKLLVVTEGERGCRYYTKDFKGWVAGFKVEAVDPTGAGDSFVGGLLSIVAAHNHIYKDEKRLREALDFANACGAVTVTRRGGIPSLPTKDAVLTVMFSCDS >Vigun07g221700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34430170:34431267:-1 gene:Vigun07g221700.v1.2 transcript:Vigun07g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFFGAMSDPHAPIKVITSNGGIMEFSAPVTVSFITNEFPGHAIFRSHDLFWKPLSQFQELEAGQSYYLLPLNNNNTNNTNNNSSNNSSNNDNIDIPCGVGENVNVVRQGHVRSHSVPTTSYPALYRMSLDYQHHHLKRSSIEAFSSSNIHGGVGGSSRFWKVKLVITPEQLLDILAQEARTKELLQSVRMVAKCGDAAGTISDQWNLSSTSWSISSKIDALVGI >Vigun07g203800.1.v1.2 pep primary_assembly:ASM411807v1:7:32556551:32559601:-1 gene:Vigun07g203800.v1.2 transcript:Vigun07g203800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTRDINEDSMLRCPFLRNINEPTNFSFSSPLALPMPVRGAKGPIFEDGPNFDLAFRLFHGSDGVVPLSDRSFRHSEKKVQPEPPKSQFNPLAAKAATISLSSFGFGGPFGFDAFSEKWNNQKKKSKSSKNEPSSQDGSKHEAGNDWLQNGNCPIAKSYRAVSNVLPLVAKVIQPPPGMKYKCPPAVVAARAAIARTAFAKNLRPQALPTKVLVIGMLGMAANVPLGIWREHTKKFSPAWFAAVHAAVPFIGMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKALAAGKVSITEASSGVGPKTLDKHCADAADWNAVSLQLAATSSTDVFCS >Vigun07g203800.2.v1.2 pep primary_assembly:ASM411807v1:7:32556424:32559604:-1 gene:Vigun07g203800.v1.2 transcript:Vigun07g203800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTRDINEDSMLRCPFLRNINEPTNFSFSSPLALPMPVRGAKGPIFEDGPNFDLAFRLFHGSDGVVPLSDRSFRHSEKKVQPEPPKSQFNPLAAKAATISLSSFGFGGPFGFDAFSEKWNNQKKKSKSSKNEPSSQDGSKHEAGNDWLQNGNCPIAKSYRAVSNVLPLVAKVIQPPPGMKYKCPPAVVAARAAIARTAFAKNLRPQALPTKVLVIGMLGMAANVPLGIWREHTKKFSPAWFAAVHAAVPFIGMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKALAAGKVSITEASSGVGPKTLDKHCADAADWNAVSLQLAATSSTDVFCS >Vigun02g157100.1.v1.2 pep primary_assembly:ASM411807v1:2:30330116:30332485:-1 gene:Vigun02g157100.v1.2 transcript:Vigun02g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKIALLLMGILLNATTLSGVELLAHDYYKEKCPIAENIVRHNVEVALLKDPRLAASLLRLHFHDCFVMGCDASVLLDSVEGMTSEKLAGPNVNSLRGFELIDNIKYLLEEECPITVSCADILAMAARDAVQLRGGPRWEVLLGRKDSLESSFTGANLFIPAPNSSLEVLIDNFKQQGLDTEDLVTLSGEQKPGSHTIGRARCLNFRQRIYEEEDEYHHGNDRYKRYTTFRRILRSICPVEGRDNKFAPLDLQTPKRFDNQYFINILGGNGLLGSDNVLISQDLDGQITEHVWAYASNEKLFFASFAKSMIKMGNINVLTGDEGEIRRNCRFVNA >Vigun11g127800.1.v1.2 pep primary_assembly:ASM411807v1:11:33523118:33526453:1 gene:Vigun11g127800.v1.2 transcript:Vigun11g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNLDGKTIPMMSICMIGGGGFIGSHLCEKLVAETNHKAVVVDVSSEKIDHLLDRSLPWAHRIEFHQLNIKSDSRLETLIKSTDLTINLAAICTPADYNTRPLDTIYSNFVDSIPVIKYCTENKKRLIHFSTCEVYGKTIGSFLPQEFRKDPKYFILKEDESPCIFGPINKQRWSYACAKQMTDRLIYAEYAENGLDFTIVRPYNWIGPRMDFIPGVDGPSDGVPRVLACFSNSLLRGEPLKLVDGGKSQRTFLYIKDAIDAVALMIDNPEKANGYIFNVGNPDNEVSVKELAELMIKAYAKVSGAPASSMSTVNVSSEDFYGKGYDDSDRRIPDMTFITRQLAWKPRTPLDELLDVTLQYQHRTYSRAIERELSKPTN >Vigun11g127800.2.v1.2 pep primary_assembly:ASM411807v1:11:33523118:33526453:1 gene:Vigun11g127800.v1.2 transcript:Vigun11g127800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYVCNWVVHVMQIKYCTENKKRLIHFSTCEVYGKTIGSFLPQEFRKDPKYFILKEDESPCIFGPINKQRWSYACAKQMTDRLIYAEYAENGLDFTIVRPYNWIGPRMDFIPGVDGPSDGVPRVLACFSNSLLRGEPLKLVDGGKSQRTFLYIKDAIDAVALMIDNPEKANGYIFNVGNPDNEVSVKELAELMIKAYAKVSGAPASSMSTVNVSSEDFYGKGYDDSDRRIPDMTFITRQLAWKPRTPLDELLDVTLQYQHRTYSRAIERELSKPTN >Vigun11g127800.3.v1.2 pep primary_assembly:ASM411807v1:11:33524310:33526453:1 gene:Vigun11g127800.v1.2 transcript:Vigun11g127800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRLIYAEYAENGLDFTIVRPYNWIGPRMDFIPGVDGPSDGVPRVLACFSNSLLRGEPLKLVDGGKSQRTFLYIKDAIDAVALMIDNPEKANGYIFNVGNPDNEVSVKELAELMIKAYAKVSGAPASSMSTVNVSSEDFYGKGYDDSDRRIPDMTFITRQLAWKPRTPLDELLDVTLQYQHRTYSRAIERELSKPTN >Vigun01g103500.1.v1.2 pep primary_assembly:ASM411807v1:1:27282529:27285851:1 gene:Vigun01g103500.v1.2 transcript:Vigun01g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCLSNDSVSGFKDKESMVDPFLVEALQNPRHRLTILRMELDIQRFMNNADQQHFEFPHFPSSYLRLAAHRVAQHYSMQTMVQDIGLDGQGSKILVRKLPESKYPMVKLSEIPAKQLENDKSQQKKFVIRPRPNKNSLNDANGVGMKGNPVRSVEERKEEYDRARARIFSSSRIPDSADISSMVPMDGRNSSTTKDESETSKNPVAADSERCVSVRDICSNRVAIFRDREKDRSDPDYDRSYGRYARSIPTSAVNLVPFNLQKVQPSFAQYDATFNHMGQMSQTQATHGYIPPSTPIMNHFCTTGLNQTPTDGAYIQWPSAAMMYAHSYDQFRHAVFQAPFGQQPLSFDYSQNY >Vigun05g208700.1.v1.2 pep primary_assembly:ASM411807v1:5:39949970:39953361:1 gene:Vigun05g208700.v1.2 transcript:Vigun05g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKDRDNFVYIAKLAEQAERYEEMVDSMKKVANLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNELNAKRIKEYRQKVELELSNICNDVMRVIDEHLIPSAAAGESTVFYYKMKGDYYRYLAEFKTGNEKKEAADQSMKAYESATTAAESDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDAQKVNGTAKLGGGEDAE >Vigun02g199300.1.v1.2 pep primary_assembly:ASM411807v1:2:33398304:33401511:1 gene:Vigun02g199300.v1.2 transcript:Vigun02g199300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHVSMASLKLVLLLFILSFVDLNTCKAQDQNDGPVYLYHNCSGGNTTANSAYRLNVGTLFSSLSSNSTEYSNDTVGTSSSDRVYGLFMCRGDVPTALCRQCVLNATRRLSSECSLAKQAVIWYDECTVRYSNRSFFSTVDVRPRVGLLNSANISNQESFMRLLFSTINSTADEAANFSIGVKKYATRQANISGFQSLYCLAQCTPDLSPQDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRQVTPAPSPSPLLLPPPASANSQGGGGISTGTIVAIVVPITVAVLLFIVGICFLSRRARKKQSSVKEGKNVDDITTVESLQFGFGTIEAATNNFSAENKLGEGGFGEVFKGTLPSGQEIAVKRLSKSSGQGGEEFKNEVVVVAKLQHRNLVTLLGFCLQGEEKILVYEYIPNKSLDYTLFDPEKQRELDWRRRYKIIEGTARGIQYLHEDSRLRIIHRDLKASNILLDRDLNPKISDFGMARIFGVDQTQGNTDRIVGTYGYMAPEYAMHGEFSVKSDVYSFGVLLMEIISGKKNSSFYQTDGAEDLLSYAWLLWKDGTPLELMDPILRESYNQNEIIKSIHIGLLCVQEDPEDRPTMATIVLMLDSNTVTLPTPKRPAFFIHSGTDTNMPKEQQFGQSITKSLPVSINDASISEMDPR >Vigun10g077500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:21103944:21106593:-1 gene:Vigun10g077500.v1.2 transcript:Vigun10g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREADHKQIILDEWADSMQTMLDCVDVENVETCSIYLVSNQLRKSKAEAYMPQVVSIGPLHQGKRMELQHMEKIKWRCLMHILSRVKINPRKALMKCGRHLLNLDEVVRASYSVDDLGFNSSNLAKIMVLDGCFLLELLISASPELDNRIQSSLSDSSPGARVIRWEKVLSDLTMLENQIPLVVLHVLSCALFPEVFPSNGLIQELALSILGYNYGTLGSISQEELKVFHFLELVHASIDKEKVKDRVKEDSGIHIENGEDKRVTHRKVKLDRCATRLQAAGVTIEGTNDAQRDHSNIAAMTRFDLRVKFSDGKLEIPQLRITETTEAKWRNFIAWEVNKNMVEKHNGEIAISEAMNDAGIRFHFLCYAWFFQGLICSVHDVRVLLDRGVISVALDKEGKRVKSDKDLVDMFRKMTEGISESDVEETGIDPWIGEVILKLNSYPSTLSATGRSRITWHIFRGNLTSFWYNWRHSFRVLRRDYIPTRWKLIAVLAAAAGLILTALQTAFSVPRGK >Vigun10g047500.2.v1.2 pep primary_assembly:ASM411807v1:10:7088452:7101042:1 gene:Vigun10g047500.v1.2 transcript:Vigun10g047500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVNEKLLEDLEVMGFPKAQATRALHYSGNTSLEDAINWIVDHENDIDIDEMPLVDVDIEVESTESFPISEEIRIKAQSLREQERKRKEQEEKRLEREREKERIQAGKRLLEAKRIAEENERQRNLSLRKAEKEEEKRARERVLQKLEQDKLNRKYKQGLPSEGQASIRSSATKLQQEKKLNPVYTTTKAEQLRESLRNLKRNHQDRVGSLNGGVEFLELCGFERTGDFLHLPQEKVDMALLNSAGFVLNSAVTNPFFGVLSTTHN >Vigun10g047500.3.v1.2 pep primary_assembly:ASM411807v1:10:7088931:7101042:1 gene:Vigun10g047500.v1.2 transcript:Vigun10g047500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVNEKLLEDLEVMGFPKAQATRALHYSGNTSLEDAINWIVDHENDIDIDEMPLVDVDIEVESTESFPISEEIRIKAQSLREQERKRKEQEEKRLEREREKERIQAGKRLLEAKRIAEENERQRNLSLRKAEKEEEKRARERVLQKLEQDKLNRKYKQGLPSEGQASIRSSATKLQQEKKLNPVYTTTKAEQLRESLRNLKRNHQGEDARVRRAFQTLLIYVGNVAKNPKEEKYRKIRLNNPLFLDRVGSLNGGVEFLELCGFERTGDFLHLPQEKVDMALLNSAGFVLNSAVTNPFFGVLSTTHN >Vigun10g047500.4.v1.2 pep primary_assembly:ASM411807v1:10:7088931:7101042:1 gene:Vigun10g047500.v1.2 transcript:Vigun10g047500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVNEKLLEDLEVMGFPKAQATRALHYSGNTSLEDAINWIVDHENDIDIDEMPLVDVDIEVESTESFPISEEIRIKAQSLREQERKRKEQEEKRLEREREKERIQAGKRLLEAKRIAEENERQRNLSLRKAEKEEEKRARERVLQKLEQDKLNRKYKQGLPSEGQASIRSSATKLQQEKKLNPVYTTTKAEQLRESLRNLKRNHQDRVGSLNGGVEFLELCGFERTGDFLHLPQEKVDMALLNSAGFVLNSAVTNPFFGVLSTTHN >Vigun10g047500.1.v1.2 pep primary_assembly:ASM411807v1:10:7088452:7101042:1 gene:Vigun10g047500.v1.2 transcript:Vigun10g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVNEKLLEDLEVMGFPKAQATRALHYSGNTSLEDAINWIVDHENDIDIDEMPLVDVDIEVESTESFPISEEIRIKAQSLREQERKRKEQEEKRLEREREKERIQAGKRLLEAKRIAEENERQRNLSLRKAEKEEEKRARERVLQKLEQDKLNRKYKQGLPSEGQASIRSSATKLQQEKKLNPVYTTTKAEQLRESLRNLKRNHQGEDARVRRAFQTLLIYVGNVAKNPKEEKYRKIRLNNPLFLDRVGSLNGGVEFLELCGFERTGDFLHLPQEKVDMALLNSAGFVLNSAVTNPFFGVLSTTHN >Vigun06g036300.1.v1.2 pep primary_assembly:ASM411807v1:6:15072429:15074383:-1 gene:Vigun06g036300.v1.2 transcript:Vigun06g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSNLTYFLVNQANGVKGLADLNLPTVPPQYIQPLQARLDHSKIIPRKSIPIVDFTNWEDPDVQNSIFDAATDWGFFQIVNHGIPFKVIDDLKATVHRFFELPAEEKKSLKENSPPEVVRLGTSFSPHAEMVLEWKDYLQLVYASEEKIHAHWPVICKDEAVEYMKHCEVLIRKLLKVLLKKLNVEELDEAREDTLMGSMILGFNYYPACPEPEIVSGVSPHSDISSITVLLQDDIGGLYVRDSDDGESWIYVPPVEGALVINIGDVLQIMSNDRYKSIEHRVIANKRKTRISIPIFVNPKPDAVIGPLSEVLEIGDKPKYKQLLFSDYFKHFFSKPHDGKKTIEFAMI >Vigun05g091501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8852035:8852181:-1 gene:Vigun05g091501.v1.2 transcript:Vigun05g091501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSMPHPQFLMLLLLKLTKVVYDSVPGLSSSKEKVHLLCALGNYILT >Vigun02g049100.1.v1.2 pep primary_assembly:ASM411807v1:2:18974655:18982140:1 gene:Vigun02g049100.v1.2 transcript:Vigun02g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETQDQYYSPSLPEISLSRDGSERSYSNAQINRGSGISGLKKRGHGSRSWIKIGHDGNFETVRLDKATIMRNCSLPSRDLRLLDPMFIYPSAILGREKAIVVNLEQIRCIITADEVILMNSLDGSVGQYRSELCSRLKNEKADDLPFEIRALELALELTCTSLDAQVNELEMEIYPVLDELASSISTLNLERVRRFKGHLLALTQRVQKVRDEIEHLMDDDGDMAEMCLTEKKRRSDTCTINDCFQTNASGGLTSKSAPASPERTTSGVQMLQRAFSSIGNSSKPGSSMVSSDNGEKIEPLEMLLEAYFVVIDNTLNTLLSLKEYIDDTEDFINIKLGNIQNQLIQFELLLTAATLVAAVFTAVAGVFGMNFETTVFDYPSGFNLVLVITGIACIALYFALLSYFRYKKVLAT >Vigun01g205950.1.v1.2 pep primary_assembly:ASM411807v1:1:38184095:38186049:-1 gene:Vigun01g205950.v1.2 transcript:Vigun01g205950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSSHIDNSLPPFPFAPFALWLEWKTLPRLTKELMFRILLSSLTGFTGNQMLYSVGLKFSTPTIVCAVSNMLPAFTFILAVIFRQENLGIKQRYGLAKVFGTILCVSGAMILSFYHGKTIGLGQSSIHWRYAEKIEGTTASGSGNMFVGPLFLIGSTLVWALWFIIQTDISKKFPAPYTSTGLMCFLASFQCMFIALCFDHSASAWSLHDAMRLSAALYAGIMATGLSYSLMSWAIERKGPLYVSVFIPLQLVLTAVLSWALLREKLYVGTALGSLLIVLGLYTLLWGRVKR >Vigun07g271400.2.v1.2 pep primary_assembly:ASM411807v1:7:38712322:38714767:1 gene:Vigun07g271400.v1.2 transcript:Vigun07g271400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGSKKEIQKVGLEISNRFPLTRTTLASMESLSLPVVQEVVLSADMQCEKCQKRVADIIAKMNETESVVVNVLEKKVVLTFRLTTIGKVVSQQITPLPKVAIIKRIFRFSRS >Vigun07g271400.1.v1.2 pep primary_assembly:ASM411807v1:7:38712322:38714767:1 gene:Vigun07g271400.v1.2 transcript:Vigun07g271400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGSKKEIQKVGLEISNRFPLTRTTLASMESLSLPVVQEVVLSADMQCEKCQKRVADIIAKMNAETESVVVNVLEKKVVLTFRLTTIGKVVSQQITPLPKVAIIKRIFRFSRS >Vigun07g271400.4.v1.2 pep primary_assembly:ASM411807v1:7:38712407:38714596:1 gene:Vigun07g271400.v1.2 transcript:Vigun07g271400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGSKKEIQKVGLEISNRFPLTRTTLASMESLSLPVVQEVVLSADMQCEKCQKRVADIIAKMNAETESVVVNVLEKKVVLTFRLTTIGKVVSQQITPLPKVAIIKRIFRFSRS >Vigun07g271400.5.v1.2 pep primary_assembly:ASM411807v1:7:38712407:38714597:1 gene:Vigun07g271400.v1.2 transcript:Vigun07g271400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGSKKEIQKVGLEISNRFPLTRTTLASMESLSLPVVQEVVLSADMQCEKCQKRVADIIAKMNETESVVVNVLEKKVVLTFRLTTIGKVVSQQITPLPKVAIIKRIFRFSRS >Vigun07g271400.6.v1.2 pep primary_assembly:ASM411807v1:7:38712369:38713521:1 gene:Vigun07g271400.v1.2 transcript:Vigun07g271400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDGSKKEIQKVGLEISNRFPLTRTTLASMESLSLPVVQEVVLSADMQCEKCQKRVADIIAKMNGRPLFYIECGLVIAKFGHCFMIGFEAL >Vigun03g118001.2.v1.2 pep primary_assembly:ASM411807v1:3:10973171:10977785:-1 gene:Vigun03g118001.v1.2 transcript:Vigun03g118001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLLQCSYHREIDQISKWWSGLGLANELVYARNQPLKWYIWSLACFADPTLSEERIELTKPISLIYIIDDIFDVYGTLDELTLFTEAVCRWDITALEQLPDYMKTCFRVLYNLTNEISSKVYQKHGWNPIHPLQNAWKSLCKAFLVEAKWFGSGKLPGAEEYLENGSVSSGVHIMLVHSFFLLGEGLTEENVEIIDRNPNIISSPGTILRLWDDLGSAQWYCCKG >Vigun03g118001.1.v1.2 pep primary_assembly:ASM411807v1:3:10973171:10977785:-1 gene:Vigun03g118001.v1.2 transcript:Vigun03g118001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLLQCSYHREIDQISKWWSGLGLANELVYARNQPLKWYIWSLACFADPTLSEERIELTKPISLIYIIDDIFDVYGTLDELTLFTEAVCRWDITALEQLPDYMKTCFRVLYNLTNEISSKVYQKHGWNPIHPLQNAWKSLCKAFLVEAKWFGSGKLPGAEEYLENGSVSSGVHIMLVHSFFLLGEGLTEENVEIIDRNPNIISSPGTILRLWDDLGSAQDENQKGNDGSYVDYVMMDKPEYTREVARERAMSKICDAWKRLNQECLFHKQFHPTFTKASLNLARIVPLMYSYDEKQSLPGLEEQVKSLLYDSFL >Vigun05g193200.2.v1.2 pep primary_assembly:ASM411807v1:5:37480743:37483431:1 gene:Vigun05g193200.v1.2 transcript:Vigun05g193200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGETYGWILFTLFVPFSINGKGAIYTVLSTITFIIVCIFVVRPLIQWFIDRKEDKDEWNDNQLLFIIMGVLACSCISDFLGAHAIVGAFVFGLILPNGKFAELVMSISDDFVGGFLVPLFFTGTGMRLMLIAIFSQESWPFTVVIILLLCALKILSTLFVTFFFGMRIRDGLTLGLILNNKGTMALIMLNIAWDRTIFSIPTYAVITSAVLLMTIVVSPIINVVYKPRQLFEQNKLKTVQKLRVDAELRFIACVHNTRQAASMINIIECFNATRVSPVHVFALYLVELTGRAAALVVAHIGKHRSQFGEQNLTRSQEELESINNAFDALGEAYDAVRVETLNVVSAYTTIHEDIYHSADEKRTSLILLPFHKQLSLEGTLEVTNVVYKDINQNVMQGAPCSVGIFVDRDFGLVPKTNLHIRVVFVGGPDDREALAIAWRMAGRSGTQLSVVRILLLGEAAKLDGLVPDEAQGILSAVIDTDRQKELDEEYISTFRLTAVNNSDSISYSEIDVHSGEDIPAVLKEIEKYGCDIYIVGQGNCRNSKVFSNLMEWCECLELGVIGDILVSNNFGSRSSVLVVQQYGYGGMVFGNNLNQKATDKGTFESVV >Vigun07g139500.1.v1.2 pep primary_assembly:ASM411807v1:7:24925007:24933674:1 gene:Vigun07g139500.v1.2 transcript:Vigun07g139500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKIFPACGNMCVCCPALRSRSRQPVKRYRKLLADIFPKNPDETPSDRKIIKLCEYAARNPFRIPKIAKYLEERCSRELKSEHIKVVNIIMESFNKLLSICKVQIAYFAVDVLNVISELLSYSKDETIQTLGCQCLSRFIYCQVDATYTHNIEKLVRKVCMLSREQGEATEKRCLRASSLQCLSAMVWFMAEFSHIFEDFDEIVHATLDNCEWSRQNEDADARAEAHHNWVDEVVRCEGRGGSVIGTNDNRSSCLIIQPRPEIKDPSLLTREEIEKPEIWAQICIQRMVELAKESTTMRRVLDPMLVYFDFRQHWAPERGLAMIVLSRMAYFMENSGNQRLILASVIHHLDHKNVVNDPQLKTFVVQVATSLAMQIRSGRGLAEIGFVGDLCRHLRKSLQASTEYVGEQELNLNISLQNSIEDCLLEIANGVTDAQPLFDLMAITLENIQSGVVGRATIGSLIILARAVTLALTRLQSQQGFPEALFVQLLKVMLHSDVEARVGAHLIFCVLLFPSSFHTHEISSLRSRYLDQHNKRHSHTASVSASASITALLEKLRRNRDSTKAGNHGSTVHDGCQERDIVAEDWKQGCGLKNSPNFYKLSSIIDRATGSPSLTDTEPYVMKLTEDQMAQLLSAFWVQANLPDNLPSNIEAIAHSFILTLIVLRIKNLKDRDNLVIRFFQLPLSLWTMLLDQNNGIMPPACQRSVFVLSAGMLVFACKIFQINDVNEVFASLPMSDVDPFLSIGDDYQVYAKINVDVREYGTAADNQLACSILSELQNKIRECHQTIRDALVHNLSNVTELDADEVAMLLSETFKPDEEFVYGPQSMLDQNQIIFHSQESLSFDGDFPLNSAGEDDTISEASVSDLSRFIPKMPVSPSAPHVISIGQLMESALEVAGQVAGTAVSTSPLPYNAMASQCESLGTCARKKLSNWLAFENHYIQEADKSFLAIADVRNSALEKVGNGDGHGQLARDPMRLPPASPFDNFLKAAGC >Vigun04g032400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2660918:2664276:-1 gene:Vigun04g032400.v1.2 transcript:Vigun04g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTAKTTSLRYAIPKFSVFLRFFSYSQNQNAKNNYGFDAIGDAVALFSRLITMHPLPSVVEFNMILGSVVKMKHYPTAISLFKQMGLRGITPSIVSLSILINCYCHLGHLGFAFSVLGMVLKMGYQPNPVTLTTLMRGLCLNGEVRKAVDFHDSVVAQGFLLDEVSYGTLINGLCKLGQIRGAFEFVQKMEGQMVKPNVVIYNIMIDGLCKDGFVTEACGLYSEIVSRGISPDIFTYTCLIHGFCSLGQWREVTQLLCDMVDKKVNPNVYTYNILIDALCKNRMLTKAHDMCNLMIERGEQPDVVTFNTLMSGYCLYNDVDEARKLFDTFVDWDIVPDVWSYNILIIGYCKSKRIDEALILFNKMHCTNLVPNIVTYSSVIDGLCKSGRISYAWELFSAICDGGPSPNVITYNIMLDALCKTQLMDDAIELFNLMFERGLTPNVSSYNILINGYCKRKRIVEAMNLFKEMHHRNLVPDSVTYNSLIDGLCKSGRISHAWELFYAMHDGGMPINVISYNILLDAFS >Vigun04g032400.2.v1.2 pep primary_assembly:ASM411807v1:4:2660934:2664276:-1 gene:Vigun04g032400.v1.2 transcript:Vigun04g032400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKMGYQPNPVTLTTLMRGLCLNGEVRKAVDFHDSVVAQGFLLDEVSYGTLINGLCKLGQIRGAFEFVQKMEGQMVKPNVVIYNIMIDGLCKDGFVTEACGLYSEIVSRGISPDIFTYTCLIHGFCSLGQWREVTQLLCDMVDKKVNPNVYTYNILIDALCKNRMLTKAHDMCNLMIERGEQPDVVTFNTLMSGYCLYNDVDEARKLFDTFVDWDIVPDVWSYNILIIGYCKSKRIDEALILFNKMHCTNLVPNIVTYSSVIDGLCKSGRISYAWELFSAICDGGPSPNVITYNIMLDALCKTQLMDDAIELFNLMFERGLTPNVSSYNILINGYCKRKRIVEAMNLFKEMHHRNLVPDSVTYNSLIDGLCKSGRISHAWELFYAMHDGGMEEAMNLFRDMHCNSLILDTIKSSLGNVVTYNTIINGLCLEVRMIKHRSYFKKCLLKI >Vigun09g091400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12767199:12782598:-1 gene:Vigun09g091400.v1.2 transcript:Vigun09g091400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHQHHLPGNPILTKLYFYAKKKIKNLMLTNSQVLSLLLSEVNIVILMFIPFEWLHSELSNICRSLLRVKQLHAFLLKTHLSEDPFYATKILRQYAVNSDINSAHHVFDKTSDRSVYLWNSMIRAFAQSQRFFSAISLFRTMLGADISPDGHTYACVIRACADNFDYGMLRRVHGGAVAAGLGLDPVCCSALVTAYSKLGLVHEARRVFNRITEPDIVLWNSLISGYGSSGLWDVGMQMFSAMKLVGKKPDGYTLAGLLVGIVDSGVLSIGQGLHCLSQKSGLDADSHVSSLLVSFYSRCKCMDYAYRVFCSILNPDLVTWSALIMGYSQAGVFEKVLYLFWKLNMEDRKADTVLVASVLASIARTANVGLGCEVHGYALRHGLELDVRVSSALIDMYSKCGCLQLGISVFRIMPERNVVSYNSVILAFGLHGYAYEAFKVFEKMLEKGLVPDEATFSSLLSACCHGGLVKDGREIFRRMEEEFNIRPRAEHYVYMVKLLGSSGELEEAYSLIRSLPEPVDKAILGALLSCCNSRGNSELAETVAQQLFANNPTDNVYRVMLSNIYAGDGRWDDVKMLRDKITGRMRKMPGLSWIESS >Vigun02g133400.1.v1.2 pep primary_assembly:ASM411807v1:2:28397182:28398786:-1 gene:Vigun02g133400.v1.2 transcript:Vigun02g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDKNTNGQQFTENGDSHRRLNKYACASVLAASIISSMFGYVTGVMSGALIFIQEDLGISDLQIQLLVGMAHLCGLPGSLIAGRIADHIGRRYTIIVACIAFLLGSILMGYGQPYAILMIGNCIVGVGVSFAMVVAPLYGAEISPPSSRGFFTSLPTLAVNTGFLLGYLSNYFFQKLSLRLGWRMMVAVPAAPSLCLILLMLKLVESPRWLVMQGRVGEARKVLLLVSNAKEEAEQRLREIKNVVGIDGNCTLDIVEVPKKTSSGKGALKELFFKAPPVVRRILVAAVGLHLFLRLGGSAVILLYGPRVFERTGITDKSTLMLANVGIGVSKVVFSLISIFLSDKFGRKILLLMSAVGLSVTMLGLGVCLTIVEHSKEKLLWAQYLTIVLVYIFVASMSIGIAPVTWVYSSEIFPLRFRAQGLSVCVIVNRIFTVVVVTSFISIYKAITMGGIFFVFSGINIAALLFYTTLPETKGRSLEDMETVFERNSK >Vigun05g038700.4.v1.2 pep primary_assembly:ASM411807v1:5:3106989:3109014:1 gene:Vigun05g038700.v1.2 transcript:Vigun05g038700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKMDLEARSFQSDSKVVLLAKVREYKADLNNIKREVKKIVSGVLKPSARDELLESNMANVMKASADHRERLMTSTERLNKSSDGIKDSRRTMLETEDLGVMILQDLHSQRQALLHTHDTLHGVDDNVGKSKKILSNISRRMNRNKWILSTVVVVLIVVITLILYFKLS >Vigun05g038700.3.v1.2 pep primary_assembly:ASM411807v1:5:3106049:3109014:1 gene:Vigun05g038700.v1.2 transcript:Vigun05g038700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFEGYERKYCHLSAKLSKACIEAAAINGELKKQKVSEIKEGIEEAEAMIRKMDLEARSFQSDSKVVLLAKASADHRERLMTSTERLNKSSDGIKDSRRTMLETEDLGVMILQDLHSQRQALLHTHDTLHGVDDNVGKSKKILSNISRRMNRNKWILSTVVVVLIVVITLILYFKLS >Vigun05g038700.1.v1.2 pep primary_assembly:ASM411807v1:5:3105958:3109014:1 gene:Vigun05g038700.v1.2 transcript:Vigun05g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFEGYERKYCHLSAKLSKACIEAAAINGELKKQKVSEIKEGIEEAEAMIRKMDLEARSFQSDSKVVLLAKVREYKADLNNIKREVKKIVSGVLKPSARDELLESNMANVMKASADHRERLMTSTERLNKSSDGIKDSRRTMLETEDLGVMILQDLHSQRQALLHTHDTLHGVDDNVGKSKKILSNISRRMNRNKWILSTVVVVLIVVITLILYFKLS >Vigun05g038700.2.v1.2 pep primary_assembly:ASM411807v1:5:3106049:3109014:1 gene:Vigun05g038700.v1.2 transcript:Vigun05g038700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFEGYERKYCHLSAKLSKACIEAAAINGELKKQKVSEIKEGIEEAEAMIRKMDLEARSFQSDSKVVLLAKVREYKADLNNIKREVKKIVSGVLKPSARDELLESNMANVMKASADHRERLMTSTERLNKSSDGIKDSRRTMLETEDLGVMILQDLHSQRQALLHTHDTLHGVDDNVGKSKKILSNISRRMNRNKWILSTVVVVLIVVITLILYFKLS >Vigun06g155800.1.v1.2 pep primary_assembly:ASM411807v1:6:27927040:27930136:-1 gene:Vigun06g155800.v1.2 transcript:Vigun06g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKLSYPQQIAVTATGIIWSRYSTVITPKNWNLFSVNIAMAGTGIYQLSRKLRHDYSSEAAVTKE >Vigun01g214600.1.v1.2 pep primary_assembly:ASM411807v1:1:38815830:38819470:-1 gene:Vigun01g214600.v1.2 transcript:Vigun01g214600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSDTTEKVIAEYIWIGGSGLDLRSKARTLPGPVSDPSELPKWNYDGSSTGQASGEDSEVIIYPQAIFKDPFRRGNHILVMCDAYTPAGEPIPTNKRHNAAKIFSHADVVAEEPWYGIEQEYTLLQKDISWPLGWPVGGFPGPQGPYYCGVGAEKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEVAGVVLSFDPKPIKGDWNGAGAHTNYSTKTMREDGGYEVIKSAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Vigun07g209700.1.v1.2 pep primary_assembly:ASM411807v1:7:33129111:33134145:-1 gene:Vigun07g209700.v1.2 transcript:Vigun07g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLYDDEDDNGPSFIDETDIIHEVEMDNEDLPDADDDSELEDEDGDFVHKFTAHTGELYSVASSPTDAALVATGGGDDRGFLWKIGQGDWAFELQGHEESVSSLAFSYDGQRLASGSLDGIIKVWDVSGNLEGKKLEGPGGGIEWLSWHPRGHILLAGSEDFTIWMWNTDNAALLNTFIGHGDSVSCGDFTPDGKIICTGSNDATLRIWNPKSAESTHVVRGHPYHTEGLTCLTINSTSTLALSGSKDGSVHIVNITTGRVVDNNALAPHSDSIECVGFSPSDSWAAVGGMDKKLIIWDIEHLIPRATCEHEDGVTCLAWLGASYVATGCVDGKVRLWDSRSGECVKTLKGHSDAIQSLSVSANRDYLVSASLDGTACAFEVENFR >VigunL059259.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000422.1:11675:12203:-1 gene:VigunL059259.v1.2 transcript:VigunL059259.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWNSEYYGLLGCWKQGEAPLELRILWTLGLLEARGGPARTPNTMDSWVVGNDNLGG >Vigun04g132800.2.v1.2 pep primary_assembly:ASM411807v1:4:33294248:33306071:-1 gene:Vigun04g132800.v1.2 transcript:Vigun04g132800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCPSPLQPAILLGAPSFPNAITWSDDNLIAVASGHLVTILRPDLPVGGPRGVIKIFPNQPLRVGIVERQDLLSGCLLPTALYRDDKPVVRSISWSPLGMAANSGCLIAVCTSEGHVKIYRPPFCDYCAEWIEVVDITKRLYEHLKCTEFRGIGISTLDVFDKNASDQMDSLYKHNGKLLKEKSENHSLPLISADQYASRSAMLCSLVVSWSPLLHLASEYYPVCDSFSLLAVGGKSGKISLWRFHPPDCYTIEDREVPTTVKFAGLLHAHNSWVTSISWLLFASDPSNPQILLTSGSSDGSVKIWLADNDKLLKLSKVDQTSFSLLKEVMTLNAVPVSVLSVTVHVQYPSKILLAIGKVSGSFEIWLFDISSREFDKLGSYYAHDYVVTGLTWAFGGRFLYSCSQDNLVQSWILRENHLDGVTLNSDMPRDSSINISRDTFDSCFGVAVSPGNLVIATVHCFDIEKLNRMYEGRVLRAAVDYFWIGGLQMDVQLKSPFSCCIEENSSYLEKELIYWGTNIIWSLNQYQCHDKPLVLWDIITALLAFKDDNSKYAEYIIIKWISSSFLQLDMDLPSEKVLSFVSSSLSDLPSRLLHLFNIICRRVILAELDADQITGFTKTVEKLERVCPSMEKHITKWTEILLSSERELRKRLVGFSFSIFRTSMSNSETSSQHGCWYPVGLAQMEQWIASDQEHLGDQLKAIVSEVSHKKRFLANRCSAVETCSFCSASVPFESPEFGFCQGGNSSDDDVKPHRLLRCVVSMQVCPITPLWYCVCCHRSGFRLAPEPLFRMSSFHVDSDSFIKSSSEAVSSKPFCPFCGILLQRQQPDFLLSPRPV >Vigun04g132800.3.v1.2 pep primary_assembly:ASM411807v1:4:33294248:33306071:-1 gene:Vigun04g132800.v1.2 transcript:Vigun04g132800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCPSPLQPAILLGAPSFPNAITWSDDNLIAVASGHLVTILRPDLPVGGPRGVIKIFPNQPLRVGIVERQDLLSGCLLPTALYRDDKPVVRSISWSPLGMAANSGCLIAVCTSEGHVKIYRPPFCDYCAEWIEVVDITKRLYEHLKCTEFRGIGISTLDVFDKNASDQMDSLYKHNGKLLKEKSENHSLPLISADQYASRSAMLCSLVVSWSPLLHLASEYYPVCDSFSLLAVGGKSGKISLWRFHPPDCYTIEDREVPTTVKFAGLLHAHNSWVTSISWLLFASDPSNPQILLTSGSSDGSVKIWLADNDKLLKLSKVDQTSFSLLKEVMTLNAVPVSVLSVTVHVQYPSKILLAIGKVSGSFEIWLFDISSREFDKLGSYYAHDYVVTGLTWAFGGRFLYSCSQSWILRENHLDGVTLNSDMPRDSSINISRDTFDSCFGVAVSPGNLVIATVHCFDIEKLNRMYEGRVLRAAVDYFWIGGLQMDVQLKSPFSCCIEENSSYLEKELIYWGTNIIWSLNQYQCHDKPLVLWDIITALLAFKDDNSKYAEYIIIKWISSSFLQLDMDLPSEKVLSFVSSSLSDLPSRLLHLFNIICRRVILAELDADQITGFTKTVEKLERVCPSMEKHITKWTEILLSSERELRKRLVGFSFSIFRTSMSNSETSSQHGCWYPVGLAQMEQWIASDQEHLGDQLKAIVSEVSHKKRFLANRCSAVETCSFCSASVPFESPEFGFCQGGNSSDDDVKPHRLLRCVVSMQVCPITPLWYCVCCHRSGFRLAPEPLFRMSSFHVDSDSFIKSSSEAVSSKPFCPFCGILLQRQQPDFLLSPRPV >Vigun04g132800.4.v1.2 pep primary_assembly:ASM411807v1:4:33294248:33306072:-1 gene:Vigun04g132800.v1.2 transcript:Vigun04g132800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGCLIAVCTSEGHVKIYRPPFCDYCAEWIEVVDITKRLYEHLKCTEFRGIGISTLDVFDKNASDQMDSLYKHNGKLLKEKSENHSLPLISADQYASRSAMLCSLVVSWSPLLHLASEYYPVCDSFSLLAVGGKSGKISLWRFHPPDCYTIEDREVPTTVKFAGLLHAHNSWVTSISWLLFASDPSNPQILLTSGSSDGSVKIWLADNDKLLKLSKVDQTSFSLLKEVMTLNAVPVSVLSVTVHVQYPSKILLAIGKVSGSFEIWLFDISSREFDKLGSYYAHDYVVTGLTWAFGGRFLYSCSQDNLVQSWILRENHLDGVTLNSDMPRDSSINISRDTFDSCFGVAVSPGNLVIATVHCFDIEKLNRMYEGRVLRAAVDYFWIGGLQMDVQLKSPFSCCIEENSSYLEKELIYWGTNIIWSLNQYQCHDKPLVLWDIITALLAFKDDNSKYAEYIIIKWISSSFLQLDMDLPSEKVLSFVSSSLSDLPSRLLHLFNIICRRVILAELDADQITGFTKTVEKLERVCPSMEKHITKWTEILLSSERELRKRLVGFSFSIFRTSMSNSETSSQHGCWYPVGLAQMEQWIASDQEHLGDQLKAIVSEVSHKKRFLANRCSAVETCSFCSASVPFESPEFGFCQGGNSSDDDVKPHRLLRCVVSMQVCPITPLWYCVCCHRSGFRLAPEPLFRMSSFHVDSDSFIKSSSEAVSSKPFCPFCGILLQRQQPDFLLSPRPV >Vigun04g132800.1.v1.2 pep primary_assembly:ASM411807v1:4:33294021:33306071:-1 gene:Vigun04g132800.v1.2 transcript:Vigun04g132800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCPSPLQPAILLGAPSFPNAITWSDDNLIAVASGHLVTILRPDLPVGGPRGVIKIFPNQPLRVGIVERQDLLSGCLLPTALYRDDKPVVRSISWSPLGMAANSGCLIAVCTSEGHVKIYRPPFCDYCAEWIEVVDITKRLYEHLKCTEFRGIGISTLDVFDKNASDQMDSLYKHNGKLLKEKSENHSLPLISADQYASRSAMLCSLVVSWSPLLHLASEYYPVCDSFSLLAVGGKSGKISLWRFHPPDCYTIEDREVPTTVKFAGLLHAHNSWVTSISWLLFASDPSNPQILLTSGSSDGSVKIWLADNDKLLKLSKVDQTSFSLLKEVMTLNAVPVSVLSVTVHVQYPSKILLAIGKVSGSFEIWLFDISSREFDKLGSYYAHDYVVTGLTWAFGGRFLYSCSQDNLVQSWILRENHLDGVTLNSDMPRDSSINISRDTFDSCFGVAVSPGNLVIATVHCFDIEKLNRMYEGRVLRAAVDYFWIGGLQMDVQLKSPFSCCIEENSSYLEKELIYWGTNIIWSLNQYQCHDKPLVLWDIITALLAFKDDNSKYAEYIIIKWISSSFLQLDMDLPSEKVLSFVSSSLSDLPSRLLHLFNIICRRVILAELDADQITGFTKTVEKLERVCPSMEKHITKWTEILLSSERELRKRLVGFSFSIFRTSMSNSETSSQHGCWYPVGLAQMEQWIASDQEHLGDQLKAIVSEVSHKKRFLANRCSAVETCSFCSASVPFESPEFGFCQGGNSSDDDVKPHRLLRCVVSMQVCPITPLWYCVCCHRSGFRLAPEPLFRMSSFHVDSDSFIKSSSEAVSSKPFCPFCGILLQRQQPDFLLSPRPV >Vigun03g232800.2.v1.2 pep primary_assembly:ASM411807v1:3:38774003:38777191:1 gene:Vigun03g232800.v1.2 transcript:Vigun03g232800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVIEQSVKKVRDQWEICFARFIPYPSLPSSSDLIPLPPRLRNLPPRGSWISSSAVAFLRLSSHLSNLLLTISFNAALLEEHYVSKLHFTWPQVSCVSGYPARGIRTVLVSYRDPLGEIQKFAMRFPSIYEAESFIGVLKEILKADKDPEPLNTDFCSEISSQSEFMSTNEHSYSRGCEEPSFMTPANNYIPQLPIFVNNEMGQPSGSEVKGTTPSHNFEGMLPALPPSFSSLLMDCSQNNLALPTFSEDIELKSQIVVYGRFFLPRYVD >Vigun03g232800.3.v1.2 pep primary_assembly:ASM411807v1:3:38774003:38777191:1 gene:Vigun03g232800.v1.2 transcript:Vigun03g232800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVIEQSVKKVRDQWEICFARFIPYPSLPSSSDLIPLPPRLRNLPPRGSWISSSAVAFLRLSSHLSNLLLTISFNAALLEEHYVSKLHFTWPQVSCVSGYPARGIRTVLVSYRDPLGEIQKFAMRFPSIYEAESFIGVLKEILKADKDPEPLNTDFCSEISSQSEFMSTNEHSYSRGCEEPSFMTPANNYIPQLPIFVNNEMGQPSGSEVKGTTPSHNFEGMLPALPPSFSSLLMDCSQNNLGFSANFFGGY >Vigun03g232800.1.v1.2 pep primary_assembly:ASM411807v1:3:38774003:38777191:1 gene:Vigun03g232800.v1.2 transcript:Vigun03g232800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVIEQSVKKVRDQWEICFARFIPYPSLPSSSDLIPLPPRLRNLPPRGSWISSSAVAFLRLSSHLSNLLLTISFNAALLEEHYVSKLHFTWPQVSCVSGYPARGIRTVLVSYRDPLGEIQKFAMRFPSIYEAESFIGVLKEILKADKDPEPLNTDFCSEISSQSEFMSTNEHSYSRGCEEPSFMTPANNYIPQLPIFVNNEMGQPSGSEVKGTTPSHNFEGMLPALPPSFSSLLMDCSQNNLALPTFSEDIELKSQIVRYMEDSSFQDMLIKVEKVISELGGDMSLEFTTPGN >Vigun11g213000.1.v1.2 pep primary_assembly:ASM411807v1:11:40774990:40781097:1 gene:Vigun11g213000.v1.2 transcript:Vigun11g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVFARIQNLDPENASKIMGVLLLQDHGEKEMIRLAFGPEALVHSVILKARKELGLPSNSPPTPSTPPSPSPFLSRQSSTSSRLGAINLPPALTIPNPSSTSSSWPTMSELQTPDGLMSPNHLVVGSSTSSSSLPFYVNGGSDPIDDFQLQDQLSFLNDGSPTSTALAHKTNNPDLFYPAHSDLSSSPTGVADPALFPSYGWGGSLHRRSCSVNDACLGSEDPNSGLGWKPCLYFARGYCKNGTSCRFLHGGLGDADGAAAMVGSPSKIEMMEQCHELFRSKSSQQHRLAAASQLMGSPTFPYSPKCMNLLLQQQQSDTQRAAAAALMMSEDLHKFGRSRLERNDFSLNSPGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDARVLVKPYKEKGKVPDKKQQQVERGDFSPCGTPTGLEARDQFDLQLGGRMFYNTQDMLWRRKLEEQADFQQALELQSRRLMGLQLLDIKKHHQRAFSTGSPIPSPTHSPNMFNQNLVLPSFHTSSEAPKESGSSSAPASSTASVSAGQQQPVNISVGKEMVVNGENGYDEGSGKQSPSREDRELQECLEHNLPDSPFASPTKAAGGDFMVSFSNGPNEAIDADASGATANSKFGTSALLPPASALDMGTFKSYNCQIPRFSSSHGTIGMLAGTGGAIGI >Vigun01g062766.1.v1.2 pep primary_assembly:ASM411807v1:1:14188595:14190870:1 gene:Vigun01g062766.v1.2 transcript:Vigun01g062766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFTCARHRRPSRTLVLPSNTAIAPVNPTFLPRSEDEREMCSRSSGHKAPLLIGCDVRNLTAETLEILSNEEVIAINQDSLGV >Vigun09g177800.1.v1.2 pep primary_assembly:ASM411807v1:9:35015280:35019569:1 gene:Vigun09g177800.v1.2 transcript:Vigun09g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASNSLLQTKSILPTHPLLPSSTTQCYSVLGPKPRPIQSVHAADNPVNVVTDGKQQQPRHDAKWAVDSWKSKKALQLPEYPNQEKLQAVLKSLETFPPIVFAGEARNLEERLADAAVGKAFLLQGGDCAESFKEFNANNIRDTFRILLQMSVVMMFGGQMPVIKVGRMAGQFAKPRSEQLEEKNGVKLPSYRGDNVNGDAFEEKARIPDPERMIRAYSQSAATLNLLRAFATGGYAAMQRVGQWNLDFTEHSEQGDRYLDFAHRVDEALGFMAAAGLTVEHPVMKTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVRLISILNPQNKAGRITVITRMGAENMRVKLPHLIRAVRRAGHTVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVKAFLDVHEQEGSQPGGVHLEMTGQNVTECIGGSRTVTFNDLGSRYHTHCDPRLNASQSLELAFIISERLRKRRIATNFSL >Vigun03g272300.1.v1.2 pep primary_assembly:ASM411807v1:3:44729853:44731129:-1 gene:Vigun03g272300.v1.2 transcript:Vigun03g272300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKVVLIFILCLSMMSAGHCIDRKHFVLVHGVCHGAWSWYKVKPLLESAGHKVTTLDLAASGINMHNIDNVNTFAQYTEPLLQLLATTSSNEKVILVAHSLGGLNIALAMDKFPQKVAVGVFLTAFAPDTEHNPSYVLLKYAERIPLSTWMNVKFEPSGNKTSILFSPMYMSSNLYQRSPAQDLELAKSLVRTSSLFVEDLAIQMKFSKEGYGSVSRSFIVCTEDLTIPMEYQQWMIQNAVIDDVLSIEGADHMAMNSKPRELFDSLQKIATKYA >Vigun11g195300.1.v1.2 pep primary_assembly:ASM411807v1:11:39466217:39466780:1 gene:Vigun11g195300.v1.2 transcript:Vigun11g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSCNLFIFGMLFATLVLLSVVGETVFAEAVAVEDSPVPSCDGLKCSLGTAVCNICCIGQGYTSGTCVKQGYYYICQCKI >Vigun03g398000.1.v1.2 pep primary_assembly:ASM411807v1:3:60433761:60443440:-1 gene:Vigun03g398000.v1.2 transcript:Vigun03g398000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLVFAFCAVVIAAAGSTFDESNPIRLASDLESRVLDVIGQSRSALSFARFALRHGKNYHSADEIRQRFRIFSDNVRLIRSTNKRPLTYKLGVNHFADWTWEEFTRHRLGAAQNCSATLKGNYRLTDAAPPEEKDWRKEGIVSEVKDQGHCGSCWTFSTTGSLEAAYAQAFGKNISLSEQQLVDCAGAFNNFGCAGGLPSQAFEYIKYNGGLDTEEAYPYTGQDGVCKFTSENVAVRVIDSINITLGAEDELKHAVAFARPVSVAYEVVDDFRFYKKGVYTSNTCGSTPMDVNHAVLAVGYGVEDGVPYWIIKNSWGSNWGDNGYFKMELGKNMCGVATCASYPVVA >Vigun03g325400.2.v1.2 pep primary_assembly:ASM411807v1:3:52194749:52199857:1 gene:Vigun03g325400.v1.2 transcript:Vigun03g325400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSDGFVRADQIDLKSIDEQLERHLNKVLTIDKKKRSDEEEDADQVHVHTSATASPKFRPASARLNLKKQKQEWEIDPSKLIIKSVIARGTFGTVHRGIYDTQDVAVKLLDWGEEGQRTEAEIASLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELQIQTDNGLIGMPSNFCCVVVEYLAGGNLKSYLIKNRRRKLAFKIVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEFWHLFMGNILL >Vigun03g325400.1.v1.2 pep primary_assembly:ASM411807v1:3:52194749:52199857:1 gene:Vigun03g325400.v1.2 transcript:Vigun03g325400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSDGFVRADQIDLKSIDEQLERHLNKVLTIDKKKRSDEEEDADQVHVHTSATASPKFRPASARLNLKKQKQEWEIDPSKLIIKSVIARGTFGTVHRGIYDTQDVAVKLLDWGEEGQRTEAEIASLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELQIQTDNGLIGMPSNFCCVVVEYLAGGNLKSYLIKNRRRKLAFKIVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKKCWDATPDKRPEMDEVVSMLEAIDTTKGGGMIPHDQQQGCFCFRKHRGP >Vigun05g184800.1.v1.2 pep primary_assembly:ASM411807v1:5:35660645:35667218:-1 gene:Vigun05g184800.v1.2 transcript:Vigun05g184800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYHHTEITVTASTTTIESNNPYVYISSDPPSPAVHKRSNSRDKIYGAINNYGKKVQEATKQAETMVDNILHHLRVSSKPADAAIAKLIQGTKVLASGGPEKLFQQTFGVFPGEKLLQPYACYLSTDSRPVIGTLYISTKRLAFCSDHPLCHHPFSLQQHHQCIYYKMIVNLDQLSKVCSLTNGVNPSEKRMQVITTDGYEFNFMGFLSYDKALKTVNEALKHKATTIPVFMQ >Vigun08g029800.1.v1.2 pep primary_assembly:ASM411807v1:8:2720070:2731947:1 gene:Vigun08g029800.v1.2 transcript:Vigun08g029800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEHRGLVHCVVSQVLPSLAMASVEQPPKKRKLYEPLPEPPPSSPPSPPPPATEPTPPSPQTLPPPSQEEILAKRRNKDEIRSVFEGYKRIQRCLLQKDAPSAMADLEQSYLVLITSSRGCMSVQRIVADLIPRYACHCPTALEAAAKVVINMHNFSLALISRGEDSNGIAFETARACICGLADVCCVASSVAPTSAVIRGICSAVFQNVLTFFMALFEGKDVLHMIDKNFLNVQDNPEVFSELKQKVLEKDGSPLSKLSKFRVLCLLRIFFSCPKDLLAACLDLLGSATKEGTKNEGQHFLSQVTGMFDDDKTVHLLDNAISRPNSCTDSTGSGIRDDEAGEEIVIGDNNVSGVDSSVGKSCLLIRVLDKDPQLRKWMLCRCKKLLDLLPNASLEIMSILQGILGMFAQQTDLEDCQGDSDEDKSDSSTYINSKKYMVPRISEEHESIGESSGKGSNLRVYVGSTDGFTDKVSDKYVMAHSSAVSLDNAPALKVGLQYDNGVSKPMSIGVGEEGNMPNVRCSTPRDSVSHQVFSPAVRTPVNFRSNSFDGRNDFQNVEKNQVSSMNFSSPPLRSSSGSASNSLASPNHHFMSPTASTKGQIVWCCDGDPAAMDIVSASRLLWIGYVGPDVPESHIRFHLERFGPIEKFIFFPVKGFALVEYRRIIDAIKTRHCLPGCFPCRVKFMDVGLGTRGAMNGVAVGSSSHIYVGNIPSQWAKDEVMHETRKMIHKGPLAFIDLSCEFALLMEFETPEEATAVMLHLRQLRRERSNYNQHFGPAPGTVNVGIGHAYMDGARPVPPPPPPPPHLDLQVNNSAGSPHARTLPGSPADSSRTAISHLSNLLASLRSKYNINQNLGLNDNYMTGNNCPSMREEDMVPSSTLCITIPHCSSSMFLSDDELMSICNLAIGNAGSIVQLTQASTQMGCSWFVECNNIDGAVSTLKNLRGCPGLFFQIEFSKPGHQNTVPFSVKPENNPMELVSPRINSENHTSGIQSAPLPHSNWHFPGSREMSEVGARKPDGYDNLSQDPHQGGNVPPSHSGAHGPSIPPVQQIQSSTFSHPVYAPPNGPWDHRGINNHFPVSQFNTGVMPNNFHGNAVVSPFIPASVTPLAQIQGTPMHPYNQQVPPSIVPPPLSSLPPPQPEMPPPLPPSPPPLPQVQPPLVPPLPSSPPPPPPPPLPVQEPVNIECPEQSLQYQWQGNLCKSGVNYCTIYACKADSAICRYSNAIPEPAEWPTKLDMTKRTDLRHVKSTFAATPSHRREVCRLIPSSTTDHKRFQDFISYLKQRDCAGVIKIPASKSIWARLLFILPHTLETCSLLSIAPDPSDCLIALVLPKETNFEWI >Vigun02g170900.7.v1.2 pep primary_assembly:ASM411807v1:2:31394336:31398326:-1 gene:Vigun02g170900.v1.2 transcript:Vigun02g170900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVAVAGFMSQVLEKPIFFNTSRMVLTAGASSAIEILGFCLADNGNAFLVPTPLSPGFDEVLKWRTGAEIVPVPCRSADDFNLSINSLERTFNQAKMRGQKVRGIIITNPSDPAGKLLNRETLLDLLDFAREKNIHIISNEMFAGSSYGSEEFVSMAEIMEAEDHDRDRVHIVFDLSNELSIPGLKAGAIYSYNDNVVAASSKLARFSTVSVPTQRLLISMLSDTSFVQKFIEVNRLRLRKMYNIFVTGLKQLGIECTRSSGGFCCWADMSRLIRSYSEKGELELWDRLLNVVKINVTPGSSCHCIEPGWFRFCFATSTEKDVSVVMERIWRISDSRK >Vigun02g170900.3.v1.2 pep primary_assembly:ASM411807v1:2:31394336:31398326:-1 gene:Vigun02g170900.v1.2 transcript:Vigun02g170900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGTGGLFWGSVIPCALFYFLQLFFKTKTRHHQPPPSHNHKDDSTTFHRSLSFAARNASASAYVSPRANSITAANSSFHLGFNKVADDPYHQTHNPDGVIQLSLHQNTLSLDLIQDWVHCNGSAAILGTPLTISRIVPYQPFDGLMELKVAVAGFMSQVLEKPIFFNTSRMVLTAGASSAIEILGFCLADNGNAFLVPTPLSPGADDFNLSINSLERTFNQAKMRGQKVRGIIITNPSDPAGKLLNRETLLDLLDFAREKNIHIISNEMFAGSSYGSEEFVSMAEIMEAEDHDRDRVHIVFDLSNELSIPGLKAGAIYSYNDNVVAASSKLARFEAVGN >Vigun02g170900.1.v1.2 pep primary_assembly:ASM411807v1:2:31394336:31398326:-1 gene:Vigun02g170900.v1.2 transcript:Vigun02g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGTGGLFWGSVIPCALFYFLQLFFKTKTRHHQPPPSHNHKDDSTTFHRSLSFAARNASASAYVSPRANSITAANSSFHLGFNKVADDPYHQTHNPDGVIQLSLHQNTLSLDLIQDWVHCNGSAAILGTPLTISRIVPYQPFDGLMELKVAVAGFMSQVLEKPIFFNTSRMVLTAGASSAIEILGFCLADNGNAFLVPTPLSPGFDEVLKWRTGAEIVPVPCRSADDFNLSINSLERTFNQAKMRGQKVRGIIITNPSDPAGKLLNRETLLDLLDFAREKNIHIISNEMFAGSSYGSEEFVSMAEIMEAEDHDRDRVHIVFDLSNELSIPGLKAGAIYSYNDNVVAASSKLARFSTVSVPTQRLLISMLSDTSFVQKFIEVNRLRLRKMYNIFVTGLKQLGIECTRSSGGFCCWADMSRLIRSYSEKGELELWDRLLNVVKINVTPGSSCHCIEPGWFRFCFATSTEKDVSVVMERIWRISDSRK >Vigun02g170900.6.v1.2 pep primary_assembly:ASM411807v1:2:31394336:31398326:-1 gene:Vigun02g170900.v1.2 transcript:Vigun02g170900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGTGGLFWGSVIPCALFYFLQLFFKTKTRHHQPPPSHNHKDDSTTFHRSLSFAARNASASAYVSPRANSITAANSSFHLGFNKVADDPYHQTHNPDGVIQLSLHQNTLSLDLIQDWVHCNGSAAILGTPLTISRIVPYQPFDGLMELKVAVAGFMSQVLEKPIFFNTSRMVLTAGASSAIEILGFCLADNGNAFLVPTPLSPGADDFNLSINSLERTFNQAKMRGQKVRGIIITNPSDPAGKLLNRETLLDLLDFAREKNIHIISNEMFAGSSYGSEEFVSMAEIMEAEDHDRDRVHIVFDLSNELSIPGLKAGAIYSYNDNVVAASSKLARFSTVSVPTQRLLISMLSDTSFVQKFIEVNRLRLRKMYNIFVTGLKQLGIECTRSSGGFCCWADMSRLIRSYSEKGELELWDRLLNVVKINVTPGSSCHCIEPGWFRFCFATSTEKDVSVVMERIWRISDSRK >Vigun02g170900.2.v1.2 pep primary_assembly:ASM411807v1:2:31394336:31398326:-1 gene:Vigun02g170900.v1.2 transcript:Vigun02g170900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQGVVQGTGGLFWGSVIPCALFYFLQLFFKTKTRHHQPPPSHNHKDDSTTFHRSLSFAARNASASAYVSPRANSITAANSSFHLGFNKVADDPYHQTHNPDGVIQLSLHQNTLSLDLIQDWVHCNGSAAILGTPLTISRIVPYQPFDGLMELKVAVAGFMSQVLEKPIFFNTSRMVLTAGASSAIEILGFCLADNGNAFLVPTPLSPGFDEVLKWRTGAEIVPVPCRSADDFNLSINSLERTFNQAKMRGQKVRGIIITNPSDPAGKLLNRETLLDLLDFAREKNIHIISNEMFAGSSYGSEEFVSMAEIMEAEDHDRDRVHIVFDLSNELSIPGLKAGAIYSYNDNVVAASSKLARFEAVGN >Vigun01g122300.1.v1.2 pep primary_assembly:ASM411807v1:1:29912866:29931347:1 gene:Vigun01g122300.v1.2 transcript:Vigun01g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTEPCTSSSLARDSTTVIHPRREPFEHGLLPIPRLIFSDPAQTLIPLKKKLLELSSNHRVGSAAISEALQISIEHARLVIDTLASILPSDSEPLVAAKLGEADSVGVDVHDLVLFLYIQSYKRLLPRTHKDSAAVVDVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQSDEEAHQLSYLQKHLSNILSLLAEPVDGEGEESMVLTMDSFEHLGFLIQFGDKGSEGNSFSQFSPFFANSDPDMPAVPVPAAQVHDWLLQNIAAALEYISERTSSKENGPVSPSDHDVAMTDASSPSVNKVSTSTRGASFIEGISKSSYMKHAPDVKGSTVKVLNCHESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKHICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTFYSQLEEHMDEVGIVPTVNRWDEPLALGMVDPHDSLSHPAGVSDVQAESAMRVDPDQFTYFVIPNWFGGESTGATKGNPFTLPDAYMASQNKNQKSLEEIRQLLREAPLEESRKRELSSALHVYFRDWLYGSGNIRQLYFLQGD >Vigun01g122300.2.v1.2 pep primary_assembly:ASM411807v1:1:29912866:29927688:1 gene:Vigun01g122300.v1.2 transcript:Vigun01g122300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTEPCTSSSLARDSTTVIHPRREPFEHGLLPIPRLIFSDPAQTLIPLKKKLLELSSNHRVGSAAISEALQISIEHARLVIDTLASILPSDSEPLVAAKLGEADSVGVDVHDLVLFLYIQSYKRLLPRTHKDSAAVVDVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQSDEEAHQLSYLQKHLSNILSLLAEPVDGEGEESMVLTMDSFEHLGFLIQFGDKGSEGNSFSQFSPFFANSDPDMPAVPVPAAQVHDWLLQNIAAALEYISERTSSKENGPVSPSDHDVAMTDASSPSVNKVSTSTRGASFIEGISKSSYMKHAPDVKGSTVKVLNCHESAIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIVAAKHICIANCRECVFFLGVNQQPLIVGDNHKLQNIGWYLQNMVLFVEV >Vigun05g167500.6.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169898:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.3.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169898:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.20.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.10.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169906:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.4.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.13.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169917:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.2.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169906:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.7.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.16.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169906:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.12.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169917:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.21.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.9.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.14.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169905:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.15.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169898:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.19.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169905:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.22.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.17.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169917:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.11.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169917:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGGVYTERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun05g167500.8.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169896:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.5.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169906:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGVSGAGNFLVII >Vigun05g167500.18.v1.2 pep primary_assembly:ASM411807v1:5:28166387:28169917:1 gene:Vigun05g167500.v1.2 transcript:Vigun05g167500.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLHLIYQAAATGGLEASLVTDYVLKILGLDICADTMMGDEMLCGVSGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKCLKHYVHILDGAVVISLLQPTPETYELFDDIVLISAGQIVYQGPRQHVLEFFESVGFQCPERKGVADFLQEVTSRKDQEQYWMHRGEAYRFVTVTQFVEAFQSFHVGRRIREELAIPFDKSKSHPAALTTRRYGVNKKELLKANISREFLLMKRNSFVHIFKLLQLTTLAILTMIMFLRTEMHRDNLGDGERSGKANSVVSCSRIENRGMVLPFEPYSITFDQIVYSVDMPQEMKDQGVREDKLVLLKGVSGAFCPGVLTTLMGGTF >Vigun10g151700.2.v1.2 pep primary_assembly:ASM411807v1:10:37151970:37156652:-1 gene:Vigun10g151700.v1.2 transcript:Vigun10g151700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTASSNLISGNSHAQHGPTASFESKSVAMGFKSLKHTNPHNGLRILNPVDELLNRTPIKTNSVQAKRKGLQGKNVKPKGVIICGMNLIFVGTEVGPWSKTGGLGDVLGGLPPALAGYGHRVMTIAPRYDQYKDAWDTSVVVELKVGDRTEKVRYFHCYKRGVDRVFVDHPLFLAKVWGKTGTKLYGPTTGDDYEDNQLRFSLFCQAALEAPRVLNLNSSKYFSGPYGEDVVFIANDWHTALIPCYLKSMYQSVGIYKNARVALCIHNIAYQGRFAFADYALLNLPDQFKSSFDFIDGHLKPVVGRKINWMKAGIIESWFVITVSPNYAKELLSGPDKGVELDNILRKIEDRFIGIVNGMDVQEWNPSSDKYIAVKYDASTVLEAKALLKEALQAEVGLPVDRNIPLIGFIGRLEEQKGSDILAEAIPQFIKENVQLVALGTGKKQMEKQLQELEIAYPDKARGVAKFNVPLAHMIIAGADFILVPSRFEPCGLIQLQAMRYGTVPIVASTGGLVDTVKEGFTGFQMGSFNVECEAVDAADVDAIAKTVTRALAVYGTPAFTEMIQNCMAQDLSWKGPAKKWEEVLLSLGVPGSEPGSEDGEEIAPQAKENVATP >Vigun10g151700.1.v1.2 pep primary_assembly:ASM411807v1:10:37151970:37156652:-1 gene:Vigun10g151700.v1.2 transcript:Vigun10g151700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTASSNLISGNSHAQHGPTASFESKSVAMGFKSLKHTNPHNGLRILNPVDELLNRTPIKTNSVQAKRKGLQGKNVKPKGVIICGMNLIFVGTEVGPWSKTGGLGDVLGGLPPALAGYGHRVMTIAPRYDQYKDAWDTSVVVELKVGDRTEKVRYFHCYKRGVDRVFVDHPLFLAKVWGKTGTKLYGPTTGDDYEDNQLRFSLFCQAALEAPRVLNLNSSKYFSGPYGEDVVFIANDWHTALIPCYLKSMYQSVGIYKNARVALCIHNIAYQGRFAFADYALLNLPDQFKSSFDFIDGHLKPVVGRKINWMKAGIIESWFVITVSPNYAKELLSGPDKGVELDNILRKIEDRFIGIVNGMDVQEWNPSSDKYIAVKYDASTVLEAKALLKEALQAEVGLPVDRNIPLIGFIGRLEEQKGSDILAEAIPQFIKENVQLVALGTGKKQMEKQLQELEIAYPDKARGVAKFNVPLAHMIIAGADFILVPSRFEPCGLIQLQAMRYGTVPIVASTGGLVDTVKEGFTGFQMGSFNVECEAVDAADVDAIAKTVTRALAVYGTPAFTEMIQNCMAQDLSWKGPAKKWEEVLLSLGVPGSEPGSEDGEEIAPQAKENVATP >Vigun09g118900.1.v1.2 pep primary_assembly:ASM411807v1:9:26219989:26221682:1 gene:Vigun09g118900.v1.2 transcript:Vigun09g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQTDEHVSLKLVVNAETNKVYFAEAGKDFVDVLFSFMTLPLGTIARLIDKDSKMGPITLGSLNSLYHSVAHLDTQYKEMLLQPSNSAEDFCNTLKLNIDDTEPKKYFMCTAACYRSLLNDYCNTLRNCNCGRLLTHEVFLKHFSNGFVKDGTFIITDDLIVMPNSVDYATFSLFQNLGIRNPSSVKEMTINVTKEKVLDLLKCSLLSKSCLTDLLLEKKPTFQSSTFLSSRIENDSGIKFKLKLVIRKSNGKILYAQGEKDFADMLLSFLTFPMGGVVGKLGGNSSVGSIDGLYKSIADINENVYFMSKPAKNRLVDPCLLPHFKLSIKILPIPHPSIFRYYRYYEFINGICRVMILKDGEHANSDGELPEIELLYPETPIAKAEGFVKGPAMYVATDNLVVAPFSPISALNFLNRLSIPFNDLKEKDVTIGLKECLNILKASLTTTSALTNGLRHLLTEVKEEK >VigunL059080.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000046.1:18711:20666:-1 gene:VigunL059080.v1.2 transcript:VigunL059080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAPTPNKNNTLMRVLRDLKLSRKESFEQLRKEKEQSDLRIQEHIQRLEAKEQEREARKRGHSRRNLTQEKQTPKIPKFYGGSDPKIFLDWEAKVDQIFNENYVNDQAQVDLVVLGFLEYANTWWHKVCKNYDQGPPAASWMDIKTLMRARFVPSHYRRETLLKLQRLQQGSMCVDEYYKLMESMLLKVGLQFESEEEKVTRFVSGLRRDIQDLVELYEYSSLDKVLHLAIKVETQLQKKKEAKRSGSYNDYYSSTWKDKERKHDKLPLKSSQDPPPRTNSFRPSNETPNSSQGIWISSIKCFKCLGYGHIASNCPTKRTMALNLKREVKSEHSSPPSPKSTSSHTSSSERIKPLEGGLLMIRHQLRQVSKELDPSQRQNIFHSRCHINDKLCPLLIDNGSCVNVASTRVVDKLGLKTIPHAKPYKLSWLKKEDIKVTQQVLINFSIGNFKDEVLCDVVPMEETHILLGRPWQFDRKVFYDGHANTYAFSFQGKKFTLLPLSPNQENEDQNKVKNKRKDEKEKEQAQKEDPMHKHEKKSGLEKRDGLTKARGSTLRKDGTRAHKREAQNLPQIKSSSIYKGFKNLWSNSLQGGEDDEGLTATKDGGTCLRRLSMFRKEVH >Vigun01g101000.1.v1.2 pep primary_assembly:ASM411807v1:1:26854005:26854903:-1 gene:Vigun01g101000.v1.2 transcript:Vigun01g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSFNSLTLSPLHSSFPSSSSIFALPITTALRVHVALPSKPSNGVRITTSRAKKGALIVSAAADGSSSPAEALPPAESEKESGVSVEKLPLESKVKEREEQKLRMKLAKKIRLRRKRLLRKRKLRKKGSWPPSKMKKLKNV >Vigun03g258700.1.v1.2 pep primary_assembly:ASM411807v1:3:42487158:42496297:-1 gene:Vigun03g258700.v1.2 transcript:Vigun03g258700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICYSATKVSGSNGTAGTDKKNRKRAAKPESPTAAANPERNKGSARQVPCGKRTDFGYNKNFDTRYTLGKLLGHGQFGYTYVGIDKANGDRVAVKRIDRSKMVQPIAVEDVKREVKILKALTGHENVVQFYDAFEDDNYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLLKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPQSDVWSIGVITYILLSGRRPFWDKTEDGIFKEVLRKKPDFQRKPWPTISNAAKDFVKKLLVKDPRARLTAAQALSHPWVREGGEASEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEEEMADLKDQFDAIDVDKSGSISLEEMRQALAKDLPWKLKEPRVLEILQAIDSNTDGLVDFSEFVAATLHVHQLEDDSSKWQQRSQAAFEKFDLDKDGYITPEELRMHTGMRGSIDPLLEEADIDKDGKISISEFRRLLRTASIGSQKVSSPPEYQRKI >Vigun01g159100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34089342:34091110:1 gene:Vigun01g159100.v1.2 transcript:Vigun01g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKAFFNLHILNASIARRVLLRSILLACAISIVSLYRAQSLFDFAPIATYLDCVSGFHGVTFRPASHLLRTRFWVPANCEKDANLTLTVVTELTGNRFLYPGAKSLCVGEGSSMAVAAMKQLGFSAVSAVQTRSFEQKEVLYEDSSFDFVFSRDLDKVSVPALLVLEIERVLKPGGIGALIVGSSGSHPNDLIRAATPVSSLLRSSNVVHVDYVNELNLVVFKKRHDNTTSFYQHSLPADCPSLTFTQPLIELMEPLVSEKQKAAPPEFHKNIPYLPKFVDVSSRKRLVYIDIGVGELHNANVSDWFLPSYPIDQKDFNVYFVHYNTSIMLSYVKRPGITFVYHPGLAGIGKVTAKLGTDDNDDDDLDSFLGEEEFDFLAWFRETVQYADFVVLKMNAGNVELKFLSDIFENGAICFVDELFLKCPERGGGDKSVTTMESCMDIYKGLRSNGVYVHQWWGD >Vigun06g079350.1.v1.2 pep primary_assembly:ASM411807v1:6:21121706:21125245:1 gene:Vigun06g079350.v1.2 transcript:Vigun06g079350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDATDDVDTRAITCRLRQDGSLIGVLSTDNSITDEELLKMSRSWDIVGIDLISGLSCQTVHEWVDKTKQEWDFSSGGSGETFHVVAYDFGIKHNILKHLASYGCKITVVPSTWPATETLKMNPDGVLFSNGPGDPSTVPYAVETVKKILGKVPIFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRTGHVEISAQNHNYAVDPTTLPEGVEVIHVNLNDGGCAGLAFPAQRIMSLQYHPEASLGPHDSDYASDTDSRIRFGGAEREVVLGVQSVKALLGCRGRSPFRDTRSRPVHWTSLSMTVIIMSNRLSSRRSWQKKQCYKINLETQSKSLEDQISGLKPELGCYQNHKQLLEQEQLKLRQRVEIIQKKIMLKNAEIEMNKSEVNKLRECSVMQQGLLQAPFLSWNIDPLQHWYNDPNSYQGNGVGTSYIPAEPSHMWIHDNVPNMHGMNMNMPNNYIGSMINDISRTFPNEDLN >Vigun07g204600.3.v1.2 pep primary_assembly:ASM411807v1:7:32607306:32610796:-1 gene:Vigun07g204600.v1.2 transcript:Vigun07g204600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGCEREHREHSSEKGNWNRVWGEENIAGKKMSGTVKKVTDVAFKVGKNIDWDGMGKLLVSDEARREFSNLRRAFDEVNSQLQTKFSQEPEPIDWDYYRKGIGPRLVDMYKEHYESIEVPKFVDTVTPQYKAKFDSLLIELKEAEEKSLKESERLEKEIAEVQELKKKLSTMTADEYFEKHPELKKKFDDEIRNDNWGY >Vigun07g204600.4.v1.2 pep primary_assembly:ASM411807v1:7:32607306:32610796:-1 gene:Vigun07g204600.v1.2 transcript:Vigun07g204600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGCEREHREHSSEKGNWNRVWGEENIAGKKMSGTVKKVTDVAFKVGKNIDWDGMGKLLVSDEARREFSNLRRAFDEEPEPIDWDYYRKGIGPRLVDMYKEHYESIEVPKFVDTVTPQYKAKFDSLLIELKEAEEKSLKESERLEKEIAEVQELKKKLSTMTADEYFEKHPELKKKFDDEIRNDNWGY >Vigun05g285400.1.v1.2 pep primary_assembly:ASM411807v1:5:47310517:47313259:-1 gene:Vigun05g285400.v1.2 transcript:Vigun05g285400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSTGKEFVAGGFGGTAGIISGYPLDTLRVMQQNSFNTGSAFSILRNVVANEGPTALYRGMAAPLASVTFQNAMVFQIYAILSRAFSSSDSVNDPPSYKGVALGGFCSGAIQSMLLSPVELVKIRLQLQNTGQSIETQKGPMKVAKNIWKIEGLRGIYRGLGITMLRDAPAHGLYFWTYEYAREKLHPGCRRSCEESLNTMLVSGGLAGVVSWVLSYPLDVIKTRLQAQTLSSQKYRGILDCLRKSVREEGYEVLWRGLGTAVARAFVVNGAIFSAYEISLRCLFEK >Vigun05g285400.2.v1.2 pep primary_assembly:ASM411807v1:5:47310517:47313259:-1 gene:Vigun05g285400.v1.2 transcript:Vigun05g285400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSTGKEFVAGGFGGTAGIISGYPLDTLRVMQQNSFNTGSAFSILRNVVANEGPTALYRGMAAPLASVTFQNAMVFQIYAILSRAFSSSDSVNDPPSYKGVALGGFCSGAIQSMLLSPVELVKIRLQLQNTGQSIETQKGPMKVAKNIWKIEGLRGIYRGLGITMLRDAPAHGLYFWTYEYAREKLHPGCRRSCEESLNTMLVSGGLAGVVSWVLSYPLDVIKTRLQAQTLSSQKYRGILDCLRKSVREEGYEVLWRGLGTAVARAFVVNGAIFSAYEISLRCLFEK >Vigun09g112300.1.v1.2 pep primary_assembly:ASM411807v1:9:24476603:24478077:1 gene:Vigun09g112300.v1.2 transcript:Vigun09g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAVKRYLEKGVGTSSEVDGLPPRFLEPLIMNSLKVDLIEPGRILCSMKIPQRLLNAGNTLHGGATAALVDVVGSAVIPTVGFTGPNTGVSVEINVSYVDAAYVDEEIEIEARALRVGKVVAVISVEFKKKKTGKIFAQGRHTKFIPASSKL >Vigun09g112300.2.v1.2 pep primary_assembly:ASM411807v1:9:24476603:24477249:1 gene:Vigun09g112300.v1.2 transcript:Vigun09g112300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAVKRYLEKGVGTSSEVDGLPPRFLEPLIMNSLKVDLIEPGRILCSMKIPQRLLNAGNTLHGGATAALVDVVGSAVIPTVGFTGPNTGVSVEINVSYVDAAYVDVSHHLSISFDY >Vigun01g214900.1.v1.2 pep primary_assembly:ASM411807v1:1:38858697:38861633:1 gene:Vigun01g214900.v1.2 transcript:Vigun01g214900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVGQENVVALVSRTGRELQRYRKGRRQVVGCIPYRFKIGQKTSLDVSDELEVLVISSQKGKGMLFPKGGWELDESKKEAALRETIEEAGVRGIVGGKLGKWSFKSKTHDTFYEGYMFPLLVQEQLEFWPEQNVRQRIWMSVAEARDVCQHWWMKEALDRLVNRLAGQKVGRDNKQVLGSINCTRDAKSDL >Vigun06g028600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:12652001:12652935:-1 gene:Vigun06g028600.v1.2 transcript:Vigun06g028600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAVTPCFHQKSSSELLLSSKLIFWDGSTRCLKGKHVAGEIMFEFPDKVVCHADSFFIGHPIPALALEDELMEGEAYFVLPIQFFTCKTLSVSSLLSLGSSPNKASIKFGECAFEYLKGSNGRVLIKVMPQFITMLINRGKRCNPTTTHNNFLCSTPELKKHYQMLVKSKDQVWSPKLETISEHKVRFSPRRLIGLEWKEKEKTEVVSS >Vigun09g046300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4399126:4401668:-1 gene:Vigun09g046300.v1.2 transcript:Vigun09g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFEVVFIATPALGNLVPLVEFANLLTNHDPRFSATVLTIFMPQRPLVNTYVQSRASSLANIRILHLPTVDPPPPDQYQSSIAFLCLHIQNHKHHVKNALLSLLSSESNSPVRLAAVFFDMFCSSLIDVATELSVPCYLYFASPASFLGFTLHLPRPDSLDSESEFAIPSFENPLPKSVFPNFALDANDASSWFSYLARRYRETKGIVVNTLGELEPHALQSLHDDSLLPRVYPIGPVLDLAGSARWDPNPVQYKRIMEWLDRQPAASVVLLCFGSMGSMRAEQVEQIAIGLERAGVRFLWALREPPKAQLEDPRDYLNEVDVLPDGFLQRTAEIGLVCGWVPQAKVLGHEAVGGFVSHCGWNSILESLWHGVLVATWPVYAEQHLNAFQMIRELGLAVEISVDYRVGGDLVRAEAVEKGVRSLMEGSDELRRKVKEMSEKCKSSLVENGSSYNNLVSLIQELTS >Vigun07g263400.1.v1.2 pep primary_assembly:ASM411807v1:7:37890898:37895863:1 gene:Vigun07g263400.v1.2 transcript:Vigun07g263400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENHKTKAVNVLRSRICDPKFIFKNDYPDSNYSKLKFMISNSVTEACNNSILLLGPRGSGKNAVLEVVIQDLLQEYPDSISVIRLNGLLHSDDVSAFKEIARQLCMEHQLLFSKAASFDDNSQFMVAILKECGLAHKTVIFVLEEFDLFAQGKQRLLYSLLDAMQSIASQAVVLGISCRLDADQLLEKRVRSRFSHRKLLFLPPSMEDSQKLLMHMLTLPIDSSFPHDYATEFNTRVQNIIEDRKFKETLQKYLNVDSCVKHLLRFLFCAVSHMDLQTGFLSQESFEIAFSSIQRQPKLECLRNCSNLELHILVCMKRLEVKEKSLCNFNSVMKEYKSMHDSFRTSEYYSRNVCLRAFEHLIHREMICFTDNRGQNLSVEFRPVKLLISSAELHQGLRAYNSCPAYLIKLMDREG >Vigun07g140500.1.v1.2 pep primary_assembly:ASM411807v1:7:25075893:25076955:-1 gene:Vigun07g140500.v1.2 transcript:Vigun07g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEERRILVAVDEGEESLHALSWCLENLVLQNSKDTLILLYVKPPRVVYSTFDGTGYLFSSDITAAMERYGQEVADVVLEKAKKLCNNIEKVETRVENGDPRDVICEMVQKLGADVLVMGSHGYGLIKRAFLGSVSNHCAQNAKCPVLIVKKPKPAIGNQ >Vigun05g155800.1.v1.2 pep primary_assembly:ASM411807v1:5:25247421:25250316:-1 gene:Vigun05g155800.v1.2 transcript:Vigun05g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLEAVSSYRIILVWRLSSLLILFSSVQAYPSRAHMFIYAVCSQEKYEPNSPFESNLNSFLSSVVSSSSEVTYNSFAIGNGSSTPQEGTVYGLYQCRGDLHPIECSKCVERSVNEIGLVCPYAFGASLQLEGCLVRYEHSGDFLGKLDTSLRYKKCSKGVTSDVEFFRRRDDVLADLQTANGFRVSSSGLVEGFAQCLGDLSISDCSSCLADAVGKLKTLCGSAAAADVFLGQCYARYWASGYYDESDSSNNDQVGKSVAIIVGVFGGLAVLVVLLSICKKAAGK >Vigun09g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33034544:33035053:1 gene:Vigun09g162800.v1.2 transcript:Vigun09g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVEYDDDDSCKKPGAVPFKWEVKPGLPIVQQKHHHQKLDSPSVKLRPPPPAGSYLLSSEEPRTRSFRSTPRGRSDRWRFERPLLTRVESVSSGCFFSPFLRRLQRRKMVQKRVVEEDYTSELETIGRWSLSSTKSLSPFRASTASSSVASSPRPISDAEWAGFGLF >Vigun07g193100.1.v1.2 pep primary_assembly:ASM411807v1:7:31219166:31221880:1 gene:Vigun07g193100.v1.2 transcript:Vigun07g193100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLCATRPKPWLFSLVHAAPPRLAHASVSLSASPPRRHHSSACKLFGSAGGAGSIWHAIMPRSGDGFRRGVVAVHDLKGEGSWNVAWDTRPARWLHRPDSAWLLFGVCACLAPPGCVDAVTDSDADAVDESCGVLDKELKVEVSADYRVTGVPADGRCLFRAIAHGACLRNGEKAPDENRQRELADELRAKVVDELLRRREETEWFIEGDFDTYVKRIQQPYVWGGEPELLMASHVLKTPISVFMRDTGSVDLVNIAKYGEEYRNDKEENSINVLFHGYGHYDILESA >VigunL027400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:107842:108804:-1 gene:VigunL027400.v1.2 transcript:VigunL027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petA MQTRNAFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQNYRPTKKNILVVGPVPGQKYNEITFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAAGIVKKIIRKEKGGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun11g205500.1.v1.2 pep primary_assembly:ASM411807v1:11:40243115:40247042:-1 gene:Vigun11g205500.v1.2 transcript:Vigun11g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIPRSKSRTTLFLFLLAFSLLFILFSLSPLRSPSLPPTNVRVPDAETSFLVSLDRFLAATPSSVADDTARAGQEDLVDKLDDAVYRSEMDRLYTDPYYPLSLPLRVYVYDMPPKFTYDLLWLFKRTYQETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPQSKRLLNSVIRVHRQEEADLFYVPFFTTISFFLMEKQQCKALYREALKWITDQPAWKRSGGRDHIIPVHHPWSFKSVRKEVKKAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNLDLCDAKCLAEINPKRNMLLFFRGRLKRNAGGKIRSKLVAELSGADGVSIEEGTAGEGEKKLHKLACASLYFA >Vigun05g150300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22461159:22462070:1 gene:Vigun05g150300.v1.2 transcript:Vigun05g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGMVRSYRILPSSLNPRPDTRIVTRFDSLPTAGLFVKVSSKPTNHSKFTGKCGTPRCTGCHLHPACKSKGKTKGSQKHKLWRVIDEPDSDFFGLSEDDCDWEKKDDNKFTSSEKGVSFRMDQVQEEKEEDWLLVEPCL >Vigun07g163600.2.v1.2 pep primary_assembly:ASM411807v1:7:27522051:27525759:1 gene:Vigun07g163600.v1.2 transcript:Vigun07g163600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHSAFPRFFFIFLITTVALPATTVVSIGINYGQIANNLPSPDDAVALVKCVGATKVKLYDADPRVLKAFANTGVEVMVGLGNEYLSRMKDPSKAQAWIKSNLQPYLPATKITSIFVGNEVLTFNDTSLTSNLLPAMQSVHTALVNLALDKQITVTTTHSLAVLQTSYPPSAGAFRSDLAPCLAPILSFQAKTGSPFLINAYPYFAYKANPKQVPLDFVLFQPNQGMVDPSSNLHYDNMLFAQIDAVYSALDSLGYGKLPVHISETGWPSKGDEDEAGANLENAKKYNGNLIKMAMSSSKKGTPSRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYPLGFSLASAPNDAVSNSTGAGTPPRPPTSSTGYLSISSASSLRCCLVGAWLWFLVTLLILKF >Vigun07g163600.1.v1.2 pep primary_assembly:ASM411807v1:7:27522035:27525759:1 gene:Vigun07g163600.v1.2 transcript:Vigun07g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHSAFPRFFFIFLITTVALPATTVVSIGINYGQIANNLPSPDDAVALVKCVGATKVKLYDADPRVLKAFANTGVEVMVGLGNEYLSRMKDPSKAQAWIKSNLQPYLPATKITSIFVGNEVLTFNDTSLTSNLLPAMQSVHTALVNLALDKQITVTTTHSLAVLQTSYPPSAGAFRSDLAPCLAPILSFQAKTGSPFLINAYPYFAYKANPKQVPLDFVLFQPNQGMVDPSSNLHYDNMLFAQIDAVYSALDSLGYGKLPVHISETGWPSKGDEDEAGANLENAKKYNGNLIKMAMSSSKKGTPSRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYPLGFSLASAPNDAVSNSTGAGTPPRPPTSSTGYLSISSASSLERCCLVGAWLWFLVTLLILKF >Vigun05g167100.1.v1.2 pep primary_assembly:ASM411807v1:5:27979514:27987714:-1 gene:Vigun05g167100.v1.2 transcript:Vigun05g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEWHIERRASFGSESTIAREPGNVPESGSLSIVVLGASGDLAKKKTFPALFHLYRQGFLPPDEVHIFGYARTKISDDELRDRLRGYLVPEKGASAQQLEDLEKFLKLIKYVCGSYDSEDGFRLLDKEISEHEYLKNSVEGLSRRLFYLALPPSVYPSVCKMIKTCCMNKSDLGGWTRVVVEKPFGRDLQSAEELSTEIGKLFEEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLESVLPIRDDEVVLGQYEGYTDDPTVPDESNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAIYMKLTVKQPGLEMSAVQSELDLSYGQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKASWQIFTPLLHRIDDGDFKPILYKPGSRGPREADQLLEQAGYVQTHGYIWIPPTL >Vigun08g189500.5.v1.2 pep primary_assembly:ASM411807v1:8:35743647:35748780:1 gene:Vigun08g189500.v1.2 transcript:Vigun08g189500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRMKLSSKGLVALSNGSSSKEPVGGLSRDFMARALPSKGDEQVVGSKGVIKKEEFVRIIAKALYSLGYGKSGAHLEEESGIALHSPGVNLFMQQILDGNWDDSVATLRKIALADESVVRSASFLIWEQKFFELLNGEKVMEALKTLRTEITPLCINSSRIRELSSCIVSPSPRLDIVRVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALILQRETCPFHNSLDKEMSLYSDHHCGKDQIPSSTLQILEAHDDEVWFVQFSHNGKYLASASNDRTAIIWEVGINGGLTVKHRLTGHQKPVSSVSWSPNDQELLTCGVEEAIRRWDVSTGKCIQIYEKAGTGLVSCTWFPCGKYILCGFSDKSICMWELDGREVESWKGQKTLKISDLEIMDNGEEILSICKPNSVLLFNRETKNERFIEEYQPITAFSLSKDNKFLLVNLLNQEIHLWNIEGDPRLVGKYKGHRRARFIIRSCFGGLKQAFIASGSEDSQVYIWHRSSGELIEALAGHSGSVNCVSWNPANPHMLASASDDRTIRIWGLNSLHNNYQNVPTNGVHHYCNGGS >Vigun08g189500.2.v1.2 pep primary_assembly:ASM411807v1:8:35743641:35748780:1 gene:Vigun08g189500.v1.2 transcript:Vigun08g189500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRMKLSSKGLVALSNGSSSKEPVGGLSRDFMARALPSKGDEQVVGSKGVIKKEEFVRIIAKALYSLGYGKSGAHLEEESGIALHSPGVNLFMQQILDGNWDDSVATLRKIALADESVVRSASFLIWEQKFFELLNGEKVMEALKTLRTEITPLCINSSRIRELSSCIVSPSPRLDIVRVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALILQRETCPFHNSLDKEMSLYSDHHCGKDQIPSSTLQILEAHDDEVWFVQFSHNGKYLASASNDRTAIIWEVGINGGLTVKHRLTGHQKPVSSVSWSPNDQELLTCGVEEAIRRWDVSTGKCIQIYEKAGTGLVSCTWFPCGKYILCGFSDKSICMWELDGREVESWKGQKTLKISDLEIMDNGEEILSICKPNSVLLFNRETKNERFIEEYQPITAFSLSKDNKFLLVNLLNQEIHLWNIEGDPRLVGKYKGHRRARFIIRSCFGGLKQAFIASGSEDSQVYIWHRSSGELIEALAGHSGSVNCVSWNPANPHMLASASDDRTIRIWGLNSLHNNYQNVPTNGVHHYCNGGS >Vigun08g189500.6.v1.2 pep primary_assembly:ASM411807v1:8:35743647:35748780:1 gene:Vigun08g189500.v1.2 transcript:Vigun08g189500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRMKLSSKGLVALSNGSSSKEPVGGLSRDFMARALPSKGDEQVVGSKGVIKKEEFVRIIAKALYSLGYGKSGAHLEEESGIALHSPGVNLFMQQILDGNWDDSVATLRKIALADESVVRSASFLIWEQKFFELLNGEKVMEALKTLRTEITPLCINSSRIRELSSCIVSPSPRLDIVRVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALILQRETCPFHNSLDKEMSLYSDHHCGKDQIPSSTLQILEAHDDEVWFVQFSHNGKYLASASNDRTAIIWEVGINGGLTVKHRLTGHQKPVSSVSWSPNDQELLTCGVEEAIRRWDVSTGKCIQIYEKAGTGLVSCTWFPCGKYILCGFSDKSICMWELDGREVESWKGQKTLKISDLEIMDNGEEILSICKPNSVLLFNRETKNERFIEEYQPITAFSLSKDNKFLLVNLLNQEIHLWNIEGDPRLVGKYKGHRRARFIIRSCFGGLKQAFIASGSEDSQVYIWHRSSGELIEALAGHSGSVNCVSWNPANPHMLASASDDRTIRIWGLNSLHNNYQNVPTNGVHHYCNGGS >Vigun08g189500.1.v1.2 pep primary_assembly:ASM411807v1:8:35743529:35748787:1 gene:Vigun08g189500.v1.2 transcript:Vigun08g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPALKRMKLSSKGLVALSNGSSSKEPVGGLSRDFMARALPSKGDEQVVGSKGVIKKEEFVRIIAKALYSLGYGKSGAHLEEESGIALHSPGVNLFMQQILDGNWDDSVATLRKIALADESVVRSASFLIWEQKFFELLNGEKVMEALKTLRTEITPLCINSSRIRELSSCIVSPSPRLDIVRVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALILQRETCPFHNSLDKEMSLYSDHHCGKDQIPSSTLQILEAHDDEVWFVQFSHNGKYLASASNDRTAIIWEVGINGGLTVKHRLTGHQKPVSSVSWSPNDQELLTCGVEEAIRRWDVSTGKCIQIYEKAGTGLVSCTWFPCGKYILCGFSDKSICMWELDGREVESWKGQKTLKISDLEIMDNGEEILSICKPNSVLLFNRETKNERFIEEYQPITAFSLSKDNKFLLVNLLNQEIHLWNIEGDPRLVGKYKGHRRARFIIRSCFGGLKQAFIASGSEDSQVYIWHRSSGELIEALAGHSGSVNCVSWNPANPHMLASASDDRTIRIWGLNSLHNNYQNVPTNGVHHYCNGGS >Vigun03g166600.1.v1.2 pep primary_assembly:ASM411807v1:3:19235252:19243611:-1 gene:Vigun03g166600.v1.2 transcript:Vigun03g166600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MSLLHVVGFGLKVGHLLLVLCCWVVSVLYLNWFISSGIMDTKMGFPGGGGGGKMWHKWWEKISGQGCKIHQQYYQYIGSKRVKRALWRKLLLTWVVGWIIVSLWILCYLSLQGIEKRKETLASLCDERARMLQDQFNVSMNHIQAMSILISTFHHAKNPSAIDQKTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWIIKRMDTLEQNPVHKDDYVPEALEPSPVQEEYAPVIFAQDTIAHVISVNVLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNATPNERIQATDGYLGGVFDVESLVEKLLQQLASKQTVIVNVYDTTNHTHPIAMYGSNESGDEFYHVSTLNFGDPFRKHEMHCRFKQKPPWPWLAITTSFGILVIAFLVGYIFHATVNHIAKVEDDCREMMELRERAVAADIAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQEYVRTAQESGKALVSLINEVLDQAKIEFGKLELEAVLFDIRAILDDVLSLFSEKSQGKGVELAVYVSDQVPEFLIGDPGRFRQIITNLMGNSIKFTDKGHIFVTIHLVEEVVHSIEVDKESNSENTLSGSVVADSRKSWEGFRAFSQEGPLGSFSSPSNDLVNLIVSVEDTGEGIPLESQPRIFTPFMQVGPSISRKHGGTGIGLSISKCLVGLMNGEIGFVSIPKIGSTFTFTAIFTNGHRSSNECKIQQTNNQPRSASSEFEGMTALIIDPRPVRAKVSRYHIQRLGIHVEMVSDLNQALLAISNGNIVINMVLIEQEVWDRDLGLSSHFVNNTRKIDHGVPPKLFILVNSSSSFKAIVNLGADNPTVITKPLRASMLAASLQRSMGVQNKGAPRNRELQSLSLRHLLCGRKILIVDDNAVNRAVAAGALKKYGADVVCVSSGKDAISSLKPPHQFDACFMDIQMPEMDGFVATKQIREMEQSVNREASMDENVRNWHVPILAMTADVIQATHEKCLGGGMDGYVSKPFEAEQLYREVSRFFQSS >Vigun06g226000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33412660:33414488:-1 gene:Vigun06g226000.v1.2 transcript:Vigun06g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVSDSDKPLHVVMFPWLAMGHVYPCFEVCKILAQKGHYATLVSTPKIIDRLPKLPQTLTPFVKLTKLPLSPHIDQNHLPQDADSTMDIPSNKLYYLKSAYDALQQPMAQLLKTSNPDWVFYDFAASWIPELAKSLHIHSAYFSPCPAWSICFFDTPKQQLGEAADNRPNPEDYYGPPKWVPFPTNIGLRPYEVRKLLEDVKVNETGASPVFDLNEANSGCDMFVIRSSRDLEQEWLDYVAEFYHKPVVPVGLLPPMQVTDSEEGDNSPDWLQIKAWLETQKASSVVYIAFGSEVKLSQENLNELALGIELSGLPFFWALRKGSVELLPDGFEDRTKDRGVVWKTWAPQPKILAHTSVGGCLTHCGSGSMIENLYFGHVLVMLPFLLDQALYSRVMEEKKVGIEIPRNPQDGSFTRTSVAKALKLAMVDDEGSAYRKNAKEMGEKFSNKDLHNQYIEDFIVSLQNSGIGINRK >Vigun05g131500.2.v1.2 pep primary_assembly:ASM411807v1:5:15304725:15309257:-1 gene:Vigun05g131500.v1.2 transcript:Vigun05g131500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLKHASGIDIPMFDKLTQLEISFGSYSWDLLANLLQRSHKLEVLVINKESQKYGKGQESRWSHPLLVPECLLHLKTFCLREYQGLETELDFVGYIMQNARVLETMTIYLSSSLSSEEKLQIRRHLSILQRNFETCQIVFH >Vigun05g131500.1.v1.2 pep primary_assembly:ASM411807v1:5:15304647:15309312:-1 gene:Vigun05g131500.v1.2 transcript:Vigun05g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLKHASGIDIPMFDKLTQLEISFGSYSWDLLANLLQRSHKLEVLVINKESQKYGKGQESRWSHPLLVPECLLHLKTFCLREYQGLETELDFVGYIMQNARVLETMTIYLSSSLSSEEKLQIRRHLSILQRNFETCQIVFH >Vigun08g034600.1.v1.2 pep primary_assembly:ASM411807v1:8:3263422:3269139:-1 gene:Vigun08g034600.v1.2 transcript:Vigun08g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYTNNNHLSENTAPTPSPRPNFLYSSPPTGGNHSHSHSHHQHHHHQHHQFPINTFHLQSGGSDHCFQSDQVPPHPSVKTEASTSQLHAPIFHYPLMRGTLHNNNTTMHHQQGPSPTTSTTEVEAIKAKIIAHPQYSNLLEAYMDCQKIGASPEVVARMVAAKQEFEARQRSSGVSRDTSKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNMLCNGPVRIFSEEKCEGVGSSDEDQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLLNWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQSATLYMDGHYMADGHYRLGP >Vigun07g268900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38427693:38429831:-1 gene:Vigun07g268900.v1.2 transcript:Vigun07g268900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVCSEISTTGISPRISFSHDLKNTEDASVRVEDRHRGSDLCLLDSSSDFVFCITNGLAQHLSSADELFANGKIVPAEIKSVPNKPSHPPRSQPATTEKTQRKRLKEFLSASSDEAENEEEKPSSKYFWQFKRSSSLNFDTTRGNGLIRSLQFLSRSHSTGSALNPKQTEVPRETHKQRLQKQSSVSSRRSSSSSSGSSTYYFYSSSQKASLKKNGGYSGNGVRISPVLNLPQAYIPKATARFFGFGSLFCNGKIKRKKK >Vigun04g062300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:6487790:6490330:-1 gene:Vigun04g062300.v1.2 transcript:Vigun04g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFTFTSSFTLLFFLQHLFTYLHAYTPEEIFTINCGSTGKFSDGQRTWTGDADTKYISPQVSTVSGKATTQSSSTNQIPFSTARLSRSQFNYSFPVSAGAKFLRLFFYPADYPSFPRTHASFTVQSNQFTLLQAFNASLNADAQATDNIFKEYVVNVKDGESLILTFTPSHPNSYAFINGIEVLSMPTDLYYTPLDDVGFTLVGHGTQFSVQSSTAMETVYRIKAGGQEIPPQNDTGLFRGWADEQGYFIKHNPRNDDLPADLDGKMNITVNPDYLAPKELFRTARSMGRNATLNKMSNLTWEFPVDAGFTYVLRLHFCELDPNINDIGNRQFHIYIASMLADDRADVMKWSQKQKGLAVLRNYAVLIPNNGTQKKFNLSLQMHPYGSSVDSKYADAFLNGLEIFKINTNNLAGPNPDPVQTPENSIPGKPGRESRTTIIDVVVGVGIGVVFISLVILVVVLRCKRVTERNSKDYKSKSITATQKVFLPSDLCRHFSLDEIEAATNNFDDVFIVGVGGFGHVYKGYIDDGFTPVAIKRLKPGSQQGVHEFLNEIEMLSQLRHLHLVSLIGYCNEMNEMILVYDFMARGTLRDHLYNTDNPPLSWKQRLQICIGAARGLHYLHTGGKHTIIHRDVKTTNILLDDKWMAKVSDFGLSRIGPTDTDKSHVSTHVKGSFGYIDPEYYKRFRLTEKSDVFSFGVVLFEILCGRPALIHSAETQQVSLSNWVKHCDRNGSIAEIVDPTIKTKIAPECLKKFCEIGMNCLLEDGTQRPRMKDVVAMLEFTLRLQESAEQPAIEKGEKISEDSFSTTDLNVTTTTSTSEDNSYSNDTVSSWTPFSEIIDPKPR >Vigun03g069400.2.v1.2 pep primary_assembly:ASM411807v1:3:5754830:5757987:-1 gene:Vigun03g069400.v1.2 transcript:Vigun03g069400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQLQYVETFGFKFLHNSLSVNAFTFPFHLHTLCFLPFPSLPRTHTHPRFRPRTTVFSAVTAEQPPAPPVRMVAVAGHGAASPLKSASWEEVMLHTAKRLKWVDEGYELLVFTDECIVSGGEMSTRLQKELRDADILMIIAVTNKESVEWINNNGKTIENVICLESSSDLKNRLGGYDVPSGVRGRIFGSFFGTSQSGKAKESYEVVQTVSEAWDRHNSDDIRFCLLVIINAYIRPVPVLNNLRAKGFSTLNCMLRNCGRQVLNCLLDPNCRKALECLNRCSPVDQKNNCLELEAEVPEKPYVPPMMKFRGQNLSYEVAEDLFVGWLGSLQWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGEMVWRRRKYRVRRGKVPGTFYFSVLDNGVVSKEFWTIVDVAENLSWGLFHYHGAARVAGQSYTGAVLVSPDGAFPTDRERTQVVAALDKCEIKEWELYNVDNCSCIDPPLGIPEGSSLHTVVQIEDPKQMSV >Vigun03g069400.1.v1.2 pep primary_assembly:ASM411807v1:3:5754830:5757987:-1 gene:Vigun03g069400.v1.2 transcript:Vigun03g069400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQLQYVETFGFKFLHNSLSVNAFTFPFHLHTLCFLPFPSLPRTHTHPRFRPRTTVFSAVTAEQPPAPPVRMVAVAGHGAASPLKSASWEEVMLHTAKRLKWVDEGYELLVFTDECIVSGGEMSTRLQKELRDADILMIIAVTNKESVEWINNNGKTIENVICLESSSDLKNRLGGYDVPSGVRGRIFGSFFGTSQSGKAKESYEVVQTVSEAWDRHNSDDIRFCLLVIINAYIRPVPVLNNLRAKGFSTLNCMLRNCGRQVLNCLLDPNCRKALECLNRCSPVDQVCNYRCIASYESANLEAFSLCVLQKNNCLELEAEVPEKPYVPPMMKFRGQNLSYEVAEDLFVGWLGSLQWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGEMVWRRRKYRVRRGKVPGTFYFSVLDNGVVSKEFWTIVDVAENLSWGLFHYHGAARVAGQSYTGAVLVSPDGAFPTDRERTQVVAALDKCEIKEWELYNVDNCSCIDPPLGIPEGSSLHTVVQIEDPKQMSV >Vigun07g063500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7346532:7348235:-1 gene:Vigun07g063500.v1.2 transcript:Vigun07g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKCLSSTLHLPPHPTTPFLTPLPLSKTPTFSSSPNHTFFPSKLKKPLLSQSLLKLSPMKSSNSSNSMSLPDLEFESDPTLTNEDLKPTAPTQRTFSGFEIATFWVGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANMVLLVPLVLTGHAGTRYGISFPVLVRSSFGIHGAHIPTLLRALIGCGWYGIESWIGGEAIFLLLPKSIKEASLSQPLPWLGTSPLEFACFLVFWVAQLAFVWKGIDGIRKLEKYSAPILVALTSSLLIWSCVKAGGFAHMLSLSSKLSASEFWSVFFPSLTANISFWATVAINIPDFTRYAKSQKDQVIGQIGLPIFMGAFTFVGLAVTSSTKVIFGEVISDPIQLLGKIGGLTTRIIAIFGISLAIITTNIAANVVAPANALVNLSPKWFTFTRGALLTALLGIAFQPWRLLQSSESFVYTWLVGYSALMGPIAGIVLADYYIIQKTDLNMKDLYSKSPHGAYWYSGGFNVAAILALVIGVLPVVPGFLQKIGLATSVPNTFVVIYNNAWFISFFSAGFLYLVLSNLRGKPGNSAARDNLLPTAK >Vigun03g141200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14149654:14151427:1 gene:Vigun03g141200.v1.2 transcript:Vigun03g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSSYFTFATAIAILFSFEPPSVDTHKNFVQCLYNYPHITNSISNVVYTQTNPSYSSILGMSIRNSRFFNRSSKPQVIVTPLDVSHIQATIICSQRHGLQIRTRSGGHDYEGLSYVAEVPFVILDLFNLQQITVDVENRTAWVQAGATLGELYYTISQKSKTLGFPAGVCATVGTGGHFSGGGYGSLMRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVKKANSSEHGKQTIQANFVSMFQGGVEELIPLMQKSLPELGLDKKDCTETSWIGSVVFANAVLVGSAVNEAPEVLLNRTRFRPGINKAKSDYVRKPIPVEGLQGLWRLLYEVPDGELQFAPYGGRMDEISDSEISFAHRSGYIFHIHYVVVWEEEGDEAAQRYMNWIRKVYKYMEPYVSNSPRAAYVNYRDLDIGINNNGYTSYDQASIWGVKYFGNNFRRLATVKTKVDPHNFFKNEQSIPTLSAEEEN >Vigun07g068200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8200162:8201286:-1 gene:Vigun07g068200.v1.2 transcript:Vigun07g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKAGRKKFHETRHPVYKGVRQRNGKWVSELRQPNNKNARVWLGTFARPDMAAVAYDVAALAFKGDRASLNFPDAISSLPRLNSATSSVQAIQFAATQAAEKHFSCAELQKLGDDVSSEGGSGSFSWDEDSSELSSEEGSRKFFWDEEEVFNMPELLNSMAEALIITPPALQKGFNWVGGETTVDLTLW >Vigun05g110800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11561633:11562582:1 gene:Vigun05g110800.v1.2 transcript:Vigun05g110800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSPRNFFNRRRTDAAHQPTWEPYQAQQHHTMGLTHSNPSPPFMAFPAEPAPILNSHIEFKETPEAHVYKAHLPGFKRNDVRVEVGDDRVLCIVCGKSVEKEEQREGWHCVELSSGHFIQRLTLPENSLVDHVKAFMENGILTITVPKYNKASHGRVRNINISSRP >Vigun05g114401.1.v1.2 pep primary_assembly:ASM411807v1:5:12116506:12117166:1 gene:Vigun05g114401.v1.2 transcript:Vigun05g114401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCMVKFFDSTVKSRSVLCWRSQAMVIFMLLSTTGPPIKRRAGLRIKQAGRGSYRGS >Vigun05g030400.1.v1.2 pep primary_assembly:ASM411807v1:5:2415360:2419818:-1 gene:Vigun05g030400.v1.2 transcript:Vigun05g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLLPKATPCILLLVLLYCTSFTSTDAYDPLDPNGNITIKWDIISWTPDGYVAVVTMNNFQQYRHIASPGWSLGWTWAKKEVIWSMMGGQTTEQGDCSKFKGGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLSSWVQDPTNAVSSFQVSVGRAGTTNKTVKVPKNFTLNAPGPGYTCGPAKIVKPTQFIQADKRRVTQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVPCPTCACGCQGNSSLSGSCVNPNTPHLASVVSGSGKNNFSPLVQCTSHMCPVRIHWHVKLNYKEYWRVKVTITNFNYRMNYSEWNMVVQHPNFDNLTQLFSFNYKSLTPYGSINDTAMLWGVKFYNDFLNQAGPNGNVQSELLFRKDKATFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAGSRPEVSLFAVVIASLVALVFYAHA >Vigun04g012900.1.v1.2 pep primary_assembly:ASM411807v1:4:936163:938924:-1 gene:Vigun04g012900.v1.2 transcript:Vigun04g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKTDSDVTSMDTSSSPKRAVYYVQSPSRDSHDGDKSSTMHATPAFNSPADSPSHHSFGHHSRASFSSRVSGSLNIATWGKKANRKRGGEKGWPEGKVIEEEEGYYGEREGLSRRTQIFVGVVGFALIFALFCFIIAMAARPFKVRVSVKSLTVHNFYFGEGADMTGVPSKMLTVNCSARMKVHNPATFFGIHVSSKEVNLMYSEIRVASGELKKQYLPRKGTRNVSVNLQGSKVPLYGAGASLTGLVDNGKVPVTLVFEVRSIGNVVGKLVRSKHRRHVSCSVAIDSHNNGPIKLKENACTYN >Vigun09g113100.1.v1.2 pep primary_assembly:ASM411807v1:9:24759922:24765194:1 gene:Vigun09g113100.v1.2 transcript:Vigun09g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFLQNGSDLSPGKSLDGSFRKSSSVISASTVSGTSGLSKTLPISRRVLKGLKECGRKLVDLELFTQYLEEWVLENLNGDSADGIQSFRSPFTTDELCKLDLALEGVPFQQLVRMPIFSDISDELIEDQYLAAEDFLHAIIIGLWRTFWHKSGPLPLCVSCPSHIGSKFSSVEKAISRGRVREMRGLALISKTVTDSRFKWDHMVEFALFKPEVFLDNDLGLSVSSICEALFYGFHILVSRSLSKISSVNSDSVFLLVLDSKCGAVMKFSGDLGKLDLLNSSDPYLSVAEWIKTYAEIGITPVEPIWNRLGNPNWGDIGTLQVLLATFYSMAQWNGPPRKSVASLISDHSLRLQKRRIECCLIDTENALVPYHATTDYHQAGEIVELDQNEEFSNGQSSRLKLRCGDILVLDDPQQGQKSFQIHESLVGGNYYLYSAVCLDHPSQLLTLYVGAHPSRLEPSLEDMSLWYQVQRQTKVLNILRNQGILSKYLPEIVASGRILHSGACNKESPGGRCDHPWCGTPILVTSPRGEPLSSVATNEGSFSADEATRLCRDCLAALRSAAMANVQHGDICPENIIRVVEKQGVRNKGSMYVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESIVYILYFICGGTMSLQDSIESALQWRERSWAKRLIQQHIGQVSPLLKAFADYVDSLCGTPYPVDYDIWLKRLNKAVEGSADKGKGIEQVPITLRLEDAAGSSGASGP >Vigun11g051600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8764314:8767597:1 gene:Vigun11g051600.v1.2 transcript:Vigun11g051600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQNHHLLHQAPAPSRSAMRAAGGGGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTAIDELAELPPWNPTATSMQPPQQQEIVLRQNKSLAVEQDPTAFGSRGESNVVVAPTRVPEQFSHQQLESENANMGNGKYNSGPGFLPPSLDTDNIAETIKTFFPVEATTTSYQSYPPAPPDLRQQDLRLSLQSFQDPIMLQHQPQSHNEPVLFAGTALGFDGGSAWSEHQHHHSEEQRLLFGGNSGHGGGFVFNTPAPAFGQFFSQRGPLQSSNTPSVRAWIDPSVDHHHHNHHYLSPMIHQGSVAGGFSNGGGFPGFRIPARIQGEEEHDGVSDRPSSASSDSRH >Vigun05g275700.2.v1.2 pep primary_assembly:ASM411807v1:5:46581435:46591747:-1 gene:Vigun05g275700.v1.2 transcript:Vigun05g275700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDHCCSTQLIDGDGTINVAGIENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFNTNFREMDAFKGRSQTTKGIWMAKCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQKVEVVALSSFEEKEEQFKEQVANLRKRFQHSIAPGGLAGDRRGVVPASGFSFSAQEIWKIIKENKDLDLPAHKVMVATVRCEEIANEKYDLFVSNKDWCELKEAVQSGPISGFGKNLNSILTTCLSEYDAEANYFDEGVRTAKQKQLQEKLLQLAQPAFQTALGHVRSIVFDKFKEAFDKALNGGQGFSSAANRCVESCMAKFDEGCADVVIEIADWDTSKVREKLRRDVDAHVLSVRASKISELVSSYEEQLKEALSAPVETLLDGANSDTWPSIRKLLKRETLAAVSGFSLELDRYDMDEETKNSMIVTLEDYAKGVIEARAREEAGRVLIRMKDRFMTLFSHDSDSMPRIWTGNEDIRAITKSSRSSCLKLLSVMAVIRLADADDIYDIEKTLTVALTDSSSDAGKEKSITTLDPLASSTWEQVPSTKTLITPVQCKSLWRQFKIETEYTVSQAIAAQEANNRSNNWLPPPWAIVALLILGFNEFMTVLRNPLYIGVIFVGYLLLKALWVQLDVAGEFQNGALPGLISLSSKFVPIIMNLMRKLAEEAQNAAADDHSGNSSKRYERPVPSGRVTSSSSSSRVTSMGNRTDSSEYISQLKEE >Vigun05g275700.4.v1.2 pep primary_assembly:ASM411807v1:5:46581435:46591747:-1 gene:Vigun05g275700.v1.2 transcript:Vigun05g275700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDHCCSTQLIDGDGTINVAGIENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFNTNFREMDAFKGRSQTTKGIWMAKCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSDFFIVEVVALSSFEEKEEQFKEQVANLRKRFQHSIAPGGLAGDRRGVVPASGFSFSAQEIWKIIKENKDLDLPAHKVMVATVRCEEIANEKYDLFVSNKDWCELKEAVQSGPISGFGKNLNSILTTCLSEYDAEANYFDEGVRTAKQKQLQEKLLQLAQPAFQTALGHVRSIVFDKFKEAFDKALNGGQGFSSAANRCVESCMAKFDEGCADVVIEIADWDTSKVREKLRRDVDAHVLSVRASKISELVSSYEEQLKEALSAPVETLLDGANSDTWPSIRKLLKRETLAAVSGFSLELDRYDMDEETKNSMIVTLEDYAKGVIEARAREEAGRVLIRMKDRFMTLFSHDSDSMPRIWTGNEDIRAITKSSRSSCLKLLSVMAVIRLADADDIYDIEKTLTVALTDSSSDAGKEKSITTLDPLASSTWEQVPSTKTLITPVQCKSLWRQFKIETEYTVSQAIAAQKSLVYRCHLCWLSSLESPMGAA >Vigun05g275700.3.v1.2 pep primary_assembly:ASM411807v1:5:46581435:46591747:-1 gene:Vigun05g275700.v1.2 transcript:Vigun05g275700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFNTNFREMDAFKGRSQTTKGIWMAKCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSDFFIVEVVALSSFEEKEEQFKEQVANLRKRFQHSIAPGGLAGDRRGVVPASGFSFSAQEIWKIIKENKDLDLPAHKVMVATVRCEEIANEKYDLFVSNKDWCELKEAVQSGPISGFGKNLNSILTTCLSEYDAEANYFDEGVRTAKQKQLQEKLLQLAQPAFQTALGHVRSIVFDKFKEAFDKALNGGQGFSSAANRCVESCMAKFDEGCADVVIEIADWDTSKVREKLRRDVDAHVLSVRASKISELVSSYEEQLKEALSAPVETLLDGANSDTWPSIRKLLKRETLAAVSGFSLELDRYDMDEETKNSMIVTLEDYAKGVIEARAREEAGRVLIRMKDRFMTLFSHDSDSMPRIWTGNEDIRAITKSSRSSCLKLLSVMAVIRLADADDIYDIEKTLTVALTDSSSDAGKEKSITTLDPLASSTWEQVPSTKTLITPVQCKSLWRQFKIETEYTVSQAIAAQEANNRSNNWLPPPWAIVALLILGFNEFMTVLRNPLYIGVIFVGYLLLKALWVQLDVAGEFQNGALPGLISLSSKFVPIIMNLMRKLAEEAQNAAADDHSGNSSKRYERPVPSGRVTSSSSSSRVTSMGNRTDSSEYISQLKEE >Vigun05g275700.1.v1.2 pep primary_assembly:ASM411807v1:5:46581435:46591747:-1 gene:Vigun05g275700.v1.2 transcript:Vigun05g275700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDHCCSTQLIDGDGTINVAGIENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFNTNFREMDAFKGRSQTTKGIWMAKCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSDFFIVEVVALSSFEEKEEQFKEQVANLRKRFQHSIAPGGLAGDRRGVVPASGFSFSAQEIWKIIKENKDLDLPAHKVMVATVRCEEIANEKYDLFVSNKDWCELKEAVQSGPISGFGKNLNSILTTCLSEYDAEANYFDEGVRTAKQKQLQEKLLQLAQPAFQTALGHVRSIVFDKFKEAFDKALNGGQGFSSAANRCVESCMAKFDEGCADVVIEIADWDTSKVREKLRRDVDAHVLSVRASKISELVSSYEEQLKEALSAPVETLLDGANSDTWPSIRKLLKRETLAAVSGFSLELDRYDMDEETKNSMIVTLEDYAKGVIEARAREEAGRVLIRMKDRFMTLFSHDSDSMPRIWTGNEDIRAITKSSRSSCLKLLSVMAVIRLADADDIYDIEKTLTVALTDSSSDAGKEKSITTLDPLASSTWEQVPSTKTLITPVQCKSLWRQFKIETEYTVSQAIAAQEANNRSNNWLPPPWAIVALLILGFNEFMTVLRNPLYIGVIFVGYLLLKALWVQLDVAGEFQNGALPGLISLSSKFVPIIMNLMRKLAEEAQNAAADDHSGNSSKRYERPVPSGRVTSSSSSSRVTSMGNRTDSSEYISQLKEE >Vigun05g275700.5.v1.2 pep primary_assembly:ASM411807v1:5:46581435:46591747:-1 gene:Vigun05g275700.v1.2 transcript:Vigun05g275700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDHCCSTQLIDGDGTINVAGIENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFNTNFREMDAFKGRSQTTKGIWMAKCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPVLREDIQKVEVVALSSFEEKEEQFKEQVANLRKRFQHSIAPGGLAGDRRGVVPASGFSFSAQEIWKIIKENKDLDLPAHKVMVATVRCEEIANEKYDLFVSNKDWCELKEAVQSGPISGFGKNLNSILTTCLSEYDAEANYFDEGVRTAKQKQLQEKLLQLAQPAFQTALGHVRSIVFDKFKEAFDKALNGGQGFSSAANRCVESCMAKFDEGCADVVIEIADWDTSKVREKLRRDVDAHVLSVRASKISELVSSYEEQLKEALSAPVETLLDGANSDTWPSIRKLLKRETLAAVSGFSLELDRYDMDEETKNSMIVTLEDYAKGVIEARAREEAGRVLIRMKDRFMTLFSHDSDSMPRIWTGNEDIRAITKSSRSSCLKLLSVMAVIRLADADDIYDIEKTLTVALTDSSSDAGKEKSITTLDPLASSTWEQVPSTKTLITPVQCKSLWRQFKIETEYTVSQAIAAQKSLVYRCHLCWLSSLESPMGAA >Vigun07g073000.1.v1.2 pep primary_assembly:ASM411807v1:7:9267329:9269475:1 gene:Vigun07g073000.v1.2 transcript:Vigun07g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKDQPKNETEKKPEDGAPKKDEGPAPVVYKLDLHCEGCVKKIKRTARHFPGVETVKADLSSNKVTVTGKMDAEKLREKLAERTKKKVDFVTPPPKKEAAAEKPPEKKAEEKKPEEKKPEEKPKETTVVLKIKLHCDGCIAKIRRIILRFKGVESVSLDGSKDLVTVKGTMDVKEMVPYLNEKLKRNVEVVPPKKEEEKKEKEEGGEKKEKADGGEKKEKDGGGEKKEKDAAAEAKAEVNKMEFMYPNPPPSFWYQGGQFPGQTSYAMEVQPSYGINNHYVEQGYVNHGYPMQPPLPYYMHPNAPPPQMFSDENPNACSIM >Vigun01g151900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33478726:33479816:-1 gene:Vigun01g151900.v1.2 transcript:Vigun01g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFWFSSLVRLHITWPIVSYAATWITLLTLTAAVASISPQVAFVSAISPSSSFSQKCSTDGSIRMPLDVPGDILCFPPHMFVKSKVDLIVPPVFAAAIVAASACVVRAAGMWEHDQTR >Vigun10g111000.1.v1.2 pep primary_assembly:ASM411807v1:10:31104500:31147416:-1 gene:Vigun10g111000.v1.2 transcript:Vigun10g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDLGVEAKEAAVREVAKLLPLPDLLQSISSIKADYISRQQANDAQLSTMVAEQVEQSQAGLKSLSLSEKTINQLRENFVSIEKLCQECQTLIDNHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKEIVNTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHVSNLYKLSKESPQTLVRAVRVVEMQEILDQQIAEEAAEAEGDAEIAPVANPRKSGIKSTSSLASSKNLTQQKLKVQGKGYKDKCYEQIRKTVEGRFNKLLTEYVFEDLKAALEEARAIGEELGDVYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQDNLIGLGVDESLAQVCSESGSMDPLMNSYVERMQATTRKWYLNILEADRTQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDLMLYRIALATIQVMIDFQAAEKKRLEEPASEIGLEPLCAMINNNLRCYDLAMELSNSTIEALPQNYADQVNFEDTCKGFLDVAKEAVHQTVSVIFEDPGVQELLVKLYQKEWSEGQVTEYLVATFGDYFGDVKMYIEERSFRRFVEACLEETVIVYVDHLLTQKNYIKEETIERMRLDEEVIMDFFREHISVSKVENRVSVLSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVNLREGIPRKDAKEVIQECKEIYENSLVDGRPPKVGFVFRRVKCLTAVKGGIWRKLT >Vigun09g116800.1.v1.2 pep primary_assembly:ASM411807v1:9:25639156:25651692:-1 gene:Vigun09g116800.v1.2 transcript:Vigun09g116800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNASRKRAASTVAPQPPPPKQAVMTQEEDFMDEDVFIDQTLVSEDEESIILRDIEQRQALAARLSKWTRPPLSDDYVAQSCSVVFQQLEIDYVIGESHRELLPNMSGPAAIIRIFGVTKEGHSVCCNVHGFEPYFYISCPPGMGPDDISHFHQTLEGRMREANRNSNVGKFVRRIEMVQRRSIMYYQQSDSQPFLKIVVALPTMVASCRGILDRGIQLDGLGMKSFLTYESNVLFALRFMIDCSIVGGNWIEIPAGKYKKIVKNMSYCQLEFDCLYCDLVSHAPEGEYSKMAPFRILSFDIECAGRKGHFPEPSHDPVIQIANLVTLQGEDQPLIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFLREVDPDIIIGYNICKFDLPYLIERAANLKIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGTAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKARQKNLVIPNVKQVGSEQGTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTSEDARKLNIPPESVNRTPSGETFVKSNLQKGILPEILEELLTARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLNGYEHNAEVIYGDTDSVMVQFGVSAVEQAMNLGREAAEYISGTFTKPIKLEFEKVYYPYLLISKKRYAGLFWTKPDKFDKMDTKGIETVRRDNCLLVKNLVNDCLHKILIDRDVPGAVQYVKNAISDLLRNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKGAKGAKAYEKSEDPIYVLENNIPIDAQYYLENQISKPILRIFEPILKNASRELLHGSHTRSISISTPSNSGLWKFAKKELTCIGCKAVLGKDHHTVCSHCKGREAELYCKTVSRVSELETLFGKLWTQCQECQGSLHQDILCTSRDCPIFYRRKKAQKEMSEAQSQLDRWSF >Vigun04g033500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2776199:2776922:1 gene:Vigun04g033500.v1.2 transcript:Vigun04g033500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRNRCISCICGTIITLIFLFIVSLIIFWIIISPSNVKFHVTDASLTQFNLTNNNTLYYNFKVNVTVRNPNNNIVVYYRRIKAIAWYKDNDFGRVNLTPFDQGHKNTTVLQVLFEGQSVLKLRSQQLGEYKEETSAEVYNDMAVDFDLRIRAKFGKFKSSRFDPPIVQCRRLSVPLISKAKSLPSFTVSNCRIGRSFFVDRDAEAA >Vigun08g171450.2.v1.2 pep primary_assembly:ASM411807v1:8:34215766:34218921:1 gene:Vigun08g171450.v1.2 transcript:Vigun08g171450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCWCKFRAPTNFHVRKKVLLTWWLVKLYRPENKVNVTHSKKKQEGVVARALRGRYSLFQFHRSLLNKIPFFFFRSSSTAR >Vigun08g171450.1.v1.2 pep primary_assembly:ASM411807v1:8:34215766:34218921:1 gene:Vigun08g171450.v1.2 transcript:Vigun08g171450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCWCKFRAPTNFHVRKKVLLTWWLVKLYRPENKVNVTHSKKKQEGVVARALRGRYSLFQFHRSLLNKVVVDCTVILPLAEPPSTLRRLHRRLMKKQG >Vigun11g032200.1.v1.2 pep primary_assembly:ASM411807v1:11:4260121:4260982:1 gene:Vigun11g032200.v1.2 transcript:Vigun11g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGKITNEIGVHATAEKWFNLFAKQLHNVQNLAERVHGTKLHRGEDWHHPESIKHWTYVIEGKVTTCLESIESVDEANKTITYKLFDGGIDQQFKVFKFIFQAIDKNSGGAIIKWTIEYERVSEEVDPPYGYVEYLHTFTRDIDAHLLKA >Vigun02g028850.1.v1.2 pep primary_assembly:ASM411807v1:2:12082207:12085162:-1 gene:Vigun02g028850.v1.2 transcript:Vigun02g028850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCGRAVSSHQQSQKTNPKIMVCHDPFIRLFKEFQDLSYIGGINEIKDGCAEGKDLVLSLMSTMERSIFLLLHWSQ >Vigun07g235100.1.v1.2 pep primary_assembly:ASM411807v1:7:35674444:35678209:-1 gene:Vigun07g235100.v1.2 transcript:Vigun07g235100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDKDPRQEVLQAWYMDDSDEDQRLPHHKEPKEFVSFDQLAELGVLSWKLDADNYETDPELKKIREERGYTYMDVCEVCPEKLPNYEQKIKSFFEEHLHTDEEIRFCAAGSGYFDVRDRNDAWIRVWVKKGGMIILPAGIYHRFTLDETNYIKALRFFVGEPVWTPHNRPNDHLPARQQYLQDFVEKDVANQAVNAAA >Vigun01g006800.1.v1.2 pep primary_assembly:ASM411807v1:1:825437:828596:-1 gene:Vigun01g006800.v1.2 transcript:Vigun01g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLFNEEKTLVLVGRIGNGKSTTGNSILGRNAFKLRTRSSSESHICELQKNVTKDGSIINVINTPGLFDGSDSVGKEIIECIDVAKDGIHAILVVFSVRTRFSEEEEATLRVVQTLFGHKITDYMILVFTGGDELEYNDETLEDYLGGCPQPLKDILLQCDNRKVLFDNKTKDEKKQLQQVQQLMNLVNMVMSKNNGQPYTNKTFVRWQEGSQLKEEITNLLETLEEERVQRLMIEEKLKLAQASLNDEIQKLRYNHELANTRPHILQQLMSMCGIL >Vigun01g006800.2.v1.2 pep primary_assembly:ASM411807v1:1:825437:828525:-1 gene:Vigun01g006800.v1.2 transcript:Vigun01g006800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLFNEEKTLVLVGRIGNGKSTTGNSILGRNAFKLRTRSSSESHICELQKNVTKDGSIINVINTPGLFDGSDSVGKEIIECIDVAKDGIHAILVVFSVRTRFSEEEEATLRVVQTLFGHKITDYMILVFTGGDELEYNDETLEDYLGGCPQPLKDILLQCDNRKVLFDNKTKDEKKQLQQVQQLMNLVNMVMSKNNGQPYTNKTFVRWQEGSQLKEEITNLLETLEEERVQRLMIEEKLKLAQASLNDEIQKLRYNHELANTRPHILQQLMSMCGIL >Vigun01g071100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:19267331:19268349:-1 gene:Vigun01g071100.v1.2 transcript:Vigun01g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWGPVIVAVALFILLSPGLLFQFPARYRVVEFGNMSTSGIAILVHAIIFFCILTILIVAIGIHIHIN >Vigun08g062200.1.v1.2 pep primary_assembly:ASM411807v1:8:8447414:8450435:1 gene:Vigun08g062200.v1.2 transcript:Vigun08g062200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKQMSLIVSFLGVVSFVLGVIAENKKPPAGIPVTGLNGASVTCKYPADRTVVLGYLSVAFLVASTVVGYLSLFYPYKGKSIPQGVLFKHTTFTVFFNISLFTAGLAAALLLWPTITEQLHLTHNVHHDVNYECPTAKTGLLGGGAFLSLDSSLLWLIALMLAGNAREDFFDEEGNGVDKGEYGTASSDNYYDADSGLKGSS >Vigun07g210600.2.v1.2 pep primary_assembly:ASM411807v1:7:33209365:33211464:-1 gene:Vigun07g210600.v1.2 transcript:Vigun07g210600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLIQIGIVGCADIARKVSRAINLAPNAAICAVSSRSHEKAAAFAAANGLPLSAKVYGSYEAILEDPEVDAVYMPLPTSLHLKWAVLAAQNKKHLLLEKPVALDVAQFDHILEACESSGVQFMDNTMWVHNPRTAAMANFLNDAQRFGNLKSVRTCFTSAADSDYLSRNIRVKPDLDALGALGDHGWYCIRAILLAANYELPKTAVASYEPVLNKDGVILECGASLYWEDGKVATFHCSFLANLTMDITAIGTRGTLHAGLNSQ >Vigun07g210600.1.v1.2 pep primary_assembly:ASM411807v1:7:33209365:33211464:-1 gene:Vigun07g210600.v1.2 transcript:Vigun07g210600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLIQIGIVGCADIARKVSRAINLAPNAAICAVSSRSHEKAAAFAAANGLPLSAKVYGSYEAILEDPEVDAVYMPLPTSLHLKWAVLAAQNKKHLLLEKPVALDVAQFDHILEACESSGVQFMDNTMWVHNPRTAAMANFLNDAQRFGNLKSVRTCFTSAADSDYLSRNIRVKPDLDALGALGDHGWYCIRAILLAANYELPKTAVASYEPVLNKDGVILECGASLYWEDGKVATFHCSFLANLTMDITAIGTRGTLHVHDFIIPYHEKEASFLAGTETNFDDLVTGWAKQPIKHTINTDLPQEALLVREFARLVAEIKFKNSKPEKKWPTISRKTQLVLDAVKASIQRGFEPVQIQE >Vigun09g088400.3.v1.2 pep primary_assembly:ASM411807v1:9:12040482:12058026:1 gene:Vigun09g088400.v1.2 transcript:Vigun09g088400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDSAPSVPLLWNFSQVLGQQLPNEPLQNDDIITTIAFDKRGDHLAVGDRGGRVVIFGRDDRKKNVSSNRRRDLEQRDFASQPPEFRYKTEFQSHEPEFDYLKSVEIEEKINKVRWWMTHDSLQFILSTNDKTIKLWKVKEHKVKQVKEMAPPLCSENMFLAGKSFVPGLETKSAANGYRLEWIEKTPKNHLPPQDVDSEIGGMEDPFHAKCQKVYAYAHSFKINSISNNSDYETFVSADDLRINLWNLEVSDQCFNIIDMKPSNMEDLTEVITSAEFHPLHCNLLAYSSSQGLIRLSDLRHSAICDHASRIFQHGESYGSKSFFTEITSSISDIKFLNDGQHLLSRNYMNMKLPELYNNDCIFDKFECCFSGDGLHFATGSYSNILRIFSPGSGREEGIKLEIRGNSDRKAIHQAKSRARRPSLSNIMPRGIYHHAHVDSESSSIDSLCNLSSRLLQVAWHPTCNLVACAAESSLVLYYA >Vigun09g088400.2.v1.2 pep primary_assembly:ASM411807v1:9:12040482:12058026:1 gene:Vigun09g088400.v1.2 transcript:Vigun09g088400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDSAPSVPLLWNFSQVLGQQLPNEPLQNDDIITTIAFDKRGDHLAVGDRGGRVVIFGRDDRKKNVSSNRRRDLEQRDFASQPPEFRYKTEFQSHEPEFDYLKSVEIEEKINKVRWWMTHDSLQFILSTNDKTIKLWKVKEHKVKQVKEMAPPLCSENMFLAGKSFVPGLETKSAANGYRLEWIEKTPKNHLPPQDVDSEIGGMEDPFHAKCQKVYAYAHSFKINSISNNSDYETFVSADDLRINLWNLEVSDQCFNIIDMKPSNMEDLTEVITSAEFHPLHCNLLAYSSSQGLIRLSDLRHSAICDHASRIFQHGESYGSKSFFTEITSSISDIKFLNDGQHLLSRNYMNMKLWDMRRESSPVAIFKIHEHLRPKLPELYNNDCIFDKFECCFSGDGLHFATGSYSNILRIFSPGSGREEGIKLEIRGNSDSSR >Vigun09g088400.1.v1.2 pep primary_assembly:ASM411807v1:9:12040482:12058026:1 gene:Vigun09g088400.v1.2 transcript:Vigun09g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDSAPSVPLLWNFSQVLGQQLPNEPLQNDDIITTIAFDKRGDHLAVGDRGGRVVIFGRDDRKKNVSSNRRRDLEQRDFASQPPEFRYKTEFQSHEPEFDYLKSVEIEEKINKVRWWMTHDSLQFILSTNDKTIKLWKVKEHKVKQVKEMAPPLCSENMFLAGKSFVPGLETKSAANGYRLEWIEKTPKNHLPPQDVDSEIGGMEDPFHAKCQKVYAYAHSFKINSISNNSDYETFVSADDLRINLWNLEVSDQCFNIIDMKPSNMEDLTEVITSAEFHPLHCNLLAYSSSQGLIRLSDLRHSAICDHASRIFQHGESYGSKSFFTEITSSISDIKFLNDGQHLLSRNYMNMKLWDMRRESSPVAIFKIHEHLRPKLPELYNNDCIFDKFECCFSGDGLHFATGSYSNILRIFSPGSGREEGIKLEIRGNSDRKAIHQAKSRARRPSLSNIMPRGIYHHAHVDSESSSIDSLCNLSSRLLQVAWHPTCNLVACAAESSLVLYYA >Vigun01g032900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4222071:4222310:-1 gene:Vigun01g032900.v1.2 transcript:Vigun01g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMMDVKINIVLLGLICIGLVVCSSIEKNEKSDGESRLICSNTEGHCPDNNACNKFCLSVPFPGGGSCINNNCCCKE >Vigun07g141500.1.v1.2 pep primary_assembly:ASM411807v1:7:25168057:25172413:1 gene:Vigun07g141500.v1.2 transcript:Vigun07g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLCLATFKTSSSLHLHCQRIFELMRTGSSTFSLLQAPRCSIMRFYQDEALCGFIKIYGKNSSIKLLGSRIYVPERGFPTKWIKRLVTTRAEGSKQNWNTKSRSTKHESFREEILPSATVNVNTTELDRLQKIQWPEVQEIAQYKNLSDILTVIVFDLETTGYSRVNDRIIEIALRDLQGGHHSTFQTLVNPQCHVPNSHIHGIATHMVNRTDVPRMEELVPILLQYIRNREKHGGYVLWVAHNARTFDAPFLIHEFIRCSREIPRNWLLLDTLPLARELIKSGTNLSSASLVALREFYRVEVDGSAHRAMVDVNTLSQVLPMLTSDLKLTLSSLVKKSFNFSHLMIRAKKTKNSH >Vigun03g271000.1.v1.2 pep primary_assembly:ASM411807v1:3:44503887:44505983:1 gene:Vigun03g271000.v1.2 transcript:Vigun03g271000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSLSLPTLHTFPPYFHQYVPILPIPFYPHKKNRQVKKILNNLDKSSTTEKSVAEFDNSKGKSTPKGVPRKFPSKDLKKKIAIVSFLGALGLLLSTRFHFFGVPSKDHCAHAFPTEEAQHNGKPTVVEFDAD >Vigun03g271000.2.v1.2 pep primary_assembly:ASM411807v1:3:44503887:44505983:1 gene:Vigun03g271000.v1.2 transcript:Vigun03g271000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSLSLPTLHTFPPYFHQYVPILPIPFYPHKKNRQVKKILNNLDKSSTTEKSVAEFDNSKGKSTPKGVPRKFPSKDLKKKIAIVSFLGALGLLLSTRFHFFGVPSKDHCAHAFPTEEVCYLTAPISEIQFLSLLCHRHSIRLFKIYNFYQNI >Vigun11g095900.1.v1.2 pep primary_assembly:ASM411807v1:11:27903900:27907867:-1 gene:Vigun11g095900.v1.2 transcript:Vigun11g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRESSKGKFGSSSIQSMKEKEKHLVDKIQGIFSNLQCARKEGRGNDIVIFEEQMHQLLREWKAELESPATSLADGSFDSFTSELAQLLQVIEEKDDATSPLTKPGPLKTELQTSNTSDNNFQFFQEKHFDDNQPLGHNFEGSASTLYNNAFNNSDMEQLDFHPFSLNQDMNHNQVGHNSDLIGQVDLYQDLGHNTEIKHSESNQFSIEGFDCSQFFGAEDTVHHGENIIPNILPNICPPPSAFLAPKCALWDCFRPAQGVEWCLNYCSSCHELLANNEGLPGMTPILRPGGIGVKDGPLFAAVLAKTQGKEVGIPSCEGAASTKSPWNAPEFFDLSFLEGEAVREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEHGGQKRSYYMDPQPLSYLEWHLYEYEINNHDGCALYRLELKLVDKKKSPKGKVTKESLNDLQNKMGQLTAAVLSSDDGISIKGKTNAKSENDGSPEK >Vigun11g095900.2.v1.2 pep primary_assembly:ASM411807v1:11:27903900:27907867:-1 gene:Vigun11g095900.v1.2 transcript:Vigun11g095900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRESSKGKFGSSSIQSMKEKEKHLVDKIQGIFSNLQCARKEGRGNDIVIFEEQMHQLLREWKAELESPATSLADGSFDSFTSELAQLLQVIEEKDDATSPLTKPGPLKTELQTSNTSDNNFQFFQEKHFDDNQPLGHNFEGSASTLYNNAFNNSDMEQLDFHPFSLNQDMNHNQVGHNSDLIGQVDLYQDLGHNTEIKHSESNQFSIEGFDCSQFFGAEDTVHHGENIIPNILPNICPPPSAFLAPKCALWDCFRPAQGVEWCLNYCSSCHELLANNEGLPGMTPILRPGGIGVKDGPLFAAVLAKTQGKEVGIPSCEGAASTKSPWNAPEFFDLSFLEGEAVREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEHGGQKRSYYMDPQPLSYLEWHLYEYEINNHDGCALYRLELKLVDKKKSPKGKVTKESLNDLQNKMGQLTAAVLSSDDGISIKGKTNAKSENDGSPEK >Vigun01g168400.1.v1.2 pep primary_assembly:ASM411807v1:1:34997187:34999652:1 gene:Vigun01g168400.v1.2 transcript:Vigun01g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSYLSLFLLPLLSILFIFLHRRKTDARNRRLPPGPQGWPIFGNMFQLGDLPHRTLTELRAKHGPVVWLQIGAMNSMAILSADAATVFFKHHDHAFADRTIIETMRVHNYHKSSLAIAPYGPYWRLMRRLVTVDMLVAKRINESAPVRRKCVNDMITWLSKEAGKLEEGGGVHVARFVFLMTFNLLGNLMLSRDLLDPDLEDGSEFFTAMMGLMEWSGHANVADMFPWLRWLDPQGLRRKMDRDMEKALEIASKFVKQRLEEHHSDKKTRDFFDVLIDFQNSNSEEGVKISDKDLNIFILEIFLAGSETTSSTIEWTMMELMRNPECLQKVKSELDRVVGRGREVEESDIDNLPYLQAVIKETFRLHPPIPFLVPRKATMDTEFMGYHVPKDTQVLVNAWAIGRDPDVWEQPLDFKPQRFVDDCKNIDFKGNHFGLIPFGSGRRMCAGVPLAHRILHLVLGSLLHRFDWELQSHVTPSTMDTRERLGITMRKLQPLLLVPKLIPSSSSIIND >Vigun04g190254.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41425761:41426033:-1 gene:Vigun04g190254.v1.2 transcript:Vigun04g190254.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGRLKEKVSGKRFLLVLDDVWNERREEWEAVQTPLSYGASGSRILVTTRGEKVASNMMSEVHSSTFSLFLISAEEKGMKTSLFHFHGN >Vigun06g092200.12.v1.2 pep primary_assembly:ASM411807v1:6:22441196:22447309:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.6.v1.2 pep primary_assembly:ASM411807v1:6:22440586:22447360:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.22.v1.2 pep primary_assembly:ASM411807v1:6:22440997:22447309:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.5.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447309:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.7.v1.2 pep primary_assembly:ASM411807v1:6:22440954:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.10.v1.2 pep primary_assembly:ASM411807v1:6:22440954:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.11.v1.2 pep primary_assembly:ASM411807v1:6:22441036:22447361:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.20.v1.2 pep primary_assembly:ASM411807v1:6:22440803:22447361:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.8.v1.2 pep primary_assembly:ASM411807v1:6:22440954:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.9.v1.2 pep primary_assembly:ASM411807v1:6:22440954:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.17.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.15.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.21.v1.2 pep primary_assembly:ASM411807v1:6:22441036:22447361:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.18.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.4.v1.2 pep primary_assembly:ASM411807v1:6:22440586:22447360:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.14.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447309:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.13.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447309:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.16.v1.2 pep primary_assembly:ASM411807v1:6:22441202:22447331:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.3.v1.2 pep primary_assembly:ASM411807v1:6:22440586:22447360:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.1.v1.2 pep primary_assembly:ASM411807v1:6:22440586:22447360:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNRLDHDSSMKMRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun06g092200.19.v1.2 pep primary_assembly:ASM411807v1:6:22440803:22447361:1 gene:Vigun06g092200.v1.2 transcript:Vigun06g092200.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKSVEKRNSRGDIVRKEEVVTLTKKFHASNKAQEKIPDWKPTSKGVPLSNPHRQCLPQGGSTKPNVKYSDTEGSDAEGSEVEDEEGSDAEDTNSKESEAEDNNSDESEDNNSEESEVDEETNSEESEAEDNNSEKSGTEDINSEESSEAEEDSDEEPPRRLIDLPNPSTRRRLIDMPNPSTRRHLTDIDQGVLNSFKGWRLIDIDRLNQNSSMKMRLIDIDRLDRNSSVRKAPGRF >Vigun01g104900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27550888:27552345:1 gene:Vigun01g104900.v1.2 transcript:Vigun01g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICVKSKNQKMSFVILFLLLLLLLLFSTTPCHAAAAAGKARFQRFKGASSSSSSASEFKPFNFHGADLEGNGAHKEGDQVFGADKRKVYTGPNPLHNR >Vigun09g169600.1.v1.2 pep primary_assembly:ASM411807v1:9:33859842:33863014:-1 gene:Vigun09g169600.v1.2 transcript:Vigun09g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETVVAGNYLEMEREEEGSKSTTGKLSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIIFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNLGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLMMTTYTAWYMTIASLTNGQIEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSAAAVYWAFGDQLLTHSNALSLLPKTGFRDTAVILMLIHQFITFGFACTPLYFVWEKFIGVHETKSLFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMMTFASAPARENAVERPPSRLGGWVGSYSMNVFVVVWVLVVGFGLGGWASMINFVHQIDTFGLFAKCYQCPSHKA >Vigun05g127600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14474878:14477389:1 gene:Vigun05g127600.v1.2 transcript:Vigun05g127600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNMASSILLFLFLQFFSLHTSHSAFSSLTTDSFLSVEKPEDVILSPNGVFSAGFVAVGENVYSFAVFFTQPRSHNQPTIVWMANRENPVNGKRSKFSLSRTGNLVLDDAAQRTVWSSNTVSLASPLLKLNDNGNLVLRDLQGTVLWQSFDFPTDTLLPGQPLTRRTQLVSARSDTNHSSGFYKLFFDDDNVLRLLYDGPDVSSIYWPYPWLVSWDAGRSTYNSSRFAALDSLGRFISSDSFTFITSDYGATKQRRLKLDSDGNLRVYTLHDEWFVSWHARADTCNIHGICGANSACSYDHEHGRKCSCLPGHRVKNHSDWSYGCEPVFVLSCNRSESTFLELLGVEIYGYDANFSEANSYSYCRNLCLEDCNCKGFQYSYNDGKNVYNCYTKMQLLNGRRTPSFKGTIYVKVPKNHSLFREESFRSDAHVCAVKIPRVYDKYHVNKIVRFFLWVAIVIGAIESVCVFVVWVFVVKTRRKSHADEHDYHPLTDRFRKFSYSELKKATKGFSEEIGRGAGGVVYKGILSDERHAAIKRLNEAKQGEGEFLAEVSLIGRLNHMNLIEMWGYCAEGKHRLLVYEYMEKGSLAENLSSNTLDWSKRYNIALGTARVLAYLHEECLEWILHCDIKPQNILLDANYQPKVADFGLSKLQNRNNLNNSSFSMIRGTRGYMAPEWVLNLAITSKVDVYSYGIVVLEMITGKSPTTGVQNIDGEESYNGRVVAWVREKKSGRSWLQHIIDPALQTNYNESKMELLAKVALDCVEEDKDIRPTMSQVVEMLQSVP >Vigun07g036400.1.v1.2 pep primary_assembly:ASM411807v1:7:3489448:3491461:-1 gene:Vigun07g036400.v1.2 transcript:Vigun07g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKVIATPQSFPCARIEWALRIKGVDYQYFKEDLTNKSPLLLQSNPVHKKVPVFIHNSKPIAESLVILEYIDETWKNNPLLPIDPYQRAQARFWARFIDEKILYGVWGATVAEGEEKGKAVESALESLAFLEKEIEGKKYFGGEKIGYLDIAAGWLSYWLSVLEELGEMQLLNAERFPSLHQWTQNFIQTSPIKDCIPSRESVVQYFSFGINYTRSLASNKSS >Vigun10g085700.1.v1.2 pep primary_assembly:ASM411807v1:10:24533198:24535524:-1 gene:Vigun10g085700.v1.2 transcript:Vigun10g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLVITNALMLILFLSMYESKGASNSSGSLSVVNGANINPPLAHQKVNVSVYYDSLCQSCAQFIIRDLKNVFDSNLISIMNLRLVPWAKAYINKTNSSISCQNGPDECELNSLESCALNLWHKVDIQYELINCFEFLAIKGTIKKWKEYCLDPLGLPKELFLNCFNMGNGTQLGKTYIDQIAHLSPSPSFVPWVVVNNQPVGKDYANFAHYVCKAYRGVAVPQVCKSPLK >Vigun06g143201.1.v1.2 pep primary_assembly:ASM411807v1:6:26890676:26890941:1 gene:Vigun06g143201.v1.2 transcript:Vigun06g143201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNVHVRLREKGGGQKWPCCTSLSSSMGCGALHLTFVGLPSSGACVPAFLCNKPLRPKTSGRWSRGAFGEG >Vigun04g006800.2.v1.2 pep primary_assembly:ASM411807v1:4:487431:492199:1 gene:Vigun04g006800.v1.2 transcript:Vigun04g006800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKVGVAVVCAAAVCAAAALVVRRRMRSSGKWGLAVAMVKEFEKQCGTPIAKLRQVADAMDVEMHAGLASEGGSKLKMLITFVDNLPSGDEKGLFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTGSSHELFDFIADKLAKFVSSEPEEFHPAPGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKAFQKIGLDMRVAALVNDTVGTVARARFSNPNVIAGVILGTGTNAAYVESAHAIPKWQGLLPKSGEMVINMEWGNFRSSHLPLTPYDEELDSESLNPGEQIFEKIISGMYLGDIVRRVLLKMAEEAEFFGDTVPPKLKIPFIIRTPDMSAMHHDASSDLNVVGTKLKDILKINNTSLQVRKLVVEVCDIVATRGARLSAAGIFGILKKIGRDTVKGGEKQKSVIALDGGLFEHYVEFRSSLESTLKELLGDEAADTVGVEHSNDGSGIGAALLAASNSQYLGVQDF >Vigun04g006800.1.v1.2 pep primary_assembly:ASM411807v1:4:487805:492199:1 gene:Vigun04g006800.v1.2 transcript:Vigun04g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKVGVAVVCAAAVCAAAALVVRRRMRSSGKWGLAVAMVKEFEKQCGTPIAKLRQVADAMDVEMHAGLASEGGSKLKMLITFVDNLPSGDEKGLFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTGSSHELFDFIADKLAKFVSSEPEEFHPAPGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKAFQKIGLDMRVAALVNDTVGTVARARFSNPNVIAGVILGTGTNAAYVESAHAIPKWQGLLPKSGEMVINMEWGNFRSSHLPLTPYDEELDSESLNPGEQIFEKIISGMYLGDIVRRVLLKMAEEAEFFGDTVPPKLKIPFIIRTPDMSAMHHDASSDLNVVGTKLKDILKINNTSLQVRKLVVEVCDIVATRGARLSAAGIFGILKKIGRDTVKGGEKQKSVIALDGGLFEHYVEFRSSLESTLKELLGDEAADTVGVEHSNDGSGIGAALLAASNSQYLGVQDF >Vigun04g006800.3.v1.2 pep primary_assembly:ASM411807v1:4:487677:492199:1 gene:Vigun04g006800.v1.2 transcript:Vigun04g006800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKVGVAVVCAAAVCAAAALVVRRRMRSSGKWGLAVAMVKEFEKQCGTPIAKLRQVADAMDVEMHAGLASEGGSKLKMLITFVDNLPSGDEKGLFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTGSSHELFDFIADKLAKFVSSEPEEFHPAPGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKAFQKIGLDMRVAALVNDTVGTVARARFSNPNVIAGVILGTGTNAAYVESAHAIPKWQGLLPKSGEMVINMEWGNFRSSHLPLTPYDEELDSESLNPGEQIFEKIISGMYLGDIVRRVLLKMAEEAEFFGDTVPPKLKIPFIIRTPDMSAMHHDASSDLNVVGTKLKDILKINNTSLQVRKLVVEVCDIVATRGARLSAAGIFGILKKIGRDTVKGGEKQKSVIALDGGLFEHYVEFRSSLESTLKELLGDEAADTVGVEHSNDGSGIGAALLAASNSQYLGVQDF >Vigun07g085000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12693793:12694452:1 gene:Vigun07g085000.v1.2 transcript:Vigun07g085000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKAEKKPAEKKPAAEKSPAEKKPKAEKKISKEGGSDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Vigun03g390800.2.v1.2 pep primary_assembly:ASM411807v1:3:59704244:59707665:-1 gene:Vigun03g390800.v1.2 transcript:Vigun03g390800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFTNTVESECFLLPQIMSRRVLQVTAQEPPSSSAALKTSASRYDPGVFFFLGGTVLLIIVLILLFIFWRRTKGPAKVTENTTLTCQQHGKYIEPSEVMKMMAPNIQPGQMEFISGNLRTISHFDFRTLKRATKNFHPRNLLGSGGFGPVYQGKLADGRLVAVKTLSLDKSQQGEKEFLAEVRMITSIQHKNLVRLLGCCTDGPQKILVYEYMKNRSLDLIIYESDQFLNWNTRFQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWKLYEKSMLMEIVDPRLRQHGMEEKDVMQAFHVALSCLQPHADLRPAMSEIVALLTFKVEMVTKPIRPTFVHRRRVMDDENHSWGAISSGTSTTAVASSSS >Vigun03g390800.1.v1.2 pep primary_assembly:ASM411807v1:3:59704244:59707665:-1 gene:Vigun03g390800.v1.2 transcript:Vigun03g390800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFTNTVESECFLLPQIMSRRVLQVTAQEPPSSSAALKTSASRYDPGVFFFLGGTVLLIIVLILLFIFWRRTKGPAKVTENTTLTCQQHGKYIEPSEVMKMMAPNIQPGQMEFISGNLRTISHFDFRTLKRATKNFHPRNLLGSGGFGPVYQGKLADGRLVAVKTLSLDKSQQGEKEFLAEVRMITSIQHKNLVRLLGCCTDGPQKILVYEYMKNRSLDLIIYGESDQFLNWNTRFQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWKLYEKSMLMEIVDPRLRQHGMEEKDVMQAFHVALSCLQPHADLRPAMSEIVALLTFKVEMVTKPIRPTFVHRRRVMDDENHSWGAISSGTSTTAVASSSS >Vigun03g390800.3.v1.2 pep primary_assembly:ASM411807v1:3:59704244:59707665:-1 gene:Vigun03g390800.v1.2 transcript:Vigun03g390800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDTVTAQEPPSSSAALKTSASRYDPGVFFFLGGTVLLIIVLILLFIFWRRTKGPAKVTENTTLTCQQHGKYIEPSEVMKMMAPNIQPGQMEFISGNLRTISHFDFRTLKRATKNFHPRNLLGSGGFGPVYQGKLADGRLVAVKTLSLDKSQQGEKEFLAEVRMITSIQHKNLVRLLGCCTDGPQKILVYEYMKNRSLDLIIYGESDQFLNWNTRFQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWKLYEKSMLMEIVDPRLRQHGMEEKDVMQAFHVALSCLQPHADLRPAMSEIVALLTFKVEMVTKPIRPTFVHRRRVMDDENHSWGAISSGTSTTAVASSSS >Vigun03g124900.1.v1.2 pep primary_assembly:ASM411807v1:3:11783340:11786524:-1 gene:Vigun03g124900.v1.2 transcript:Vigun03g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAATPPLYHLVSFRPKLQNLSSKSQLFALGTPFCRLRMNSVSGSGLRPLRAVGGSSSSSGGDDEFVTLIEYVGKEGIHVKDDLVVLLDHIQYACKRIAALVASPFNYSLGKQTGLASVGSDRDAPKPLDIVSNEIILSSLRKSGKVAVMASEENDEPTWIRDDGPYVVVTDPLDGSRNIDASIPTGTIFGIYKRLEELDDLPIEEKAMLNSLQSGSRLVAAAYVLYSSATILCITFGSGTQAFTLDHSTGDFVLTNPSIKIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGRYPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEANPLSFIVEQAGGRGSDGKHRILSLQPFKLHQRLPLFLGSLEDIEELESYGDIQQKVNPGYEV >Vigun05g000600.1.v1.2 pep primary_assembly:ASM411807v1:5:75506:81508:-1 gene:Vigun05g000600.v1.2 transcript:Vigun05g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVIKHKTQSIRPAFSAIRHFSSAAKEITVREALNTALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYASWYATCPGLKVLTPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIEREGKDVTITAYSKMVGYALKAAEALAKEGISAEVINLRSIRPLDRATINTSVRKTNRLVTVEEGFPQHGVGAEICTSVIEESFGYLDAPVERIAGADVPMPYAANLERMAVPQIEDIVRAAKRACHRSVPLAAAA >Vigun06g210100.2.v1.2 pep primary_assembly:ASM411807v1:6:32325198:32331926:1 gene:Vigun06g210100.v1.2 transcript:Vigun06g210100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEIYKEEWLFELCTEKRKEKKMWRLKIGDGGNDPYLFSTNNFVGRQTWEFDSEAATAEETNQVQLARQNFYQNRFHTKACADRLWRFQILRENKFKERIAKVKIEDGEKVSLEKTTSTVKRAASYLSALQTSDGHWPAHLAGSLFFTPALVICLYITGHLDSVFSEEHRKEILRYTYCHQNEDGGWGLHIESHSTMFCTALNYICLRILGEGPNNNACVTARKWIRDHGGVTHIPSWGKFWLSVLGVVDWGGSNPMPPEFWILPSFLPMHPGKMWCYCRLVYMPMSYLYGKKFMAPITPLTAKLREELFVEPYDENTWRKARHKCAKEDLYYPHHWIQDLIWDSLYVFTEPLLNRWPLNKLVREKALEVTMKHIHYEDENSRYIAIGCVEKVLCMLACWVEVPNGDAFKKHLARIPDCLWISEDGMTMQVTENPSGDFKSMYRYICKGSWALADRDQAWQVSDTTAECLKCCLLLSVLPEEIVGEKMEPQKLYDSVSLILSLQSANGGMAAWEPARAQEWLELLNPTEFFADIVVEHEYVECTASSIEVLVLFKKLYPEHRKEEIESFIGKAAAFIEKSQLEDGSWYGNWAVCFTYCSWFALGGLVAAGKSYKDCVAIRKGVEFLLRIQNEDGGWGESYLSCPRKEYVPLEGGRSNLVQTSWALMALILSHQAERDPTPLHRAAKLLINSQLEDGDWPQQETLGVYLRNCLVHYPFYRNVFPMWALAEYRTNVLLPSGQLLPSSS >Vigun06g210100.1.v1.2 pep primary_assembly:ASM411807v1:6:32325198:32331926:1 gene:Vigun06g210100.v1.2 transcript:Vigun06g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEIYKEEWLFELCTEKRKEKKMWRLKIGDGGNDPYLFSTNNFVGRQTWEFDSEAATAEETNQVQLARQNFYQNRFHTKACADRLWRFQILRENKFKERIAKVKIEDGEKVSLEKTTSTVKRAASYLSALQTSDGHWPAHLAGSLFFTPALVICLYITGHLDSVFSEEHRKEILRYTYCHQNEDGGWGLHIESHSTMFCTALNYICLRILGEGPNNNACVTARKWIRDHGGVTHIPSWGKFWLSVLGVVDWGGSNPMPPEFWILPSFLPMHPGKMWCYCRLVYMPMSYLYGKKFMAPITPLTAKLREELFVEPYDENTWRKARHKCAKEDLYYPHHWIQDLIWDSLYVFTEPLLNRWPLNKLVREKALEVTMKHIHYEDENSRYIAIGCVEKVLCMLACWVEVPNGDAFKKHLARIPDCLWISEDGMTMQGIGTQSWDAGFIVQALLATNLVDDLGPTLAKAHDFIKKSQVTENPSGDFKSMYRYICKGSWALADRDQAWQVSDTTAECLKCCLLLSVLPEEIVGEKMEPQKLYDSVSLILSLQSANGGMAAWEPARAQEWLELLNPTEFFADIVVEHEYVECTASSIEVLVLFKKLYPEHRKEEIESFIGKAAAFIEKSQLEDGSWYGNWAVCFTYCSWFALGGLVAAGKSYKDCVAIRKGVEFLLRIQNEDGGWGESYLSCPRKEYVPLEGGRSNLVQTSWALMALILSHQAERDPTPLHRAAKLLINSQLEDGDWPQQETLGVYLRNCLVHYPFYRNVFPMWALAEYRTNVLLPSGQLLPSSS >Vigun03g341300.1.v1.2 pep primary_assembly:ASM411807v1:3:54004985:54012683:-1 gene:Vigun03g341300.v1.2 transcript:Vigun03g341300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVKSKIPHERSLIALFKSSPSSSSLSSSTTTATTSSSSSSWIQVLHSLSPVPTGSKVLHEGDPSDTTNSSSEQTRSQIVENEATQGVGFIDAFFGQSGMEWRDVEKRFDQVARTGRSTEPVVRWSEFGFCIGMQSSPEFANELLSALRGGENWKHKITKADLYNLWFRMKDNSFNSRMRIFFDMCNRNKDGRITETDIKQTILLTASTNKLSVTHDEAENYASLIMESLDKKNKGYLEISQMGSLFKASLSKAHSPMKQVSATTGSTQNVHSSSGDFCEEQEPMSRTEVLFRTYWRRAWVVLLWFLACLGLFVWKFVQYSHRSGFEVMGYCLPTAKGAAETLKLNMALVLLPVCRNTITWLRKHRPINSVIPFNDNINFHKVIAGGIVVGVILHGGTHLACDFPRISESDRSIFRQTIAAGFGYHRPTYTEILATTEVTSGIAMVVLMGVAFSLATKWPRRRSPVLPVTLRRVTGYNTFWYSHHLFVLVYALLIIHSMFLFLTDKLMEKTTWMYIAFPVLLYTGERIFRAFRSGSYEVDILKASLYPGKVLYLKMQKPEGFKFHSGMYIFLQCPQISPFEWHPFSLTSGPQDDYLSVHIRTLGDWSYQIYDLFQEAVLSRSQGCPKLYIDGPYGCAAQDHVKYDILVLIGLGIGATPFISILKDVAKGVQTTEIDHSGLRKCSLTKGPLKAYLYWVTREPNSFDWFRDVMKEISNSTKKQSVVEMHNFLTSVHPEGDIRSALLSVIQALHLAKNGTDIVSRTPIHTHFARPNWFNIFSRLARKHGGAKIGVFYCGPSKLARELTKLCTKFSTKTTTRFVFHKENY >Vigun05g002600.1.v1.2 pep primary_assembly:ASM411807v1:5:207900:217871:1 gene:Vigun05g002600.v1.2 transcript:Vigun05g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGPRRRRAPVGRRSSEPPSSGGRYVKTRAAVAREAAAAAAERPRTRLAAKQLEEEKPIIVISDHTKKEVEGGAMGDESGGLSANKGVAQEDDANPAPFPDRVQVGGSPVYKVERKLGKGGFGQVFVGRRVTGGNDRTAGPGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGEYYVMVMDMLGPSLWDVWNSSSQAMSAEMVSCIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDTSSGLHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSFLVCKKKMGTSPEMLCCFCPVPFRQFLEIVVNMKFDEEPNYSKLISLFDGMLGPNPALRPINTEGAQKVGQKRGRLNIEEEDDSQPKKKVRLGVPATQWISVYNARQPMKQRYHYNVADARLAQHVERGIADGLLISCVASCSNLWALIMDAGTGFSSQVYKLSPFFLHKEWIMEQWEKNYYITSIAGANNGSSLVVMSKGTHYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNSGYTDQVIELDFLYPSEGIHRRWDNGYRITATAATWDQSALILSIPRRRPGDETQETLRTSQFPSTHVKEKWSKNLYLACLCYGRTVC >Vigun05g002600.2.v1.2 pep primary_assembly:ASM411807v1:5:207900:217871:1 gene:Vigun05g002600.v1.2 transcript:Vigun05g002600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGPRRRRAPVGRRSSEPPSSGGRYVKTRAAVAREAAAAAAERPRTRLAAKQLEEEKPIIVISDHTKKEVEGGAMGDESGGLSANKGVAQEDDANPAPFPDRVQVGGSPVYKVERKLGKGGFGQVFVGRRVTGGNDRTAGPGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGEYYVMVMDMLGPSLWDVWNSSSQAMSAEMVSCIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDTSSGLHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSFLVCKKKMGTSPEMLCCFCPVPFRQFLEIVVNMKFDEEPNYSKLISLFDGMLGPNPALRPINTEGAQKVGQKRGRLNIEEEDDSQPKKKVRLGVPATQWISVYNARQPMKQRYHYNVADARLAQHVERGIADGLLISCVASCSNLWALIMDAGTGFSSQVYKLSPFFLHKEWIMEQWEKNYYITSIAGANNGSSLVVMSKGTHYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNSGYTDQVIELDFLYPSEGIHRRWDNGYRITATAATWDQSALILSIPRRRPGDETQETLRTSQFPSTHVKVMVVE >Vigun06g183800.1.v1.2 pep primary_assembly:ASM411807v1:6:30331217:30333526:1 gene:Vigun06g183800.v1.2 transcript:Vigun06g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSCNSHTRKSFVSSVFFLPTYPNMEIKTKALLIPSLLLLLANCMQHCVNGQQQKVPCFFVFGDSLSDNGNNNNLRTAAKCNYNPYGVDFQEGPSGRFTNGKNLVDFLAQFLGFEKFIPPFANTSGSDILKGVNYASGAAGILSETGKRLGENIHLEQQLKNHRAIYLEIAKELGDFKKADFKKAKEYLNQCLYYVNIGSNDYINNFFLPQQYPSSRIYTLERYTNLLINKLSQNIQELHERGARKVVVAGMGLIGCTPQAISTRGTDGNCVAEFNNASFLFNNKLDAKLNALKKEYTDSELIFINSTSASLDQFGFTVLNAPCCPTRDDGQCVVDGTPCPDRTQYLFFDGFHITALVHRLIAIATYDQIKPLIHH >Vigun06g096500.1.v1.2 pep primary_assembly:ASM411807v1:6:22774236:22776139:1 gene:Vigun06g096500.v1.2 transcript:Vigun06g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICITLLSLVAAAAATTTTSTTKSKSMSKLTALLFLLFAFFNSSTVTGLYSPSPPAAPFSQSTPDPDSSPPTEWLFAHATHYAATDALGGACGYGDLPNGMATAALSEALFNRGQICGACFELRCREEDADFDRRWCISGASVAVTATNFCAPNYGSDADSLSGHCNPPKQHFVLPIEVFEKIAIWKTGTGNMPVQYRRIECRREGGMRFTISGSGIFISALISNVGGMGDIVGVKVKGSKTGWLSMGRNWGQNWHVNALLQNQPLSFEVTGSDGITVTSYNVAPKDWTFGQSFEGKQFVLHR >Vigun11g074232.1.v1.2 pep primary_assembly:ASM411807v1:11:21659377:21663087:1 gene:Vigun11g074232.v1.2 transcript:Vigun11g074232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNCNINHWHADYNRRVVRRQRLAISKIQRMKEKGLEPFEKKLPSTRGRKEKKTSSHQLNSGRGCKR >Vigun07g081100.1.v1.2 pep primary_assembly:ASM411807v1:7:11452861:11453913:-1 gene:Vigun07g081100.v1.2 transcript:Vigun07g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIDIPESSKVVKGKGVVAAPLRPGGWKKGVAIMDFILRLGAIAAALGAAATMGTSDQTLPFFTQFFQFEASYDSFTTFQFFVITMALVGGYLVLSLPFSVVAIIRPHAVGPRLFLIILDTVFLTLATASAASAAAVVYLAHNGDQDTNWLAICNQFGDFCAQTSSAVVSSFVAVVVFVLLIVMSALAIGKP >Vigun06g011300.1.v1.2 pep primary_assembly:ASM411807v1:6:5196894:5200063:1 gene:Vigun06g011300.v1.2 transcript:Vigun06g011300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLYACLLNLSFLVLLPYSKANQADKLDELILSRSSQKPPVTLSWAEEDALKTHSSAYVAPQEGLQQADKIVVLPGQPYGVNFYQYSGYVTVDPEAGRALFYYFVESPYNPSTKPLVLWLNGGPGCSSLGYGAFEELGPFRINSDGKTLYRNKYAWNEVANVLFLESPAGVGFSYSNTTSDYDDAGDKSTAKDAYVFLINWLERFPQYKTHDLYITGESYAGHYVPQLAYTILLNNKFAPQTINLKAIAVGNAWIDDVTSVKGIFDYLWTHALSSDQTHELIEKYCDFTAENDSAICANATRTALIEKGKIDFYNIYAPLCFDSSLKNGSTGSVYDFDPCSDYYGEAYLNRPEVQLALHAKPTNWAHCSDQLSWNDSPTTILPVIKYLIDSGIGLWIYSGDTDARVPVTSSRYSINTLKLPIQVPWRPWYSEQEVGGYVVKYEGVTFVTVRGAGHLVPSWQPERALTFITSFLYGSLPPASPWKPF >Vigun03g024400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1821585:1822964:1 gene:Vigun03g024400.v1.2 transcript:Vigun03g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTLFQLAFPLRRRRCSYSTQNSALRSLLDYSGSDLVALVATHLSNCATVRELNPLHAHLLRTHFFISNPAPFNWNNIIRSYTRLEAPRDALRIYVLMLRNGVLPDCYSLPIVLKAVSQTFDVKLGKQLHSVGIKIGLQCNEFCETGFLSLYFKAGEFGSARMVFDENPDPKLGSWNAVIGGLSQAGLVRDAIGVFLDMKRRGFAPDGLTMVNVTSACGKIGDLNLALQVHKCVFQAEAGERTDVLMLNSLIDMYGKCGRLDLAYKVFATMEERNVSSWTSMIVVYGMHGHVREALECFCHMREAGVRPNYVTFIGVLSACVHGGAVREGRFYFDMMKNVYGIAPQLQHYGCMVDLLGRAGLLEDARRMVEEMPMKPNSVVWGCLMGACEKYGNVDMAEWVAKHLQELEPWSDGVYVVLSNIYANRGLWKEVERIRSVMEEGRLAKVPAYSLTTNSN >Vigun10g180200.1.v1.2 pep primary_assembly:ASM411807v1:10:39820375:39828322:-1 gene:Vigun10g180200.v1.2 transcript:Vigun10g180200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKDGSKNNGMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTQATKDTSCESAVTSGQHSLTTQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCSGVAARACGLVGLEPTRVAEILKDRPLWYRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFARAEMLPSGYLIRPCEGGGSIIHIVDHLDLEPWSVPEVLRPLYESSTVLAQKTTMAALRHLRQISHEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWTTIGNDGVDDVTILVNSSPDKLMGLNLPFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYTAAAIKVGPCSLSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDTIMPREMFLLQLCSGMDENAVGTCAELISAPIDASFADDAPLLPSGFRIIPLESGKEASSPNRTLDLASALDIGPSGNRASNESAGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRSPLGTPEAQTLAHWICNSYRCYLGVELLKSNNEGNESLLKSLWHHSDTILCCTLKALPVFTFSNQAGLDMLETTLVALQDISLEKIFDDHGRKILFSEFPQIIQQGFACLQGGICLSSMGRPVSYERVVAWKVLNEEENAHCICFMFMNWSFV >Vigun10g180200.2.v1.2 pep primary_assembly:ASM411807v1:10:39820379:39828580:-1 gene:Vigun10g180200.v1.2 transcript:Vigun10g180200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKDGSKNNGMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTQATKDTSCESAVTSGQHSLTTQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCSGVAARACGLVGLEPTRVAEILKDRPLWYRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFARAEMLPSGYLIRPCEGGGSIIHIVDHLDLEPWSVPEVLRPLYESSTVLAQKTTMAALRHLRQISHEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWTTIGNDGVDDVTILVNSSPDKLMGLNLPFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYTAAAIKVGPCSLSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDTIMPREMFLLQLCSGMDENAVGTCAELISAPIDASFADDAPLLPSGFRIIPLESGKEASSPNRTLDLASALDIGPSGNRASNESAGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRSPLGTPEAQTLAHWICNSYRCYLGVELLKSNNEGNESLLKSLWHHSDTILCCTLKALPVFTFSNQAGLDMLETTLVALQDISLEKIFDDHGRKILFSEFPQIIQQGFACLQGGICLSSMGRPVSYERVVAWKVLNEEENAHCICFMFMNWSFV >Vigun10g180200.3.v1.2 pep primary_assembly:ASM411807v1:10:39820379:39828248:-1 gene:Vigun10g180200.v1.2 transcript:Vigun10g180200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKDGSKNNGMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTQATKDTSCESAVTSGQHSLTTQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCSGVAARACGLVGLEPTRVAEILKDRPLWYRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFARAEMLPSGYLIRPCEGGGSIIHIVDHLDLEPWSVPEVLRPLYESSTVLAQKTTMAALRHLRQISHEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWTTIGNDGVDDVTILVNSSPDKLMGLNLPFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYTAAAIKVGPCSLSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDTIMPREMFLLQLCSGMDENAVGTCAELISAPIDASFADDAPLLPSGFRIIPLESGKEASSPNRTLDLASALDIGPSGNRASNESAGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRSPLGTPEAQTLAHWICNSYRCYLGVELLKSNNEGNESLLKSLWHHSDTILCCTLKALPVFTFSNQAGLDMLETTLVALQDISLEKIFDDHGRKILFSEFPQIIQQGFACLQGGICLSSMGRPVSYERVVAWKVLNEEENAHCICFMFMNWSFV >Vigun01g018300.1.v1.2 pep primary_assembly:ASM411807v1:1:1968986:1969818:-1 gene:Vigun01g018300.v1.2 transcript:Vigun01g018300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRCSIVKITFLLALFIMISDMCMMKTEARESIDRFSCDNDKGCPPYKPPPPPPHKPPPPPPHHCPPQQPPPHHCPPQQPPPSPPHKPPPPPPCHCPPPQPPPSPPHQPPPPPPHQPPPPPPHHCPPTQPPPSPPPPPHHCPPHHHHHPHHPHHPHHPSPPPHQAPPPPQHKAPPPPC >Vigun02g111900.1.v1.2 pep primary_assembly:ASM411807v1:2:26603321:26607301:1 gene:Vigun02g111900.v1.2 transcript:Vigun02g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVIVALAATLGNLLMGWDNSTVAAGMTYIKKEFVVDATIEGLIVSMSFITGTIVTIFSGAVSDMVGRRPMLITSSIMYFLSGLVMFFAPNVTVILVARIVDGVAIALAVTLNPLYISEVAPADIRGQLNTFTQFACSGGMFLAYIMVFSMSLTDSPSWRLMLGVISIPAVAYFLLTVFYLPESPRWLVSKGRLLEAEKVLKRLRGTDDVSGELALLAEGLSPGGEATSIEEYVVAPASEILVNQEAGKDYIKLYGPNEGVTMVAQPVNGQGSLISRSMLSQQGSFGGLSSTGLKDPIVNLFGSLHESTLPEGGGSRSMLIHNANSIFSMGDPDSPFGTNDNLHAPLMSFQGGGGERAHGSKDMLGMRSNSSLRSNSSLAHGNTVETPKNTNIGGGWQLVYKSADGAGGGKREGLQRVYLHAEPGAVSHSQHASSFVSTSGYDIPIDGGEAYQAAALVSQSVLGTHDLLHLPEVAATGSKWKALLEPGVKRALVVGIGLQILQQAAGINGFLYYAPQILEQAEIGTLLSNLGLSSASASFLVTIITTFCMLPCIALAIRLMDISGRRSIMLYTIPILIVCLLALIIKQFFEVNSVINAAITCISVVVYESVFCMGFGVIPNIICAEIFPTSVRGICISLTSLTFWACTLIVTLVFPTLLQLLGLPGVFGLFVLGCIISWTFVYLKVPETKGMPLEVITEFFALGAKPGTDPAEIGIK >Vigun11g156466.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36545260:36545355:1 gene:Vigun11g156466.v1.2 transcript:Vigun11g156466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIFLVTLFQVLVPTCFTFRCCDEDCTKI >Vigun07g117500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21780827:21782111:1 gene:Vigun07g117500.v1.2 transcript:Vigun07g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNINIGKVLDREGFKYNFTSSASTASDSCAQDGVMKEQDRLLPIANVGRIMKQTLPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDDYADPLKRYLHKYRELEGERANQNKSNTYENNIANI >Vigun03g186900.1.v1.2 pep primary_assembly:ASM411807v1:3:24805386:24809223:-1 gene:Vigun03g186900.v1.2 transcript:Vigun03g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIVVFLCIFLFFSYLLSYPLIKKQKKPQHIPKPKLPPGSMGWPYIGETLQLYSQDPNIFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEKLIGPSALFFHQGEYHSRIRKLVQTSLSPETIRKLIPDIETEVVSSLESWVSAGQVINAFQEMKKFSFNIGILSVFGHLERKYRDQLKENYCIVEKGYNSFPNRIPGTAYSKALLARRRIREIISEIICKRKEQRLVDKDLLGHLLNYKDEKGETPSDDQIADNVIGVLFAAQDTTASVLTWILKYLHDDQKLLEAVKGEQMAVYEANEEGKKPLTWSQTRNMPITHRVILESLRMASIISFTFREAVVDVVYKGYLIPKGWKVMPLFRNIHHNPEFHPSPHNFDPSRFEVAPKPNTFMPFGNGVHSCPGNELAKLNMFILIHHLVTKYRWEIVGYQNGIQYSPFPVPMHGLPTRFWRNQWNQR >Vigun09g239150.1.v1.2 pep primary_assembly:ASM411807v1:9:40948209:40948373:1 gene:Vigun09g239150.v1.2 transcript:Vigun09g239150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAANCCAGFGNEITNIQIWPPKEDGIGMADFTQQIFDIFFFH >Vigun10g103200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29571950:29577112:1 gene:Vigun10g103200.v1.2 transcript:Vigun10g103200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGMASLTQVHYKVHTSTFRRVNPRNQGLLKSGKLFQHPGSAFPSTHINLSCVRCTKLTPWESSSVTYAPTDNQSDKFLPESANIFETLESSKTAESSTANAEGAVETRSQPGLELQFFKWPLWLLGPSVLLATGMVPTLWLPISSIFLGPNIASLLSLIGLDCLFNLGASLFLLMADACSRPKYPTEECKSKAPFSYQFWNVVATLTGFIIPLLLMFGSQKGFLQPQLPFIPFAVLLGPYLLLLSVQFLTEMLTWHWQSPVWLVTPVIYESYRVLQLMRGLKLGVELNAPAWMMHTIRGLVCWWVLIVGLQLMRVAWFAGLTARARKQRLSSDTSSANDD >Vigun10g103200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29572144:29577112:1 gene:Vigun10g103200.v1.2 transcript:Vigun10g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGMASLTQVHYKVHTSTFRRVNPRNQGLLKSGKLFQHPGSAFPSTHINLSCVRCTKLTPWESSSVTYAPTDNQSDKFLPESANIFETLESSKTAESSTANAEGAVETRSQPGLELQFFKWPLWLLGPSVLLATGMVPTLWLPISSIFLGPNIASLLSLIGLDCLFNLGASLFLLMADACSRPKYPTEECKSKAPFSYQFWNVVATLTGFIIPLLLMFGSQKGFLQPQLPFIPFAVLLGPYLLLLSVQFLTEMLTWHWQSPVWLVTPVIYESYRVLQLMRGLKLGVELNAPAWMMHTIRGLVCWWVLIVGLQLMRVAWFAGLTARARKQRLSSDTSSANDD >Vigun03g272600.1.v1.2 pep primary_assembly:ASM411807v1:3:44778591:44784285:-1 gene:Vigun03g272600.v1.2 transcript:Vigun03g272600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKFLERKHYVLVHGACFGAWLWYKLKPRLESAGHKVSVLDLAASGTNMHKIEDVHTFSHYSEPLLQLLASLPPNEKVILVGHSLGGLNIALAMDKFPEKVAVGVFVTAVIPDTQHKPSYVLEKLIESTLGTNWLDTEFSKSENKTTVIFGPKFLSKKLYQASSTEDIELATILLRPGSLFIEDLSQQTNFTMQGYGSVPLVFIVSTEDNEIPLNFQLWMIQNAAMNVEVLEIEGADHMLMISKPQELCDSLLHIATKYA >Vigun10g012532.1.v1.2 pep primary_assembly:ASM411807v1:10:1304622:1306755:1 gene:Vigun10g012532.v1.2 transcript:Vigun10g012532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIWICEIETLKENFFLAGLQFISVRYLGDNLVLLTEEDDTDMKKVIEESSEWLSMVFTSLQPWTKDIASGHKIVWVRCRGIPLSLWNEKCFPLLTASVVCLPIGSDTKMYKKMTINGNLHNVHLEEEITVAEPLQCQCHLRSKKRGESVSSSTSSQVGMATDRSECSEIFGNHRTHKNSTGEDGTFHSPEELNDNNNNPDEKGLKKSTKVSGSHQNNINMEGTASFFQKHLKQIISYTNREMQRTLEEREDCLIQTVGLKDDEDQREDSIYVKQADLRALPSEPRCTTRESSKQYNGKLISITTTSSMNTTQQRCQIRSAIYNLYNSISDTDIVNCNRLFWMRNNSTEANRIWNLGKQLGITFTGDENQILEQLQKMEERDSKIKRLREEGNDDSDK >Vigun01g129200.1.v1.2 pep primary_assembly:ASM411807v1:1:30710985:30719080:1 gene:Vigun01g129200.v1.2 transcript:Vigun01g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDVEEGMREPLVEKPDHQLVHAGKGHPWMLYFCTFVAVCGSYEFGACAGYSSPTQDAIRKEFNLSLAEYSLFGSILTFGAMLGAITSGPIADFLGRKGAMRVSSAFCVGGWLAIYFSKGPVPLDIGRLATGYGMGVFSYVVPVFVAEIAPKELRGTLTTLNQFMIAAAVSVAFTIGNVLSWRALALTGLIPTSVILLGLFLIPESPRWLAKRGRQRDFEAALQILRGKDADISEEAEEVQDYITTLEQLPKSGLLELFHRRYLRSLTIGIGLMVCQQLGGVNGIVFYTNSIFELAGFPTSIGTISDACLQIVVTGLGAVLIDNTGRKPLLLLSGTGLVAACTFLAVAFFLKVYEVGVAAVPALAVIGILLYVASFSIGMGAIPWVVMSEVFPDNIKGQAGSLATFTNWFCAWLCTYTFNFLMSWSSYGTFVLYAAINALAILFIIFAVPETKGKSLEQLQAAINS >Vigun09g014800.3.v1.2 pep primary_assembly:ASM411807v1:9:1099201:1100841:1 gene:Vigun09g014800.v1.2 transcript:Vigun09g014800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYIPRKCSATNRLITAKDHASVQINIGHVDENGIYNGQFSTFALCGFIRAQGDADSALDRLWQKKKVEVKQQ >Vigun09g014800.2.v1.2 pep primary_assembly:ASM411807v1:9:1099193:1099941:1 gene:Vigun09g014800.v1.2 transcript:Vigun09g014800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYIPRKCSATNRLITAKDHASVQINIGHVDENGIYNGQFSTFALCGFIRAQVFILAAF >Vigun09g014800.1.v1.2 pep primary_assembly:ASM411807v1:9:1099005:1100841:1 gene:Vigun09g014800.v1.2 transcript:Vigun09g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYIPRKCSATNRLITAKDHASVQINIGHVDENGIYNGQFSTFALCGFIRAQGDADSALDRLWQKKKVEVKQQ >Vigun01g125000.1.v1.2 pep primary_assembly:ASM411807v1:1:30183422:30189477:1 gene:Vigun01g125000.v1.2 transcript:Vigun01g125000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARESLTSINGDFGFGGATDRRFAFSRQSSFQHPHTPSSFQPPHTPIDIPAHGLHHHLYWSAHDDKPSASLHKSSFSSFVFSVFRNVRSGNRFMKRLFLMISLNVAYSTAELLIGLFTGRIGLVSDAVHLTFGCGLLTFSLFVMAASRKKVDREYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLFVNLVGVWFFRNYARINLAYRNAEDMNHHSVFLHVLADSIRSAGLILASWLLSIRVQNAEVLCLGLVSVAVFILVLPLFRATGGILLQMAPPSIPTTAFNKCLRQISAREDVMEVSQVRFWELVPGHVVGSLVVQVKKGTNDRPILEFVHGLYHDLGVQDLTVQTDDA >Vigun01g125000.2.v1.2 pep primary_assembly:ASM411807v1:1:30183422:30189477:1 gene:Vigun01g125000.v1.2 transcript:Vigun01g125000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARESLTSINGDFGFGGATDRRFAFSRQSSFQHPHTPSSFQPPHTPIDIPAHGLHHHLYWSAHDDKPSASLHKSSFSSFVFSVFRNVRSGNRFMKRLFLMISLNVAYSTAELLIGLFTGRIGLVSDAVHLTFGCGLLTFSLFVMAASRKKVDREYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLFVNLVGVWFFRNYARINLAYRNAEDMNHHSVFLHVLADSIRRSDIGILVVVHQGSECRSLVFRTCFCCSFYSCSASL >Vigun01g044000.1.v1.2 pep primary_assembly:ASM411807v1:1:6305176:6308620:-1 gene:Vigun01g044000.v1.2 transcript:Vigun01g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGQPLHPPHAAGLSPPIHDHPVFGARAHHHLVGPIVPPHPHPALLEDFRDSQLGLGPRGPIPLHPAAIIEERLAAQHQDIQGLLGDNQRLAATHVALKQELEAAQHELQRVAHFRDSLRADTEARMRELYDKSAQLEAELRATEASRAELLQVHADIKELTAVRQDLSGQVQAMTQDLARMTADAKRLPALRADVEAMKQELQCARAAIEYEKKGFAENYEHGQVMEKKLVAMAREMEKLRAEIANAEKRARAAAAAGNPGPGYNANYGNADAGYAGNPYPGIYGMNPVQSGGENFPQYGPGPGAWGAYDMQRAQGHR >Vigun03g202800.1.v1.2 pep primary_assembly:ASM411807v1:3:32377505:32383383:1 gene:Vigun03g202800.v1.2 transcript:Vigun03g202800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQHRTVASPPPSPQGRDSTAILFTFLSFFAVVALVFVPSASPSFQNTLSILHQVPEGHVGVYWRGGALLKTITEPGFHLKMPFLTQYEPVQVTLQTDQVTDIPCGTKGGVMINFEKIEVVNRLHKEFVFETLLNYGVHYDKTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQVDCTRYAPGIEIISVRVTTKPTIPNTIRRNFEQMEEERTKVLIAIEKQKVAEKEAETMKKMAISEAEKNANVSKILMEQKLLEKDSTRRQEEIENAMYLAREKSLADADFYRVIKEAEANTLKLTPEFLQLKFIEAIANNTKIFFGDKVPNMILDQRLLGNLLHEDSIGTTATGKSDI >Vigun03g418100.1.v1.2 pep primary_assembly:ASM411807v1:3:62477781:62478622:1 gene:Vigun03g418100.v1.2 transcript:Vigun03g418100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDETTSPVAPATLYKALVKDADNIVPKAVDSFKSVEIVEGNGGPGTIKKISFLEDGETKFVLHKIEAIDEANLGYSYSIVGGAALPDTAEKITIDTKLSDGSNGGSVVKLSIKYHNKGDAPPNEDELKAGKAKSDALFKVIEAYLLANA >Vigun08g036300.6.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVGCTKQQ >Vigun08g036300.1.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFKNASAFRISEMGICISVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVIAIPDISYHPLTSSDQFIVLASDGVWDVLSNNEVASIVWSAESEKVAARAVVEAASAAWKDKFPSYMADDCTVVCLFMHQKPQLQSFQN >Vigun08g036300.4.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVIAIPDISYHPLTSSDQFIVLASDGVWDVLSNNEVASIVWSAESEKVAARAVVEAASAAWKDKFPSYMADDCTVVCLFMHQKPQLQSFQN >Vigun08g036300.7.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVIAIPDISYHPLTSSDQFIVLASDGVWDVLSNNEVASIVWSAESEKVAARAVVEAASAAWKDKFPSYMADDCTVVCLFMHQKPQLQSFQN >Vigun08g036300.3.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVIAIPDISYHPLTSSDQFIVLASDGVWDVLSNNEVASIVWSAESEKVAARAVVEAASAAWKDKFPSYMADDCTVVCLFMHQKPQLQSFQN >Vigun08g036300.5.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVGCTKQQ >Vigun08g036300.8.v1.2 pep primary_assembly:ASM411807v1:8:3486688:3489538:-1 gene:Vigun08g036300.v1.2 transcript:Vigun08g036300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISVSEKDDDENVTIFEERKKVLNESQRLFSVYSKQGTKGLNQDAASIHQGYGTEDGTFCGVYDGHGGNGHRVSKIVSSRLSSLILDQKNVLEKIDAIKNGCHNTTSKNHVGTMKENSDANNFQKWKEAIVSAFKVMEKEFKLQHNLDCSGSGTTAVVIIKQGEGLVIANLGDSRAVMGTMCEEKLVATQLTTDLKPELPREAERIRRCNGCVCASKEEPEIQRVWMPNNDNSPGLAMSRSFGDFLLKDYGVIAIPDISYHPLTSSDQFIVLASDGVWDVLSNNEVASIVWSAESEKVAARAVVEAASAAWKDKFPSYMADDCTVVCLFMHQKPQLQSFQN >Vigun01g171600.1.v1.2 pep primary_assembly:ASM411807v1:1:35385647:35396519:-1 gene:Vigun01g171600.v1.2 transcript:Vigun01g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDEDDEHVFLVCTNTDSIQTAETLKDEILVSTADILSWDLPTILTFPTIKVQAHSNMLIEQSMYFRGLLSGNFSESCLGSISINWNVSEFLQILKHMCDCVLDITLDNFFPLYEGALYFGVESLLLKCEMWLSEVFSPEGFQSTQIQMEDLIQIWNFGSDRARDYIVHLCMGYLARNFMWAKRSEFFVKLPYDLLLSSVKHPQLTVDSELHLSDALLLWLESNLENLERPIKTEDNCIGILKQIRVGLLPLWFALGKRNSFYFRQLAEESLDSIFRLLNIVPMGSLGTFKYSDLDHLRIRLTEYSKKVNLSGCPQIPSTILCLSLIPQSYLTDPMQEKIIEKLFFNCKHPVRDRWVFPQNLSEETLTFEAVQEVDISKCRNLHVEHAVDCFRKSFPSLRTLKAGYLLNIGTTSFLQLLEKCPLVCEIDMTVDITPLIPASVTVLSSSPAEVQPVPEKTSSAKYQAVQIMSFNEFGPPLSNVTKLTLDGRTDVSDLGLHYISKLCVSLCHLNIKGCISVTDIGISDLISTCKKLNSIVVCDTSFGINAVQALCSAISDSGNTSLHSRDKRLNSVVSNFETLHMGGCQGVSKSSLIELMSQTQVLKSLCLRGTDLVDQALYNFVGSSLEMLDISNTKISGAALTHVIQGNPSLKCLKARDCRNLFPGDSCTEKRESDLPSLHEKLHAELGKMNRLEEIEFGWGFSFISFSSLESALMSLKAINIGLGGMLGEDALKRLPAICPLLETIILHFQVISDIIVMNFFASLKNLQVLAMCYCFGDISMSSFKFPMQNLRKLRLERVTPWMTNDDLVVLTQNCRNLAELSLLGCSLLDSDSLQIISCGWPGLVSIHLEDCGEVTANGASALLDCKALEDILLRHNGPGLCRNFICYAASEMPLLRKLSLDICDAREGDFDIPNYAGRYFLSTLKIARCKSQRCAFNNPASTSGARSRSVHMETLVLVWNSRDLIRTVVKERL >Vigun05g109750.1.v1.2 pep primary_assembly:ASM411807v1:5:11312606:11314563:1 gene:Vigun05g109750.v1.2 transcript:Vigun05g109750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSQKHNAVKKQGFNEIGGERTVQHQIQMPAGSLKCRHRHYSNVHRLFYPRSTPMEIMLGLPVFAYGFGNP >Vigun01g224200.1.v1.2 pep primary_assembly:ASM411807v1:1:39735994:39738188:1 gene:Vigun01g224200.v1.2 transcript:Vigun01g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTHQIQQQYSAPSLSDDSETRSHYELESGFFMKSFTATIFVASLFTLGVLLITLVISLVIMLQSCQSKSAGVIELLNIKDYYSYCRLYFLHAELNDLEGYNLPTICRNLAVQYIKGGQYARELDLTMSVIDDYFKNVKPLEDGLDVVLMDIDDIFPWNYSSNLFHRFYNDSTSNCIKEANNVKLMFVLRLYMYLHTGGWSIIFLSREPKTYRNVTINHLLAAGFRSWSALIMRAEDSDPAKGYEYFCGQRNTVRKKGFRIKSMISSHIYALTVRETGERNFLLPDPLCDKFEKQIEHRY >Vigun01g224200.2.v1.2 pep primary_assembly:ASM411807v1:1:39735994:39738188:1 gene:Vigun01g224200.v1.2 transcript:Vigun01g224200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTHQIQQQYSAPSLSDDSETRSHYELESGFFMKSFTATIFVASLFTLGVLLITLVISLVIMLQSCQSKSAGVIELLNIKDYYSYCRLYFLHAELNDLEGYNLPTICRNLAVQYIKGGQYARELDLTMSVIDDYFKNVKPLEDGLDVVLMDIDDIFPWNYSSNLFHRFYNDSTSNCIKEANNVKLMFVLRLYMYLHTGGWSIIFLSREPKTYRNVTINHLLAAGFRSWSALIMRAEDSDPAKGYEYFCGQRNTVRKKGFRIKSMISSHIYALTVRETGERNFLLPDPLCDKFEKQIEHRY >Vigun09g035600.4.v1.2 pep primary_assembly:ASM411807v1:9:3142964:3148885:-1 gene:Vigun09g035600.v1.2 transcript:Vigun09g035600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLSSGLKLTVPASDWGSFSTKFLSESESETFKAVDLLVDKEGVRVVSEKEEEAPPPITPLDNQLNLADIDTIKVIGKGNGGIVQLVQHKWTNQFFALKEIHMNIEEPIQRQIALELKINQSAQCPYVVVCYQSSYHNGVISIIFEYMDGGSLEDLLNKVQKIPESYLAAICKQVLNGLMYLHHEKYIIHRDLKPSNLLINHRGEVKITDFGVSIIMENTSGQANTFIGTHSYMSPERITSNQNGYDYKSDIWSLGLILLKCATGQFPYTPPDQREGWENIFQLIEVIVEKPSPTAPPEDFSPEFCSFISA >Vigun09g035600.3.v1.2 pep primary_assembly:ASM411807v1:9:3142964:3148885:-1 gene:Vigun09g035600.v1.2 transcript:Vigun09g035600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLSSGLKLTVPASDWGSFSTKFLSESESETFKAVDLLVDKEGVRVVSEKEEEAPPPITPLDNQLNLADIDTIKVIGKGNGGIVQLVQHKWTNQFFALKSSYHNGVISIIFEYMDGGSLEDLLNKVQKIPESYLAAICKQVLNGLMYLHHEKYIIHRDLKPSNLLINHRGEVKITDFGVSIIMENTSGQANTFIGTHSYMSPERITSNQNGYDYKSDIWSLGLILLKCATGQFPYTPPDQREGWENIFQLIEVIVEKPSPTAPPEDFSPEFCSFISACLQKNPRDRPSARDLINHPFIKLYEDLNVDLSTYFYNAGSTLATI >Vigun09g035600.2.v1.2 pep primary_assembly:ASM411807v1:9:3142964:3148885:-1 gene:Vigun09g035600.v1.2 transcript:Vigun09g035600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLSSGLKLTVPASDWGSFSTKFLSESESETFKAVDLLVDKEGVRVVSEKEEEAPPPITPLDNQLNLADIDTIKVIGKGNGGIVQLVQHKWTNQFFALKEIHMNIEEPIQRQIALELKINQSAQCPYVVVCYQSSYHNGVISIIFEYMDGGSLEDLLNKVQKIPESYLAAICKQVLNGLMYLHHEKYIIHRDLKPSNLLINHRGEPERITSNQNGYDYKSDIWSLGLILLKCATGQFPYTPPDQREGWENIFQLIEVIVEKPSPTAPPEDFSPEFCSFISACLQKNPRDRPSARDLINHPFIKLYEDLNVDLSTYFYNAGSTLATI >Vigun09g035600.1.v1.2 pep primary_assembly:ASM411807v1:9:3142964:3148885:-1 gene:Vigun09g035600.v1.2 transcript:Vigun09g035600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLSSGLKLTVPASDWGSFSTKFLSESESETFKAVDLLVDKEGVRVVSEKEEEAPPPITPLDNQLNLADIDTIKVIGKGNGGIVQLVQHKWTNQFFALKEIHMNIEEPIQRQIALELKINQSAQCPYVVVCYQSSYHNGVISIIFEYMDGGSLEDLLNKVQKIPESYLAAICKQVLNGLMYLHHEKYIIHRDLKPSNLLINHRGEVKITDFGVSIIMENTSGQANTFIGTHSYMSPERITSNQNGYDYKSDIWSLGLILLKCATGQFPYTPPDQREGWENIFQLIEVIVEKPSPTAPPEDFSPEFCSFISACLQKNPRDRPSARDLINHPFIKLYEDLNVDLSTYFYNAGSTLATI >Vigun03g175100.2.v1.2 pep primary_assembly:ASM411807v1:3:21609327:21615190:-1 gene:Vigun03g175100.v1.2 transcript:Vigun03g175100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVPKNSCKSASHRLFKDKAKNRVDDLQVMFLDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCDEEDDASSPLAAPKPEPNDQTLQAGGKVVFQEGQQQHDFPLIDECKNSTSIQNAAANNLDGHALEYHQFDLHQDYDHGFYTGFNGTGYCEEEAIPHISSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDACALYRLELKLVDGKKNSKTKLANDSVADLQKQMGRLSAEFPHDNKRAAKGRAKVNAKVGIGGVYSTSHRVTTLNGAYEYGLAAPYEYLVENMGDYYGT >Vigun03g175100.1.v1.2 pep primary_assembly:ASM411807v1:3:21609327:21615190:-1 gene:Vigun03g175100.v1.2 transcript:Vigun03g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVPKNSCKSASHRLFKDKAKNRVDDLQVMFLDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCDEEDDASSPLAAPKPEPNDQTLQAGGKVVFQEGQQQHDFPLIDECKNSTSIQNAAANNLDGHALEYHQFDLHQDYDHGFYTGFNGTGYCEEEAIPHISSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDACALYRLELKLVDGKKNSKTKLANDSVADLQKQMGRLSAEFPHDNKRAAKGRAKVNAKVGIGGVYSTSHRVTTLNGAYEYGLAAPYEYLVENMGDYYGT >Vigun03g175100.3.v1.2 pep primary_assembly:ASM411807v1:3:21609328:21615151:-1 gene:Vigun03g175100.v1.2 transcript:Vigun03g175100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVPKNSCKSASHRLFKDKAKNRVDDLQVMFLDLQFARKESRTVDAAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCDEEDDASSPLAAPKPEPNDQTLQAGGKVVFQEGQQQHDFPLIDECKNSTSIQNAAANNLDGHALEYHQFDLHQDYDHGFYTGFNGTGYCEEEAIPHISSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDACALYRLELKLVDGKKNSKTKLANDSVADLQKQMGRLSAEFPHDNKRAAKGRAKVNAKVGIGGVYSTSHRVTTLNGAYEYGLAAPYEYLVENMGDYYGT >VigunL083600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000616.1:98095:98235:-1 gene:VigunL083600.v1.2 transcript:VigunL083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun08g069500.1.v1.2 pep primary_assembly:ASM411807v1:8:10937160:10942594:1 gene:Vigun08g069500.v1.2 transcript:Vigun08g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGITPSASEDQIRKAYYHKAMQVHPDKNPNDPRAAEKFQILGEAYQVLSDPVQRNAYNQNGKHSVSRETMLDPMAVFALLFGSELFEDYIGHLAVASMASSELADDSEDPDKLNEKLKAVQREREEKLARNLKDYLAQYVRGDKKGFFLRAESEARRLSRAAFGVDMLHTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQLTAAKGAYQLIQLQEDIRKQFKMDGSSGPENDVDSHIRLHKDTLISSLWKLNVVDIEVTLVHVCQMVLKENNVKKEELKVRATALKILGKIFQDKYPKGETLRKKIAASSDDEESTSDSSDDESPRGISYRTPFFTQGLGRLFKCLCNPAFDVDDEEIVYKSK >Vigun08g069500.2.v1.2 pep primary_assembly:ASM411807v1:8:10938827:10942594:1 gene:Vigun08g069500.v1.2 transcript:Vigun08g069500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFLNRETMLDPMAVFALLFGSELFEDYIGHLAVASMASSELADDSEDPDKLNEKLKAVQREREEKLARNLKDYLAQYVRGDKKGFFLRAESEARRLSRAAFGVDMLHTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQLTAAKGAYQLIQLQEDIRKQFKMDGSSGPENDVDSHIRLHKDTLISSLWKLNVVDIEVTLVHVCQMVLKENNVKKEELKVRATALKILGKIFQDKYPKGETLRKKIAASSDDEESTSDSSDDESPRGISYRTPFFTQGLGRLFKCLCNPAFDVDDEEIVYKSK >Vigun04g007600.1.v1.2 pep primary_assembly:ASM411807v1:4:540820:546632:1 gene:Vigun04g007600.v1.2 transcript:Vigun04g007600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRKTRSSSSPSPISSVKRVTRSSAATVTLSSPSPVSSVKRRVTRNVTSGGGSGETKAEAVDAPSSAVKEETSTFMDVTPATPDVEECSARFIGEPVPVDEARRRWPKRYQEKEKKQSTGSRSSRKQDEDEEILQARHHYTCAEVDGSTTYKLYDDAHVKADEGEDSYICKIVELFEAIDGSMYFTAQWYYRAKDTVIKKLAYLIEPKRVFFSEVQDDNPLDCLVEKLNIVRLPLNMDFNLKKETISCDYYCDTQYLLPYSTFINLPSENDETSSESCSIISSDTNGVEKCEVDSQSKDASLPHESRDMEVRLLDLYSGCGAMSTGLCLGGHLSGVNLVTKWAVDMNKHACESLKLNHPETEVRNESAECFLSLLKEWQKLCSYFDLVENKVSHEKYVNLFSEVEEDDAEETDEDGSDGEHGEIFEVSEILAVCYGDPNNKKDQGLYFKVHWKGYGSDADSWEPIEGLKHCKNKINEFVTQGFRSNRLPLPGNVDVICGGPPCQGISGFNRFRNKENPLDDEKNKQMVVFMDFVQYLKPKFTLMENVVDIVKFADGFLGRYALGRLISMNYQARLGIMAAGSYGLPQFRLRMFLWGAAPSQKLPQFPLPTHDVIVRGVIPVEFETNTVAYDEGHTVQLQKKLLLKDAISDLPPVQNNEHRDEMKYCKPAETEFQRFIRSTKSEMLRIQSKPKSSKNLLYDHRPLELNADDYQRVCRIPQKKGACFRDLPGVLVGTDNKVEWDPDVERVYLDSGKPLVPDYAMSFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAILHPEQDRVLTIRENARLQGFPDFYKLCGPVKARYIQVGNAVAVPVARALGYTLGLAFQGSTSTSDGPLYTLPDKFSMLRERVSSEDDEQVL >Vigun07g162650.1.v1.2 pep primary_assembly:ASM411807v1:7:27383898:27389352:-1 gene:Vigun07g162650.v1.2 transcript:Vigun07g162650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIEKKHHHINETTASNSSERGFHGFSAKERKDFDFDLNEPVININLVPISQVCNDNCDVAMCHPHAPELNSLHAPPKKVVEQVHSSNSNDVKTLEFPFDLNAKFDEEDQISCSKDVCGRSEAIEPLPSSYLEVTPQHVLPTDNDNEDRSSVVGGGSDTSHNRKRKRSIEECENGRRKAFRRENVEVKIKRQKDNTVDDGYHWRKYGQKPIKGNLFPRAYYKCTSVGCSVKKHVERDSRNQKHLISTYEGRHNHERPNPRKQYIDDEEDEELAAVDAANTLLNFGSPGTMNFAEPGVHTLQLLHNHTNPEFFNMFIRPNPFGSFNYNMHYSSFLNNVTTMPYARYVPPPFNMMPFSFGGFSQDWMHANGSTSVFRYRDRSWH >Vigun11g148400.1.v1.2 pep primary_assembly:ASM411807v1:11:35769328:35773003:-1 gene:Vigun11g148400.v1.2 transcript:Vigun11g148400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNPNGVADFPAVSTHGGQFIQYNIFGNLFEVTAKYRPPIMPIGRGAYGIVCSLLNTETNELVAVKKIANAFDNHMDAKRTLREIKLLKHLDHENVIGLRDVIPPPLRREFNDVYIAMELMDTDLHHIIRSNQSLSEEHCQYFLYQILRGLKYIHSANIIHRDLKPSNLLLNSNCDLKIIDFGLARPTMESDFMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLFPGKDHVHQMRLLTELLGTPTDADLGLVKNEDARRYIRQLPQYPRQPLAQVFPHVHPAAIDLIDKMLTIDPTKRITVEEALAHPYLEKLHDVADEPICMEPFSFEFEQQPLDEEQIKEMIYREALALNPEHA >Vigun05g294500.1.v1.2 pep primary_assembly:ASM411807v1:5:48009810:48014669:-1 gene:Vigun05g294500.v1.2 transcript:Vigun05g294500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFESNYDIAASAQFIHTHNFNRVALQFPDNLLKDSTRVVTALRKRLQSLKKIDATESGHETNVGLFVMADTAYGSCCVDEVGASHINADCVIHYGHTCFSPTTTLPSFFVFGKASICVADCVESMSKYALTNSKPIMVLFGLEYAHSMQQIKEALLESSMSCRLDPKPEVYFADVPSSVMFPSKDIKKIKGLQELACGCNGESGTTYSIGGLTWKLPQGQSMDDYLLFWIGLDDSAFANVVLTFNTCEIVRYDANENQMVTDLFQQRRILKRRYYLVERAKDANIVGILVGTLGVAGYLHIINQMKELITGAGKKAYTLVMGKPNPAKLANFPECDVFIYVSCAQTALLDSKEYLAPVITPYEAMIAFNRGSQWTGAYVMEFRDLINLPQMEVGDQEEEEARFSFLKGGYIEDFENQENVEQEKEALALVNATEKALQLRNNSNALMKGNAKSGAEFLANRSYQGLNMPSENTSPEPYLIGRRGRASGYEDENNKQT >Vigun10g014700.1.v1.2 pep primary_assembly:ASM411807v1:10:1622505:1634215:-1 gene:Vigun10g014700.v1.2 transcript:Vigun10g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRASQGLEESSAASMEIHEDSMEKKLKIIAKALEAFHGLQEEFSMGKNEEIIYYSNLNMKEFSHPSLFRDYLDDCNKLTWKKLKEYVDNCDVMTPKEIQEQVDHGYEMIAERFKEELRRSYGWRWFFYKMDYHDLYNDMTPTKFEEHIDHLYQTMAKKFKKVLYGLYASKLFLDNEQLERRTGYDVAQMNVETFKIKKESDSPDLRINIDGKKVSANGDPDMTQNHLKVHKKHPKHDDLTIWATFYTAQIGIASCLGNPFDKLPKLGISGKVKEQSIMNLGEAVHVLLPCITLSAMFFMNSIYTTILTQSLAFRGPANSIQMLLFIYYIFALAEAMSGSGVLWGSTQIPSLLFVCGGAICFMVFTAAIIMGVKFYFKEFKESRL >Vigun07g002500.3.v1.2 pep primary_assembly:ASM411807v1:7:212172:216425:-1 gene:Vigun07g002500.v1.2 transcript:Vigun07g002500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVQPIAIDIDSEKVKDAVVVRNESVLKSRLKRLFVFDRQLPKNNKDVATEFEPSSVCLAKMVQNFMEEQPPAPKCGRNRCNCFNVNSSDEEDFDLFGAPPPPESSTTDAAESLKTLIPCASVGERNLLADVARIVEKNGKLFKRKDDLIKVVAEALSSALGYDSSICKSKWEKTSSCPAGEYEFIDAIVEGERLIVDVDFRSEFEVARSTGTYKAILQSLPFIFVGKSERLKQIVAIVSEAAKQSLKKKGMHVPPWRKRDYMLAKWLSPSSVREKQPPSSSVQVAVAPPETMYSGDAASRESDCGELELIFGESPPKNETESVADSGKEKAESPSPTTVWQPPAVKPKSVERGTKVVTGLASLLKDRP >Vigun07g002500.1.v1.2 pep primary_assembly:ASM411807v1:7:212172:216425:-1 gene:Vigun07g002500.v1.2 transcript:Vigun07g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVQPIAIDIDSEKVKDAVVVRNESVLKSRLKRLFVFDRQLPKNNKDVATEFEPSSVCLAKMVQNFMEEQPPAPKCGRNRCNCFNVNSSDEEDFDLFGAPPPPESSTTDAAESLKTLIPCASVGERNLLADVARIVEKNGKLFKRKDDLIKVVAEALSSALGYDSSICKSKWEKTSSCPAGEYEFIDAIVEGERLIVDVDFRSEFEVARSTGTYKAILQSLPFIFVGKSERLKQIVAIVSEAAKQSLKKKGMHVPPWRKRDYMLAKWLSPSSVREKQPPSSSVQVAVAPPETMYSGDAASRESDCGELELIFGESPPKNETESVADSGKEKAESPSPTTVWQPPAVKPKSVERGTKVVTGLASLLKDRP >Vigun07g002500.2.v1.2 pep primary_assembly:ASM411807v1:7:212172:216426:-1 gene:Vigun07g002500.v1.2 transcript:Vigun07g002500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVQPIAIDIDSEKVKDAVVVRNESVLKSRLKRLFVFDRQLPKNNKDVATEFEPSSVCLAKMVQNFMEEQPPAPKCGRNRCNCFNVNSSDEEDFDLFGAPPPPESSTTDAAESLKTLIPCASVGERNLLADVARIVEKNGKLFKRKDDLIKVVAEALSSALGYDSSICKSKWEKTSSCPAGEYEFIDAIVEGERLIVDVDFRSEFEVARSTGTYKAILQSLPFIFVGKSERLKQIVAIVSEAAKQSLKKKGMHVPPWRKRDYMLAKWLSPSSVREKQPPSSSVQVAVAPPETMYSGDAASRESDCGELELIFGESPPKNETESVADSGKEKAESPSPTTVWQPPAVKPKSVERGTKVVTGLASLLKDRP >Vigun09g114501.1.v1.2 pep primary_assembly:ASM411807v1:9:25105814:25106378:-1 gene:Vigun09g114501.v1.2 transcript:Vigun09g114501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFRAYQCFLAFFLVISIVVSVFTGANSHPIRSNSPKFSFLEGSS >Vigun03g032300.4.v1.2 pep primary_assembly:ASM411807v1:3:2476994:2488470:-1 gene:Vigun03g032300.v1.2 transcript:Vigun03g032300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPTTATTSSSSSATSTATTAPSSLPSALGKPLAEKRSKRAALMQIQNDTISAAKAALHPVRTNIMPQKQKKKPVSYSQLARSIHELAATSDQKSSQRQLVHQVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLSVASTNSETEFHARRLQSLKALTYAPENNSEILSRLYEIVFGILEKVGDAQQKRKRGILGAKGGDKDSIIRSNLQYGALSALRRLPLDPGNPAFLHYAVQGISFADPVAVRHALEIVSEIATRDPYAVAMALGKHVQPGGALQDILHLHDVLARVSLAKLCCTISRARALDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDNTERTEERATGWYRLTREILKLPDASSKESSKDKSQKMKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGIQDVEEGANINTFADSTDYNDSDESTHPESIRRTSSVSNGTAGRDTVAGLLASLMEVVRTTVACECVYVRAMVLKALIWMQGPFDSFDELESIIASELSDPAWSAALLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPNGKHKALEAVTIVLDLPPPQPGSMLGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFNGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQFSDMHLSNGEDQGASGTGLGVLLSPMIKVLDEMYRAQDDLIKEIRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRTKYLPLGPIRRRCKSEAEACFGFRE >Vigun03g032300.1.v1.2 pep primary_assembly:ASM411807v1:3:2470933:2488470:-1 gene:Vigun03g032300.v1.2 transcript:Vigun03g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPTTATTSSSSSATSTATTAPSSLPSALGKPLAEKRSKRAALMQIQNDTISAAKAALHPVRTNIMPQKQKKKPVSYSQLARSIHELAATSDQKSSQRQLVHQVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLSVASTNSETEFHARRLQSLKALTYAPENNSEILSRLYEIVFGILEKVGDAQQKRKRGILGAKGGDKDSIIRSNLQYGALSALRRLPLDPGNPAFLHYAVQGISFADPVAVRHALEIVSEIATRDPYAVAMALGKHVQPGGALQDILHLHDVLARVSLAKLCCTISRARALDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDNTERTEERATGWYRLTREILKLPDASSKESSKDKSQKMKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGIQDVEEGANINTFADSTDYNDSDESTHPESIRRTSSVSNGTAGRDTVAGLLASLMEVVRTTVACECVYVRAMVLKALIWMQGPFDSFDELESIIASELSDPAWSAALLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPNGKHKALEAVTIVLDLPPPQPGSMLGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFNGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQFSDMHLSNGEDQGASGTGLGVLLSPMIKVLDEMYRAQDDLIKEIRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRTKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISELVYESQPPPAEPDTLDDDLVNAWAANLGDDGLWGNNAPAMTRVNEFLAGAGTDAPEVDEENMISRPSVSYDDMWAKTLLESSELEEDDAKSLGSSSPDSTGSVETSISSHFGGMSYPSLFSSRPSGYSQTTDKAPARGGGGPSMYEGLGSPIREEPPSYASSVMQRHESFENPLAGNGSHSFESQDDERVSSGNPQFGSALYDFTAGGDDELSLTAGEDVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVTQS >Vigun03g032300.3.v1.2 pep primary_assembly:ASM411807v1:3:2470932:2488470:-1 gene:Vigun03g032300.v1.2 transcript:Vigun03g032300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPTTATTSSSSSATSTATTAPSSLPSALGKPLAEKRSKRAALMQIQNDTISAAKAALHPVRTNIMPQKQKKKPVSYSQLARSIHELAATSDQKSSQRQLVHQVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLSVASTNSETEFHARRLQSLKALTYAPENNSEILSRLYEIVFGILEKVGDAQQKRKRGILGAKGGDKDSIIRSNLQYGALSALRRLPLDPGNPAFLHYAVQGISFADPVAVRHALEIVSEIATRDPYAVAMALGKHVQPGGALQDILHLHDVLARVSLAKLCCTISRARALDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDNTERTEERATGWYRLTREILKLPDASSKESSKDKSQKMKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGIQDVEEGANINTFADSTDYNDSDESTHPESIRRTSSVSNGTAGRDTVAGLLASLMEVVRTTVACECVYVRAMVLKALIWMQGPFDSFDELESIIASELSDPAWSAALLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPNGKHKALEAVTIVLDLPPPQPGSMLGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFNGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQFSDMHLSNGEDQGASGTGLGVLLSPMIKVLDEMYRAQDDLIKEIRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRTKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISELVYESQPPPAEPDTLDDDLVNAWAANLGDDGLWGNNAPAMTRVNEFLAGAGTDAPEVDEENMISRPSVSYDDMWAKTLLESSELEEDDAKSLGSSSPDSTGSVETSISSHFGGMSYPSLFSSRPSGYSQTTDKAPARGGGGPSMYEGLGSPIREEPPSYASSVMQRHESFENPLAGNGSHSFESQDDERVSSGNPQFGSALYDFTAGGDDELSLTAGEDVEIEYEVDGWFYVSVEDLYLYFI >Vigun03g032300.2.v1.2 pep primary_assembly:ASM411807v1:3:2470933:2488470:-1 gene:Vigun03g032300.v1.2 transcript:Vigun03g032300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPTTATTSSSSSATSTATTAPSSLPSALGKPLAEKRSKRAALMQIQNDTISAAKAALHPVRTNIMPQKQKKKPVSYSQLARSIHELAATSDQKSSQRQLVHQVFPKLAVYNSVDPSLAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLSVASTNSETEFHARRLQSLKALTYAPENNSEILSRLYEIVFGILEKVGDAQQKRKRGILGAKGGDKDSIIRSNLQYGALSALRRLPLDPGNPAFLHYAVQGISFADPVAVRHALEIVSEIATRDPYAVAMALGKHVQPGGALQDILHLHDVLARVSLAKLCCTISRARALDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDNTERTEERATGWYRLTREILKLPDASSKESSKDKSQKMKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGIQDVEEGANINTFADSTDYNDSDESTHPESIRRTSSVSNGTAGRDTVAGLLASLMEVVRTTVACECVYVRAMVLKALIWMQGPFDSFDELESIIASELSDPAWSAALLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPNGKHKALEAVTIVLDLPPPQPGSMLGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFNGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQFSDMHLSNGEDQGASGTGLGVLLSPMIKVLDEMYRAQDDLIKEIRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRTKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISELVYESQPPPAEPDTLDDDLVNAWAANLGDDGLWGNNAPAMTRVNEFLAGAGTDAPEVDEENMISRPSVSYDDMWAKTLLESSELEEDDAKSLGSSSPDSTGSVETSISSHFGGMSYPSLFSSRPSGYSQTTDKAPARGGGGPSMYEGLGSPIREEPPSYASSVMQRHESFENPLAGNGSHSFESQDDERVSSGNPQFGSALYDFTAGGDDELSLTAGEDVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVTQS >Vigun01g060800.1.v1.2 pep primary_assembly:ASM411807v1:1:12770687:12772564:-1 gene:Vigun01g060800.v1.2 transcript:Vigun01g060800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMASVALKPAPFIVEKSSVRGLPSLSRNSSSFRVVASGGKKIKTDKPYGINGGMDLRDGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDTKDWSPTGDVYTGGTTGLAIWAVTLLGLLAGGVLLVYNTSALAQ >Vigun11g186100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38661166:38661426:1 gene:Vigun11g186100.v1.2 transcript:Vigun11g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLLGVRRARQEVSKGAEVPKGYLAVYVGEKKKRFVIPIGCLNQPSFQDLLSKAEEEYGYHHPMGGLTIPCREDVFLNIMSVLT >Vigun03g269550.1.v1.2 pep primary_assembly:ASM411807v1:3:44180729:44181837:1 gene:Vigun03g269550.v1.2 transcript:Vigun03g269550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEDAPNLRSLSLLAESYLGRTIVCTSESFSQLCVLRVWKLEQLEEWKIEQKALPSLRQLEIRLCPRMKVLPDGLNHVTSLLELKLTNMSMEIKTEKHNIPRNCEVHRDDSVQYEEQFVYTG >Vigun07g286500.1.v1.2 pep primary_assembly:ASM411807v1:7:39933020:39935145:-1 gene:Vigun07g286500.v1.2 transcript:Vigun07g286500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSSTMPTHFIDNLRRHRLGQRLGSAPDGTDHATHLHRHSFEEVKKEFDLVPILPEASLVRQKYTNEYEVVVNEQIKFFKESSEEEREHVDKLMEYQQGNLLCLLEKLTNEKLLNLHTVASKNNDV >VigunL038971.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:337322:337936:-1 gene:VigunL038971.v1.2 transcript:VigunL038971.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun07g064400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:7465330:7467332:-1 gene:Vigun07g064400.v1.2 transcript:Vigun07g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPLKPENLKPLILKAGVPLAASLAGFIYAWILAKKNLSSKLFSSPQNECDSSKITCHDILSFVEDGESSTPTNSLVIHNKKPCLEREITGLRNQIEGLQMRELALRLQFELYCEMKAQESLLVEVRNMLSLENDRAEFLSKEVSSIETETMRLESFVVQYMSVVEQMQYWRSQNRILQRKVQRLLRDSKAKSRLIKVQALMIKEKEEELLRNHEDFQTRVCVINKLEGEIRELRRILEQLEEEKKEVVKKLETAEAEKLNKEKIHRKPLKYYLEVEDVSKEEYNKVLNELEEMKKERSSEVEELIHLRRVNSCLRQELMSHYEEHHEEGEIEGGLRFVQCDSEHEFHHHNDSAESDHASSKRKKLLKRLKRWVEGSEKVRVKPEIMKQTSVSCGSKKPQISSNSRFCSSA >Vigun08g032300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2949292:2950193:1 gene:Vigun08g032300.v1.2 transcript:Vigun08g032300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSSTNGFFTLRSTSSSENGGENKIRGCGKPDGVAMWFINGVTTAFFASLEHCCIRIATQEDVDEANDDMPLILNDGNLRHRSAAATTTGKRKVKGKKS >Vigun09g192900.6.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.3.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.1.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYKPFISNCFIQKRPSHDVSQKSPHFNLPPFPSFKPLKKIVLGVPSLIDVQKTMTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRYIVIMDFPLTIDLNRLLRGGQLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.7.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYKPFISNCFIQKRPSHDVSQKSPHFNLPPFPSFKPLKKIVLGVPSLIDVQKTMTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.5.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYKPFISNCFIQKRPSHDVSQKSPHFNLPPFPSFKPLKKIVLGVPSLIDVQKTMTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.4.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRYIVIMDFPLTIDLNRLLRGGQLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.11.v1.2 pep primary_assembly:ASM411807v1:9:36778413:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.10.v1.2 pep primary_assembly:ASM411807v1:9:36778413:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.8.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.9.v1.2 pep primary_assembly:ASM411807v1:9:36778413:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRYIVIMDFPLTIDLNRLLRGGQLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun09g192900.2.v1.2 pep primary_assembly:ASM411807v1:9:36777255:36781067:1 gene:Vigun09g192900.v1.2 transcript:Vigun09g192900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYKPFISNCFIQKRPSHDVSQKSPHFNLPPFPSFKPLKKIVLGVPSLIDVQKTMTDAAAKFLEAFVDSFFEFVDQPLLPSQSNFAPVEELGEAVLVTSIQGRIPDDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHAIYFKRSSQGSWKVLYNNKHVETDTYNIEKQLSKPSFLPAIEGDSLAIFSAYLLNWLRFGKVNKYISNTNVFEHSGRFYSVAENHIPQEIDIFTLKTIRNWNVNGAWDRPFTSHPKKAPGTGELVTLGVAPAKPFVVAGIISADGEKLVQKVDLQLNRCTLCHDIGVTKRLIKYNKEEYARIGILPRYGDANSIKWFEVEPNCTFHIINSFEDGHEVVVRGCSSLDSLIPGPNLSLKEYEWLSRCHEWRLNMHTGEVKEKDVCGGNVVYMDFPVINGNFTGMRNRYAYTQVVDAIASSTQGAPKYGGLAKLYFEESRTEFSKGEKEKEKAEEGVRVECHMFDKNTFCSGAAFVPREGGVEEDDGWIIAFVHNEDTIISEVHIIDAKKFSGEVVTKITMPGRVPYGFHGAFMQISFQAQEHNSVYHQQTP >Vigun06g061500.1.v1.2 pep primary_assembly:ASM411807v1:6:18936479:18938153:1 gene:Vigun06g061500.v1.2 transcript:Vigun06g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRNIIFFFTLLVVITVPITSQPCNLYRFSNNINYAACEDLPVLESSLHWNYHPASGVVDVAFNKANAKDSSWVAWAINPTSKGMLGSQSFVAVHKSNATIRAYTSPITSYATILQEGNLSFSVYNLSASYTNGRIIIFASFQLPSNKTVVNHAWQEGLVSDDGTLRPHSFSPSNLRSYGTIDFISGKVSKATEGVNSRTMLRNVHGILNTVSWGIMMPIGVMMGRYLKVFDGLGGTWFHLHRACQSLAFLIAIAGFGSGLYIGNHNGVHHVPHRCVGITLMCLASAQVCVAVFLRPKKDHKYRIFWNIFHYIVGYTTIGLAIWNVLKGFDILNAEKMWKKSYLGTIISLATIAVILEVITWIWVCNKKRTKNSQDRAVIGQQQT >Vigun11g112000.1.v1.2 pep primary_assembly:ASM411807v1:11:31419660:31421052:-1 gene:Vigun11g112000.v1.2 transcript:Vigun11g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPYCDKSGLRKGTWTPEEDWKLIAYVTTHGHKNWRQLPKLAGLARCGKSCRLRWMNYLRPDIKRGNYTYEEEEIIINLHQIHGNRWSVIASHLPGRSDNEIKNHWHAHLKKRFQHNSETNEKVEASTSKQHSLLESIQEESGEVVASSFQNSDSPSPQHTSLIDALCMISEPEPASNGNLATHNFADFMGENTDPISAYSWEELYEISYLCEFLAPLY >Vigun11g174700.1.v1.2 pep primary_assembly:ASM411807v1:11:37988186:37991636:-1 gene:Vigun11g174700.v1.2 transcript:Vigun11g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVIGNKIDIDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQCIAKNALKSGEEEELYLPDTIDVGNSSQPRATGCEC >Vigun07g270000.1.v1.2 pep primary_assembly:ASM411807v1:7:38575392:38578811:1 gene:Vigun07g270000.v1.2 transcript:Vigun07g270000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLIPLSWSPQLSTPFKGTVKTLVAKPCVKIQRARPIVFARYKSTSAPVATPMVGVRFRLDNLGPQPGSRPRAKRKGRGISAGQGASCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVHVNLRDIEDAKFKEGEEVSLESLKAKGVIKPSGRERRLPLKILGEGELSKKLTLKARAFSTAAKEKLESAGCSLTLLPGRKKWVKPSVAKNLARAEEYFAKKRAAAATEAASA >Vigun03g343700.1.v1.2 pep primary_assembly:ASM411807v1:3:54289514:54293524:1 gene:Vigun03g343700.v1.2 transcript:Vigun03g343700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRRFSYWIWLLLAFSSILALLLFLLQHNHHHQDPHHYPLPERNERVEQFAKESLNFTQEILSVTSFSRQLAEQMILAKAYVVIAKEHNNLHLAWQLSSKIRNCQLLLSKAAITGEPITLEEAEPIIRSLSLLMFKAQDIHYDIATTIVTMRSHIQALEERANAAIVQSTVFGQIAAEAIPKSLHCLNVKLMSDWLRMPSLQELSDERKNSPRLVDNNLYHFCIFSDNVLATSVVVNSTVSNADHPKQLVFHIVTNGVSYGVMLAWFLRNDFKGATIEIQNIEEFHWLNASYSPLVKQLLNPDSRTFYFGAYQDLNVEPKMRNPKYLSLLNHLRFYIPEIYPQLEKVVFLDDDLVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYINFSNSVISSRFDPQACAWAFGMNIFDLVAWRKANVTGRYHYWQDQNADGTLWKLGTLPPALLCFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKLAIGRYKPLWDKYINQSHPHLQDCVTS >Vigun06g102200.1.v1.2 pep primary_assembly:ASM411807v1:6:23225702:23228218:-1 gene:Vigun06g102200.v1.2 transcript:Vigun06g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNITSFRTIPTSLYFGSGDFPGFVKKKGRANCSSRDDDVPLTSASAYAVLGLNPHCSAADMKAAFRAKVKQFHPDLTKHSHTTHSDAMIRRVIEAYRILSNCTPSQLIESECLDPFDTPECEAFDLFVNQLLCVGKACSSSCVKRAPHAFTYASSTGTASASSQGHGDDYQVQCAVGQCPRNCIHYVTPSQRIFLEELLDSILEAPYDTSAEADLLYSLINKAKFENNRYQKPQRKPKASSQNVDWF >Vigun09g128700.1.v1.2 pep primary_assembly:ASM411807v1:9:28567823:28571087:1 gene:Vigun09g128700.v1.2 transcript:Vigun09g128700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKTNISRASLGNEEELMASSTTNNTNTVHSDEHQHQHPHYHQTSSPTTRRSFLSYFNCCVKA >Vigun05g153800.1.v1.2 pep primary_assembly:ASM411807v1:5:24493515:24495257:-1 gene:Vigun05g153800.v1.2 transcript:Vigun05g153800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQSSCLLPADMLMEILSWLPVKDVVRLKCISKGWNHLVSDSAFVKLHLIRSPKNTHILLTFDTRGGGYAIAPVQDLLDNPSSTVETLLRNDFPYNPFNRSYTVLGVLNGLVCLQFSWVDDEFEHHWFHMWNPATRAMSVDSPHISFDHSDYKDVFWFMFGFGYDEWSDTYQVLFLDNNKNESQELKVRVWSVGDTCWRNTSICDAFSTIICPVSRVSRGSVSRGIFVSGTLNWLAFPKSYSYSDNVKMNQLEIFSYHQKDETCRYFPMPDGILEINVCEPELEVLKGCLCLSHHHEGNFTVWLKREFNHEKSWSKLLTLRYIDKPDLIRYNTRDNRIDRRELYKHESSNIFSYDYAPSLVFPHVELPLGYFL >Vigun05g021200.1.v1.2 pep primary_assembly:ASM411807v1:5:1771364:1773357:1 gene:Vigun05g021200.v1.2 transcript:Vigun05g021200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTLPGEQ >VigunL059099.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000620.1:7684:9334:-1 gene:VigunL059099.v1.2 transcript:VigunL059099.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDKRPCDPTSYHESSKQQAEPASTFYLINASLLEVGVCCTY >Vigun04g112300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:28268375:28268800:1 gene:Vigun04g112300.v1.2 transcript:Vigun04g112300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMKLFFVAMAMLIMAASAADSPAPSPTSDATAIFVPTAVASFLVLAFGFLF >Vigun09g047900.1.v1.2 pep primary_assembly:ASM411807v1:9:4655769:4659940:-1 gene:Vigun09g047900.v1.2 transcript:Vigun09g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEETKNAVRVFVGGLAETVSAEDLRSLFSSLGSVQAVQTIRTKGRSFAYIDFHSDPKSLSKLFSKYNGCLWKGGRLRLEKAKEDYLTRMKREWEHDALDDATQPPPSSPKEATTHSSKSNTKHLSIFFPRLRKVKSIPFSGTGKHKYSFQNIKVPPLPVHFCDCEEHCSPVITERGKLSIDGGAESGGMNDEEISIMNSVMNKLLQKEKVSSVENLGKEKDLCKLPDTLQSDECEDSATDEDDLIINMETNRNKTALIGNQELERILENQESWFNKTKIAKEDHNNSTPQVERRNNTNPNKNKKRKSLPKLEMESNAEVSATPGGKGNKQTIPNKVGSGAQRSEPEDGFEELTKVSWSQKSSWKELLGGGGNTSFSASVILPKLDSSKNQERSYDLCAPVSTISKTENMEKDRELWSNPTNTQAIKEHADAQPTDTQVIKELAEAQPANKEVIEDVTENQHNVAPNKTGRGASWLQKQSWTQMVSENSNSFSISHILPGITFPEPKAKEPIVVPAISNQSKHNGVPKDTINEAVSNDGFNSKEAVQEKSQHISANDVTFASVVEEKVETNPREKSPENIEIGETCTFMRSAASLKEWAKAKTAISGSLKRKSGER >Vigun05g159900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25596748:25596888:-1 gene:Vigun05g159900.v1.2 transcript:Vigun05g159900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METANLIAISIFGLLVSFTGYALYTAFGQPSQQLRDPFEEHGDWLK >VigunL059078.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000503.1:14910:15950:-1 gene:VigunL059078.v1.2 transcript:VigunL059078.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALVLVQHEDFPGGHPSQYYSRPSTLNCGVLMGSGALVLV >Vigun01g004300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:531900:533169:1 gene:Vigun01g004300.v1.2 transcript:Vigun01g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSMISTSAALSATIQKQHHVKPSNVCFQGLRPLTRSTGSARVSTSKRVPRGSGVVKAELNSALVISLSTGLSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDTRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHVVAYYILATTSNGYNPAFF >Vigun09g212400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38654646:38655666:1 gene:Vigun09g212400.v1.2 transcript:Vigun09g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSECNSNRMAENSSTLHALHYDQECMVITSYGGFRELRAPHRYGGLCREEQETSKVDRKRLGKKVKKAVKAFTTRLRMRSGGAKEKEKSRKFQYDPKSYALNFDDGIKECDGVFLAFTARYACPLGINKVYFGWERTET >Vigun11g103700.1.v1.2 pep primary_assembly:ASM411807v1:11:30132320:30138779:-1 gene:Vigun11g103700.v1.2 transcript:Vigun11g103700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRGNSASKGFDFGSDDILCSYDDYANRDSSSNGNHADPDFHKARMSRTSMFPATAYNPPEDSLSQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLTRDHVEQDSRLKSLEKHLQEVHRSVQILRDKQELADTQKELAKLQLAQKESSSSTHSQSNEERSSPTTDPKKTDNPSDANNQQLALALPHQIAPQQQPAAPPAQAQAPAPNVTQAPQQPPYYMPPTPLQNPPVPQLPQNQYLPSDQQYRTPQLVAPQPTPSQVTPSPPVQQFSHYQQQQPQPQQQQLQQQQQWSQQVPSPQPPSMQSQTRPPSPAMYPPYQPNQATNPSPAETLPNSMPMQVPYSGVPPPGSSRADTIPYGYGGAGRTVPQQPPPQQMKGSFPAPPGEMYGPTGSLAALPPPSSAYMMYEGEGGRTHHPPQPHFTQPGYPPTSASLQNPPSHNLMVRNPNQSQFVRNHPYNDLIEKLVSMGFRGDHVVSVIQRMEESGQAIDFNSVLDRLNVHSSVGPQRGWSG >Vigun07g074800.1.v1.2 pep primary_assembly:ASM411807v1:7:9725202:9730981:-1 gene:Vigun07g074800.v1.2 transcript:Vigun07g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAVLPVPMEFAKTMFCSSPPFPPRTHPWNTHSPSSSTSVLMLREQGSSTVASWSSNFSAQNFPASVLLQEQRDEYRPLLHMSKEDKTSQAILNTRQMDMDTVHEENDIGNADQVVLDFRKHLHLWPRLRNLLTSSQTGEIAASSTLQHVSVDSERRTDSVQCNGVSSAKKALSTAKQASSVEGLKSTKADDNSIPFGLASTSLAEPSIGRNKTVRSTRLLERRAKQRKVPKSVVKDDETHLTRKDVSQERVRKEKNINEEFDQNDTLRMFLRGPETKQLLTLEQESELISQIQDLLKLEELKSRLQSQFGREPTMAEWAEGAGLNSRKLHAQLHHGNKSREKLIQANLRMVVHVAKSYQGRGLSLQDLLQEGSMGLMKSVEKFNPLAGSRFGNYAFWWIRQAIRKAVFRHSRTIRLPEKVYIQLGKVMEAKKLYIQEGNLHPTKEELARRVGITVEKVDKLLYSARTPISMQKTVWADQDTTFQEITADPTVEATDLSVEKQLMRRHVLNVLTILRPKERKIIRLRYGFEDGEQKSLSEIGDIFGLSKERVRQLEIRALYKLKKCLVKQGLDAYVDLLL >Vigun07g074800.2.v1.2 pep primary_assembly:ASM411807v1:7:9725202:9730981:-1 gene:Vigun07g074800.v1.2 transcript:Vigun07g074800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAVLPVPMEFAKTMFCSSPPFPPRTHPWNTHSPSSSTSVLMLREQGSSTVASWSSNFSAQNFPASVLLQEQRDEYRPLLHMSKEDKTSQAILNTRQMDMDTVHEENDIGNADQVVLDFRKHLHLWPRLRNLLTSSQTGEIAASSTLQHVSVDSERRTDSVQCNGVSSAKKALSTAKQASSVEGLKSTKADDNSIPFGLAEPSIGRNKTVRSTRLLERRAKQRKVPKSVVKDDETHLTRKDVSQERVRKEKNINEEFDQNDTLRMFLRGPETKQLLTLEQESELISQIQDLLKLEELKSRLQSQFGREPTMAEWAEGAGLNSRKLHAQLHHGNKSREKLIQANLRMVVHVAKSYQGRGLSLQDLLQEGSMGLMKSVEKFNPLAGSRFGNYAFWWIRQAIRKAVFRHSRTIRLPEKVYIQLGKVMEAKKLYIQEGNLHPTKEELARRVGITVEKVDKLLYSARTPISMQKTVWADQDTTFQEITADPTVEATDLSVEKQLMRRHVLNVLTILRPKERKIIRLRYGFEDGEQKSLSEIGDIFGLSKERVRQLEIRALYKLKKCLVKQGLDAYVDLLL >Vigun11g193000.1.v1.2 pep primary_assembly:ASM411807v1:11:39238880:39242556:-1 gene:Vigun11g193000.v1.2 transcript:Vigun11g193000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVVSMFDETTIKAQRAARSENWRLFKKIFEEDKKRLVEPFDLFGNTAIHIATRSNNPRLLHELLEMLSEKERWHALRKGNCVNNTLLHEIVLGTAVEMVGVVLKFEKEAAPEEEKRRVALLEIQNDSGETPLFVAAKLGRLEMLKYMARHVEGDITSHFVRFDKYSALHASILGQFFDVAVWLIKMDEKLAQHKDMNGMTCLQLLSNMPLVFRSQAPAMGTVKNLIYKMLPEEGYEIHDDDENESADYTHQRKDIESGQQDEAKFPSSDRWRINYAIWRTLAKEFDGIGRIWKLKKQHKLAEHLSELLVQKDFSWQISFHENLHPLIMSPVLSKSDRIKHTHHMKEMHKTNSEMSRSTSYRPITTEKLSIPHYKNYTPLLLAAGSGIVEIVGKIIDRFPEAICHVSQDEHNVLHMAVKHRQLKIFNLLKKHSAFKSLIFRITAEGRTLLHQISRMEFYVEQHLPGVAFQLQDELRWYERVKHIVPTYYLMHCDKDGLTAEDVLEMEHREMHKEAKGWIKETAQSCSTVAVLVATVVFAAAYTIPGGTNQNNGFPMFLGSRVFLFYTVTDVVALVSSLASVLMFLSILTSPYELWDFRSYLPRKLSLGFAFLFFSLVCTMLTLSATVLLTIRLGNHQKWAYVLFGCAVFFPVVIFWRMQFPLYNMFQRLAQRLFKKLTRAVPNYLVKYSGKRSQTRNYHGISMTS >Vigun11g193000.2.v1.2 pep primary_assembly:ASM411807v1:11:39238877:39242556:-1 gene:Vigun11g193000.v1.2 transcript:Vigun11g193000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVVSMFDETTIKAQRAARSENWRLFKKIFEEDKKRLVEPFDLFGNTAIHIATRSNNPRLLHELLEMLSEKERWHALRKGNCVNNTLLHEIVLGTAVEMVGVVLKFEKEAAPEEEKRRVALLEIQNDSGETPLFVAAKLGRLEMLKYMARHVEGDITSHFVRFDKYSALHASILGQFFDVAVWLIKMDEKLAQHKDMNGMTCLQLLSNMPLVFRSQAPAMGTVKNLIYKMLPEEGYEIHDDDENESADYTHQRKDIESGQQDEAKFPSSDRWRINYAIWRTLAKEFDGIGRIWKLKKQHKLAEHLSELLVQKDFSWQISFHENLHPLIMSPVLSKSDRIKHTHHMKEMHKTNSEMSRSTSYRPITTEKLSIPHYKNYTPLLLAAGSGIVEIVGKIIDRFPEAICHVSQDEHNVLHMAVKHRQLKIFNLLKKHSAFKSLIFRITAEGRTLLHQISRMEFYVEQHLPGVAFQLQDELRWYEVYGESFTGETQHRLNRFYPSIMISLLGL >Vigun02g115700.1.v1.2 pep primary_assembly:ASM411807v1:2:26924035:26925639:-1 gene:Vigun02g115700.v1.2 transcript:Vigun02g115700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNEGEEAVQKIRIMLVCGNGKGSRRGSSSSSSSSSSFCQADECDVNLNMAKSYNRRHKVCERHSKAPVVLVSSIRQRFCQQCSKFHELAEFDETKRSCRKTLAEHNERRRKTRTEMSLGDD >VigunL067932.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000013.1:475586:476045:1 gene:VigunL067932.v1.2 transcript:VigunL067932.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPCGDMDGGEKKELLSTLSNYPTSITLPEKEVIQPHLPVRLPCYDFTPVTSPTFGIPLLAVKETTSGMASSHSVTGGVYKARERIHRCMADQRLLTIPTSCKRVAAYNPN >Vigun05g012100.2.v1.2 pep primary_assembly:ASM411807v1:5:962902:964779:-1 gene:Vigun05g012100.v1.2 transcript:Vigun05g012100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPIGTPSLAWSSVVVVCSLLAGASVVHNIYKPNLTLPPVDGVDGTKQKPDGKE >Vigun05g012100.1.v1.2 pep primary_assembly:ASM411807v1:5:962902:964779:-1 gene:Vigun05g012100.v1.2 transcript:Vigun05g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPIGTPSLAWSSVVVVCSLLAGASVVHNIYKPNLTLPPVDGVDGTKQKPDGKE >Vigun05g012100.3.v1.2 pep primary_assembly:ASM411807v1:5:962080:964669:-1 gene:Vigun05g012100.v1.2 transcript:Vigun05g012100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPIGTPSLAWSSVVVVCSLLAGASVVHNIYKPNLGLDRACSVRIEDGVSARQLFVVGEVHNRAQLKLGFILL >Vigun05g012100.4.v1.2 pep primary_assembly:ASM411807v1:5:962975:964669:-1 gene:Vigun05g012100.v1.2 transcript:Vigun05g012100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGRQPIGTPSLAWSSVVVVCSLLAGASVVHNIYKPNLTLPPVDGVDGTKQKPDGKE >Vigun10g161200.1.v1.2 pep primary_assembly:ASM411807v1:10:38002114:38008209:1 gene:Vigun10g161200.v1.2 transcript:Vigun10g161200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDLKDAEFYKQQVKALFKNLSRGHYEASRMSVETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEELRNEFERVNGSQIETAARPYAFIKFDTFMQKTKKLYQDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEQLDQVSQMSSRLSSESRIYADKARDLNRQALIRKWAPVAIVFGVVFVLFWIKNKIW >VigunL003600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:25539:25904:1 gene:VigunL003600.v1.2 transcript:VigunL003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVWDSEVKEIILPTNSGQIGVLPNHAPIASAVDIGILRIRLKDQWLTMALMGGFARINNNEITVLVNDAEKGSDIDPQEAQQTLEIAETNLNKAEGKRQTIEANLALRRARTRVEAINVIS >Vigun04g184300.2.v1.2 pep primary_assembly:ASM411807v1:4:40894839:40896083:-1 gene:Vigun04g184300.v1.2 transcript:Vigun04g184300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFWRGSMVERGANGGRSMSMDELEKLPCYDYVAKGNTSSPVDCAVCLESLITGDKCRLLPMCKHSFHVQCVDTWLLKTPFCPICRSNANSHSGNQVTGNSDYLVAPNSQQHDNMVVLVQLRENLENVQSTNVVMENPTLGSHNLVREE >Vigun04g007800.2.v1.2 pep primary_assembly:ASM411807v1:4:551841:554960:-1 gene:Vigun04g007800.v1.2 transcript:Vigun04g007800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVALALLLGLFLAVLLLNPRHRKSGHKGKAQTVSNKDKASKSYSKTEVSLHNKRTDCWIIIKKKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLEQ >Vigun04g007800.1.v1.2 pep primary_assembly:ASM411807v1:4:551962:554801:-1 gene:Vigun04g007800.v1.2 transcript:Vigun04g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVALALLLGLFLAVLLLNPRHRKSGHKGKAQTVSNKDKASKSYSKTEVSLHNKRTDCWIIIKKKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLEQ >Vigun04g007800.3.v1.2 pep primary_assembly:ASM411807v1:4:551962:554960:-1 gene:Vigun04g007800.v1.2 transcript:Vigun04g007800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVALALLLGLFLAVLLLNPRHRKSGHKGKAQTVSNKDKASKSYSKTEVSLHNKRTDCWIIIKKKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLEQ >Vigun03g083100.2.v1.2 pep primary_assembly:ASM411807v1:3:6902146:6904112:1 gene:Vigun03g083100.v1.2 transcript:Vigun03g083100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKISLFLFLVFIECYLATAREIVVQQKSDAAIPVTTMSPPEGNTTFIDGTTWCVARPGASQNDLQNALDWACGLGMANCKAIQQGGPCFQPDTLVSHASYAFNSYYQTNGNSDIACNFGGTAALTKRDPSKSKC >Vigun03g083100.1.v1.2 pep primary_assembly:ASM411807v1:3:6902146:6905673:1 gene:Vigun03g083100.v1.2 transcript:Vigun03g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKISLFLFLVFIECYLATAREIVVQQKSDAAIPVTTMSPPEGNTTFIDGTTWCVARPGASQNDLQNALDWACGLGMANCKAIQQGGPCFQPDTLVSHASYAFNSYYQTNGNSDIACNFGGTAALTKRDPSYGKCAYSTSGSLVASAPPMLKRNSQSLVWSKLVVVLLVSYFWM >Vigun11g114500.1.v1.2 pep primary_assembly:ASM411807v1:11:31857093:31859543:-1 gene:Vigun11g114500.v1.2 transcript:Vigun11g114500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHKPTKVQSLVNLILVSSSFCALYLVVSVLLLGTSKVVHVNKTSQDVSRPTTLDHLVFGIASSKSSWDKRKEYVKLWWNNTNKAMKGCVFLDSLPDEEHVSNDTSLPPLCVSEDTSRFRFTHRGGLRSAIRVARVVAETVAMNNDSDVRWFVFGDDDTVFFPENVVKTLSKYDHNLWYYIGAHSEVYEQNRVFGFGMAYGGAGFAISSSLAKVLAKVFDSCIERYPHLYGSDGRVYSCLAELGVGLTHEPGFHQVDLKGNTFGLLAAHPLTPLLSLHHPDYTDPIFPNMTTTQALKHLFEAVNVDSQRVLQQAICYDRRFSWTVSVSWGYAVQVFPNHMLLPDVLKVQETFKQWKKGSMLAKTYTFNTRQLHNDPCKRPTVFFLDTVSSAMDGTISSYKKSFQNCSNDAVSPNKLEVIKVVSQKLDPDIKQLLAPRRHCCDVLPSTAKDKMEIGIRECKDQEMVYMH >Vigun11g219300.1.v1.2 pep primary_assembly:ASM411807v1:11:41210808:41220088:-1 gene:Vigun11g219300.v1.2 transcript:Vigun11g219300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKDATNLSRTFKYLLATQFLSRGIPFIFNTWIVRHLTQEDYALYAVQFHLLVTCILFLSREGFRRACLRMDLKCDGNSMGDVVKLMKVVWMSFPLGIFITIVVCLFVFWWQQISYSSPHGQAILINGFACILELLAEPAYILSQSLVLLELRLMVETIATLLRCLTMYFLISKQIGIEKSIIFGLSQSAYGASLFLGYWGYLLLSQKLKVSYLFPFRKGKLIDFDQQLSKMCILFTFQSFRKLILQEGEKIVLVWLDTPYNQAVYGVVDKLGSLVVRLVFLPFEESSYATFARSASGEYPGKSKKLGNGLTESLKLVLLIGLVFMAFGPSYSYSLIRLLYGEKWSDGEASTALRCYCFYVIVLAMNGTSEAFMHAVATESQLKRSNDSLLIFSLIYIVLNVLLIRVAGAVGLIMANSLNMTLRILYSAIFIKKYFQGSSSFSFYGCLPSGWIILLISGIITLISENVFLDRDNFWPSFMVHVSVGLACFCVSSYVIYCREKTFIRRLIRFNDHVD >Vigun05g173200.1.v1.2 pep primary_assembly:ASM411807v1:5:31579133:31582034:1 gene:Vigun05g173200.v1.2 transcript:Vigun05g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSEMFYLYGQSWLCSTGLPSDIIIEIGDTSFHLHKFPLISRSRELESLMREVPCEPDKSVLELPGLPGGAKAFLHVVKFCYGVKMELNASNVVGLRCAAEYLQMSENYGEGNLIMQTEKFLNHVFGYWTDTLIALKTCEEVLPMAEELHIASRSINSLVQKVADQSLVNLPVSSGPSVAQSPEDAEVWNGISLTPKASGEDWWFDDVSSLSLPLYKRFIRGASAPNIKPKRIAGSLIYYAKKHIPLLGSQTNSQSGNSSSLKSSLSTPSEADQRNLIQEIVELLPNEKDIAPTKFLLGCLRTAMALYASSSCCSSLEKRIGSQLDEAYLEDLLIPNIGFSMETIHDIDCVQRMLDHFMIVEHDLIDTTSNDIEEEERRIVGSSQPLSPMAKVANLIDSYLAEVAPDVNVKLPKFQSLAAVIPDHVRTLDDGLYRAIDIYLKNHHWLTDSEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDNVENSQNMNANLSLMRNDGSIPHNPVVAFDNMKERVAELEKEYLSMKHDLEKMMKSKGGWNMFLRKLGWKLVPKPSNANVSKPCRKSKISPATTAQMEEKAMQVK >Vigun08g045500.1.v1.2 pep primary_assembly:ASM411807v1:8:4834243:4843433:1 gene:Vigun08g045500.v1.2 transcript:Vigun08g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQIEGCVSGYLKQKGFAQNDDKLQLSNTDSSLQPNTLNRAQLERGSARYHDGYGRLRSWAYRSLESYKHELLRVLYPVFIHCFMDLVAKGHLQEAWNFFNTFREDHEMLHSRDLQKLELVLSPTHLEEMEFAHSLRQSKFNIKICRYSYELLLQHLHSMQSTTIIGIINEHINFQVTAGQPSSISDDPEAVTLSGSIQDAVNQINQKEILWGMFEDSVEDHIDKAGALLSGTEKGEGEGKEGDNDESKKRSIDVGKQGNSVKKVKKDKVSSATGKNAKPEASTISAAPRIKPELPLPTVSTDVELSILEDLRNRVQLNSVALPSVNFYTFVNTHNGLSCSSISHDGSLLVGGFSDSSLKVWDMAKLEQQSTAHFSQGGNDMSQNEQVIGQNSGRRQYTLFQGHSGPVYAATFSAAGDFLLSSSADKTVRLWSTKLNANLVCYKGHNYPIWDVQFSPAGHYFASCSHDRTARIWSMDRIKPLRIMAGHLSDVDCVQWHPNCNYIATGSSDKTVRLWDVQSGECVRVFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGCCVTPLVSHTSCVWSLAFSCEGSLLASGSADCTVKFWDVTTGIKVPRNEENRSGNANRLRSLKSLPTKSASVYSLQFSRRNLLFAAGALAKSGC >Vigun08g045500.2.v1.2 pep primary_assembly:ASM411807v1:8:4834243:4843433:1 gene:Vigun08g045500.v1.2 transcript:Vigun08g045500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQIEGCVSGYLKQKGFAQNDDKLQLSNTDSSLQPNTLNRAQLERGSARYHDGYGRLRSWAYRSLESYKHELLRVLYPVFIHCFMDLVAKGHLQEAWNFFNTFREDHEMLHSRDLQKLELVLSPTHLEEMEFAHSLRQSKFNIKICRYSYELLLQHLHSMQSTTIIGIINEHINFQVTAGQPSSISDDPEAVTLSGSIQDAVNQINQKEILWGMFEDSVEDHIDKAGALLSGTEKGEGEGKEGDNDESKKRSIDVGKQGNSVKKVKKDKVSSATGKNAKPEASTISAAPRIKPELPLPTVSTDVELSILEDLRNRVQLNSVALPSVNFYTFVNTHNGLSCSSISHDGSLLVGGFSDSSLKVWDMAKLEQQSTAHFSQGGNDMSQNEQVIGQNSGRRQYTLFQGHSGPVYAATFSAAGDFLLSSSADKTVRLWSTKLNANLVCYKGHNYPIWDVQFSPAGHYFASCSHDRTARIWSMDRIKPLRIMAGHLSDVDCVQWHPNCNYIATGSSDKTVRLWDVQSGECVRVFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGCCVTPLVSHTSCVWSLAFSCEGSLLASGSADCTVKFWDVTTGIKVPRNEEKSGNANRLRSLKSLPTKSASVYSLQFSRRNLLFAAGALAKSGC >Vigun10g146700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36475138:36476821:-1 gene:Vigun10g146700.v1.2 transcript:Vigun10g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVKFQFFSSLLTFFICVYGAYTEPLNSETLILRNHNLPNPPTLSWPESTTSGSDPEPDPSTISVHLHHIDALSLNKTPSQLFHLRLQRDGARVKALFSLASLNQTRTTTSGSGFSSSVISGLAQGSGEYFTRLGVGTPPMYVYMVLDTGSDVVWLQCKPCAKCYSQTDEIFDPSKSSSFAGVPCSSPLCRRLDSPGCSRKSSNCQYQVSYGDGSFTFGDFSIETLTFRRAQVQRVALGCGHDNEGLFVGAAGLLGLGRGGLSFPTQAGARFNNKFSYCLTDRTASSKPSSIVFGDSAVSRTARFTPIINNPKLDTFYYLELLGISVGGAPVRGISASLFRIDSTGNGGVIIDSGTSVTRLTRPAYVALRDAFRVGASHLKRAPEFSLFDTCYDLSGLPEVKVPTVVLHFRGADVSLPAANYLIPVDNSGRFCFAFAGTMSGLSIIGNIQQQGFRVVFDLAGSRVGFAPRGCA >Vigun03g397100.1.v1.2 pep primary_assembly:ASM411807v1:3:60349579:60353528:1 gene:Vigun03g397100.v1.2 transcript:Vigun03g397100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSTLFSPYSMGKFNLSHRVVLAPMTRCRALKGIPNAALAEYYAQRSTPGGFLISEGTLISPTAPGFPHVPGIYSDEQVEAWRNVVDAVHDKGSIIFCQLWHVGRASHPVYQPGGAPPISSTSKPLSARWRILLPDGSYGVYPEPRALNTSEIPEIVQHYRQAAINAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCRFLLQVVEAVVSGIGAERVAVRISPAIDHLDAIDSDPLGLGLAVVEKLNSFQKELGRKLTYLHVTQPRYTAYGQTESGRPGSEEEEAHLMQNLRKAYEGTFMCSGGFTRKLGMEAVAEGHADLVSYGRLFISNPDLVLRLKLNAPLTRYNRKTFYTQDPVIGYTDYPFMSKESEVKDPRARL >Vigun09g100800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16530935:16532707:-1 gene:Vigun09g100800.v1.2 transcript:Vigun09g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKGGCCITKCQTPVAHHMSKVNTIMLGFRPIAPKPLPSSALSDASSSDTTTDVFSRSIASKRKRAKGNTNTKRCTRRRIAPPPPPPPPPPTTLPLLPETPVLKKTTSRESHKDAPVWLSFGNRGGASASLDPFWFPASGSVVTVECVTEMWLEEEGAGLGSGDEERKAKLEEDTCPGFISDGYGRVTWTNGAYREVVGEGGVWLAMKASVAYPYRGFTGWVKVQYASGKERTVPCDVWRMECGGFAWRLDVKAALTLTLAL >Vigun04g167600.1.v1.2 pep primary_assembly:ASM411807v1:4:39242429:39245447:-1 gene:Vigun04g167600.v1.2 transcript:Vigun04g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAASTAAASLGMSEMLGNPINLSGATRPAPSPSSPASFKTVALFSKKKAAPPKKAAAVAPANDELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAFELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGGTLNYFGKPIPINLIVAVIAEIVLVGGAEYYRIINGLNFEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGNAERAPTL >Vigun11g167700.2.v1.2 pep primary_assembly:ASM411807v1:11:37461727:37467027:-1 gene:Vigun11g167700.v1.2 transcript:Vigun11g167700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFKEFELQKLCRLTMAISKGTTLGLAYIIVIIALILPQTAAQVVSIEESVVEDKTIRVDPLDHFKKYRGGFDITDIHYWTSVVFTGKYGYAIGVLWLLCGMICGLFWIIITLCCQDGGRRLRKILLCNCNSCPFSPIPLVISFIILIMVSSVVVYVGSANFNFQAKTSVNVIAKIADDAAEIVHDASIVLKEVQKQLESGITADVITKLNATAQRMDKAVDEILKKTWKHRRSVNKTFKVVLIITILIITLNLVAVPILSVFGVKMFWRPFYVFVVLCWLMTVICWLIFGLYFFFENFSQDICRVLETFEENPYNSTLSSALPCAKLLSAKSFLHKIAAGVTQIVYEVNTNPSLLPDGVYLCNPFSAPPEYLYQPDMCQPDTVKIVDIPK >Vigun11g167700.1.v1.2 pep primary_assembly:ASM411807v1:11:37461727:37467015:-1 gene:Vigun11g167700.v1.2 transcript:Vigun11g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFKEFELQKLCRLTMAISKGTTLGLAYIIVIIALILPQTAAQVVSIEESVVEDKTIRVDPLDHFKKYRGGFDITDIHYWTSVVFTGKYGYAIGVLWLLCGMICGLFWIIITLCCQDGGRRLRKILLCNCNSCPFSPIPLVISFIILIMVSSVVVYVGSANFNFQAKTSVNVIAKIADDAAEIVHDASIVLKEVQKQLESGITADVITKLNATAQRMDKAVDEILKKTWKHRRSVNKTFKVVLIITILIITLNLVAVPILSVFGVKMFWRPFYVFVVLCWLMTVICWLIFGLYFFFENFSQDICRVLETFEENPYNSTLSSALPCAKLLSAKSFLHKIAAGVTQIVYEVNTNPSLLPDGVYLCNPFSAPPEYLYQPDMCQPDTVKIVDIPKVLRYYTCISDNRENCGSTEESMKADEYSLLETYTDIIQSILNLYPRMDRLIECQLVKDAFSQIILKHCKPLKKFSRMTWIGEMFLAVFMVFFLVTWTIRACQDHNNHHPPDSPV >Vigun03g220800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36723240:36724444:-1 gene:Vigun03g220800.v1.2 transcript:Vigun03g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSRDHSPSLASAESPPHFNSIVMVSLSDSQDSVPQDVFHTPPEAATDGNDPYTVNHAADIDAGTQGSVDLCDGLGFVDLGKDSELGFSEVQLTREMGVGECSHGEPRDVVGEIRDGRFDEFAVSDREVSRSGEPPAKKSKLSEVDLGVDSSEGMGLVSEAEKASDCSAKILETVVGNVGLSESEKVVESESSDGAVEGSGTGKKSDVKRVKMNVVDVLRFLTEFSKEEEPNFDNMNLLEVAKACGMTFPRPRWRPEGFEG >Vigun03g383000.1.v1.2 pep primary_assembly:ASM411807v1:3:58771832:58774253:1 gene:Vigun03g383000.v1.2 transcript:Vigun03g383000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGFVSITKPITHVIFDMDGLLLDTEKFCNEVQEIILGRYNKSFNWNVKVKMLGKKALEAARIFVEETGISEFLSAEQFLIDREDMLQVLFPKSELMPGAGRLMRHLHAKGIPICLATSSHKRHFEIKTQRHRELFSLLHHVVLGDDFEVKHGKPSPDIFLAAAKRFEDGPIDPGNILVFEDSPSGVLAAKRAGMSVAMVPDPRLDKGFQKLADQVFNSLLDFNPVEWGLPPF >Vigun10g166800.1.v1.2 pep primary_assembly:ASM411807v1:10:38578720:38587044:-1 gene:Vigun10g166800.v1.2 transcript:Vigun10g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSRTESGTGTHLLSARVRKTIQSIKEIVGNHSDADIYVALKETNMDPNETTQKLLNQDPFHEVKRRRDRKKEIHNVGNNGSADSRRPSENSSGQGVKFHTPSERNVRRTNYSRSALPGISREFRVVRDNRVNHIYKEVKPLSQQNSTSASEQPNVNISEKGSSASTSHRSSGSRNSSQALNGPSDSFVRYQKDAVPNTVERKIASEDKDKDKQNMVSNAAGRVQPIKPNHIHQNPATVASSSSSAVGVYSSSTDPVHVPSPDSRSSGVVGAIRREVGVVGVRRQPSDNKVKQSSAPSSSYVAGKDGTSTDSFQSVGAVLKTEQFSQTKVTEPSSSGVPVSRASVNNQYNSRPHQQIAGHQRVSQQNKEWKPKSSQKPNSNSPGVIGTPKKAAASASPPAESSGDIESDAAELQDKLSEVNIYENQNVIIAQHIRVPETDRCRLTFGTIGTELDSSRLQSKYHIIGASEKSNDELAASLTVPAEELSTDDVSGSKQVELLEEHIRSSGSDSPVSGAASEQHLPDNKESSNTQDNYGNIGLVRDGSPSYEPSEPQQQDSHDMPGFAAYDPPTGYDIPYFRPTIDETVRGQGLSSPQEALISHGTNNTPASTIAMVQQQQPPVPQMYPQVHVSHFANLMPYRQFLSPVYVPPMAMPGYSSNPPYPHPTNGNSYLLMPGGGSHLNANNLKYGVQQFKPVPAGNPAGFGNFASPTGYAMITPGVVGGATALEDSSRVKYKDNLYVPNPQAETSEIWLQNPRDLPGMQSGPYYNMPGQTPHAAYMPSHTGHASFNAAAAQSSHMQFPGMYHTPPQPAAMASPHHLGPPAIGSNVGVGVAAAAPGPQVGAYQQPQLGHINWTTNF >Vigun07g149500.2.v1.2 pep primary_assembly:ASM411807v1:7:26005557:26011749:-1 gene:Vigun07g149500.v1.2 transcript:Vigun07g149500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIVAVFQVVTFCICLAAANSVAFSYPAVFNFGDSNSDTGELGAAMGFLVAPPNGEKYFKTPSGRFCDGRLIVDFLMDAMKLPFLNAYMNSVGLPNFQRGCNFAAAGSTILPANAASISPFSFGVQVYQFLRFRALSLQLLQGNKSYQYVPSEDSFEKGLYMFDIGQNDLAGAFYSKTLPQILASIQAILLEFETGIKKLYDSGARNFWIHNTGPLGCLPQTVAQFGTNPSTLDELGCVSSLNQAAKAFNIQLQAFCSKLKSQYPDANVTHVDIYTIKLNLIANSSKYGFKHSIMACCGHGGPPLNFDSRVSCGLTKIVNGTEITAKSCNDSSVYISWDGTHYTEAANEHVASQILTGNYSTGK >Vigun07g149500.1.v1.2 pep primary_assembly:ASM411807v1:7:26005557:26008395:-1 gene:Vigun07g149500.v1.2 transcript:Vigun07g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLIFDRHKVRVVMDSKIVAVFQVVTFCICLAAANSVAFSYPAVFNFGDSNSDTGELGAAMGFLVAPPNGEKYFKTPSGRFCDGRLIVDFLMDAMKLPFLNAYMNSVGLPNFQRGCNFAAAGSTILPANAASISPFSFGVQVYQFLRFRALSLQLLQGNKSYQYVPSEDSFEKGLYMFDIGQNDLAGAFYSKTLPQILASIQAILLEFETGIKKLYDSGARNFWIHNTGPLGCLPQTVAQFGTNPSTLDELGCVSSLNQAAKAFNIQLQAFCSKLKSQYPDANVTHVDIYTIKLNLIANSSKYGFKHSIMACCGHGGPPLNFDSRVSCGLTKIVNGTEITAKSCNDSSVYISWDGTHYTEAANEHVASQILTGNYSTGK >Vigun07g149500.3.v1.2 pep primary_assembly:ASM411807v1:7:26005557:26008395:-1 gene:Vigun07g149500.v1.2 transcript:Vigun07g149500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIVAVFQVVTFCICLAAANSVAFSYPAVFNFGDSNSDTGELGAAMGFLVAPPNGEKYFKTPSGRFCDGRLIVDFLMDAMKLPFLNAYMNSVGLPNFQRGCNFAAAGSTILPANAASISPFSFGVQVYQFLRFRALSLQLLQGNKSYQYVPSEDSFEKGLYMFDIGQNDLAGAFYSKTLPQILASIQAILLEFETGIKKLYDSGARNFWIHNTGPLGCLPQTVAQFGTNPSTLDELGCVSSLNQAAKAFNIQLQAFCSKLKSQYPDANVTHVDIYTIKLNLIANSSKYGFKHSIMACCGHGGPPLNFDSRVSCGLTKIVNGTEITAKSCNDSSVYISWDGTHYTEAANEHVASQILTGNYSTGK >Vigun07g149500.4.v1.2 pep primary_assembly:ASM411807v1:7:26005557:26008395:-1 gene:Vigun07g149500.v1.2 transcript:Vigun07g149500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVAPPNGEKYFKTPSGRFCDGRLIVDFLMDAMKLPFLNAYMNSVGLPNFQRGCNFAAAGSTILPANAASISPFSFGVQVYQFLRFRALSLQLLQGNKSYQYVPSEDSFEKGLYMFDIGQNDLAGAFYSKTLPQILASIQAILLEFETGIKKLYDSGARNFWIHNTGPLGCLPQTVAQFGTNPSTLDELGCVSSLNQAAKAFNIQLQAFCSKLKSQYPDANVTHVDIYTIKLNLIANSSKYGFKHSIMACCGHGGPPLNFDSRVSCGLTKIVNGTEITAKSCNDSSVYISWDGTHYTEAANEHVASQILTGNYSTGK >Vigun11g134600.2.v1.2 pep primary_assembly:ASM411807v1:11:34419993:34423450:-1 gene:Vigun11g134600.v1.2 transcript:Vigun11g134600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKELLPPAKSFSTTNYDHTNDPWFKQRFSSEEQKSAAAAAIKQKPVPPYLKRAGFVPRKIEDFGDGGAFPEIHVAQYPLDMGRDRSSKPGSKILPVTVDAHGNVAYDAIVKQNENARKIVYTQQKDLVPKILKNNEDSDDDDAVSDDETQKQIEETMQETKAALEKIVNVRLSAAQPKNVPKQNSDAKYIKYKPSQQSAAFNSGAKERVIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMLKEKERKEQELRALAQKARSERIGGERIGVVPAPPAAVPVDEDDMRVDYEHEKENPRERDRDRSFVKESREERDERLQREKIREERRKERERERRLEAKDASMGKRSKITRDRDRDISEKVALGMASTKPGTEVMYDERLFNQDKGISSGFATDDQYNVYEHGLFTAQPTLSTLYRPKKNVDDETYGGADEQLEKIMKTDRFKPDKGFAGASERSGPRDGPVEFENEEADPFGLDQFLTEVKKGKKAMEKVGGGGTMRASAGSSMRDGYDGVLLSIHVDTRANGLGAHFNPKILTHGAPEDEICHASDLGNIVANADGFGEASIVDNQIPLSGPNSVVGRALVVNELEDDLGKGVMNLV >Vigun08g180100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35003690:35004109:1 gene:Vigun08g180100.v1.2 transcript:Vigun08g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTLNLSTVVRFEATNFNGLPPSRSSTPSPHLSFSKPCWVVKTESNVRRKRRKKPDPHCVVCEGSGRVDCFQCCGRGRTNQTHLEMLPKGEWPIWCRTCGGSGLDYCSRCLGTGEYRYIMGFQFMNHDDNQSQENKS >Vigun08g013300.1.v1.2 pep primary_assembly:ASM411807v1:8:1141258:1143561:1 gene:Vigun08g013300.v1.2 transcript:Vigun08g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYYLYTYTSSFSLIQGTITLPHSPFFPFSSHLQLPHTVTMQTATRKEMETLELLHMNKGAGETSYAMNSSVQNTIISCAEASRKKAIVQILCTSWPEKMGIAEMGCSSGPNALRVISEIVDGVYATTRLLERPPPELVVHLNDLFANDFNNIFASLPSFYRKQRQEKGTGFGPCFVSAVPGTFYGRLFPAKTLHFVHSSSSLHWLSQVPGGLEDVNGRALNKGKIYISKSSPQCVLDAYSQQFKNDFSSFLASRTHEMVTGGRMVLSFMGRTTMDPTSDHSCYQWELLARSLMTMVSQGLLEEEKVDSFDAPYYAPCMEEVKKVIENEGSFIAEEHDAYEIEWDGGMKLQSDSLSRGERVSRTLRAVLESMLESHFGSHIMDELFRRFGEHVDEHLSNTDTKYINLVVSLVKQ >Vigun08g008400.2.v1.2 pep primary_assembly:ASM411807v1:8:722313:728552:-1 gene:Vigun08g008400.v1.2 transcript:Vigun08g008400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWPWKKKSSDKAVMEKAAAELDSAAGAANQPSYVQISVESYSHLTGLEDQVKAYEEKVQTLEDDIKELNEKLSAANSEINTKETLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLAKLTAEDQASQLDGALKECMRQIRNLKEEHEQKLQEVALTKTKQLDKIKGELEAKIASFEQELLRSASDNAALSRSLQERSNMIINLREEKAHAEAEIELLKGNIESCEREINSLKYEVHVIAKELEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGREYGETRLRKSPVKPPSSHMSPMPGFSLDNAQKFHKDNEFLTERLLAMEEETKMLKEALAKRNSELQASRSMFAKTLSKLQSLEAQVQTSNQQKGSPKSIINESIYSQNASNAPSFISMSEDGNDDVGSCAESWSTAIMSDLSQFPKGKNTEELSISDTTKKLELMDDFLEVEKLARLSNDCGEVSGTSNNIANEAVTDEISEVSTGKDVPSDSQENSDPNPLPSEVSSAEELSAPDPQSDVPSLSLAELQSRILSVFESMAKDADMEKILKDIKHILEDAYGDSVQDSVSAVPHDVTPSDITCDKQGNAEDVALNAEKEVISSQQPPEYVQITTDLEAAMSQIHDFVLLLAKEAMAAHDISSDGDGISQKMQEFSVTFNKVTSNEENLPQFVLDLSSVLTKASDFRFNILGYKGTEAETNSPDCIDKIALPENKLVQDDSSGERYQNGHSHILNPCSDPEIPDDGNLASGYESNATTQKFSMEEFEELRLEKEKAVADLSKCAENLEQTKSRLLETEQHLAEVKSQMASAQRANSLAETQLKCMTESYRSIETRAREFETELIHLRMKTSALENELEDEKKAHEAALAKHKELEEQLQRSESSAADNDIKTKQERDLTAAAEKLAECQETIFLLGKQLKALHPQTEPLGSPYSKIEGFTEREPDSPTLQDQAEMDSATSTFVQTLGGDSPLHFTNSLYSPSDNDSMFPGISSVQNPNQRPTKSSSSSASSTPTPEKNTRGFSRFFSSKGKNGH >Vigun08g008400.1.v1.2 pep primary_assembly:ASM411807v1:8:722313:728552:-1 gene:Vigun08g008400.v1.2 transcript:Vigun08g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWPWKKKSSDKAVMEKAAAELDSAAGAANQKPSYVQISVESYSHLTGLEDQVKAYEEKVQTLEDDIKELNEKLSAANSEINTKETLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLAKLTAEDQASQLDGALKECMRQIRNLKEEHEQKLQEVALTKTKQLDKIKGELEAKIASFEQELLRSASDNAALSRSLQERSNMIINLREEKAHAEAEIELLKGNIESCEREINSLKYEVHVIAKELEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGREYGETRLRKSPVKPPSSHMSPMPGFSLDNAQKFHKDNEFLTERLLAMEEETKMLKEALAKRNSELQASRSMFAKTLSKLQSLEAQVQTSNQQKGSPKSIINESIYSQNASNAPSFISMSEDGNDDVGSCAESWSTAIMSDLSQFPKGKNTEELSISDTTKKLELMDDFLEVEKLARLSNDCGEVSGTSNNIANEAVTDEISEVSTGKDVPSDSQENSDPNPLPSEVSSAEELSAPDPQSDVPSLSLAELQSRILSVFESMAKDADMEKILKDIKHILEDAYGDSVQDSVSAVPHDVTPSDITCDKQGNAEDVALNAEKEVISSQQPPEYVQITTDLEAAMSQIHDFVLLLAKEAMAAHDISSDGDGISQKMQEFSVTFNKVTSNEENLPQFVLDLSSVLTKASDFRFNILGYKGTEAETNSPDCIDKIALPENKLVQDDSSGERYQNGHSHILNPCSDPEIPDDGNLASGYESNATTQKFSMEEFEELRLEKEKAVADLSKCAENLEQTKSRLLETEQHLAEVKSQMASAQRANSLAETQLKCMTESYRSIETRAREFETELIHLRMKTSALENELEDEKKAHEAALAKHKELEEQLQRSESSAADNDIKTKQERDLTAAAEKLAECQETIFLLGKQLKALHPQTEPLGSPYSKIEGFTEREPDSPTLQDQAEMDSATSTFVQTLGGDSPLHFTNSLYSPSDNDSMFPGISSVQNPNQRPTKSSSSSASSTPTPEKNTRGFSRFFSSKGKNGH >Vigun05g128900.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14878722:14879072:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14878233:14881214:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.3.v1.2 pep primary_assembly:ASM411807v1:5:14877618:14881200:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKGE >Vigun05g128900.2.v1.2 pep primary_assembly:ASM411807v1:5:14877618:14881200:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKGE >Vigun05g128900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14877619:14881199:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14877595:14881213:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14877595:14881213:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14878233:14881214:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14877773:14881184:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14877772:14881219:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun05g128900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:14878233:14881199:-1 gene:Vigun05g128900.v1.2 transcript:Vigun05g128900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRKQNQQSTSSAPPIQNNEEDQAASTAMGLKESLQNQYLKVKEHAETYPYVWASYIVVYGGLGFWTAYRWRKLRKTEDRVRTLHERLRKLVEAEESSSSTKVNEKVSADKSSK >Vigun03g439300.1.v1.2 pep primary_assembly:ASM411807v1:3:64271074:64272720:-1 gene:Vigun03g439300.v1.2 transcript:Vigun03g439300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEARQSLLSEHSNEKNGLQRRRPSALEKEINHRGHNDEKKPLNSLAEFHFRPVLFWLAAYLGGGTICFLLTQHHIKGIKTNGFLDAIYFCVVTMTTVGYGDLVPDSDLSKLLACIYVFTGMALVGLILSKAADYIVEKQEIILVKTIFKGENYGPEQVSKEVETNKAKYKLILTASIFLVLMIEGTIFLYFIENLDFVDAFYCVCSTVTTLGYGDKSFSTTFGRAFAVFWILSSTICLAQSFAYIAELYTEKRQRSLAKRVLARKLSLLDLEAADLDGDHVVSSTEFVLYKLKEMGKISQDDILAVLDIFRQLDFDQSGTLTEADLRPNHD >Vigun07g167300.1.v1.2 pep primary_assembly:ASM411807v1:7:28157221:28159221:-1 gene:Vigun07g167300.v1.2 transcript:Vigun07g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKSCVRPSKVIMANFVTVLLLIEVFAYGLRYGADGLNMNYYLMTCPFVEPVVKNTVNRALLQDPTLAAALIRMHFHDCFIQGCDGSVLIDSTKDNTAEKDSPGNLSLRGFEVIDAIKEELEIQCPGVVSCADILAMAARDAVFFAGGPVYDIPKGRKDGRRSKFEDTINLPFPTFNASELIRSFGQRGFSAQEMVALSGAHTLGVASCGTFKNRLSQVDPTLDTEFAKTLARTCSSGDNAKQPFDATSKDFDNVYFNALLRRNGVLTSDQTLYTSPKTRNFVNAYAFNQAMFFFDFQQAMVKMGLLDVKDSYNGEVRQNCRKIN >Vigun09g131200.3.v1.2 pep primary_assembly:ASM411807v1:9:28968843:28974763:-1 gene:Vigun09g131200.v1.2 transcript:Vigun09g131200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKENLLPSPPSQLLSIDEEIWKMAEERAQEILWIIEPNVLSEENRKDVIDYVRKLIGDYYGAHVLAFGSVPLKTYLPDGDIDLTALSREDTEEDLAITVCSILEKEDDPEYQIKDIQHIPAQVQLVKCTVKNIPVDISFNQMIGFYTIKFLDQVDQLVGKNHLFKRSIILIKAWCYYESRILGAHHGLLSTYAIEILVLYIINRFHSSLRGPLEVLYIFLDYYGSFDWDHNYASIWGPKALSSLPEILETPECEQSGFLLQKEFLKNFRDMCSSSIRASETVTPEFPVKLMNILDPLRNDNNVGRCVNYANLHRIRLALSYGARRLKQVLTLPGGNMGSALEKFFFCTLERNGKGERADVDVPVCPFGTGRSEGSVLDGDYASYCFDSQYDQLYPNNSMPVTTVHSNSPSSPSHDDMLAPSTQQNWSEGDFLTSQQNWSMIYQSGSNVYIPAQTLYHPAYTIDEVVKSRGTGTYIPDLNYNSYWDIRANENRPRKIPIVKNNEYPRSPPTVEVHSEINLNADSTPFEFTKEDFPLLPGIPKAKLPKQAQASAPLAKACSKTDKGGNSMLSELSSADLSPLLPCVRKGRSLTQARDSTPLENVHTVTDIGSNSQPFELSKEDFPLLPKVCSETHKDGKTKSFELSKKDFPLLRSSVKTVPSESAKLTKQGKSFPSSTLKNMEFGTFKYPQSLKGQGLPTKSKKEDCGVSLSQKTVMVFPKVASERKEESPQKMD >Vigun09g131200.4.v1.2 pep primary_assembly:ASM411807v1:9:28968843:28974763:-1 gene:Vigun09g131200.v1.2 transcript:Vigun09g131200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKENLLPSPPSQLLSIDEEIWKMAEERAQEILWIIEPNVLSEENRKDVIDYVRKLIGDYYGAHVLAFGSVPLKTYLPDGDIDLTALSREDTEEDLAITVCSILEKEDDPEYQIKDIQHIPAQVQLVKCTVKNIPVDISFNQMIGFYTIKFLDQVDQLVGKNHLFKRSIILIKAWCYYESRILGAHHGLLSTYAIEILVLYIINRFHSSLRGPLEVLYIFLDYYGSFDWDHNYASIWGPKALSSLPEILETPECEQSGFLLQKEFLKNFRDMCSSSIRASETVTPEFPVKLMNILDPLRNDNNVGRCVNYANLHRIRLALSYGARRLKQVLTLPGGNMGSALEKFFFCTLERNGKGERADVDVPVCPFGTGRSEGSVLDGDYASYCFDSQYDQLYPNNSMPVTTVHSNSPSSPSHDDMLAPSTQQNWSEGDFLTSQQNWSMIYQSGSNVYIPAQTLYHPAYTIDEVVKSRGTGTYIPDLNYNSYWDIRANENRPRKIPIVKNNEYPRSPPTVEVHSEINLNADSTPFEFTKEDFPLLPGIPKAKLPKQAQASAPLAKACSKTDKGGNSMLSELSSADLSPLLPCVRKGRSLTQARDSTPLENVHTVTDIGSNSQPFELSKEDFPLLPKVCSETHKDGKTKSFELSKKDFPLLRSSVKTVPSESAKLTKQGKSFPSSTLKNMEFGTFKYPQSLKGQGLPTKSKKEDCGVSLSQKTVMVFPKVASERKEESPQKMD >Vigun09g131200.2.v1.2 pep primary_assembly:ASM411807v1:9:28968843:28974763:-1 gene:Vigun09g131200.v1.2 transcript:Vigun09g131200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKENLLPSPPSQLLSIDEEIWKMAEERAQEILWIIEPNVLSEENRKDVIDYVRKLIGDYYGAHVLAFGSVPLKTYLPDGDIDLTALSREDTEEDLAITVCSILEKEDDPEYQIKDIQHIPAQVQLVKCTVKNIPVDISFNQMIGFYTIKFLDQVDQLVGKNHLFKRSIILIKAWCYYESRILGAHHGLLSTYAIEILVLYIINRFHSSLRGPLEVLYIFLDYYGSFDWDHNYASIWGPKALSSLPEILETPECEQSGFLLQKEFLKNFRDMCSSSIRASETVTPEFPVKLMNILDPLRNDNNVGRCVNYANLHRIRLALSYGARRLKQVLTLPGGNMGSALEKFFFCTLERNGKGERADVDVPVCPFGTGRSEGSVLDGDYASYCFDSQYDQLYPNNSMPVTTVHSNSPSSPSHDDMLAPSTQQNWSEGDFLTSQQNWSMIYQSGSNVYIPAQTLYHPAYTIDEVVKSRGTGTYIPDLNYNSYWDIRANENRPRKIPIVKNNEYPRSPPTVEVHSEINLNADSTPFEFTKEDFPLLPGIPKAKLPKQAQASAPLAKACSKTDKGGNSMLSELSSADLSPLLPCVRKGRSLTQARDSTPLENVHTVTDIGSNSQPFELSKEDFPLLPKVCSETHKDGKTKSFELSKKDFPLLRSSVKTVPSESAKLTKQGKSFPSSTLKNMEFGTFKYPQSLKGQGLPTKSKKEDCGVSLSQKTVMVFPKVASERKEESPQKMD >Vigun10g010500.1.v1.2 pep primary_assembly:ASM411807v1:10:1042648:1047040:1 gene:Vigun10g010500.v1.2 transcript:Vigun10g010500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLFGLVFLSHFNGGLNTPLPPPNFGDRVSVLSIDGGGIRGIIPATVLIYLDNALKAKDPTTSLADYFDVISGTSTGGIMSVMLAAPNASDSNRPLFNPSQVVQFYKRYGPDIFKPRSILDPIQCPKYDGKFLRNIARQILKDLVLDQSLTNLVIPTFDEDKIHPVIFSNYKLKTESYLNAKLSDIALGTSAAPTYFPSHEFQNDGVSFDLADGALAANNPALVAVSEVIQNNGEKEILLLSLGTGIPKAQNKLGGIFDLGCQALWLSLHQDVFNEAMFRTDVTHYYLATIFPGLLPADNYLRIEEYNLDPSMEEMDDASKKNMDNLEKVGKGLLTQRVKRINVKTFLPYELDQTNAQALDKLAEELYAERQLRLKRKSMEKGGRPFIETI >Vigun01g059066.1.v1.2 pep primary_assembly:ASM411807v1:1:12297322:12298011:-1 gene:Vigun01g059066.v1.2 transcript:Vigun01g059066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSCSIASRFLPPRKHSAIPFHLTKCTTLAFPTVLTPRHPPFSLSSNSGTCSATPNSNNNATSNSIKNQEKEKEVEVEVEEELPWIQEKALDLVEFTASVTQAIPGPRVGPTSSRLSKSFLLPKQNAAEWSVKRHLVQVVG >Vigun08g219600.1.v1.2 pep primary_assembly:ASM411807v1:8:37873733:37876265:1 gene:Vigun08g219600.v1.2 transcript:Vigun08g219600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLRHALVFVLIGALWNLQRSEGIRFVIDRDECFSHDVKYEGDTVHVSFVVIKADSPWHYGEEGVDLVVKGPSGEQIQDFRDKTSDKFDFVAHKSGVHKFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFTPLLEQIGKLEEALYNIQFEQHWLEAQTDRQAIVNDAMSRRAVHKAIFESAALIGASAIQVYLLQRLFERKLGTSRV >Vigun05g115350.3.v1.2 pep primary_assembly:ASM411807v1:5:12220162:12231239:-1 gene:Vigun05g115350.v1.2 transcript:Vigun05g115350.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLSSIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHICSRKQDDIDKCLEEWKKKGFNVSGSVCDVKHSHQRQKLMETVSSIFHGKLNIMVNNAAIEITKDISDHTAEDVSTIMAINFESVFHLTQLAHPLLKQSGYGSIVFISSIAGAKAIASLSAYSAAKGETNEVSPLVAFLCLPAASYITGQIFYVDGGFTS >Vigun05g115350.4.v1.2 pep primary_assembly:ASM411807v1:5:12220162:12231250:-1 gene:Vigun05g115350.v1.2 transcript:Vigun05g115350.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLSSIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHICSRKQDDIDKCLEEWKKKGFNVSGSVCDVKHSHQRQKLMETVSSIFHGKLNIMVNNAAIEITKDISDHTAEDVSTIMAINFESVFHLTQLAHPLLKQSGYGSIVFISSIAGAKAIASLSAYSAAKGKNWTERD >Vigun05g115350.1.v1.2 pep primary_assembly:ASM411807v1:5:12220162:12231239:-1 gene:Vigun05g115350.v1.2 transcript:Vigun05g115350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLSSIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHICSRKQDDIDKCLEEWKKKGFNVSGSVCDVKHSHQRQKLMETVSSIFHGKLNIMVNNAAIEITKDISDHTAEDVSTIMAINFESVFHLTQLAHPLLKQSGYGSIVFISSIAGAKAIASLSAYSAAKGAMDIFTKNVVLEWAKDNIRTNAVAPGPIWTSILESFREKIGPKEIDAFVTSTIFSGRVGETNEVSPLVAFLCLPAASYITGQIFYVDGGFTS >Vigun05g115350.2.v1.2 pep primary_assembly:ASM411807v1:5:12228467:12231354:-1 gene:Vigun05g115350.v1.2 transcript:Vigun05g115350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLSSIKDNRWSLHGKTALVTGGTRGIGHAIVEELAEFGAAIHICSRKQDDIDKCLEEWKKKGFNVSGSVCDVKHSHQRQKLMETVSSIFHGKLNIMVNNAAIEITKDISDHTAEDVSTIMAINFESVFHLTQLAHPLLKQSGCGSIVFISSIAGSKALPALSAYSATKGAMDIFTKSVALEWAKDNIRANAVAPGPIWTPLFESFRENLEPKERDAITSTVFLGRVGETREVSPLVAFLCLPVASYITGQIFYADGGLTS >Vigun03g379600.1.v1.2 pep primary_assembly:ASM411807v1:3:58368912:58371133:-1 gene:Vigun03g379600.v1.2 transcript:Vigun03g379600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERGGLKGFRSGWTVPPKPCDSCKLASAALFCRPDSAFLCIACDSKIHCANKLASRHERVWMCEVCEQAPAAVTCKADAAALCITCDSDIHSANPLARRHDRVPVEPFFDSADSIVKASAAASFGFIVPSDDATAASDAFAPDDTDAAAWLIPNPNFGSKLMDAPDIKSKEIFYSEMDPFLDFDYSNSFHNSAGNDSVVPVQTKPSLAPSLINNHHQSESCFDIDFCRTKLSSFNYPSQSLSQSVSSSSLDVGVVPDGNTVSEMSYSFGRNSSESSGIGLSGVSGGQGATQLCGMDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRAEIDSDVERLYSPGAASLMLDSPYGVVPSF >Vigun05g283900.2.v1.2 pep primary_assembly:ASM411807v1:5:47205939:47216284:-1 gene:Vigun05g283900.v1.2 transcript:Vigun05g283900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHHIRSERTMSDSGAMVELERVKREMKMMEAALQGAARQAQAKADDIAKLMNENEQLKSVIEDFKRKSNEAEVESLREEYHQRVATLERKVYALTKERDTLRREQSKKIDAAALLKEKDEIITQVMAEGEELSKKQATQESTIRKLRAQIRDLEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQNEIAAQKEYYTTALAAAKEAEALAEARVNNEARTELESRLREAEERESMLVQALEELRQTLSRKEQQAVFKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNARRAEAWAAVERTLNSRLQEAEAKAATAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRAAESRQEYLAAKEEADTQEGRVRQLEEEIRDIRQKYKLELQEALIHREHLQQEIEKEKAARSELERTARVHSAPSSDQTPITKQTSAFENGNLSRKISSASSLGSLEESHFLQASLDLSDSISDRRIPGEVSMSPYYVKSMTPSSFEAALRQKEGELASYMSRLASLESIRDSLADELVKMTEQCEKLRGEAAVLPGLRSELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMKPSMMDSD >Vigun05g283900.1.v1.2 pep primary_assembly:ASM411807v1:5:47205933:47216284:-1 gene:Vigun05g283900.v1.2 transcript:Vigun05g283900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHHIRSERTMSDSGAMVELERVKREMKMMEAALQGAARQAQAKADDIAKLMNENEQLKSVIEDFKRKSNEAEVESLREEYHQRVATLERKRKSNEAEVESLREEYHQRVATLERKVYALTKERDTLRREQSKKIDAAALLKEKDEIITQVMAEGEELSKKQATQESTIRKLRAQIRDLEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQNEIAAQKEYYTTALAAAKEAEALAEARVNNEARTELESRLREAEERESMLVQALEELRQTLSRKEQQAVFKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNARRAEAWAAVERTLNSRLQEAEAKAATAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRAAESRQEYLAAKEEADTQEGRVRQLEEEIRDIRQKYKLELQEALIHREHLQQEIEKEKAARSELERTARVHSAPSSDQTPITKQTSAFENGNLSRKISSASSLGSLEESHFLQASLDLSDSISDRRIPGEVSMSPYYVKSMTPSSFEAALRQKEGELASYMSRLASLESIRDSLADELVKMTEQCEKLRGEAAVLPGLRSELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMKPSMMDSD >Vigun05g283900.3.v1.2 pep primary_assembly:ASM411807v1:5:47205936:47216284:-1 gene:Vigun05g283900.v1.2 transcript:Vigun05g283900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHHIRSERTMSDSGAMVELERVKREMKMMEAALQGAARQAQAKADDIAKLMNENEQLKSVIEDFKRKSNEAEVESLREEYHQRVATLERKRKSNEAEVESLREEYHQRVATLERKVYALTKERDTLRREQSKKIDAAALLKEKDEIITQVMAEGEELSKKQATQESTIRKLRAQIRDLEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQNEIAAQKEYYTTALAAAKEAEALAEARVNNEARTELESRLREAEERESMLVQALEELRQTLSRKEQQAVFKEDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQISCLRAEQTQLSRTLEKERQRAAESRQEYLAAKEEADTQEGRVRQLEEEIRDIRQKYKLELQEALIHREHLQQEIEKEKAARSELERTARVHSAPSSDQTPITKQTSAFENGNLSRKISSASSLGSLEESHFLQASLDLSDSISDRRIPGEVSMSPYYVKSMTPSSFEAALRQKEGELASYMSRLASLESIRDSLADELVKMTEQCEKLRGEAAVLPGLRSELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMKPSMMDSD >Vigun09g024500.10.v1.2 pep primary_assembly:ASM411807v1:9:1932052:1937005:-1 gene:Vigun09g024500.v1.2 transcript:Vigun09g024500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEISGKKFDRAPSIRQLFPPKNLAESNGLDTCSVQSINHQCNTPETIAHECYGSKPLLLKDAAPSPRNSTSSVPSNALEHTVTVHQQVDRPSTSVISSLQVDHQDHVCSSESPSSSSPVFRDRMPMNLRMYLPLYRAALKGDWEKANEFLNLHPGAENARISKGSETALHISAGARHTKFVEELVKRMRIADLEIQNKDKNTALCFAAASGVTKIAKLMVERNINLPGIRGSEGVTPVYIATLLGQRDMVWYLYSVTDHEILKTEDYFSLLIAAISTDLYDFALHILECRPQLATCHGLNGETALHVLAKKASSFSSGIQLGIWERCMYPWIQVKLTTEYKCQSNNSQSQASSTFRDLLVTGLCRAVKYLVPGFETVQKKKILNSQALKLVQHLWELIESSDEIYGDLIKSPLSRPLFIAAEFGIPEIVIELLHSYPDLLWKVDSQNRSLFHIAIVYRQEKIFNLIYEIGAHKDLITSYRDNNNHNILHLAGKLAPSDKLHVVSGAALQMQRELLWFKEVEKIIQPLLKETKDSEGRTPQMLFTEEHKGLAKEGEKWLKNTASSCMMVSTLITTVMFAAIFTVPGGNNNNNGYPIFMHTTSFKVFALSDAIGLFSSVVSILMFLSILTSRYAQEDFLVSLPKRLSVGIATLFFSIITMLIAFGATFFIVLGHQLAWIFIPTTLVACIPAILFAFLQFPLLVDTISCTYGAGVFAR >Vigun07g020600.1.v1.2 pep primary_assembly:ASM411807v1:7:1740696:1747109:1 gene:Vigun07g020600.v1.2 transcript:Vigun07g020600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRLKPVTAFAAKPSPLVAFVTVIVIGVVGFYSLHFPFRSAASLDASRFDHVFLSSSSNATISSYLRALTVHPHLAGTKPASLTARYVLNHFTGLGFRTTTARYRPLLSYPLRSSLSAHFSDGSSLEFRLGEGEGNGEVVAPYHAYSPSGSAWAAAVFVNYGREEDFRLLAAAGVGVAGCVAVARSGGGVGRGAVVEAAERHGAAAVVVFGDGDTWPRGFERGHVMRGGIGDPLSPGWGGVDGGESLGLDDNEVLRRFPKIPSMPLSSEAAERILASLGGAPLPLDWRGTLKSSKVRNVGPGPTILNFTYQGEMKVATIENVFAIIKGREESDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYAILLRLGWTPRRTIILCSWDAEEFGMIGSTEWVEQNLVNLRSKAVAYLNVDCAVQGPSFFAGSTPQLDDLLLDIIKKVKDPDSDEGTTIYQNWAAGGGKSNIQRLGRVDSDFAPFVQHAGVPSIDMYYGKDYPVYHTAFDSYNWMTEFGDPFFQRHVAVTGIWGLLALRLADDSIIPFNYVSYADQLQVYNDKLSNLLDKQISLHPLTASIQEFASAAKEANEESEKLRLQENSDHFVNMKIRALNDRLMLAEKGFLDVDGLRGRQWFKHLVFGPPRDQESKLDFFPGIADSMSEMFKMSEKERLAAIQHELWRVARAIQRAASALRGDLA >Vigun07g020600.2.v1.2 pep primary_assembly:ASM411807v1:7:1740696:1747109:1 gene:Vigun07g020600.v1.2 transcript:Vigun07g020600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRLKPVTAFAAKPSPLVAFVTVIVIGVVGFYSLHFPFRSAASLDASRFDHVFLSSSSNATISSYLRALTVHPHLAGTKPASLTARYVLNHFTGLGFRTTTARYRPLLSYPLRSSLSAHFSDGSSLEFRLGEGEGNGEVVAPYHAYSPSGSAWAAAVFVNYGREEDFRLLAAAGVGVAGCVAVARSGGGVGRGAVVEAAERHGAAAVVVFGDGDTWPRGFERGHVMRGGIGDPLSPGWGGVDGGESLGLDDNEVLRRFPKIPSMPLSSEAAERILASLGGAPLPLDWRGTLKSSKVRNVGPGPTILNFTYQGEMKVATIENVFAIIKGREESDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYAILLRLGWTPRRTIILCSWDAEEFGMIGSTEWVEQNLVNLRSKAVAYLNVDCAVQGPSFFAGSTPQLDDLLLDIIKKIQRLGRVDSDFAPFVQHAGVPSIDMYYGKDYPVYHTAFDSYNWMTEFGDPFFQRHVAVTGIWGLLALRLADDSIIPFNYVSYADQLQVYNDKLSNLLDKQISLHPLTASIQEFASAAKEANEESEKLRLQENSDHFVNMKIRALNDRLMLAEKGFLDVDGLRGRQWFKHLVFGPPRDQESKLDFFPGIADSMSEMFKMSEKERLAAIQHELWRVARAIQRAASALRGDLA >Vigun02g131500.1.v1.2 pep primary_assembly:ASM411807v1:2:28245233:28252626:-1 gene:Vigun02g131500.v1.2 transcript:Vigun02g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDCVELVRECPAKIESVEAYGSKLLLGCSDGSLRIFAPETESSSDGSKSYALERNLVGFAKKPVLSMAVVESREFLISLSESIAFHKLPSFETIAVITKAKGANIFCWDHRRGFLCFARQKRVCIFRHDGGRGFVEVKEYVVGDAVKSMCWCGENICLGIRREYVILNASNGTLSEVFTSGRLAPPLVVSLPTGELLLGKENIGVFVDQNGKLLPEGRICWSEAPLEVVIQKPYAIALLPRFVEIRSLRAPYSLIQTVVLRNVRHLCQSNDSMILALDNSVHGLFPVPLGAQIVQLTASGNFEEALSLCKLLPPEDSSLRAAKEGSIHIRYAHYLFENGSYEEAVEHFLASQVDITHVLSLYPSIILPTTTIVHDLEKLDIYGDASYLSRASSGVSDDMEPSSTSHMSEYDENAALESKKMNHNMLMALIKYLQKKRYSFIEKATAEGTEEVVLDAVGDNFASYNRLKKSNKGRGSMPVSSGAREMASILDTALLQALLLTGQPSAALELLRGVNYCDLKICEEILRKDNHNVALLELYKHNSLHREALELLHKLLDESKSGQSKITQSFKPEDIVEYLKPLCGTDPILVLEFSMLVLESCPSQTIELFLSGNIPADMVSSYLKKHSPTMQARYLELMLAMNENAVSGNLQNEMVHIYLSEVLDWHAGLCAQQKWDEKDYSPTRKKLLSALETIAGYNPEALLKRLPQDALYEERAILLGKMNQHELALSLYVHKLNAPELALSYCDRVYESMRQPSAKYSSNIYLVLLQIYLNPRRTTAGFEKRITNLLSPQNKTIPKLTSTPSMRSRARGSKKIAAIEGAEDTKVSLSSTDSGRSDGDADEYSEGGSTTIMLDEVLDLLSRRWDRINGAQALKLLPKETKLQDLLSFLGPLLKKSSEMYRNCSVIKSLRQSENLQVKDELYSQRKTVVKITGDSMCSLCHKKIGTSVFAVYPNGSTLVHFVCFRDSQNMKVGGKGSQLKKRLETAGYTN >Vigun11g190400.1.v1.2 pep primary_assembly:ASM411807v1:11:38982107:38994543:-1 gene:Vigun11g190400.v1.2 transcript:Vigun11g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFVKLDDSPMFQKQLFSLEETTDELKDRCQKLYNGCQKFMTALGEAYNGEITFAESLEVFGGGQDDPVSVSIGGPVITKFITTLRELASFKELLRSQVEHVLIDRLTEFMNIDLQDTKDSRRRYDKAVQSYDQSREKFVSLKKNTPQDIVTELEEDLQNSKSTFEKSRFNLVNSLMNIEAKKKYEFLESISAIMDAHLRYFKLGYDLLSQMEPYIHQVLTYAQQSKELANIEQDKLAKRIQEYRTQAELENIRASSNFTETMSGSEGIHVVGLNSYRSLDSGMLSSSKGELQTVKQGYLLKRSSSSRGDWKRRFFVLDNQRNLYYYRVKGVKPTGSQSYNYARSYEQTSGMFGRFRLKHNRAASLNDDILGCYTIDLCTSTIKMDAEDTDLRLCFRIISPSKTLTLQAENEADRMDWVNKITGAITLLFNSQFLQEPPYGAANSQSKNLASGASLSYHSEDDQESLRNDLSSKEVGSVSKILRGIPGNDKCAECSAPEPEWASLNLGILLCIECSGVHRNLGVHISKVRSITLDVRVWENTILELFDNLGNAYCNSIWEGLLPDHERVGELRVPMKPCSTDAFQIKEKYIQAKYVEKALIIREEDIPSVSVRIWQAVQTVNVREVYRLIVTSASNMINTKYGDEVHHAAEAEGQQHDPDPEACLMVEETIETERCFRGWSLLHLACHTDSTLMVELLLQFGADVNMSDYHGRTPLHHCITTGKNQLAKFLLRRGARPSIIDAGGLTILERAMEMGAITDEELFIMLAES >VigunL077300.4.v1.2 pep primary_assembly:ASM411807v1:NBOW01000541.1:67487:73565:-1 gene:VigunL077300.v1.2 transcript:VigunL077300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDSKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPASADDSPGDASTHLQSIKRNGSYTDETDCGISRKGLKQLNDLFMSGDHAKSSEGRVRRGLNFLDPDSRTQVLSESERMTKAETEILALKKALSNLESEKEAGLLQYQQSLERLSNLESEMSRARENSQGLNERANIAEAEVQTLKEALDELEAEREVSLLQYQQCLEKIYSLENHISSAQKDVGELNERASRAETKAGSLNQDLARVEAEKEAALAQYKQSLELLSKLEEKLLEIAKLTAEKQDAAHSYQQCLEIISSLEHKLSCAQEELHSSEQKCFLLETSNQTLQSELQSFAQKLGSQGEELSEKQKELGRLWTCIQEERLRFIEAEAAFQTLQNLHSQSQEELRSLATKLHGKAEILENMESHKQALEDEAHKDEIINLREIIKKLELEVGLQVDERNALQQEIYHLKEELNDVKKIHESMMEDVRSTDLDPQCFASSVKNLQDENSKLKERCETYKDEKADLKEKVETMENLLEKNAVLERSLSDLTVELENARGRVKVLEQTCESFLGEQATLAAEKATLFSQLQTTAKQLEKLSEKNNLLENSLCDVNAELEGLRIKSKILEDSCMLLDHEKSSLNSDKETLVSQFNITHQTLKDLGKQHSELELKHSELKAERESALLKLEELLVSLYAEREEHSRIVQLHECHLAEKELQIFVLQEDADYQTKEYEEEMDRSVHAQMEIFILQRCIQDLGQKNFSLLVECQGLLEASKLSDRLISKLENDNTQKQVDVNSLSEKIKILRIGLLQVLKALDVNSEPWCENMIEKDQELLNHVHGKIQETQSSFVTIFNESQQVAIENSVLVTFLGQLKLEAENLLTERNSLDKELRTQSTQFLTMQAEVQKIMEKNQELKLTIRKGEEKMEVMATEIDNLCKQLLDLKEDHQNIKEESCKTFEEKNSLMRRFMDLGEEKSKMEDEICIMIHETITQSNLSLVYQNIVFEKLLALKELSNNLDRLCSVNTNIEEKLKILMNKIEDVQMENSDLRESFAASSIELKLVQSVNDQLNSQIRNGKQLLSQKENEFLEAAEMLSTLHDEKTELQRLVEVLKSKYDEARVILEEQASQILKLSSDKDRQNEELGCLGEVNQKLEAEMKHLRQELGEIKVREEKLSHELLKGTNEIKQWETQAATLFTRLQISAVNETLFEEKVRELAHACEDLERRRNFKDMESEMLKERVCKLEGENGKLRVQLAAYVPAASALNDCITSLEMQTLGHAKPHEDKVSKVKGLAFHKYNEGGQQTGEHQNAAAIDALPDFQDMQKRINVIETAVKQMNESFKTKDEMREIQVLKSGFSWRQGNIQASKYATEMHDTKGHRGGPSDELKSKRSVSDVPVAEIEVLPKDIMLDQTSECSYGIGRRGTLETDDQMLELWETANKDGVIGLTVGKAQKTAIVPTGYQQKRATKELRNKYPSVESLIEKELSVDKLEISRRLTLPHSHEEGNRRKILERLYSDAQKLTNLEITVQDLMSKLEITETTKGKGIELDTVKGQLETTQEAITKLFDANDKLKKNVEEGTSSFAAKYTAESNESGSARRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDEKEGKGKTMIDERNSKVLLRDYLYDGTRRNYQKKKKKAPFCACMQPPTKGD >Vigun03g260600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42728626:42732548:-1 gene:Vigun03g260600.v1.2 transcript:Vigun03g260600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGGAKDVGITNPTNKTLTPYSLLPFTTMTKFLILLLLCARFLSCAHCASVTEIQALTSFKLNLHDPLGALNGWDPSTPLAPCDWRGVSCKNDRVTELRLPRLQLSGQLGDRISDLRMLRRLSLRSNSFNGTIPQSLSKCTLLRALFLQYNDLSGQLPPEIGNLAALQILNVAGNNLSGEIPAELPLHLKYVDLSANAFSGEIPTTIAVLSELQLINLSYNKFSGQIPARIGELQNLQYLWLDHNVLGGTLPSSLANCSSLVHLSVEGNALAGVLPAAIAALPNLQVLALAQNNFTGAVPASVFCNVSLKAPSLRIVQLGFNRFTDFAWPQAATCFSVLEVLDIQQNGVRGMFPLWLTNVTTLSVLDISGNALSGEVPPEVGNLWKLVELKIANNSFSGEIPPELVKCRSLRFVDFEGNRFSGEVPSFIGNLTALKVLSLGVNHFSGSVPVSFGGLVSLETLSLRGNRLNGSMPEEVMGLKNLTVLDLSGNQFSGHVSGEIGNLSKLMVLNLSGNGFYGEIPSTLGNLFRLTTLDLSKQNLSGKLPYEISGLPSLQIIALQENKLSGVIPEGFSSLTSLKYVNLSSNEFSGHVPKSYGFLRSLAVLSLLNNRITGMIPPEIGNCPDIEILELRSNYFRGPIPADLSGLVHLKVLDLGKNNLTGALPEDISKCSELTVVLADHNQLSGAIPGSLAKLSHLTVLDLSANKLSGEIPSNLNTIPGLVFFNVSGNNLEGEIPAMLGSKFNDSSVFASNQNLCGKPLDRKCEATDNSERNRSIVLIIIIAVGACLLALCCCFYISSLLRWRRRIKAAVSGEKKKSPRTSSGTSQGRSSTDTNGPRLVMFNAKITLAETVEATRQFDEENVLSRTRHGLVFKACYNDGMVLSIRKLPDGLLLDENMFRKEAESLGKIRHRNLTVLRGYYAGPSDVRLLVYDYMPNGNLATLLQEASHLDGHVLNWPMRHLIALGIARGIAFLHQSSLIHGDIKPQSVLFDADFEAHLSDFGLDKLTVTNPVEASTSSTASVGTLGYVSPEAVLTGEPTKECDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQNGQITELLEPGLFELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMADIVFMLEGCRVGPDIASSAEPTTQPSPV >Vigun03g260600.2.v1.2 pep primary_assembly:ASM411807v1:3:42728626:42732548:-1 gene:Vigun03g260600.v1.2 transcript:Vigun03g260600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGGAKDVGITNPTNKTLTPYSLLPFTTMTKFLILLLLCARFLSCAHCASVTEIQALTSFKLNLHDPLGALNGWDPSTPLAPCDWRGVSCKNDRVTELRLPRLQLSGQLGDRISDLRMLRRLSLRSNSFNGTIPQSLSKCTLLRALFLQYNDLSGQLPPEIGNLAALQILNVAGNNLSGEIPAELPLHLKYVDLSANAFSGEIPTTIAVLSELQLINLSYNKFSGQIPARIGELQNLQYLWLDHNVLGGTLPSSLANCSSLVHLSVEGNALAGVLPAAIAALPNLQVLALAQNNFTGAVPASVFCNVSLKAPSLRIVQLGFNRFTDFAWPQAATCFSVLEVLDIQQNGVRGMFPLWLTNVTTLSVLDISGNALSGEVPPEVGNLWKLVELKIANNSFSGEIPPELVKCRSLRFVDFEGNRFSGEVPSFIGNLTALKVLSLGVNHFSGSVPVSFGGLVSLETLSLRGNRLNGSMPEEVMGLKNLTVLDLSGNQFSGHVSGEIGNLSKLMVLNLSGNGFYGEIPSTLGNLFRLTTLDLSKQNLSGKLPYEISGLPSLQIIALQENKLSGVIPEGFSSLTSLKYVNLSSNEFSGHVPKSYGFLRSLAVLSLLNNRITGMIPPEIGNCPDIEILELRSNYFRGPIPADLSGLVHLKVLDLDHNQLSGAIPGSLAKLSHLTVLDLSANKLSGEIPSNLNTIPGLVFFNVSGNNLEGEIPAMLGSKFNDSSVFASNQNLCGKPLDRKCEATDNSERNRSIVLIIIIAVGACLLALCCCFYISSLLRWRRRIKAAVSGEKKKSPRTSSGTSQGRSSTDTNGPRLVMFNAKITLAETVEATRQFDEENVLSRTRHGLVFKACYNDGMVLSIRKLPDGLLLDENMFRKEAESLGKIRHRNLTVLRGYYAGPSDVRLLVYDYMPNGNLATLLQEASHLDGHVLNWPMRHLIALGIARGIAFLHQSSLIHGDIKPQSVLFDADFEAHLSDFGLDKLTVTNPVEASTSSTASVGTLGYVSPEAVLTGEPTKECDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQNGQITELLEPGLFELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMADIVFMLEGCRVGPDIASSAEPTTQPSPV >Vigun08g041100.1.v1.2 pep primary_assembly:ASM411807v1:8:4156828:4161029:1 gene:Vigun08g041100.v1.2 transcript:Vigun08g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGHLGRHGSDSGDGTEAGPSTPATEPRRFPLAAQPEMMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGTYGLPPTPARRALFIVYQTAIPYMAERISSRIASRGIVLADSESAEGFGENAQRSPGSQISGTGPLSSSGQSVTTLSRLKGKLSAFWLHLVQRWPTMLPFVREFLQLALRANLMLFYFEGLYYHISKRAAGIRYVFIGKASNQRPRYQILGVFLLIQLCIIAAEGLRRRNFTSITGSVHQASFASHDRSAGHGLPVLNEEGNLATPDIDKGSWVSDSSSSEHHAVSGVSKCTLCLSNRQYPTATSCGHVFCWNCITEWCNEKPECPLCRTPITHSSLVCVYHSDF >Vigun02g012600.1.v1.2 pep primary_assembly:ASM411807v1:2:4796518:4799032:1 gene:Vigun02g012600.v1.2 transcript:Vigun02g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDQKFAIGIDLGTTYSCVAVWLEQHSRVEIIHNQQGNKTTPSFVAFTDKHRFIGDAAKNQTVTNPENTVFDAKRLIGRKFSDPIIQKEKMLWPFKVVAGVNDKPMIVVKYKGQEKSLCAEEISSMVLMQMREVAEAYLETPVKNVVVTVPAYFNDSQRKATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKTNCVGERNVFIFDLGGGTFDVSLLTIKDKVFQVKATAGNTHLGGEDFDNEMVNYFVKEFNSKNKVDISGDPRALRRLRTACEKAKRILSYDITTDIELDALFKGFDFCFSISRAKFEGINMELFEQCMETVERCFTYAKMDKSIVDDVVLVGGSSRIPKVQELLRDFFDGKDLCKSINPDEAIAYGAAVQAALLNEGMKNVPKLELLDVTSLSLGEVTKSDIMSVVIPRNTTIPVSKTKEFVTTRDNQSEVLIGVYEGERARASDNNLLGSFVLSGVPPAPRGHPVYVCFVIDSNGMLSVSAEEKTTGNKNEIIITNDKERLSPEEIMRMIQEAEKFQAEDKKFLRKSKAINSLDMYVYKMNNALEKKDIISKLGLLTREMINLEIKMGTKLLDGKNEHDEAYVYEDYLKELESFYENTIGKIG >Vigun02g176500.1.v1.2 pep primary_assembly:ASM411807v1:2:31842060:31847536:1 gene:Vigun02g176500.v1.2 transcript:Vigun02g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRRLTRVATSDDEDEAPRPQPTRKRMRLLEEDNDDDENEEEEKDEVPEPPPPLEDAKPIGEPVRVSGKGRGRKRHYDSFEFDGIQYTLEDPVLLVPEDKGQKPYVAIIKDITQSISGNVKVTGQWFYRPEEAEKKGGGNWQSCDTRELFYSFHRDDVPAESVMHKCVVHFVPRHKQLPKRKDHPGFIVQKVYDTVERKLWRLSDKDYEDIKQQEIDVLVQKTLERIGELLDIEPKEEAPDYDEDQIKHRRSLNRKSLSPPNASKEEEETPRSEQHPKSETPRSEQHPKSETPVSCVTNNASEYYGILVEFNALTGDSHRDKWLERLLQRIQYVCDCNASKERDKRLGNGNSDEINGGSKHISSESLNDCQDKFQKNSKSFIWPDAAVSAIVSLEKASHDALSSDFMKYNQKLRQLAFNLQKNAVLACRLLSGELEPSKILNMTPNELKEGLVADKRTKEKSDETQHLQMTDGRCPKCMECKVGLREIIHAGNNERYQLECVSCGHSWYASRDEVSMPIVDSSDSKRNTGTAP >Vigun03g270200.1.v1.2 pep primary_assembly:ASM411807v1:3:44365834:44371394:-1 gene:Vigun03g270200.v1.2 transcript:Vigun03g270200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLAFIATAISTRFRVPLPLPQIQYRFNCSSPSHPSSNKLFVGGLSWSVDEKSLKDAFSSFGDVTEVRIVYDKDSGRSRGFGFVIFSNEDDAKYAKEAMDGKALLGRPLRISIALEKARGVPVVVPRLSDIGHLNRR >Vigun03g434600.1.v1.2 pep primary_assembly:ASM411807v1:3:63864434:63869587:1 gene:Vigun03g434600.v1.2 transcript:Vigun03g434600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTLPFHLRLCSSSSSSSSLSSSSSSSLSFPPLIHRLPNTAAPTTQICLKRNAKPSLISCSAQSQPQPLQEQTVESGGDVVREKSVSVVLLAGGQGKRMGAVVPKQYLPLFGQPIALFSFHTFFHMFEVKEVIVVCDPSYRDVFEDAKGNYEAELKFALPGKERQDSVYNGFQAVDPSSELVCVHDSARPLVLSSDVRKVLTDGLLNGAAVLGVPVKATIKEANSESFVVKTLDRKTLWEMQTPQVIKPELLRKGFELVNREGLEVTDDVSIVEHLKHPVFITEGSYTNIKVTTPDDMLLAERILNIDAEESIVLPIHL >Vigun10g176100.1.v1.2 pep primary_assembly:ASM411807v1:10:39461702:39474533:1 gene:Vigun10g176100.v1.2 transcript:Vigun10g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPMSGVIGMNSSMDDMTLIQQSQRHHLVVRELGEEIDLEIGPGEDDPSFGNGALIGAPMRESSAEEHGESKQMGMVSQIRNDSQDMSKNQQVKRKKKVVKRWREEWADTYKWAYVDVKEGTPRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIYVKVAMSKTAGSILEATLKRDPHEVEFIQAVQEAVQALERVIAKNSRYVNIMERLLEPERVIVFRVSWVDDRGVTHVNRGFRVQYNQSMGPCRGGIRFHPSMNLSVAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMSEMYRYLGPDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRPEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPISVSDSRGYLFDEDGFDYMKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFACASQNEINQSDAINLVNSGCRVLVEGSNMPCTPEAVHILKKASVLIAPAMAAGAGGVVAGELELNHECSLMHWSPEDFESKLQDAMKQTYQRAIKAATDFGYQKESPEALVHGAVISAFLTIAQAMTDQGSV >Vigun09g252400.1.v1.2 pep primary_assembly:ASM411807v1:9:41937640:41943645:1 gene:Vigun09g252400.v1.2 transcript:Vigun09g252400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWPWKKKSSEKAVIEKAATALDSSDASNNQDNKKPNYVQISVESYSHLSGLEDQVKTYEEKVQALEDEIKEINEKLSAANSEINTKESMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRATHLDGALKECMRQIRNLKEEHELKIQEVALSKTKQLDKIKGELEAKITNFEQELLRSAAENGALSRSLQERSNMLIKLSEDKARAEAEIELLKGNIEACERENNSLKYELHVVSKELEIRNEEKNMSLRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDFGESRLRKSPVKPASPNLSPLPDFSLENVQKFQKDNEFLTERLLAMEEETKMLKEALAKRNSELQASRSMCAKTLSKLQSLEAQSHESIYNQNASSAPSLVSMSEDGNDDAVSCAESWSTAIVPGHSQFPKEKCTEESSKSEVSNKLELMDDFLEVEKLARLSNDSNADATVSVSSNNKTTDNDVSEVSIGKEGPSEKIGNSNPLPNKVSSDALMSAPDPQSDASGLMLAELRSRILLVFESLSKDADIGKIVEDIKHVLDDSLDITIRHSVDAHPSDATCDRKDDPEDAGLNLEKDIISSQQLREHVRVTSDLEAAISQIHEFVLLLGKEAMTFHDITCDGNEMRQKIEDFSVTFDKTLSNNASLLQFVLDLSYVLDKASEFRFNVLGYKGTEVESNSPDCIDKIALPENKLAQDNSSGERYQTGCSHILSSSSNPEVPDDGNLVSGFRVDAASQKLSMQKFEELKLEKEKIVTDLSNCTETLEITKSQLLETEQHLAEVKSQLASAKNSNSLAETQLKCMAESYKSLETRAQDMETEMNRLQIKIESLENELQDERKAHEAALTRSKDLEEQLQRIEYSSADDDHKTSHDRDLTAAAEKLAECQETILLLGKQLNALRPQTEPIDSSYSKVNPKDEGFTEDEPTTNGPKFQELGQMEMDHATSAFVQRLSSESPLHFSNSLFSPSDSESTLPARSPVQHSKSKPKHRPTKSASSSVSSATTPEKHARGFSRFFSPKGKSGH >Vigun09g252400.2.v1.2 pep primary_assembly:ASM411807v1:9:41937640:41943645:1 gene:Vigun09g252400.v1.2 transcript:Vigun09g252400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRWPWKKKSSEKAVIEKAATALDSSDASNNQDNKKPNYVQISVESYSHLSGLEDQVKTYEEKVQALEDEIKEINEKLSAANSEINTKESMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRATHLDGALKECMRQIRNLKEEHELKIQEVALSKTKQLDKIKGELEAKITNFEQELLRSAAENGALSRSLQERSNMLIKLSEDKARAEAEIELLKGNIEACERENNSLKYELHVVSKELEIRNEEKNMSLRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDFGESRLRKSPVKPASPNLSPLPDFSLENVQKFQKDNEFLTERLLAMEEETKMLKEALAKRNSELQASRSMCAKTLSKLQSLEAQSHESIYNQNASSAPSLVSMSEDGNDDAVSCAESWSTAIVPGHSQFPKEKCTEESSKSEVSNKLELMDDFLEVEKLARLSNDSNADATVSVSSNNKTTDNDVSEVSIGKEGPSEKIGNSNPLPNKVSSDALMSAPDPQSDASGLMLAELRSRILLVFESLSKDADIGKIVEDIKHVLDDSLDITIRHSVDAHPSDATCDRKDDPEDAGLNLEKDIISSQQLREHVRVTSDLEAAISQIHEFVLLLGKEAMTFHDITCDGNEMRQKIEDFSVTFDKTLSNNASLLQFVLDLSYVLDKASEFRFNVLGYKGTEVESNSPDCIDKIALPENKLAQDNSSGERYQTGCSHILSSSSNPEVPDDGNLVSGFRVDAASQKLSMQKFEELKLEKEKIVTDLSNCTETLEITKSQLLETEQHLAEVKSQLASAKNSNSLAETQLKCMAESYKSLETRAQDMETEMNRLQIKIESLENELQDERKAHEAALTRSKDLEEQLQRIEYSSADDDHKTSHDRDLTAAAEKLAECQETILLLGKQLNALRPQTEPIDSSYSKVNPKDEGFTEDEPTTNGPKFQELGQMEMDHATSAFVQRLSSESPLHFSNSLFSPSDSESTLPARSPVQHSKSKPKHRPTKSASSSVSSATTPEKHARGFSRFFSPKGKSGH >VigunL040900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:386044:392919:-1 gene:VigunL040900.v1.2 transcript:VigunL040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun07g212400.1.v1.2 pep primary_assembly:ASM411807v1:7:33365958:33369495:1 gene:Vigun07g212400.v1.2 transcript:Vigun07g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADPEHREEEEAPAAGDDEDTGANIAPIVKLEEVAVTTGEEDEDPILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKATGKVRLLMRQSKTLKICANHLILPTMSVQEHAGNEKSCVWHARDYADGELKDELFCIRFPSIENCKSFMETFQEVAESQKAEDEKESSAAAGLIEKLSVEEKAEKKEGEEKSDDKTKKEAGEESKAVEDKKAEEPASSA >Vigun06g050200.1.v1.2 pep primary_assembly:ASM411807v1:6:17566186:17566766:1 gene:Vigun06g050200.v1.2 transcript:Vigun06g050200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTIKLFTTILFFVFFSQGYSKCSLKDIHVSQAITGLTVKGKPEMNVTIANWCSCAQSNLKLDCRGFQSTKAINPSILNVSGNVCLVNGGKPLLKAAVEFSYAWDTSFSFDPISSSISCN >Vigun03g234200.3.v1.2 pep primary_assembly:ASM411807v1:3:38980416:38990482:-1 gene:Vigun03g234200.v1.2 transcript:Vigun03g234200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMYGMPSTAEYSEKALMTPESIFPAEYHGFLMSSGSRIPMFGSDELLSAAVAITAGSGAEPYPNASVAPEIQRHHDTSSLIKAKIASHPHYPRLLQAYIDCQKVGAPPEIACLLEEIRRENDVCKRDVVYTCVGADPELDEFMETYCDMLVKYKSDLTRPFDEATTFLNKIETQLMDLCTGSSLPTLSDDGGVSSEEGFSAGDGDFQDEQVHNEDRELKDKLLRKFGSHIGSLKLEFSKKKKRGKLPKDARQMLLQWWNIHYKWPYPTEGDKIALAKSTGLDQKQINNWFINQRKRHWKPSENMPFSMVDGLTGRFLTDE >Vigun03g234200.2.v1.2 pep primary_assembly:ASM411807v1:3:38980416:39001748:-1 gene:Vigun03g234200.v1.2 transcript:Vigun03g234200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMYGMPSTAEYSEKALMTPESIFPAEYHGFLMSSGSRIPMFGSDELLSAAVAITAGSGAEPYPNASVAPEIQRHHDTSSLIKAKIASHPHYPRLLQAYIDCQKVGAPPEIACLLEEIRRENDVCKRDVVYTCVGADPELDEFMETYCDMLVKYKSDLTRPFDEATTFLNKIETQLMDLCTGSSLPTLSDDGGVSSEEGFSAGDGDFQDEQVHNEDRELKDKLLRKFGSHIGSLKLEFSKKKKRGKLPKDARQMLLQWWNIHYKWPYPTEGDKIALAKSTGLDQKQINNWFINQRKRHWKPSENMPFSMVDGLTGRFLTDE >Vigun03g234200.1.v1.2 pep primary_assembly:ASM411807v1:3:38980416:38990482:-1 gene:Vigun03g234200.v1.2 transcript:Vigun03g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMYGMPSTAEYSEKALMTPESIFPAEYHGFLMSSGSRIPMFGSDELLSAAVAITAGSGAEPYPNASVAPEIQRHHDTSSLIKAKIASHPHYPRLLQAYIDCQKVGAPPEIACLLEEIRRENDVCKRDVVYTCVGADPELDEFMETYCDMLVKYKSDLTRPFDEATTFLNKIETQLMDLCTGSSLPTLSDDGGVSSEEGFSAGDGDFQDEQVHNEDRELKDKLLRKFGSHIGSLKLEFSKKKKRGKLPKDARQMLLQWWNIHYKWPYPTEGDKIALAKSTGLDQKQINNWFINQRKRHWKPSENMPFSMVDGLTGRFLTDE >Vigun04g087700.4.v1.2 pep primary_assembly:ASM411807v1:4:15185994:15187262:1 gene:Vigun04g087700.v1.2 transcript:Vigun04g087700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSILQLLFQYLNRFFSNLSPTIAKNSLSLSLSGFFLLPVKTPFNIHSLFSSTPHSCFCEKAINNLCQESLNEVVDMLKSLLTEDREHS >Vigun04g087700.5.v1.2 pep primary_assembly:ASM411807v1:4:15185994:15187262:1 gene:Vigun04g087700.v1.2 transcript:Vigun04g087700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSILQLLFQYLNRFFSNLSPTIAKNSLSLSLSGFFLLPVKTPFNIHSLFSSTPHSCFCEKAINNLCQGRRLSFLLQSMAA >Vigun04g087700.3.v1.2 pep primary_assembly:ASM411807v1:4:15185949:15187604:1 gene:Vigun04g087700.v1.2 transcript:Vigun04g087700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSILQLLFQYLNRFFSNLSPTIAKNSLSLSLSGFFLLPVKTPFNIHSLFSSTPHSCFCEKAINNLCQESLNEVVDMLKSLLTEDREHS >Vigun04g087700.6.v1.2 pep primary_assembly:ASM411807v1:4:15185994:15186437:1 gene:Vigun04g087700.v1.2 transcript:Vigun04g087700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSILQLLFQYLNRFFSNLSPTIAKNSLSLSLSGFFLLPVKTPFNIHSLFSSTPHSCFCEKAINNLCQESLNEVVDMLKSLLTEDREHS >Vigun02g033533.1.v1.2 pep primary_assembly:ASM411807v1:2:14136609:14139889:-1 gene:Vigun02g033533.v1.2 transcript:Vigun02g033533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKCIGALNEKLTVPQKEYIASTPFWWFPMLKQSLKINRNVLSQLCIKWVERRGGFDVGGEVVDFSLLDVCLGLGLRVVGEKIDLNEEVLESETWNTFGRQRVDVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLSSKKGCVFPVIFKIVDDMENIGKYNWGTLVYEYLVFSLCSASLALQNEPSRFEFYVVGCAYLLECKMNLFPRLLYWMNVTVGDKVMKTAFDYDMAIVDVAVSKEELDHAIVREAFEQFGTEYKTQDLKDKEEVEHLLEDHEAGIVDLEQSMSALDDLVGNWKGQQPKDEVRDEVGDDVFNDRRDDVMSDEKDDGAQQSNMYDRMKARPRMRFKSVATKTPYSVYGKNKTTSGRIVVVVVDSFPLDSIPSTIVVVAGVSSHGDRLPPRFSNTTTSPSRLPPTISVSLGRSADFLTLSSPFQRLDHAVSAFPSSCSRCRRLSSDFLTLFPPLLRLPHAVSTSSDFLSPCLTNTLQVSVLSYFLSPCLI >Vigun05g268100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45992877:45994748:-1 gene:Vigun05g268100.v1.2 transcript:Vigun05g268100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIMLRFFLLYNLLHPFLLSLVPKKVRAILSPSWFRSSTTTTPPTPTPTPTPSSSSSSAFTRISMSMDPTELKRVFQMFDRNGDGRITQKELSDSLDNLGIFIPDKELAVMIERIDVNGDGCVDIDEFGELYQTIMDERDEDDDMREAFNVFDQNGDGFITVEELRTVLSSLGLKQGRTVEDCKKMIMKVDVDGDGMVDYKEFKQMMKGGGFSALT >Vigun11g196900.6.v1.2 pep primary_assembly:ASM411807v1:11:39610742:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKVMFSSEKGEAGQNM >Vigun11g196900.10.v1.2 pep primary_assembly:ASM411807v1:11:39610732:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39610732:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.4.v1.2 pep primary_assembly:ASM411807v1:11:39610742:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKVMFSSEKGEAGQNM >Vigun11g196900.8.v1.2 pep primary_assembly:ASM411807v1:11:39610732:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.9.v1.2 pep primary_assembly:ASM411807v1:11:39610732:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39610650:39615210:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39610650:39615210:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun11g196900.5.v1.2 pep primary_assembly:ASM411807v1:11:39610742:39614842:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKVMFSSEKGEAGQNM >Vigun11g196900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39610650:39615210:1 gene:Vigun11g196900.v1.2 transcript:Vigun11g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVGAALPAAATTTPCLHRLFSASKLDDESDGRFVSLLCDIVRGKQSWKVALNDASISSALRPHHVEQVLINTLDDSKLALRFFNFLGLHKNMNHSTASFAILVHALVHSRLFWPANSLLHTLLLRGSHPNYVFSHFLHSYKLCKLPSTLGFDLLVQSYVLSSRVFDAVVVVKLMLANALLPEVRTLSSLLNGLLRVRKFITVCELFDESVNAGVRPDPYTCSAVVRSLCELKDFVRAKEKILWMESNGFDLSIVTYNVLIHGLCKGDRVWEAVEVKRSLRAKGLKADVVTYCTLVLGFCRVQQFEAGVQLMDDMVQLGLAPSEAAVSGLVDGLRKQGKIDDAYELVVKLGRSGFVPNLFVYNALINSLSKGGDLDKAELLYNKMSLMTLHPNGITYSILIDSFCRRGRLDVARSYFDRMIEDGIRETVYAYNSLINGQCKFGDLSAAESLFAEMNHKGVEPTATTFTSLISGYCKNLQLLKAFELYNEMIDKRITPNIYTFTALISGLCSTNKMAEASKLFYELAERKIKPTEVTYNVLIEGYCRDNNIDKAFELFEDMLQKGLVPDTYTYRPLISGLCSTGRVSEARDFIDVLHKQKVKLNEMCYSALLHGYCQEGRLMEALSASCEMIERGINMDLVCHAVLIDGALKQPDRKTFFDLLKNMHDQGLRPDNVIYTSMIDAYSKEGSLKKAFECWDLMVTEECFPNVVTYTALMNGLCKAGEMDRAGILFKKMQAANVPPNSITYGCFLDNLTKEGYMKEAIGLHNAMLKGLLANTVTYNIIIRGFCRLGRFHEATEVLSEMSENGIFPDCVTYSTLIYEYCRNGNVGSAVKLWDTMLKKGLKPDLVAYNSLIYGCCVNGELDKAFELRDDMLRRGMKPRQNLRELQKGEYNSPS >Vigun03g362400.1.v1.2 pep primary_assembly:ASM411807v1:3:56582843:56594716:-1 gene:Vigun03g362400.v1.2 transcript:Vigun03g362400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLRPDYSCFISHIHRAYSHTPFIRTTSFPRFHLHPSPSSYSLRLRIVSSAQQNAKETHRVAKAKALTELQVEEVEKKHENLSGTWPPWKNLPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSTTAGLVQSSFFWGYALSQLPGGWLAKIFGGRTVLEVGVLIWSVATALVPFLAGFMPSLLLSRVLVGIGEGVSPSAATDLIARLLLAPPLIQNLGWESVFYLFGLLGIAWFLGFQVLEGGEKQLNPKSLSSPDTLTNSWKTSLTELNGSLKDVPWKAFFRNRALWAMIYAHFCGSWGHYNCLSWLPTFFSEELNLNLTEAAWVSILPPLASIFVTGLAAQLADNLISRGVETTVVRKICQSIAFLSPAICMTLSSLDLGLPPWEIVGILTAGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIVGVALTGYLLDLTHSWSISLFAPSIFFYVTGTMVWLVFASSKPQSFSEHN >Vigun03g032900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2540628:2540774:1 gene:Vigun03g032900.v1.2 transcript:Vigun03g032900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTSTEEKQDKFQAPKGRILKEKRAKLYIIRRCIVMLLCWKENREQ >Vigun01g019100.2.v1.2 pep primary_assembly:ASM411807v1:1:2039480:2040020:-1 gene:Vigun01g019100.v1.2 transcript:Vigun01g019100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSLRSVIVKVLNILYMIIHFVYKARLKMMFYTKMDSSRKYSLTLAFLLAFFIISSDMMMKSEAELSEITDARCSKDSDCKKYCPKCVSCNCMKNVCFCENPPLPDDTPPF >Vigun02g203200.1.v1.2 pep primary_assembly:ASM411807v1:2:33700037:33701144:1 gene:Vigun02g203200.v1.2 transcript:Vigun02g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALRAYAEVLRLVRLLPKDTRAYYAKYARENFVNYRETDPSDVSYLFQRTYDHSLWVLHKYSIDESVADKLKGICCS >Vigun07g145300.1.v1.2 pep primary_assembly:ASM411807v1:7:25602054:25605056:-1 gene:Vigun07g145300.v1.2 transcript:Vigun07g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTPPQALDSEEPASESAATAAQTPDSHAATLLHLSFNQDSGCFAAATDRGFRIYNCDPFREIFRRDFGPGGGVGLVHMLFRCNILAFVGGGADPRYPPNKVMIWDDHQSRCIGELSFRSEVKGVRLRRDRIVVVLAHKIFVYNFSDLKVLHQIETIANPKGLCDLSHVSATMVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFSLTHDGRLLATASSKGTLIRLFNTLDGSLLQEVRRGADRAEIYSLAFSPTAQWLAVSSDKGTVHVFNLKVDSGLMGHDRSNSTSEANPANPTAVSSLSFIKGVLPKYFSSEWSVAQFRLQEGLQYIVAFGHQKNTIVILGMDGSFYRCQFDSASGGEMTQLEYYNFLKAEDTF >Vigun05g220533.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41187423:41187824:1 gene:Vigun05g220533.v1.2 transcript:Vigun05g220533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMDNLINHHEALKVLEECQVAPPPSLPSTTLPLTFFDLPWLCCKNPVQRIFFYEFPHPTHHFLQTTLPILKHSLSLSLQHFFPFVSNLIVPTQPHLSHIRYLRGDSLPFIVAESTADFTLLTSHYTYQPLL >Vigun11g055800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:10542372:10543091:-1 gene:Vigun11g055800.v1.2 transcript:Vigun11g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVIFQSDPTLRQSQNNYAVMKANVDCMHLIQVGMTLSDRHGNLPTFGTSNRFIWEFNFCKFDVMCHPHAPHSIALLRRQGMNFHKNRNFGVNILRFAELMMLSGLLCNNHIHWITFHGAYDFGYMVKVLSHCFLYTQPLLPPNLEDFLQLVKFFFGHTICDVKHLIKFCPNLYGGLDKVSETLGFDNSARKSHHAGSDSLVILHVFNEIKRLYFHAQNDLEKHTGVVYGLEML >Vigun02g110950.1.v1.2 pep primary_assembly:ASM411807v1:2:26474560:26479357:-1 gene:Vigun02g110950.v1.2 transcript:Vigun02g110950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEPLSLSNEVIEFDMIGLGYDATIDMEHPVEDGEDLVNIDYSPAAANSVLAAGVGPHIFGGDTNLEPCQGMDFESEEAAKAFYNSYARRVGFSTRVSMSRRSRRDGSIIQRSFVCAKEGFRVEKEKHLVDGRVKRPRAETRVGCKAMLVVKIQDSGRWVVSSFVREHNHDLVPPDKVHCLRSHRHVAGPAKSLIDTLQSAGIGPSGIMSALIKEYGGISNIGFTERDCRNYMRSSRQRTLGGDTQILLDYLKSKQAENPSFFYDVQGDDDHCMSNILWVDPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGINHHGQPVLFGCALLINESEASFVWLFKTWLEAMSGRPPVSITTDHDRVICAAINHVFPGTRHRFCKWHIFKECQEKLSHVLSEHHNFEADLHKCINLTESIEEFESCWTCLTDRYDLQKHEWLQSIYNDRRQWVPVYLRDTFFAEMSITQRSDNINSYFDGYINASTTLQLFVKQYEKALESRFEKEVKADYDTINTTPILKTPSPMEKQAAGVYTRRLFIKFQEELVETLTFLANKVDEEEMITVYRVAKYGEMHRAYFVNFNSFEMKATCSCQMFEFCGLVCRHILTVFRVTNLLTLPSHYILKRWTRIAKSGVILDERTSNLSNGAHESLTIRFNNLRHQALKYVDEGVTSSEVYDVAISALREAANKVESATKNDGRQVIVNGTCEEYLHQSNGVTIRRSDPPFGSQQSSYKDEQDRTIEKLTRQLDRARRKCEVYRSNLLSILKDIEEQKLQLSVKVQNIKLGMKE >Vigun05g224000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41643423:41643848:1 gene:Vigun05g224000.v1.2 transcript:Vigun05g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFIVTVLVVLGLVLGLGILRRHHKAANECSDGSCSHPLPGPNFNSPTPPPFFQYPPPPPIIPGTNPTLPPPSDPNPSPPPPPPMLQSLPPPPEETAPPAPPNPPSVAGPPINTPTPGSALVALAPVHVFV >Vigun06g187100.2.v1.2 pep primary_assembly:ASM411807v1:6:30608021:30610985:-1 gene:Vigun06g187100.v1.2 transcript:Vigun06g187100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDAITFSGADDILKPQSSRVVGIDVGEAELQPLPLPTTVSELHKSDRREIYIAAASGNWSEASSYFKIHPHWWRIPLNDGGITALHVAVSMRKTSFVEKVVKCMKMEDLESCKAEGNTALCLAAITGNVEIAEILLRKNPMLLWIRDQNHMLPIQLASSAGHIQMTEFLFQAPGEDLHDTIPFEDIVNLFFFALNNNIYSVASKLLERYPKLVAKENNGGFIPLQMLAQFSLFKETIGYEDIVSSLFKGMEEENESLNNAQLSKAMFDAAKSGNIVILEFLFKYYPYLLFEVDSREQRNLLHIAILFRQESVYRLILNQGDSKNVMMQLVDFEGNNVLHLAGKMAQPEERFGLSTKHVFMRSEEIWFQEVEKIVPPAMKAMRNKYGVTPKELFYWSHKELHKESVCAVKGLANTLIVVATLVITMGISIAVTIPVKDVDSTTTPIFGKKTCYFPFRSGTKR >Vigun06g187100.5.v1.2 pep primary_assembly:ASM411807v1:6:30608020:30610986:-1 gene:Vigun06g187100.v1.2 transcript:Vigun06g187100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDAITFSGADDILKPQSSRVVGIDVGEAELQPLPLPTTVSELHKSDRREIYIAAASGNWSEASSYFKIHPHWWRIPLNDGGITALHVAVSMRKTSFVEKVVKCMKMEDLESCKAEGNTALCLAAITGNVEIAEILLRKNPMLLWIRDQNHMLPIQLASSAGHIQMTEFLFQAPGEDLHDTIPFEDIVNLFFFALNNNIYSVASKLLERYPKLVAKENNGGFIPLQMLAQFSLFKETIGYEDIVSSLFKGMEEENESLNNAQLSKAMFDAAKSGNIVILEFLFKYYPYLLFEVDSREQRNLLHIAILFRQESVYRLILNQGDSKNVMMQLVDFEGNNVLHLAGKMAQPEERFGLSTKHVFMRSEEIWFQEVEKIVPPAMKAMRNKYGVTPKELFYWSHKELHKESVCAVKGLANTLIVVATLVITMGISIAVTIPVKDVDSTTTPIFGKKT >Vigun06g187100.3.v1.2 pep primary_assembly:ASM411807v1:6:30608032:30610974:-1 gene:Vigun06g187100.v1.2 transcript:Vigun06g187100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDAITFSGADDILKPQSFVVNACTGSRVVGIDVGEAELQPLPLPTTVSELHKSDRREIYIAAASGNWSEASSYFKIHPHWWRIPLNDGGITALHVAVSMRKTSFVEKVVKCMKMEDLESCKAEGNTALCLAAITGNVEIAEILLRKNPMLLWIRDQNHMLPIQLASSAGHIQMTEFLFQAPGEDLHDTIPFEDIVNLFFFALNNNIYSVASKLLERYPKLVAKENNGGFIPLQMLAQFSLFKETIGYEDIVSSLFKGMEEENESLNNAQLSKAMFDAAKSGNIVILEFLFKYYPYLLFEVDSREQRNLLHIAILFRQESVYRLILNQGDSKNVMMQLVDFEGNNVLHLAGKMAQPEERFGLSTKHVFMRSEEIWFQEVEKIVPPAMKAMRNKYGVTPKELFYWSHKELHKESVCAVKGLANTLIVVATLVITMGISIAVTIPVKDVDSTTTPIFGKKTCYFPFRSGTKR >Vigun04g049000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4265863:4267875:1 gene:Vigun04g049000.v1.2 transcript:Vigun04g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPTFFFSHHSKLSPISRPHTTPHNITFLFFPFLSLTSAEPTLSHTHYSFTLSLTLFTSHTFHSLTCHFHTLSHIMSYLNSNFLFFQTNQRARRKKRNKPINTRKPFSETHITPLTLKNPPSTPFIFFLARILCIHCQPTKTAVQNDFIV >VigunL058100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000440.1:73075:74202:1 gene:VigunL058100.v1.2 transcript:VigunL058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSRCLHFNETISLIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQIPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIYTLSCLSDKTFERKLKLFFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSVLIHQPRILMYSMEDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun02g103200.1.v1.2 pep primary_assembly:ASM411807v1:2:25783777:25787886:-1 gene:Vigun02g103200.v1.2 transcript:Vigun02g103200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENGIEVEEEYWVEVKMVVEVEVKKENRVEVEEEDKPEETAFTSGNETDNLALLKFKDSITDDPHGIFLSWNTSTHFCNWYGVTCNSMFQRVTHLNLQGYNLKGFISPHVANLSFMTDFNIANNSFHGKIPQELGLLSQLQKLSLLNNSLVGEIPTNLTGCAHLTTLLLNGNNLNGKIPVQIASLPKLQLLALYSNQFTGGIPSFLGNISSLIRLSVASNNLEGNVPQEICRLKSLTLLSFSENKLSGTIPLCVYNMSSLTVISATENQFNGSFPPYMFNTLSNLQVFYFNLNQISGSIPPSITNASNLSIFEIGGNSLTGGVPSMGKLQDLYYFVVDKNFLGESSSNDLVFLESLTNCTKLNVLDIAYNNFGSHLPNSLGNLSTQLTQLYFGGNQISGEIPAALGNLIGLSILALEYNFVSGKIPTTFGKFQKMQMLNLGSNKLSGDIKSWIGNLSQLFHLEIGENMLQGNIPPSVGNCQKLQYLDISNNNLSGIIPIEIFQLSSLTNVLDLSENSLSGSIPKEVANLKNLNSLYISDNHLSGVIPESFGECIMLEQIYLQGNSLQGSIPSSLASLKGLEQLDLSRNQLSGSIPKILQTISFLEYLNVSFNMLNGEVPTEGVFRNASGVVISGNSGLCGGISKLHLPSCPVKGKKLVKHKFKLMIVSVIVSVVVIFLMLLILTIYWMRKKTKKASLDSPTINLLAKVSYQSLYNATDGFSVTNLIGCGISSSVYRGTLELEDKVVAIKVLNLKQKGSQKSFLVECNALRSIRHRNLVQILTCCSGTDYKGQEFKALIFEYMRNGSLEQWLHPMSLNEEHPRLLSLDQRLNIIIDVATALNYLHHDCEPTIIHCDLKPSNVLLDDDMIAHVTDFGIARFLSDTEDSTSLKTSTIGIKGTIGYAPPEYGMSSEVSTLGDMYSFGILVLEILTGKRPTDKMFEDGLNLHNFVSISFPSNLLQILDPRLIQTYEATTLDGNNWNLNQNVEKCIVSLFMIGLACSEEPPKERMNVVDLTKELSRIKRVFSAGE >Vigun05g113500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11993842:11994462:1 gene:Vigun05g113500.v1.2 transcript:Vigun05g113500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCFGRRNKRDDKEYKSSEGLKGSNKFFTNMVILTATASVGTHGSSGHHGTGGEGGGCGGGCGGGGGGCGGGGC >Vigun08g118400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28595821:28597223:-1 gene:Vigun08g118400.v1.2 transcript:Vigun08g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGYINEKTGLSLRQNSSRKSTRNGQVKSCMFRIPWQSETSPVELVKDLAERVTNALRLMSSRRSLHRGSSSLTRSKSAGSSVDSHRTAAVEDCIEFIHSSFSRSNSSTTAPRQDSIQAP >Vigun08g128200.1.v1.2 pep primary_assembly:ASM411807v1:8:29844360:29847575:-1 gene:Vigun08g128200.v1.2 transcript:Vigun08g128200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSQKTLKNTDTNNTVKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQSKKGRQVYLGAYDDEEAAARAYDLAALKYWGQDTILNFPLTNYEEKLKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAAAYDMAAIEYRGANAVTNFDVSRYINWPGPKTEEEHQNVPINENVNSNADDIELGFVSHELGSITDENTTEHVAQSSESNPSRRTFPEDIQTIFENQGPDIYTENDDIIFGDLGSFGEPIFHYELDV >Vigun05g064400.1.v1.2 pep primary_assembly:ASM411807v1:5:5564177:5570467:-1 gene:Vigun05g064400.v1.2 transcript:Vigun05g064400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGLVSNTNFTSFIGPGNLYHLGKDLSPQRRDISCAFVARYGGQIAKDHFSRCWLGMRFHARESLNEKVSKLIPTFEDEVQRCSSISQRPIHCMNMKLNASRRCQCYLSSDLCSCSLIQQRAVYGLSFNKLGKANPACVHYKSEDYDITESEVNPLASAEGTGEAILFEGNVPQVSSWWQKFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSQEFKWNSATVGLIQSSFFWGYLLTQILGGIWADKLGGKLVLGFGVVWWSMATILTPIAARIGLPCLLIMRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVVGLAFSPLLIQKFGWPSVFYSFGSLGSIWFTLWLRKAYSSPKDDPDVGVEEKRLILEGNVSNAPVSSIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVRKGLSITTVRKIMQSIGFLGPAFFLSQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGLILQRGSWNDVFKVAVALYIIGTLVWNIFSTGEKVLD >Vigun03g045000.1.v1.2 pep primary_assembly:ASM411807v1:3:3615430:3622968:1 gene:Vigun03g045000.v1.2 transcript:Vigun03g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRTTATYSHSHLPRRHFDRETRASVSNTFSCSTWDSAKKPSPTQRIVTRGGRVIVAASPPTEDAVVATDPLTKQDLVDYLASGCKPREKWRIGTEHEKFGFEFGSLRPMKYEQIAELLNGIAERFDWDKIMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWEIKDIPVMPKGRYDIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFKEGKPNGFVSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKHRYIDCTGKTFRDFLAGRLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPALWVGLLYDDASLQSVLDLTADWTPEERQMLRNKVPITGLKTPFRDGLLKHVAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAERLLDLYHGKWEQSVDHVFEELLY >Vigun08g047800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5190740:5192750:1 gene:Vigun08g047800.v1.2 transcript:Vigun08g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQDPLPHPPSLPPPPPSQLSFAYSQLKEMDNSKHTQTTMNTKAPTCVDVDAHGHVFANQNLGQHGQQHIRKQVRRRLTNRPPEERLMNMADARKEIVNALKYHRATMKVASEHQQQLSFEHPFYSRFSPNGRFKARRRPKMYLPPSTKISHYLNDLSFSSSFPPLPPPLLLHSPPLSLLHAPPPPPPPLLLPKFYPHTITSPFGLPLPKPEPSNFTLPSQTLGFNLNLHSFNSSEPTPLLNNNTFDPSLLLDNNILDLSLFLDNNSLDPTLLLDNNILEATPVFNNNILESTPMLNNNILDVIPVPNNNILDVTHVLSNINILDGTPVLNNNNTSEPTFMLGNNGSSLCSYSTPILTFPPFLTDQEVPSIGISQSQREAVSTTMNSIESNIANQASGSMHTTMDEEGMEEIRALGQQHQMEWDDTKSPVTSVWWHDCLQQMENNADEVNNGDDSFQWIFDDELEFPVWEN >Vigun02g045200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18117835:18119435:1 gene:Vigun02g045200.v1.2 transcript:Vigun02g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASPVARLVAAAAAFTVAATDVASSEFEQRSVVALSGEPNGGGSREKEKEQRRRLQASPVRASGDDDVAAVSRFIFPGKWRRRRCRSISRERGVKGDGVAGEASGGGRWWRLRRTRVQAWGCVGGVEKKRCHGEGKKLGFC >Vigun01g094900.1.v1.2 pep primary_assembly:ASM411807v1:1:25895744:25903053:1 gene:Vigun01g094900.v1.2 transcript:Vigun01g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQSPENQILLGVAVAVVAVGIGAVFLFSSKKRKGCLDPENFKQFKLVKRAQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDAQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDFLAVRGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPKDKTKVQLIYANVTYEDILLKEELDGLSSNYPDQFKVYYVLNQPPEVWDGGVGFVSKEMIQAHCPAPAHDVKILRCGPPPMNKAMAAHLDALEYAPEMQFQF >Vigun06g002000.1.v1.2 pep primary_assembly:ASM411807v1:6:1059859:1062078:1 gene:Vigun06g002000.v1.2 transcript:Vigun06g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKANSSSAAKPSDSEKLVESDEKVDFEEENDPEEAMEEEIEYEEVDEEVEVEEIEEEMEEEEEDPEEVEVEEEEEEEEEEEEIEEVNEEDAMQNHSSDEAKVEDEDEKKKHAELLALPPHGSEVYIGGIPHDDSNEDLKSFCERIGEVAEVRVMKGKHSSENKGFGFVTFRSVDLASKAIKELNNTEFKGVYYYFYQIFRNMAEAAALEKKKMGVSDGSVGMLSSLLTVALSGCVNVLLTNPI >Vigun08g123800.1.v1.2 pep primary_assembly:ASM411807v1:8:29388173:29392075:1 gene:Vigun08g123800.v1.2 transcript:Vigun08g123800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTCTTSLPLFPVSTIPYRIPIMSGNYDQDGGGYGRHDGGGYGGRGGGGFGGRGGDRGGRGGGRGGGSGRDGDWRCPNSSCGNLNFARRVECNKCGAPSPAGANDRGGGGGYNRGGYGNSRGGRSGNYDGGRGNGYNGSRGNNSVGRSGGGHRGSQGREDGGYGQVPAPAAQSYGGAGGNYPPAYNSSGGSSNYETDAVPPPASYTGGPASYPPPYGSNTGGYGGGDAHNGGRSGQPVGYDSGYGAGSQGGFGGAPAEPPAKVKQCDENCGDSCDNSRIYISNLPPDVTIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDGCLVYEDPSAAHSAGGFYNNYDLRGYKIAVTMAEKSAPKAPPAYNHGGNRGGYGGDRRRDNYRDAGGSGPDRRDNYGGNRSRPY >Vigun02g181200.1.v1.2 pep primary_assembly:ASM411807v1:2:32195034:32200209:1 gene:Vigun02g181200.v1.2 transcript:Vigun02g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKEADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELGEYRLRKRKEFEDLIRRVRWNIGVWIKYAQWEESQKDFKRARSVWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQVFERWMKWTPDQQGWLSYIKFELRYNEIERARGIFERFVECHPRVGAWIRYAKFEMKNGEVGKARTVYERAVDKLSDDEEAELLFVAFAEFEERCKETERARAIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVKKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDAERTRDVYKECLNLIPHNKFSFAKIWLLASQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETERARGIFELAIAQPALDMPELLWKAFIDFETAEGEFERARALYERLLDRTKHLKVWISYAEFEATAMDVDNVDLTEHEQKKQCIERARRVFEKALNYFRSSAPDLKEERAMLLEKWLNMETTFGELGDVSLVQSKLPKKLKKRRHVATEDGSTRIEEFFDFLFPEESQTTNLKILEAAYKWKKQKLSSDD >Vigun03g331600.3.v1.2 pep primary_assembly:ASM411807v1:3:52920113:52928364:1 gene:Vigun03g331600.v1.2 transcript:Vigun03g331600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSTEEQASLVWQFICSVPIMLLEEILPWMVSFLSASKQSEVTQCLNEIAPMEKALQEVLVSWLRSNKQTITETCFQSGEFQGVEGFLHIERSLELSSNRKTEETSNLMEDNGREIEDGDGANQVNVLHFWHNAIKKDLKEILKELYLLRKSSCFQNLDSVLIQLKFFADVLIFYSNAQKKFFHPVLSKHAYGWLSKSIEQFLSESNIEDIQQLLFYNSESGIPLTKFLEKLCRKLESFVSGVNKQFAFQENEVFPIFRKNCRNGMQERLLSLSLHMMPLGLLKCVITWFSVRLSEKESRSILYCIKKGNNSVCKAFSSLLHEWFRIGYSGKTSIEKFRLDLQHMFKRRCFISPEQIKEAHGFSFLNSEKQLYEVSDQNSLPCSSSSGSSNVNKYEIPYSIGINLHIFFPATVGKLYQYPALHAAERSSISFLEDPKPIDLIFFFHKAIKKDLEFLVLGSAQLEKNDKLLMDFQKRFHLIYFLHQIHSDAEDEIVFPALEARGKLKNISHAYTFDHKHEVEHFNKISHILDKMSRLHLSVSTTDSNIKEMGLMRYQHLCRKLQEMCKSMHTSLSNHINREEIEIWPIIRKFFTNQEQGKILGCMLGRIKAEILQDMIPWLMASLTQEEQHVSMFLWSMATKNTMFGEWLGEWWDGYSLAKVTEGSNDARPQPVEPMEIISKYLSEEILNKLQEESSANKSINFLEKDRIGDNVRLSNCNHNDKVKVHNAEEKDNQCLKRTNQVYDHHKHACNEVADFINPVVNEGKSSKLCDESGRYDRLLKLSQDDLETVIRRVSRDSCLDPQKKSYIIQNLLMSRWIISQQISSTEVSIKNDEPEFPGKHPSYRDPLKLIYGCKHYKRNCKLFSPCCNQLHTCIHCHNDESDHSIDRKSITKMMCMKCLTIQPISATCSTISCNLSMAKYYCRICKLFDDEREIYHCPYCNLCRVGKGLGVDYFHCMNCNACMARSLMAHTCREKHLEDNCPICHEYIFTSCSPVKALPCGHVMHSTCFQEYTSFNYICPICSKSLGDMQVYFRMLDALLAEESISDEMSGQTQVILCNDCEKKGSSPFHWLYHKCPSCGSYNTRVL >Vigun03g331600.1.v1.2 pep primary_assembly:ASM411807v1:3:52917777:52928409:1 gene:Vigun03g331600.v1.2 transcript:Vigun03g331600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDPSLSDKEEENDEEDVADILLRIPIVDAPILVFVCFHKAFRSELDHLRLLAETASLENEPRRCRQLILQLQRRFQFLKLAHKYHCAAEDEVIFLALDAHVKNVVCTYSLEHNSTSDLFGSVFHFLEELMVPKENISKLFQELVYCIGILQTYIHKHMLKEEKQVFPLLMEKLSTEEQASLVWQFICSVPIMLLEEILPWMVSFLSASKQSEVTQCLNEIAPMEKALQEVLVSWLRSNKQTITETCFQSGEFQGVEGFLHIERSLELSSNRKTEETSNLMEDNGREIEDGDGANQVNVLHFWHNAIKKDLKEILKELYLLRKSSCFQNLDSVLIQLKFFADVLIFYSNAQKKFFHPVLSKHAYGWLSKSIEQFLSESNIEDIQQLLFYNSESGIPLTKFLEKLCRKLESFVSGVNKQFAFQENEVFPIFRKNCRNGMQERLLSLSLHMMPLGLLKCVITWFSVRLSEKESRSILYCIKKGNNSVCKAFSSLLHEWFRIGYSGKTSIEKFRLDLQHMFKRRCFISPEQIKEAHGFSFLNSEKQLYEVSDQNSLPCSSSSGSSNVNKYEIPYSIGINLHIFFPATVGKLYQYPALHAAERSSISFLEDPKPIDLIFFFHKAIKKDLEFLVLGSAQLEKNDKLLMDFQKRFHLIYFLHQIHSDAEDEIVFPALEARGKLKNISHAYTFDHKHEVEHFNKISHILDKMSRLHLSVSTTDSNIKEMGLMRYQHLCRKLQEMCKSMHTSLSNHINREEIEIWPIIRKFFTNQEQGKILGCMLGRIKAEILQDMIPWLMASLTQEEQHVSMFLWSMATKNTMFGEWLGEWWDGYSLAKVTEGSNDARPQPVEPMEIISKYLSEEILNKLQEESSANKSINFLEKDRIGDNVRLSNCNHNDKVKVHNAEEKDNQCLKRTNQVYDHHKHACNEVADFINPVVNEGKSSKLCDESGRYDRLLKLSQDDLETVIRRVSRDSCLDPQKKSYIIQNLLMSRWIISQQISSTEVSIKNDEPEFPGKHPSYRDPLKLIYGCKHYKRNCKLFSPCCNQLHTCIHCHNDESDHSIDRKSITKMMCMKCLTIQPISATCSTISCNLSMAKYYCRICKLFDDEREIYHCPYCNLCRVGKGLGVDYFHCMNCNACMARSLMAHTCREKHLEDNCPICHEYIFTSCSPVKALPCGHVMHSTCFQEYTSFNYICPICSKSLGDMQVYFRMLDALLAEESISDEMSGQTQVILCNDCEKKGSSPFHWLYHKCPSCGSYNTRVL >Vigun03g331600.2.v1.2 pep primary_assembly:ASM411807v1:3:52917777:52928364:1 gene:Vigun03g331600.v1.2 transcript:Vigun03g331600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDPSLSDKEEENDEEDVADILLRIPIVDAPILVFVCFHKAFRSELDHLRLLAETASLENEPRRCRQLILQLQRRFQFLKLAHKYHCAAEDEVIFLALDAHVKNVVCTYSLEHNSTSDLFGSVFHFLEELMVPKENISKLFQELVYCIGILQTYIHKHMLKEEKQVFPLLMEKLSTEEQASLVWQFICSVPIMLLEEILPWMVSFLSASKQSEVTQCLNEIAPMEKALQEVLVSWLRSNKQTITETCFQSGEFQGVEGFLHIERSLELSSNRKTEETSNLMEDNGREIEDGDGANQVNVLHFWHNAIKKDLKEILKELYLLRKSSCFQNLDSVLIQLKFFADVLIFYSNAQKKFFHPVLSKHAYGWLSKSIEQFLSESNIEDIQQLLFYNSESGIPLTKFLEKLCRKLESFVSGVNKQFAFQENEVFPIFRKNCRNGMQERLLSLSLHMMPLGLLKCVITWFSVRLSEKESRSILYCIKKGNNSVCKAFSSLLHEWFRIGYSGKTSIEKFRLDLQHMFKRRCFISPEQIKEAHGFSFLNSEKQLYEVSDQNSLPCSSSSGSSNVNKYEIPYSIGINLHIFFPATVGKLYQYPALHAAERSSISFLEDPKPIDLIFFFHKAIKKDLEFLVLGSAQLEKNDKLLMDFQKRFHLIYFLHQIHSDAEDEIVFPALEARGKLKNISHAYTFDHKHEVEHFNKISHILDKMSRLHLSVSTTDSNIKEMGLMRYQHLCRKLQEMCKSMHTSLSNHINREEIEIWPIIRKFFTNQEQGKILGCMLGRIKAEILQDMIPWLMASLTQEEQHVSMFLWSMATKNTMFGEWLGEWWDGYSLAKVTEGSNDARPQPVEPMEIISKYLSEEILNKLQEESSANKSINFLEKDRIGDNVRLSNCNHNDKVKVHNAEEKDNQCLKRTNQVYDHHKHACNEVADFINPVVNEGKSSKLCDESGRYDRLLKLSQDDLETVIRRVSRDSCLDPQKKSYIIQNLLMSRWIISQQISSTEVSIKNDEPEFPGKHPSYRDPLKLIYGCKHYKRNCKLFSPCCNQLHTCIHCHNDESDHSIDRKSITKMMCMKCLTIQPISATCSTISCNLSMAKYYCRICKLFDDEREIYHCPYCNLCRVGKGLGVDYFHCMNCNACMARSLMAHTCREKHLEDNCPICHEYIFTSCSPVKALPCGHVMHSTCFQEYTSFNYICPICSKSLGDMQVYFRMLDALLAEESISDEMSGQTQVILCNDCEKKGSSPFHWLYHKCPSCGSYNTRVL >VigunL017266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000193.1:41134:41637:1 gene:VigunL017266.v1.2 transcript:VigunL017266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIDWSSSGTGPSTMGRILPLPTEFILLKCNVKLLMLHFFGLEVPECCLWISNKGKPPLLCHLIKVPCCSPLPEAKDGVKPSFQDLQSDTFPLCYPTKPATSSAKVKRLFFLPRSLFFYICGRNTLYDRRRVTREEGTTSFSLAALLHFTFLIESRKPLHYWYRG >Vigun06g163300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28552283:28552621:-1 gene:Vigun06g163300.v1.2 transcript:Vigun06g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAIGTRGTIGSLVRKEIEYFTKFELDRRGSSQKPQQHFVDMVSGRSYSISRPGFWELLTTWKRRKRRGSSGFLPKFCSVAEVAEGNHLNQIPGYSYRILRNDINSFQL >Vigun03g212850.1.v1.2 pep primary_assembly:ASM411807v1:3:35264459:35268873:-1 gene:Vigun03g212850.v1.2 transcript:Vigun03g212850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTREGNMCSLLQIQKWDWGGFACWFHSINPSLKPRSFDRAYLQMVPPLRTLKKVVQPCKSCRRIDSFL >Vigun04g022000.6.v1.2 pep primary_assembly:ASM411807v1:4:1664887:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.10.v1.2 pep primary_assembly:ASM411807v1:4:1666804:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLHDFQKLVTIVVLSCCFFSSCYVPFYLQQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.7.v1.2 pep primary_assembly:ASM411807v1:4:1664887:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.3.v1.2 pep primary_assembly:ASM411807v1:4:1664887:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDHLLLQNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.4.v1.2 pep primary_assembly:ASM411807v1:4:1664887:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDHLLLQNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.2.v1.2 pep primary_assembly:ASM411807v1:4:1665780:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDHLLLQNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.9.v1.2 pep primary_assembly:ASM411807v1:4:1665780:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.8.v1.2 pep primary_assembly:ASM411807v1:4:1665780:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun04g022000.5.v1.2 pep primary_assembly:ASM411807v1:4:1665780:1673892:1 gene:Vigun04g022000.v1.2 transcript:Vigun04g022000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVMSQQNSPIVMNPPSINHNIEPRDLSNSGSRVNSPQRKVLSGTKLQRVLHTPLMTEPSTTLGSNKFPEVFRVKQGSHADHPSAKISELMKSTSLDHLLLQNAPTQSLLSVVNGILEESVERRNGEIPQRVACLLRKVVQEIERRISTQAEHLKTQNNIFKAREEKYHSRIRVLEALASGTEEEKEIVNINQVQHLKSERVEKEEKKVDELKQTRMTKMQEEKENDVNLIKNTKREEKRECDNKEVIRYIKELKDKTKEISTLKQKLETMKKTYEVQCSEMEQKTKEGNGADGKENNRYINELEDKTMEISTLKQKLETMKKMCEEQHLQLEAKAEEGKMTHDRDVIKVLEEKNMEISTLKQKLEKLETMAITYEVQCSQLKAKVEEKNMTDGTDSIRYIKELEDKNMEISTLKQKLEKLETMSKTYEVQCSQLKAKVEEKNMTEGTDSIRYIKELEDKNMEISTLKKKLETMKKEYEVQCSQLEAKVEEEKREEGKEVSRYIKELEDKEMEISAMRQRLETVTKTYEVQCSQLKEKAEEEKMANGNEVINYIKQLEDKNKEISAYKQELETMKKTYEVQCSQLKAKTEEEKIASGNETIRYIKELEEKNMEISAFKQELETMKKTYEDECSQLKANAEEEKMTNGREIIKYTKELEDKNMEILAFKKELETTKETYEEQCSELKAKAQDEKMANEKEIMKYMKEVEDKSMEISSFKQELETTKKSHEVQCSQWEAKVEAGKEELKQKSQEYENLMEKLRNKIKENETIYESKYQKWVQKENQIRKDVNFQFSSIKTLKLSWESIKQDALKEQKIYSEECKKLGLNLKPLVDAAENYQIVLAENRKLFNEVQELKGNIRVYCRLRPFLPGQKEKQTIVEHIGETELVVANPSKQGKEGLRSFKFNKVFGPTSTQAGVYGDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNNPTPESVGVNYRALNDLFSISTSRKSSIEYEIGVQVIEIYNEQVRDLLTTDATKKFGILNHAQPNGLAVPDATMQPVKTTEDVIKLMDIGLKNRAKGSTAMNERSSRSHSVVSIHVRGMDKKSGSTLFGNLHLVDLAGSERVDRSEVVGDRLKEAQHINKSLSALGDVIFALSQKSAHVPYRNSKLTQLLQTSLGGQAKTLMLVQINSDVKSFSESLSTLKFAERVSGIELGAAKSSKEGRDVRELMEQVASLKDTILVKDEEIEKLQLLKDLKNVYPGANSENVETA >Vigun09g051101.1.v1.2 pep primary_assembly:ASM411807v1:9:5044981:5048657:1 gene:Vigun09g051101.v1.2 transcript:Vigun09g051101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITSLLTPFCAVSPHSLATVTKLQIQITLETIYEEEDDEKETKLETPATPSATTVLPHACNNFFIPTPQPSSLFAWSKLPLRIMYVVVPRWISAPTQRLLPVFRPSLRFSLKQKGSFRSSEPFSPKRALLALARAQQWVLQGSSKFSLRRKCLA >Vigun08g002000.4.v1.2 pep primary_assembly:ASM411807v1:8:231711:236895:1 gene:Vigun08g002000.v1.2 transcript:Vigun08g002000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKQEESMKHLGEEEGEEESVSESVRDLPSDAVKELLSEGVCARCIFRLFGLQGRVYASSPSLSSVSSLSATTHQLCTLCFGILQFTYYSDDTQTLLQNQNLPLLIADTVKRHAYQFHTFSLEVSIPPIILSNDNSLRSYMKTKFGSQSWFQENLHTGCISTKDALKFSLIYPLENLLECKSSMGPFRIRLTYTHTKASKGDQNRSDSAETCKRRKIEIPVGNSLENDSSDGCEFLLEKANEPCHFTFYCYRTPFYFGGRYLKYSRNVSQTCWIIDDERMGEASVEEIIGGKILQACQGDSFKFHAAGREDIDVRMLGPGRPFLVEVQNARHIPSELFVKDVERKINNMENKLVRVKNLKLVGSEGWDLMREGEAEKQKQYAALVWISRPLKDEDFQCISSHKDLKVLQRTPIRVLHRRSPLEREKIIHWMKIEAIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPRAEILQLDVTDIKMECFLT >Vigun08g002000.2.v1.2 pep primary_assembly:ASM411807v1:8:231711:236895:1 gene:Vigun08g002000.v1.2 transcript:Vigun08g002000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKQEESMKHLGEEEGEEESVSESVRDLPSDAVKELLSEGVCARCIFRLFGLQGRVYASSPSLSSVSSLSATTHQLCTLCFGILQFTYYSDDTQTLLQNQNLPLLIADTVKRHAYQFHTFSLEVSIPPIILSNDNSLRSYMKTKFGSQSWFQENLHTGCISTKDALKFSLIYPLENLLECKSSMGPFRIRLTYTHTKASKGDQNRSDSAETCKRRKIEIPVGNSLENDSSDGCEFLLEKANEPCHFTFYCYRTPFYFGGRYLKYSRNVSQTCWIIDDERMGEASVEEIIGGKILQACQGDSFKFHAAGREDIDVRMLGPGRPFLVEVQNARHIPSELFVKDVERKINNMENKLVRVKNLKLVGSEGWDLMREGEAEKQKQYAALVWISRPLKDEDFQCISSHKDLKVLQRTPIRVLHRRSPLEREKIIHWMKIEAIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDIKMECFLT >Vigun08g002000.1.v1.2 pep primary_assembly:ASM411807v1:8:231711:236895:1 gene:Vigun08g002000.v1.2 transcript:Vigun08g002000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKQEESMKHLGEEEGEEESVSESVRDLPSDAVKELLSEGVCARCIFRLFGLQGRVYASSPSLSSVSSLSATTHQLCTLCFGILQFTYYSDDTQTLLQNQNLPLLIADTVKRHAYQFHTFSLEVSIPPIILSNDNSLRSYMKTKFGSQSWFQENLHTGCISTKDALKFSLIYPLENLLECKSSMGPFRIRLTYTHTKASKGDQNRSDSAETCKRRKIGESNVVAEIPVGNSLENDSSDGCEFLLEKANEPCHFTFYCYRTPFYFGGRYLKYSRNVSQTCWIIDDERMGEASVEEIIGGKILQACQGDSFKFHAAGREDIDVRMLGPGRPFLVEVQNARHIPSELFVKDVERKINNMENKLVRVKNLKLVGSEGWDLMREGEAEKQKQYAALVWISRPLKDEDFQCISSHKDLKVLQRTPIRVLHRRSPLEREKIIHWMKIEAIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDIKMECFLT >Vigun08g002000.3.v1.2 pep primary_assembly:ASM411807v1:8:231711:236895:1 gene:Vigun08g002000.v1.2 transcript:Vigun08g002000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKQEESMKHLGEEEGEEESVSESVRDLPSDAVKELLSEGVCARCIFRLFGLQGRVYASSPSLSSVSSLSATTHQLCTLCFGILQFTYYSDDTQTLLQNQNLPLLIADTVKRHAYQFHTFSLEVSIPPIILSNDNSLRSYMKTKFGSQSWFQENLHTGCISTKDALKFSLIYPLENLLECKSSMGPFRIRLTYTHTKASKGDQNRSDSAETCKRRKIGESNVVAEIPVGNSLENDSSDGCEFLLEKANEPCHFTFYCYRTPFYFGGRYLKYSRNVSQTCWIIDDERMGEASVEEIIGGKILQACQGDSFKFHAAGREDIDVRMLGPGRPFLVEVQNARHIPSELFVKDVERKINNMENKLVRVKNLKLVGSEGWDLMREGEAEKQKQYAALVWISRPLKDEDFQCISSHKDLKVLQRTPIRVLHRRSPLEREKIIHWMKIEAIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPRAEILQLDVTDIKMECFLT >Vigun02g067950.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:21791038:21791232:1 gene:Vigun02g067950.v1.2 transcript:Vigun02g067950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSTIYFVVLCCYALFLWYGGYLVRHHYTNRGLAIATMFYVMIGGPLVLFLPLFHVLANFSS >VigunL041000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:393094:395317:1 gene:VigunL041000.v1.2 transcript:VigunL041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGTVDSQVKSNPRNHLIYGQHRCGKGRNARGIITAGHRGGGHKRLYRQIDFRRNEKNIYGRIVTIEYDPNRNASICLIHYGDGEKKYILHPRGAIIGDTIVSGTEVPIKMGNALPLTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKNLGRAGSKCWLGKRPIVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGFPALGRRSRKRKKYSDNLILRRRTK >Vigun11g095400.1.v1.2 pep primary_assembly:ASM411807v1:11:27802239:27804543:1 gene:Vigun11g095400.v1.2 transcript:Vigun11g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENISKKWIPELRHYAPTVPIVLVGTKLDLREDKQYFIDHPGATAITTAQGEELKKAIGAAVYIECSSKTQQNVKSVFDAAIKVVLQPPKSKKRGKKKNTPCVFL >Vigun02g202500.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33666020:33668599:-1 gene:Vigun02g202500.v1.2 transcript:Vigun02g202500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKIFKSKPFNLRTFSSLSLPTIVTPITESELLNSIESSQWHFIKHAAPHYTPSLLSSTLTSLRHKPQVVLQLLSHLNDHPHSLDLTTSSLAACILCCLPSPKPSINLLQSLILCSTVTNKTIFYELELSRDRVDAKTSLIFDLLARAYCELKKPNEVLECFYLMKEKGVEPNIETCNQMLSLFLRLNRTHMAWVLYAEMFTMKIKSSVYTFNIMVNVLCKEGKLKKAKEFIEYMEALGVKPNVVTYNTVIHGHCLRGKFQMARVIFQTMKDKGLEPDCYTYNSFISGLCKERRLEEATGLLCKMLEIGLVPNAVTYNALIDGFCNKGDLDKAFACRDEMISKGVTTTLVTYNLFIHALFMEGRMGEADNMIKEMREKGMKPDAVTYNILINGYCRCGDAKRAFSLLDEMVGKGIQPTLVTYTSLISVLGKRNRMKEADALFNKVQQEGLLVDAVMFNALIDGHCANGNIDSAFQLLKQMDNMKVLPDEITYNTLMQGYCREGKVEEAKQLLDEMKRRGIKPDHISYNTLISGYSKRGDMKDAFRVRDEMLTTGFDPTILTYNALIQGLCKNGEGEHAEELLKEMVSKGITPDDSTYLSIIEAMEKVDDM >Vigun09g044800.1.v1.2 pep primary_assembly:ASM411807v1:9:4207274:4212495:1 gene:Vigun09g044800.v1.2 transcript:Vigun09g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSLKNLLKEEERPGNGTDHNTMGSSVLSLSLNNSGQPLNQMGMLRIKLEEAKKENEILKAMLTQVNEHCTVLQNRILFEMQQHQLSSSNKNNNHQSQGKIQGLEKPVLHTRQFLNIGDCSSVSEGYTENVEKKIFGEGEMNTKIALHEAKCIEDQASEVTCRRAKVSIRARSDFSLMGDGCQWRKYGQKTAKGNPCPRAYYRCSMGTACPVRKQVQRCFKDETVLITTYEGSHNHPLPVAARSFASSTSAALNMFLSGSLTSSGGSTTLPNSPLFSSSLSSISPTAVATFSHSATCPTVTLDLTHPNNNTNNYLHFQRATTTTTSHPLFPLPLHGHPHEGLPLLCSKVPTFLDKNLALVDVVSEAITKDPSLKAALFSAISSLTADPQKNSNNLSQHSKSSSGYDPCSKMPAIPPHQPYTTELI >Vigun04g173900.9.v1.2 pep primary_assembly:ASM411807v1:4:39832848:39842033:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.7.v1.2 pep primary_assembly:ASM411807v1:4:39832848:39842033:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLALILLGFFLGVVVVVAAEAFGLLWLVKRLRRTIDKDQAKIASKTQPNGTRSDSHQQLLKKEGVVWVLEPDKVSKYRAEKHSKELKKKKEVMEVTPVRKYGKINGQSLVITDADGFHTTIQLIGCSVEAVSATSLPSKKWAKKYPIKVDAKTSVIYHGSKTVYIYLETACEKEAWCKALRLASSDKKEKHQWFAQLQDDFHSYLMSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.4.v1.2 pep primary_assembly:ASM411807v1:4:39833014:39841983:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQVPLTLGVRIASLKGTLRLQIKPPPSDQMWFGFTFMPDIDFCLESSVGEHKITNSHIALFMINRLKAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.8.v1.2 pep primary_assembly:ASM411807v1:4:39832775:39842012:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQVPLTLGVRIASLKGTLRLQIKPPPSDQMWFGFTFMPDIDFCLESSVGEHKITNSHIALFMINRLKAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.3.v1.2 pep primary_assembly:ASM411807v1:4:39833014:39841983:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQVPLTLGVRIASLKGTLRLQIKPPPSDQMWFGFTFMPDIDFCLESSVGEHKITNSHIALFMINRLKAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.6.v1.2 pep primary_assembly:ASM411807v1:4:39832775:39842088:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLALILLGFFLGVVVVVAAEAFGLLWLVKRLRRTIDKDQAKIASKTQPNGTRSDSHQQLLKKEGVVWVLEPDKVSKYRAEKHSKELKKKKEVMEVTPVRKYGKINGQSLVITDADGFHTTIQLIGCSVEAVSATSLPSKKWAKKYPIKVDAKTSVIYHGSKTVYIYLETACEKEAWCKALRLASSDKKEKHQWFAQLQDDFHSYLMSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQVPLTLGVRIASLKGTLRLQIKPPPSDQMWFGFTFMPDIDFCLESSVGEHKITNSHIALFMINRLKAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun04g173900.2.v1.2 pep primary_assembly:ASM411807v1:4:39833014:39841983:1 gene:Vigun04g173900.v1.2 transcript:Vigun04g173900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLALILLGFFLGVVVVVAAEAFGLLWLVKRLRRTIDKDQAKIASKTQPNGTRSDSHQQLLKKEGVVWVLEPDKVSKYRAEKHSKELKKKKEVMEVTPVRKYGKINGQSLVITDADGFHTTIQLIGCSVEAVSATSLPSKKWAKKYPIKVDAKTSVIYHGSKTVYIYLETACEKEAWCKALRLASSDKKEKHQWFAQLQDDFHSYLMSLNTEYHSHMKPSVGSSADAVERATKSDGGSSKVRQFLKKLTKKSSRVGVENKSAWTSLSGREERKSTEKLRACQDAVLATSYMKFTSAANHLKVPLLENVAPSSSTLSHSTSQSQFSDVDVDEKLGTDEGTLCWNLLVSRLFFDVKENVLVKKSIQERIQRTLGNMRTPSYVGEVICREINLGNVPPCIIRMRVLPMEMSEACALEVDIEYAGGALLEIETRLEVGELELERETKDSNPESSNDEAVPSDILKGFEYLGEQLNLEEGMNDLQEAKEDDGSKSSKHSTSSSSQGSRWKSMLHSVAKHVSQVPLTLGVRIASLKGTLRLQIKPPPSDQMWFGFTFMPDIDFCLESSVGEHKITNSHIALFMINRLKAAIRDTLVLPNSESICISWMLAEKDDWVPRSVAPFIWIHHESGNEASASVETHNQPSGGLKANAGTLIDGPDLRPHKPPKCTKSNQEPARKSDSLAFPPFSSSALTLRSSKSSEELTRPFLEYCKPQETRDLQELGTTSLQIDNTHEADELVKMGDTSVCASPLRNAVMDKQDSSLERDDSRPRKIGRRERILDLKKRMSEKFEEKKRNIVEKMRGP >Vigun05g128300.1.v1.2 pep primary_assembly:ASM411807v1:5:14714578:14720212:-1 gene:Vigun05g128300.v1.2 transcript:Vigun05g128300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDANNETWTWILGLIYIFAVATIWIAASFVVQSVVDAGVSPFLVTYVCNSLFVVLIPIVEIGRYLEDSYGGLWFWRSDNSHSHTEGRVRHSEQVILLKDNDAGNEASESLVLEEVAVVSEERNNGSELVQTETVTRVLVDDQVNVIEKVDHQLDEKGRWSRCRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGERFTWLKLFSVLLCMGGTIIVSLGDSQSGLKAIASNPLLGDIFALLSAGLYAVYITLIRMKLPDNDGKSGEASTAQFLGYLGLFNFFIFLPVALILNITKMESFSTLTWKQLCLIIGKGLLDNVLSDYLWAKAVLLTSTTVATAGLTIQVPLAAIVDTLTGHAPGFMDYLGALSVMIGFTGINIPSDTFSKSRETAVELENESLNIRTEELTPPRSQD >Vigun05g128300.2.v1.2 pep primary_assembly:ASM411807v1:5:14714578:14720203:-1 gene:Vigun05g128300.v1.2 transcript:Vigun05g128300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDANNETWTWILGLIYIFAVATIWIAASFVVQSVVDAGVSPFLVTYVCNSLFVVLIPIVEIGRYLEDSYGGLWFWRSDNSHSHTEGRVRHSEQVILLKDNDAGNEASESLVLEEVAVVSEERNNGSELVQTETVTRVLVDDQVNVIEKVDHQLDEKGRWSRCRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGERFTWLKLFSVLLCMGGTIIVSLGDSQSGLKAIASNPLLGDIFALLSAGLYAVYITLIRMKLPDNDGKSGEASTAQFLGYLGLFNFFIFLPVALILNITKMESFSTLTWKQLCLIIGKGLLDNVLSDYLWAKAVLLTSTTVATAGLTIQVPLAAIVDTLTGHAPGFMDYLGALSVMIGFTGINIPSDTFSKSRETAVELENESLNIRTEELTPPRSQD >Vigun05g257700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45168157:45169621:-1 gene:Vigun05g257700.v1.2 transcript:Vigun05g257700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPNPKVFLSDKDLIGANIVDPELGCQAFIQEDHHLFKLKHLSVQERHQDNKDRHQEHQHQRNSIIVITKPVCPITLKLDIPSSYVGSENEDDDGYATPTSSEKKIPAIPECPGAPKKTKAKPAAKRKAFRRRTLLDLTQDLESLFPVPCVADLGGGGVKKRVKLC >Vigun03g246000.1.v1.2 pep primary_assembly:ASM411807v1:3:40925509:40930555:1 gene:Vigun03g246000.v1.2 transcript:Vigun03g246000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTEGGGALGQASSVLAPPNGNSIETRSMRSSNYSYANEEVIGDEASRFEVWGWYLYEFCSYFVQTVLIPVVFPLIISQLQHLPMDPVQEWFKNHQGVVCAEKEINLYSTLTERTITVNGSTFSSLEWTAMAWGGGLALAAPILAFISLYINASFQTFITAAATGIGVLFCLPVGLFKTTKIFILYIAIIVVAITLSTATHTHHLALMAPKLSTPSPLSKLSIFSKKQGLSTWISLFATVVGCLGASIVSSFTYHMLREPIEREFISLWIVTIFCGLLWLVGLLHVFTAENRTTSVPNILSTRFQLFTIFKYPNAIGGLVSVFLSSFTTMGVFTGGVLFIVGQLCIVPVHLLYFWLTYFLFPLVSLPLLFQPLQHLIKANSVKMKIMGLLLSLVSSGFGFYFWESHWKWGHLLVFGAIQGTSSALLHAFGRVLMLETAPCGEERGFCVWYGWVRGVGLFGGFVVGSVVPGSVRTAFGAAFCSALVGIVVLLFGNVSDFGAAKEGGERALDSKESISV >Vigun06g057700.1.v1.2 pep primary_assembly:ASM411807v1:6:18420156:18422909:1 gene:Vigun06g057700.v1.2 transcript:Vigun06g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAASLGTHIALRNTHEARIKCHFLYRREENSKIGFKFSKHKAVQRKISLSKQDFSELDERKSPDEVREEIKKCYELINRLGRGAVYLGSSRMGPSHSHYVQAQELAKEIANLLDCTTWSGAGPGLMDAVTQGAMLAGKPVGGFKIGKEAGEWTASNFHAYLPSENYLTCRFFSARKHGLVDAVVRNNSFDKTAVVTLPGGIGTLDELFEMLALIQLERIGSKLPVPLLLMNYDSFYSKLLDFLNDCEGWGTVSKGEVASLWKVCNSNSEALAYLEEFYGISSIDISKKVIQFHSTYGSPSS >Vigun05g035900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2929319:2930540:1 gene:Vigun05g035900.v1.2 transcript:Vigun05g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVLKVVFPFLEGVDLASCMAVCKLWKDIAGDDFFWKCLCAKRWPSICKRPNPSTLTYYNLYRTFYKRQHRRILLPPRISFDDLDFFIDIWAENILLFSEVVPGSVLQAGFKIPASGVCEMLKYHLEGSEYKMTFPVEPRFTIPCGQNQNVSVSVMVGKKDSNKFARIINKSMFDYIDRSSYRALAFDYLDIAPDYPFLTGIRAWISLLFMEDKKDLMDVFGIQMDFCDVANSKEEVLWLLDMLDWK >Vigun05g141000.2.v1.2 pep primary_assembly:ASM411807v1:5:17481823:17486185:1 gene:Vigun05g141000.v1.2 transcript:Vigun05g141000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRWLILSRYRPAYNVSPGSNMPVVRREDASDSGGYVLHCMKWGLIPSFTKKTEKPDHYKMFNARSESIDEKASFRRLLPKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGETLHTFTIVTTSSSSALQWLHDRMPVILGSKESTDTWLSSSGSSFKSVMKPYEESDLVWYPVTPAMGKSSFDGPECIKEIQVKAEGNTSISMFFSKKGAERKDTKPEQKLSCHESVKTEPTEDLIEGAKAEEVDKEVKFGGSSHSQNASLLPIKREYETFSADSKPALENHDQISSTPAKKKEKTKKADDKQPTLFSFFGKK >Vigun05g141000.3.v1.2 pep primary_assembly:ASM411807v1:5:17481877:17486185:1 gene:Vigun05g141000.v1.2 transcript:Vigun05g141000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRREDASDSGGYVLHCMKWGLIPSFTKKTEKPDHYKMFNARSESIDEKASFRRLLPKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGETLHTFTIVTTSSSSALQWLHDRMPVILGSKESTDTWLSSSGSSFKSVMKPYEESDLVWYPVTPAMGKSSFDGPECIKEIQVKAEGNTSISMFFSKKGAERKDTKPEQKLSCHESVKTEPTEDLIEGAKAEEVDKEVKFGGSSHSQNASLLPIKREYETFSADSKPALENHDQISSTPAKKKEKTKKADDKQPTLFSFFGKK >Vigun05g141000.1.v1.2 pep primary_assembly:ASM411807v1:5:17481104:17486185:1 gene:Vigun05g141000.v1.2 transcript:Vigun05g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTRCTLRSDDVPRACHRSDAPTRTLHMDRYRPAYNVSPGSNMPVVRREDASDSGGYVLHCMKWGLIPSFTKKTEKPDHYKMFNARSESIDEKASFRRLLPKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGETLHTFTIVTTSSSSALQWLHDRMPVILGSKESTDTWLSSSGSSFKSVMKPYEESDLVWYPVTPAMGKSSFDGPECIKEIQVKAEGNTSISMFFSKKGAERKDTKPEQKLSCHESVKTEPTEDLIEGAKAEEVDKEVKFGGSSHSQNASLLPIKREYETFSADSKPALENHDQISSTPAKKKEKTKKADDKQPTLFSFFGKK >Vigun03g386600.1.v1.2 pep primary_assembly:ASM411807v1:3:59265741:59272962:-1 gene:Vigun03g386600.v1.2 transcript:Vigun03g386600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKGWRLDSVGDMQILPGSRHRPPMKKPMWIIILVLFVCVFLICAYIYPPKSNSACYVFSSRGCKGFVDWLPPMPAREYTDEEIASRVVIKDILNSPAIVSRKSKVAFMFLSPGSLPFERLWDKFFQGHEGKFSVYVHASKTKPIHVSRYFVNRDVRSDQVIWGKISMVDAERRLLGNALQDPDNQQFVLLSDSCVPLYHFDHIYNYLMYTNISFVDCFKDPGPHGNGRYSDRMLPEVEVKDFRKGAQWFAMKRQHAIIVMADSLYYSKFRSYCQPGLEGRNCIADEHYLPTFFQMVDPGGIANWSLTHVDWSERKWHPKSYRAQDVTYELLKNITSIDVSVHVTSDEKREVQSWPCLWNGIQKPCYLFARKFTPETLDNLLHLFSNYSAA >Vigun01g194800.1.v1.2 pep primary_assembly:ASM411807v1:1:37217712:37221797:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRVLEMTLGNDTERLRTIWTPEMDGYFIDLMLEQVSQGREVEDHMFSKKAWKHMSSMFNAKFNFQYEKDVLKNRHKTLRNLYRSIKNLLGQPGFSWDEKRNMVIVDDQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun01g194800.6.v1.2 pep primary_assembly:ASM411807v1:1:37217712:37221797:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun01g194800.4.v1.2 pep primary_assembly:ASM411807v1:1:37217712:37221797:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVDDQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun01g194800.3.v1.2 pep primary_assembly:ASM411807v1:1:37217712:37221797:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVDDQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun01g194800.5.v1.2 pep primary_assembly:ASM411807v1:1:37217712:37221797:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun01g194800.2.v1.2 pep primary_assembly:ASM411807v1:1:37217699:37221846:1 gene:Vigun01g194800.v1.2 transcript:Vigun01g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGNDTERLRTIWTPEMDGYFIDLMLEQVSQGREVEDHMFSKKAWKHMSSMFNAKFNFQYEKDVLKNRHKTLRNLYRSIKNLLGQPGFSWDEKRNMVIVDDQVDVNALTRRVKKSIPNFKDLCTIYGHMEAKDDAAPEELSNSGQKGAIVPHVSEDIGEDADELLLDVRADEDLGISTLDKATDDCEQRVSKETTTSSRTRTRTYWQLPMDRYFINLMLAHVQKGNQFDGVFSKQAWMEIILSFNEKFGFEYSLEILKNRYKTLRRQYNLIKSLLRLDGFAWDETRQMVIADDCVWQDYIKVHPDARQYMTRPLPYYKDLRVIYDPSFDEKEYPLPPDKHQNANDFKIECSSTSNTVQSSVTPNSNEEQFSGVNELPYIGQKQKRQLEICSNSTSPKRSRNDEQGMAAALHEMAAVVSTVSAKNNDTSISIENVIEAVQALPDMDDDLVLDACDFLEDERKAKTFLALDAKLRKKWLIRKLRT >Vigun03g157500.2.v1.2 pep primary_assembly:ASM411807v1:3:17017516:17021118:1 gene:Vigun03g157500.v1.2 transcript:Vigun03g157500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVHHPSSNDVVVVNINGDSDSDARSLHPTDRAFSPQQQQQQKAQEGNERRESLVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEDDYLGIYSIMFWTLTLIGVVKYANVAIRADDHGEGGTFALYSLLCRHVNIGILPSKHVDLNKTKDAQKTTWLARFFQTSVVARRLLLFVAMLGTCMLIGDGILTPAISVLSAMDGLRAPFPSVSKSLVEALSAAVLIVLFLLQKFGTSRVSFLFSPIMGAWTLSTPIVGVYSIIHHYPSIFKALSPHYIFHFFWRNGKSGWLLLGGTVLCITGSEAMFADLGHFNQKSIQIAFLFTIYPSLVLTYAGQTAYLIKHPNDHDDGFYKFIPTPVYWPIFVISTSAAVVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKNKEGEVYSPEVNYILMVLCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPTVLVYLYFVVFFVMEGVYVSAVFTKFAEGGWIPFAISFILAFIMFGWFCGRQRKTDYELNHKITFERLEELLADSSVNRVPGICFFYTNIQDGLTPILGHYIKNMKSLHKVTVFTTLRYLLVPKVAPRERIVIKKSNLKGVYCCMIQYGYADALNLEGDHFVDQVISSLAKHIQKHQSPENLSDSEEIEEETSNLEEARLAGVVHVRGKTRFYIGLNCSWFDKFVLSFYEVMHNNCRSGLPALGIPPQQRIEVGMLYEA >Vigun03g157500.3.v1.2 pep primary_assembly:ASM411807v1:3:17017726:17021118:1 gene:Vigun03g157500.v1.2 transcript:Vigun03g157500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSPTEDDYLGIYSIMFWTLTLIGVVKYANVAIRADDHGEGGTFALYSLLCRHVNIGILPSKHVDLNKTKDAQKTTWLARFFQTSVVARRLLLFVAMLGTCMLIGDGILTPAISVLSAMDGLRAPFPSVSKSLVEALSAAVLIVLFLLQKFGTSRVSFLFSPIMGAWTLSTPIVGVYSIIHHYPSIFKALSPHYIFHFFWRNGKSGWLLLGGTVLCITGSEAMFADLGHFNQKSIQIAFLFTIYPSLVLTYAGQTAYLIKHPNDHDDGFYKFIPTPVYWPIFVISTSAAVVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKNKEGEVYSPEVNYILMVLCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPTVLVYLYFVVFFVMEGVYVSAVFTKFAEGGWIPFAISFILAFIMFGWFCGRQRKTDYELNHKITFERLEELLADSSVNRVPGICFFYTNIQDGLTPILGHYIKNMKSLHKVTVFTTLRYLLVPKVAPRERIVIKKSNLKGVYCCMIQYGYADALNLEGDHFVDQVISSLAKHIQKHQSPENLSDSEEIEEETSNLEEARLAGVVHVRGKTRFYIGLNCSWFDKFVLSFYEVMHNNCRSGLPALGIPPQQRIEVGMLYEA >Vigun03g157500.1.v1.2 pep primary_assembly:ASM411807v1:3:17017328:17021118:1 gene:Vigun03g157500.v1.2 transcript:Vigun03g157500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVHHPSSNDVVVVNINGDSDSDARSLHPTDRAFSPQQQQQQKEGNERRESLVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEDDYLGIYSIMFWTLTLIGVVKYANVAIRADDHGEGGTFALYSLLCRHVNIGILPSKHVDLNKTKDAQKTTWLARFFQTSVVARRLLLFVAMLGTCMLIGDGILTPAISVLSAMDGLRAPFPSVSKSLVEALSAAVLIVLFLLQKFGTSRVSFLFSPIMGAWTLSTPIVGVYSIIHHYPSIFKALSPHYIFHFFWRNGKSGWLLLGGTVLCITGSEAMFADLGHFNQKSIQIAFLFTIYPSLVLTYAGQTAYLIKHPNDHDDGFYKFIPTPVYWPIFVISTSAAVVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKNKEGEVYSPEVNYILMVLCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPTVLVYLYFVVFFVMEGVYVSAVFTKFAEGGWIPFAISFILAFIMFGWFCGRQRKTDYELNHKITFERLEELLADSSVNRVPGICFFYTNIQDGLTPILGHYIKNMKSLHKVTVFTTLRYLLVPKVAPRERIVIKKSNLKGVYCCMIQYGYADALNLEGDHFVDQVISSLAKHIQKHQSPENLSDSEEIEEETSNLEEARLAGVVHVRGKTRFYIGLNCSWFDKFVLSFYEVMHNNCRSGLPALGIPPQQRIEVGMLYEA >Vigun06g233100.3.v1.2 pep primary_assembly:ASM411807v1:6:33905618:33915105:1 gene:Vigun06g233100.v1.2 transcript:Vigun06g233100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDASGDKLTFLASKFWWNWIGLGSRRGKLVFKFWKTDTEFIEHQAETSTSNQNMWPVVEDTVIKIDEEPPKSFTLSAKETLQAAIIHFGKKWYRRISFIWRHTMQIIGSFQKLWNIAGVHLNLDIPKWMHILRLDKLNTNAVHWLKKKAKLFEPTYLYTMEKGYFLLPESAKSHHNIRTVNVSISAWHSCFGNKWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEVPEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRYMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLINTDLPAGSGLRPGFDQPVPQNGAGVGDPQARSENNRDRVVNQAQIPEQADIRRAERGPNPGSMNSFSSLLLWILGGASSEGLNSFFSMFRDVREQGQVFNETPGAGDENRDNQDNNNDR >Vigun06g233100.5.v1.2 pep primary_assembly:ASM411807v1:6:33904685:33915105:1 gene:Vigun06g233100.v1.2 transcript:Vigun06g233100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCSSELSGVVTSEAQLIQIKITSAGLWSHNDSESNRISPLETEVVKDTMEFSDASGDKLTFLASKFWWNWIGLGSRRGKLVFKFWKTDTEFIEHQAETSTSNQNMWPVVEDTVIKIDEEPPKSFTLSAKETLQAAIIHFGKKWYRRISFIWRHTMQIIGSFQKLWNIAGVHLNLDIPKWMHILRLDKLNTNAVHWLKKKAKLFEPTYLYTMEKGYFLLPESAKSHHNIRTVNVSISAWHSCFGNKWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEVPEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRYMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLINTDLPAGSGLRPGFDQPVPQNGAGVGDPQARSENNRDRVVNQAQIPEQADIRRAERGPNPGSMNSFSSLLLWILGGASSEGLNSFFSMFRDVREQGQVFNETPGAGDENRDNQDNNNDR >Vigun06g233100.4.v1.2 pep primary_assembly:ASM411807v1:6:33904472:33915105:1 gene:Vigun06g233100.v1.2 transcript:Vigun06g233100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPRGRTKDFPVGGWGLQCVREKREIERYEGNLPGCSSELSGVVTSEAQLIQIKITSAGLWSHNDSESNRISPLETEVVKDTMEFSDASGDKLTFLASKFWWNWIGLGSRRGKLVFKFWKTDTEFIEHQAETSTSNQNMWPVVEDTVIKIDEEPPKSFTLSAKETLQAAIIHFGKKWYRRISFIWRHTMQIIGSFQKLWNIAGVHLNLDIPKWMHILRLDKLNTNAVHWLKKKAKLFEPTYLYTMEKGYFLLPESAKSHHNIRTVNVSISAWHSCFGNKWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEVPEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRYMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLINTDLPAGSGLRPGFDQPVPQNGAGVGDPQARSENNRDRVVNQAQIPEQADIRRAERGPNPGSMNSFSSLLLWILGGASSEGLNSFFSMFRDVREQGQVFNETPGAGDENRDNQDNNNDR >Vigun06g233100.2.v1.2 pep primary_assembly:ASM411807v1:6:33904688:33915105:1 gene:Vigun06g233100.v1.2 transcript:Vigun06g233100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPRGRTKDFPVGPGCSSELSGVVTSEAQLIQIKITSAGLWSHNDSESNRISPLETEVVKDTMEFSDASGDKLTFLASKFWWNWIGLGSRRGKLVFKFWKTDTEFIEHQAETSTSNQNMWPVVEDTVIKIDEEPPKSFTLSAKETLQAAIIHFGKKWYRRISFIWRHTMQIIGSFQKLWNIAGVHLNLDIPKWMHILRLDKLNTNAVHWLKKKAKLFEPTYLYTMEKGYFLLPESAKSHHNIRTVNVSISAWHSCFGNKWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEVPEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRYMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLINTDLPAGSGLRPGFDQPVPQNGAGVGDPQARSENNRDRVVNQAQIPEQADIRRAERGPNPGSMNSFSSLLLWILGGASSEGLNSFFSMFRDVREQGQVFNETPGAGDENRDNQDNNNDR >Vigun06g233100.1.v1.2 pep primary_assembly:ASM411807v1:6:33903390:33915105:1 gene:Vigun06g233100.v1.2 transcript:Vigun06g233100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLTPKVRVALEYLYLFIAITLFCILVVMHANYVQQPGCSSELSGVVTSEAQLIQIKITSAGLWSHNDSESNRISPLETEVVKDTMEFSDASGDKLTFLASKFWWNWIGLGSRRGKLVFKFWKTDTEFIEHQAETSTSNQNMWPVVEDTVIKIDEEPPKSFTLSAKETLQAAIIHFGKKWYRRISFIWRHTMQIIGSFQKLWNIAGVHLNLDIPKWMHILRLDKLNTNAVHWLKKKAKLFEPTYLYTMEKGYFLLPESAKSHHNIRTVNVSISAWHSCFGNKWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQTKEFYNLSYAQEVPEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRYMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLINTDLPAGSGLRPGFDQPVPQNGAGVGDPQARSENNRDRVVNQAQIPEQADIRRAERGPNPGSMNSFSSLLLWILGGASSEGLNSFFSMFRDVREQGQVFNETPGAGDENRDNQDNNNDR >Vigun11g171800.5.v1.2 pep primary_assembly:ASM411807v1:11:37718808:37724140:-1 gene:Vigun11g171800.v1.2 transcript:Vigun11g171800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVMADGLENISEASYRPEVELGKLKHNIESKTRQYNNIDLQSSLTQEILQLQKRLQQQFVIRRALEKARYLPFSQDAAIENSIPKAAKELIQEIGILELEVVYLEQYLLSLYRKRFDQQISSLSPKERRLELASDTNQVKSAVPSNDAISDKEISVVDCSNAISPRNSVGFRLKECNNQLESENVLDSSIHRCHSALSQRTVCSIEASPGNIETKAVVDSYHSLPLSMLEQTQFAKFSSTSLAEHLGSSYVDYVPETPNWLSEEMIKCISAIYCELTEPPSLGHKNASSPISYSSCGNELSSQSQGSKWGSQWKKHSSFNLNSTNPFHVRGCKEFSEPYCSMTRIQKLCTDNQKLKEIEYMLRRFRSLVSRLEDVNPRNMRHEEKLSFWINVHNSLAMHALLVYGISANNVKRMSSVLKAAYNIGGHTISVDQIQNFILGCRMPRPGQWLRLWFPSMTKPKVRDARKGYAIHRPEPLLLFALCTGSHSDPAVRLYTPKRVFEELQCAKEEYIQSTITINKEQKVVLPKMVDSFAKNSGIGASDLMEMIKPYLPDSQRKSIQEFQSKSSFKNIELTPHNFTFHYLISKELAW >Vigun11g171800.3.v1.2 pep primary_assembly:ASM411807v1:11:37718808:37724140:-1 gene:Vigun11g171800.v1.2 transcript:Vigun11g171800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIEWRDSVLKQFMLMDPTVSISSHKRSNSDPMKRRVMADGLENISEASYRPEVELGKLKHNIESKTRQYNNIDLQSSLTQEILQLQKRLQQQFVIRRALEKARYLPFSQDAAIENSIPKAAKELIQEIGILELEVVYLEQYLLSLYRKRFDQQISSLSPKERRLELASDTNQVKSAVPSNDAISDKEISVVDCSNAISPRNSVGFRLKECNNQLESENVLDSSIHRCHSALSQRTVCSIEASPGNIETKAVVDSYHSLPLSMLEQTQFAKFSSTSLAEHLGSSYVDYVPETPNWLSEEMIKCISAIYCELTEPPSLGHKNASSPISYSSCGNELSSQSQGSKWGSQWKKHSSFNLNSTNPFHVRGCKEFSEPYCSMTRIQKLCTDNQKLKEIEYMLRRFRSLVSRLEDVNPRNMRHEEKLSFWINVHNSLAMHALLVYGISANNVKRMSSVLKAAYNIGGHTISVDQIQNFILGCRMPRPGQWLRLWFPSMTKPKVRDARKGYAIHRPEPLLLFALCTGSHSDPAVRLYTPKRVFEELQCAKEEYIQSTITINKEQKVVLPKMVDSFAKNSGIGASDLMEMIKPYLPDSQRKSIQEFQSKSSFKNIELTPHNFTFHYLISKELAW >Vigun11g171800.6.v1.2 pep primary_assembly:ASM411807v1:11:37718808:37722922:-1 gene:Vigun11g171800.v1.2 transcript:Vigun11g171800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVMADGLENISEASYRPEVELGKLKHNIESKTRQYNNIDLQSSLTQEILQLQKRLQQQFVIRRALEKARYLPFSQDAAIENSIPKAAKELIQEIGILELEVVYLEQYLLSLYRKRFDQQISSLSPKERRLELASDTNQVKSAVPSNDAISDKEISVVDCSNAISPRNSVGFRLKECNNQLESENVLDSSIHRCHSALSQRTVCSIEASPGNIETKAVVDSYHSLPLSMLEQTQFAKFSSTSLAEHLGSSYVDYVPETPNWLSEEMIKCISAIYCELTEPPSLGHKNASSPISYSSCGNELSSQSQGSKWGSQWKKHSSFNLNSTNPFHVRGCKEFSEPYCSMTRIQKLCTDNQKLKEIEYMLRRFRSLVSRLEDVNPRNMRHEEKLSFWINVHNSLAMHALLVYGISANNVKRMSSVLKAAYNIGGHTISVDQIQNFILGCRMPRPGQWLRLWFPSMTKPKVRDARKGYAIHRPEPLLLFALCTGSHSDPAVRLYTPKRVFEELQCAKEEYIQSTITINKEQKVVLPKMVDSFAKNSGIGASDLMEMIKPYLPDSQRKSIQEFQSKSSFKNIELTPHNFTFHYLISKELAW >Vigun11g171800.2.v1.2 pep primary_assembly:ASM411807v1:11:37718807:37724140:-1 gene:Vigun11g171800.v1.2 transcript:Vigun11g171800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVMADGLENISEASYRPEVELGKLKHNIESKTRQYNNIDLQSSLTQEILQLQKRLQQQFVIRRALEKARYLPFSQDAAIENSIPKAAKELIQEIGILELEVVYLEQYLLSLYRKRFDQQISSLSPKERRLELASDTNQVKSAVPSNDAISDKEISVVDCSNAISPRNSVGFRLKECNNQLESENVLDSSIHRCHSALSQRTVCSIEASPGNIETKAVVDSYHSLPLSMLEQTQFAKFSSTSLAEHLGSSYVDYVPETPNWLSEEMIKCISAIYCELTEPPSLGHKNASSPISYSSCGNELSSQSQGSKWGSQWKKHSSFNLNSTNPFHVRGCKEFSEPYCSMTRIQKLCTDNQKLKEIEYMLRRFRSLVSRLEDVNPRNMRHEEKLSFWINVHNSLAMHALLVYGISANNVKRMSSVLKAAYNIGGHTISVDQIQNFILGCRMPRPGQWLRLWFPSMTKPKVRDARKGYAIHRPEPLLLFALCTGSHSDPAVRLYTPKRVFEELQCAKEEYIQSTITINKEQKVVLPKMVDSFAKNSGIGASDLMEMIKPYLPDSQRKSIQEFQSKSSFKNIELTPHNFTFHYLISKELAW >Vigun06g173300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29436932:29437985:1 gene:Vigun06g173300.v1.2 transcript:Vigun06g173300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDVSSWLLIEDSADSEGDTGFFSLLYQVVSNEDDAESCTCDTAGSCGLFEDVEGGEDEMWLSDASLEIECSSPLVVEDEVETRIVKVDVNDVEDKIFWETCMEVGYP >Vigun07g090000.1.v1.2 pep primary_assembly:ASM411807v1:7:14161879:14163440:-1 gene:Vigun07g090000.v1.2 transcript:Vigun07g090000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVPCQHLQSIFTNLATLSQTQSFTKSEELSSQMSGCICYTPNIFLDHNFIPLYARESVAVNCISLSLAMATLHSLALSSSFSNSLHKPRSYSVPCSIVHQSRNSSFNGKTLRMPCLRLRLVTQSSGMQMPVVMMVKPKIQFIQGTDEQTIPDVRLTKSRDGTNGMAIFTFDQPSVFDSSGEIGDITGFYMIDEEGVLQSVDVNAKFVNGKPSIIEAKYIMRSPREWDRFMRFMERYSNANGLQFIKK >Vigun05g290200.3.v1.2 pep primary_assembly:ASM411807v1:5:47671996:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRMTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISLVITQFPERWMKFALGLVQSWGLKGPHKLHKIMYQLYANKLFYLRDFEIIKYSVFNLFFFHII >Vigun05g290200.2.v1.2 pep primary_assembly:ASM411807v1:5:47672455:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISDDVILYKYGEKSAQSLCSSGFRYVAFKSYDGLGHYTIPREMDEVCTWLSSKLGLEGSS >Vigun05g290200.1.v1.2 pep primary_assembly:ASM411807v1:5:47671996:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRMTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISDDVILYKYGEKSAQSLCSSGFRYVAFKSYDGLGHYTIPREMDEVCTWLSSKLGLEGSS >Vigun05g290200.5.v1.2 pep primary_assembly:ASM411807v1:5:47672500:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRMTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISDDVILYKYGEKSAQSLCSSGFRYVAFKSYDGLGHYTIPREMDEVCTWLSSKLGLEGSS >Vigun05g290200.6.v1.2 pep primary_assembly:ASM411807v1:5:47672500:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISLVITQFPERWMKFALGLVQSWGLKGPHKLHKIMYQLYANKLFYLRDFEIIKYSVFNLFFFHII >Vigun05g290200.8.v1.2 pep primary_assembly:ASM411807v1:5:47672500:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRMTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISKYL >Vigun05g290200.4.v1.2 pep primary_assembly:ASM411807v1:5:47672500:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHSHTGSGSRMTRGTFEFGKTYVVRPKGKHQATIIWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISLVITQFPERWMKFALGLVQSWGLKGPHKLHKIMYQLYANKLFYLRDFEIIKYSVFNLFFFHII >Vigun05g290200.7.v1.2 pep primary_assembly:ASM411807v1:5:47673806:47675535:1 gene:Vigun05g290200.v1.2 transcript:Vigun05g290200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIVSNLYALLWNSRSLKHSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASATHIANLLSTEPADVKVAIGGFSMGAAIALYSATCFAIGRYGNGIPYPLNLRTVVGLSGWLPGSRSLRNKIEVSHEARRRATSLPILLCHGISDDVILYKYGEKSAQSLCSSGFRYVAFKSYDGLGHYTIPREMDEVCTWLSSKLGLEGSS >Vigun11g054800.11.v1.2 pep primary_assembly:ASM411807v1:11:10139216:10142647:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun11g054800.4.v1.2 pep primary_assembly:ASM411807v1:11:10137704:10142576:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRFFVAVHVGAGFHSPSNDKALRSVMNRACQAAASVLSSGSGTGLDAVVAAIQVLEDDPCTNAGRGSNLTEDGGVECDASVMDGKSGAFGAVGAVPGVRNAIQIAALLAKEQLMRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.7.v1.2 pep primary_assembly:ASM411807v1:11:10137702:10142596:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQCYGRKIWSFWGCWSCARPGVRNAIQIAALLAKEQLMRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun11g054800.12.v1.2 pep primary_assembly:ASM411807v1:11:10139216:10142647:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.6.v1.2 pep primary_assembly:ASM411807v1:11:10137702:10142596:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQCYGRKIWSFWGCWSCARPGVRNAIQIAALLAKEQLMRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.10.v1.2 pep primary_assembly:ASM411807v1:11:10139216:10142576:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun11g054800.1.v1.2 pep primary_assembly:ASM411807v1:11:10137704:10142576:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRFFVAVHVGAGFHSPSNDKALRSVMNRACQAAASVLSSGSGTGLDAVVAAIQVLEDDPCTNAGRGSNLTEDGGVECDASVMDGKSGAFGAVGAVPGVRNAIQIAALLAKEQLMRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun11g054800.8.v1.2 pep primary_assembly:ASM411807v1:11:10137704:10142646:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQCYGRKIWSFWGCWSCASFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun11g054800.2.v1.2 pep primary_assembly:ASM411807v1:11:10137656:10142596:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.5.v1.2 pep primary_assembly:ASM411807v1:11:10137702:10142646:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQCYGRKIWSFWGCWSCASFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.9.v1.2 pep primary_assembly:ASM411807v1:11:10139216:10142596:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLYGWLSVICLYFHDRGEFLSHSLALLLLAQKSYALLLRMAGNVLLIAVQGS >Vigun11g054800.3.v1.2 pep primary_assembly:ASM411807v1:11:10137656:10142576:1 gene:Vigun11g054800.v1.2 transcript:Vigun11g054800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLGRIPPIFLVGEGARKWAKSKDIALPTSIEEANEWLVTERAKAQWIKYKSMVETARSKADNTPEVDSSVCQSTTIPDNALEGRVMDTVGVICIDNEGHVATGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGSPFMAGCCVSGAGEYLMKGFAARECCVSLSLSQSGAASACTKILRSVVEDGRQCVTDSSAGILIVQSDASLTDQGKSSRLKAVEIAAAYTSLSFGVGYFGSSMERPKVSILRSTKQQSRMSIDQFGARIDLSNG >Vigun05g045400.1.v1.2 pep primary_assembly:ASM411807v1:5:3750761:3751590:1 gene:Vigun05g045400.v1.2 transcript:Vigun05g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFEPCARIVANETSRFKQPSKKVVVMVVGRGYLMLCFGHMSINPRETH >Vigun01g102000.1.v1.2 pep primary_assembly:ASM411807v1:1:26998517:27001176:-1 gene:Vigun01g102000.v1.2 transcript:Vigun01g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEDLLAAQFEQEKIHDDEPLVEDDDEDEEDDEEDEDDDNAEGLEGDASGRSKQTRSEKKSRKAMLKLGMKPVTGVSRVTVKKSKNILFVISKPDVLKSPTSDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNVSNVGLKPETSATAQDDEDVDESGVDPKDIELVMTQAGVSRPKAVKALKAANGDIVAAIMELTN >Vigun03g308000.1.v1.2 pep primary_assembly:ASM411807v1:3:49941553:49945818:1 gene:Vigun03g308000.v1.2 transcript:Vigun03g308000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYASVPEVEAALGRNLTFAETVWFNYSATKSDYFLYCHNILFLFLIFSLVPLPLVFLELKRLSFFDSYKIQPKVRLSLDEMFRCYKDVMRMFFLVVGPLQLVSYPSIKMIGIRTGLPLPSGWEILAQLLVYFLVEDYTNYWIHRFLHNGWGYEKIHRVHHEYAAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHIITFWLWIALRQIEAIDTHSGYDFPWSITKYIPFYGGAEYHDYHHYVGRQSNSNFASVFTYCDYIYGTDKGYRYQKKILQKLKEDLAYGEQQNGGSYKTQQSKSD >Vigun09g258200.1.v1.2 pep primary_assembly:ASM411807v1:9:42379688:42385988:-1 gene:Vigun09g258200.v1.2 transcript:Vigun09g258200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKLAEKASVAVLLFSLLSISHFHFSVAEDPYRFFNWNVTYGDIFPLGVRQRGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSFEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTTLKAHVDNGKKLPFPDGILINGRGPNGVAFNVEQGKTYRLRISNVGLQHSLNFRIQNHKMKLVEVEGTHTVQTTYSSLDVHVGQSYSVLVTADQPAQDYYIVASSRFSYKVLTTTAVLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTSRTIVLASSAGQVNGKQRYALNSVSYVAPDTPLKLADYFKISGVFRPGSISDRPTGGGIYLDTSVLQTDYRSFVEFVFQNNENIVQSYHLDGYSFFVVGMDGGQWTPASRNQYNLHDAVSRCTTQVYPMSWTAIYVALDNVGMWNLRSEFWARQYLGQQLYLRVFTTSTSIRDEFPVPKNAILCGRASGRHTRPL >Vigun07g225900.1.v1.2 pep primary_assembly:ASM411807v1:7:34808953:34811229:-1 gene:Vigun07g225900.v1.2 transcript:Vigun07g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGNNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGDGYEYEDEDEVQEEE >Vigun01g062000.1.v1.2 pep primary_assembly:ASM411807v1:1:13446616:13451903:-1 gene:Vigun01g062000.v1.2 transcript:Vigun01g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNQWRRYDHDPLLLTDVSLQQESSSYIQSSNSLSQTSLNSRSLAMEDVPVCGDSASIGFSREDGGLEGNRMKFDDPIVKDVFDGRSILGYSLASPDLVICGGSPDIAGISCCDSPEFLKNQYYKNVESSMELSLEYGIKGSQVEVDNGPKMPTVKFSNFCQTFEQEEELLSPEASFELLPPLLTKDAAPHDSPPRDAEMLEDNGVSEEAELEFSEDADDTSVKEKFKRLKRDFECQRKELAETRRELGKIKKENQQKSRECQEAWNSLKELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKIMKMEHMKLLEEAEESKKYQADMKEMGHIIKSKINEQLESLEDLKSKYAEGVKERKELYNKVLELRGNIRVFCRCRPLNAEEIAARATMALDFETAKDGELTVMSNGTPKKTFKFDAVFDPQAEQADIFEDTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGSEEARGVNFRTLEKMFDIIKERQKFYCYDISVSVLEVYNEQIRDLLVAGNHQGAAAKRLEIRQASEGIHHIPGLVEAHVNNMTEVWEVLQTGSNARAISSTNSNEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVHGDRLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLSETICSLNFASRVRGIELGPARKHLDTVELLRHKQIADKVKQEIKQKDLQIKKMEETIHGLESKMKERDSRNKNLQEKVKELESQLLIERKLARQHVDSKIAEQHQMKHQEEQNNAVMRSALANRPLGGLKNFNDSVSGGWYKDQQMNSAKPLTENNNLFKPFMPFATMESSIKCIEHAEKENNPDMADKALLPKRPGRASSICMMAPRVPSAIASRRNSLIPLPSIPSLTQFQSPLLLNLTNQVDQKDFIGEVETNCVPAQTHCESPKEVRSGAKRIGSILRRSLHKKIQVKSPLHQHMRKVGVNVGMEKVRVSIGSRGRLAPRGQVGSCRKAGTRDIQQKNTQKEKERGWI >Vigun01g062000.2.v1.2 pep primary_assembly:ASM411807v1:1:13446644:13452179:-1 gene:Vigun01g062000.v1.2 transcript:Vigun01g062000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNQWRRYDHDPLLLTDVSLQQESSSYIQSSNSLSQTSLNSRSLAMEDVPVCGDSASIGFSREDGGLEGNRMKFDDPIVKDVFDGRSILGYSLASPDLVICGGSPDIAGISCCDSPEFLKNQYYKNVESSMELSLEYGIKGSQVEVDNGPKMPTVKFSNFCQTFEQEEELLSPEASFELLPPLLTKDAAPHDSPPRDAEMLEDNGVSEEAELEFSEDADDTSVKEKFKRLKRDFECQRKELAETRRELGKIKKENQQKSRECQEAWNSLKELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKIMKMEHMKLLEEAEESKKYQADMKEMGHIIKSKINEQLESLEDLKSKYAEGVKERKELYNKVLELRGNIRVFCRCRPLNAEEIAARATMALDFETAKDGELTVMSNGTPKKTFKFDAVFDPQAEQADIFEDTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGSEEARGVNFRTLEKMFDIIKERQKFYCYDISVSVLEVYNEQIRDLLVAGNHQGAAAKRLEIRQASEGIHHIPGLVEAHVNNMTEVWEVLQTGSNARAISSTNSNEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVHGDRLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLSETICSLNFASRVRGIELGPARKHLDTVELLRHKQIADKVKQEIKQKDLQIKKMEETIHGLESKMKERDSRNKNLQEKVKELESQLLIERKLARQHVDSKIAEQHQMKHQEEQNNAVMRSALANRPLGGLKNFNDSVSGGWYKDQQMNSAKPLTENNNLFKPFMPFATMESSIKCIEHAEKENNPDMADKALLPKRPGRASSICMMAPRVPSAIASRRNSLIPLPSIPSLTQFQSPLLLNLTNQVDQKDFIGEVETNCVPAQTHCESPKEVRSGAKRIGSILRRSLHKKIQVKSPLHQHMRKVGVNVGMEKVRVSIGSRGRLAPRGQVGSCRKAGTRDIQQKNTQKEKERGWI >Vigun01g062000.3.v1.2 pep primary_assembly:ASM411807v1:1:13446644:13452179:-1 gene:Vigun01g062000.v1.2 transcript:Vigun01g062000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNQWRRYDHDPLLLTDVSLQQESSSYIQSSNSLSQTSLNSRSLAMEDVPVCGDSASIGFSREDGGLEGNRMKFDDPIVKDVFDGRSILGYSLASPDLVICGGSPDIAGISCCDSPEFLKNQYYKNVESSMELSLEYGIKGSQVEVDNGPKMPTVKFSNFCQTFEQEEELLSPEASFELLPPLLTKDAAPHDSPPRDAEMLEDNGVSEEAELEFSEDADDTSVKEKFKRLKRDFECQRKELAETRRELGKIKKENQQKSRECQEAWNSLKELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKIMKMEHMKLLEEAEESKKYQADMKEMGHIIKSKINEQLESLEDLKSKYAEGVKERKELYNKVLELRGNIRVFCRCRPLNAEEIAARATMALDFETAKDGELTVMSNGTPKKTFKFDAVFDPQAEQADIFEDTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGSEEARGVNFRTLEKMFDIIKERQKFYCYDISVSVLEVYNEQIRDLLVAGNHQGAAAKRLEIRQASEGIHHIPGLVEAHVNNMTEVWEVLQTGSNARAISSTNSNEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVHGDRLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLSETICSLNFASRVRGIELGPARKHLDTVELLRHKQIADKVKQEIKQKDLQIKKMEETIHGLESKMKERDSRNKNLQEKVKELESQLLIERKLARQHVDSKIAEQHQMKHQEEQNNAVMRSALANRPLGGLKNFNDSVSGGWYKDQQMNSAKPLTENNNLFKPFMPFATMESSIKCIEHAEKENNPDMADKALLPKRPGRASSICMMAPRVPSAIASRRNSLIPLPSIPSLTQFQSPLLLNLTNQVDQKDFIGEVETNCVPAQTHCESPKEVRSGAKRIGSILRRSLHKKIQVKSPLHQHMRKVGVNVGMEKVRVSIGSRGRLAPRGQVGSCRKAGTRDIQQKNTQKEKERGWI >Vigun09g198500.1.v1.2 pep primary_assembly:ASM411807v1:9:37333314:37335189:-1 gene:Vigun09g198500.v1.2 transcript:Vigun09g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKCYLDVILVPLGFLMSIGYHFWLWHTVRTHPHTTIIGINASGRRNWVATIMKDNDKKNILAVQSLRNTIMGATLMATTSILLCSGLAAIISSSYSVKKPLEDTVYGGHGEFMVSLKYVTLLSIFLFSFFCHSLSIRFINQVNILINTPQDPMSLVSPEYVNQILEKGFLLNTVGNRLFYAALPLLLWIFGPVLVFLCSLAMVPVLYNLDFVVSAKEKMHVNQNTDFV >VigunL029200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:145302:145604:-1 gene:VigunL029200.v1.2 transcript:VigunL029200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSVIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSEKWEIHGKLESLPRNSAPIRLHRRCFSTGRPRANYRDFGLSGHILREMVHACFLPGATRSSW >Vigun09g196900.2.v1.2 pep primary_assembly:ASM411807v1:9:37187060:37204251:1 gene:Vigun09g196900.v1.2 transcript:Vigun09g196900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEEVIEFKWGNMRSVGGRKKDVRFFDSFFYDGVEYSLFDSVFLYKEGEPEHYIGKIIKIWETADKNKRVKVLWYFRPSEIVNFLEGNDALENELFLASGEGEGLANVNPLEAIAGKCNIICISKDSRNPYPSYAEVKMAEFVFHRFFDVGKCKILDKIDDKIAGIEVKNIFNKLDSRKHVDIVKPCLEKKEVSGNYTAYNEAVALLSQNNSQPLIEKPDGKCFDALAREKAVSKSSLGKKPTLRTGVKEASELNDSLHLVSNNKSAPQDKVEENGGHKASFVKQKTFSKLSHGSRTGLKMAKLDNGHGNDFIEKNISTSRVNLGKDDHEDVDVSVGQFNKGLVEEMASKKENRGGFGKVSSAKMNNIGKSRKLIIYDDDDDSDDDVKIVAPSSSKDKYKLQGEVDSCDVEELPSKRLKVDKTTKLTSDKLCKDSSVISSNVELKRDFHPTEVTRRPDDPWEEKMKSAFEQGKLVLLQNLDPSLSSSEVQNIIWTGFKERCTAKVFHRTDYTSPHSGQAFAIFKKVEAAAKVVRELDEGCLLLSNGLPLVGSFGVPYFPEKKPVFYGHHVVDQLRMQMQREMKDAVSTSHCSQPNNIEYDMAIEWCLLQERANKSLRILYKQQGEQLRKLKAKLKSKT >Vigun09g196900.3.v1.2 pep primary_assembly:ASM411807v1:9:37187060:37204251:1 gene:Vigun09g196900.v1.2 transcript:Vigun09g196900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEEVIEFKWGNMRSVGGRKKDVRFFDSFFYDGVEYSLFDSVFLYKEGEPEHYIGKIIKIWETADKNKRVKVLWYFRPSEIVNFLEGNDALENELFLASGEGEGLANVNPLEAIAGKCNIICISKDSRNPYPSYAEVKMAEFVFHRFFDVGKCKILDKIDDKIAGIEVKNIFNKLDSRKHVDIVKPCLEKKEVSGNYTAYNEAVALLSQNNSQPLIEKPDGKCFDALAREKAVSKSSLGKKPTLRTGVKEASELNDSLHLVSNNKSAPQDKVEENGGHKASFVKQKTFSKLSHGSRTGLKMAKLDNGHGNDFIEKNISTSRVNLGKDDHEDVDVSVGQFNKGLVEEMASKKENRGGFGKVSSAKMNNIGKSRKLIIYDDDDDSDDDVKIVAPSSSKDKYKLQGEVDSCDVEELPSKRLKVDKTTKLTSDKLCKDSSVISSNVELKRDFHPTEVTRRPDDDRSKWFREIPWEEKMKSAFEQGKLVLLQNLDPSLSSSENIIWTGFKERCTAKVFHRTDYTSPHSGQAFAIFKKVEAAAKVVRELDEGCLLLSNGLPLVGSFGVPYFPEKKPVFYGHHVVDQLRMQMQREMKDAVSTSHCSQPNNIEYDMAIEWCLLQERANKSLRILYKQQGEQLRKLKAKLKSKT >Vigun09g196900.1.v1.2 pep primary_assembly:ASM411807v1:9:37187060:37204251:1 gene:Vigun09g196900.v1.2 transcript:Vigun09g196900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEEVIEFKWGNMRSVGGRKKDVRFFDSFFYDGVEYSLFDSVFLYKEGEPEHYIGKIIKIWETADKNKRVKVLWYFRPSEIVNFLEGNDALENELFLASGEGEGLANVNPLEAIAGKCNIICISKDSRNPYPSYAEVKMAEFVFHRFFDVGKCKILDKIDDKIAGIEVKNIFNKLDSRKHVDIVKPCLEKKEVSGNYTAYNEAVALLSQNNSQPLIEKPDGKCFDALAREKAVSKSSLGKKPTLRTGVKEASELNDSLHLVSNNKSAPQDKVEENGGHKASFVKQKTFSKLSHGSRTGLKMAKLDNGHGNDFIEKNISTSRVNLGKDDHEDVDVSVGQFNKGLVEEMASKKENRGGFGKVSSAKMNNIGKSRKLIIYDDDDDSDDDVKIVAPSSSKDKYKLQGEVDSCDVEELPSKRLKVDKTTKLTSDKLCKDSSVISSNVELKRDFHPTEVTRRPDDDRSKWFREIPWEEKMKSAFEQGKLVLLQNLDPSLSSSEVQNIIWTGFKERCTAKVFHRTDYTSPHSGQAFAIFKKVEAAAKVVRELDEGCLLLSNGLPLVGSFGVPYFPEKKPVFYGHHVVDQLRMQMQREMKDAVSTSHCSQPNNIEYDMAIEWCLLQERANKSLRILYKQQGEQLRKLKAKLKSKT >Vigun03g035500.2.v1.2 pep primary_assembly:ASM411807v1:3:2726941:2729259:-1 gene:Vigun03g035500.v1.2 transcript:Vigun03g035500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERESFDLSGPLHLTNVLWDNSNHRKAVAASLVQGVYILERDRQEKREGSKALAPPWWTFFQFKLLRPLVDDVDSSLFGAIYEFRPSNSQQNDTIYKSPRYVIAFRGTLNKSHSVSRDIELDIHLIKQGLHQTSRSEIAIQAVRNMVETVGDSNVWLAGHSLGSAMAMLTGKTMAKNGIFIESFLFNPPFVSAPIERIKDERVKHGIRFAGSVITAGLAFALQAKQSKDLAVDPFAALAAWVPCLFVNPCDHICSEYIGYFEHRRKMNDIGAGVIEKLATQNSLGGLLMSAFGKESEPLHLIPSASLTVNVTPSRDFKEAHGIHQWWKADLRLEHKLYNYT >Vigun03g035500.1.v1.2 pep primary_assembly:ASM411807v1:3:2726941:2729259:-1 gene:Vigun03g035500.v1.2 transcript:Vigun03g035500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERESFDLSGPLHLTNVLWDNSNHRKAVAASLVQGVYILERDRQEKREGSKALAPPWWTFFQFKLLRPLVDDVDSSLFGAIYEFRPSNSQQNDTIYKSPRYVIAFRGTLNKSHSVSRDIELDIHLIKQGLHQTSRSEIAIQAVRNMVETVGDSNVWLAGHSLGSAMAMLTGKTMAKNGIFIESFLFNPPFVSAPIERIKDERVKHGIRFAGSVITAGLAFALQAKQSKDLAVDPFAALAAWVPCLFVNPCDHICSEYIGYFEHRRKMNDIGAGVIEKLATQNSLGGLLMSAFGKESEPLHLIPSASLTVNVTPSRDFKEAHGIHQWWKADLRLEHKLYNYT >Vigun05g227300.1.v1.2 pep primary_assembly:ASM411807v1:5:42017724:42021053:-1 gene:Vigun05g227300.v1.2 transcript:Vigun05g227300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVSNNDSTNDETGDDSNATTKEDGEVKGRVRKGPWTPAEDAILVEYVKKHGEGNWNSVHKNSGLFRCGKSCRLRWANHLRPNLKKGAFSPEEEQIIIDLHSKLGNKWARMAAQLPGRTDNEIKNFWNTRMKRRQRAGLPIYPPEVHAEATAYHLQHRYMEHHQPHSSASFALLLSSCYPKKLNDPSQSSNANPLQNQPDSANCYINQSQKHFKFSNENALPLSPLSPYGSSSSTLLNHSFGDHGFIAGSPYESFPLVSVSTPDISSNQTPTPASSYASGVDGLMGSSTMANNNNNDYYEVAPLSPPGNSGLLDALVMEAQGLSHNDKSKNEEDPTLAGKLSCKRKNMEYEEEAMMSAMKKNSGNSTTTENQRDDDNSSSQFSKGKKVMREDPMEEMNSMDDDLFSLLNQFPLEMPMPEWYRRGENQSLGLENQPNASAPDPADQEYAWTLGTCWNNMPSIC >Vigun07g175300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29056967:29057515:-1 gene:Vigun07g175300.v1.2 transcript:Vigun07g175300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGESSEAVMLKKTEEEKLRKGKMIVGDESKAGYEEEGKKVKLVTSDGVTMEVEISIVKEMEIIQTFIGATDTDDSFIFPISNVSSHILNQIIELVKGEYDQELAKKLSHDELKEILVAANYLNMKTLFHFIATAIANVIQNKSVEFVRDFFGIVNDFTEEEEAELRKTNAWAFEGVDED >Vigun11g144100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35352014:35353166:1 gene:Vigun11g144100.v1.2 transcript:Vigun11g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMKKHPLFSLTLPLLPILLFSHFTISLAQSPAAAPTAPATATTATTPAPVPSSPPTDIIRILKKAGGFTTLIRLLQATQVSNQINSQLLTSNGGLTLLAPNDNAFSSLKPGFLNSLNDQQKNELIQFHLLPTFVSVSNFDTLSNPVRTQAGENPDRLALNITSSGGNQVNMTTGIVNVTLGGTVYTDHQLAVYQVDKVLLPRDFFVAKPPSPAPAPEKPKGSKKKSADSTGTPADDAESAAVSVKQQHVIWVTVVAAVSVAAFSWSQ >Vigun05g012600.1.v1.2 pep primary_assembly:ASM411807v1:5:1014361:1031558:1 gene:Vigun05g012600.v1.2 transcript:Vigun05g012600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNKEWAIGIDLGTTYSCIAVWKEQQYRVEIIHNDQGNRITPSCVSFTENQRLIGDAAKNLSSINPTNTVFDVKRLIGRKFSDPVIENDLRLWPFKVIADSDDKPMIVVSYKGKEKRISPVEISSMILTKMREIAESYLESGVKNVVVTVPAYFNDSQRRATKDAATIAGFDVKRIINEPTAAALAYGLENKGNCDGERNIFIFDLGGGTFDVSILRMKDDVFEVKAIAGDTHLGGEDLDNRMLNYCVEEFKRKNKVDISANPKALRRLRTACERAKRTLSYAVDTTIEVDALSGGIDFHSSMTRTRFEELNMDLFRKCMETVDRCVVLVGGSSRIPKVQQLLQDYFKGKDLCKSINPDEAVAHGAAVQAAILSDGIKYFPELVLLDVTPLSLGISANGDLMSVVIPRNTIIPVEKKRGFVRSADFQSSVLIEVYEGERTRASDNNLLGSFRFYGSPNALRGHPLTVCFSIDANGILSVTAEEKSSGRIDSIIITNDKGRLSTQQVMRMMEEAEKYKAEDQEYKKKVQAISALEDFVYKIRKAVEDVDNIFKLDTQDKTKINVAIAEARKLLGASKETETGVLVDHLREMKSLIEPIMRIN >Vigun05g040100.1.v1.2 pep primary_assembly:ASM411807v1:5:3235850:3239032:-1 gene:Vigun05g040100.v1.2 transcript:Vigun05g040100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFLLSSLSPIPIPYLSLSLSLSLSLSFTLFPLFLLYKPLFSLLPKMGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLERCGKSCRLRWTNYLRPDIKRGKFSMQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLDKMGIDPVTHKPKNDAILSTTEGHSKSASNLSHMAQWESARLEAEARLVRESKLRTSHSSSPSLQHSLIGTSASSSSSSAPLLPSSTPSLDHAWNNGCSTSTVATRVSDLESPTSTLSENNAPPIMTSVIEFVGSSERGTVKEEGEREWNKGFHEYKDGGMENSMPFTSSLHELTVTMATTWGSSTTSAHAHAHGHVSEEGFTNLLLNSNSDHRSLSPEGGAESNNNCHGNGSDGHNNGNHNELYEDNKIYWNNILNLMNCSSPSDSPMF >Vigun02g130600.2.v1.2 pep primary_assembly:ASM411807v1:2:28173871:28176320:1 gene:Vigun02g130600.v1.2 transcript:Vigun02g130600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTPRQNKLFEDALAIYDRDTPDKWQNVARVVGKSVEEVKTHFEILKEDVKRIERGQIPLPNYTGSQ >Vigun02g130600.1.v1.2 pep primary_assembly:ASM411807v1:2:28173871:28176320:1 gene:Vigun02g130600.v1.2 transcript:Vigun02g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTPRQNKLFEDALAIYDRDTPDKWQNVARVVGKSVEEVKTHFEILKEDVKRIERGQIPLPNYTGIIIQVLNDPEKPE >Vigun06g072800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20378576:20380755:-1 gene:Vigun06g072800.v1.2 transcript:Vigun06g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIATFFNKFLYLLILLLHLGCFIFTTTTGGKKAPPSKKRKISPSSQPSSPSHPKFKTHKALSSSWHFIKHLLSIKSCKTPKTATSQLSPQSSAATSTTTVSAAAAHSLVSLTQPDPDRKPPGSCPESDISADTNLFFPLRNDIFPCTACGEIFQKPHLLETHQTLKHAVSDLSGSDPGHNIVQIIFKSGWPESKPSPTITRILKIHNSPKILAKFEEYREVVKSKAARHGALTRRRDERCIADGNELMRFHCSTFLCDLGHSGDSSICSQQFCNICGIIKSGFSPKLDGIATLSTSWRAHVAIPDDVEQEFRFMNVKRAMLVCRVIAGRVGSDSDDAEKADGGFDSVMARPETGVYTRLDEEELLVFNPRAVLPCFVIVYSV >Vigun01g146000.4.v1.2 pep primary_assembly:ASM411807v1:1:32778943:32782798:-1 gene:Vigun01g146000.v1.2 transcript:Vigun01g146000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLIILGLFIGLVSPEWNILNLGWGKSVEEVNPEWNILNQRFGEKVVGDNLKSYCESWRINVELNNIREFSVVPQECVEHVKKYMTSSQYKADSMRAVEEIRLYLSGCCTLKGDGKDSWIFDIDETLLSTIPYYKKHGFGGEKLNLTSLEAWMKQSKAPALDHTLELFHEIKNKGFKIFLVSSRKESLRSATVDNLVNVGYHGWTTLKLRGFDDELVEVKKYNSKVRQELVDEGYRIWGIVGDQWSTFDGLPIPNRTFKLPNSIYYKA >Vigun01g146000.6.v1.2 pep primary_assembly:ASM411807v1:1:32778672:32781845:-1 gene:Vigun01g146000.v1.2 transcript:Vigun01g146000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLIILGLFIGLVSPEWNILNLGWGKSVEEVNPEWNILNQRFGEKVVGDNLKSYCESWRINVELNNIREFSVVPQECVEHVKKYMTSSQYKADSMRAVEEIRLYLSGCCTLKGDGKDSWIFDIDETLLSTIPYYKKHGFGGEKLNLTSLEAWMKQSKAPALDHTLELFHEIKNKGFKIFLVSSRKESLRSATVDNLVNVGYHGWTTLKLRGFDDELVEVKKYNSKVRQELVDEGYRIWGIVGDQWSTFDGLPIPNRTFKLPNSIYYKA >Vigun01g146000.5.v1.2 pep primary_assembly:ASM411807v1:1:32778943:32782798:-1 gene:Vigun01g146000.v1.2 transcript:Vigun01g146000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLIILGLFIGLVSPEWNILNLGWGKSVEEVNPEWNILNQRFGEKVVGDNLKSYCESWRINVELNNIREFSVVPQECVEHVKKYMTSSQYKADSMRAVEEIRLYLSGCCTLKGDGKDSWIFDIDETLLSTIPYYKKHGFGGEKLNLTSLEAWMKQSKAPALDHTLELFHEIKNKGFKIFLVSSRKESLRSATVDNLVNVGYHGWTTLKLRGFDDELVEVKKYNSKVRQELVDEGYRIWGIVGDQWSTFDGLPIPNRTFKLPNSIYYKA >Vigun01g146000.3.v1.2 pep primary_assembly:ASM411807v1:1:32778671:32783095:-1 gene:Vigun01g146000.v1.2 transcript:Vigun01g146000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALSLIILGLFIGLVSPEWNILNLGWGKSVEEVNPEWNILNQRFGEKVVGDNLKSYCESWRINVELNNIREFSVVPQECVEHVKKYMTSSQYKADSMRAVEEIRLYLSGCCTLKGDGKDSWIFDIDETLLSTIPYYKKHGFGGEKLNLTSLEAWMKQSKAPALDHTLELFHEIKNKGFKIFLVSSRKESLRSATVDNLVNVGYHGWTTLKLRGFDDELVEVKKYNSKVRQELVDEGYRIWGIVGDQWSTFDGLPIPNRTFKLPNSIYYKA >Vigun03g104000.2.v1.2 pep primary_assembly:ASM411807v1:3:9002170:9006912:1 gene:Vigun03g104000.v1.2 transcript:Vigun03g104000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSRAGVFSSLAHLRKPPREAVGGASFVTVKTVGSLADGGNLIWGRQLRPELCSPALKKETVLLRPCLAAASSPAEGGDSAGEKVAPAGFFGKYPALVTGFFFFTWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLLSWTVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWVGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIVEGPALLKHGFNDAIAKVGLVKFVSDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAIAIAGVALYSLIKARIEEEKRQAKAA >Vigun03g104000.1.v1.2 pep primary_assembly:ASM411807v1:3:9001723:9007110:1 gene:Vigun03g104000.v1.2 transcript:Vigun03g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSRAGVFSSLAHLRKPPREAVGGASFVTVKTVGSLADGGNLIWGRQLRPELCSPALKKETVLLRPCLAAASSPAEGGDSAGEKVAPAGFFGKYPALVTGFFFFTWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLLSWTVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWVGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIVEGPALLKHGFNDAIAKVGLVKFVSDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAIAIAGVALYSLIKARIEEEKRQAKAA >Vigun03g425000.1.v1.2 pep primary_assembly:ASM411807v1:3:63066203:63072331:1 gene:Vigun03g425000.v1.2 transcript:Vigun03g425000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGETHDAPHRKIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQKKSAEAGTIPSFYKKKPEDGSISHRVQRLAKYRFLRKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDELGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMESYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELRDYADGTLTDIFIERVYDEHIRHGKSGGGNAREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGYLTTADIHSLFRDVHHKWIEGGNYELCIEDVRDEIWDMVKPVDPLKITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >Vigun09g180500.1.v1.2 pep primary_assembly:ASM411807v1:9:35316224:35323797:1 gene:Vigun09g180500.v1.2 transcript:Vigun09g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSTSSLRCSFALRNPKLQPLFSMSLSSRLPLHFSGNARFNRNHVHHHPTALRIRASNANSSGSGTSVVLLSSAVTVTTAVANRVLYKLALVPMKEYPFFLAQFITFGYVVIYFSILYIRYRARIVTDEMMAIPKSRFVAIGFLEALGLVAGMSAGALLPGPVIPILNQTFLVWQLMFTALLLKRKYTINQLVGCLLVASGVIVAITSGSNAGQMLSEVQFFWPALMIISCSFQAWASVIKEHIFIDSATRLKHKSLDIFVVNSFGSGFQALFVFLSLPLLSNLRGIPFAELPSYFKSGAGCFLNLGAGKPGCDGAPLLPLLYIITNLAFNISLLNVVKTSSAVVASLLVMLSVPISVYILSLPLPYLPEGTSLSPFFLLGCGILLCGLLLYNTSRPVRNSSEVD >Vigun05g237000.1.v1.2 pep primary_assembly:ASM411807v1:5:43008459:43012680:1 gene:Vigun05g237000.v1.2 transcript:Vigun05g237000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRECNVENENLCVQTPTFIEWLKPSSSSVISSISSSPSPLSQHDQETIQFLPFKENHEKDGLEVKEEKVEQVTVALHIGLPHTEEYDADNHEATEKKITHVKEEEPFKKSFHGCSFNQERRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCSKSFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGNGHSPHPSHEGFVEDEKECVTASDEDEVAHT >Vigun03g070500.1.v1.2 pep primary_assembly:ASM411807v1:3:5827140:5832667:1 gene:Vigun03g070500.v1.2 transcript:Vigun03g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAGHGLQLSAVVQHEKIGRRPGAGRLGMSREPQRIRVFVSTRLSSTMMRRRTTALEVSSSYDNVSASILESGHVRSPLDEELILKNRSQEIQPYLNGRCIYLVGMMGSGKTTVGKIMSQVLGYSFCDSDTLVEEEVGGNSVADIFKHHGEPFFRNKETEVLRKLSLMRQLVISTGGGAVIRPINWKYMHKGVSIWLDVPLEALARRIAAVGTDSRPLLHYEAGDPYSRAFMRLSAIFDERGESYANANARVSLKNIAIKLGKRDVSELSPTDIAIEALEQIDNFLKGEGDSYAEC >Vigun05g055700.1.v1.2 pep primary_assembly:ASM411807v1:5:4768149:4769878:1 gene:Vigun05g055700.v1.2 transcript:Vigun05g055700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQEEGFLLPTPLAKLLSFQTDLIYNGLVSVFSPIFSLFAVASESYHRAEETTHSVESAVQRVPSQITHGGTLLLKKLGLCFLSVAYVCMILIFLLFLATVVGVGLVRFWVEEPVSVKEKLHFDYTQPHPTALFLFNGFTSYKGHLRRKQISVPVGHTFFASLLLLMPESDFNRELGVFQLTAELVSVDEHVIAKSSQPCMLRFRSSAIRLARSFLMGVPLVMGISGETQNIDVEILRHKEDYRRSNAIRVTLHPRAGTWNLPELYEAEIVMRSNLPWTKELVRNWKWTFYVWMSLYVYIGLLLTLLCCYRPVLFMVTPQHCSSEVAIARGEDGNEVCELLRKWRRSRGKRKSRVTHGGVAESVVGSSASSISMTTTREDVTSVSVEDDVEDSESVCLS >Vigun11g179700.1.v1.2 pep primary_assembly:ASM411807v1:11:38370130:38371771:1 gene:Vigun11g179700.v1.2 transcript:Vigun11g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCHKEVASLFCPSDEAALCHACDRTIHHANKLADKHKRLSLSNPTSKSTTTLCDICHEKRAYVFCREDRALLCRQCDVSIHDVNEHTKTHDRFLLTGIKLEEKTRTTVSNENIGSFGSSRTLCDTSSVSTSSISEYLIQTIPGYCMEDLLDASFATSNAFSKDYEFPNEDVQVSMCSIPLQSQFSSGSNLCPQFDSLVGVIEMPKAKAGEGYSNWVHDSDYAAYKVPSITHPLVKKSKRSR >Vigun01g223400.2.v1.2 pep primary_assembly:ASM411807v1:1:39692454:39695446:1 gene:Vigun01g223400.v1.2 transcript:Vigun01g223400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuProT2 MGRGDIELEPNKVYDHHGAPDVEVPSTAHQISSDSWFQVGFILTTGINSAYVLGYSGTVMVPLGWIGGVVGLVLATIISLYANALIAQLHEHGGQRHIRYRDLAGFVYGKKAYSLTWALQYVNLFMINTGYIILAGSALKATYVLFRDDGLLKLPYCIAIAGLVCAMFAICIPHLSALGIWLGFSTIFSLVYIIIAFLLSLKDGLHSPPRDYNLLGDGFSKVFTIIGASANLVFAFNTGMLPEIQATIRQPVVKNMMRALYFQFTVGVLPLYLVTFTGYWAYGSKTSVYLLNSVNGPVWVKAFANITAFLQSVIALHIFASPMYEFLDTKYGIKGSALNVKNMSFRIVVRGGYLAFNTFVSAFLPFLGDFMSLTGAISTFPLTFILANHMYLKAKKDKLTTSQKLWHWLNIGFFSIMSFVATIAAIRLIAVDSKNYHVFADV >Vigun01g223400.1.v1.2 pep primary_assembly:ASM411807v1:1:39689763:39695446:1 gene:Vigun01g223400.v1.2 transcript:Vigun01g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuProT2 MGRGDIELEPNKVYDHHGAPDVEVPSTAHQISSDSWFQVGFILTTGINSAYVLGYSGTVMVPLGWIGGVVGLVLATIISLYANALIAQLHEHGGQRHIRYRDLAGFVYGKKAYSLTWALQYVNLFMINTGYIILAGSALKATYVLFRDDGLLKLPYCIAIAGLVCAMFAICIPHLSALGIWLGFSTIFSLVYIIIAFLLSLKDGLHSPPRDYNLLGDGFSKVFTIIGASANLVFAFNTGMLPEIQATIRQPVVKNMMRALYFQFTVGVLPLYLVTFTGYWAYGSKTSVYLLNSVNGPVWVKAFANITAFLQSVIALHIFASPMYEFLDTKYGIKGSALNVKNMSFRIVVRGGYLAFNTFVSAFLPFLGDFMSLTGAISTFPLTFILANHMYLKAKKDKLTTSQKLWHWLNIGFFSIMSFVATIAAIRLIAVDSKNYHVFADV >Vigun03g407200.1.v1.2 pep primary_assembly:ASM411807v1:3:61455821:61459377:-1 gene:Vigun03g407200.v1.2 transcript:Vigun03g407200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQWVALHYPIRHALSKRTVSLPPAHLLSEVLRRRTVSGGGGRWCGPTACAVEVSEGGKMVMELVGVFNELTERMNVLSTSSSRLLFKCLKLSIPILQASPLSPDGRSPLSKALSVAMLLADLQMDAEVISAGILREVLEAGHLSLHEIRNQIGLATAHLLHESLRVNNIPSRIDVVDDDNAAALRKFCLTYYDIRALILDLALKLDTMRHLDYLPRYQQQIISLQVMKLHAPLAHAVGTTYLSLELEDLSFQYLFPYSYLYLDAWLRSHETGGVSLIDIYKEELLQTLKADPLLSELVDGISVKGRYKSRYSTMKKLLKDGRKPEDVNDVLGMRVILNPKGGENASEAGERACYRSHQIIQSMWKEIPYRTKDYIARPKANGYKSLHMAVDVSENGKIRPLMEIQIRTTEMDRLAVGGTAAHSLYKAGLTDPEEAKRLKTIMLAAAELAALRLKDFPGTNHKGTETDQRDRVFRLLDKNGDGKISIEELTEVMEELGAPGEDAREMMQLLDSNSDGSLSCDEFHMFQEQVELVRNLEARDDQYKKILDEKLRVSDESGLIQVYNKEFGNRLAS >Vigun06g226700.1.v1.2 pep primary_assembly:ASM411807v1:6:33464524:33465666:1 gene:Vigun06g226700.v1.2 transcript:Vigun06g226700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Vigun05g045600.1.v1.2 pep primary_assembly:ASM411807v1:5:3785191:3786610:-1 gene:Vigun05g045600.v1.2 transcript:Vigun05g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFEKAEVVRLRSHHNKYLLADDDKDGVYQDRNGTYKNAKWSVEIADDSNWIRLKSCYGKYLTASNMPFLLGATGKKVTQTLPTRLNSSLAWEPVRQGAHVRLKTRYGQFLRANKGLPPWKNSITHDIPQRTSTINWILWDVDLVQLRPAPPKPISLHPPISEYPSHSPPDDDDASFSIHLRSPDLPTTQDQEAVSPIKDGRIVFYNVEQHGYASKESEEKFFSFNGSSVEDLKEKLKEETGYDDIVVCCRNPFNTELHPLRLQLPPNNADMHVVVITSSCNA >Vigun06g225900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33407311:33408696:-1 gene:Vigun06g225900.v1.2 transcript:Vigun06g225900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNGKTDKPVHVAMLPWLAMGHIYPFFELAKILAEKGHRVTFINSPKNIDRIPKAPKTLQPLITLVRIPLPPTQHLQEGAESSMDIPHTKVCFLKKAFDGLREPVADLIKTSKPDWILYDFAASWVPEIARSLNILCAYYNIVPAWNICLLTPPKNQIKSNQQRCGPPKRLHLRPYELMRAYAGAKDEETGERLSVDFDKTYSSCDLFLVRSSRELEGEWLDYLAATYKVPVVPVGLLPPSMQIRDVEEEDKNPDWLTIKDWLDTQESSSVVYVGFGSELKLSQEDLSELANGIELSGLPFFWALKNLKEGVLELPEGFEERTKDRGIVWKSWAPQLKILAHGAIGGCMSHCGAGSVIEKLHFGHVLVTLPYLLDQALFSRVVEEKKVGIEVPRSEKDGSFTRDSVAKTLRFAIVEEEGISLRKNAKEMGKVFSSEELHNQYIEGFIAALHECRISSIS >Vigun01g121000.2.v1.2 pep primary_assembly:ASM411807v1:1:29787146:29790754:1 gene:Vigun01g121000.v1.2 transcript:Vigun01g121000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSRYQLQQQHQPNSGLLRFRSAPTQVLANFKQGEASSINGNPWEGSEPLFRFFNSGDTLDTTSPTVREFVDNKVSNDNKQPKESTISSSSPSLSPLSRMNSQQGYSTINTSVLPSRYPRHDSSVTVSSSMMLGSVGMDQSGKSFNPNLLRQSSFPSGNFSNSISFHNGYDAMKGVENFGGVNGSDGEHRISMNRMKNHISFSPSSLGILSPTSKMCTEGLKVTSPEDGRHGGSNGDARYYGSGFPYTSWNETSNPKRQRNSNDELLSEAQNGELGNQVHSLSHHLSLPRTSSDMFAMDNLLQFNDSVPCKIRAKRGFATHPRSIAERVRRTRISERIRKLQELVPNMEKQTSTAEMLDLAVDYIKNLQNQFKNLSERRAKCKCINMQKPETDKIA >Vigun01g121000.1.v1.2 pep primary_assembly:ASM411807v1:1:29787146:29790754:1 gene:Vigun01g121000.v1.2 transcript:Vigun01g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSRYQLQQQHQPNSGLLRFRSAPTQVLANFKQGEASSINGNPWEGSEPLFRFFNSGDTLDTTSPTVREFVDNKVSNDNKQPKESTISSSSPSLSPLSRMNSQQGYSTINTSVLPSRYPRHDSSVTVSSSMMLGSVGMDQSGKSFNPNLLRQSSFPSGNFSNSISFHNGYDAMKGVENFGGVNGSDGEHRISMNRMKNHISFSPSSLGILSPTSKMCTEGLKVTSPEDGRHGGSNGDARYYGSGFPYTSWNETSNPKRQRNSNDELLSEAQNGELGNQVHSLSHHLSLPRTSSDMFAMDNLLQFNDSVPCKIRAKRGFATHPRSIAERVRRTRISERIRKLQELVPNMEKQTSTAEMLDLAVDYIKNLQNQFKNLSERRAKCKCINMQKPETDKIA >Vigun01g121000.4.v1.2 pep primary_assembly:ASM411807v1:1:29787133:29790754:1 gene:Vigun01g121000.v1.2 transcript:Vigun01g121000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSRYQLQQQHQPNSGLLRFRSAPTQVLANFKQGEASSINGNPWEGSEPLFRFFNSGDTLDTTSPTVREFVDNKVSNDNKQPKESTISSSSPSLSPLSRMNSQQGYSTINTSVLPSRYPRHDSSVTVSSSMMLGSVGMDQSGKSFNPNLLRQSSFPSGNFSNSISFHNGYDAMKGVENFGGVNGSDGEHRISMNRMKNHISFSPSSLGILSPTSKMCTEGLKVTSPEDGRHGGSNGDARYYGSGFPYTSWNETSNPKRQRNSNDELLSEAQNGELGNQVHSLSHHLSLPRTSSDMFAMDNLLQFNDSVPCKIRAKRGFATHPRSIAERVRRTRISERIRKLQELVPNMEKQTSTAEMLDLAVDYIKNLQNQFKNLSERRAKCKCINMQKPETDKIA >Vigun11g134000.1.v1.2 pep primary_assembly:ASM411807v1:11:34370464:34372208:1 gene:Vigun11g134000.v1.2 transcript:Vigun11g134000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKMLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQSMLVK >Vigun07g048300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4991387:4992335:-1 gene:Vigun07g048300.v1.2 transcript:Vigun07g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPPSLTPETTPLGYQLFTRIRLATPSDIPHIHKLIHQMAVFERLTHLFSATESSLSATLFSPTVQPFQSFTILLLEASPTPFPVSTFDSNPFFKPITELVNLRLPYEDPERDTFKSMDDVSVVGFVMFFPNYSTFLGKAGFYVENLYVRECYRRMGFGKMLFCAVAKEAVKRNYGRVDWVVLDWNVNAIRFYEEMGGEVVEEYKFFRLTGKDLQAFGHTH >Vigun11g050100.1.v1.2 pep primary_assembly:ASM411807v1:11:8131967:8144631:-1 gene:Vigun11g050100.v1.2 transcript:Vigun11g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEPLDLLRSNLARVRIPEPTNRIYKHECCVSFDTPRSEGGLFIDMCTFLAFGKDFVGWNYEKTGNPVYLHIKQTKKLVPEDRPSKKPTLLAIGIDGGFDNNEPEYEETHSVVILPEYVSLPFPSVELPEKVRLAVDAILLAEGAERKEELAAWTADKKQVSSYAMNLQQIDNGVVIPPSGWKCAKCDKTENLWLNLTDGMILCGRKNWDGTGGNNHAVEHYRETGYPLAVKLGTVTADLEAADVFSYPEDESVLDPQLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVYPIFGPGYTGLVNIGNSCYMAANMQVVFSTRSFISRYYLSQSLKKAFEMSPADPTVDLNMQLTKLAHGLLSGKYSVPAFENDEKENTVTSTTTAKQEGIRPRMFKSVIAASHPEFSSTRQQDALEFFLHFIDQVERANTGKIELDPSRSFKFGIEDRILCSSGKVTYNRRNDYILSLNIPLHEATNKGELESFQKLKEERLAEGKEINADEIVRPRVPLETCLANFSAPEEIHDFYSTALKTKTTALKTAGLTSFPDYLVLHMRRFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGHQPGEELLPDGVPDEEDSNKMWANEEIVAQLVSMGFNPLHCQKAAINTSNVGVEEAMNWLLSHMDDPDIDLPISKGHGSKTSTIVDQSKVDTLISFGFQEEIARKALEASGGDIEKATDWIFNNPNASVSSMDATPSNAASTSNDVDLPDGGGKYRLIGIVSHSGTSTLCGHYVAHVLKDGRWVIFNDNKVGASINPPKEMGYLYFFERL >Vigun08g062100.1.v1.2 pep primary_assembly:ASM411807v1:8:8426944:8430413:-1 gene:Vigun08g062100.v1.2 transcript:Vigun08g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRGGGGGGFRGGRGDRGRGRGGGGRGGDRGTPFKARGGGRGGGRGGGRGGGRGGGRGGMKGGSKVVVQPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRITVQNEDGSKEEYRIWNPFRSKLAAAILGGVDNIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKSGGHFVISIKANCIDSTVPAEAVFESEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRMPKKKKDTAA >Vigun08g110000.1.v1.2 pep primary_assembly:ASM411807v1:8:27334957:27339480:-1 gene:Vigun08g110000.v1.2 transcript:Vigun08g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALETQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDSQENVGRVKIVACDSKLLTQ >Vigun09g240300.1.v1.2 pep primary_assembly:ASM411807v1:9:41026249:41035239:1 gene:Vigun09g240300.v1.2 transcript:Vigun09g240300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAQFSLSPLSFPPSPLPLRRRIFLAPPPTTAAASATDAVSTSTPSSASNYAPKVVVTRERGKNAKLIAALAKHEINCLELPLIEHTQGPDLDRLPSVLGDNAFDWVVITSPEAGSVFLEAWRASGMPHVKIGVVGTGTASIFEETLQSSNRSLDIAFVPSRATGKVLATELPKTGNKCTVLYPASAKASNEIEDGLSKRGFEVTRMNTYTTVPVQHVDHMVLKLAFDAPVLTAASPSAIRAWKNLLSDLEWNNSVACIGETTAAMARSLGFSNVFNPTQPGLEGWVESILEALGSYDEVLR >Vigun09g240300.2.v1.2 pep primary_assembly:ASM411807v1:9:41026248:41032053:1 gene:Vigun09g240300.v1.2 transcript:Vigun09g240300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAQFSLSPLSFPPSPLPLRRRIFLAPPPTTAAASATDAVSTSTPSSASNYAPKVVVTRERGKNAKLIAALAKHEINCLELPLIEHTQGPDLDRLPSVLGDNAFDWVVITSPEAGSVFLEAWRASGMPHVKIGVVGTGTASIFEETLQSSNRSLDIAFVPSRATGKVLATELPKTGNKCTVLYPASAKASNEIEDGLSKRGFEVTRMNTYTTVHCFSHTSSLQALNILLVFHMISWVKLQV >Vigun05g140950.1.v1.2 pep primary_assembly:ASM411807v1:5:17463528:17465001:-1 gene:Vigun05g140950.v1.2 transcript:Vigun05g140950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLAVRIVDLWFVESWDSKRNMEMILTDQKGDVIPAMIKKEDIATWEDKLKEGKSYIMHNFKIHNNRAQYRVCDHPFKLFIPAKVWKFKSIKDIIDGNYSADWLVNVIGVVDNVEEKPSSKNVVFDLKDLSGASICCTLWDSYCMRLVSYWRESRETPYPAIILTQAKIKATSGPWPVSLSNCWNGSKLILGDDISELTEFRKEFSKTTAYEIFEEGSQYSGSSQISHVDRFMYKTIVKSVSEILTMIEEISCVTVAHTLKFNLGNDGWSYLVCNLCAKRTYEVGSFKCLNCDGYNEYPK >Vigun05g132600.1.v1.2 pep primary_assembly:ASM411807v1:5:15578575:15589149:-1 gene:Vigun05g132600.v1.2 transcript:Vigun05g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLSVHGGEKRKSDETESAEQKGKIVIEGALSMGIHPHTKQQKITRDVLPFARSYQLEALDKAIHENTIVYLETGSGKTLIAIMLLRSYAHHLRKPSPFIAVFLVPQVVLVSQQAEVVKMHTDLKVGVYWGDMGVDFWDAATWEQEMDKHEVLIMTPVILLNCLRHSFLKLNMIKVLIMDECHHARGKHPYACIMTEFYHHQLQSGSSDLPRIFGMTASPIKTKVGKSESSLSENIQKLMTLMHSKVYTCASEAVITQFIPTSTPKLKFYNDNGIQFVLFEEIASKLKMLKEQHELTLESSDFTGSVAESAQKRITKMFDALIFSLGELGVWLALKAADCLSSSEFDSFSWGHSGDKVVKNFILACIDTLKSYLQCDAKWSIGDNIKFDLEMGLLTSKVCCLIDSILDYRGLRDMRCIVFVERIITAIVLEDLFNTLLPKYNTWKTKFIAGNNFGLKNQSRNKQNKIVEEFRMGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFIQSRGRARMKNSDYILMVERGDSATRTRLEKYLASADIMRKESLRQSSLPCDPFKGDDFDKEAYHVASTGAIANLSSGISLIYLYCSRLPADGYFKPTPRWDKETGTLYLPKSCPLQPIRVVGDKKHLKNMACLEACKQLHKIGALTDNLVPDIVIQAAEVEEFGNEPYDDIQPTYIPFGLVSCVSTNSDTTYHCYLMELSQNFSYDISVQDIFLAMRIKLDPEIVCSQFDMGFDRGSVSVKLRYKGTINLSQDQVLLCKNFQVTLLRILIHHDMNELPIGLDKSYLQDDVGIDYLLLPAIGKGEKSLVNWLAINSVNASKFTCKCHQPHIRTKSGLVCTCKLQNALVCTSHAIGKNYFYITTGLMELDGNSPLELRTGGVTTYKNYYEQHHGISLQFEHQQLLKARHNFQAKNYCDGRKQRKEGEASKAFVELPPELCSIIMSPIQDSIIYSFSFIPSIMHRIESLVGAFNLKKMHLFHCAQNETEIMKVLEAITSKKCQEPFHYESLETLGDSFLKYAASQQLFKTYESDHEGLLSLKREKMISNATLCKLGCSSGLPGFIRNEPFDPHSWIIPGDKSGSFKIEELIIKGKQIYISGKRKLKRKLIADVVEALIGAFLSTGGEKAALLFMDWIGIKVNFDQVPYERHFDIQPEKLINVSHLESQLNYSFHDNTLLVEALTHGSYMLPEVPRCYQRLEFLGDSVLDYLITWHLYKKYPGMSPGQLTDMRSASVNNDCYAWSAIKHGLHKHVLHASQELHKHIAISLNSFDKLSSSSTFGYESETSLPKVLGDIIESLAGAILVDSGYNKEVVWESIRPLLEPLVTPDTLKLHPIRELHELIQKRNYNIIQEVVNRKDGVTTYRMEVEADEIIHQYEYLGHALKDTAKKIVYKEILNSLKQEKLL >Vigun02g089200.1.v1.2 pep primary_assembly:ASM411807v1:2:24387820:24392885:-1 gene:Vigun02g089200.v1.2 transcript:Vigun02g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNSYVDSQFLTPRRSPRFLPQLNHTTTVNPKSATVSVKKSNDSTVGSRISPKLNNGDVGFSSLRRSPRFKNEPSDKKVKGTRVKGGEAETKENCVVLDEGFGRVRNRERKEKRVEVKTLDNHDALDEGRRRRRKKERNKGSRDGVNTQGNLVISDEGTGGGEKKGVIGKRVEVKTTRNGVVSDEGAGGGRKKGGNREIVGVKTEENRVVCDEGFGGERKKGRNGDKRKRNDDEIRKGWTKEQDLALQSAYLTAKPSPHFWKNVSKLVPGKSQQECFDRIHIDHATPVQLQPRSRAKTLKSPIHEFSLSASKLLDPIDIKVRRSNVLKPKNIMTQKSVEKQLQRKLVGDLDGAGDIFSVLEPNIDLSTNVLQPSESLFTPKQLKENKGFLQSCTETSSSSGNKVLSRFSGSHNTNLVSPPVLKKVKNRVLHEKYVNQLRCRESKRRAASMKIIGEGRSIKRKDAVKAAKVALVSEARDAINKFRQSQVNVMDNGCSSDELNDDSIQYEDESQ >Vigun10g028300.1.v1.2 pep primary_assembly:ASM411807v1:10:3459961:3464554:-1 gene:Vigun10g028300.v1.2 transcript:Vigun10g028300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLTLPLAFAGRHRHRVSQRRLFFFGKFCDGVSISSSASKRRVLAKAQNAVNEEVVETQSSELKNGTQCTPSSSKLVLVVGGSGGVGQLVVASLLQQNIKSRLILRNPEKATELFGEQDKEKLQVFKGDTRNQEDLDPSIFEGVTHVICCTGTTAFPSRRWGDDNTPERVDWVGVKNLVSALPSSVKRVVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFLRSSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLVGQGDKLVGEASRIVVAEACVQALDLEVTENQVYEINSVEGEGPGNEAEKWKELFEAAQSS >Vigun10g028300.2.v1.2 pep primary_assembly:ASM411807v1:10:3459960:3464554:-1 gene:Vigun10g028300.v1.2 transcript:Vigun10g028300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNALLLPPNWCLLLVAPEALLVVASLLQQNIKSRLILRNPEKATELFGEQDKEKLQVFKGDTRNQEDLDPSIFEGVTHVICCTGTTAFPSRRWGDDNTPERVDWVGVKNLVSALPSSVKRVVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFLRSSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLVGQGDKLVGEASRIVVAEACVQALDLEVTENQVYEINSVEGEGPGNEAEKWKELFEAAQSS >Vigun11g198200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39676434:39678973:1 gene:Vigun11g198200.v1.2 transcript:Vigun11g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLRSRRRPRRGVFLCVVVSGLLLLVCVSVSLLVRRATLSHPSRHVNFDSLLSDSVNDDFIAGEETIDTIDALDVVEEEPEDAIDADADDDEPLDRNSGVSGYFFNHAEGVIRRAISKSSFMEDDDEGPFVDPEDGGKTVFGSDDVAVEEKVRSKVLQVKGVEDALLLKGMGRRVSPLREGWGDWFDKKSDFLRKDKMLRSSLEGLNPLRNPIIQDPDAVGVTGITKADKIIRNFMLHDVKTRKITHQSNF >Vigun05g186100.1.v1.2 pep primary_assembly:ASM411807v1:5:35891511:35895689:-1 gene:Vigun05g186100.v1.2 transcript:Vigun05g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSGVDTFADRFRHALSCNNDAGNINKPDFRELDLGSPVSPLRTTRAPAASTSSSSSGSFSGRTGPNQVPRKPESSLTNSNTNNSCSSNHNNNNSGELSGSSENSPTARGFKPGHTRSDSGSAPGPLIYSGQTATSPAMNVLPTGNICPSGKILKTGMGMVANRSSRSDVLGSGMGNYGHGSIMRGGGKAEPVSSRGGGGNEAVKRGGHVQGVDPEELKRLGNECYKRGNFADALSLYDRAIAMSPASVAYRSNRAAALTGLGRLGEAVRECEEAVRLDPNYGRAHQRLASLFLRLGQVENARKHLCYPGMQADPSEMQKLQVVEKHISKCGDVRRIGDWKSVLREVDAAVAAGADSSPQLFLCRAEAFLKLHQIDDAETVLSHIPKSELHTSSTSQARFFGMLSEAYFYFVRAQIEMALGRFENAVTAAEKASGIDPRSVEVAVLLNNVRMVARARMRGNDLFKSERYTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGQWERSIEDSNQALHIQPNYTKALLRRAASNSKLERWEEAVKDYEVLRRELPNDNEVAESLFHAQVALKKSRGEEVYNLKFGGEVEEVSGLEQFRAAISLPGVCVVHFEVASNSQCKQISPFVDTLCGRYPSINFLKVDIEENPGVATAENVRIVPTLKIYKNGSRVKEMVCPSREMLEHSVRHYSF >Vigun05g130800.4.v1.2 pep primary_assembly:ASM411807v1:5:15194578:15198185:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGNCS >Vigun05g130800.3.v1.2 pep primary_assembly:ASM411807v1:5:15194578:15198185:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGT >Vigun05g130800.2.v1.2 pep primary_assembly:ASM411807v1:5:15194578:15198185:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGT >Vigun05g130800.7.v1.2 pep primary_assembly:ASM411807v1:5:15194579:15198184:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLVLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNVPSLINQGIYDPKLHKYFYRCIHIGLLCVQEYAADRPNMATVISMLNSEIPDLPPPRKPAFILRENMLSSLSYVRGYDLNSLNSISISDIHGR >Vigun05g130800.1.v1.2 pep primary_assembly:ASM411807v1:5:15194579:15198184:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLVLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNVPSLINQGIYDPKLHKYFYRCIHIGLLCVQEYAADRPNMATVISMLNSEIPDLPPPRKPAFILRENMLSSLSYVRGYDLNSLNSISISDIHGR >Vigun05g130800.6.v1.2 pep primary_assembly:ASM411807v1:5:15194579:15198184:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHVEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLVLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNVPSLINQGIYDPKLHKYFYRCIHIGLLCVQEYAADRPNMATVISMLNSEIPDLPPPRKPAFILRENMLSSLSYVRGYDLNSLNSISISDIHGR >Vigun05g130800.5.v1.2 pep primary_assembly:ASM411807v1:5:15194579:15198184:-1 gene:Vigun05g130800.v1.2 transcript:Vigun05g130800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNYKNMFFAFIITCFLFSDIVCATDTITSSQFIKDNETITSSGGNYTLGFFTPHNSTKRYVGIWWQPKFTVVWVANRNKPLNDSSGVVTISKDGDLEVLNGQNHVIWSTNVSNVGSNTTSKLLDSGNLVLRENSSGTIIWESFQHPSNALLTNMKISTNKVTGEKVKLTSWRSPSDPSTGSFSISVERLSIPEVFMWNETRPFWRTGQWNKKIFTGMPYMKTYYLEGGHVGDDGEGNVEFHYKGVQEIGFTIYIVNSAGNYEERWWDGERKEWVVTWNSHQFECDVYGVCGPFAVCNSESSPTCSCLKGFEPRNKEEWNRKNWTSGCFRRTPLQCERDSNQNKSADHTEDGFLEMKMVKVPDFADGSSLRLQPDMCRSRCLENCSCIAYSYDADIGCMSWTENLIDITQFSNGGLDLHVRVAYTELAEKERNRTIIIIVTGTVGTTLILICAYIMWKRISTGHEIIKRFLQFNGGGAPQEYINDNAFGDLSQVKLQELLTIRFENLVTATNKFHASNKLGEGGFGPVYKGQLNDGREIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCIEGEEKMLIYEYMPNNSLDKYIFDPSKDKVLHWRKRFSIIEGVARGMLYLHRDSRLKIIHRDLKASNILLDVELNPKISDFGMARIFGGNEDEANTKRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLVLEIVSGRRNSSFYDEENYLTLLGFAWIQWTEDNVPSLINQGIYDPKLHKYFYRCIHIGLLCVQEYAADRPNMATVISMLNSEIPDLPPPRKPAFILRENMLSSLSYVRGYDLNSLNSISISDIHGR >Vigun03g259400.1.v1.2 pep primary_assembly:ASM411807v1:3:42533307:42543039:1 gene:Vigun03g259400.v1.2 transcript:Vigun03g259400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGMSDLKSKLSQSHETWKQNIERSQSQVDILEARIMEVKACIHGSEEDARKDLEVLWRRVKTTSTVLSYLRSKARIMAVPYLAHTSCGIKKLDGVGLVDKDGIPLSGWSRNVDLSSFDDPGEESWIGINRQLGSLDEQDAVYIGEILKSVQMVTDVMEALVKRVLLAESETRMEKEKVSLGQEENMRKSAQLESMSMKLEEMERFALGTNGILNDMRQRVADLVEETTRQRQRASENEEELSRVKREFESLKSYVSSLITVRETLLSSEKQFQTIERLFERLVGKTTQLEGEKMQKEAEVQKLMQENVRLSAMLDKKEAQLLALNEQCKMMALSSSNL >Vigun03g259400.2.v1.2 pep primary_assembly:ASM411807v1:3:42533673:42543011:1 gene:Vigun03g259400.v1.2 transcript:Vigun03g259400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGMSDLKSKLSQSHETWKQNIERSQSQVDILEARIMEVKACIHGSEEDARKDLEVLWRRVKTTSTVLSYLRSKARIMAVPYLAHTSCGIKKLDGVGLVDKDGIPLSGWSRNVDLSSFDDPGEESWIGINRQLGSLDEQDAVYIGEILKSVQMVTDVMEALVKRVLLAESETRMEKEKVSLGQEENMRKSAQLESMSMKLEEMERFALGTNGILNDMRQRVADLVEETTRQRQRASENEEELSRVKREFESLKSYVSSLITVRETLLSSEKQFQTIERLFERLVGKTTQLEGEKMQKEAEVQKLMQENVRLSAMLDKKEAQLLALNEQCKMMALSSSNL >Vigun06g140500.1.v1.2 pep primary_assembly:ASM411807v1:6:26588708:26594613:1 gene:Vigun06g140500.v1.2 transcript:Vigun06g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLHKDSANNQMDSSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQIQTASAGTTTDNSCESVVMSGQNQQQNPTPQHPNRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRQSWYRDCRCVEVLSIVPTGNGGTIELMYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPSTTFIRAEMLPSGYLIRPCEGGGSIIHIVDHIDLDVWSVPEVLRPLYESSKILAQKLTIAALQHIRQIAQESSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMGTDGVEDVTIAINSSPNKFLGSNYNASMFPAFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKASPYAVPCARPGGFPSSQVILPLAHTIEHEEFLEVVRIEGHAFSPEDVTLARDMYLLQLCSGVDENAIGACAQLVFAPIDESFADDALLLPSGFRVIPLDPKSDGPAATRTLDLASTMEVGSANARPAGEADLNGYNLRSVLTIAFQFTFENHTRDNVAAMARQYVRSVVGSVQRVAMAIAPSRLSTQLGPKSLPGSPEALTLARWISRSYRIHTGTELFRAESTAGDAILKQLWHHSDAIMCCSVKTNASPVFTFANQAGLDMLETTLVALQDIMLDKVLDESGRKILCSEFSKIMQQGFAYLPAGICVSSMNRPVSYEQAIAWKVLNDDDSNHCLAFMFMSWSFV >Vigun04g111600.1.v1.2 pep primary_assembly:ASM411807v1:4:28015391:28021322:-1 gene:Vigun04g111600.v1.2 transcript:Vigun04g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPISLRPFFFLCPKSYSFSRNCKFLHQNFPKPFSKDYKLRCQNLSFVAFSNTALSYNYSSDDGDANGIDFLHLMEERGVRANCQTYLWLLDGCLSSGSLTNGWKLHAKILKMGFCAEVVLCEHLMDLYIALGDLDGTIKTFDEMPVRPLSCWNKVLHSFVAGKMTGHVLGLFRQMVRENVKPDERTYAGVLRACGGGDVPFNYVEQIHATTISHGYENSLSVSNPLMDLYFKNGFLNSAKKVFDGLQKRDSVSWVAVISGLSQSGCEEEAILRFCQMHKLGVYPTPYIFSSVLSACNKIKLFKLGEQLHSLVLKQGFSSETYVCNALVTLYSRLGNFISAEQVFNAMSQRDEVSYNSLISGLAQQGYSDRALGLFKRMRLDCLKPDCVTVASLLSACSSVGDLLVGKQFHSYAIKAGMSSDIILEGSLLDLYVKCSDVKTAHEFFLSTETENVVLWNVMLVAYGQIDNLNESFKIFTQMQMEGIVPNEFTYPSILRTCTSLKALDLGEQIHTQVLKTGFQFNVYVSSVLIDMYAKLGNLYTALKILRRLKEKDVVSWTAMIAGYAQHEKFVEALNLFKEMQDEGIQSDNIGFASAISACAGILALNQGQQIHAQACVCGYSDDYSVGNALVGLYARCGKVRQAYFTFDKIFAKDNISWNSLISGFAQSGHCEDALSVFSEMNKAALEMNSFTFGSAVSAAANVANIKVGKQIHAMILKTGYDSETEVSNVLITLYAKCGTIEDAKRQFFEMPEKNEVSWNAMLTGYSQHGYGFEALSLFEEMKQLDVLPNHVTFVGVLSACSHVGLADEGISYFQSMSEVHGLVPKPEHYACVVDLLGRSGLLSRARRFVEEMPIQPDAMVWRTLLSACILHKNIDIGEFAASHLLELEPEDSATYVLLSNMYAVTGKWGCRDRTRQMMKDRGVKKEPGRSWIEVNNSIHAFFAGDQKHPHVDKIYEYLSDLSEKTAEKGYIPQTNSLLNDVERLKKGPTQIIHSEKLAIAFGLLSLSSSSPIHVFKNLRVCGDCHNWIKYVSKISDRVIVVRDSYRFHHFKDGFCSCKDYW >Vigun04g072400.1.v1.2 pep primary_assembly:ASM411807v1:4:8428444:8431482:-1 gene:Vigun04g072400.v1.2 transcript:Vigun04g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISAFLRSTPDSSSPNNDHDLSTWENQQHHIINTYTRRRPNPDPGTSDPKPSTLVKNKKRSYAQFHLDFGQSDFLLRSCSTCGIKFTPGDPQDEKSHNEFHKSYTQGIQFRGWTKENVIPLPSLDSGRVVLFSETDRASHRKKVEEVVRMMEIEIGSGWILHERCKVYLFISLNRVVGCLVAEPIEKAFKIVSGSVVGSVHSAKKRGVTTRSTTLQFGNVIFQREVHRKVANVSDSEKMEGAIFCDSEPTAAACGIRAIWVTPSNRRKGIAIQLLDAVRKSFCPGVELERSQLAFSQPTSAGKALAASYTGTGSFLAY >Vigun05g167600.2.v1.2 pep primary_assembly:ASM411807v1:5:28234686:28239404:1 gene:Vigun05g167600.v1.2 transcript:Vigun05g167600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTPVENNYLKNASEEELQRQKAIDDWLPITSSRNAKWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAMANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLNFNPSRVLRFIVRNLYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFGLSWWTNWICIVLGLMLMILSPIGGLRSIIIDAKSYHFYS >Vigun05g167600.1.v1.2 pep primary_assembly:ASM411807v1:5:28234686:28239404:1 gene:Vigun05g167600.v1.2 transcript:Vigun05g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTPVENNYLKNASEEELQRQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKFHNTVCDNCKKIKLTFFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASAHKGVQEDVQYGYKAKSTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNGVQDNILISLEKPKWLIAMANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLNFNPSRVLRFIVRNLYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFGLSWWTNWICIVLGLMLMILSPIGGLRSIIIDAKSYHFYS >Vigun09g155500.1.v1.2 pep primary_assembly:ASM411807v1:9:32095715:32101899:1 gene:Vigun09g155500.v1.2 transcript:Vigun09g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKALRLSPCVGAIPSPPLITLGGVSFCAPPLFTTKHCSHFCISTNTRKKLFSPIPLGRKRDSDPEPLLEPSIVQELSFVEEEEQEEEEFLDEYEDALDDNDDEDDGDDEGYYEEEEEAGVPYNVSKAGDGGAGGGISLAGTWWDKKALAIAKEVTLSFDGELQVYAFKTLLNSTIQVRIENLSKKSGSPSMEDIEAFSATYRAKLDEAELAKSVPDNLCLEVSSPGVERIVRIPDDLDRFKDRPMYVKYVISNDPNNPAAESEGVFMLESFDLETKCCTWGLADVKVNRQKSGKGRPLNKKQREWRLSIPFDSLRFVRLHSDI >Vigun06g145300.1.v1.2 pep primary_assembly:ASM411807v1:6:27073502:27077609:-1 gene:Vigun06g145300.v1.2 transcript:Vigun06g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPESSKELEKHAISSHPTRGNSEYVRLAISDEPRAVENEILRPVAVSRIKSFRWWMKAFFWCFVIVVLTLVIVKWGVPFTFEKIIYPIMEWEATTFGRPVLALVLVASLALFPVFFIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLVFRDRIHQWLKKWPKNAAMIRLAGEGNWFHQFQVVALFRVSPFPYTIFNYAIVVTNMRFWPYLCGSIAGMVPEAFIYIYSGRLLKTLADAQYGKHQLTTVEIVYNIISFIVAVVTTIAFTVYAKRALNELKIAEANEEAASVSGSGTLEIEKGSH >Vigun11g173700.1.v1.2 pep primary_assembly:ASM411807v1:11:37891941:37894299:1 gene:Vigun11g173700.v1.2 transcript:Vigun11g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAFAWQYGLFKHLLQPFFSHSNSLIFLSSPHVTQKINTCYQFNTLFSHLPPLLSHFPFNQVNNMAEEGKGVETHKALDDFDPPKKPKTNFYAFGCAILASTTCILLGYDIGVMTGAAIYIKKDLKVSDVKIEILLGIINLYSLIGSCLAGRTSDWIGRRYTIVFAGTIFFAGAILMGFSPNYAFLMFGRFVAGIGIGYALMIGPVYSAEVSPASYRGFLTSFTDAFINGGILLGYISNFAFSKMTLKLGWRMMLGVGAIPSALITLGVLAMPESPRWLVMNGRLGEAAKVLNKTSDSKEEAQLRLAEIKQAAGIPESCNDDVVQVTKQSTGKDVWKELFLYPTPAVRHIVIAALGIYCFQQASGVDAIVLYSPRIFQNAGMTDDTHTLLATVAVGFVKTLFIVLSSLVLDRVGRRPMLLSSVAGMMVSLLTLGIGFTIIERSESKPMWGIGLSIAMVLAFVATFSIGAGPITWVYSAEVFPLRLRAQGVAAGVVVNRVSSGVVTMTFLSLTKAITFGGTFFLYSGVAVIGWLFFYFALPETRGKTLEEMEGSFGHFGGKSNSKNKGVENGNGRVPQVQLGTNLST >Vigun09g039100.1.v1.2 pep primary_assembly:ASM411807v1:9:3509708:3514064:1 gene:Vigun09g039100.v1.2 transcript:Vigun09g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTLFSSFFLAVLVALTVQILFFSPIDPVLLDLKPSTTTRDNKLQNIIKLGDGHVKEPEDLCVDKEGTMYTATRDGWIKRMLRNGNWENWKYIGGDTLIGVTESKEGGIIVCDSDRGLLRVREDGISVLTSHANDGSEIRFADDAIEDWDGNVYFSIVSTKFDMHHWHLDFLEARPHGQLFKYTAQTNESALLLDNLAFANGVALSKDQDFLLICETWRYRCLRYWLKGENKGKTDIFVENLPGAPDNINLAPDGSFWIGLIQLNSERLEFMYNYKITKHLIASSPRLFNFVADLKRRARVVNVGTDGKIIRKLEDSNGKVINFVTSALEFEDNLYFGSLSSNFVGKLPLNAA >Vigun09g177500.1.v1.2 pep primary_assembly:ASM411807v1:9:34977561:34982601:1 gene:Vigun09g177500.v1.2 transcript:Vigun09g177500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETASLHAQTRTPISSLEAMAHHHPHYRSPFGDTTFTKLFVGGLAWETPTEEMRKYFQQFGDILEAVIITDKNTGKSKGYGFVTFCDQESARRACADPNPIIDGRRANCNIASLGRTRPSPPRGRSIVQGGGGTVQSVPGSGPPPSLPPAPAVLYPPYGYAAYTPDYGYHHQATLYNPQIQQPQYYQQVYGASSSTMSTPYYYGYSLQAPRNTFSSPQANRLSPGPSYLYYPTPMEVSFSAYRPLQSPITQNFPSPTDSQSQQRISSETAATSESSNTQGKN >Vigun06g107600.3.v1.2 pep primary_assembly:ASM411807v1:6:23686586:23691517:-1 gene:Vigun06g107600.v1.2 transcript:Vigun06g107600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSYALSKMQMLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWVNESITALFIGVCTGVVILLQSRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAVGTLINCSIITFGVIQIFKRMGVGKSLEIGDYLAIGAIFAATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLNIIDHRIGLHFFGNFFYLFIASTMLGVLAGLLSAYIIKTLYIGRHSTDREVALMMLMAYLSYILAELWYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVLETFIFLYVGMDALDIEKWRFVSDRPKTSVAVSSVLLGLVLAGRAAFVFPLSFLSNLTKKNQSEKISLREQVIIWWAGLMRGAVSMALAYNQFTLSGHTELRTNAIMITSTITVVLVSTVVFGLMTKPLIRFLLPVSPPPKRKNSLGNMESSNPPKSITVPFLGGAHDSENDLDGSEDHRPNTIRALFSNSTHNVHRLWRTFDNSVMRPVFGGRGFVPVATTSPTGRSNSQWH >Vigun06g107600.2.v1.2 pep primary_assembly:ASM411807v1:6:23686586:23691517:-1 gene:Vigun06g107600.v1.2 transcript:Vigun06g107600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSYALSKMQMLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWVNESITALFIGVCTGVVILLQSRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAVGTLINCSIITFGVIQIFKRMGVGKSLEIGDYLAIGAIFAATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLNIIDHRIGLHFFGNFFYLFIASTMLGVLAGLLSAYIIKTLYIGRHSTDREVALMMLMAYLSYILAELWYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVLETFIFLYVGMDALDIEKWRFVSDRPKTSVAVSSVLLGLVLAGRAAFVFPLSFLSNLTKKNQSEKISLREQVIIWWAGLMRGAVSMALAYNQFTLSGHTELRTNAIMITSTITVVLVSTVVFGLMTKPLIRFLLPVSPPPKRKNSLGNMESSNPPKSITVPFLGGAHDSENDLDGSEDHRPNTIRALFSNSTHNVHRLWRTFDNSVMRPVFGGRGFVPVATTSPTGRSNSQWH >Vigun06g107600.5.v1.2 pep primary_assembly:ASM411807v1:6:23686137:23691517:-1 gene:Vigun06g107600.v1.2 transcript:Vigun06g107600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSYALSKMQMLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWVNESITALFIGVCTGVVILLQSRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAVGTLINCSIITFGVIQIFKRMGVGKSLEIGDYLAIGAIFAATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLNIIDHRIGLHFFGNFFYLFIASTMLGVLAGLLSAYIIKTLYIGRHSTDREVALMMLMAYLSYILAELWYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVLETFIFLYVGMDALDIEKWRFVSDRPKTSVAVSSVLLGLVLAGRAAFVFPLSFLSNLTKKNQSEKISLREQVIIWWAGLMRGAVSMALAYNQFTLSGHTELRTNAIMITSTITVVLVSTVVRSMLFVNFLYHVNVHK >Vigun06g107600.4.v1.2 pep primary_assembly:ASM411807v1:6:23686137:23691517:-1 gene:Vigun06g107600.v1.2 transcript:Vigun06g107600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSYALSKMQMLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWVNESITALFIGVCTGVVILLQSRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAVGTLINCSIITFGVIQIFKRMGVGKSLEIGDYLAIGAIFAATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLNIIDHRIGLHFFGNFFYLFIASTMLGVLAGLLSAYIIKTLYIGRHSTDREVALMMLMAYLSYILAELWYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVLETFIFLYVGMDALDIEKWRFVSDRPKTSVAVSSVLLGLVLAGRAAFVFPLSFLSNLTKKNQSEKISLREQVIIWWAGLMRGAVSMALAYNQFTLSGHTELRTNAIMITSTITVVLVSTVVRSMLFVNFLYHVNVHK >Vigun01g251800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41815461:41815787:-1 gene:Vigun01g251800.v1.2 transcript:Vigun01g251800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCVCGVMVCVFLFYIVYCVLCVISCVLCVVCFVVCCVFFVISFVLFALLSCVMFCVCYVLLRCDVCFSVLSFVLFVITCGLCFACCVLLVVLCVVHCVLCCLLAVG >Vigun07g246600.1.v1.2 pep primary_assembly:ASM411807v1:7:36696779:36700549:1 gene:Vigun07g246600.v1.2 transcript:Vigun07g246600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNFCTTSLTLQLLCLLFHATASAAVYSAHFCTNQTFYASDTKFQSNLNTLLSSLVSNSSLPSLNGFFRTSVDDIDGRFLCRGDVNATVCHGCVAAAAANITRLCPNDTESYIWYDECMLIYSNSTFDNDDIVPGIPLNDEGSTVNTNHDHFNQLLSNLLNTLEGKALESEKKFAAGAVSVTSAQTLYGMAQCEPDSTSGRCEACFGSAISSIPNCCNGSGGARVLLPLCSIRYQLYPFLYNSTMVIPSSEVPRAGSKSTLVVVAIVLPAISAMLLFIGCCWWRRRQTKRKNLEDVQEVNDSIRRYLTSEEESLHFDLATIEAATNSFSDEMKIGEGGFGAVYKGTFPNGEEIAVKRLSRTSLQGDREFKNEVLLVAQLQHRNLVRLLGFCMEKTERILVYEFIKNSSLDHFLFGHEDQGVLDWARRYNIIVGIARGIQYLHEDSRLKVIHRDLKASNVLLDADMNPKISDFGMAKVFHGNQSQENTRTGRVVGTFGYMSPEYAMHGKFSVKSDVFSFGVLVLEILSGKKNTSYYRSHEDNDDDLLSFAWKNWIDRTPFQILDPKLRGSYSRNEVQRCIHIALLCVQENPVERPSMATIMLALNSYSVTLGFPRQPASLVRGRATKERLRHQLDSDHSNSSSIPFSAADSLITQVYPR >Vigun04g183400.1.v1.2 pep primary_assembly:ASM411807v1:4:40813931:40817960:-1 gene:Vigun04g183400.v1.2 transcript:Vigun04g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYCYKDLLPLVVLVANECNNTALFTLFKAATLQGMSSYVFVSYAYSLAFLVLLPITFLYRRSRVVPPLNFSILSKIALLGLIGCSSQILGYVGISYSSPTLSSAISNLTPAFTFILAVICRMEKIAIRSRTTQAKIWGSIISISGAFIVTFCKGQSIIFADNSPSIQLSQSNAILASVDRNWAFGGLLLTACNILLTIWFVFQVEILKEFPDELTMVFFYNLYAAIVASIVGLIAEKNSSAWKIRPDISLISIVCTGIFNKFLSSAIYAWGIHLKGPVYVAMFKPLSIVIAVAMGVMFLGDTLYVGSLIGGTVISIGFYTVMWGKATEQKEEEEDVGSQESPITENVPLLQTYETVNSTKKTDARV >Vigun07g201800.1.v1.2 pep primary_assembly:ASM411807v1:7:32290561:32293055:-1 gene:Vigun07g201800.v1.2 transcript:Vigun07g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPLGTSLSPTPINTSKISPFNIHFKKSPTFPSSASTSPHEFSFSQSGICRASQVADLFPTVSPEIIVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRMDRLVAMLAGFTLPNGCKRSCLVAVIGNSLTETFLFGSDDFKVGGFDGKFSLNKGYVAGILTVDTVADFLPRKGPLRQKRTGIAYISNVAVREKFRRKGIAKLLVAKAESQARSWSCRAIALHCDFKNPAATKLYQGQGFRSIKVPEGANWPQPKTSPDIKFNFMMKLLNN >Vigun07g268300.3.v1.2 pep primary_assembly:ASM411807v1:7:38361410:38367367:1 gene:Vigun07g268300.v1.2 transcript:Vigun07g268300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPMGTSVSGKVEKQCAHFFGVTINDQQAESGIVIRVTSTAQSKFKLLYFEQDVNGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSDLKAGTHIFAVYGDNFFKTASYTIEAVCAKSYEDTTQKLKDVEAQILRKRNELRQFEAEYRKALARYQEVTDRYTKEKQSVDELLKQRDGIHSSFTIVKATNISGNGSNFSNGSSSKMTGEESPGEDGGSDGKDKSGKKKWFNLNIRGSDKRLG >Vigun07g268300.2.v1.2 pep primary_assembly:ASM411807v1:7:38360519:38367365:1 gene:Vigun07g268300.v1.2 transcript:Vigun07g268300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSKMEGPSTPANRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPMGTSVSGKVEKQCAHFFGVTINDQQAESGIVIRVTSTAQSKFKLLYFEQDVNGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSDLKAGTHIFAVYGDNFFKTASYTIEAVCAKSYEDTTQKLKDVEAQILRKRNELRQFEAEYRKVIIFFSHS >Vigun07g268300.1.v1.2 pep primary_assembly:ASM411807v1:7:38360519:38367365:1 gene:Vigun07g268300.v1.2 transcript:Vigun07g268300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSKMEGPSTPANRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPMGTSVSGKVEKQCAHFFGVTINDQQAESGIVIRVTSTAQSKFKLLYFEQDVNGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSDLKAGTHIFAVYGDNFFKTASYTIEAVCAKSYEDTTQKLKDVEAQILRKRNELRQFEAEYRKALARYQEVTDRYTKEKQSVDELLKQRDGIHSSFTIVKATNISGNGSNFSNGSSSKMTGEESPGEDGGSDGKDKSGKKKWFNLNIRGSDKRLG >Vigun08g198800.2.v1.2 pep primary_assembly:ASM411807v1:8:36408541:36411521:-1 gene:Vigun08g198800.v1.2 transcript:Vigun08g198800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTESGVLLRHRRFESLLNTRSSQSVEETRKVAVKDGGVEETTKNDDGWVSAIISWIRIVTCFVSMMVTTFIWALIMVVLIPWPYERIRQGNIYGHVTGRMLMWILGNPIKIEGAEYSNERAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSAAIESMKEAARAVLKNNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQSRLPIVPMVLTGTHLAWRKGSLHVRPAPLSVKYLPPITTENWKVDKIDDYVTMIHNMYAQHLPVTQKPLP >Vigun08g198800.1.v1.2 pep primary_assembly:ASM411807v1:8:36408541:36411521:-1 gene:Vigun08g198800.v1.2 transcript:Vigun08g198800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTESGVLLRHRRFESLLNTRSSQSVEETRKVAVKDGGVEETTKNDDGWVSAIISWIRIVTCFVSMMVTTFIWALIMVVLIPWPYERIRQGNIYGHVTGRMLMWILGNPIKIEGAEYSNERAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSAAIESMKEAARAVLKNNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQSRLPIVPMVLTGTHLAWRKGSLHVRPAPLSVKYLPPITTENWKVDKIDDYVTMIHNMYAQHLPVTQKPLP >Vigun08g198800.3.v1.2 pep primary_assembly:ASM411807v1:8:36408541:36411521:-1 gene:Vigun08g198800.v1.2 transcript:Vigun08g198800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTESGVLLRHRRFESLLNTRSSQSVEETRKVAVKDGGVEETTKNDDGWVSAIISWIRIVTCFVSMMVTTFIWALIMVVLIPWPYERIRQGNIYGHVTGRMLMWILGNPIKIEGAEYSNERAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSAAIESMKEAARAVLKNNLSLIIFPEGTRSKNGRLLPFKKVLI >Vigun08g051100.1.v1.2 pep primary_assembly:ASM411807v1:8:5889948:5904791:-1 gene:Vigun08g051100.v1.2 transcript:Vigun08g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYLYPQFSHGLRSKFVGGKQGPIYPSFPLSTTHGSGQTDAGNSFLTLVYGSPSSLRYDFQTMSECKLGMSSGDYTDAIGNSVVGSIESGTFRTSGVGLITENLVNCNLQSWVNNFPEISSRAMVGLNNSSNFFFHNIWACNTATQHTVPGDTKVAESFSFPGQCRGTCTAFGLNGRCSDIHTTPNVALEWSSSKYATPYMSGCPRVFCMGKSGQLLLSHTGLLGVVCSCHCSHMSVLKFCEHSGLHGGDPGDAVCMESGETISQWRKLYFLKFGIRSLGNENEWDWPEVLSTTGSPMKSNASAFDMSKTNLSHILSSSAVMSRKQATTIQDGCNIPLKGFTGISQNSFVCQLKNQLMESNLAMYTTAPNFGGTQLDDGCHPIPPFLDSLKRKVSLSTVHSPLQTPTNRRDAASSNVDLRLGQPPQTGNPLPSFVEPLQFKALASTPKLQPQKQMINNLSRGEGLQNNFSYASASFKMVEELPQLKPKNYMSAVSKASVKARSETQNVAKGVSFSPFLQVDVPGRKTQASENLWVDDSPNMPKKLYSDYGHTGRQSNKSVVGTNKYLENDIGVSFAKDSGGKKNLGFGIGQLVEYPSSIMRSVGGYDSCISVVHEKMHEANFESSLPSDTSVRANILHGSHNTSSHGLENYVNPQTSIPFKEILKAPPYHVSVSVSNQTPTLPQQQAINMDAYFVDENTRLLAMTQIPELSKQHHALHFNMNQKQGGSSSILKVQHYTCEASTSEQGSSCATLKLPQSSWIFGNHENTVGLEKLPSLTGMNGYYHLSDLSPTPLHSKEKESQCKHSSDLQNEETSLSLGISKDNIRSSAFEKYSEQPSNICVEGKYPCAALINCCQPLCKNIGQQFADVSGETSLKMPSDLCRNLNISKNGNIHFEQGGKILGQDSTIIGFHTPQWRDVPSKVRKAVCDATSLDQTSNGLDKEGQEGFQFGNISAKRSKRTTDMGDLSEEKENSNVSSGCSAPVITQASVMVNKIDYCTDDAIDTGFVNNLVVDEGSGIDQGSMSDLVESERTDESLGLISGNYLKNGCSRVLNDESCCDLLDDLKLLDSSIWKKERNQNHFVLSANCKTNQSQKRNVVTILDASLSSEFTSLLHNKNNEDAEIFNSSCLSKEMELHSLPSLQKSFNKSSFVQPCNKRIQSAFESKIVSCKNRLRKHLGHKVAYESQSDSDAEFHSLPGVSGTKRLRKNLTSRFEQFHMKEPSYEEPENGKLRPFLCRKENDHGITRPVVCGKHGEICKEHLAKEVQKPAKIVSLKKVLKSSKRCMSHTNGKPRLTLKKQWKRLSIGTDSGYCCGNHGLKIKESIETQNTIIYDEANVDMSLEDLERGGKQDAKDKAKQVVRVGNRENVPLKVKNKDIRKHRSINELSAKETKVTDMMNCAQDRETGLCSQKRRNSIQGHLNISTINSDTFCCVCRSSSNDKINCLLECCQCLIRVHRACYGVSTLPKRSRWCCRPCRTNSKNIACVLCGYGGGAMTRATMSHAIVKSLLKVWNGEKDGMPKHTTSCEFFGKEMYAFPSSRADHESVLKTKIGDTSTDLVKVQISTNHMQHTPTSLSKFKVHNSITAGVLDPTVKQWIHMVCGLWTPGTRCPNVDTMSAFDVSGVSRPRAIVVCSICNRSGGSCIECRVADCSVKFHPWCSHQKNLLQSETEGIDDEKIGFYGRCMLHAIEPRYLSMYDPIYEMGSQEEKEFTCARVEGYKGRRWDGFQNNHCQGGCLVPEEQLNAWIHINGQKLCSQGLTKFPDLDMEHDCRKGYARYKQAKGWKHLVVYKSRIHALGLYTSRFISRGEVVVEYIGEIVGLRVADKREKDYQSGKKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVITIRHEKKVVFFAERDIFPGEEITYDYHFNHEDEGKIQCYCNSKNCRRYMN >Vigun09g097300.3.v1.2 pep primary_assembly:ASM411807v1:9:14903801:14905883:1 gene:Vigun09g097300.v1.2 transcript:Vigun09g097300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKDYDGQDDCTRALIVHSDTHSFDGPHFVFQTQTTTTWSTSWSGLINWLGLGFKNVIVIQWNYMRYGEEATTYKRKYVTLVSGTTTEPGLKHILPHLSYLEMLVKIKSMKNDKKNLYVIDEEEEEWKCCQDKLYFFSFFYLFWRT >Vigun09g097300.2.v1.2 pep primary_assembly:ASM411807v1:9:14903801:14905883:1 gene:Vigun09g097300.v1.2 transcript:Vigun09g097300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKDYDGQDDCTRALIVHSDTHSFDGPHFVFQTQTTTTWSTSWSGLINWLGLGFKNVIVIQWNYMRYGEEATTYKRKYVTLVSGTTTEPGLKHILPHLSYLEMLVKIKSMKNDKKNLYVIDEEEEEWKCCQDKLYFFSFFYLFWRT >Vigun05g172000.4.v1.2 pep primary_assembly:ASM411807v1:5:30844077:30846421:-1 gene:Vigun05g172000.v1.2 transcript:Vigun05g172000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNIMRQFKTIYLKDQNGKLKNVHLYDPKDEVRCKLFTRNSSIRVVKIDSGWKKFRRKLTKLFFRITRKEAANR >Vigun05g172000.5.v1.2 pep primary_assembly:ASM411807v1:5:30844077:30846039:-1 gene:Vigun05g172000.v1.2 transcript:Vigun05g172000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNIMRQFKTIYLKDQNGKLKNVHLYDPKDEVRCKLFTRNSSIRVVKIDSGWKKFRRKLTKLFFRITRKEAANR >Vigun05g172000.6.v1.2 pep primary_assembly:ASM411807v1:5:30844242:30845248:-1 gene:Vigun05g172000.v1.2 transcript:Vigun05g172000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNIMRQFKTIYLKDQNGKLKNVHLYDPKDEVRCKLFTRNSSIRVVKIDSGWKKFRRKLTKLFFRITRKEAANR >Vigun05g172000.3.v1.2 pep primary_assembly:ASM411807v1:5:30844077:30846039:-1 gene:Vigun05g172000.v1.2 transcript:Vigun05g172000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNIMRQFKTIYLKDQNGKLKNVHLYDPKDEVRCKLFTRNSSIRVVKIDSGWKKFRRKLTKLFFRITRKEAANR >Vigun04g094200.2.v1.2 pep primary_assembly:ASM411807v1:4:19089155:19091386:1 gene:Vigun04g094200.v1.2 transcript:Vigun04g094200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVLWAQRSDKIYLTVALPDAKDVSVKCEPQGLFSFSASGTQDESYSFSLELYGSIEPEGCKTKSSLRNILCSIQKGEKGWWKRLLKSEEKPAPYLKVDWNRWCDEDEESTCKLL >Vigun11g099100.1.v1.2 pep primary_assembly:ASM411807v1:11:29139928:29152093:-1 gene:Vigun11g099100.v1.2 transcript:Vigun11g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSDPNVIEEGRKDSLIRTCPTCGHHIKCQEQGGGIHDLPGLPAGVKFDPTDQEILEHLEAKVRSDIHKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHSDEDGSETRWHKTGKTRPVYNSAKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKEGELVVSKVFYQTQPRQCNSLIKDSSVSEKHMNKGQNVHEVINNRSKSNGFVEYYHSNFISFDQSAQHRPSTAQAISHFPVHEGAPFNIP >Vigun04g073500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8707517:8708238:-1 gene:Vigun04g073500.v1.2 transcript:Vigun04g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLMVSLKSKMRSLKIAKKSEYDKVEKSESMRMEIRSRKARKLIEQTLKVADSPKSKTFAF >Vigun05g151200.12.v1.2 pep primary_assembly:ASM411807v1:5:22863032:22867933:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >Vigun05g151200.3.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867894:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >Vigun05g151200.9.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867919:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDACVHPFFDELRDPNTRLPNGRPMPPLFNFKPLELKGVTLELLCKLVPEHARKQCPSLNF >Vigun05g151200.8.v1.2 pep primary_assembly:ASM411807v1:5:22862372:22867905:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >Vigun05g151200.14.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867919:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >Vigun05g151200.5.v1.2 pep primary_assembly:ASM411807v1:5:22862372:22867905:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDACVHPFFDELRDPNTRLPNGRPMPPLFNFKPLELKGVTLELLCKLVPEHARKQCPSLNF >Vigun05g151200.2.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867894:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDACVHPFFDELRDPNTRLPNGRPMPPLFNFKPLELKGVTLELLCKLVPEHARKQCPSLNF >Vigun05g151200.11.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867919:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDACVHPFFDELRDPNTRLPNGRPMPPLFNFKPLELKGVTLELLCKLVPEHARKQCPSLNF >Vigun05g151200.13.v1.2 pep primary_assembly:ASM411807v1:5:22862197:22867919:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHK >Vigun05g151200.10.v1.2 pep primary_assembly:ASM411807v1:5:22863032:22867933:1 gene:Vigun05g151200.v1.2 transcript:Vigun05g151200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGKPGDDSMIVEKLPEEINEMKIKDEKEMDTAMVDGNGTETGRIIVTTIGGRQGQPKQTISYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVVKHYSKANQRMPLIYVKLYTYQICRALAYIHGCVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALDACVHPFFDELRDPNTRLPNGRPMPPLFNFKPLELKGVTLELLCKLVPEHARKQCPSLNF >Vigun05g013700.1.v1.2 pep primary_assembly:ASM411807v1:5:1076636:1079744:-1 gene:Vigun05g013700.v1.2 transcript:Vigun05g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTTVQSDVKLWPFKVVAGPADKPMIVVNYKGEEKQFAAEEISSMVLMKMREIAEAYLGSAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLSADDKKKIEDAIESAIHWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGAPDMAGGMDEDVPPSASGGAGPKIEEVD >Vigun04g086600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:14697314:14701113:-1 gene:Vigun04g086600.v1.2 transcript:Vigun04g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNRQLLSSATNLFKLTHEFSLLSRAHSSISAQPFIRKLTFLASSSRFSSTPRFCNGSNLVGMRGMRCYCHDSGGSREWTEEIEYLDEAGGVIYKGKGVRSVEPGLDDHVMVGEVKKPFVNALAVAKIVEVVKRWKWGPELETQLDKLQFVPNMTHIAQALKVIGDSDACLSLFRWAKRQAWYATSDDCYVMLFDGLNQKRDFEGIQLLFDEMVGDSADGVSLSAACNRVIRYLAKAEKLEVSFCCFKKIVDAGCKVDIETYNSLITLFLNKGLPYKAFEMYETMEKVGCLLDGSTYELMIPNLAKSGRLDAAFKLFQEMKGRDFRPGLNLFVSLVDSMGKAGRLDSAMKVYMEMRGYGYKPPPTIFVSLIESYVKSGKLETALRLWDEMRLAGFRPNFGLYTLVIESHAKSGKLDIAMSTFLDMEKAGFLPTPSTYSCLLEMHAAAGQIDPAMKLYNSMTNAGLRPGLSTYTVLLTLLAKKKLVDVAAKILLEMKAMGYSVDVTASDVLMVYIKEGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKSGLYESAKPLLETYVNSAAKVDLILYTSILAHLVRCQDEKNERHLMSILSATKHKAHTFMCGLFTGPEHRGQPVLSFVREFFQGIDYELEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGIVPRRIKLVTGATLKIVIAQMFSSVESPFEVSKVVLRASGDSVMEWFKKPIVQQFLLNEIPSRSDILMHRLNILFPSSAPEVRSLSPPKPLIAVREM >VigunL034401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:266404:270933:1 gene:VigunL034401.v1.2 transcript:VigunL034401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVWKEEDSMIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIRPSAQVVWPIVGQEILNGDIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWEDLKIIMALRFPSFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNGDLYTGAIFLLILSIISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNLLDILPHPEGLGPFFTGQWNLYAQNPDSNNHIFGTPQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLVAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNQDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDILLSSTNSPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Vigun06g033600.1.v1.2 pep primary_assembly:ASM411807v1:6:14224618:14229839:1 gene:Vigun06g033600.v1.2 transcript:Vigun06g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKKTGVRKYQKSENPRLRWTPELHEYFVEVVEGLGGKNKATPKSILQMMHVKGLRISHIKSHLQMYRNLKGHTILSSMHQEMEGNEDYKDLPMCSNCSSQRSQEAKFRATKYDMGIVTQEIRPSENKGLSQTSETDYDLNQEPESCAYIHSDTSNEENSRRMKFLDFTFSFSSALIPTMHGNQERMHFSSPNAADNHAVDSNSVQPHGSNNYINLDLAI >Vigun06g228500.2.v1.2 pep primary_assembly:ASM411807v1:6:33605599:33606162:1 gene:Vigun06g228500.v1.2 transcript:Vigun06g228500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMAAMMLFLLIASQMESVVPDAADCLDGCTTGCVQRDSRLQQRCERKCSIRCGPGNNPIYFFGHTLV >Vigun06g228500.1.v1.2 pep primary_assembly:ASM411807v1:6:33605599:33607210:1 gene:Vigun06g228500.v1.2 transcript:Vigun06g228500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMAAMMLFLLIASQMESVVPDAADCLDGCTTGCVQRDSRLQQRCERKCSIRCGPDSTINEEMG >Vigun01g247400.1.v1.2 pep primary_assembly:ASM411807v1:1:41508584:41510278:-1 gene:Vigun01g247400.v1.2 transcript:Vigun01g247400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMPFPSKRQKTSPEKTSDFFESLPDDLLLSILCKLSATASSPSDFVNVLVTCKRLNSLGLHSLVLSKASHKTFLVKPQNWCDSVHRFLKHCADAGNIEACYTLGMIRFYCLQNRGSGASLMAKAAMNSHAPALYSLAVIQFNGSGGTKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKQNVAEGRRFLLQANARELAAVLSAASNKRPWVTWNLPVPHPRLRLGTGSGCPLLSDYGCNVPASETHPASKFLSEWFVIRSGSLGPGMRLCSHTGCGRPETRRHEFRRCSVCGVVNYCSRACQALDWKFRHKAECAPVERWLNDDGEEVAGEDGVGGDAVMMANS >Vigun05g161900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25669914:25671422:1 gene:Vigun05g161900.v1.2 transcript:Vigun05g161900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun11g074850.1.v1.2 pep primary_assembly:ASM411807v1:11:21851379:21855819:1 gene:Vigun11g074850.v1.2 transcript:Vigun11g074850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGVSRKVVVRNRCKTDYIVKVNGLLRPSHRSRIGGTPFRWCVDMVKPLDINGVLLKHTLSRWVPEHESICIRQHLVRLSVLDVCVCLGLNAVGVDVEFNSVVCGVIKSLFEHEPITIDDIVNRIYFYLQSGDDNDIDNVDNVCRLYLLLCFALLYFPRTSRTVTNMPFRLLDNLDNLNQYNWSRSVHSFLVEGFNRAYHTLRQDQNTSVINVAGSVAIFQLLVCRLLSVGSYEGDVSFPRILSWPSLVIRTHGIKSAFESNKVVLEWELTEDEKNIDVVRKALNVGAHGIPKKGVDDMSFTKFKQWCKRKLKRNYRVVQQLKDQLSNMEEEYACGGQEPDPSSFEEPEPSPFHKGHRFDVEEPQSRPFDHPSSSHQPQPSLFDKGDGCDVEEAQARHFNKHDQGTPEYNSHGMEIIPYVEPGKCSLDVDLSELYRILVSQDGRQTVVDINHQILTIVECCGFRPRGKLSNMAILFACNNFMYRQRKLNWVIKRVVFGTLYTIVVVEDSRKVKAKRREWVLGDYNNFLTRGLVSVHDILSADFVFAPIIHKQHWWCYAINCRTRQFFVLDSLGSKRQGRKRIDNARNMGILFGLLENRSDADKPKFEVLTQDLPLQPNLMVKPNLVTNTSPPTLLMNFKNSDNITYVIGLWTTTISTAITGLKSLMYIKVNSFC >Vigun04g171300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39527162:39528474:1 gene:Vigun04g171300.v1.2 transcript:Vigun04g171300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLTLPWKVRLSIFVLSALSDASRRSNGTFNRRIFNLVDRKAPPNPNVVNGVYSSDVTVDPSRNLWFRLFIPSISSAVSAASLPVFVYFHGGAFTFFNAASIPIDAFCRLFCRSLNAVVVSVDYRLTPEHRYPSQYDDGHDVLKFLDQNSTVLPSIADVSKCFLAGDSAGANLAHHVAVRVCEDKLRTVKIIGLVSVQPYFGGEERTGSELRLNRVPVLSLKMTDWHWKVFLPNGSDRDHGAANVSGPNTVDISGLDYPNTIVFLGGLDLLRDWQMKYYEWLRKSGKGAELVDYPNAFHGFYLFPELPLARLFFSRLKEFVTKQISNMN >Vigun07g030200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2747511:2748282:1 gene:Vigun07g030200.v1.2 transcript:Vigun07g030200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGRFTAEILMTVMIVGMFCVTNIVAQDSEIAPTGQLETGAGFALPVSAVIMCSSVLASLVAFMLQ >Vigun07g160200.4.v1.2 pep primary_assembly:ASM411807v1:7:27209417:27211400:1 gene:Vigun07g160200.v1.2 transcript:Vigun07g160200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLHTSLHPSTSHITPYIYYPFPKPPSVSDNYYSFITMSMAFCIIATTLFMSLSFSSAQSSRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPTHRPTGRFSNGLNIPDIISEQLGLEPTLPYLSPLLMGEKLLVGANFASAGIGILNDTGFQFLHIIHIYKQLKLFQHYQQRLSAHIGAEEAWRHVNQALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVNYIISEYRLILKGLSHRR >Vigun07g160200.3.v1.2 pep primary_assembly:ASM411807v1:7:27209417:27211356:1 gene:Vigun07g160200.v1.2 transcript:Vigun07g160200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLHTSLHPSTSHITPYIYYPFPKPPSVSDNYYSFITMSMAFCIIATTLFMSLSFSSAQSSRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPTHRPTGRFSNGLNIPDIISMSSCEQLGLEPTLPYLSPLLMGEKLLVGANFASAGIGILNDTGFQFLHIIHIYKQLKLFQHYQQRLSAHIGAEEAWRHVNQALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVNYIISEYRLILKRLYGLGGRRVLVTGTGPMGCVPAELALRSGNGECDVELQRASSLFNPQLVEMVKGLNREIGAHVFIAVNAVCHIEDSLLWSRSVQWGGTLHTHFQLMSKS >Vigun07g160200.2.v1.2 pep primary_assembly:ASM411807v1:7:27209417:27211356:1 gene:Vigun07g160200.v1.2 transcript:Vigun07g160200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLHTSLHPSTSHITPYIYYPFPKPPSVSDNYYSFITMSMAFCIIATTLFMSLSFSSAQSSRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPTHRPTGRFSNGLNIPDIISMSSCEQLGLEPTLPYLSPLLMGEKLLVGANFASAGIGILNDTGFQFLHIIHIYKQLKLFQHYQQRLSAHIGAEEAWRHVNQALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVNYIISEYRLILKRLYGLGGRRVLVTGTGPMGCVPAELALRSGNGECDVELQRASSLFNPQLVEMVKGLNREIGAHVFIAVNAYEMNMDFVNNPRAYGFVTSKIACCGQGPYNGVGLCTPISNLCPNRDLYAFWDPFHPSEKANRIIVQQMMTGSVQYMHPMNLSTIMAIDSRV >Vigun07g160200.5.v1.2 pep primary_assembly:ASM411807v1:7:27209417:27211400:1 gene:Vigun07g160200.v1.2 transcript:Vigun07g160200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLHTSLHPSTSHITPYIYYPFPKPPSVSDNYYSFITMSMAFCIIATTLFMSLSFSSAQSSRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPTHRPTGRFSNGLNIPDIISEQLGLEPTLPYLSPLLMGEKLLVGANFASAGIGILNDTGFQFLHIIHIYKQLKLFQHYQQRLSAHIGAEEAWRHVNQALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVNYIISEYRLILKV >Vigun07g160200.1.v1.2 pep primary_assembly:ASM411807v1:7:27209361:27211424:1 gene:Vigun07g160200.v1.2 transcript:Vigun07g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSLHTSLHPSTSHITPYIYYPFPKPPSVSDNYYSFITMSMAFCIIATTLFMSLSFSSAQSSRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPTHRPTGRFSNGLNIPDIISEQLGLEPTLPYLSPLLMGEKLLVGANFASAGIGILNDTGFQFLHIIHIYKQLKLFQHYQQRLSAHIGAEEAWRHVNQALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVNYIISEYRLILKRLYGLGGRRVLVTGTGPMGCVPAELALRSGNGECDVELQRASSLFNPQLVEMVKGLNREIGAHVFIAVNAYEMNMDFVNNPRAYGFVTSKIACCGQGPYNGVGLCTPISNLCPNRDLYAFWDPFHPSEKANRIIVQQMMTGSVQYMHPMNLSTIMAIDSRV >Vigun07g090500.2.v1.2 pep primary_assembly:ASM411807v1:7:14248112:14249538:-1 gene:Vigun07g090500.v1.2 transcript:Vigun07g090500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Vigun05g182100.1.v1.2 pep primary_assembly:ASM411807v1:5:34864558:34867193:-1 gene:Vigun05g182100.v1.2 transcript:Vigun05g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPSNGRKAMEEELNKGRDTANQLLQILVHKSNTRHSDAEVEGLVLPFAEDLARKVLRSFSNTLLLLNTHTDVSDGVVMPVTVNDVSSSLKCPNLEDKDGVCKGSINAKRRRVSHKRNAPTWEKNSSHLMEDGYIWRKYGQKMTINAKYLRSYYRCTHKYDQGCPATKQVQRVQENPPLYRTTYYGHHNCKISLSPEIMLEPDSSSDSSMFLSFSTTFPEEKYQFSSSVFSSTKQEPMEVIPDECGIHNQLPSSDYNLLSDYELDFNCLRHDTMQSMPSSTESVQFDKVYGSGMDFDG >Vigun03g227300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37786632:37787396:-1 gene:Vigun03g227300.v1.2 transcript:Vigun03g227300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFNTRPVFMLLVPLLLLHRSLDTSFTFPNFSAPYLNSLLAFEGDASSSKGVIQLTKVQDGRVVPNSVGRATYALPVRLWDAQTGKVASFATSFSFNISYGRINGDGIAFFLGTTESTMPLSSFGGYLGLFDPKFAFRDTDQNQIVAVEFDMHQNPWDPPFPHVGIDVNSISSVESAQWESNPGLITAFVTVTYEAAAQSLSVVVKNGPTISLMIDLKTVLPEWVRVGFSGATGRLTEVHQIQSWTFSSSFD >Vigun01g249300.2.v1.2 pep primary_assembly:ASM411807v1:1:41620302:41624965:-1 gene:Vigun01g249300.v1.2 transcript:Vigun01g249300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLNQKSAIQGKIPSGYFNALFDLSGDWYHDAHNIKYLAFDGYFISLFYLHLTVSHLILQEEVKKSVPAQWDPASLTRFIQTYGTHIITGMAVGGQDVICVKQKHSSKVSPGDLRRHLENLGDFLFQDLRSPSQQQTNTSAEAKQKVPEVFNQVMQSNTTLFTSISETSSKDGLTIICSKRGGDVFKHGHSNWLQTVASNPEAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPPPEDLQCFLEFQIPRQWAPMFYELPLRHQRKKSTSPSLQFGFMSPKLHVSSAQVVSEEKPVVGLRLYLEGRKCDRLAIHVHHLSSLPKTMMIHSSGASLWRGSDDNEYCDTFLEPIRWKGFANVCTAVVKHDPNWLQESGGGGGVYIVTGAQLISKGNWPKNVLHLRLRYTHIPNCSIRKSDWGGAPEASRKSSFLTNLSTTFSFTQQSVTTTSPQKQAPTSLDSGVYPNGPPVPVRSGKLLKYVDTSEVLRGPHHAPGHWLVTAAKLVNEGGKIGLQVKFALLDY >Vigun01g249300.1.v1.2 pep primary_assembly:ASM411807v1:1:41620302:41625254:-1 gene:Vigun01g249300.v1.2 transcript:Vigun01g249300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSELGNACLMGMESVFPNPIEYLGKGIDFTSDFRLKFAKGKGSARLVVVDEDNKRDITVPGTAATIPNVSQDIRCDKGDRLRFKSDVLQFNQMSELLNQKSAIQGKIPSGYFNALFDLSGDWYHDAHNIKYLAFDGYFISLFYLHLTVSHLILQEEVKKSVPAQWDPASLTRFIQTYGTHIITGMAVGGQDVICVKQKHSSKVSPGDLRRHLENLGDFLFQDLRSPSQQQTNTSAEAKQKVPEVFNQVMQSNTTLFTSISETSSKDGLTIICSKRGGDVFKHGHSNWLQTVASNPEAILFKFVPISSLLTGIPGSGYLSHAINLYLRYKPPPEDLQCFLEFQIPRQWAPMFYELPLRHQRKKSTSPSLQFGFMSPKLHVSSAQVVSEEKPVVGLRLYLEGRKCDRLAIHVHHLSSLPKTMMIHSSGASLWRGSDDNEYCDTFLEPIRWKGFANVCTAVVKHDPNWLQESGGGGGVYIVTGAQLISKGNWPKNVLHLRLRYTHIPNCSIRKSDWGGAPEASRKSSFLTNLSTTFSFTQQSVTTTSPQKQAPTSLDSGVYPNGPPVPVRSGKLLKYVDTSEVLRGPHHAPGHWLVTAAKLVNEGGKIGLQVKFALLDY >VigunL059022.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000212.1:27536:29460:-1 gene:VigunL059022.v1.2 transcript:VigunL059022.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRKVWKRGRPENLEERCGEEEERRPPLKELLGSLVPDTDAGEPGAT >Vigun07g056100.1.v1.2 pep primary_assembly:ASM411807v1:7:6118849:6120710:1 gene:Vigun07g056100.v1.2 transcript:Vigun07g056100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKSGRSSSEKYVMNLKEKVRVLQEEIKEMMYEREKESRSYERDIMVFTFKEADWKQEGKRLREEVKQLRSLVEEKDEKIREMEVGLMEKNSEKEWELMGTKLLVEQMKEERARRDEAVEKWKQLYLEIKNELDELIQRTYDGDGLYWKAEENDIEMENLRKELQEKEETVKALKSQLLAMEKEKNKKEREFDLLRQSLRIMNGKKSSIQTKESFLRSKLGK >Vigun11g133600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:34313980:34314936:1 gene:Vigun11g133600.v1.2 transcript:Vigun11g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGEKLVVKSTKKVVESSVQVTVVSSSSSRRQTRGSKETEEAEGGEEHVMVIPVEEVNPQVQKDSPTSAITDEKKGEKKNSTGEGEDGGVQNEEKEKARTGKGWNGKERKRGKKKGRKSAEGYQRYVYRVLKQVHPEMGISSKCMIVLNNLMNDMFERLAGEAAKLKDYTGHMTLSSREIQGAVKLVLPGELGKHAIAEGVKAVNKFTSYDTDE >Vigun06g018900.1.v1.2 pep primary_assembly:ASM411807v1:6:8726201:8730720:-1 gene:Vigun06g018900.v1.2 transcript:Vigun06g018900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYAFFLRELGVGVLIFFISHLFIRSILRNSVRLPPGPRGWPVLGVLPLLGTMPHVTLTNMGKKYGPIMFLKMGTCDTVVASSPDAAQAFLKALDHNFLNRPTIAGATHLGYNAQDLVFANSGSKWKLLRKLANQHMLGGRALNDWAHVRASEVKHMLKAMHECAKEGELIVVSDLLSCAITNMVSQVVLSQRIFSNKGLESKEFKEMVVEFMTISGVNIGDFVPCIGWMDLQGVVGKMKRLHKRFDVFLSKIIEEHVKSSHERIGKPDFLDIVMNTGEDPSQERLSFSNIKALLLNLFTAGTDTSTSIIEWALAEMLKNPNILIRAQREMDEVIGRERVVVESDLPKLPYLEAICKETYRMHPSTPLSVPRVATEACNVNGYYIPKNTRLNVNIWAIGRDPNVWSNPLEFNPERFLRGKSAKLDPTGVHFELIPFGAGRRICAGYRMAIVVIQYILGTLLHSFDWKLPNGQELNMDEAFGLTLQKEVPLSAIISPRLISDVYV >Vigun03g265100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43479560:43479922:-1 gene:Vigun03g265100.v1.2 transcript:Vigun03g265100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSMSTRRGPGRYERLGKESVTTALLNEGFKRSTSLPSWGPNPSRKMALGSTFGAFNLQRNPTKKGNNSEKKSHPLLSFLALRRKKKTTARPEFARYLEYLKEGGMWDLKSNKPVMHYV >Vigun09g230100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40174405:40175421:1 gene:Vigun09g230100.v1.2 transcript:Vigun09g230100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKEQKQNKLVWIITTPFRVLGKARDAYVRSITQCGHNMNYSNPVDAAGRFEALPRSYSVATSRSDNEDFAELMRAASARTLGNRIDVDLVLKQQAQAQAQARPLSSNGLPKSTSVGMGRIDEDTPYDLSEGDVGTLPKSYPRSRSYAVAKTSGVL >Vigun08g168400.1.v1.2 pep primary_assembly:ASM411807v1:8:33952219:33953573:-1 gene:Vigun08g168400.v1.2 transcript:Vigun08g168400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTNIALPILALLPMLVFISPKVAMAARDFEEGKFVKESNEAGDDLKIPDIRNIGFGGTTGTVGSIPGLDILGFIPGIIGSIPGFNGGVIPGFNGGVIPGIGGGGIPAIGGGGIPAIGGGGIPAIGGGGIPWLSGGGIPGIGGIRGIGGGIYGGGYPGQRGYRGGLRCPYGCCAWAGGYCTSCCTI >Vigun08g149500.1.v1.2 pep primary_assembly:ASM411807v1:8:32167938:32174806:-1 gene:Vigun08g149500.v1.2 transcript:Vigun08g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISSIQRQTDFNVFDSNTPIVIDNGASYFRIGWAGESEPRVIFRNIVQRPRHKVTGETVTIVGDHDPALLKYFDCTRSGPRSAFDNNVVYQFETMEYILDFGFDRMGATTEIDHPVLITECVCNPVQSRSKMAELLFETYGVPSIAFGNDVAFSYKYNQQQGVCAKDGLALCPGFNTTHVIPFVDGEPVYEGCCRTNVGGFHVTNHLKQLLSLKYPYHLTRFTWEKVEDLKMEHCYIASDYASEARLFQKEPEQAEAKNRYWQLPWVPPPTDEPPSEEEIARKAAIKEKQGQRLREMAEAKRSSKINELENELHGLEFLLHQLEQVDESDVLSFLSETGYVNRQEIESARNKVMQSLRKAKGEPKNEQAETEKDDLATSEKYSLINIPDDMLTEEQLNEKKKQLSLKSMADGRQRLKQKRYEEELERERKQQLEEEKRLENPDLYLEQLHARYKDLSERVDQQKRLKTNGGHSNGNNVSGGIGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSKDYGNDDDEEPDEDETELARISSRLQDLDPTFIPKLEAGTNQPAEVPRVRPLTKEDFQIVLGVERFRCPEILFNPNWIGVDQVGLDEMAGVSLRRLPYMDETLEQRLTSSILVTGGSSLFPGIVERLEAGIRMIRPCGAPIKIVRALDPVMDAWRGAATFASDSQFHMQTFSRQDYYEKGEDWLRRYQLRYTL >Vigun01g244900.1.v1.2 pep primary_assembly:ASM411807v1:1:41348001:41353782:1 gene:Vigun01g244900.v1.2 transcript:Vigun01g244900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKSEFKRSGFDIEDEQEILRKCVTFCTDYSLTPSDLVSSWEVHYLNRQLNRPIVESAEIDGFLLHLQNEKKEDSIKENEKEKESGLHTYSIGDVEMLLNNDDDTKDDTPGTPINHHHDLFPPSTEPTSLMYENVLSSGKASKSKLITPFSKRTDRFAVKFSINTLPGVENGKQEPDHEYTENDEDDVLRRVPQRERCSLVIHGSGLKPGCKFMYDRTEDRLNAIENRIRKHTRALVASGLYEEPTDPTSASQRSIFAVGMICCDAEGRLNEKSVMLQSSIEHSGGECVRLDLQRLNHYSIFPGQVVGIGGHNPSGHCFIASKLVDSIPMSVADENLNPLKKQAIDKENQSTDTISKQRELSMIIAAGPFTTTDNLLFEPLTELLLYAKRRPPQLLVLLGPFVDSEHPDLKKGTVDRSFDEIFHFEIVRKLEDYVERVGSGTRVLLVPSIRDANHDFVFPQPALEINLTDFKPQIVSLANPGIFEANEVKVGCCTVDILKQISGEEISRTAADGKPIDRMSRLANHILNQQSFYPLYPPAESVPLDFSLAPEALQLSLVPDVLILPSDIKYFVKVLGSESEGINNTKCIAVNPGRLAKGEGGGTFVELDYGGGSNQINASIVGI >Vigun01g244900.2.v1.2 pep primary_assembly:ASM411807v1:1:41348079:41358818:1 gene:Vigun01g244900.v1.2 transcript:Vigun01g244900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKSEFKRSGFDIEDEQEILRKCVTFCTDYSLTPSDLVSSWEVHYLNRQLNRPIVESAEIDGFLLHLQNEKKEDSIKENEKEKESGLHTYSIGDVEMLLNNDDDTKDDTPGTPINHHHDLFPPSTEPTSLMYENVLSSGKASKSKLITPFSKRTDRFAVKFSINTLPGVENGKQEPDHEYTENDEDDVLRRVPQRERCSLVIHGSGLKPGCKFMYDRTEDRLNAIENRIRKHTRALVASGLYEEPTDPTSASQRSIFAVGMICCDAEGRLNEKSVMLQSSIEHSGGECVRLDLQRLNHYSIFPGQVVGIGGHNPSGHCFIASKLVDSIPMSVADENLNPLKKQAIDKENQSTDTISKQRELSMIIAAGPFTTTDNLLFEPLTELLLYAKRRPPQLLVLLGPFVDSEHPDLKKGTVDRSFDEIFHFEIVRKLEDYVERVGSGTRVLLVPSIRDANHDFVFPQPALEINLTDFKPQIVSLANPGIFEANEVKVGCCTVDILKQISGEEISRTAADGKPIDRMSRLANHILNQQSFYPLYPPAESVPLDFSLAPEALQLSLVPDVLILPSDIKYFVKVLGSESEGINNTKCIAVNPGRLAKGEGGGTFVELDYGGGSNQINASIVGI >Vigun07g048900.1.v1.2 pep primary_assembly:ASM411807v1:7:5050698:5062320:-1 gene:Vigun07g048900.v1.2 transcript:Vigun07g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGFSPLLLPVGIRSQPEADVKRNGPNIVGLKPLPYNVRKGELKTATVQSSSYTRRAAALNTKCAAAAASASQTLTRNSRSMTITPDKVKSPKLDNNGPGLPPRDDDGNGGNGGGGGKFSGGLPLLGILGVLDILKDIEKQWQRKHKR >Vigun07g048900.2.v1.2 pep primary_assembly:ASM411807v1:7:5050739:5062249:-1 gene:Vigun07g048900.v1.2 transcript:Vigun07g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGFSPLLLPVGIRSQPEADVKRNGPNIVGLKPLPYNVRKGELKTATVQSSSYTRRAAALNTKCAAAAASASQTLTRNSRSMTITPDKVKSPKLDNNGPGLPPRDDDGNGGNGGGGGKFSGGLPLLGILGVLDILKDIEKQWQRKHKR >Vigun03g149650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15489174:15504882:-1 gene:Vigun03g149650.v1.2 transcript:Vigun03g149650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKRIQDVLIHTTRPPRKTTTSSEPPTTSEGKTIQNVLVHTTRPPPKTTTSKPPTTGRK >Vigun02g185000.2.v1.2 pep primary_assembly:ASM411807v1:2:32459969:32464377:-1 gene:Vigun02g185000.v1.2 transcript:Vigun02g185000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIYIMRQLDHPNVMKLEGLVTSRTSTSLYLVFEYMEHDLAGLATAHGSKLTEPQIKCFMQQLLRGLEHCHSRGVLHRDIKGSNLLVDNNGNLKIGDFGLATIYDPKKKQPLTSRVVTLWYRAPELLLGATEYGEAIDMWSVGCILAELLAGKPIMPGRTEVEQMHKIFKLCGSPSEDYWQRTKFPHATSFKPQQPYNRQISETFKNFSSPALALVDKLLTIEPEGRGSATSALESEFFTTNPLPCDPSSLPKFSPSKEFDSRRRQKEATRKNQEAVKGRAPASVLRGARDTKALGSPHYNAQGNISVLRKPNSIMCRQKYPCQENREGKENGEGGRVSVHGGFTHAATMTRGSVAGSSIFCKRVEPSLKNQRSHLNPATADLCTSVVKKEPDSSALESTMGHMPKKNRIHCSGPLVPPGGNLDDMLREHEKLMQDVFRSVKKANP >Vigun02g185000.1.v1.2 pep primary_assembly:ASM411807v1:2:32459969:32464377:-1 gene:Vigun02g185000.v1.2 transcript:Vigun02g185000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKDSSNKDRVDEYEKEKEKEKESNKSSVQLVAPSVSTAESDSARGKDGSVPRIVDSSSQAIKGSVIVATEDKSNHSDATKSQLQRRVTVTCGVDDKMPMMSRILSVQHIAGEQVDFGWPIWLSSVAAEAIKGWVPRRADSFEKLGQVGQGAYSSVHKARDLETGKVVALKKVRFSSAEVESVRFMAREIYIMRQLDHPNVMKLEGLVTSRTSTSLYLVFEYMEHDLAGLATAHGSKLTEPQIKCFMQQLLRGLEHCHSRGVLHRDIKGSNLLVDNNGNLKIGDFGLATIYDPKKKQPLTSRVVTLWYRAPELLLGATEYGEAIDMWSVGCILAELLAGKPIMPGRTEVEQMHKIFKLCGSPSEDYWQRTKFPHATSFKPQQPYNRQISETFKNFSSPALALVDKLLTIEPEGRGSATSALESEFFTTNPLPCDPSSLPKFSPSKEFDSRRRQKEATRKNQEAVKGRAPASVLRGARDTKALGSPHYNAQGNISVLRKPNSIMCRQKYPCQENREGKENGEGGRVSVHGGFTHAATMTRGSVAGSSIFCKRVEPSLKNQRSHLNPATADLCTSVVKKEPDSSALESTMGHMPKKNRIHCSGPLVPPGGNLDDMLREHEKLMQDVFRSVKKANP >Vigun01g052600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:9048616:9050880:-1 gene:Vigun01g052600.v1.2 transcript:Vigun01g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CPRD65 MPSSASNTWFNATLPSPPFKDLPSTSSPTNLLPLRKTSSSNTITCSLQTLHFPKQYQPTSTSTSTATTTTPTPIKTTTITTTTPPRETNPLSDTNQPLPQKWNFLQKAAATALDLVETALVSHERKHPLPKTADPRVQIAGNFAPVPEHAADQGLPVVGKIPKCIDGVYVRNGANPLYEPVAGHHFFDGDGMVHAVKFTNGAASYACRFTETQRLSQEKSLGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDGSQGMGVANAGLVYFNNHLLAMSEDDLPYHVRITPNGDLTTVGRYDFNGQLNSTMIAHPKLDPVDGDLHALSYDVIQKPYLKYFRFSPDGVKSPDVEIPLKEPTMMHDFAITENFVVVPDQQVVFKLTEMITGGSPVVYDKNKTSRFGILHKNAKDANAMRWIDAPDCFCFHLWNAWEEPETEEVVVIGSCMTPADSIFNECEESLKSVLSEIRLNLRTGKSTRRPIISDAEQVNLEAGMVNRNKLGRKTQFAYLALAEPWPKVSGFAKVDLLSGEVKKYMYGEEKFGGEPLFLPNGQKEDDGYILAFVHDEKEWKSELQIVNAQNLKLEASIKLPSRVPYGFHGTFIHSKDLRKQA >Vigun03g321800.1.v1.2 pep primary_assembly:ASM411807v1:3:51744893:51750404:1 gene:Vigun03g321800.v1.2 transcript:Vigun03g321800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPWTLFFLCISLLLPLHFLTAAAVNQQGEALLSWRRTLNGSLEVLSNWDPVQDTPCSWYGVSCNTKNEVVQLDLRYVDLLGTLPTDFTSLLSLSSLILAGANLTGSIPKEIGYLVELSYLDLSDNALSGEIPSELCYLPKLEELHLNSNDLVGSIPVAIGNLTNLQKLILYDNQLSGEIPTTIGNLKSLQVIRAGGNKNLEGPLPREIGNCSSLVMLGLAETSLSGSLPPTLGLLKNLETIAIYTSLLSGEIPPELGDCTGLQNIYLYENSLTGSIPSKLGNLKNLENLLLWQNNLVGTLPPEIGNCEELSVIDVSMNSLTGSIPNTFGNLTSLQELQLSVNQISGEIPGELGKCQQLTHVELDNNLITGTIPSELGNLANLTLLFLWHNKLQGNIPSSLSNCQNLEAIDLSQNGLTGPIPKGIFQLKNLNKLLLLSNNLSGRIPSEIGNCSSLIRFRANDNNITGNIPSQIGNLNNLNFLDLGNNRISGGIPEEISGCRNLAFLDIHSNFLTGNLPESLSRLNSLQFLDVSDNMIEGVLNPTVGELTALSKLVLSKNRISGSIPSQIGSCSKLQLLDLSSNNLSGDIPGSIGNIPALEIALNLSLNQLSGEIPREFSGLTKLGVLDISHNTLSGNIQYLAGLQNLVVLNISNNKFSGRVPDTPFFAKLPLSVLAGNPALCFSGNECSGDGGGRSGRRARVARVAMVVLLCTACLLLMAALYVVVAAKRRGDRENDVELDGKDSDVDMAPPWEVTLYQKLDLSISDVARCLTAGNVIGQGRSGVVYRVDLSSTGLAIAVKKFRLSEKFSAAAFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLPNGNLDTLLHEGCTGLIDWETRLKIALGVAEGVAYLHHDCVPAILHRDVKAQNILLGDRYEPCLADFGFARFVEEDHASFSINPHFAGSYGYIAPEYACMLKITEKSDVYSFGVVLLEIITGKRPVDPSFPDGQHVIQWVREQLKSKKDPIQVLDSKLQGHPDTQIQEMLQALGIALLCTSNRAEDRPTMKDVAALLREIRHDSPPAAEPHKPKPKTSQPSSYSSSSVTPAQLLLLQSSSNSSSLAYSSSSAAPYLPPRNQSSIQI >Vigun10g138100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35468634:35470091:-1 gene:Vigun10g138100.v1.2 transcript:Vigun10g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGLFGKATEGVIDFVWKHGLQQVTYIIHYKQNVLELKDSARDLRFEKERINHQCDEALKNLNNIEGKVIEWVRKVGEIETIVEEFENGDGHKRAQSLSCNILSYLLNRHRLGRQAKKMEVDVRKLIDECPNLDEVSYREDITSNDATLSNYGFIEFSSTKSTMEKVIAQLEDSTVRMVGLYGPGGVGKSTLVKEIARKVKDNKVFDVAVRVKITANPNLQNVQEEIAYVLGLRLEREGENVRADCLRRSLKKEKRNILLILDDLWDKLDLNKLGIPVEDYDDDDDDFGNGNKDLNHQMLEKNNDNKDPSYKVLNKEKILGSHKGCKILLTSRDKKVLCDKMDIKSTFCVKELDEKDALMLFQKLARNRDEMSDYKQEIVKKYCAGLPIAIVMVARALRSKSESVWEATLEKLKKQELVEVQTSMDISVKMSYDNLENEEIKSIFLLCAQMGHQPLIMDLVKYCFGLGILNGISSIWEARDRI >Vigun05g063800.1.v1.2 pep primary_assembly:ASM411807v1:5:5525932:5530982:-1 gene:Vigun05g063800.v1.2 transcript:Vigun05g063800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSRASKTLRQSNYLSLLCSFNLNFNPLTNRITHERFSVRRELFCSQSRQYSTTTREKSTIDLSQYPPELVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYKHSVNGDDFSDGKESPNFLLNLIDTPGHVDFSYEVSRSLAACQGVLLVVDAAQGVQAQTVANFYLAFESNLTIVPVINKIDQPTADPDRVKAQLKSMFDLDPNDALLTSAKTGEGLEQILPAVIQRIPAPPGRRESPLRMLLLDSYYDEYKGVICHVAVVDGVLSKGDKISSAATGQSYEALDVGIMHPELTPTGILLTGQVGYVVSGMRTTKEARVGDTIYHTRTSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKETSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYTFEFSDGSKLEVQNPASLPSNPKQKVTACWEPTVIATIIIPSEYVGPVITLVSERRGQQLEYSFIDSQRVFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSDYQQADLVKLDILLNGQPVDAMATIVHNTKAYRVGRELTEKLKTVIDRQMFEITIQAAIGSKIIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKVS >Vigun03g018100.2.v1.2 pep primary_assembly:ASM411807v1:3:1302412:1305846:1 gene:Vigun03g018100.v1.2 transcript:Vigun03g018100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSTLAGKWEELIIIIFIFIVGFSATYTKLYPSFKPYEYGFRVFLITYCFITVSGYQTGEFVDTAINRFVLIALGAAVSLGVNICIYPIWAGEDLHNLVIKNFRDLATSLEGVVNNYLHCVEYKKVPSKILTYQAAEDPIYNGYRSVVESTSKEDALMNFAVWEPPHGHYKMRKYPWKNYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFRSELRRVGCEGAKVLRELGNKVQKMEKLDREDLLDEVHDAAEELQQKIDKKSYLLVNSETWEIGNRPIEDEESEQEGKFLEHKSRSEAVLDLRTVEVPHSWDGNLTLGNNPATNASQNMSRKQISWSAHVYHHKSNAEVKEGQQQLKTFESASALSLTTFSSLLIEFVARLQNLVESFEELSETANFADPLEQQEPLKSHGFWTRLFNCSKSKD >Vigun03g018100.1.v1.2 pep primary_assembly:ASM411807v1:3:1302412:1305846:1 gene:Vigun03g018100.v1.2 transcript:Vigun03g018100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLVKSGSFRHGLTEKKERLLSGKSSSDISQIGIGMVMQQEEQSRILKWWNKVKRVTRKAWEMGRSDPRKIIFSAKTGLALTLLSLLIFLREPFKDMSLYCVWAILTVVVVFEFSIGATLSKSLNGGFGALLAGALSLGMAELSTLAGKWEELIIIIFIFIVGFSATYTKLYPSFKPYEYGFRVFLITYCFITVSGYQTGEFVDTAINRFVLIALGAAVSLGVNICIYPIWAGEDLHNLVIKNFRDLATSLEGVVNNYLHCVEYKKVPSKILTYQAAEDPIYNGYRSVVESTSKEDALMNFAVWEPPHGHYKMRKYPWKNYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFRSELRRVGCEGAKVLRELGNKVQKMEKLDREDLLDEVHDAAEELQQKIDKKSYLLVNSETWEIGNRPIEDEESEQEGKFLEHKSRSEAVLDLRTVEVPHSWDGNLTLGNNPATNASQNMSRKQISWSAHVYHHKSNAEVKEGQQQLKTFESASALSLTTFSSLLIEFVARLQNLVESFEELSETANFADPLEQQEPLKSHGFWTRLFNCSKSKD >Vigun01g236600.1.v1.2 pep primary_assembly:ASM411807v1:1:40804763:40808170:1 gene:Vigun01g236600.v1.2 transcript:Vigun01g236600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTPVRNPHTSTSDLLTWSETPPPESPATASSAARSGQPSDRISKVLHGGQLTEEEAQTLTKSKPCSGYKMKEMTGSGIFGANGKDSASEGNSADSNNRTSIRICQQAMNGISQISFSTEESISPKKPTSIPEVAKQRELSGTMQSDSDSKSKKQISNAKTKELTGNDIFGPPPEIVPRSVAAARTMESKESKDMGEPLPRNVRTSVKVSNPAGGQSNILFGEAPVEKTSKKIHNQKFAELTGNNIFQGDVPPGSAEKPLSRAKLREITGSDIFADGKAEIKDTVRGARKPPGGESSISLV >Vigun02g035100.5.v1.2 pep primary_assembly:ASM411807v1:2:14614892:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDTFNLGLFIYGMSKMFFLMVICWKKSYGATSKFCCSGGVFLIGMLSLHSLKHSPRSWFGKFSIVVQ >Vigun02g035100.1.v1.2 pep primary_assembly:ASM411807v1:2:14608144:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDLAQAAKSQFSHDYSDHLAIVRAYDNWKDAEIDNAGHEYCWKNFLSPQSMKVIDALRVEFLSLLKDIGLVDRNMTSCNAWSYDMYLIRAAICYGLYPGICSVVHKDKSFSLKTMDDGKVFLHSNSVNARETRIPYPWLVFNEKIKVNSVFLRDSTAVPDSVVLLFGGSISKGDTDGHLKMSGGYLEFFLKPAVADMYQSIRKDLDKLIHSKLQFPQMSIHTFHELLFAIRLLICNDKCEGKFVFSCQLLKPSMMAMQQALVSRTDSGPGGDNTKGHLQTLLTRAGYGAPFYTTTQLKNNQFRATVEFNGVQIMGQPYNNKKNAEKDAAAEALQWLMGRMQTGNEDISHMTMVLKKSKTDHN >Vigun02g035100.8.v1.2 pep primary_assembly:ASM411807v1:2:14616459:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDVSFFYFSDLEFFDLLLVSNCHRYFFLYTFQHLCVCVRV >Vigun02g035100.4.v1.2 pep primary_assembly:ASM411807v1:2:14616492:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRKSWSCSTRRMLSSVS >Vigun02g035100.2.v1.2 pep primary_assembly:ASM411807v1:2:14614892:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDPKFSLSVLLMLLRLVVGQNGASHAGCHNVDSSITNGVALYRHAPPPVLTCLHLWWSPG >Vigun02g035100.7.v1.2 pep primary_assembly:ASM411807v1:2:14616102:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDPKFSLSVLLMLLRLVVGQNGASHAGCHNVDSSITNGVALYRHAPPPVLTCLHLWWSPG >Vigun02g035100.6.v1.2 pep primary_assembly:ASM411807v1:2:14608144:14636372:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDLAQAAKSQFSHDYSDHLAIVRAYDNWKDAEIDNAGHEYCWKNFLSPQSMKVIDALRVEFLSLLKDIGLVDRNMTSCNAWSYDMYLIRAAICYGLYPGICSVVHKDKSFSLKTMDDGKVFLHSNSVNARETRIPYPWLVFNEKIKVNSVFLRDSTAVPDSVVLLFGGSISKGDTDGHLKMSGGYLEFFLKPAVADMYQSIRKDLDKLIHSKLQFPQMSIHTFHELLFAIRLLICNDKCEGKFVFSCQLLKPSMMAMQQALVSRTDSGPGGDNTKGHLQTLLTRAGYGAPFYTTTQLKNNQFRATVEFNGVQIMGQPYNNKKNAEKDAAAEALQWLMGRMQTGNEDISHMTMVLKKSKTDHN >Vigun02g035100.3.v1.2 pep primary_assembly:ASM411807v1:2:14616459:14642474:-1 gene:Vigun02g035100.v1.2 transcript:Vigun02g035100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPFIAMDSSLPVHVDNDADKGVSCDADKGVSCDAEKGTSSLPSAFPNEDWKQKLNMLLNDQSKQELVSREKKDRRDFQQIEILASKMGLYSHMYAKVVVVSKVPLPNYRYDLDDKRPLREVNLPTALLRQVDGYLQEYLTHKSRRKESFPDLWCATPRDSSGTNEGLFRPPQSLSCSRAVVEKILCQSSQMHDQQRAWQESPDGRKILEFRSSLPAYKEKEAILSAISRTQVLIISGETGCGKTTQVPQFILESEIELVRGAVCNIICTQPRRIAAISVSERVAYERGEKLGETVGYKVRLEGMQGRDTHLLFCTTGILLRRLLDDKKLKGITHIIVDEIHERGMNEDFLLVVLKDLLACRPELKLILMSATLDAELFSSYFNNASTMKIPGFTYPVRTLFLEDILEMSGYRLTPDNQIDDYGQERIWKMNKQLPGKRKSQLSSAVEDVVRVADFNYYSPQTRESLSCWNPDCIGFNLIQYILCNICEHERPGAILVFMIGWDDINALKEKLLTHPVLSDPNRVLLLMCHSSMDSLEQRLIFEEPEDGVRKIVLATNIAETSITINDIVFVIDCGKAKESSYDALNNTPCLLPTWISKVSVQQRRGRAGRVQPGECYHLYPRCVYDSFTKHQLPEILRMPLQSLCLQIKSLKLGSISEFLSRALQSPEILAVQNAIEYLKTIGALDENENLTILGRHLVVLPMEPKLGKMLIFGVIFNCLDPILTIVAGLSVRDPFLTPSDKKDVSFFYFSDLEFFDLLLVSNCHRYFFLYTFQHLCVCVRV >Vigun02g035900.1.v1.2 pep primary_assembly:ASM411807v1:2:14871925:14873086:1 gene:Vigun02g035900.v1.2 transcript:Vigun02g035900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPEKQSTKGQWTTGLYDCWEDPSHCCFTLFCPCITFGQIAEIVDGGTISKNAACCIYTHGWSWLNGAIYRSKLRRLFSLPEEPYSDSFTHCCCCVCSLTQEFRELKNRGIHPSIGWEGNVEKWKRAGVEPPIAPTMSR >Vigun03g027000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2014852:2016114:-1 gene:Vigun03g027000.v1.2 transcript:Vigun03g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLFLNFFLLLFLLLLRSNAAKGAISVGVGVGGRGGIGVGIGIGNGGSGGSNTPGSSVSNLNNAFTALQAWKSAITDDPLKILDTWVGPNVCSYKGVFCSNSQDDNGIATTASTESSVVAGIDLNHANLQGTLVKDLSLLSDITLFHLNTNRISGSVPESFRDLTSLRELDLSNNQLSGPFPTATLSMPSLVYLDLRYNNFSGQLPDELFNKKLDAIFLNNNNFVGEIPENLGNSPASVINLANNKLSGSIPFSFGFMGSRLKEILFLNNQLTGCIPQGVGIFTEMQVFDVSFNSLMGHLPDTMSCLQDIEVLNLANNKLSGELPDVVCSLRSLLNLTVAHNFFSGLSQGCSRLFNLGFDFSDNCIPGRNMQRPQPECSGIPGGSLNCLRIPAPKPLVCATLAATLSNHANSSYSSSP >Vigun03g260100.1.v1.2 pep primary_assembly:ASM411807v1:3:42638862:42642868:1 gene:Vigun03g260100.v1.2 transcript:Vigun03g260100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVGGEIRASHILIKHEGSRRKASWKDPEGRIIKNTTREAAVSQLKALRDDIVSGKATFEDVASRFSDCSSAKRGGDLGPFSRGQMQKPFEDATLALKVGELSDIVDTDSGVHIIKRTK >Vigun08g073600.14.v1.2 pep primary_assembly:ASM411807v1:8:12555043:12572967:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.1.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVELMIPPPAVSIALYSIQAKQISNSHLHSSSPTKTKVKSTKMLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.11.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKITVLNSTSAAIPPVTAPTITTSLLPEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.12.v1.2 pep primary_assembly:ASM411807v1:8:12553127:12572176:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRWTGQRHQQRIQN >Vigun08g073600.6.v1.2 pep primary_assembly:ASM411807v1:8:12555043:12572967:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMLGGASCCSSRVIQDVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.9.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.4.v1.2 pep primary_assembly:ASM411807v1:8:12553126:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.16.v1.2 pep primary_assembly:ASM411807v1:8:12553344:12568204:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEVLVGLKFLI >Vigun08g073600.3.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.15.v1.2 pep primary_assembly:ASM411807v1:8:12562899:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.10.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKITVLNSTSAAIPPVTAPTITTSLLPEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.5.v1.2 pep primary_assembly:ASM411807v1:8:12554574:12572967:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.13.v1.2 pep primary_assembly:ASM411807v1:8:12553589:12572966:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRSDRKEDDGEASQDRRTGIYDGFSETQTESQVVGYEEDLSGRQSLIDKYRTRSSTQ >Vigun08g073600.8.v1.2 pep primary_assembly:ASM411807v1:8:12553132:12572150:1 gene:Vigun08g073600.v1.2 transcript:Vigun08g073600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVELMIPPPAVSIALYSIQAKQISNSHLHSSSPTKTKVKSTKMLKTKTLKTKAFQGANVFMSRNLVPPEVFDALHDAVKDNGAQLHLCCDPSRNGPDDYHIIASSKHEKFDDLKSKGCKLLGPRCVLSCAKGGKPLPKQGFTCCLAMDGVKVLASGFDTEEKVKIEELVAEMGGVLHTKTSLDLNFVIVKNVLARKYKWALNELKKPIVTYEWLKQCSEEHRVVPQESYKVLPFSGLKICVTGIPADVRKEMEKLILQNGGKYSAELTKNCTHLISEAPQGDKYKVAKRWGHIHIVIRKWFDQSIARRACLNEEFFPVQHGSVSSHKVTRDLTMQHSQEKDIGKLQGAASSGTTDSSVRASCAELIDRDHEAMPSECMSSVPQTRIFAKEADAEAPLQTSGELNLDNVVADDSESDDNGLYLSECRILLVGFEALEMRKLINIVRKGGGSRYMSFNDKLTHIVVGNPTEKEKKDVRSLAALGVIYVVKTSWLEDCDRKKKEVPVLRRHIAYDLLHPKASLVKGDVTESTSMDHSKSSSCHQSSHQVDFEIVKPESLEKRKEEIKDMDINGHSFRKANCRTMLQDQLPDNKLSTQRMSQHDFSVQNEKSANVFRGKLFCFSNLFPEEKRGEIVQWINQGGGEVISGQAKQIVRYTVECHGVTPMLAGDSKSLYVSSHWIRSCLEAGSLLDVDSHILYSPLPCHVPLPGFESFRFCVSQYEEKDRNLLRNLCFVLGAKFGEKLHKKVTHLLCKFTNGPKYDGARKWGIQSVTCEWIFECVKQNGVVAIDQFLPKEVTAQDREAGLCTVSQFPTQAAQMISDMPSQLSSQSQILRGITNKNVGCGVGNHETNFKKPSVYSKKARLVEESCLANKMPSASNSGIHAYGKNFSEDNMLVDGGEVCRTVPDVAAAIEDLLEQTSKMHGQRSPAQTGCQSGIYPSDLSVLGEDNSNPHTVFGLSKHWLNRCRLCKAIMVSQW >Vigun08g002500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:268730:269844:1 gene:Vigun08g002500.v1.2 transcript:Vigun08g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MATLTNNLIFKSPVSLSSHPKLLPLLSDASTLPFLPKIPSSRISTTPNHNRAPLIPRATAAPGAKKTEKKERVQRVHSIEEFDSALESAKERLVVVEYAASDSEESSQIYPFMVELSRSCSDVEFILVMGDESAKTKELLKREKVENVPHFSFYKSREKIHEEEGIGPDMLVGDVLYYGDSHSAVVQLHSVEDVQKLIEDHKVDHKLIVLDVGLKHCGPCVKVYPTVVKLSRQMESVVFARMNGDENESCMQFLRDMEVIQVPTFLFIRDGNIEGRYVGSGKGELIGEILRYQGVRVTY >Vigun03g379500.4.v1.2 pep primary_assembly:ASM411807v1:3:58353594:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSPAKILIGLSLNPEDSKDLLSWAITVLANPNDTIVAVHVLVTVDKKKRVSVRKRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEARVALNSTVGEGLVEETKSINADFLLIRGSRNQTNKNGTSKGITRYCFEHAHEGCTMVSVRRRDKTDQSVKPNSAPFQVDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVREE >Vigun03g379500.6.v1.2 pep primary_assembly:ASM411807v1:3:58354858:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCIFSLVDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVLELLTSGEDSEVGKSWRIPKFTSDELDDYSMVFGYDVPSDLSLEDFL >Vigun03g379500.3.v1.2 pep primary_assembly:ASM411807v1:3:58353594:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSPAKILIGLSLNPEDSKDLLSWAITVLANPNDTIVAVHVLVTVDKKKRVSVRKRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEARVALNSTVGEGLVEETKSINADFLLIRGSRNQTNKNGTSKGITRYCFEHAHEGCTMVSVRRRDKTDQSVKPNSAPFQVDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVLELLTSGEDSEVGKSWRIPKFTSDELDDYSMVFGYDVPSDLSLEDFL >Vigun03g379500.5.v1.2 pep primary_assembly:ASM411807v1:3:58353594:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSPAKILIGLSLNPEDSKDLLSWAITVLANPNDTIVAVHVLVTVDKKKRVSVRKRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEARVALNSTVGEGLVEETKSINADFLLIRGSRNQTNKNGTSKGITRYCFEHAHEGCTMVSVRRRDKTDQSVKPNSAPFQDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVREE >Vigun03g379500.1.v1.2 pep primary_assembly:ASM411807v1:3:58353594:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSPAKILIGLSLNPEDSKDLLSWAITVLANPNDTIVAVHVLVTVDKKKRVSVRKRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEARVALNSTVGEGLVEETKSINADFLLIRGSRNQTNKNGTSKGITRYCFEHAHEGCTMVSVRRRDKTDQSVKPNSAPFQDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVLELLTSGEDSEVGKSWRIPKFTSDELDDYSMVFGYDVPSDLSLEDFL >Vigun03g379500.2.v1.2 pep primary_assembly:ASM411807v1:3:58353594:58356900:1 gene:Vigun03g379500.v1.2 transcript:Vigun03g379500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSPAKILIGLSLNPEDSKDLLSWAITVLANPNDTIVAVHVLVTVDKKKRVSVRKRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEARVALNSTVGEGLVEETKSINADFLLIRGSRNQTNKNGTSKGITRYCFEHAHEGCTMVSVRRRDKTDQSVKPNSAPFQVDNRQQNSRWFKKGKQCEKGVSPVLEDYISGIKAQNRSPRTVLNGLEGQSNSTEDDTFSTRVSSTTYTPSMDSKIKSRSKIKPQFSFRFIVSFLASPFRRKNLNIYRSEKRQPLLKCFSYEQISNATNDFHQDNLVGRGGYSEVYKGDLSDGRTIAVKRLAKDNKDPNKEKEFLMELGVIGHVCHPNTATLVGCCIENGLYLIFNYSQNGNLATSLHGKGGDSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQIADFGLAKWLPNKWTHHAVIPVEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIVTGRRPVDSSKQNLLLWVCSMAKPLMESGNITELADPRMEGKYDGEQLYRVVLAASYCVRQTATWRPPMSEVLELLTSGEDSEVGKSWRIPKFTSDELDDYSMVFGYDVPSDLSLEDFL >Vigun01g057401.1.v1.2 pep primary_assembly:ASM411807v1:1:11515268:11515554:-1 gene:Vigun01g057401.v1.2 transcript:Vigun01g057401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLHSSSSQAQLRRPPLQRSGALSTTLINLISFPLFFISDWMYVIGEDETFEMKMVMGMRGNGGKWF >VigunL087101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:129141:129299:1 gene:VigunL087101.v1.2 transcript:VigunL087101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun03g408900.1.v1.2 pep primary_assembly:ASM411807v1:3:61586352:61588662:-1 gene:Vigun03g408900.v1.2 transcript:Vigun03g408900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDATPRSTVNSPPTHYVLKVQSFSLLVKNSIERYESETFEAGGYKWKLVLYPGGNKSKNIREHISLYLALDDTSSLNHGWEIYVNFRFFLHDQNNDNYLVVQDTVGKERRFHKMKAEWGIDQFIPLRDFNLVSKGYLVDDTCAFGAEVFVCKERNTGKGECLVMMKDSITYKHMYEFDNLSKLDSEFCDSKPFNAGNYKWNIKLYPNGKDAELGNYLSLYLTLADPSALSHGSKIYAQITLRILDQKHAKHHFGKANYWFSASCHENGASRFMPINNFTNQNLGYQMKDSCLVEAEVTILGVVDAVS >Vigun03g408900.2.v1.2 pep primary_assembly:ASM411807v1:3:61586352:61588662:-1 gene:Vigun03g408900.v1.2 transcript:Vigun03g408900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDATPRSTVNSPPTHYVLKVQSFSLLVKNSIERYESETFEAGGYKWKLVLYPGGNKSKNIREHISLYLALDDTSSLNHDTVGKERRFHKMKAEWGIDQFIPLRDFNLVSKGYLVDDTCAFGAEVFVCKERNTGKGECLVMMKDSITYKHMYEFDNLSKLDSEFCDSKPFNAGNYKWNIKLYPNGKDAELGNYLSLYLTLADPSALSHGSKIYAQITLRILDQKHAKHHFGKANYWFSASCHENGASRFMPINNFTNQNLGYQMKDSCLVEAEVTILGVVDAVS >Vigun03g408900.3.v1.2 pep primary_assembly:ASM411807v1:3:61586350:61588662:-1 gene:Vigun03g408900.v1.2 transcript:Vigun03g408900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDDTVGKERRFHKMKAEWGIDQFIPLRDFNLVSKGYLVDDTCAFGAEVFVCKERNTGKGECLVMMKDSITYKHMYEFDNLSKLDSEFCDSKPFNAGNYKWNIKLYPNGKDAELGNYLSLYLTLADPSALSHGSKIYAQITLRILDQKHAKHHFGKANYWFSASCHENGASRFMPINNFTNQNLGYQMKDSCLVEAEVTILGVVDAVS >Vigun09g261800.1.v1.2 pep primary_assembly:ASM411807v1:9:42685173:42687275:-1 gene:Vigun09g261800.v1.2 transcript:Vigun09g261800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALASTRIPTNTSFLSKASHSFPTQCTSKRIRSTKIAMPLSVSGSRRSTAILISSLPFTFLLLSPPAEARRNKKTIPQEEYLTTPDGLKYYDLIEGKGPVAEKGKIVQVHFDCLYRGITAVSSRESKLLAGNRIIAQPYEFRVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYTIVEGMRVGGKRTVIVPPENGYGQKGMNEIPPGATFELNVELLQVVAT >Vigun09g261800.2.v1.2 pep primary_assembly:ASM411807v1:9:42685173:42687275:-1 gene:Vigun09g261800.v1.2 transcript:Vigun09g261800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVSGSRRSTAILISSLPFTFLLLSPPAEARRNKKTIPQEEYLTTPDGLKYYDLIEGKGPVAEKGKIVQVHFDCLYRGITAVSSRESKLLAGNRIIAQPYEFRVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYTIVEGMRVGGKRTVIVPPENGYGQKGMNEIPPGATFELNVELLQVVAT >Vigun09g261800.3.v1.2 pep primary_assembly:ASM411807v1:9:42685173:42686929:-1 gene:Vigun09g261800.v1.2 transcript:Vigun09g261800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSKRIRSTKIAMPLSVSGSRRSTAILISSLPFTFLLLSPPAEARRNKKTIPQEEYLTTPDGLKYYDLIEGKGPVAEKGKIVQVHFDCLYRGITAVSSRESKLLAGNRIIAQPYEFRVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYTIVEGMRVGGKRTVIVPPENGYGQKGMNEIPPGATFELNVELLQVVAT >Vigun05g249800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44364898:44365941:1 gene:Vigun05g249800.v1.2 transcript:Vigun05g249800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPPFPTSLALLFSLLHATALTALSPTTAPAAAPTLPQPPPTDNNPGATDIASILTQTNSFNIFLRLMKTTQLINQLNSQLLTIKSGGLTILAPEDGAFSELPPGFLNTLSDGQKLKLVQFHVLPDFISSASFDTLTNPVRTLAGNKPGKVELDVISYGGIVNISTGQVNTTINGIVYMDKHLAVYKVGKVLLPSEFFATKKKTIVAAPIHPPAPVTETAKAPEPAKVKPPSPEASPASSTQVVPTVTSGGVRIDVSGTWVFLVLDVVFLRFLNI >Vigun07g250300.6.v1.2 pep primary_assembly:ASM411807v1:7:36969380:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun07g250300.2.v1.2 pep primary_assembly:ASM411807v1:7:36968598:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLRLSTNDRVQLFNGKGGLVEGCIQDMDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun07g250300.4.v1.2 pep primary_assembly:ASM411807v1:7:36968598:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLRLSTNDRVQLFNGKGGLVEGCIQDMDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun07g250300.3.v1.2 pep primary_assembly:ASM411807v1:7:36968598:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun07g250300.5.v1.2 pep primary_assembly:ASM411807v1:7:36968598:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun07g250300.1.v1.2 pep primary_assembly:ASM411807v1:7:36968598:36972137:1 gene:Vigun07g250300.v1.2 transcript:Vigun07g250300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLGGVTLRFVNRSWLRNLTVRAMTFSSSSEYGDQSRGGLPRFYSEILPPSKGSVIRVQGDEFWHMTKVLRLSTNDRVQLFNGKGGLVEGCIQDMDRSGLDFVASSDLISIPPQNTQLHVFAGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMVLKDPIEIDDLLHLIAQSKLALVATAKATPVLSALTSLEKKTSGLIIIGPEGDFTEKEASMMMEAGATAVSLGPHRLRVETATISLLATVMLWSDSLQTSVS >Vigun05g083200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7857690:7865070:1 gene:Vigun05g083200.v1.2 transcript:Vigun05g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRLKIGVRVAEMFNLTPTQAKPFLKIKASPSLTMAAVSSSHHRTPQRPGRRCTSHRRRRQSPSAVSPTRTQLPELLPRFFLLRARLLRRTSVANQDLPPPYRPPRSEHASTMEAQPPAFATQIVTAAPQVFHSSSCARQMLKLCSLSSQAWLERPPLRSLRPPRPRPPS >Vigun05g083200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7857950:7858468:1 gene:Vigun05g083200.v1.2 transcript:Vigun05g083200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRLKIGVRVAEMFNLTPTQAKPFLKIKASPSLTMAAVSSSHHRTPQRPGRRCTSHRRRRQSPSAVSPTRTQLPELLPRFFLLRARLLRRTSVANQDLPPPYRPPRSEHASTMEAQPPAFATQIVTAAPQVFHSSSCARQMLKLCSLSSQAWLERPPLRSLRPPRPRPPS >Vigun07g045700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4649278:4650210:1 gene:Vigun07g045700.v1.2 transcript:Vigun07g045700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKRLGVLGEGSYAIVYLVVVISQKEHTSNVVAVKSSKPCCYVSLQKEQRILELFKGCEEILQCYFYQETIENGRRTYNLFMEYTPCGTLGDLIKKGSLSEKEVITYTRMLLKGLRSIHKEGIIHCDLKPANILLFPSSDDNAKYQLKIADFGLSNTIGDANVGLGEIMFRGTHIYMSPESIIGLMGTALDIWSLGCIVIEMMTGFPPWTNIQSIEELMWKLGLLQEVPKIPDELNWDCKNFLSKCFAKDHRERWSAAMLLDHPFIQKEYPTSSMFNPSSFFSYDIVSSSMFNPSSFFSYDIVSYVSH >Vigun08g107100.1.v1.2 pep primary_assembly:ASM411807v1:8:26655003:26661663:1 gene:Vigun08g107100.v1.2 transcript:Vigun08g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGICSSSPTLNHQNHSTPKRRRTPLPSFPSRSKPFPPRSLAREAPAQLSSITKPHHAGLEKDSRALWLRYVEWLYQHKELGLYLDVSRVGFTEEFVREMEPRFQAALRAMEDLEKGAIANPDEGRMVGHYWLRDSSRAPTAFLKKQIDNTLDAICSFANDVVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVLVISKSGGTPETRNGLLEVQKAFREAGLNFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLAGAALMDEANRSTVIRNNPAALLALCWYWATDGVGSKDMVILPYKDSMLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESLTVTVQEVNPRSVGALIALYERAVGIYASIVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCNEPVEPLTLEEVADRCHAPEDIEMIYKIIAHMAANDRALIAEGNCGSPRSIKVFLGECNLDELYA >Vigun08g107100.2.v1.2 pep primary_assembly:ASM411807v1:8:26655003:26661663:1 gene:Vigun08g107100.v1.2 transcript:Vigun08g107100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAWLATTGSGTPRGRPLRSSKSRLITRSTPFAASLTTSSAIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVLVISKSGGTPETRNGLLEVQKAFREAGLNFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLAGAALMDEANRSTVIRNNPAALLALCWYWATDGVGSKDMVILPYKDSMLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESLTVTVQEVNPRSVGALIALYERAVGIYASIVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCNEPVEPLTLEEVADRCHAPEDIEMIYKIIAHMAANDRALIAEGNCGSPRSIKVFLGECNLDELYA >Vigun01g027600.2.v1.2 pep primary_assembly:ASM411807v1:1:3294318:3296550:-1 gene:Vigun01g027600.v1.2 transcript:Vigun01g027600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSEKQDDSHPSLFLPDHATIKEDNSPRKVIVGGGDRLKRDEWSEGAVSTLLEAYEAKWVLRNRAKLKGHDWEDVAKHVSSRANSTKSPKTQTQCKNKIESMKKRYRSESATTADASSWPLYSRLDLLLRGTGPISSFPTPNPTPTTPTLTPTTIAPSFQPPHAATNSNQALVLLDPPSLAVSQAPPSAAPPPPTQNSHGSNGDERLIKEDGLGGKSCEDVSEKNVIESDSSTPALYSEKEKVRCNKRKMKSEKKKKRVRRNSNSNNSEDMEIAESIRWLAEVVVRSEESRMETMKEIEKMRVEAEAKRGEMELKRTEIIANTQLEIARIFASVNKDVDSSLRIGRS >Vigun01g027600.1.v1.2 pep primary_assembly:ASM411807v1:1:3294318:3296769:-1 gene:Vigun01g027600.v1.2 transcript:Vigun01g027600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSEKQDDSHPSLFLPDHATIKEDNSPRKVIVGGGDRLKRDEWSEGAVSTLLEAYEAKWVLRNRAKLKGHDWEDVAKHVSSRANSTKSPKTQTQCKNKIESMKKRYRSESATTADASSWPLYSRLDLLLRGTGPISSFPTPNPTPTTPTLTPTTIAPSFQPPHAATNSNQALVLLDPPSLAVSQAPPSAAPPPPTQNSHGSNGDERLIKEDGLGGKSCEDVSEKNVIESDSSTPALYSEKEKVRCNKRKMKSEKKKKRVRRNSNSNNSEDMEIAESIRWLAEVVVRSEESRMETMKEIEKMRVEAEAKRGEMELKRTEIIANTQLEIARIFASVNKDVDSSLRIGRS >Vigun08g216700.1.v1.2 pep primary_assembly:ASM411807v1:8:37702255:37706811:-1 gene:Vigun08g216700.v1.2 transcript:Vigun08g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTWSSGVTSATPFAPATDKALPKTRRRFHVESLKRRAKAGSAGLVKEPKKELSRILRTEAAIRGVQNKAKSNSHKQLWPKALLEALDDAIKRRRWQSALEIFALLRKQCWYEPRCQTYAKLLMMLGKCRQPEEASHLFEIMYSEGLKPTVDVYTALVSAYGHSGLLDQALSTIEDMKSVVDCEPDIYTYSILVSCCAKFRRFDLIEHVLAEMSFLGIQCNSVTYNSIIDGYGKAGMFEQMDNTLNDMIENGNCHPDVFTLNSFVGALGKDGQIDKMEKWYDEFQLMGIKPDLTTFNLMIKSYGKAGMYKKMKTVMDFMERRFFTPTIVTYNTVIEVFGKAGEIEKMDQHFLKMKHLGVKPNSITYCSLVSAYSKVGRIDKVESIMRHVDNSDVVLDTPFFNCIISAYGQAGDLKKMSEFFMAMRERKCEPDSITFACMIQAYNTQGMTKAAQKLENMMISSKNNLGIKLIEC >Vigun08g216700.2.v1.2 pep primary_assembly:ASM411807v1:8:37702255:37706811:-1 gene:Vigun08g216700.v1.2 transcript:Vigun08g216700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTWSSGVTSATPFAPATDKALPKTRRRFHVESLKRRAKAGSAGLVKEPKKELSRILRTEAAIRGVQNKAKSNSHKQLWPKALLEALDDAIKRRRWQSALEIFALLRKQCWYEPRCQTYAKLLMMLGKCRQPEEASHLFEIMYSEGLKPTVDVYTALVSAYGHSGLLDQALSTIEDMKSVVDCEPDIYTYSILVSCCAKFRRFDLIEHVLAEMSFLGIQCNSVTYNSIIDGYGKAGMFEQMDNTLNDMIENGNCHPDVFTLNSFVGALGKDGQIDKMEKWYDEFQLMGIKPDLTTFNLMIKSYGKAGMYKKMKTVMDFMERRFFTPTIVTYNTVIEVFGKAGEIEKMDQHFLKMKHLGVKPNSITYCSLVSAYSKVGRIDKVESIMRHVDNSDVVLDTPFFNCIISAYGQAGDLKKMSEFFMAMRERKCEPDSITFACMIQAYNTQGMTKAAQKLENMMISSKNNLGIKLIEC >Vigun04g203500.2.v1.2 pep primary_assembly:ASM411807v1:4:42608845:42615864:1 gene:Vigun04g203500.v1.2 transcript:Vigun04g203500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMHRNSTGSTNNSTNSNNKISSALRLSSPQQSLRRLGLCSQIATGEHSSPIVFPEKRAKVKASRKSSVPTTIRPDDQDNSKNFEHRIDIGAGGGGDEKSDLLGYVVFSGKLVLDKRKISTNNNADAQQTSDVTNQDAVNAKLTSKALAWGSQVLHLDDVISVSYNAGLRHFTVHSYPFKKASCGLSCFIKSQRSRKDFRFIASSIEEALQWVGGFADQQCFVNCLPHPLSSSKKQASSELFQSDTPPELLFRCKTPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFRLEVVKTTCAGHARNLASSVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPISAAMAIVKGGLTATDVFAVEWIQQTDKIHYGLTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFFKFLCLPRYSYEVEYLPAVKTEREGKISGEKEVVDMSDLCTDIMSRSNKDGMPRASSLSSIDSIMTPSRISGGDLDTCSSTHASTEPSELVRGLDPKSKRLSSGRGNVTAEPEVIHPQLPLSTTPNWPRTRSKSRNDKGWTGLTTTHDTTRWGNTATNDREDISSTLSDPGPIWDAEPKWDAEPNWDVENPIELPGPSDDTVMGSTKEVVPRFGDKWVVSKGRFLGILVCNHACRTVQSSQVVAPKAEHDDSTLDLLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYVKVKSVRIKPGKHTHNGCGIDGELFALNGQVISSMLPEQCRLIGRFRL >Vigun04g203500.1.v1.2 pep primary_assembly:ASM411807v1:4:42608777:42615947:1 gene:Vigun04g203500.v1.2 transcript:Vigun04g203500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMHRNSTGSTNNSTNSNNKISSALRLSSPQQSLRRLGLCSQIATGEHSSPIVFPEKRAKVKASRKSSVPTTIRPDDQDNSKNFEHRIDIGAGGGGDEKSDLLGYVVFSGKLVLDKRKISTNNNADAQQTSDVTNQDAVNAKLTSKALAWGSQVLHLDDVISVSYNAGLRHFTVHSYPFKKASCGLSCFIKSQRSRKDFRFIASSIEEALQWVGGFADQQCFVNCLPHPLSSSKKQASSELFQSDTPPELLFRCKTPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFRLEVVKTTCAGHARNLASSVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPISAAMAIVKGGLTATDVFAVEWIQQTDKIHYGLTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFFKFLCLPRYSYEVEYLPAVKTEREGKISGEKEVVDMSDLCTDIMSRSNKDGMPRASSLSSIDSIMTPSRISGGDLDTCSSTHASTEPSELVRGLDPKSKRLSSGRGNVTAEPEVIHPQLPLSTTPNWPRTRSKSRNDKGWTGLTTTHDTTRWGNTATNDREDISSTLSDPGPIWDAEPKWDAEPNWDVENPIELPGPSDDTVMGSTKEVVPRFGDKWVVSKGRFLGILVCNHACRTVQSSQVVAPKAEHDDSTLDLLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYVKVKSVRIKPGKHTHNGCGIDGELFALNGQVISSMLPEQCRLIGRFRL >Vigun06g164700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28658951:28660748:-1 gene:Vigun06g164700.v1.2 transcript:Vigun06g164700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPVSDMKMSNAIRSGIVVLGTLAFGYLSIQIGFKPYLEKAQNQNALYQSDASQAESSSAFPERPS >Vigun06g164700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28659726:28660774:-1 gene:Vigun06g164700.v1.2 transcript:Vigun06g164700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPVSDMKMSNAIRSGIVVLGTLAFGYLSIQIGFKPYLEKAQNQNALYQSDASQAESSSAFPERPS >Vigun09g167600.1.v1.2 pep primary_assembly:ASM411807v1:9:33620214:33625263:-1 gene:Vigun09g167600.v1.2 transcript:Vigun09g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSAFGAFAVARPSPLLLFRGRKAFKFRPLSSSSSSAEPSRKLVLYSKPGCCLCDGLKEKLHAAFLLPGPDSLNDVDLQIRDITSNPVWENAYQYEIPVLAKVLSDGTEVALPRLSPRLGVELVHKKIAASLKQQ >Vigun08g204300.1.v1.2 pep primary_assembly:ASM411807v1:8:36804867:36808627:1 gene:Vigun08g204300.v1.2 transcript:Vigun08g204300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQSERTKTTNALLVSLMKQLEKDKKSIQIGPEDNLYLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEILNQFGAVQPDLEQKQKYAVWKAADIRKALKEGRKPIAGPPAGDEDLSIPFSSSSDRYDPGTTETTVSSPGPESDSSPSYHNPANYQNPNIHPAPKFHDTVNEQHSANIPPSMQFHDRVDNNKHSSVVSPSSHSYTPGVYPSQDYPPAPPPSQDYHPPPPSQDFHSPPPSQDYHSPPPSQDYHPPPPSQDYHPPPSSQDYHPPPARSESSYHELYNHQQYSSENSQHLGPNYPSHENSSYSYPHFQSYPSFSESSIPSVPSNYTYYQGSDASYSSQSAPLTTSHSASAHHSSSSRNGTTVEPKSTTQTYHYDSNYQPAPEKIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTNPSAGQ >Vigun09g271700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43360329:43360827:-1 gene:Vigun09g271700.v1.2 transcript:Vigun09g271700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRASKGTEIPPKGFLAVYVGDNMRRFLIPVSYLNQPTFQDLLSQSEEEFGYSHPTGGLTIPCKEDVFLNVTSRLNRL >Vigun01g133200.3.v1.2 pep primary_assembly:ASM411807v1:1:31105938:31114371:1 gene:Vigun01g133200.v1.2 transcript:Vigun01g133200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSSFSGRSGVRVVVTGDRATGKSSLIAAIATESFAESITPVIPPTLLPPDLFPDKVPLTVIDTSSSLDKQSKRNEELKRANVVVLTYACNDTASFSRLSSYWFPELHKLEVKVPVIVVGCKLDLRDESQQVSLERLMTQLLQQFKEIVTCIECSAATQYQVPEVFYFAQKAVLHPVDPLYNIESQALTDRCVRALRRIFVLCDRDMDEALNEAELNDFQVRCFNVPLQSSEIAGIKSVVQQKVPEGVNSLGLTFPGFVCVHNMFLKKGRPETLWAVLRNFGYDNNLKLKDDFLPVPAKRALDQSVELTGEAVEFLNGIFRLLDSDKDRALRPAEIDKLFCTAPESPWNDALYKDSAEMNDMGYISLNGFQSQWALMTLLDPPCSLANLIYIGYSGNPEAALRVTRRRAVDRKKQSTERNVFQCYVFGSKSSGKSALLDSLLGRPFSNNYTPSTVERFAVNAIELIGGARKTLVLREIPESNVSNVLSNKDYLAACDVAVFVYDRYGAKCVCVIMLWDI >Vigun01g133200.1.v1.2 pep primary_assembly:ASM411807v1:1:31105938:31114371:1 gene:Vigun01g133200.v1.2 transcript:Vigun01g133200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSSFSGRSGVRVVVTGDRATGKSSLIAAIATESFAESITPVIPPTLLPPDLFPDKVPLTVIDTSSSLDKQSKRNEELKRANVVVLTYACNDTASFSRLSSYWFPELHKLEVKVPVIVVGCKLDLRDESQQVSLERLMTQLLQQFKEIVTCIECSAATQYQVPEVFYFAQKAVLHPVDPLYNIESQALTDRCVRALRRIFVLCDRDMDEALNEAELNDFQVRCFNVPLQSSEIAGIKSVVQQKVPEGVNSLGLTFPGFVCVHNMFLKKGRPETLWAVLRNFGYDNNLKLKDDFLPVPAKRALDQSVELTGEAVEFLNGIFRLLDSDKDRALRPAEIDKLFCTAPESPWNDALYKDSAEMNDMGYISLNGFQSQWALMTLLDPPCSLANLIYIGYSGNPEAALRVTRRRAVDRKKQSTERNVFQCYVFGSKSSGKSALLDSLLGRPFSNNYTPSTVERFAVNAIELIGGARKTLVLREIPESNVSNVLSNKDYLAACDVAVFVYDSSDELSWRKSRDLLEKVARQGDLTGYRVPCLLIAAKDDLTPYPRAVQDSVKVTQELGIEASIHVSMKLGDSSNVYNKIVHAAEHPHLSIPETEIGKKRKQYHRLLQHSLVFASVGTAMAFVGLAACRAYAVKKNSSG >Vigun01g133200.2.v1.2 pep primary_assembly:ASM411807v1:1:31105938:31114371:1 gene:Vigun01g133200.v1.2 transcript:Vigun01g133200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSSFSGRSGVRVVVTGDRATGKSSLIAAIATESFAESITPVIPPTLLPPDLFPDKVPLTVIDTSSSLDKQSKRNEELKRANVVVLTYACNDTASFSRLSSYWFPELHKLEVKVPVIVVGCKLDLRDESQQVSLERLMTQLLQQFKEIVTCIECSAATQYQVPEVFYFAQKAVLHPVDPLYNIESQALTDRCVRALRRIFVLCDRDMDEALNEAELNDFQVRCFNVPLQSSEIAGIKSVVQQKVPEGVNSLGLTFPGFVCVHNMFLKKGRPETLWAVLRNFGYDNNLKLKDDFLPVPAKRALDQSVELTGEAVEFLNGIFRLLDSDKDRALRPAEIDKLFCTAPESPWNDALYKDSAEMNDMGYISLNGFQSQWALMTLLDPPCSLANLIYIGYSGNPEAALRVTRRRAVDRKKQSTERNVFQCYVFGSKSSGKSALLDSLLGRPFSNNYTPSTVERFAVNAIELIGGARKTLVLREIPESNVSNVLSNKDYLAACDVAVFVYDR >Vigun05g271700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46288128:46290334:1 gene:Vigun05g271700.v1.2 transcript:Vigun05g271700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLFQSLPFPLQNSNRASPKPPAHFVIRAQSLNTQNNPPAAAKQRRPADENIRDEARRVNAPHDHHLFSAKYVPFNADPSSSESYSLDEIVYRSQSGGLLDVQHDMAALKRFDGEYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIHDDDIISAFEGNSNLFWAERFGKKFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPIVGVGCASTGDTSAALSAYCASAGIPSIVFLPSNRISLAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFKMCQELGLVDKIPRLVCAQAANADPLYRYFKSGWKEFKPVIPTTTFASAIQIGDPVSIDRAVHAIKSCDGIVEEATEEELMDATAKADKTGMFICPHTGVALTALFKLKNSGVIKATDRTVVVSTAHGLKFTQSKIDYHSKNIKDMACTYANPPKEVKADFGSVMDVLKTYLQSKAH >Vigun09g004000.1.v1.2 pep primary_assembly:ASM411807v1:9:291814:294849:-1 gene:Vigun09g004000.v1.2 transcript:Vigun09g004000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPILILTFLPLLLCFPSFSSSLQHQGKELLPNSCSETCGDLHVPFPFYVNSSCESISSAFHLSCTNSSTLFLRIGSVSYTVFEFFPDGVLVDFPGSSSSSSSCRQYNDLNSFGRSFAGKDNFGVSVDNVIGLYDCEDSSLCKPDCETIDLPACDGRRGGGSLACCYPLSDHTIWHLGDGFSVFSQFGCRGVSSWAVLRGSTSGKRGVKLEWALPGNSSHQVCARNADIINATAIEGGVRCVCQNGYVGDGFANGTGCLQACTKDGKEAYGSDCNIKRQDQRKFVIIAGIIGPVLIVASLVALFYLLKRPTKPGMFDTEQAYYQNISVPKACKTRLFSLQELEEATKGFEEGQKLVHSNNGTIFAGVLRDGSHIAVHKLQCEKKDLIQVLSQIEVLSSTVHRNMARILGCCIESGNTLVVYEFPSNGTLKEHLHQTKGQQQLRLDWYRRLTIAAETASILAFLHYENSPPIFHHNLKSACIFLDDDYSVKIAGFGLLNSNFYYGSHLHKNHEGFGICKNDVYDMGVLLLEIISGSNRLDLPTSALQHIRAGKFEEIFDPFLCYHEQPHYRQEQMQIVADLATRCLLFGVDGRLGMVDVVRELVHLTKESLDGGITKGPALEETFSNSSLLQMISMSPDSMNVP >Vigun03g146800.1.v1.2 pep primary_assembly:ASM411807v1:3:15017337:15018382:1 gene:Vigun03g146800.v1.2 transcript:Vigun03g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTPFSLSLSLAFPSPLETMAGADPGCDKLITTKRSFINHKSGSQNFSKTTITSPAVDSSFVNTGSGSQHFSGLTIECGPSIWNTFTRFLTGISSHFNHNGTQDQNFPNFSFSKYLQALPPEQTQLDIPAEDKCKPPEVKKKSPSKPKSDDHKNSTSKQR >Vigun09g120700.1.v1.2 pep primary_assembly:ASM411807v1:9:26745702:26751416:1 gene:Vigun09g120700.v1.2 transcript:Vigun09g120700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPHTMSTPNPSSRRPRRPHKKSLSLPARNGITAPEDGGERTVRNLRLSKALTIPDGTTVSDACRRMAARRIDAVLLTDSNALLSGIITDKDVAIRVVAEGMKPEETTVSKVMTRNPVFVTSDTLALEALQKMIQGKFRHLPVVENGEVIAMLDITKCLYDAISRVEKATQQGNAVAAAVEGVELRLPGHLSVPNTFIETLRERVFKPSLTTIVGESTKVAIASVSDSVYVAAKRMRELQVNSAVIVMENKIQGILTSKDILLRVVAQNLSPEETPVEKVMSPNPEYASPETTILDALHMMHNGKYLHLPVVDKDGIVTACLDVLQITHAAISLVESSPGASNDASNTVMQKFWDSAFSLEPPEDFDTHSEASALVTLDGADTTKSTYQSVGFGNSFAFKFEDPNGNVRRFYCGAEHVDELVSAVMQIIGDFNDGERPKILYKDDEDDDVILETDNDLAAAVSYARSAGLKALKLDLLFATSTKTTNVPSDTASIQKTSTLSLRSGIFAGVIILASVSVLVYIKRSKQ >Vigun09g120700.2.v1.2 pep primary_assembly:ASM411807v1:9:26745702:26751416:1 gene:Vigun09g120700.v1.2 transcript:Vigun09g120700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPHTMSTPNPSSRRPRRPHKKSLSLPARNGITAPEDGGERTVRNLRLSKALTIPDGTTVSDACRRMAARRIDAVLLTDSNALLSGIITDKDVAIRVVAEGMKPEETTVSKVMTRNPVFVTSDTLALEALQKMIQGKFRHLPVVENGEVIAMLDITKCLYDAISRVEKATQQGNAVAAAVEGVELRLPGHLSVPNTFIETLRERVFKPSLTTIVGESTKVAIASVSDSVYVAAKRMRELQVNSAVIVMENKIQGILTSKDILLRVVAQNLSPEETPVEKVMSPNPEYASPETTILDALHMMHNGKYLHLPVVDKDGIVTACLDVLQITHAAISLVESSPGASNDASNTVMQKFWDSAFSLEPPEDFDTHSEASALVTLDGADTTKSTYQSVGFGNSFAFKFEDPNGNVRRFYCGAEHVDELVSAVMQIIGDFNDGERPKILLC >Vigun11g169000.1.v1.2 pep primary_assembly:ASM411807v1:11:37546935:37550159:1 gene:Vigun11g169000.v1.2 transcript:Vigun11g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGIGPAKGYSRGGKKMMSPTLSVSFSCNPKNPNSLCFLSLQDFANTSTLRLSPTLPLRCSQERSLAPAPTVLDESIGGLSTSNDRVLSGDTPVLRQNGPPPLVSALRASAEQNVASFHFPGHNRGRAAPASLTRLIGTRPYLHDLPELPELDNLFCPQGPILEAQTEAAKLFGSSHTWFLVGGTTCGIQAAIMATCSPGEFLILPRNSHISAISAMVLSGAVPEYIVPDYENDWDIASGVTPLQVLKAIQGLEMEGKKAAAVFITSPTYHGICSDVSKISELCHSRKIPLIVDEAHGAHFGFHSELPNSALQQGADLTVQSTHKVLCSLTQSSMLHMSRNIVDKEKIDRCLQTLQTTSPSYLLLASLDAARAQLSERPDVVFNQAIALAYEAKYTLKQIAGISVLENSSFSNFPAMDPLRLTVGFWKLGLSGYEADEILYRDYGVICELVGNKSITYAFNLGTCRDHVQRLLLGIKHLAATYSSFQQPEEKVCDAHAPFDDIIMSLIPRDAFFASKRKVTIRESIGEVSGELVCPYPPGIPVLIPGEVITERAVDYLLHVRSKGADISGASDPLLSSVVVCNVK >Vigun11g169000.2.v1.2 pep primary_assembly:ASM411807v1:11:37546935:37550167:1 gene:Vigun11g169000.v1.2 transcript:Vigun11g169000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGIGPAKGYSRGGKKMMSPTLSVSFSCNPKNPNSLCFLSLQDFANTSTLRLSPTLPLRCSQERSLAPAPTVLDESIGGLSTSNDRVLSGDTPVLRQNGPPPLVSALRASAEQNVASFHFPGHNRGRAAPASLTRLIGTRPYLHDLPELPELDNLFCPQGPILEAQTEAAKLFGSSHTWFLVGGTTCGIQAAIMATCSPGEFLILPRNSHISAISAMVLSGAVPEYIVPDYENDWDIASGVTPLQVLKAIQGLEMEGKKAAAVFITSPTYHGICSDVSKISELCHSRKIPLIVDEAHGAHFGFHSELPNSALQQGADLTVQSTHKVLCSLTQSSMLHMSRNIVDKEKIDRCLQTLQTTSPSYLLLASLDAARAQLSERPDVVFNQAIALAYEAKYTLKQIAGISVLENSSFSNFPAMDPLRLTVGFWKLGLSGIMSKDFYWE >Vigun11g008200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:891728:893008:1 gene:Vigun11g008200.v1.2 transcript:Vigun11g008200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISHSSQTILLLYLYFFSFSEAFNGGFTVEILHRDSPKSPFYRPTETQLHRVANAVRRSIHRANHFHQNFVSKNTAESSVTPDIGDYVMSYSVGTPEVKVFGIIDTGSDLVWTQCQPCKTCYNQTTPIFNSSKSTTYKTLPCSSTSCKSLSDNSCYSHKHKNCEYSILYEDGRYSHGDLGLETLTLGSTNGSSVKFPRTVIGCGRNNSVSSEEKSSGMVGLGHGSLSLISQLSSSVGGKFSYCLAPIYNKPSKLSFGEAAVVSGNHTVSTPLAYHGHYYLTLEALSVGKNRIEFGKSNEEGNIIIDSGTTLTFLPDDVYSKLESAVANEVKLKRVKDPLLSLCYKGALHELHAPVITAHFSGDADVKLSVDNSFIDSGDGVVCFAFMASKSSSIFGNFAQKNILVGYDLQKKTVSFKPTDCVQK >Vigun11g206900.4.v1.2 pep primary_assembly:ASM411807v1:11:40327183:40329525:-1 gene:Vigun11g206900.v1.2 transcript:Vigun11g206900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFCSSHFPQPSQNPSNLLLLSGPPSSGKTSLLFQFAFNVALHSHNVIFISNRQRFDSKPPFLSQGIDPSSHVFHRIQMKYVNDDEDIKKYFAAFHLYDTLPAAVLIDDFGDFFDDKICQQRYCNPRGRDMAMVKTLALCHNAITFAKVSF >Vigun11g206900.3.v1.2 pep primary_assembly:ASM411807v1:11:40327183:40329525:-1 gene:Vigun11g206900.v1.2 transcript:Vigun11g206900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVNDDEDIKKYFAAFHLYDTLPAAVLIDDFGDFFDDKICQQRYCNPRGRDMAMVKTLALCHNAITFANQKGSCKVVLSDTHTHQRDSPRFHFIYKKWIQTTFTIQEGDVSGSFILKDKSHSPTDHNTAVIKAAKYSIALQYLVFEGVVEDEVQ >Vigun11g206900.2.v1.2 pep primary_assembly:ASM411807v1:11:40327183:40329525:-1 gene:Vigun11g206900.v1.2 transcript:Vigun11g206900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKTSLLFQFAFNVALHSHNVIFISNRQRFDSKPPFLSQGIDPSSHVFHRIQMKYVNDDEDIKKYFAAFHLYDTLPAAVLIDDFGDFFDDKICQQRYCNPRGRDMAMVKTLALCHNAITFANQKGSCKVVLSDTHTHQRDSPRFHFIYKKWIQTTFTIQEGDVSGSFILKDKSHSPTDHNTAVIKAAKYSIALQYLVFEGVVEDEVQ >Vigun11g206900.1.v1.2 pep primary_assembly:ASM411807v1:11:40327183:40329525:-1 gene:Vigun11g206900.v1.2 transcript:Vigun11g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFCSSHFPQPSQNPSNLLLLSGPPSSGKTSLLFQFAFNVALHSHNVIFISNRQRFDSKPPFLSQGIDPSSHVFHRIQMKYVNDDEDIKKYFAAFHLYDTLPAAVLIDDFGDFFDDKICQQRYCNPRGRDMAMVKTLALCHNAITFANQKGSCKVVLSDTHTHQRDSPRFHFIYKKWIQTTFTIQEGDVSGSFILKDKSHSPTDHNTAVIKAAKYSIALQYLVFEGVVEDEVQ >Vigun10g006950.1.v1.2 pep primary_assembly:ASM411807v1:10:668153:669690:-1 gene:Vigun10g006950.v1.2 transcript:Vigun10g006950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSIIILFFSTTYSIVLESDESYIKSTTFFSEKFEVGPGKIAMKTFLDINFPKGHIGVKSFDVEVVDEDDNFVPLYEAYLHHWFAVKYIENVSMSHYIKQTNDLHNGIKYERNDGMCQGFLLPHYWGLGGELRGTSLNLPDPFVVEVGNPTKIKHEFNEKWLFSIMVIDTRDTHDRKGCTECSYKGGLFCCQDNFKCKLRKGFSGPIRKLSLRYKIRWVDWDERQVPLKFYILDSTDRVRLNGSNLIHDCQYTIPRNHESDSPHVKKTNIPMTKGGYLIYGTAHMHIGNENGYLIGMLVPYPKPDSIKIKDGEILTLESIYENKFRTRTMRHFYIYLAEQIPNKYLKM >Vigun09g169800.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33876842:33883792:1 gene:Vigun09g169800.v1.2 transcript:Vigun09g169800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVYAKCSHFDDARTLFDDMPHRNVISYTTMVSALTNSGRPQDALTLYNHMLESKTVQPNQFLYSAVLKACGVAGDVESGKLVHGRVYQAKLEYDTVLMNALLDMYVKCRSLGDAKRVFYDMPCKNSTSWNTLILGHAKQGFVKDALDLFDQMPEPDIVSWNSIIAGLADTSSFHALQFVSMMHLKGLKLDAFTFPCALKACSLLGKLTVGRQIHCCIIKSGFESSYYCTSALIDMYSNCKLLDDAMNIFHKNSPLAESLAVWNSMLSGFVANGDWWKALSMIAHMHHSGAQFDPYTFSVALKVCIQFENLRLTSQVHGLVITAGYELDYVIGSIFIDLYVKQGNINNALRFFERLPDKDVVAWSSLIVGCARFGLDTLALSFFMDMVHLDLEIDHFVLSIVLKVSSSLASLPSGRQIHSVCLKKGYESERVITTALTDMYAKCGEIEDALTLFNCLSNVDILSWTGIIVGCAQNGRADKAISLLHKMIESGTKPNEITIIGVLSACRHAGLVEEAWTIFKSIETKHGLTPCPEHYNCMVDIFAQAGRFKEARNLINDMPFKPDKTIWCSLLGACATYKNRYLANIVAEHLLATSPVDASVYIMLSNVYASLGMWDNLSRVREAVKKVGIKGVGKSWIEILS >Vigun09g169800.1.v1.2 pep primary_assembly:ASM411807v1:9:33876842:33883792:1 gene:Vigun09g169800.v1.2 transcript:Vigun09g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGKVSFKVTLTFDPKLLFKVFSVPEAAPFTTLLKFAAEEFKVPPQTSAVITNALRCCGRLQAIKHAKSLHSHVIKLGLFNHVFLLNNMISVYAKCSHFDDARTLFDDMPHRNVISYTTMVSALTNSGRPQDALTLYNHMLESKTVQPNQFLYSAVLKACGVAGDVESGKLVHGRVYQAKLEYDTVLMNALLDMYVKCRSLGDAKRVFYDMPCKNSTSWNTLILGHAKQGFVKDALDLFDQMPEPDIVSWNSIIAGLADTSSFHALQFVSMMHLKGLKLDAFTFPCALKACSLLGKLTVGRQIHCCIIKSGFESSYYCTSALIDMYSNCKLLDDAMNIFHKNSPLAESLAVWNSMLSGFVANGDWWKALSMIAHMHHSGAQFDPYTFSVALKVCIQFENLRLTSQVHGLVITAGYELDYVIGSIFIDLYVKQGNINNALRFFERLPDKDVVAWSSLIVGCARFGLDTLALSFFMDMVHLDLEIDHFVLSIVLKVSSSLASLPSGRQIHSVCLKKGYESERVITTALTDMYAKCGEIEDALTLFNCLSNVDILSWTGIIVGCAQNGRADKAISLLHKMIESGTKPNEITIIGVLSACRHAGLVEEAWTIFKSIETKHGLTPCPEHYNCMVDIFAQAGRFKEARNLINDMPFKPDKTIWCSLLGACATYKNRYLANIVAEHLLATSPVDASVYIMLSNVYASLGMWDNLSRVREAVKKVGIKGVGKSWIEILS >Vigun09g169800.2.v1.2 pep primary_assembly:ASM411807v1:9:33876842:33883792:1 gene:Vigun09g169800.v1.2 transcript:Vigun09g169800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGKVSFKVTLTFDPKLLFKVFSVPEAAPFTTLLKFAAEEFKVPPQTSAVITNALRCCGRLQAIKHAKSLHSHVIKLGLFNHVFLLNNMISVYAKCSHFDDARTLFDDMPHRNVISYTTMVSALTNSGRPQDALTLYNHMLESKTVQPNQFLYSAVLKACGVAGDVESGKLVHGRVYQAKLEYDTVLMNALLDMYVKCRSLGDAKRVFYDMPCKNSTSWNTLILGHAKQGFVKDALDLFDQMPEPDIVSWNSIIAGLADTSSFHALQFVSMMHLKGLKLDAFTFPCALKACSLLGKLTVGRQIHCCIIKSGFESSYYCTSALIDMYSNCKLLDDAMNIFHKNSPLAESLAVWNSMLSGFVANGDWWKALSMIAHMHHSGAQFDPYTFSVALKVCIQFENLRLTSQVHGLVITAGYELDYVIGSIFIDLYVKQGNINNALRFFERLPDKDVVAWSSLIVGCARFGLDTLALSFFMDMVHLDLEIDHFVLSIVLKVSSSLASLPSGRQIHSVCLKKGYESERVITTALTDMYAKCGEIEDALTLFNCLSNVDILSWTGIIVGCAQNGRADKAISLLHKMIESGTKPNEITIIGVLSACRHAGLVEEAWTIFKSIETKHGLTPCPEHYNCMVDIFAQAGRFKEARNLINDMPFKPDKTIWCSLLGACATYKNRYLANIVAEHLLATSPVDASVYIMLSNVYASLGMWDNLSRVREAVKKVGIKGVGKSWIEILS >Vigun04g096000.1.v1.2 pep primary_assembly:ASM411807v1:4:20370067:20373369:1 gene:Vigun04g096000.v1.2 transcript:Vigun04g096000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEEGFVVKGGIKLPIGFRFCPTDQELLLHYLKNKAFAQQLPASVIPETDIFQTHPCLLPGDLREKRYFFSNCGKVNKRSAGSGCWKYVGKEKEIRVCESNEVIGMKKTLIFCKGSHETRTRWVMHELRLVTSYAYQMAVADFAVYVIFQKRKKTPKASQQRPFCSSKLQRVHDVKPSIMDFDHDNILLPPPSSPSLLQDCGETSKNLD >Vigun05g093800.4.v1.2 pep primary_assembly:ASM411807v1:5:9078224:9084770:1 gene:Vigun05g093800.v1.2 transcript:Vigun05g093800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYTDRTLHGIFEAASKGRMFINPYGWTTDGSERTQYPAQVQIYVRLQCQPLSEDKFKEILADNYYTHNHFWFELDHAQTNRLISLLSSGSIVSGNSVSQNTPNWMTLSRPLTSNETWREDETSKMLELETDYSTHASTSAYWTENDSSLVDHSQPLDTNEVENEVHEDEMNSVFLKLKELTVNHESQDLSLANNVNDSPDMNSIEKGYTEALGGLDKKEESSDPPIECQYNIAQFVQEVKELTSFKKIQIDRNSYLEQKLIEAEREIQHLKDRCTLLESACSIPNRLEYVEKAVIKSTADLDPKDSLFLIGGFDGNSWLATMDLYCTSQSLTKPLKPMNSVRSYASVVRLNSEIYVLGGGNGYVWYDTVESYNPVLDNWTMCPSLNKKKGSLSGAALYGKIFAVGGGNGVDCFQDVEMLDFDIGRWIPTRSMLSKRFALGAVELNGSIYATGGFDGTDYLRSAERFDPREHSWTKISDMNVKRGCHCSVVLNEKLYALGGFDGEKMVQSIEVFDPRLGVWAMGEPMVHPRGYCAAVVVNESIYMIGGVRIGEDIVDKVENYKEGQGWQETYRSAAVNRCFLSAIACSHD >Vigun05g093800.5.v1.2 pep primary_assembly:ASM411807v1:5:9078224:9084754:1 gene:Vigun05g093800.v1.2 transcript:Vigun05g093800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWKKVQPPQYCGNQPFPPNFSCGRNLPKSQLGGVIFGCKNATMRECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYTDRTLHGIFEAASKGRMFINPYGWTTDGSERTQYPAQVQIYVRLQCQPLSEDKFKEILADNYYTHNHFWFELDHAQTNRLISLLSSGSIVSGNSVSQNTPNWMTLSRPLTSNETWREDETSKMLELETDYSTHASTSAYWTENDSSLVDHSQPLDTNEVENEVHEDEMNSVFLKLKELTVNHESQDLSLANNVNDSPDMNSIEKGYTEALGGLDKKEESSDPPIECQYNIAQFVQEVKELTSFKKIQIDRNSYLEQKLIEAEREIQHLKDRCTLLESACSIPNRLEYVEKAVIKSTADLDPKDSLFLIGGFDGNSWLATMDLYCTSQSLTKPLKPMNSVRSYASVVRLNSEIYVLGGGNGYVWYDTVESYNPVLDNWTMCPSLNKKKGSLSGAALYGKIFAVGGGNGVDCFQDVEMLDFDIGRWIPTRSMLSKRFALGAVELNGSIYATGGFDGTDYLRSAERFDPREHSWTKISDMNVKRGCHCSVVLNEKLYALGGFDGEKMVQSIEVFDPRLGVWAMGEPMVHPRGYCAAVVVNESIYMIGGVRIGEDIVDKVENYKEGQGWQETYRSAAVNRCFLSAIACSHD >Vigun05g093800.3.v1.2 pep primary_assembly:ASM411807v1:5:9078222:9084754:1 gene:Vigun05g093800.v1.2 transcript:Vigun05g093800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWKKVQPPQYCGNQPFPPNFSCGRNLPKSQLGGVIFGCKNATMRECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYTDRTLHGIFEAASKGRMFINPYGWTTDGSERTQYPAQVQIYVRLQCQPLSEDKFKEILADNYYTHNHFWFELDHAQTNRLISLLSSGSIVSGNSVSQNTPNWMTLSRPLTSNETWREDETSKMLELETDYSTHASTSAYWTENDSSLVDHSQPLDTNEVENEVHEDEMNSVFLKLKELTVNHESQDLSLANNVNDSPDMNSIEKGYTEALGGLDKKEESSDPPIECQYNIAQFVQEVKELTSFKKIQIDRNSYLEQKLIEAEREIQHLKDRCTLLESACSIPNRLEYVEKAVIKSTADLDPKDSLFLIGGFDGNSWLATMDLYCTSQSLTKPLKPMNSVRSYASVVRLNSEIYVLGGGNGYVWYDTVESYNPVLDNWTMCPSLNKKKGSLSGAALYGKIFAVGGGNGVDCFQDVEMLDFDIGRWIPTRSMLSKRFALGAVELNGSIYATGGFDGTDYLRSAERFDPREHSWTKISDMNVKRGCHCSVVLNEKLYALGGFDGEKMVQSIEVFDPRLGVWAMGEPMVHPRGYCAAVVVNESIYMIGGVRIGEDIVDKVENYKEGQGWQETYRSAAVNRCFLSAIACSHD >Vigun05g093800.1.v1.2 pep primary_assembly:ASM411807v1:5:9077981:9084770:1 gene:Vigun05g093800.v1.2 transcript:Vigun05g093800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWKKVQPPQYCGNQPFPPNFSCGRNLPKSQLGGVIFGCKNATMRECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYTDRTLHGIFEAASKGRMFINPYGWTTDGSERTQYPAQVQIYVRLQCQPLSEDKFKEILADNYYTHNHFWFELDHAQTNRLISLLSSGSIVSGNSVSQNTPNWMTLSRPLTSNETWREDETSKMLELETDYSTHASTSAYWTENDSSLVDHSQPLDTNEVENEVHEDEMNSVFLKLKELTVNHESQDLSLANNVNDSPDMNSIEKGYTEALGGLDKKEESSDPPIECQYNIAQFVQEVKELTSFKKIQIDRNSYLEQKLIEAEREIQHLKDRCTLLESACSIPNRLEYVEKAVIKSTADLDPKDSLFLIGGFDGNSWLATMDLYCTSQSLTKPLKPMNSVRSYASVVRLNSEIYVLGGGNGYVWYDTVESYNPVLDNWTMCPSLNKKKGSLSGAALYGKIFAVGGGNGVDCFQDVEMLDFDIGRWIPTRSMLSKRFALGAVELNGSIYATGGFDGTDYLRSAERFDPREHSWTKISDMNVKRGCHCSVVLNEKLYALGGFDGEKMVQSIEVFDPRLGVWAMGEPMVHPRGYCAAVVVNESIYMIGGVRIGEDIVDKVENYKEGQGWQETYRSAAVNRCFLSAIACSHD >Vigun05g093800.2.v1.2 pep primary_assembly:ASM411807v1:5:9078001:9084771:1 gene:Vigun05g093800.v1.2 transcript:Vigun05g093800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWKKVQPPQYCGNQPFPPNFSCGRNLPKSQLGGVIFGCKNATMRECLSKQLFGLPAQHFSYVKNIDPGLPLFLFNYTDRTLHGIFEAASKGRMFINPYGWTTDGSERTQYPAQVQIYVRLQCQPLSEDKFKEILADNYYTHNHFWFELDHAQTNRLISLLSSGSIVSGNSVSQNTPNWMTLSRPLTSNETWREDETSKMLELETDYSTHASTSAYWTENDSSLVDHSQPLDTNEVENEVHEDEMNSVFLKLKELTVNHESQDLSLANNVNDSPDMNSIEKGYTEALGGLDKKEESSDPPIECQYNIAQFVQEVKELTSFKKIQIDRNSYLEQKLIEAEREIQHLKDRCTLLESACSIPNRLEYVEKAVIKSTADLDPKDSLFLIGGFDGNSWLATMDLYCTSQSLTKPLKPMNSVRSYASVVRLNSEIYVLGGGNGYVWYDTVESYNPVLDNWTMCPSLNKKKGSLSGAALYGKIFAVGGGNGVDCFQDVEMLDFDIGRWIPTRSMLSKRFALGAVELNGSIYATGGFDGTDYLRSAERFDPREHSWTKISDMNVKRGCHCSVVLNEKL >Vigun05g111600.2.v1.2 pep primary_assembly:ASM411807v1:5:11693199:11694656:1 gene:Vigun05g111600.v1.2 transcript:Vigun05g111600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRNIGVALDFSKSSKVALEWAIENLADKGHTFYIIHVNHDSSDDRNQLWVKSGSPLIPLSEFREAEVLKNYGVHSDAEVLDLLDTATRQKEVNVVVKLYWGDVREKLLDSIEDLKLDSLVMGSRGLSTIQRMILGSVSNFVMTHAPCPVTIVKE >Vigun05g111600.1.v1.2 pep primary_assembly:ASM411807v1:5:11692844:11694642:1 gene:Vigun05g111600.v1.2 transcript:Vigun05g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRNIGVALDFSKSSKVALEWAIENLADKGHTFYIIHVNHDSSDDRNQLWVKSGSPLIPLSEFREAEVLKNYGVHSDAEVLDLLDTATRQKEVNVVVKLYWGDVREKLLDSIEDLKLDSLVMGSRGLSTIQRMILGSVSNFVMTHAPCPVTIVKE >Vigun01g112000.1.v1.2 pep primary_assembly:ASM411807v1:1:28592302:28595436:1 gene:Vigun01g112000.v1.2 transcript:Vigun01g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTNFNFFCVFLFFILSSSSFLASAKAEDEEYNYNEESGKGPKDWWHINPKWEVCGNGKLQSPIDILDKRVQEFPELGKLQKDYQPAPSVLKNTGHAIVVQWNGNAGQLHINETYYKLIQCHWHTPSEHTLNGKKFELELHAVHQNSKGETAVIGIWYKIGRPDRLLSKLLKDLKSLGEEDIDLGVINPGIIKFGSRKYYRYVGSLTSPPCTEGVVWTIVKKVRTVSREQLRALKEALHHGYGDNARPTQEINGREIWLYRPKKNAKTT >Vigun02g163700.1.v1.2 pep primary_assembly:ASM411807v1:2:30839412:30845496:1 gene:Vigun02g163700.v1.2 transcript:Vigun02g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDHLAFERNKAQFDVEEMKIVWAGSREDFELSDRISRLVASDPVFRKDDRAMLDRKELFKNTLRKAAHAWKRVIELRLSEPEAYKLRAFVDQPAFTDLHWGMFVPAIQGQGTDEQQQKWLPLAYRMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLVIDGQDYGVHGFIVQLRSLDDHLPLPGLTIGDIGMKFGNAAYNSMDNGVLRFDHVRIPRNQMLMRVSQVTREGKYVHSNVPRQLVYGTMVNVRQKIVADASVALSRAVCIATRYSAVRRQFGSHNGGLETQVIDYKTQQSRLFPLLASAYAFRFVGEWLLWLYTDVTERLKANDFSTLPEAHACTAGLKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAVYAPACTYEGDNIVLLLQVARHLMKTVSQLSTGNKPVGTTAYMARLEQLLQYHSDVKKAEDWLKPSVVLSAFEARAARMSVACAQNLSKFSNSEEGFQELAADLVGAAAAHCQLIVVSKFIEKLQQDIPGKGVKQILEVLCSVYALSLLHKHLGDFISTGCIDPKQGSLASEQLRNLYSQVRPNAVALVDAYNYTDHYLGSILGRYDGNVYPKLYEEAWKDPLNDSIVPDGFKEYIQPLLKQQLRNARL >Vigun01g064600.1.v1.2 pep primary_assembly:ASM411807v1:1:17127891:17131299:1 gene:Vigun01g064600.v1.2 transcript:Vigun01g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIIRKCVKPASPTFMAALSVPLIRRQMMTVAAADEEPTQSSSQSSFTFSSDGDKVYVKGPSGKWKSSSSVTMPMSFMTGSIVGKRFYKDVKTREADDGNGWTVMLDYRTLKTPSKRPLKLPTLPLAKAIAAEWEYQLTDGIRPFTMPLMRLACTALERVPLTRSKIIENLMKKFNQDLVFCRAPDDNELTSYVHDRQVEKIDPLLHWVETEFGFKPVVYSSFFGGKQEDGLVTVIENLLKKTDDCELATIDAIAASAHSLIIAIGMVQGKLQIEEAIELIRLEEDSQVDRWGLVEGGHDVDIADLRVQISSAIVFLGLSKSI >Vigun06g099700.1.v1.2 pep primary_assembly:ASM411807v1:6:23013373:23017186:-1 gene:Vigun06g099700.v1.2 transcript:Vigun06g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEDSELSDKHKVEIAKWFLLNSPPGEIKYVAKDVKSILNNDDLYNEAASEAFPFYNKSHLISIQMANRSGDVLVTSFGELDGDAFLEPRTAQVAIVDHVKQVCTDVRPATDEELATPYVEEFRCNLDIELLKYVEEAYPKGVCSVYCINGKDVEAPGSNFELAVVISAARHSPQNFCNGSWSSVWNIEFKYDQQAVEVKGKMQVGAHYFEEGNVQLDAKHECKDTILFQTPEECAVAITTIIRHHEAEYFASLEASYLNLSDSTFKDLRRKLPVTRTLFPWNNTLQFSLTRDISKELGIGK >Vigun07g176700.1.v1.2 pep primary_assembly:ASM411807v1:7:29190550:29193432:1 gene:Vigun07g176700.v1.2 transcript:Vigun07g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPVPSPKPKQIFCLKWPWNEANPNSSPSPCKFEGPWPLKSLQNLGIIAFNFANSVSGWKKKTTLTQSEAEHRAFASALASAKDATLVEFYSPKCRLCNSLLKFVSEVEARNSHWLNIVMADAENPNWLPELLNYDVSYVPCFVLLDHNGKALAKTGVPSSRLHVIAGLSHLLKMKRPQPK >Vigun07g216100.3.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKETISFVMC >Vigun07g216100.6.v1.2 pep primary_assembly:ASM411807v1:7:33794153:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKAERKKERYKQTFFLC >Vigun07g216100.4.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKVL >Vigun07g216100.8.v1.2 pep primary_assembly:ASM411807v1:7:33794153:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKVL >Vigun07g216100.7.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKETISFVMC >Vigun07g216100.5.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKLMEKVLPVAQKQKPPCILRRARSLQW >Vigun07g216100.9.v1.2 pep primary_assembly:ASM411807v1:7:33794153:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKVL >Vigun07g216100.1.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKAERKKERYKQLMEKVLPVAQKQKPPCILRRARSLQW >Vigun07g216100.2.v1.2 pep primary_assembly:ASM411807v1:7:33793096:33796700:-1 gene:Vigun07g216100.v1.2 transcript:Vigun07g216100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLMASSHSRKSESSSPSPTPQFTTTNFIPISDAAVTAAPKTVDDVWREIVAGDRRECKEEAPDDEMMTLEDFLAKAGAVDDDNNEEVDCDMKIPMPLTERLGSGVFAFDPLLAMTPYQDGVEGSVIGFGNGVEVVEGGRGKRARPVLEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLLKEKVL >Vigun04g075300.1.v1.2 pep primary_assembly:ASM411807v1:4:9231823:9234088:1 gene:Vigun04g075300.v1.2 transcript:Vigun04g075300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILNLDVITVTDEEKIQAHQDWLSSTNTIKFTDTTPARKIFKILFYFHLFLIAILVTSLTIYGLVYASHSNYFHPKEWYPPLFISTLCGGAVGFTWQWITARNPAKAIREAFWLSPLLTCAMGILFVYIGYAPSLAAGVVSLVSALIQSLYGIWVRHRFEYASRILSVSIADPPTQSMRLAFSSILIGILYCSFMVCGIGGARAIERTKLSVFFILVILLSLGWTLQFLKNVLQVTISRVKYMHLAGGVIMDTKVALNDTVKYLTGSVSIGSILVPFITLFRGFARSTSLIGGDTGEFMFSCVSCYMGIASLLVTCGNRWGLVHVGVYNKGFVQASSDTWDIFNRVGLEELINLDLTGSFCFLSGVAGEQFVV >Vigun01g083200.3.v1.2 pep primary_assembly:ASM411807v1:1:23578215:23586308:1 gene:Vigun01g083200.v1.2 transcript:Vigun01g083200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSRNSVSVHLGGLLRDPLHPITLDHSLNNPCSSLTLFWNCKRKKWKISTAMASNIRTNNYLRHVESMKLMPSGAGHISHLNAVVLGDSLATEEDDFVLPSEEFASQANIQSPEQYLKMYKRSIEDPAGFWSEIAEEFYWKQKWGHKVCDENFDVRKGNIKIEWFKGGITNICYNCLDRNVEAGLGDKVAIYWEGNEPGLDDTLTYTQLLQQVCQLANYLKDNGVKKGDAVIIYLPMLMELPIAMLACARIGAVHSVVFAGFSAEALAQRIIDCKPKVVITCNAVKRGPKVLHLKDIVDVAIKDSAKNGVPIDKCLVYENSWATKREDTKWEDGRDVWWQDVVPQYPTSCPVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKQSDIYWCTADCGWITGHSYVTYGPMLNGASVIVYEGAPNYPDAGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDGFVTRYSRKSLRVLGSVGEPINPSAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDENGVEIEGECSGYLCVKKSWPGAFRTLFGDHERYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHAVKGQGIYAFVTVVDGVPYSEELRKDLILIVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPNVVNQLIELADS >Vigun01g083200.2.v1.2 pep primary_assembly:ASM411807v1:1:23578215:23586308:1 gene:Vigun01g083200.v1.2 transcript:Vigun01g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKSASFKSDSKFISEFSFLKVLFLSSVYVKVKKARMIPLSRNSVSVHLGGLLRDPLHPITLDHSLNNPCSSLTLFWNCKRKKWKISTAMASNIRTNNYLRHVESMKLMPSGAGHISHLNAVVLGDSLATEEDDFVLPSEEFASQANIQSPEQYLKMYKRSIEDPAGFWSEIAEEFYWKQKWGHKVCDENFDVRKGNIKIEWFKGGITNICYNCLDRNVEAGLGDKVAIYWEGNEPGLDDTLTYTQLLQQVCQLANYLKDNGVKKGDAVIIYLPMLMELPIAMLACARIGAVHSVVFAGFSAEALAQRIIDCKPKVVITCNAVKRGPKVLHLKDIVDVAIKDSAKNGVPIDKCLVYENSWATKREDTKWEDGRDVWWQDVVPQYPTSCPVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKQSDIYWCTADCGWITGHSYVTYGPMLNGASVIVYEGAPNYPDAGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDGFVTRYSRKSLRVLGSVGEPINPSAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDENGVEIEGECSGYLCVKKSWPGAFRTLFGDHERYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHAVKGQGIYAFVTVVDGVPYSEELRKDLILIVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPNVVNQLIELADS >Vigun01g083200.1.v1.2 pep primary_assembly:ASM411807v1:1:23578498:23586308:1 gene:Vigun01g083200.v1.2 transcript:Vigun01g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSRNSVSVHLGGLLRDPLHPITLDHSLNNPCSSLTLFWNCKRKKWKISTAMASNIRTNNYLRHVESMKLMPSGAGHISHLNAVVLGDSLATEEDDFVLPSEEFASQANIQSPEQYLKMYKRSIEDPAGFWSEIAEEFYWKQKWGHKVCDENFDVRKGNIKIEWFKGGITNICYNCLDRNVEAGLGDKVAIYWEGNEPGLDDTLTYTQLLQQVCQLANYLKDNGVKKGDAVIIYLPMLMELPIAMLACARIGAVHSVVFAGFSAEALAQRIIDCKPKVVITCNAVKRGPKVLHLKDIVDVAIKDSAKNGVPIDKCLVYENSWATKREDTKWEDGRDVWWQDVVPQYPTSCPVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKQSDIYWCTADCGWITGHSYVTYGPMLNGASVIVYEGAPNYPDAGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDGFVTRYSRKSLRVLGSVGEPINPSAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDENGVEIEGECSGYLCVKKSWPGAFRTLFGDHERYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHAVKGQGIYAFVTVVDGVPYSEELRKDLILIVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPNVVNQLIELADS >Vigun03g071200.1.v1.2 pep primary_assembly:ASM411807v1:3:5879061:5880233:-1 gene:Vigun03g071200.v1.2 transcript:Vigun03g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIPPFFLFLIFTFNVSCVFQGEALITQGVAASGTSATIRGGCGKQRGECKEERSGSEESVLENEDYVYTNSLP >Vigun09g073900.1.v1.2 pep primary_assembly:ASM411807v1:9:8225759:8231175:1 gene:Vigun09g073900.v1.2 transcript:Vigun09g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNPGDNRNNRSPMSVFIIVGLCGFFYILGLWQRSGFGKGDSIAVEITKHTDCSVLSDLNYETHHDDDFGTSDGSDIQVKEFKPCDNRYIDFTPCHDQMRAMKFPRENMAYRERHCFPDEEKLRCLIPAPRGYATPFPWPMSRDYVPYANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYIDELASAIPLDNGMVRTVLDTGCGVASFGAYLFKKNVIAMSIAPRDSHEAQVQFALERGVPAIIGVLGTIMLPFPSEAFDMAHCSRCLIQWGSNDGIYMKEVDRVLRPGGYWVLSGPPINWKMQFGAWQRPEYELEEEQRQIEETAKLLCWEKKYEKGETAIWRKQLQSGDCTERETLPTMCATTDSDDVWYKKMETCVTPSKPTGPWKPFQERLNAVPSRITSGFVPGVSVEAFEQDNRLWKKHVNAYKRINKIISSGRYRNIMDMNAGLGSFAAALESPKLWVMNVVPTIAEKANLGVIFERGLIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKNGCNAEDILLEMDRILRPEGAVIFRDQADVLVKVKRIVKGMRWNTKMVDHEDGPMVSEKMLFAVKQYWVAGDNTTSSE >Vigun05g159600.1.v1.2 pep primary_assembly:ASM411807v1:5:25586850:25588142:-1 gene:Vigun05g159600.v1.2 transcript:Vigun05g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQPDVQTVCLGLAASMGSFLLAGGTITKRLAFPHAWVMIHQPASSFYEAQAGEFVLEAEELLKMRETITRVYVQRTGKPLWVISEDMERDVFMSAAEAQAHGIVDLVAVE >Vigun01g078900.2.v1.2 pep primary_assembly:ASM411807v1:1:22162237:22197291:-1 gene:Vigun01g078900.v1.2 transcript:Vigun01g078900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATIFHFQHLMAKKLLFLLTLLEILLCGQSKFMVYNTSQGIVPDKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVHALLADKNRKFIYVEMAFFKRWWRDQSEAVQDVVKKLVSSGQLEFINGAMSMHDEAVTHYIDMIDQTALGHQFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRAKRKKEKRLEVIWHGSKSLGSSAQIFAGAFPENYEPPAGLYFEVNDNSPIVQDNMELFDYNVQERVNDFVAAALSQANITRTNHIMWTMGTDFKYQYAHTWFRQLDKLIHYVNKDGRVNALYSTPSIYTDAKFATNEYWPIKTDDFFPYADHANGYWTGYFTSRPAIKRYVRLMSGYYLAARQLEFFRGRRNSGPNTDSLADALAIAQHHDAVTGTEKQHVANDYSKRLAIGYTEAEELVSSSLACLVESPLLSRCQNPVTKFQQCPLLNITYCPASEVDLVRGKNLVIVVYNSLGWRRNEVIRFPVTEANVRVQDSNGSEIESQLLPLAEKYLDLRNYYAKAYMGQAPLKTPKYWLAFTVSVPPLGFSTYTVSTAKRTGTTRSSVATYKSSEKSKFEVGQGNLKLTFSTDQEKRTNYVNTRNLVEEQVELSYLYYSGYNGTNQKDPQNSGAYVFRPNGTYPINHEKKARLTVLNGPVLDEVHQQINPWIYQITRLYKGKEHVEVEFIVGPIPIEDGTGKEVATQISTTMETNKTFYTDSNGRDFIKRIRDYRTDWDLEVNQPAAGNYYPINLGIYVEDNKTEFSVLVDRSIGGSSLQDGQIELMVHRRLLLDDSKGVAEALNETDCVGGDCRGLTVQGKYYYRIDPLGEGAKWRRTLGQEIYSPLLLAFAEKDDKDDWTNSHVLTFSGIDSSYALPDNIAIITLQELEDGRVLLRLAHLYEIEEDKDLSVLATVELKKLFPGRKIKEVKEMSLSANQERTEMEKKRLHWKVEGSSGNRHVSRGGPVDPKELKVELSPMEIRTFTIYFDGVSDQPFYAV >Vigun01g078900.1.v1.2 pep primary_assembly:ASM411807v1:1:22162237:22197291:-1 gene:Vigun01g078900.v1.2 transcript:Vigun01g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATIFHFQHLMAKKLLFLLTLLEILLCGQSKFMVYNTSQGIVPDKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVHALLADKNRKFIYVEMAFFKRWWRDQSEAVQDVVKKLVSSGQLEFINGAMSMHDEAVTHYIDMIDQTALGHQFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRAKRKKEKRLEVIWHGSKSLGSSAQIFAGAFPENYEPPAGLYFEVNDNSPIVQDNMELFDYNVQERVNDFVAAALSQANITRTNHIMWTMGTDFKYQYAHTWFRQLDKLIHYVNKDGRVNALYSTPSIYTDAKFATNEYWPIKTDDFFPYADHANGYWTGYFTSRPAIKRYVRLMSGYYLAARQLEFFRGRRNSGPNTDSLADALAIAQHHDAVTGTEKQHVANDYSKRLAIGYTEAEELVSSSLACLVESPLLSRCQNPVTKFQQCPLLNITYCPASEVDLVRGKNLVIVVYNSLGWRRNEVIRFPVTEANVRVQDSNGSEIESQLLPLAEKYLDLRNYYAKAYMGQAPLKTPKYWLAFTVSVPPLGFSTYTVSTAKRTGTTRSSVATYKSSEKSKFEVGQGNLKLTFSTDQEKRTNYVNTRNLVEEQVELSYLYYSGYNGTNQKDPQNSGAYVFRPNGTYPINHEKKARLTVLNGPVLDEVHQQINPWIYQITRLYKGKEHVEVEFIVGPIPIEDGTGKEVATQISTTMETNKTFYTDSNGRDFIKRIRDYRTDWDLEVNQPAAGNYYPINLGIYVEDNKTEFSVLVDRSIGGSSLQDGQIELMVHRRLLLDDSKGVAEALNETDCVGGDCRGLTVQGKYYYRIDPLGEGAKWRRTLGQEIYSPLLLAFAEKDDKDDWTNSHVLTFSGIDSSYALPDNIAIITLQELEDGRVLLRLAHLYEIEEDKDLSVLATVELKKLFPGRKIKEVKEMSLSANQERTEMEKKRLHWKVEGSSGNRHVSRGGPVDPKELKVELSPMEIRTFTIYFDGVSDQPFYAV >Vigun01g140000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32065645:32067536:-1 gene:Vigun01g140000.v1.2 transcript:Vigun01g140000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSPMRTCSLHFLHAFPLSPLSLSDPSFTIYTQIFNTNISNKLRFKINLVHTQKFTPNSDFAVTKLSKMIEIPLSLEHIAPLFLFLSLVLPLIFFFFSSSRNDNKEAAPTKQPVTIPKSYPLIGSYLALKRIGNRRIQWLSDVVQISPAATFTFRRPLGRLQVFTGNPATVEHILKTRFSNYQKGYSFINTLSDFLGTGIFNADGNTWKFQRQVASHEFNTKSLRKFVEHVVDAELSDHLLPIMASAAQQDQTLDFQDILQRFAFDNICKIAFGFDAEYLASSGERSKFAVAYEEATEISSKRFRELFPFVWKVKRALNIGSEKRLRIAVKEVHEFAKNIVREKKRELKEKESLESVDMLSRFLSSGHSDEDFVTDIVISFILAGKDTTSAALTWFFWLLSKNPRVEKEIVKEIMEKSEAPVYDEVKHMLYTHAALCESMRLYPPVPMDTKEAVEDDVLPDGTVVKKGTLVTYHVYAMGRLESIWGEDWAEFKPERWLEKLESGKWKFVAKDSFTYPVFQAGPRMCLGKDMAFMQMQSVVAGILRRFAVVPTVAEGVEPNFISFLSSQMEGGFPVKIIERETSN >Vigun02g100400.1.v1.2 pep primary_assembly:ASM411807v1:2:25539417:25544157:1 gene:Vigun02g100400.v1.2 transcript:Vigun02g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVESVIENLGSLVKDQLAIYWGVDEQTEKLSSNLKAIRAVLRDAERKQITSYAVKDWLQKLTDAAYVLDDILDECSIHSTKMHFVDGHTSLLSRLHPKDILFRFHIGKRMREITQRFHSIHEERLTFELRVSVTEEQTVDDDDWRQTSSVITEPILYGRDEDREKIVKFLLKDANNNEDLTVYPIVGMGGLGKTTLAKQVFNDHEISKHFDLRIWICVSDDFNLKRILQSIIECCIGQNPNLGDLEARRKKVEEALHNKRYLLVLDDVWNENPEKWKELKGMLECARGAKGATILVTTRLEEVVSIMGTHSAYRLTALSEDDSWSLFKHHAFGPNREEREELVTIGKEIMRKCVGSPLAIKTLASCLRDESEVSQWENVKKSEIWNIREESSSVTGDENSIMRVLKLSYSNLKSSVKRCFSFCAIFPKDFEIEKEELIHLWMANGFIKCEGDVEVEDVGNKVWRKLYSRSFFQEAKYDEFGMITSFKMHDLFHDLAQSIMGEECVVIEASSMTMLSARVHYSSLFSSDFLFDRPAFSRRLMPAFKKVESLRTFLDFCHISSGPSNHYLRALCLRPIPYFSPYKDLANKDLAHLRYLSLSFCFEKACLNSIICQMPKLQILKLNSCTEVELPKNLTQLQDLRHVLIDDCASIAEMPPNISKLRHLRTLGIFVVGSKPGCGLGELQSLKLGGTLRIKGLENVSSEWDAKQANLIGKNLNMLWLSWDGRSSSEGSNVSVERVLEALEPPSTLKSFQMNGYEGRHLSSWMRSLVALRDLVEVKVLECDNVEELPPLGKLAQLKRLEVSGMKNVKCIDGETYEGVEEKAFPSLEELILKNLPNLERLLRDEGVEMLPRLSQLTIKKVSNFKFPRLPSVEKLDVESIDDVEGVVGNTPCLKTLKISSIKGVKTLPDQLGTLDALEVLEIEFWYDLEYFPEHVLEGLTSLRTLEIRHCEKLKSLSEGVRHLACLESLTIRECPELMVLPSNMSQLTALRVVAIEYCSTLPDGLQRVPSLRSLYISEYKSTSLPDWLGDISTLEELIFNYCRELRSLPSSIQRLTNLSRLIIGGCPHLQKRCKRETGEDWQYINHIPNIKLYGF >Vigun11g071700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20586223:20586567:1 gene:Vigun11g071700.v1.2 transcript:Vigun11g071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKLIRNTLWKKKGYFTALKAFSLGQSIFTGNSKSFFCNKYKR >Vigun11g176200.1.v1.2 pep primary_assembly:ASM411807v1:11:38105536:38107519:1 gene:Vigun11g176200.v1.2 transcript:Vigun11g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNNSKILIIGATGFVGKFMVEASAKAGHPTFALFRDSTLSDPSKASIIQTFKILGVNLLLGDIHDHQSLVEAMKKVDVVISIVSHRQLQDQYNIISAIKEAGNIKRFFPSEFGNDVDRAHAVNEGHELFDRKVKFRRTIEAEGIPHTFVVANFFTGHFLPTLSELHWIKTPLDKVVILGDGKTKAVLNTEEDVATYTIRSVDDPRTLNKILYVRPPGNTLSYNDLVSLWEKHHSETLKRVYVSEEKVLKHIEESSYPINMGLSICHSAYVEGDHTNYEIKPSFGVEASKLYPDVKYTTLDEFFRKNDACTPFYLNQLITVNDV >Vigun02g065500.1.v1.2 pep primary_assembly:ASM411807v1:2:21429159:21433766:-1 gene:Vigun02g065500.v1.2 transcript:Vigun02g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAPQLTTWQGYVDWKNKPALRGRHGGMLAASFVLVAEILENLAFLANASNLVLYLKQHMHMSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYRVYLISAVIEFMGLIVLTIQARDPMLKPPKCDRDSECEEVNGAKAAMLFVGLYLVALGVGGIKGSLPAHGGEQFDETTPSGRKQRSTFFNYFIFCLSCGALIAVTFVVWIEDNKGWQWGFAISTITIFLSIPVFLSGSPTYKNKIPSGSPLTTISKVLLAAVVNSCTYKKNSSSAVVNMASSPSNPHSEQETVKANTTTETPTQHLKFLNKAVTNSSLECSVEEVEDVKVVLKVLPIFACTIIFNCCLAQLSTFSVEQAASMNTKLGSLKVPPSSLPFFPVVFIMILAPIYDHIIIPYTRRATKSEMGITHLQRIGFGLVLSIVAMAVAALVEIKRKRVATESGQLDDPTKPLPITFLWIAFQYLFLGSADIFTLGGLMEYYFSEAPIRMRSLATSLSWASLAMGYYLSSVIVSVVNSVTGNGTHKPWLSGANFNHYHLHKFYWLMCVLSALNFLHYLFWATRYKYRGTSTSN >VigunL036300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:305893:306483:1 gene:VigunL036300.v1.2 transcript:VigunL036300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf4 MNILKKRSKEVLIYSITESRKISNIFSAFIIFLGSLGLLLVAISSYLGMGLFLFSQEISNFPFIPQGAAMAFYGIGGLFISFYLWWIILWDIGGGFDIFDKKNKEEVCFLRWGFPGKNRRIILKIPMNEIKSIRIITGVQERSILTRTLTYESLVYMETIEQGFIPLTRIEDNLTPLEIANRAGELSFFLGVPLLY >Vigun11g158800.1.v1.2 pep primary_assembly:ASM411807v1:11:36683707:36685499:1 gene:Vigun11g158800.v1.2 transcript:Vigun11g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERNRGSNSSRWCLQGMTALVTGGSKGIGYAIVEELSQLGATVHTCSRNEAELNESLHEWTTKGYRVTGSVCDVTSGADRKELITRISSEFNGKLNILVNNVGANVWKEVLDFTEEDFSFVMNTNLQSSFHLSQLAHPLLKASEAGSIVFISSIGGVVSINIGSVVYSASKGAMNHMTKTLACEWAKDNIRSNCVAPGVIRTPAAEEFLKEGKIVNAYIPRTPLGRFGEGEEVSSMVAFLCLPAASYITGQTICVDGGFTVNGLHIS >Vigun01g024000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2588825:2599346:-1 gene:Vigun01g024000.v1.2 transcript:Vigun01g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSFKVRGYHGHWSVESECMGKAKGNASAWNSLLRMHSTHGLPQSVLRCFASFLNSGHSPDQFTFAITLSACAKLQNVELGRAVHCCIIKRGLQSASFCHGALIHLYANSHSLTSARTLFDAAPSPHLHPVSWTSLISGYVQAGLPEQALHVFEKMLTTTSPASFPILDPVALVTVLNTYTSLGKLDNACQLFAQMPISTRNVVAWNVMISGHAKRGHYQEALAFFRQMSKHGVKSTRSTLASVLSAIASLAALHHGFLVHALAIKQGFDSSIYVASSLINMYGKGGMLDAARQVFDAVSHKNMIVWNTMLGVYSQNGYLSNVMELFSDMTICGVHPDEFTYTSILSSCASFEYIRIGRQLHSTIIKKGFTSNLFVNNALIDLYAKVGALTEATKLFELMTYRDHISWNAIIVGYVQEEEEAGAFSLYQRMNLDGFVPDEVSLASILSACGNIKVLEVGQQLHCLSVKLGLETNLFSGSSLIDMYSKCGDIEDAQKIYSSMPERSVVSFNALIAGYAPKDIKEAISLLHEMLILGLKPSEITFVSLIDVCKGSAKVLLGMQIHCVVVKRGLLCGSEFLGTSLLGMYMDSQRLADASILFSEFSNLKSTVMWTALISGYTQNECSDVALNLYQEMRGNDILPDQATFVTALRASALLSSLHDGREIHSLIFHTGFDLDELTGSALVDMYAKCGDVESAVQVFDELPIKKDVISWNSMIVGFAKNGYAESALKLFNEMAQSCITPDEVTFLGVLTACSHAGWVYEGRQIFHVMVNYYGIEPRGDHYACMVDLLGRWGFLKEAEDFIDKLEVEPNAMIWANLLGACRIHGDEKRGRRAAKKLIELEPKNSSSYVLLSNLYAASGLWDEARSLRRTMIKKDIQKMPGCSWIVVGQNTNLFVAGDKSHPSCDEISLALKHLTALIKDNRFHDVGISWVS >Vigun10g067550.1.v1.2 pep primary_assembly:ASM411807v1:10:15761104:15762256:-1 gene:Vigun10g067550.v1.2 transcript:Vigun10g067550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKTPSKLRVNIIIEFSERSDFSGDHTVRHIAHVHMSTEVAQEEGIPNQEVKQSQKSNMYVRRMDEPWKRLKSCAIRIHFAKYIRRKHHKELT >Vigun02g039100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16310115:16310264:1 gene:Vigun02g039100.v1.2 transcript:Vigun02g039100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMMVGLSLLDFLVAGLSLFIGLAFIAFIASILCAAALFNNVKDVSS >Vigun07g215100.1.v1.2 pep primary_assembly:ASM411807v1:7:33624253:33630307:-1 gene:Vigun07g215100.v1.2 transcript:Vigun07g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLVAGSHNRNEFVLINADETARVNSVTELSGQICQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEEDIDDLENEFDIGSNIRHGHVEATLSAHHNTGHVSQMNAPGITTPSEFDASSVAADIPLLTYDHEDIGISADKHALIIPPFMSRGKRVHPMPFPDSTVPVQPRPLDPKKDLAVYGYGSVAWKERMEEWKKRQNEKTKVVKHEGPNDGGKNGDELDDPDLPKMDEGRQPLWRKLPISPSKISPYRIIIVLRIVVLGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELADIDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAIFIRERRAIKREYEEFKVRINALVAMAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGQNGLRDIEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKPPRKTCNCWPKWCCLCCGSRNKNRKVKSSPRKKVKNKDATKQIHALENIEEGIEGIDSEKSWFMSQLKFEKKFGQSPVFIASTLMEDGGIPKGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKWLERFSYINSVVYPLTSLPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFAELYLFKWTSLLIPPLTLLIINIVGVIVGVSDAINNGYDSWGPLFGKLFFALWVIVHLYPFLKGVMGKQEGVPTIILVWSILLASIFSLLWVRINPFLSKDDIVLELCGLNCD >Vigun07g215100.4.v1.2 pep primary_assembly:ASM411807v1:7:33624253:33628324:-1 gene:Vigun07g215100.v1.2 transcript:Vigun07g215100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTMVGKMVTSLMTLICQSRMDEGRQPLWRKLPISPSKISPYRIIIVLRIVVLGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELADIDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAIFIRERRAIKREYEEFKVRINALVAMAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGQNGLRDIEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKPPRKTCNCWPKWCCLCCGSRNKNRKVKSSPRKKVKNKDATKQIHALENIEEGIEGIDSEKSWFMSQLKFEKKFGQSPVFIASTLMEDGGIPKGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKWLERFSYINSVVYPLTSLPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFAELYLFKWTSLLIPPLTLLIINIVGVIVGVSDAINNGYDSWGPLFGKLFFALWVIVHLYPFLKGVMGKQEGVPTIILVWSILLASIFSLLWVRINPFLSKDDIVLELCGLNCD >Vigun07g215100.2.v1.2 pep primary_assembly:ASM411807v1:7:33624253:33630307:-1 gene:Vigun07g215100.v1.2 transcript:Vigun07g215100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPGITTPSEFDASSVAADIPLLTYDHEDIGISADKHALIIPPFMSRGKRVHPMPFPDSTVPVQPRPLDPKKDLAVYGYGSVAWKERMEEWKKRQNEKTKVVKHEGPNDGGKNGDELDDPDLPKMDEGRQPLWRKLPISPSKISPYRIIIVLRIVVLGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELADIDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAIFIRERRAIKREYEEFKVRINALVAMAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGQNGLRDIEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKPPRKTCNCWPKWCCLCCGSRNKNRKVKSSPRKKVKNKDATKQIHALENIEEGIEGIDSEKSWFMSQLKFEKKFGQSPVFIASTLMEDGGIPKGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKWLERFSYINSVVYPLTSLPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFAELYLFKWTSLLIPPLTLLIINIVGVIVGVSDAINNGYDSWGPLFGKLFFALWVIVHLYPFLKGVMGKQEGVPTIILVWSILLASIFSLLWVRINPFLSKDDIVLELCGLNCD >Vigun07g215100.3.v1.2 pep primary_assembly:ASM411807v1:7:33624253:33628324:-1 gene:Vigun07g215100.v1.2 transcript:Vigun07g215100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKKRQNEKTKVVKHEGPNDGGKNGDELDDPDLPKMDEGRQPLWRKLPISPSKISPYRIIIVLRIVVLGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELADIDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAIFIRERRAIKREYEEFKVRINALVAMAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGQNGLRDIEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKPPRKTCNCWPKWCCLCCGSRNKNRKVKSSPRKKVKNKDATKQIHALENIEEGIEGIDSEKSWFMSQLKFEKKFGQSPVFIASTLMEDGGIPKGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKWLERFSYINSVVYPLTSLPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFAELYLFKWTSLLIPPLTLLIINIVGVIVGVSDAINNGYDSWGPLFGKLFFALWVIVHLYPFLKGVMGKQEGVPTIILVWSILLASIFSLLWVRINPFLSKDDIVLELCGLNCD >Vigun01g100700.3.v1.2 pep primary_assembly:ASM411807v1:1:26829230:26835115:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDFWTKILNVGCSYSIYTTYTLSWFYLWILQTMPWFGVMLYSLLIHFLSFQHCCADANSTLVVNASFGKGNPRRIPQSFHGVFFEEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.7.v1.2 pep primary_assembly:ASM411807v1:1:26829083:26835118:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.6.v1.2 pep primary_assembly:ASM411807v1:1:26828951:26835118:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVLQPNNKKLGDVDQEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.2.v1.2 pep primary_assembly:ASM411807v1:1:26828951:26835123:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPWFGVMLYSLLIHFLSFQHCCADANSTLVVNASFGKGNPRRIPQSFHGVFFEEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.5.v1.2 pep primary_assembly:ASM411807v1:1:26830297:26835117:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKFDSYLKDLVYGKTFDAKQEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.1.v1.2 pep primary_assembly:ASM411807v1:1:26829850:26835123:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSLRPWFGVMLYSLLIHFLSFQHCCADANSTLVVNASFGKGNPRRIPQSFHGVFFEEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun01g100700.4.v1.2 pep primary_assembly:ASM411807v1:1:26829222:26835120:1 gene:Vigun01g100700.v1.2 transcript:Vigun01g100700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVLQPNNKKLGDVDQGNPRRIPQSFHGVFFEEINHAGAGGLWAELVSNRGFEAGGPDNTLNIYPWSVIGNESSISVSINHTSCFERNKAALQMKVYCGGLKPCPYGAVGISNPGYWGMNLEQGKRYKVVYHVKSETKFDFQLSFTGVDVKTVASNTRHVFGDKKWKKVETIVEAKHTNHYSCLQITTTSEGTFLLDQVSAMPLDTIMGHGFRKDLFQMVADLKPKFLRFPGGTYVEGVHLQNRYQWKDTIGPWEERPGHFDDIWNYWSDDGIGYFEYLQLAEDLGAFPIWVFNAGISVREEINASALAPYVQDALDGIEFARGSPESKWGSVRAAMGHPKPFDLRYVAVGNEDCWHFNYQGNYLKFYEAIRSANPDIQIISNCDASTAPLKHPADLFEFHIYTDSNDMFSKSTQFDHTSRAGPKAFVSEYAVWKEDAANGSLLAAIAEAAFLIGLEKNSDIVQMVSYAPLFSNINDRRWIPDAIVFDSYQLYGTPSYWVQKLFIESTGATFLDSTLFTSSSNKLIASAIIWENSAEKKNYLRIKVVNFGSASESLGIYINGLNSNVQQFGSTKTVLTSTNLMDENSFLDPKKVVPLTSSLENADKYMNVTLSSYSVTSLDLLI >Vigun11g122600.1.v1.2 pep primary_assembly:ASM411807v1:11:32994191:32997333:1 gene:Vigun11g122600.v1.2 transcript:Vigun11g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMVTTIAVTVVLYVTVLESVKGFLLHTNDRWIVDENGKRVKLACVNWVSHLDAAVAEGLNQQPLDMISKSIKSMGFNCVRLTWPLLLLTNHSLASLTVRNSFQNLGLLQSINDVQALNPSIIDLPIIKAYQEVVKSLGDNDVMVILDNHVTQPGWCCGNMDGNGFFGDQYFDPDLWIMGLTNMATLFKGVSNVVGMSLRNELRGPKQNVNQWYRYMPKGAEAVHAANPEVLVILSGLNFDTDLSFMKEGAVKLSFNEKLVLELHWYSFSEGQPRASRNANQMCGEVTANVMRRAGFLLGQGLPLFVSEFGLDLRGLDANDNTYLNCFMAFAAQFDLDWALWTLGGSYYIRQGVVGMNEYYGVLNSDWSEVRNLSFLQRISAIQIPFQGPGLSEAKPYKVIFHPLTGFCILRKSQVEALRLGSCSDSDEWEYTDQNTLSIKGTNFCLQAEGEGKQAKIGNICSTLNSRWEMLSDSKMHLSTQINNSSGMCLDVDTNNIIVTNTCKCLTKDNNTCEPASQWFKLVDSTRN >VigunL086701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:86709:88842:-1 gene:VigunL086701.v1.2 transcript:VigunL086701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRADLACRIFPEPDASQIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSSSSSAASDKSRGPFWNLLRLVFGGIVKPIQTLGQFLGPKRPSSSSPTPSSSIAVGTDDDSDAGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWCTVCPIGQSAKTNPQVHLVGKTRT >Vigun11g122200.1.v1.2 pep primary_assembly:ASM411807v1:11:32955882:32958346:-1 gene:Vigun11g122200.v1.2 transcript:Vigun11g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGKRVVILGGGVAGSVAARSLQSHARVTLVDPKEYFEIPWANLRSMVEPSFAERTLINHRDYLTDADIVTSEAVNITETEVLTADGRQIGYDYLVIATGRADSVPKSRSERLNQFNEDNRKIKSARSILIIGGGPTGVELAGEIAVDFPDKKVILVHKGSRLLEFIGAKAGNKALKWLKSKNVVVKLDQRVNLNGFTEGQKIYRTSIGETIEADCHFLCVGKPLSTAWLEETVLKNDLDSQGRIKVDEKLRVNGRSNIFAIGDITNIPEIKQGFLAQQQAEVVVNNLKVIIQGTRECWIETYKPHSAIAIVSLGRKEAVAQLPFWTITGRIPGFIKSGDLFVGKTRKQMGLSYDIEQA >Vigun11g122200.2.v1.2 pep primary_assembly:ASM411807v1:11:32955882:32958543:-1 gene:Vigun11g122200.v1.2 transcript:Vigun11g122200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGKRVVILGGGVAGSVAARSLQSHARVTLVDPKEYFEIPWANLRSMVEPSFAERTLINHRDYLTDADIVTSEAVNITETEVLTADGRQIGYDYLVIATGRADSVPKSRSERLNQFNEDNRKIKSARSILIIGGGPTGVELAGEIAVDFPDKKVILVHKGSRLLEFIGAKAGNKALKWLKSKNVVVKLDQRVNLNGFTEGQKIYRTSIGETIEADCHFLCVGKPLSTAWLEETVLKNDLDSQGRIKVDEKLRVNGRSNIFAIGDITNIPEIKQGFLAQQQAEVVVNNLKVIIQGTRECWIETYKPHSAIAIVSLGRKEAVAQLPFWTITGRIPGFIKSGDLFVGKTRKQMGLSYDIEQA >Vigun10g071200.1.v1.2 pep primary_assembly:ASM411807v1:10:17537171:17539595:1 gene:Vigun10g071200.v1.2 transcript:Vigun10g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYGGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDEYEEEDEEDEYGPEI >Vigun02g100100.1.v1.2 pep primary_assembly:ASM411807v1:2:25509052:25515994:1 gene:Vigun02g100100.v1.2 transcript:Vigun02g100100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKQTAEEGEEGCESGTSSTKEVVKSLSAQLKDMVLKFSGSYKQCRPCTGSSTYKKGQRPYPDFDTISEGVPYPYIGGASSASTPAWDFTSSYLPGGRPDQRFMGGLNGDRTSRGPESAPACDVVVEEEDETKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREIFDKWQAQKWWGENYDRIMELYNVQRFNRQALNTPSRSEDEQRDSSYSRLTSGQESPGSMSLKEWTPRNHYKPSLNNQSEAMDQVGGQNFPAGSSVEASRTTTSSRDEPSMSNASDLEAEWIEQDEPGVYITIRQLADGTKELRRVRFSRERFGEGHAKKWWEDNRERIQAQYL >Vigun07g136400.2.v1.2 pep primary_assembly:ASM411807v1:7:24674528:24678961:1 gene:Vigun07g136400.v1.2 transcript:Vigun07g136400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLKEELSVKTPVFDLKVWEVIGIAVGLFIIVILCVLSFCLTSRKKSRKARDRVPVSHIPTVSKEITEVRVEQVPANGFVPREGILLTIHDKSSDKESDKVMLHLGVGKKKHGDSGSHSDSFHYLEKDGGGSQSGEEISSGSVGMYMPSSSYPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVIGEGGYGVVYRGQLINGTPVAVKKILNNIGQAEKEFRVEVEAIGHVRHKNLVRLLGYCMEGTHRMLVYEYVSNGNLEQWLHGAMRHHGYLTWEARIKILLGTAKGLAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGSGKSHVATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAQEVNMVDWLKMMVGNRRSEEVVDPNIEVKPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPLAREDRRHRRNNGVNSEIESHKDSSDTDGSDIQGSRSESGR >Vigun07g136400.1.v1.2 pep primary_assembly:ASM411807v1:7:24673891:24678961:1 gene:Vigun07g136400.v1.2 transcript:Vigun07g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLKEELSVKTPVFDLKVWEVIGIAVGLFIIVILCVLSFCLTSRKKSRKARDRVPVSHIPTVSKEITEVRVEQVPANGFVPREGILLTIHDKSSDKESDKVMLHLGVGKKKHGDSGSHSDSFHYLEKDGGGSQSGEEISSGSVGMYMPSSSYPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVIGEGGYGVVYRGQLINGTPVAVKKILNNIGQAEKEFRVEVEAIGHVRHKNLVRLLGYCMEGTHRMLVYEYVSNGNLEQWLHGAMRHHGYLTWEARIKILLGTAKGLAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGSGKSHVATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAQEVNMVDWLKMMVGNRRSEEVVDPNIEVKPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPLAREDRRHRRNNGVNSEIESHKDSSDTDGSDIQGSRSESGR >Vigun07g072800.2.v1.2 pep primary_assembly:ASM411807v1:7:9193309:9217036:1 gene:Vigun07g072800.v1.2 transcript:Vigun07g072800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSALAGKEVPVAGSDAVRWIDLHVPSSSNIVAVNGDAASTTTSDRASCFVVGDPPTYLIWRIHKALPHSLELLELAASKEFPRVGLRFTFPDALCPFAFICKNEISGASRFPYLLYVLTVSGVAYLLRIRNISAYASISIVPVDELLEVNVRGYIANHAAAITAVTATAGGLVVGTSDGSVFCFQLGVLDPSAPGFVHELRDEAGITRLWGLIPRGKMVGTVQELVILELHDKKFVFVLHLDGTLRIWDLASHSRVFSHNMGTMTMTGANFVRLWVGQSYPDIGIIPLAILFRDTLDENLETISLCSILYNIGDKIVFSMESSVQNIPLEEGRCLDVKLTLEKIWILKDDELVSHTFSTNTDEVEAFSYALQEEFVADQLFQSSEHLADEILQITHSMFSSSKDDILPFVSCVFLRRLLLSGVHHNATLYATIVEYSRHLGESELHTLTADGIKKEILSIIEHEVGSEKVSLLHCWKIFFNCYFHNWCKNNALYGLLVDSSSDAIGLIRRSSISLFRSLEDIERIVEGSSDDVSELTGLMDIFDDDLECEILIDLLRCVMSFSQQLGKTASSIFYESLFTTPLISSEDIIRYVVKILETGYCMSGPVFQTSTSGNHVVVLEKELTDHKSLRKLSVDMFLSLQSLYKKASAWGRILNVIECFLKFLVPKKVIQNFNTEVSSSINSSVIVHTTYQIAKVMFESAWDFLLFLSYLVDISGQVHLTHDDINKVQLELVPMLQEIIFEWLIIIFFTITPSSLAVTEDFNSKLSSLQIDNNMGKRLLNENLGRFDFTLAFIFLLNVESSSLNHSHFSSDRFSNAQSLINRARDFINWIIWGQAGESSTFLTRSIDLAIILFKHGQFGAAEQLLMIAEAHLLKEKTSHSIQDADGGWCIRHHLLGCCLLAQVQCGLHAAHKDKKVSDAIRCFFRSSSGNGASEALQSLSDDLGIIYLGFSGCTSIATWKLQYYQWAMQLFERYSISEGACQFALAALEQVDEALYMKDDIRTNNSVNESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCSNRLPLIGLVEKVEQELAWKADRSDISAKPNLYKLLYSFQMHRHNWRRAANYIYMYSARLRTEAALKDSVGNSLMLQERLNALSAAVNALHLVHPAYAWIDSLVEGSSLVNEHYPSKKAKRTPDEHSADNDAEPQGWQSSIDIEKLENEFVLTSAEYMLSLVNVKWTFSGKHGALSDLADLLVQNNLYDMAFTVVLRFFKGSALKREMERVLSAISLKCCLDKVESAWVEERSHLLASSKNEMVVHGSPITVSTTPRTERSNQWATLKLYLERYKEFHGRLPIIVAETLLRADPNIELPLWLVQLFKEGQKERLWGMTGRESNPASLFQLYVTYDRYADATYLLLECIDSFASMRPADIIRRKRPFAVWFPYTTIERLLYRLDELIRMGHMVDHCEKLKKMLHGSLHSHLKMLKVDSNDAVSVTS >Vigun07g072800.3.v1.2 pep primary_assembly:ASM411807v1:7:9193310:9217030:1 gene:Vigun07g072800.v1.2 transcript:Vigun07g072800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSALAGKEVPVAGSDAVRWIDLHVPSSSNIVAVNGDAASTTTSDRASCFVVGDPPTYLIWRIHKALPHSLELLELAASKEFPRVGLRFTFPDALCPFAFICKNEISGASRFPYLLYVLTVSGVAYLLRIRNISAYASISIVPVDELLEVNVRGYIANHAAAITAVTATAGGLVVGTSDGSVFCFQLGVLDPSAPGFVHELRDEAGITRLWGLIPRGKMVGTVQELVILELHDKKFVFVLHLDGTLRIWDLASHSRVFSHNMGTMTMTGANFVRLWVGQSYPDIGIIPLAILFRDTLDENLETISLCSILYNIGDKIVFSMESSVQNIPLEEGRCLDVKLTLEKIWILKDDELVSHTFSTNTDEVEAFSYALQEEFVADQLFQSSEHLADEILQITHSMFSSSKDDILPFVSCVFLRRLLLSGVHHNATLYATIVEYSRHLGESELHTLTADGIKKEILSIIEHEVGSEKVSLLHCWKIFFNCYFHNWCKNNALYGLLVDSSSDAIGLIRRSSISLFRSLEDIERIVEGSSDDVSELTGLMDIFDDDLECEILIDLLRCVMSFSQQLGKTASSIFYESLFTTPLISSEDIIRYVVKILETGYCMSGPVFQTSTSGNHVVVLEKELTDHKSLRKLSVDMFLSLQSLYKKASAWGRILNVIECFLKFLVPKKVIQNFNTEVSSSINSSVIVHTTYQIAKVMFESAWDFLLFLSYLVDISGQVHLTHDDINKVQLELVPMLQEIIFEWLIIIFFTITPSSLAVTEDFNSKLSSLQIDNNMGKRLLNENLGRFDFTLAFIFLLNVESSSLNHSHFSSDRFSNAQSLINRARDFINWIIWGQAGESSTFLTRSIDLAIILFKHGQFGAAEQLLMIAEAHLLKEKTSHSIQDADGGWCIRHHLLGCCLLAQVQCGLHAAHKDKKVSDAIRCFFRSSSGNGASEALQSLSDDLGIIYLGFSGCTSIATWKLQYYQWAMQLFERYSISEGACQFALAALEQVDEALYMKDDIRTNNSVNESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCSNRLPLIGLVEKVEQELAWKADRSDISAKPNLYKLLYSFQMHRHNWRRAANYIYMYSARLRTEAALKDSVGNSLMLQERLNALSAAVNALHLVHPAYAWIDSLVEGSSLVNEHYPSKKAKRTPDEHSADNDAEPQGWQSSIDIEKLENEFVLTSAEYMLSLVNVKWTFSGKHGALSDLADLLVQNNLYDMAFTVVLRFFKGSALKREMERVLSAISLKCCLDKVESAWVEERSHLLASSKNEMVVHGSPITVSTTPRTERSNQWATLKLYLERYKEFHGRLPIIVAETLLRADPNIELPLWLVQLFKEGQKERLWGMTGRESNPASLFQLYVTYDRYADATYLLLECIDSFASMRPADIIRRKRPFAVWFPYTTIERLLYRLDELIRMGHMVDHCEKLKKMLHGSLHSHLKMLKVDSNDAVSVTS >Vigun07g072800.4.v1.2 pep primary_assembly:ASM411807v1:7:9193310:9217030:1 gene:Vigun07g072800.v1.2 transcript:Vigun07g072800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSALAGKEVPVAGSDAVRWIDLHVPSSSNIVAVNGDAASTTTSDRASCFVVGDPPTYLIWRIHKALPHSLELLELAASKEFPRVGLRFTFPDALCPFAFICKNEISGASRFPYLLYVLTVSGVAYLLRIRNISAYASISIVPVDELLEVNVRGYIANHAAAITAVTATAGGLVVGTSDGSVFCFQLGVLDPSAPGFVHELRDEAGITRLWGLIPRGKMVGTVQELVILELHDKKFVFVLHLDGTLRIWDLASHSRVFSHNMGTMTMTGANFVRLWVGQSYPDIGIIPLAILFRDTLDENLETISLCSILYNIGDKIVFSMESSVQNIPLEEGRCLDVKLTLEKIWILKDDELVSHTFSTNTDEVEAFSYALQEEFVADQLFQSSEHLADEILQITHSMFSSSKDDILPFVSCVFLRRLLLSGVHHNATLYATIVEYSRHLGESELHTLTADGIKKEILSIIEHEVGSEKVSLLHCWKIFFNCYFHNWCKNNALYGLLVDSSSDAIGLIRRSSISLFRSLEDIERIVEGSSDDVSELTGLMDIFDDDLECEILIDLLRCVMSFSQQLGKTASSIFYESLFTTPLISSEDIIRYVVKILETGYCMSGPVFQTSTSGNHVVVLEKELTDHKSLRKLSVDMFLSLQSLYKKASAWGRILNVIECFLKFLVPKKVIQNFNTEVSSSINSSVIVHTTYQIAKVMFESAWDFLLFLSYLVDISGQVHLTHDDINKVQLELVPMLQEIIFEWLIIIFFTITPSSLAVTEDFNSKLSSLQIDNNMGKRLLNENLGRFDFTLAFIFLLNVESSSLNHSHFSSDRFSNAQSLINRARDFINWIIWGQAGESSTFLTRSIDLAIILFKHGQFGAAEQLLMIAEAHLLKEKTSHSIQDADGGWCIRHHLLGCCLLAQVQCGLHAAHKDKKVSDAIRCFFRSSSGNGASEALQSLSDDLGIIYLGFSGCTSIATWKLQYYQWAMQLFERYSISEGACQFALAALEQVDEALYMKDDIRTNNSVNESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCSNRLPLIGLVEKVEQELAWKADRSDISAKPNLYKLLYSFQMHRHNWRRAANYIYMYSARLRTEAALKDSVGNSLMLQERLNALSAAVNALHLVHPAYAWIDSLVEGSSLVNEHYPSKKAKRTPDEHSAADNDAEPQGWQSSIDIEKLENEFVLTSAEYMLSLVNVKWTFSGKHGALSDLADLLVQNNLYDMAFTVVLRFFKGSALKREMERVLSAISLKCCLDKVESAWVEERSHLLASSKNEMVVHGSPITVSTTPRTERSNQWATLKLYLERYKEFHGRLPIIVAETLLRADPNIELPLWLVQLFKEGQKERLWGMTGRESNPASLFQLYVTYDRYADATYLLLECIDSFASMRPADIIRRKRPFAVWFPYTTIERLLYRLDELIRMGHMVDHCEKLKKMLHGSLHSHLKMLKVDSNDAVSVTS >Vigun07g072800.1.v1.2 pep primary_assembly:ASM411807v1:7:9193288:9217036:1 gene:Vigun07g072800.v1.2 transcript:Vigun07g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSALAGKEVPVAGSDAVRWIDLHVPSSSNIVAVNGDAASTTTSDRASCFVVGDPPTYLIWRIHKALPHSLELLELAASKEFPRVGLRFTFPDALCPFAFICKNEISGASRFPYLLYVLTVSGVAYLLRIRNISAYASISIVPVDELLEVNVRGYIANHAAAITAVTATAGGLVVGTSDGSVFCFQLGVLDPSAPGFVHELRDEAGITRLWGLIPRGKMVGTVQELVILELHDKKFVFVLHLDGTLRIWDLASHSRVFSHNMGTMTMTGANFVRLWVGQSYPDIGIIPLAILFRDTLDENLETISLCSILYNIGDKIVFSMESSVQNIPLEEGRCLDVKLTLEKIWILKDDELVSHTFSTNTDEVEAFSYALQEEFVADQLFQSSEHLADEILQITHSMFSSSKDDILPFVSCVFLRRLLLSGVHHNATLYATIVEYSRHLGESELHTLTADGIKKEILSIIEHEVGSEKVSLLHCWKIFFNCYFHNWCKNNALYGLLVDSSSDAIGLIRRSSISLFRSLEDIERIVEGSSDDVSELTGLMDIFDDDLECEILIDLLRCVMSFSQQLGKTASSIFYESLFTTPLISSEDIIRYVVKILETGYCMSGPVFQTSTSGNHVVVLEKELTDHKSLRKLSVDMFLSLQSLYKKASAWGRILNVIECFLKFLVPKKVIQNFNTEVSSSINSSVIVHTTYQIAKVMFESAWDFLLFLSYLVDISGQVHLTHDDINKVQLELVPMLQEIIFEWLIIIFFTITPSSLAVTEDFNSKLSSLQIDNNMGKRLLNENLGRFDFTLAFIFLLNVESSSLNHSHFSSDRFSNAQSLINRARDFINWIIWGQAGESSTFLTRSIDLAIILFKHGQFGAAEQLLMIAEAHLLKEKTSHSIQDADGGWCIRHHLLGCCLLAQVQCGLHAAHKDKKVSDAIRCFFRSSSGNGASEALQSLSDDLGIIYLGFSGCTSIATWKLQYYQWAMQLFERYSISEGACQFALAALEQVDEALYMKDDIRTNNSVNESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGAIKILCSNRLPLIGLVEKVEQELAWKADRSDISAKPNLYKLLYSFQMHRHNWRRAANYIYMYSARLRTEAALKDSVGNSLMLQERLNALSAAVNALHLVHPAYAWIDSLVEGSSLVNEHYPSKKAKRTPDEHSAADNDAEPQGWQSSIDIEKLENEFVLTSAEYMLSLVNVKWTFSGKHGALSDLADLLVQNNLYDMAFTVVLRFFKGSALKREMERVLSAISLKCCLDKVESAWVEERSHLLASSKNEMVVHGSPITVSTTPRTERSNQWATLKLYLERYKEFHGRLPIIVAETLLRADPNIELPLWLVQLFKEGQKERLWGMTGRESNPASLFQLYVTYDRYADATYLLLECIDSFASMRPADIIRRKRPFAVWFPYTTIERLLYRLDELIRMGHMVDHCEKLKKMLHGSLHSHLKMLKVDSNDAVSVTS >Vigun10g050400.1.v1.2 pep primary_assembly:ASM411807v1:10:7841508:7844283:1 gene:Vigun10g050400.v1.2 transcript:Vigun10g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIQFFELNTGAKIPSVGLGTWQAEPGIVAKTLTTAIEVGYRHIDCAEVYENQAEIGSALKKIFDNGLVKREDLWITSKLWCDDHAPEDVPKAFDKTLHDLQLDYVDLYLIHWPVRIKSGAVGFKREYLEQTDIPGTWRAMEALYDSGKARAIGVSNFSSKKLQDLLDVARVPPSVNQVELHPGWQQPQLHAFCESKGIHISGYSPLGSPGNLKSDVLKNPVVVEIAEKLGKTPAQVALRWGLQSGHSVLPKSNTESRIKENFDVFDWSIPEELFAKFSEIKQERLIKGTSFTDDTYGPYKNIEELWDGE >Vigun05g143450.1.v1.2 pep primary_assembly:ASM411807v1:5:19186311:19187356:-1 gene:Vigun05g143450.v1.2 transcript:Vigun05g143450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDLINDIDGKRETLKLGVRITDLWIVQNRDSTKHIQMILVDHKPQEIANMPIRVYNFKSIKEIVDGTYSTTDILTDIIGAVDNVKNRLDSNCVFFNVMDLSGSVIGCTLWDNYATKFLSHWNEKQNSDTTIVILTQAKIKAPLGTWPISISNSWHRSNLFTDDGIPEIREFKEKYIEYV >Vigun04g105100.1.v1.2 pep primary_assembly:ASM411807v1:4:25100977:25101385:-1 gene:Vigun04g105100.v1.2 transcript:Vigun04g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLLLLTFLKLILAVMFIVLFSSGVSSKPVCQTRCIEFLDCVNNCKNLGYTRGICNDGLCCCSK >Vigun10g032150.1.v1.2 pep primary_assembly:ASM411807v1:10:4230561:4230803:-1 gene:Vigun10g032150.v1.2 transcript:Vigun10g032150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVTYSNWSSTSLSIRESSMLFNMESFPSRCGKFFIAPTYNPSFSLLTT >Vigun03g038400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2929743:2930672:-1 gene:Vigun03g038400.v1.2 transcript:Vigun03g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPIKQTQHLNRTTLLLQEHTLSKNHHHPKLVRIRVTDADATDSSSDDDQPSVSSTRRRVKSFVNEITIHGAHGAAGVKVLSRKRKVKSGGARRTGTRKFRGVRQRPWGKWAAEIRDPSRRVRLWLGTYDTAEEAAIVYDNAAIQLRGADALTNFITPPAEGGKSGCCSGEESPNEELRSPTSVLRCCWGSEEAESVTANEAAGPASECEHSWLSEDSKLKDESVFGIPEDVVFGFESTLDMFDEECVNNVAESSGIFLGEEFDLSGCFVSEKENLDLGFGFTSWQRECDNFQDIGDLFVWDPLVAL >Vigun03g002600.1.v1.2 pep primary_assembly:ASM411807v1:3:189685:199268:-1 gene:Vigun03g002600.v1.2 transcript:Vigun03g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVTGDRYLVKLVEFVEEQAGPLIEETKVLKLNPAGLHYVQSRLEALHEVENLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKIFSVLPHPIRDPTPLSFLPFGSLKVLELRDCDLSTSAAKGLLELRHTLEKIICHNSTNALRHVFASRIKEVKNSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLQKCTKLKHLDLGFNHLRTCAPFTRVSPQIVKLVLRNNALTTLRGIENLKSLEGLDLSYNIVSNFSELEFVAGLPFLQSLWLEGNPLCCARWYRAHVFSFFAFPERLKLDEKEINTSDFWKRQIIIASMHKQPASFGIYVPAKDEAVAEGANMRRRKACRLVSIRNEEETTSIYSEEDSGSCANDIIQNREDPDLSDNEPEIVDLINRIEHMKKERSINWLRDFKDWMDIAPDKSVQTMKEGSTTPHQKGNYIRNKTHLEQSGEVSRYASDSVLASGDDSSMNILESDSSFVDTSASFHRPQHFDYRNLLGNASGASLFDSGGVDMEKLKSSLEGIGSSLSQTRSSHADTVTTEGAQRMTENVNMSPLTIIHDIYGSQSSSACPASPPHFQEDLLHRRQHLVEEILQLSTDSFSVASSDSNTSCSEVDCVEFEPSVSEVDNPQCKTYVNGVGSHLSQSQLKEKFCNPRQENALERENGISSCSSSSDQISKQHAIDFAENACCASQDTGLLEKRKIRKKAKKRIISVLKEKLDGNASDDTLEKTSLGHISANLKQELDDFIVTYFNTTVADSEASEVCSHCMRCNCVLQRETNYKESEVAVLLSSHKKLYLLLINVTSDGSGTILKVLSSHNIEEVCEVQVGMGFQVLRVNFENGETYLFVTRSIEKSIELLCTIHVLDSSDGNDRCSIRSLEQVQVGLFDKQVCGGSNVSIYQYAMVLVFCKNGSEESWLSRSLFVIGGYVLLCIEDVKQLYFFSSDASVSPYFRIDSCCSIADITEMVIEGGSCCVTLSLTCPLAELHPFTQMNLESVNHENSAPGSLKLKLQWFSRNYLVKFVSLLKAIHEKKTGSPLVVRCIS >Vigun09g049500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:4876103:4878531:1 gene:Vigun09g049500.v1.2 transcript:Vigun09g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKLNLNLTQAQALNPSLKPVFYASLLQACIKAHSFVHGTLLHAHVLKSGLLADRFLANSLLSLYFKLSPHFSQARTLFDGLSFKDVIAWTSIISGYVRKAQPTQALRLFLQMLRLGLHPNAFTLSSLLKASSQLPNLPLGKALHGIVATRGFHSNCVVACALIDMYGRTSVVDDARRVFDELPHPDPVCWTACISTLARNDRFREAVGLFSVMHDGGLGLEVDGFTFGSLLNACGHLGWVRMGREVHGKVVTLGMRGNVVVESSLLDMYGKCGDVGCARVVFDGLEGRNWVSWTAMLGVYCHNGESESVLGLVREWGVVDVYSFGTIIRACSALATVRQGKEVHCHYVRKGGWRDVVVESALVDMYAKCGSVDFAYRLFSRMEVRNLITWNSMIGGFAQNGRGQEGLELFEEMVKEGVRPDGISFVNVLFACSHNGLVDDGRRYFDLMKREYGIRPGVVHYTCMIDLLGRAELIHEAETLLEAADCRYDHSVWAVLLGACTKCSDYITAERVAKRMIQLEPEFHLSYVLLSNVYRAVGRWNEAQEIRRLMEERGVKKMPGTSWIESEKQKGSPSFDLSIDGRSMGEAA >Vigun05g290500.1.v1.2 pep primary_assembly:ASM411807v1:5:47691481:47693655:1 gene:Vigun05g290500.v1.2 transcript:Vigun05g290500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVGDWKCRSCQHLNFQRRECCQRCGDCKGGEGNGYGGFGTESDVRPGDWYCDASNCASHNFATRSTCFQCGASRNHSAAAHTSSSKPSWKPGWKHGDWICNRSGCNEHNFASRMECFKCCAPRDLH >Vigun05g290500.2.v1.2 pep primary_assembly:ASM411807v1:5:47691481:47693655:1 gene:Vigun05g290500.v1.2 transcript:Vigun05g290500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVGDWKCRSCQHLNFQRRECCQRCGDCKGGEGNGYGGFGTESDVRPGDWYCDASNCASHNFATRSTCFQCGASRNHSAAAHTSSSKPSWKPGWKHGDWICNRSGCNEHNFASRMECFKCCAPRDLH >Vigun05g290500.3.v1.2 pep primary_assembly:ASM411807v1:5:47691860:47693252:1 gene:Vigun05g290500.v1.2 transcript:Vigun05g290500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVGDWKCRSCQHLNFQRRECCQRCGDCKGGEGNGYGGFGTESDVRPGDWYCDASNCASHNFATRSTCFQCGASRNHSAAAHTSSSKPSWKPGWKHGDWICNRSGCNEHNFASRMECFKCCAPRDLH >Vigun03g003600.1.v1.2 pep primary_assembly:ASM411807v1:3:244828:246313:-1 gene:Vigun03g003600.v1.2 transcript:Vigun03g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLGLLRIHIKRGVNLAIRDVSTSDPYVVVKMDKQRLKTRVIKKDINPEWNEDLTLSVSDPSIPLMLTVYDHDTFTMDDKMGDAELDISPYIEALKTQVDDLAAGTIITRIQPCRTNCLAEESCVVFENGKVVQDVVLRLRHVECGELELQLEWISLPGAKGL >Vigun10g133400.1.v1.2 pep primary_assembly:ASM411807v1:10:34316627:34322732:-1 gene:Vigun10g133400.v1.2 transcript:Vigun10g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVESGDHHNIKGVPTHGGRYIQYNIYGNLFEVSRKYVPPIRPVGRGAYGIVCAAVNAETGEEVAIKKVGNAFDNRIDAKRTLREIKLLRHMDHANIMSIRDIIRPPQKENFNDVYLVSELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIITRQPLFPGKDYVHQLRLVTELIGSPDDASLGFLRSDNARRYVKQLPQYPKQNFSARFPNMSPGAVDLLEKMLIFDPNRRITVDEALSHPYMAPLHDINEEPVCTRPFSFDFEQPSFTEEDIKELIWRESVKFNPHPPVY >Vigun03g031700.1.v1.2 pep primary_assembly:ASM411807v1:3:2408278:2412054:-1 gene:Vigun03g031700.v1.2 transcript:Vigun03g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGRHCWIIFLVFQAPFFLLSHAASDLELLLKMKESLENYGSSLDSWNSTTPPCTDGHANWRGILCYQGKVWGVKLENIGLQGLIDIDALKALPYLRTLSFMNNSLEGSWPEIHHLVGLKSIYLSNNKFSGEIPYRAFEGLKWLKKIYLSNNQFSGYVPTSLTILPRLIELRLDGNEFTGQIPRFHPLNRLRLFNVANNQLQGQIPSTVNRMPASSFAGNERLCGAPLESCTPKPSTASMVVALVVVCVAVLVIGIVVLIIHRKKNGPCQAKLGSPPSSMDKGRLRDVGDESRRSTRSMSPSDSRRADHLRLSFLRDDREMFDLQELLRASAEILGSGCFSSSYKAALVNGPTIVVKRFKQMNNVGKEEFQEHMKRLGRLSHPNLLPPVAYYYRREEKLLVTDYVHNGSLAVRLHGHQSLGEPSLEWPIRLKIVKGIAKGLEYLYRDMPSLIAPHGNLKSSNVLLSESFEPLLTDYGLVPVINQELAQDIMVIYKSPEYLQQGRITKKTDVWCLGILILEILTGKFPANFLQKGKGSEVSLASWVDSVVPEEWTNAVFDQEMGATKNSEGEMGKLLKIALNCCEADVDKRWDLKEAVVKILEVKPRDDDQENLLISYDSDAELISST >VigunL059059.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000422.1:58:609:-1 gene:VigunL059059.v1.2 transcript:VigunL059059.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGGPARTPNTMDSWVVGSKGRSR >Vigun11g202600.1.v1.2 pep primary_assembly:ASM411807v1:11:40047513:40050352:1 gene:Vigun11g202600.v1.2 transcript:Vigun11g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRERVVCVTGASGFIASWIIKFLLQRQYTVRATVRDPSNHEKVHHLLKLEGAKERLHLYKADLLEEGSFDSAFEGCHGVFHTASRVQFIVNDPQKELIDPAVKGTLNVVKSCAKSTSVKRIVLTSSFAAVLYNGRPRSPEVVVDETWFSDPNILSEIERWYAYAKTLAEDAARKFLEEQDIKLIVINPAMTIGPLLQQQMNESCASILNLINGSQTFPNFSFGWINVKDVANAHVQAYESDSASGRYCLVERVAHFSEIVKILGQMYPTLKIADRCEDDEPFLPTFKVSKEKAKRLGIEFISLEESLRETVECLKEKKFVDF >Vigun06g011250.1.v1.2 pep primary_assembly:ASM411807v1:6:5105440:5106399:-1 gene:Vigun06g011250.v1.2 transcript:Vigun06g011250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGNSNVEELQQVAADLRSAIWKQMAEAGTKYIPSNTFSYYDQVLDTTAMLGAVPARYNWNGGEIEFDVYFSMARGNASVPAMAMTKWFDTNYHYIVPELGPDVKFSYSSHKAVVEYKEAKVLGINTVPVLVGPVS >Vigun06g105500.1.v1.2 pep primary_assembly:ASM411807v1:6:23501094:23505443:-1 gene:Vigun06g105500.v1.2 transcript:Vigun06g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGANSAEPREFSSPLLSPETLQAYHGNDGVECEEKRQKETWSVPAILILTTLVAVSGSYVFGSAIGYSSPAQNGIMDDLNLGVAEYSIFGSILTIGAMIGAIISGRIADYAGRRAAMGFSEVFCILGWLAIAFSKVGWWLYIGRFLVGCGMGLLSYVVPVYVAEITPKNLRGGFTTVHQLMICCGVSLTYLIGAFLNWRILALIGTIPCLVQLLGLFFIPESPRWLAKFGHWERSESVLQSLRGKNADVSEEATEIRDFTEALQSETEATIFGLFQLQYLKSLTVGVGLMILQQFGGVNGIAFYASSIFISAGFSGSIGMIAMVAVQIPMTALGVLLMDKSGRRPLLLVSASGTCLGCFLAALSFFLQDLHKWKEASPILALVGVLVYTGSFSLGMGGIPWVIMSEIFPINVKGSAGSLVTLVSWLCSWIVSYAFNFLMSWSSAGTFFIFSAICGFTVLFVTRLVPETKGRTLEEIQASLKR >Vigun01g033400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4268677:4269037:1 gene:Vigun01g033400.v1.2 transcript:Vigun01g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVKIKIVLLGMMCIGLVMCSSSRDIEQNVKSDEDGWVFCYIDVGHCQDNDVCNKECVSASFARGGYCKDNKCCCKI >Vigun06g131150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25754435:25755028:-1 gene:Vigun06g131150.v1.2 transcript:Vigun06g131150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEDFLTLSLSSYTNNNIISDNTPAPAPATASTSDGTIPPPFPWATNQRARIHSFRYLMLNKMNRISGSVQCKRCLKVFEMEIDVRKKLSEFRRFIVGQKEDMKNRAPVEWRKPVLPKCEHCGRENSVAPVLGGVKKKAINWLFLFLSQTLGCCTSKQLKYFCKHTKNHRTASKDHLLYITYRGIFRQFASECFDF >Vigun08g183250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35288643:35289605:1 gene:Vigun08g183250.v1.2 transcript:Vigun08g183250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYSEPNSPTSRLKLRSPFDSQTDPTTTPTETAYEDSDFTGDESEFEFETSRRFNVSVSELDSERKQKDENPFGDSLQTMAFADELFCDGKVLPLMPPLKLPPRLHKVEVGSIYRSKLMSSRSSSRSSVFRLRISRHNNDSDPFMVALENVRGEKRRKHVFRRSRSLSSFRGFRHKFKKSVRVAKSSQSQCSDRAELVCEEVQKKEASERMSVLSESKGLVFARKMRLVASVSKLGMGTKKDERKSGFWRRNKKREYIKKFLFRLRNMGKANAQSKLEDKMEAQDLKGVTSTESKQCDRELTKTRMVCHKPKIFSLFGL >Vigun11g198300.1.v1.2 pep primary_assembly:ASM411807v1:11:39679451:39681176:1 gene:Vigun11g198300.v1.2 transcript:Vigun11g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSHVVAVKPLSRSVHTNKPLTSLFKKSVRRKCYAFTGAHEIFRPEMWKAALTELTATASLMFTLTTSIVSCLDSHGTDPKLLVPFAVFMIVFLFLLVTVPLSGGHMSPVFTFIGALKGVVTLTRALIYVLAQIIGSIIGFFILKCVIDPKLAYTYSLGGCAIDGQKVSFGIKPQNALLVEFACTFVVLFVGVTLAFDKKRSRALGLPMVCLVVAGATALAVFVSITVTGRAGYAGVGLNPARCLGPALLHGGPLWHGHWVFWLGPFLACMVYYLLSMNLPKEDLVWLEEEHDVFDTSFSKNLPEQCNAGFQLQV >Vigun05g180300.5.v1.2 pep primary_assembly:ASM411807v1:5:34289357:34296720:1 gene:Vigun05g180300.v1.2 transcript:Vigun05g180300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLALTHSSLPRIPPSATSLGLGRNKDSIIINRTNNQTMTAFAGNALRNSAIQLITVSSPLSSDKEASLSLKPPMSQRGNSKFCGQAYIYHSSGFRIPENAEKPEWWWRALSCIPYLIALQMSATGFYLEPIIQKFPFFQSLIFYIPGGVNRLPIWFPMLYCYLAIVGVVKNRDLPLIFRFHVMTGMLLELALQIVYIASNFMPLIHFPGGTLGSYYWAGIALAFIFIMIKCIRCALLGTFVSIPLVSESAFIHSLFGLGGLQRPF >Vigun05g180300.4.v1.2 pep primary_assembly:ASM411807v1:5:34289356:34296720:1 gene:Vigun05g180300.v1.2 transcript:Vigun05g180300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLALTHSSLPRIPPSATSLGLGRNKDSIIINRTNNQTMTAFAGNALRNSAIQLITVSSPLSSDKEASLSLKPPMSQRGNSKFCGQAYIYHSSGFRIPENAEKPEWWWRALSCIPYLIALQMSATGFYLEPIIQKFPFFQSLIFYIPGGVNRLPIWFPMLYCYLAIVGVVKNRDLPLIFRFHVMTGMLLELALQIVYIASNFMPLIHFPGGTLGSYYWAGIALAFIFIMIKCIRCALLGTFVSIPLVSESAFIHSLFGLGGLQRPF >Vigun05g180300.3.v1.2 pep primary_assembly:ASM411807v1:5:34289409:34296720:1 gene:Vigun05g180300.v1.2 transcript:Vigun05g180300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLALTHSSLPRIPPSATSLGLGRNKDSIIINRTNNQTMTAFAGNALRNSAIQLITVSSPLSSDKEASLSLKPPMSQRGNSKFCGQAYIYHSSGFRIPENAEKPEWWWRALSCIPYLIALQMSATGFYLEPIIQKFPFFQSLIFYIPGGVNRLPIWFPMLYCYLAIVGVVKNRDLPLIFRFHVMTGMLLELALQIVYIASNFMPLIHFPGGTLGSYYWAGIALAFIFIMIKCIRCALLGTFVSIPLVSESAFIHSLFGLGGLQRPF >Vigun05g180300.6.v1.2 pep primary_assembly:ASM411807v1:5:34289409:34296720:1 gene:Vigun05g180300.v1.2 transcript:Vigun05g180300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLALTHSSLPRIPPSATSLGLGRNKDSIIINRTNNQTMTAFAGNALRNSAIQLITVSSPLSSDKEASLSLKPPMSQRGNSKFCGQAYIYHSSGFRIPENAEKPEWWWRALSCIPYLIALQMSATGFYLEPIIQKFPFFQSLIFYIPGGVNRLPIWFPMLYCYLAIVGVVKNRDLPLIFRFHVMTGMLLELALQIVYIASNFMPLIHFPGGTLGSYYWAGIALAFIFIMIKCIRCALLGTFVSIPLVSESAFIHSLFGLGGLQRPF >VigunL050433.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000321.1:1227:2090:-1 gene:VigunL050433.v1.2 transcript:VigunL050433.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDLSAKRVIVTGASRGIGRATAAAFAREGVRLAICSRNPDTLSAVAEDMRVLGAAEVIAVALDVRNTAAVRAFVNQVAETWGGVDVLVNNAGQGKGGSLETLEPEDILDHANNIQIAHFRFVQAVVPHMRAQQWGRIININALAGALPTPDGIPSVTNRAAGIALAKLLGQQLAKDNILVNSLNMGWIDTGQWDRHYKEMPPSISREEFLEMVVKVVPLGRFGRPEEVANVCLFLASDLATFLSAASIDVSGGLQGAIAYYPTLKREMQEMGLERQATLEAASAA >Vigun03g284100.1.v1.2 pep primary_assembly:ASM411807v1:3:46498026:46499351:-1 gene:Vigun03g284100.v1.2 transcript:Vigun03g284100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVRIVGRGNEIVVLAHGFGTDQSVWKHLVPHLVDDYRVILYDNMGAGTTNPEYFDFDRYYTIHGFAYDLLAIFEELEVKSCIFVGHSLSAMVGLLASISHPHLFTKLILVSASPRFLNDSEYFGGFQPEDLKQLYDGIRSNYKAWCSGFAPLVIGGDMESVAVQEFSRTLFNMRPDIALSLAQTIFEFDLRSILGLVTVPCHIIQSTKDLAAPVVVAEYLRLNLGGKTIVEVMPTEGHLPQLSAPDVVIPVLLNHIRHDLAEAK >Vigun03g188400.1.v1.2 pep primary_assembly:ASM411807v1:3:25345162:25349050:1 gene:Vigun03g188400.v1.2 transcript:Vigun03g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTLVTTLFAILLLVHSSLALKEGQTCVADRNCDSGLHCETCVANGNVRPRCTRIQPINPTSKVKGLPFNRYSWLTTHNSFAILGQKPMTGSVILAPTNQQDSITSQLNNGVRGLMLDMYDFQNDVWLCHSFGGQCYNYTAFQPAINVLKEIQVFLEANPSEIVTIFIEDYVTSPKGLTKVFDAAGLRKYWFPVSRMPKNGGNWPTIDDMIKKNQRLVVFTSKASKESSEGIAYEWRYLVENQYGNGGMKVGSCPNRAESPSMNTTSRSLVLVNFFRDLPDVTKSCKDNSSPLLDMVNTCYEAAGKRWPNFIAVDFYKRSDGAGASEALDVANGHLVCGCENIGTCKANMTFGACEIPEAEITPPSAAAGDTSFGVGNCKQVDLLWCFVTTVFGAMLVAS >Vigun03g188400.2.v1.2 pep primary_assembly:ASM411807v1:3:25345162:25349050:1 gene:Vigun03g188400.v1.2 transcript:Vigun03g188400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQELRLGSALRNVRGQWERATKMHSHSTHQPHFKVFEQVKGLPFNRYSWLTTHNSFAILGQKPMTGSVILAPTNQQDSITSQLNNGVRGLMLDMYDFQNDVWLCHSFGGQCYNYTAFQPAINVLKEIQVFLEANPSEIVTIFIEDYVTSPKGLTKVFDAAGLRKYWFPVSRMPKNGGNWPTIDDMIKKNQRLVVFTSKASKESSEGIAYEWRYLVENQYGNGGMKVGSCPNRAESPSMNTTSRSLVLVNFFRDLPDVTKSCKDNSSPLLDMVNTCYEAAGKRWPNFIAVDFYKRSDGAGASEALDVANGHLVCGCENIGTCKANMTFGACEIPEAEITPPSAAAGDTSFGVGNCKQVDLLWCFVTTVFGAMLVAS >Vigun06g217600.1.v1.2 pep primary_assembly:ASM411807v1:6:32826972:32829203:-1 gene:Vigun06g217600.v1.2 transcript:Vigun06g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSGGSDRSAPRPAPRTVNPAPPPAPAQNGNSGSLLGTVAEGMAFGGGVAVVNRALDSALGPRIIQHETVATGSSTVPAATANSFGSDACNLHLKAFHDCLNSYGSDISKCQFYMDSLAQCRRNSGATLSA >Vigun06g217600.2.v1.2 pep primary_assembly:ASM411807v1:6:32827866:32829203:-1 gene:Vigun06g217600.v1.2 transcript:Vigun06g217600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSGGSDRSAPRPAPRTVNPAPPPAPAQNGNSGSLLGTVAEGMAFGGGVAVVNRALDSALGPRIIQHETVATGSSTVPAATANSFGSDACNLHLKAFHDVRFNMFWLCSFLLINPHFIVVMKLCFWW >Vigun05g183300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:35327993:35333145:1 gene:Vigun05g183300.v1.2 transcript:Vigun05g183300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPLAVTPRKKLARSFAKVLHVKALIGIASVDGLKNVISDANLKDEGNMGKSNKSSLNWSESFVEDDDEEVQERKANEALLAKLFASISVVKGAYAELQYSQSPFDPDGIEAADQLLVSELKNLSELKQCFLKKQFDPSPERAILEAESKELQGVIKTYEITGKKLESQVRLKDSEVIFLREKLEEANKRNKAIEKRLNQSGQLAVLDNVHISGLSPNHFITVLRHAVRSIRNFVRVIVDEMRSADWDVDAAVNAIEQDVVYIAEDHKCFAIEAFVCREMFDAFHFPNFSLSKESLPDRSRRQQWFFGRFNEMKSMKAKEFLAEKPRSSFAKFCRVKYLKLVHPKMESSFFGNMNQRNLVNAGGFPDTAFFTSFAEMAKRVWLLHCLAFSLEPQASIFQVGKGCRFSDVYMESVNDEIFLYSEVESDPQVAFTVVPGFRIGKTVIQCQVYLSQHQSKAKKISSTKQR >Vigun04g186800.1.v1.2 pep primary_assembly:ASM411807v1:4:41165491:41166812:1 gene:Vigun04g186800.v1.2 transcript:Vigun04g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMISNFPDDILLYILSFLPTKQVVVTSILCKRWNLLWRSVPSFDFHYDDLFDYDQEFDDDTHFLKLVDSFLLCRDTDQPIHRFRLNFSPNFYHPTNIVPWVKAAINGRVQHMDFHLYSLNVLPSVVFSCKTLVVLKLAFLIVENIHLFQLPSLKILHLNYVNYLHSEVIAIDLSKLFSGSPNLEDLVVKYTYICHESKFNRLPKLVRANIDALVPTEIVKNVEVLFIDWIFQENRNFCFHNLVQLELNNLQYTAEWPLEVLEILKHCPKLQTLAVYIYNKDHIYSSDESHEGEVWPYSQPVPQCISLYLKTCRLVNYKGTIDEFQFARSIMQNAKYLRTMKICADIDANDEEKLDMIRDLSSCEKTSNSFTLSFE >Vigun02g054400.1.v1.2 pep primary_assembly:ASM411807v1:2:19738508:19741675:1 gene:Vigun02g054400.v1.2 transcript:Vigun02g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDLRVSATLPLYRSHSHSPPLRTAFVPSPKVDFHRFLNGACNISEFTPKCPCLTIVRCNIRGSCKPVAAILGGPKFTSNSVSQEAENFLLDAVKMNFFERLNLAWKIIFPSATSRKNSNARIAKQRLKMILFSDRCAVSDEAKRKIVSNVVRALSDFVEIESQDKVQLSVSADTDLGTIYSVTVPVRRVKPEYQDMDEFGTITNVEYKDTGESSGSVDVRFDFYVPDETTGF >Vigun08g079700.1.v1.2 pep primary_assembly:ASM411807v1:8:16471045:16473428:-1 gene:Vigun08g079700.v1.2 transcript:Vigun08g079700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVVYILIATAVLLYKCLFPLNQDEPKGRLNRRFGYKLLERAPNFDPLVTDIEREVEKKTTHSEPVPRTSTTLDTTSSPNDVSGTYQYLTSGGKLNTTLRLIILFPLLDREPKDGVVGFEELEAWITQRASERLDYLTQAELDSKDKNGDLAISFKEYLPQFSEKHIEENKMLHGEAGWWKERFHVADVDHNGLLNFTELRDFLHPEDSENKETLKWMLRDRLKRKDDEKDGKMNFNEFVDHVYGTYESYMTFETNGGSIPSPEEKFLQLDVNKDKFLCPEELIPILSYIYPGELAYAKYFTCFLMNEADDNGDKKLTLQEMLDHESVFYNTVHADGHQESDDEHDEL >Vigun03g415800.1.v1.2 pep primary_assembly:ASM411807v1:3:62251042:62268184:-1 gene:Vigun03g415800.v1.2 transcript:Vigun03g415800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKSQANTNSMQRVKVYRLNDEGKWDDQGTGHVSVDYLERSEELGLFVYDEDDNETILLHRISSDDIYRKQEDTIISWRDPEYATELALSFQETSGCSYIWDHICNVQRNMHFNTLNNEPFHSVNSEPRELPAVELSTLPLILKTVVDTGFADQLRLTELILSDQEFFRKLMEVFRMCEDLENIDGLHMIFKIVKGIVLLNSPAIFERIFSDDFIVDIIGALEYDPELPHVQHHRKFLKEHVVFKEAIPIKDPVVLSKIHQTYRVGFLKDVVLARLLDEGIGANLNSIIHSNNAYVVSSLKDDSTFIQELFARLKSPTTTQESKKNLVYFLHEFCSLSKSLQMVQQLRLFRDLMNEGIFDVVTDVLQSQDKKLVLTGTDILILFLNQDPNLLRSYFVRQEGFALLGLLVKGMLTDFEENMHCQFLEILRNLLDSCTLSGSQRDTIIDIFFERHLGQLIEVITSSCPSENTAGESDKSIGPGRSAHCQSRTKPEILSNICELLCFCVLHHPYRIKCNFLLNNVFEKILLLTRRAERYLVVGAVRFVRTILSRHDEHLIKYVIRNNVLKPIIDAFVANGNRYNLLHSAVLELFEFIRKENLKALLKYIVDSFWDQLVKFEYLASIHSLKVKYEQCLDNGGTKDAATVVDLRRRNDERALEKEEERYFNEDSDEEDIASASVPRNQKGHQQPNLSNGVASSYSQLSPRSLVDYEDDEDDEDYKPPPRKQPETSEEDDGVLESLRLKRKLPSKDKEPELVKRQKLSKNSKSKDSVFLCSTLSQATLPSKKTSISFQTSGRIVEGRTGSCENNEGDVQSISRSSSDNSNTAGEDNHIEKEGEGSRNFSDCLHAKSDNIQLGGEDHPLVAPKSSPEMAVNGS >Vigun03g415800.2.v1.2 pep primary_assembly:ASM411807v1:3:62251638:62268189:-1 gene:Vigun03g415800.v1.2 transcript:Vigun03g415800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKSQANTNSMQRVKVYRLNDEGKWDDQGTGHVSVDYLERSEELGLFVYDEDDNETILLHRISSDDIYRKQEDTIISWRDPEYATELALSFQETSGCSYIWDHICNVQRNMHFNTLNNEPFHSVNSEPRELPAVELSTLPLILKTVVDTGFADQLRLTELILSDQEFFRKLMEVFRMCEDLENIDGLHMIFKIVKGIVLLNSPAIFERIFSDDFIVDIIGALEYDPELPHVQHHRKFLKEHVVFKEAIPIKDPVVLSKIHQTYRVGFLKDVVLARLLDEGIGANLNSIIHSNNAYVVSSLKDDSTFIQELFARLKSPTTTQESKKNLVYFLHEFCSLSKSLQMVQQLRLFRDLMNEGIFDVVTDVLQSQDKKLVLTGTDILILFLNQDPNLLRSYFVRQEGFALLGLLVKGMLTDFEENMHCQFLEILRNLLDSCTLSGSQRDTIIDIFFERHLGQLIEVITSSCPSENTAGESDKSIGPGRSAHCQSRTKPEILSNICELLCFCVLHHPYRIKCNFLLNNVFEKILLLTRRAERYLVVGAVRFVRTILSRHDEHLIKYVIRNNVLKPIIDAFVANGNRYNLLHSAVLELFEFIRKENLKALLKYIVDSFWDQLVKFEYLASIHSLKVKYEQCLDNGGTKDAATVVDLRRRNDERALEKEEERYFNEDSDEEDIASASVPRNQKGHQQPNLSNGVASSYSQLSPRSLVDYEDDEDDEDYKPPPRKQPETSEEDDGVLESLRLKRKLPSKDKEPELVKRQKLSKNSKSKDSVFLCSTLSQATLPSKKTSISFQTSGRIVEGRTGSCENNEGDVQSISRSSSDNSNTAGEDNHIEKEGEGSRNFSDCLHAKSDNIQLGGEDHPLVAPKSSPEMAVNGS >Vigun07g034100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259819:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun07g034100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259839:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun07g034100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259818:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun07g034100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259839:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun07g034100.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259829:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun07g034100.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:3259819:3261914:-1 gene:Vigun07g034100.v1.2 transcript:Vigun07g034100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPETPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGVESGSGRPGHVTASTLSIRHVYEIAKAKQSDPYLQNMPLESISKSIIGTANSMGIKIVKDLD >Vigun09g161600.1.v1.2 pep primary_assembly:ASM411807v1:9:32899638:32901542:-1 gene:Vigun09g161600.v1.2 transcript:Vigun09g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLNGEERAKTMAQIANGCEEWGFFQLVNHGIPEELLERVKKVASEFYKLEREENFKKSTSVKLLSDLAEKKDSEKQEYVDWEDVITLLDDNEWPEKTPGFRETMAEYRCELKKLAERVMEVMDENLGLPKGYMKKALNGGEGEGAFFGTKVSHYPPCPNPELVKGLRAHTDAGGVILLFQDDKVGGLQMLKDGQWIDVQPLENAIVINTGDQIEVLSNGRYKSCWHRVLATPDGNRRSIASFYNPSFKATICPAPQLVEKQDQQLDHTYPKFVFGDYMSVYAEQKFLPKEPRFRAVRAM >Vigun06g027100.1.v1.2 pep primary_assembly:ASM411807v1:6:12165693:12168241:1 gene:Vigun06g027100.v1.2 transcript:Vigun06g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCAKGKPIAHVSSSNFSGSKRPASKPRQYSNSSAQNQAPKSSESIVTASISSSLKSFSLNDLKEATKNFRRENLIGEGGFGRVFKGWIDENTYGPTKPGTGIVVAIKNLKPESFQGHKEWLAEVSYLGQHQHDNLVKLIGYCLEGKNRLLVYEFMQKGSLENHLFRKGVQPMAWVTRVNIAIGVARGLAFLHSLDQNVIFRDLKASNILLDSDFNAKLSDFGLARDGPTGDNTHVSTRVIGTQGYAAPEYVATGHLAPRSDVYSFGVVLLELLTGRRAVEDDRPGFSDETLVDWAKPFLSDNRRVLRIMDTRLGGQYSKKGAMAAAALALQCLNTDPKFRPPMVEVLAALEVLNSSNSFTRTPPRPESHATKNPAGHSQK >VigunL044800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:464705:465013:1 gene:VigunL044800.v1.2 transcript:VigunL044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun08g214000.1.v1.2 pep primary_assembly:ASM411807v1:8:37470583:37474555:1 gene:Vigun08g214000.v1.2 transcript:Vigun08g214000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEAHSMSSDIGIGVNEKMVEALESSDNIPLLLTNSGSLKRTGTVWTAVAHIVTGVIGSGVLSLPWSTAQLGWLAGPLSVILIASITLFSSFLLCNTYRHPHPEHGPNRSASYLDVVHLHLGISNGRLSGLLVNISLYGFAIAFVITTAISLRTIQHSFCYHNEGSEASCEFADAYYMLLFGVIQIILSQIPNFHNIEWLSVVAAIMSFTYSFIGMGLSIAQIIDKGHAEGSIGGISTSSGTEKLWLVSQALGDISFSFPFSTIIMEIQDTLKSPPPENQTMKKASVIAVTVTTFMYLSCGGAGYAAFGDNTPGNLLTGFESSKSSYWLVNFANVCIVVHLVGSYQVYSQPLFATVESWFRFNFPDSEFMNHTYILKLPLLPAFELNFLSLTFRTAYVASTVVIAMVFPYFNQILGVLGSMIFWPLTIYFPVEIYLNQSSTVPWTTKWVLLRTFSIVGFVFGLFTLTGCIKGIVAQKIG >Vigun01g132200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31018631:31019451:1 gene:Vigun01g132200.v1.2 transcript:Vigun01g132200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKEAVVVAGALAFAWLAIELALKPFLSKARDSVDKSDPARDPDDVPAADVPKPDDDDLPDVSASQTASDVAPDA >Vigun03g323400.1.v1.2 pep primary_assembly:ASM411807v1:3:51915586:51918527:1 gene:Vigun03g323400.v1.2 transcript:Vigun03g323400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILLSLSPLVSLRRLHTPLSPSTAKLNHILTSSSSFSSFPCQPSSPSSFKLVPSLPLLHAGPTSVPEGSAAEFPGVNSLFNILEMGSLFGFWILFNIYFNIYNKQVLKVYHLPLTVSTIQFAVGALLVAFMWGFNLYKRPKLSGAQLAAIWPLALVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAMFLGENPTPWVVGSLVPIVGGVALASATEASFNWVGFWSAMASNLTNQSRNVLSKKLMVNTEESIDNITLFSIITVMSFFISAPVTLLIEGVKFTPSYLQSSGLNVNEVYIRCLLAALCFHAYQQVAYMILEKVSPVTHSVGNCVKRVVVIVSSVIFFRTPVSPINALGTAIALAGVFLYSRVK >Vigun07g084800.2.v1.2 pep primary_assembly:ASM411807v1:7:12667199:12671204:1 gene:Vigun07g084800.v1.2 transcript:Vigun07g084800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIETPNKVSGYQHTPHPPLNERILSSLTRRTVAAHPWHDLEIGPEAPKIFNCVVEIGKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTICEDGDPMDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKDLPPHRLAEIRRFFEDCILSKCFKMN >Vigun07g084800.1.v1.2 pep primary_assembly:ASM411807v1:7:12667199:12671204:1 gene:Vigun07g084800.v1.2 transcript:Vigun07g084800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIETPNKVSGYQHTPHPPLNERILSSLTRRTVAAHPWHDLEIGPEAPKIFNCVVEIGKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTICEDGDPMDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMTLYADYVVESLRR >Vigun07g084800.3.v1.2 pep primary_assembly:ASM411807v1:7:12667199:12671204:1 gene:Vigun07g084800.v1.2 transcript:Vigun07g084800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIETPNKVSGYQHTPHPPLNERILSSLTRRTVAAHPWHDLEIGPEAPKIFNCVVEIGKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTICEDGDPMDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKDLPPHRLAEIRRFFEDCILSKCFKMFVQYFLYVSIYIYIYIYIYIYTHTHTHNSDMQKYHLASIFVKLFFYVSLHKGIRQEE >Vigun01g105100.1.v1.2 pep primary_assembly:ASM411807v1:1:27593164:27597821:1 gene:Vigun01g105100.v1.2 transcript:Vigun01g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYKFIAADSLQKAIVLAVLFVWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDASGTLMVQIVVLQCIIWYTLMLFLFEYRGAKLLIVEQFPDTAGSIISFKVDSDILSLDGKEPLQTEAEVGDDGKLHVTVRKSASSRSEIFSRRSHGPNSVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNNGRNVSPRQSSFGGVPFDEESGVRVNGGAGAYPAPHNAGIFSPVGKKKGGGGGGGEGGGKDLHMFVWSSSASPVSEGGIHVFRGGDYGNDQLPVGGVAHQKDYDDFGHDEFSFGNRTVANGVDKEGPVLSKLGSSSTAELHPKAQGESKATSMPPTSVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFKWNVVMPAIVARSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAVRFLTGPAVMAVASIVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Vigun10g195900.2.v1.2 pep primary_assembly:ASM411807v1:10:40938811:40942375:1 gene:Vigun10g195900.v1.2 transcript:Vigun10g195900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYYNLQSPKTFPTLLRNLPSIPSSHVSLLGSLDYPFHCSTRRGYHVEANAKKKNPWLDPFDDGDDPEMETGSLFANGLQEEDPRPPDDPDNPYGFLKFPSGYAVEVASLALKVRGDVRRCCCVISGGVYENLLFFPAIQLIKNRYPGVQIDVVATERGKQTYEMNKNVRWTNVYDPDDDDPEPAEYADMIGILKNRYYDMVLNTRLAGLGHAAFLFMTTARDRVSYVYPNVNAAGAGLLLSETFVPDSLNLSDGGYHMYHQMVEWLGRPFRDLPRQPVPPLRVSISRKLKEVVEAKYEKAGVKKGKYVVIHGIKSDSQASMQSRGDPDSLLPIEVWAEIADVIRDVTPLFVIPHEKERENVQENFDEDASIVFITTPGQLAALINDSAGVIATNTAAIQLASAREKPW >Vigun10g195900.1.v1.2 pep primary_assembly:ASM411807v1:10:40938811:40942375:1 gene:Vigun10g195900.v1.2 transcript:Vigun10g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYYNLQSPKTFPTLLRNLPSIPSSHVSLLGSLDYPFHCSTRRGYHVEANAKKKNPWLDPFDDGDDPEMETGSLFANGLQEEDPRPPDDPDNPYGFLKFPSGYAVEVASLALKVRGDVRRCCCVISGGVYENLLFFPAIQLIKNRYPGVQIDVVATERGKQTYEMNKNVRWTNVYDPDDDDPEPAEYADMIGILKNRYYDMVLNTRLAGLGHAAFLFMTTARDRVSYVYPNVNAAGAGLLLSETFVPDSLNLSDGGYHMYHQMVEWLGRPFRDLPRQPVPPLRVSISRKLKEVVEAKYEKAGVKKGKYVVIHGIKSDSQASMQSRGDPDSLLPIEVWAEIADVIRDVTPLFVIPHEKERENVQENFDEDASIVFITTPGQLAALINDSAGVIATNTAAIQLASAREKPCIALFCSEEMGNKFVPQAEENKCVIISSKTGKLIDIDVEAIKTAVQTFNLAPVLV >Vigun06g217100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32792997:32795400:1 gene:Vigun06g217100.v1.2 transcript:Vigun06g217100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSHVGLHATTNHLFCYSQGILFLFLMMSFANPLSFRHHGFQYNDTKIEGDAILSQSEIQLTKTTRYQSNAYSVGRVTSFQRLHLWDNITGKLTDFTTQFSFLIFSNETSFGDGLAFFLADPELPLSNQIQQGGGLGLLDGNQFLNSTKHPFVAVEFDTHQNSWDPPGTHVGINFNSMKSNKTVPWYIDITQMKAYYCVIEYNATTLNLSVSFTGNKLGPKPMKRYISCNVDLRYYLPEWVIFGFSAATGVMFELNTLQSWSFHSTLQSDENVSNKIPPIAVSPSPSPFSTDTISPKQEGNKGLMMGLEVGVGIAATFLILGLVCVLMWKKRGKGKKEDSTILDLSMDDEFQKGIGPRRFCYKELASATKNFAESQKIGQGGFGGVYKGYLKELNTNVAIKRISRESRQGAKEYASEVKIISQLRHRNLVQLIGWCHMKKDLLLIYEFMQNGSLDSHLYRGKSILTCEMRYNIAMDLALAVLYLHEEWEQCVLHRDIKSSNIMLDSNFNAKLGDFGLARFVDHEKGSQTTILAGTRGYIAPEYFTTGKARKESDIYSVGVVFLELATGRKPVDLNAMEGQITIFEWVWELHKLGKLLEVVDKKLGGAFDEEQMKHLVIVGLWCANPDHTSRPSVRQLIQVLKFEAPLPVLPQKLAEPFYHSPTMSTIFASISSPLSGHMLEPNTM >Vigun03g172500.3.v1.2 pep primary_assembly:ASM411807v1:3:21106974:21115949:1 gene:Vigun03g172500.v1.2 transcript:Vigun03g172500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDQRRIETAGHDSHAVHLCHKCGWPFPNPHPSAKHRRAHKKICGTIEGYKLYVSEGQHNLNGSDDEHVSDDDRKTPGNNEKGSAGNGEKFIRSEDEVFSDAVADFSDSGLNPDVKERLQDSLDSGADMEMVDINVPKFSGTSMDKDLTDADMSSLIDKSTNDSQTQNPNILQNESFGVGDTVGLQGQLSGPTVDPLSSSTAALRTAESTTVDSEVFLGLSSDSPPGKAEAMPDILPVKNIYAVENATDCSLMSATKETNLEGKDEINSAGVVVEIEESSDYIVSETCGVSNIVVSDVVRVEHQVGDGAVTNYNRDTVEIVEPSDSVVGKMSEEASKIVVSDEVSLDHQVGDEAVNLEGENGAESVSLFSPDSLPLKLNSSVITDDAQVESAYVVQFATSNDDKILHAKGEGNANVDLLLTCNDKPGDGAHSQSEYEDFKDHKGVVYQNPFPHSSESLKYEGDDINDRVTQENKFHYNTSQLSEKSEVISLNIDVIGSMGMEMLNSEPTSKEMHAEEYTDVSLVKLPVESHQTPDEIVPSMNTMKTEENESHMIQFSEVHGTDDGGKNSAHKSLPEGSSIGLSNENEREESFGSAISETVSVINIIGTPNHHVASEGKVIRATGEDAIEIMLKDLQPDDILQSEDKLLVDLLEHDGADKSDAADELGKNVQGHVLHAQYKENSIVADTSLPKPATNHFENPVISESSDIVLVRPVNKSSGTKCRDISPLLGAQRNTKEDEINVNIKLNEEYNKSVDTSTELHQAQDVGLLVKAADDLARKYTSPLTAEPDSGFEDNPDGEPCREVPRISVVPVQDQTNNLFKHGSSRVDASVDSGSRCDSLEGNWGSVSVLSMQSDAPVVIDAETLSSTGLVASTEAGKPYLNNSKAAAERQQSDKSEMFEPPSFMTLVEPMQVSPKATASEVQRGQNPQQSDFTSQAGWFPTLNQVVGESQGRKRNEEIIAKVTNWSNSKEHTPLKSLLGEAAHSNNKPKSPKSVENSGGEKSSKVSEKNGSGLTTVNSILGPESPAAQVVKGEVAKEWNSPARYPADIKREKRKVKSRPYWIQLVCCTSVGPQRR >Vigun03g172500.1.v1.2 pep primary_assembly:ASM411807v1:3:21106974:21115949:1 gene:Vigun03g172500.v1.2 transcript:Vigun03g172500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDQRRIETAGHDSHAVHLCHKCGWPFPNPHPSAKHRRAHKKICGTIEGYKLYVSEGQHNLNGSDDEHVSDDDRKTPGLVLSVTNSLDTGNNEKGSAGNGEKFIRSEDEVFSDAVADFSDSGLNPDVKERLQDSLDSGADMEMVDINVPKFSGTSMDKDLTDADMSSLIDKSTNDSQTQNPNILQNESFGVGDTVGLQGQLSGPTVDPLSSSTAALRTAESTTVDSEVFLGLSSDSPPGKAEAMPDILPVKNIYAVENATDCSLMSATKETNLEGKDEINSAGVVVEIEESSDYIVSETCGVSNIVVSDVVRVEHQVGDGAVTNYNRDTVEIVEPSDSVVGKMSEEASKIVVSDEVSLDHQVGDEAVNLEGENGAESVSLFSPDSLPLKLNSSVITDDAQVESAYVVQFATSNDDKILHAKGEGNANVDLLLTCNDKPGDGAHSQSEYEDFKDHKGVVYQNPFPHSSESLKYEGDDINDRVTQENKFHYNTSQLSEKSEVISLNIDVIGSMGMEMLNSEPTSKEMHAEEYTDVSLVKLPVESHQTPDEIVPSMNTMKTEENESHMIQFSEVHGTDDGGKNSAHKSLPEGSSIGLSNENEREESFGSAISETVSVINIIGTPNHHVASEGKVIRATGEDAIEIMLKDLQPDDILQSEDKLLVDLLEHDGADKSDAADELGKNVQGHVLHAQYKENSIVADTSLPKPATNHFENPVISESSDIVLVRPVNKSSGTKCRDISPLLGAQRNTKEDEINVNIKLNEEYNKSVDTSTELHQAQDVGLLVKAADDLARKYTSPLTAEPDSGFEDNPDGEPCREVPRISVVPVQDQTNNLFKHGSSRVDASVDSGSRCDSLEGNWGSVSVLSMQSDAPVVIDAETLSSTGLVASTEAGKPYLNNSKAAAERQQSDKSEMFEPPSFMTLVEPMQVSPKATASEVQRGQNPQQSDFTSQAGWFPTLNQVVGESQGRKRNEEIIAKVTNWSNSKEHTPLKSLLGEAAHSNNKPKSPKSVENSGGEKSSKVSEKNGSGLTTVNSILGPESPAAQVVKGEVAKEWNSPARYPADIKREKRKVKSRPYWIQLVCCTSVGPQRR >Vigun03g172500.2.v1.2 pep primary_assembly:ASM411807v1:3:21106974:21115949:1 gene:Vigun03g172500.v1.2 transcript:Vigun03g172500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDQRRIETAGHDSHAVHLCHKCGWPFPNPHPSAKHRRAHKKICGTIEGYKLYVSEGQHNLNGSDDEHVSDDDRKTPGLVLSVTNSLDTGNNEKGSAGNGEKFIRSEDEVFSDAVADFSDSGLNPDVKERLQDSLDSGADMEMVDINVPKFSGTSMDKDLTDMSSLIDKSTNDSQTQNPNILQNESFGVGDTVGLQGQLSGPTVDPLSSSTAALRTAESTTVDSEVFLGLSSDSPPGKAEAMPDILPVKNIYAVENATDCSLMSATKETNLEGKDEINSAGVVVEIEESSDYIVSETCGVSNIVVSDVVRVEHQVGDGAVTNYNRDTVEIVEPSDSVVGKMSEEASKIVVSDEVSLDHQVGDEAVNLEGENGAESVSLFSPDSLPLKLNSSVITDDAQVESAYVVQFATSNDDKILHAKGEGNANVDLLLTCNDKPGDGAHSQSEYEDFKDHKGVVYQNPFPHSSESLKYEGDDINDRVTQENKFHYNTSQLSEKSEVISLNIDVIGSMGMEMLNSEPTSKEMHAEEYTDVSLVKLPVESHQTPDEIVPSMNTMKTEENESHMIQFSEVHGTDDGGKNSAHKSLPEGSSIGLSNENEREESFGSAISETVSVINIIGTPNHHVASEGKVIRATGEDAIEIMLKDLQPDDILQSEDKLLVDLLEHDGADKSDAADELGKNVQGHVLHAQYKENSIVADTSLPKPATNHFENPVISESSDIVLVRPVNKSSGTKCRDISPLLGAQRNTKEDEINVNIKLNEEYNKSVDTSTELHQAQDVGLLVKAADDLARKYTSPLTAEPDSGFEDNPDGEPCREVPRISVVPVQDQTNNLFKHGSSRVDASVDSGSRCDSLEGNWGSVSVLSMQSDAPVVIDAETLSSTGLVASTEAGKPYLNNSKAAAERQQSDKSEMFEPPSFMTLVEPMQVSPKATASEVQRGQNPQQSDFTSQAGWFPTLNQVVGESQGRKRNEEIIAKVTNWSNSKEHTPLKSLLGEAAHSNNKPKSPKSVENSGGEKSSKVSEKNGSGLTTVNSILGPESPAAQVVKGEVAKEWNSPARYPADIKREKRKVKSRPYWIQLVCCTSVGPQRR >Vigun08g115300.5.v1.2 pep primary_assembly:ASM411807v1:8:28208673:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.16.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVVGHGFDHGGVVLLLVGKK >Vigun08g115300.4.v1.2 pep primary_assembly:ASM411807v1:8:28205905:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLAPPLLLLLFQAPLTTVVVPYHYRTTLHQASSSLPCHSSTTNASKRAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.13.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVIYSLQYLKSMKHMNE >Vigun08g115300.1.v1.2 pep primary_assembly:ASM411807v1:8:28205906:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLAPPLLLLLFQAPLTTVVVPYHYRTTLHQASSSLPCHSSTTNASKRAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMFNPLSLIFVAFLEAFILGQPLTFGTLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.2.v1.2 pep primary_assembly:ASM411807v1:8:28205906:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLAPPLLLLLFQAPLTTVVVPYHYRTTLHQASSSLPCHSSTTNASKRAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSRSIGHCCYILHIIMGCCNQRTYLSSNVQSPLSYFCGLFRSFHTWTTTNLWNVVGHGFDHGGVVLLLVGKK >Vigun08g115300.6.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMFNPLSLIFVAFLEAFILGQPLTFGTLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.11.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSRSIGHCCYILHIIMGCCNQRTYLSSNVQSPLSYFCGLFRSFHTWTTTNLWNVVGHGFDHGGVVLLLVGKK >Vigun08g115300.10.v1.2 pep primary_assembly:ASM411807v1:8:28205906:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLAPPLLLLLFQAPLTTVVVPYHYRTTLHQASSSLPCHSSTTNASKRAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVVGHGFDHGGVVLLLVGKK >Vigun08g115300.7.v1.2 pep primary_assembly:ASM411807v1:8:28208624:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMFNPLSLIFVAFLEAFILGQPLTFGTLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.19.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSCWAWF >Vigun08g115300.8.v1.2 pep primary_assembly:ASM411807v1:8:28208671:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.9.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213702:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSRSIGHCCYILHIIMGCCNQRTYLSSNVQSPLSYFCGLFRSFHTWTTTNLWNVVGHGFDHGGVVLLLVGKK >Vigun08g115300.18.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSCWAWF >Vigun08g115300.15.v1.2 pep primary_assembly:ASM411807v1:8:28208624:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVVGHGFDHGGVVLLLVGKK >Vigun08g115300.17.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVIYSLQYLKSMKHMNE >Vigun08g115300.3.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSGALATAATFCILSWAVAIKGPTYPPMFNPLSLIFVAFLEAFILGQPLTFGTLLGMVLIMVGLYSFLWGKSNEKKGMTQQPIVAAAEVSNVTDLIAGPESTATVVPSSSPLNTITLVSETPYKN >Vigun08g115300.14.v1.2 pep primary_assembly:ASM411807v1:8:28205906:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLAPPLLLLLFQAPLTTVVVPYHYRTTLHQASSSLPCHSSTTNASKRAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSCWAWF >Vigun08g115300.12.v1.2 pep primary_assembly:ASM411807v1:8:28208626:28213734:1 gene:Vigun08g115300.v1.2 transcript:Vigun08g115300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVAYLSPCSFPLQVSKHFFFCSLERAMKKRGLKHCFTSSQVVLSMIMVQGFVTGMQLLSRVILVQGSFIFSLIAYRHIVAAICVAPFALYFERDRTLTFTPKVWFWLFVNALMGMTLAQGLFYYGLRDTSATYSVNFLNMVPICTFLTSVICRFEKLGLHTWAGRTKCAGAILCVGGALLTTLYKGKQFYLHHHTHHAQTAVAAHPTHMLQGTFLLICSCFSYTAWFLVQVQLLKVFPLRYWGTMLSCVIAAIQSGIAGVCIDSSKAAWRLEWNLQLLTIIYSVVGHGFDHGGVVLLLVGKK >Vigun05g236100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42922986:42923705:-1 gene:Vigun05g236100.v1.2 transcript:Vigun05g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDLSHDIPAWRSSRKPVTSQRSTNEMLKNSTEDDDKVKEHSEKNIGRVDAEETGREKLKRLREEVMMEKVDIPEDWGQEQKLKDWIDYTVFNAFLAPHSLIVSARDSLVANACKAKSPRLRI >Vigun01g075100.1.v1.2 pep primary_assembly:ASM411807v1:1:21097405:21108287:1 gene:Vigun01g075100.v1.2 transcript:Vigun01g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGARLFPTVLFSRRSVEKLNSNQISTVTPRLAVSADQILTFTAESHGVVEKEKDKKVLKEKEKRWISGWNEYFEQSKELIGADGGPPRWFSPLECASQLDNSPLLLFLPGIDGVGLGLISHHQKLGRIFDIWCFHIPVADRTSFTDIVKIVERTVKSEYQRSPNRPIYLVGESLGACVALAVAALNPNIDLVLILANPATSFSRSNLQLLTPLLEALPDPLSPGLPNILRSMAGESLRRVLDNVVQGLPLQNTTGELVKDLTTFSLSLPVLADILPKETLEWKLQMLKSASAYAQSRLYAIKAQTLILCSGNDQLLPSQQEGERLLNLLPKSKCALRKFDDSGHFLFLQEGSVDLVTIIKGTSYYRRGKYHDYASDFIPPTPDEARKIIESNSLFNLVASAVMLSTLEDGTIVKGLAGIPSEGPVLFVGYHMLLGLEKIPLVSRIFLERNILIRGIAHPMMFMRSKTGKMPEISSYDNFRIMGAVPVAPANFFKLFSSKAHMLLYPGGMREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDLGQVVFDYDDLVKIPYFRTEIESLTSEVTQLRGDAAGEVANQPVHMPLILPKVPGRFYYYFGKPLETKGRKQELRDKEKSHEFYLQVKSEVERCIDYLKVKRENDPYRSIGARLLYQVTHGFESEVPTFEI >Vigun10g101100.1.v1.2 pep primary_assembly:ASM411807v1:10:29435613:29438702:1 gene:Vigun10g101100.v1.2 transcript:Vigun10g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRMRTLLSRVKRKTKKTTRNRKTTTGNCISISKELARLVEQKHEEEEEEEVVEEGFSIKSSAPSHTHGVQPLGNLYLNPSSVNSRDTGLGNLHILSDELVLDMLGFLDASSLGVLSAVSKSFYVFTNHEPLWKNLVLENFLSGFQYKGSWKSTYVSACYPSFANSAIALRGFKVRDFYSDYLFQSWLCANLEMKPEWLERDNVVRKRGISVEEFVLNFEEPNRPVLLEGCIDNWSALGNWDRDYLVRLSGDVKFSVGPVEMKLGDYFGYSDQVREERPLYLFDPKFAEKVPKLGDEYEVPVYFREDLFGVLGNERPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWILFPPDVIPPGVHPSPDGADVASPVSIIEWFMNFYGATKNWKKKPIECVCKAGEVIFVPSGWWHLVINLEESIAITQNYVSRRNLVKVLDFLKRPNASTLVSGTRDRVNLYDKFKNAIEASFPGEIDELTRKEEERKIQQRKLCFWDSVTDANAGAFKFSF >Vigun06g018500.2.v1.2 pep primary_assembly:ASM411807v1:6:8374793:8384793:1 gene:Vigun06g018500.v1.2 transcript:Vigun06g018500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVNTRTNVTHVTRIHSFPILSLLSLSTLRILKLRHATMTSLFRRSPAAGSALSCGQIRLLCPPSTAAVRYGYSPRRPRIELRLAKPPCAAKYGGAWARSGLEEEGEKAGPRLCAVEEFAPESGRKSVNRSAEVIIAAAVTVVMGVGNRVLYKLALVPLKNYPFFLAQLATVGYVIVYFSILSIRYRMGIVTDEMLSMPKTPFIVVGLLEALGAATGMAAGAMLSGASIPILSQAFLVWQILLSFIFLGRRYKLNQLLGCFLVAIGVILTVASGSGAGHSLKEAGIFWSLLMIVSFLFQAADTVLKEIIFLNATRKLKGDSLDMFVVNSFGSAFQALFICLLLPFLSKLWGIPFSQLSNYLKDGAACFLNVGTLSRGCDGAPLLPLLFIVVNMGFNISLLHLIKISSAVVSCLASTFSVPIAIYVFTLPLPYLGVGPSLPAGFVAGAAILIMGLLIYAWTPSSASSSTSITAST >Vigun06g018500.1.v1.2 pep primary_assembly:ASM411807v1:6:8374793:8384793:1 gene:Vigun06g018500.v1.2 transcript:Vigun06g018500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFRRSPAAGSALSCGQIRLLCPPSTAAVRYGYSPRRPRIELRLAKPPCAAKYGGAWARSGLEEEGEKAGPRLCAVEEFAPESGRKSVNRSAEVIIAAAVTVVMGVGNRVLYKLALVPLKNYPFFLAQLATVGYVIVYFSILSIRYRMGIVTDEMLSMPKTPFIVVGLLEALGAATGMAAGAMLSGASIPILSQAFLVWQILLSFIFLGRRYKLNQLLGCFLVAIGVILTVASGSGAGHSLKEAGIFWSLLMIVSFLFQAADTVLKEIIFLNATRKLKGDSLDMFVVNSFGSAFQALFICLLLPFLSKLWGIPFSQLSNYLKDGAACFLNVGTLSRGCDGAPLLPLLFIVVNMGFNISLLHLIKISSAVVSCLASTFSVPIAIYVFTLPLPYLGVGPSLPAGFVAGAAILIMGLLIYAWTPSSASSSTSITAST >Vigun05g120000.1.v1.2 pep primary_assembly:ASM411807v1:5:13169352:13170483:1 gene:Vigun05g120000.v1.2 transcript:Vigun05g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSESSTKPNNNNNSPKPKPPFKPAKDDTKPVLQDPILRSDPIETEEAVLRLPPFSVLERPKA >Vigun03g111800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10158404:10160497:1 gene:Vigun03g111800.v1.2 transcript:Vigun03g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSHKKINIMSWISVTSLPIPLSSSSSSSFPHLTKHRKPKRHHLCKVSCHTNHDVPNEEPKASHNRRNVLIGFGGLYGASTLADNPFAIAAPTSPDLKGCGLPELPEGVPPTKCCPPKYSNIIDFKFPRPRRTPRVRPAAQYVDDTYVAKYKKALSKMKALPPDDPRSFTQQANVHCAYCNDAYHQVGFPKIDYKVHDSWLFFPFHRWYLYFYERILGSLINDPDFSIPYWNWDNPYGGMVIPSIFTDTNSPLYDPRRNVVHQPPTLINLNYDLDEDVRQNPEQQISNNLATMYKNVVSCGKLPSLFLGNPYRAGGTPGGAGSLENVPHTPVHIWTGDARQPNLEDLGNFYSAGRDPLFYAHHANVDRMWNIWKTLPRGKRRDFTDKDWLESIFYFYDENKNLVRVKVKDSCDTKKLGYVYKDVEIPWLGEKPKPRKPKSNAKAKKKVSFAESFGVSAARAAEATSVTFPLTLNSKVTTVVKRPKLSRSTEEKEEEEEVLVIDGIEFDMEKALKFDVFINDEDEKEIKPGNSEFAGSFVSVSHSMQSNKKVKTCLRLGITDLLEDLDAEDDDTIVVTLVPKYGGPVTIQDIKIEFATE >Vigun08g053000.1.v1.2 pep primary_assembly:ASM411807v1:8:6300690:6308458:-1 gene:Vigun08g053000.v1.2 transcript:Vigun08g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRETTLLVVLLLLIHRSHARCLPSSCGNITHVSYPFRLQADPEKCGNKRYELVCENNVTVLYLHSAKYHVQAINYNNYTVRVVDPAIQHHNCSSLPLRSLSRSNFSDTYDDYTDPYQAGLRAYGNWKALSFEHTVFLNCNHSLRGNGKYVETEKCVKWDSKGYAYAVGGDLKAEDFEVGCDVKLVAPTSLWSFNNHSYASMHRALAYGFEISWVQLVCENHCRLSPSDCYFDYSRRKLKCSFGILQVLILQASRISQIFIMAWACKIVFGVPLIVALVICKWRKRHASMFENIENYLEQNSLAPIRYSYKEIKKMTGSFKEKLGEGAFGSVFKAKLRSGPFVAIKMLGKSKGNGQDFINEVATIGRIHHQNVVQLIGFCVSGSKRALVYEFMSNGSLDKIIFSKEGSEQLSYEKIYDISVGVARGIAYLHHGCEMQILHFDIKPHNILLDENFIPKIFDFGLAKLHPIENSIVTMTAARGTIGYMAPELFYKNIGGVSHKADVYSFGMFLMEMASKRKNLNPHAERSSQMYFPFWIYDHIREEENIDIQDLTEEEKKIAKKMIIVALWCIQLKPSNRPSMNKVVKMLEGNIEDLEIPPEPTLYPDEMSTNDQTINSASTTHSLNYFM >Vigun03g096600.1.v1.2 pep primary_assembly:ASM411807v1:3:8163005:8164495:-1 gene:Vigun03g096600.v1.2 transcript:Vigun03g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVQKRISLRRKLHILRVLTNSNSAKRTSISNTTILRLYKLKLALETVKRHYENLLAARGEHVNLLNHVKENKDVKIEKVRAGTFMVKVTCEKGSNKLVTILEAFDEMCLNVQQAKVSCEKDFSLEAIVVAEDQTLDLRDVTEALLKAIGKQSGENSQKFDKSCDF >Vigun05g096800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9388327:9390234:-1 gene:Vigun05g096800.v1.2 transcript:Vigun05g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQEDMQSPWSFFEDMNSTFESGVESYGFTVYDHVGDCGSYGFTVDDCGAYGFTVDDHVGDCGLNTLLSTLEDYSTSEICSIPFSSNPLFFSNDHVHTQYPINEETGQLPSLMELDDFDSILDTEIVSIEGHGYLRESEGSFFPPQNFSSEVENAWSPTPSVRSELSTNQASLLTLPHQNMEIENQVSLPHLLEAHGEALEQGQKSLAEVILRCMSQKASPLGESLERLVFYLSQSMTNHGDYLKGEAFKNFEAALRALYQGLPIGKVAHFAAVSAILEAMPPDCNSVHIVDSCVGHGFQWVPMIEAIAHMNKTVKLTSIKWDGDGEGLECFSSLSNFEETRKQLCEHAKSCGLKLKVEEKGVKELVAEIKKMNKRGGRGEFLAFNSMIGLPHMGRESSRRQALEFLRVAEDLINTSGNRGIITFGDGSAYERVKNSLNFRSFFKAHLEHYQTLLEAIESHFPTRFSEARIAMEQLFLQPCISSIDWLQTWEEMHSDGPVEAEISFKGCQLSKNILMEIREVLRGSEGSYQARIEGQNDNELVLEYKGSQLLRFSTWKN >Vigun06g145500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27095414:27098396:-1 gene:Vigun06g145500.v1.2 transcript:Vigun06g145500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIQNHSHKMCSTLNLRHVSNIIEIINHSLHHQTFTTNFSSSSLTQDISQTNSLIATHVRRGDPVSAWILFHSLRRARADVDAYTFTSVLRACTLLHMSKLGTQVHAQMVKTGADSGTVAKTSLVDMYSKCGSLDEAVKVFDEMGQRDVIAWNALLSCFLRCDLPVEAVGVLRAMRRANVELSEFTLCSALKSCASLKALELGRQVHGLVVCMGRDMVVLSTALIDFYSSVGCVDDALKVFCSLKGWKDDMMYNSLVSGCVRNRRYVEAFRAMGLVRPNAVALTSALVGCSENLDLWAGKQMHCVAVRQGFTRETQLCNALLDMYAKCGKVSLAQSLFDGICEKDVISWTCMIDAYGRNGRGREAVLLFQEMRKEERKVLPNSVTFLSVLSACGHSGLVEEGKKCFKLLREKYGLEPDPEHYACYIDILGRAGNIEGVWSVYHNMVEEGTKPTAGIWVALLNASSLNQDVERGELAAKHLLQLEPNKSSYIVLVSNFYAAIGRWDRVDELRSIMRTKGLVKEAGNSWINVLGLNQHARTLSV >Vigun06g145500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27095278:27098452:-1 gene:Vigun06g145500.v1.2 transcript:Vigun06g145500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIQNHSHKMCSTLNLRHVSNIIEIINHSLHHQTFTTNFSSSSLTQDISQTNSLIATHVRRGDPVSAWILFHSLRRARADVDAYTFTSVLRACTLLHMSKLGTQVHAQMVKTGADSGTVAKTSLVDMYSKCGSLDEAVKVFDEMGQRDVIAWNALLSCFLRCDLPVEAVGVLRAMRRANVELSEFTLCSALKSCASLKALELGRQVHGLVVCMGRDMVVLSTALIDFYSSVGCVDDALKVFCSLKGWKDDMMYNSLVSGCVRNRRYVEAFRAMGLVRPNAVALTSALVGCSENLDLWAGKQMHCVAVRQGFTRETQLCNALLDMYAKCGKVSLAQSLFDGICEKDVISWTCMIDAYGRNGRGREAVLLFQEMRKEERKVLPNSVTFLSVLSACGHSGLVEEGKKCFKLLREKYGLEPDPEHYACYIDILGRAGNIEGVWSVYHNMVEEGTKPTAGIWVALLNASSLNQDVERGELAAKHLLQLEPNKSSYIVLVSNFYAAIGRWDRVDELRSIMRTKGLVKEAGNSWINVLGLNQHARTLSV >Vigun09g125700.1.v1.2 pep primary_assembly:ASM411807v1:9:27894014:27896955:-1 gene:Vigun09g125700.v1.2 transcript:Vigun09g125700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKALSFALSFNDLTNCFSSNPPSAAAAKVSVFTVRCSSGNGERPWKNSDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESVQCFLGGLVIRSLSISTSNWRCAKALGDYLAERNIMGIYDVDTRAITRRLREDGSLIGVLSTDNSKTDEQLLQMSKSWDIVGVDLISGVSCKSPHEWVDKTKQQWEFSSGKVAGENFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLNMKPDGVLFSNGPGDPSAVPYAVETVKNIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLQTGHVEISAQNHNYAVDPATLPEGVKVTHINLNDGSCAGLAFPAQQLMSLQYHPEASPGPHDSDYAFKEFIELMKQGKNRTKENLPQLSALHSS >Vigun11g084901.1.v1.2 pep primary_assembly:ASM411807v1:11:25430556:25431912:1 gene:Vigun11g084901.v1.2 transcript:Vigun11g084901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRHCCKAKCIGALNEKLTVPQKEYIASTPFWWFPMLKQSLKISRNVLSQLSIKWVERRGGFDVGGEVVDFSLLDVCLGLGLRVVGEKIDLNEEVVESETWNTFGRQRVDVKLIYDFLMKFDDDVGDVELFCKLYVVLGISEFLLASKKGCVFPVIFKIVDDMENIGKYNWGTLVYEYLVFSLCSASLALQNEPSRFEFYMVGCAYLLECKMNLFPRLLYWMNVSMGDKVMKTAFDYDMAIVDAAVSKEELDHAIVRKTFEQFGTEYKTQDLKDKEEVEHLLEDHEAEIVDLEQSMSALDDLVAKWKGQQPKDEVRDEVGDDVFNDPRDDVMSDEKDDDAQQSNMYDRVKARPRMRFKSVATKTPYSVYGKKKLKSLQIG >Vigun06g189600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30761137:30763517:1 gene:Vigun06g189600.v1.2 transcript:Vigun06g189600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVSKAPSGANHTSHHKKDPSSLLLGRFEIGKLLGHGTFAKVYYARNMKTGEGVAIKVIDKEKILKGGLVAHIKREISILRRVRHPNIVQLFEVMATKSKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDGAKVDLWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYRGEFRCPRWFSPDLSRLLTRLLDTKPETRIAIPEIMENKWFKKGFKQIKFYVEDDRLCNVDDDVLLDNDDDVASVASFSDYSVSESDTEVEVRRKNGPLPRPPSLNAFDIISFSPGFNLSGLFEEKEDETRFVTAAPVNRIISKLEEIAQLVRFTVRKKDCRVSLEGTREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRAEYERFCNNELKPGLQNLMMEESSTSSGLSTPEPSLLRGLSEPVSDFSSEIGSPCSMPSIE >Vigun04g174500.6.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHMLLLSLLRHRMPRKAKKGFQDMKEELWYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQIPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.2.v1.2 pep primary_assembly:ASM411807v1:4:39872067:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLLSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQIPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.8.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878705:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLSVSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.1.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLSVSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.7.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878705:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLLSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.10.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLLSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.11.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLLSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.13.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLLSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQIPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.5.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHMLLLSLLRHRMPRKAKKGFQDMKEELWYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.12.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLSVSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQIPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.3.v1.2 pep primary_assembly:ASM411807v1:4:39872067:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLSVSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQIPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun04g174500.9.v1.2 pep primary_assembly:ASM411807v1:4:39872068:39878666:1 gene:Vigun04g174500.v1.2 transcript:Vigun04g174500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLIATTKGISLCSNRNISPKPNLSVSFEVCYSADPIKVQRHGWSHAATVSSEAPDAQKGQKRLPRHERRAMVESFVNKYRAENAGKFPTISDAQKQVGGSFYVVRDIIQELEYKSRTNSSNIVNEILVGKQFDDSKHPTTKSAGVSSGNIEIAKYNAIQDGSQSVDLNDKETVNAGYEHHEGKRETRTSCGERRLFEEVEIMATSNNHCIAPESNLVETCSKEPNPSSLHMPNDIKTEEAVPTSSDSFAPESQLLQEESEHFSPSYSQNDGTGYDRARGHKYDSVHVENHRELEEKCIKKADCERREQLDLEDLSRELPHSSLQVPNDVKCSEAVSSSSDSVTLERHPLKEEINQCSDPFIEQSVSSCSEGMSHDSKFVDMENHSAFENAGYERKDKEAADGSKLVIEQPQMDSPNSRDNNVSVYSEKSTLWGNVKSFANGILNIWKKL >Vigun11g087100.1.v1.2 pep primary_assembly:ASM411807v1:11:26048836:26051477:-1 gene:Vigun11g087100.v1.2 transcript:Vigun11g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINKSFFVIVSMLSLLAFSSNAQLSPSFYARTCPKLQSIVRTTMRQAVATEPRMAASILRLFFHSYNLQGCDASILLDDNATFTGEKNALPNINSLRGFEVIDDIKTNVEAACDATVSCADILALATRDGVVRLGGPSWIVPLGRRDARTASQSAANSQIPSPFSNLSTLITAFGSKGLTARDLIALSGAHTIGQAQCQSFRNRIYNSTNIDPNFATTRRANCPISGGNSNLAPLDLVTPTDFDNEYYTNLLNQRGLLHSDQELFNGGSQDALVRTYAANTITFLKDFAAAMVKMGNISPLTGTNGEIRRNCRVVN >Vigun10g032900.2.v1.2 pep primary_assembly:ASM411807v1:10:4355734:4358866:-1 gene:Vigun10g032900.v1.2 transcript:Vigun10g032900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSSSSASSSSSFLKSEPVFIYDVFINFGGEDIGRRFVSHLHSSLLQAQVKTFISQENLQEGMKLEEHMGEIAASKIAIIVFSKTYAESTCCLFELEKKGDFGKALEEAAHKSYSGEQLEHVLFRWSCALTKAAGISGWDLRNFRHDAELVEVTVNRVQKLLDYQGLFITQFPIGLESHVEEVIGCIENHSTKVCMIGIWGMVGSGKTTIAKAIYNRIYRPFIGKSFIENIGEVWDQVYRTHVDLQENFLYDVLKSKLKLESVGMGRTMIKNELSRRKLLIVLDDVNEFGQLENLCGNPEWFSQGTVIIITTRDVRLLKRIEVNYVYKMDGMNENDSLELFCCHAFGEARTRNLNEIARNRVSYCGGLPLALEVLGSFSCKKTMREWESVLSKVKLIPLEVEKKLKLSFDGLNKEEMDIFLDVCCFFIGKERGYVTEILNGCELHADIGITVLIECGLIKFGRNNKLEMHPLFRDMGREYICQRFLKEPWKRKRLGFQGDAKYVLKKNIGTEATEGLFLKMHSTSRDYFEAHAFKKMKRLRLLQLDHVQLAGDYGYLSKQLRWICWKGFPSKYIPNNFHMENLIAMDLKYSNLLLVWKQSQVLEQLKFLNLSHSKYLRETPDFSGLPSLERLILKDCPCLCKVHPSIGDLCNLQLINLKDCASLSNIPREVYKLKSLKSFILSGCFKIEILEEDIVQMKSLITLVTENTAVRRVPCSVVSSKSIGYISLRGFEGLSQNLFPSIIRSWMRPMVNPQSYFSPFCMDMDNNNWRDLAPLHSGLANIRSLLVQCDTTFQLSEQVKTILVEYSLNFTEQRISNHYLRFSLIGVGSYSEFLNTLSDSISKVPSLALTSVCITSTFNLAVS >Vigun01g062600.1.v1.2 pep primary_assembly:ASM411807v1:1:13811086:13813138:-1 gene:Vigun01g062600.v1.2 transcript:Vigun01g062600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVSDSERVRELKRFDDTKAGVKGLVDHGITKIPRLFHHPPDEHVKVSTSGSTAQSIPVIDLAQVHKDPSLRQDVIHRIREASEKWGFFQVVNHGIPLTVLEDLEDGVRRFYEQDIEVKKDLYTRDHMRPFVYNSNFDIYTSPALNWRDTFLCYLAPNPPKPQDLPEVCRDILLEYGTHVMKLGIALFELLSEALGLDKNHLKDMGCAEGLLSLCHYYPACPEPELTLGTTKHSDNCFLTVLLQDHIGGLQVLFQNTWIDVAPEPGALVVNIGDFLQVLTNDRFNSVEHRVLANLIGPRISVACFFSEGIKSSEKLYGPIKELLSEDNPPKYREFTVKEYVRYYMDKGLDGISALHHFRI >Vigun01g097800.1.v1.2 pep primary_assembly:ASM411807v1:1:26419399:26422916:1 gene:Vigun01g097800.v1.2 transcript:Vigun01g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDESPPEGIKVVVNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKFKSGAISESTTALNVDQTNTSVLGADVKTTSASAALPVPVPAATRGNSQEQAATSVITSATVISTASAPQKKEAKALVDKKKIDARKKSLKRL >Vigun01g097800.2.v1.2 pep primary_assembly:ASM411807v1:1:26419616:26422871:1 gene:Vigun01g097800.v1.2 transcript:Vigun01g097800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDESPPEGIKVVVNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGFFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKFKSGAISESTTALNVDQTNTSVLGADVKTTSASAALPVPVPAATRGNSQEQAATSVITSATVISTASAPQKKEAKALVDKKKIDARKKSLKRL >Vigun05g021400.1.v1.2 pep primary_assembly:ASM411807v1:5:1775763:1778505:-1 gene:Vigun05g021400.v1.2 transcript:Vigun05g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSVSDPSKSTFSIIDEDHTLANTVRFTLNQDPRVAFCGYSIPHPSENRVNIRVQTTGDPASEVLKDACQDLMLMCRHVRSTFDKAVNDFKKQQG >Vigun11g157400.1.v1.2 pep primary_assembly:ASM411807v1:11:36608722:36615777:1 gene:Vigun11g157400.v1.2 transcript:Vigun11g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFERRPMIGSNEGSFGDELEKEIGMLLREQRRQEADDRERELNIFRSGSAPPTVEGSLSAVGGLFGGGGGGGAAGASGAFSEFQGTKDVNGIVSEEELRSDPAYLTYYYSNVNLNPRLPPPLMSKEDWRFQQRLKGGASVLGGIGDRRKVNRTEENGGRSMFSTPPGFNMRKQESEVDNEKTRGTAEWGGDGLIGLPGLGLSKQKSFAEIFQDDLGGNTSVTGPPSRPASRNAFDDNDIISSTEAEFAHVRRESTTTDGPRPGTNVQGSSASQNTGLPASYSYAAAVGSSLSRSTTPDPQHVARAPSPSITPIGGGRAIASDKRGVSSPDGYNGVSSGINGSSDLMAALSAMNLSAEDMLDGDNHLPSQVESDVDNHRRYLFGRQGGQDHGKQHAYLKKSESAHLQNSSKSRSGSDPNNASLDRQVELQKSNVPSNNSYFKGSPTSHFSRGGSLPPQYQPLDGTNSSFSNYGLSGYAGNPALASLMTNQLGTGNLPPLFETVAAASAMAAPGMDSRILGSGLASGATAPSDVHNLGRMGNQIPGSALQAPFVDPMYHQYLRTSEYAAQLGALSDPSVDRTYLGNSYMNLLELQKAYLGSILSPQKSQYNVPLGGKSGSSTPHGYYGNPAYGVGLSYPGSPMANSVVSTSPVGPGSPVRHNELNLRFASGMRNLAGVMGPWHVDTGSIDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPHALALMTDVFGNYVVQKFFEHGLAAQRRELANKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVQELDGNVMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCKDPITQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSSIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPQPA >Vigun11g157400.2.v1.2 pep primary_assembly:ASM411807v1:11:36608722:36615777:1 gene:Vigun11g157400.v1.2 transcript:Vigun11g157400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFERRPMIGSNEGSFGDELEKEIGMLLREQRRQEADDRERELNIFRSGSAPPTVEGSLSAVGGLFGGGGGGGAAGASGAFSEFQGTKDVNGIVSEEELRSDPAYLTYYYSNVNLNPRLPPPLMSKEDWRFQQRLKGGASVLGGIGDRRKVNRTEENGGRSMFSTPPGFNMRKQESEVDNEKTRGTAEWGGDGLIGLPGLGLSKQKSFAEIFQDDLGGNTSVTGPPSRPASRNAFDDNDIISSTEAEFAHVRRESTTTDGPRPGTNVQGSSASQNTGLPASYSYAAAVGSSLSRSTTPDPQHVARAPSPSITPIGGGRAIASDKRGVSSPDGYNGVSSGINGSSDLMAALSAMNLSAEDMLDGDNHLPSQVESDVDNHRRYLFGRQGGQDHGKQHAYLKKSESAHLQNSSKSRSGSDPNNASLDRQVELQKSNVPSNNSYFKGSPTSHFSRGGSLPPQYQPLDGTNSSFSNYGLSGYAGNPALASLMTNQLGTGNLPPLFETVAAASAMAAPGMDSRILGSGLASGATAPSDVHNLGRMGNQIPGSALQAPFVDPMYHQYLRTSEYAAQLGALSDPSVDRTYLGNSYMNLLELQKAYLGSILSPQKSQYNVPLGGKSGSSTPHGYYGNPAYGVGLSYPGSPMANSVVSTSPVGPGSPVRHNELNLRFASGMRNLAGVMGPWHVDTGSIDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPHALALMTDVFGNYVVQKFFEHGLAAQRRELANKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVQELDGNVMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCKDPITQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSSIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPQPA >Vigun07g120900.1.v1.2 pep primary_assembly:ASM411807v1:7:22339104:22340530:1 gene:Vigun07g120900.v1.2 transcript:Vigun07g120900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRNERVWSEREDFSKEAGILRKDARNFLFPNASLLLRLYILDHFSLLLHNKITCLQERSRPQRFLLSSVESLCSLLHSHPRNKLSSTQSPMARSFANVKVLSALVADGFSNTLTRRGFAAAATQSATRGGASIGGNMVPKSGEEKVRGGEKVSWVPDPVTGYYRPENTNEIDVADLRAMVLGKKFNH >Vigun11g115600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31976138:31977400:1 gene:Vigun11g115600.v1.2 transcript:Vigun11g115600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQFLLRSQTLFLPQKQVLKPYYPEAMATTTRDLKIHLSKRQRGLRICLCVSSLVILVAAILILIFTIFKPKDPSVFVNPVDLENFQVLSPNSSGAPLNLVITIQNPNYASFKNRNGSGYLKYGDTIIAEIPLESRLFPARSTTNVSTTANIQTEKLLKDPNFMVAVEGGAFNMTSEAKLSGKVRMAKIIRLKAKVYLSCTISLNISAFQTASTCISKLKL >Vigun06g119550.1.v1.2 pep primary_assembly:ASM411807v1:6:24746106:24754293:-1 gene:Vigun06g119550.v1.2 transcript:Vigun06g119550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVICILVKSLSSNLHFFFHHFSRHRILVAKMIFKRYIEIGRVAQINYGKDYGRLVVIVDVIDQNRW >Vigun10g024166.1.v1.2 pep primary_assembly:ASM411807v1:10:2872327:2875431:-1 gene:Vigun10g024166.v1.2 transcript:Vigun10g024166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLIITCVLILFFVSPCFCKRLLLETSNTYNVIDYGAKGDGETDDSQAFLSAWEKTCATSGSTLVIPSKGTFLLKKNIMFKGPCQATNIQIQIQGKIIVPTQDAWQGDDSPIIMISNVNGLTIDGTGGLIDGLGSSWWSCKNCKRPTVLAFNACNDLSVSSLSIANSPQAHITINGCEGATFSNINIQSPGDSPNTDGIDISSSKRILIKDSNIASGDDCIAIIGDSSNINATGIACGPGHGISIGSLGRNNGHDNVEQVYVYNCTFTKTTNGARIKTFKDGPGYARNITYEKITLIQAYNPILINQHYVGLEGVGGVEVSGVTFRGFEGTSGDDRAITLACGSQGCKDIVLDQINITSSKDGKPAACSCTNAHGTATSTVPNCDGL >Vigun04g052400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4851717:4853278:-1 gene:Vigun04g052400.v1.2 transcript:Vigun04g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITPTPPPTAVTPTNAAPKSPELDIETPTRIHQAAGNSNPSPKPVSFSNGVLKRHHANNRAAAAVVYKECLKNHVASLGGHALDGCGEFMPSPAATADDPSSIKCAACGCHRNFHRREPEEPPISPATHHVLEYQPHHRHHPLPPPPHRSPNSSSPPPISSYPSAPHMLLALSSGGGLSVAPENTAAPAPPHHSRKRFRTKFTQEQKEKMHEFADKVGWKMQKRDENMVMEFCNEVGVDRGVLKVWMHNNKNTLAKKDNLNATSANGINHVAAANKASVNGLEHEHSAGINGNNDHDHNLGSSHDVNEYENDSGTNGGGTNGSSSS >Vigun03g221700.1.v1.2 pep primary_assembly:ASM411807v1:3:36824573:36826308:1 gene:Vigun03g221700.v1.2 transcript:Vigun03g221700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMFWALALATLCLSAQAQEHAPSKLPTSTPTVPITVPSSPTKPTIVAESPLPKVVVSPTSSVPVKSPLPRATSPTSAPVKTPVPRTTSPTSAPAKTPLPKTTSPTSSPVNSPHPKTTSPTSAPVKTPLPKTSSPTSAPAKTPFPKTSSPTSSPVKSPHPKTTSPTSAPVKTPVPKPVSPKSAPVKPPLPKATSPTSVPVKPPVPKATSPTSVPAKSPVPKVTSPISAPVKLPVPKIISPALAPVKLPVPEAISPTFAPLESPVPVIAFPISDPFTFPDPVVAAPTTPPVKLPTPRVKPSSLAPAKLPIAKVLPPPSALLKSPPPFANSAAGYHMQGKKMWWSIGLAISILLSVTI >Vigun10g042900.2.v1.2 pep primary_assembly:ASM411807v1:10:6019220:6023216:-1 gene:Vigun10g042900.v1.2 transcript:Vigun10g042900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSLLFWFIYVPLLFHSTCNSKHTVFASESEIDHMALIKFKESISSDPNGILVSWNTSIHFCNWYGITCHPTLGRVTELNLQGHMLKGYISPHIGNLSYTRRFELANNSFYGNIPLEVGRLSQLQIFDVQNNTLVGEIPLNLTHCTQLRSLYLYGNNLKGRIPTEIGLLRNIQFLNFGQNQLTGQIPSSIGNLSSITELFLNNNILKGEIPQEICHLKSLVIVALGINNLSGSFPSCLYNVSSLIRINLVENQFSGPLSPNMFHSLQNLQVLFLANNKFSGPIPPSIANASSLSILGLSLNRFVGRVPSLGKLQDLYHLNLAYNNLGYNSTNDLEFLKSLVNCTNLEILCLSYNIFGGHLPNSLGNLSTQLSKIYLGNNEIYGEIPEAIGNLVGLTLLTMFNNHVDGIIPTTFGKLTMLQALSLLGNKLSGKIEPCIGNLSQLFHLDLRANRFEGSIPPSIGNCQMLHHLDLSLNNLTGTIPPELFNLSSLFSKFDLSRNSLSGSIPDEVGNIHNIIFLDMSNNHISGHIPLGVGKCVMLEYLFLQGNSLQGVIPSSLASLKSITVLDLSQNHLSGSIPNFLQNLSFLQYLNVSFNVLEGEVPTKGVFGNVSVLVVNGNSKLYGGISELHLPPCPVKSEKHAKHHKFRLIVAILSVVVFLIVLSSTFAIYWSRKEGKKPSLNSSTIDQLAKVSYQSLYNGTDGFSTTNLIGCGNFSSVYKGTLELQDRVVAIKVLNLQRKGAHKSFVAECNALKNIKHRNLVQILTCCSSTDYKGQEFKALIFEYMRNGSLEQWLHPTTTSVEQPRTLSLKQRLSIMIDVASALQYLHHECEQPIIHCDLKPGNILLDDDMIAHVSDFGIARLLSTTKEYGVGAEVSTYGDMFSFGILMLEMLTGRRPTDEMFEDGQNICNFVAISFPSKLFQILDPRLIPIEENDWNLNPDVEKCLVSLFRIGLACAMESPKERMDVVDVSRELHQIKKAFASCCAWK >Vigun10g042900.1.v1.2 pep primary_assembly:ASM411807v1:10:6019220:6023216:-1 gene:Vigun10g042900.v1.2 transcript:Vigun10g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSLLFWFIYVPLLFHSTCNSKHTVFASESEIDHMALIKFKESISSDPNGILVSWNTSIHFCNWYGITCHPTLGRVTELNLQGHMLKGYISPHIGNLSYTRRFELANNSFYGNIPLEVGRLSQLQIFDVQNNTLVGEIPLNLTHCTQLRSLYLYGNNLKGRIPTEIGLLRNIQFLNFGQNQLTGQIPSSIGNLSSITELFLNNNILKGEIPQEICHLKSLVIVALGINNLSGSFPSCLYNVSSLIRINLVENQFSGPLSPNMFHSLQNLQVLFLANNKFSGPIPPSIANASSLSILGLSLNRFVGRVPSLGKLQDLYHLNLAYNNLGYNSTNDLEFLKSLVNCTNLEILCLSYNIFGGHLPNSLGNLSTQLSKIYLGNNEIYGEIPEAIGNLVGLTLLTMFNNHVDGIIPTTFGKLTMLQALSLLGNKLSGKIEPCIGNLSQLFHLDLRANRFEGSIPPSIGNCQMLHHLDLSLNNLTGTIPPELFNLSSLFSKFDLSRNSLSGSIPDEVGNIHNIIFLDMSNNHISGHIPLGVGKCVMLEYLFLQGNSLQGVIPSSLASLKSITVLDLSQNHLSGSIPNFLQNLSFLQYLNVSFNVLEGEVPTKGVFGNVSVLVVNGNSKLYGGISELHLPPCPVKSEKHAKHHKFRLIVAILSVVVFLIVLSSTFAIYWSRKEGKKPSLNSSTIDQLAKVSYQSLYNGTDGFSTTNLIGCGNFSSVYKGTLELQDRVVAIKVLNLQRKGAHKSFVAECNALKNIKHRNLVQILTCCSSTDYKGQEFKALIFEYMRNGSLEQWLHPTTTSVEQPRTLSLKQRLSIMIDVASALQYLHHECEQPIIHCDLKPGNILLDDDMIAHVSDFGIARLLSTTKGITSKQSSTFAIKGTVGYVAPEYGVGAEVSTYGDMFSFGILMLEMLTGRRPTDEMFEDGQNICNFVAISFPSKLFQILDPRLIPIEENDWNLNPDVEKCLVSLFRIGLACAMESPKERMDVVDVSRELHQIKKAFASCCAWK >Vigun11g209900.1.v1.2 pep primary_assembly:ASM411807v1:11:40536273:40541963:1 gene:Vigun11g209900.v1.2 transcript:Vigun11g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLSTTFLSPLSLSKSKFPQHLLTTKPGLILCEFSRSQKSRLPADESEGIGAAAPSPGEKFLERQQSYEAAKLILKENKKRKKKKDNAVKASRNVASCYGCGAPLHTSDAVAPGYVDPETYELKKKHHQLRTVLCRRCQLLSHGEMITAVGGHGGYPGGKLFVTAEELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGSNPIILVVTKVDLLPRDTDLNCVGDWVVEATMRKKLNVLSVHLTSSKSLVGVTGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMAINDPVAASAQRYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLHHRQTAVVQSEDLPSLAPRSRLRGISFPRTPVSADNVEQVASIENGLNAFSVFWGGLVRIDLMKVLPETCLTFYGPKGLQIHMVPTEQADEFYQKELGVLLTPPSGGENVESWKGLESERKLQIKFEDVERPACDIAISGLGWFTVEPFGRSHKISQSKPVETTGELILVVRVPKPVEIFVRPPIPVGKAGAEWYQYVELTEKEEEMRPKWYF >Vigun11g209900.2.v1.2 pep primary_assembly:ASM411807v1:11:40536273:40541963:1 gene:Vigun11g209900.v1.2 transcript:Vigun11g209900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLSTTFLSPLSLSKSKFPQHLLTTKPGLILCEFSRSQKSRLPADESEGIGAAAPSPGEKFLERQQSYEAAKLILKENKKRKKKKDNAVKASRNVASCYGCGAPLHTSDAVAPGYVDPETYELKKKHHQLRTVLCRRCQLLSHGEMITAVGGHGGYPGGKLFVTAEELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGSNPIILVVTKVDLLPRDTDLNCVGDWVVEATMRKKLNVLSVHLTSSKSLVGVTGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMAINDPVAASAQRYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLHHRQTAVVQSEDLPSLAPRSRLRGISFPRTPVSADNVEQVASIENGLNAFSVFWGGLVRIDLMKSS >Vigun11g209900.3.v1.2 pep primary_assembly:ASM411807v1:11:40536273:40541963:1 gene:Vigun11g209900.v1.2 transcript:Vigun11g209900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLSTTFLSPLSLSKSKFPQHLLTTKPGLILCEFSRSQKSRLPADESEGIGAAAPSPGEKFLERQQSYEAAKLILKENKKRKKKKDNAVKASRNVASCYGCGAPLHTSDAVAPGYVDPETYELKKKHHQLRTVLCRRCQLLSHGEMITAVGGHGGYPGGKLFVTAEELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGSNPIILVVTKVDLLPRDTDLNCVGDWVVEATMRKKLNVLSVHLTSSKSLVGVTGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMAINDPVAASAQRYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLHHRQTAVVQSEDLPSLAPRSRLRGISFPRTPVSADNVEQVASIENGLNAFSVFWGGLVRIDLMKVLPETCLTFYGPKGLQIHMVPTEQADEFYQKELGVLLTPPSGGENVESWKGLESERKLQIKFEDVESL >Vigun07g143200.2.v1.2 pep primary_assembly:ASM411807v1:7:25416504:25418914:1 gene:Vigun07g143200.v1.2 transcript:Vigun07g143200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMKTWTTLYTLVFLFIIFLSSSHVAFSFSDTTCNTTPYPAFCKTTLPPQYLSTHDQFRFLLHQSLSTTKTIAALVSSYLTNHYAIHSSTLHALEDCFNLSQLNIDFLSTVLQAIQNNVNGFQVYDLHTLLSAILTNQQTCFNGFNEVTPCPVVTGALSSPLSDAIKLYSISLALFTRGWVSDATEGSTTVETITNRKLLQTSVDNVEVRQKVVVNPDGSGDFTTINDAVAAAPENSGTNNGYHVIYVVAGIYNEYVFIPKSKQNLMLVGDGINRTVLTGDRSVVDGWTTFQSATFAVVGKGFVAMNITFRNTAGSSKHQAVAVRNGADMSTFYYCSFEGYQDTLYVHSLRQFYKRCDIYGTVDFIFGNAATVFQDCNMYPRLPMLNQFNAITAQGRTDPNQNTGISIQNCCIIGASELGDATSNYNGIQTYLGRPWKEYSRTVYIESFMDGLIDPKGWTEWSGDFALSTLYYAEFANWGPGSNTSNRVTWEGYHLMDQKDALDFTVQKFIQG >Vigun07g143200.1.v1.2 pep primary_assembly:ASM411807v1:7:25416504:25418481:1 gene:Vigun07g143200.v1.2 transcript:Vigun07g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMKTWTTLYTLVFLFIIFLSSSHVAFSFSDTTCNTTPYPAFCKTTLPPQYLSTHDQFRFLLHQSLSTTKTIAALVSSYLTNHYAIHSSTLHALEDCFNLSQLNIDFLSTVLQAIQNNVNGFQVYDLHTLLSAILTNQQTCFNGFNEVTPCPVVTGALSSPLSDAIKLYSISLALFTRGWVSDATEGSTTVETITNRKLLQTSVDNVEVRQKVVVNPDGSGDFTTINDAVAAAPENSGTNNGYHVIYVVAGIYNEYVFIPKSKQNLMLVGDGINRTVLTGDRSVVDGWTTFQSATFAVVGKGFVAMNITFRNTAGSSKHQAVAVRNGADMSTFYYCSFEGYQDTLYVHSLRQFYKRCDIYGTVDFIFGNAATVFQDCNMYPRLPMLNQFNAITAQGRTDPNQNTGISIQNCCIIGASELGDATSNYNGIQTYLGRPWKEYSRTVYIESFMDGLIDPKGWTEWSGDFALSTLYYAEFANWGPGSNTSNRVTWEGYHLMDQKDALDFTVQKFIQGDKWLPSTGVPFKAGLQ >Vigun04g039100.1.v1.2 pep primary_assembly:ASM411807v1:4:3278053:3281278:-1 gene:Vigun04g039100.v1.2 transcript:Vigun04g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSTATHPDEQAQSIFSTFASRYVREPIPRFKMPEKSIPKDAAYQIINDELMLDGTPRLNLASFVTTWMEPECDKLIMASLNKNYVDMDEYPVTTELQNRCVNIIANLFHAPINEDETAVGVGTVGSSEAIMLAGLAFKRKWQTKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVELVDENTICVAAILGSTMTGEFEDVKLLNELLTEKNKETGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRSKDDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCLENTRVLKEGIERTGRFNIISKDIGVPLLAFSLKEDSSQHSVFEISDQLRKFGWIVPAYTMPPDAQHIAVLRVVVREDFSCGLAQRLVSDIEKVMKLLASLPSTVSNKTAHVTAITNETSEKIKKSATETQKEIVLYWKKLVHGKKLGAC >Vigun04g039100.2.v1.2 pep primary_assembly:ASM411807v1:4:3278053:3281278:-1 gene:Vigun04g039100.v1.2 transcript:Vigun04g039100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLAFKRKWQTKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVELVDENTICVAAILGSTMTGEFEDVKLLNELLTEKNKETGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRSKDDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCLENTRVLKEGIERTGRFNIISKDIGVPLLAFSLKEDSSQHSVFEISDQLRKFGWIVPAYTMPPDAQHIAVLRVVVREDFSCGLAQRLVSDIEKVMKLLASLPSTVSNKTAHVTAITNETSEKIKKSATETQKEIVLYWKKLVHGKKLGAC >Vigun02g115400.5.v1.2 pep primary_assembly:ASM411807v1:2:26892708:26899768:-1 gene:Vigun02g115400.v1.2 transcript:Vigun02g115400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHAEDSVRPEEHFPDEDHHYGDGSNGNIVLENGLSDVNQGPADTDDQLLEMVMDLRFQNDYLKSHFAGLKIVNSVHSDSSIQKGVGGLEDGDSDIVKELKEKILLLNKEFLEEKQTRIASEEALKHLQLAYSEAEAKAQELSENLVEAQTKLDQEIKERDEKYSELDSKFNRLHKRAKQRIQEIQKEKDDIEARFSEVNEIAERASSQQLAMQQELERTRKQANEALKAMDGDRQQLRSANNNLRDTIEDLKRSLQPKESALEVLQQSLAEKEQMLEDMRGLLQAAEEKRQAALVELSAKHQKNIESLEAQLNDALSDRSKAAESISSLQVLVAEKESRIAEMEAASTGEAARLRAVVESVKGELSHLKEEHDKERESWETASRALKAKLDIAESNCIHAEVEVAKIKSQLESEVSAQRRILNMRDVELLAAKEEIRSLEKEFSSYKVRAHALLQKKDAELAAAKDSEQLRALEETLKEVENEVLSITEERDKVLQDLQSAMANHEKELAERCHIY >Vigun02g115400.2.v1.2 pep primary_assembly:ASM411807v1:2:26887460:26899768:-1 gene:Vigun02g115400.v1.2 transcript:Vigun02g115400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHAEDSVRPEEHFPDEDHHYGDGSNGNIVLENGLSDVNQGPADTDDQLLEMVMDLRFQNDYLKSHFAGLKIVNSVHSDSSIQKGVGGLEDGDSDIVKELKEKILLLNKEFLEEKQTRIASEEALKHLQLAYSEAEAKAQELSENLVEAQTKLDQEIKERDEKYSELDSKFNRLHKRAKQRIQEIQKEKDDIEARFSEVNEIAERASSQQLAMQQELERTRKQANEALKAMDGDRQQLRSANNNLRDTIEDLKRSLQPKESALEVLQQSLAEKEQMLEDMRGLLQAAEEKRQAALVELSAKHQKNIESLEAQLNDALSDRSKAAESISSLQVLVAEKESRIAEMEAASTGEAARLRAVVESVKGELSHLKEEHDKERESWETASRALKAKLDIAESNCIHAEVEVAKIKSQLESEVSAQRRILNMRDVELLAAKEEIRSLEKEFSSYKVRAHALLQKKDAELAAAKDSEQLRALEETLKEVENEVLSITEERDKVLQDLQSAMANHEKELAERDSTLENVKQQIRSLEIKLDSVNAQQLKEKEEWGLSLQNVEETWRIKCEAIKAENEATATKDKQKELEELKQRFKKLKEEHTSFHDLADRMIEEKDYEISRLLDENKNLRQSLQSRPLVDQNDSYTTASNKFDSTNLSPSAAEQQILVLARQQAQREEELAQSQRHILALQEEIEELERENRLHNQQEAMLKTELRDMERSKKREGVDMTYLKNVILKLLETGEVEVLLPVIGMLLQFSPEEIQKCQQAYRTSTEVPPTPASDTSGSGLSLFSRFTFS >Vigun02g115400.4.v1.2 pep primary_assembly:ASM411807v1:2:26889060:26899767:-1 gene:Vigun02g115400.v1.2 transcript:Vigun02g115400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHAEDSVRPEEHFPDEDHHYGDGSNGNIVLENGLSDVNQGPADTDDQLLEMVMDLRFQNDYLKSHFAGLKIVNSVHSDSSIQKGVGGLEDGDSDIVKELKEKILLLNKEFLEEKQTRIASEEALKHLQLAYSEAEAKAQELSENLVEAQTKLDQEIKERDEKYSELDSKFNRLHKRAKQRIQEIQKEKDDIEARFSEVNEIAERASSQQLAMQQELERTRKQANEALKAMDGDRQQLRSANNNLRDTIEDLKRSLQPKESALEVLQQSLAEKEQMLEDMRGLLQAAEEKRQAALVELSAKHQKNIESLEAQLNDALSDRSKAAESISSLQVLVAEKESRIAEMEAASTGEAARLRAVVESVKGELSHLKEEHDKERESWETASRALKAKLDIAESNCIHAEVEVAKIKSQLESEVSAQRRILNMRDVELLAAKEEIRSLEKEFSSYKVRAHALLQKKDAELAAAKDSEQLRALEETLKEVENEVLSITEERDKVLQDLQSAMANHEKELAERDSTLENVKQQIRSLEIKLDSVNAQQLKEKEEWGLSLQNVEETWRIKCEAIKAENEATATKDKQKELEELKQRFKKLKEEHTSFHDLADRMIEEKDYEISRLLDENKNLRQSLQSRPLVDQNDSYTTASNKFDSTNLSPSAAEQQILVLARQQAQREEELAQSQRHILALQEEIEELERENRLHNQQLFHWPSPVQVADHKISNKIISTCSYAHSITPK >Vigun02g115400.3.v1.2 pep primary_assembly:ASM411807v1:2:26887460:26899767:-1 gene:Vigun02g115400.v1.2 transcript:Vigun02g115400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHAEDSVRPEEHFPDEDHHYGDGSNGNIVLENGLSDVNQGPADTDDQLLEMVMDLRFQNDYLKSHFAGLKIVNSVHSDSSIQKGVGGLEDGDSDIVKELKEKILLLNKEFLEEKQTRIASEEALKHLQLAYSEAEAKAQELSENLVEAQTKLDQEIKERDEKYSELDSKFNRLHKRAKQRIQEIQKEKDDIEARFSEVNEIAERASSQQLAMQQELERTRKQANEALKAMDGDRQQLRSANNNLRDTIEDLKRSLQPKESALEVLQQSLAEKEQMLEDMRGLLQAAEEKRQAALVELSAKHQKNIESLEAQLNDALSDRSKAAESISSLQVLVAEKESRIAEMEAASTGEAARLRAVVESVKGELSHLKEEHDKERESWETASRALKAKLDIAESNCIHAEVEVAKIKSQLESEVSAQRRILNMRDVELLAAKEEIRSLEKEFSSYKVRAHALLQKKDAELAAAKDSEQLRALEETLKEVENEVLSITEERDKVLQDLQSAMANHEKELAERDSTLENVKQQIRSLEIKLDSVNAQQLKEKEEWGLSLQNVEETWRIKCEAIKAENEATATKDKQKELEELKQRFKKLKEEHTSFHDLADRMIEEKDYEISRLLDENKNLRQSLQSRPLVDQNDSYTTASNKFDSTNLSPSAAEQQILVLARQQAQREEELAQSQRHILALQEEIEELERENRLHNQQEAMLKTELRDMERSKKREGVDMTYLKNVILKLLETGEVEVLLPVIGMLLQFSPEEIQKCQQAYRTSTEVPPTPASDTSGSGLSLFSRFTFS >Vigun08g086000.6.v1.2 pep primary_assembly:ASM411807v1:8:19033136:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g086000.1.v1.2 pep primary_assembly:ASM411807v1:8:19034120:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g086000.7.v1.2 pep primary_assembly:ASM411807v1:8:19033143:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g086000.5.v1.2 pep primary_assembly:ASM411807v1:8:19034129:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g086000.8.v1.2 pep primary_assembly:ASM411807v1:8:19033143:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g086000.9.v1.2 pep primary_assembly:ASM411807v1:8:19034129:19040765:-1 gene:Vigun08g086000.v1.2 transcript:Vigun08g086000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGNGGLSNVEMEHIRRHHRHEPGENQCGSALVKHIRAPVPQVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDIPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDRM >Vigun08g209600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37209511:37210629:-1 gene:Vigun08g209600.v1.2 transcript:Vigun08g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEKLLKFRYHFLGALLFCLTLFSLTLMAPRFITLLAYFWPLFLSTALVFALVFFIAKTSPLPSSDATSLHSAGQGLLDYVAGHHDPPFDTRHKSD >Vigun08g209600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37209546:37210629:-1 gene:Vigun08g209600.v1.2 transcript:Vigun08g209600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEKLLKFRYHFLGALLFCLTLFSLTLMAPRFITLLAYFWPLFLSTALVFALVFFIAKTSPLPSSDATSLHSAGQGLLDYVAGHHDPPFDTRHKSD >Vigun10g114650.1.v1.2 pep primary_assembly:ASM411807v1:10:31801279:31802458:1 gene:Vigun10g114650.v1.2 transcript:Vigun10g114650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEKVLRKMNNNRYYAYRKPTRDVGILKGWCSERRCTPSGQLSSPATPVTVGSSTCVAVLLHSSSTCKTFQHLLHAAASPGPSPVFLLQSGLVWSFGAISTLQTSSSFPIGFLLFLFHYFGGFFAAPSLLLGSRIGTLKCR >Vigun04g060000.2.v1.2 pep primary_assembly:ASM411807v1:4:6062231:6063723:1 gene:Vigun04g060000.v1.2 transcript:Vigun04g060000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENMLKTPPKVPIQAQKAEPECKTPTPAQQQHQNNDRSNSSNELRKPVTPDHLRVPKAFKYPERYTSPTDMMMSPITKGLLARTRRGGGGGGYGGVMLPPDKNQPKILDMPLKDVGSFQNKVPMQLDEKINST >Vigun04g060000.1.v1.2 pep primary_assembly:ASM411807v1:4:6062231:6063723:1 gene:Vigun04g060000.v1.2 transcript:Vigun04g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENMLKTPPKVPIQAQKAEPECKTPTPAQQQHQNNDRSNSSNELRKPVTPDHLRVPKAFKYPERYTSPTDMMMSPITKGLLARTRRGGGGGGYGGVMLPPDKNQPKILQILDMPLKDVGSFQNKVPMQLDEKINST >VigunL033100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:246252:246611:1 gene:VigunL033100.v1.2 transcript:VigunL033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVRRGGQIWVRIFPDKPVTVRPTETRMGSGKGFPEYWVAVVKPGKILYEMGGVPENIARKAISIASSKMPIRTQFIISG >Vigun08g096100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:23067216:23068239:1 gene:Vigun08g096100.v1.2 transcript:Vigun08g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYINQTPVSSHFKQTFNTSNINFFSLGMATPGFGLPEAYMARKLFMEKRKKSAREDEEKSTQMKISTIKGASEGKTASGCFSWVSNHHHKKISRISDCNHTQPADS >Vigun06g078900.3.v1.2 pep primary_assembly:ASM411807v1:6:21039481:21041924:-1 gene:Vigun06g078900.v1.2 transcript:Vigun06g078900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKFRIRSYEGQYDRAQVEDLERRCEVGPSESVFLFTDTMGDPICRIRNSPMYMMLVAELENELVGVIQGSIKLVTVHGHPPKDLAKVGYVLGLRVSPHHRRKGIGSSLVRRLEEWFSSKDVDYAYMATEKDNQASVSLFMDKFGYTKFRTPAILVNPVNHHCFQVSPNIEIARLKVDQAEYLYRRFMGSTEFFPNDIGNILRNKLSLGTWVAYLKGDIGWGDFGSDGQVPNSWAMLSVWNSGEIFKLRLGKAPFSCLLCTKSWWLIDKIFPCLKLPTIPDFFNPFGFYFMYGVHHEGPFSGKLVRALCQFVHNMAAESKDENCKIIVTEVGGRDELNHHIPHWKLLSCPEDLWCIKPLKNEGTNNKFHELTKTPPTRALFVDPREV >Vigun06g078900.2.v1.2 pep primary_assembly:ASM411807v1:6:21039477:21042129:-1 gene:Vigun06g078900.v1.2 transcript:Vigun06g078900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKFRIRSYEGQYDRAQVEDLERRCEVGPSESVFLFTDTMGDPICRIRNSPMYMMLVAELENELVGVIQGSIKLVTVHGHPPKDLAKVGYVLGLRVSPHHRRKGIGSSLVRRLEEWFSSKDVDYAYMATEKDNQASVSLFMDKFGYTKFRTPAILVNPVNHHCFQVSPNIEIARLKVDQAEYLYRRFMGSTEFFPNDIGNILRNKLSLGTWVAYLKGDIGWGDFGSDGQVPNSWAMLSVWNSGEIFKLRLGKAPFSCLLCTKSWWLIDKIFPCLKLPTIPDFFNPFGFYFMYGVHHEGPFSGKLVRALCQFVHNMAAESKDENCKIIVTEVGGRDELNHHIPHWKLLSCPEDLWCIKPLKNEGTNNKFHELTKTPPTRALFVDPREV >Vigun06g078900.1.v1.2 pep primary_assembly:ASM411807v1:6:21039476:21042109:-1 gene:Vigun06g078900.v1.2 transcript:Vigun06g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKFRIRSYEGQYDRAQVEDLERRCEVGPSESVFLFTDTMGDPICRIRNSPMYMMLVAELENELVGVIQGSIKLVTVHGHPPKDLAKVGYVLGLRVSPHHRRKGIGSSLVRRLEEWFSSKDVDYAYMATEKDNQASVSLFMDKFGYTKFRTPAILVNPVNHHCFQVSPNIEIARLKVDQAEYLYRRFMGSTEFFPNDIGNILRNKLSLGTWVAYLKGDIGWGDFGSDGQVPNSWAMLSVWNSGEIFKLRLGKAPFSCLLCTKSWWLIDKIFPCLKLPTIPDFFNPFGFYFMYGVHHEGPFSGKLVRALCQFVHNMAAESKDENCKIIVTEVGGRDELNHHIPHWKLLSCPEDLWCIKPLKNEGTNNKFHELTKTPPTRALFVDPREV >Vigun08g112500.1.v1.2 pep primary_assembly:ASM411807v1:8:27858994:27861977:1 gene:Vigun08g112500.v1.2 transcript:Vigun08g112500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTLFASINQINVSDHTAKCGVCLKTPPNIASDGLWGNHGTEGSPLKSSMPIFLLQVILIYTVTRTLNYPLKKLGFPAIFSQLMAGLILGPSLGILEKEKTMLFPYGSQDTLATIASLGYMLFVFENGVKMDFSMITRTGKQGWIIAIVGLLFPLVIGYSSVETISKLANQGSLDELHSTVVILMTQNMTSFAVIASVLNDLQILNSELGRLALSSALVGDTLSNILVVASAALDTRKVNARGINLVLLFAVIIIIFFVYRPSMFLVIEHTPEGQEVKDIYINVIIGVLFVLGWSSMLFNIEFILLPFLYGLATPDGPPLGSSLVKRIHTFGLEFLTPIFITTCAMKMNYSLVEFRSPMFIGTVLMILMAHLLKIISYAAPSLYFKLSLKDAVSLALLLDCKGVVEVAMYSSALDKRDIQPVRYTVALTMILISNSVSQLLVKRLYDPSRKYFGYQKRNMSNLKFDANLRILVCIHKQHHTVPIISALNLFNPTPLYPTTVDVLHLIELVGRSSPIFISHKMKKGSPCHASNSYSENVILTFKLYEDEKLGATTIYPYTAISPPTLMHEDVCYLALDKVASIIILPFHRRWSFDGKIEHEDKTVRLLNCKVMDKAPCSVGILVTRFVRKSDSPLRLAMIFFGGDDDREALCLANRAAKDSDNVELVVYHMTTSEKKDEKHDEDTMLDHSILKNARRECSRMRNVVHEEIIVEGGAQVASVLHRLAEDHDFFIVGRRNGIDSPQTKSLQEWSEFPELGVIGDFLASPDLECNSSVLVVQQQKFHH >Vigun05g240600.1.v1.2 pep primary_assembly:ASM411807v1:5:43385598:43387005:-1 gene:Vigun05g240600.v1.2 transcript:Vigun05g240600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGASPRHDNPGVDAHGHPIDPRKIQDHFEEFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEHAANAVRNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRDLRHQLFGKNHRRHSRSRSPYRHRSHEERSHRSHSRKHDDRDHHHESRSRRHKSTSPAHRRGRSRSPGRRRHRSPVRDGSEERRARIEQWNREKEEQDPGNKTKAEDIHNGNSGHSVKASKFHGHQLQGQEQQSPNEAY >Vigun02g174000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31666629:31668711:-1 gene:Vigun02g174000.v1.2 transcript:Vigun02g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSVESNTANERIKLNVGGKYFETTLSTLRSGGPDSLLFALSNRRSTDPNPVFIDRDPEIFSVLLSLLRTSHLPSTARRFSKQELADEALFYGIDAHLRAATAPPPFSGIDAALAASIRPASEGLPSSFAAADSGAVWIAHGGQISGYDSTLTHSGTVRTHLDEIDSICRVWPEIAAIGSMSDPGLHFYNFSGSRHVGSVHWTDPLDPRIFKAHVNAITASENTVFASFDCPHRENCILEVDKSNLRTVNQFARQSGNQAKNMVPAKLTWIPATGVLVGSAVTGGAFGFSGYVRLWDPRSGEVVWETNEPGGSGRSSRFGDSFADVEADVEGLLLFKLCSKSGDLAMADMRFLKDDPWIYLKEKNASLVSCGEVRNSVVHCYRGQVFVAREGGLEVWSMVEQRENVVVRSENESDAEGFYRRNFVDKREDSDRGIIKKIEGGGDRLFVSREDVEGIEVWESSRFSGAISVL >Vigun07g053100.2.v1.2 pep primary_assembly:ASM411807v1:7:5625454:5630211:-1 gene:Vigun07g053100.v1.2 transcript:Vigun07g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSGPDKRLVLLLNKIDLVPKEALEKWLKYLKEELPTVAFKCSTQQQRSNLAWRKSSKKAKSSDILQLSDCLGADTLLKLLKNYSRSHEIKESITVGLIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSQQNNASIALKNCKRIENLEDPISPVKEILKLCLPEQLVTLYKIGSFNVGDADDFLRKVAREKGKLKKDGIHDVATTARIVLRDWNEGKIQYYTMPPNRDQGEPSEAKIVSEFAKEFNVDEVYNNESSYIGSLKSVDDFNVVEVPSSHPLNLTEMMLEDDTETKSGNQGEGPRNVGEVDEAMEDDGGKKKDNSAASRQNEKLYTADGMLNTKLRRAEKNKRRKNKKASMDADYDFKTDYFQKGASMDSEDSESEDDDDEPN >Vigun05g200500.2.v1.2 pep primary_assembly:ASM411807v1:5:38786207:38789719:1 gene:Vigun05g200500.v1.2 transcript:Vigun05g200500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYEVIVWCSLLLSSFIPNITALSSITPNKPLHHNESLVSASGTFEAGFFSIGSSRRYYFCICYKNISPRTIVWVANRNTPLDNSTGVLKVSHGGNLAVLDGTGANFWSSNASTTAQKPTVELLNSGNLVVKDGGGSNAPEKIVWQSFDYPGDTLLPGMKLRSSLVTGAHSSLTSWRNTEDPAVGEFSLYIDPHGFPQRVTTKGGTWLYRAGSWNGYQFSGVPWKLLPNFFNYYFVLTKEEVYYEYELLERSVVTRFVINQAGSDQRFTWSKRTKSWELFASGPREQCENYALCGVNSVCNVNRYPICECLEGFVPKFTEKWRSLDWSSGCVRRMSLSCDNEDGFVKYEGMRLPDTSSSWYDARMSLDECERVCLQNCSCTAYTNLDVRGGGSGCLLWFGNIVDMGKHLSQGQEIYIRMAASDIENTWHKRHINKKLVVVLAAIVAFIIVITLGSVLYIRRKLEKQGKTNIVDQMPHTIKHGKKDIDLPTLDLSTIDNATRSFSVNNILGEGGYGPVYKGVLANGQEIAVKRLSKNSGQGLDEFRNEVVLIANLQHRNLVKILGCCVQEEERILIYEFMPNRSLDLYIFDNTRKRLLDWSKRFQIISGIAKGLLYLHHDSRLRIIHRDIKASNILLDNNMNPKISDFGLARMLVGDHTKANTKRVVGTQLGDCGVKGGLWSWLMKH >Vigun05g200500.1.v1.2 pep primary_assembly:ASM411807v1:5:38786207:38789719:1 gene:Vigun05g200500.v1.2 transcript:Vigun05g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYEVIVWCSLLLSSFIPNITALSSITPNKPLHHNESLVSASGTFEAGFFSIGSSRRYYFCICYKNISPRTIVWVANRNTPLDNSTGVLKVSHGGNLAVLDGTGANFWSSNASTTAQKPTVELLNSGNLVVKDGGGSNAPEKIVWQSFDYPGDTLLPGMKLRSSLVTGAHSSLTSWRNTEDPAVGEFSLYIDPHGFPQRVTTKGGTWLYRAGSWNGYQFSGVPWKLLPNFFNYYFVLTKEEVYYEYELLERSVVTRFVINQAGSDQRFTWSKRTKSWELFASGPREQCENYALCGVNSVCNVNRYPICECLEGFVPKFTEKWRSLDWSSGCVRRMSLSCDNEDGFVKYEGMRLPDTSSSWYDARMSLDECERVCLQNCSCTAYTNLDVRGGGSGCLLWFGNIVDMGKHLSQGQEIYIRMAASDIENTWHKRHINKKLVVVLAAIVAFIIVITLGSVLYIRRKLEKQGKTNIVDQMPHTIKHGKKDIDLPTLDLSTIDNATRSFSVNNILGEGGYGPVYKGVLANGQEIAVKRLSKNSGQGLDEFRNEVVLIANLQHRNLVKILGCCVQEEERILIYEFMPNRSLDLYIFDNTRKRLLDWSKRFQIISGIAKGLLYLHHDSRLRIIHRDIKASNILLDNNMNPKISDFGLARMLVGDHTKANTKRVVGTHGYMPPEYAVYGYFSVKSDVFSFGVIVLEIVSGRKNTRFLDPLNQLNLIGHAWRLWSEGRPLELVDEALGDSVIESEVLKIVHVGLLCVQERAEDRPNISSVVLMLNGERPLPRPKQPAFYPHHEDFSSSTKCEFSSNDMSISLEAR >Vigun06g113600.1.v1.2 pep primary_assembly:ASM411807v1:6:24189201:24191361:1 gene:Vigun06g113600.v1.2 transcript:Vigun06g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEQRGQMQEVDTKLQQHIAFAEDALKKRRRFLSKQLSMCEAPRDIAWERKKKKEGRTRLRTRRNSFHHCDDVTDDDLHELKGCIELGFGFNEEDGQTLCDTLPALDLYFAVNRQMSPVSTPNAGGSSSFGSAISFTPPPPPDSDSWKICNPGDDPEHVKTKLRHWAQAVACSVMQFH >Vigun03g299700.1.v1.2 pep primary_assembly:ASM411807v1:3:48771544:48781291:1 gene:Vigun03g299700.v1.2 transcript:Vigun03g299700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDERPSAKRWLPLEANPDVMNQFLWGLGLPVEEAECCDVFGLDEELLEMVPKPVLAVLFLYPITAKTEEERLQQENEKKDYSNKVYFMKQTVGNACGTIGLLHALGNITSEVKLVEGSFFDKFFKSTASMDPLQRAVFLENDRDMEVAHSVAATAGDTVASDNVDTHFICFACVDGQLYELDGRKSGPISHGVSSQSTLLRDAAKVIQSMIQKNPDSLNFNVIAISKKSGDGH >Vigun03g157600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:17021801:17022628:-1 gene:Vigun03g157600.v1.2 transcript:Vigun03g157600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDGVVMTRSVWACNLEVEFELIHKMIGFFPFISMDTKFPGIIFQSNPGLHQPQTNYAMMKANVDHMHLIQVGLTLSDCHGNLPTFGTSNRFIWEFNFCEFDVTHHPHAPHSIALLQRHGMDFHKNRNFGVSIVRFAELMMLSGLLCNNHIQWTTFHGAYDFAYMVKVLSYRFLYTQPLLPPNLVDFLQVVKFFFGNTVYDVKHLIRFCPNLHGGLDRVSESLGLDNSARKSYHAGSDSLVTLYVFNEIKRLYFRTENDLAKHKGVIYGLEFL >Vigun10g020350.1.v1.2 pep primary_assembly:ASM411807v1:10:2396806:2398459:1 gene:Vigun10g020350.v1.2 transcript:Vigun10g020350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSFLLYLHCCLFFFSCLKTVYFEESDAQFDFPEWFFFSPVDFKYPNSKRINRTTKCGFWKPTGKDREIRSSDSNTLIATKKTLVYYKGRVSRGQKSNWVIHEYHAVTFHQSQRTFVLCRLMKKPGGTTEGGSDEGESSRIMVSGYQNHSIGTTFQQDQTSFPNPSFYDAYFRNESNIEHNSYENTQEQEFVNSFLVQDNYINNEESTNTYFNTFTQSESLRKVYDTDAEAVSQQGDNIMDIPTVFTRYLNLDEYHSSKGFDSELSNADVHDGVCMPSPIHEKKQEKKKKKKSIFSFF >Vigun06g023200.1.v1.2 pep primary_assembly:ASM411807v1:6:10785009:10790966:1 gene:Vigun06g023200.v1.2 transcript:Vigun06g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLFLVREIGASILIFLVTLLSIRTVLRRRERKLPPGPRGWPVVGALPLMGSMPHVTLANLAKKYGPVMYLKMGTNNMVVASTPAAARAFLKTLDQNFSNRPPNAGATHLAYDAQDMVFAEYGSRWKLLRKLSNLHMLGGKALDEWGKVREEEMGQMLSTMYECSKKGEAVVVPEILTYAMANMIGQVILSRRVFETKGSDSNQFKDMVVELMTVAGYFNIGDFIPFLAKLDLQGIERGMKQLHKKFDVLLTNMIQDHVASKHKRQNKPDFLDMVMAHYGEHDAHGDKLSLINVKALLLNLFTAGTDTSSSIIEWSLAEMVKNPSIMKKAHEEMDRVIGRERRLKESDIPNLPYFQAICKETYRKHPSTPLNLPRISNKPCQVNGFYIPENTRLSVNIWAIGRDPQVWDNPLEFKPERFLSGRNKTIDPRGNDFELIPFGAGRRICAGTRMGIVLVHYILGTLLHSFDWKVPHEAGELNMDEAFGLALQKKIPLAAFVTPRLSPNAYIP >Vigun07g084700.1.v1.2 pep primary_assembly:ASM411807v1:7:12600386:12603669:-1 gene:Vigun07g084700.v1.2 transcript:Vigun07g084700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVDEVFFSIARDIKQRLADNDSKTEPSTLKINQPEQGAGSSQGAQKSACCGS >Vigun06g172100.1.v1.2 pep primary_assembly:ASM411807v1:6:29319482:29321964:1 gene:Vigun06g172100.v1.2 transcript:Vigun06g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRDIEFIFSFPKASLCEMFSVIRRKLRHFYSRILWLLWKRPRCKVVIKRFRKLNFKGHQLSKNKSRSDSNGLLVESESGRPIRIATFNVAMFSLAPAVSEFDEWVVSNHEHGSKKKNLRKGDFPKSILKQSPLHASLNKAQSLSDSNILPRSNLKVSINLPENEISLANSRLVASMERKEGTSDRMMGNVSGRHQVPARSPVCFPFVMNYCEGSERFTSSRSILEVLREIDADVMALQDVKAEEEKNMKPLSDLAAALGMKYVFAESWAPEYGNAILSKWPIKKWKVQKIADDNDFRNVLKATIDVPWAGEINLHCTQLDHLDENWRMKQVQAIFRSNDSPHILAGGLNSLYGADYSSERWTDIFNYYEKLGKPRPRSEVMNFMKSKGYVDAKDYAGECEPIVIIAKGQNVQGTCKYGTRVDYILASPNSSYKYVPGSYSVISSKGTSDHHIVKVDIVRVNASAQKNVMRQCRKLKSKVVKITPPCSATGVRESTPPTKILVP >Vigun06g172100.2.v1.2 pep primary_assembly:ASM411807v1:6:29319482:29321964:1 gene:Vigun06g172100.v1.2 transcript:Vigun06g172100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRDIEFIFSFPKASLCEMFSVIRRKLRHFYSRILWLLWKRPRCKVVIKRFRKLNFKGHQLSKNKSRSDSNGLLVESESGRPIRIATFNVAMFSLAPAVSEFDEWVVSNHEHGSKKKNLRKGDFPKSILKQSPLHASLNKAQSLSDSNILPRSNLKVSINLPENEISLANSRLVASMERKEGTSDRMMGNVSGRHQVPARSPVCFPFVMNYCEGSERFTSSRSILEVLREIDADVMALQDVKAEEEKNMKPLSDLAAALGMKYVFAESWAPEYGNAILSKWPIKKWKVQKIADDNDFRNVLKATIDVPWAGEINLHCTQLDHLDENWRMKQVQAIFRSNDSPHILAGGLNSLYGADYSSERWTDIFNYYEKLGKPRPRSEVMNFMKSKGYVDAKDYAGECEPIVIIAKGQRDMQVWHKSGLHFGFPKFFIQICARVLLSDFFKRNF >Vigun04g046700.1.v1.2 pep primary_assembly:ASM411807v1:4:4022675:4028009:-1 gene:Vigun04g046700.v1.2 transcript:Vigun04g046700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSSPAFRYDVFLSFRGEDTRYGLTGNLYKALRDRGIHTFIDDEHLQRGDEITAALLKAIEESRIAIVVLSHNYASSSFCLDELAAILECKNKGLLIIPVFYKVHPSDVRHQKGSYGEAFTKHQRRFKDKKEKLQKWKMALSQVAELSGYHFEEGDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIADCFDSSCFVQNVREKSKKHGLEHLQSIILSNLLGAKDINLTSEHQGISMIQQRLQRKKVLLILDDVDRCEQLQALTGSPDWFGPGSRVIITTRDTQPLASHQVTGTYEVKTLNAKDALQLLTWKAFKTEQVDPSYTEVLNDVVSYASGLPLVLEVIGSNLFAKSVEEWKSAINQYKRIPNNRILDILKVSFDGLEEEEKSVFLDIACCFEGYELTEVEVMLRALYDDCMKYHIGVLVQKSLIKIYYSSTIVMHDLIWDMGRQIDRRESSKKPGKGRRLWLPKDIIQVLRDNTGTRETKILCLDLSISEKEETLEWNANAFRRMKNLKILIIRNGKFSKGPNYFPESLRVLEWHGYPSNCLPSNFHPNKLVTCKLPNSPFTSFGFHGSSKKFENLTDLNFDNCQLLTRMPDLSDLPNLEKLSFERCESLIALDDSIGFLNKLKILKAQHCTKLQRFPPLNLPSLEVLQFPYCYSLENFPEILGKMGKIRELHLYELAIKELPVSFQNLTGLRELYAACDFLQLNSSALMSSLTVVEAYGCKEWKWVNPKDGEEVSLTVSSNIRCFWLSSCNLNDDFFSADFTQLTTVKSLNLSRSNITFLPECIKEFHHLVALDVSYCKYLQEIRGIPPKLRTFRAIDCRSLTSSSSSMFLNQQIHEAGKTMFVLPGGSIPRWLDKESRGPSISFWFRNQFPPKVLCFLIASVRDDTFFRFVTFDVLINGKVQEYQAGYDTDVIMEELDHIHLFDLHVLFSENLIKIPSEKEWKHVEITYDGLFDTSLIKSTGIHIVKSKRRGMKDIRYYDPYTTTKMWPCVMAQKTAREDYDTAATQVNSPITRRKPKRNNTKPKHLNDYDCRGLLPTPPVISPVPPKLNIFPCSPTVKIKNY >Vigun08g207600.1.v1.2 pep primary_assembly:ASM411807v1:8:37028243:37036388:-1 gene:Vigun08g207600.v1.2 transcript:Vigun08g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALESWVLSFQSFNPSSRLFYTAHENRRKSIPINFLGRNSRYSFPFVSSCVGPSTFSTLQVSSFGADAKLLGRTRLRCLDGSSEGLSERNAVSETNLKFMHELLKRGIILAATVCGVLVFGCPRVFAVEGVVDAGYGVIGQSILLLRNTWPKVLQVLRIFKEQGLVLALLLGISAFFSMAETSITTLWPWKVRELAEKESENGVFRLLRSDVTRFLTTILIGTTVVNIGATALVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSLVLYPVGRIVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSSLVDFHHLWVTHQYSRVPVFEQRVDNIMGIAYAMDLLDYVQKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGVFDVDANTSIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGECIKVVLEREDEDDNNESSADQQDQKEKNQTFKLEILAGNARKVSAVRFERKNNNDEMLETKVTRMVPKIMKRKWNNAENLEADDTEYDGDTFAKKPQEDISSEYLVDQESSSTE >Vigun11g140100.8.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLLQRYPLGTRKDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.2.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTGPNCVADKGPVTRSSRETLSKKVAASASATPNRKSERLEKRSPSSPGGLVDPKRKTEKTEMPSPTPLRRSERTRKDCPSDPPASRSSGSGTRQKKRCTGKQLLFEASEDGDDEDEERGVEASSRPRTRRVTEHCHVKKTNGMNRSTEGDDGGGSKIDGYLRRNCLDSANDDKGIRLPEDATSKETRAEPKLSEPVKELSDDDVTVNPMVPSDTASCEPSGLPEKVQLDSCKEERSQNLGSSDFISNENLIRKRIEHDKDESSISSKRKRTTVAMHSDASTLLVDNDNNNNSNLFEDTRPSRICNNVVETSGSCSKRIRQLSLSDVKKDRKKSTNNVDQPSSKISTRDKEDSERPQMNTVETYKIRKQQRSIHLLLKPEIANLCEILHLPDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.6.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQCCGDQWVMFQKDKDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.4.v1.2 pep primary_assembly:ASM411807v1:11:34933534:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVETYKIRKQQRSIHLLLKPEIANLCEILHLPDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.3.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQCCGDQWVMFQKDKDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.7.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940994:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLLQRYPLGTRKDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.1.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940994:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTGPNCVADKGPVTRSSRETLSKKVAASASATPNRKSERLEKRSPSSPGGLVDPKRKTEKTEMPSPTPLRRSERTRKDCPSDPPASRSSGSGTRQKKRCTGKQLLFEASEDGDDEDEERGVEASSRPRTRRVTEHCHVKKTNGMNRSTEGDDGGGSKIDGYLRRNCLDSANDDKGIRLPEDATSKETRAEPKLSEPVKELSDDDVTVNPMVPSDTASCEPSGLPEKVQLDSCKEERSQNLGSSDFISNENLIRKRIEHDKDESSISSKRKRTTVAMHSDASTLLVDNDNNNNSNLFEDTRPSRICNNVVETSGSCSKRIRQLSLSDVKKDRKKSTNNVDQPSSKISTRDKEDSERPQMNTVETYKIRKQQRSIHLLLKPEIANLCEILHLPDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun11g140100.5.v1.2 pep primary_assembly:ASM411807v1:11:34930356:34940985:1 gene:Vigun11g140100.v1.2 transcript:Vigun11g140100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTGPNCVADKGPVTRSSRETLSKKVAASASATPNRKSERLEKRSPSSPGGLVDPKRKTEKTEMPSPTPLRRSERTRKDCPSDPPASRSSGSGTRQKKRCTGKQLLFEASEDGDDEDEERDCHVKKTNGMNRSTEGDDGGGSKIDGYLRRNCLDSANDDKGIRLPEDATSKETRAEPKLSEPVKELSDDDVTVNPMVPSDTASCEPSGLPEKVQLDSCKEERSQNLGSSDFISNENLIRKRIEHDKDESSISSKRKRTTVAMHSDASTLLVDNDNNNNSNLFEDTRPSRICNNVVETSGSCSKRIRQLSLSDVKKDRKKSTNNVDQPSSKISTRDKEDSERPQMNTVETYKIRKQQRSIHLLLKPEIANLCEILHLPDNVKSMVQNCLEYTMNNYQICTEPLPILQAFQLSLCLTAAALLNHKLNFEASLLLAKQHLNFDCKKEIVDEINSRLWDLKEKFLSLKGNSNVAGCAKASESSSGAYSYTEVTPEAELVKTDNSVNTKTVQKRKSQWNKLLLMQREEKIKLKKDIENENAEIQRRHQIEWEAIQSCSPGNLTNDGKLQASKSSYMKRTRELNRQQEIRLKDLEVKQLKARLMFQESSAPDELLNPIASNKVGTMVKSLQICDQAQHHNAPKVLVSDHVAEEKGFNDTVEVKERIESGVGSSEAPDANASVVAPFSSTVELQTRLVKNADDEMDIVASKDGLVSEIKCSNIVENEYESLGNIISKHTKSREHRSDGVIRVLDGEECVNINHESHNDFGQNAIQVLPSYNEDIRHGETLDVLSAEVAPLVCNTSSSENDLVEIPSRQGELNGTIQIKPVCGPSIEVAANGSNDGEKNMTLLNSQSSEEHIPSVNTMCTPYCENTAQIHDADNNYGSNNADTLNSSLYDERISSVNSKSPQDRIHNENDKHTLDCETFALNGSGSDNFILNSPLVDERNADRTIVLNRDAHGGIHVAVNLTSSTKEISRGAVNDSVLSSVLSKPCGADSSSNNSSDANAILSNQTSIEKQNHDGVSSSIPVRQVPVGVSETNESAIVNVLDAEEASWMPDAVNYPDNVIPQNSSSMDQLANGGPVFDDNLSSETCTTSPSNSRALPDEHVSVLMPENSLGEVEFQLTHNVVIDKSATLDQQEGVCTTMTENSSYQETPVSRPVDFMESLEQVQSLSFVESPPHLDTTRETQNYVVSSVVDVVQANQSINDSLVMEPPQEGQFPSADFFSSDWDLSNLPLVTRTEDQSYNEDDLLDHIPGTLIEIQNQSFVQHPSNSDQQEGVCRTMIGNSLSQETPISLSVDDLMEPLEQVQPLSSLESPPDQNTTIEMQNSLVSSSVDTVPANVSTNDSLVMEPPGKEGQLPSASILSSNDGDPSNLPTVTGTENQPSNENDLPNHVPETSIEIQDQVVQCTSNVELDSSCLRQDVHPASNMDLVSHVVAVVRQQSSNTRNLSTLTEINNHPIQPASQSDSRIIQRLALDPLKCEMERLHRLVEDNKKDFEERKLKLKQDFEKDLEELYRKFDIKHKENEVEVQKIRKDLDRQHSIVNVNMKLAEACRVKSMNFLLSGSPSMHKDASPVQQLFQVASPQNATAPNVVARPSSREYSAASLQSSYATTTSQTMVPPIQATYSSPGTFSVTPSRLPRINPLTSLLGNVQTGGEIRAPAPHLHPYRAPTPVPASTFCTVLRGRPSQPAPGNIHVTSPPFSYQTPRPAPATFPPVLHGGLWPPTLPVINPHTEPNSQHGTYLPNVRPHMPDLPSMNLSKCDKSSITTATSAHPATSSDVVCLSDDE >Vigun03g423600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62913606:62915649:-1 gene:Vigun03g423600.v1.2 transcript:Vigun03g423600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLSAASKGQKPEEFLCNTLKRDGLNCSSLQRMVSDNKNDLVPVTKRRRRLNACSRAKKNSNTANFFVVPRGKEKEPGFCLDTENSKSSANVSANFFVATRVEQEEASLQKSKFSERVSWDIPPQEKQSLAGFPTQKNKRLADSLSNLSSIINEEAVPDTSSSGAMDQCSKPQPRTMIDLNLPVSPEVEVDEPFVNVVTEIQQNEIAKESNDLSVGTNSKLSDQPEQQPDMHTRRQSTRNRPPTTKVLEAFAFGYLDRKEKRKSRDYLQDGSITRSSVSRKVGGSSNAGTGLEKEEKTDIVINGSPGSDCNIHRLQV >Vigun11g101100.1.v1.2 pep primary_assembly:ASM411807v1:11:29621335:29625691:1 gene:Vigun11g101100.v1.2 transcript:Vigun11g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLEHPSVVQKLAGQSYLVSRLSPNFHSRNYSATGINLNGGLHTSGLAALSSVSPITAHAPAEKGPDAFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLIDVYRKTIKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLHAFQTIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLIMFGKKYGSGGG >Vigun09g240700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41065769:41067077:1 gene:Vigun09g240700.v1.2 transcript:Vigun09g240700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINTTSSTTTSSSSDSSSSDSNHNNPHRIKGPWSAEEDRILTRLVDRYGPRNWSLISRYIKGRSGKSCRLRWCNQLSPTVEHRPFSPQEDETIIAAHAQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRAKAFLDTNVSKANNEGATSSTPSRSFQDDPLTALTLAPPVSLAPPWPRTPCWITGYRRRVRRRGFGI >Vigun08g156700.1.v1.2 pep primary_assembly:ASM411807v1:8:32912295:32918197:-1 gene:Vigun08g156700.v1.2 transcript:Vigun08g156700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRREEDLFSGGGVDPSNGDDFDDSSSVDSATSAGEDGRARTQVGLTERLTDIFVDERDGDLLIQQTNREDRFLQWLQALDLQVMGACRADERLKPLLKMSTSCGVAEDPLLAQLTQHFEPSEVGMLARCFCVPLVSIRVGKINKEGIRFCPTSNRGNLTLVLLPSSDLRLSFIGDDGKTERLFTLTSKSQCSAVVVDEIPTDTSGRSFFISAADSRAFYFWCCEKSKLLGIELLGKMKDLLKRKPSIVELSGISKSRLDCFATQLRAFLVGSTGDRSGSDHSVCTSTCANSDTCCNVSSESSSPSSSKFPRSRNNGGQTAKGDTALYQGILSPRSSSFKEVPPRNLSSHRIAAREKIKRRGENHQQMVDNLTNDSANISDISSSSDHDKASEVTKTLAFSPSFLGSLGKLGVPSSLGLGGEVPPVVSPLFSPYYCFCPPGISSCPSIAAATQTPKSSIEALPFPSGASFLPSPLSTTLLDPVQPLGASMDFPPFLPDPLVRMSLPSSQQIPTFTPLMCDPIVHVPVIDVCSSGQGYLVSAGPAMSTSIPPLHPNLVKPLIPESDAVVKGARETLRLLISGSSQNQQMIRDTLPAILNNPDEKQNNILVAGSRGLYTGTRDINVFANSIAAMGLVSLSGVSKEDSGSYSEVCDNYGIMEEAGKNSNDSGGGFLGDDGGASLDSK >Vigun08g156700.2.v1.2 pep primary_assembly:ASM411807v1:8:32912295:32918197:-1 gene:Vigun08g156700.v1.2 transcript:Vigun08g156700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLRKNKEFTATAAWGRLKGLGSIFYHPSFWLWKEKSGSELGLIFVGGNLTLVLLPSSDLRLSFIGDDGKTERLFTLTSKSQCSAVVVDEIPTDTSGRSFFISAADSRAFYFWCCEKSKLLGIELLGKMKDLLKRKPSIVELSGISKSRLDCFATQLRAFLVGSTGDRSGSDHSVCTSTCANSDTCCNVSSESSSPSSSKFPRSRNNGGQTAKGDTALYQGILSPRSSSFKEVPPRNLSSHRIAAREKIKRRGENHQQMVDNLTNDSANISDISSSSDHDKASEVTKTLAFSPSFLGSLGKLGVPSSLGLGGEVPPVVSPLFSPYYCFCPPGISSCPSIAAATQTPKSSIEALPFPSGASFLPSPLSTTLLDPVQPLGASMDFPPFLPDPLVRMSLPSSQQIPTFTPLMCDPIVHVPVIDVCSSGQGYLVSAGPAMSTSIPPLHPNLVKPLIPESDAVVKGARETLRLLISGSSQNQQMIRDTLPAILNNPDEKQNNILVAGSRGLYTGTRDINVFANSIAAMGLVSLSGVSKEDSGSYSEVCDNYGIMEEAGKNSNDSGGGFLGDDGGASLDSK >Vigun10g156100.1.v1.2 pep primary_assembly:ASM411807v1:10:37586069:37589865:-1 gene:Vigun10g156100.v1.2 transcript:Vigun10g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEHEDDLKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Vigun05g194800.1.v1.2 pep primary_assembly:ASM411807v1:5:37715913:37728632:1 gene:Vigun05g194800.v1.2 transcript:Vigun05g194800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRYPSSDGSTHLGGIIKHNRKCRDITVLVIFIAFWIAMIVNSSFAFNRGNPLRLTYGLDYKGNVCGQKHAAQDLRELELKYWLNPNQVYQSGLKDSQFKLSNARSICLLDCPIPSEDSLTWVCDYPEGDIRVSLNDWIDMNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVNIKEDIVIDKSIHEYINSQSAVLKRYMADIGKSWPVLIVCGGILPLFLSVIWLLMIRHFVAAMPWVTVVLFNVLMISITMLFYLKVGWIGNDVISPIIGEHDPYIHVYVRELTHLRVFTVLMSFIMLVAILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPCGILAIFYIFWISAALHLFSSGEVVQNNCNSNCCTYDLIEKKVICDRCCGYSIHYTPHIGAAILFHLFGGYWATQFFIGCLSTVIAGSVASYYWAHGEASPEIPFLSVFASMKRLMLYSLGSVALGSLIVSFVESIRFLLESIRRKLKVSGDVPDSWIGKAAYRSSQCFQRCIGWTLKSVNRNAYVMIAITGKSFFKASAVATELIMSNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYRSAHNKISSPLFPVVVCWGLGYVVATLFFAVVEMSIDTIILSFCQDSEEHGTAQYAPPLLIQTLEDQNETQRLTQ >Vigun05g194800.3.v1.2 pep primary_assembly:ASM411807v1:5:37716553:37728633:1 gene:Vigun05g194800.v1.2 transcript:Vigun05g194800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVNIKEDIVIDKSIHEYINSQSAVLKRYMADIGKSWPVLIVCGGILPLFLSVIWLLMIRHFVAAMPWVTVVLFNVLMISITMLFYLKVGWIGNDVISPIIGEHDPYIHVYVRELTHLRVFTVLMSFIMLVAILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPCGILAIFYIFWISAALHLFSSGEVVQNNCNSNCCTYDLIEKKVICDRCCGYSIHYTPHIGAAILFHLFGGYWATQFFIGCLSTVIAGSVASYYWAHGEASPEIPFLSVFASMKRLMLYSLGSVALGSLIVSFVESIRFLLESIRRKLKVSGDVPDSWIGKAAYRSSQCFQRCIGWTLKSVNRNAYVMIAITGKSFFKASAVATELIMSNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYRSAHNKISSPLFPVVVCWGLGYVVATLFFAVVEMSIDTIILSFCQDSEEHGTAQYAPPLLIQTLEDQNETQRLTQ >Vigun05g194800.2.v1.2 pep primary_assembly:ASM411807v1:5:37715895:37726530:1 gene:Vigun05g194800.v1.2 transcript:Vigun05g194800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRYPSSDGSTHLGGIIKHNRKCRDITVLVIFIAFWIAMIVNSSFAFNRGNPLRLTYGLDYKGNVCGQKHAAQDLRELELKYWLNPNQVYQSGLKDSQFKLSNARSICLLDCPIPSEDSLTWVCDYPEGDIRVSLNDWIDMNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVNIKEDIVIDKSIHEYINSQSAVLKRYMADIGKSWPVLIVCGGILPLFLSVIWLLMIRHFVAAMPWVTVVLFNVLMISITMLFYLKVGWIGNDVISPIIGEHDPYIHVYVRELTHLRVFTVLMSFIMLVAILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPCGILAIFYIFWISAALHLFSSGEVVQNNCNSNCCTYDLIEKKVICDRCCGYSIHYTPHIGAAILFHLFGGYWATQFFIGCLSTVIAGSVASYYWAHGEASPEIPFLSVFASMKRLMLYSLGSVALGSLIVSFVESIRFLLESIRRKLKVSGDVPDSWIGKAAYRSSQCFQRCIGWTLKSVNRNAYVMIAITGKSFFKASAVATELIMSNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYRSAHNKISSPLFPVVVCWGLGYVVATLFFAVVEMSIDTIILSFCQDSEEHGTAQYAPPLLIQTLEDQNETQRLTQ >Vigun05g194800.4.v1.2 pep primary_assembly:ASM411807v1:5:37716553:37726530:1 gene:Vigun05g194800.v1.2 transcript:Vigun05g194800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDYFEFLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVNIKEDIVIDKSIHEYINSQSAVLKRYMADIGKSWPVLIVCGGILPLFLSVIWLLMIRHFVAAMPWVTVVLFNVLMISITMLFYLKVGWIGNDVISPIIGEHDPYIHVYVRELTHLRVFTVLMSFIMLVAILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPCGILAIFYIFWISAALHLFSSGEVVQNNCNSNCCTYDLIEKKVICDRCCGYSIHYTPHIGAAILFHLFGGYWATQFFIGCLSTVIAGSVASYYWAHGEASPEIPFLSVFASMKRLMLYSLGSVALGSLIVSFVESIRFLLESIRRKLKVSGDVPDSWIGKAAYRSSQCFQRCIGWTLKSVNRNAYVMIAITGKSFFKASAVATELIMSNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYRSAHNKISSPLFPVVVCWGLGYVVATLFFAVVEMSIDTIILSFCQDSEEHGTAQYAPPLLIQTLEDQNETQRLTQ >VigunL059142.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000293.1:1437:2002:1 gene:VigunL059142.v1.2 transcript:VigunL059142.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRARRLSVDCSSCFRGESGSSRAGRGTDWERALRGLFPGRRTVDSELVRTRGIRLFN >Vigun02g042150.1.v1.2 pep primary_assembly:ASM411807v1:2:17147328:17147942:1 gene:Vigun02g042150.v1.2 transcript:Vigun02g042150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIPYLVVIFMLLLFMTKFSSTRRTLTNITFLMRDVLNGSSTQYSEKPTTVNVTDQIPQSTATIPLNNAPSKTLDLSTIGFSFPTRATLQELEYGSITSIDEDMLESDGDKVKNVGKAEGVYVVSSKDGSSHIVAITASFLKGDALRLFGVLKIDVFESHVAIIGGTRKYYDANGYVAVKVVHKVGSKDG >Vigun09g135800.2.v1.2 pep primary_assembly:ASM411807v1:9:29649450:29651366:-1 gene:Vigun09g135800.v1.2 transcript:Vigun09g135800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIWVEVCLISAHGLQHSTSLWKRQWFAVGWIDINSKYCTKVVDSGSANPVWRTKFAIPVEDSAPNLLDLALNVEVYSTDPIFFTEKLHGSATVFLREFLFKQVKNSEVSNSRQQGVGSYQLRKKSSSKPRGFIDILICISNEKKEPNPHSGNKDGILFLDDGDSTQFNNIEGGVRQAYRRKPQASIHQPEDHVHTNVPDYHSVPFTTTNYYNPYVGEASYHETGEASYHEMGETSYHETGETSYQEVGQQSYHEATGPSYLLPRTITPPPPSPPSNVGYISTFLPINEGLSPSYTAPGKRVHAGGAMGLGAGALAAGAGALAAGAVIFGDDFMSGFDVPPDLGDSSFNLEMDPRF >Vigun09g135800.1.v1.2 pep primary_assembly:ASM411807v1:9:29649390:29651366:-1 gene:Vigun09g135800.v1.2 transcript:Vigun09g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIWVEVCLISAHGLQHSTSLWKRQWFAVGWIDINSKYCTKVVDSGSANPVWRTKFAIPVEDSAPNLLDLALNVEVYSTDPIFFTEKLHGSATVFLREFLFKQVKNSEVSNSRQQGVGSYQLRKKSSSKPRGFIDILICISNEKKEPNPHSGNKDGILFLDDGDSTQFNNIEGGVRQAYRRKPQASIHQPEDHVHTNVPDYHSVPFTTTNYYNPYVGEASYHETGEASYHEMGETSYHEVGEPSYHETGETSYQEVGQQSYHEATGPSYLLPRTITPPPPSPPSNVGYISTFLPINEGLSPSYTAPGKRVHAGGAMGLGAGALAAGAGALAAGAVIFGDDFMSGFDVPPDLGDSSFNLEMDPRF >Vigun10g130150.1.v1.2 pep primary_assembly:ASM411807v1:10:33852820:33853362:-1 gene:Vigun10g130150.v1.2 transcript:Vigun10g130150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRTSSIRPQHELPKSNLLVIDERYPHIVYVEGETADDIGNKISFNIEAK >VigunL061027.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:44082:44441:-1 gene:VigunL061027.v1.2 transcript:VigunL061027.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >VigunL028401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000645.1:106:1599:-1 gene:VigunL028401.v1.2 transcript:VigunL028401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSILNIFQPSNVNMSMPNGQFRAPYPMQNMNQQLPTQLSSPSQGVPHGMHPGSFPMFGFPNQLPQAMVPQSSLLSPSPQLGFEPGRHVRLHIDPNEKNLAPPNVNANAFVSQSPFSSHQLQGNTSGSLNSDLAHTSNSQPPALLKSHSQVNPYGNIKTNVPNTNWNGSPSKNFKIDQHEGGLKEDSRSLNLMM >Vigun02g057000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20081654:20084200:1 gene:Vigun02g057000.v1.2 transcript:Vigun02g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASPSSSSMILPSLQPRNTLSKVSIFQHFTPPTTLFPSRACSSKPSPTISPVSSKFPSFLRCSTKPDTSADSETQHNKSNNEPNSVSNSQSVSQSDSAKCEAFSSSRPLESSHSSSSRGLVLDLGPSNAWDSADIGSPVVKRFLSDEEERWYMWYHGRAKGHPSFDLIGLAISKNGVHWERGGGPARSSSDVGFVMSCGKDWWGFDTGGIRPSEMVIMSSSRVRASSAVYWLYYTGYVSDRVEFSDQSLEFNLENPDGINGDVRCGNGKVLKSLPGLAISQDGRHWARIEGEHHSGALLDVGSGKEWDSLFISSPQVVYHGNGDLRMYYHSFDVEKGHFGIGIARSRDGIRWVKLGKIMGGGRDGSFDEFGAMKPYVTRNRSGGNYVMAYEGVAADGRRSIGMAVSPDGLKEWMRLQDEAILRPSEQGCWDDKDVGSPCMVEMGAAGNEWRLYYRGVSNGGRTGIGMAVSEGKDIRSFRRWKVFRV >Vigun01g237200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40840084:40841480:1 gene:Vigun01g237200.v1.2 transcript:Vigun01g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNFNPNADPTLNFNDQDPNTTANPQLPLQNLSQVRTRIDSLQHFLSQSISTNTPLTTDQIAMVSTHIISSIHQIIVNGAALVTYSQHSTAAVTPDASSYPKPEPSATDKTKQLLDSKLEPPEDDDGVEDDVVDCEIVELDAVELLAEHIHFCEICGKGFRRDANLRMHMRAHGDQFKTPEALARPSETGAARRAMRFSCPFEGCNRNKLHRRFRPLKSVVCLKNHFKRSHCPKMYSCTRCHKKHFSVLSDLKSHAKHCGECRWKCTCGTTFSRKDKLFGHIALFEGHAPALACDEEDKGKQVAECDEENPMLTTESGFGLGNCFGDEELPEGFFDDFGSIDDYCLREVLGFPSN >Vigun02g172400.1.v1.2 pep primary_assembly:ASM411807v1:2:31503404:31509056:-1 gene:Vigun02g172400.v1.2 transcript:Vigun02g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAIDIYFPPACVQQEALETHDGASKGKYTIGLGQDCMSFCTEVEDVISMSLTVVTSLLEKYEIDAKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPISFESKYRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKSFCHKYEKFEGKQFSLSDAAYFVFHSPYNKLVQKSFARLYFNDFLRNASSVDEDAKEKLGPFANLSGDESYQSRDLEKTSQQVAKPLYDEKVQPTTLIPKQVGNMYTASLYAAFASLIHNKHSTLAGKRVILFSYGSGLTSTIFSLKLRDIQHPFSLSNIARVMDVDGKLKSRHEFPPEEFVETLKLMEHRYGAKEFVTSKDSSLLSPGTFYLTEVDSMYRRFYSEKTKESRSTPAANGVVANGVIANGH >Vigun04g101900.1.v1.2 pep primary_assembly:ASM411807v1:4:23523764:23528856:-1 gene:Vigun04g101900.v1.2 transcript:Vigun04g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPMFYKMPYKDKNLPAQNAMRQSEKRFLYECYKMKRSIFMCRSFQPWNKVNNALTKSNTRERNVPRCTLHDKVSLHKNVTRLCVSDNQRCYSKKENFSRVWCSAGSSSTEKQLRSLDSYFGKLQDNEKLRTFDSSHKVTQVYQTECQTRSETAIESLDEYLGKINHGANQESRMPYYVENSSEENLAPKQSVSEDIERSHFRKQNAFVGIRRLKDVHGPRSDTDSLQHFETSSLYLIGILVSINIAVFLFEIASPVRTSDVEMFSIPLLYGAKINHLIMVGEWWRLITPMFLHAGIFHMGLSCWALVTFGPQVCKDYGSFTFFLIYILGGIACNFTSFLHTPDPTVGGTGPVFAIIGAWLMYQIQNKDLIASDASESLFQKAVIITALMFILSHFGPIDEWSHFGAAFSGMAYGFLTIPTLQLNDTSSGTSQEEGLKLVRKQGDSCKSLFIFTIFIVVLSSFLLFMEPPPNALASVNAAAFDALEYVLIFG >Vigun11g021866.1.v1.2 pep primary_assembly:ASM411807v1:11:2652772:2661449:1 gene:Vigun11g021866.v1.2 transcript:Vigun11g021866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTGSCFIKYDDHMFYQKSSVAEPNLQIGGNNRSKILTISFSVTGSITVLCLSVYWCRNRTRKDGLITRAIRLSSYHNIQTEDTLNPDLPTIPLITILQSTDKFSEVSKLGEGGFGPVYKGILPDGRQIAVKRLSKFSGQGSEEFNNEVMFIAKLQHRNLVRLLACCLEENEKILVYEYLPNKSLDFHLFDNERKKHFDWKLRLSIINGIARGILYLHEDSRLKVIHRDLKASNVLLDPEMNPKISDFGLARTFEKGQNQANTKRVMGTYGYMAPEYAMEGLFSVKSDVFSFGVLVLEIICGRKNSGFYLSEHGQTLLLYAWRIWCERKCLQMMDPTLEKSFVGSEVERCIQIGLLCVQEDAKDRPTMSDVVVMLASDAMALPEPKHPPFSVGRTTLEDFSTPKSSKNLSINDATTSITIPR >Vigun01g013900.3.v1.2 pep primary_assembly:ASM411807v1:1:1554258:1559726:1 gene:Vigun01g013900.v1.2 transcript:Vigun01g013900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIDTADKKVLADIVKLAQKRGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEDEHLKFFDNIMRHHANQYLLERMKDKSHDSPEQRLVQITLQHPLYPLDYSFPSIDEGWIVINVKNKPKVLKSTTMLAVDCEMVLCEDGTEAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSLKKLLSKGTILVGHSLHNDLHVLKLDHVRVIDTSYIFQSVNGSMHRRPSLNGLCQAVLGCAVREKGVPHNCLDDARAAMKLVLAKIKHGVDKEFPISLAQERVPENETAKLFLHMIPNTLNTEALHTIIPGEFRTELQPNRKGPGRHYSALAVFKNTQEADDAFEKVQGILLKDNQGRPQKLVTLLSSKVMPVTLYVRKMASDEPNDQTESNKRALQTDEAVDVSKKAKIDQNTEEDAHLKEIEALNQRLKQSELEIESLKKELTQKDFEISTLHKMVASLNKRRK >Vigun01g013900.4.v1.2 pep primary_assembly:ASM411807v1:1:1554258:1559726:1 gene:Vigun01g013900.v1.2 transcript:Vigun01g013900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIDTADKKVLADIVKLAQKRGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEDEHLKFFDNIMRHHANQYLLERMKDKSHDSPEQRLVQITLQHPLYPLDYSFPSIDEGWIVINVKNKPKVLKSTTMLAVDCEMVLCEDGTEAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSLKKLLSKGTILVGHSLHNDLHVLKLDHVRVIDTSYIFQSVNGSMHRRPSLNGLCQAVLGCAVREKGVPHNCLDDARAAMKLVLAKIKHGVDKEFPISLAQERVPENETAKLFLHMIPNTLNTEALHTIIPGEFRTELQPNRKGPGRHYSALAVFKNTQEADDAFEKVQGILLKDNQGRPQKLVTLLSSKVMPVTLYVRKMASDEPNDQTESNKRALQTDEAVDVSKKAKIDQNTEEDAHLKEIEALNQRLKQSELEIESLKKELTQKDFEISTLHKMVASLNKRRK >Vigun01g013900.1.v1.2 pep primary_assembly:ASM411807v1:1:1554258:1559726:1 gene:Vigun01g013900.v1.2 transcript:Vigun01g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHQLNMEEKIDTADKKVLADIVKLAQKRGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEDEHLKFFDNIMRHHANQYLLERMKDKSHDSPEQRLVQITLQHPLYPLDYSFPSIDEGWIVINVKNKPKVLKSTTMLAVDCEMVLCEDGTEAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSLKKLLSKGTILVGHSLHNDLHVLKLDHVRVIDTSYIFQSVNGSMHRRPSLNGLCQAVLGCAVREKGVPHNCLDDARAAMKLVLAKIKHGVDKEFPISLAQERVPENETAKLFLHMIPNTLNTEALHTIIPGEFRTELQPNRKGPGRHYSALAVFKNTQEADDAFEKVQGILLKDNQGRPQKLVTLLSSKVMPVTLYVRKMASDEPNDQTESNKRALQTDEAVDVSKKAKIDQNTEEDAHLKEIEALNQRLKQSELEIESLKKELTQKDFEISTLHKMVASLNKRRK >Vigun01g013900.2.v1.2 pep primary_assembly:ASM411807v1:1:1554258:1559726:1 gene:Vigun01g013900.v1.2 transcript:Vigun01g013900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHQLNMEEKIDTADKKVLADIVKLAQKRGLRGKLGDWKEFLDSHDKKFGANLSDPSKRPHELLATFLKSFSEDEHLKFFDNIMRHHANQYLLERMKDKSHDSPEQRLVQITLQHPLYPLDYSFPSIDEGWIVINVKNKPKVLKSTTMLAVDCEMVLCEDGTEAVVKVCVVDHNLEVKLNEFVKPNKKIVDYRTEITGISSQDLEAVTCSLADIQKSLKKLLSKGTILVGHSLHNDLHVLKLDHVRVIDTSYIFQSVNGSMHRRPSLNGLCQAVLGCAVREKGVPHNCLDDARAAMKLVLAKIKHGVDKEFPISLAQERVPENETAKLFLHMIPNTLNTEALHTIIPGEFRTELQERSRKTLFCLSCF >Vigun09g217500.1.v1.2 pep primary_assembly:ASM411807v1:9:39124421:39126217:-1 gene:Vigun09g217500.v1.2 transcript:Vigun09g217500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLCDSCKSATATLYCRPDAAFLCGACDSKVHAANKLASRHPRVALCEVCEQAPAHVTCKADAAALCLACDRDIHSANPLASRHERLPVAPFYESVHSVKASSPINFLDDHRFFSDADADVSTEEAEAASWLLPTPKTDLNSSQYLFSESEPVPYIDLDYAVADPKADPKGSATADGVVPVQSNYEPFAYGYKYNSTTLSQSQSQMSQSVSSSSMEVGVVPDGNTMSEISNCSYSKVAAVTVTAQFSAADREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRSDADPLSGYGVVPSC >Vigun03g370300.1.v1.2 pep primary_assembly:ASM411807v1:3:57284941:57287996:1 gene:Vigun03g370300.v1.2 transcript:Vigun03g370300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQGANNNSLFNNNNGGNNQQQIHFDATSNDDFLKQMLSNLPSSSPWTLDPKHNPLWDPNSDETTPENNVVFPYDLEQANLASKFRNHQITDDKASALMLQHHHQLLLSSAAANSPLLQMPVSSLNDVVHASSFKSPAPNADPSSVQPLYNAFSASLQGAAQPSNQTHHFQLPQQGQSFGASAPASGGGGGASGQPKQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADISSEGGADCVQQKRNNTNGNSNGNHGSSNDTLTTTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISKATCHTRNPFANGDGPSSPGISALTLHSSTLSNGLVKDTASVSKS >Vigun11g131700.1.v1.2 pep primary_assembly:ASM411807v1:11:34007419:34014725:1 gene:Vigun11g131700.v1.2 transcript:Vigun11g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLKPFLSSELGLGHNIFYFQAFTEKKQRMGTLFADEKSEDPNGVHQLHHQHDADAGALFVLKSKGSWLHCGYHLTTSIVAPPLLSLPYAFTFLGWTAGISCLVIGALVTFYSYNLISRVLEHHAHNGKRQLRFRDMARDILGPRWGRYFVGPIQFAVCFGAVVACTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCFMLILAQIPSFHSLRHINLLSLILCLAYSAGATAGSIYIGDSSKGPKKDYSLKGDTENRLFGVFNAIAIIATTYGNGIIPEIQATLAPPVKGKMFKGLCVCYFILIVTFFSVSVSGYWAFGNESKGLILSNFVDNGNPLVPTWFIYMTNIFTITQLSAVGVVYLQPTNEVLEQAFGDPKSPEFSKRNVIPRVISRSLAITISTIIAAMLPFFGDINSLIGAFGFIPLDFILPVIFYNFTFKPSKRSFIFWLNVTIAVAFSALGAIAAIAAVRQIVIDAKDYRLFANV >Vigun11g131700.2.v1.2 pep primary_assembly:ASM411807v1:11:34007391:34014725:1 gene:Vigun11g131700.v1.2 transcript:Vigun11g131700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFADEKSEDPNGVHQLHHQHDADAGALFVLKSKGSWLHCGYHLTTSIVAPPLLSLPYAFTFLGWTAGISCLVIGALVTFYSYNLISRVLEHHAHNGKRQLRFRDMARDILGPRWGRYFVGPIQFAVCFGAVVACTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCFMLILAQIPSFHSLRHINLLSLILCLAYSAGATAGSIYIGDSSKGPKKDYSLKGDTENRLFGVFNAIAIIATTYGNGIIPEIQATLAPPVKGKMFKGLCVCYFILIVTFFSVSVSGYWAFGNESKGLILSNFVDNGNPLVPTWFIYMTNIFTITQLSAVGVVYLQPTNEVLEQAFGDPKSPEFSKRNVIPRVISRSLAITISTIIAAMLPFFGDINSLIGAFGFIPLDFILPVIFYNFTFKPSKRSFIFWLNVTIAVAFSALGAIAAIAAVRQIVIDAKDYRLFANV >Vigun08g029100.1.v1.2 pep primary_assembly:ASM411807v1:8:2647611:2657524:-1 gene:Vigun08g029100.v1.2 transcript:Vigun08g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEDDEDETMHSGVDVEAFQAALNRDIGGDVSASQFPGSDAVLSQGSNNTSSQSSSQWPTSNHDNQSDGQNQEPKTAQEQHSSEMEPKQHGSLGEHLQHVASQDVNNIHLSQKQSQDDSHQAPAVQVPLHNSQTIGIHNSGKDSVLNKEVVKSHNPSSESQYAKLQQMSNQQATVSEQPSSQVNRSNKQVPFGLLLPILLPQLAKDRAMQLQTLFTKLKKDEIPKDSFVRLMKGIVGDQMLRQALAKVQMQPQARPNQASAGQQLPVRMPTVSSGARQLNDPHALAQMHQRSMNVAVDQSRMSSSAGQTMDSNARKSQEFDVKIESQGLQPNQLTSSNSNSIGQETERASVHIQGLNKQQQHHLHFASAYGNSGGNYNPYSGTTSSSTSSIKPQSHDSHMNQIPHQSIGSNHLGGSTHGLSVIGMPKLEQQTSFNDPKRLPGGSVSSAVNNTASQQTSNAWQPSTNKEQNLGLMSSVSYVKKEPGDLSTEQQNRHNLSKLHGYSSVNSAQLEQSGASQGALKDEFSRGLPASTNMPPTTSTGLLPHSSASASAVNHLDSSVLLSSQIPSNASGIAARPSLKKSAATQKKPLEALGSSPPPSSKKQKTSGGYAEQSIEQLNDVTAVSGVDLREEEEQLFSGPKEDSRVSEASRKAVQEEEERLILQKAPLQKKLIDIMAKCGLKGMSNDVEKCLSLSVEERMRGLISNLIRLSKQRVDFEKTRHRTVVTSDVRQQIMTINRKVREEWEKKQAEAEKLRKLNDVDGNTGGDGDKDKDDGRSKSTKVNKEEDDKMRTNAANVAARAAYGGDDMLSKWQLMAEQAKQKREGGVDVSSGSQPAKDVNRKSSSTSERSTKDNQEGEKRGSTPFLASSVARKLGKSHAVAPQTRVARSISVKDVIAVLEREPQMSKSPLIHRLYEKIHSEAPVEQG >Vigun08g033800.1.v1.2 pep primary_assembly:ASM411807v1:8:3141309:3143818:-1 gene:Vigun08g033800.v1.2 transcript:Vigun08g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGCSEVSVWKEALSAYQSRIQTLSLAKNKPNLVSLDEFYCNHLPSLLRQRNPNPFLTTSELSSLMQWKLTRGKWRPRLLDFVSSLDDAVVKSASEKAFQSLPDVSKAVDELTVLKGVGPATASAVLAAFSPHLTPFMSDEAMEAALGNSKDYSLKQYLKFVDKLQMKAKELSSEGDSFTPSDVERALWSYAVGQSSSPDSNQEPKTKPSRSSKRKRKN >Vigun09g271750.1.v1.2 pep primary_assembly:ASM411807v1:9:43360920:43361377:1 gene:Vigun09g271750.v1.2 transcript:Vigun09g271750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCRRQTDTGHKRSHDVVLETTEKLRRVLYICWRCANMMTTSKMKGTLHVGESVNYVAMLG >Vigun03g028900.2.v1.2 pep primary_assembly:ASM411807v1:3:2164985:2169314:1 gene:Vigun03g028900.v1.2 transcript:Vigun03g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRSMVNAERRGKAMVELKPISTVMSSFLRIMKNHGYIKDFQVYDPHRVGRITVELQGRINDCKALTYRKDIKARDVEAYRLQTLPTHQWGYVVITTPDGVLDHEEAIKKNC >Vigun03g028900.1.v1.2 pep primary_assembly:ASM411807v1:3:2164985:2166357:1 gene:Vigun03g028900.v1.2 transcript:Vigun03g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRSMVNAERRGKAMVELKPISTVMSSFLRIMKNHGYIKDFQVYDPHRVGRITVELQGRINDCKALTYRKDIKARDVEAYRLQTLPTHQWGYVVITTPDGVLDHEEAIKKNVGGQVLGYFH >Vigun01g021700.1.v1.2 pep primary_assembly:ASM411807v1:1:2298374:2303184:-1 gene:Vigun01g021700.v1.2 transcript:Vigun01g021700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFTPHYHQFPIHFTNKLSPKLKPKIFFRAFSVSSSHSVSSSTLTQTQNLSDPPIVVPEKKRRVKVSDTQFKENWLASLSYPFPEKTPLLNSEHDPTQQNDGFKWVLGIDPDVSGAVALLKTNGSVCSAQVFDSPHVKILVGQKNRTRRRLDAKSVVQLVRSFDAPIGTTAYIEQSLPFPQDGKQGWWSGGFGYGLWIGILVASGFSVIPVPSFTWKAKFELSGSMTSKDDSRRLASTLFPSLTSMLSRKKDHGRAEALLIAAYGQDQSKSLESSCCDKFET >Vigun01g021700.2.v1.2 pep primary_assembly:ASM411807v1:1:2298374:2303184:-1 gene:Vigun01g021700.v1.2 transcript:Vigun01g021700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFTPHYHQFPIHFTNKLSPKLKPKIFFRAFSVSSSHSVSSSTLTQTQNLSDPPIVVPEKKRRVKVSDTQFKENWLASLSYPFPEKTPLLNSEHDPTQQNDGFKWVLGIDPDVSGAVALLKTNGSVCSAQVFDSPHVKILVGQKNRTRRRLDAKSVVQLVRSFDAPIGTTAYIEQSLPFPQDGKQGWWSGGFGYGLWIGILVASGFSVIPVPSFTWKAKFELSGSMTSKEGQRLY >Vigun11g117600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32350423:32352311:-1 gene:Vigun11g117600.v1.2 transcript:Vigun11g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNLSPSPLLHSSSIIRLANSKSPISESWHRLKTHFPKPLLSPSFASPSVNFACKKQFLHGNSRNRLDFRTWSVAGFDYGNFEGPQSVLEAAAVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNAKNVEYSIRAFPLGGFVGFPDNDPESDIPVDDANLLKNRPILDRVIVVSAGVVANIIFAFLIIFAQVLSVGLPEQEVFPGVSVPDVRPFSAASRDGLLAGDVILEVNGSEFAKPGPSAVSEVVEIIKRNPKRYVLLKIKRGEQNFDVKVTPDENYDGTGKIGVQLAPNVKIGKVRPKNLGEAVEFTWKEFWGLSSNVLDGLKQTFLNFSQSAGKVSGPVAIIAVGAEVARSNVDGLFQFAAILNINLAVINLLPLPALDGGTLALILVEAVRGGRKLPLEVEQTIMSSGIMLVIILGLFLIVRDTLNLEFIKDLL >Vigun05g077100.1.v1.2 pep primary_assembly:ASM411807v1:5:7105277:7115471:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRINLEGVLNVGSIPETSYNVVKNQNYCGELKVALTFNPEICY >Vigun05g077100.6.v1.2 pep primary_assembly:ASM411807v1:5:7110856:7115472:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRINLEGVLNVGSIPETSYNVVKNQNYCGELKVALTFNPEICY >Vigun05g077100.9.v1.2 pep primary_assembly:ASM411807v1:5:7105289:7112346:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRRRWCHRTWWLW >Vigun05g077100.3.v1.2 pep primary_assembly:ASM411807v1:5:7105286:7115472:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRRRWCHRTWWLW >Vigun05g077100.4.v1.2 pep primary_assembly:ASM411807v1:5:7105273:7115471:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRINLEGVLNVGSIPETSYNVVKNQNYCGELKVALTFNPEICY >Vigun05g077100.7.v1.2 pep primary_assembly:ASM411807v1:5:7105289:7115427:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRINLEGVLNVGSIPETSYNVVKNQNYCGELKVALTFNPEICY >Vigun05g077100.8.v1.2 pep primary_assembly:ASM411807v1:5:7105289:7112346:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRVHGEDICQSFQILLHLLTPSICCISPLLR >Vigun05g077100.12.v1.2 pep primary_assembly:ASM411807v1:5:7105288:7112426:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRMLSQD >Vigun05g077100.11.v1.2 pep primary_assembly:ASM411807v1:5:7110856:7112318:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRMLSQD >Vigun05g077100.2.v1.2 pep primary_assembly:ASM411807v1:5:7105610:7111998:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRRRWCHRTWWLW >Vigun05g077100.10.v1.2 pep primary_assembly:ASM411807v1:5:7105289:7112425:1 gene:Vigun05g077100.v1.2 transcript:Vigun05g077100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTLEVILISAKGLHNSDFFGKMDPYVILTYRNQEHRSTVAKGAGSKPHWNESFLFTICDSATELNLRLMDKDTFTSDDFLGETRMLSQD >Vigun03g321600.1.v1.2 pep primary_assembly:ASM411807v1:3:51712879:51715572:1 gene:Vigun03g321600.v1.2 transcript:Vigun03g321600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLRYLAGIAGPSGFGSNSTAEQVTQDFSSLLPSNLTALITGATSGIGAETARVLAKRGVRVVIGARDLKKAREVREKIQKESPHAQVILLEIDLSSFASVQRFCSEFLALELPLNILINNAGMYSQNLEFSEEKIEMTFATNYLGHFLLTKMLLEKMIDTAKKSGIEGRIINVSSVIHSWVKRSTFCFNDMLCGKNYNGTRAYAQSKLATILHVKAVARQLKERNANVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSISQGASTTCYVALSGKTEGVSGKYFTDCNESNSSSLANEESEAKKLWNETYALLHKRLRQAPN >Vigun03g321600.3.v1.2 pep primary_assembly:ASM411807v1:3:51713342:51715572:1 gene:Vigun03g321600.v1.2 transcript:Vigun03g321600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHKLLQCHQHFHLYLLNLHLFCATSGIGAETARVLAKRGVRVVIGARDLKKAREVREKIQKESPHAQVILLEIDLSSFASVQRFCSEFLALELPLNILINNAGMYSQNLEFSEEKIEMTFATNYLGHFLLTKMLLEKMIDTAKKSGIEGRIINVSSVIHSWVKRSTFCFNDMLCGKNYNGTRAYAQSKLATILHVKAVARQLKERNANVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSISQGASTTCYVALSGKTEGVSGKYFTDCNESNSSSLANEESEAKKLWNETYALLHKRLRQAPN >Vigun03g321600.2.v1.2 pep primary_assembly:ASM411807v1:3:51713228:51715572:1 gene:Vigun03g321600.v1.2 transcript:Vigun03g321600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHKLLQCHQHFHLYLLNLHLFCQCATSGIGAETARVLAKRGVRVVIGARDLKKAREVREKIQKESPHAQVILLEIDLSSFASVQRFCSEFLALELPLNILINNAGMYSQNLEFSEEKIEMTFATNYLGHFLLTKMLLEKMIDTAKKSGIEGRIINVSSVIHSWVKRSTFCFNDMLCGKNYNGTRAYAQSKLATILHVKAVARQLKERNANVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSISQGASTTCYVALSGKTEGVSGKYFTDCNESNSSSLANEESEAKKLWNETYALLHKRLRQAPN >Vigun02g086900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24156145:24160198:-1 gene:Vigun02g086900.v1.2 transcript:Vigun02g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDTPPSKPATATTTTTTDQETQSSGGRKGSSTRPHEQGLKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKVRSSRLSCDSKDSGSSSSDLGGLKFLHTLPPSMDFHLGGLPFPRLHHHPPPTYNQFSSFGDTSSASSCFNLDPSPGTTSSSFAALNYPFSYNGAIQGMSAMNVHSGHASSIESLSSMNQDLHWKLQQQRLAMLFGGDNSQKDHSGGGGGGGVSTTINQLENQTQKPQPILFQNLEVSKGGIFPVENCRKEHGPCGDTHTPSTEWFFGNSYASVTPPTATTTSSGGPGNDNASNWSSGVNAWGDVPQQYTALP >Vigun03g200500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:29536590:29538563:1 gene:Vigun03g200500.v1.2 transcript:Vigun03g200500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSSLVIGLMACLMAASVHGEDPYVFYTWNVTYGTVAPLGVEQQGILINGLFPGPEINCTSNNNIVVNVFNFLDEPLLFTWNGIQHRKNSWQDGTLGAQCPILPGTNYTYHFQVKDQIGSYFYYPSIGMHRAVGGFGGLRIYSRLLIPVPYADPADEFWVLIGDWYGKTHQTLRQFLDSGRSIGRPSGVHINGKNGGLEPAYTMEPGKTYKYRICNVGTKNSLNFRIQGHPLKLVEMEGSHVVQNIYNSLDVHVGQCFSVLVTADQEPKDYYMVASTRFTKKTLGATRIIRYSNGVAPASPELPPSPEGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNTLSRSGGKLRYGLNGVSHLDNETPFKLAEYYGVSDKVFQYNLISDDPNSLIGDLTLASNVITANFRDFIEVIFENPTKVPQSYNLDGYSFFAVAIEPGKWSPEKRKNYNLLDAVSRHTIQVFPKSWAAIMLTFDNAGMWNLRSELGENRYLGQQLYVSVLSPNRSLRDEYNLPDTQLLCGIVKDMPKPPPYSS >Vigun08g109700.2.v1.2 pep primary_assembly:ASM411807v1:8:27239817:27244291:1 gene:Vigun08g109700.v1.2 transcript:Vigun08g109700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAMYGHSQPLNMPPQISAAESGDGSGPDPALDAHHHIHYEPHALEDGAAGAMVVVEDVTSDAVYVSGGGGPEESSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGCELSSSGSPCVDVVPQQNQRGSMEYPARCSLPQRAASLDRFRKKRKERCFDKKVRYGVRQEVALRMHRNKGQFTSSKKQDGANSYGTDQDSGQDDSQSETSCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGALRDLSKRNHEQSLVAVEQVDDGNDSDCRTAANPALNNLAAAYSEHDNPALVADRKVFQSQKMLH >Vigun08g109700.4.v1.2 pep primary_assembly:ASM411807v1:8:27239817:27244291:1 gene:Vigun08g109700.v1.2 transcript:Vigun08g109700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAMYGHSQPLNMPPQISAAESGDGSGPDPALDAHHHIHYEPHALEDGAAGAMVVVEDVTSDAVYVSGGGGPEESSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGCELSSSGSPCVDVVPQQNQRGSMEYPARCSLPQRAASLDRFRKKRKERCFDKKVRYGVRQEVALRMHRNKGQFTSSKKQDGANSYGTDQDSGQDDSQSETSCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGALRDLSKRNHEQSLVAVEQVDDGNDSDCRTAANPALNNLAAAYSEHDNPALVADRKVFQSQKMLH >Vigun08g109700.1.v1.2 pep primary_assembly:ASM411807v1:8:27239817:27244291:1 gene:Vigun08g109700.v1.2 transcript:Vigun08g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAMYGHSQPLNMPPQISAAESGDGSGPDPALDAHHHIHYEPHALEDGAAGAMVVVEDVTSDAVYVSGGGGPEESSQLTLSFRGQVYVFDAVTPDKVQAVLLLLGGCELSSSGSPCVDVVPQQNQRGSMEYPARCSLPQRAASLDRFRKKRKERCFDKKVRYGVRQEVALRMHRNKGQFTSSKKQDGANSYGTDQDSGQDDSQSETSCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGALRDLSKRNHEQSLVAVEQVDDGNDSDCRTAANPALNNLAAAYSEHDNPALVADRKVFQSQKMLH >Vigun09g132100.3.v1.2 pep primary_assembly:ASM411807v1:9:29044186:29058538:-1 gene:Vigun09g132100.v1.2 transcript:Vigun09g132100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKSFVKKTRAGKVMKQVREHYLRDDIYCGAPFCTVCDTSGARLNASPSTTILVVDTNVVLNQIDLLENQALDNIVVLSIVLEEVKNKNMAVYNRLRALCSNATKKFYVFSNEYHRDTYVKEMSGETKNDRNDRAIRVATQWYQNHLGGGVKVLLITNDRENKRKASEEGIFAETVESYVKSLDQPDLLDLLVRPASEDVNMEEVEDHRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGRESIGDEIVIYGRSNMNRAFDGDIVAAELLPQDQWQEVSSLSIAGEEDENEDEDVHLAPNSADVAPRTIPPQGSSGQVNAVPSRPSGRIVGIIKRNWHSYCGSLEPMPLPAGSGGIAHALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRTIGDIGDRDTESEVVLIENDINTRPFSSQVLACLPPLPWLVSSEDLSNPIRQDLRHLLVFSVDPPGCKDIDDALHCHALPNGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPEADVISTRYTKSVIKSSAALSYVEAQARMDDSRLKDPITTGLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAQQILKSFSLCSLLRRHPTPTREMLEPLLQTAAAVGLHLDVSSSKALADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGISKLPSVFQDRLQLSSIADNLNYRHRNAQYAGRASVELHTLIYFRNRPTDTEARIVKIRSNGFFVFVPKYGIEGPIYLTKAGKGNGEWYVDEQQQKIKKMDGSLSYSVLQTVQIHMEVVEPQPNRPKLQLTLI >Vigun09g132100.1.v1.2 pep primary_assembly:ASM411807v1:9:29044977:29058538:-1 gene:Vigun09g132100.v1.2 transcript:Vigun09g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKSFVKKTRAGKVMKQVREHYLRDDIYCGAPFCTVCDTSGARLNASPSTTILVVDTNVVLNQIDLLENQALDNIVVLSIVLEEVKNKNMAVYNRLRALCSNATKKFYVFSNEYHRDTYVKEMSGETKNDRNDRAIRVATQWYQNHLGGGVKVLLITNDRENKRKASEEGIFAETVESYVKSLDQPDLLDLLVRPASEDVNMEEVEDHRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGRESIGDEIVIYGRSNMNRAFDGDIVAAELLPQDQWQEVSSLSIAGEEDENEDEDVHLAPNSADVAPRTIPPQGSSGQVNAVPSRPSGRIVGIIKRNWHSYCGSLEPMPLPAGSGGIAHALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRTIGDIGDRDTESEVVLIENDINTRPFSSQVLACLPPLPWLVSSEDLSNPIRQDLRHLLVFSVDPPGCKDIDDALHCHALPNGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPEADVISTRYTKSVIKSSAALSYVEAQARMDDSRLKDPITTGLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAQQILKSFSLCSLLRRHPTPTREMLEPLLQTAAAVGLHLDVSSSKALADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGISKLPSVFQDRLQLSSIADNLNYRHRNAQYAGRASVELHTLIYFRNRPTDTEARIVKIRSNGFFVFVPKYGIEGPIYLTKAGKGNGEWYVDEQQQKIKKMDGSLSYSVLQTVQIHMEVVEPQPNRPKLQLTLI >Vigun09g132100.4.v1.2 pep primary_assembly:ASM411807v1:9:29044483:29058538:-1 gene:Vigun09g132100.v1.2 transcript:Vigun09g132100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKSFVKKTRAGKVMKQVREHYLRDDIYCGAPFCTVCDTSGARLNASPSTTILVVDTNVVLNQIDLLENQALDNIVVLSIVLEEVKNKNMAVYNRLRALCSNATKKFYVFSNEYHRDTYVKEMSGETKNDRNDRAIRVATQWYQNHLGGGVKVLLITNDRENKRKASEEGIFAETVESYVKSLDQPDLLDLLVRPASEDVNMEEVEDHRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGRESIGDEIVIYGRSNMNRAFDGDIVAAELLPQDQWQEVSSLSIAGEEDENEDEDVHLAPNSADVAPRTIPPQGSSGQVNAVPSRPSGRIVGIIKRNWHSYCGSLEPMPLPAGSGGIAHALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRTIGDIGDRDTESEVVLIENDINTRPFSSQVLACLPPLPWLVSSEDLSNPIRQDLRHLLVFSVDPPGCKDIDDALHCHALPNGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPEADVISTRYTKSVIKSSAALSYVEAQARMDDSRLKDPITTGLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAQQILKSFSLCSLLRRHPTPTREMLEPLLQTAAAVGLHLDVSSSKALADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGISKLPSVFQDRLQLSSIADNLNYRHRNAQYAGRASVELHTLIYFRNRPTDTEARIVKIRSNGFFVFVPKYGIEGPIYLTKAGKGNGEWYVDEQQQKIKKMDGSLSYSVLQTVQIHMEVVEPQPNRPKLQLTLI >Vigun09g132100.2.v1.2 pep primary_assembly:ASM411807v1:9:29044185:29058538:-1 gene:Vigun09g132100.v1.2 transcript:Vigun09g132100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKSFVKKTRAGKVMKQVREHYLRDDIYCGAPFCTVCDTSGARLNASPSTTILVVDTNVVLNQIDLLENQALDNIVVLSIVLEEVKNKNMAVYNRLRALCSNATKKFYVFSNEYHRDTYVKEMSGETKNDRNDRAIRVATQWYQNHLGGGVKVLLITNDRENKRKASEEGIFAETVESYVKSLDQPDLLDLLVRPASEDVNMEEVEDHRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGRESIGDEIVIYGRSNMNRAFDGDIVAAELLPQDQWQEVSSLSIAGEEDENEDEDVHLAPNSADVAPRTIPPQGSSGQVNAVPSRPSGRIVGIIKRNWHSYCGSLEPMPLPAGSGGIAHALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRTIGDIGDRDTESEVVLIENDINTRPFSSQVLACLPPLPWLVSSEDLSNPIRQDLRHLLVFSVDPPGCKDIDDALHCHALPNGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPEADVISTRYTKSVIKSSAALSYVEAQARMDDSRLKDPITTGLRNMNSLAKKMRLRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAQQILKSFSLCSLLRRHPTPTREMLEPLLQTAAAVGLHLDVSSSKALADSLDHAVGDDPYFNKLIRILATRCMSQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGISKLPSVFQDRLQLSSIADNLNYRHRNAQYAGRASVELHTLIYFRNRPTDTEARIVKIRSNGFFVFVPKYGIEGPIYLTKAGKGNGEWYVDEQQQKIKKMDGSLSYSVLQTVQIHMEVVEPQPNRPKLQLTLI >Vigun09g126100.3.v1.2 pep primary_assembly:ASM411807v1:9:27936308:27945811:-1 gene:Vigun09g126100.v1.2 transcript:Vigun09g126100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARWRTLSSLKTRVIPSLTHFSEFHSTPCTCQNWKNKFSSHIGNGQHQPSKSQIKFITRQKRADAKKALNSLLYNSGSSKFSFEDKYEGLGRPSNKGQPKSGQRSGGKPQKKTKRKIRRESFSEDFDGQPEQIFHASYGNKCYTWSFNNWSGSFEHSTSEGFEWREHSNRTNKWKNESDVEQDDDDLCCVGSSSDRTVLGLPPTGPLKIEDVKNAFRLSALKWHPDKHQGTSQAMAEEKFKLCVDAYKTLCNALSPS >Vigun09g126100.1.v1.2 pep primary_assembly:ASM411807v1:9:27936308:27945926:-1 gene:Vigun09g126100.v1.2 transcript:Vigun09g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARWRTLSSLKTRVIPSLTHFSEFHSTPCTCQNWKNKFSSHIGNGQHQPSKSQIKFITRQKRADAKKALNSLLYNSGSSKFSFEDKYEGLGRPSNKGQPKSGQRSGGKPQKKTKRKIRRESFSEDFDGQPEQIFHASYGNKCYTWSFNNWSGSFEHSTSEGFEWREHSNRTNKWKNESDVEQDDDDLCCVGSSSDRTVLGLPPTGPLKIEDVKNAFRLSALKWHPDKHQGTSQAMAEEKFKLCVDAYKTLCNALSPS >Vigun09g126100.2.v1.2 pep primary_assembly:ASM411807v1:9:27936302:27945926:-1 gene:Vigun09g126100.v1.2 transcript:Vigun09g126100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLARWRTLSSLKTRVIPSLTHFSEFHSTPCTCQNWKNKFSSHIGNGQHQPSKSQIKFITRQKRADAKKALNSLLYNSGSSKFSFEDKYEGLGRPSNKGQPKSGQRSGGKPQKKTKRKIRRESFSEDFDGQPEQIFHASYGNKCYTWSFNNWSGSFEHSTSEGFEWREHSNRTNKWKNESDVEQDDDDLCCVGSSSDRTVLGLPPTGPLKIEDVKNAFRLSALKWHPDKHQGTSQAMAEEKFKLCVDAYKTLCNALSPS >Vigun01g034500.2.v1.2 pep primary_assembly:ASM411807v1:1:4494971:4497336:1 gene:Vigun01g034500.v1.2 transcript:Vigun01g034500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVLQASAPTPRLSGKVALVTGGADGIGESIVRLFHSHGAKICIVDVQDNLGKQVCESLNDEANVVYFHGDVTVEEDVSHAVDFTVDRFGTLDIIVNNAGISGSPSPDIRNADLSEFDKVFSVNVKGVFHGMKHAARIMIPKKKGSIVSLCSVASVIGGLGPHAYTGSKHAVLGLTKNVAAELGKYGIRVNCVSPYGVATALSVAHLAEDERTEDALEGFRDFTGRMANLQGVELTTHDVANAVLFLASDDARYISGDNLMVDGGFTSVNHSLKVFR >Vigun01g034500.4.v1.2 pep primary_assembly:ASM411807v1:1:4494971:4497895:1 gene:Vigun01g034500.v1.2 transcript:Vigun01g034500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVLQASAPTPRLSGKVALVTGGADGIGESIVRLFHSHGAKICIVDVQDNLGKQVCESLNDEANVVYFHGDVTVEEDVSHAVDFTVDRFGTLDIIVNNAGISGSPSPDIRNADLSEFDKVFSVNVKGVFHGMKHAARIMIPKKKGSIVSLCSVASVIGGLGPHAYTGSKHAVLGLTKNVAAELGKYGIRVNCVSPYGVATALSVAHLAEDERTEDALEGFRDFTGRMANLQGVELTTHDVANAVLFLASDDARYISGDNLMVDGGFTSVMRT >Vigun01g034500.1.v1.2 pep primary_assembly:ASM411807v1:1:4494971:4497300:1 gene:Vigun01g034500.v1.2 transcript:Vigun01g034500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVLQASAPTPSSLWSLSERLSGKVALVTGGADGIGESIVRLFHSHGAKICIVDVQDNLGKQVCESLNDEANVVYFHGDVTVEEDVSHAVDFTVDRFGTLDIIVNNAGISGSPSPDIRNADLSEFDKVFSVNVKGVFHGMKHAARIMIPKKKGSIVSLCSVASVIGGLGPHAYTGSKHAVLGLTKNVAAELGKYGIRVNCVSPYGVATALSVAHLAEDERTEDALEGFRDFTGRMANLQGVELTTHDVANAVLFLASDDARYISGDNLMVDGGFTSVNHSLKVFR >Vigun01g034500.3.v1.2 pep primary_assembly:ASM411807v1:1:4494971:4497895:1 gene:Vigun01g034500.v1.2 transcript:Vigun01g034500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVLQASAPTPSSLWSLSERLSGKVALVTGGADGIGESIVRLFHSHGAKICIVDVQDNLGKQVCESLNDEANVVYFHGDVTVEEDVSHAVDFTVDRFGTLDIIVNNAGISGSPSPDIRNADLSEFDKVFSVNVKGVFHGMKHAARIMIPKKKGSIVSLCSVASVIGGLGPHAYTGSKHAVLGLTKNVAAELGKYGIRVNCVSPYGVATALSVAHLAEDERTEDALEGFRDFTGRMANLQGVELTTHDVANAVLFLASDDARYISGDNLMVDGGFTSVMRT >Vigun06g177600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29775119:29776122:1 gene:Vigun06g177600.v1.2 transcript:Vigun06g177600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTPILKCMCTITLFLLSYTLSSSSSSFPSSSSRYSTLPETHHHRNPSPTTITPPQHYQIFYLKNTPSTTPFTKQERSKKRRTRRNRNMMRHRNKMVAKNMHHSRDSRSFSVMLPKGYVPPSGSSPCHNDQPNTAFSSFHCHLSTTAQP >Vigun02g124800.1.v1.2 pep primary_assembly:ASM411807v1:2:27672835:27675299:1 gene:Vigun02g124800.v1.2 transcript:Vigun02g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDACESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHGRYLLFRQRVEFPGDKSSHAENPGSQALEPGESKRGQNPLPKLRMGEKQQNHVMPLLPTPGSDADGHTKMETKMIDLNMKPNNRLHEQASNNQVFDKLSQDLYQVWLKS >VigunL084401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000629.1:48806:50058:-1 gene:VigunL084401.v1.2 transcript:VigunL084401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRKLVSGQIPVGQLTDRSLYLQRMVLLLYFPQLSQLFVLGLDPPGVGGYCYSNSLLQPSIAPPIDCPVHVAPYEITTMNSWSYDSGLLFSFFFPKPVNQLRDTFIGTVPLFVVRKFLLESLVIALRKIP >Vigun01g246300.1.v1.2 pep primary_assembly:ASM411807v1:1:41451591:41454909:1 gene:Vigun01g246300.v1.2 transcript:Vigun01g246300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSFSMLRHRAVASAAPLLLRRFRSLPIAARQQQNPNKQAAPSRNLLKAKKTLKEFSSLAPVLSLEDSPPLTESQAIGVVAASQANFMRVIVPESDQPPSSSGSFRGVELLCVVRALLKKIKRRVMVGDKVLVGSVDWVDRRGWIENVFHRSSEILDPPVANVDHLLVLFSLEQPRPEPFTLTRFLVEAESTGIPLTLALNKTELVDKEIISSWKARLRSWGYEPVFCSVESGHGLDLLAFKLRDQTTVIVGPSGVGKSSLINALRSNPSDAAEGENWFEPISGSKWLEDQRVGEVSTRSGRGKHTTRHVSLLPISGGGFLADTPGFNQPSLLKVTKQSLAQTFPEIRKMLSAKETEKCSFKNCLHLGEPGCIVKGDWERYSFYFQLLDEIRIREEFQLRTFGTKREGDVRLKMGDMGVQQAEPRLEPKKHRRQSRKRINQSILDDLDDDDDDDIYEENDPILRALRDENS >Vigun04g093266.1.v1.2 pep primary_assembly:ASM411807v1:4:18296807:18298293:1 gene:Vigun04g093266.v1.2 transcript:Vigun04g093266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVFSVVLQSKGDIFRVNIRLQTIGDPAKEAFKDGCLELMLMCRHVRSTFNDALTEFKKTKTS >Vigun06g194100.1.v1.2 pep primary_assembly:ASM411807v1:6:31048327:31050816:1 gene:Vigun06g194100.v1.2 transcript:Vigun06g194100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLGTSIALLPSRYFSSSSSSSSASSKPSVHTLSLTSGQSYGRKFYGGIGIHGIKGRSQFSVSSVATEVNSVEQNQSTAAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPEFMGVEVRERVLQGENLSVVLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERGRFDKNPKEFRDSYKAEQDKLQDQISSARSVLSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVSAEDIATVIPNCLRHRLRKDPLESIDSGLLVTEKFYEVFS >Vigun10g010550.1.v1.2 pep primary_assembly:ASM411807v1:10:1050123:1051268:-1 gene:Vigun10g010550.v1.2 transcript:Vigun10g010550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNTPLPPPSYGDQVSILSIDGGGIRGIIPATLLIHLDNALKVGWEIICREAVASEKEIYGKRRKTFH >VigunL071700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000509.1:94680:96159:1 gene:VigunL071700.v1.2 transcript:VigunL071700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARVTLKQISSERCRKTTLARRKRGLMKKMWEFSKRCGGEQCLIVYDDDGDVEAVTSPQNPIEIHSMIQKYYETQLKNGRPHKTYGIQEFFENRKNMIEAEISKVHKEISSIKYPTWDPSFVNMEEDELRAFCAHVDAKIQACDEGIKLLKNKNVPNLMQNFDENSYLLRNMEEGGFSFVPNMPQENISQSQPLLQDFMELYDKNYEAVDVPLNSTNQLSELEFEELIWELSNCDSSYQPCHLPHQSLLPTISAQYQNQTNYYSMLSFTELGFVLLLYHMSSFFNTKLVFGIFLVHAALFR >Vigun03g171600.1.v1.2 pep primary_assembly:ASM411807v1:3:20692637:20697081:-1 gene:Vigun03g171600.v1.2 transcript:Vigun03g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSNSMDNGCFSSPRHEAFPAGLRVLVVDDDPTWLRILEKMLKKCLYEVTTCCLATEALKKLRERKDAYDIVISDVNMPDMDGFKLLEQVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRMHEAKDFDSHEGFEALHLLMNGSDQSDDGNLFAVEEINSIKKRKDADSKHEDKEFGDHSPTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMSVPWLTRENVASHLQKYRLYLSRLQKENDQKSSSSGIKHSDSPSKDPGSLSFLNTASNKQQNDVAIDSFSHSDGSLLLQMDAPSHEGDLKGILSEPTTEKRRASPKSSQMSLNQPFSSVESSEASHAIFDCTIQTQYSWGEFPKGPLKEEQKTVVQSEDSFSQLPLHGTRHHIQVDQSQSIASINSNPSITEEEVAPSLDTKPLYAGYKSDYVSPVSSMGTAVDTFPNHSKSLIVNDQSSEPIFTSNLGLKTDGFDFDCISDLDFYQRNLLLGSEAASAAPLEEDLNFFLLQTEWYNMNFGQQNIDISECYDPRLVAEAPGYFYDSADYSSVDQSLFIA >Vigun04g176100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39996738:39999071:1 gene:Vigun04g176100.v1.2 transcript:Vigun04g176100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAVVHVAFLPSAGMGHLNPCLRLAALFLGNGCKVTLITPKPTVSIAESNLISSFCSSFPHQVTQVHFNLIPLDPTTVSTNIDPFYLQFQTIRHSVHLLPPILSSLSTPLSALIYNVSLISAVVSISDKLLCPTYIYFTSSARMLSFNAYVAVLADSDPAAQPHPSTFIGDAVRVPGFTSPIPRSSVPVAFLLEGSNSFQRMIMEDSRNLTKLDGVLINSFEELEGEALAALNEGKVVRGLPPVYGIGPLMACEFENVDEGQKGSMSWILEWLDEQAEGSVVYVSLGSRTETRREQIKDTALGLIECGYGFVWVVKLKRVDREEGEDLEDVLGSELMGKVREKGVVVKEYVDQMKILGHPAVGGFVSHGGWNSITEAVWEGVPILTWPQYGDQKMTSEAIKMSGVGIWAEEWGWGTQKVVEGTEIAKKIKQMMSDESLRVKAREMKDAARKACGVGGSCEVIIKRLIEEWKRNAQVN >Vigun04g176100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39996738:39999071:1 gene:Vigun04g176100.v1.2 transcript:Vigun04g176100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAVVHVAFLPSAGMGHLNPCLRLAALFLGNGCKVTLITPKPTVSIAESNLISSFCSSFPHQVTQVHFNLIPLDPTTVSTNIDPFYLQFQTIRHSVHLLPPILSSLSTPLSALIYNVSLISAVVSISDKLLCPTYIYFTSSARMLSFNAYVAVLADSDPAAQPHPSTFIGDAVRVPGFTSPIPRSSVPVAFLLEGSNSFQRMIMEDSRNLTKLDGVLINSFEELEGEALAALNEGKVVRGLPPVYGIGPLMACEFENVDEGQKGSMSWILEWLDEQAEGSVVYVSLGSRTETRREQIKDTALGLIECGYGFVWVVKLKRVDREEGEDLEDVLGSELMGKVREKGVVVKEYVDQMKILGHPAVGGFVSHGGWNSITEAVWEGVPILTWPQYGDQKMTSEAIKMSGVGIWAEEWGWGTQKVVEGTEIAKKIKQMMSDESLRVKAREMKDAARKACGVGGSCEVIIKRLIEEWKRNAQVN >Vigun04g176100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39996738:39999071:1 gene:Vigun04g176100.v1.2 transcript:Vigun04g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAVVHVAFLPSAGMGHLNPCLRLAALFLGNGCKVTLITPKPTVSIAESNLISSFCSSFPHQVTQVHFNLIPLDPTTVSTNIDPFYLQFQTIRHSVHLLPPILSSLSTPLSALIYNVSLISAVVSISDKLLCPTYIYFTSSARMLSFNAYVAVLADSDPAAQPHPSTFIGDAVRVPGFTSPIPRSSVPVAFLLEGSNSFQRMIMEDSRNLTKLDGVLINSFEELEGEALAALNEGKVVRGLPPVYGIGPLMACEFENVDEGQKGSMSWILEWLDEQAEGSVVYVSLGSRTETRREQIKDTALGLIECGYGFVWVVKLKRVDREEGEDLEDVLGSELMGKVREKGVVVKEYVDQMKILGHPAVGGFVSHGGWNSITEAVWEGVPILTWPQYGDQKMTSEAIKMSGVGIWAEEWGWGTQKVVEGTEIAKKIKQMMSDESLRVKAREMKDAARKACGVGGSCEVIIKRLIEEWKRNAQVN >Vigun11g209600.1.v1.2 pep primary_assembly:ASM411807v1:11:40528057:40531028:1 gene:Vigun11g209600.v1.2 transcript:Vigun11g209600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKQSRYVKLTKDQTPLEEITPGELNQPIQVPQLDVRKCPECRQPLPESYAPPADEPWMTGIFGCAEDRESCLTGLFCPCVLFGRNVERLKEDTPWTGPCICHAIFIEGGISLAIATAAATSFFPAVDPGTVCLIVEGLFFTWWMCGIHTGQVRQSLQKKYHLKNSPCNACCVHCCLHWCALCQEHREMNGRLSDNMFSEMTVVNPPPVQVMTRSTDEKETAETSSPNNSDHTDLEIQAV >Vigun11g209600.2.v1.2 pep primary_assembly:ASM411807v1:11:40528057:40531028:1 gene:Vigun11g209600.v1.2 transcript:Vigun11g209600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKQSRYVKLTKDQTPLEEITPGELNQPIQLDVRKCPECRQPLPESYAPPADEPWMTGIFGCAEDRESCLTGLFCPCVLFGRNVERLKEDTPWTGPCICHAIFIEGGISLAIATAAATSFFPAVDPGTVCLIVEGLFFTWWMCGIHTGQVRQSLQKKYHLKNSPCNACCVHCCLHWCALCQEHREMNGRLSDNMFSEMTVVNPPPVQVMTRSTDEKETAETSSPNNSDHTDLEIQAV >Vigun11g186000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38658911:38659403:1 gene:Vigun11g186000.v1.2 transcript:Vigun11g186000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLLGRRTSFTSQARKLADVPKGYLAVYVGEKMKRFMIPVSFLNRPLFQELLKQAEDEFGYDHPMGGLTIPCKEDVFLDIASRLNVQ >Vigun02g174200.1.v1.2 pep primary_assembly:ASM411807v1:2:31671142:31672983:-1 gene:Vigun02g174200.v1.2 transcript:Vigun02g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLPVEQTGESAPAESQRSIPTPFLTKTYQLVDDPSADDLISWNEDGTSFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGERTLLRDIQRRKILPVPPTAQPAVATANTVTVAVAAPAIRAVSPTTSGDEQPSAVRIVH >Vigun07g118351.1.v1.2 pep primary_assembly:ASM411807v1:7:21951996:21954048:1 gene:Vigun07g118351.v1.2 transcript:Vigun07g118351.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRECWFVALIWVILNAMMFWAIYTSCSIENKLVSVERIKQFTNIPSEATWRNKDRLPPANWPGHGNVDIKDLQVRYRPNTPLVLKGITLRINGGEKVGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDISILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTGQYTDEEIWKSLERCQLKEAVASKPEKLDSSVVDNGDNWSVGQRQLLCLGRVMLKQSRLLFMDEATASVDSQTDAVIQKIIREDFASRTIISIAHRIPTVMDCDRILVVDAGRAKEFDSPANLVQRPSLFVALVQEYANRSSGL >Vigun02g012200.1.v1.2 pep primary_assembly:ASM411807v1:2:4676508:4678102:1 gene:Vigun02g012200.v1.2 transcript:Vigun02g012200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIDLDPAFIQSTEHRPTPKVVEVNEIPVIDLSESRDESSIISDIGKACEEWGFFQIVNHGVSSELCREVEIEAKKFFGLELEEKRKVKRDEVNSMGYHDGEHTKNVRDWKEVFDYLVEDNAQVPSSYEPDDKDLRTLTNQWPQHSPHFRKIMEEYAREVEKLSYKLLGWILSSLGLAGDKFHGCFKNQLSMVRLNYYPPCPFPHLALGVGRHKDSSAFTVLAQDEVGGLQVKRKSDGEWIPVRPFPNAYIINVGDIVQVWSNDKYESVEHRVVVNTTKERFSVPFFFFPSHHVNVKPVEELVSEETPAKFREYNYGKFFANRNRSDFKKRDVENIQIDHFRI >Vigun03g404300.2.v1.2 pep primary_assembly:ASM411807v1:3:61138009:61145541:1 gene:Vigun03g404300.v1.2 transcript:Vigun03g404300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNRAARSRRKRSSGMKQSETTSSSAAVAVEGRKRGKWGYIWPLWTTLLLHLLAILLFTAGFLLTRTELPYHSHCSDVSSSPCFSSNNGSCWTKPAVNRLVLVVLDALRFDFVVPSTFFADSKPWMDKLPVLKNAASTRPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLVQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCIDHLLPSLYEEDWDVLIAHFLGVDHAGHIFGVDSTPMIEKLEQYNTILERVIEVLENQSGPGSSHENTLLVVMGDHGQTLNGDHGGGSAEEVETALFAMSFKKPLSSVPAEYDSSSCQQDLDGKNVCFSSMQQLDFAVTISALLGIPFPYGSIGNINPELYALGADSWNSDASQKLSESDAWMQNYANALCINSWQVKRYVDAYSASSAVGFSREDLSRIASIYDQAENHWSHSTKKLLLDRQHDSNTSVSALKKQIDAYFKFLTAVTELARSKWTEFDLNMMGIGIGIMLISLIFQVFTVLRTTKEHDGTFSSSEDSWIVNGSILAIFLLGIRAFSFLSNSYILEEGKVANFLLSTSGIVTLRQSVSKGKLLIESIGFLILSTFCRFAIEVGLSKQAATSAFMKDYSSWIINIASGLPVWDYAAEVVPIVVLIMLAAWLYKATSGCFFGWPWKYVILGTILTYMLIIAHWITDSNRFDGALIPQSIGRTYIPRIIYAIALGQLFFLTFGQLFKKSNLDCKTNLVAKTTSMLSAWSSTVILLSGKQGPIVAFASIVGGCFIMKFVNIEGGKDGPRSFSIMQWSLFATCLFFCSGHWCAFDGLRYGAAFIGFWTPVSCCNQEPG >Vigun03g404300.1.v1.2 pep primary_assembly:ASM411807v1:3:61138009:61145541:1 gene:Vigun03g404300.v1.2 transcript:Vigun03g404300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNRAARSRRKRSSGMKQSETTSSSAAVAVEGRKRGKWGYIWPLWTTLLLHLLAILLFTAGFLLTRTELPYHSHCSDVSSSPCFSSNNGSCWTKPAVNRLVLVVLDALRFDFVVPSTFFADSKPWMDKLPVLKNAASTRPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLVQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCIDHLLPSLYEEDWDVLIAHFLGVDHAGHIFGVDSTPMIEKLEQYNTILERVIEVLENQSGPGSSHENTLLVVMGDHGQTLNGDHGGGSAEEVETALFAMSFKKPLSSVPAEYDSSSCQQDLDGKNVCFSSMQQLDFAVTISALLGIPFPYGSIGNINPELYALGADSWNSDASQKLSESDAWMQNYANALCINSWQVKRYVDAYSASSAVGFSREDLSRIASIYDQAENHWSHSTKKLLLDRQHDSNTSVSALKKQIDAYFKFLTAVTELARSKWTEFDLNMMGIGIGIMLISLIFQVFTVLRTTKEHDGTFSSSEDSWIVNGSILAIFLLGIRAFSFLSNSYILEEGKVANFLLSTSGIVTLRQSVSKGKLLIESIGFLILSTFCRFAIEVGLSKQAATSAFMKDYSSWIINIASGLPVWDYAAEVVPIVVLIMLAAWLYKATSGCFFGWPWKYVILGTILTYMLIIAHWITDSNRFDGALIPQSIGRTYIPRIIYAIALGQLFFLTFGQLFKKSNLDCKTNLVAKTTSMLSAWSSTVILLSGKQGPIVAFASIVGGCFIMKFVNIEGGKDGPRSFSIMQWSLFATCLFFCSGHWCAFDGLRYGAAFIGFEEFVLVRQAILLTIDTFGFSIILPVFGLPFLVAIKNQANLGRHFVFTQLSQMYTTYGLITAITTTFTILCVTIQRRHLMVWGLFAPKFVFDVFNLILTDAFICLASIYYFDQGRDDPERKSQVAE >Vigun03g404300.3.v1.2 pep primary_assembly:ASM411807v1:3:61138009:61145541:1 gene:Vigun03g404300.v1.2 transcript:Vigun03g404300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNRAARSRRKRSSGMKQSETTSSSAAVAVEGRKRGKWGYIWPLWTTLLLHLLAILLFTAGFLLTRTELPYHSHCSDVSSSPCFSSNNGSCWTKPAVNRLVLVVLDALRFDFVVPSTFFADSKPWMDKLPVLKNAASTRPLSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLVQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCIDHLLPSLYEEDWDVLIAHFLGVDHAGHIFGVDSTPMIEKLEQYNTILERVIEVLENQSGPGSSHENTLLVVMGDHGQTLNGDHGGGSAEEVETALFAMSFKKPLSSVPAEYDSSSCQQDLDGKNVCFSSMQQLDFAVTISALLGIPFPYGSIGNINPELYALGADSWNSDASQKLSESDAWMQNYANALCINSWQVKRYVDAYSASSAVGFSREDLSRIASIYDQAENHWSHSTKKLLLDRQHDSNTSVSALKKQIDAYFKFLTAVTELARSKWTEFDLNMMGIGIGIMLISLIFQVFTVLRTTKEHDGTFSSSEDSWIVNGSILAIFLLGIRAFSFLSNSYILEEGKVANFLLSTSGIVTLRQSVSKGKLLIESIGFLILSTFCRFAIEVGLSKQAATSAFMKDYSSWIINIASGLPVWDYAAEVVPIVVLIMLAAWLYKATSGCFFGWPWKYVILGTILTYMLIIAHWITDSNRFDGALIPQSIGRTYIPRIIYAIALGQLFFLTFGQLFKKSNLDCKTNLVAKTTSMLSAWSSTVILLSGKQGPIVAFASIVGGCFIMKFVNIEGGKDGPRSFSIMQWSLFATCLFFCSGHWFEEFVLVRQAILLTIDTFGFSIILPVFGLPFLVAIKNQANLGRHFVFTQLSQMYTTYGLITAITTTFTILCVTIQRRHLMVWGLFAPKFVFDVFNLILTDAFICLASIYYFDQGRDDPERKSQVAE >Vigun08g021100.1.v1.2 pep primary_assembly:ASM411807v1:8:1857370:1862648:1 gene:Vigun08g021100.v1.2 transcript:Vigun08g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLSTKIVVWLMFLCWSCEGNQQGEYLYRLIRSKRGDEKVVSSEEASMVAKNGGGDEDFWKVEEERVVLMEEDKVKGLPGEPEGVDFDQYGGYVTVDAKKGRRLFYYFVESPQNASHKPLLLWLNGGPGCSSLGYGGMQELGPFRVNSDGRTLRRNEYAWNNVANVIFLESPAGVGFSYSNTSSDYTNTGDKSTAMDSYTFLINWLHRFPHYKSRDLFITGESYAGHYVPQLAHTILTNNKLTNHTVINLKGIAIGNGWIDDNICAKGMYDYFWTHALNSDETHEGIERHCDFESGNMTSECNEYQIRGDNEIGVIDIYDIYGPPCDSTATKSHQTSAPNSDSNFDPCSDEYTKSYLNIAQVQEALHAKLSEWSPCSGVGWTDSPATVLPTINRIISSGIRTWIYSGDTDGRVPITSSRYSVNSLKLPVETTWRPWYSGDEVGGYLIGYKGLTLITVRGAGHMVPSYQPKRALTMISFFLRGELPPELNS >Vigun08g064000.1.v1.2 pep primary_assembly:ASM411807v1:8:8931957:8935048:1 gene:Vigun08g064000.v1.2 transcript:Vigun08g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPKAATEDVKIDLFEDDDEFEEFEINEEWDDKEEGKEVTQQWEDDWDDDDVGDDFSFQLRRELESNTEKN >Vigun04g201400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42470002:42470577:1 gene:Vigun04g201400.v1.2 transcript:Vigun04g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSISKSALLLYLLLTTLFSLQLKGSHDEVEPSEVEKGLIPHKVHVAITNFLISKSLTVHCREKHHDLGTHILNYGETYNFSFRPNIFWKVTLYFCRFIWIGADYSFDIYKQDRDECVQCIWNIFDRGACKIHPKHNECYVWQR >VigunL057401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000435.1:32836:35598:-1 gene:VigunL057401.v1.2 transcript:VigunL057401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLYTSTEKMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNTIRSIFENEDRWGTCIKNYKCLKVLADLNAEADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun08g068600.1.v1.2 pep primary_assembly:ASM411807v1:8:10627214:10630190:1 gene:Vigun08g068600.v1.2 transcript:Vigun08g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGQTIKCKAAIAWEAGKPLVIEEVEVAPPQTGEVRLKIHYTSLCHTDVYFWEAKGQTPLFPRIFGHEASGIVESVGEGVTHLQPGDHALPVFTGECGDCVHCKSEESNMCELLRINTDRGVMISDGKSRFSKDGKPIHHFLGTSTFSEYTVLHAGCVAKINPAAPLDKVTVLSCGICTGLGATVNVAKPKPGSSVAIFGLGAVGLAAAEGARICGAKRIIGIDLVPERFEQAKKFGCNEFVNPKDHDKPIQQVIAEMTNGGVDRAVECTGSIQAMVSAFECVHDGWGVAVLVGVPSKDDAFKTAPINFLNERTLKGTFYGNYKPRTDLPGVVEKYLSGELEVDKFITHSVPFTEINKAFDYMLKGESIRCLIRMQD >Vigun02g018300.2.v1.2 pep primary_assembly:ASM411807v1:2:6398548:6412278:-1 gene:Vigun02g018300.v1.2 transcript:Vigun02g018300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQRNFALTEMRKSVEKLGSSAEGYGDHTLIRFLIARSMEVEKAAKMFVQWQKWRSAMVPNGFISESEIPDELEARKIFLQGLSGDKFPLMIVQTNRHFPSKDQIQFKKYVIYILDKTIASAFKGREVGNEKLIGIIDLQNISYKNIDARGLITGFQFLQSYYPERLAKCYILHMPWFFVSVWKLVSRFLEKATLEKVVIVTNEDETREFVREVGEEVLPEVYGGKAKLVAIQDAELEPLQNGSN >Vigun09g019700.2.v1.2 pep primary_assembly:ASM411807v1:9:1500434:1504410:-1 gene:Vigun09g019700.v1.2 transcript:Vigun09g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAKLRCVTIDVTGTLMAYKGELGDYYCMAAKAAGQPCPDYKRMHEGFKLAYKDMAKNYPCFGYAAKMPNIVWWKTCVRDSFVRAGYVYDEETFEKIFRRIYASFGSSAPYSVFPDSQPFLRWLREQGLKVGIVSNAEYRYQDVILPALGLNEGSEWDFGVFSGLEGVEKPNPKIYEIALERSGNIAPEQTLHIGDSIRKDYEPAKSLGMHALLVDRFKTPEAEEWRKSGAVVLPDLLAVQKWLCSAESD >Vigun09g019700.1.v1.2 pep primary_assembly:ASM411807v1:9:1500434:1504444:-1 gene:Vigun09g019700.v1.2 transcript:Vigun09g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAKLRCVTIDVTGTLMAYKGELGDYYCMAAKAAGQPCPDYKRMHEGFKLAYKDMAKNYPCFGYAAKMPNIVWWKTCVRDSFVRAGYVYDEETFEKIFRRIYASFGSSAPYSVFPDSQPFLRWLREQGLKVGIVSNAEYRYQDVILPALGLNEGSEWDFGVFSGLEGVEKPNPKIYEIALERSGNIAPEQTLHIGDSIRKDYEPAKSLGMHALLVDRFKTPEAEEWRKSGAVVLPDLLAVQKWLCSAESD >VigunL002700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000122.1:4173:5252:1 gene:VigunL002700.v1.2 transcript:VigunL002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDFTMTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAMEAPSVNG >Vigun02g162400.1.v1.2 pep primary_assembly:ASM411807v1:2:30761839:30764367:1 gene:Vigun02g162400.v1.2 transcript:Vigun02g162400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPIFEGLNSQVPTSRSSFSFPSEPPDVGNWFSSYEYQSPDPDSNLSVGGFVFMENESQGDGEEVEERVKIRCLRPNGDNAVQEDEDNYNEEQCMNKNLEPFSSCSQLSEPPDIRNWFSSYVYESPEFDTCSILRDEASEENHCGKKLEFEVVKADGSKSVNGHPKGCVGPNNPSNKKTKYTCEVHDSAEVKKNSTTVDTPPKKILQPCMQDKTLQHNLGPTKYKETLDLNRTSPRHDRKGHPVSLDTDKSSTKSPKLLHKNDTQISNTKTDIQHDNKLDLSASATKTFSTRTAACTSNKENDGFVTTRKKICTGGNDENCWKKPEKILLERSTRTVTIPLQCGVTKRKALTEATNVQLYSDMGITGKWQCPQKRKPEVGPAMKQLRLERWVRRI >Vigun02g162400.2.v1.2 pep primary_assembly:ASM411807v1:2:30761840:30764366:1 gene:Vigun02g162400.v1.2 transcript:Vigun02g162400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPIFEGLNSQVPTSRSSFSFPSEPPDVGNWFSSYEYQSPDPDSNLSVGGFVFMENESQGDGEEVEERVKIRCLRPNGDNAVQEDEDNYNEEQCMNKNLEPFSSCSQLSEPPDIRNWFSSYVYESPEFDTCSILRDEASEENHCGKKLEFEVVKADGSKSVNGHPKGCVGPNNPSNKKTKVHDSAEVKKNSTTVDTPPKKILQPCMQDKTLQHNLGPTKYKETLDLNRTSPRHDRKGHPVSLDTDKSSTKSPKLLHKNDTQISNTKTDIQHDNKLDLSASATKTFSTRTAACTSNKENDGFVTTRKKICTGGNDENCWKKPEKILLERSTRTVTIPLQCGVTKRKALTEATNVQLYSDMGITGKWQCPQKRKPEVGPAMKQLRLERWVRRI >Vigun03g107900.1.v1.2 pep primary_assembly:ASM411807v1:3:9646538:9647801:-1 gene:Vigun03g107900.v1.2 transcript:Vigun03g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPSSSKSGRKLVERDRRNQMKDLFSKLNSVLPPQSSREGISRPDQIGEAIDYIKNLQIKLEKMKEKKGNLTDIVRSRNATMNMEFKSPQFKIQQIGSVLEVVLVTGLDCPFIFNETIRILQEEGSDIVNASYTVLQNAVLHKIHSQVDESGNAASRISEKLKNCVNGR >VigunL019666.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000449.1:26286:26868:1 gene:VigunL019666.v1.2 transcript:VigunL019666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGSKCSTTSSSSSQIRSSGFVPRICRCGETLLLFKATTQKNNGRFFWRCKNWVNDKNCRFFEWADEVEPEKEVTLEKNEEDSVCVNERMLVDVLQKNAKLKKLMEERKMGSVYKDVMKYWSFAVKLRCLQAL >Vigun02g131733.1.v1.2 pep primary_assembly:ASM411807v1:2:28264361:28264818:-1 gene:Vigun02g131733.v1.2 transcript:Vigun02g131733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGKFTKIITEFQFLLKMSEFRNSALCRSLYTGL >Vigun09g211100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38523693:38524742:-1 gene:Vigun09g211100.v1.2 transcript:Vigun09g211100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLLTIFLLSLISYSSFSQSQADCPPTPKPAPTPTPKPTPKPAPTPTPKPTPPTPKPAPTPTPKPTPPTPKPAPTPTPKPTPPTPKPSCPPPPSASPTCPKDTLKLGVCAKVLGLVNVIIGTPPTSDCCALIKDLADLEAALCLCTAIKANVLGINLNVPVTLSAILSACQKTVPPGFQCP >Vigun11g189100.1.v1.2 pep primary_assembly:ASM411807v1:11:38884607:38888964:1 gene:Vigun11g189100.v1.2 transcript:Vigun11g189100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKVVETVIAGNYVEMETEGQPKDVKSRLSSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTVASLLNGQMEGVKHSGPTRLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDMLLNHSNAFALLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSSSARQNAVEQPPKFVGRWVGSFIINSFVVVWVLVVGFGFGGWASMVNFIHQIDTFGLFTKCYQCPPPLLPPLPPQQLNATAPSPLRHPHHGH >VigunL028400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:122602:123459:-1 gene:VigunL028400.v1.2 transcript:VigunL028400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVSVFKIKNESRQIKRERRCLNKNNSLFSTSFFRGQDNMNVLLCSINTLKRLYDISAVEVGQHFYWQIGGFLVHAQVLITSWVVIAILLVSAILVIRNLQTIPTFGQNLFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSVAYFYAGLSKKGLAYFSKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESIEGHH >Vigun11g114300.1.v1.2 pep primary_assembly:ASM411807v1:11:31799418:31803927:-1 gene:Vigun11g114300.v1.2 transcript:Vigun11g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALINRTLHNSCSISYLTFPKPLKPISPKLLQCRIIFPRYNATTTNVNVSERKSANYQPNLWTYDFLQSLKHAYADTRYEDRVKVLQEEVRRMIKDESSDIWHKLELINDVKRLGLSYHYDKEISEVLLWFHSSARFNAAIVNRSLHETALCFRLLREYGYDITADIFERFKEENGNFKTSLVSDVKGMLSLYEASFFGYEGEKILDEAMVFSSFHLRDALNKGESSNMVLEEVNHALELPLHHRIQRLEARWYIESYAKRTDSNRLLLEAAKLDFNVVQSTLQNDLQQMSRWWRGMGLASKLSFSRDRLMECFFWTVGMDFEPQFSDLRKGLTKVTSLITTIDDVYDVYGTLDELELFTAAVESWDVKAVQVLPDYMKICFLALYNTVNEFAYDALKEHGQDILPYLTKAWSDMLKAFLQEAKWSRDRHLPRFDDYLSNAWVSVSGIVILTHAYFLLNHNITKEALESLHNYHSLLQKSSIIFRLCNDLGTSKAELERGEAANSIVCYMRENGSSEEGAYKHIHSLLNETWKKMNKDRVSESPFPKAFVETAMNLGRISQCTYQYGDGHGAPDSTAKSRIRSLIIEPIALYEMEAFPQVVY >Vigun10g085900.1.v1.2 pep primary_assembly:ASM411807v1:10:24563297:24572801:1 gene:Vigun10g085900.v1.2 transcript:Vigun10g085900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASINFNPFGGNWFSKPPNPLSLPSFPSNAFNLADAPSLHPNFAAISLPNPFRRKPKENASDPSEPGHFEMLARQALWEAKTCPDHRHTPEVDKILAENDAAFGSDDSVFEKRENATEEEIRENAELVDMMRSSPLVQFLARAEEILDKMNELELKANEKPYHDEDAELWKEIPHIIGLDGRPMPRKAQKTRQEADDKFWDFARQFFFGLWNFRQRPYPPGKPIDAAQSIGYKNLERRYYDFIMRSGGWYYKDRLGRTRGPLELITLKSAWSAGIIDKNTFIWGEDMDEWAPIHMIYGMERAIATWEVRLAASATALLQKLQKGIPPWVPLKGFEKKTYKELQEEAIQSKRRDLAVLEANDGLWPGVKIPSYALFLWASGSELTTILEQDHMPNKYIPRHVRKKLAEIIPGLRPWEVLSLEQAMDRLTFNGEWYREPLGSYTTGPPYLQHWNEDAMELYKIFEDLNSELCYHMENSIAGFDKIMDKVRDDFIARTNKLLEQRDKEKREERKKAGLPEYLWPEKPFKPS >Vigun08g106000.2.v1.2 pep primary_assembly:ASM411807v1:8:26300060:26302559:1 gene:Vigun08g106000.v1.2 transcript:Vigun08g106000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGVRKPRFLCLHGFRTSGEILKTQLHKWPQSVLDNLDLVFVDAPFPCEGKSDVEGIFDPPYYEWFQFNKEFTEYTNFDECLKYIEDCMIKHGPIDGLLGFSQGAILSAALPGLQEKGVALTKVPKVKFIVIIGGAKFRSPFVVDKAYSPPISCPSLHFLGEIDFLKKYGMELLESCVEPVVIHHPKGHTIPRLGMIIDIFISFIYFYSCLCSDRFLVR >Vigun08g106000.1.v1.2 pep primary_assembly:ASM411807v1:8:26300060:26302559:1 gene:Vigun08g106000.v1.2 transcript:Vigun08g106000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGVRKPRFLCLHGFRTSGEILKTQLHKWPQSVLDNLDLVFVDAPFPCEGKSDVEGIFDPPYYEWFQFNKEFTEYTNFDECLKYIEDCMIKHGPIDGLLGFSQGAILSAALPGLQEKGVALTKVPKVKFIVIIGGAKFRSPFVVDKAYSPPISCPSLHFLGEIDFLKKYGMELLESCVEPVVIHHPKGHTIPRLDDKSMTTVMDFIERIKKEVSI >Vigun06g223300.1.v1.2 pep primary_assembly:ASM411807v1:6:33191513:33195127:-1 gene:Vigun06g223300.v1.2 transcript:Vigun06g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCCFNQVVGGRSSCSSGKGRSHLWPVKYGFSLVKGKANHPMEDYHVAKIAKCGGQELGLFAIYDGHLGDSVPAYLQKHLFSNILKEEDFWTDPASSIIKAYETTDQAILSHSSDLGRGGSTAVTAILINNQNLWVANVGDSRAVLSRKGVAIQMTVDHEPNTERGFIENKGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVHHFDINSDAEFLILASDGLWKVMANQEAVDIARRIKDPQKAAKKLVAEALDKDSKDDISCIVVRFKG >Vigun06g223300.2.v1.2 pep primary_assembly:ASM411807v1:6:33191513:33195127:-1 gene:Vigun06g223300.v1.2 transcript:Vigun06g223300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCCFNQVVGGRSSCSSGKGRSHLWPVKYGFSLVKGKANHPMEDYHVAKIAKCGGQELGLFAIYDGHLGDSVPAYLQKHLFSNILKEEDFWTDPASSIIKAYETTDQAILSHSSDLGRGGSTAVTAILINNQNLWVANVGDSRAVLSRKGVAIQMTVDHEPNTERGFIENKGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVHHFDINSDAEFLILASDGLWKVMANQEAVDIARRIKDPQKAAKKLVAEALDKDSKDDISCIVVRFKG >Vigun06g223300.3.v1.2 pep primary_assembly:ASM411807v1:6:33191513:33195127:-1 gene:Vigun06g223300.v1.2 transcript:Vigun06g223300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCCFNQVVGGRSSCSSGKGRSHLWPVKYGFSLVKGKANHPMEDYHVAKIAKCGGQELGLFAIYDGHLGDSVPAYLQKHLFSNILKEEDFWTDPASSIIKAYETTDQAILSHSSDLGRGGSTAVTAILINNQNLWVANVGDSRAVLSRKGVAIQMTVDHEPNTERGFIENKGGFVSNMPGSLINLSQLMSVRYCLHDQCRDLCCHSYISIR >Vigun10g028400.1.v1.2 pep primary_assembly:ASM411807v1:10:3494312:3495986:1 gene:Vigun10g028400.v1.2 transcript:Vigun10g028400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCTVFLSHIVFPMNTPFFINPVSSFSLFTLQPKMVLLIVVALFAFLQLQIVLIVYWLWNRTKPFVMSRCFVNTNGIQYIDNVNVVFCNQCGHCSKSNNVAGKETTSDSGSTVVSVAPPSTSSPVREKFRVTKKVDPDNSFRHQREMIQKKMKIEKRDDRKQIHPYENNGEGLESIRLLRLALALKIVKYVSKFKSEMTGIDGEKLCLLLEHIFEKKIEKTPISMATNTMVVGGDKTEQGKEV >Vigun10g028400.3.v1.2 pep primary_assembly:ASM411807v1:10:3494312:3495986:1 gene:Vigun10g028400.v1.2 transcript:Vigun10g028400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFVNTNGIQYIDNVNVVFCNQCGHCSKSNNVAGKETTSDSGSTVVSVAPPSTSSPVREKFRVTKKVDPDNSFRHQREMIQKKMKIEKRDDRKQIHPYENNGEGLESIRLLRLALALKIVKYVSKFKSEMTGIDGEKLCLLLEHIFEKKIEKTPISMATNTMVVGGDKTEQGKEV >Vigun10g028400.4.v1.2 pep primary_assembly:ASM411807v1:10:3494312:3495986:1 gene:Vigun10g028400.v1.2 transcript:Vigun10g028400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFVNTNGIQYIDNVNVVFCNQCGHCSKSNNVAGKETTSDSGSTVVSVAPPSTSSPVREKFRVTKKVDPDNSFRHQREMIQKMKIEKRDDRKQIHPYENNGEGLESIRLLRLALALKIVKYVSKFKSEMTGIDGEKLCLLLEHIFEKKIEKTPISMATNTMVVGGDKTEQGKEV >Vigun10g028400.2.v1.2 pep primary_assembly:ASM411807v1:10:3494312:3495986:1 gene:Vigun10g028400.v1.2 transcript:Vigun10g028400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCTVFLSHIVFPMNTPFFINPVSSFSLFTLQPKMVLLIVVALFAFLQLQIVLIVYWLWNRTKPFVMSRCFVNTNGIQYIDNVNVVFCNQCGHCSKSNNVAGKETTSDSGSTVVSVAPPSTSSPVREKFRVTKKVDPDNSFRHQREMIQKMKIEKRDDRKQIHPYENNGEGLESIRLLRLALALKIVKYVSKFKSEMTGIDGEKLCLLLEHIFEKKIEKTPISMATNTMVVGGDKTEQGKEV >Vigun06g040700.2.v1.2 pep primary_assembly:ASM411807v1:6:16136531:16142256:1 gene:Vigun06g040700.v1.2 transcript:Vigun06g040700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSEYGDANQYKIQEVIGKGSYGVVCSAIDTRTGENVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSWKDFKDIYVVFELMESDLHHVIKANDNLTKEHYQFFLYQLLRALKYIHSANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLAKVEKEPSCQLITKMEFEFERRRVTKDEIRELIFREILEYHPQLLKDYMNGNERTNFLYPSAVDQFRKQFAHLEENGKTGHVMPLERKHVSLPRSTIVHSNSNAMHPKDQTNIAACKNRATAEECNRNSRDTKILVPRSMQGPPRIPLAKPVKVVGPVVPHEYDNIVKDSYDPRSFIRGSVLPTQPVPPAYYYHQRSSTGTATEADKSVPLQAKQHDQEQFGVNAKRAPEIAINIDTNPFFMTRAGVNKLEQGDQLVIETNLLQPKTYGGSSAAAGATAHRKMGPVQYGMTRMF >Vigun06g040700.1.v1.2 pep primary_assembly:ASM411807v1:6:16136531:16142245:1 gene:Vigun06g040700.v1.2 transcript:Vigun06g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSEYGDANQYKIQEVIGKGSYGVVCSAIDTRTGENVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSWKDFKDIYVVFELMESDLHHVIKANDNLTKEHYQFFLYQLLRALKYIHSANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLAKVEKEPSCQLITKMEFEFERRRVTKDEIRELIFREILEYHPQLLKDYMNGNERTNFLYPSAVDQFRKQFAHLEENGKTGHVMPLERKHVSLPRSTIVHSNSNAMHPKDQTNIAACKNRATAEECNRNSRDTKILVPRSMQGPPRIPLAKPVKVVGPVVPHEYDNIVKDSYDPRSFIRGSVLPTQPVPPAYYYHQRSSTGTATEADKSVPLQAKQHDQEQFGVNAKRAPEIAINIDTNPFFMTRAGVNKLEQGDQLVIETNLLQPKTYGGSSAAAGATAHRKMGPVQYGMTRMF >Vigun10g137100.1.v1.2 pep primary_assembly:ASM411807v1:10:35318041:35343656:-1 gene:Vigun10g137100.v1.2 transcript:Vigun10g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKVLVMTGFHLSSLPHSIQFLSKLRMLCLERCTLDCNLSILGKLKKLRILSFSGSQLTSFPSELKFLDKLRLLDINDCSELKIIPPNLISSLTCLEELYIRKSLIKMLVEGETKKGQASFLIELKNLQNLKVLDLSIPYASIFRNHFFFDKLKDYKIVIGDLDMFSVGEFRMPDKYEALRVLALQPKDDTNIHSQKSIKLLFKTVQSLLLGEMDGVGDVVNELNIDGFPDLKHLSIINNNDIKYVNSTQLSTYVNAFPNLESLCLYNLGKLDMISFGPVTVVSFAKLKIIKVEMCNRLKNLYSFYKVKFPTSAQTCEISECNSYMDKFLPSLETIEVFECGSLKEIFQIPMHYGKVEFLKLHTLTLQSLPSFKCFYTKVEEYCGSHMTEPQTTNRGHGEITNEEVNQSYGRSHLFGEMVEFPNLENLTLSSLKIHGIWDQHSTSFIFQNLIKLVVKDCHKLRYLCSLSMASNLKNLKNLVISDCPIMEKIFKVEENSANEVCIFPKLEEIHLSKMDKLTDIWQSKVSVDSFSSLISVNIEKCNELEKIFPSHMEGWFESLENLKVSKCHSVKVIFEINDSQEIDTFGGIETNLQVLLLEHLSMLKQLWSTDPYGILKFKKLRTIEVLDCDELRSLFPTSVAKDASKLEGISALQCENMVEIVASKDAFEANNDPLEFPELNYVRLYKLPKIKHFYRQRHPIKCPKLKELSVRDVKLETILKETSETTNKEEKCVFPAEEVLPNLECMEIDFYQAQELLRKHQMHRLKELSLISVQSFDLSQFSYRMPNLEKLKFISSKFRELVQREKIARKKRLGIVLQLKELVFVGSKINHLGFGRDQVLEKLELLTLEYCNKLSNLGPPSVSLTYLTYLEVKSCKRLRNLMASCTAQSMVQLKTMKVIGCCEVEEIVSNKGSEEGKVMKIIFNKLISIELAELNNMKRFCSYKGCEFEFPSLEILIVRECPKMEKFSEREPITPKLKNIFGVEGDEKTKWQWEGNLNTTIQKILNDKVIFTDYLRLHNYSESIIERLWRGSHSVHQNSFGYLKNLSVYECDTLVHVIPSHLLYCFQNLEELEVKSCKAAQVIFNMNDEKRLMTKASGILRLNTLSLDNLPKLEHIWNKDPEGIIDHQLLKKMRVEHCKRLTSLFPASVAKDLAELQVLEVRKCEELAEIFRKDEKGGEGTTQESVFPRLTSLKLYQLPRLKYSIHRSKRQESISKLSMIDMQELCLGSQPIPNSFFGLLGSLTVDGCQFLSDDVLPFNVLRFLTNLETLEVENCDYVKTIFDVKCTGQDIMTFPLKNLSLFRLPNLKNVWNEDPHEILRMPHLQEVHVERCNDLTSVFPKSVAKYLVLVENLSVKNCEGLMTIVAEDNIDPSLDTMFPCPCVRSLKLKGLPKFKYFYHCSPRSDTHTHLESHTENQLSSKKLVKPFSLLENGVEMILRGEFQRNLLPNLKFLILWFESDVFRPEILKQAPNIEKLVVCNGSFNEMFCSKSPNNVDYSGLQLKKLQLNSLGELVSIGLENSWTEPFVRNLETFKVTGCGSLKNLVTCRVSFSNLTYLKVHDCSSLSYLFSSSTVKSLGQLKRMEIKNCTSITEIVPREADESDEDEIIFPKLSLLNLEDLWDLQKFYRGSLSFPSLEKVSITSCTWLPYLFSSSTVKSLGQLKRMEIKYCGSIEEIVSREKDESDEDEIIFPELSLLNLEGLGLRKFYRGSLSFPSLEKVSITGCERMTSFCLGTLEAGNLSHVKVGEFQEVAPLQTDLNSTIQKEYLRRISELKHRSLKYMPELQDIWDGSIEIPNFCFSGLVTLNVDDCKFLTDAVLPFHLLPSLPRLETLRVENCDLIKTIFDVKCTTEDTLITFPLKNLFLFKLSKLKNVWNEDPHGILSMDHLQQVIVENCKRLKSVFPTSVAKDLNLEDLKVGDCEGLMTIVAENNTNRSLELTFPCPRVSSFQLRRLPNFKYFYYCSLKSDIYTHLESHTKDQLGTEKRLLLWEEGVEMIFRGKFQRNLLHNLKFLILWYQPDVFRQEILEQVPNMEKLVVQNGSFKEMFCCKSPNNVDYSELQLKKLHLNSLKKLVSIGLENSWTEPFVRNLETFKVFDCDSLKNLVTCRVSFSNLTYLKVQSCYTLSYLFTSSTAKSLVQLKRMEIKNCFSIQEIVSKEEDESDEDEIIFPKLSLLNLEHLGDLRKFYRGSLRFPSLEELSITSCYEMVTLCTGTLEAGKLSHVRLEEPDAIPLETDLNSILTNEFIKRARWEMPQRSLEFRYGADLQVIWGLSLQIPHFRFRKLETLFLNGCHSLSYVLPSTLLPLLSELKTLKVVNCDSVKTIFDVKSAQGTLTCPLEKLVLWKLSNLEALSNEDTAEIVPLDNPKQTNPKFTLPCVTSLSLSDLPKFKLNTTFQLITPNLQHLTVGKYESKMIVDGEFQRNHELKFLTFCSDIKCDEYPEYGLLQQLPNVKKLVVCHRSFKVIFSDQRPNNSKLLLQLKALSSESLEELVPIGFKNSWTEPFIRNLESFEVISCSSLKNLVTCTVSFSNMVSLTIENCHNLSYLFTSSTARSLDKLQRMEIKWCKSIEEIVFKEEESDEGEIMFLQLRCLNLDNLLNLRRFYGGSLSFPSLEELSVTNCVEMVILCPTTVKADKLTRVTIDYRTVIPPENINSVVRKRFHRQISELFHFDLKSRPALQELWHDSLHMPDFCFSCLYELTVEDCQFLSDAVLPFHLLPLLPRLRRMAVRNCDFVKYIFEVNCTTKDTLVTLPLEKLTLSNLSNLENVWNEDPTGILSVHNLEEVFVDRCKCLGSVFPASVAKDLLKLERLAVENCEKLMTIVAEECDGDEEIIFERLQVLDLKMLEELRCFYTGNFTLSFPSLKKVHVIKCSSMKSFSAVNKIDHPIKWYYSEYAKPRKETDLNSAVCRTFEKEAPDASGVIISVLQ >Vigun03g124000.4.v1.2 pep primary_assembly:ASM411807v1:3:11668118:11670891:-1 gene:Vigun03g124000.v1.2 transcript:Vigun03g124000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWFLKLIVKCLDHCAWPVLALGYPLCASVQAIETNSYRETKDLISYWILLSLIYILEYVFSGPLEWNPFWPYTKLMIIFWLVVPDFGRASYAYNNIIRTCLSNPEAIIRSFNNWRKSFVKKDNFLVHAERYLEEYGTEALMKLIASKTNGEEIQTEHKATKDSEVIVKKEIPTDIQYVPVVRELEQSENGSASMLETVGTAAMKEVGESSTQKEVKVQKEWTCALCHVTTSSEKTLNSHLQGSKHLAMLIGLKTKNQPVSQKNLQKKPAGVNIKEVICEICNVKCPCEITFASHRNGKKHLAKVQSLF >Vigun03g124000.3.v1.2 pep primary_assembly:ASM411807v1:3:11668118:11670891:-1 gene:Vigun03g124000.v1.2 transcript:Vigun03g124000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWFLKLIVKCLDHCAWPVLALGYPLCASVQAIETNSYRETKDLISYWILLSLIYILEYVFSGPLEWNPFWPYTKLMIIFWLVVPDFGRASYAYNNIIRTCLSNPEAIIRSFNNWRKSFVKKDNFLVHAERYLEEYGTEALMKLIASKTNGEEIQTEHKATKDSEVIVKKEIPTDIQVKYVPVVRELEQSENGSASMLETVGTAAMKEVGESSTQKEVKVQKEWTCALCHVTTSSEKTLNSHLQGSKHLAMLIGLKTKNQPVSQKNLQKKPAGVNIKEVICEICNVKCPCEITFASHRNGKKHLAKVQSLF >Vigun03g124000.2.v1.2 pep primary_assembly:ASM411807v1:3:11668118:11670891:-1 gene:Vigun03g124000.v1.2 transcript:Vigun03g124000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWFLKLIVKCLDHCAWYAMPVLALGYPLCASVQAIETNSYRETKDLISYWILLSLIYILEYVFSGPLEWNPFWPYTKLMIIFWLVVPDFGRASYAYNNIIRTCLSNPEAIIRSFNNWRKSFVKKDNFLVHAERYLEEYGTEALMKLIASKTNGEEIQTEHKATKDSEVIVKKEIPTDIQYVPVVRELEQSENGSASMLETVGTAAMKEVGESSTQKEVKVQKEWTCALCHVTTSSEKTLNSHLQGSKHLAMLIGLKTKNQPVSQKNLQKKPAGVNIKEVICEICNVKCPCEITFASHRNGKKHLAKVQSLF >Vigun03g124000.1.v1.2 pep primary_assembly:ASM411807v1:3:11668118:11670891:-1 gene:Vigun03g124000.v1.2 transcript:Vigun03g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFWFLKLIVKCLDHCAWYAMPVLALGYPLCASVQAIETNSYRETKDLISYWILLSLIYILEYVFSGPLEWNPFWPYTKLMIIFWLVVPDFGRASYAYNNIIRTCLSNPEAIIRSFNNWRKSFVKKDNFLVHAERYLEEYGTEALMKLIASKTNGEEIQTEHKATKDSEVIVKKEIPTDIQVKYVPVVRELEQSENGSASMLETVGTAAMKEVGESSTQKEVKVQKEWTCALCHVTTSSEKTLNSHLQGSKHLAMLIGLKTKNQPVSQKNLQKKPAGVNIKEVICEICNVKCPCEITFASHRNGKKHLAKVQSLF >Vigun08g152100.1.v1.2 pep primary_assembly:ASM411807v1:8:32466652:32470847:-1 gene:Vigun08g152100.v1.2 transcript:Vigun08g152100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHASLFRVLPLQHVPSKRRQEKQLSSFCYLGLYSDSDSEPPQYFSELIRSSSLALFLCIVSISMEESSYTGLPTSHLLGSVPAVITEENNTTKHVATDASMQIFPPNNGVDRGTGYQTVGGRTEAFEQQPANNWRGVFSISSYSQYFDVDTDIVVIRLISSLNPVAGDFFSKIDANPDLYGLIWISTTLVFVLAALGNLATYLMQKHADNSTSWSFDVSYMNVAACSIYGYAIVVPLAYYFFLQYMGSNASLIRFWCMWGYSLTIFIMSSFLLLIPVEFLRWVIILLTGVASASFVALNLRSYIEGNELSVAIIAAFLLQIALAIFIKVWFFP >Vigun01g050400.1.v1.2 pep primary_assembly:ASM411807v1:1:8013845:8014968:1 gene:Vigun01g050400.v1.2 transcript:Vigun01g050400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLIDSWASPFGMRVRIALAEKGINYEYKEEDLWNKSPLFLQMNPVHKKVPVLIHKGKPICESLIVVEYIDEVWFHTNPLLPSDPYQRAEARFWADYVDKKIYDAGRKILTTSKAEEREVGKKELIEALKLLEEQLGDKTYFGRDGFGFLDIAIVPFYTWFKSYETFGTFSVQSECPKLIAWAKRCLQKESVAKSLPDQHTVNEFVADRRKKLGIE >Vigun09g149900.1.v1.2 pep primary_assembly:ASM411807v1:9:31379392:31382214:1 gene:Vigun09g149900.v1.2 transcript:Vigun09g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSFSYNSLFPSLLRVAKSHWLPLSQRSKVFYFYDSGPSVLQHCCKSGSLMNFQALRSYARDSRRGYDLFGRGRPGDEEFKKAWAKEMGEDNTLWTGSEDESDEETGSKSNLDKEIKKARLEAKKHSDLIDADDSDELRSVWSGSDEEKTLWTGDEMDSDEDIPTEAYPNESSDKYIDKLFEFDEVPKYRTISEMLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRYTNAWEVMSDIDILIGAFENVVSGPEYEELRQGGPKQLNIQFFKDIQARMRDPNYKFSPELKLKPKSKLVPTKKWQKAESRRRKARKR >Vigun09g149900.3.v1.2 pep primary_assembly:ASM411807v1:9:31379409:31382214:1 gene:Vigun09g149900.v1.2 transcript:Vigun09g149900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQALRSYARDSRRGYDLFGRGRPGDEEFKKAWAKEMGEDNTLWTGSEDESDEETGSKSNLDKEIKKARLEAKKHSDLIDADDSDELRSVWSGSDEEKTLWTGDEMDSDEDIPTEAYPNESSDKYIDKLFEFDEVPKYRTISEMLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRYTNAWEVMSDIDILIGAFENVVSGPEYEELRQGGPKQLNIQFFKDIQARMRDPNYKFSPELKLKPKSKLVPTKKWQKAESRRRKARKR >Vigun09g149900.2.v1.2 pep primary_assembly:ASM411807v1:9:31379392:31382214:1 gene:Vigun09g149900.v1.2 transcript:Vigun09g149900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSFSYNSLFPSLLRVAKSHWLPLSQRSKVFYFYDSGPSVLQHCCKSGSLMNFQALRSYARDSRRGYDLFGRGRPGDEEFKKAWAKEMGEDNTLWTGSEDESDEETGSKSNLDKEIKKARLEAKKHSDLIDADDSDELRSVWSGSDEEKTLWTGDEMDSDEDIPTEAYPNESSDKYIDKLFEFDEVPKYRTISEMLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRTRI >Vigun02g092800.1.v1.2 pep primary_assembly:ASM411807v1:2:24803230:24811143:-1 gene:Vigun02g092800.v1.2 transcript:Vigun02g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGTFSGDSAVDAKSKKEHKVSLLKLFSFADFYDCVLMAFGSVGACIHGASVPVFFIFFGKLINVIGLAYLFPKEASHKVAKYSLDFVYLSIAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIIVQDALSEKVGNFMHYISRFLAGFIIGFVRVWQISLVTLSIVPLIALAGGLYAYVTIGLIAKVRKAYVRAGEIAEEVIGNVRTVQAFAGEERAVRSYKAALMKTYVNGRKAGLAKGLGLGSMHCVLFLSWALLVWFTSIVVHKNIANGGESFTTMLNVVISGLSLGQAAPDITAFIRAKAAAYPIFEMIERDTVTKSSSKTGRKLGKLEGDIQFKNVCFSYPSRPDVAIFNNLCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGQILLDRNDIRDLDLKWLRQQIGLVNQEPALFATSIKENILYGKDDATLEELKRAVKLSDAQSFINNLPDRLETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADLIAVVQGGKIVETGNHEELMSNPISVYASLVQLQEAASLQRLPSVGPSMGRQPSITYSRELSRTTTSLGGSFRSDKESIGRVCAEETENSGKKKYVSAARLYSMVGPDWFYGVFGTLCAFIAGAQMPLFALGISHALVSYYMDWETTCREVKKIAFLFCGGAVITVTVHAIEHLSFGIMGERLTLRVREMMFSAILKNEIGWFDDTNNTSSMLSSQLETDATLLRTIVVDRSTILLQNIGLVVASFIIAFILNWRITLIVIATYPFVISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCSEEKVLDLYANELVDPSKRSFKRGQIAGIFYGVSQFFIFSSYGLALWYGSTLMEKELASFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRKSGISGDVGEELKTVEGTIELKRINFSYPSRPDVIIFKDFNLRVPAGKSVALVGQSGSGKSSVISLILRFYDPISGRVLVDGKDITKLNLKSLRRHIGLVQQEPALFATSIYENILYGKEGASDSEVIEAAKLANAHNFISGLPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLQDGKIIEQGTHSSLIENKNGAYFKLVNLQQQQHHQL >Vigun09g135250.1.v1.2 pep primary_assembly:ASM411807v1:9:29536378:29539153:1 gene:Vigun09g135250.v1.2 transcript:Vigun09g135250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDIGSYTDNSRVMAMTEYCITYLICSDQVLHPHFFLLFHHLNGILVQFFPR >Vigun09g135250.2.v1.2 pep primary_assembly:ASM411807v1:9:29538943:29539153:1 gene:Vigun09g135250.v1.2 transcript:Vigun09g135250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYCITYLICSDQVLHPHFFLLFHHLNGILVQFFPR >Vigun05g029000.1.v1.2 pep primary_assembly:ASM411807v1:5:2312234:2315578:-1 gene:Vigun05g029000.v1.2 transcript:Vigun05g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVGIVTKVLNLLPLLSVHPSRNRRIMSGASEKKLVRIEVTSDTVCPWCFVGKKNLDKAIAASNNIYNFEITWHPFQLDPDAPKEGIDKREYYGKKFGSQTERMEARMSEVFKSVGVEYSFSGLTGNTIDSHRLIYFARQQGPEKQHLLVEELAIGYFTQGKYIGDHEFLLESAAEVGIVGAEEFLKDPNNGLKEVEEELKTISRNISGVPYYVINGKHKLSGGQIPEVFLKAFQVATT >Vigun05g029000.6.v1.2 pep primary_assembly:ASM411807v1:5:2312234:2315579:-1 gene:Vigun05g029000.v1.2 transcript:Vigun05g029000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEKKLVRIEVTSDTVCPWCFVGKKNLDKAIAASNNIYNFEITWHPFQLDPDAPKEGIDKREYYGKKFGSQTERMEARMSEVFKSVGVEYSFSGLTGNTIDSHRLIYFARQQGPEKQHLLVEELAIGYFTQGKYIGDHEFLLESAAEVGIVGAEEFLKDPNNGLKEVEEELKTISRNISGVPYYVINGKHKLSGGQIPEVFLKAFQVATT >Vigun05g029000.7.v1.2 pep primary_assembly:ASM411807v1:5:2312234:2315578:-1 gene:Vigun05g029000.v1.2 transcript:Vigun05g029000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEKKLVRIEVTSDTVCPWCFVGKKNLDKAIAASNNIYNFEITWHPFQLDPDAPKEGIDKREYYGKKFGSQTERMEARMSEVFKSVGVEYSFSGLTGNTIDSHRLIYFARQQGPEKQHLLVEELAIGYFTQGKYIGDHEFLLESAAEVGIVGAEEFLKDPNNGLKEVEEELKTISRNISGVPYYVINGKHKLSGGQIPEVFLKAFQVATT >Vigun05g029000.5.v1.2 pep primary_assembly:ASM411807v1:5:2312234:2315578:-1 gene:Vigun05g029000.v1.2 transcript:Vigun05g029000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEKKLVRIEVTSDTVCPWCFVGKKNLDKAIAASNNIYNFEITWHPFQLDPDAPKEGIDKREYYGKKFGSQTERMEARMSEVFKSVGVEYSFSGLTGNTIDSHRLIYFARQQGPEKQHLLVEELAIGYFTQGKYIGDHEFLLESAAEVGIVGAEEFLKDPNNGLKEVEEELKTISRNISGVPYYVINGKHKLSGGQIPEVFLKAFQVATT >Vigun05g029000.8.v1.2 pep primary_assembly:ASM411807v1:5:2312234:2315578:-1 gene:Vigun05g029000.v1.2 transcript:Vigun05g029000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEKKLVRIEVTSDTVCPWCFVGKKNLDKAIAASNNIYNFEITWHPFQLDPDAPKEGIDKREYYGKKFGSQTERMEARMSEVFKSVGVEYSFSGLTGNTIDSHRLIYFARQQGPEKQHLLVEELAIGYFTQGKYIGDHEFLLESAAEVGIVGAEEFLKDPNNGLKEVEEELKTISRNISGVPYYVINGKHKLSGGQIPEVFLKAFQVATT >Vigun11g019900.1.v1.2 pep primary_assembly:ASM411807v1:11:2501401:2502779:-1 gene:Vigun11g019900.v1.2 transcript:Vigun11g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMHIELSDFPPSFSFSMFPKYKHCYSISKVKINNRILARKIEHLGQQKAIREESGELEIKIFAVNKIVFEMPERCCGELAPVENVGI >Vigun09g228700.1.v1.2 pep primary_assembly:ASM411807v1:9:40072205:40072863:-1 gene:Vigun09g228700.v1.2 transcript:Vigun09g228700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCIKVFMDMPSGIADPFLASSSWIHLFRWRLCSGFRKGKEGKDWFFQNYFQGCDKVFRDDCVCHDF >Vigun03g031600.2.v1.2 pep primary_assembly:ASM411807v1:3:2399888:2404250:-1 gene:Vigun03g031600.v1.2 transcript:Vigun03g031600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIQEKKIGAGRVVAVAIENNKTSQYAAKWAVDNLLPKEQALLLLYVRQRASSIPTPTGNLVPLDGNDDVARVYMQQMDNESKELFASFRVFCNRKSIQCKEVLLDDVDIATGLIEGISKFAIELLVLGAPSRSGLVKRFRTSDVPSLVSKGAPPFCTVYIISKGKIASVKTATAKPPPPPRNTLQPQQSLQTPGRMDPHLTRNPALSRPSLEKASCIARQQPADEDEIMISPFTRPGRGGSYRSYESSIADSDISFVSSGRPSVDRMFPSFYDDMDSCINPRLSTGSDFDMRSYGSSFSGAKSIDHGDFSFSSQDSGTSMSSSIFSASDEVEAEMRRLKLELKQTMEMYSTACKEAMTAKQKALELQRWKVEEQRKMEESRLTEETALSMAEKEKAKCVAAMEAAETSRKIAELEARKRMTVESGQKKMTADIFSHSPARYRRYTIEEIEEATNMFSNSLKVGEGGYGPVYRCELDHTPVAVKVLKPDAAQGQSQFQQEIEVLSSIRHPHMVLLLGACPEFGCLVYEYMANGSLDDCLFRRGNKRALPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPASVEDSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGIMLLQIITAKPPMGLTHHVERAIEKDTFAEMLDPAVEDWPIEHALRFAKLALACAEMKRKDRPDLGKVVVPELNKLRDFADDNMPMMMMFGAPTGLGSRSNYNNSNSPLSSAQDSMSDGSTSGMSG >Vigun08g112100.1.v1.2 pep primary_assembly:ASM411807v1:8:27804975:27809443:1 gene:Vigun08g112100.v1.2 transcript:Vigun08g112100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLEAPLATPLFSSKPHNTNFPPKFHTFPSKPTLLTLRNTHPHSCVANASPPQDPDPGSDPQPDPNRDRRRIVRVAWEKILRWSRSWRSKAKTDILQRTNKVVVLGGGSFGTAMAAHVANRKAELEVNMLVRDPQVCLSINENHFNCKYFPDHRLPENVVATTDAKSALLGADYCLHAVPVQFSASFLESVAEYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNPRQPFVALSGPSFALELMDKLPTAMVVASKDKKLANTVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLENILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVFELMSLPQVEEV >Vigun08g112100.3.v1.2 pep primary_assembly:ASM411807v1:8:27804975:27809443:1 gene:Vigun08g112100.v1.2 transcript:Vigun08g112100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILIGDCFCILLLGSKYFPDHRLPENVVATTDAKSALLGADYCLHAVPVQFSASFLESVAEYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNPRQPFVALSGPSFALELMDKLPTAMVVASKDKKLANTVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLENILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVFELMSLPQVEEV >Vigun08g112100.2.v1.2 pep primary_assembly:ASM411807v1:8:27804975:27809443:1 gene:Vigun08g112100.v1.2 transcript:Vigun08g112100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLEAPLATPLFSSKPHNTNFPPKFHTFPSKPTLLTLRNTHPHSCVANASPPQDPDPGSDPQPDPNRDRRRIVRVAWEKILRWSRSWRSKAKTDILQRTNKVVVLGGGSFGTAMAAHVANRKAELEVNMLVRDPQVCLSINENHFNCKYFPDHRLPENVVATTDAKSALLGADYCLHAVPVQFSASFLESVAEYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNPRQPFVALSGPSFALELMDKLPTAMVVASKDKKLANTVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGSRRCLHSRSCDCFGAEI >Vigun09g180100.1.v1.2 pep primary_assembly:ASM411807v1:9:35257951:35266454:1 gene:Vigun09g180100.v1.2 transcript:Vigun09g180100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPLSAGIRRFVFGARTLCSTVASRASTLHAPLLRKLKSPKQNPLLVLQNWVDEGNNVSPSQFRSIARTLVKSKRYHHALELFKWIENQKNFRMIPVDHAMKLELIIENYGLMEAEEYFMNLPDSAAKKAAYLGLLRGYVRYRDTTKAEALMVKLYDLGLVASPHPFNEMMKLYLLTCEYRKVPLVIQQMKRNKIPCNVLSYNLWMNACSEEEGYGIAAVETVFRQMQNDKNVEVGWSSLATLANVYKKAEQPKKAILVLKDAEKKLSTCNRLGYFFLITLYASLKDKEGVLRLWEASKAVGERISCANYICILTCLVKLGDILQAERIFSEWESNCQKYDIRVSNVLLGAYVRDGLMEEAESLHLHTLQKGGCPNYKTMEILVEGYVNRKKIDEAIIAMKRALSMTKNCHWRPPHGLMLAIVESLEKDGNLEYANKYITDIHNFGLASLPLYKILLRMHLYANKPPFHILKMMDEEKVEMDDETHSIVKAFTLPKNSEVER >Vigun03g295300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48093712:48094540:-1 gene:Vigun03g295300.v1.2 transcript:Vigun03g295300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQPFLLLFSFTLFSLFITTLSLGTTSSTWRVPKDIIEQTCEICANQSIILSYGLCSSSLPVVPVSHSANLEGLALVAMELALENASSTLATIEKLLDSTSVGSFALGALTDCLELYSDAAWTIVNSVGVFLSGNYDVTRMWMSSVMEAASTCQQGFTERGEVSPLTQENYNLFQLCGIALCIIHLANPMQILN >Vigun09g272650.1.v1.2 pep primary_assembly:ASM411807v1:9:43408602:43409004:1 gene:Vigun09g272650.v1.2 transcript:Vigun09g272650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHTVFIDLEKTKDLCISFSTIFHMMEYCENLYEEVA >Vigun03g357100.1.v1.2 pep primary_assembly:ASM411807v1:3:55848918:55851859:-1 gene:Vigun03g357100.v1.2 transcript:Vigun03g357100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKLVSSDPSERQDTYRVAYIIHFLLGAGNLLPWNALITAVDYFAYLYPTKHIERVFSVAYMISSVVVLLGMIGWGGWSKTTLRLRMNLGFSMFVMSLMVAPVIDWTSSSTKLNDRPSGAYGLTVAAVVICGLADGLVGGSLIGSAGKLPKQYMQAVFAGTASSGIIISILRIITKASLPQTPKGLKISAHLYFMVATIFLLLCIVFSNLQHKLPVMQQYRQSVHQESTLCPGTKFWAVAGKIKGAAFGIFIIYIVTLSIFPGFIAEDLESKLLRDWYPILLITVYNLADLMGKSLTAFYVIQSMTRAIWAATSRLLFYPLFVICLHGPKWLKTEVPMVVLTFLLGFSNGYLTSVLMILTPKTVPLSEAEFSAIVMTGFLGFGLVGGSVLGWFWIL >Vigun04g194000.1.v1.2 pep primary_assembly:ASM411807v1:4:41827035:41829446:1 gene:Vigun04g194000.v1.2 transcript:Vigun04g194000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVTRVMVAVNESSIKGYPHPSISSRGAFEWTINKIVRNNVSAFNLFFLHVQVPDEDGFDDTDSIYASPEDFRNMNQRDRIRGIHLLEYFVNRCHEIGVVCQAWIMQGDPKEVICHEVTRLRPDFLVVGSRGLGPFQKVFVGTVSEFCWKHAECPVLTIKRKPDETPQDPVDD >VigunL072400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:28697:30244:1 gene:VigunL072400.v1.2 transcript:VigunL072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEGTPEVDRAKGDSDPKSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGKRRKITFLSCFGEISGSRGMERNILYLISKSSYGASSSNPGWVITSRNDIMLIHVPHGQGRIKKIREERS >VigunL059021.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000210.1:16565:17027:-1 gene:VigunL059021.v1.2 transcript:VigunL059021.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAIERGDSLTAKACYIGSNLWTEESHMPERGLKYHFSILFTKKIHTHLIPNKTPRSFLCIFDDFYDVGNDSEGGYYARGARVAVWENF >Vigun06g065100.1.v1.2 pep primary_assembly:ASM411807v1:6:19354584:19358022:-1 gene:Vigun06g065100.v1.2 transcript:Vigun06g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMHSSQTPDAKIVKEGQVIVRARSLRAGANFIPSKAFRARKIYFCNEENGLLFVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAVDDTQRMMQMGGFGFDPSKGLSAEKDNLDITQHDWALPNFEHRAEAVLRKVIS >Vigun06g065100.2.v1.2 pep primary_assembly:ASM411807v1:6:19354584:19358022:-1 gene:Vigun06g065100.v1.2 transcript:Vigun06g065100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMHSSQTPDAKIVKEGQVIVRARSLRAGANFIPSKAFRARKIYFCNEENGLLFVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEEKL >Vigun11g172000.2.v1.2 pep primary_assembly:ASM411807v1:11:37741362:37744023:-1 gene:Vigun11g172000.v1.2 transcript:Vigun11g172000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPTPSTAMAYLNPSYWDERFSKEEQYEWFKDYSHFRHLIQPHLTPHSAVLELGCGNSQMCEQLHKDGTTNITCIDLSHVAVQNMQKRLLSRGFKDIKVLQADMLELPFGDECFDLVIEKGTMDVLFVDSGDPWNPKPETLSKVMATLKGVHRVLKAGGTFISVTFGQPHFRRPIFNAPDFTWSVEWTTFGETFHYFVYILKKGQRSSYDDVPPTKGFEAPPINLLHEELESEDFAFLINVDELNY >Vigun11g172000.1.v1.2 pep primary_assembly:ASM411807v1:11:37741362:37743882:-1 gene:Vigun11g172000.v1.2 transcript:Vigun11g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPTPSTAMAYLNPSYWDERFSKEEQYEWFKDYSHFRHLIQPHLTPHSAVLELGCGNSQMCEQLHKDGTTNITCIDLSHVAVQNMQKRLLSRGFKDIKVLQADMLELPFGDECFDLVIEKGTMDVLFVDSGDPWNPKPETLSKVMATLKGVHRVLKAGGTFISVTFGQVSKRNCLPHFRRPIFNAPDFTWSVEWTTFGETFHYFVYILKKGQRSSYDDVPPTKGFEAPPINLLHEELESEDFAFLINVDELNY >Vigun02g196000.1.v1.2 pep primary_assembly:ASM411807v1:2:33141367:33144210:1 gene:Vigun02g196000.v1.2 transcript:Vigun02g196000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLVMLLFFNVPSIAISIELGSSIVAGSATNSSWRSSSGEYAFGFYHLVSGRYLVGIWFDKIPEKTLVWSANRDNPVEIGSSINLTRSGQFVLQPLNGDSFPIYEGTNAASAEMNDDGNFVLKNSLSNVIWQSFDSPTDTLLLGQTLNTSRKLYSNANGSVDYSTGQYSLEIQQSDGNIVLKAYRFTDSAYWWSDTAQNTVVGAIEDYYHRVLVDDKGNFQKLIYHKRNGSEWRSVWQAVTKPCTVTALCGVYGFCNTSGSDTQTYSCGCLPGYTPLDPTAPSKGCYLSEVKDLCAANSSASDFEVEVKEIQDADIPNSGYFFLDLQVLDGMDLESCKRELMDDCLCIAAVLDGTACHKKKWPIINAIRIIPDTSNRVMLIKVPLLDNNKDNERDSSSVVVLVVALISCSLLAVLFAATAIYHHPVGQHLMHKQAPPKPKPMDINLKVFSFQQLREATNGFKDKLGRGAYGTVYSGVLNLEDQQVHVAVKQLEQVEDQGDKEFVTEVQVIALIHHRNLVSLLGFCNEQSHRLLVYEKMENGTLSNFLFDEGDKPSWESRVRIVLEIARGLLYLHEECDHQIIHCDIKPQNVLLDSNYTAKISDFGLAKLLMKDKTRTNTNARGTVGYMAPEWLKNAPVTAKVDIYSFGVVLLEIIFCRRHIELHEIEDGTRGDDMILVDWVLYLAMENNLRGAVIDDVEVESDFNRFERMAMVGLWCINPNPNLRPTMKIVVQMLEGNVEVGVPPLN >Vigun02g196000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33141399:33144208:1 gene:Vigun02g196000.v1.2 transcript:Vigun02g196000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLVMLLFFNVPSIAISIELGSSIVAGSATNSSWRSSSGEYAFGFYHLVSGRYLVGIWFDKIPEKTLVWSANRDNPVEIGSSINLTRSGQFVLQPLNGDSFPIYEGTNAASAEMNDDGNFVLKNSLSNVIWQSFDSPTDTLLLGQTLNTSRKLYSNANGSVDYSTGQYSLEIQQSDGNIVLKAYRFTDSAYWWSDTAQNTGVRIIFDNTTAFLYAVNATNQIIFNMTTEVVGAIEDYYHRVLVDDKGNFQKLIYHKRNGSEWRSVWQAVTKPCTVTALCGVYGFCNTSGSDTQTYSCGCLPGYTPLDPTAPSKGCYLSEVKDLCAANSSASDFEVEVKEIQDADIPNSGYFFLDLQVLDGMDLESCKRELMDDCLCIAAVLDGTACHKKKWPIINAIRIIPDTSNRVMLIKVPLLDNNKDNERDSSSVVVLVVALISCSLLAVLFAATAIYHHPVGQHLMHKQAPPKPKPMDINLKVFSFQQLREATNGFKDKLGRGAYGTVYSGVLNLEDQQVHVAVKQLEQVEDQGDKEFVTEVQVIALIHHRNLVSLLGFCNEQSHRLLVYEKMENGTLSNFLFDEGDKPSWESRVRIVLEIARGLLYLHEECDHQIIHCDIKPQNVLLDSNYTAKISDFGLAKLLMKDKTRTNTNARGTVGYMAPEWLKNAPVTAKVDIYSFGVVLLEIIFCRRHIELHEIEDGTRGDDMILVDWVLYLAMENNLRGAVIDDVEVESDFNRFERMAMVGLWCINPNPNLRPTMKIVVQMLEGNVEVGVPPLN >Vigun06g087500.1.v1.2 pep primary_assembly:ASM411807v1:6:21942625:21944734:1 gene:Vigun06g087500.v1.2 transcript:Vigun06g087500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTPFLLLSSLQSFWPSLVVIITCFTIMIKTLRHNFIHSYAKKQKPNLPPGPKPWPIVGNLPEMLASKPAYKWIHNLMKEMNTEIACIRLGNAYVIPVTCPTIATEFLRKQDATFASRSHSMSSDLITSGYSTTIFVPFGEQWKKMKKIITHDLLSPHKHLWLHGKRTEEADNLMFYVYNKCKMVDGGVSGLVNVRTAARHYCGNLIRKITFNARYFGDGREDGGPGFEEVEHVDSIFDLLNYIYSFSVSDYMPCLARLDLDGHQKKMKGALRKIKKYHDPIVQHRIKQWNDGLKVDAEDWLDVLISLKDANNNPLLTLEEISAQIIELMLATVDNPSNAFEWALAEMINQPELLRRAVEELDSVVGKDRLVQESDIPKLNYVKACAKEVFRLHPIAPFIPPHVSMSDTVVGNYFIPKGSHVVLSRQELGRNPKVWNEPYKFKPERHLKSDTDDVSLTEPNLKFISFSTGRRGCPGVMLGTTMTVMLFARLLHGFTWTAPPHVSSINLSESDDDILLAEPLMAIAQPRLPAKLYQL >Vigun07g165700.1.v1.2 pep primary_assembly:ASM411807v1:7:27783913:27787144:1 gene:Vigun07g165700.v1.2 transcript:Vigun07g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRILSHHRALSACTRSLATRRSPNVPPPSFPIRGSRSISSKTSQSNEHGESSNKAKKDIASVEEDPFSAPTYNIPEKPVTFVEGASYSVVILAGLGIAAAAGYAVFKELIFQPKEYKIYSKALKRIQDDGQVRVRIGSPITGYGQESRNRAARQRIPHRVWTDEEGVEHVEVNFYIRGPHGHGKVFCEMFKGSADNEWKFTYLIVEIRAPSTAQLILESYIPSYNATK >Vigun07g179500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29611809:29614003:1 gene:Vigun07g179500.v1.2 transcript:Vigun07g179500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVLGGSVVGPLVATGPVMVIAATFSNATYERLPLDEDDEGPSSAAAVQGGGSPPPPLGIGSGGGAQLQGGIPDPSSLPLYNLPPNGGVGQVGHEALAWAHGRAPF >Vigun07g179500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29611809:29614003:1 gene:Vigun07g179500.v1.2 transcript:Vigun07g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVLGGSVVGPLVATGPVMVIAATFSNATYERLPLDEDDEGPSSAAAVQGGGSPPPPLGIGSGGGAQLQGGIPDPSSLPLYNLPPNGGVGQVGHEALAWAHGRAPF >Vigun05g178600.2.v1.2 pep primary_assembly:ASM411807v1:5:33671894:33675912:1 gene:Vigun05g178600.v1.2 transcript:Vigun05g178600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEAKVLCCADEAALCWECDEKVHAANKLANKHQRVPLSTSSSHMPKCDICQEALGYFFCLEDRALFCRKCDLAIHTANAYVSGHQRFLLTGVRVGLEATEPGASSSSLKSDSGEKISDTKSSSISRKVSTVPQNSDYNELLPIEGGGVEGFPPAKESFGGGSTVGNISQWTIDEFIGLNEFSQNYDYMEESTRFLLGTRE >Vigun05g178600.1.v1.2 pep primary_assembly:ASM411807v1:5:33671894:33675912:1 gene:Vigun05g178600.v1.2 transcript:Vigun05g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEAKVLCCADEAALCWECDEKVHAANKLANKHQRVPLSTSSSHMPKCDICQEALGYFFCLEDRALFCRKCDLAIHTANAYVSGHQRFLLTGVRVGLEATEPGASSSSLKSDSGEKISDTKSSSISRKVSTVPQNSDYNELLPIEGGGVEGFPPAKESFGGGSTVGNISQWTIDEFIGLNEFSQNYDYMEESTRADGGKLGDSDSPVLRSGDEEMEEEEYLEHVPDSSWTVPQIPSPPTASGLHWPKHPQYSSDSLLFVPDISFSHMQHSQISSIFSRRRRHL >Vigun07g135100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24526022:24526501:-1 gene:Vigun07g135100.v1.2 transcript:Vigun07g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKLKLAFISDEMTRKSTYKRRKKGMMKKVNELATLCGISVCAIICNPLDSETEVWPNPEVANKVMERFKNACVVNKCRNVNHESFMMQRIVKAQNELKMQRQDNYEKEMTLSMFQFMLGQNLPNITVQEIKEIHKIIDKNIREIENKLAALNSQSF >Vigun03g340200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53859102:53861583:-1 gene:Vigun03g340200.v1.2 transcript:Vigun03g340200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICGIGAGYVGGPTMAVIALKCPSIEVAVVDISESRISAWNSNKLPIYEPGLEEVVNQCRGKNLLFSTDVEKHVHEADIIFVSVNTPTKIKGLGAGKAADLTYWESAARMIAHVSNSDKIVIEKSTVPVRTAEAIGKILTHNTKTKGINYQILSNPEFLSEGTAIQDLLNPDRVLIGGNQDPQGLDAIQKLKAIYTHWVPQDRIITTNLWSAELSKLADNAFLAQRISSINAMSALCEATGAEVSQVSLALSKNTKIGPKFLNASVGFGGSCFQKDILNLVYICESNGLTEVANYWREVIKVNEYQKSRFVHRVVTSMFNTVSGKKIAILGFAFKKDTGDTRKTPAIDVCKGLLGDDACLSIYDPRVTQNQIEKDLSMSCSGVKHVNVVGDAYEATRDAHGVCILTEWDEFKTIDYERVYENMVKPAFVFDGRNMLDVDKLRKIGFIVYSIGKPLDQCG >Vigun03g340200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53859109:53861583:-1 gene:Vigun03g340200.v1.2 transcript:Vigun03g340200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICGIGAGYVGGPTMAVIALKCPSIEVAVVDISESRISAWNSNKLPIYEPGLEEVVNQCRGKNLLFSTDVEKHVHEADIIFVSVNTPTKIKGLGAGKAADLTYWESAARMIAHVSNSDKIVIEKSTVPVRTAEAIGKILTHNTKTKGINYQILSNPEFLSEGTAIQDLLNPDRVLIGGNQDPQGLDAIQKLKAIYTHWVPQDRIITTNLWSAELSKLADNAFLAQRISSINAMSALCEATGAEVSQVSLALSKNTKIGPKFLNASVGFGGSCFQKDILNLVYICESNGLTEVANYWREVIKVNEYQKSRFVHRVVTSMFNTVSGKKIAILGFAFKKDTGDTRKTPAIDVCKGLLGDDACLSIYDPRVTQNQIEKDLSMSCSGVKHVNVVGDAYEATRDAHGVCILTEWDEFKTIDYERVYENMVKPAFVFDGRNMLDVDKLRKIGFIVYSIGKPLDQCG >Vigun03g340200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53859102:53861583:-1 gene:Vigun03g340200.v1.2 transcript:Vigun03g340200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICGIGAGYVGGPTMAVIALKCPSIEVAVVDISESRISAWNSNKLPIYEPGLEEVVNQCRGKNLLFSTDVEKHVHEADIIFVSVNTPTKIKGLGAGKAADLTYWESAARMIAHVSNSDKIVIEKSTVPVRTAEAIGKILTHNTKTKGINYQILSNPEFLSEGTAIQDLLNPDRVLIGGNQDPQGLDAIQKLKAIYTHWVPQDRIITTNLWSAELSKLADNAFLAQRISSINAMSALCEATGAEVSQVSLALSKNTKIGPKFLNASVGFGGSCFQKDILNLVYICESNGLTEVANYWREVIKVNEYQKSRFVHRVVTSMFNTVSGKKIAILGFAFKKDTGDTRKTPAIDVCKGLLGDDACLSIYDPRVTQNQIEKDLSMSCSGVKHVNVVGDAYEATRDAHGVCILTEWDEFKTIDYERVYENMVKPAFVFDGRNMLDVDKLRKIGFIVYSIGKPLDQCG >Vigun05g172651.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31309134:31310828:-1 gene:Vigun05g172651.v1.2 transcript:Vigun05g172651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMKIDSGDGVAGSRAWCSAVRGPSMAQLRRRSVRRWCCHTCMLQVRGEKMVVAVTVPFACFRCRDDGDETVVVGGLTARLAVADLWWLPAWWQLGFEEKLGFLFWKMVMWQALIGQFGEWRIMTRVTMWLDRFRR >Vigun05g172651.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:31309047:31310802:-1 gene:Vigun05g172651.v1.2 transcript:Vigun05g172651.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMKIDSGDGVAGSRAWCSAVRGPSMAQLRRRSVRRWCCHTCMLQVRGEKMVVAVTVPFACFRCRDDGDETVVVGGLTARLAVADLWWLPAWWQLGFEEKLGFLFWKMVMWQALIGQFGEWRIMTRVTMWLDRFRR >Vigun09g239500.1.v1.2 pep primary_assembly:ASM411807v1:9:40977879:40983489:-1 gene:Vigun09g239500.v1.2 transcript:Vigun09g239500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRYYWGRKVASEKADGIVVVFAWMSSEEKHLMKYVDLYSSVGWNSLVCHSQFLNMFFPEKATILAVDILNELVEVLKIRQCPVVFASFSGGSKACMCKMLQIISGSSEAHNMDDYQLVRECISGYIYDSSPVDFTSDLGVRFLLHPTVLKVSHPPRFASWIANGIASGLDSLFLSRFESQRAEYWQTLYSTINMKAPYLILCSENDDLAPFQVISNFFQRLKDLGGDVKMLKWSASPHVGHFRHHPTDYKAAITEILGKAVATYCCKSSRQVEDEKLGIEGARDEITDPFSESRKAAMTSTSFQGFALAPSDSSTEYYVGKDIGTIADERKGGYIHLPNPPSINAHGFLSQILFDVCVPKNVEDWDIRSNSKNALSPGTRKHVPFNPIKCMRRSRL >Vigun08g043600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4549453:4551522:-1 gene:Vigun08g043600.v1.2 transcript:Vigun08g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAPAKVQTRKQPLRMRFLNMVTIFVLLLAFPSPFLRTVESLNFNITNFDDPESAKNMAYMGDGKTKNGSVDLNIVSYLFRVGRALSAQPLHLWDSSSRVVTDFTTRFTFSIEKRNDETGSYADGFAFYIAPHGYQIPPNGAGGTFGLFNGSYNSFLPQNRILAVEFDTFNGSIDPPMQHVGIDDNSIVSATYGKFEIDENLGKKCNALVTYSASNKTLFVAWSFNGTATRYSNSSLSYQIDLLGILPEWVDVGFSASTGELTERNIIHSWEFSSTLNSSTASKDNPSGGNEKRGNGLSRAMVVVVVACSMILVAVAANVAAWIVIMKKRRGNGDCGYDEVGKGRSARFDLDRETIPRRFDYTELVEATKGFADETRLGRGGSGQVYKGVLSHLGRVVAVKRIFTNFESSERVFINEVKIISRLIHRNLVQFVGWCHEQGEFLLVFEYMPNGSLDTHLFGDKKTLTWDIRYKVALGVALGLRYLHEDAEQSVLHRDIKSANVLLDTDFSTKLGDFGMAKLVDPRLKTQRTGVVGTYGYLAPEYMNGGRASKESDIYSFGVVALELACGRRTYRDGEFHVPLMNWVWQQYVEGNVMDVVDERLKKEFDVDKMRSLIVVGLWCTNPNDKERPKAAEVIKVLELETPLPELPLDMHDRPSFSLSSYTHALPNYNSLQSLPFTNSFVTTGR >Vigun07g062300.2.v1.2 pep primary_assembly:ASM411807v1:7:7112405:7127088:-1 gene:Vigun07g062300.v1.2 transcript:Vigun07g062300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIGSVDDLLDFSLDIGEEDDDEDKHRKSCPSLNSKCGNPSLFNSLVPEDPNHSYSEFVEEELEWLSNKDAFPSVETFVDLSSIQPDTAKIKKSTPVTTPVLEDSTGSNNSNNSSNSISLLNSCDHLKVPVRARSKRRSRCRPGIADENSGQQVWWRQPSNEISKAEEGMKISPIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFRSDLHSNSHRKIVEMRRQKQMGMG >Vigun07g062300.1.v1.2 pep primary_assembly:ASM411807v1:7:7112405:7127088:-1 gene:Vigun07g062300.v1.2 transcript:Vigun07g062300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIGSVDDLLDFSLDIGEEDDDEDKHRKSCPSLNSKCGNPSLFNSLVPEDPNHSYSEFVEEELEWLSNKDAFPSVETFVDLSSIQPDTAKIKKSTPVTTPVLEDSTGSNNSNNSSNSISLLNSCDHLKVPVRARSKRRSRCRPGIADENSGQQVWWRQPSNEISKAEEGMKISPIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFRSDLHSNSHRKIVEMRRQKQMGMG >Vigun07g062300.3.v1.2 pep primary_assembly:ASM411807v1:7:7112509:7127053:-1 gene:Vigun07g062300.v1.2 transcript:Vigun07g062300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIGSVDDLLDFSLDIGEEDDDEDKHRKSCPSLNSKCGNPSLFNSLVPEDPNHSYSEFVEEELEWLSNKDAFPSVETFVDLSSIQPDTAKIKKSTPVTTPVLEDSTGSNNSNNSSNSISLLNSCDHLKVPVRARSKRRSRCRPGIADENSGQQVWWRQPSNEISKAEEGMKISPIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFRSDLHSNSHRKIVEMRRQKQMGMG >Vigun02g159600.2.v1.2 pep primary_assembly:ASM411807v1:2:30565306:30569083:-1 gene:Vigun02g159600.v1.2 transcript:Vigun02g159600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIIGCVSRIYIIHVFLKFWARLCSDKRQAVSQSIYFYFRKLYGSLILSLKFLSTIYYLSNSLFPVIQAETKTELDLLFFSFSLAVSGTMVLLP >Vigun02g159600.1.v1.2 pep primary_assembly:ASM411807v1:2:30565306:30569034:-1 gene:Vigun02g159600.v1.2 transcript:Vigun02g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIIGCVSRIYIIHVFLKFWARLCSDKRQAVSQSIYFYFRKLYGSLILSLKFLSTIYYLSNSLFPVIQAETKTELDLLFFSFSLAVSGTMVLLP >Vigun02g159600.5.v1.2 pep primary_assembly:ASM411807v1:2:30568631:30569034:-1 gene:Vigun02g159600.v1.2 transcript:Vigun02g159600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIIGCVSRIYIIHVFLKFWARLCSDKRQAVSQSIYFYFRKLYGSLILSLKFLSTIYYLSNSLFPVIQAETKTELDLLFFSFSLAVSGTMVLLP >Vigun02g159600.3.v1.2 pep primary_assembly:ASM411807v1:2:30565306:30569034:-1 gene:Vigun02g159600.v1.2 transcript:Vigun02g159600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIIGCVSRIYIIHVFLKFWARLCSDKRQAVSQSIYFYFRKLYGSLILSLKFLSTIYYLSNSLFPVIQAETKTELDLLFFSFSLAVSGTMVLLP >Vigun02g159600.4.v1.2 pep primary_assembly:ASM411807v1:2:30567531:30569034:-1 gene:Vigun02g159600.v1.2 transcript:Vigun02g159600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIIGCVSRIYIIHVFLKFWARLCSDKRQAVSQSIYFYFRKLYGSLILSLKFLSTIYYLSNSLFPVIQAETKTELDLLFFSFSLAVSGTMVLLP >Vigun09g066200.3.v1.2 pep primary_assembly:ASM411807v1:9:6957982:6988765:-1 gene:Vigun09g066200.v1.2 transcript:Vigun09g066200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKQVVIEGFKSYREQISTEPFSSKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRGEDRQALLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYAIFSKEVQDAQQKLTEIEDVRAKVSETSAKKYNDVLDAHEKSKDLENTLKDVSKELQNFNKEKEAIEKRRTVALKKHTELELDVKDLQEKISGNIRAKEDAARQLEILEKEIQDSTIELEKIIPLHEDQIQKEKDIAMQIMEREKKLSILYQKQGRATQFSSKAARDKWLQKEIDDLERVHSSNKGQEQKLLDEIDRLKEELHDCDETINKRKSDITALESVIAESFKGLNHFKLERDKLNLERKSLWTKENEITAEIDKLRAEVEKAEKNLDHAIPGDVRRGLNSVRKICREYNISGVHGPIIELLNCDEKFFTAVEVTAGNSLFHVVVENDDKSTQIIRHLNSQKGGRVTFIPLNRVKAPRITYPQSSDVIPLLKKLNFKHDYTPAFSQVFARTVICKNLDVASRVARTDALDCITLDGDQVSKKGSMTGGFYDHRRSRLRFMNIIKQNADTIRIREEELEKVRFNLQEIDQKINELVAEQQKIDAKRAHDKSEIEQLKQDIANANKQKQLISKALAKKEKSVGDVQNQIEQLKASIAMKNAEMGTELIDHLTPEEKKLLSDLNPEIKDLKEKLVACKTDRIETEARKAELETNLTTNLRRRKQELEAVISSVDSDSLVGEAESKGQELSDAKMLVDDLTEQLRRVAESINDRTRQIKKIKDELNKLKSLEDEYERKLQEEAKELEQLLSKKNTYAAKEEEYAKKIRELGPLTSDAFEAYRRRNIKDLHKMLHRCNEQLQQFSHVNKKALDQYINFTEQREELQKRQAELDAGDEKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDHDDDEDEDGPREVNPEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADVANTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVVSKEDALEFIEHDQTHNAE >Vigun03g422666.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62828634:62828924:1 gene:Vigun03g422666.v1.2 transcript:Vigun03g422666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKFSALVLFLVIVILCLTSDSHSTSRLESHVKLGRNMSDNKISSMPVNVHYAFKTYMFNKIPHGSVDRKTPSGPNPLHNDVPLPPVTDLKKKY >Vigun05g085700.1.v1.2 pep primary_assembly:ASM411807v1:5:8132499:8138615:1 gene:Vigun05g085700.v1.2 transcript:Vigun05g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLSLLLCVWVLLLGCTVGRFVVEKNSLRVTAPTSLKGTYECAIGNFGVPKYGGTLVGSVLYPKVNQKGCLNFTDVNFQSKPGGFPTFLLVDRGDCYFTLKAWNAQNGGAAAILVADDKAETLITMDTPEEGKGTDDDYVENISIPSVLISKSLGDSIKKALSDGEMVNMNLDWTEALPHPDDRVEYELWTNSNDECGQKCDSLINFLKDFKGVAQLLEQKGFTQFTPRYITWFCPEAFLLSKQCKSQCINNGRYCAPDPEQDFSSGYDGKNVVVQNLRQACFYKVANESGKPWQWWDYVTDFSIRCPMKEKKYTEECSDQVIKSLGADLKKIKDCVGDPHADVENPVLKAEQDAQIGKGTRGDVTILPTLVINNRQYRGKLSKPAVLKALCAGYLETTEPSVCLTPEMETNECLVNNGGCWKEKSSNITACRDTFRGRVCECPVVQNVKFVGDGYTHCEASGTLSCDFNNGGCWKGSQGGRAYTACLDDYKKGCTCPPGFKGDGVQSCEDIDECQEKTACQCPSCKCKNTWGSYECKCGSGLFYSRENDTCLGAYSASGVSIWMIILIVVVAASGGYAFYKYRIQRYMDSEIRAIMAQYMPLDNQPEESNQVHHNI >Vigun07g277300.1.v1.2 pep primary_assembly:ASM411807v1:7:39258276:39260317:1 gene:Vigun07g277300.v1.2 transcript:Vigun07g277300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLPNRTKWEVNWKKIDADVWLIDKWKKFAESFCLDEEHVLVFRYVGKSEFKVVILDQGGLEIVYPSMEGPLEGADNGNRFARRKRAKFHSPSSPSKKVKTNPRKEPHSYPTQDVGLKKFSGRRSKMRCSRSKANTIRKKELSEDTESGTALERANSFHSENPYFIREMHRSYICSNFLNMPVNFITEEPRKGNNRVSLSISEEQSWDMNFCLSKDLRRVKLVSGWANFVKDNNLKTGNVCVFERIKKPEIISFRVIIFRDTQESGPSNFPANGSKQTRLQNTSADGGSDGTPKKHPSNNNVFYDSKFRRCDNEISGNHFSLFVKPNDVCIPEQFIRNHNMGDAAKVMLKVGKRTFAVRLEHDPRQKYHKLCFGWSYFMGQCKLNEGDVCNFELVDEDRFIFQVRVATCVD >Vigun07g173100.1.v1.2 pep primary_assembly:ASM411807v1:7:28842042:28843460:-1 gene:Vigun07g173100.v1.2 transcript:Vigun07g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKEYGKLVVIVDVIDQNRALVDAPDMVRSQVNFKRLSLTDIKIDIKRVPKKKDLVKAMEAADVKNKWENSSWGRKLIVKKRRAALTDFDRFKLMLAKIKRAAVVRQELSKLKKSA >Vigun06g171200.2.v1.2 pep primary_assembly:ASM411807v1:6:29260564:29265782:1 gene:Vigun06g171200.v1.2 transcript:Vigun06g171200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHNWINTLLPDELLIEIFRRLDSKSSRDACSLVCTRWLRLERLTRAAIRIGASGSPDLFVHLLAARFSNVTTVHIDERLSVSIPTHFGRRRASENSALKLHYVSDGSSSDQSDFDSLCLSDSGLSALADGFPKLEKLRLIWCSNVTSEGLSSLARKCISLKSLDLQGCYVGDQGLAAIGQCCKHLEDLNLRFCEGLTDTGLVELALGVGNSLKSIGVAACAKITDISMEAVGLHCRSLETLSLDSEFIHNKGLLSVIRGCPHLKVLKLQCINLTDDALKVVGVSCLSLEILALYSFQRFTDKGLCAIGKGCKKLKNLTLSDCYFLSDKGLEAIATGCKELTHLEVNGCHNIGTLGLESVGKSCQHLSELALLYCQRIGDAGLLQIGQGCKYLQALHLVDCSSIGDEAMCGIASGCKNLKKLHIRRCYEIGSKGIIAVGENCKLLTDLSIRFCDRVGDGALVAIAEGCSLHYLNVSGCHQIGDAGVVAIARGCPQLCYLDVSVLQNLGDMAMAEVGEHCPLLKEIVLSHCRQITDVGLAHLVKSCTMLESCHMVYCSGITSVGVATVVSSCPNIRKVLVEKWKVSQRTKRRAGSVISYLCVDL >Vigun06g171200.1.v1.2 pep primary_assembly:ASM411807v1:6:29260564:29265782:1 gene:Vigun06g171200.v1.2 transcript:Vigun06g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHNWINTLLPDELLIEIFRRLDSKSSRDACSLVCTRWLRLERLTRAAIRIGASGSPDLFVHLLAARFSNVTTVHIDERLSVSIPTHFQGRRRASENSALKLHYVSDGSSSDQSDFDSLCLSDSGLSALADGFPKLEKLRLIWCSNVTSEGLSSLARKCISLKSLDLQGCYVGDQGLAAIGQCCKHLEDLNLRFCEGLTDTGLVELALGVGNSLKSIGVAACAKITDISMEAVGLHCRSLETLSLDSEFIHNKGLLSVIRGCPHLKVLKLQCINLTDDALKVVGVSCLSLEILALYSFQRFTDKGLCAIGKGCKKLKNLTLSDCYFLSDKGLEAIATGCKELTHLEVNGCHNIGTLGLESVGKSCQHLSELALLYCQRIGDAGLLQIGQGCKYLQALHLVDCSSIGDEAMCGIASGCKNLKKLHIRRCYEIGSKGIIAVGENCKLLTDLSIRFCDRVGDGALVAIAEGCSLHYLNVSGCHQIGDAGVVAIARGCPQLCYLDVSVLQNLGDMAMAEVGEHCPLLKEIVLSHCRQITDVGLAHLVKSCTMLESCHMVYCSGITSVGVATVVSSCPNIRKVLVEKWKVSQRTKRRAGSVISYLCVDL >Vigun07g218800.1.v1.2 pep primary_assembly:ASM411807v1:7:34132029:34139883:-1 gene:Vigun07g218800.v1.2 transcript:Vigun07g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYRNADRDIDQALIVLKKGAQLLKYGRKGRPKFCPFRLSNDELSLIWISSSGERNLKLSSVSRIIPGQRTAVFQRFLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWITGLKALISSGQGGRSKIDGWSDGGLYLDDSRDLTSNSPSESSVSASRDISSPDISVSLANTSPQSFHSENTVNFDRSHALSNPSNMQVKGSSSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICENVVKVGADKSASYFSPRTDILLPRPLESNVVLDVLQISCGVKHAALVTRQGELFTWGEESGGRLGHGVGKNVIQPRLVEAMTSATVDFVACGEFHTCAVTMFGELYTWGDGTHNAGLLGHGTDVSHWIPKRIAGPLEGLQVALVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAVVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKDARLEPTCVPSLIDYNFHRIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPQADGKLPCLVEDKLAGESVEEIACGAYHVAVLTCKNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFVKLNKVAESGNNNRRNALPRLSGENKDRLEKSDLRLTKTAVPSNMDLIKQLDSKAAKQGKKADTFSLVRNPQPQSLLQLKDVVLSTAVDLKRTAPRPVLTPSGVSSRSVSPFSRRPSPPRSATPIPTTSGLSFSKSIADSLKKTNELLNQEVLKLRAQVETLRQRCEMQELELQRSSKKTQEAMALAAEESAKSKAAKEVIKSLTAQLKDLAERLPPGAYDAENIRPAYLPNGMEPNGIHHPDVNGERHARAESISGSSLASIAIESSLPSRTEGTLTGNYGANLYQQNRGSVIPNGTDDYPDVKLPNGSSSMIQTSGSTASDTVDGRDSGNFQDDESGLRSRNAIIPANSSQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENRDRVYERYNVRSSDKSSGQGARRADGGGSPVL >Vigun10g038900.1.v1.2 pep primary_assembly:ASM411807v1:10:5315363:5318952:1 gene:Vigun10g038900.v1.2 transcript:Vigun10g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLINFNGEDIRNKFISHLDSALSTVGFTTFLHHENAVKAMHIQQPILNLCRVAIVVFTKTYSESCWCLHQLQQIIEWNETYCRHVLPVYYEIQPSDVRLQKGEFGKAFKATAEQTFSGQQLEHGMSMWSHTLTKAANLFGWDESNYRSDAELLEKIVKSVSNLPVLLATKFPVGLHSQVEDVIQTIKNKSTEVCTIGICGEEGSGKTTLAKAIYHLVHGTFMDKSFIEDIEQISETRGYVHLQEQLLSDLLKTKVEILNVEMGRSMIRERVSGKKVLIVLDDVPNVR >Vigun01g087100.1.v1.2 pep primary_assembly:ASM411807v1:1:24519087:24519425:1 gene:Vigun01g087100.v1.2 transcript:Vigun01g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAALAAYFAWTTVRSSPEIDLTTQDQNNKASSNDHFSFNKMIQNGFWVFVDMASGRYIWRNLISRTDGVQVKSS >Vigun02g103901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25851115:25851918:-1 gene:Vigun02g103901.v1.2 transcript:Vigun02g103901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVQLDQREEEEEEFLRALVAVVVELILFVDLEEVLVVVELNLYVLDLEEVEVELNLCVVKLKEVEVELKVFAEEVEVEGERNVFVVEMKEVEVFMEEVEV >Vigun08g222200.1.v1.2 pep primary_assembly:ASM411807v1:8:38020005:38023101:-1 gene:Vigun08g222200.v1.2 transcript:Vigun08g222200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHPHCTRDITWIFLFLLLTLLLLPCLVDGDGDGDQCKCKSDEDEGGEKVDKDLAKKYKIGALVSILVASAIGVTLPMLSKIFPALHPEKDFFFMVKAFAAGVILSTGFIHVLPDAFEKLSPPSLCQHPWHDFPFAGFVAMVAAIGTLMVDSLATAYFKKSTLSAMDRVADEEHNRHATTHHEHSHASMSSPSTNLLRHRVISQVLELGIVVHSVIIGISLGASESPKTIRPLIAALTFHQFFEGMGLGGSISQAKFKRRAVIVMALFFSLTTPVGIAIGMIISGSYDEESSRALIVEGILNAASAGILIYMSLVDLLAPDLMNPKIQASSRLQIGVNASLLIGAGCMSLLAKWA >Vigun08g222200.2.v1.2 pep primary_assembly:ASM411807v1:8:38021345:38023101:-1 gene:Vigun08g222200.v1.2 transcript:Vigun08g222200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHPHCTRDITWIFLFLLLTLLLLPCLVDGDGDGDQCKCKSDEDEGGEKVDKDLAKKYKIGALVSILVASAIGVTLPMLSKIFPALHPEKDFFFMVKAFAAGVILSTGFIHVLPDAFEKLSPPSLCQHPWHDFPFAGFVAMVAAIGTLMVDSLATAYFKKSTLSAMDRVADEEHNRHATTHHEHSHASMSSPSTNLLRHRVISQVLELGIVVHSVIIGISLGASESPKTIRPLIAALTFHQFFEGMGLGGSISQIRN >Vigun02g095300.1.v1.2 pep primary_assembly:ASM411807v1:2:25088660:25091739:-1 gene:Vigun02g095300.v1.2 transcript:Vigun02g095300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMLRCVGTIPKLSFLLLLSLLLFSFVNAAFDLATIPFNDGFSPLFGDNNVVRSADGNGVKLLLDRFTGSGFISSNMYNYGFFSARIKLPSNYTAGLCVAFYTSNGDVFEKSHDELDFEFLGNIGGKPWRFQTNLYGNGSTNRGREERYRLWFDPTKEYHRYSILWTAKNVIFYVDEVPIREVLRSEKMGGDYPSKPMSLYATIWDASNWATSGGKYKVNYKYAPFVTQFNDLVLKGCSVDPIQEVFDRQGCYGQHEDLEAQDYAAVTPMRRLAMRRFRQRYMYYSYCYDTLRYNVPPPECVIVPSEKQRFKETGRLRFGGSHRRQSRRKGRTTNAVVDTDEGDM >Vigun05g187600.3.v1.2 pep primary_assembly:ASM411807v1:5:36284078:36287536:-1 gene:Vigun05g187600.v1.2 transcript:Vigun05g187600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKWFKIIIRLKKSKKSKQEKVQSTDETSDECSNIKQSSREESSGIPNESLIMDRTVPSRLMDDVAATRIQNAFRSFMARRTLHHLRGAVKFEALIQDHLAREQTATALNYIHSWSRIQEQIRARRICMITEARIKKKKLENQLKLEAKIQELEVEWCSGSETMEEIICRLHQREEAAIKRERAMAYAFSHQWRPNCSQYFGQASYSLGKESWGWSWTERWVAARPWEVQVRVQPSNTKKANGHDQKIKFNKMNYSESKAALAKPSLSNGKETGKGKENSTSGLSKNIVTK >Vigun05g187600.1.v1.2 pep primary_assembly:ASM411807v1:5:36283304:36287603:-1 gene:Vigun05g187600.v1.2 transcript:Vigun05g187600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKWFKIIIRLKKSKKSKQEKVQSTDETSDECSNIKQSSREESSGIPNESLIMDRTVPSRLMDDVAATRIQNAFRSFMARRTLHHLRGAVKFEALIQDHLAREQTATALNYIHSWSRIQEQIRARRICMITEARIKKKKLENQLKLEAKIQELEVEWCSGSETMEEIICRLHQREEAAIKRERAMAYAFSHQWRPNCSQYFGQASYSLGKESWGWSWTERWVAARPWEVQVRVQPSNTKKANGHDQKIKFNKMNYSESKAALAKPSLSNGKETGKGKENSTSGLSKNIVTK >Vigun05g187600.4.v1.2 pep primary_assembly:ASM411807v1:5:36284078:36287536:-1 gene:Vigun05g187600.v1.2 transcript:Vigun05g187600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKWFKIIIRLKKSKKSKQEKSTDETSDECSNIKQSSREESSGIPNESLIMDRTVPSRLMDDVAATRIQNAFRSFMARRTLHHLRGAVKFEALIQDHLAREQTATALNYIHSWSRIQEQIRARRICMITEARIKKKKLENQLKLEAKIQELEVEWCSGSETMEEIICRLHQREEAAIKRERAMAYAFSHQWRPNCSQYFGQASYSLGKESWGWSWTERWVAARPWEVQVRVQPSNTKKANGHDQKIKFNKMNYSESKAALAKPSLSNGKETGKGKENSTSGLSKNIVTK >Vigun05g187600.2.v1.2 pep primary_assembly:ASM411807v1:5:36283304:36287603:-1 gene:Vigun05g187600.v1.2 transcript:Vigun05g187600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKWFKIIIRLKKSKKSKQEKSTDETSDECSNIKQSSREESSGIPNESLIMDRTVPSRLMDDVAATRIQNAFRSFMARRTLHHLRGAVKFEALIQDHLAREQTATALNYIHSWSRIQEQIRARRICMITEARIKKKKLENQLKLEAKIQELEVEWCSGSETMEEIICRLHQREEAAIKRERAMAYAFSHQWRPNCSQYFGQASYSLGKESWGWSWTERWVAARPWEVQVRVQPSNTKKANGHDQKIKFNKMNYSESKAALAKPSLSNGKETGKGKENSTSGLSKNIVTK >Vigun05g045200.2.v1.2 pep primary_assembly:ASM411807v1:5:3734799:3738857:-1 gene:Vigun05g045200.v1.2 transcript:Vigun05g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNNAIQAGSLDVGKSKVKMQKAGSEKEIGCCVKFCFIGSCMPSRSKVDTSISGTSANSVEKTSAYEKNKKETNALPGSSTTTSNAESVPSTPKFSEELKVSSRLRKFTFNELKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAELDILGDLVHPNLVKLVGFCIEDDQRLLVYECMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLSFLHEEAQRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGEKTHISTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSIDKNRPNGEHNLVEWARPVLGDRRMLLRIIDPRLEGHFSVKGAQKAAHLAAQCLCRDPKSRPMMSDVVQALKHLQNLKDMAISTYHFQVARVDRTMSMPTSKNGMQAQLTISRKGQPARSLSSPKGPHGSPYHHYIKSPKPNG >Vigun05g045200.1.v1.2 pep primary_assembly:ASM411807v1:5:3734799:3738857:-1 gene:Vigun05g045200.v1.2 transcript:Vigun05g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNNAIQAGSLDVGKSKVKMQKAGSEKEIGCCVKFCFIGSCMPSRSKVDTSISGTSANSVEKTSAYEKNKKETNALPGSSTTTSNAESVPSTPKFSEELKVSSRLRKFTFNELKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAELDILGDLVHPNLVKLVGFCIEDDQRLLVYECMPRGSLENHLFRKGSLPLPWSIRMKIALGAAKGLSFLHEEAQRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGEKTHISTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSIDKNRPNGEHNLVEWARPVLGDRRMLLRIIDPRLEGHFSVKGAQKAAHLAAQCLCRDPKSRPMMSDVVQALKHLQNLKDMAISTYHFQVARVDRTMSMPTSKNGMQAQLTISRKGQPARSLSSPKGPHGSPYHHYIKSPKPNG >Vigun10g052800.1.v1.2 pep primary_assembly:ASM411807v1:10:8694639:8703886:-1 gene:Vigun10g052800.v1.2 transcript:Vigun10g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVIFNLTLPFPSDAPPVSSTCHTLSLSHSSLFTTPKIVCSSAQRRTCGVVYSHMTMEEKLPAENRMLVYVPPHPLIKHWVSVLRNEQTPCPIFRNALAELGRLLMYEAARDWLPTVSGEIQSPMAVASVEFIDPREPVAVIPILRAGLALAEHASSILPATKTYHLGISRNEETLQPAIYLNKLPEKFANGCKIFVVDPMLATGGTMVAALNLLKERGVDNKHVKVISAVAAPPALQKLSEQFPGLHVYTGIIDPEVNEKGFIIPGLGDAGDRSYGTDP >Vigun05g288900.1.v1.2 pep primary_assembly:ASM411807v1:5:47570807:47572724:-1 gene:Vigun05g288900.v1.2 transcript:Vigun05g288900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDLDVYMKATATAGQESSIVTDYTMKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHILNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFESMGFRCPERKGTADFLQEVTSKKDQAQYWVRRDQPYRFVTVTQFAEAFQSFHIGRKLGGAGSSI >Vigun09g034000.1.v1.2 pep primary_assembly:ASM411807v1:9:2976395:2978310:-1 gene:Vigun09g034000.v1.2 transcript:Vigun09g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLSRFGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFDRVKYWLSVGAQPSEPVERLLFRAGVLPPPPMVAMGRKGGLRDTRPVDALTGRVLNQEKPANEKNNDHEHEAAENPF >Vigun03g360800.2.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLKVWVYEEGEQPLVHDGPVNNKYSIEGQFIDEMDMANKSPFKAHNPEQAHLFLLPFSVSKVIRYVYKPRRSRSDYDPPRLQRLVEDYINVVANKYPYWNRSKGADHFLVSCHDWGPKVSYANPELFKYFIRVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >Vigun03g360800.1.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKSPLSSLVFLVFFLNFFLICFTPSHDYQVIHIHSDSPFNLSSIRGKTSPQELLSTANETHNNTDLTRIKFGHGMKKNVTNLERIEEGLARSRAFIQKAIRYKNHTSFLKESFVSKSSIYRNPHAFHQSHVEMMKRLKVWVYEEGEQPLVHDGPVNNKYSIEGQFIDEMDMANKSPFKAHNPEQAHLFLLPFSVSKVIRYVYKPRRSRSDYDPPRLQRLVEDYINVVANKYPYWNRSKGADHFLVSCHDWGPKVSYANPELFKYFIRVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >Vigun03g360800.3.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTWLTRAPSRPITQNRPISSYFPSAYPRSFATFTSPEGPDPITILHAYNAWWRITSTLLQINILIGTEAKAPTIFLFHAMIGVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >Vigun03g360800.5.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTWLTRAPSRPITQNRPISSYFPSAYPRSFATFTSPEGPDPITILHAYNAWWRITSTLLQINILIGTEAKAPTIFLFHAMIGVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >Vigun03g360800.6.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTWLTRAPSRPITQNRPISSYFPSAYPRSFATFTSPEGPDPITILHAYNAWWRITSTLLQINILIGTEAKAPTIFLFHAMIGVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >Vigun03g360800.4.v1.2 pep primary_assembly:ASM411807v1:3:56394952:56397342:-1 gene:Vigun03g360800.v1.2 transcript:Vigun03g360800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKSPLSSLVFLVFFLNFFLICFTPSHDYQVIHIHSDSPFNLSSIRGKTSPQELLSTANETHNNTDLTRIKFGHGMKKNVTNLERIEEGLARSRAFIQKAIRYKNHTSFLKESFVSKSSIYRNPHAFHQLSMTHSESVFFRSHVEMMKRLKVWVYEEGEQPLVHDGPVNNKYSIEGQFIDEMDMANKSPFKAHNPEQAHLFLLPFSVSKVIRYVYKPRRSRSDYDPPRLQRLVEDYINVVANKYPYWNRSKGADHFLVSCHDWGPKVSYANPELFKYFIRVLCNANTSEGFEANRDVSIPEVYLPVGKLGPPNMGQHLSNRPILAFFAGGAHGKIRKTLLKRWKNRDREVEVHEYLGKGEDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLDWSEFSLEIAVERIAEIKVILQNVSRDKYRKLYYNVRSVGRHFVINRPAKPFDLIHMILHSLWLRRLNFQLTPS >VigunL004532.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:44742:45355:1 gene:VigunL004532.v1.2 transcript:VigunL004532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIEIAQDTLF >Vigun04g202200.1.v1.2 pep primary_assembly:ASM411807v1:4:42504012:42508591:-1 gene:Vigun04g202200.v1.2 transcript:Vigun04g202200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCREMEAALVRKSGEEEEVASSSSEITFWEELKRISSMAAPMVGVTVSQYLMEVVSLMMVGHLGVLVSFSGVAVATSFAEVTGFIVLLGMSGALETLCGQMYGAKEYRKFGNYTWCAIVTLILVCLPISLVWIFTDKILILFSQDPEISRVASQYCIYLIPGLFGYAILQALTRYFQAQSMIFPMVFSSITTLCLHIPICWGLVFPLGLGHVGAALAIGFSYWLNVLWLAIYMLYSPACQKTKIVFSSNALLDIPEFLKLSIPSGLMLCFESWSFEALTLLAGLLPNPQLQTSVLSVCLNITTLHYFVPFAVGASASTRVANELGAGNPRTAKGVVRVVVILGIVEAIIVSIVFFSCRHVLGYAYSNDIEVVDYLASMAPILCVSVSADSLNGALSGIARGGGFQQIGAYVNLGAYYLVGIPVGLFLGFHLQLNVQGLWMGPVSGSVLQVIILVIVTAQTNWQKEATKAKERVFENSSKIDIVFV >Vigun08g018400.1.v1.2 pep primary_assembly:ASM411807v1:8:1555416:1559333:-1 gene:Vigun08g018400.v1.2 transcript:Vigun08g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCLAREIGVLSRFGIAFSGSTLRFKDGFGRFIGREVLKISSSSQRSVESFKFQQSPAISEVFLSICFLPCCVFCPQFLYQIPTRVEKESFCKSFLKMEDCGPVKSENRLKHRPLTPLRLLRGVICLVVFLSTAFTCLVYFVPVAVVVLRLFSIHYSRKTVSFLFGLWLSLWPSLFEKINKTKVVFSGDSVPMKERVLLIANHRTEVDWMYLWDLALRKGSLGFIKYILKNSLMKLPIFGWGFHILEFIAVERKWEVDEQILHQKLSTLKDPKDPLWLALFPEGTDYTDQKSKNSQKFAAETGLPVLKNVLLPKTKGFHACLEALRGSLDAVYDVTIAYKNQCPSFLDNVFGVDPSEVHMHVRRIPVEEIPGSETKASSWLIEKFQKKDQLLSDFKIHGHFPDEQNENEISTFKSLLSFTAIVSFTAIFIYFTLFSVVWFKVYVALSCAYLALATRFNFQLMPLSYYVHALHNSKKQNSE >Vigun08g018400.2.v1.2 pep primary_assembly:ASM411807v1:8:1555396:1559352:-1 gene:Vigun08g018400.v1.2 transcript:Vigun08g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGPVKSENRLKHRPLTPLRLLRGVICLVVFLSTAFTCLVYFVPVAVVVLRLFSIHYSRKTVSFLFGLWLSLWPSLFEKINKTKVVFSGDSVPMKERVLLIANHRTEVDWMYLWDLALRKGSLGFIKYILKNSLMKLPIFGWGFHILEFIAVERKWEVDEQILHQKLSTLKDPKDPLWLALFPEGTDYTDQKSKNSQKFAAETGLPVLKNVLLPKTKGFHACLEALRGSLDAVYDVTIAYKNQCPSFLDNVFGVDPSEVHMHVRRIPVEEIPGSETKASSWLIEKFQKKDQLLSDFKIHGHFPDEQNENEISTFKSLLSFTAIVSFTAIFIYFTLFSVVWFKVYVALSCAYLALATRFNFQLMPLSYYVHALHNSKKQNSE >Vigun05g023700.1.v1.2 pep primary_assembly:ASM411807v1:5:1977897:1978145:1 gene:Vigun05g023700.v1.2 transcript:Vigun05g023700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSKSFLYETPLGYIIEDVRPHGGIKKFRSAAYSNCIRKPS >VigunL039332.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000449.1:41590:42207:-1 gene:VigunL039332.v1.2 transcript:VigunL039332.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSVLVFFSSCHSRSPLRSLHTTRRCCAEEVPPQRNHRFLVHRYFPNLAAREGFTLQFLSVFFFFLFPISAISNQSSSLGGSLVF >Vigun05g107100.1.v1.2 pep primary_assembly:ASM411807v1:5:10898301:10900936:1 gene:Vigun05g107100.v1.2 transcript:Vigun05g107100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLNKVVLGGSVVCTTSSSFPQFPKLVESATRQLNHCQVDTSWYGLWRMF >Vigun05g089200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8549750:8551245:1 gene:Vigun05g089200.v1.2 transcript:Vigun05g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKGETVLEMKQDDKFFSRLLSKENSLSKPSFRMAVAVPFVWESQPGTPKYTFSQNTLPPLTPPPSYYANSTNKNNPLKKRSRSKLFLALFPKINLKKSPSSSSSSLSSPSPSLWSHSDSSKVVPVGKHARRRFLSFGSSFDLRGEDEEEGASSPTSTLCFGLSRSTSTLASFRGSSRRS >Vigun11g086525.1.v1.2 pep primary_assembly:ASM411807v1:11:25927489:25928354:-1 gene:Vigun11g086525.v1.2 transcript:Vigun11g086525.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSLHNYCSVCLLTREKAEEKPSIQTNLNSFIFIEIGDKIYMDIVSIAWAALMVVFSFSLSLVVWGRSGL >VigunL073120.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:98055:98378:-1 gene:VigunL073120.v1.2 transcript:VigunL073120.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFDVSMPPIGELTRVGHIQHSFDVFDREFTKRFPGIEIDRFVNEVLISTRENDQVTFDVDALYKLLNDLQLVGTIHSIGPGDDCHIIYNRRVVIVDNTGKVVVL >Vigun05g269300.1.v1.2 pep primary_assembly:ASM411807v1:5:46066435:46070777:-1 gene:Vigun05g269300.v1.2 transcript:Vigun05g269300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKSLGHGGGLPPPSGRYSGYSPPGTAFSVKSEPPPSTTTTSSYPPLAPGVSTPESSGFSHDISRMPDNPPRNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDEAEEDLLSMYLDMDKFNSSSATSTFQMGEPSGAMGASGSASASGAPTSSAENSAVGTLERPRVRHQHSQSMDGSTTIKPELLVSGSEDLSAADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLSLLQRDTNGLNSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKILTGQAMPPNGGGPMLNFASFGGGQQFYPNNHAMHTLLAAQQFQQLQIHPQKQHQFQQLQQQMLQQQQQQQQQQQREQQHQQSSDMKMREASPTPCPPKDNALSDVNPSGAKDC >Vigun05g269300.2.v1.2 pep primary_assembly:ASM411807v1:5:46066310:46070777:-1 gene:Vigun05g269300.v1.2 transcript:Vigun05g269300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKSLGHGGGLPPPSGRYSGYSPPGTAFSVKSEPPPSTTTTSSYPPLAPGVSTPESSGFSHDISRMPDNPPRNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDEAEEDLLSMYLDMDKFNSSSATSTFQMGEPSGAMGASGSASASGAPTSSAENSAVGTLERPRVRHQHSQSMDGSTTIKPELLVSGSEDLSAADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLSLLQRDTNGLNSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKILTGQAMPPNGGGPMLNFASFGGGQQFYPNNHAMHTLLAAQQFQQLQIHPQKQHQFQQLQQQMLQQQQQQQQQQQREQQHQQSSDMKMREASPTPCPPKDNALSDG >Vigun02g042750.1.v1.2 pep primary_assembly:ASM411807v1:2:17303733:17304313:1 gene:Vigun02g042750.v1.2 transcript:Vigun02g042750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMCTLLTFHWFNDSNSSSILVTCQIAWMTNHFCTHIYSQKEARHFLFKAIPNWVDIVDLCAKERATAHGIEIAIDVDEVMSREVNGVDFVGLEEPNSNLKRKAQSSKSTKLMVASMKDVAESFNRLTHVYGEKANENEIKKVLDQVRLMSNLTKEQ >Vigun02g188400.1.v1.2 pep primary_assembly:ASM411807v1:2:32663235:32666374:1 gene:Vigun02g188400.v1.2 transcript:Vigun02g188400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSARSNLNMNTKQRLLKFLFYLMYLFQQLSLHLLQMFRFKFNCFRRGINNTLMTLPQAFSLSSPLPQWPQVTGQDFASGIVNLGEIEVRKVTAFEFVWNSNIGKPVAFYKPVGIPDGFHILGHYCQPSDKPLWGFVLVVREVETGSSERTNNEKFPALKNPLDYMLVWCSNAGRRELTIGSAYFWMPQPPEGYCALGYLVTNRPEKPNLDEMICVRADLTDRCQPYRLLLGATSVNPQFPFQVWSLRPCDRGMLGKGVSVGAFFCTSCWNKGEELPVVCLKNMNPMLPAMPRLHQIHALIQHYGPTVFFHPEEVYLPSSVDWFFNNGALLCTKGVCAGEAIDAGGSNIPGGGTNDGEFWIDLPSDDRRDFVKHGDLNSAKLYVHVKPALGGTFTDIAMWVFCPFNGPSTLKIGMTSRAFSRVGEHVCDWEHFTLRICNFSGELWSIYFSQHSGGKWVDACELEYINGNKAIVYASKNGHACYPHPGTYIQGSSKIGVGIRNDAARSNLYVDSSVQYEIVAAEYLGDAVTEPQWLQFMRQWGPKIVYDSKTEFDKMLNTLPRGLRNPFGYLIKKLPVELYGQEGPTGPKEKNNWLGDERW >Vigun04g131250.1.v1.2 pep primary_assembly:ASM411807v1:4:32838163:32841460:1 gene:Vigun04g131250.v1.2 transcript:Vigun04g131250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTYFQIKLISFVNENIIIFTFKEETLYSFEGNPLRSLSIDILPRIILIQECCLVSSLISSHEKIKESVYQEQSVYPDVEEDVAVEQGDQNSPQGPNMKEVNVLHVCLEESGYPMVEEEEVVEQGDHNSPQGSNLKDVSVMHVSQEDFGFPTVEEVQKSGGNICKGNAKNTDGKINLTAERSADDVPSSLNKSSVGTQDQFHQAGFLNHLSANADTCEEELKSPQNTGSIANPGECSRFSPYNLEASLVESFQSETYSDSSPLRDIGTCNKKESMQSYKMEKVEYNQKALHTTANVNLLGKAIEEGNMHINEGEVIGLFYNSDVHDDAIVNGRSELQSSTFEDLDGTPVQFLSNDALSEVKTDFSVHEMVVSGIVFENVDMSEAKFVSSRKISLSPASDTMTGFSPKQLNNSETKVLQSETASVDVGIGDVEENMQMDLNKELVDEIGEYLLEGEIDKSGLIGDSEEVDNGICDMEENIQIIVNEMQVEESEQVIPATPSAMVLNNVEVGEQLLEGEVEKSGLNETYFDRSALGDVVDTFKMEESMPIGQMEEEFSEEVLHMTNDSVQEEVTRLIYNSDDHEYVGVTEDMESIEKYLLNDFHSFEDASGQNSDENAPTMPHDGEMKLCTFNHQQMSASGTFTGDEMGTPPKCNIPKLRESFMKKELKIISSLPVKRARDILGASDMKENTKISKEQVGTIISRSAFPNKRKPLQYLQQN >Vigun07g275000.1.v1.2 pep primary_assembly:ASM411807v1:7:39042445:39044973:-1 gene:Vigun07g275000.v1.2 transcript:Vigun07g275000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISFLIALKATTLFLFFAYLKNLGFTLFSLPFLYASLISFLVSIASHPSIDLPMLLGKTTDGSFPLFSLIIFGPYIYFVRVFSMLRRLRSGEPPYTQVHDYLYVGGWPSSPHMLPPGDPAIIDCTCEFPRVKDFTVGLPYRCIPTWDTRSPQPADIESAVKWASRQRDLNRPIFVHCAYGHGRSVAVMCALLVALGITEDWKTAEKLIKQKRPYIRMNALHRKALEEWSRHRLSAPKK >Vigun10g039300.1.v1.2 pep primary_assembly:ASM411807v1:10:5359740:5364171:-1 gene:Vigun10g039300.v1.2 transcript:Vigun10g039300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPSMEFESSSSKLPWMYDVLINFTGDDIRKKFVSHLDSALTSVGFTTFLHHEPMHTQEPIMNLCRVAIVVFTKTYSQSAWCLDQLQQIIQWHRTYYKHVLPVYYEIQPSDVRLQKGDFGKAFRATVGQNFSRQEQVACVYRWSHALTKATNLFGWDESNYRSDAELVDKIVKTVLNLSVLSATKFPVGLQPNVEDLVRTIKNKSGEVCIIGICGEGGSGKTTLAKAIYNQIHDTFTEKSFIEDIAQVSRTRSHVSLQEQLLSDVLKVKVDIRSDEMGTSMIRKRLSGKRVLIVLDDMNDYSPLLDLRKSRAWFSQGTVIIITTRDEDLLRIHHVDSVFRLKPMSANESLELLSWHAFREAKPKKTYIYIAKKVVTLCGGLPLTLEVIGTYLSKRTKEEWEIVVSKLQEIPQHDVQQKLKISFDGLDKQMEKDLFLQICCFFVGKDRAYVTKILKDFVVDVDSGIRVLIVRCLIKLKANNKFGVHPLLQEMGRKIMYYTREDALITFPATRRCCFELYLSEIRRRSRQVFSWLKVHLNQWKVVKEVPFSIVRSKNIGYISLRRFEQLWPTIFPYIIRSRMSPTMNPLTYIHPLCMDMEDNSWNDIAPLLSSLANLRSILVECDTNFQLYKQVKTILVEYGVNISESRISKHHFRSSLIGVGRYNTFINSVSNTISEVLGSNESCDVYLPGDNYPYWLAHIGEGHSVSFTVPQDRDMKGMAFCVVYLSTPGIVTTECFTSVLIVNYTKCTLQMHNHGTIISFNDEDWDNIMSNLRSGDKVEIFVTFGHELVIKNIGVYLIYGESNDLEREPAPMENSLIRFIKKIVMCDFCLFMYFL >Vigun09g085800.1.v1.2 pep primary_assembly:ASM411807v1:9:11191054:11195505:-1 gene:Vigun09g085800.v1.2 transcript:Vigun09g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSSEVAIEELKKLLREKEELNEVAAEKVEEAIAELQKLHDPALQRIILGFTYFRINNFDRNPDLYAKLANGQSPQYLVFACSDSRVSPSTILHFQPGEAFMVRNIANMVPTFNQLRHSGTGAAIEYAITALKVPNILVIGHSRCGGIRRLMSHPEDCSAPFDFIDDWVKIGLPAKLHVLKEYEGYDFDEQCKFCEKESVNNSLVNLRTYPYVERGIRNKTIGLLGGYYDFVKGEFKLWKHETRFTEPITIPLSTHK >Vigun05g283000.1.v1.2 pep primary_assembly:ASM411807v1:5:47155993:47158312:1 gene:Vigun05g283000.v1.2 transcript:Vigun05g283000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEDDATPSHRSSKKPIYTEFSQQELPAWKPILTPGWVIATLFAVGVLFIPIGLATMFSSESVEEVVIQYDESCLPNSNANDAMAYIQSNTTNKTCVTKWTVEHRMEAPVYIYYQLDNYYQNHRRYVKSRNDKQLWSKGEDGETINCYPEDMIKEKQPIVPCGLIAWSIFNDTYKFVSKNKNLTVNRKDIAWGSDRRFRFGSEVYPKNFQSRDLIGGGHLNESLPLRQQEDLIVWMRTAALPTFRKLYGKIEVDLEAKDEIEIVIENNYNTYMFGGTKKVVLSTATLTGGRNPFVGTAYLFIGSISLFFTIAFILLYVIKPRPLGDPSYLSWNKNPGGFIK >Vigun03g101600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8729527:8730996:-1 gene:Vigun03g101600.v1.2 transcript:Vigun03g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSIFIHFNDLIPGLPTDLGLECLTRLPHSAHRVALRVCRQWHHLLQSDQFYTHRKKTGRTRRVTCLLQARQDQPHHDKLTGSLPPSYGISLFDPQSMAWDRVEPVPEYPNGLPLFCQLASCDESLVLMGGWDPASYEPLTAVFVYDFRTSEWRRGKDMPEKRSFFAIGSGRGRVYVAGGHDENKNALRSAWAYDPRRDEWVGVGPMGRERDECEGVVVGDEFWVVSGYGTERQGMFDGSVEVLDLGSGQWREVNGVWEEGRCPRSCVGVGKDGKLVNFRGLDPGLKVGVCGVAIGSSVVLSGSEYEGAAHGFYLVDMEEGQNRKLKSISVAEGFSGLVQSGCCVEI >Vigun06g182300.1.v1.2 pep primary_assembly:ASM411807v1:6:30194693:30199986:-1 gene:Vigun06g182300.v1.2 transcript:Vigun06g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEHNAKVREKVPFYKLFTFADNLDRTLMIIGLICAMANGVVQPLMTLIFGNMINAFGSTDPSHIVKEVSKVVLLFVYLAFGAGIASFLQVSCWMVTGERQAARIRGMYLKTILKQDITFFDTETSGEVIGRISGDTILIQEAMGEKVGKFIQLVTSFIAAFIIAFTRGWQLSLVLLACIPCIAIVGGIISVMMAIMSIRGQTAYAEAGNVVKQTVGAIRTVASFTGEKKAVEKYDNKLRISYITTVEQGLASGFGMGILLLIIFSTYALAMWYGSKLIIEKGYDGGTVFNIIISVNTGAMALGQATPCLNSFAAAQAAACKMFETIKRKPKIDVYDTNGVVLEDIKGDIELKDVYFRYPARPDVQIFSGISFCIPSGTTAALVGQSGSGKSTIISLLERFYDPEAGEVLIDGVNLKNFQVRWIREQIGLVGQEPVLFTASVKDNIAYGKEGATDEEINKAITLADARKFIDKLPQGIDTMVGGHGTQISGGQKQRIAIARAILKNPKILLLDEATSALDSESEHIVQVALEKVITPRTTVVVAHRLTTIRHADIIAVVHKGKIVEKGTHDELIKDADGAYSRLVRLQEGNKVAEEVSRKSEADKSNNNILNLSRRTSFARSSSRHSLSLSFALPYQIPLHESGVEDNGDVESSEVDDKKHQKDAVNCLVKLNEPEVPVLVLGSFAAAIHGLTLPVFGLLLSSSVNTFFKPPDVLRTDSMFWSFLFVGLGIVGLVAMTLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIATITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVEGFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLVSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEDVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNDSIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun06g182300.2.v1.2 pep primary_assembly:ASM411807v1:6:30194693:30199986:-1 gene:Vigun06g182300.v1.2 transcript:Vigun06g182300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGERQAARIRGMYLKTILKQDITFFDTETSGEVIGRISGDTILIQEAMGEKVGKFIQLVTSFIAAFIIAFTRGWQLSLVLLACIPCIAIVGGIISVMMAIMSIRGQTAYAEAGNVVKQTVGAIRTVASFTGEKKAVEKYDNKLRISYITTVEQGLASGFGMGILLLIIFSTYALAMWYGSKLIIEKGYDGGTVFNIIISVNTGAMALGQATPCLNSFAAAQAAACKMFETIKRKPKIDVYDTNGVVLEDIKGDIELKDVYFRYPARPDVQIFSGISFCIPSGTTAALVGQSGSGKSTIISLLERFYDPEAGEVLIDGVNLKNFQVRWIREQIGLVGQEPVLFTASVKDNIAYGKEGATDEEINKAITLADARKFIDKLPQGIDTMVGGHGTQISGGQKQRIAIARAILKNPKILLLDEATSALDSESEHIVQVALEKVITPRTTVVVAHRLTTIRHADIIAVVHKGKIVEKGTHDELIKDADGAYSRLVRLQEGNKVAEEVSRKSEADKSNNNILNLSRRTSFARSSSRHSLSLSFALPYQIPLHESGVEDNGDVESSEVDDKKHQKDAVNCLVKLNEPEVPVLVLGSFAAAIHGLTLPVFGLLLSSSVNTFFKPPDVLRTDSMFWSFLFVGLGIVGLVAMTLQNYLFGIAGGKLIERIRSMAFNKVVHQEISWFDHPSNSCGAVSARLATDASTARSLVGDNLSLIVQNIATITTGLAIAFTANWILAFVILAVSPLLLLQGYLQTKFVEGFSADAKVNYEEASQVANDAIGSIRTVASFCAEPKVVDMYKKKCSGPEKQGVRLGLVSGVGLGFSFLALYCTNAFCFYVGSLLVQDGKATFEDVFKVFFALTVTAVGVSQSSALAPDTNKAKDSATSIIKILETKSAIDSSSDEGKTLDLIKGDIELQQVSFCYPTRPDIQIFKDLCLSMPAAKTVALVGESGSGKSTVISLIERFYNPDSGRVLLDGVDIKNLRLSWLRQQMGLVGEEPILFNDSIRANIAYSKEGGATEEEIVAAAEAANAHKFICSLPHSYDTPVGGRGTQLSRGQKQRIAIARAILKDPKILLLDGATSALDAESECVVQEALDKVSVNRTTVVVAHRLPTIKGADIIAVVKNGVIAEKGNHEALMKIDGVYASLVSLHISQS >Vigun11g164200.1.v1.2 pep primary_assembly:ASM411807v1:11:37163043:37166043:-1 gene:Vigun11g164200.v1.2 transcript:Vigun11g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKSFCILGLITFVLVISKTNVNCIETDAVDPIVDVASLNRDSFPPGFIFGAGSSSYQFEGGAKEGGKGPSVWDTFTHKYPEKILDKSNGDVAIDTYHRYKEDAKFMKNMNLDSYRFSISWSRILPNGKLSGGINQEGIDYYNNVINELLANGIKPLVTLFHWDLPQALEDEYGGFLSPLIIKDFRDYADVCFRAFGDRVKHWVTLNEPWTYSINGYANGTMAPGRCSSWVNPNCNGGDSGTEPYIVSHHQLLAHAAAVRVYKTKYQESQKGLIGITLVCNWFIPFSDTKFDQKAAERSVEFMYGWFMDPLTTGEYPKSMRSLVKSRLPKFTAEQARLLIGSFDFIGINYYSSIYASDAPHLSNAQPYYVTDSLVISEFERNGKSIGIKIASDWLYVCPRGIRDLVMYTKEKYNNPLIFITENGVNEFNNDQTLSLEESLLDTYRIDYYYRHLFYLRSAIREGANVKGYYAWSLFDNFEWSMGYTVRFGMTYVDYKNGLKRYEKLSAIWYKNFLKRNDRLFNSI >Vigun03g284950.1.v1.2 pep primary_assembly:ASM411807v1:3:46598099:46598675:-1 gene:Vigun03g284950.v1.2 transcript:Vigun03g284950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEILTAVSSFHNYQRYPFIVRSGSNCRRHTRCHLNLLHNHIGISSTKLQSHWSSHWDFFRMPLPSCNSALVSVSSRENLKFQNTSVTLYSSI >Vigun03g427800.1.v1.2 pep primary_assembly:ASM411807v1:3:63286472:63294811:1 gene:Vigun03g427800.v1.2 transcript:Vigun03g427800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMWLAVAVVLLFVGGFVEGKPHRILVDTDVDTDDFFALLYLLKLNTSQFKLEGITISANAWTNAGHAVNQIYDLLYMMGRDDVAVGVGGEGGILSNGTILPNVGGYLPIIEQGMTTVGDCRYRQAIPVGAGGRLDIDANYGIRKAFLPQGSRKYSPMQQASAQEVLIEKVSSGPITVIVIGAHTNIGIFVMNKPELKKNVERIYIMGGGVRSSNPTGCCPKNASSSCVPRQCGDRGNIFTDYNTNPFAEFNIFGDPFAAYQVIHSGIPVTLVPVDATNTIPITKDFFDEFEKSQDTYEAQYCFKSLKMARDTWFDDQFFSSYFMWDSFAAGIAVSTMSKPNNHNGENEFAEMEYMNITVITSNKPYGVSDGSNPFFDGRRVPKFNLEKGGVHSGHVQQGLRDPLCFVKNGKGRCQDGYTKEVSGPDSVRVLVATKAKPNKDVRSKLDREYFISFLNVLKDLQNSGRFNFTTQFPYHKEVTYKPNFQNKTLGKPVVFDMDMSAGDFLALIYLLKVPVQVIDLKGIIVSPTGWTNAATIDSVYDLLHMMGRDDIPVGLGDVFAMNQSDPVFGAVGECKYIKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLAMEVWESILQKTKPESKITVLTNGPLTNLAKVVSLKNISSRIQDVYVVGGHISSTVNGKGNIFSVPSNQYAEFNMFLDPLAAKTVFESEVNITLIPLNTQRRASSFSAIIGELRRTPRTPEAVFSERLLSSLYRLKQSHSIYQHMDTFLGEILGAVVLGDSYSGLDPKFEEKPIKILADGNESSDGKVVVDEKGGKLVRILSSVDAKAYQSLYANKLGLKEQSAKINSFEEQRRRWSSPHN >Vigun03g396100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60259160:60259504:-1 gene:Vigun03g396100.v1.2 transcript:Vigun03g396100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGLGNGTQIDNKILQTFKKSFVQVQDILDQNRVLINEINQNHESKVPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMEVSSEGDSSGAVKSDGKAGHKRHRPL >Vigun11g174400.1.v1.2 pep primary_assembly:ASM411807v1:11:37945329:37946636:-1 gene:Vigun11g174400.v1.2 transcript:Vigun11g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKYKPWIIFLHLLIIACCRARELVGSGTENKIMEAISDENHETVHTEHAHVHTHMDHLDPSVMVFLTFKDLKVGKTMAVYFPKRDPATSPKLWPREEAESLPFSLNQLPNLLKVFSFSPNSPQAKAMEDTLRECETKPIEGEVKFCATSLESMLDFAQTILGFTHELQVFTTSHQTKSSVTFQNYTLEKIVEIPASKMVACHNMPYPYAVFYCHSQESENKMYKVALGGENGDRVEAMVVCHMDTSHWGHSHVSFQVLKVQPGTTSVCHFFPADNLIFVVPKPQHHGLATM >Vigun03g021000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1538666:1540280:-1 gene:Vigun03g021000.v1.2 transcript:Vigun03g021000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYPPSPLSPSNLSLSLSLSLSLSHLTLSLAMENQTGLKLRISRMFRSSFGSCRTKHIADVMEKAVFAPPTSTDPPSPKATPFPPSCTSKTINDTCILSFDDSHSLSRRKISHFSPPFLWANTTATTTNNLKLNLGVMSCPPTSPRVSRNTIQERDFIFHDKTKASSSTKNVKTKKKKKKRRAQKRRDFFPFNSCSKDTSFGGYWWYSSDEDDETDTLFSSKSLSSDSSRSRRRGRKSDRSSDMGVLPLHGKVKDTFAVVKRSSDPYSDFRTSMVEMIVEKQIFSPADLENLLQCFLSLNSCHHHKIIVQVFTEIWEALFSDWF >Vigun04g141300.1.v1.2 pep primary_assembly:ASM411807v1:4:35011903:35017717:-1 gene:Vigun04g141300.v1.2 transcript:Vigun04g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVAVSRSIQGTLLCPSSGSARDRSQNLLKPPSFASTVLPPHGNNNKRSQVAPRSFQISARKSAPSEVIPVSPEDDPKIEQHLQHLRGVQPFGENSVGMWSKPTFRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIILNPGQEFTFTIQRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSMVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKNYLKRSGVDIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIINLCRSMGKAVIVATNMLESMILHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGQMPPNIGPVFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAILLSHYRPSGTVFAFTDEKRVQQRLALYQGVCPIYMEFCDDSEATFKRALDLLLKQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Vigun09g259000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42438472:42439019:1 gene:Vigun09g259000.v1.2 transcript:Vigun09g259000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKEEKKLGEGPQISRMDPVTHGAYGGGMYGTEKGQPEKPTKPPASDSQSADGPVDKDAIKPQHNPPPSSGDRDLDITGQSYIQ >Vigun06g016150.1.v1.2 pep primary_assembly:ASM411807v1:6:7658103:7660083:-1 gene:Vigun06g016150.v1.2 transcript:Vigun06g016150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDIDEFSFRTSDNTQVTQQWNWFWSDQASPKNYGLVYLTTGLIWCACNIH >Vigun04g019300.1.v1.2 pep primary_assembly:ASM411807v1:4:1448367:1450722:1 gene:Vigun04g019300.v1.2 transcript:Vigun04g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQALAIIFITLSFIVFVFQNKHHGRAAPGPKPLPIIGNLHMLGKLPHRSLQSLATKYGPIMSLKLGQVPAIVVSSPQTAELFLKTHDTVFASRPKIQASDFLCHGSKGLAFSEYSAYWRNARKVCTLQLLSASKVEMFGPLRREELGVLVKSLKNSAESGEVVDLSQLLGELMENIVFKMVLGRDKDDRFDLKWLIYEVMNLVGAFNLADYMPWLGVFDPQGLTRRLKKLTKLFDEFLEKIIQEHESNPYDKDKNRNMDFVDILLSLMYQPSDLQNHQNAIDRTNVKGIILDMITAAFDTSSTTVEWAMSQLLRHPAVMKRLQQELTHVVGMKRQVEENDLEKLSYLNMVVKETLRLHPVAPLLLPRECREDVTVDGYFIKKKSRVIINAWAIGRDPKVWDKSEIFDPTRFENNNIDVRGKDFRILPFGSGRRGCPGIHLGLTTISLVLAELVHCFDWELPLGVSCDELDMEEIFGLTTPRKKHLLTRPVYRLAL >Vigun07g219200.3.v1.2 pep primary_assembly:ASM411807v1:7:34199454:34206472:1 gene:Vigun07g219200.v1.2 transcript:Vigun07g219200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVKEMTVFWSVFCGESGCSEAGRMPCSYDFRLLTDPSTCVHHLLISCFDVLLLMMLVFIMIQKSALKPSRGIIQVQRYSYLQTVSSIVNGVIGLVQLFLGIWVLEEKLRKTQTVLPFDWWFLEIFHGLTWLLVSLMLTLKLKQLPRRWSRLYSILIFLISGIFCASSLFYAISSRKLSLMIASDILSFPGAILLLLCTYKESSYGDANRESDESLYALLNGQSSEKESITYVTPFAKAGFFNKMSFWWLNPLMKMGKEKALQDEDIPGLREEDRAETRYFLFLDQLNREKQKDPSSQPSVLRIILLCHWREILASGLFALLKVLSLSSGPLLLNSFILVAEGNESFKYEGFVLAISLFLTKSIESLSQRQWYFRCRLIGLKVRSLLTAAIYRKQLRLSNSARLMHSGGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDKRLKAYSEALVNMKVLKLYAWETKFRNAIERLRNEELKWLYAVQLRKAYNTFLFWSSPVLISAASFGACYFLNVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFTRIVKFLEAPELQSANATKRCLDDNMKGSITIKSANFSCEDNVSEPTLRNINLEVRPGQKVAICGEVGSGKSTLLAAILREVSMTQGELEVYGKFAYVSQTAWIQSGTVRENILFGADMDAEKYQVTLHRSSLLKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYKNADIYLLDDPFSAVDAHTATNLFNEYIIEGLAGKTVLLVTHQVDFLPAFDCVLLMSDGEIIEAAPYNHLLSSSQEFQNLVNAHKETAGSDRLVDVTSSQKHSNSSRDIRKTSMEKHHEASKGDQLIKKEEREKGDHGFKPYIQYLNQSKGYILFSLAAVSQLTFVIGQILQNSWMAASVDNPEVSSLKLIVVYLLIGVISSTFLLIRSLATVALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILTRVSSDLNIVDLDVAFGFLFAVAATSNCYANLTVLAVVTWQVLFVSIPMIYFAIRLQKYYFASAKELMRLNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFKKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCMVVLPPGSFSSGFIGMALSYGLSLNVSLVFSIQNQCNVANYIISVERLNQYMHIPSEAPEVIEGNRPPANWPVAGRVQINELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGQIVVDGIDIGSIGLHDLRSRFAIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLQEAVQEKEEGLGLDSSVVEAGANWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLIKREGSLFGNLVKEYWSHFQSAESQ >Vigun07g219200.2.v1.2 pep primary_assembly:ASM411807v1:7:34198796:34206474:1 gene:Vigun07g219200.v1.2 transcript:Vigun07g219200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFWSVFCGESGCSEAGRMPCSYDFRLLTDPSTCVHHLLISCFDVLLLMMLVFIMIQKSALKPSRGIIQVQRYSYLQTVSSIVNGVIGLVQLFLGIWVLEEKLRKTQTVLPFDWWFLEIFHGLTWLLVSLMLTLKLKQLPRRWSRLYSILIFLISGIFCASSLFYAISSRKLSLMIASDILSFPGAILLLLCTYKESSYGDANRESDESLYALLNGQSSEKESITYVTPFAKAGFFNKMSFWWLNPLMKMGKEKALQDEDIPGLREEDRAETRYFLFLDQLNREKQKDPSSQPSVLRIILLCHWREILASGLFALLKVLSLSSGPLLLNSFILVAEGNESFKYEGFVLAISLFLTKSIESLSQRQWYFRCRLIGLKVRSLLTAAIYRKQLRLSNSARLMHSGGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDKRLKAYSEALVNMKVLKLYAWETKFRNAIERLRNEELKWLYAVQLRKAYNTFLFWSSPVLISAASFGACYFLNVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFTRIVKFLEAPELQSANATKRCLDDNMKGSITIKSANFSCEDNVSEPTLRNINLEVRPGQKVAICGEVGSGKSTLLAAILREVSMTQGELEVYGKFAYVSQTAWIQSGTVRENILFGADMDAEKYQVTLHRSSLLKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYKNADIYLLDDPFSAVDAHTATNLFNEYIIEGLAGKTVLLVTHQVDFLPAFDCVLLMSDGEIIEAAPYNHLLSSSQEFQNLVNAHKETAGSDRLVDVTSSQKHSNSSRDIRKTSMEKHHEASKGDQLIKKEEREKGDHGFKPYIQYLNQSKGYILFSLAAVSQLTFVIGQILQNSWMAASVDNPEVSSLKLIVVYLLIGVISSTFLLIRSLATVALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILTRVSSDLNIVDLDVAFGFLFAVAATSNCYANLTVLAVVTWQVLFVSIPMIYFAIRLQKYYFASAKELMRLNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFKKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCMVVLPPGSFSSGFIGMALSYGLSLNVSLVFSIQNQCNVANYIISVERLNQYMHIPSEAPEVIEGNRPPANWPVAGRVQINELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGQIVVDGIDIGSIGLHDLRSRFAIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLQEAVQEKEEGLGLDSSVVEAGANWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLIKREGSLFGNLVKEYWSHFQSAESQ >Vigun07g219200.1.v1.2 pep primary_assembly:ASM411807v1:7:34198584:34206474:1 gene:Vigun07g219200.v1.2 transcript:Vigun07g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFWSVFCGESGCSEAGRMPCSYDFRLLTDPSTCVHHLLISCFDVLLLMMLVFIMIQKSALKPSRGIIQVQRYSYLQTVSSIVNGVIGLVQLFLGIWVLEEKLRKTQTVLPFDWWFLEIFHGLTWLLVSLMLTLKLKQLPRRWSRLYSILIFLISGIFCASSLFYAISSRKLSLMIASDILSFPGAILLLLCTYKESSYGDANRESDESLYALLNGQSSEKESITYVTPFAKAGFFNKMSFWWLNPLMKMGKEKALQDEDIPGLREEDRAETRYFLFLDQLNREKQKDPSSQPSVLRIILLCHWREILASGLFALLKVLSLSSGPLLLNSFILVAEGNESFKYEGFVLAISLFLTKSIESLSQRQWYFRCRLIGLKVRSLLTAAIYRKQLRLSNSARLMHSGGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDKRLKAYSEALVNMKVLKLYAWETKFRNAIERLRNEELKWLYAVQLRKAYNTFLFWSSPVLISAASFGACYFLNVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFTRIVKFLEAPELQSANATKRCLDDNMKGSITIKSANFSCEDNVSEPTLRNINLEVRPGQKVAICGEVGSGKSTLLAAILREVSMTQGELEVYGKFAYVSQTAWIQSGTVRENILFGADMDAEKYQVTLHRSSLLKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYKNADIYLLDDPFSAVDAHTATNLFNEYIIEGLAGKTVLLVTHQVDFLPAFDCVLLMSDGEIIEAAPYNHLLSSSQEFQNLVNAHKETAGSDRLVDVTSSQKHSNSSRDIRKTSMEKHHEASKGDQLIKKEEREKGDHGFKPYIQYLNQSKGYILFSLAAVSQLTFVIGQILQNSWMAASVDNPEVSSLKLIVVYLLIGVISSTFLLIRSLATVALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILTRVSSDLNIVDLDVAFGFLFAVAATSNCYANLTVLAVVTWQVLFVSIPMIYFAIRLQKYYFASAKELMRLNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFKKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCMVVLPPGSFSSGFIGMALSYGLSLNVSLVFSIQNQCNVANYIISVERLNQYMHIPSEAPEVIEGNRPPANWPVAGRVQINELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGQIVVDGIDIGSIGLHDLRSRFAIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLQEAVQEKEEGLGLDSSVVEAGANWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLIKREGSLFGNLVKEYWSHFQSAESQ >Vigun07g219200.4.v1.2 pep primary_assembly:ASM411807v1:7:34200106:34206474:1 gene:Vigun07g219200.v1.2 transcript:Vigun07g219200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFWSVFCGESGCSEAGRMPCSYDFRLLTDPSTCVHHLLISCFDVLLLMMLVFIMIQKSALKPSRGIIQVQRYSYLQTVSSIVNGVIGLVQLFLGIWVLEEKLRKTQTVLPFDWWFLEIFHGLTWLLVSLMLTLKLKQLPRRWSRLYSILIFLISGIFCASSLFYAISSRKLSLMIASDILSFPGAILLLLCTYKESSYGDANRESDESLYALLNGQSSEKESITYVTPFAKAGFFNKMSFWWLNPLMKMGKEKALQDEDIPGLREEDRAETRYFLFLDQLNREKQKDPSSQPSVLRIILLCHWREILASGLFALLKVLSLSSGPLLLNSFILVAEGNESFKYEGFVLAISLFLTKSIESLSQRQWYFRCRLIGLKVRSLLTAAIYRKQLRLSNSARLMHSGGEIMNYVTVDAYRIGEFPYWFHQTWTTSLQLCISLVILFRAVGLATIASLVVIVITVLCNTPLAKLQHKFQSKLMVAQDKRLKAYSEALVNMKVLKLYAWETKFRNAIERLRNEELKWLYAVQLRKAYNTFLFWSSPVLISAASFGACYFLNVPLHANNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVAFTRIVKFLEAPELQSANATKRCLDDNMKGSITIKSANFSCEDNVSEPTLRNINLEVRPGQKVAICGEVGSGKSTLLAAILREVSMTQGELEVYGKFAYVSQTAWIQSGTVRENILFGADMDAEKYQVTLHRSSLLKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYKNADIYLLDDPFSAVDAHTATNLFNEYIIEGLAGKTVLLVTHQVDFLPAFDCVLLMSDGEIIEAAPYNHLLSSSQEFQNLVNAHKETAGSDRLVDVTSSQKHSNSSRDIRKTSMEKHHEASKGDQLIKKEEREKGDHGFKPYIQYLNQSKGYILFSLAAVSQLTFVIGQILQNSWMAASVDNPEVSSLKLIVVYLLIGVISSTFLLIRSLATVALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILTRVSSDLNIVDLDVAFGFLFAVAATSNCYANLTVLAVVTWQVLFVSIPMIYFAIRLQKYYFASAKELMRLNGTTKSFVANHLAESVAGAVTIRAFEEEDRFFKKNLDLIDVNASPYFHSFAANEWLIQRLETVSAVVLASAALCMVVLPPGSFSSGFIGMALSYGLSLNVSLVFSIQNQCNVANYIISVERLNQYMHIPSEAPEVIEGNRPPANWPVAGRVQINELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGQIVVDGIDIGSIGLHDLRSRFAIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLQEAVQEKEEGLGLDSSVVEAGANWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLIKREGSLFGNLVKEYWSHFQSAESQ >Vigun03g305600.1.v1.2 pep primary_assembly:ASM411807v1:3:49589009:49593686:1 gene:Vigun03g305600.v1.2 transcript:Vigun03g305600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWPITAVINSEVVTELSVLISNLKMSLTLQTPSSTTLSSASSIHSTTTRSTFYFSIRSTLSPKTIHFNSRRSHPQTRASASSINIASTTELDAVSAFSEVVPDTVVFDDFEKFPPTAATVSSSLLLGICGLPDTIFRNAVEMALADSQCYGIENPNARVSCFVNKAFANVGSDLAKLVPGRVSTEVDARLAYDTHAIIRKVHDLLKLYNDSNVPPHRLLFKIPSTWQGIEAARLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRIRDWARNHSGDAEIESAQLRGEDPGLALVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVASPDEKYSFVRRLSPQSAAQYQFREEELVKWDHDSMVNAMGPAAVQLLAAGLDGHADQAKRVEDLFEKIWPPPNV >Vigun06g136100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:26217226:26219039:1 gene:Vigun06g136100.v1.2 transcript:Vigun06g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPSHRIQPSLFLITVLLSVIEFSASAANSTTPNTFLRCLLNHSESSHPIHSAIFTINNHSFSSVLQAQIRNLRFNTSTTQKPFLIVTALHVSHVQASVICAKKHKLLMKIRSGGHDYEGVSYVAGDPFFILDMFSLRSIEVDIDDETAWVQTGATLGEVYYRIGEKSKIHGFPAGVCPSVGVGGHISGGGYGNMMRKYGLTVDNVIDAQMVDVEGRLLDRKSMGEDLFWAITGGGGSSFGVIIAYKIKLVRVPDTVTVFKVAKTLEENATDIVYKWQHVASAISHDLFIRLILDVVNDTQKGTKTGRATFVALFLGDSKSLVSLLSEKFPQLVVKQSDSIETSWLRSVLFFADINISEPVEILLDRQPKSLIYLKRKSDYVKKPISKAGLEGIWKKMIELDNTVKLYFNPYGGRMSEIPSTATPFPHRAGNLWKIQYQANWNEAGKQFVDHYIKMARVLHNYMTPFVSNNPRQAFFNYRDFDLGINYNGMNSYTEGRVYGVKYFKNNFNRLVEIKTKVDPHNFFRNEQSIPTLPHVKS >Vigun09g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:18186173:18186522:1 gene:Vigun09g103600.v1.2 transcript:Vigun09g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMSNKLAIIMVFTILVVLAMEPHHVECKFITPMNPCKPFRCFTLCHEVKQEYYNGFYCMENSEGSFCVCN >Vigun09g248300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:41596467:41596628:1 gene:Vigun09g248300.v1.2 transcript:Vigun09g248300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVPSETLRSGFRFMINSSFRRRTQLVQSFSVVFLYWFYVFSLISSFISCII >Vigun09g108300.1.v1.2 pep primary_assembly:ASM411807v1:9:22659011:22662116:-1 gene:Vigun09g108300.v1.2 transcript:Vigun09g108300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQYHPFPVDPAFFPSNMNTPSSPPPLQFHLPQETSFNVTNQDTSCVDQSSKITISDNEPSVTKNLSPQSSVVVDKLETGEQVTQKVNNTPALKKRRTRNGSSLTSNTQSKDTATEGRTKKQRKNNGGVKEEDKGKEEKKEQRKCPEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKVLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDLSTDLDTLLVRPEKLNSMASPSPLPCVSHCNSPNQATTFADTTTITPTNIFHNASDYLLDNSVSFFLQGQRSTMFSEEDTGSHFWDAEDQRQKFLHPYGFSNNLYSFH >Vigun06g009100.3.v1.2 pep primary_assembly:ASM411807v1:6:4293601:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCREDLVMGRTIGTDKE >Vigun06g009100.7.v1.2 pep primary_assembly:ASM411807v1:6:4293601:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDKGRRGGGA >Vigun06g009100.5.v1.2 pep primary_assembly:ASM411807v1:6:4302745:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCREAKLW >Vigun06g009100.1.v1.2 pep primary_assembly:ASM411807v1:6:4293601:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCRENFLLQDNSEGMGFGGSKSSQRTIIFSPRVCNNVDLVVGNVIRIHPPWKEVQVGNDNIILCTYFS >Vigun06g009100.2.v1.2 pep primary_assembly:ASM411807v1:6:4302745:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCREVRPNCGRSLKVYYRNWIRNIRRMRFTERLNSVYFQSGRYYRNE >Vigun06g009100.6.v1.2 pep primary_assembly:ASM411807v1:6:4304137:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCREAKLW >Vigun06g009100.10.v1.2 pep primary_assembly:ASM411807v1:6:4302745:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKK >Vigun06g009100.4.v1.2 pep primary_assembly:ASM411807v1:6:4302745:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDSELGCFDVKILSRYHEGKLTVCHCSFGKCREDLVMGRTIGTDKE >Vigun06g009100.11.v1.2 pep primary_assembly:ASM411807v1:6:4302745:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKK >Vigun06g009100.8.v1.2 pep primary_assembly:ASM411807v1:6:4304313:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKKLKTGARPDKGRRGGGA >Vigun06g009100.12.v1.2 pep primary_assembly:ASM411807v1:6:4302859:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKK >Vigun06g009100.9.v1.2 pep primary_assembly:ASM411807v1:6:4293601:4311192:-1 gene:Vigun06g009100.v1.2 transcript:Vigun06g009100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRQPKTLIPLRLISRHKPDSDESISDEDDAVFPNNALSSDTIHKQELPLAVRLDILKGISDRNLSAKGTSSSFEKPLEDEVEMPDFNEGEVVANSTDEENNSADRDNTAVTALQLRKYGPQSIRNKHIRDMTRISEASLHYKGSVSGSHVTCPKANISGSRKCGGIGPSVPQIDSLPEILKAVDPRSSGNLEENHLEDDDKIEVNSDTEPDETEVFPHEFNLTSVNGVFNNLQDKANQLLQKSSRPHLKETAVDSEDFSEPVESGSSSDNEASYQQIKNAFPVKKMQSMTELFLEALGTSSVIIEGIHVGAHNSLSDGLSGKLQQMMLKEKETDMDFWKK >Vigun09g238700.1.v1.2 pep primary_assembly:ASM411807v1:9:40919866:40924619:-1 gene:Vigun09g238700.v1.2 transcript:Vigun09g238700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSVQKMLKTMPSKALITIINFVFLTVFLLVYATVLPHPSDYLENAGSLVRCSLSECHHKQAEKNLKMKAVLEEPKAIHHREKNEKRNNVVPSFFGEMGKGTRIGMVNMEEGFVREWSTHGETTTVHFQRVSQFLNWTDLFPEWIDEEEENDVPSCPEIPMPEFTQYGSMDIIVAKLPCRYPEKAWNRDVFRLQVHLIVANLATKNGKKDWRGKTRVVFWSKCRPMMELFPCDNLVKREGEWWYYEADMNKLQHKVSLPLGSCKLAMPLWEQGRKELVKSEKNGESRAKIKREAYATVLHSSEAYVCGAITLAQTLLQTGTKRHLILLADKSISVPKRRALSEAGWKIQMITRIRNPRAEKGSYNEYNYSKLRLWQLTDYDKIIFIDSDIIVLRNLDILFRFPQISATGNDQSVFNSGIMVIEPSNCTFGVLMRLRDVVVSYNGGDQGFLNEVLVWWHRLPRRVNLLKNFWANTSAEAREKNALFGAEPAEVYAIHYLGWKPWHCFRDYDCNWDTLEQRVYASDVAHRRWWKVHDAMEEGLQRLCGLTKRRRTALKWERRKARSMGFSDGHWKINITDPRRFTPLLIH >Vigun08g149300.1.v1.2 pep primary_assembly:ASM411807v1:8:32154258:32156301:-1 gene:Vigun08g149300.v1.2 transcript:Vigun08g149300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKRIIAIVSLTTVLLTSAEARIPGVYGGGAWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNSGLSCGACFEIKCANDPSWCHAGSPSILVTATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGMRFTINGFRYFNLVLITNVAGAGDVVRTSVKGSKTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTASDRRTSTSWNIVPANWQFGQTFTGKNFRV >Vigun05g272300.1.v1.2 pep primary_assembly:ASM411807v1:5:46328195:46331966:1 gene:Vigun05g272300.v1.2 transcript:Vigun05g272300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILGGNKGHKIKGSLVIMRKNVLDINSLTSVKGVVGTGINIIGGVVDTVTAFASHISIQLISATKADGHGNGKVGKSTNLRGQVSLPTLGAGEDAYDVHFEWDSDFGIPGAFYIKNFMQVEFYLKSLTLEDIPNHGTIHFVCNSWVYNSKVYKTDRIFFANNTYLPGETPAPLVKYREEELKNVRGDGTGERQEWDRIYDYDVYNDLGNPDKGEAYARPVLGGSTLPYPRRGRTGRGKTRKDPNSEKTSDFVYLPRDEAFGHLKSSDFLTYGLKSVAQDVLPVLTDAFDGNVLSLEFDNFAEVRKLYEGGITLPTNFLSKISPLPVIKEILRTDGEQFLKYPPPKVMQVDKSAWMTDEEFARETIAGVNPNVIKILEEFPPQSKLDTQAYGDHTSIITKEHLEPKLGGLTVEQAIHGKKLFILDHHDYLIPYLRRINQTTTKTYATRTIFFLKSDGTLTPLAIELSKPHPQGDNHGPVSEVYVPVYEGVEAYIWLLAKAYVVVNDSCYHQLVSHWLNTHAVVEPFVIATNRQLSVVHPVYKLLFPHYRDTMNINSLARKALVNADGIIEKTFLWGRYALELSAVIYKDWSLHDQALPNDLLKRGVAVKDSSAPHGVKLLIEDYPYASDGLEIWDAIKSWVEEYVAFYYKSDEALQKDPELQAWWKELVQVGHGDLKDKAWWPKMHTREDLVEVSTTLIWIASALHAAVNFGQYPYGGLILNRPTISRRFMPEKGSAEYVALGKNPEKEFLRTITGKKETLIDLTIIEILSRHTSDEFYLGERDGGDYWTSDAGPLEAFKRFGKKLAEIEQKLVQKNNNESLRNRTGPAKMPYTLLYPSSEEGLTFRGIPNSISI >Vigun09g070100.2.v1.2 pep primary_assembly:ASM411807v1:9:7623115:7627042:1 gene:Vigun09g070100.v1.2 transcript:Vigun09g070100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAFAALLLLAFTSSSSATWCVCKEGSDTILQKTLDYACGAGADCNPLHQNGPCFQPNTVRAHCNYAVNSYFQKKSQAQGSCDFAGTATVTASDPSSAGCSYPASSSAGTGTNSGTKTPSGISSSSPYSSTAGVLGGIGSDTNPDESNGGLINTTFFISFFSFFIAILSCCC >Vigun09g070100.1.v1.2 pep primary_assembly:ASM411807v1:9:7623115:7627042:1 gene:Vigun09g070100.v1.2 transcript:Vigun09g070100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAFAALLLLAFTSSSSATWCVCKEGSDTILQKTLDYACGAGADCNPLHQNGPCFQPNTVRAHCNYAVNSYFQKKSQAQGSCDFAGTATVTASDPSSAGCSYPASSSSAGTGTNSGTKTPSGISSSSPYSSTAGVLGGIGSDTNPDESNGGLINTTFFISFFSFFIAILSCCC >Vigun01g216300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39002283:39004612:-1 gene:Vigun01g216300.v1.2 transcript:Vigun01g216300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVRKIFNKFDKNGDGKISSVELRELMAALGSKTTTEEVRRMMAELDRNGDGYIDLKEFGEFHCGGGGDGRELREAFELYDLDKNGLISAKELHSVMRRLGEKCSLSDCRRMIGNVDADGDGSVNFEEFKKMMTRS >Vigun07g204400.3.v1.2 pep primary_assembly:ASM411807v1:7:32593813:32596800:1 gene:Vigun07g204400.v1.2 transcript:Vigun07g204400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGWFYSVKKVFFSDSKKEQKHSPHHQQQHHPKPPKLGCFGAYHPDDLEGAPVAVVPSLPPRKEETSPKSVPENEQNNQAFSLVLATAVATGAAVAAAAEVSRLSNASRKSVISNEDMAATKIQTAYRGYLARRSLRGLRGLARLRTLVQGQSVQRQAATTLQCMQTLSRLQSQIRARKVRMSEENQTLNRQLMQKREREFDKLQANQIGEKWDDSSKSKEEVEAKLLQRQIAAMRREKAMAYASTHQQTWRNSAKSATNATFMDPNNPHWGWNWLERWMATRPWEDQNTTYHIGHASAKSAAKNTMSVGEITKLYSLRDQNNDVKSPSATKKATRTGSMESPPKRTGRASLSNGTRPKVSTGSWGGDADSKSMFNKVHENNRRHSIGVSPLNDDEIHSSSSPSTQVAKAKPSSKGRSASQRNTTPENAAASAPLKKRLSFPASPTGARRHSVSTRPGNVVSSSNRK >Vigun07g204400.2.v1.2 pep primary_assembly:ASM411807v1:7:32593670:32596800:1 gene:Vigun07g204400.v1.2 transcript:Vigun07g204400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGWFYSVKKVFFSDSKKEQKHSPHHQQQHHPKPPKLGCFGAYHPDDLEGAPVAVVPSLPPRKEETSPKSVPENEQNNQAFSLVLATAVATGAAVAAAAEVSRLSNASRKSVISNEDMAATKIQTAYRGYLARRSLRGLRGLARLRTLVQGQSVQRQAATTLQCMQTLSRLQSQIRARKVRMSEENQTLNRQLMQKREREFDKLQANQIGEKWDDSSKSKEEVEAKLLQRQIAAMRREKAMAYASTHQQTWRNSAKSATNATFMDPNNPHWGWNWLERWMATRPWEDQNTTYHIGHASAKSAAKNTMSVGEITKLYSLRDQNNDVKSPSATKKATRTGSMESPPKRTGRASLSNGTRPKVSTGSWGGDADSKSMFNKVHENNRRHSIGVSPLNDDEIHSSSSPSTQVAKAKPSSKGRSASQRNTTPENAAASAPLKKRLSFPASPTGARRHSVSTRPGNVVSSSNRK >Vigun07g204400.1.v1.2 pep primary_assembly:ASM411807v1:7:32593670:32596800:1 gene:Vigun07g204400.v1.2 transcript:Vigun07g204400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGWFYSVKKVFFSDSKKEQKHSPHHQQQHHPKPPKLGCFGAYHPDDLEGAPVAVVPSLPPRKEETSPKSVPENEQNNQAFSLVLATAVATGAAVAAAAEVSRLSNASRKSVISNEDMAATKIQTAYRGYLARRSLRGLRGLARLRTLVQGQSVQRQAATTLQCMQTLSRLQSQIRARKVRMSEENQTLNRQLMQKREREFDKLQANQIGEKWDDSSKSKEEVEAKLLQRQIAAMRREKAMAYASTHQQTWRNSAKSATNATFMDPNNPHWGWNWLERWMATRPWEDQNTTYHIGHASAKSAAKNTMSVGEITKLYSLRDQNNDVKSPSATKKATRTGSMESPPKRTGRASLSNGTRPKVSTGSWGGDADSKSMFNKVHENNRRHSIGVSPLNDDEIHSSSSPSTQVAKAKPSSKGRSASQRNTTPENAAASAPLKKRLSFPASPTGARRHSVSTRPGNVVSSSNRK >Vigun11g041600.1.v1.2 pep primary_assembly:ASM411807v1:11:5989039:5998138:-1 gene:Vigun11g041600.v1.2 transcript:Vigun11g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSVGSQEYSLLKDLRLQIEPKDGTFSLCFWLYFTTSSTFPSTIIQQVYSDISESAPFLVIDDNKRIHLLPVVLLHEEAPDTGNINSWAEVPHATVDFKFPLEKWVHVGCQVCPDYIQLQINGEIVGEKSLCSLLNEKSGSSDLKKLTLANVGGDGNSVQGYVHNFEIFPSISSVKDYHLKDPPLKLSIDESSVSEIEEESDGVWAVVGGKASCRRNFSMDVVLSDAFGQPVDKENEVFASLLYADTRVPVENTTDDEAPLLASYDGIEFSSHERPSKLLLGRASFKLKISQLSSKCDNRFFLIRFCVPKLGNYPFLETYSHPIRCISRSRNTRLSTLHSGHEAQANPLVKRFRIGQDKTPVSVKTDPTFEQPDEECNSHVQNATQVENEFPRSFDGRPANFNEAYDSPSDSESIGEGNSPLNSMASKRYPISDMTIFKYCLASLAEKSLILKEITTSAPDKEILELANHVSHYSGCSHHGNQILIAKRLISHGRNLWKEMSLNNHHIPWENGVYDIQKHFKMIACCGSRSLSPQDLNLLRKIAGSQEYLTQENFEKLWCWLYPVAITISREWINPIWKSTSPKWIEGFITKEEAEASLQGPTGFQEPGTFVLRFPTSRSWPHPDAGSLVVTYVGNDYKLHHRLLSLHQAYGSYNNGDKRVDVKPLQDMLLAEPELSQLGRIIRSH >Vigun06g131700.3.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPTSRPTRSDVVLNHHPPFPIIHRFLLPPPLLPTPSTVLLPVPFNPSLFFTMDNQRSISLLQFMVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.10.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25790720:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.6.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPTSRPTRSDVVLNHHPPFPIIHRFLLPPPLLPTPSTVLLPVPFNPSLFFTMDNQRSISLLQFMVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.1.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPTSRPTRSDVVLNHHPPFPIIHRFLLPPPLLPTPSTVLLPVPFNPSLFFTMDNQRSISLLQFMVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.8.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.2.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.4.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.5.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPTSRPTRSDVVLNHHPPFPIIHRFLLPPPLLPTPSTVLLPVPFNPSLFFTMDNQRSISLLQFMVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.12.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.11.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.7.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25790720:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGLVPSVEEEDKRKSVIRKLKQVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun06g131700.9.v1.2 pep primary_assembly:ASM411807v1:6:25786116:25791279:-1 gene:Vigun06g131700.v1.2 transcript:Vigun06g131700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSSWIKKVACLHQLPEHQIAVTSATVLTYGSYGLGVHSSGSDIDALCVAPFFASIAEDFFIVLHDMLKRRPEVSYIHCVKNAKVPLMRFKFEGVSIDLPYARLNVLYVPENVDILNPFFLRNIDDTSWKSLSGVRANKYIFHLVPNIEKFQCILRCLKLWAKRRGVYGTLLGYLGGVHMTVLAAYVFLRHPDATLNALIMNFFETFAFWPWPTPVMLQEGMLAAIDGIETRSLMPIFLPSSRYEHCHTNITRSTFFRIRNEFLRGHEMTKDILKPDFLWDNVFEPFPYTERYSRFLKICLSSPNHYALVEWVGWVKSRFRGLLVHLEITQGFCDPNPTEYVDSERTEPNVVFYWGLRSNNNNFLDIDSVKEEFMRIIKNGYEGSPGRMEMSILLASQLPN >Vigun03g445200.1.v1.2 pep primary_assembly:ASM411807v1:3:64711326:64715170:-1 gene:Vigun03g445200.v1.2 transcript:Vigun03g445200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYRLERMVDELDDLEQRGLFNRLEIAEIVKQRRKFEYRLKRPCPLKQDFLTYIEYETQLDALRELRKKSVARELKKQGNKKLKMSKSDVAGLLRIIEIYELALKRYKGDIDLWFRYLEFCRIREHGRMKKALAKVIRFHPKVPGVWIYAAAWEFDHNMNVAAARALMQEGLRVCPTSEDLWVEYLRLELTYLNKLKARKVALGEDEGTLTRDDEKQWRDENKELFMSLDEKVEGDDNDGANVGSDQSEKKQELFAEHGMNIFKTVYGGAVEAVPSSLSLRKRFLEILEGTNLSDYEDMCKKILNDTKRDFSSQPGFWDWLARRECDLENGQGMSEEVIIPQVEKAIQVYDEALKNMPSGTMFSLYANFLLAIIAPKEGETNIIEPSGQAVNYISHLLSIYGRAESMGCITEELACKHVSLHLQLRQLEEARKLAAKLCSGKLAESVELWVLRITIEIRYITRSSPTPSDADMQTLFELFQQSLMKFSASKSSNLWLKALKFYANQRQYFDKLVEISVVTLVRDGGSENGFSLSSAIVSFVLQKDGIQQTRDIYKRFLALPHPGLALHRHCIDLETNLASIGDKDGLINARKLYESALATYDQNVSLWQDYYRMETKMGTSEKATAIYWRARRVLKDASEFVASPDM >Vigun06g068900.1.v1.2 pep primary_assembly:ASM411807v1:6:19834715:19836189:1 gene:Vigun06g068900.v1.2 transcript:Vigun06g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKDNVKRGQWTPEEDHKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDSEEQTIVKLHSVFGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQAAHLAEAALGCFKDEVLHLLTKKPINFQGQHSAAALGHNFTDYINCKPDEKDATVEKIKFDLSKAMQQEPEMMPSNKPWDSTPNTSASFVMPYSVFPTISAYQFSPPSFANKGDASPWSQSVCTGSTCTAMDRQSQLHEKLEEEHGDDSEATKEIRNLSNIFNSDCVVWDLPADDLINPMV >Vigun05g037500.2.v1.2 pep primary_assembly:ASM411807v1:5:3032451:3034438:1 gene:Vigun05g037500.v1.2 transcript:Vigun05g037500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSEKENHVVVEKTDTEATLRAMVLGSNVVFPAALNAAIELNVFDIIAKEGSAESDGFMSPSEISSKLLIPTQQQHHRDLTNRLERLLRLLASYSLLTVSSRSDGDGGSVRVYGVSASGKYFVRDENGDGYLASFTSFLCHPALSGVWLNFKEVVIDPEIDLFKKVHGMSKFEYFGKYPEINHVFNTAMHDICTTHMKKILEVYTGYEGISTLVDVGGGTGQCLKMIISKYPLIKGINFDLPHVIENSPPIPGNQSIKIFISGQEID >Vigun05g037500.1.v1.2 pep primary_assembly:ASM411807v1:5:3032451:3034692:1 gene:Vigun05g037500.v1.2 transcript:Vigun05g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSEKENHVVVEKTDTEATLRAMVLGSNVVFPAALNAAIELNVFDIIAKEGSAESDGFMSPSEISSKLLIPTQQQHHRDLTNRLERLLRLLASYSLLTVSSRSDGDGGSVRVYGVSASGKYFVRDENGDGYLASFTSFLCHPALSGVWLNFKEVVIDPEIDLFKKVHGMSKFEYFGKYPEINHVFNTAMHDICTTHMKKILEVYTGYEGISTLVDVGGGTGQCLKMIISKYPLIKGINFDLPHVIENSPPIPGVEHVGGNMFEGVPQGDAIMLKAITHNWSDEKVIELLSNCHKALPPKGKVIVGDFILPEDPEPTNEHKMISILDNIMFITPGGRERTEKEFDTLGKSSGFSRFEVVCRAFSTMALMEFYK >VigunL059131.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000249.1:13977:14285:-1 gene:VigunL059131.v1.2 transcript:VigunL059131.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun04g085600.1.v1.2 pep primary_assembly:ASM411807v1:4:14206556:14208411:1 gene:Vigun04g085600.v1.2 transcript:Vigun04g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMHAGLASEGGSKLKMLITFVDNLPSGDEKGLFYALDLGGTNFRTLRVQLGGKEKGVVNLESEEVSIPPHLMTGSSHELFDFIADKLAKFVSSELEEFHPAPGKQRELGFTFSFPVRQTSISSGTLIKWTKGFNIEDAVGEDVVGELTKAFEKMEVY >Vigun01g224800.1.v1.2 pep primary_assembly:ASM411807v1:1:39774684:39779267:-1 gene:Vigun01g224800.v1.2 transcript:Vigun01g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFETRVCLLFLLLTSAVMCSAINSPKGDLNNHYEVDDYAGTGSNPKHKPPPPRDLNDHYEVDDYPGTGSNPKHKPPPPRNLNNHYEVDDYPGTRSNPKHKPPPPRDLNDHYEIDDYPGTGSNPKHKPPPPRDLNNHYEVDDYPGTGANPKHKPPPSSFGKMQINEDDFEVTKPKRKLSKFDE >Vigun01g224800.3.v1.2 pep primary_assembly:ASM411807v1:1:39774684:39779267:-1 gene:Vigun01g224800.v1.2 transcript:Vigun01g224800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFETRVCLLFLLLTSAVMCSAINSPKGDLNNHYEVDDYAGTGSNPKHKPPPPRNLNNHYEVDDYPGTRSNPKHKPPPPRDLNDHYEIDDYPGTGSNPKHKPPPPRDLNNHYEVDDYPGTGANPKHKPPPSSFGKMQINEDDFEVTKPKRKLSKFDE >Vigun01g224800.2.v1.2 pep primary_assembly:ASM411807v1:1:39774684:39779267:-1 gene:Vigun01g224800.v1.2 transcript:Vigun01g224800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFETRVCLLFLLLTSAVMCSAINSPKGDLNNHYEVDDYAGTGSNPKHKPPPPRDLNDHYEVDDYPGTGSNPKHKPPPPRNLNNHYEVDDYPGDLNDHYEIDDYPGTGSNPKHKPPPPRDLNNHYEVDDYPGTGANPKHKPPPSSFGKMQINEDDFEVTKPKRKLSKFDE >Vigun03g155000.8.v1.2 pep primary_assembly:ASM411807v1:3:16481803:16490383:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.10.v1.2 pep primary_assembly:ASM411807v1:3:16481815:16489906:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.5.v1.2 pep primary_assembly:ASM411807v1:3:16481901:16490383:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.9.v1.2 pep primary_assembly:ASM411807v1:3:16481815:16489984:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPPPSSDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.1.v1.2 pep primary_assembly:ASM411807v1:3:16481815:16489905:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAECLGLSISRFGNYWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.6.v1.2 pep primary_assembly:ASM411807v1:3:16481815:16489906:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.4.v1.2 pep primary_assembly:ASM411807v1:3:16481815:16489905:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAECLGLSISRFGNYWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun03g155000.3.v1.2 pep primary_assembly:ASM411807v1:3:16481803:16490383:1 gene:Vigun03g155000.v1.2 transcript:Vigun03g155000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPVQHYNLNSPSSFIESPLHVLNAVDARTAASAIDHITAGDNPNDDSASAVDCMNVQVDEDRASLDTNESSRTTSYDILTVEDVSPIESARARFLQIVVDQFINDRVIEVPDSDTDYAGQDKMSKRRTREIQYEGDPNFALPLMYVANMYESLVSDVNNRLASLNGIREKTIGVALEAAGGLYRRLAKKFPKKGPYTFKRRELATSMETRTRFPELVIQEEKRVRFVVVNGLRIVEKPNNVPIDDAEWFRRLTGRSEVAITASDYKFYSPRHKYRRGSSISLSSIPDIPSYTGAENSSTLATTQGFRSPQNQQQTPCKHHLQSFPHQPQFHPVLQNNSTMHQSQHAGPYTQNPQSGPPSHLSEISHAHQPISISQHMTCLQPLSGGHVGGRLHMMPTTPAKFCDECGAPYLRETSKFCSECGSKRLGT >Vigun09g133900.1.v1.2 pep primary_assembly:ASM411807v1:9:29334320:29335772:-1 gene:Vigun09g133900.v1.2 transcript:Vigun09g133900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPQHLFFALMVFFFISHLSFAKQSKINGLKMNVIDRCWRPNPKWMRHRSQLATCSVGYAGKMINNIGNDLIYYKVTDSSDDPINPKPNTLRYGTSIIQGKVWITFQKDMIITLEKPLLISSFTTIDGRGVNVDIANNACLMIFKATNIIIHNIRVHHCKPQAPGIVMGPKGKVIPLGHVDGDAIRLVTASKIWIDHNTLYDCQDGLLDVTRGSTDVTISNNWFKNQDKVMLLGHDDGYVRDKNMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYQGWLQYAIGGSMEPSLKSEANLFVAPTIGNKEVTWRKKNDTNEDRWDFHSVRDIFENEASFTITRGGSVKKPNYSKEQNFKVVDAKFVRSLIRSSGVLRYNKNSIY >Vigun04g002100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:195061:196619:1 gene:Vigun04g002100.v1.2 transcript:Vigun04g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYGTISQEPEASSNPDSVFVSQAKERIQTSLGTIRPWKEMLQPSHLKLPSSFYASIQRINTNARHFRANYVIIILFALFLSLLEHPVSLIILVVMMIAWLYLYFLRDTPLVILRFEIDERLVVIFLLLITIGLLVLTGVTYNVVVAMSVALVLDLLHAVMRETEDLFTMDEEVGIVKGLRDVAIKVPLRQPVSSSFSSSSQNQIRSP >Vigun07g262000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37800641:37801886:-1 gene:Vigun07g262000.v1.2 transcript:Vigun07g262000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHVSCTLAPPLMKNSRATRVIIPTGEVKQFREIIKAAELMLEHPTYFLVNSRSLHIGRRFSALAADEELEFGNIYIFFPMRRLNSVVTAADMAVLFLAANSAAKKLSGGKRRVLPHNAGGEVEKNSDAERDVEIPRLSLEGVDSGFQYRLNYCRSRKPVLETITEEPARLR >Vigun09g010150.1.v1.2 pep primary_assembly:ASM411807v1:9:757794:759959:-1 gene:Vigun09g010150.v1.2 transcript:Vigun09g010150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVHIFCLLLSFFSFLLFFVFFFFALSFSRTQLSLKHPWLQSFFKSIRFVSMVEKYFTFLVMDFGQVMNVTGKN >Vigun10g123100.2.v1.2 pep primary_assembly:ASM411807v1:10:33104461:33105599:1 gene:Vigun10g123100.v1.2 transcript:Vigun10g123100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTKMSINYCSCLEVFQTQILEINEKMVLTHLKTLKLDNVSKLKSIGSEDSPWLNVICDSEKLQKLYVFNCPDLKTLVHSTPLVSFTYVREMYINGCKELMYLFTLSSVNKLENLEHIEVCNCESMQAIVWKEENEISEEINLQQLKRTDLYQLSSLEFFYSGNDTLQLPSLMIVDIWRCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDLIFYHDLNSSVEKVFLQQVN >Vigun10g123100.1.v1.2 pep primary_assembly:ASM411807v1:10:33104461:33106293:1 gene:Vigun10g123100.v1.2 transcript:Vigun10g123100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTKMSINYCSCLEVFQTQILEINEKMVLTHLKTLKLDNVSKLKSIGSEDSPWLNVICDSEKLQKLYVFNCPDLKTLVHSTPLVSFTYVREMYINGCKELMYLFTLSSVNKLENLEHIEVCNCESMQAIVWKEENEISEEINLQQLKRTDLYQLSSLEFFYSGNDTLQLPSLMIVDIWRCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDLIFYHDLNSSVEKVFLQQEFFQVDSECFFDNLALQADPNCKTGLQNKWLANLETLKLHNCKLSYAIPSFVLSLLKNLKEL >Vigun03g223100.5.v1.2 pep primary_assembly:ASM411807v1:3:37074859:37080337:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSGGGDVSTAAPTPIPNANAPPPFLSKTYDMVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYDFMAFFALVCSNSCPVLPTFVLKGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun03g223100.2.v1.2 pep primary_assembly:ASM411807v1:3:37074857:37080330:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun03g223100.4.v1.2 pep primary_assembly:ASM411807v1:3:37074859:37080400:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYYRNCEMEGAGSGGGDVSTAAPTPIPNANAPPPFLSKTYDMVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYDFMAFFALVCSNSCPVLPTFVLKGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun03g223100.1.v1.2 pep primary_assembly:ASM411807v1:3:37074855:37080337:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSGGGDVSTAAPTPIPNANAPPPFLSKTYDMVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun03g223100.3.v1.2 pep primary_assembly:ASM411807v1:3:37074855:37080078:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSGGGDVSTAAPTPIPNANAPPPFLSKTYDMVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun03g223100.6.v1.2 pep primary_assembly:ASM411807v1:3:37074859:37080400:-1 gene:Vigun03g223100.v1.2 transcript:Vigun03g223100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYYRNCEMEGAGSGGGDVSTAAPTPIPNANAPPPFLSKTYDMVDDPSTDSIVSWSPTNNSFIVWNPPEFARDLLPKHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPAHGHNNQQAQQVHGQSSSVGACVEVGKFGLEEEVEILKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNDSNRRITEANKKRRLKQEGIGEMEHTAASDGQIVKYQPLINEAAKAMVRQIMKLDASRLESFSNNPDNYLIGDHSSPSSEMDRGNSLTRSSGVTLQEVPPTKLQSSHVPSATRTQGQGHSTGKPGILSSPQVAACGEVTYPNINVSVGEPNAPAIPVTHTDEIMPDLSTIPDIVAGNILDIPQENYMAPETGGDGYMDPASFGVNMSLPLDFDSISPEPDIDDLLNYPHFWDDIVRTPVSEDFETNGAEVLNENEVQPTENGWEKSQNMDLLTEQMGLLSSDAKKN >Vigun02g138400.1.v1.2 pep primary_assembly:ASM411807v1:2:28796926:28798881:1 gene:Vigun02g138400.v1.2 transcript:Vigun02g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLISPNPIALHRFRPCFPITRFTANPQHRRINTRKFQTLACQTNPNLDQKDVPPTEQEKLVVEPTTVNTQTTTTTSSLPQLPNKDVNNKIALVSTLAALGLFLFARLDFGVSLKDLSAFAVPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDQVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKQGNEEGNVVGRLPRQYLLENVDALARGQASVPHARVVGQYSSAETRKVHQVVDPRSHG >Vigun03g109800.2.v1.2 pep primary_assembly:ASM411807v1:3:9828586:9833943:-1 gene:Vigun03g109800.v1.2 transcript:Vigun03g109800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRIIAKNFMDMVASMPTMKLDKLYENGFICEAILRSLPPLAKKYVIQMLQIDVPVAAKLLEEWVLPDGFSKHRVAIDRLVQLRVFLEAVDRKNEKTYKVNPTYQRSLQKLLVQGGSLPRESMPSNITVRLPTLENLEAYALEQWECFLLQLISPTQVDKPLNISSSLMKVFQRRLLSHRDKEAPKLTESGFQFLLMDTNAQLWYIIREYISNSEDRGVDAAELISFMLELSFHVIGERSIINDLADLGLVKLQQGRKGSWFIPTKLATNLSMSLSDSSSRKQGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITADQIVTFLQQNAHPRVAERIPSVPENVTDQIRLWEGDLNRVEMTEAYYYDEFPSRDVFEGACDCAREWNGLLWEDSKKMHMVVKTEVHPYVRDYLRRQK >Vigun03g109800.3.v1.2 pep primary_assembly:ASM411807v1:3:9828586:9833943:-1 gene:Vigun03g109800.v1.2 transcript:Vigun03g109800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRIIAKNFMDMVASMPTMKLDKLYENGFICEAILRSLPPLAKKYVIQMLQIDVPVAAKLLEEWVLPDGFSKHRVAIDRLVQLRVFLEAVDRKNEKTYKVNPTYQRSLQKLLVQGGSLPRESMPSNITVRLPTLENLEAYALEQWECFLLQLISPTQVDKPLNISSSLMKVFQRRLLSHRDKEAPKLTESGFQFLLMDTNAQLWYIIREYISNSEDRGVDAAELISFMLELSFHVIGERSIINDLADLGLVKLQQGRKGSWFIPTKLATNLSMSLSDSSSRKQGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITADQTTILH >Vigun03g109800.1.v1.2 pep primary_assembly:ASM411807v1:3:9828586:9833943:-1 gene:Vigun03g109800.v1.2 transcript:Vigun03g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRIIAKNFMDMVASMPTMKLDKLYENGFICEAILRSLPPLAKKYVIQMLQIDVPVAAKLLEEWVLPDGFSKHRVAIDRLVQLRVFLEAVDRKNEKTYKVNPTYQRSLQKLLVQGGSLPRESMPSNITVRLPTLENLEAYALEQWECFLLQLISPTQVDKPLNISSSLMKVFQRRLLSHRDKEAPKLTESGFQFLLMDTNAQLWYIIREYISNSEDRGVDAAELISFMLELSFHVIGEAYSINTLTDFQRSIINDLADLGLVKLQQGRKGSWFIPTKLATNLSMSLSDSSSRKQGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITADQIVTFLQQNAHPRVAERIPSVPENVTDQIRLWEGDLNRVEMTEAYYYDEFPSRDVFEGACDCAREWNGLLWEDSKKMHMVVKTEVHPYVRDYLRRQK >Vigun08g130800.2.v1.2 pep primary_assembly:ASM411807v1:8:30113115:30117334:-1 gene:Vigun08g130800.v1.2 transcript:Vigun08g130800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNFFDLLAKDLLYAILDHLRDDPFAPKFFSQACKSFYALESTHRTALKPRRLDFLPRASRRYPSILHLDLTLCPCVDDFALKALSLAWRSSLRSVDLSRCRLFSHVGLSALALNCTCLVELDLSNRPDLTDVAAKAIAEAVNLERLRLGRCKGITDMGIGCIAVKCERLRHVVLRWCIRVTDFGAGLIAIKCKEIRSLDLSYMPITERCLNHILQLEHLEDLVLEHCLGIEDHGLATLQANCKSMKMLNLSKCQNIGHIGIASLTNGAQNLEKLILSSSVVVTTDLAKCLQSFPRLQLVKLDGCLGVESGLKAIGYACNSLKELNLSKCVGVTDENLSFLLKTNKNLEKLDITCCRTITPEFMPNLTNSCLRLTSLRMESCSLISREGFLFIGQCQLLEELDVTDTEIDDIGLCSISRCTKLSSLKLGICLMITDKGLKHIANSCSELQHLDLYRSSRITDEGIIAIALGCPSLRVVNIAYNSNITDSSLAFLSKCQKLRTLEIRGCPHISPQGLSNVVVGCRNLENLDLKKCRKINDTGMIQLAQHSQSLKQIKLSYCSVTDVGLIALARISCLQYISIFHVEGLTSNGLVAFLLACQSLTKAKLHACFEPLIPQQILKFMEARGCVLVWREKTFEASWWD >Vigun08g130800.1.v1.2 pep primary_assembly:ASM411807v1:8:30113168:30117314:-1 gene:Vigun08g130800.v1.2 transcript:Vigun08g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNFFDLLAKDLLYAILDHLRDDPFAPKFFSQACKSFYALESTHRTALKPRRLDFLPRASRRYPSILHLDLTLCPCVDDFALKALSLAWRSSLRSVDLSRCRLFSHVGLSALALNCTCLVELDLSNRPDLTDVAAKAIAEAVNLERLRLGRCKGITDMGIGCIAVKCERLRHVVLRWCIRVTDFGAGLIAIKCKEIRSLDLSYMPQITERCLNHILQLEHLEDLVLEHCLGIEDHGLATLQANCKSMKMLNLSKCQNIGHIGIASLTNGAQNLEKLILSSSVVVTTDLAKCLQSFPRLQLVKLDGCLGVESGLKAIGYACNSLKELNLSKCVGVTDENLSFLLKTNKNLEKLDITCCRTITPEFMPNLTNSCLRLTSLRMESCSLISREGFLFIGQCQLLEELDVTDTEIDDIGLCSISRCTKLSSLKLGICLMITDKGLKHIANSCSELQHLDLYRSSRITDEGIIAIALGCPSLRVVNIAYNSNITDSSLAFLSKCQKLRTLEIRGCPHISPQGLSNVVVGCRNLENLDLKKCRKINDTGMIQLAQHSQSLKQIKLSYCSVTDVGLIALARISCLQYISIFHVEGLTSNGLVAFLLACQSLTKAKLHACFEPLIPQQILKFMEARGCVLVWREKTFEASWWD >Vigun10g130100.3.v1.2 pep primary_assembly:ASM411807v1:10:33845322:33850649:1 gene:Vigun10g130100.v1.2 transcript:Vigun10g130100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVVSPMPATAPPQMNPTSKFRDIGVPGIMSSRREIVSEPSSPSMLNDTLYVAVAKDVKDSKLNLIWAIQNSGGRRICILHVHVPAPMIPMMGAKFPASALREQEVQDYHERERQKIPKTMDAYLYICQKMGVRADKILIEMDCVEKGIVELIHQYGIHRLVMGAASDKYHSRRMVSLKSKKAIYVCEQAPASCHVQFVCNGYLIHTRDCRLDRGNVEVVSPSVLQMENSEVGHSPNIGSPSSVAGQNRWRKLTNPGQELFRRVRTINGAHRRRTGSVSSLEGYLTPQRKFGKEASCDELDEQSRGSPSVFSMCFESCSVDPELIPELNDFSLNNKDLHSPSPSVLDGGMDDALYGQLEQAMAEAWNVRQDAYQETVRRMKAEKEAIDAIRKAKATENLYQEELKLRKEQEEEVQKANEELVNMKSHINKVNEELQLALDQKLSLENRIASTELNIKELEQKNISADELSQKYMDELDELQMQLDNALREAEELRRKQGEASSTHWLQPFSEFSFSEIKEATRNFNPSLKIGQGGYGSIFKGILRHTEVAIKMLSPDSTQGPEEFQQEVEILSRLRHPNLVTLIGSCPESWTLVYEYLHNGSLEDRLNCKDNTPPLSWQTRIRIAAELCSALIFLQSSKPHSIAHGDLKPGNILLDSNLVSKLSDFGICRILSCQEGSSSSSTQFWRTVPKGTFVYVDPEFLTSGELTPKSDVYSFGVILLRLITGKPALGIKNEVEYALHSRKLKSILDPLAGDWPFMLAEELVRLALRCCEMNRKNRPDFYPEVWRILEPMRASCEGIQLGSQGKCQPPPYFICPISLEVMQEPQVAADGFTYEAWAIREWLESGRDTSPRTKSKLAHHNLIPNHSLRHAIQDWLQTH >Vigun10g130100.1.v1.2 pep primary_assembly:ASM411807v1:10:33845322:33850649:1 gene:Vigun10g130100.v1.2 transcript:Vigun10g130100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPMPATAPPQMNPTSKFRDIGVPGIMSSRREIVSEPSSPSMLNDTLYVAVAKDVKDSKLNLIWAIQNSGGRRICILHVHVPAPMIPMMGAKFPASALREQEVQDYHERERQKIPKTMDAYLYICQKMGVRADKILIEMDCVEKGIVELIHQYGIHRLVMGAASDKYHSRRMVSLKSKKAIYVCEQAPASCHVQFVCNGYLIHTRDCRLDRGNVEVVSPSVLQMENSEVGHSPNIGSPSSVAGQNRWRKLTNPGQELFRRVRTINGAHRRRTGSVSSLEGYLTPQRKFGKEASCDELDEQSRGSPSVFSMCFESCSVDPELIPELNDFSLNNKDLHSPSPSVLDGGMDDALYGQLEQAMAEAWNVRQDAYQETVRRMKAEKEAIDAIRKAKATENLYQEELKLRKEQEEEVQKANEELVNMKSHINKVNEELQLALDQKLSLENRIASTELNIKELEQKNISADELSQKYMDELDELQMQLDNALREAEELRRKQGEASSTHWLQPFSEFSFSEIKEATRNFNPSLKIGQGGYGSIFKGILRHTEVAIKMLSPDSTQGPEEFQQEVEILSRLRHPNLVTLIGSCPESWTLVYEYLHNGSLEDRLNCKDNTPPLSWQTRIRIAAELCSALIFLQSSKPHSIAHGDLKPGNILLDSNLVSKLSDFGICRILSCQEGSSSSSTQFWRTVPKGTFVYVDPEFLTSGELTPKSDVYSFGVILLRLITGKPALGIKNEVEYALHSRKLKSILDPLAGDWPFMLAEELVRLALRCCEMNRKNRPDFYPEVWRILEPMRASCEGIQLGSQGKCQPPPYFICPISLEVMQEPQVAADGFTYEAWAIREWLESGRDTSPRTKSKLAHHNLIPNHSLRHAIQDWLQTH >Vigun10g130100.4.v1.2 pep primary_assembly:ASM411807v1:10:33845321:33850649:1 gene:Vigun10g130100.v1.2 transcript:Vigun10g130100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLYICQKMGVRADKILIEMDCVEKGIVELIHQYGIHRLVMGAASDKYHSRRMVSLKSKKAIYVCEQAPASCHVQFVCNGYLIHTRDCRLDRGNVEVVSPSVLQMENSEVGHSPNIGSPSSVAGQNRWRKLTNPGQELFRRVRTINGAHRRRTGSVSSLEGYLTPQRKFGKEASCDELDEQSRGSPSVFSMCFESCSVDPELIPELNDFSLNNKDLHSPSPSVLDGGMDDALYGQLEQAMAEAWNVRQDAYQETVRRMKAEKEAIDAIRKAKATENLYQEELKLRKEQEEEVQKANEELVNMKSHINKVNEELQLALDQKLSLENRIASTELNIKELEQKNISADELSQKYMDELDELQMQLDNALREAEELRRKQGEASSTHWLQPFSEFSFSEIKEATRNFNPSLKIGQGGYGSIFKGILRHTEVAIKMLSPDSTQGPEEFQQEVEILSRLRHPNLVTLIGSCPESWTLVYEYLHNGSLEDRLNCKDNTPPLSWQTRIRIAAELCSALIFLQSSKPHSIAHGDLKPGNILLDSNLVSKLSDFGICRILSCQEGSSSSSTQFWRTVPKGTFVYVDPEFLTSGELTPKSDVYSFGVILLRLITGKPALGIKNEVEYALHSRKLKSILDPLAGDWPFMLAEELVRLALRCCEMNRKNRPDFYPEVWRILEPMRASCEGIQLGSQGKCQPPPYFICPISLEVMQEPQVAADGFTYEAWAIREWLESGRDTSPRTKSKLAHHNLIPNHSLRHAIQDWLQTH >Vigun10g130100.2.v1.2 pep primary_assembly:ASM411807v1:10:33845798:33850649:1 gene:Vigun10g130100.v1.2 transcript:Vigun10g130100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPMPATAPPQMNPTSKFRDIGVPGIMSSRREIVSEPSSPSMLNDTLYVAVAKDVKDSKLNLIWAIQNSGGRRICILHVHVPAPMIPMMGAKFPASALREQEVQDYHERERQKIPKTMDAYLYICQKMGVRADKILIEMDCVEKGIVELIHQYGIHRLVMGAASDKYHSRRMVSLKSKKAIYVCEQAPASCHVQFVCNGYLIHTRLDRGNVEVVSPSVLQMENSEVGHSPNIGSPSSVAGQNRWRKLTNPGQELFRRVRTINGAHRRRTGSVSSLEGYLTPQRKFGKEASCDELDEQSRGSPSVFSMCFESCSVDPELIPELNDFSLNNKDLHSPSPSVLDGGMDDALYGQLEQAMAEAWNVRQDAYQETVRRMKAEKEAIDAIRKAKATENLYQEELKLRKEQEEEVQKANEELVNMKSHINKVNEELQLALDQKLSLENRIASTELNIKELEQKNISADELSQKYMDELDELQMQLDNALREAEELRRKQGEASSTHWLQPFSEFSFSEIKEATRNFNPSLKIGQGGYGSIFKGILRHTEVAIKMLSPDSTQGPEEFQQEVEILSRLRHPNLVTLIGSCPESWTLVYEYLHNGSLEDRLNCKDNTPPLSWQTRIRIAAELCSALIFLQSSKPHSIAHGDLKPGNILLDSNLVSKLSDFGICRILSCQEGSSSSSTQFWRTVPKGTFVYVDPEFLTSGELTPKSDVYSFGVILLRLITGKPALGIKNEVEYALHSRKLKSILDPLAGDWPFMLAEELVRLALRCCEMNRKNRPDFYPEVWRILEPMRASCEGIQLGSQGKCQPPPYFICPISLEVMQEPQVAADGFTYEAWAIREWLESGRDTSPRTKSKLAHHNLIPNHSLRHAIQDWLQTH >Vigun09g207500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38215029:38216586:-1 gene:Vigun09g207500.v1.2 transcript:Vigun09g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMDDVVIHVQGMLENANPPVTEECSIYRVPLLIRQLNHEAYTPKVVSIGPYHHNNPHLQNMERHKVTYCKSFLERTNTSMESWIHYIAGKELQIRQCYSDVLEFSPKELVEIICVDSGFILELFWTTHCGTYNKYLSTPWFGIMILYDLVLLENQLPYFVLHDLFYLSVGDGNNNIPPLILLTFQYFDFFNRLDLSPNFITCHHFTDLLRTFHLCGRKQTTRTSQWPHIPSVTKLSGAGIRFESCKSKCYLNLSFSRQVLKIPRFTVDDGTELLFRNMVALEQFHYPDDAYITEYALVLQYLVNTAKDVDILVRAGVMKNLLGDSDSAAERTKRLCRNIVLKDFSSDYVSLWQDLDTSYKSRRLKLKSTLRRDYCKSPWQTAATIAAIVVLLLSFVQTICSIWQIKQS >Vigun02g082300.1.v1.2 pep primary_assembly:ASM411807v1:2:23554082:23557949:-1 gene:Vigun02g082300.v1.2 transcript:Vigun02g082300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKEDSPLEDENLPLLEHHSLTDERDERDQKLLPRFWIESKKLWHIVGPSIFSRVASYSMLVITQAFAGRLGDLELAAISIANNVVVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFICCILLLPLYLFASPVLKLLGQPDELAELSGTVSIWMLPVHFAFAFQFPLQRFLQCQLKTAPIAWVSLVALLVHVFLSWLFVFKLEFGVIGAAATINFSWWVLTLGLFGYSVWGGCPHTWAGFSVEAFSGLWDFVKLSAASGVMLCLENWYYKILIVMTGNLENAEIAVDALSICMTINTLEMMIPLAFFAATGVRVANELGAGNGKGAKFATTVSVVTSVIIGLFFWMLILILHDKFGYIFSNSKPVLDQVNNLSLLLAFTILLNSVQPVLSGVAVGSGWQSYVAYINLACYYIIGVPLGFLMGWVFDLGVMGIWAGMIFGGTATQTLILSIITIRCDWDKEADTAKLRITKWADPKHELN >Vigun05g157700.1.v1.2 pep primary_assembly:ASM411807v1:5:25555942:25556900:1 gene:Vigun05g157700.v1.2 transcript:Vigun05g157700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNSFSCIKEGITRSISISVMIYIIIRAPFSNAYPIFAQQANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGPVPGQKYNEIMFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNNVYNATAACIVKKIIRKEKGGYEITIVDALDEREVIDIIPLGPELLVSEGESIKLDQPLTSNPNVDGFGKGDAKIVLQDPLHVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun09g132600.1.v1.2 pep primary_assembly:ASM411807v1:9:29110910:29113186:-1 gene:Vigun09g132600.v1.2 transcript:Vigun09g132600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ATEQVLVDEISYPSKITAAKSLSLLGHGITDMEIHFIHVKFYSIGVYFEPEVVSHLQQFKGKPAKELEENDEFYDALISAPVEKFIRLVVIKEIKGAQYGVQIESAVRDRLAAEDKYEEEEEEALEKVIEFFQSKYFKKHSVITYHFPADSATAEIVVSLEGKEDSKFVLENGNVVEAIKKWYLGGSRAVSSTTIQSLAATFSQELSK >Vigun03g332400.1.v1.2 pep primary_assembly:ASM411807v1:3:53032240:53036272:-1 gene:Vigun03g332400.v1.2 transcript:Vigun03g332400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKRESEPETMEKKEEPKINYRGWKVMPFIIGNETFEKLGTIGTLANLLVYLTTVFNLDSITATNIINIFNGSASLSTLIGAFLCDTYFGRYKTLGFCTIASFLGLLFIQLTAWIKELHPPECGRDSKTCTGPNTGQMAFLLSAFAFLIVGAAGIRPCNLAFGVDQFNPNTESGKKGINSFFNWYFFTFTFAQMVSLSLIVYVQANVSWALGLGIPAALMLLSCTLFYMGSNYYVKVKATGPAPLTTIVQTVVVAAKKRRLNLSQYPLVSLFDYVSPHSINSKLPRTSQFRFLDKAAIITPEDEINPDGSASDPWNLSTMQQVEELKCLIRVIPIWVSGIFFYVAIVQQNTMLVLQALQADRRVLTTNFKIPAASYTIFQMLTLTLWLPIYDRIIVPSLQKLTKKEGGITVLQRMGIGMFLSALCVFVSGVVEDRRRTLALTNPIGTAPRKGDISSMPALWFIPQLALAGLADAFTLVGQVEFFYKQFPENMKSIAASLFFCGLAGSSYLSTLLISVIHKVTANSASGNWLPQDLNKGRLDNFYYVITALEVFNFGYFIVCAKWYKYKGTATSSSSDLELDQLSKPSEKTLNTV >Vigun06g174100.1.v1.2 pep primary_assembly:ASM411807v1:6:29495677:29498578:-1 gene:Vigun06g174100.v1.2 transcript:Vigun06g174100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMRSSMSLAALSNQALQVSGTRTSNPNSVSLSINGRNFPSITLQPRGRRFQFRCAAKPETVKKVCDIVKKQLALSEDSTVTGESKFAALGADSLDTVEIVMGLEEEFGITVEEDSAQSIVTVQDAAEMIDKLLENKA >Vigun06g174100.2.v1.2 pep primary_assembly:ASM411807v1:6:29495573:29498578:-1 gene:Vigun06g174100.v1.2 transcript:Vigun06g174100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMRSSMSLAALSNQALVSGTRTSNPNSVSLSINGRNFPSITLQPRGRRFQFRCAAKPETVKKVCDIVKKQLALSEDSTVTGESKFAALGADSLDTVEIVMGLEEEFGITVEEDSAQSIVTVQDAAEMIDKLLENKA >Vigun02g071300.1.v1.2 pep primary_assembly:ASM411807v1:2:22255926:22264720:1 gene:Vigun02g071300.v1.2 transcript:Vigun02g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSRGRVTITLGRSGQVVKRDVSSVDVSSFSSLPSAGTKRSVRDRIGNNADGSVWHGNGLGGNKRQRGDVSIQNGLDGNDLRLKLMQKSASRHADSNGSKRHMDLREKLSKTTHSLSNSFSSKQRMPESRETSLLRQIPSARSSDDLMRMESMRSSYSPWTLDHIRRRSPDGFPSTSRGISPQRDVQDLQRRRPLNRTYDGVRPVPYVSRDVLETSRPPVSTPQSFMSRSTMSTLPPVTAKPVASHAGQLPPSSSVAQRSSYVGDEQVQQPNQTVDGLLHALGLQKYAILFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRTKRQQ >Vigun02g192900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32961093:32964303:-1 gene:Vigun02g192900.v1.2 transcript:Vigun02g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLHPSSIVLVPTSVKEAKPRTTNSSQKLLANCKTLNELKQLHCDMMKKGLCHKPSGHFNKLVAACVQIGTLESLDYARNAVQDDGVSMPSLYVYNCLIRGYASAGLGEQAILLYIQMVVMGMVPDNYTFPFLLSACSKTMKLWEGVQVHGAAVKMGLDGDTFVSNSLIHFYAECGKVELGRKVFDKMLERNVVSWTSLINGYAGRDMAKEAVSLFFQMVEAGVEPNPVTMVCVISACAKLKDLELGKKVCAYICESGVELNALMVNALVDMYMKCGDICSARRIFEECTDKNLVMYNTIMSNYVYHGWAGDALVILDEILRKGPRPDKVTMLSTIAACAHLGDLSVGKSSHAYVLRNGLEGWDNILNAIVDMYMKCGERGAACKVFEHMPNKTVVTWNSLIAGLVRDGDVESAWRIFDEMQERDLVSWNTMINALVQASMFEEAIELFREMQNQGIEGDRVTMVGIASACGYLGALDLAKWVCTYIEKNDIHMDLQLGTAVVDMFSRCGDPSSAMHVFRRMEERDVSAWTAAIGVMAMEGNTEGAIELFNEMLKQKVKPDDVVFVALLSACSHGGSVDQGRQVFWSMEKTHRTSPQIVHYGCMVDLLGRAGLLEEALHLIQSMPMEPNDVMWGSLLAACRKHKNVELAHYAAEKLTQLAPERVGVHVLLSNIYASAGKWTDVARVRLQMKEKGVQKVPGSSSIEVHGLIHEFTSGDESHAENTQIELMLQEINCRLSKIGYVPDTTNVLLDVDEQEKEHLLSRHSEKLAMAYGLITTAQGIPIRVVKNLRMCSDCHSFTKLVSKLYNREITVRDNNRYHFFKEGYCSCGDYW >Vigun01g187900.1.v1.2 pep primary_assembly:ASM411807v1:1:36746940:36749769:-1 gene:Vigun01g187900.v1.2 transcript:Vigun01g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHNLTLVMCMYACSCSCSFCFMLNFPGSMLCSDSARFDFYRPISDVSSDLTIEVGASAFALHKFPLVSRSGRIRKLLLEAKDSKVLRISLPSVPGGAEAFELAAKFCYGINVEFTLSNVALLRCTAHFLEMTEEFAEKNLESRVEAYLRDTVLPNISSTVYVLHSCEALLPMSEEINLVNKLINAIANNACKEQLTSGLLKLDHTFPSKTTPSMEPETPADWWGKSFNVLSLEFFQRVVSVVKSKGLKQDMISKILMNYAHGSLQGVGVRDPQVVKGSLHDMELQKKQRVVVETIVGLLPTHSRKNPVPMGFLSSLLKAAIAAPASTHCKSDLERRISLQLDQAILEDILIPTNSPQNTHNTMYDTDSILRIFSIYLNMDQEDGEDSDNYIDESQMVYDYDSPGSPKQSSIIKVSKLLDNYLAEVALDSNLSPSKFTALAELLPDHARVASDGLYRAVDIFLKVHPNMKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMVVQVLYFEQMRLRNAMNGGHNQFFFGQFPHRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTRKLSKLNALFRINSIKPIGGKASSETRFPFPKRRRHSVS >Vigun01g187900.2.v1.2 pep primary_assembly:ASM411807v1:1:36746940:36750969:-1 gene:Vigun01g187900.v1.2 transcript:Vigun01g187900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVAELKPSISGKRTFRPSSSIRHATEWPISDVSSDLTIEVGASAFALHKFPLVSRSGRIRKLLLEAKDSKVLRISLPSVPGGAEAFELAAKFCYGINVEFTLSNVALLRCTAHFLEMTEEFAEKNLESRVEAYLRDTVLPNISSTVYVLHSCEALLPMSEEINLVNKLINAIANNACKEQLTSGLLKLDHTFPSKTTPSMEPETPADWWGKSFNVLSLEFFQRVVSVVKSKGLKQDMISKILMNYAHGSLQGVGVRDPQVVKGSLHDMELQKKQRVVVETIVGLLPTHSRKNPVPMGFLSSLLKAAIAAPASTHCKSDLERRISLQLDQAILEDILIPTNSPQNTHNTMYDTDSILRIFSIYLNMDQEDGEDSDNYIDESQMVYDYDSPGSPKQSSIIKVSKLLDNYLAEVALDSNLSPSKFTALAELLPDHARVASDGLYRAVDIFLKVHPNMKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMVVQVLYFEQMRLRNAMNGGHNQFFFGQFPHRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTRKLSKLNALFRINSIKPIGGKASSETRFPFPKRRRHSVS >Vigun01g187900.3.v1.2 pep primary_assembly:ASM411807v1:1:36746940:36750969:-1 gene:Vigun01g187900.v1.2 transcript:Vigun01g187900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFAEKNLESRVEAYLRDTVLPNISSTVYVLHSCEALLPMSEEINLVNKLINAIANNACKEQLTSGLLKLDHTFPSKTTPSMEPETPADWWGKSFNVLSLEFFQRVVSVVKSKGLKQDMISKILMNYAHGSLQGVGVRDPQVVKGSLHDMELQKKQRVVVETIVGLLPTHSRKNPVPMGFLSSLLKAAIAAPASTHCKSDLERRISLQLDQAILEDILIPTNSPQNTHNTMYDTDSILRIFSIYLNMDQEDGEDSDNYIDESQMVYDYDSPGSPKQSSIIKVSKLLDNYLAEVALDSNLSPSKFTALAELLPDHARVASDGLYRAVDIFLKVHPNMKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMVVQVLYFEQMRLRNAMNGGHNQFFFGQFPHRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTRKLSKLNALFRINSIKPIGGKASSETRFPFPKRRRHSVS >Vigun10g024400.1.v1.2 pep primary_assembly:ASM411807v1:10:2907596:2912204:-1 gene:Vigun10g024400.v1.2 transcript:Vigun10g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLITRVLILCFISQCLCEMSMVESKTRIYDVRNYGANGDGKSDDSKAFMSAWNDICGRHGTPTLLIPQNRVFMVKRNIIMKGPCKAKNINIQLHGRIVAAQKNAWQGYKSTMILFTNINGLRIFGKGGLIDGYGSSWWPCKHCPRPSVLAFNACNGLYVGYLRITNSPKAHITINGCEGAKFSHITIRSPADSPNTDGIDISFSKNILIRDSNIASGDDCIAIIGESSYINATGIACGPGHGISIGSLGRINGHDNVEHVRVYNCSFTKTTNGARIKTFSGGSGYAKRITFEKIKLNQVYNPIIIDQHYNNIMNAGGGVQVSDVTFRGFRGTSANDKAINLACGSSGCFNIVLDKIKIISSKPGNPTSCSCRNVHGRSTSTIPNCNSSLR >Vigun04g125201.1.v1.2 pep primary_assembly:ASM411807v1:4:31717044:31718571:-1 gene:Vigun04g125201.v1.2 transcript:Vigun04g125201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLVMIHSLPRISHIGFGVMTLMVCAIALLMCASHSRKWRKWASCYAFGEEPVIEFNNEVVVQQEQEEGSLWQKNILMGGKCQLPDFSGVIIYDSDDKRENDGFALVGGKGKLQTAQRTVNLSLTSLVRKIKCHERKHKVDTQVFNPNVKPC >Vigun08g122200.1.v1.2 pep primary_assembly:ASM411807v1:8:29155610:29157315:-1 gene:Vigun08g122200.v1.2 transcript:Vigun08g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKFIIRSYEGHSDRAKVEDLERRCKVGPSESVLYTMGDPMCRIRNSPMYIMLVAEMENELVGVIQGLIKMVTVHSHPNNMAKVGYVLGLRVSPHSRRKGIGSSLVRRLEEWFTSNGVEYAYMATEKENHASTNLFMEKFCYTKFRTPTILINPVNHHQFQISPDIEIAMVKVEEAESLYRRFMGSTEFFPSDIENILRNKLNLGTWVASFKGDGDFGLDGKVPNNWAMVSVWNCGEIFKLKLGKAPLSCMLFTKSWSLIGRMFPCMKLRTLPNFFSPFGFFFMYGVYQEGPFSGKLVRALCEFVHNMACGSEQCKIVVTEIGGRDDLIHHIPHWKLLSCQDLWCIKALNSEGSNKFGELSNTQPPRTLFVDPREV >Vigun03g049500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3964605:3965529:-1 gene:Vigun03g049500.v1.2 transcript:Vigun03g049500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEFVKKWKRVLGRSKRLYPENNLSGSYGRLRNKERQQRRKNNSKACVAPQGCLCVYVGAERERFVIKIEIANHPLFKELLDGAEREYGYRNDGPLCLPCHVDFFCEALTEMEIGTTVEEGVMGSHGYSHHNSCSVSNLSYATGSFSDRYYENLVN >Vigun03g441800.1.v1.2 pep primary_assembly:ASM411807v1:3:64448085:64450396:1 gene:Vigun03g441800.v1.2 transcript:Vigun03g441800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDKGKEGAAPDAPETHHEGSGDERGTALSRHMSEGSIPAPTEDEEEDVERKIDLGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINAVGESLEPEVKILSLAIKAAGREDIVLPIPESGNPEGLWFTLKEGSRYSLMFTFQVSHNIVSGLKYTNTVWKTGLKVDSTKEMIGTFSPQAEPYTHEMPEETTPSGLFARGTYSARSKFVDDDNKLYLEINYTFDIKKDWL >Vigun02g202100.1.v1.2 pep primary_assembly:ASM411807v1:2:33647043:33651449:1 gene:Vigun02g202100.v1.2 transcript:Vigun02g202100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWRWLLSLFVGLLLPVSIMGRFVVEKNSLRVASPDKIRGTHDSAIGNFGIPQYGGSMAGNVVYPKDNKKGCKEFDESGISFKSSPGALPTIVLLDRGSCFFALKVWNAQKAGASAVLVADDVEEPLITMDTPEEDGSSAKYVENITIPSALVGKSFGEKLKDAISGGDMVNVNLDWRESVPHPDDRVEYELWSNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPHAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVVENLRQLCVFKVANETGKPWVWWDYVTDFQIRCPMKEKKYNKECADAVIKSLGLNIEKIGKCMGDPDADADNPVLKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTEPAVCLSTDVETNECLSNNGGCWQDTAANITACKDTFRGRVCECPLVDGVQFKGDGYTSCEARGLGRCKINNGGCWHDARNGHAFSACSDNGGVKCQCPTGFKGDGVKNCEDIDECREKKACQCPECSCKNTWGSYECSCSGDLLYMRDHDTCISKIGSQGRSTWAAFWLIILGVVMISGGAFLVYKYRIRQYMDSEIRAIMAQYMPLDSQGEVPNHVNDERA >Vigun06g104100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23349279:23350756:-1 gene:Vigun06g104100.v1.2 transcript:Vigun06g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHHHHATLLLLLLVVVAVPSIPIAVAQSQPSPNEFTDPNLNNFNPSLAAIIVILVAALFVMAVFSVYVRHCADTPPNSVRPLTSARSRRVARGLDPAVIQTFPILEYSEVKIHKIGKEPLECAVCLCEFEDAETLRLIPKCDHVFHPECIDEWLSSHTTCPVCRANLVPAESQDSDANNAAAAVPDPQMLTPDLEAQNDAVETAPEQQNSDSDRFLTEPEVLSVEKTLKRNRTRGSRSNRPRRFSKSHSTGHSIVQPGENMDRFTLRLPLEVRKQLINRELHRASSLIVLPREGSSRRGYRTGGEGSSRGKHSRRLDRSVKSDRWIFSVAAPFFARALSIRSPRVRNNDVERTSTSSTAPIMSPRAVDSARPPV >Vigun09g122300.2.v1.2 pep primary_assembly:ASM411807v1:9:27306103:27308413:-1 gene:Vigun09g122300.v1.2 transcript:Vigun09g122300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNSPNPNPLHRNSLPSSMPVWPTIDGSLGLSEEESVTYARRFYKFGFALLPLLWAVNCFYFWPVLRHSHSFPRIRPYIVRSAFGFAVFATVLCSWALTFAIGGEGLFGPVWDQLVMYNLADRLGLTSWS >Vigun09g122300.1.v1.2 pep primary_assembly:ASM411807v1:9:27306103:27308413:-1 gene:Vigun09g122300.v1.2 transcript:Vigun09g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNSPNPNPLHRNSLPSSMPVWPTIDGSLGLSEEESVTYARRFYKFGFALLPLLWAVNCFYFWPVLRHSHSFPRIRPYIVRSAFGFAVFATVLCSWALTFAIGGEGLFGPVWDQLVMYNLADRLGLTSWS >Vigun08g183900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35326404:35327478:1 gene:Vigun08g183900.v1.2 transcript:Vigun08g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEDEIARMQEKYGVSTKDK >VigunL046901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:511972:512992:-1 gene:VigunL046901.v1.2 transcript:VigunL046901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSNLSLAECERLPFDLPEAEEELIAGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGVKTYLFIFVSITTRWTLPRLRIDQLLNLGWKFLLPISLAARYIGQGLMITLSHANRLPVTIQYPYEKIISSERFRGRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun09g024000.2.v1.2 pep primary_assembly:ASM411807v1:9:1908138:1909902:1 gene:Vigun09g024000.v1.2 transcript:Vigun09g024000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFILTTTLGGMFLCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIALLFITVGIGFKLSPAPSHQWTPDVYEGVRNPLFDSDSPTPVVAFLSVTSKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVSFGLRTGTDNIRDYAGLYTKDPYLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRKSPLRSFERI >Vigun07g268700.1.v1.2 pep primary_assembly:ASM411807v1:7:38414131:38416587:1 gene:Vigun07g268700.v1.2 transcript:Vigun07g268700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTCSGEEESMAMGPERSKPLHNFMLPCLKWGSQRHLRCTKLASDSSTDAGDRRSPAPREFDPPPPVNADSRLRLKRPRFLGDEGIDAVREKLMLDLKTEADRMKDAILGKEVAEDNDVIIEDAPPVTVAVEEEAQPSATGVRTWNLRTRRAPVAVRIDERKAGVGTSFSPLRSLSGAGKLPKLRGSPEKEERPVKFSLTLTKKEIEEDFMNLVGHRPPRRPKKRPRNAQKQLDTLFPGQWLSEVSADCYKVPDEAETGKR >Vigun03g323300.1.v1.2 pep primary_assembly:ASM411807v1:3:51903991:51909869:-1 gene:Vigun03g323300.v1.2 transcript:Vigun03g323300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSLSVSLRSSSAGNLLLLGSEARVRRRCRSVVVACASEGDSGGSSTSSFLSRTQTYALLKQQLQVAAKSEDYKEAARIRDSLKLFEDEEPVLRLRRLLKEAIAEERFQDAASYRDELKKIAPHSLLKCCSDATTLGIRVQVRSVYIEGRSQPSKGLYFFAYRIRITNNSDHPVQLLRRHWIITDANGKTENVWGIGVVGEQPLILPGNSFEYSSACPLSTPNGRMEGDYEMIHVERVGSRSFNVAIAPFSLSLLGDDEGCNI >Vigun11g014400.1.v1.2 pep primary_assembly:ASM411807v1:11:1761471:1783260:1 gene:Vigun11g014400.v1.2 transcript:Vigun11g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVIELLQRYRRDRRVLLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCAKKSTMLELSEAIRDYHDHTGLPQMSDTGSVGEFYLVTDPESSGSPPRRPPPTVPVSAVPPVAVSIPSVFPPSPILSSVSRSESFDTTQEKELTVDDIEDFEDDDVASVVEGVRASRTLNDASDLAVKLPSFSTGISDDDLRETAYEVLLACAGATGGLIVPSKEKKKDKKSSLIRKLGRSKSGSVVSQSQSAPGLVGLLETMRVQMEISESMDIRTRQGLLNALVGKVGKRMDTLLVPLELLCCISRSEFSDKKAFIRWQKRQLKVLEEGLVNHPAVGFGESGRKTNELRILLAKIEEAEFLPSSSGELQRTECLRSLREIAIPLAERPARGDLTGEICHWSDGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELLKSTWKVLGITETIHHTCYAWVLFRQYVITREHGVLLHALEQLNKIPLMEQRGQQERLHLKSLRSKVEGERDLSFLQSFLTPILRWTDKQLGDYHMHFNEGSATMEKIVAVAMITRRLLLEEPETTSQSLPISDRDQIDIYISSSIKNAFSRTVQVVERADMSNEHSLALLAEELKKLLKRESVTFLPVLSQRHPQATVVSGSLVHKLYGLRLKPFLDGAEHLTEDVISVFPAAESLEQFIMALITSVCHEENAEILLKKLNLYQIETKSGTLVLRWVNSQLGRILGWVERVFQQEHWDPISPQQRHAGSIVEVYRIVEETVDQFFGLKVPMRFTELNSLFRGIDNALQVYANNVVNDLASKEDLIPPVPILTRYKKEAGIKAFVKKELFDTRVPEPDELRPSQISVLTTPTLCVQLNTLYYAINHLNKLEDNIWERWTSKRSHEKLIKKSLDEKSKSFSQKETFEGSRKIINAAMDRICEYTGTKIVFCDLRVQFMDNLYKPSVSGYRLDAIMDPLDMELSQLCDIVVEPLRDRIVTSLLQASLDGLLRVILDGGPSRVFLPSDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRQVIKLHGYETRELIDDLKSASGMEMQGGKSKLGTDSKTLLRILCHRSDSEASQFLKKQYKIPSSSV >Vigun02g068800.2.v1.2 pep primary_assembly:ASM411807v1:2:21882060:21886320:-1 gene:Vigun02g068800.v1.2 transcript:Vigun02g068800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRNTPLSVAPFTVGLEDRVEVLKNLLDLKSSDVRVLGLYGMGGVGKTTLAKSLFNNLVVHNFERRSFIPNVRSQVSKHHGLVSLQNKIRGDLCGRKEDLINDISDGISAIQKIVQENRVLLILDDVDDVEQLNFLMGKREWFYKGSRVVITTRDKEILHESYVDVDYEVKELEFSEAMELFCFHAIRRKEPAEGFLDVSKQIVEKTGGLPLALEVFGSYLFDKRTKREWKDALEKLKQIRPACLQDVLKISFDALDEEEQCIFLDMACLFVQMEMKRDDVVDILNGCDFSGEIAVAVLTARCLIKIIGDGKVWMHDQVRDMGRQIVRSESLTDPGLRSRLWDRDEILTVLKNMKGTRNVQGIVLDCVKRRMSIPRDSTAEEITWENFRRKPSCKSAFEYIKERYKKYVEDRKERAKEVILQPKHFQPMVSLRMLQINYSRLEGQFRFLPPKLKWLQWKQCPLRYMPSSYNPLELAVMDLSESLIETLWKGRSNKVAEHLMVLNLSSCHRLTATPDLSGYLSLKKLNLEECSHLTRIHESLGNLNSLVHLNLRLCYNLIELPGEVSGLKQLEDLVLSGCWKLKALPKDLSCMVSLKQLLLDSTSITELPESIFHLTKLEKLSANGCHSLKRLPTCTGKLCSLQELSLNHTALEELPDSVGSLEKLEMLSLMGCKSLSVIPNSTGKLISMTQLFLNGSGIKELPASIGSLSYLRKLSVGDCTSLDKFPVSMELLVSIVELKLDGTKVSNFPDEIFVGMKMLEKLEMGKVQLLKFVPESFGCLSALTILDMHESNITELPESIGMLENLIGLRLDKCKQLQRLPNSIGNLKSLQWLMMKETEVTRLPDSFGMLRSLVELDMKRMPYLNNNGAGTNMSTVTIIPNIQEQPNSEAILSSFCNLTFLEKLNAHGWGIYGKIPDEFEKLSSLETLSLGHNNICSLPANMMSLSYLKKLLLSNCRELMFLPSLPSSLEELNLENCVAVQYIHDISNLERLEEFNLTNCEKVVDVPGLEHLKSLRRLYMSGCIGCSLAVKRRFSKVVLKKLEILIMPGSRVPDWFTAEPVVFSKRRNRELKGVIFFGVLSFKNIPENQRERLQLEDVQGKIFNLSSEVFSTTFRLLGVPGTNEDHIFLRRFGARTPLVFQLKDRYTLHLQMRNPPRVNGLELNNCRIHLVYYGDDDYEGDEGSLEESQFSVSQKLAKFFNFAAEDHACI >Vigun02g068800.1.v1.2 pep primary_assembly:ASM411807v1:2:21882060:21887583:-1 gene:Vigun02g068800.v1.2 transcript:Vigun02g068800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESDVTVSSYRLRWDVFLSFRGTDTGHTFTMRLYHALHGRGVRVFRKEDGLERRDEIQKKLLEAVEDSAAAVVVISSDYASSHWCLEELAKICDVGRLILPVFYWVDPSHVRKQEGPFEDWFLRHAERFPKERVEQWKNAMKKVGGLAGFVLDEKSDKSDELIQILVQNLMKQLRNTPLSVAPFTVGLEDRVEVLKNLLDLKSSDVRVLGLYGMGGVGKTTLAKSLFNNLVVHNFERRSFIPNVRSQVSKHHGLVSLQNKIRGDLCGRKEDLINDISDGISAIQKIVQENRVLLILDDVDDVEQLNFLMGKREWFYKGSRVVITTRDKEILHESYVDVDYEVKELEFSEAMELFCFHAIRRKEPAEGFLDVSKQIVEKTGGLPLALEVFGSYLFDKRTKREWKDALEKLKQIRPACLQDVLKISFDALDEEEQCIFLDMACLFVQMEMKRDDVVDILNGCDFSGEIAVAVLTARCLIKIIGDGKVWMHDQVRDMGRQIVRSESLTDPGLRSRLWDRDEILTVLKNMKGTRNVQGIVLDCVKRRMSIPRDSTAEEITWENFRRKPSCKSAFEYIKERYKKYVEDRKERAKEVILQPKHFQPMVSLRMLQINYSRLEGQFRFLPPKLKWLQWKQCPLRYMPSSYNPLELAVMDLSESLIETLWKGRSNKVAEHLMVLNLSSCHRLTATPDLSGYLSLKKLNLEECSHLTRIHESLGNLNSLVHLNLRLCYNLIELPGEVSGLKQLEDLVLSGCWKLKALPKDLSCMVSLKQLLLDSTSITELPESIFHLTKLEKLSANGCHSLKRLPTCTGKLCSLQELSLNHTALEELPDSVGSLEKLEMLSLMGCKSLSVIPNSTGKLISMTQLFLNGSGIKELPASIGSLSYLRKLSVGDCTSLDKFPVSMELLVSIVELKLDGTKVSNFPDEIFVGMKMLEKLEMGKVQLLKFVPESFGCLSALTILDMHESNITELPESIGMLENLIGLRLDKCKQLQRLPNSIGNLKSLQWLMMKETEVTRLPDSFGMLRSLVELDMKRMPYLNNNGAGTNMSTVTIIPNIQEQPNSEAILSSFCNLTFLEKLNAHGWGIYGKIPDEFEKLSSLETLSLGHNNICSLPANMMSLSYLKKLLLSNCRELMFLPSLPSSLEELNLENCVAVQYIHDISNLERLEEFNLTNCEKVVDVPGLEHLKSLRRLYMSGCIGCSLAVKRRFSKVVLKKLEILIMPGSRVPDWFTAEPVVFSKRRNRELKGVIFFGVLSFKNIPENQRERLQLEDVQGKIFNLSSEVFSTTFRLLGVPGTNEDHIFLRRFGARTPLVFQLKDRYTLHLQMRNPPRVNGLELNNCRIHLVYYGDDDYEGDEGSLEESQFSVSQKLAKFFNFAAEDHACI >Vigun01g095400.3.v1.2 pep primary_assembly:ASM411807v1:1:25969952:25973218:-1 gene:Vigun01g095400.v1.2 transcript:Vigun01g095400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPEELWRRILEIGIQNSGFSYKDLCCVSISCRRLRRLSSEDLLWNRLLSADYPQPHLSSSSSSSSSKSLYKLRFERDKERRIAAHRRAVLRKESQIAEHSRRLREIQTRVAQETATATQTAQDLSNLRRARQAFVALNVWQPEVVRSRQKQMVEQSAVPAECRIHGLEMELKLCKQQIAGLELFYKDEKRRLDTAKEELASMKYHPMEEHKTISQVDRKHVKRKKLKICNSLQKNETKQDR >Vigun01g095400.1.v1.2 pep primary_assembly:ASM411807v1:1:25969952:25973217:-1 gene:Vigun01g095400.v1.2 transcript:Vigun01g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPEELWRRILEIGIQNSGFSYKDLCCVSISCRRLRRLSSEDLLWNRLLSADYPQPHLSSSSSSSSSKSLYKLRFERDKERRIAAHRRAVLRKESQIAEHSRRLREIQTRVAQETATATQTAQDLSNLRRARQAFVALNVWQPEVVRSRQKQMVEQSAVPAECRIHGLEMELKLCKQQIAGLELFYKDEKRRLDTAKEELASMKYHPMEEHKTISQVDRKHVKRKKLKICNSLQKNETKQDR >Vigun01g095400.4.v1.2 pep primary_assembly:ASM411807v1:1:25969952:25973217:-1 gene:Vigun01g095400.v1.2 transcript:Vigun01g095400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPEELWRRILEIGIQNSGFSYKDLCCVSISCRRLRRLSSEDLLWNRLLSADYPQPHLSSSSSSSSSKSLYKLRFERDKERRIAAHRRAVLRKESQIAEHSRRLREIQTRVAQETATATQTAQDLSNLRRARQAFVALNVWQPEVVRSRQKQMVEQSAVPAECRIHGLEMELKLCKQQIAGLELFYKDEKRRLDTAKEELASMKYHPMEEHKTISQVDRKHVKRKKLKICNS >Vigun01g095400.2.v1.2 pep primary_assembly:ASM411807v1:1:25969921:25973261:-1 gene:Vigun01g095400.v1.2 transcript:Vigun01g095400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPEELWRRILEIGIQNSGFSYKDLCCVSISCRRLRRLSSEDLLWNRLLSADYPQPHLSSSSSSSSSKSLYKLRFERDKERRIAAHRRAVLRKESQIAEHSRRLREIQTRVAQETATATQTAQDLSNLRRARQAFVALNVWQPEVVRSRQKQMVEQSAVPAECRIHGLEMELKLCKQQIAGLELFYKDEKRRLDTAKEELASMKYHPMEEHKTISQVDRKHVKRKKLKICNS >Vigun09g251300.4.v1.2 pep primary_assembly:ASM411807v1:9:41833108:41836349:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRRFGDYSLPTVKLQFVFN >Vigun09g251300.7.v1.2 pep primary_assembly:ASM411807v1:9:41833618:41836167:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTGIAR >Vigun09g251300.3.v1.2 pep primary_assembly:ASM411807v1:9:41830255:41837120:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRSASEWKVSTTFIYGSEDWMNYQGAQEARKHMKVPCEIIRVPRAGHFVFIDNSTAFHSAVFYACRRFFNPDPDNEPLPKGLTFA >Vigun09g251300.6.v1.2 pep primary_assembly:ASM411807v1:9:41832638:41837120:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRSASLNSFVLPFKILADVRSTTAVQICMF >Vigun09g251300.2.v1.2 pep primary_assembly:ASM411807v1:9:41830255:41837120:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRSASEWKVSTTFIYGSEDWMNYQGAQEARKHMKVPCEIIRVPRAGHFVFIDNSTAFHSAVFYACRRFFNPDPDNEPLPKGLTFA >Vigun09g251300.1.v1.2 pep primary_assembly:ASM411807v1:9:41830255:41836362:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRSASEWKVSTTFIYGSEDWMNYQGAQEARKHMKVPCEIIRVPRAGHFVFIDNSTAFHSAVFYACRRFFNPDPDNEPLPKGLTFA >Vigun09g251300.5.v1.2 pep primary_assembly:ASM411807v1:9:41832638:41837120:-1 gene:Vigun09g251300.v1.2 transcript:Vigun09g251300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIRENNVGGVTLKKSRSSRFWPRWIPTSVDDIIAAEKRLLSVVKTGYVQEHINIGAGPPGSKVRWFRSASNEPRFIHSVTFDSKPDAPTLVMIHGYAASQGFFFRNFDVLASRFRVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSPESDATSERITRFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPKIVRKYTSARFGTHSTGEILTEEESTLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLRSASLNSFVLPFKILADVRSTTAVQICMF >VigunL081350.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000613.1:9735:10233:-1 gene:VigunL081350.v1.2 transcript:VigunL081350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDVLIGNIGKEALHLGIKDTKTLNGWDNKYPSRSYFGYP >Vigun02g181600.1.v1.2 pep primary_assembly:ASM411807v1:2:32217729:32220747:1 gene:Vigun02g181600.v1.2 transcript:Vigun02g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEILTLDNATTCTSWNISGTRLASASADGTLSIFDSRDPSSSSLRSTFKSRVLEGNIVKIVWVPPEYGDAVACISADGIVSLWEEVAEDSQSLQWKMCKSFGNSSGKVLDVQFGISSTSLKMVAAYSDGNVRVFELLDPLELRNWQLQAEFQNVIESVSAFGKASCLSASISWNVQKGGSQESSFLIGFNSNTSELNSSKVWEFDQAHQRWLPVAELALPEDRGDQVYAVAWAPNIGRPYEIIAVATHKGLAIWHLGLNPDHDGRLPVERVALLSGHQGMVWQMEWDMSGMTLATTGQDGMVRLWQSNLNGVWHQQAAFEPTS >Vigun08g200100.1.v1.2 pep primary_assembly:ASM411807v1:8:36483569:36487095:1 gene:Vigun08g200100.v1.2 transcript:Vigun08g200100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVFFMIIYGFFILCFASSDPQMVPALYVFGDSLVDVGNNNFLTLSIAKANHRHYGIDFPNHIPTGRFSNGKNAADFVAEKLGLATSPPYLSLTSKANKNKATFIDGVSFASAGAGIFDGSDLRYRQSIPLTKQVDYYSIVHEEMTRETGAAAIQKHLSKSIFVVVIGSNDLFGYFESSDLRKKTTPHQYVDSMALSLKLQLQRLYDHGARKFEIAGVGTLGCCPEFRLKNKTECVVEVNYWSVKYNEGLQSMLMEWQSENRGIIYSYFDTYAAINDLIQSPASNGFSEVKGACCGLGELNARAPCLPLSNLCPNREDHIFFDQFHPTEAASRIFVNRLFDGPSGYASPINMKQLVAA >Vigun02g059300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20432713:20433835:-1 gene:Vigun02g059300.v1.2 transcript:Vigun02g059300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFPCTDISNMENKHGNTTLFLLFLCHGILTWNVRAKGLLSFLFFFKSVFSYVAQKWKTWMNSRVESSCEGVKQGLDYIERGLRVCKEDVVLVMEKLGMIVECECDGIGECAVEEIEELFDEDVTLSEVEAAFDVFDENKDGFIEARELQRVLCSLGLEKDLMECQKMINAVDKNGDELVDRTEFQRIMEQSFG >Vigun09g100601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:16479214:16479522:1 gene:Vigun09g100601.v1.2 transcript:Vigun09g100601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNPYITFLKPDNTSLNYSIHHVHNLLIKLHIHKTLILISILCISIITIFYSNNFKNYPQHICIHLIISSLWRAYILCYTHPSFTNIHTFTSRSTQDHNSI >Vigun10g169000.1.v1.2 pep primary_assembly:ASM411807v1:10:38795502:38800114:1 gene:Vigun10g169000.v1.2 transcript:Vigun10g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGVKGILNRSRKLKGSVVLMRKNVLDINAIKSAKSAAGIFRGAAGVVGGLVGTSVDTLTSCVGRSVALWLISATAADENGHGKVGKKTYLEGIITSIPTLGAGQCAFDIHFEWGTDMGIPGAFLIQNHMQVEFFLVSLTLEDIPNHGTMHFSCNSWVYNHRSYEKDRIFFANETYIPSETPGPLVSYREAELKTLRGNGVGKRKEWDRIYDYDVYNDLGQPDSSENLARPVLGGSVTYPYPRRGRTGRKPTKKDPNSEKRSDSFYVPRDESFGHLKSSDFLTYGVKAVSRNFLPQLRSSFDLNFAPKEFDSFEEVRELCEGGIKLPTDLLCKFSPLPVIKEIFRTDGESVLKFSVPHIIRVSKSAWMTDEEFAREMIAGVNPCVIRLLKEFPPQSKLDPSVYGDQTSKITKQHLEMNLEGFTVDKAIEGKRLFILDHHDVFMPFLRRLNELKTTKAYATRTILFLKDDGTLKPLVIELSLPHSDEQQHGANSKVILPANLGVESTIWLLAKAHVIVNDSCYHQLISHWLNTHAAIEPFVISTNRNLSVLHPIYKLLFPHYRDTMNINALARESLINADGVIEQSFLGGKYAVEISSAAYKDWVFPDQALPTDLIKRGMAIKDSSSPNGLRLVIKDYPYAVDGLEIWNAIETWVHEYVWLYYVTDDAVKKDIELQAWWKEVVEKGHGDLKDKPWWPKLHTREELIRSCSTIIWIASAFHAAVNFGQYPYGGFILNRPTLSRRLIPEKGTPEYEEMAKNPQKAYLRTITPKYQALVDLTVIEILSRHASDEVYLGQRDNPNWTSDSRAIEAFKKFGKRLVEIETKISERNHDPNLKNRTGPAELPYTLLLPTSETGLTFRGIPNSISI >Vigun01g061200.1.v1.2 pep primary_assembly:ASM411807v1:1:12884146:12890402:1 gene:Vigun01g061200.v1.2 transcript:Vigun01g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLFKQCWQWFRSQKVAGWRARTVVTCCRDRIAMFFERHWPMVCRGCSRFGSLLRLSLIFWKDSALRGFQSFIKFGPVMLLLIMWSCFLSLTSMYCLVYVLVSMGVAGVAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLLIVGGYLFSLNHARLVVLVGTIYAIYCVQVRVGWLGVFLAINLAFLSNDILNFLLQWFDNVSESSHSEEHKQSETVVEDDFSEECEYPIPTEESENLHSCKSSSKPAVTTAVVDNKKELSVNKIVREQETTSSTDEMKRILKSLNHYDALGFSRHKKIDAAVLKKEYRKKAMLVHPDKNMGSSLASESFKKLQCAYEVLSDSVKKRDYDEKLRKEETMAKSVCQRSHSSSHQDNADYRSEESRRIQCTKCGNSHIWVCTNRSKAKARWCQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKNQRCNSSRFPWDFDAEMMDEDEEAFELWLEQALASGLFCETSKRRKSWSPFKLHQKKGKKQWRRTSC >Vigun08g138200.3.v1.2 pep primary_assembly:ASM411807v1:8:31038582:31041840:-1 gene:Vigun08g138200.v1.2 transcript:Vigun08g138200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLRTFLSNGNVIKNAVLQRVRRVNPLLQPIASSRFESVTPARIEEHGFESTTIADIMKDKGKGADGSWLWCTTDDTVYDAVKSMTQNNVGALVVVKPDANKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPNTRVLQAMQLMTDNRIRHIPVIDEKGMIGMVSIGDVVRAVVREHRQEVERLNAFIQGGY >Vigun08g138200.1.v1.2 pep primary_assembly:ASM411807v1:8:31038582:31041831:-1 gene:Vigun08g138200.v1.2 transcript:Vigun08g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLRTFLSNGNVIKNAVLQRVRRVNPLLQPIASSRFESVTPARIEEHGFESTTIADIMKDKGKGADGSWLWCTTDDTVYDAVKSMTQNNVGALVVVKPDANKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPNTRVLQAMQLMTDNRIRHIPVIDEKGMIGMVSIGDVVRAVVREHRQEVERLNAFIQGGY >Vigun08g138200.2.v1.2 pep primary_assembly:ASM411807v1:8:31038531:31041939:-1 gene:Vigun08g138200.v1.2 transcript:Vigun08g138200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLRTFLSNGNVIKNAVLQRVRRVNPLLQPIASSRFESVTPARIEEHGFESTTIADIMKDKGKGADGSWLWCTTDDTVYDAVKSMTQNNVGALVVVKPDANKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPNTRVLQAMQLMTDNRIRHIPVIDEKGMIGMVSIGDVVRAVVREHRQEVERLNAFIQGGY >Vigun06g097900.1.v1.2 pep primary_assembly:ASM411807v1:6:22883277:22885527:1 gene:Vigun06g097900.v1.2 transcript:Vigun06g097900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGQKQRLIIIGVSTFLLVAMVVAVTVSVTLNNKGASDDENEERKSHVASSLKAVKTLCAPTDYQKECEDSLNANAGNVTDPRELIKMAFNITITRISHGLGETKLMHDVESDPRTKEALDTCKQLMNLSIEEFNRSLQRFSKFDLNNLDRILTSLKVWLSGAVTYQETCLDAFENTTTDAGKKMQELLQTAMHMTSNGLAIISELAKTLSEMQLSRSGRRLMTADDDLPILGHDDDFDLPDWVENRFGVRRLMKMTGRKRMAHVVVAKDGSGNFTTINDALKSVPMKNLRPYIIYIKEGVYDEYVEVFRNMTHVVFIGDGGRKSRITGSKNFVDGVGTYKTASAAIIGDFFVAIGMGFENTAGAEKHQAVALRVQSDRSIFYKCRMDGYQDTLYAHAMRQFYRDCTISGTIDFVFGDAVAVLQNCTFVVRKPLANQQCIVTAQGRKEKNQPSGIVIHGGSIVSDPLYYPVRFDNKAYLARPWKNFSRTIFMDSFIDDLITPDGYMPWQTLEGLSGMDTCFYAEINNRGPGADKSKRVKWEGIKALTNDVATTFMPSPFFHGDDWIRVTRVPYDSGLPSPTH >VigunL085800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000654.1:25326:27791:1 gene:VigunL085800.v1.2 transcript:VigunL085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRSLIVQSRHAALVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAAGGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGGF >Vigun05g012800.1.v1.2 pep primary_assembly:ASM411807v1:5:1020790:1026918:-1 gene:Vigun05g012800.v1.2 transcript:Vigun05g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINTLSPDVALAVAPDVEYRMRQIMQEAIKCMRHSKRTILTADDVDVALNLKNVEPIYGFASGGPLRFKRAVGHRDLFYIDDKDVDLKDVIEASLPRAPLDTAVTCHWLAIEGVQPAIPENAPVEVISAPSDTKNHEQKDDDLPVDIKLPVKHVLSRELQMYFDKVAELTLSESDSALFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNNFPLLFALMRVVSSLLLNPHIHIEPYLHQLMPSVVTCLVAKRLGSRLADNHWELRDFTANLVASICKRFGHVYSNLQYRLTKTLLNAFLDPKKAMTQHYGAIQGLGALGPNVVRLLLLPNLETYMRLLEPEMLLEKQKNEMKRHEAWRVYGALLRAAGQCIYDRLKMFPTFSTPSPSAVLKTNAKVLTSSSRKRKADPDQSEQQPPLKKAATDGEVGVVPMNSSPVHKQEEAETLASSIDSIIGSSSSAQMKNETSLDGELRSNMGDTQASKTSAALTQVWKDELNSGRVLVSLFDLFGEGILSFIQAPEMYMFL >Vigun05g012800.2.v1.2 pep primary_assembly:ASM411807v1:5:1020790:1026911:-1 gene:Vigun05g012800.v1.2 transcript:Vigun05g012800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINTLSPDVALAVAPDVEYRMRQIMQEAIKCMRHSKRTILTADDVDVALNLKNVEPIYGFASGGPLRFKRAVGHRDLFYIDDKDVDLKDVIEASLPRAPLDTAVTCHWLAIEGVQPAIPENAPVEVISAPSDTKNHEQKDDDLPVDIKLPVKHVLSRELQMYFDKVAELTLSESDSALFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNNFPLLFALMRVVSSLLLNPHIHIEPYLHQLMPSVVTCLVAKRLGSRLADNHWELRDFTANLVASICKRFGHVYSNLQYRLTKTLLNAFLDPKKAMTQHYGAIQGLGALGPNVVRLLLLPNLETYMRLLEPEMLLEKQKNEMKRHEAWRVYGALLVSVYMID >Vigun10g152800.1.v1.2 pep primary_assembly:ASM411807v1:10:37263411:37266020:-1 gene:Vigun10g152800.v1.2 transcript:Vigun10g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEETNIVEAMQLKHVEEEQDGLFFALNMVSSATFPLAVKTANELGIFDIMAKEGEGAKLSAQDITEKLGIKNPQAPTMLDRILRLLASHSMLSSCLGEEGQNSGKRLYSLTYASKYFVSDADGVSFGASLNLILGKVCLDSWTELKGAIAEGGIAFNRVHGMNAFEYSAVDPTYNDVFNKAMFNITTIITNRVLELYDGFKNINRLVDVGGGLGINLKLITSKYPNLQGVNFDLPHVIEHAPTYPGVEHVAGDMFESVPNGDAIFMKWILHDWGDEECVKLLKNCYKAIPSDGKVIVVDLIVPALPESTVIARNGYQADILMMAQNPGGKERTQNEFMELALSSGFSGITFVCFVSGFWVMEFYK >Vigun09g106900.2.v1.2 pep primary_assembly:ASM411807v1:9:21366593:21367269:1 gene:Vigun09g106900.v1.2 transcript:Vigun09g106900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDERKEKKKSGSKGDLFVNFSTITPKKPNSAFVRVRLTSGFEITAYIPGIGHNLQEHSIVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGCSSAL >VigunL019500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000199.1:22061:24249:-1 gene:VigunL019500.v1.2 transcript:VigunL019500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVNNQPHITHYSYMKGLSFCPEGIILSQSIMPQLDKFTYFTQFFWSCLFLFTFYIPICNDGDGVLGISRILKLRNQLVSNRGNKIRSNDPKSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGKRRKITFLSCFGEISGSRGMERNILYLISKSSYGASSSNPGWVITSRNDIMLIHVPHGQGRIKKIREERS >Vigun04g098640.1.v1.2 pep primary_assembly:ASM411807v1:4:22667301:22667630:1 gene:Vigun04g098640.v1.2 transcript:Vigun04g098640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLNYQEVQTYTKKCKALWPSESMAEILNELEETASSPCLTPFAPYLINDDSLVIKKKRGSTKCLKTHGLSHEDRIKLH >Vigun06g075100.1.v1.2 pep primary_assembly:ASM411807v1:6:20592806:20593209:1 gene:Vigun06g075100.v1.2 transcript:Vigun06g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLFPCMMVLFLVIFAAGTVNGCNYPCHGPHDGCPNLHCPGCVKKCVNSCCLCDCSGNQKPEIKM >Vigun03g229000.2.v1.2 pep primary_assembly:ASM411807v1:3:38139834:38149568:1 gene:Vigun03g229000.v1.2 transcript:Vigun03g229000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQTEREEKVSLELSEEILQSMEVGMAFKDYNGRISSLDFHRASSYLVTASDDESIRLYEVTSGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAVAFGGFIRMFDARKYEKGPFEIFSVGGDMSDANVVKFSNDGSLILLTTADGHIHVLDSFRGTLLSTYNVTPVSCNSTLEASFSPEGMFVISGSGDGSIYAWSVRSGKEVASWRSATSDTGPPVIKWAPGSLMFATGSSELSFWIPDLSKLGSYVGRK >Vigun03g229000.4.v1.2 pep primary_assembly:ASM411807v1:3:38139822:38149719:1 gene:Vigun03g229000.v1.2 transcript:Vigun03g229000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQTEREEKVSLELSEEILQSMEVGMAFKDYNGRISSLDFHRASSYLVTASDDESIRLYEVTSGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAVAFGGFIRMFDARKYEKGPFEIFSVGGDMSDANVVKFSNDGSLILLTTADGHIHVLDSFRGTLLSTYNVTPVSCNSTLEASFSPEGMFVISGSGDGSIYAWSVRSGKEVASWRSATSDTGPPVIKWAPGSLMFATGSSELSFWIPDLSKLGSYVGRK >Vigun03g229000.3.v1.2 pep primary_assembly:ASM411807v1:3:38142861:38149568:1 gene:Vigun03g229000.v1.2 transcript:Vigun03g229000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQTEREEKVSLELSEEILQSMEVGMAFKDYNGRISSLDFHRASSYLVTASDDESIRLYEVTSGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAVAFGGFIRMFDARKYEKGPFEIFSVGGDMSDANVVKFSNDGSLILLTTADGHIHVLDSFRGTLLSTYNVTPVSCNSTLEASFSPEGMFVISGSGDGSIYAWSVRSGKEVASWRSATSDTGPPVIKWAPGSLMFATGSSELSFWIPDLSKLGSYVGRK >Vigun03g229000.5.v1.2 pep primary_assembly:ASM411807v1:3:38139902:38149568:1 gene:Vigun03g229000.v1.2 transcript:Vigun03g229000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQTEREEKVSLELSEEILQSMEVGMAFKDYNGRISSLDFHRASSYLVTASDDESIRLYEVTSGTCLKTINSKKYGVDLVCFTSHPTTVIYSSKNESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAVAFGGFIRMFDARKYEKGPFEIFSVGGDMSDANVVKFSNDGSLILLTTADGHIHVLDSFRGTLLSTYNVTPVSCNSTLEASFSPEGMFVISGSGDGSIYAWSVRSGKEVASWRSATSDTGPPVIKWAPGSLMFATGSSELSFWIPDLSKLGSYVGRK >VigunL056801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000429.1:17041:17406:1 gene:VigunL056801.v1.2 transcript:VigunL056801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding STTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLFL >Vigun02g092400.1.v1.2 pep primary_assembly:ASM411807v1:2:24760763:24763564:-1 gene:Vigun02g092400.v1.2 transcript:Vigun02g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKTTVVEQSVPTPSKFPLSFWETTVVSTVALIFAVGLAGVYLTMPDSDYSFLKLPRTLQDLKLLRDNLESYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGMALVVFTATAGASSCYFLSKMIGRPILSSLWPDKLKFFQTQVARRRKSLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFLFATVIGLIPAAYVTVKAGLALGELKSMGDLYDFNSVATLFLIGVVSVTPTLMSKNES >Vigun11g148600.5.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.7.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.4.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.2.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.9.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.3.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.8.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun11g148600.1.v1.2 pep primary_assembly:ASM411807v1:11:35793963:35803035:1 gene:Vigun11g148600.v1.2 transcript:Vigun11g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRSPYDKEKIVGMAANCERLVNEVTMEKKMKTQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGVIQQFPNDTCSVYITYSKEDEAIRCIQNVHGFVLEGRPLRACFGTTKYCHAWLRSVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRSGNVLPPPLDDNMNTTPAKPIVKNPSSNSVSTVRGSPPNGIYERHVPLPASAAWGTQATSCQPPAGGLSYPNGPSKPKPDTGSSSLAFSAAVTGSIQASDVTKRPPSSDGSHSMTTRVKSELLKPVKQYNNSVDSLVSSGEKSSSSDASPVPVNLNSQLSSLPLSRDGDGNCTTANTINSTNLTGQSCNSGPEEAMPTTVETIPKLSIDLSSINIDGNAEHSSITKPNSPPTDYVLIKSPQIQGSQYKDRFRDVITTNVAGKAMPDNEVCNSREQGDWKLDSQSIVASNAVEFDDDVTSFDNQRLKDPEVVCRSYLPKSTGFLHVSNLSSPCLLQHGESCNAMNAGSVSVDESILHASNILCNDHSDKLVSSSSYGLLNDERNGHSIQRLVSEAVNSGHDVTMDKGESSIISNILSMDFDAWDDSLTSPHNLAKLLGDNTENQSGPLNKSSSWKVHSNNQSRFSFARQEESKIQMFDANASYGVNHQRPNNKVFQNFSERDLYMDKLGIANGFRTSNFEEAENIASGHFISSNKLSAISRAQVSAPPGFSIPSRPPPPGFSSNERVEQAFDSISGSSLLDHSSLLRNSYQSPSTGNLGGAGDIEYMDPAILAVGKGRLQGALNSPALDIRSNFMPQLNYFENDARLQLLMQRSLSPQQNHRFSEIGNTFSQLGDSFAVASRLDQSQVSSLAPFQQLSMQQSANAVLSNGQWDGWNEAQTGNGLGVAELLRNERLGFNKFYSGYDDSKFRMPNSGDLYNRTFGM >Vigun07g002400.1.v1.2 pep primary_assembly:ASM411807v1:7:204462:207316:1 gene:Vigun07g002400.v1.2 transcript:Vigun07g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNSKVSRTMKVVQPIKDSTSRYFKASRIPPLEEQTMKWKSIICRISRSK >Vigun04g149000.1.v1.2 pep primary_assembly:ASM411807v1:4:36465543:36473474:1 gene:Vigun04g149000.v1.2 transcript:Vigun04g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFTLSFTPSMAASLLLSLFLIFSVISSNRCCALQHPISYANFTIVNVFPHDPQAFTQGLLYYGNNTLFESTGLYGQSSVRKVALLTVKVENIHKMDESLFGEGLTLLNNRLYQVTWLRRDGLIYSPQNLNQIGRFDHDMNDGWGLATDGKVIFGSDGSSTLYQLNPQTFKAESKHVIYYKGHQVYNLNELEYINGEVWANVLPTDCIVRISPNDGSILGWILLQNLKKELVDAGEINEGDILNGIAWDDEQKRIFVTGKLWPKLYEIKVSPIKTPIDEGTIEQLCLPDPYIPPQGPQNQ >Vigun04g149000.2.v1.2 pep primary_assembly:ASM411807v1:4:36465543:36473474:1 gene:Vigun04g149000.v1.2 transcript:Vigun04g149000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFTLSFTPSMAASLLLSLFLIFSVISSNRCCALQHPISYANFTIVNVFPHDPQAFTQGLLYYGNNTLFESTGLYGQSSVRKVALLTVKVENIHKMDESLFGEGLTLLNNRLYQVTWLRRDGLIYSPQNLNQIGRFDHDMNDGWGLATDGKVIFGSDGSSTLYQLNPQTFKAESKHVIYYKGHQVYNLNELEYINGEVWANVLPEGTCRCWRDQ >Vigun08g000900.1.v1.2 pep primary_assembly:ASM411807v1:8:126022:129728:1 gene:Vigun08g000900.v1.2 transcript:Vigun08g000900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEERQTVTGIRKKGSGIRQWLLLDGKGETEVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTLLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVHELQARILRHHQASSSSSKNHDHHTNYEPDRDHDPDAIKILPFEFVALEACLESACSVLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDDDMAEMYLTEKLAEQQMEESSSPSSIHDHHDEDLDDRTVPEISSEAGGPPVGFEDHHNVYNVLGRDNHGTRGSTYSAVTKQLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLKMGVMLTTATLVVSGFVVVAGVFGMNIHIELFDPDKAGMTEFLWTVGGSTAGTIFLYVVAIAWCKHKRLLE >VigunL059170.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000470.1:9466:9624:1 gene:VigunL059170.v1.2 transcript:VigunL059170.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun03g026300.2.v1.2 pep primary_assembly:ASM411807v1:3:1974311:1977298:1 gene:Vigun03g026300.v1.2 transcript:Vigun03g026300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVPNSDLLSLSQFQSNADRWLKNSVLPYYPATKITYITVGAEVTESPNNASSLVVPAMTNVLTALKKLGLQKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSPSKVSLDYALFEASSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKVMVTETGWPSKGSPRETAATPDNAQTYNTNLIRHVINNTGTPAKPGEELDVYLFSLFNENRKPGLESERNWGLFYPDQTSVYSLDFTGRGAVDMTTAANITKSNGTTWCIASSKASQIDLQNAIDWACGPGNVDCTAIQPSQPCFEPDNLASHASFAFNSYYQQNGASDVACSFGGTGIKVDKDPSYDNCIYMRAGSNKTVTSNTTAMSSSTSSSSQKGNSTLVFTILLVTCLSALMHIQRY >Vigun03g026300.1.v1.2 pep primary_assembly:ASM411807v1:3:1973823:1977290:1 gene:Vigun03g026300.v1.2 transcript:Vigun03g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLSSSNLQTDTEKQRKRDNSRYFLSIRTLGNKTPFPTFTSHFTLHTSHFALHNTKSSNDINNSLTSLSLFLTPMATRFTLIFAASLLLLLLDCCSGSFIGVCYGRSADDLPTPDKVAQLVQLHKIKYVRIYDSNIQVLKAFANTGVELMIGVPNSDLLSLSQFQSNADRWLKNSVLPYYPATKITYITVGAEVTESPNNASSLVVPAMTNVLTALKKLGLQKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSPSKVSLDYALFEASSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKVMVTETGWPSKGSPRETAATPDNAQTYNTNLIRHVINNTGTPAKPGEELDVYLFSLFNENRKPGLESERNWGLFYPDQTSVYSLDFTGRGAVDMTTAANITKSNGTTWCIASSKASQIDLQNAIDWACGPGNVDCTAIQPSQPCFEPDNLASHASFAFNSYYQQNGASDVACSFGGTGIKVDKDPSYDNCIYMRAGSNKTVTSNTTAMSSSTSSSSQKGNSTLVFTILLVTCLSALMHIQRY >Vigun03g026300.3.v1.2 pep primary_assembly:ASM411807v1:3:1974368:1977291:1 gene:Vigun03g026300.v1.2 transcript:Vigun03g026300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVPNSDLLSLSQFQSNADRWLKNSVLPYYPATKITYITVGAEVTESPNNASSLVVPAMTNVLTALKKLGLQKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSPSKVSLDYALFEASSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKVMVTETGWPSKGSPRETAATPDNAQTYNTNLIRHVINNTGTPAKPGEELDVYLFSLFNENRKPGLESERNWGLFYPDQTSVYSLDFTGRGAVDMTTAANITKSNGTTWCIASSKASQIDLQNAIDWACGPGNVDCTAIQPSQPCFEPDNLASHASFAFNSYYQQNGASDVACSFGGTGIKVDKDPSYDNCIYMRAGSNKTVTSNTTAMSSSTSSSSQKGNSTLVFTILLVTCLSALMHIQRY >Vigun05g174200.1.v1.2 pep primary_assembly:ASM411807v1:5:31812381:31816384:-1 gene:Vigun05g174200.v1.2 transcript:Vigun05g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTGKTIDFAGKNLVSCGCSSNASFDRYTVRNYARVSSKGCGKGHGTCRLFCCTRRNTQCRVSSMKTAEPTVNGGTQAIEGLNKGLNLKGYSETPISAARFFEAVADDLLTLNKNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVARATAELLGLKELTVKHRRLAEIIEMIHTASLIHDDVLDESDLRRGKKTIHQIFGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVELEEYLIKSYYKTASLIAASTKGAAIFSGADSGITEKMYEYGRNLGLSFQIVDDILDFTQSAEQLGKPSGSDLAKGNLTAPVIFALEKEPKLRDIIESEFSEDGSLDEAINLVKSCGSIERAQELAKEKADLAIQSLQCLPQSVYRLALEDMVAYNLQRIA >Vigun04g003100.1.v1.2 pep primary_assembly:ASM411807v1:4:225982:229379:-1 gene:Vigun04g003100.v1.2 transcript:Vigun04g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEMRQKDCYRKKGWGELRGKVVVVAVEATKEQVSRSGLMWALTNVVQPGDSIKLLGVIPVFCSNIRALGLSGLAFATDCITTQWRSRLGTVSDQRQVFVNSCSQMVLQLHQFYDPEKIKIRIKILSGSFCGAVAAEAKRAKSSWVILDRKLKNEKKYCMEGLNCSVVVMERSGPNVLRLNLILSTAMEHNAFPRNFKGKSEHEDTIKGPIVTPASSVKQGSKTASITGTPLPFSQNEVKNLEEPVSNSESEIIMSLSSCGSYFQPWISNAICADDDFSKNININQVLVSPTEALDHEAVLGVLNCKIDVNISRSVREAISLGPNATTASPPLCSICQHKAPLFGNPPRWFTFAELKLATAGFSQENFLAESEVGSVHRGVLSDGQNVAIKQYKLAGTQGDEEFWSEVEVLSCTQHRNVVMLIGFCMEYGRKILVYEYICNGSLYSHLHEKNENVLNWSARKKIALGVARGLRYLHEECRVGCIVHSDIRPCNIFLTHDFDALVGNFGLMKCHTNGEIRVVESTVMGRLGYLAPEYTENGEITEKTDVYSFGVLLLELLTGCKALDRNQQCLHEWGLVERGDIDEVVDPRIRNCSINEELRRMLQCSILCIQHGPHLRPSMSQVLQMLEGYVLKSPKE >Vigun04g003100.2.v1.2 pep primary_assembly:ASM411807v1:4:225982:228873:-1 gene:Vigun04g003100.v1.2 transcript:Vigun04g003100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLALTTMLLTVFCFVFTSDIRALGLSGLAFATDCITTQWRSRLGTVSDQRQVFVNSCSQMVLQLHQFYDPEKIKIRIKILSGSFCGAVAAEAKRAKSSWVILDRKLKNEKKYCMEGLNCSVVVMERSGPNVLRLNLILSTAMEHNAFPRNFKGKSEHEDTIKGPIVTPASSVKQGSKTASITGTPLPFSQNEVKNLEEPVSNSESEIIMSLSSCGSYFQPWISNAICADDDFSKNININQVLVSPTEALDHEAVLGVLNCKIDVNISRSVREAISLGPNATTASPPLCSICQHKAPLFGNPPRWFTFAELKLATAGFSQENFLAESEVGSVHRGVLSDGQNVAIKQYKLAGTQGDEEFWSEVEVLSCTQHRNVVMLIGFCMEYGRKILVYEYICNGSLYSHLHEKNENVLNWSARKKIALGVARGLRYLHEECRVGCIVHSDIRPCNIFLTHDFDALVGNFGLMKCHTNGEIRVVESTVMGRLGYLAPEYTENGEITEKTDVYSFGVLLLELLTGCKALDRNQQCLHEWGLVERGDIDEVVDPRIRNCSINEELRRMLQCSILCIQHGPHLRPSMSQVLQMLEGYVLKSPKE >Vigun04g003100.3.v1.2 pep primary_assembly:ASM411807v1:4:225982:228873:-1 gene:Vigun04g003100.v1.2 transcript:Vigun04g003100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLALTTMLLTVFCFVFTSDIRALGLSGLAFATDCITTQWRSRLGTVSDQRQVFVNSCSQMVLQLHQFYDPEKIKIRIKILSGSFCGAVAAEAKRAKSSWVILDRKLKNEKKYCMEGLNCSVVVMERSGPNVLRLNLILSTAMEHNAFPRNFKGKSEHEDTIKGPIVTPASSVKQGSKTASITGTPLPFSQNEVKNLEEPVSNSESEIIMSLSSCGSYFQPWISNAICADDDFSKNININQVLVSPTEALDHEAVLGVLNCKIDVNISRSVREAISLGPNATTASPPLCSICQHKAPLFGNPPRWFTFAELKLATAGFSQENFLAESEVGSVHRGVLSDGQNVAIKQYKLAGTQGDEEFWSEVEVLSCTQHRNVVMLIGFCMEYGRKILVYEYICNGSLYSHLHEKNENVLNWSARKKIALGVARGLRYLHEECRVGCIVHSDIRPCNIFLTHDFDALVGNFGLMKCHTNGEIRVVESTVMGRLGYLAPEYTENGEITEKTDVYSFGVLLLELLTGCKALDRNQQCLHEWIFG >Vigun01g119300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29571880:29572575:1 gene:Vigun01g119300.v1.2 transcript:Vigun01g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKVICAILIAAASMSAVAAATEVPAAAPGPDSGATVPLVGSLVGASVLSFFALFH >Vigun02g198900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33384448:33385020:1 gene:Vigun02g198900.v1.2 transcript:Vigun02g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDKSFYKWILKVMGLLGLIVLFLWLAIRPKSPSYSILFISVEQPSNSNENGTIFYNLEIENSNKDSSIYYDDTILSFLYGEQEDEVGQTTIDAFHQQTSNTRDVSNTVNAKPRPFKPLLNAISNATAELKVALMTKYRYRTWGIKSKFHGLHLKGILPIESNGKLSRKKKKYPLHRNSNKLGKSKIWH >Vigun06g113300.1.v1.2 pep primary_assembly:ASM411807v1:6:24167697:24169144:1 gene:Vigun06g113300.v1.2 transcript:Vigun06g113300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRRMGKVSVFVVFVVFVVCVVGLVSAQSASNVRSTYHLYQPEQHNWDLRAVSAYCSTYDADKSFAWRSKYPWTAFCGPSGPQAEQACGRCLRVTNTRTNAQITVRIVDKCANGGLDLDITPFQKIDTDGNGYAQGHLIVNYDFVDCGD >VigunL077250.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000541.1:54844:55909:-1 gene:VigunL077250.v1.2 transcript:VigunL077250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTNSSCSKVHGKATRVASSEAGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun01g187300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36720894:36721894:-1 gene:Vigun01g187300.v1.2 transcript:Vigun01g187300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKRLASENGVVIFTKSSCCLCYAVNILFQELGANPLVYEIDHDPEGREIEKALLKLGCNAPVPAVFIAGKLIGSTNEIMSLHLSGSLTRMLKGQPLS >Vigun01g187300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36720947:36721696:-1 gene:Vigun01g187300.v1.2 transcript:Vigun01g187300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKRLASENGVVIFTKSSCCLCYAVNILFQELGANPLVYEIDHDPEGREIEKALLKLGCNAPVPAVFIAGKLIGSTNEIMSLHLSGSLTRMLKGQPLS >VigunL038400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:323010:324011:-1 gene:VigunL038400.v1.2 transcript:VigunL038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoA MVQEKLRVSTRTLQWKCVESRIDSKRLYYGRFILSPLMKGQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDAWISFKGPGYITAQDIILPPSVEIVDNRQHIANVTEPVNLCIELKIERNRGYRIKTLKNFQDGSYDIDARFMPVRNVNYSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASQNLIDLFLPFLHAEEDNFHLEKNQDKVTLPLFTFHDILVKDKLRKNKKEIALKSIFIDQLELPPRIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA >Vigun08g163100.1.v1.2 pep primary_assembly:ASM411807v1:8:33571161:33576704:-1 gene:Vigun08g163100.v1.2 transcript:Vigun08g163100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPITMTNSEEPSLPNPNDNTQHSTNDDEEPHHHHDIEEEEEEDDEYDEDVIQQPNRRIPQSAASKLREQRFKVETLTRRLSTELVSIRVHDVVINGNTKTKDWVIEAELRGIENATSMQELVQVSQIAISRLQDLEIFDSCTVRLEAGPRELPNTANVIIDVVETGNKVSAKFSVYNKPSTSSWTAEGALKYKNFLGYGDLWDASLAYGANQATEVSIGVHAPRVRRFLTPFVARLSMLSQDWQETSSYKDHLVGASLGLISTKHHDLAYTLGWRTLADPSQMSSRSIRRQLGHGLVSSLKYTFKIDRRNSPIRPTKGYAFLSSTHVGGLTPDPRSLRFLRQEFGVRFAVPFGFYNTALNLGISAGAVFPWGHGFKTKPSPLPERFYLGGDFSPVCTLGGPTTLWGFKTRGLGPTEPRRLRLNRDESDDDSNDSSGWDFIGGDLAVTAFADLSFDLPIRWLREHGIHGHVFAGSGNAAKLTQNEYRHFSPRKFLESFRMSVGCGFVIPTNLFRLEGNYFYILRKSEQDRGKTGFRFSFSAPL >Vigun06g169100.1.v1.2 pep primary_assembly:ASM411807v1:6:29062449:29068244:1 gene:Vigun06g169100.v1.2 transcript:Vigun06g169100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNHATFISVSLAPPSSVLFPKRSSAVLTPKQTLLARNVRLRVRASASKGDGNAQAANCSQWVPVGSFAADKVFRLIAGATASPIGQFVPYPTTFLHSVDPRVKLVWLLALVVLPARSHIIMRFGLVIYLTLLSMWVLPRNAWTDQLGRVYFLSGLLFITLGLGSDGVPALVQLRTPPPAMMGLPHLPVSLTGYAYTITKLGPLTFTRKGLSVASTVACLTFTVFQSASLCLTTTTPEQLAFALRWFMLPLRYIGVSVSEIVLTLLLSLRFISLVFDEVRNIALGIVSRRINWKQLTVMETIDIFFNYFRRIFKNIFSHAEQISQAMIVRGFKGDSGSHKIYFLSESSFGMVDAICLLFLTVVIGVALLSEYYLV >Vigun06g151650.1.v1.2 pep primary_assembly:ASM411807v1:6:27610194:27610423:1 gene:Vigun06g151650.v1.2 transcript:Vigun06g151650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKRGWGQALLIVTTVALVTRALWESAIILLTLNKKPKENKLWKLKTKAFHIFTFL >Vigun05g149800.1.v1.2 pep primary_assembly:ASM411807v1:5:21968655:21989762:1 gene:Vigun05g149800.v1.2 transcript:Vigun05g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSRSSAPRLLYALCSTASKSPAAFLLGGSFQLRHFSAGNAARAKSEKDPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYDVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAMQVPIGLEEDFKGLVDLVQLKAYYFHGSSGEKVVSEDVPADMEALVAEKRRELIETVSEVDDKLAEAFLGDETISAADLEEAVRRATIAQKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEEKVELAGNPDGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIVNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDASVGKPRVNFRETVTQRAEFDYLHKKQSGGQGQYGRVIGYIEPLPVGSSTKFEFENLLVGQAIPSNFIPAIEKGFKEAANSGALIGHPVENLRVVLIDGAAHAVDSSELAFKMASIYAFRQCYAASRPVILEPVMLVELKVPTEFQGAVAGDLNKRKGVIVGNDQEGDDSVITAHVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSHDVQTQLVNTYKGSKEAE >Vigun08g196500.2.v1.2 pep primary_assembly:ASM411807v1:8:36247309:36250007:-1 gene:Vigun08g196500.v1.2 transcript:Vigun08g196500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIHQTTRKMSQLCRKFAQVDVRWGVLKRVSFVGQFFRFIWNRILVCSVAGRPPHYRKLQLRDPSSSSPLVTDSDTFLAAAATCGYDSDSDLVNLKISLLGDCHIGKTTFVIKYVGNEQEKMSLQMEGLNLMDKTLSVQGARISFRIWDVAGDKRSLDQIPMACKDAVAILIMFDLTSRCTLNSVVGWYSEARKWNQSAIPILIGTKFDDFVRLPPDVQWTIVTQARAYAKAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLRVGEPIIDF >Vigun08g196500.1.v1.2 pep primary_assembly:ASM411807v1:8:36247290:36249741:-1 gene:Vigun08g196500.v1.2 transcript:Vigun08g196500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIHQTTRKMSQLCRKFAQVDVRWGVLKRVSFVGQFFRFIWNRILVCSVAGRPPHYRKLQLRDPSSSSPLVTDSDTFLAAAATCGYDSDSDLVNLKISLLGDCHIGKTTFVIKYVGNEQEKMSLQMEGLNLMDKTLSVQGARISFRIWDVAGDKRSLDQIPMACKDAVAILIMFDLTSRCTLNSVVGWYSEARKWNQSAIPILIGTKFDDFVRLPPDVQWTIVTQARAYAKAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLRVGEPIIDF >Vigun02g050300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19129709:19131235:1 gene:Vigun02g050300.v1.2 transcript:Vigun02g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRTATLTFSSLRSLQPLRCHHHHPISPVNPDHLLRVCTVLYQQQNSPESRLTSKLTSCEFQLTHEFFLQVCNKFPYSWRPVYRFFLYTESQPSFKHTTVSFNKMLDVVGKSRNIDLFWELLNDMAHRRFVNDKTFVIALRTLGGARELKKCVEFFHLMNSNGCEYNLGSLNKVVEAMCKCKLVEEAKFVVFKLKELVRPDGVTYKWLVGGYCDKGDLVEASKVWNLMEEEGFEPDVDAVEKMMETFFKVNQYGEALRLFDTMRFKRMDELGASTYGLVIKWLCKKGMMEQAHEVFEEMRERGVRVDNTTLGHVVYGLMTRRRVREAYEVVEGIEVPDLSVYHGLIKGLLRLRRASEATQVFREMVRRGCEPNMHTYIMLLQGHLGRRGRKGPDPLVNFDTIFVGGMVKAGKSKEATKYVERVINRGMEVPRFDYNKFLYYFSNEEGVCMFEDVGKKLREVGLFDLADILESYGQKMATRDRRRNTSSIAADTEVVISDTDNQAV >Vigun09g107200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:22104960:22106171:1 gene:Vigun09g107200.v1.2 transcript:Vigun09g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNFFRIGGVATDLPYGWIDKCYDFCDYFLTSIAEYQKLITRNPIFLERVEGVGVVDVKEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIESIKIIQQALEGLPGGPYENLEIRCFDREKEPEWNEFEYRFISKKSSPSFELPKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >Vigun03g200300.1.v1.2 pep primary_assembly:ASM411807v1:3:29219303:29227930:1 gene:Vigun03g200300.v1.2 transcript:Vigun03g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKADSSSSSDGDTSPESAPPSLATIAENLQRSALQSARTVQHSSTTQFHAFQNSLPEAASQYRKYEDAFFNKVKDGLMIAKENPGLTAGVAISTALLVMRAPRRFLFRHTFGRLQSEEARFARTEKSVKDLNLSVDLLKKESVKLLQRTALAEKEMKYGHTELQSAGNQFQQLAKSAYKVETRASDLLDKLRYIPSREALALRAEVASMASNLKRQRSVLNKRIVKINELGIPV >Vigun05g266000.2.v1.2 pep primary_assembly:ASM411807v1:5:45791549:45795342:-1 gene:Vigun05g266000.v1.2 transcript:Vigun05g266000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQAFLQLRALTTPVRAWPHREVKSTTRNHIVCKAEKEDVEEGDASTLSLLSRRLALGTALIGGAAVAGTKASPADAAIAKRSLEKPISVLPAVSTEEYPASVVEALSLNRSSFPEGFVFGTASAAYQYEGAASEGGRKASVWDAFTHRYPERIDDRSNGDVAVDEYHRYLEDVQIMKDMNLDAYRFSVSWSRIIPNGKVGENEEGVNQEGIDYYNDLIDNLIANGLEPYITLFHWDTPQALQEEYGGFLSHQIVDDFKDFAKVCFKYFGDRVKHWITLNEPWSYSNGGYAIGAFAPGRCSEWQDPTCLGGDSGTEPYIVTHNLLLSHAAAVDVYRNEFKESQNGMMGITIIANWYEPYTDAAEDVAAAKRALDFMFGWYMEPLTSGKYPDTMRKLVGKRLPEFSAEESTLLAGSFDFLGLNYYTTNYAANRPKVEPSTTSKDEPSYTTDANVTYLTERNGIPVGTPTASDWLYVCPKGFKDLLLYTKATYNNPLIYITENGRGNDVNDEAQTLEEALLDIYRIDYYYRHLYYLLSAIGEGVNVQGYFAWSLLDNFEWKNGYSVGFGLNYVDRNDGLKRYAKLSAQWFTNFLKKPSLPK >Vigun05g266000.1.v1.2 pep primary_assembly:ASM411807v1:5:45791549:45795342:-1 gene:Vigun05g266000.v1.2 transcript:Vigun05g266000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQAFLQLRALTTPVRAWPHREVKSTTRNHIVCKAEKEDVEEGDASTLSLLSRRLALGTALIGGAAVAGTKASPADAAIAKRSLEKPTVSVLPAVSTEEYPASVVEALSLNRSSFPEGFVFGTASAAYQYEGAASEGGRKASVWDAFTHRYPERIDDRSNGDVAVDEYHRYLEDVQIMKDMNLDAYRFSVSWSRIIPNGKVGENEEGVNQEGIDYYNDLIDNLIANGLEPYITLFHWDTPQALQEEYGGFLSHQIVDDFKDFAKVCFKYFGDRVKHWITLNEPWSYSNGGYAIGAFAPGRCSEWQDPTCLGGDSGTEPYIVTHNLLLSHAAAVDVYRNEFKESQNGMMGITIIANWYEPYTDAAEDVAAAKRALDFMFGWYMEPLTSGKYPDTMRKLVGKRLPEFSAEESTLLAGSFDFLGLNYYTTNYAANRPKVEPSTTSKDEPSYTTDANVTYLTERNGIPVGTPTASDWLYVCPKGFKDLLLYTKATYNNPLIYITENGRGNDVNDEAQTLEEALLDIYRIDYYYRHLYYLLSAIGEGVNVQGYFAWSLLDNFEWKNGYSVGFGLNYVDRNDGLKRYAKLSAQWFTNFLKKPSLPK >Vigun01g047400.7.v1.2 pep primary_assembly:ASM411807v1:1:7083593:7086849:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICS >Vigun01g047400.4.v1.2 pep primary_assembly:ASM411807v1:1:7083592:7086941:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICSQCGKSFKPEKMYKHMKSCKGMKALGKSAPNVEEAQLPRSSTSSHTHYFLTN >Vigun01g047400.5.v1.2 pep primary_assembly:ASM411807v1:1:7083500:7086943:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICS >Vigun01g047400.1.v1.2 pep primary_assembly:ASM411807v1:1:7083691:7094674:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMAMESSKGYSHYTKEDLHIMKSKSKKNNTPREIEMEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICSQCGKSFKPEKMYKHMKSCKGMKALGKSAPNVEEAQLPRSSTSSHTHYFLTN >Vigun01g047400.3.v1.2 pep primary_assembly:ASM411807v1:1:7083562:7086942:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICSQCGKSFKPEKMYKHMKSCKGMKALGKSAPNVEEAQLPRSSTSSHTHYFLTN >Vigun01g047400.2.v1.2 pep primary_assembly:ASM411807v1:1:7083563:7087069:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICSQCGKSFKPEKMYKHMKSCKGMKALGKSAPNVEEAQLPRSSTSSHTHYFLTN >Vigun01g047400.6.v1.2 pep primary_assembly:ASM411807v1:1:7083501:7086942:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICS >Vigun01g047400.9.v1.2 pep primary_assembly:ASM411807v1:1:7083500:7086943:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICS >Vigun01g047400.8.v1.2 pep primary_assembly:ASM411807v1:1:7083500:7086943:-1 gene:Vigun01g047400.v1.2 transcript:Vigun01g047400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLGEVRRLHIIYFLSQIGGRADHPHLIRVLHLTRNGVYLRDVKRWLGELRGKDLPEAFSWSYKRYKSGYVWQDLLDDDLITPISDNEYILKGSQIHTTPFATTPSLEEKKAAVCDINAEKMCPQLQVVEDKEHQHQQQRKPPQSLAEEESQVQTDQNSKTNSPTKGSSEISQDSLVFSSDRSSVTDDDSSKVEEEKHLDITRGKESFSEMHQEKLETCSLPSLYHNLLSKKGIHKDDQNKTHSPDSSLSTISSSSSQSSFTKIRSNSTKVSNIFRNWITCGTVETNDAALILMNPGQTKISKEPSNMPETKAEICKGDRLGGSERCFRTSWGHQDQKQQYGARKSFDGEETNRSRKKLGDLLNQSSCKPFGGPICS >Vigun03g279900.1.v1.2 pep primary_assembly:ASM411807v1:3:45814872:45816336:1 gene:Vigun03g279900.v1.2 transcript:Vigun03g279900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFLVEVPIPSLFGVVTTALRHCYGHRIPSLMLIFCTSSTPLEILGSHGQQMEFIPMVTMTGKEDFACRESSYTCGCSAYCGERWSSTEDIETSPFIEIE >Vigun09g039400.2.v1.2 pep primary_assembly:ASM411807v1:9:3524707:3528179:-1 gene:Vigun09g039400.v1.2 transcript:Vigun09g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFNDVAATLQSIRYNRGSLQLLDQRKLPLETTYLEIRDSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVFNLDGFNGSAGEAVSFLQNKLEYLVSSRPTAVNLSDAAGKLKEVVSTAAATTSEGRTVFQAFIEAAEVMLEDDVASNRAIGSYGASCIQQQTEKKKLSVLTHCNTGSLATAGYGTALGVIRALHSAKVLERAYCTETRPFNQGSRLTAYELVHEKIPATLIADSAAAALMKAGSVDAVVVGADRVASNGDTANKIGTYSVALSAKFHNVPFYVAAPLTSIDLSLSSGQEIVIEERSPKELLNARGGLGEQVAASGISVWNPAFDVTPANLISGIITEKVFLTPNLYEFQLHFLL >Vigun09g039400.1.v1.2 pep primary_assembly:ASM411807v1:9:3524707:3528179:-1 gene:Vigun09g039400.v1.2 transcript:Vigun09g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFNDVAATLQSIRYNRGSLQLLDQRKLPLETTYLEIRDSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVFNLDGFNGSAGEAVSFLQNKLEYLVSSRPTAVNLSDAAGKLKEVVSTAAATTSEGRTVFQAFIEAAEVMLEDDVASNRAIGSYGASCIQQQTEKKKLSVLTHCNTGSLATAGYGTALGVIRALHSAKVLERAYCTETRPFNQGSRLTAYELVHEKIPATLIADSAAAALMKAGSVDAVVVGADRVASNGDTANKIGTYSVALSAKFHNVPFYVAAPLTSIDLSLSSGQEIVIEERSPKELLNARGGLGEQVAASGISVWNPAFDVTPANLISGIITEKGVITKTSDDAFDIKAFVQKTG >Vigun05g033400.1.v1.2 pep primary_assembly:ASM411807v1:5:2690727:2692357:1 gene:Vigun05g033400.v1.2 transcript:Vigun05g033400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHECNANEIFEGQVHLYKHLHDHAVDGMSIKWMIELGIPDIMHNHDQPITLIELVSILQIPQTKVRGVKSLLRYLAHNGFLQIVRVHHNTEEKEAYALSAASQLLVKGTDLSLAPMVELLIKPNAAHVWSHLKKWTYEDGVTLFDVSLGSNMWEFLSKKPKFNESFNEAMACDSQMMNVALRGCNWVFEGMESIVDVGGGTGTTAKAVCDAFTNVKCTVFDQPHVVEKLSGTNNLTYVGGDMFKSIPKADVVLLKLILHDWNDKDCKKILENCKEAISGKGKRGKVILIETVINEDQDEHELTGLKLAMDVRMTCFLNGKERSEEEWKKMFVEAGFQNYKISPLTGYLSLIQIYP >Vigun11g045500.1.v1.2 pep primary_assembly:ASM411807v1:11:6811036:6823734:-1 gene:Vigun11g045500.v1.2 transcript:Vigun11g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFHVLVLGFVALNCVQVFESNAQLIPQDEVRVLQAISDKLENLNWTVTERSCTENEGFNGKMNIGDDIVRNVTCNCNFENSTVCHVDNIFLKGQNLSGVFPSEFGNLTHLKVLDLSRNYLNGSLPKSFPPNSSLINLSLLGNRLSGQIPTEIGDIDGLEELVLECNQLEGPLPPSLGNLSNLKRLLLSSNNFTGTIPETFSELKNLTDFRIDGSSLSGPIPSFIGNWTKLDRLDLQGTNMEGPIPSTISQLKLLTELRITDLKGPTMDFPNLEELKNLERLALRNCLITGRIPEYFSEMASLTTLDLSFNMLTGPVPESIQNMKSLDYLFLTNNSMSGEIQDWILSFKENIDLSYNNFTKSSASSCQQVDVNLASSHSSSAETTASTFCLKRNLPCAGEPQYKSLFINCGGSEGEFEGNNYVGDLRLDGISTFDLRNDGQWAYSSTGVFMGKVDADYVARNTFSLNISGPDYYQNARLSPLSLNYYGLCLPNGNYKVKLHFAEIMYTDDQTFRSLGRRIFDVSVQGVRYLKDFNIVEEAGGVGKGITREYDVDVDDGTLEIHLYWAGKGTTSIPDRGVYGPLISAIEMIPNFENPSKGLSGGVIVGIVAASCGLVILILVVLWKMGLLCRKDTTDKELLDMKTGYYSLRQIKAATNNFDPANKIGEGGFGPVYKGVLPDGDVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYMENNSLARALFGGEEEKLNLDWPTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTKYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGLNYSQEEAMRMLSLALLCTNPSPTLRPTMSSVVKMLEGKIPIQAPIIKRSESNQDLRFKAFELLSQDSQTHVSSAYSQESMKQGNKSEDGPWLDSSLYLQSDGHSSSNKLI >Vigun02g002100.3.v1.2 pep primary_assembly:ASM411807v1:2:1163476:1168425:-1 gene:Vigun02g002100.v1.2 transcript:Vigun02g002100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSTPFLGIRQQNQTQVSQHHQPSTAASSTTTTTTTTTSTTTTTPTTVPQKKRRNQPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARHPSNLNPLGTHHLYGTNHMSLGLGAQLQNQAPSANSLLSLGSAPKFEHLISPNLHHSSAFGVQSPPHSSFFITDPNQAFQDLQSQQQGPLFSTKQLHGLMQLPDLQGTNNNSTSASSLSASANNTNLFNLSFFPNTNTSGSIINDQFSNISGGNDQGTTTLYSSSSPPLHCFRKLLKWVQPQPPMVPALFLEEQKN >Vigun02g002100.2.v1.2 pep primary_assembly:ASM411807v1:2:1163476:1168425:-1 gene:Vigun02g002100.v1.2 transcript:Vigun02g002100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSTPFLGIRQQNQTQVSQHHQPSTAASSTTTTTTTTTSTTTTTPTTVPQKKRRNQPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARHPSNLNPLGTHHLYGTNHMSLGLGAQLQNQAPSANSLLSLGSAPKFEHLISPNLHHSSAFGVQSPPHSSFFITDPNQAFQDLQSQQQGPLFSTKQLHGLMQLPDLQGTNNNSTSASSLSASANNTNLFNLSFFPNTNTSGSIINDQFSNISGGNDQGTTTLYSSSSPVSNQVGSGLSSLFGNSSLQQDNMSPHMSATALLQKAAQMGSTTTTNGPCSLLRGTEELGIRSRMESEHSNHLRGLMNSFANGNASIFGNVKGNENNLGQFHNVVEEPKKMSQNLGLCFGGSDKLTLDFLGVGGMVRNMNSGGFSQRDQQHSMGTMSPLDPKLESAQPNQNFGASTL >Vigun02g002100.1.v1.2 pep primary_assembly:ASM411807v1:2:1163476:1168425:-1 gene:Vigun02g002100.v1.2 transcript:Vigun02g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSTPFLGIRQQNQTQVSQHHQPSTAASSTTTTTTTTTSTTTTTPTTVPQKKRRNQPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARHPSNLNPLGTHHLYGTNHMSLGLGAQLQNQAPSANSLLSLGSAPKFEHLISPNLHHSSAFGVQSPPHSSFFITDPNQAFQDLQSQQQGPLFSTKQLHGLMQLPDLQGTNNNSTSASSLSASANNTNLFNLSFFPNTNTSGSIINDQFSNISGGNDQGTTTLYSSSSPVSNQVGSGLSSLFGNSSLQQDNMSPHMSATALLQKAAQMGSTTTTNGPCSLLRGTEELGIRSRMESEHSNHLRGLMNSFANGNASIFGNVKGNENNLGQFHNVVEEPKKMSQNLGLCFGGSDKLTLDFLGVGGMVRNMNSGGFSQRDQQHSMGTMSPLDPKLESAQPNQNFGASTL >Vigun02g002100.4.v1.2 pep primary_assembly:ASM411807v1:2:1163476:1168425:-1 gene:Vigun02g002100.v1.2 transcript:Vigun02g002100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSTPFLGIRQQNQTQVSQHHQPSTAASSTTTTTTTTTSTTTTTPTTVPQKKRRNQPGTPYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARHPSNLNPLGTHHLYGTNHMSLGLGAQLQNQAPSANSLLSLGSAPKFEHLISPNLHHSSAFGVQSPPHSSFFITDPNQAFQDLQSQQQGPLFSTKQLHGLMQLPDLQGTNNNSTSASSLSASANNTNLFNLSFFPNTNTSGSIINDQFSNISGGNDQGTTTLYSSSSPPLHCFRKLLKWVQPQPPMVPALFLEEQKN >Vigun02g002100.5.v1.2 pep primary_assembly:ASM411807v1:2:1163476:1168425:-1 gene:Vigun02g002100.v1.2 transcript:Vigun02g002100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHESARHPSNLNPLGTHHLYGTNHMSLGLGAQLQNQAPSANSLLSLGSAPKFEHLISPNLHHSSAFGVQSPPHSSFFITDPNQAFQDLQSQQQGPLFSTKQLHGLMQLPDLQGTNNNSTSASSLSASANNTNLFNLSFFPNTNTSGSIINDQFSNISGGNDQGTTTLYSSSSPPLHCFRKLLKWVQPQPPMVPALFLEEQKN >Vigun01g221300.1.v1.2 pep primary_assembly:ASM411807v1:1:39490142:39490702:-1 gene:Vigun01g221300.v1.2 transcript:Vigun01g221300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLSILVLIATIFLPSIAVAKEIVVGDDHGWTLGFDYSSWAADKTFRVGDVLVFKYAVGEHNVFKVNGTAFQSCTVPPPSEALTTGSDRIVLAIPGRKWYICGVGGHCNAGQKLVITVQPQALPPTLPPVPAPSPSEDGLGFGGWVSKKILTIFH >Vigun01g232400.1.v1.2 pep primary_assembly:ASM411807v1:1:40453888:40456874:1 gene:Vigun01g232400.v1.2 transcript:Vigun01g232400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTVGVSVPLLGESDGAMPPASVPGAVFNVATSIVGAGIMSIPAIMKVLGVVPAFAMIIVVAVLAELSVEFLMRFTHSGETTTYAGVMREAFGSPGALAAQVCVIITNVGGLILYLIIIGDVLSGKQDGGEVHLGILQQWFGIHWWNSREFALLFTLVFVMFPLVLYKRVESLKYSSAVSTLLAVAFVGICCGLAIFALVQGKTQTPRLFPRLDNQTSFFDLFTAVPVVVTAFTFHFNVHPIGFEFAKPSQMTTAVRLALLLCAVIYISVGLFGYLLFGDSTQSDILINFDQSNDSVVGSLFNTLIRVSYALHIMLVFPLLNFSLRSNIDEVLFPNKPMLATDNKRFMILTVVLLVFSYLAAIAIPDIWYFFQFLGSTSAVCLAFIFPGSIVLRDACGISTKRDKIIALIMIILAVVTSVLAISTNIYNAFSSKS >Vigun06g142300.1.v1.2 pep primary_assembly:ASM411807v1:6:26795586:26799274:-1 gene:Vigun06g142300.v1.2 transcript:Vigun06g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGRKQGVASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQDLPMHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVAHLRVRQNRCFSNHGPRPTSPTNTMGSHAKPIFDMDMVVDQASYGSSMWS >Vigun06g142300.2.v1.2 pep primary_assembly:ASM411807v1:6:26795586:26797469:-1 gene:Vigun06g142300.v1.2 transcript:Vigun06g142300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGIWGSQWEIGNVMLAPKVKDLPMHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVAHLRVRQNRCFSNHGPRPTSPTNTMGSHAKPIFDMDMVVDQASYGSSMWS >Vigun07g004200.1.v1.2 pep primary_assembly:ASM411807v1:7:344547:346598:1 gene:Vigun07g004200.v1.2 transcript:Vigun07g004200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGKWLRNLLTGKRDREKEKEKCGTNNLCLLNATTQNPTTPASSTTTTTTTSSNTPKEKRRWSFRRSSASRELNLAESGVTASVTVQTTTTDAENDRMKHVTVVPADAAIICFTPDSNISSTSIEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRKQARETLRCMQALVIAQARARAQRARIVSEGKTDQNLSPYRITPEDNFFMNMYNEMESGLELEENAKIVEMAVCESKANSRGRNSSANRELSDHRFSAYYSSNGSYKEENYNASSPAPSTLTELSPRAYSGHFEECSFSTAQSSPYYNNTKLPFTFPKPPYTEAMSYDHPLFPNYMANTESSRAKARSQSAPKARPDSVERQPSRRRASMEGRNVPKPVRMQRSSSHVGITAQNYQYPWSIKLDRSIVSECGSTSTVLTNSNYYCT >Vigun03g245700.1.v1.2 pep primary_assembly:ASM411807v1:3:40871248:40879362:1 gene:Vigun03g245700.v1.2 transcript:Vigun03g245700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYALHQGWDNNSALEGYGAVHEPNFRVGGSYDERRFLDERYPRDPVYQRNNFHPDILDREAYLPPGPPPVGHWSQSKRRGYDEDYPLDRESRRFQRPYHESYNQIDGFRDREIDTYPEYERFRDGYTGIENYGDRGYDKPARFSGHERDDYAYEDYDYKSRASSHHRREDSHDRDYDHGRHSYDSDYERGSRRDSNWRRRESRDRERDKRGHSREGELSPRRRHERSRSRSHSRSRSRSRSRSHSHSHSHSRSQSRGYDDHPRSRSPRGRSHGRSYREGSYTESRYDKSERRRDRDDKRQREHYSVAPSATVVVKGLSQKTTDEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVGAAQGMMDKLGDDGLVVDGRKLFFEYSSKPTGGPGPDGAVKSGHNYKSITVPSDWMCTVCSYINFARRTSCYQCNEPRTDDAPAADISLSNSAATGKKGLEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTMLEKNGQILRVAYAKSILGPGSGTSGTSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDKLSTGQEQPGTEVGAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNNGIWYSYDNQTQQYIPCTDQNQNKAPNNESEPSKTSDGSGSKKVISAPATTVISVEKPASLADAVQAAATAALAAEKKEKEKSKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRVALEDNQPSVSADDRSYSAGHSAKNKSKNEIMVRETNASNPGIHTTLAQVAAIDSQAQPRPVSNSLGGTVMGVIRGSGRGVVKSDSYSGSTSVASSMHSSSSANVDPHTVATPFRTDVSALSSYTPPATVGSGRRRFSEMPLPASAHKEQPQTTYRDRAAERRSLYGSSSSVGNDLADLDIGDSNRDFASRKGDPMPFPPGVGGGRVVGDVNVDTFEVITADKAIDENNVGNRMLRNMGWQEGLGLGKDGSGMIEPVLAQATEHRAGLGSQQKKLDPSLEVQAGDSYKMLIHKKALARFREMSDN >Vigun01g028500.1.v1.2 pep primary_assembly:ASM411807v1:1:3417318:3417971:-1 gene:Vigun01g028500.v1.2 transcript:Vigun01g028500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYEKVLMKMIFLFLILLSMNMATARVPLWISDPHKPNERFLGGGDPIGHNFPRRPPSP >Vigun03g085400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7086330:7087612:1 gene:Vigun03g085400.v1.2 transcript:Vigun03g085400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLISQFTFLSDQPLHDKSFDLFTTEDLVKLFEIESYKAWVAVELQKEVEEAEAIMQQAEDHFDRIIMESAMEEFRYFEEEVERISKTGVDSFIETAESGRKMEESDTSVASKRYTIEASVNSVISSSKVHPS >Vigun11g005200.1.v1.2 pep primary_assembly:ASM411807v1:11:550824:558039:-1 gene:Vigun11g005200.v1.2 transcript:Vigun11g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEAGAKPVTALSAQVCQICGDGVGKNVDGEPFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDTEEDGAATDGASDFNYDSDTQNQKQKISERMLSWQLTYARGEEVGAPSYDKEVSHNHIPLLTSGQEVSGELSAASPERLSMASPAVGGGKRVHNIPYSSDINQSPNVRAGDPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDATTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIMLRLVILCIFLHYRITNPVPNAYPLWLVSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFSKKYSIEPRAPEWYFAQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKIPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLLSSLCGGNRKKSSKSSKKGSDKKKSSKNVDPTVPIFSLEDIEEGVEGTGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLIYCILPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINMVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEECGINC >Vigun03g049900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3999519:4001597:1 gene:Vigun03g049900.v1.2 transcript:Vigun03g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPKVFFDILIGKMKAGRVVMELFADTTPKTAENFRALCTGEKGIGQSGKPLHYKGSTFHRIIPEFMCQGGDFTRGNGTGGESIYGSKFQDENFKLKHTAPGILSMANAGPHTNGSQFFICTTKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSGSGRTSETVVIEDCGQIVEN >Vigun09g184200.1.v1.2 pep primary_assembly:ASM411807v1:9:35787756:35792713:-1 gene:Vigun09g184200.v1.2 transcript:Vigun09g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKASVGPDPDPFRVKRKTLEAVLLQCQRALELIDAASPSSSSAEVEEEDCVADGEAEAIACTDPHADQLRDMLKSRVECPAFLQKLECAQASVSKNIDEEGNSWDMIGENDLWEGQRDDLDQEDYVLVRQEDIVEGIACFMAAYLLSIKQTKDLTPVQLQDALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVILRAATKAFWTSCHVISKLL >Vigun03g163400.1.v1.2 pep primary_assembly:ASM411807v1:3:18437417:18442612:-1 gene:Vigun03g163400.v1.2 transcript:Vigun03g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSFKPAKCKTQLKLAVSRIKLLKNKRETQVRQLKRELAQLLESGQDRTARIRVEHVVREEKTMAAYDLVEIYCELIAARLPMIESQKTCPIDLKEAVSSLIFASPRCSDIPELVDVKKQLTSKYGKEFVSAAVELRPDCGVSRMLVEKLSAKAPDGPTKMKILAAIAEEHNIKWDPKSFEENDVKSSQDLLVGPSTSEKAAYAELSQIHVPPVHDEMGPSNVRASSQVKPMGHVSTNSYEQTASAAARKDQSTTSRVSDQEIRSSGTGSQETDFVDSYSDNRSAFPMSRHNWNMEFKDAASAAQAAAESAERASMAARAAAELSNRENMARQYSGGSHSSSGNGLRDERPQGYAFHDDKNISTSSVHGSFHRSSSETHNEQISTREQDDMVGGHSEYFRTSNENVVKHSQSASLMSGGAFGNDKPFTDGSQMADIYHHRNSFEQKNSVLHETSLRMQPGRNEEDFVADLYDDSDLNTENNYHFGDARTNKPSRKASASHLVTPSDDHSDNLDLNGWKTGNKAVEDLFVDDEANTQRNFTGTSSYNDTSVLFDDSEPEDDDDYKFDVDKKYNREGSSLFLSSPSSRSQVDSWRHEQNIDEKVTSFSTQSHFNEVPERLTSAVSSEKEDLLPVTFDDSDDPGSDSDVGLVESKASGLSDYGNSSLNAVASHGNLGSSSRNDKNMGNDRKSWLSPSVGSDTIEEHFETRVDTTTVSEKNLGYDDFSASLPSTKERSSTSGLDHETNNGTETMEEFHTERGEEFGFGTLTGGLRNKGFKRPPYINNTLDGVSSSLGNTSIRNERSLLTGSASIGFDTPVQDKYTRELSRGHKNASSEVHNISSDPDSYRVVANSQETPATTTKPRIQKEQSEAKNKSSSRVSVTYFDSDNSVFEDELPKENSPGFARPVSGMSRRTSTSPKASTGLSSRDAPLSKASVPPAATLGWKSSRTSYENTDQNASSMTRSSENWTGSKPTSAKSKATEPVSEPKRSLHGEVSKSPAKLQPASSRKTMIQDNKEDQDDSNGDASNPKVGHVHPKLPDYDSFAAHFLSLKKGRP >Vigun06g219000.1.v1.2 pep primary_assembly:ASM411807v1:6:32938648:32951095:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQKLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.2.v1.2 pep primary_assembly:ASM411807v1:6:32938648:32951095:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQKLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.3.v1.2 pep primary_assembly:ASM411807v1:6:32938648:32951095:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.5.v1.2 pep primary_assembly:ASM411807v1:6:32938700:32951030:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQNFYEQKLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.4.v1.2 pep primary_assembly:ASM411807v1:6:32938648:32951095:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.6.v1.2 pep primary_assembly:ASM411807v1:6:32938700:32951030:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKEAELTEENQKLKQNFYEQKLVREQRQCYESITSSSSDFPADNGSSDTSLKLGLRLFE >Vigun06g219000.7.v1.2 pep primary_assembly:ASM411807v1:6:32938700:32951034:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKPVGDFFMNLYSLNLFLQ >Vigun06g219000.8.v1.2 pep primary_assembly:ASM411807v1:6:32938700:32951034:-1 gene:Vigun06g219000.v1.2 transcript:Vigun06g219000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIPIKKIDNINARQVTFSKRRKGLFKKAQELSTLCDAQIALIVFSATSKLFEYATSSMQQILERRNRHSGVQGSDISSTGQQQLGSESFDMLRKVVEDKTHELSQLNGQDLQGLTIQELQKLEELLQRRWTTISKIKEEKMTQEINSLKTKPVGDFFMNLYSLNLFLQ >Vigun07g148000.2.v1.2 pep primary_assembly:ASM411807v1:7:25878892:25881190:-1 gene:Vigun07g148000.v1.2 transcript:Vigun07g148000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKEFEFAVFEKGTVGGKSVDPCNLLPPNLPVLAHTLEHTQPNWIARSNSPTDLIIQIGDSSFHLHKVVMASRSEYLNRLVFERGSNRESGGESLIIQIKNLPGGKKSFKSIVKFCYGRKFDITASNIAPLYCAAHFLEMSEDLQQGNLISKTEAFLTFLIISSWKDTFQILKTTESISHWAKDLQIVKRCSQAIALKVCADSNASNFERPLSETDNSVHDWWFEDVSFLRIDHFIEVIQSIKRCGIKPELVSSCIEHWTRKWFSQIRLGLDKETPKSLTLHRISIECLINILPAEENSVTCNFLLHLLKAGVMLKINSELLCVLERRVALMLDKCRVPDLLVKNQHEKHSLYDVTVVLRVLRFYVCGMSSNHSAKAKPNSVGRLVDGYLVQVARDENLTMESFKSIVEALPQNARHCDDNLYRAIDMYLKAHPNLTEEDRTDICRNLEYHRLSQEARKHVMKNDRLPLKLTTEFVLLEQVNMTTSMTSNGSSYRRTQAQTIMRVNKDLERREIVNAQEINMMRKDVEMIKSQLLKVYSCKLKLQKQLKGCIR >Vigun05g123300.1.v1.2 pep primary_assembly:ASM411807v1:5:13675464:13678652:1 gene:Vigun05g123300.v1.2 transcript:Vigun05g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPLGLRFLNSRLGLVRNGSGSASFSTVLTASHTPSTDSSSDLDTQSTGSFFRDNSITLGSLIGISSFLELSGRSTRGRMVEPSKDSKRIHKLKPWLFSLCSRLSTDAVSGNDAPSLGHYLEAERRAASTYRRNQYPTTYGPNVFSPIQDSTSLLVGSQIDHWPSASLGDDGGH >Vigun05g123300.2.v1.2 pep primary_assembly:ASM411807v1:5:13675464:13678652:1 gene:Vigun05g123300.v1.2 transcript:Vigun05g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEEGWPLGLRFLNSRLGLVRNGSGSASFSTVLTASHTPSTDSSSDLDTQSTGSFFRDNSITLGSLIGISSFLELSGRSTRGRMVEPSKDSKRIHKLKPWLFSLCSRLSTDAVSGNDAPSLGHYLEAERRAASTYRRNQYPTTYGPNVFSPIQDSTSLLVGSQIDHWPSASLGDDGGH >Vigun05g123300.3.v1.2 pep primary_assembly:ASM411807v1:5:13675827:13678652:1 gene:Vigun05g123300.v1.2 transcript:Vigun05g123300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWSEGTQSGGDTSLSEVTLVWIVPSILFQCVVIHFYSSISFSKNTVMAQQEEGWPLGLRFLNSRLGLVRNGSGSASFSTVLTASHTPSTDSSSDLDTQSTGSFFRDNSITLGSLIGISSFLELSGRSTRGRMVEPSKDSKRIHKLKPWLFSLCSRLSTDAVSGNDAPSLGHYLEAERRAASTYRRNQYPTTYGPNVFSPIQDSTSLLVGSQIDHWPSASLGDDGGH >Vigun02g166400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31050650:31051391:-1 gene:Vigun02g166400.v1.2 transcript:Vigun02g166400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHMVAVAKFHFIVSRHSIGPVVAWTVCPLAFKLFMALRLFTDEAVYSTRFFFFRMAQILFNGETTFARGTRVGRAFRLMFQTLNNNTSPTTQEEQLNEDTFNTLMSLTL >Vigun07g246200.1.v1.2 pep primary_assembly:ASM411807v1:7:36681840:36683368:1 gene:Vigun07g246200.v1.2 transcript:Vigun07g246200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFKHALLFIFLIFTTVHATDVPIFLRQNCTTNETFTSNTTFQVNLSTLLSFLSNSTRNTEFRNATVSGGSPSDTVYGLFLCRGDVPPQLCQQCVLNATQRLSNQNTDTCKFAKSAIIWYDECLVRYSNRDFFSTVETRPRMRLRNTANISDTKSFLRLLYTTLNETADEAANSSNGAKLYATKQAKISGFQTLYCLTQCTPDLSPQDCRKCLSDVIGDLSWCCPGSQGGRVLYPSCNFRYELYPFYRMDSPPPEANVSPTTSTIKKGEAGKRGVSSEIATATFVSISVTWLWWVFGS >Vigun09g054800.1.v1.2 pep primary_assembly:ASM411807v1:9:5496346:5500462:1 gene:Vigun09g054800.v1.2 transcript:Vigun09g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFTTGAVTKERAEQYKGRVTPYVIVACIVAATGGSLFGYDIGISGGVTSMDDFLKEFFPAVYRQKLHAHENNYCKYDNQGLAAFTSSLYIAGLIASLMASPVTRKYGRRASIIGGGISFLIGSALNASAVNLIMLILGRVMLGFGIGFGNQAIPLYLSEMAPTHLRGGLNMLFQVATTLGIFTANMVNYGTQKIKPWGWRLSLGLAAVPALLMTVGGIFLSDTPNSLIERGLMEKGRKLLERIRGTNEVDAEFQDMVDASELANSIKHPFRNILERRYRPELVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGDASLISSALTGGVLAGSTFISIATVDKLGRRVLLVSGGAQMITCQVIVAIILGVKFGADQELSKGFSILVVVVICLFVVAFGWSWGPLGWTVPSEIFPLEIRSAGQGITVAVNLLFTFIIAQAFLALLCSFKFGIFLFFAGWITIMTIFVYLFLPETKGIPIEEMSFMWRKHWFWKRICVD >Vigun09g054800.3.v1.2 pep primary_assembly:ASM411807v1:9:5496537:5500462:1 gene:Vigun09g054800.v1.2 transcript:Vigun09g054800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTHLRGGLNMLFQVATTLGIFTANMVNYGTQKIKPWGWRLSLGLAAVPALLMTVGGIFLSDTPNSLIERGLMEKGRKLLERIRGTNEVDAEFQDMVDASELANSIKHPFRNILERRYRPELVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGDASLISSALTGGVLAGSTFISIATVDKLGRRVLLVSGGAQMITCQVIVAIILGVKFGADQELSKGFSILVVVVICLFVVAFGWSWGPLGWTVPSEIFPLEIRSAGQGITVAVNLLFTFIIAQAFLALLCSFKFGIFLFFAGWITIMTIFVYLFLPETKGIPIEEMSFMWRKHWFWKRICVD >Vigun09g054800.2.v1.2 pep primary_assembly:ASM411807v1:9:5498167:5500462:1 gene:Vigun09g054800.v1.2 transcript:Vigun09g054800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKEFFPAVYRQKLHAHENNYCKYDNQGLAAFTSSLYIAGLIASLMASPVTRKYGRRASIIGGGISFLIGSALNASAVNLIMLILGRVMLGFGIGFGNQAIPLYLSEMAPTHLRGGLNMLFQVATTLGIFTANMVNYGTQKIKPWGWRLSLGLAAVPALLMTVGGIFLSDTPNSLIERGLMEKGRKLLERIRGTNEVDAEFQDMVDASELANSIKHPFRNILERRYRPELVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGDASLISSALTGGVLAGSTFISIATVDKLGRRVLLVSGGAQMITCQVIVAIILGVKFGADQELSKGFSILVVVVICLFVVAFGWSWGPLGWTVPSEIFPLEIRSAGQGITVAVNLLFTFIIAQAFLALLCSFKFGIFLFFAGWITIMTIFVYLFLPETKGIPIEEMSFMWRKHWFWKRICVD >Vigun03g223900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37167635:37168595:1 gene:Vigun03g223900.v1.2 transcript:Vigun03g223900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWGLGFSSATPLVMAGVDGCGSRWRDLRQWHSGVVWWHGGVATAEERETEINPLGWVWADSQTHLYVAHPHS >Vigun03g174350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21451593:21451934:1 gene:Vigun03g174350.v1.2 transcript:Vigun03g174350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LTQSASTQGITPPNELDVWCDVVGTKNGRIYGLGMESTVIRGWPYYHGTSSSNGWIQSQELEKLKKDLEGVKQQRDELRIKIVNIERLFEENNAMIRQWMNSINRQSMPLSFE >Vigun10g175400.1.v1.2 pep primary_assembly:ASM411807v1:10:39384296:39386806:-1 gene:Vigun10g175400.v1.2 transcript:Vigun10g175400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVVFDFDKTIVDVDSDNWVIDELGFTDLFNQLIPTMPWNTVMDKMMMELHSHGKTIKDIEEVLHKIPLHPRVIPAVKAAHASGCDLRIVSDANLFFIESILKHLGIREYFSEINTNPSYVNEEGRLRILPYHDFNKASHGCSLCPPNMCKGLVIERIQDSISEENKRFIYLGDGVGDYCPSLRLKEKDFMMPRKNFPVWDLICKDPSLVKAEIHGWSDGEELEQVLLQLINKVSMEQSSLFISSDCKLQTLSVSAHEALPKVLPVRP >Vigun04g182100.1.v1.2 pep primary_assembly:ASM411807v1:4:40622300:40623901:-1 gene:Vigun04g182100.v1.2 transcript:Vigun04g182100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLMGLGPVGGPAKEFICHLSLCVRAHRPRWSSSTSLFFCFFFCLFLSIPQTYEHICYMILHNHDNVVLSLFGMCLIRYSLFTYHLLYWMLTVGSQKVTQNQPKSKTIA >Vigun07g006400.1.v1.2 pep primary_assembly:ASM411807v1:7:534559:547571:1 gene:Vigun07g006400.v1.2 transcript:Vigun07g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNRSKDKHGKSDRLSGDNCDEGSAARTRPFSFEEIMLRRRNKELLENVEDPALGCSLEKIDDHFESARTYKHDKSSSFGREKHASEEYVKVSSRKKVQNTYVKEDDLIEVKGTANHNLETKSSAGLNNKGRITKEKTEKETFGHRKNEQIHDSSEYKAGKKHSRDRDSYVEANRPKSERKKKKNHVGEDENPNEYVIEKRHDNDRDNSWRLKRWLSNNSEEVPEKKHYRESDKDKHAGGRAKYARETKRKYQNGDDETQDRSTPRKHDAVKHHNMHSYERKERRAKVKSHYEELTAKRRRSRSREREDRRSPSFPQREQKRTYQDGERKESSMHSLKDSSRKKHPDMDKSRVSTNGSSSHHHRHGGSTSGLGGYSPRKRKSEAAVKTPSPSKHSLEKKRAGWDLPPVGTNNPSPAVVSSSFLLSNCAVLPNMHGVVSTNSLDLALVKRGPVPFLNDVSTGKNSNIDSVQLTQATRPIRRLYLENLPASASEKAVMDCFNNLLLSGRVNHIQQAQPCISCVLHKDRGQALVEFLTAEDASSALSFDGSTLFGSIVKIRRPKDYVEIATGEPERSMDATVTISDVVIDSPHKIFIGGISNLLSSEMLMEIASAFGSLKAYHFETNASNASCAFLEYSDHSVSIKACAGMNGMKLGGEVLTVVQAMPDASSPLENAGELSYGIPEHAKPLLRKPTSVLEIKNVFAAESISSLSDLTIEEILDDVRFECARFGTIKSINVVRHSSEKNLATKLEEYEVINEVESKDFQDTNSISTKSSFSDKATDPKSEATNWVKFDDDKELEEYKVDGGTSVNTDKNAEVFEDKSCREHLVNDTVVEDVGGKSIPSSIIQEFPDQQDTSDDVPKLDDEMVANDTYVDIENKIVGENTDSKGTVSAVQEGFSERDTRSELVGPQKVTDTEDDNDHVFEPGSVLVEYRRAEACCSAAHSLHGRLFDGRMVTVEYVSQSLYRARFTK >Vigun05g221550.1.v1.2 pep primary_assembly:ASM411807v1:5:41378338:41379060:1 gene:Vigun05g221550.v1.2 transcript:Vigun05g221550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCIAVVDRSVIPGFLYIHIADKHGMKNNSATKATFLDHLLKPTFSKNRRAHNKNGHGQNRFGSKRGYSVEHAGSSSTGDEKEAIVVADFQEWSSPITCSDSSLSESNSMSKCECSEDQVENQKKIQCVGIYWVLVTLAITVFWGKISVIILIPILLCFFPLWNASCCWIKWIPKFCDAESKAMIRKILVFVLEGFL >Vigun10g034300.2.v1.2 pep primary_assembly:ASM411807v1:10:4606723:4609366:-1 gene:Vigun10g034300.v1.2 transcript:Vigun10g034300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSFIKSEPRFIYDAFINFGGEDIGRKFASHLTYALLQAQVKTFISDESLPKGLELEEHMRAIGGTKIAIIVFSKTYTESACCLLELEKIIECLETFGQIVLPVFYDNGPRYVRDHDRNDFGKAMEETARKSYSGEQLKQVLSRWRRALNKAAGIIHGLYGRNFRHDAELVEVTVRRVQSLLDYEDLSVFPFPVELESHVKKVIKCIENHSTKVFMIGIWGKEGSGKTILAKVIYNRIYRRFIGKNYFEYIKGVWDRVDRRDVDLKEFVNDVLKDKFEIESIRRRRVIMEKTELFRRKLLIVFDGVTEFGQLESLYRNRKWFGQGTVIIITTRDVQILKRLKVDYVYKMNVMNENNSLLTFQFSCLQISITKKRTE >Vigun10g034300.3.v1.2 pep primary_assembly:ASM411807v1:10:4607452:4609366:-1 gene:Vigun10g034300.v1.2 transcript:Vigun10g034300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSFIKSEPRFIYDAFINFGGEDIGRKFASHLTYALLQAQVKTFISDESLPKGLELEEHMRAIGGTKIAIIVFSKTYTESACCLLELEKIIECLETFGQIVLPVFYDNGPRYVRDHDRNDFGKAMEETARKSYSGEQLKQVLSRWRRALNKAAGIIHGLYGRNFRHDAELVEVTVRRVQSLLDYEDLSVFPFPVELESHVKKVIKCIENHSTKVFMIGIWGKEGSGKTILAKVIYNRIYRRFIGKNYFEYIKGVWDRVDRRDVDLKEFVNDVLKDKFEIESIRRRRVIMEKTELFRRKLLIVFDGVTEFGQLESLYRNRKWFGQGTVIIITTRDVQILKRLKVDYVYKMNVMNENNSLLTFQFSCLQISITKKRTE >Vigun05g171500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:30662461:30663167:-1 gene:Vigun05g171500.v1.2 transcript:Vigun05g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEANNVLLMSLMEETKEEEYYDGDDRLVSMIQSLEAEISDTEIPQMDDQDCSTSDSEPDHWDDINIISSLPFDEMNAWIPCGDEIMDHSSMEYEDATYIQDFQFSYGVFFDQHVVF >Vigun01g093900.1.v1.2 pep primary_assembly:ASM411807v1:1:25670411:25671147:1 gene:Vigun01g093900.v1.2 transcript:Vigun01g093900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPLSRLLVILLILSCVVFAAAVPATRSTMIGKMNPLVQDHLAKVDPVMGLSYNEEDMKEEIGESRMLRDIVDYPGTRPNPAHDPKSPGKP >Vigun10g035966.1.v1.2 pep primary_assembly:ASM411807v1:10:4829314:4829618:-1 gene:Vigun10g035966.v1.2 transcript:Vigun10g035966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNFWPCTDHLMDHGLNGHCLKGLCPVDRRPPQEDTRNWKDKQTHPVDCRATSPTTQERDSLKSQGRGWPRGPTWRLGISAGNAKVA >Vigun08g132500.1.v1.2 pep primary_assembly:ASM411807v1:8:30356107:30358308:-1 gene:Vigun08g132500.v1.2 transcript:Vigun08g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHLQSLLLLTLVATFIIPTFAQLSPDYYDHVCPQALPIIYSVVKQAILHEKRMGASLLRLHFHDCFVNGCDGSVLLDDTPLFLGEKTAFANLNSIRGFEVVDEIKAAVDKACKRPVVSCADILAVAARDSVAILGGPQYWYQVLLGRRDARKASRDAANANLPPPFFNFQQLLDNFQTHGLNLKDLVVLSGGHSIGFARCINFRNRIFNDTNIDPKFAATLRESCPRRGGDNNLAPFDATPSKFDTTYYNALLYKKGLLHSDQELFKGDGCESDSLVQLYSNDPYAFAKDFGVSMIKMGNLKPLTGSYGEIRYNCRKVN >Vigun06g074400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20543571:20544102:1 gene:Vigun06g074400.v1.2 transcript:Vigun06g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun06g140900.1.v1.2 pep primary_assembly:ASM411807v1:6:26649717:26653567:-1 gene:Vigun06g140900.v1.2 transcript:Vigun06g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKKSHDPFFSSDSRKRRKPNGKLDRDDEEDAEIESDFDEDGFFAGADDGSGEDQEVETGAEARKRIAQDYIRMVRQIAQKDKEQKDGDEEEDGDEEDEEGARDSLVAQKLLKEQQEESGRVRRSIASKVKVSSDEGFRVLVKHRQSVTAVALSEDDSKGFSASKDGVILQWDVSSGQFERYKWPSDSVLKSHGLKDPQGSATKQSKHVLALSASSDGRYLATGGLDRHIHIWDTRTREHVQAFPGHRGPVSCLAFRQGTSELFSGSFDRTIKIWNVEDRTYMNTLFGHQSEVLSIDCLRKERVLTAGRDRSMQLFKVHEESRLVFRAPASSLECCCFVSNDELLSGSDDGSIELWTVMRKKPIYILRNAHALLVDNMKSDQKDSERLLNGNIENGYHHPENHHCASVSSWVSAVTVCRNSDLAASGAGNGSVRLWAIESDTKDIKPLHNVPLVGFVNTLAFAKSAEFLIAGVGQEPRLGRWGRSSEARNGVSILPLKL >Vigun09g191500.1.v1.2 pep primary_assembly:ASM411807v1:9:36622497:36629050:-1 gene:Vigun09g191500.v1.2 transcript:Vigun09g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVSSACKDGSKVAMDNGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQGVNRKLTAMNKLLMEENDRLQKQVSQLVYENSFFRQQTQNATLATTDTSCESVVTSGQRHLTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPARVAEILKDRLSWFRDCRTVDVLNVMSTGNGGTIELLYMQLYAPTTLAPGRDFWLLRYTSLLEDGSLVVCERSLNNTQNGPAMPPVQHFVRADMLPSGYLIRPCEGGGSIIHIVDHMVLEPWSVPEVLRPLYESSMLLAQRTTMAALRHLRQISQEVSQPSVTGWGRRPAALRALSQRLSKGFNEAVNGFADDGWSMLESDGIDDVTLLVNSSPSKMMGANLGYNNNGFPSMNSSVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAIKAGPCSLPGVRPGGGFGGQVILPLAHTIEHEEFMEVIKLENMGYYRDDMTIPGDVFLLQLCSGVDEHAVGTSAELVFAPIDASFSDDAPILPSGFRIIPLDSSSDAASPNRTLDLASALEVGTTANKAAGDNAGNSGSTKSVMTIAFQFAFEVHLQENIATMARQYVRSIIASVQRVSLALSPSRFGSHNAFHLPPGTPEAQTLARWISNSYRFYLGVELLKCEGSESILKSLWHHSDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGKKTLCTEFPQIMQQGFMCIQGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >Vigun06g220400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33025374:33027210:1 gene:Vigun06g220400.v1.2 transcript:Vigun06g220400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAHRSWPYPQHVAWPSPYFNSPCTSAEPSSLVRSTYMNSSTCIFPGFTTPATPDLKSEQTNEVQGFLQHPSFKPCLNATRTGEAMQNASLQKKLLMFDHSGSKTRLLYSPVFPFVQSPIVTATQFTQIYDVNEEARATNIGLKHWPVYTSPEETRKDRIDNEESEMHEDTEEINALLYSDDSYDDDDDDDGDDDEVTSTGHSPLVTEKTCVTQEQFVDMKEEVASTDWPNKRQKLIDGGFNRLPPLVESASSERLDKMCEYASDVESSYSSSSGVYSTRQTKDKSTADDIQLKKDKIRKLLRVLENFIPGAKGKHPLLVIDGTIEYLESLMSQTGTLKYH >Vigun10g050300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7825595:7826791:1 gene:Vigun10g050300.v1.2 transcript:Vigun10g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNFAGFHRHRGSLPFPCHLQLGTYATINMATCMIHKWDDGSFSEKGEVSYTVEGSTCNLVLTRLVYEDGNVNMVTFVLYDSIICSEKESLFLQIYKTETGFLRTIDFKSEGSIAWTKTKKKVDAWGGVTDTRVYLYGSANRFGLLVWECKKIDYEHAKAVTMAHYFVNGNGRVVVNRSSERTEIGFSVVAKVGVCDGKFDITVEGPEQHPVSALVYMFDEVNKSGIWKPSMCPHCGNLQRVHNKMFWQSDSEDSDGGPLPPRLGTQQNAVTIANDGRFKGHANGSFIRCKNFYGFN >Vigun05g148850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21451553:21452233:1 gene:Vigun05g148850.v1.2 transcript:Vigun05g148850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPIDEDVSTDSEILSVVSITEYNDEINLDKQPKNYDLINDFINNEFIQIYPKEYDFELNDKYNELGAILDDSGYYLMNITETNICPHTLQYFSGPASIPCQYCDRYFHKSQRAHCPLCYKNFCIKCITTHMKIQETPIFLEQKENIKDKNIIDTRTSILETKINNLEEKILHLEQMIQDSRTSIISKNIQNNMMGTTECIPLVCNEKQLISVKVLVKLNFPKQN >Vigun05g296200.6.v1.2 pep primary_assembly:ASM411807v1:5:48116232:48122458:-1 gene:Vigun05g296200.v1.2 transcript:Vigun05g296200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEENCVGKKITKCIVADDSKVIDAVSRSDFEASVLGKLIVAAHRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDEEEWPSKYSKFFIELDDGLELSFIDKRRFAKVRLLEDPTSVPPISELGPDALFEQMTLEKFTESLHKRKTEIKALLLDQSYISGIGNWVADEVLYQARIHPRQAASSLSDVSCSTLYKCIKEVIQLAVEVDADCSRFPLEWLFHFRWGKKPGKISGENTSLICIS >Vigun05g296200.1.v1.2 pep primary_assembly:ASM411807v1:5:48116232:48122458:-1 gene:Vigun05g296200.v1.2 transcript:Vigun05g296200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEENCVGKKITKCIVADDSKVIDAVSRSDFEASVLGKLIVAAHRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDEEEWPSKYSKFFIELDDGLELSFIDKRRFAKVRLLEDPTSVPPISELGPDALFEQMTLEKFTESLHKRKTEIKALLLDQSYISGIGNWVADEVLYQARIHPRQAASSLSDVSCSTLYKCIKEVIEKAVEVGADSSQYPNSWIFHSREKKPDKAFVDGKKIDFITAGGRTTAYVPELQKLSGSVDVKETGKTKRQASKKASAADDTEKPTDGKEKKGAKAGAKGRKPAKKKKPEESDEDNDSDAGTDGDTDQVAKKNSGNVTNSKQVGEEKLSKKRVQSNQTGRSSKRKAK >Vigun05g296200.4.v1.2 pep primary_assembly:ASM411807v1:5:48116232:48122458:-1 gene:Vigun05g296200.v1.2 transcript:Vigun05g296200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEENCVGKKITKCIVADDSKVIDAVSRSDFEASVLGKLIVAAHRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDEEEWPSKYSKFFIELDDGLELSFIDKRRFAKVRLLEDPTSVPPISELGPDALFEQMTLEKFTESLHKRKTEIKALLLDQSYISGIGNWVADEVLYQARIHPRQAASSLSDVSCSTLYKCIKEVIEKAVEVGADSSQYPNSWIFHSREKKPDKAFVDGLLPHFVIKIIIFWGYMNCSRSSQVFLLHIFLTSSLCWEKN >Vigun05g296200.5.v1.2 pep primary_assembly:ASM411807v1:5:48116232:48122458:-1 gene:Vigun05g296200.v1.2 transcript:Vigun05g296200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEENCVGKKITKCIVADDSKVIDAVSRSDFEASVLGKLIVAAHRKGKNMWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDEEEWPSKYSKFFIELDDGLELSFIDKRRFAKVRLLEDPTSVPPISELGPDALFEQMTLEKFTESLHKRKTEIKALLLDQSYISGIGNWVADEVLYQARIHPRQAASSLSDVSCSTLYKCIKEVIQLAVEVDADCSRFPLEWLFHFRWGKKPGKISGENTSLICIS >Vigun06g099200.8.v1.2 pep primary_assembly:ASM411807v1:6:22969474:22971026:-1 gene:Vigun06g099200.v1.2 transcript:Vigun06g099200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGRGCISYSETWSLGSPYAGSAKIRLIHMGLLDHLWDDTVAGPRPDNGLSKLRKHHTFNFRPSSGKEAEGGSVRSYGDESSSGETTRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGKEEKIRLGFEEGRHQTRTRNEGRTDQALLLLTMYE >Vigun06g099200.7.v1.2 pep primary_assembly:ASM411807v1:6:22969185:22971026:-1 gene:Vigun06g099200.v1.2 transcript:Vigun06g099200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGRGCISYSETWSLGSPYAGSAKIRLIHMGLLDHLWDDTVAGPRPDNGLSKLRKHHTFNFRPSSGKEAEGGSVRSYGDESSSGETTRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGKEEKIRLGFEEGRHQTRTRNEGRTDQALLLLTMCEK >Vigun06g099200.9.v1.2 pep primary_assembly:ASM411807v1:6:22969185:22971026:-1 gene:Vigun06g099200.v1.2 transcript:Vigun06g099200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGRGCISYSETWSLGSPYAGSAKIRLIHMGLLDHLWDDTVAGPRPDNGLSKLRKHHTFNFRPSSGKEAEGGSVRSYGDESSSGETTRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGGKDSSRFRRRSASDAYEKRGQNRSGPSSPYDV >Vigun06g099200.10.v1.2 pep primary_assembly:ASM411807v1:6:22969474:22971026:-1 gene:Vigun06g099200.v1.2 transcript:Vigun06g099200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGRGCISYSETWSLGSPYAGSAKIRLIHMGLLDHLWDDTVAGPRPDNGLSKLRKHHTFNFRPSSGKEAEGGSVRSYGDESSSGETTRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGGKDSSRFRRRSASDAYEKRGQNRSGPSSPYDV >Vigun03g343100.1.v1.2 pep primary_assembly:ASM411807v1:3:54204941:54206909:1 gene:Vigun03g343100.v1.2 transcript:Vigun03g343100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELCSLFLPLSACSAMLTFDVFIGVMSLVAIFGYWLVPGGLAWALSKFRQGKPLTNKPAIPGPSGFPVVGLVYAFTGSLTHRVLAKLAHTFDAKPLMAFSVGFTRFIISSHPDTAKEILSSSAFADRPIKESAYELLFHRAMGFAPYGEYWRNLRRISATHMFSPKRIAASGGFRSEVGAQMVKDIVDLMRRDGEVEVRKVLHFASLNNVMMSVFGKSYVFGEGGDGCELEELVSEGYELLGVFNWSDHFPLLGRLDLQGVRKRCRSLVERVNVFVGKIIAEHREKRAAAGEDKAKEDNESSGDFVDVLLDLEKENRLQHSDMVAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAKAQSEIDSVVGCERSVNDDDLAKLPYVRAIVKETLRMHPPGPLLSWARFSIHDTEIGNHFVPAGTTAMVNMWAITHDEEVWSEPEEFKPERFVKEEVHMMGSDLRLAPFGSGRRVCPGKAMGLATVEVWVAMLLQKLKWMGGDCGVDLSERLELSMKMKCSLITKVVRRHALFVPSVTHSA >Vigun01g171000.1.v1.2 pep primary_assembly:ASM411807v1:1:35315304:35318857:1 gene:Vigun01g171000.v1.2 transcript:Vigun01g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLKQWRNQHESEEQHSTKMPKLLPESHQHPQTQSSASALPLFVPEPNSKVSTLSDSTLAATNRFPRMGSYFSLSQWQELELQALIFRYMLAGAAVPPELLQPIKKSLLHSPHYFLHHPLQHYQPALLQSGYWGRGAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVELPTPSSANCGGGGGGGAGSLGLGASSSISSPPLASASLKSPFDLLHLSERSSGTKNEEFENEDHVGGDGRAGGHMLRHFFDDWPRSLQDSDNVENNAARINSATCLSISMPGNASSDVSLKLSTGYGDDPGPTDHNVNLGPDQLQLNWAGGWAGGGQVASMGGPLAEALRSSTSTSSPTSVLHHLPPPGSGSETSFVSN >Vigun03g375300.2.v1.2 pep primary_assembly:ASM411807v1:3:57795856:57801501:1 gene:Vigun03g375300.v1.2 transcript:Vigun03g375300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPSSSTPPLMALHFLSLLFFLSLSSASQPRNPEVVALMNIKAALNDPHGVLNNWDEYSVDACSWAMITCSSDDLVIGFGAPSQSLSGTFSPAIGNLTNLRQVLLQNNNISGNIPPELGALPKLQTLDLSNNRFSGVIPASLSQLNSLQYLRLNNNNLSGSFPESLAKTPQLAFLDLSYNNLSGPLPKFPARSFNIVGNPLVCGSSTTEGCSGSATLIPISFSQVSSEGKHKSKKLAIALGISLSCASVILLLVGLFWYRKKRQLGAILYISDYKEEGVLSLGNLKNFTFRELQHATDNFSSKNILGAGGFGNVYRGKFGDGTMVAVKRLKDVNGSAGESQFQTELEMISLAVHRNLLRLIGYCATPNEKLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMTALEFGKTVNQKGAMLEWVRKILHEKKVAVLVDKELGNNYDRIEVGEMLQVALLCTQYLTAHRPKMSEVVRMLEGDGLAEKWASSHNYACLA >Vigun03g375300.1.v1.2 pep primary_assembly:ASM411807v1:3:57795856:57801501:1 gene:Vigun03g375300.v1.2 transcript:Vigun03g375300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPSSSTPPLMALHFLSLLFFLSLSSASQPRNPEVVALMNIKAALNDPHGVLNNWDEYSVDACSWAMITCSSDDLVIGFGAPSQSLSGTFSPAIGNLTNLRQVLLQNNNISGNIPPELGALPKLQTLDLSNNRFSGVIPASLSQLNSLQYLRLNNNNLSGSFPESLAKTPQLAFLDLSYNNLSGPLPKFPARSFNIVGNPLVCGSSTTEGCSGSATLIPISFSQVSSEGKHKSKKLAIALGISLSCASVILLLVGLFWYRKKRQLGAILYISDYKEEGVLSLGNLKNFTFRELQHATDNFSSKNILGAGGFGNVYRGKFGDGTMVAVKRLKDVNGSAGESQFQTELEMISLAVHRNLLRLIGYCATPNEKLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMTALEFGKTVNQKGAMLEWVRKILHEKKVAVLVDKELGNNYDRIEVGEMLQVALLCTQYLTAHRPKMSEVVRMLEGDGLAEKWASSHNYGNHDMNPSHSNNSCNSSSLPISASKHDDDVHDRSSMFGMTVDDDDEQSLESYAMELSGPR >Vigun04g015100.1.v1.2 pep primary_assembly:ASM411807v1:4:1098114:1101246:1 gene:Vigun04g015100.v1.2 transcript:Vigun04g015100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSAAEHHYYYPLPASAPKVDPNLSDDDKGGTCAEESSIHNKPSTSQGNPGINKYALGGAILASTNSILLGYDIGVMSGAALFIRQDLKITSVQVEILVGCLNVCSLIGSLASGKTSDWIGRRYTIMVAAATFLIGAILMGLAPSFLFLMAGRVVAGIGVGYSLMISPVYVAELSPALTRGLLTSLPEVFISVGILLGYVSNYAFSSLPNDINWRIMLGVSAFPAVAVALGVLAMPESPRWLVVKGRYEEAKQVLIRTSDNKGEAELRLAEIQEAASASITNKDKERSSSDSPNNSGTWHGQGVWKELLVTPTCPVLRILVAAIGVNFFMQASGNDAVIYYSPEVFKEAGIVNEKQLVGVTIIMGITKTCFVLISAMFMDRFGRRPLLMLGSCGMAMSLFLLGFGCTFLRLYGDTHDGLVIALCVVAVCATVSFFSIGLGPTTWVYSSEIFPLRLRAQGSSLAISVNRVVSGIVSMTFLSISEAITFGGMFFVLGGVMVCATLFFYFFLPETKGKSLEEIEALFEDQTPSV >Vigun09g015800.1.v1.2 pep primary_assembly:ASM411807v1:9:1157417:1160147:-1 gene:Vigun09g015800.v1.2 transcript:Vigun09g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRAASEADTSSFSKPKIAVDRRPPPTVATDKTAQISKAAEQDVLHADDHPRVNTDNILEERDPKFDAMLGQMVGRIKSKPGGKSEMGEAFVVEKYNRPMPKLRNTKPDSGRYEERQVPTGTLNIAQLRHIILLHEGKADDYNGRMNAHQIAEKFQVDLVQVQRILQFLSQPPEGSSKDKNEAPR >Vigun09g195600.1.v1.2 pep primary_assembly:ASM411807v1:9:37015681:37020865:1 gene:Vigun09g195600.v1.2 transcript:Vigun09g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVILKTTSLSDSYSSPCDRRLNTLARHFISALEPMASNDGISASPTAASDSVFNHLVRAPEDPILGVTVAFNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKVEQQLINDLSRNKEYIPIVGLADFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLAKHYHHRTIYLPTPTWGNHHKVFNLAGLSVKTYRYYAPATRGLDFEGLLEDLGAAPSGSIILLHACAHNPTGVDPTLEQWEQIRQLIRLKALLPFFDSAYQGFASGSLDADAQPVRLFVADGGELLLAQSYAKNLGLYGERVGALSIVCKSPDVASRVESQLKLVIRPMFSSPPIHGASIVAAILKDGDLFNEWTIELKAMADRIISMRQQLFDALQSRGTPGDWSHIIKQIGMFTFTGLNAEQVSFMTNEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTGGA >Vigun09g195600.2.v1.2 pep primary_assembly:ASM411807v1:9:37015681:37020865:1 gene:Vigun09g195600.v1.2 transcript:Vigun09g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDGISASPTAASDSVFNHLVRAPEDPILGVTVAFNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKVEQQLINDLSRNKEYIPIVGLADFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLAKHYHHRTIYLPTPTWGNHHKVFNLAGLSVKTYRYYAPATRGLDFEGLLEDLGAAPSGSIILLHACAHNPTGVDPTLEQWEQIRQLIRLKALLPFFDSAYQGFASGSLDADAQPVRLFVADGGELLLAQSYAKNLGLYGERVGALSIVCKSPDVASRVESQLKLVIRPMFSSPPIHGASIVAAILKDGDLFNEWTIELKAMADRIISMRQQLFDALQSRGTPGDWSHIIKQIGMFTFTGLNAEQVSFMTNEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTGGA >Vigun10g020700.1.v1.2 pep primary_assembly:ASM411807v1:10:2483504:2486109:-1 gene:Vigun10g020700.v1.2 transcript:Vigun10g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQKAVSALPTLLRTLRKEPLKPHSHVNALPSLRRAFSLYDQINLIDQVPEDQLRFQGYNDTGFTVNGVEYEGSLLCVGNLLMSWKPKKFSEITADSLSLFQIIRPIPEILILGCGRNIQHVDPELRRFIRSTGMKLEAVDSRNAASTYNILNEEGRIVAAALLPHGVSA >Vigun07g135000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24521260:24521906:-1 gene:Vigun07g135000.v1.2 transcript:Vigun07g135000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRHKKSSSSLFSIFNIFSSKKHRGGYYDAPDSSRRVWPSDYDKGNWGVAEPNIDMKAEAFIAKYKKRVSESALYQLDPAADNA >Vigun07g244500.1.v1.2 pep primary_assembly:ASM411807v1:7:36562132:36566988:-1 gene:Vigun07g244500.v1.2 transcript:Vigun07g244500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEIDVIFEVCGGIFFSIEVNRFETIRDVKDKIQTIHQIPISQQYFIFKGQLLQDHLYVYTTPILHRSRIHLFILTADAQNLAPPPPPPPPIHGHFFLAQPLQPSTVPKIEYDPLLMPDISSLSQRRMMTTLTASVKMPKSRDRIRIESDRKDTVGKLKEKIAAHEDMQGVPVNRIALQLHSMRQELNDHVALQEYAVVENPEIDVFLKPPLPAGGRGRTQSGKLKVKVLPMLTNERIEIEVFPLDTVSVLRPKLEELQRMLGFRLPEGNAYFFIHKQQDMHEDQSFHWHGTKPVMVESPTEWSTIVLEVKVPASKERVPIEMHVNDTVLKLKEKVLEQKEMFAVAVERVVLQWHEEHVELQDEQLLKEFVDFDELEIDVYLKPPPRPPRPVKLKLLVVPMNSKEKEEMDVRAEDRVSTLREWMETVAHRIMRFRLPALGGYVFIHNERTMDEKRSYRWHDVKEGDTIRIVDANLVKNQNTHRHRT >Vigun01g108050.1.v1.2 pep primary_assembly:ASM411807v1:1:28053814:28054855:1 gene:Vigun01g108050.v1.2 transcript:Vigun01g108050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDCFEVVLHHGGHFIQTGRLTYSDGLTATWVCDPDWWSFFEIKGKLKEMSYHAVKELWYKVGKGSVLQNKLKLLCDDKGALHMADIARRNGNVHLFVVHTVSEAEVVDNFLEYYPLGDDNVETGHGNVEVQGQMDCDSACVGGNNGEAELQDGGNNDKEVQSEQPDGGDKVVDPELQDDANNETCKDGMEDDGNNPDEVGYDKVDGGDKDRMDDDVQGDMEGRHDEGVDDVEVHSWTESEPDIADATGDQELEGLVDVNVAYDGDATHDHNLCEGSVEVDLYFSEGSQESEMGLSDNEWVSDHLDSGEESEDTIISGKLVHIS >Vigun07g102000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:18288357:18290117:-1 gene:Vigun07g102000.v1.2 transcript:Vigun07g102000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEILVWLLLLFATPLWFLATILFKSKPPPSPPSSNPAAATAAIPKVYPIIGSVPSIIANKHRRIHWMSDILHASPSSTFVLHRGFGSRHVFTANPTVVHHILKTNFPLYQKGPTYKSALNDFLGQGIFNTDGAEWKLQRQISSHEFSTHSLRKFIETVVDVELSDGLLPLLNDASQNKTIIPDFQNILQRFTLDNICKISFGFDPECLLRETPFTTALTDAQIICSKRLHAAFPLIWKIKKVLNLGSEKRLKEAVPEVKDLARRIMREKKKELGEKETLDSVDLLSRFLSSGHSDEDFVIDIIISFIIAGRDTTSLVLTWFFWLLSKHPHVEEKVLKEVTQKDTVYTHACEEMKDMVYTHAALCETMRLYPPVPMNSKEAMRDDVLPNGSLVKKGWRVTYHIYAMGRSEKIWGSKGGVSAGEVADLGGGGRQVEV >Vigun07g094400.1.v1.2 pep primary_assembly:ASM411807v1:7:15280257:15288902:-1 gene:Vigun07g094400.v1.2 transcript:Vigun07g094400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKFQPRDRTRAAAKKKEEGSIGGNEDLNAAVDEAVLSSVTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTSGHVYAMKKLKKSEMLRRGQVEHVKSERNLLAEVDSNCIVKLYCSFQDAEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLERYGHLKLSDFGLCKPLDCNTLEEKDFSVGQNVNGTSQNEERTTPKRSQQEQLQHWQMNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMLTCRKIVNWKAYLKFPEEARLSPEAKDLISKLLCNVNQRLGSNGADEIKAHSFFKGVEWDKLYHMEAAFIPEVNDELDTQNFEKFDESDSQTQSSSRSGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKKQSKPKRPTIKSLFETPEQELSDTSAQGSFLKLLPPQLELSRRDKNLPPSRNHNSAF >Vigun07g094400.2.v1.2 pep primary_assembly:ASM411807v1:7:15280257:15288761:-1 gene:Vigun07g094400.v1.2 transcript:Vigun07g094400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKFQPRDRTRAAAKKKEEGSIGGNEDLNAAVDEAVLSSVTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTSGHVYAMKKLKKSEMLRRGQVEHVKSERNLLAEVDSNCIVKLYCSFQDAEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLERYGHLKLSDFGLCKPLDCNTLEEKDFSVGQNVNGTSQNEERTTPKRSQQEQLQHWQMNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMLTCRKIVNWKAYLKFPEEARLSPEAKDLISKLLCNVNQRLGSNGADEIKAHSFFKGVEWDKLYHMEAAFIPEVNDELDTQNFEKFDESDSQTQSSSRSGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKKQSKPKRPTIKSLFETPEQELSDTSAQGSFLKLLPPQLELSRRDKNLPPSRNHNSAF >VigunL006501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:29357:29524:1 gene:VigunL006501.v1.2 transcript:VigunL006501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun09g167300.1.v1.2 pep primary_assembly:ASM411807v1:9:33571301:33575156:-1 gene:Vigun09g167300.v1.2 transcript:Vigun09g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKCGCASFGNNFNKAKPYLLTVGLQFGFAGAYIFSVASLNRGMNRYVFVVYRNAIAALALAPFALIFERKIRPKITLPVFLQIMALGFVEPVIDQGFTFLGMQYTSASFASAIMNAVPSVTFVLAVILRLERVNVKEVRSLAKVIGTLVTFSGALLMTLYKGPEFHLFHSANTTHNQDGAHSPQLVKHWLSGTLFLLLGCVAWSSFFILQSITLKRYPAELSLSSLVCLSGVVQASVVAIIATRHSGLAAWALGWDFRLYGPLYTGIVTSGITYYAQGLVLQSRGPVFLTAFNPLCMVITCALGSFLFAEQLHLGSIIGAVIIALGLYSVVWGKGKDHSDPTPSSPTIKQTETQKLPISSSDI >Vigun01g192300.1.v1.2 pep primary_assembly:ASM411807v1:1:37036731:37037381:1 gene:Vigun01g192300.v1.2 transcript:Vigun01g192300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTTVKLFSSFVMKEISNCRTIRRGYAAASKVAGSISNSKMEPKSEEEKVLNEKNDWWFPDPLTGFYKPLHINEVDPAELRAKLLPKKFNKPSS >Vigun06g136300.1.v1.2 pep primary_assembly:ASM411807v1:6:26237621:26241431:1 gene:Vigun06g136300.v1.2 transcript:Vigun06g136300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTFLATLLFSLSIFFSLILCSASSPLGYGYTISSLHAFPKHNSFIAHLNLIKPSSLFGPDIPHLSLHASFENKDRFRLRITDSNNKRWEIPQHVIPRPSSSQYHPLPFLHSKQAFQHSLTLTHPDSDLVFTLHNTTPFGFTLSRKSSSDVLFNAAPDPSNPQTFLVFKDQYLQLSSSLPPQRASLYGLGEHTKSSFKLRPNQTLTLWNTDIASANPDVNLYGSHPFYLDVRSPSSDGRVKAGTSHGVLLLNSNGMDIVYGGDRITYKVIGGVFDLYFFAGSSPELVLEQYTELIGRPAPMPYWSFGFHQCRWGYKNVSDIQSVVANYAKAAIPLEVMWTDIDYMDAFKDFTLDPINFPLDKMRSFVDTLHQNGQKYVLILDPGINVNETYATYVRGLKADAYIKRNGTNYLGEVWPGPVYYPDFLNPQSQSFWGGEIKLFRELLPFDGLWLDMNELSNFITSPPIPSSNLDNPPYKINNGEVVRSINYRTVPATSLHYGNITEYNAHNLYGLLESKVTNEELVNITGKRPFILSRSTFVSSGKYTAHWTGDNAATWDDLAYSIPSILNSGIFGIPMVGADICGFGGNTTEELCRRWIQLGAFYPFARDHSVINSIRQELYIWESVAASARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFSFPEDVTTYEINSQFLLGRGVLVSPVLRSGATTVDAYFPKGTWFDLFNVSNSVIAESGKYVTLDAPPDHINVHVGEGNVLALQGEALTTDAARKTAFELVVVISGGGNSYGEVYLDDGEELDVAGVKYEWTLVSFYGAVYNNSVVVTSKVTNGRFALDQRWIIDKVTLLGIPKHQKLNRMDLLGKELSIVNGTSSMTNAVMKSDFDSSSEFVSVQVSKLSLLIGKEFKLEVEIK >Vigun06g162650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28518870:28519223:-1 gene:Vigun06g162650.v1.2 transcript:Vigun06g162650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCRSSYAYSKVEKEDPEDIIHRRAQFLIHKVLEKADSRRKPSCLRLRICKLKVKIGKRLMRLRKRIISGVSTLRLGIHGNFITQLKTWKRLFARGRQSQTLINYPSSSHQLIITT >Vigun03g266400.1.v1.2 pep primary_assembly:ASM411807v1:3:43668094:43673936:1 gene:Vigun03g266400.v1.2 transcript:Vigun03g266400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREETGDARDLQKPFLHTGSWYKMGSRQSSIMGSSTQVIREGAVSVLFCVLIVALGPIQFGFTCGYSSPTQGAIVRDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYVVPVYIAEISPENMRGGLGSVNQLSVTIGILLAYLLGLFVNWRVLAILGVLPCTVLIPGLFFIPESPRWLAKMGMTDEFETSLQVLRGFDTDISVEVYEIKRSVASMGKRAAIRFADLKRKRYWFPLMVGIGLLVLQQLSGINGVLFYSTTIFANAGISSSDAATVGLGAIQVIATGIATWLVDKSGRRLLLMISSCLMTISLVIVSAAFYLEGVVSEDSHLFSILGILSVAGLVAMVIGFSLGLGPIPWLIMSEILPVNIKGLAGSIATMGNWLISWVITMTANLLLNWSSGGTFTIYAVVAAITIAFIAKFVPETKGRTLEEIQFSFR >Vigun01g075000.7.v1.2 pep primary_assembly:ASM411807v1:1:21031833:21044935:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLQEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGNKNCKRRRNLKSFICM >Vigun01g075000.4.v1.2 pep primary_assembly:ASM411807v1:1:21031769:21044942:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPVRSEYQRSRNRPIYLVGESLGACLALAVAALNPDIDFVLILANPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g075000.3.v1.2 pep primary_assembly:ASM411807v1:1:21031769:21044942:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPVRSEYQRSRNRPIYLVGESLGACLALAVAALNPDIDFVLILANPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLQEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g075000.6.v1.2 pep primary_assembly:ASM411807v1:1:21031833:21044935:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPDLVKIVEVTVRSEYQRSRNRPIYLVGESLGACLALAVAALNPDIDFVLILANPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g075000.2.v1.2 pep primary_assembly:ASM411807v1:1:21031752:21044941:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g075000.1.v1.2 pep primary_assembly:ASM411807v1:1:21031833:21044935:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPDLVKIVEVTVRSEYQRSRNRPIYLVGESLGACLALAVAALNPDIDFVLILANPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLQEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g075000.8.v1.2 pep primary_assembly:ASM411807v1:1:21031833:21044935:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGNKNCKRRRNLKSFICM >Vigun01g075000.5.v1.2 pep primary_assembly:ASM411807v1:1:21031752:21044941:-1 gene:Vigun01g075000.v1.2 transcript:Vigun01g075000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAFLFPAAPFRCHPPSPVGKLNSYRTSVMTTRVATTAEMKRAEEKKEKEKVWTNGWKEYLEQSKELIVPDGGPPRWFSPLECAWRLDNSPLLLFLPGIDGVGLGLILQHQKLGRIFDTWCLHIPVADRTPFPATSFSRSHLQLLTPLLEALPHSLSPGLHDILKLTEGLALDSVVQGLPLQTTARVLVKDFTTFLLSLPILAEILPKETLLWKLKMLKSASAYANSRLYSIQAQTLILCSGKDELLPSQQEGERLLKLLPNSKCELRKFDGSGHFLFLQEGSIDLVTIIKGTSHYRRGKHHDYLSDFIPPTPDEVRKVIESFSLYNLVASVMLSTMEDGTVVKGLAGIPSEGPVLFVGDHMLLGLDTIPLLCRLFSERNIIVRAMAHPLFFVRSKKGKLPDISYFDHLRIMGAVPVGPTNIFKLFSSNSHVLLYPGGIREAFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDLGKVVFDYDDLVKIPYFRSEIESLTEEAPQLRSGVSGEVGNQQVHLPLILPKVPGRFYYYFGKPLETKGREQELQKKEKSQEFYLHVKSEVERCIAYLKEKREMDPYRALGHRLLYQATNGFHSKIPTFEI >Vigun01g102400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27058965:27061461:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIGETGIRELAAYLLDHGGLAAVPPTALVKFSNAAFFVTSNAASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun01g102400.5.v1.2 pep primary_assembly:ASM411807v1:1:27059002:27061489:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIGETASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun01g102400.6.v1.2 pep primary_assembly:ASM411807v1:1:27058591:27061431:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIGETASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun01g102400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:27058591:27061431:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIGETGIRELAAYLLDHGGLAAVPPTALVKFSNAAFFVTSNAASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun01g102400.7.v1.2 pep primary_assembly:ASM411807v1:1:27058591:27061431:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun01g102400.2.v1.2 pep primary_assembly:ASM411807v1:1:27059001:27061431:1 gene:Vigun01g102400.v1.2 transcript:Vigun01g102400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKMAVTIDRHGGFGPFSRSQRCKLQSYGHLDPHVVEHSQTGGTFSHSIELAFQADNIHRSFSTPCLPLTTLVGEDLTCHPPRIEIVRGSGAPVHALVVEVAIALASGIKPIPIPSGLGGAYAFCNQNGTNIAVAKPVDEEPFAFNNPKGLGGQMLGQPGLKKSIRIASAPPMPKVASLQRFVGHGFDAGELGPSFFSVSSVHNIGILDIRIMNLDRHAGNMLVAKHDSSNNNNNNNNNNNTSYGATVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSDFELDYISKLDPFKDAELLRTGVPLLRESAVRVLIVSTVLLKQAAAAGLCLAEIGQMMTRKFRGGQELPSEMENICLKVKSSIHTKNRTEQGSKCEGPEISFGDLNLVEWEAFLEVFGELLRTVFEDKKCNRKKLEHLGSF >Vigun03g101100.5.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701492:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPIQTRALVD >Vigun03g101100.7.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701692:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPSSSSCIFTGSSNSSTGGLSLPPTALGPSSPFGGSMKLQVCSLKYLISAIAVFLAL >Vigun03g101100.4.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701492:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPIQTRALVD >Vigun03g101100.1.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701492:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPSSSSCIFTGSSNSSTGGLSLPPTALGPSSPFGGSMKLQVCSLKYLISAIAVFLAL >Vigun03g101100.6.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701692:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPSSSSCIFTGSSNSSTGGLSLPPTALGPSSPFGGSMKLQVCSLKYLISAIAVFLAL >Vigun03g101100.8.v1.2 pep primary_assembly:ASM411807v1:3:8695624:8701492:1 gene:Vigun03g101100.v1.2 transcript:Vigun03g101100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETWLASVLLLTMLTTTLGAYVGVNIGTDVTDLPSASNIVDILRANQITHVRLYDANVHLLQALSNTSIEVIVGVTNEEVLKIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFQVKVSTPQSMDIIPKPFPPSAASFNSSLNSTIYQLLQFLKNTNSSYMLNAYPYYGYTKGDGIFPIEYALFRPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIQASNFNNIPIIVTETGWPSFGGANEPDATEENAELYINNMIQRVMNDSGPPSQPNIAINTYIYELFNEDKRSGPVSEKNWGIFYSNGSTVFPLSFGASDQITAGNSSGVFCVAKDGADTDKLQAGLSWACGQGGANCAAIQPGQPCYLPNNVKSHASYAYNDYYQRKHSTGGTCDFDGTATITSKDPIQTRALVD >Vigun07g107700.1.v1.2 pep primary_assembly:ASM411807v1:7:19840523:19848638:-1 gene:Vigun07g107700.v1.2 transcript:Vigun07g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHANSRRMYSWWWDSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMKMVEEFYRAYRALAERYDHATGVIRHAHRTMSEAFPNQVPMMLTDDLPTVSPPDTEPHTPEMRHSSRSFLDSDEPQKEASAHFHVIKKNGGYSGEPDSSLNKTGLKQLNDLYIPGEQENLTQFAERNARRGLNFFETQESSELNNGSNNTRSHISSESERVTKAETEILALKKAIAKLEEEKEAGLLQYQQCLEKLSNLQLELSSAQENSKRLDERTSKAEAEVQALKETQIKLQAESEDSLLQYQECLEKIAKLEENISSAQTEAGELNERANRAENETESLKQDLARVEAEKETIIVQYKHCSETLSKLEERLDEAEENARRTKEHATVAENEIGALKLQVTKLNEEKEEAALRYQQCLEIISGLEYKLSCAEEEVRRLNSKIDDEVEKLQSSEKKCLLLETSNHNLQSELQSLAQRMGSQSEELNEKQKELSRLWGCMQEERLRFIEAETAFQTLQQLHSQSQEELRSLAAELHSKVDTLGNVESRKQALEDEIHRVSEENKILNEVKISSSLSIKNLQDEILNLRETIKKLEQEVELRIDERNALQQEIYCLKEELNDLNKKHEAMMEEVRSTDLDPQCFGPSVKKLQDENLKLKETCEADKGEKEALLAKLETMEKILEKNTVLENSLSDLNAELDSVRGKVNVLEETCQCLLVEKSNLAAEKATLFSQLQSTTEKLERLSEKSNLLENSLFDVNAELEGLRMKSRVLEDTCQSLDHEKSSIFQEKETLVSQMNITHQTLKDLEKLHSELESKHLELKGERESALKKVEELLVSLYSEREEHSRVLKLNEDELAEKELQIHILQEDNNCKKKDYEEELDRTIHAQIEIFILQQCIDDLEKKNFSILVECQRLLEASKMSDRMISKLETENVQKQVDVNSLSEKIKILRVGLIQVLKTLDYNSGHFCEDMLEEDQMLLNQIYEKLQERQKSFDTIFNEGQKLAIENSILITFLEQLKLKVENLVTQRDDLDEQFSIQSQRFLALQIEVQKILEKNQELKLTISKGEERMEVMTAEIGNLQKKLSDMEKNHNNLQEDSHKILEEKKSLMRSFLDLGEAKSNLEEEMCFMIHETIAQSNISLIYENIIFEKLLELKELGEDLDKHCSANNDLKERLKVMVGKLENEEMENSHLRESFIKSNVELHLVQSINDELSCQIRDEREMLNQKENELLEAAEMFHVLHAEKTELQRMVEDLKIKYDEARVTLEEQANQILKLSSDKDRQDEEVICLCEVNQKLESEIRHLRQELGETKLRENKLSYEVLEGRNEIEQWETQASTLFAELQISAVNGTLFEGKVSELADACENLEHRNYSKDMESEHLKERVSKLEIENGRLSGQLAAYGPAVSALNDSITALEMQTLAHAKPDDCEETKAKVLVGNEFTEDGQQADQDKTVMAPEALPGFQDMQRRINAIAMVVKQLNESFKLKNQTREIQELKSGNTRHGENIQASKHVTQDQGKTPVTEIEVLPKDIMLDQISECSSYGISRRREILEADDQMLGLWETEDKDGAVGKQVEKTQRMASEVGGNHQRGTTKEPKNKYPSKDSLVEKELSVDKLEISRRLTLPRDEGNQTKILERLDSDAQKLTNLQITVQDLMKKVVVNEKNTKGKGVEFDGVKGQLEASQETITKLFDTNKKLMKNVEEGTLSSVGKSAGESNESGSVSRRRVSDQARRESEKIGQLHLEVQRLQFLLLKLGDGKESKEKTKSSDRSPRVLLRDYLYGGTRSNNQKKKKLPFCSCVRPPTKGD >Vigun07g107700.2.v1.2 pep primary_assembly:ASM411807v1:7:19840523:19848638:-1 gene:Vigun07g107700.v1.2 transcript:Vigun07g107700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHANSRRMYSWWWDSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMKMVEEFYRAYRALAERYDHATGVIRHAHRTMSEAFPNQVPMMLTDDLPTVSPPDTEPHTPEMRHSSRSFLDSDEPQKEASAHFHVIKKNGGYSGEPDSSLNKTGLKQLNDLYIPGEQENLTQFAERNARRGLNFFETQESSELNNGSNNTRSHISSESERVTKAETEILALKKAIAKLEEEKEAGLLQYQQCLEKLSNLQLELSSAQENSKRLDERTSKAEAEVQALKETQIKLQAESEDSLLQYQECLEKIAKLEENISSAQTEAGELNERANRAENETESLKQDLARVEAEKETIIVQYKHCSETLSKLEERLDEAEENARRTKEHATVAENEIGALKLQVTKLNEEKEEAALRYQQCLEIISGLEYKLSCAEEEVRRLNSKIDDEVEKLQSSEKKCLLLETSNHNLQSELQSLAQRMGSQSEELNEKQKELSRLWGCMQEERLRFIEAETAFQTLQQLHSQSQEELRSLAAELHSKVDTLGNVESRKQALEDEIHRVSEENKILNEVKISSSLSIKNLQDEILNLRETIKKLEQEVELRIDERNALQQEIYCLKEELNDLNKKHEAMMEEVRSTDLDPQCFGPSVKKLQDENLKLKETCEADKGEKEALLAKLETMEKILEKNTVLENSLSDLNAELDSVRGKVNVLEETCQCLLVEKSNLAAEKATLFSQLQSTTEKLERLSEKSNLLENSLFDVNAELEGLRMKSRVLEDTCQSLDHEKSSIFQEKETLVSQMNITHQTLKDLEKLHSELESKHLELKGERESALKKVEELLVSLYSEREEHSRVLKLNEDELAEKELQIHILQEDNNCKKKDYEEELDRTIHAQIEIFILQQCIDDLEKKNFSILVECQRLLEASKMSDRMISKLETENVQKQVDVNSLSEKIKILRVGLIQVLKTLDYNSGHFCEDMLEEDQMLLNQIYEKLQERQKSFDTIFNEGQKLAIENSILITFLEQLKLKVENLVTQRDDLDEQFSIQSQRFLALQIEVQKILEKNQELKLTISKGEERMEVMTAEIGNLQKKLSDMEKNHNNLQEDSHKILEEKKSLMRSFLDLGEAKSNLEEEMCFMIHETIAQSNISLIYENIIFEKLLELKELGEDLDKHCSANNDLKERLKVMVGKLENEEMENSHLRESFIKSNVELHLVQSINDELSCQIRDEREMLNQKENELLEAAEMFHVLHAEKTELQRMVEDLKIKYDEARVTLEEQANQILKLSSDKDRQDEEVICLCEVNQKLESEIRHLRQELGETKLRENKLSYEVLEGRNEIEQWETQASTLFAELQISAVNGTLFEGKVSELADACENLEHRNYSKDMESEHLKERVSKLEIENGRLSGQLAAYGPAVSALNDSITALEMQTLAHAKPDDCEETKAKVLVGNEFTEDGQQADQDKTVMAPEALPGFQDMQRRINAIAMVVKQLNESFKLKNQTREIQELKSGNTRHGENIQASKHVTQDQGKTPVTEIEVLPKDIMLDQISECSSYGISRRREILEADDQMLGLWETEDKDGAVGKQVEKTQRMASEVGGNHQRGTTKEPKNKYPSKDSLVEKELSVDKLEISRRLTLPRDEGNQTKILERLDSDAQKLTNLQITVQDLMKKVVVNEKNTKGKGVEFDGVKGQLEASQETITKLFDTNKKLMKNVEEGTLSSVGKSAGESNESGSVSRRRVSDQARRESEKIGQLHLEVQRLQFLLLKLGDGKESKEKTKSSDRSPRVLLRDYLYGGTRSNNQKKKKLPFCSCVRPPTKGD >Vigun03g320950.1.v1.2 pep primary_assembly:ASM411807v1:3:51566721:51567274:1 gene:Vigun03g320950.v1.2 transcript:Vigun03g320950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFNYFGIELMDTNLTTTEGAFMVTGRRGDPSMNKLLFSAQRNYSY >Vigun03g117900.2.v1.2 pep primary_assembly:ASM411807v1:3:10957266:10959989:-1 gene:Vigun03g117900.v1.2 transcript:Vigun03g117900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIQRLGIEHHFEEEIQAILRKKELMLRVNNHRGNECQELSEVALQFRLLRQEGYYIHADIFDNFWDNNRKLKQTLCEDINGLIALFEASQLSIEGEDYLHEAEESSRQYLNMLLSRFHDHPQMKVVADSLRYPIRKSLPRFTSTNLQLQNTGWTSSLKELCRIDTEMISSLHLKEIFAVSKWWKELGLANELTFARDEPIKWYMWSMACLPDPRFSEERIELTKPLSLIYIMDDIFDFCANIDELTLFTEAVKRWDIAAVEQLPEYMKGCFRALYGITNEFAFKVNIKHGWNPITTLVKSWVMLINAFLEEAKWFTSGYVPKAEEYLKNGIVSTGVHMILVHAFFFIGEGITEETVAVMEGLPTLISTTATILRLCDDLEGDQDVKGDDNDGSYLKCYMMEHPEASIEEAREHATELISNAWKRLNQECLRDANPLPSSFAKVCLNAARMVPLMYSYDKNTPSKLEEYVKSLLHGGAMQSIAQDQTTVSSNNLTSTDTM >Vigun03g117900.1.v1.2 pep primary_assembly:ASM411807v1:3:10957266:10960339:-1 gene:Vigun03g117900.v1.2 transcript:Vigun03g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMVHQKRIVVAPCVRNLINRVKFHVLYIPLILSHSSFSITRTLAYTTMAFINSIFALPNKCSAILAVKLSPEHAHCSKNHFTIALQKDIHISKNGKARDELQIRHAKTLEEVKRGLVGKAIQSSDHGLLMVDSIQRLGIEHHFEEEIQAILRKKELMLRVNNHRGNECQELSEVALQFRLLRQEGYYIHADIFDNFWDNNRKLKQTLCEDINGLIALFEASQLSIEGEDYLHEAEESSRQYLNMLLSRFHDHPQMKVVADSLRYPIRKSLPRFTSTNLQLQNTGWTSSLKELCRIDTEMISSLHLKEIFAVSKWWKELGLANELTFARDEPIKWYMWSMACLPDPRFSEERIELTKPLSLIYIMDDIFDFCANIDELTLFTEAVKRWDIAAVEQLPEYMKGCFRALYGITNEFAFKVNIKHGWNPITTLVKSWVMLINAFLEEAKWFTSGYVPKAEEYLKNGIVSTGVHMILVHAFFFIGEGITEETVAVMEGLPTLISTTATILRLCDDLEGDQDVKGDDNDGSYLKCYMMEHPEASIEEAREHATELISNAWKRLNQECLRDANPLPSSFAKVCLNAARMVPLMYSYDKNTPSKLEEYVKSLLHGGAMQSIAQDQTTVSSNNLTSTDTM >Vigun05g075050.1.v1.2 pep primary_assembly:ASM411807v1:5:6764202:6769592:-1 gene:Vigun05g075050.v1.2 transcript:Vigun05g075050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHVQVEKSACDVEHLKFGDHYSQLEEIWLGVVPIPTNNCFNNLKSLAVVKCESLSNVIPFYLLRFLRNLKKIEVSNCESVKAIFDVKGAAADMKPISLPLKKLILNQLPNLEHIWNLNPREILSLQYLQEVSVSNCQSLKSLFPASMANHLVKLDVRACATLMEIFAEADAAINGETKQFNFHCLTSLTLWELPELKYLYPGKHTLEWPMLTHLDIYHCDQLKLFKTEHGGDEFADTEDQLCISIDQQAAFSVEKVFPKLVQLSLKKEEAMAIAQGQFQEHGAIGPGEFGTKAAHLLHNLEVLKLMCYHEDEESDILSSGLFEEIPTIQNLEVVCSSFTEIFSSQRPRSDCTKVLSKLKRLHLKSLQKLISIGLEQSWVEPLLKTLETLEVFCCPMLKSVAPATVCFSNLTSLNVAECDGLLYLFTSSTAKSLNQLRDMSVRDCQAIQKIVWNDEEDNESSDEEIITFEQLRDMSLASLPSIVGICSGALKLKFPSLDEVTLTECPHMKYSYVPNLHEFKPRQQL >Vigun05g139400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:17009476:17010822:-1 gene:Vigun05g139400.v1.2 transcript:Vigun05g139400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSQPKTFLTLIVFSFFSIIVYAAVPKNETFKFQNSGDLGEFIVEYGADYRMISIFNSPFQVGFYNTTPNAFTLALRVGLQRSEQLFRWVWEANRGNPVGENATFSLGTDGNLVLADADGRIAWQTNTANKGVVAFRLLPNGNMVLIDAQGKFVWQSFDHPTDTLLVGQYLRAKGPSRLVSRLSEKENVEGPYSLVLEPKAKGLSLYYKSKNSPKPTLYWFSSDWFFIQKGSLENVTLTSDSESFDIGFDYQVANSSSGGNVIIGRPVNNSTLTYLRLGIDGNIRLHTYFLDVRDGVWKVTYTLFDRDSGESECQLPEKCGKLGLCEDNQCVACPLENGSFGWSNNCVPNAVTPCKASEFHYYKLEGVQHYTSKYNTGDRVSESTCGNKCTKDCKCVGYFYNGENSRCWIAYDLQTLTRVTDSSHVGYIKVPNSGATAMSQSVYFN >Vigun11g117400.1.v1.2 pep primary_assembly:ASM411807v1:11:32340126:32342386:1 gene:Vigun11g117400.v1.2 transcript:Vigun11g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKMEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGLVF >Vigun01g116300.1.v1.2 pep primary_assembly:ASM411807v1:1:29264397:29284630:-1 gene:Vigun01g116300.v1.2 transcript:Vigun01g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDDVEIVKARIDKRDYRRVVLSNSLQVLLISDPVTDKCAASMNVGVGYFSDPAGLEGLAHFLEHMLFYASEKYPVEDSYSKYISEHGGSTNAFTASEHTNYFFEVNTDGFEEALDRFAQFFTKPLMSPDATMREIKAVDSENKKNLLSDGWRMNQLQKHLSDEDHPYHKFSTGNWDTLEVKPKAKGLDPRKELLKFYDENYSANLMHLVIYTNESLDKIQNLVEEKFQDIRNTDKSCFHPCGQPCKSEHLQILVRTVPIKQGHKLRIAWPITPEIHHYTEGPSRYLGHLIGHEGEGSLYYILKKLGWATGLSAGESDWSLDFGFFSVVIDLTDSGHEHAEDIIGLLFKYIELLQHSGVCEWIFQELSAVCETKFHYQDKIPPSDYVVNIASNMQFYPVKDWLTGSSLPFKFSPNVIHMVLDQLSPDNFRIFWESKNFEGHTDKVEPWYGTAYSLEKITGSVIQGWMASAPNENMHLPAPNNFIPTDLSLKIVQEKVKFPVLLSRSTYSALWYKPDTLFSTPKANVKIDFNCPYAGSSPEAEVLVHIFTQLLMDYLNDYAYYAQIAGLYYSITHTDGGFQVTLFGYNHKLRILLETIVEKIATFEVKSDRFSVIKEMVTKEYQNMKYQQPYQQAMYYCSLILQDHTWPWIEQLDVLPALQVEDVAKFVPLMLSRTFLEFYIAGNIESHEAESMVKHVENVLFNCSKPLCKPLFSSQHLANRVVKLQSGMNYFYPSECLNPENENSALLHYIQVGRDDFKLNVKLQLFALVAKQPTFHQLRSVEQLGYITVLLQRNDCGIRGLQFIIQSTEKSPGNIEQRIEAFLKMFETKIYEMTIDEFKSNVNALIDVKLEKHKNLREESSFFWREINDGTLRFDRRDHEVEALRQLTRQELIDFFNEYVKVGADRKKTLSVRIHGNRHSSEYKAEVSEPHLARIDNIFTFRRSQSLYGSFKGLSGQMKL >Vigun05g242200.1.v1.2 pep primary_assembly:ASM411807v1:5:43527582:43528595:1 gene:Vigun05g242200.v1.2 transcript:Vigun05g242200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVVPSWLEVFLSIGDFFSKCEEHSEYIKRECNMYCVDCHSDKPLCLKCVKYHHKNHRTIQIRRSSYQNVVRVKDIQNELDISGIQSYIINKSKVVFLNKKGFDVHMNKGTGKSCKHSFLCNVCGRNISNSTNFCSLGCKFAWTKRSERGSIDQRKTTEERLKEIQEEKNSKILALKEKSGSNTSNSRKRKRKGIPFRAPFY >Vigun04g094600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:19423136:19424744:1 gene:Vigun04g094600.v1.2 transcript:Vigun04g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESRFFQQPKCLHSRHAPVDHTCSFQNGSAAQHNQNVYFGPTIKSSPAAYWRDASHTSRAFKLGFFRASPLGFLADQQPSRIQTPSFPSKRRLFFVTDHPAFMRLTPLELGFVLTPVLH >Vigun09g192000.1.v1.2 pep primary_assembly:ASM411807v1:9:36683840:36687029:1 gene:Vigun09g192000.v1.2 transcript:Vigun09g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYILWDKQRVSWLCEFSSEAMEDAGKPHKSGNPSRKVVDLAAGEAHNLILTGDGGVYSWGRGMFGRIGNGSEKDELFPVQLNFGNANPNGTQDNVKIVGIAAGAYHSLALSDDGAVWSWGYNFYGQLGTNVEESHDNEYDVGDYCLVPRLLNSFVELHTVNSSSGVSETEAKTSLKICAVKAGGMMSLAIDNRGTLWMWGNCPQQSKEGELALVSSFTPTPVLDFLGRSVVKVACGNEHIVALVSAGGSYNGEDLVCYSWGYNTRGQLGLGDREKRLHPEVVKTFDEESPYEVACGAYHTALLTRVKKTSDTLESTCWTFGLGDNGQLGHGTTQSTLFPTPVKELPRNISLICVDCGLFHTSVVSSDGDVWSWGMEKGLGLCPDASRAGTDSGDALSPRLMSWKPNQPKFPDPVKLACGAAHTVIIAKGGYRMWSWGRGRSGVLGDGKGIDCYTPTLVLWPQMMEDSEEEEVKGDGEQDKARENETEATNEVEEKLSSALNELKLLQTKLSVIRKYASILHGSVFGKPFDEQDIPDSLQNSGSFDIGKEWEKMLGTADHRKLIRLEMFYRDMLAGVKDELMKRRIKEIVKECLQSSEA >Vigun09g192000.2.v1.2 pep primary_assembly:ASM411807v1:9:36683840:36687029:1 gene:Vigun09g192000.v1.2 transcript:Vigun09g192000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTGTYTLDYCMDGQLGTNVEESHDNEYDVGDYCLVPRLLNSFVELHTVNSSSGVSETEAKTSLKICAVKAGGMMSLAIDNRGTLWMWGNCPQQSKEGELALVSSFTPTPVLDFLGRSVVKVACGNEHIVALVSAGGSYNGEDLVCYSWGYNTRGQLGLGDREKRLHPEVVKTFDEESPYEVACGAYHTALLTRVKKTSDTLESTCWTFGLGDNGQLGHGTTQSTLFPTPVKELPRNISLICVDCGLFHTSVVSSDGDVWSWGMEKGLGLCPDASRAGTDSGDALSPRLMSWKPNQPKFPDPVKLACGAAHTVIIAKGGYRMWSWGRGRSGVLGDGKGIDCYTPTLVLWPQMMEDSEEEEVKGDGEQDKARENETEATNEVEEKLSSALNELKLLQTKLSVIRKYASILHGSVFGKPFDEQDIPDSLQNSGSFDIGKEWEKMLGTADHRKLIRLEMFYRDMLAGVKDELMKRRIKEIVKECLQSSEA >Vigun03g270400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44395678:44396782:-1 gene:Vigun03g270400.v1.2 transcript:Vigun03g270400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRALFLLAALATFHGSSEGETPAVAAPLPAAARDFLEAHNEARAAVGVEPLRWSEQVANVTSKLVRYQRDRMGCQFANLTAGKYGANQLWAHGTAVTARMAVEEWVKQKQFYNHTDNSCVPNHKCGVYTQVVWRKSMELGCAQATCVKEDASLTVCFYNPPGNYVGESPY >Vigun07g013000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1154840:1156731:-1 gene:Vigun07g013000.v1.2 transcript:Vigun07g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTSHVFSILCFLSVFIFTSSSTPNTITLPLSHLFTNHPSSQPFQTLKLAVSTSITRAHHLKNRQPNPIKTQIHPKRYGGYSIDLDFGTPPQTFTFILDTGSTLVWFPCSSHYLCSNCNSFHNAPKSFIPKNSSSSKLVGCTNPKCSWLFGDNVQSRCCKNNTAAGAANCSLTCPAYTVQYGLGSTAGFLLSENLNFPGKILPDFLVGCSIVSVYQPAGIAGFGRGPESLPSQMNLTGFSYCLLSHQFDESPETSDLVLHTFSSDNKRTNGVSYTPFRKNPSSKNPAFGAYYYLTLRRIVVGEKRVRVPKRLLEPDADGNGGSIVDSGSTFTFMERPIFDLVAQEFAKQVNYTRAREVEKKSGLSPCFVVSGTASFPELRFEFRGGAKMSLPLTNYFSLVGKSDVACLTIVSDDVAGPGVAGGPAVILGNYQQQNFYVEYDLKNERFGFRSQSCKTRV >VigunL059408.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000124.1:9774:9941:1 gene:VigunL059408.v1.2 transcript:VigunL059408.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun09g238200.2.v1.2 pep primary_assembly:ASM411807v1:9:40893720:40896665:-1 gene:Vigun09g238200.v1.2 transcript:Vigun09g238200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIIPGQISKSFSCVRLDIQKSVKCRISHVIFSLVARDRHGKLCLGQTKVESLVKIRHTLLSSRIRVAGEYQEGLSDEDEDLCPVECVREFTTDEEFSKILEKSKGTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGDHEAPVIFLKHNVMDEYDEQSEVADRLRIRAVPLFHFYKDGVLLEAFPTRDKERIVAAILKYSSLEAEDILV >Vigun09g238200.3.v1.2 pep primary_assembly:ASM411807v1:9:40893720:40896665:-1 gene:Vigun09g238200.v1.2 transcript:Vigun09g238200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIIPGQISKSFSCVRLDIQKSVKCRISHVIFSLVARDRHGKLCLGQTKVESLVKIRHTLLSSRIRVAGEYQEGLSDEDEDLCPVECVREFTTDEEFSKILEKSKGTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGDHEAPVIFLKHNVMDEYDEQSEVADRLRIRAVPLFHFYKDGVLLEAFPTRDKERIVAAILKYSSLEAEDILV >Vigun09g238200.1.v1.2 pep primary_assembly:ASM411807v1:9:40893720:40896660:-1 gene:Vigun09g238200.v1.2 transcript:Vigun09g238200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIIPGQISKSFSCVRLDIQKSVKCRISHVIFSLVARDRHGKLCLGQTKVESLVKIRHTLLSSRIRVAGEYQEGLSDEDEDLCPVECVREFTTDEEFSKILEKSKGTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGDHEAPVIFLKHNVMDEYDEQSEVADRLRIRAVPLFHFYKDGVLLEAFPTRDKERIVAAILKYSSLEAEDILV >Vigun09g238200.4.v1.2 pep primary_assembly:ASM411807v1:9:40893720:40896661:-1 gene:Vigun09g238200.v1.2 transcript:Vigun09g238200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIIPGQISKSFSCVRLDIQKSVKCRISHVIFSLVARDRHGKLCLGQTKVESLVKIRHTLLSSRIRVAGEYQEGLSDEDEDLCPVECVREFTTDEEFSKILEKSKGTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGDHEAPVIFLKHNVMDEYDEQSEVADRLRIRAVPLFHFYKDGVLLEAFPTRDKERIVAAILKYSSLEAEDILV >Vigun09g164900.1.v1.2 pep primary_assembly:ASM411807v1:9:33300027:33302579:-1 gene:Vigun09g164900.v1.2 transcript:Vigun09g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASELQLPPGFRFHPTDEELVMHYLCRKCASQPIAVPIIAEIDLYKYDPWDLPGLASYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGHPKPVGIKKALVFYAGKAPKGDKSNWIMHEYRLADVDRTVRKKNSLRLDDWVLCRIYNKKGTIEKLQPTSDVVVSRKIESPEIEEKKPEILKSGGVLPPPPAMTDYMYFDPSDSIPKLHTDSSCSEQVVSPEFASEVQSEPKWNEWEKSLDFPFYMDTTTLSNGFTQFATNNTTTTNNNNNQMSPLQDMFMYWPKPF >Vigun04g091550.1.v1.2 pep primary_assembly:ASM411807v1:4:16872253:16873489:1 gene:Vigun04g091550.v1.2 transcript:Vigun04g091550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNFDTQTVEHNFKPLLTSLLLQGCSWSTQLLGQNVKLHLSVTPKHDAWLIENQGVDERSESITLSARSDPRREKRLMRDETRADS >Vigun03g014200.1.v1.2 pep primary_assembly:ASM411807v1:3:947795:950894:-1 gene:Vigun03g014200.v1.2 transcript:Vigun03g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGSDPMKPTATGFPVSSYSTSTTYTTNDTSSYAPPAPPQPKPIVEWSTGLCDCFSDFGNCCITWWCPCITFGRIAEIVDRGSSSCGASGALYTLVCCVTCCACVYSCFYRSKMRRQHGLKGNGCTDCLIHCCCEPCALCQEYRELENRGFDMIIGWHGNVEQRSRGVAMAAVTAPPVEQSMNR >Vigun06g225600.1.v1.2 pep primary_assembly:ASM411807v1:6:33390418:33390722:1 gene:Vigun06g225600.v1.2 transcript:Vigun06g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTVKNLRPEREVNIDDQHRKGTSIYSYSCMFRVKIFNVFHDSIFSVNCTPRI >Vigun08g082400.3.v1.2 pep primary_assembly:ASM411807v1:8:17346308:17355996:-1 gene:Vigun08g082400.v1.2 transcript:Vigun08g082400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRERVCWVRIQVASAPSQQHREFMDLPLVLLLVLPACYCTGFLLGPAQQLGMMFDPVRVFATAIYLGCVVIALICALWIHSKVLTIVAVIIEIGALIWYSLSYIPFARRMVSELMIRLCDTEL >Vigun08g082400.4.v1.2 pep primary_assembly:ASM411807v1:8:17346308:17355996:-1 gene:Vigun08g082400.v1.2 transcript:Vigun08g082400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRERVCWVRIQVASAPSQQHREFMDLPLVLLLVLPACYCTGFLLGPAQQLGMMFDPVRVFATAIYLGCVVIALICALWIHSKVLTIVAVIIEIGALIWYSLSYIPFARRMVSELMIRLCDTEL >Vigun08g082400.2.v1.2 pep primary_assembly:ASM411807v1:8:17346308:17355996:-1 gene:Vigun08g082400.v1.2 transcript:Vigun08g082400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIGQSLTGGNEEQGESLLGEDSGGLCSLSTTQRIYGFAACFVAGVACMLLSMIVFVKPIKFAVLFTFGNLLAVGSTGFLLGPAQQLGMMFDPVRVFATAIYLGCVVIALICALWIHSKVLTIVAVIIEIGALIWYSLSYIPFARRMVSELMIRLCDTEL >Vigun08g082400.1.v1.2 pep primary_assembly:ASM411807v1:8:17346308:17355996:-1 gene:Vigun08g082400.v1.2 transcript:Vigun08g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIGQSLTGGNEEQGESLLGEDSGGLCSLSTTQRIYGFAACFVAGVACMLLSMIVFVKPIKFAVLFTFGNLLAVGSTGFLLGPAQQLGMMFDPVRVFATAIYLGCVVIALICALWIHSKVLTIVAVIIEIGALIWYSLSYIPFARRMVSELMIRLCDTEL >Vigun09g252600.1.v1.2 pep primary_assembly:ASM411807v1:9:41975268:41978177:1 gene:Vigun09g252600.v1.2 transcript:Vigun09g252600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQHQQSPTKSRFRRICVYCGSSPGRSPSYQLAAVQLGKQLVERKIDLVYGGGSIGLMGLISQVVYDGGRHVLGIIPNTLNAREITGESVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHDKPVGLLNVDGYYNSLLAFMDKAVDEGFVTPAARHIIVSAQTAQDLMCKLEEYVPEHCGVAPKLSWEMEQQLVNTAKSDISR >Vigun04g199100.1.v1.2 pep primary_assembly:ASM411807v1:4:42349069:42351228:-1 gene:Vigun04g199100.v1.2 transcript:Vigun04g199100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHQWELCNDDGFVFKRKRRRIDAPPPPEADESAADNLRRERKKQTLLKLKSKYEKEILHWESLSNTLLALQQRTALHSLQQQQQQQQRLNQAQSLPSPSSSTDSAGSSLLRDLLLQVEAQEAIIRDVSNLCDIAEAVCVKREEQFKQTLFDLPIWASPNDLMEVLCGDDDDDDD >Vigun11g006100.1.v1.2 pep primary_assembly:ASM411807v1:11:643342:651201:-1 gene:Vigun11g006100.v1.2 transcript:Vigun11g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVVDVVPVPTAKRRRSTGFSPDPGGDKRLKISSYSLPYSAHEKTSNVVDYNNPFAISDVLDSLESGKFGSVTKDIEDLIAQKMQILGPYFVKYPILVDQWVEAVKKHHEETPKLENQLVTVPMHQNVIDLEEKHTRKDVPAMRDQIVIIDSDDEDCGAEKSMIPFQEVVLPKLVAPSPALKITGYLPVIPYPGERDLISETSMEDRPNSTQNNKGFYVGVQEEEEDELDTEDDGLGDIWKEMSMAIECSKDVSVDPHSDEEEEEDDDDDDDCDHSFVLKDDLGYVCRVCGVIGRGIETIFEFQYKVKRSTRTYASDSWNTKKTDVFGVNVVKDDLIVTEIPAHPRHMKQMKPHQVEGFNFLVRNLAGDNPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQIWQVEDIPLYDFYTVKADSRSQQLEVLNQWVKKKSILFLGYKQFSSIVCDNGNDTSLSCQNILLKIPSILILDEGHNPRNENTDMVQSLAKVETPRKVVLSGTLYQNHVKEVFNILDLVRPKFLKMETSKPIVRRIQSRVHVPGIKGFSNLVENTLQKDTDFKTKIAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTVMLTLSPRQKSEVAKIKKQSKRKFKISSVGSAVYLHPRLKPLAENCGENSISDHVVDDLVDKLDIRDGVKSKFYYNMLNLCESAGEKLLVFSQYLLPLKYLERLTINWKGWSLGREIFVISGESSSEHREWSMEKFNNSREAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVFVYRLVSADSPEEEDHHVCFKKELISKMWFEWNEYCGDRAFEVEAVEVKECGDEFLESPLLGENVKALYRR >Vigun09g152500.11.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712353:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLW >Vigun09g152500.12.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31709415:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.8.v1.2 pep primary_assembly:ASM411807v1:9:31705083:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEYQFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.2.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKVKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.4.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.1.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKVKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.10.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712353:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLW >Vigun09g152500.9.v1.2 pep primary_assembly:ASM411807v1:9:31705083:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.6.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712353:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKVKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLW >Vigun09g152500.5.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.3.v1.2 pep primary_assembly:ASM411807v1:9:31705083:31712446:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKVKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEYQFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLWVRQEGKPINKRR >Vigun09g152500.7.v1.2 pep primary_assembly:ASM411807v1:9:31705084:31712353:-1 gene:Vigun09g152500.v1.2 transcript:Vigun09g152500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNFIPNGMVCNEAPLFPKVLDQKRWSQAEGRTRELLTHIQPNHTSEAHRNAVLSYLRSLIVNSVSVPCQVFPFGSVPLKTYIPDGDIDLTVFCDNQHSEDRLIQDIHRILEREEKNEDAQFQVKEVKYIAAKVKIIKCIVDNFVVDVSFNQIGGLGTLCFIEEVDNLINQNHLLKRSIILIKAWCFYESRILGSYHGLFSTYALETLVIYVFHVYNNTFAGPLEVLFRFLDFFSKFDWSKYCVSLRGPVPISSLPNMKAEPPRKDCQKLLLTHQFLNSCESIYGVLCSGNELKEKPFVSKCVSIVDPLLPNNNIGGSIRKGNFCRIKSAIALGAKRIQRLLSCPEDNVIAEFDLFFKNTWERNGNGYWVDALTYNLYVRNRHVTTSGEHHGIYQNPSNHSEEPKQMPGQSDEPAASQTWRSKHGSVFTGTLASDMQPTNTMKNALANRDKSPICSSYEVRDAPYFPGFDSSSESGPLYGEASTHHWYNTRVSSENYQPFGQIPYDWRNEYEDGPEHTSSGYYCEYGLGVNDENDCSFDGAMLMQQEKFGFPYFNDYLQAPVNVNPCVPFPPPHVLASGYMHENSSEYFPSYNMPHYQNTAPFPFARPFYEGESTEPFDYSSLLPFNNGSSSGNSSWQTGALSYTPKDYENTSTEAINSDSKALELGNKLLKTAPNSSVPDLAGPSSSSQRTVDDQRFRPVADGSAGPSFPVPTMFPFYNLQGTSGYFVNEGYDILDSDFLSYWWNLQYGRFCKNQIPKLPFPPSTTLPMHLRAQFAGHMKPLQDIMNNMEHVQTPVPVMPYGDVPFHWNINEGGGFWLPRTSDGTGTYFPRTDPSSYYEFCKKKRLPLSPYIQNPKYEKKDNHCERDESLHLNSNERFPGYGQLRGKFEKPGSSESSHRGESSSSARGSSEVAKSGRDSESTSRHEPSSSKPLW >Vigun11g009500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1110999:1115265:1 gene:Vigun11g009500.v1.2 transcript:Vigun11g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIGSHKQFIDSVCTIVIKGNWGNLLKVKNASAFTSSTIHQVLLQLSLYDYGLSHSFPFFKWLDSIPNYSHSLQCSWVMIHILTEHKHFKTAQHMLEKIADRDFLPSSSVLRTLVRTHDNPEVNSQVLSWLVIHYAKSKMTHDAIQVFEQMRLHKVKPHLHACTVLLNSLLKDGVTHMVWKIYRRMVQVGVVPNMYIYNCLFHACSKARDVERAEQLLNELDLKGMLPDIFTYNTLISLYCKKGMHYEALSIQSRMEREGINLDIVSYNSLIYGFCKEGRMREAIRMFREIKNATPNHVTYTTLIDGYCKTNELEESLKILGLMESKGLYPGVVTYNSILRKLCQDGRIKDANKLLTEMSERKVQADNITCNTLINAYCKIGDMKSALKFKNKMLESGLKPDSYTYKALIHGFCKTNELETAKEAMFSMLDAGFTLSYCTYTWIVDCYCKKDNMDAVLALPNEFLSRGLCLDVSVYRALIRRSCKLERVECAEKLFNEMEGKGISGDSVIYTSLAYAYWKAGNASASMGVLEEMTRRRLMITVKLYRCFITSDVSENKMSLIFWNHVVDRGLMSRNSMNKIQQMLI >Vigun08g041200.1.v1.2 pep primary_assembly:ASM411807v1:8:4212840:4216932:1 gene:Vigun08g041200.v1.2 transcript:Vigun08g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVITIVTPYNSITTLHFSFNSESTFLLIFSSPNMGEAPVFYINGFSKFEHEIQQQNHVNDQNHDSSWYEEIIDEDLKWSFKLNSVLHKAISEYQDITLLDTKRFGKALVLDGKMQSAETDEFIYHECLIHPSLLCHPNPKTVFIMGGGEGSAAREALRHRSMDRVVMCDIDKEVVDFCKKYLIANREAFSNKKLDLVINDAKVELVKRKEKFDIIVGDLADPLEDGPCYQLYTKSFYEKILKPKLNDNGIFVTQAGPAGIFTHKEVFTSIYNTIKQVFKYVIAYTTHVPSFADTWGWVMASDEPLSICGEEMDRRIAERIDGELLYLNGAWFHSSTTMNKTVHQSLQNETHVYTEENARFIPGHGMAFRL >Vigun08g041200.2.v1.2 pep primary_assembly:ASM411807v1:8:4212935:4216802:1 gene:Vigun08g041200.v1.2 transcript:Vigun08g041200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVITIVTPYNSITTLHFSFNSESTFLLIFSSPNMGEAPVFYINGFSKFEHEIQQQNHVNDQNHDSSWYEEIIDEDLKWSFKLNSVLHKAISEYQDITLLDTKRFGKALVLDGKMQSAETDEFIYHECLIHPSLLCHPNPKTVFIMGGGEGSAAREALRHRSMDRVVMCDIDKEVVDFCKKYLIANREAFSNKKLDLVINDAKVELVKRKEKFDIIVGDLADPLEDGPCYQLYTKSFYEKILKPKLNDNGIFVTQAGPAGIFTHKEVFTSIYNTIKQVFKYVIAYTTHVPSFADTWGWVMASDEPLSICGEEMDRRIAERIDGELLYLNGAWFHSSTTMNKTVHQSLQNETHVYTEENARFIPGHGMAFRL >Vigun11g001700.1.v1.2 pep primary_assembly:ASM411807v1:11:200119:202747:1 gene:Vigun11g001700.v1.2 transcript:Vigun11g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATHSMFCNNDLVNPLSSMGMQVSCILVVSHIFNVVFRTVGQPGPIAQILAGLALGPMSHIPYIKATFFPASSINYYEVVSFFCRIHFMFLFGLEMNMHYAMRNLRRVNFVACGGALMGAVFGLSVSFYLHQELNTLDNAPLYYFSMIIMLVVSYTSSPMVIRLAAELRFAASDVGRTAVSSALITEMGCLLLFNVMVNWRKANHISSGLGCFVITVFVVYVNRHLAVWLNARNRNQKYLKAPELLLILFILLTSSMIIEICGYNSIMSCFIIGLLFPKEGKTARTLLHKLGYSIYNFVLPVYFGYLGLQCDLINVFKSLQRVANMAILILLSIGSKLGGTLIASRYLKIPTSEGIFLGFILNTRGYADLLFIGAAAKQVITFDSEAYNVLLVSIVLNTIISGMIVAFLVRGEDKMFANNYTAIEPQQMEDELRILACVYDPRQVSAILATVLAVHGSRVSPSTTYLMHLIELVKKIKSNLLYHEKENADLSDDEDYGGNDVVEINNSLDSFTAETKILVHQRRAVSSFPSLYEDVCNEAEDLQVSIVLLPFHKHQRIDGKLESGKEGIRITNQKVLRHAPCSVGVIVERGLARVPGFSQLVASEGIQNIATLFFGGPDDREAIAWSLRISGSPRVNLTIIRFLLTSSSQSEQIESGQSEEKEILMSLSGEETVNEIDNTFMVDFYNRYVTSGHIGYVEKFVKHGAETVAALKQIGDMYSLFIVGKGGRGQSSLTIGMSDWEECPELGTVGDVLASSDFDIHGSVLIVQQHRDVKKGLLHD >Vigun01g016500.4.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIADQFEATSFLADIRESSNQRQGLVQLQESLLFDTVGDKYIKLGSIYKGIPIIKKRLCCKKVLLIIDDVDSLEQLQALAGGRDWFGSGSVVIITTRDKHLLSAHQVDKTYEVKKLNHGEAFELFTWSAFKRKAPDAGYLEVSNRVVLYAEGLPLALKVMGSNLFGKTVKEWKSALGKYEKIPSKEVQNVLRVTYDNLEENEKEIFLDVACFFKGETVEYVEKTLQACGFYPTIGISVLIDRSLVSIDEYNRLRMHDLIQDMGREIVREVSPLEPGKRSRLWYHEDVFEVLTENKGTYRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKETFERWELQAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.1.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLGEEASSSSGFERGWTHDVFLSFRGEDTRRSFTGFLYHGLCQRGIDVFIDDEKLRRGEDLSPALLGAIEKSRIAIIVFSKNYAFSTWCLDELAKIIDCYKTRGLLVWPVFFHVDPSAVRHQRGTFQTAMAEHEIRFKGNVEKLQRWKKALFEASNFSGWAFENGYEFQIIQDIIEEASRKLSHTILHIAEYPVGIETRISEVMPLLQIEPGEDICVIGIYGLGGIGKTTIARALYNMIADQFEATSFLADIRESSNQRQGLVQLQESLLFDTVGDKYIKLGSIYKGIPIIKKRLCCKKVLLIIDDVDSLEQLQALAGGRDWFGSGSVVIITTRDKHLLSAHQVDKTYEVKKLNHGEAFELFTWSAFKRKAPDAGYLEVSNRVVLYAEGLPLALKVMGSNLFGKTVKEWKSALGKYEKIPSKEVQNVLRVTYDNLEENEKEIFLDVACFFKGETVEYVEKTLQACGFYPTIGISVLIDRSLVSIDEYNRLRMHDLIQDMGREIVREVSPLEPGKRSRLWYHEDVFEVLTENKGTYRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKETFERWELQAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.7.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFLKYSLKIRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.2.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806620:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQIEPGEDICVIGIYGLGGIGKTTIARALYNMIADQFEATSFLADIRESSNQRQGLVQLQESLLFDTVGDKYIKLGSIYKGIPIIKKRLCCKKVLLIIDDVDSLEQLQALAGGRDWFGSGSVVIITTRDKHLLSAHQVDKTYEVKKLNHGEAFELFTWSAFKRKAPDAGYLEVSNRVVLYAEGLPLALKVMGSNLFGKTVKEWKSALGKYEKIPSKEVQNVLRVTYDNLEENEKEIFLDVACFFKGETVEYVEKTLQACGFYPTIGISVLIDRSLVSIDEYNRLRMHDLIQDMGREIVREVSPLEPGKRSRLWYHEDVFEVLTENKGTYRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKETFERWELQAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.5.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLGEEASSSSGFERGWTHDVFLSFRGEDTRRSFTGFLYHGLCQRGIDVFIDDEKLRRGEDLSPALLGAIEKSRIAIIVFSKNYAFSTWCLDELAKIIDCYKTRGLLVWPVFFHVDPSAVRHQRGTFQTAMAEHEIRFKGNVEKLQRWKKALFEASNFSGWAFENGYEFQIIQDIIEEASRKLSHTILHIAEYPVGIETRISEVMPLLQIEPGEDICVIGIYGLGGIGKTTIARALYNMIADQFEATSFLADIRESSNQRQGLVQLQESLLFDTVGDKYIKLGSIYKGIPIIKKRLCCKKVLLIIDDVDSLEQLQALAGGRDWFGSGSVVIITTRDKHLLSAHQVDKTYEVKKLNHGEAFELFTWSAFKRKAPDAGYLEVSNRVVLYAEGLPLALKVMGSNLFGKTVKEWKSALGKYEKIPSKEVQNVLRVTYDNLEENEKEIFLDVACFFKGETVEYVEKTLQACGFYPTIGISVLIDRSLVSIDEYNRLRMHDLIQDMGREIVREVSPLEPGKRSRLWYHEDVFEVLTENKGTYRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.6.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQIEPGEDICVIGIYGLGGIGKTTIARALYNMIADQFEATSFLADIRESSNQRQGLVQLQESLLFDTVGDKYIKLGSIYKGIPIIKKRLCCKKVLLIIDDVDSLEQLQALAGGRDWFGSGSVVIITTRDKHLLSAHQVDKTYEVKKLNHGEAFELFTWSAFKRKAPDAGYLEVSNRVVLYAEGLPLALKVMGSNLFGKTVKEWKSALGKYEKIPSKEVQNVLRVTYDNLEENEKEIFLDVACFFKGETVEYVEKTLQACGFYPTIGISVLIDRSLVSIDEYNRLRMHDLIQDMGREIVREVSPLEPGKRSRLWYHEDVFEVLTENKGTYRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKETFERWELQAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun01g016500.3.v1.2 pep primary_assembly:ASM411807v1:1:1801653:1806619:-1 gene:Vigun01g016500.v1.2 transcript:Vigun01g016500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFLKYSLKIRIQGMMVDLPDDYMVHLKDDSFKKMKNLKILIVRNGNFFGSPQHLPNNLRLLDWMKYPSSLPSSFQPKKLVVLNVSGSRFTMQEPFKYLDSLTSMDLSSCELLTKLPDISGVPNLRELTLDDCTNLEEVHESVGFLEKLVEFRAYGCTKLKVFPSGIRLTSLRSLILNWCSSLQNFPAILGKMDNLISISIEGTGITELPPSIGNLVGLQELSMTSCLSLKELPHNFDMLQSLTNLDMDGCPQLRNFLTKLANMGESTHTFGNILSLNLQNCGLVDEDLPIIFNSFPNLASVVLSGNNFEALPSCIQQCPCLELLHLDNCKRLQEIPAFPPNMQYINAQNCTSLSAESSNLLLDKETFERWELQAMVPGTMVPEWFDHITKGEYMTFWVREKFPAIIICFVLAVESEMKKIFNCEIRFYINGEEVYELEIRRGFSDMVTDHLWLYDLRTHSSINWRSLDLYLMDGWNQVEISCEKISGASNVTVSWCGVHVCKQEANMKDILLTDPDPDLDSVVASVSNTLVSDHPAKAQPQSQVTSFIQTPQKNNSSTIVLPTTVQTSLTVNDADMEAFYAVLDDEVSVVSLDNDSTLVSKLVNKRPSEETKKALRTLQDHVTKEFYALLGPNEYTTMKDTLEYLTNLPAEDGISVEIRSLIIQVSRQFTHWSMDYTCENKKIESTTAKLLKAEELEKCLEANKTNFKQVVCMENELCNDLAYLEQRKRELEEQINAVKANISASEAAKNMATQRKREIFGEAKILKAERDELREQVPHLRDEQELAKKIQSNIRDEWSKLGEKFNYGLRLGKID >Vigun08g196200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36227152:36231699:-1 gene:Vigun08g196200.v1.2 transcript:Vigun08g196200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKPADGGDREDRRTLGLVLLRGEEVISMTVEGPPPPEESRSKAVSAAALAGPGIGRAAGRGIPPAPVVQAQPGLAGPVRGVGGPAPGMMQPQISRPPQLNAPPVSYPGGPPVMRPPGQMPGQFAPPPMARGPPPPMPPGQFVPPRPGGAPPQFSVPPPQFGQRPMGPPPPGQMVRGPPAPPRPGMPAPPPPRPGMPPPPGSGVPVFGPPRPGMPPPPNPPNQQQQ >Vigun07g115900.1.v1.2 pep primary_assembly:ASM411807v1:7:21409229:21413112:1 gene:Vigun07g115900.v1.2 transcript:Vigun07g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGVAYNHLMVQRPNLPPVLPPPRLPIPGNAQVPGSQPLMPGMRPPVFPRPLPGAPGYASAPTMPPMLPPPAAPQVSGQLNTLPRPPSLAPPPTVPGSTAPPASNGAPSMVSSAVYQANPPAPGGYDNYNASAQAPEGNH >Vigun03g298000.2.v1.2 pep primary_assembly:ASM411807v1:3:48552161:48560450:1 gene:Vigun03g298000.v1.2 transcript:Vigun03g298000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTASSFALNKPIMALPSSSRTVTPIRAMSSSTSSVRTSLSTNFIAPRALISSASSHFSGFKLRPSSLNPASFGGSNAKRGVVTMVIPYSSGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDDKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARREVNNVKAELVKLYAKHMEKTPEQIENDIQRPKYFSPSEAVEYGIIDKVIYNDRGSEDRGVVSDLKKARLI >Vigun03g298000.3.v1.2 pep primary_assembly:ASM411807v1:3:48552161:48560450:1 gene:Vigun03g298000.v1.2 transcript:Vigun03g298000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTASSFALNKPIMALPSSSRTVTPIRAMSSSTSSVRTSLSTNFIAPRALISSASSHFSGFKLRPSSLNPASFGGSNAKRGVVTMVIPYSSGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDDKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARREVNNVKAELVKLYAKHMEKTPEQIENDIQRPKYFSPSEAVEYGIIDKVIYNDRGSEDRGVVSDLKKARLI >Vigun03g298000.4.v1.2 pep primary_assembly:ASM411807v1:3:48552161:48560450:1 gene:Vigun03g298000.v1.2 transcript:Vigun03g298000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTASSFALNKPIMALPSSSRTVTPIRAMSSSTSSVRTSLSTNFIAPRALISSASSHFSGFKLRPSSLNPASFGGSNAKRGVVTMVIPYSSGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDDKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARREVNNVKAELVKLYAKHMEKTPEQIENDIQRPKYFSPSEAVEYGIIDKVIYNDRGSEDRGVVSDLKKARLI >Vigun03g298000.1.v1.2 pep primary_assembly:ASM411807v1:3:48552161:48560450:1 gene:Vigun03g298000.v1.2 transcript:Vigun03g298000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTASSFALNKPIMALPSSSRTVTPIRAMSSSTSSVRTSLSTNFIAPRALISSASSHFSGFKLRPSSLNPASFGGSNAKRGVVTMVIPYSSGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDDKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARREVNNVKAELVKLYAKHMEKTPEQIENDIQRPKYFSPSEAVEYGIIDKVIYNDRGSEDRGVVSDLKKARLI >Vigun03g298000.5.v1.2 pep primary_assembly:ASM411807v1:3:48552161:48558923:1 gene:Vigun03g298000.v1.2 transcript:Vigun03g298000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTASSFALNKPIMALPSSSRTVTPIRAMSSSTSSVRTSLSTNFIAPRALISSASSHFSGFKLRPSSLNPASFGGSNAKRGVVTMVIPYSSGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDDDKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLASGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARREVNNVKAELVKLYAKHMEKTPEQIENDIQRPKYFSPSEAVEYGIIDKVIYNDRGSEDRGVVSDLKKARLI >Vigun04g189000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41311344:41312171:-1 gene:Vigun04g189000.v1.2 transcript:Vigun04g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEEHESVEEWLVCPSFSAYSSNRLDDIADQVTRNDDRFDQNDTDFEFVAFRKVADGVFLEAVAEAEIQTFPIFHRDLAAADADSGSRGRDSGEAAIQSTLGKLLLEESTSCSSSEVDDELENVPPETYCVWTPKPSPVSTPCRKSKSTGSSSSKRWKLLDLLRRSNSEGKESAVFLTPSVNSAKKKGVKPENGKKIVASGRDGKMFPTIAAIGGGGKRFPAIAAVSAHEALYVRNREMRREDKRRSYLPYRQDLVGFCVNLNTMGKAFPLLS >Vigun03g131500.1.v1.2 pep primary_assembly:ASM411807v1:3:12795610:12799041:1 gene:Vigun03g131500.v1.2 transcript:Vigun03g131500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Aox2a MKFIALSCTVRRALLNGRNCNGLGSTAVMAYAAPETRFLCAGAANGGLFYWRRSMASQAEAKLPEKDKEKAEAEKSVVESSYWGISRPKIMREDGTEWPWNCFMPWETYHSNLSIDLTKHHVPKNFLDKVAYRTVKLLRIPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALMEEAENERMHLMTMVELVKPKWYERLLVIAVQGVFFNAFFVLYILSPKVAHRIVGYLEEEAIHSYTEYLKDIESGAIENVPAPAIAIDYWRLPKDAKLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPIGYH >VigunL059118.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000668.1:25480:26783:-1 gene:VigunL059118.v1.2 transcript:VigunL059118.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKTTAKHPVIETNKGKRRRRASSSSPERPITNRFRDPEREERYEKIKNWVFIKERKVVLLPDEYDPFLNEIVREFYANAYSEDNLSEKRSKVRGRWVNYDRAAISDFLGNPLPLQPGQRLALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNSRNDKAKGALGFPALNTALCQEQGVEVELTEKIRPSITKKFIEHFCTHPEDLEQLEESQLDQQAEDQPAEDQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHIGQLHLQEYLYQNVRGPYPGMTPPEFFTYLQWPGDSPIFPGGGGPDAGEGPSGAADADGADIEDEIDFGGD >Vigun07g066800.1.v1.2 pep primary_assembly:ASM411807v1:7:7912186:7915565:1 gene:Vigun07g066800.v1.2 transcript:Vigun07g066800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTDDNSPKPLEVLQMNPVPPFLSKTFDLVDDPTLDPIISWGPSGFSFVVWDPLEFARVVLPRHFKHNNFSSFVRQLNTYGFRKIDTDKWEFFNEAFQRSKKHLLKNIQRRRSPQQSQQVGNYIGIGACTTEAGRSEVEVEIEKLRKERTMLMEEVVDLQQEQRRTANRAGEVNQRLQSAEQRQKQMVSFLAKLIQNPAFLARLRQKKEQREIDSPRVVRKFVKQHQHETGTPETLQEGQIVRYHQPDWTNISMSSETPKLSPVSIEQSPHYLSQGLAGEMSGAAQHLTAQIQNIVTDDLAAEMMIGEGSSEDPLFKGKSVMSPMSPSLELPADYFTSFPEVLTQEKGFQDFSALGAEDIIKLEDIWDSGLNLSGAGSSCGNELWGNPMNYEHVPEFGVTSGMSESDIWDIGGSGSLGIDKWPGDEHEPSSIGEVDEPKEDRPQCLDP >Vigun06g120500.3.v1.2 pep primary_assembly:ASM411807v1:6:24806113:24810292:-1 gene:Vigun06g120500.v1.2 transcript:Vigun06g120500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFNLDFFDPGTAMESSSSPDYSRSASSSDADFGFAFNDSNFSDRILHIEIMDDLVEEASPNFEGCTTILDWARHRKRRREDVKKDNVVDLTLPDEQILNENQPDMDDFISPENQDEEAIAMGEESSSSVVRVRTLHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRINVSEEAALMELLNFMYSNTLNVSSALEILDVLMAADKFEVASCMKFCSRLLRIIPMTPESALLYLELPSSVLMADAVQPLTDAAKQYLVDRYKDITKYQEEVLSLPLVGIEAILSSDELQVASEDVVYDFVLKWVRTQYPKLDERREVLGTQLARLIRFPYMTCRKLKKVLTCNDFDQNVASKLVLEALFFKAEAPHRQRILAADSASYRLFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSTSFAIDYEFAARSRPTEEFVSKYKGNYVFTGGKAVGYRNLFAIPWTTFMAEDSLYFINGILHLRAELTIRH >Vigun06g120500.1.v1.2 pep primary_assembly:ASM411807v1:6:24806113:24810292:-1 gene:Vigun06g120500.v1.2 transcript:Vigun06g120500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFNLDFFDPGTAMESSSSPDYSRSASSSDADFGFAFNDSNFSDRILHIEIMDDLVEEASPNFEGCTTILDWARHRKRRREDVKKDNVVDLTLPDEQILNENQPDMDDFISPENQDEEAIAMGEESSSSGDELTNINDSNWNMDCSTVVRVRTLHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRINVSEEAALMELLNFMYSNTLNVSSALEILDVLMAADKFEVASCMKFCSRLLRIIPMTPESALLYLELPSSVLMADAVQPLTDAAKQYLVDRYKDITKYQEEVLSLPLVGIEAILSSDELQVASEDVVYDFVLKWVRTQYPKLDERREVLGTQLARLIRFPYMTCRKLKKVLTCNDFDQNVASKLVLEALFFKAEAPHRQRILAADSASYRLFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSTSFAIDYEFAARSRPTEEFVSKYKGNYVFTGGKAVGYRNLFAIPWTTFMAEDSLYFINGILHLRAELTIRH >Vigun06g120500.2.v1.2 pep primary_assembly:ASM411807v1:6:24806113:24810292:-1 gene:Vigun06g120500.v1.2 transcript:Vigun06g120500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSPDYSRSASSSDADFGFAFNDSNFSDRILHIEIMDDLVEEASPNFEGCTTILDWARHRKRRREDVKKDNVVDLTLPDEQILNENQPDMDDFISPENQDEEAIAMGEESSSSGDELTNINDSNWNMDCSTVVRVRTLHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRINVSEEAALMELLNFMYSNTLNVSSALEILDVLMAADKFEVASCMKFCSRLLRIIPMTPESALLYLELPSSVLMADAVQPLTDAAKQYLVDRYKDITKYQEEVLSLPLVGIEAILSSDELQVASEDVVYDFVLKWVRTQYPKLDERREVLGTQLARLIRFPYMTCRKLKKVLTCNDFDQNVASKLVLEALFFKAEAPHRQRILAADSASYRLFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSTSFAIDYEFAARSRPTEEFVSKYKGNYVFTGGKAVGYRNLFAIPWTTFMAEDSLYFINGILHLRAELTIRH >Vigun06g235000.1.v1.2 pep primary_assembly:ASM411807v1:6:34049397:34052130:1 gene:Vigun06g235000.v1.2 transcript:Vigun06g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWVKRRGESVVGSSREEDQLIVTPLGAGNEVGRSCVYMTYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTYATKAIYKLLLSDFVKVSKVSVEDMLYDEQDINRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGIQHHQPRHTREKRFTDVIHSTISQGGRVLIPVFALGRAQELLLILDEYWENHPELQNIPIYYASPLAKKCLTVYETYTLSMNDRIKNAKSNPFSFKHVSALSSIDVFKDVGPSVVMASPGGLQSGLSRQLFEMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADSAQTSAFLEELNPPNIILVHGEANEMGRLKQKLITQFADRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQIMAPDDLHVFSQLSTASITQRITIPYSCAFNVIRHRLKQIYESVEQSVDEESGVPTLQVHDCVTVKQESEKHVSLHWAADPISDMVSDSIVALILNISRDVPKVMDEADAIKIEEESEKKAEKVMHALLVSLFGDVKIGENGKLIINIDGNVAELNKESGEVESENEGLKERVKTAFRRIQSSVKPIPLPVAP >Vigun06g235000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34049397:34052130:1 gene:Vigun06g235000.v1.2 transcript:Vigun06g235000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGIQHHQPRHTREKRFTDVIHSTISQGGRVLIPVFALGRAQELLLILDEYWENHPELQNIPIYYASPLAKKCLTVYETYTLSMNDRIKNAKSNPFSFKHVSALSSIDVFKDVGPSVVMASPGGLQSGLSRQLFEMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADSAQTSAFLEELNPPNIILVHGEANEMGRLKQKLITQFADRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQIMAPDDLHVFSQLSTASITQRITIPYSCAFNVIRHRLKQIYESVEQSVDEESGVPTLQVHDCVTVKQESEKHVSLHWAADPISDMVSDSIVALILNISRDVPKVMDEADAIKIEEESEKKAEKVMHALLVSLFGDVKIGENGKLIINIDGNVAELNKESGEVESENEGLKERVKTAFRRIQSSVKPIPLPVAP >Vigun05g043100.1.v1.2 pep primary_assembly:ASM411807v1:5:3566786:3568991:1 gene:Vigun05g043100.v1.2 transcript:Vigun05g043100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQHSGSTRISDDQIIDLVSKLRQLVPEIRSRRSDKVSASKVLQETCNYIRSLHREVSDLSERLSQLLTTIDADSAEAGIIRSLLNQ >VigunL059061.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000427.1:2867:3857:-1 gene:VigunL059061.v1.2 transcript:VigunL059061.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRCSAWMNSNCTGGDSSIEPYLVTHHQLLAHAAAMRLYKASISKPMMQMSLSLLWVQEDHLVVATFRVLMMFFRMKLF >Vigun04g195900.1.v1.2 pep primary_assembly:ASM411807v1:4:42068349:42072372:-1 gene:Vigun04g195900.v1.2 transcript:Vigun04g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPRYIYIPDQWSQAAESISCSSTPPIVFICGAKNCGKTTFSRYLLNIVLQKYTKVAYLDTDVGQPEFTPPAFLSLTIVHKVTPDFTVPCLKTPERCLFFGDVSCKRDPSTYLSYVFAIYDYYQKEYGISVNGENPCNIKLPLIVNTPGWVKGVGYDVLVDMLKYISPTHVVKINTSSENKNLPAGEFWLDEEHDGTINLIEINSARQDSLNRSVPFPKDARPLHDIRIMAYFRQCFSRNSNISTIKELAHALASHCPYEVPIASIKIRHLHCEVPSSEIFFSLNATIVGLAVDSEGPENIPWCFGLGIVRGIDTVKGVLYVITPVPHSSLEKVNLLLQGYIQIPSCLLQVQGCISPYMSANTLAIN >Vigun05g221400.2.v1.2 pep primary_assembly:ASM411807v1:5:41320262:41323320:-1 gene:Vigun05g221400.v1.2 transcript:Vigun05g221400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLLGLVLLFLLSLQNSEFTLVASKAEHIVMNPITPFALALWSTIAAELPGRTDNEIKNHWHTVLKKRFQQKPVAKRGNEKEAAISKSMETLYSDHNTTSDASAAAAASAAAATATNHQSYDDLSVLDAYTEPVSADFWTEPYLLDNSYVPPESEPVYLSPMYDVQLWNQNELFLQECEGFFQW >Vigun05g221400.1.v1.2 pep primary_assembly:ASM411807v1:5:41320262:41323320:-1 gene:Vigun05g221400.v1.2 transcript:Vigun05g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCDKDGLKKGSWTQEEDNKLIAYVTRYGHWNWRLLPKFAGLARCGKSCRLRWLNYLRPDVKRGNFSDEEEETIVRLHEKLGNRWSTIAAELPGRTDNEIKNHWHTVLKKRFQQKPVAKRGNEKEAAISKSMETLYSDHNTTSDASAAAAASAAAATATNHQSYDDLSVLDAYTEPVSADFWTEPYLLDNSYVPPESEPVYLSPMYDVQLWNQNELFLQECEGFFQW >Vigun07g100800.1.v1.2 pep primary_assembly:ASM411807v1:7:17830320:17843696:1 gene:Vigun07g100800.v1.2 transcript:Vigun07g100800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYIAHKVDYSVSLGWKNYKAGNITDKEARDIIWKNFFQGRMTYLHWNKGEEMVPAIDGKSVTLVRKLPTADPTRVFVGDVVVLKDPEKPDNYLVRRLTAIEGYEMVSTDENDEPFTLEKDQCWVVAENEKLKAKQEANDSRTFGPVQMTDIVGRVIYCLRSAVDHGRVQNSYFGMRKDTPVLEVELDVDEMAKSHKA >Vigun07g100800.2.v1.2 pep primary_assembly:ASM411807v1:7:17830320:17843696:1 gene:Vigun07g100800.v1.2 transcript:Vigun07g100800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYIAHKVDYSVSLGWKNYKAGNITDKEARDIIWKNFFQGRMTYLHWNKGEEMVPAIDGKSVTLVRKLPTADPTRVFVGDVVVLKDPEKPDNYLVRRLTAIEGYEMVSTDENDEPFTLEKDQCWVVAENEKLKAKEANDSRTFGPVQMTDIVGRVIYCLRSAVDHGRVQNSYFGMRKDTPVLEVELDVDEMAKSHKA >Vigun02g009300.2.v1.2 pep primary_assembly:ASM411807v1:2:3754315:3761880:1 gene:Vigun02g009300.v1.2 transcript:Vigun02g009300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNPVKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENVPYLNRLGTLIINNNRITRINPSIGEFLPKLHTLVLTNNRIVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVINKLKSLRVLDFKKVKNKERLEAKSLFASEEVMEEIKRTPAKPISPAETPNVSEAAEEQQTPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALKSGQLPADIKGLNDNIVLDNVDEKPEDMIHNDGGQADGESNGTQEQTNTDSTSMEQD >Vigun02g009300.4.v1.2 pep primary_assembly:ASM411807v1:2:3754429:3761828:1 gene:Vigun02g009300.v1.2 transcript:Vigun02g009300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNPVKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENVPYLNRLGTLIINNNRITRINPSIGEFLPKLHTLVLTNNRIVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVINKLKSLRVLDFKKVKNKERLEAKSLFASEEVMEEIKRTPAKPISPAETPNVSEAAEEQQTPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALKSGQLPADIKGLNDNIVLDNVDEKPEDMIHNDGGQADGESNGTQEQTNTDSTSMEQD >Vigun02g009300.5.v1.2 pep primary_assembly:ASM411807v1:2:3754429:3761828:1 gene:Vigun02g009300.v1.2 transcript:Vigun02g009300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNPVKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENVPYLNRLGTLIINNNRITRINPSIGEFLPKLHTLVLTNNRIVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVINKLKSLRVLDFKKVKNKERLEAKSLFASEEVMEEIKRTPAKPISPAETPNVSEAAEEQQTPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALKSGQLPADIKGLNDNIVLDNVDEKPEDMIHNDGGQADGESNGTQEQTNTDSTSMEQD >Vigun02g009300.3.v1.2 pep primary_assembly:ASM411807v1:2:3754428:3761841:1 gene:Vigun02g009300.v1.2 transcript:Vigun02g009300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNPVKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENVPYLNRLGTLIINNNRITRINPSIGEFLPKLHTLVLTNNRIVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVINKLKSLRVLDFKKVKNKERLEAKSLFASEEVMEEIKRTPAKPISPAETPNVSEAAEEQQTPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALKSGQLPADIKGLNDNIVLDNVDEKPEDMIHNDGGQADGESNGTQEQTNTDSTSMEQD >Vigun02g009300.1.v1.2 pep primary_assembly:ASM411807v1:2:3754429:3761880:1 gene:Vigun02g009300.v1.2 transcript:Vigun02g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNPVKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENVPYLNRLGTLIINNNRITRINPSIGEFLPKLHTLVLTNNRIVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVINKLKSLRVLDFKKVKNKERLEAKSLFASEEVMEEIKRTPAKPISPAETPNVSEAAEEQQTPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALKSGQLPADIKGLNDNIVLDNVDEKPEDMIHNDGGQADGESNGTQEQTNTDSTSMEQD >Vigun08g222300.2.v1.2 pep primary_assembly:ASM411807v1:8:38028099:38031917:1 gene:Vigun08g222300.v1.2 transcript:Vigun08g222300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSEQSNSKTTSPPEDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKYKGKTVMTEAERYESLRHCNRWVDEVIPDAPWVINQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKSVGRFKETKRTEGISTSDVIMRIVKDYNQYVLRNLDRGYSRNELGVSYVKEKRLRVNRRLKTLQEKVKEHQEKVGEKIQIVAKTAGMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRRQQSSDGTLRLENGKDDKDDDEEEYYYDEEDDSDDEFFEEYYDDDELNPQNNGKDENKK >Vigun08g222300.1.v1.2 pep primary_assembly:ASM411807v1:8:38028099:38031917:1 gene:Vigun08g222300.v1.2 transcript:Vigun08g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSEQSNSKTTSPPEDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKYKGKTVMTEAERYESLRHCKWVDEVIPDAPWVINQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKSVGRFKETKRTEGISTSDVIMRIVKDYNQYVLRNLDRGYSRNELGVSYVKEKRLRVNRRLKTLQEKVKEHQEKVGEKIQIVAKTAGMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRRQQSSDGTLRLENGKDDKDDDEEEYYYDEEDDSDDEFFEEYYDDDELNPQNNGKDENKK >Vigun05g229500.1.v1.2 pep primary_assembly:ASM411807v1:5:42285632:42291201:-1 gene:Vigun05g229500.v1.2 transcript:Vigun05g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPPPLVTAATATDLPVRQIPGSYGFPLLGPLSDRLDYFWFQKPESFFKKRMEKYKSTVFRTNVPPSFPFFVNVNPNVIAVLDVKSFSHLFDIDLVDKKNVLVGDFVPDVSFTGNMRVGVYQDPSEPQHSKVKAYIIDILKRSSGIWASELVSNLDVFWDNIESSLSKSSPASYFLPLQQFLFTFLCKVLAGADASRDPKIAESGHIMLDRWLALQLLPITSIGILQPLEEIFLHSFAYPSFLVSGDYNNLYNFVKQQGKDTINRGEIGFGLTQEEAIHNLLFVLGFNSFGGFTIFLPALFGAIAKDPALQEKLKKEARENGGSTLSFDSVKEMPLVQSVVYETLRMSPPVPLQFGRARKDFRLTSHDSVFDVKKGELLCGFQKIVMRDSLIFDEPDLFKPDRFTEEKGAKLLDYLYWSNGPQSGSPTLSNKQCAGKDVVTLTAALIVAHLFRRYDSITGDGGSITALQKPK >Vigun02g150000.1.v1.2 pep primary_assembly:ASM411807v1:2:29701952:29706843:1 gene:Vigun02g150000.v1.2 transcript:Vigun02g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIYYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPVLGCLGIELLQADTFDLHCFQSLTGTKFFVVCEPGAQHMENLLKFVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVAFLGR >Vigun07g088400.1.v1.2 pep primary_assembly:ASM411807v1:7:13714090:13715982:-1 gene:Vigun07g088400.v1.2 transcript:Vigun07g088400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLVDYEETLSKRCIWVNGPVIVGAGPSGLATAACLKQEGVPFMLLERAECIASLWQKRTYDRLKLHLPKQFCQLPNLPFPQHFPKYPSKNQFIDYLESYARHFDINPRFNQCVQSARYDETTGLWRLKTVATCGSVKNEFEYICRWLVVATGENAECVMPEIQGLREFKGDVIHACQYKCGERFKGKKVLVVGCGNSGMELSLDLFNHTASPSIVVRSPVHVLPREVFGKSTFELATLMLQWLPLWVVDKILLVLAWLVLGNVEKFGLKRPSEGPLSWKNTKGKTPVLDIGTLEKIRSGEIKVVPAVKRFGNGCVELVNGEKQDVDAVVLATGYRSNVPSWLQEGEFFSENGFPKCPFPNGWKGKVGLYAVGFTRRGLSGASFDAVKIGQDIAHLWKQETKPKKHCITICHKRCTSQF >Vigun01g038650.1.v1.2 pep primary_assembly:ASM411807v1:1:5217706:5218396:1 gene:Vigun01g038650.v1.2 transcript:Vigun01g038650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRFYGVVVSTLDFESSDLGSTPGRTSYFLFKEKFLLLSTMICIIHFHFIVE >Vigun03g386900.2.v1.2 pep primary_assembly:ASM411807v1:3:59295490:59298223:-1 gene:Vigun03g386900.v1.2 transcript:Vigun03g386900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSELQCYAECVYSTALDILSNLNGGNTPLNRLINVVAWTISTVRPFWVGVAPYNPTLGETHHVSKGTLNVLLEQVSHHPPVSALHATDSKENIEIIWCNSTSPKFTGTSVEVRVHGIRQLKLHNHGETYEMNSPDLLLRIFPVPGVDWVGNVTIRCIETGLVAELSYHSQSFFGFGTNRRLVKGKIFDSLSKKILYKIEGHWDSTVTVKNTENAEVRVIYDAKQVISGLQPPIVKDPESVWATETAHVWSELSEAILNKEWEKAEEAKKSIEERQRELRRERESKGENWVPKHFTVSYSKEKGWNCSPIEKWVPNAPIISL >Vigun03g386900.1.v1.2 pep primary_assembly:ASM411807v1:3:59295490:59298223:-1 gene:Vigun03g386900.v1.2 transcript:Vigun03g386900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIVLTRPFSLERQSDEDTNPKAPNIFRRILSLFKNVRPGSDVSCFKLPPEFNMPKSELQCYAECVYSTALDILSNLNGGNTPLNRLINVVAWTISTVRPFWVGVAPYNPTLGETHHVSKGTLNVLLEQVSHHPPVSALHATDSKENIEIIWCNSTSPKFTGTSVEVRVHGIRQLKLHNHGETYEMNSPDLLLRIFPVPGVDWVGNVTIRCIETGLVAELSYHSQSFFGFGTNRRLVKGKIFDSLSKKILYKIEGHWDSTVTVKNTENAEVRVIYDAKQVISGLQPPIVKDPESVWATETAHVWSELSEAILNKEWEKAEEAKKSIEERQRELRRERESKGENWVPKHFTVSYSKEKGWNCSPIEKWVPNAPIISL >Vigun11g050000.4.v1.2 pep primary_assembly:ASM411807v1:11:8090619:8097765:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNCKRSVSRFLVRSFEMAMGDGSYCRKSSWEIAMGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATVPLGTGNNLPFAFGWGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun11g050000.5.v1.2 pep primary_assembly:ASM411807v1:11:8090619:8097330:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLHFVVTKIRSFEMAMGDGSYCRKSSWEIAMGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATVPLGTGNNLPFAFGWGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun11g050000.6.v1.2 pep primary_assembly:ASM411807v1:11:8090619:8098107:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun11g050000.2.v1.2 pep primary_assembly:ASM411807v1:11:8090602:8098112:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATVPLGTGNNLPFAFGWGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun11g050000.3.v1.2 pep primary_assembly:ASM411807v1:11:8090619:8097795:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNCKRSVSRFLVRSWEIAMGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATVPLGTGNNLPFAFGWGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun11g050000.1.v1.2 pep primary_assembly:ASM411807v1:11:8090619:8097765:-1 gene:Vigun11g050000.v1.2 transcript:Vigun11g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNCKRSVSRFLVRRWWCFEMAMGDGSYCRKSSWEIAMGDGSYDRTLREFCIPDYILLSGSEGKSVSHVPACPVIVFINSKSGGQLGGELLVSYSTLLNKNQVFDLGKNAPDKVLQKLYATLETLKHNGDDFAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLRHPPPIATVPLGTGNNLPFAFGWGKKNPTTDLQSVTAFLMNVKAAKEMKIDSWHIVMRMKAPKEGSCDPIAPLELPHAMHAFQRVSSTDKLNMDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGFSQGLFFSSPFQSSRNIGQLAKVKIMKKGHWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNRKKSIYRDLTLPYVDDGLFELVGFRDAWHGLVLLAPNGHGTRLAQTSRIRFEFHKGAAECTFMRIDGEPWKQPLPKDDDTVVVEISHHGQVSMLATPLCRSKSMYDPSSPSVDREEYDSSNEEQEEDWEERRKFGAADTFKYPDGIDIAQVS >Vigun05g064500.1.v1.2 pep primary_assembly:ASM411807v1:5:5585483:5593108:1 gene:Vigun05g064500.v1.2 transcript:Vigun05g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDMTVIKPEKKFQMMKPYIWLETSDGSIQQVEQEIAMYCPLICKEIIQKGMGSSKNCAICLPQRVSPATLSLILDYCRFHQVPGRSNKERKSYDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKSPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKERERLKSVEVEEEHIDKRSVDDLLSFINGNDEDPKGIKTSKNKKKNRRKKEQHQKNSCLKEASGVNKKEVNGQNIRHTNSEADIIAETSISHTEDVFAHKEFDDGDIDDGIDPALKEKIDREVEDFARRLNSDWPERMQELLSSGQERKTMLFSPNGNSFLRRNA >Vigun03g224800.1.v1.2 pep primary_assembly:ASM411807v1:3:37321739:37323848:1 gene:Vigun03g224800.v1.2 transcript:Vigun03g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNNVIGAINFVAVLLSIPIIAAGIWLTTEPADSCVKILQWPVIILGVLILLVALAGFVGAFWRIPSLLVFYLVAMFLLIVLLLSLVVFTYAVTLRGHGNIEPNRSYLEYRIDDFSLWLRRRVRSSNRWDNVRSCLMSSKICAELDQRYRTAQDFFNAHLNPIQSGCCKPPTKCGYTFVNPTYWISPINMAEDMDCTKWSNDQAQLCYNCDSCKAGLLATLRVEWRRANVILIVTLVALIALYLVGCFAFRNTKTDDLFRKYKQGYS >Vigun08g223400.1.v1.2 pep primary_assembly:ASM411807v1:8:38102829:38105641:1 gene:Vigun08g223400.v1.2 transcript:Vigun08g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKNRYWILRHGKSIPNERGIIVSSMENGTLPEFQLAPDGVHQAQLAAQLFQKELEAKNIPLADVRICYSPFSRTRHTANLVASFLNLPFDGPQCKVIEDLRERYFGPSFELLSHDKYEEIWDIDGKDPFVGPEGGESVKDVAGRLAKAMATIESEFEGCAILVVSHGDPLQILQTIMHAANEHKEPTYNDLASILKEVQVAPVLSQHRKYALQTGELRVLF >Vigun08g200800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:36526594:36527895:-1 gene:Vigun08g200800.v1.2 transcript:Vigun08g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAQCSALAMLVLVLVLFLMRIEGNPIIPMEVIERGIESTSLVENDGVNQITGCAARPLICSRGELLPRFMCCGDRCVDVTLDNDNCGICNFRCPFNRLCCGRLCIDPLTNIFNCGGCGNVCPFGRLCILGSCGFERALPVPEPPLPVLVPPTPVPVPPTPSPVPVPPTPVPVPVSPSPSPVQVPVSPSPSPMPVSPSPSPVPVSPSSVPVSPSPVPVSPSPFPVPVSPPMPVSPSPSPVTVPVSPSPGPVSGSPWFPPVLSPQALKNQPEYTDEIPAMD >VigunL031033.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:177330:183173:1 gene:VigunL031033.v1.2 transcript:VigunL031033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKKYLMIYEFNTSCLVERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGILICTNGTSNLERV >Vigun03g240200.1.v1.2 pep primary_assembly:ASM411807v1:3:40074018:40076343:-1 gene:Vigun03g240200.v1.2 transcript:Vigun03g240200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASLLPFSVSSSSIFSSLLPSATPLCLSQPRLISTSATFKQQRFSFPSVKGRRKFPTLPFSSTKRFLVRAAEYKFPDPIPEFADAETEKFKSHLLQKLTKKDIYGESVEEVVGICTEIFSTFLHSEYGGPGTLLVLPFVDMADTLNEQGFPGGPIAARAAITWAQNNVDKDWREWNKTDKK >Vigun07g264600.18.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGS >Vigun07g264600.16.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGMRSNFDFYPGNLELM >Vigun07g264600.14.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGMRSNFDFYPGNLELM >Vigun07g264600.29.v1.2 pep primary_assembly:ASM411807v1:7:38028519:38030777:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.29.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQVRDFFVRRPLVLTSVLLYEI >Vigun07g264600.15.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGMRSNFDFYPGNLELM >Vigun07g264600.19.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGS >Vigun07g264600.23.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEA >Vigun07g264600.17.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGMRSNFDFYPGNLELM >Vigun07g264600.25.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEA >Vigun07g264600.24.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEV >Vigun07g264600.30.v1.2 pep primary_assembly:ASM411807v1:7:38028519:38030667:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.30.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQVRDFFVRRPLVLTSVLLYEI >Vigun07g264600.21.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGS >Vigun07g264600.22.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEMEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEA >Vigun07g264600.28.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.28.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEA >Vigun07g264600.26.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEV >Vigun07g264600.20.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEDSSASASINLSQYDHENKHMNPLGQYCPKSMDPTHATQPFDSNIPLSVLGFTLESSFTGHEDSVLSPYSEGKAYSGS >Vigun07g264600.27.v1.2 pep primary_assembly:ASM411807v1:7:38025207:38032166:-1 gene:Vigun07g264600.v1.2 transcript:Vigun07g264600.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCEFCTALRPLVYCKADSAYLCLSCDAKVHLANALSGRHLRNLVCNSCGDRVAYVVCLDHKMLICRDCDQKLHNNSLSHQKRAIRSFIGSPSAKDFAALWGFELNEIENCANQDQFDSVSCVSAELNVAQVSGKPDIQNGVPSLLAGAKLDEGSTSQQGQILHNHQERQTIVQQIIDLKWLQQIEEIDYSAKISRLKEKKSSPSLYHTLKKLDEKFNGQSQNSQDLATNVLEKYCPTVEPSTETVPSTFSQLDNLSSSSIIDLPLHGELFWTCKSPLRSNQLWSQNIQDLGICEELVRLDDFNIPDVDLTFQNFDELFGGDKDPIRILFDDQDVSCSSLEKDKSIDKSDIDNLSAMEV >Vigun03g348500.1.v1.2 pep primary_assembly:ASM411807v1:3:54853775:54866627:-1 gene:Vigun03g348500.v1.2 transcript:Vigun03g348500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPYNKLVKLAARAFYDDLTSKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHTKQLRRTLRYFEEEKIITRNHRRETAKGAKIYSAAVAATADGHQAAKEGEEKVKLHTHSYCCLDYAQIYDVIRYRLHRMKHKLKDELENKNTLQEYICPNCGKRYNALDALRLVSFEDEDFHCESCDGQLEVEIDKIAAQDGGDGDDNARRRRREKLKDMLQKMEAQLKPLMDQLSRVKDLPVPEFGSLQAWEARASAAVRAASGDINVGDSKMSQLGYNGVPMPYSGDTKVVVDFNNTEGKGEGFKSETDSTTLKVLPPWMITSGMNLTKEQRGEVKEETKLDGTSTSIVAQDIDDKKSTIAHDHNKNIQDEYIKAYYAALLKQQHDMEEAAKKQLSNTLAADNPSSSTSNRQVGKKSKREEDDDGTEWEETPIAGNGNGGYKVRDLNVEAEKVPADDDDDDDVDWEEG >Vigun05g130200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:15080408:15080983:-1 gene:Vigun05g130200.v1.2 transcript:Vigun05g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSPQHSTRGCIVSLSFSHPATSSTTTRNTKTTFLFLQILERETQRNPNCSTTQTHTSSPREQNKTKPSSMALTFVALRVRF >Vigun07g014600.1.v1.2 pep primary_assembly:ASM411807v1:7:1337693:1339704:1 gene:Vigun07g014600.v1.2 transcript:Vigun07g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEVPQYFICPISLQIMRDPVTAITGITYDRESIEQWLFNNKNTTCPVSKQLLPTDSDLTPNHTLRRLIQAWCTQNASLGIVRIPTPKPPLNTVQVLKLLKHLHHHKTFVQLELLAVENERNKKCLLEAGVPKAMIIFIINSYRNAQIQKGLQEALSILQFVKIPKKEWPLLVKEHDEILDSLTWILCREGMENSIAVKSHAVLLLKKMINMGDTCHVLERLKPEFFETMVRVMRHGVTQQGTSAALHVLLSASSSTRNRMMMVEAGVVHEVVEIELGAPEKRVTELTLAILFNLCCCANGRAKFLSHEGAIAVVTERILKVSAAVDDRAVFVLAMVAKFSGTKVVLQEMLKVGSFAKLFMVLQADRAKYLKDKAMEILKEHSEVWSDSPCFPRSSFCA >Vigun05g215900.1.v1.2 pep primary_assembly:ASM411807v1:5:40774410:40777740:-1 gene:Vigun05g215900.v1.2 transcript:Vigun05g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLELGPPKSDVSNPKELAARKILKIVRSQGHPYVELRENGKKFIYFCTLCLAPCYSDDVLFDHLKGNLHKERLSAAKVTLLGPKPWPFNDGLVFFDTSIESDRDLEVADSYRNRLLKFNNNDNSLAIVKFGEGVQPSAEPCSTDGVQDDECGLVIPHLLIGDEIFDVKVSEVGLGKIAARFLEKCSALSGIKRIWCEWLGKKSNNQQDGVEVLEHDFAIVNFAYNYDLGRSGLLDDVKSLLPSASGGRKGKTSLSDSDDISDSLSNQYDSSAEESSDSNNSTTRLTLDQFNNHHLCTRFISSKAVRKELRRKQRLAAEKVCNICQQKMLPGKDVAALLNLKTRRVACSSRNKTGAFHVFHTSCLIHWIILCEFEIITNHLVRPNVRRIVKRKIASDGEKIGKEKDIEKHIRTVFCPECQGTGMVIDGDGVEQPEFSLSQMFKFKIKACDARREWIKSPEVLQNCSTGFHFPSQSEEIFEEKVEPINMLHFYRADV >Vigun01g001700.1.v1.2 pep primary_assembly:ASM411807v1:1:263588:265741:-1 gene:Vigun01g001700.v1.2 transcript:Vigun01g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGIGNGAMRSSRDQQKEEWNASEEEDARKAKWWYSSFHNVTAMVGAGVLGLPFAIAQLGWVPGLIMIMASWLLTFYTLWLLAEMHEMVPGERFDRYYDLGVHVLGPKKGLWFVMPQQLTVQVASAIVYCVTGGKSLKKFFEMLSVHGMSDIRQTYYILMFVVLQIMLSQTPNFHKLKAVSSLAAVMSICYSMVAFVMSIIEGLQGRPRSYSVRSHTTAGKTFDAFNALGTIAFAFAGHSVALEIQATLPSTVEKPSKIPMWRGVVFAYCIVIFCYLTVAVSGFWAFGNAVEDDVLITLEHPFWLIAIANLMVFIHVVGSFQVFSMPVFDTIETKVVEKLNCNRSAMLRLVCRTIFVCVVGFIGMCVPFFGGLLGFFGGLAFTSTSYIIPCVLWLEATKPRRWSFHWVLSWLSIIVGLMIACLAPIGGIRTIVVSAKTYKLFS >Vigun07g104100.1.v1.2 pep primary_assembly:ASM411807v1:7:18948812:18950880:1 gene:Vigun07g104100.v1.2 transcript:Vigun07g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQAVSSSTTSRNVLVFPKTLLSKPHFGVASSPSWRTQRWKGLGSLKCSMTTTSYPKPSEIPWQKELCNSVNLIGIVSVPVEIKHLPSGKVVAWTCLSVKKSATQTSLINLTFWDDLALVASQHLQKGHQVHVSGRLITDTVESEEGKTNTYYKVVAQQLNFIERNLSKVPLADIESDGNGRKVSSGTGSVVELWQAFFANPGEWWDNRMTKRNPKAPDFKHKDTGEALWIEGRYTPQWVSSQLEILDARMGSNAGHSARMPVNMVAADEIFSF >VigunL057920.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:21584:21964:-1 gene:VigunL057920.v1.2 transcript:VigunL057920.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDGLMRGMEVVDTGAPLSLPVGRATLGRIFNVLGEPVDDLGPVDTRTTSPIHRSAPAFIQLDTKFYIFETGIKVVDLLAPYRRGGKIGLFGGVGERTREGNDLYKEMKESGVIKKTLQNQKWL >Vigun09g154600.1.v1.2 pep primary_assembly:ASM411807v1:9:32000465:32004664:1 gene:Vigun09g154600.v1.2 transcript:Vigun09g154600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNALTLFILFLNISLCPSISALNQEGLSLLSWLSTFNSSNSVSAFSSWDPTDQDPCTWDYITCSKEGFVSEIIITSIDMRSSFPTQVLSFGHLTTLVISNGNLTGEIPGSVGNLSSLVTLDLSFNALSGSIPEEIGMLAKLQLLLLNSNSLKGGIPTTIGNCSKLQHLALYDNQLSGMIPGEIGQLSNLETLRAGGNPGIHGEIPMQISDCKALVFLGLAVTGVSGEIPPSIGELKNLKTLSVYTAHLSGHIPAEIQNCSALEDLFLYENQLSGNIPYELGSMQNLRRVLLWQNNLTGTIPESLGNCTNLKVIDFSLNSLEGQIPGTLSNLLFLEEFLLSDNSIYGEIPSYVGNFSRLKQLELDNNKFSGQIPPVMGQLKELTLFYAWQNQLNGSIPTELSNCDKLEALDLSHNFLTGSIPSALFHLGNLTQLLLISNRLSGQIPADIGSCTSLIRLRLGSNNFTGQIPPEIGHLRSLSFLELSNNLLSGDIPSEIGNCAHLELLDLHCNVLEGSIPSSLKFLVGLNVLDLSANRITGSIPEDLGKLKSLNKFILSGNLISGVIPGTLGQCKDLQLLDISNNRITGSIPDEIGHLQGLDILLNLSWNSLSGPIPGTFSNLSKLSILDLSHNKLTGTLTVLVSLDNLVSLNVSYNSFSGTLPDTKFFRDLPSAAFAGNPDLCISKCYTSENGQGFNKSIRNVIVYTFLGVVLTSVFVTCGVILALRIHGGNFGRNFDSDEMEWAFTPFQKVNFSIDDILTKLSESNIVGKGCSGIVYRVETPMKQIIAVKKLWPIKKEEPQERDLFTAEVQTLGSIRHKNIVRLLGCCDNGRTRLLLFDYICNGSLFGLLHEKRLFLDWDARYKIILGAAHGLEYLHHDCIPPIVHRDIKANNILVGPQFEAFLADFGLAKLVSSSECSGASHTVAGSYGYIAPEYGYSLRITEKSDVYSFGVVLLEVLTGMEPTDNSIPEGAHIVTWVSNEIREKRREFTSILDQQLVLQSGTKTSEMLQVLGVALLCVNPSPEERPTMKDVTAMLKEIRLENDDFEKPNFLHKGMVVNPKAAVHCSSFSRSCEPLIIESTSSSSSS >Vigun05g030300.1.v1.2 pep primary_assembly:ASM411807v1:5:2413475:2416647:1 gene:Vigun05g030300.v1.2 transcript:Vigun05g030300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFISAACVLVLFSCTAAYDPLDPNGNVTIKWDVVSWTPDGYVAVVTMSNFQMFRHIMNPGWTLSWSWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSAAVSSFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTVFLTPDKRRKTQALMTWNVTCTYSQFLARKNPGCCVSLSSFYNETITPCPSCACGCQNKRNCVKSDSKRINMVGIHTPKKDNEPLLQCTHHMCPIRVHWHVKLNYKDYWRVKVAVTNFNYRMNYSLWTLAVQHPNLNNVTQVFSFDYKPLLPYESINDTGMFYGMKYFNDLLMEAGPTGNVQSEILLQKDKDTFTLKQGWAFPRKVYFNGEECMLPPPDTYPILPNSAPVNLLKFPEFIFTMLVMLAVW >Vigun05g030300.2.v1.2 pep primary_assembly:ASM411807v1:5:2413475:2416647:1 gene:Vigun05g030300.v1.2 transcript:Vigun05g030300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFQMFRHIMNPGWTLSWSWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSAAVSSFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTVFLTPDKRRKTQALMTWNVTCTYSQFLARKNPGCCVSLSSFYNETITPCPSCACGCQNKRNCVKSDSKRINMVGIHTPKKDNEPLLQCTHHMCPIRVHWHVKLNYKDYWRVKVAVTNFNYRMNYSLWTLAVQHPNLNNVTQVFSFDYKPLLPYESINDTGMFYGMKYFNDLLMEAGPTGNVQSEILLQKDKDTFTLKQGWAFPRKVYFNGEECMLPPPDTYPILPNSAPVNLLKFPEFIFTMLVMLAVW >Vigun07g130600.1.v1.2 pep primary_assembly:ASM411807v1:7:24025452:24026359:1 gene:Vigun07g130600.v1.2 transcript:Vigun07g130600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKKRMACLNQAFARSSLTVKEVNEKLFPCQLSNKNVNLTEKAVQLAVETWGKRSLTELEAEERLSYAGEKGPAQDEVIALPSSAMHFWKLEKNTKSSLRKKVYFF >Vigun07g130600.2.v1.2 pep primary_assembly:ASM411807v1:7:24025452:24026359:1 gene:Vigun07g130600.v1.2 transcript:Vigun07g130600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKKRMACLNQAFARSSLTVKEVRLWLKMKEERTWVGVINRIKKVNEKLFPCQLSNKNVNLTEKAVQLAVETWGKRSLTELEAEERLSYAGEKGPAQDEVIALPSSAMHFWKLEKNTKSSLRKKVYFF >Vigun10g188500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40407568:40410450:-1 gene:Vigun10g188500.v1.2 transcript:Vigun10g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGA >Vigun10g003000.1.v1.2 pep primary_assembly:ASM411807v1:10:268436:270974:1 gene:Vigun10g003000.v1.2 transcript:Vigun10g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGPQVSSLFWPYAWLQSSNFGNGNRNGRSTFNDSRVVVVDDLGYLVSSDNFTFKTTDYGMRLLRRLTLDHDGNVRFYSMKDGEDRWSVSGLFQQQPCFIHGICGPNSICSYEPRTGSKCSCSPGYRWFDIEDWSQGCIPSFQLWCNNSEQKSRFLSLPGVDFYGYDYAFFGNHTYRQCASLCSRLCECKGFQYKPVRGANGQCYLKAQLLNGRRSPSSTNSFFLRLPLPLHDYDESASNNGLVCGRNGGGAIVLERPEKENELVKFMLWFAISFGGIEVVCIFMVWCFLFRNNGMLPRQGYVLAAATGFRKFSYSELKHATKGFSEEIGRGGGGTVYKGVLSDDRVVAIKRLHEVANQGESEFLAEVSIIGRLNHMNLIGMLGFCAEGKYRLLVYEYMENGSLAQNLSSSSNVLDWTKRYNIALGTARGLAYLHEECLEWILHCDVKPQNILLDSDYKPKVGDFGLSKLLNRNNLNNSSFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVVLEMITGRSPTTGAPITELEAESTHHERLVTWVREKRKKGSELGAFWVDEIVDPSLGSNYDMKEMEILITVALECVEEEKNLRPNMSQVAERLQSHDNESR >Vigun03g067500.1.v1.2 pep primary_assembly:ASM411807v1:3:5577203:5582437:1 gene:Vigun03g067500.v1.2 transcript:Vigun03g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSTEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAALTANHPDYASLAARIAVSNLHKNTKKSFSETIKIMRNHFSERSGMKAPLIADDIYEIIIKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTQYEREGKAKKVVQAQSLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPMESHPSKLVGSRGSKNRYFDFDKLGEVTATVTTNLNKIIDVNYYPIENARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKTSCELAAKEGPYESYSGSPMSKGIIQPDMWGVIPSSRWNWDALREMISKTGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPTIKNKIIYEDGSVQKISEITDDLKVIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPTVEEEDDNTKMAQMVCSLTNREECLACGS >Vigun01g178900.1.v1.2 pep primary_assembly:ASM411807v1:1:35969140:35970535:1 gene:Vigun01g178900.v1.2 transcript:Vigun01g178900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYIILLSLTLISHTALTSSTNTNTITLPLSPLLTKPQSSDSFHALKLAASASLTRAHHLKHRKNAPSVATTQVYPKSYGGYSIDLNFGTPPQTSPFVLDTGSSLVWFPCTPRYTCSHCLFPNIDPTKIHTFIPKNSSTAKLVGCTNPKCGYLFGSDLQSRCPNANPILKIVPSLVPLHYRIRLGLHRRLFTPRQSQLPFKNRSPISRRLLHPLHPPTLRHRRLRFDDSTENSDLVLQISSTGDTKTSGLRYTPFHPNPSASNPAFLEYYYLSLRKVIVGGKNVKIPFSFLDPGSDGHGGTIVDSGSTFTFMERPVYDLVAQEFVKQLGNYSRAEDVEAQSGLGPCFNTSGAKTVNFPEFTFQFKGGAKMTLPVENYFSLIDDSEVVCLTVVSDGGAGPAMMSGPAIILGNYQQQNFLIEYDLENERFGFGPQSCKRKS >Vigun03g207950.1.v1.2 pep primary_assembly:ASM411807v1:3:34078846:34081854:1 gene:Vigun03g207950.v1.2 transcript:Vigun03g207950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLPIVFRALPHQVWNPIAELTRVHGALNSNSNPNVNESFKTSHFAPPPLVQGVQSTNPNPTPMDGRPDLGSDQTNSKSDETSSTINATSPGSNETFVASESNYNVFKPILNLDGQGFLPSRLAANWISDILKSHYNEPWPSWKKIPIRQRDLWFGELLARKINTKPNWILDSTWDILCQHWESKEFKIKSMTGKENRASNFGKLTQSASTQGITPPNELDVWCDVVGTKNGRIYGLGMESTAIRGWPYYHGTSSSNGWIQGQELEKLKKDLEGVKQERDELRIKIVNIERLFEENNAMIRQWMNSINRQSMPLSFE >Vigun10g008600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:805776:806564:1 gene:Vigun10g008600.v1.2 transcript:Vigun10g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSTSSCDFSSLESIQHYLLEPDYSDTLMSVYSHKVLCSPMYKPLQNPTTSEGVHDAENMLKKEREGHAPPVWKRFRGVRRRPWGKFAAEIRDPERNGARIWLGTYETEEEAGLAYDKAAFKMRGNKAKLNFPHLIDSHVSLKPVRTMKGSKQNAFKSYSSFSLTSETEEGSKKRKNMVELLNKLAKNRSQMQVFETSLYD >Vigun05g155300.1.v1.2 pep primary_assembly:ASM411807v1:5:24981911:24985192:1 gene:Vigun05g155300.v1.2 transcript:Vigun05g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCNKKQRGCWFCDVMVLVCVVLIKEELDFLLIKDFLMGSPVNGLDSLAEVENSVSVVDHDPPSTSGIPRPSRPPLSTSRNHGASSSQHNYGMQNMEKQQYNTNGKSEYVSLNKAVESCLGKNISKMETKLSIKQPLNASKNCDSSKGNSESEITFCPSPQNSFYSEAKESFGNTGASECVSVDKSVESGEVTNSCEFNESRKTSICRGSTGSDVSDESSTSSLSSALYKPHKANDIRWEAIQAIRARDGLLEMRHFRLLKKLGCGDIGSVYLAELSGTRTCFAMKVMNKTELASRKKLVRSQTEREILQSLDHPFLPTLYTHFETETFSCLVMEFCPGGDLHALRQRQPGKYFSEIAARFYVAEVLLALEYLHMLGVIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNSTLETKSSGYCIQPACIEPSCVIQPDCIQPSCFTPRFLSGKSKKEKKLKPKNDVQNQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLKFPDSPSVSFAARDLIRGLLVKEPQNRLAYRRGATEIKQHPFFHNVNWALIRCANPPEVPRQAMKALAAAEKVPGVKPSGNYLDIDFF >Vigun05g155300.2.v1.2 pep primary_assembly:ASM411807v1:5:24981290:24985192:1 gene:Vigun05g155300.v1.2 transcript:Vigun05g155300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVNGLDSLAEVENSVSVVDHDPPSTSGIPRPSRPPLSTSRNHGASSSQHNYGMQNMEKQQYNTNGKSEYVSLNKAVESCLGKNISKMETKLSIKQPLNASKNCDSSKGNSESEITFCPSPQNSFYSEAKESFGNTGASECVSVDKSVESGEVTNSCEFNESRKTSICRGSTGSDVSDESSTSSLSSALYKPHKANDIRWEAIQAIRARDGLLEMRHFRLLKKLGCGDIGSVYLAELSGTRTCFAMKVMNKTELASRKKLVRSQTEREILQSLDHPFLPTLYTHFETETFSCLVMEFCPGGDLHALRQRQPGKYFSEIAARFYVAEVLLALEYLHMLGVIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNSTLETKSSGYCIQPACIEPSCVIQPDCIQPSCFTPRFLSGKSKKEKKLKPKNDVQNQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLKFPDSPSVSFAARDLIRGLLVKEPQNRLAYRRGATEIKQHPFFHNVNWALIRCANPPEVPRQAMKALAAAEKVPGVKPSGNYLDIDFF >VigunL059026.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:62:470:1 gene:VigunL059026.v1.2 transcript:VigunL059026.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPQMNTMKFLVHESFEFGGFCTWPRLAVHRGIAKWLGGEFCEKMKFVVFEM >Vigun11g091500.1.v1.2 pep primary_assembly:ASM411807v1:11:27175319:27176588:1 gene:Vigun11g091500.v1.2 transcript:Vigun11g091500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPSAIPQSQGSSMKKRPSKKDKLWLEDYLHLLHSHQTLHLTINQLNQVIRIHGFKKIHHAPKKVLVEAVEAVDLVDVPRSTLRDSVSAFAAVALEDVLADLAELKWQECCVTSVERISFSEGKSVLPASSDESFRVTSKSKRMDEIRDLIPEDFRRSLKPAKMVPKRKRSKVPALDSVASTVDSASLASC >Vigun05g073000.1.v1.2 pep primary_assembly:ASM411807v1:5:6426371:6427197:1 gene:Vigun05g073000.v1.2 transcript:Vigun05g073000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFCSNASLILLVSLILTSSFESEAQKCRPSGRIRGKKAPAGECNQENDSDCCIEGKLYTTYECSPPMSTHTKAYLTLNSFEKGGDGGGPSECDNQYHSDDTPVVALSTGWFNHRSRCLQNITISGNGRSVVAMVVDECDSTKGCDADHDYQPPCPNNIVDASRAVWKALGVPPNEWGGFDITWSDA >Vigun11g072733.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20720745:20721152:-1 gene:Vigun11g072733.v1.2 transcript:Vigun11g072733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSHEGRKNRSKAWNNFIQLEPKSDKRAQCKYCDILIRYEKGITTMRNHVLRCPNKPNKEVNKRQKVGSSSTVDGNINSPSYGRYDQELCQEELVKMFVEAEFPFRFVEHVAFRRYSNALQPRFKIQSRYTIS >Vigun01g044800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6596714:6598613:-1 gene:Vigun01g044800.v1.2 transcript:Vigun01g044800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQIMMHHPHQIFQNNQNYAVWPHPRPLYPPENTAVLPPPPAFTPHPGRVNWNKRRDFPRTGSVPGHTFKPGNPNESKPLTANRSAPKGRRIHHPKRTFGRGGGGGNRLTPPLAPLNTTSFIIRAKNSGGIAPLISPAGSLSPAKFHERAEEEQWGFNGYGSMKGLIRLRPGKVDSGESSGAGRFEMVNANSGEEQNLDKRVDEQDSHLAHLEEENLTLKEKLLFMEKQLGDLRRRVQFLETDGTTSHGHREGGAENFLPEMFSQSKKS >Vigun03g422100.1.v1.2 pep primary_assembly:ASM411807v1:3:62774229:62778539:-1 gene:Vigun03g422100.v1.2 transcript:Vigun03g422100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQYALSSLLTSHANGRTLRRIPVYSKRQRKNHLRPKILRTQPKPYPLPLPVLPSPTPPHPVAIPQENNNLSVEIPSNETLAGVVAGESENMKELLVSEVAARENGVFEKVSVKDIFKYGALYFLGILVVQTIYAVWATGNYKDNRQYGDLEIDGRESEDGKTVSLPINGVSGEQLLMEAKIEEIRLMAKEARRIESEKKGEEDVEDEDVETDDNEGDVSSRRDDIEKEISERLIKLQNRLNKINVRAKDVTKALQMDASENSAAGMDRGVNKNMNEGDDALVFKKKYKFRSPSAEATKSPKGFPGTRNWKGSDAIKRDSAGEETAQDYGSDASDQAPMLREDKQVNDQDAAKQKSVSSVPSEERGTFVDDAFKVIQNDVKNLKEKIETPDMKTNDGNKTRRTNNGDARETAPGISSMEVIQSRKSRDLSTQNSQGFEEENQDTGISFEKDDVHSVNGNSRHGLTKKHSPANRTKLKVKQADTETDLWWLNLRYVFVILMRRDSKEGSEGFYSIMLTSNEQDQSDDSFTVAFEDRVDANNFCFLLQSFFEDLESFSADAVPVSIKELNEEILSHAKKVVVVKKRQLQLYVGQPLADVEMALRAIIEQGQNVSSH >Vigun07g129000.1.v1.2 pep primary_assembly:ASM411807v1:7:23798014:23799405:1 gene:Vigun07g129000.v1.2 transcript:Vigun07g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVHVHVMLSIFFLILSIFQSASASLKVDFYKTTCPSAEAIVRSAVDKAVSLNPGIAAGLIRMHFHDCFVRGCDGSVLLESTPGKASERDHPANNPSLRGFHVIDEAKAQLEAECPHTVSCADILAFAARDSANKVGGINYAVPAGRRDGRISIRDEASQLPLPTLNADQLIANFRQKGLSADEMVTLSGAHSIGVSHCSSFSHRLHSFNATFPQDPSMDSRYATSLKPKCPPGSDNTVPLDASTPNRLDNRYYTELKNHRGLLTSDQTLLGSPSTRPMVLTNAKHSSVWARKFAKAMVHMGSIDVLTGSQGEIRTRCALVN >Vigun07g129000.2.v1.2 pep primary_assembly:ASM411807v1:7:23797872:23799405:1 gene:Vigun07g129000.v1.2 transcript:Vigun07g129000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCDGSVLLESTPGKASERDHPANNPSLRGFHVIDEAKAQLEAECPHTVSCADILAFAARDSANKVGGINYAVPAGRRDGRISIRDEASQLPLPTLNADQLIANFRQKGLSADEMVTLSGAHSIGVSHCSSFSHRLHSFNATFPQDPSMDSRYATSLKPKCPPGSDNTVPLDASTPNRLDNRYYTELKNHRGLLTSDQTLLGSPSTRPMVLTNAKHSSVWARKFAKAMVHMGSIDVLTGSQGEIRTRCALVN >Vigun03g308800.1.v1.2 pep primary_assembly:ASM411807v1:3:50079092:50081108:1 gene:Vigun03g308800.v1.2 transcript:Vigun03g308800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Vigun04g161700.1.v1.2 pep primary_assembly:ASM411807v1:4:38392818:38396004:1 gene:Vigun04g161700.v1.2 transcript:Vigun04g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKNHYSSNNQKTNGLASIMKGVSDGGRVESGSKEWEMRPGGMLVQMRTTESDRNSVLVPTIRVRVKYGSIYHEVNISSQATFGELKKMLSGITGLHHEDQKLFYKDKERDSKAFLDMVGVKDKSKIVLVEDPISQEKRLLERRKNAKMEKAAKSISEISLEVDRLAGRVSAFESIINKGGRFAETDVLNLIELLMNQLLKLDGIMAEGDVKLQRKIQVKRVQKYVETLDLLKVKNSLPGSNGDHAPVQPQQKHSNGQRLGPVIEQQQKHSNGHNRLALAPIQEQQQQQQQPRNSNENFLELYHEEQHQPSRNSTSGVVVTTNWELFDFAPPLIPVASTSPPPPPPVANSSGPPKFNWELFN >Vigun10g071110.1.v1.2 pep primary_assembly:ASM411807v1:10:17415719:17417074:-1 gene:Vigun10g071110.v1.2 transcript:Vigun10g071110.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFDNK >Vigun08g047733.1.v1.2 pep primary_assembly:ASM411807v1:8:5172031:5175188:1 gene:Vigun08g047733.v1.2 transcript:Vigun08g047733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAYVRKEIVNALKYHKATMKVASEHQQHISKHMSFERPFYSRFSLDGRFKAMRRREMYLPPSTKISHYLNDLSFSSSFLPLPPPLLLHPPPFYLPPPPLPTFYPYTITSPFALPRHKPEPPNFTLPSQTLGFNLNLPSFNNSEPTPLLNNNILDSTFLLDNNTSNPTLLLDNNNTLDPTLLLDYNNNSNPTPFLDNNILDATPVHSNNNILEPTLLPVNNNTSLHSYSTPTLTSPPFLTNQVVPSIGISQSQGEVVSTVMNKIESSTVNRASGSMHATMDEVGMEDIRALGQHQMEWDDTTNLITLVWWHDCLQQMENNAVEVNNGDDPFQGIFDDELEFPIWDN >Vigun09g135500.5.v1.2 pep primary_assembly:ASM411807v1:9:29632438:29637520:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.7.v1.2 pep primary_assembly:ASM411807v1:9:29632311:29637539:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.3.v1.2 pep primary_assembly:ASM411807v1:9:29632311:29637520:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.6.v1.2 pep primary_assembly:ASM411807v1:9:29632569:29637506:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.8.v1.2 pep primary_assembly:ASM411807v1:9:29632438:29637520:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.4.v1.2 pep primary_assembly:ASM411807v1:9:29632435:29637506:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun09g135500.1.v1.2 pep primary_assembly:ASM411807v1:9:29632428:29637539:1 gene:Vigun09g135500.v1.2 transcript:Vigun09g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPTQGMFSTSRIGNSESPNSGASSSFALPIVDMSENEETELLSITWNQDYRCFAAGTSHGFRIYNSKPCKETFRRDMKIGGFKIVEMLFHCNILALVGAVANSHYPPNKVLIWDDYQSRSMCEFTYRSEVRGVKLRRDRIAVVLEHKIYVYSLTDLKLLHQIETLANPRGLCCLSHHSNTFVLVCPGLNKGQVRVEHFGLNVTKLINAHDSQIACFTLTLDGLLLATASITGTLIRIFNTMDGTQLQEVRRGADRAEISSIAFSPNVQWLAASSDKGTVHVFSLRVRVSGEDSLTQPNVAQGPALFDQNSSTSLDPLISTNTGANPNSAFSFMRGLLPKYFSSEWSFAQFRLPQSTHLIVAFGSENDVIIVGMNGSFYRCSFDIVHGGEMVQKEHVSFLKFENKR >Vigun01g050500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:8035751:8039318:-1 gene:Vigun01g050500.v1.2 transcript:Vigun01g050500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASFSLKPSSSSFSSSSSSPSSSTTTLFPKLISLPFSPLHPPTRVSPPLCTLHSNTIPIPSNRKKKKKKPHGGALPSLLRSLNAAADVALALDSLPDAPSLSPKEITVILSELSASWQRVARAFDWFRSQTWYTHNAIHYNVVLRALGRAQQWDQLRLCWQDMAKNGVLPTNNTYSMLVDVYGKAGLVQEALLWIRHMRVRGFFPDEVTMCTAVKVLKDVGEFDRAHRFYKGWCDGRVELDDLDLDLESSFGGNGSGRSTNGSASMSISFKQFLSTELFKIGGRVSASSDLAHSNLPNVPQKPRLSTTYNVLIDLYGKAGRLSDAAEVFEEMLKEGVAMDVWTFNTMIFICGSRGDLVEAEALLGMMEEKGVAPDTKTYNIFLSLYAEAGNVDAAVSCYRRVRESGLCPDEVTYRALLGVLCKKNMVQDVEDLIDEMERDFVGVDEHSLPGVVEMYVCEGDIDKVYQLLKKFHINREMSLKIRAAVMDVFAERGLCEEAENLFYGGRDLAVRKKDVLECNVMIKAYGKAGLYDKAVSLFKGMKNHGTWPNESTYNSLIQMLSGGDLVDQAIELMDEMQELGFKPPCQTFSAIIGCYARLGQLSDAVSVYGEMVRVGVKPNEVVYGSLINGYAEHGSLEEALKYFYMMEESGFSANLVVLTSLLKAYCKVGNLEGAKAIYERMKNMEGGLDLVACNSMIGLFADLGLVSEAKLAFENLREMGRADAVSYATIMYLYKAVGMMDKAIEIAEEMKLSGLLKDCVSYNKVLVCYAANGQFYECGKLVHEMISQKLLPNDGTFKVLFTILKKGGIPNEAVAQLESSYQEGKPYARQATFTALYSLVGMHTLALESARAFIESEVELDSSAYNVAIYAYGSAGDINKALNIYMKMRDKHVGPDLATYIYLVGCYGKAGMVEGVKRVYSQLEYGEIESSESLYKAIIDAYKICNRKDLAELVSQEMRFALNSEEHSEVGSEGEYDVGSEGEYEVGSEDEYEVGSETDYDEF >VigunL059362.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000038.1:32937:33302:1 gene:VigunL059362.v1.2 transcript:VigunL059362.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMKFLVHESFEFGGFCTWPRLAVHRGIAKWLGGEFCEKMKFVVFEM >Vigun07g101000.1.v1.2 pep primary_assembly:ASM411807v1:7:17883695:17889225:1 gene:Vigun07g101000.v1.2 transcript:Vigun07g101000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGQTDTTSVKCLINSISRFIHLVSCQTMKPMPFQKICNNMVGVLKRLKPVLDDVMDHQIPSDVNLCKECEELDKRVNEARDFIEKWSPKMSRIHSILHGGTLLIKLQSTSLDICHMIVRSLQSPPSVSVLANLQHYIQELQCLKKELAMVYIEEALRNQRDNIEPSKEHLKEIIELLKLTPNQELLKESIAVEKERVNIEANKMIADIEEINEIVNLVRSLRDYMIKTECPLVKSGLSIPPYFLCPLSLELMLDPVIVASGQTYERQSIQKWLDHGLTVCPKTRQRLTHTNLIPNYTVKAMIATWCEENNVKLSGISDHKKSACVTSPMDNLLPQDDCRVGSLSSSNSISRSSLQTENAFEKQKVDNSFRLCGEYNGCQSGAAERCEQESPYTHSRSESFSSSISSTDCAVSKEVSGISNRHQNVKVLSGEIKNTCPSPGNKQSGISPWISGKTFQNPGSNVGLLENGNHNDNNNSGSLSRYDSHSVSNSGSDELTTTSHVFRLIEDLHSQSVETQTTAAEELRLLTKHNQENRVIVGQCGASMPLLSLLHSDVKVTQEHAVTALLNLSINEENKTFIMEAGAIEPLIHVLKTGNDSAKANSAATLFSLSVLENNKARIGRSRAVKALVDLLASGTLRGKKDAATALFNLSIFHENKARIVQAGAVKFLVRLLDEASGMVDKSVALLSNLSTIPEGRLEIARERGIPLLVEVVESGSQRGKENAASILLQLCLHSTKFCNLVLQEGAVPPLVALSVSGTPRAKEKAQQLLSHFRNQRETAASGKGKS >Vigun03g401800.1.v1.2 pep primary_assembly:ASM411807v1:3:60892617:60896073:1 gene:Vigun03g401800.v1.2 transcript:Vigun03g401800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDARVTYSSLSKLDKENPTHFGHSAMNHHGESVWIEPKPDTTCGQLGSTFADKEMLYQFPSEDDDLFDGGYESGDDARGFVPSKTPPEVNLKNVLSGIFAILTGRNKGPSPRATANQQLPSSNVSFFDSGKNGDVLLDSSVYTPSAPPLCLPNGSDYNSYKEVLEAEPPDWLPDSSTNTSLPVLWGIFCRICTKGRCLLPVGFRERNPQRVCDACYDRLDPLQGVLINTISNAVQVAKHDVVDWTCARGWINLPIGLSMEHEIYKASNTLRNYCQVAKSNPEKSIPLTVLKSAKGLAILTVAKAGALVSYKLGTGLVVSRRSDGSWSAPSAIFSLGLGWGAQIGGELMDFIVVLRDMKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADIRAGDRGTGMCYTYSCSKGAFVGVSLEGNMVATRMDANLRFYGDPYLTTSDILLGMVDRPKAAQPLYASLQELYSCLRF >Vigun02g007000.5.v1.2 pep primary_assembly:ASM411807v1:2:3009066:3027995:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRADLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun02g007000.7.v1.2 pep primary_assembly:ASM411807v1:2:3009066:3027995:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRDLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun02g007000.2.v1.2 pep primary_assembly:ASM411807v1:2:3008475:3027996:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRADLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun02g007000.4.v1.2 pep primary_assembly:ASM411807v1:2:3008475:3027996:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRDLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun02g007000.6.v1.2 pep primary_assembly:ASM411807v1:2:3005981:3027989:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRADLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun02g007000.8.v1.2 pep primary_assembly:ASM411807v1:2:3005981:3027989:-1 gene:Vigun02g007000.v1.2 transcript:Vigun02g007000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLCTLLGELGYEGWEALDPDSFEWPFQYEDTRPLLNWICSNLRTSNVLSLSELSQYEQFKQEGKLLEGEDLDFAYHSISAFSERRDNQEAVFGAEEGVKDIKEGTLVYREEALALQRQLSHLQSQFDMLSGQGSALTQGRRPRLAATSIVKGHLSNIDDSLSVRNLQMKAVLERIASTAHELAHYHSGDEDGIYLAYSDFNQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDEISNTYVRDLEKSHHQRVSELRRLRSIFGVSEKQWVEAQVKNAKQQAILMTLKSQVSSDEAHIHLDLHSLRRKHSELKGELSNLYNHEEKLLSETISDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQFLKIACQLEKKNMLGAFSLLKVIESELQAYLSATEGRVGHCLALIQAASDVQEQGGVHDSDHFLHAIRDLLKIYSNTQVSLSTYVSAPGIVKQISALHSDLMSLQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTHEHVKKNEIVKHHSQEIGLQRRVFVDFFCNPERLRSQVRELTARVRALQIS >Vigun01g130452.1.v1.2 pep primary_assembly:ASM411807v1:1:30837012:30838142:-1 gene:Vigun01g130452.v1.2 transcript:Vigun01g130452.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHARCLHFNETTSIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSVLTFFKQIGFSQSQILSLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKTPTLFRTSEKKLKVGMKFFLHTVMLPKSDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun03g151250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15913517:15914062:1 gene:Vigun03g151250.v1.2 transcript:Vigun03g151250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCSPLFEYPRTTLIVITSARATTSFLATTTGILQNVLKRVITYMIFACGMSIYLVSVFHLMIHAFSKALLFLSVSLVIHAMSDEQDMRKMGDPASSFPFTYAMMLMCNLSLVGFPFLIGFYSKDVILELAFTKYTISGNFAFWLGSVSVLFTSYYSFHSLFLTFLVPINSFGRHLTMS >Vigun09g087700.1.v1.2 pep primary_assembly:ASM411807v1:9:11919076:11922976:-1 gene:Vigun09g087700.v1.2 transcript:Vigun09g087700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTGSHKDSWQPIMTAKTNTHSYWLNWRVLLCSIWILVSVMLSSLLLWKYERLRKPETNGSRETQKETSATLYEDETWRPCLKGIHPAWLMAFRVLAFFALLVLLIINSIVDGGSIFYYYTQWTFTSITIYFGLGSLLSIHGCYQHHKKATGDKVGNVEGDAEQGIYDSSALPQSSNNLSDQDKSLRASKEVVIRQHAGIWGYTFQIIFQINAGAVMLTDCVFWFIIVPFLTIKDYNLNFLIVIMHSINAVFLIGDTALNCLRFPWFRIGYFCQWTIIYVIFQWMVHACINIWWPYPFLDLSSSYAPLWYFAVALLHIPCYGIFALLMKLKHHVLSTRFPDSYQCVK >Vigun09g087700.2.v1.2 pep primary_assembly:ASM411807v1:9:11919111:11922976:-1 gene:Vigun09g087700.v1.2 transcript:Vigun09g087700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTGSHKDSWQPIMTAKTNTHSYWLNWRVLLCSIWILVSVMLSSLLLWKYERLRKPETNGSRETQKETSATLYEDETWRPCLKGIHPAWLMAFRVLAFFALLVLLIINSIVDGGSIFYYYTQWTFTSITIYFGLGSLLSIHGCYQHHKKATGDKVGNVEGDAEQGIYDSSALPQSSNNLSDQDKSLRASKEVVIRQHAGIWGYTFQIIFQINAGAVMLTDCVFWFIIVPFLTIKDYNLNFLIVIMHSINAVFLIGDTALNCLRFPWFRIGYFCQWTIIYVIFQWMVHACINIWWPYPFLDLSSSYAPLWYFAVALLHIPCYGIFALLMKLKHHVLSTRFPDSYQCVK >Vigun09g046100.1.v1.2 pep primary_assembly:ASM411807v1:9:4380716:4383359:-1 gene:Vigun09g046100.v1.2 transcript:Vigun09g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGAGKKRVVTESLGWLTESTIMPKKHRAIAGVGASSIVELKAELYKSQEDSRKSRELSGPDVEYQRAKNKIAPKDPLSVKNRGVDARAHKDKLELKAVRDGSVSYAALERKAELYEKLVKGELSDEEDQEKYCVDFFRKGVEHDELPPPPPPPPGPDVLLENDDGAVRDDDGDASLQFNLKPVGPGRTVGAFDTADYKRNIREVHEEVNRAREKASEIKLKRQEQVAAHREKLKQAYLRKKLEQLKASSVISGSENQQT >Vigun06g103000.1.v1.2 pep primary_assembly:ASM411807v1:6:23279959:23283865:1 gene:Vigun06g103000.v1.2 transcript:Vigun06g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLPLSSPEARRILVMMIENCSEVVMSISRSQVGCLLVLLLSISVLNCQVLGARFVKEEMEHVMKNYEVWRKGFANERNEGSYAAVNRVVPSSPDPLHNRSWRRNHT >Vigun04g008900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:657444:658629:1 gene:Vigun04g008900.v1.2 transcript:Vigun04g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIEQGSEEKWKGKAIAEVKGVKAEKVWPLLEDFFGLSKWYPTPLCIPVEGLSGKPGCVRFCGGFKTPVDGDDKKALNWTKQKLLSIDPSQWTFTYCIVDSNVGFNSYFATWTVRPTAEGCEVEWRYEVEPVEGWKLEYLDSFADKGLHAMAKNMEQGLKTMEEALESHK >Vigun11g099700.3.v1.2 pep primary_assembly:ASM411807v1:11:29258533:29261571:-1 gene:Vigun11g099700.v1.2 transcript:Vigun11g099700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKKAIYDQYGEEGLKGQVPPPDAGGAGTTYFSTGDMPGSFRFNPRNADDIFAEFFGFSSPFGGMGGRGGGGGGGMRSRFPGGMFGDDMFASFGDGAVHMSQGAPRKAPPIENKLPCTLEEIYRGTTKKMKISREIADASGKTMPVEEILTINVKPGWKKGTKITFPEKGNEQPNVTPADLVFIIDEKPHSVFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRNLTIPISNVIHPSYEEVVPREGMPLPKDPSKKGNLRIKFNIKFPTRLSDEQKAGIKKFLAA >Vigun11g099700.1.v1.2 pep primary_assembly:ASM411807v1:11:29258460:29261743:-1 gene:Vigun11g099700.v1.2 transcript:Vigun11g099700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKKAIYDQYGEEGLKGQVPPPDAGGAGTTYFSTGDMPGSFRFNPRNADDIFAEFFGFSSPFGGMGGRGGGGGGGMRSRFPGGMFGDDMFASFGDGAVHMSQGAPRKAPPIENKLPCTLEEIYRGTTKKMKISREIADASGKTMPVEEILTINVKPGWKKGTKITFPEKGNEQPNVTPADLVFIIDEKPHSVFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRNLTIPISNVIHPSYEEVVPREGMPLPKDPSKKGNLRIKFNIKFPTRLSDEQKAGIKKFLAA >Vigun11g069700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20422004:20423824:1 gene:Vigun11g069700.v1.2 transcript:Vigun11g069700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQTHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIIKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFFERIFFYEKIKHLVKVSTKNCSYTFFFFKDTFIHYVRYLGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVHIYLKKLSSEKLLEEFFTEEDLFL >Vigun09g099700.6.v1.2 pep primary_assembly:ASM411807v1:9:16072472:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.9.v1.2 pep primary_assembly:ASM411807v1:9:16072472:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.12.v1.2 pep primary_assembly:ASM411807v1:9:16072472:16077398:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFVMVVNFIILDEYVA >Vigun09g099700.11.v1.2 pep primary_assembly:ASM411807v1:9:16072908:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.8.v1.2 pep primary_assembly:ASM411807v1:9:16072458:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.13.v1.2 pep primary_assembly:ASM411807v1:9:16072472:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.10.v1.2 pep primary_assembly:ASM411807v1:9:16072908:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun09g099700.7.v1.2 pep primary_assembly:ASM411807v1:9:16072455:16075179:1 gene:Vigun09g099700.v1.2 transcript:Vigun09g099700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRSSPFPFDWSNVPQRLRTKRKRKFNGKEALSRPNKVHSRAKEAHSRPKEEISRPKERHCRPKEELSRLKNKLDSGIFDTFLMKIWKIFPEDRKTQFMYFDSLWFSLYRNASSKDKVLTWIRKEPIFSKSYVFVPIVCWGHWSLLILCHFGESLQSATRSPCMLLLDSLEMANPRRLEPEIRRFVLDIYESMDRPETRRIVSQIPFLVPEVPQQRDGNECGFFVLYFINLFLKHAPDNFSMEGYPYFMKKDWFSFDGLDRFHEGLIH >Vigun08g015400.1.v1.2 pep primary_assembly:ASM411807v1:8:1291518:1296687:-1 gene:Vigun08g015400.v1.2 transcript:Vigun08g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSYKIILGSSSVARRKILSEMGYQFTLMTADIDEKSIRKETPEELVMALAEAKAEAILQRLPVDDYLKDAEPTLLITSDQVVVYEGVIREKPTSKEEARQFLKDYSGRHAATVGSVLVTNLKTGFRKGDSDRVEIYFNEIPDETIEKLVDEGITLNVAGGLIIEHPSILPFVKEVVGTTDSVMGLPKSLTEKLLKEVL >Vigun10g025200.3.v1.2 pep primary_assembly:ASM411807v1:10:3022301:3028722:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLPTVFELVIFSFFILQPLQHLLHAIILMALQAFYGSACCFRSHLLHSSSSTTASPPFLAARRFQSCSSRKSLKLNCSSSANNDDQDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTAGHHVQEDCGEEVGQLICRIISRRT >Vigun10g025200.10.v1.2 pep primary_assembly:ASM411807v1:10:3022427:3028629:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding QDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKIC >Vigun10g025200.9.v1.2 pep primary_assembly:ASM411807v1:10:3023331:3028615:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTICSSHTTKRPSVNFESPQNTYCHEKMALCLFCIVVPFINNDR >Vigun10g025200.7.v1.2 pep primary_assembly:ASM411807v1:10:3023331:3028615:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLPTVFELVIFSFFILQPLQHLLHAIILMALQAFYGSACCFRSHLLHSSSSTTASPPFLAARRFQSCSSRKSLKLNCSSSANNDDQDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKIC >Vigun10g025200.2.v1.2 pep primary_assembly:ASM411807v1:10:3022301:3028722:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTAGHHVQEDCGEEVGQLICRIISRRT >Vigun10g025200.1.v1.2 pep primary_assembly:ASM411807v1:10:3023331:3028615:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLPTVFELVIFSFFILQPLQHLLHAIILMALQAFYGSACCFRSHLLHSSSSTTASPPFLAARRFQSCSSRKSLKLNCSSSANNDDQDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTICSSHTTKRPSVNFESPQNTYCHEKMALCLFCIVVPFINNDR >Vigun10g025200.8.v1.2 pep primary_assembly:ASM411807v1:10:3022307:3028628:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding QDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTAGHHVQEDCGEEVGQLICRIISRRT >Vigun10g025200.6.v1.2 pep primary_assembly:ASM411807v1:10:3022355:3028615:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLPTVFELVIFSFFILQPLQHLLHAIILMALQAFYGSACCFRSHLLHSSSSTTASPPFLAARRFQSCSSRKSLKLNCSSSANNDDQDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKRYVEDMHRILKDKDWKVRTTICWGQRDRWLSYDGVEDFSKDSNHKLIEVPTICSSHTTKRPSVNFESPQNTYCHEKMALCLFCIVVPFINNDRLVIMCRKIVVKKLDNLFAG >Vigun10g025200.5.v1.2 pep primary_assembly:ASM411807v1:10:3022355:3028629:-1 gene:Vigun10g025200.v1.2 transcript:Vigun10g025200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLPTVFELVIFSFFILQPLQHLLHAIILMALQAFYGSACCFRSHLLHSSSSTTASPPFLAARRFQSCSSRKSLKLNCSSSANNDDQDYLLDAPVSVGDGFSFSGGKYSEGPSPSDEWFKQGKMVKAYSIPGAGEKAKDPIFGLAMGAGSQATGDRFRWFCVESGSADNPPVILIHGFPSQAYSYRKVLPVLSKDYHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSSLESLIDELAATKVSLVVQGYFSPVVVKYASIHQDKLSNLILLNPPLTAKHAKLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLTSGSSGFALNAISRNMSKDLKIC >Vigun01g157400.1.v1.2 pep primary_assembly:ASM411807v1:1:33970404:33973675:-1 gene:Vigun01g157400.v1.2 transcript:Vigun01g157400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERSKKKVLLWKKAMLHFSLCFVMGFFTGLAPTGKSSIFSTKVAVSNITQFAPQPSQMSQLTTSVNRTWIAPSPITMHMVEPAIPETEKKKTPPKLKPRRLIIIVTPTSTKLPHQAVFLRRLANTIKLVPQPLLWIVVEAKTNSTELPEILRKTGIMYRHVVFKENFTELEAELNHQRNLALKHIEHHRLNGIVHFAGLSNVYDLQFFHQLRDIELFGTWPTALLAAHRKKVKIEGPVCDSSQVIGWHLRNMNNETDTITPPIHISSFAFNSSILWDPERWGRTSSVQDTSQNSIKFVKQVVLEDEAKLKGIPPEDCSKILLWRFNFRAQTTSIH >Vigun06g109700.1.v1.2 pep primary_assembly:ASM411807v1:6:23880710:23882752:-1 gene:Vigun06g109700.v1.2 transcript:Vigun06g109700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLQFTVRRCEPQLVPPAIPTPHEIKLLSDIDDQEGLRFHVPFIQIYPKQTSMAEKDPVQVIRQALSQTLVFYYPFAGRLREGPRRKLMVDCTGEGVMFIEADADVTLDQFGDSLHPPFPCFHELLYHVPGSHQSTNTPLLLVQVTRLKCGGFILAFSFNHTICDGAGLSQFMSTWAAMARGATKPSIPPVWRRELLMARDPPRITCNHREYEHVPDTVKGTVSSNDHDMVLRSFFFGPSQIAAIRGLVPPHLQHSSTFDLITACFWRCRTKALEIEAEEEVRMMVIVNARAKFNPPLPVGYYGNAIAYPAAVTTAGKLCENPFGYAVELIKKVKGEVTEEYVHSVADLMVIKDRCTFTTVRSCIISDLRRLKLREADFGWGEGVYGGVAEGGAGRFLGATYQVLHKNRNGEEGIILPIWLPAEAMNRFAKELDHMFWNQNQTKIWSPSLIKSTL >Vigun10g058901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11392736:11393209:1 gene:Vigun10g058901.v1.2 transcript:Vigun10g058901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASPVARLVAAVAAFTVAATDVASSEFEQRSVVALSGEPNGGGSREKEKEQHSRLQASPVRASGDDDVAAVSRFIFPGKWRRRRCRSISRERGVKGDGVAGEAFGGGRWWRLRRTCVQAWGCVSGVEKKRCHGEGKKLWLLLGFF >Vigun05g231000.2.v1.2 pep primary_assembly:ASM411807v1:5:42452632:42457623:-1 gene:Vigun05g231000.v1.2 transcript:Vigun05g231000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCASLMLPTLRSTGSPFSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNVLVAYRRPRPEWLAQQSFVLQHSLSPEIGIHGIPVNNFSRSGSGGINLSRLSVGIDLKEPASSKWSSTTSIKFEHVRPLNDDGRAISRDYDGFPLTSSGNPQDSMVVLKQESQFAKANDNSFFHFNLQIEQGVPVLSKWIIFNRFKFVASKGIKLGPAFLLTRLTGGSIVGDMAPYQAFSIGGLGSVRGYGEGAVGSGRSCLVANSELRLPLNKMLEGAIFLDCGTDLRSGHLVPANKIQNDGMQGEI >Vigun05g231000.1.v1.2 pep primary_assembly:ASM411807v1:5:42452842:42457623:-1 gene:Vigun05g231000.v1.2 transcript:Vigun05g231000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCASLMLPTLRSTGSPFSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNVLVAYRRPRPEWLAQQSFVLQHSLSPEIGIHGIPVNNFSRSGSGGINLSRLSVGIDLKEPASSKWSSTTSIKFEHVRPLNDDGRAISRDYDGFPLTSSGNPQDSMVVLKQESQFAKANDNSFFHFNLQIEQGVPVLSKWIIFNRFKFVASKGIKLGPAFLLTRLTGGSIVGDMAPYQAFSIGGLGSVRGYGEGAVGSGRSCLVANSELRLPLNKMLEGAIFLDCGTDLRSGHLVPGNPALRQGKPGSGVGMGYGLRFKSQFGHFQVDYAINAFQQRTLYFGLSNLPS >Vigun09g170900.4.v1.2 pep primary_assembly:ASM411807v1:9:34047017:34059717:1 gene:Vigun09g170900.v1.2 transcript:Vigun09g170900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLCTLLLPAMTGGRCLPLKDMAEEGDAAAVGRPCRSDFVAEMTCDSSVREIEIDYLSQARKALSERSPFDAAEETSTSAALTLPSGLAGLLNRQTDNRRRPKKSHSGGHKRKSSTRANQKKPEDSNIWVETEEYFRDLTLADIDFLFEFSRTSSLAFQDYFSIPLLGDVPTDNVVSSYRENEMEPAPKVNLGVSSEEEKKGDLVGSEDQKKGELVGSEDAKKVGEAVDSEDGLLVIEAIDDAAVEQPCPQDDRNQDSSGSSISLEWFLGCRNKLSLASERPTKKRRLLGVEAGLEKVIMTCPCDEGQPFCHYCGRGDTGSDSNRLIVCAACKMAVHGKCYGVHDGVDEAWLCSWCKQMGDVDESLNPCVLCPKKGGALKPVCSSVKGADSAAFVHLFCSLWMPEVYVDDLEKMEPVMNVGEVKETKKKLVCSVCKSKCGACVRCSHGSCRTSFHPSCAREARHRMEVWAKYGNDNVELRAFCLKHSDLPESKSILPQEAFIEVRNEFSEASDLPVTLPVSGEHNLRDCRNGGLVSDSNPDKLNHNDEPPDGGLPDCRLSDHMLGCVAVPQQNVEVVERENENADGSDSNSFALVLKKLIDRGKVNVKDVALEIGISPDTLTANTNEAYMAPDVRHKIVNWLKSHVYTSAFQKGLKVKFKPANASKIDSGATDGSDTLPISDSGLLDPVAVKSVPPRRRTTNNIRILKDNKVICSSEGVTSENGMPVHMCRVGQPNCENPTSSNEASIPNATETKLLKSEDIFQEVQENADKPHKSCLSGYVSKDKCSIRLQNPSTLSYQQCLVHSASEPSDSGFIKGAVSSYIHPFINKKLLQIQDGVPLENVICSTDKGNSGLVESFGASGCSNSQNQNLTGSEISKPDEVKREQLVRTKEVELLEISPQDELEGELVYFQYRLLQNVVAKRRHIDNLIYSVAKSLPHEIDKAHQQRWDDVIVNQYLRDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRSFRKDTLDESMQQENFLKSDHLNGRTGACSQPMPRAKETLSRVAVTRTSSEKYSDFCMPASDFSKEQCKSCDICRRSETILNPILVCSGCKVSVHLDCYRSVKETTGPWYCELCEDLSSRSSGASAINFWEKPYIGVECALCGGTTGAFRKSSDGQWVHAFCAEWVFESTFRRGQIDAVEGMESVPKGVDTCCICHCKNGVCMKCCYGHCQTTFHPSCARSAGLYMNARTAGGKVQHKAYCEKHSLEQKAKAENQKHGIEELKSIRQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDNVARSVLVHSPFILPDGSSESATTSLKGNTEGYRSCSEPPQRSDDVTVDSSISAKHRVRVAISMDADPKLDDDCSTSQSKYNHKIPERTQFSGKKIPHRAAAAASRNILDEGGWRSKSRKHAETFGKELVMTSDEASMKNSMLPKGYAYVPADCLSNDKHSNEDMHDR >Vigun09g170900.2.v1.2 pep primary_assembly:ASM411807v1:9:34047017:34059717:1 gene:Vigun09g170900.v1.2 transcript:Vigun09g170900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLCTLLLPAMTGGRCLPLKDMAEEGDAAAVGRPCRSDFVAEMTCDSSVREIEIDYLSQARKALSERSPFDAAEETSTSAALTLPSGLAGLLNRQTDNRRRPKKSHSGGHKRKSSTRANQKKPEDSNIWVETEEYFRDLTLADIDFLFEFSRTSSLAFQDYFSIPLLGDVPTDNVVSSYRENEMEPAPKVNLGVSSEEEKKGDLVGSEDQKKGELVGSEDAKKVGEAVDSEDGLLVIEAIDDAAVEQPCPQDDRNQDSSGSSISLEWFLGCRNKLSLASERPTKKRRLLGVEAGLEKVIMTCPCDEGQPFCHYCGRGDTGSDSNRLIVCAACKMAVHGKCYGVHDGVDEAWLCSWCKQMGDVDESLNPCVLCPKKGGALKPVCSSVKGADSAAFVHLFCSLWMPEVYVDDLEKMEPVMNVGEVKETKKKLVCSVCKSKCGACVRCSHGSCRTSFHPSCAREARHRMEVWAKYGNDNVELRAFCLKHSDLPESKSILPQEAFIEVRNEFSEASDLPVTLPVSGEHNLRDCRNGGLVSDSNPDKLNHNDEPPDGGLPDCRLSDHMLGCVAVPQQNVEVVERENENADGSDSNSFALVLKKLIDRGKVNVKDVALEIGISPDTLTANTNEAYMAPDVRHKIVNWLKSHVYTSAFQKGLKVKFKPANASKIDSGATDGSDTLPISDSGLLDPVAVKSVPPRRRTTNNIRILKDNKVICSSEGVTSENGMPVHMCRVGQPNCENPTSSNEASIPNATETKLLKSEDIFQEVQENADKPHKSCLSGKGAVSSYIHPFINKKLLQIQDGVPLENVICSTDKGNSGLVESFGASGCSNSQNQNLTGSEISKPDEVKREQLVRTKEVELLEISPQDELEGELVYFQYRLLQNVVAKRRHIDNLIYSVAKSLPHEIDKAHQQRWDDVIVNQYLRDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRSFRKDTLDESMQQENFLKSDHLNGRTGACSQPMPRAKETLSRVAVTRTSSEKYSDFCMPASDFSKEQCKSCDICRRSETILNPILVCSGCKVSVHLDCYRSVKETTGPWYCELCEDLSSRSSGASAINFWEKPYIGVECALCGGTTGAFRKSSDGQWVHAFCAEWVFESTFRRGQIDAVEGMESVPKGVDTCCICHCKNGVCMKCCYGHCQTTFHPSCARSAGLYMNARTAGGKVQHKAYCEKHSLEQKAKAENQKHGIEELKSIRQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDNVARSVLVHSPFILPDGSSESATTSLKGNTEGYRSCSEPPQRSDDVTVDSSISAKHRVRVAISMDADPKLDDDCSTSQSKYNHKIPERTQFSGKKIPHRAAAAASRNILDEGGWRSKSRKHAETFGKELVMTSDEASMKNSMLPKGYAYVPADCLSNDKHSNEDMHDR >Vigun09g170900.3.v1.2 pep primary_assembly:ASM411807v1:9:34047017:34059717:1 gene:Vigun09g170900.v1.2 transcript:Vigun09g170900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLCTLLLPAMTGGRCLPLKDMAEEGDAAAVGRPCRSDFVAEMTCDSSVREIEIDYLSQARKALSERSPFDAAEETSTSAALTLPSGLAGLLNRQTDNRRRPKKSHSGGHKRKSSTRANQKKPEDSNIWVETEEYFRDLTLADIDFLFEFSRTSSLAFQDYFSIPLLGDVPTDNVVSSYRENEMEPAPKVNLGVSSEEEKKGDLVGSEDQKKGELVGSEDAKKVGEAVDSEDGLLVIEAIDDAAVEQPCPQDDRNQDSSGSSISLEWFLGCRNKLSLASERPTKKRRLLGVEAGLEKVIMTCPCDEGQPFCHYCGRGDTGSDSNRLIVCAACKMAVHGKCYGVHDGVDEAWLCSWCKQMGDVDESLNPCVLCPKKGGALKPVCSSVKGADSAAFVHLFCSLWMPEVYVDDLEKMEPVMNVGEVKETKKKLVCSVCKSKCGACVRCSHGSCRTSFHPSCAREARHRMEVWAKYGNDNVELRAFCLKHSDLPESKSILPQEAFIEVRNEFSEASDLPVTLPVSGEHNLRDCRNGGLVSDSNPDKLNHNDEPPDGGLPDCRLSDHMLGCVAVPQQNVEVVERENENADGSDSNSFALVLKKLIDRGKVNVKDVALEIGISPDTLTANTNEAYMAPDVRHKIVNWLKSHVYTSAFQKGLKVKFKPANASKIDSGATDGSDTLPISDSGLLDPVAVKSVPPRRRTTNNIRILKDNKVICSSEGVTSENGMPVHMCRVGQPNCENPTSSNEASIPNATETKLLKSEDIFQEVQENADKPHKSCLSGKGAVSSYIHPFINKKLLQIQDGVPLENVISGSTDKGNSGLVESFGASGCSNSQNQNLTGSEISKPDEVKREQLVRTKEVELLEISPQDELEGELVYFQYRLLQNVVAKRRHIDNLIYSVAKSLPHEIDKAHQQRWDDVIVNQYLRDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRSFRKDTLDESMQQENFLKSDHLNGRTGACSQPMPRAKETLSRVAVTRTSSEKYSDFCMPASDFSKEQCKSCDICRRSETILNPILVCSGCKVSVHLDCYRSVKETTGPWYCELCEDLSSRSSGASAINFWEKPYIGVECALCGGTTGAFRKSSDGQWVHAFCAEWVFESTFRRGQIDAVEGMESVPKGVDTCCICHCKNGVCMKCCYGHCQTTFHPSCARSAGLYMNARTAGGKVQHKAYCEKHSLEQKAKAENQKHGIEELKSIRQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDNVARSVLVHSPFILPDGSSESATTSLKGNTEGYRSCSEPPQRSDDVTVDSSISAKHRVRVAISMDADPKLDDDCSTSQSKYNHKIPERTQFSGKKIPHRAAAAASRNILDEGGWRSKSRKHAETFGKELVMTSDEASMKNSMLPKGYAYVPADCLSNDKHSNEDMHDR >Vigun09g170900.1.v1.2 pep primary_assembly:ASM411807v1:9:34047017:34059717:1 gene:Vigun09g170900.v1.2 transcript:Vigun09g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLCTLLLPAMTGGRCLPLKDMAEEGDAAAVGRPCRSDFVAEMTCDSSVREIEIDYLSQARKALSERSPFDAAEETSTSAALTLPSGLAGLLNRQTDNRRRPKKSHSGGHKRKSSTRANQKKPEDSNIWVETEEYFRDLTLADIDFLFEFSRTSSLAFQDYFSIPLLGDVPTDNVVSSYRENEMEPAPKVNLGVSSEEEKKGDLVGSEDQKKGELVGSEDAKKVGEAVDSEDGLLVIEAIDDAAVEQPCPQDDRNQDSSGSSISLEWFLGCRNKLSLASERPTKKRRLLGVEAGLEKVIMTCPCDEGQPFCHYCGRGDTGSDSNRLIVCAACKMAVHGKCYGVHDGVDEAWLCSWCKQMGDVDESLNPCVLCPKKGGALKPVCSSVKGADSAAFVHLFCSLWMPEVYVDDLEKMEPVMNVGEVKETKKKLVCSVCKSKCGACVRCSHGSCRTSFHPSCAREARHRMEVWAKYGNDNVELRAFCLKHSDLPESKSILPQEAFIEVRNEFSEASDLPVTLPVSGEHNLRDCRNGGLVSDSNPDKLNHNDEPPDGGLPDCRLSDHMLGCVAVPQQNVEVVERENENADGSDSNSFALVLKKLIDRGKVNVKDVALEIGISPDTLTANTNEAYMAPDVRHKIVNWLKSHVYTSAFQKGLKVKFKPANASKIDSGATDGSDTLPISDSGLLDPVAVKSVPPRRRTTNNIRILKDNKVICSSEGVTSENGMPVHMCRVGQPNCENPTSSNEASIPNATETKLLKSEDIFQEVQENADKPHKSCLSGYVSKDKCSIRLQNPSTLSYQQCLVHSASEPSDSGFIKGAVSSYIHPFINKKLLQIQDGVPLENVISGSTDKGNSGLVESFGASGCSNSQNQNLTGSEISKPDEVKREQLVRTKEVELLEISPQDELEGELVYFQYRLLQNVVAKRRHIDNLIYSVAKSLPHEIDKAHQQRWDDVIVNQYLRDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRSFRKDTLDESMQQENFLKSDHLNGRTGACSQPMPRAKETLSRVAVTRTSSEKYSDFCMPASDFSKEQCKSCDICRRSETILNPILVCSGCKVSVHLDCYRSVKETTGPWYCELCEDLSSRSSGASAINFWEKPYIGVECALCGGTTGAFRKSSDGQWVHAFCAEWVFESTFRRGQIDAVEGMESVPKGVDTCCICHCKNGVCMKCCYGHCQTTFHPSCARSAGLYMNARTAGGKVQHKAYCEKHSLEQKAKAENQKHGIEELKSIRQIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDNVARSVLVHSPFILPDGSSESATTSLKGNTEGYRSCSEPPQRSDDVTVDSSISAKHRVRVAISMDADPKLDDDCSTSQSKYNHKIPERTQFSGKKIPHRAAAAASRNILDEGGWRSKSRKHAETFGKELVMTSDEASMKNSMLPKGYAYVPADCLSNDKHSNEDMHDR >Vigun11g058100.1.v1.2 pep primary_assembly:ASM411807v1:11:12158858:12163349:1 gene:Vigun11g058100.v1.2 transcript:Vigun11g058100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPSMLRSLHSAATRGASHLSRRGYASEPGPERKVAVLGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINTRSKVAGYQGEAELGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAISKYCPHALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVDGVNVPVVGGHAGITILPLFSQATPKANLDDDVIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSFVQSTITELPFFASKVRLGKNGVEEVLGLGPLSDFEKQGLESLKGELKSSIEKGIKFANQ >Vigun10g084000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23785913:23789540:1 gene:Vigun10g084000.v1.2 transcript:Vigun10g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLVSPVLAMPLFWLCLCHNLFPVSGLCSDDQRSLLLQLKNNLTFTFQRSTKLKSWDPSNDCCRWIGVSCDKKGHVTALDLSGESITGGIDDSSSLFSLQYLQKLNLAENDFYSVIPSAFNRLENLTYLNLSYAGFVGQIPIEISQMTRLVTLDLSCFSFLTGHALKLENPNLRKLVQNLTSIRQLYLDGVSISAAGHEWSSALMSLHDLQEVRMSYCNLSGPLDPSLASLENLSVIFLDANNLSSEVPETFANLKFLTILSLSSCQLTGTFPQNIFNIVTLSIVDVSFNNNLQGFFPDFPPGSLQTLRVSNTSFSGAFPNSIGNMRNLTELDFSYCRFNGTLPNSLSNLTELIYLDLSYNNFTGRIPSFDMAKKLAYLDLSNNGLSGALLSSSHFEGQNNLVSINLRYNSISGIIPSTLFTLPQLQKIMLSHNHFGQLDEFTNVSSSKLIILDLSSNNLSGSFPSSICQLSRLSILILSSNKLNGTMHLNKLWDLRNLTTLDLSNNNLSVDVNVANTDPSYFPYIKSLRLASCNLKTFPGFLRNHSTIVSLDLSDNHIQGMVPNWIWKLQNLERLNISHNLLTHLEGPLKNLPSKLVGLDLHHNKIQGPLPVIPENALYLDFSSNKFNSVIPQDIGNYLPFTFFLSLSNNTLSGSIPDSLCNATYLQVLDLSNNNISGTIPSCLMAMNKNLGVLNLRKNILTGSVPNMFSAACSLRTLDLHNNKLDGKIPNSLSNCTSLEVLDLGNNKIMDLFPCLLKNISTLRVLVLRNNNFHGHIGCPKTTGTWHMLQIVDLAINNFTGKLPGNSFRRWEAMMSDENQAESKVKHIQYQFLQYGNQIYYRDSVTVTIKGQRMDLIKILTAFTSIDFSSNHFEGEIPNELFDFKSLFALNLSNNAFSGQIPQSIRNMKELESLDLSNNSLGGNIPTELGTLFFLSVLNLSFNDLVGKIPTGTQLQSFPASSFQGNDGLYGPPLTKELDPRQPRVVQEHETLVSTIEWNFISVEVGLIFGHGMIFGPLSFWKQWRIWYWKLINKILCWIFPQLYFEYATKRGQTYATLRWQH >Vigun03g119800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11148637:11151517:-1 gene:Vigun03g119800.v1.2 transcript:Vigun03g119800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSILSTPVLRSPSFRRQFQKNELGSWSALMKRHLFLLSALALLTVLCSIYLYFAITLGATDSCFGLSGSEKVSCQMDLVKEISAASGKLKRLKQF >Vigun03g119800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11148637:11151517:-1 gene:Vigun03g119800.v1.2 transcript:Vigun03g119800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSILSTPVLRSPSFRRQFQKNELGSWSALMKRHLFLLSALALLTVLCSIYLYFAITLGATDSCFGLSGSEKVSCQMDLVKEISAASGKLKRLKQF >Vigun06g095400.1.v1.2 pep primary_assembly:ASM411807v1:6:22652539:22653775:-1 gene:Vigun06g095400.v1.2 transcript:Vigun06g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKNMTERSNDETRSYFSWNLEMERVLAEVLRDQRNMGNKSDGAWKRVAYNAAAVVLSNNFKVQVTWENVKNRIKLWRSWYGVVSDILGQSGFDWDGTKHMITVGDENVWNEYVISHKEARHFRFKAIPNWDDIVDLCAKDRATGHGAETAMDADEVMSKEVTEVNFVGLEDLNATIDLEEPNSNLKRKAQSTSSSTSTQSQRRKISEKELMTASMKDVAESFRRLTHVYGEKVDENEIKEVLDEVRLMPNLTKEQWTKVVKWLADMPKQLAIVRALPIEQKEDYVLIHISTT >Vigun02g132100.1.v1.2 pep primary_assembly:ASM411807v1:2:28303390:28308843:-1 gene:Vigun02g132100.v1.2 transcript:Vigun02g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITHSKFVDQLRDYHVRYKRNHSALTFFSPKPHVQTRGDVAEAIFFALLFSVLVVSSLTTLYLRHFWLFGVVICFNIFLPARLRMTRQTLARNRERRLPLSI >VigunL059065.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000447.1:32733:32942:-1 gene:VigunL059065.v1.2 transcript:VigunL059065.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVRLYYGFMTTFAIGPSYLFLLRARLVEEGTEKKIAETTGLLRDSS >Vigun04g120200.2.v1.2 pep primary_assembly:ASM411807v1:4:30893765:30897941:1 gene:Vigun04g120200.v1.2 transcript:Vigun04g120200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILENHSVLLLLILSFLVICKSQGNVHYYDFVLKEKNFTKLCSTKSTLTVNGSFPGPTIRVHKGDTAFVTVHNQGRYGVTIHWHGVREPRDPWSDGPENITQCPIPPGTSFTQKVAFTSEEGTLWWHAHSSWTRATVHGAIVILPANGTSYPFPKPWGQNILIIGEWYKGDLMQIVNAARATGGEPNASDAYTINGQPGDFYNCSKENTHRFLVDHGKTYLFRIINAAMNEEFFFGVTNHNLTVVGIDGSYTKPLNTNFIMITPGQAIDVLLSANQPKGLYYIAASPFYDGIDKYENSPTTAILEYSENFKPSPSSIPMPVLPAVNDSGTVFNFTKSLRGLASQHHPANVPINVTRRIYMTVSMNELPCQNPNGKCLGPNGTRLASSLNNISFQIPQIDILQAYYWNMSGVFSEDFPDQPPFFYNFTGDTGSSTLIPSTGTRVLMFDYNEVVELVWQGTSVLTAENHAMHLHGFSFFLVGVGTGNFNNVTDPKSYNLIDPPEVNTIGLPKNGWLAMRFVANNPGVWYMHCHLERHSSWGDKYILIMMEQIILHKLQRTTC >Vigun04g120200.3.v1.2 pep primary_assembly:ASM411807v1:4:30893765:30897941:1 gene:Vigun04g120200.v1.2 transcript:Vigun04g120200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILENHSVLLLLILSFLVICKSQGNVHYYDFVLKEKNFTKLCSTKSTLTVNGSFPGPTIRVHKGDTAFVTVHNQGRYGVTIHWHGVREPRDPWSDGPENITQCPIPPGTSFTQKVAFTSEEGTLWWHAHSSWTRATVHGAIVILPANGTSYPFPKPWGQNILIIARATGGEPNASDAYTINGQPGDFYNCSKENTHRFLVDHGKTYLFRIINAAMNEEFFFGVTNHNLTVVGIDGSYTKPLNTNFIMITPGQAIDVLLSANQPKGLYYIAASPFYDGIDKYENSPTTAILEYSENFKPSPSSIPMPVLPAVNDSGTVFNFTKSLRGLASQHHPANVPINVTRRIYMTVSMNELPCQNPNGKCLGPNGTRLASSLNNISFQIPQIDILQAYYWNMSGVFSEDFPDQPPFFYNFTGDTGSSTLIPSTGTRVLMFDYNEVVELVWQGTSVLTAENHAMHLHGFSFFLVGVGTGNFNNVTDPKSYNLIDPPEVNTIGLPKNGWLAMRFVANNPGVWYMHCHLERHSSWGDKYILIMMEQIILHKLQRTTC >Vigun04g120200.1.v1.2 pep primary_assembly:ASM411807v1:4:30893765:30897742:1 gene:Vigun04g120200.v1.2 transcript:Vigun04g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILENHSVLLLLILSFLVICKSQGNVHYYDFVLKEKNFTKLCSTKSTLTVNGSFPGPTIRVHKGDTAFVTVHNQGRYGVTIHWHGVREPRDPWSDGPENITQCPIPPGTSFTQKVAFTSEEGTLWWHAHSSWTRATVHGAIVILPANGTSYPFPKPWGQNILIIGEWYKGDLMQIVNAARATGGEPNASDAYTINGQPGDFYNCSKENTHRFLVDHGKTYLFRIINAAMNEEFFFGVTNHNLTVVGIDGSYTKPLNTNFIMITPGQAIDVLLSANQPKGLYYIAASPFYDGIDKYENSPTTAILEYSENFKPSPSSIPMPVLPAVNDSGTVFNFTKSLRGLASQHHPANVPINVTRRIYMTVSMNELPCQNPNGKCLGPNGTRLASSLNNISFQIPQIDILQAYYWNMSGVFSEDFPDQPPFFYNFTGDTGSSTLIPSTGTRVLMFDYNEVVELVWQGTSVLTAENHAMHLHGFSFFLVGVGTGNFNNVTDPKSYNLIDPPEVNTIGLPKNGWLAMRFVANNPGVWYMHCHLERHSSWGMNTVLIVRDGDTMQTSIVPPPKYMPPCS >Vigun03g450100.1.v1.2 pep primary_assembly:ASM411807v1:3:65129876:65132987:1 gene:Vigun03g450100.v1.2 transcript:Vigun03g450100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLILHGGKTNKNSYKTLIAAEYAGVQVEFAPNFEMGVSNKTPQFLQMNPIGKVPVLETPDGPLCESNSIARYVARLSPDSTLYGSSLIQYAQIEQWIDFASLEINANIFVWYSPRVGRAPYLPPAEEAAISALKRALGALNTHLASNTYVVGHSVTLADIILTCNLYFGFANIFIKSFTSEFPHVERYFWTLVNQPNFRKIIGQVKQAESVPPVQSAKKPSQPKETKPKPKDEPKKEAKKEPEKPKEAAEEEAPKPKPKNPLDLLPPSPMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSNPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDEAQKERVNQMIEDFEPFEGEALLDAKCFK >Vigun07g194300.1.v1.2 pep primary_assembly:ASM411807v1:7:31362557:31364790:-1 gene:Vigun07g194300.v1.2 transcript:Vigun07g194300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHILCIISIFLLPFMIFTEVKGAKKSYGIYDSNTARKLFVFGDSYVDTGNFVHSESYKPPNGMTFPGTPAGRFCDGRIITDDIASFLKIESPTPYALRNSSNMQYGINFAHGGTGIFNTSINGPNMTVQIDSFEKLIQQNIYTKIDLQSSIALVNAGGNDYTNALKTGRILDLPAFMESLVEQMSVNLNRIHNLGINKVVVGLLQPIGCLPALNVISLRTNCIGLLNLVSKDHNKKLLQAIQKLNNQAGKSVFITLDLYNSFLSAIETMQKKRAANSTLMNPLQPCCDVKNLGDSCGTVNDKGEKKYSLCENPKVSFFWDNVHPSQNGWSAVYTMLQPSLVQLT >Vigun07g194300.2.v1.2 pep primary_assembly:ASM411807v1:7:31362557:31364790:-1 gene:Vigun07g194300.v1.2 transcript:Vigun07g194300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHILCIISIFLLPFMIFTEVKGAKKSYGIYDSNTARKLFVFGDSYVDTGNFVHSESYKPPNGMTFPGTPAGRFCDGRIITDDIASFLKIESPTPYALRNSSNMQYGINFAHGGTGIFNTSINGPNMTVQIDSFEKLIQQNIYTKIDLQSSIALVNAGGNDYTNALKTGRILDLPAFMESLVEQMSVNLNRIHNLGINKVVVGLLQPIGCLPALNVISLRTNCIGLLNLVSKDHNKKLLQAIQKLNNQAGKSVFITLDLYNSFLSAIETMQKKRAAML >Vigun07g194300.3.v1.2 pep primary_assembly:ASM411807v1:7:31362557:31364790:-1 gene:Vigun07g194300.v1.2 transcript:Vigun07g194300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHILCIISIFLLPFMIFTEVKGAKKSYGIYDSNTARKLFVFGDSYVDTGNFVHSESYKPPNGMTFPGTPAGRFCDGRIITDDIASFLKIESPTPYALRNSSNMQYGINFAHGGTGIFNTSINGPNMTVQIDSFEKLIQQNIYTKIDLQSSIALVNAGGNDYTNALKTGRILDLPAFMESLVEQMSVNLNRIHNLGINKSPKITTKSCSKPYKNSTTKQENQCS >Vigun11g111600.1.v1.2 pep primary_assembly:ASM411807v1:11:31343194:31344408:-1 gene:Vigun11g111600.v1.2 transcript:Vigun11g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPFYDKNGMKKGAWSKEEDDKLRAYVHRYGHSNWRQLPKFAGLARCGKSCRLRWLNYLRPNLKHGNYTREEEEIIIRLHQQFGNRWSLIAEKLPGRTDNEVKNYWHSHLKKSLKMNEITASELKSRACDNNSFEGNLKESREYENSEKLGGNSGDIFPHILESSMAISAETPEGENYSLNDEEDGVPPLVSYKEFSGDFWSEPFLVEDSIDDTYKDEICSGKGMALLIPSFDGAYFL >Vigun05g071901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6142644:6143144:-1 gene:Vigun05g071901.v1.2 transcript:Vigun05g071901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTVTGFWLRIGGLRFRVSGFEICVSDFGSRVLGFGCRVWGFIFGVSGWDFGLRVSGLGFRVTCYVFRVSGFMFQVSDFGFRVTDFGLRFMGFQIRVSSYMFLVSGFGIRVSGFEFRVSSFGFWVSGLRFEILGFGFEVLSFGLGISSFGLRLFRFEF >Vigun11g025600.1.v1.2 pep primary_assembly:ASM411807v1:11:3299908:3303488:1 gene:Vigun11g025600.v1.2 transcript:Vigun11g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKSYGKVDESEQAMLEVRRKSRKRITIIALSTIVLIGVVFAAVFGTVAHNNNNNSNDGESNVASVSSSVKAVCDVTLYKDACYSSLGSVAQSGDVRPENLFLLSIKVALGEVSKAAQYFSEKGGFSGLNVDERIKNCKDLLGLAVDHLNSSLVSGGKFSLVDVLEDLRTWLSAAGTYQQTCIDGFVEAEKALKSSVFNNLKNSTEFTSNSLAIVTWLDKAASTVNLRRLLSALPHQDEEPKWLHSKDRKMLQADDLKKKANIVVAKDGSGNFKTITSALTHVPEKSDKRTVIYVKKGIYNENVRVEKTKWNVMIIGDGMNATIVSGSLNFVDGTPTFSSATFAVFGKNFIARDMGFRNTAGPEKHQAVALMNTADQAVYYRCQIDAFQDSLYAHSNRQFYRECNIYGTVDFIFGNSAVVLQNCNIFPRVPMQGQQNTITAQGKTDPNMNTGISIQNCSISPFGDLSSVQTYLGRPWKNYSTTVFMQSTLGSFINPNGWLPWVGNSAPDTIFYAEFQNVGPGASTKNRVKWKGLKTITLKQATKFTVKTFISGDKWIPASGATFKSSL >Vigun10g002300.2.v1.2 pep primary_assembly:ASM411807v1:10:221733:224915:-1 gene:Vigun10g002300.v1.2 transcript:Vigun10g002300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFVVLTMFNYVCDSSSHRRNLLGNGLGATPPMGWNSWNHFHQNINETIIREAADILVSSGLSELGYIYVNIDDGWGEMTRDIDGNLVPDKKHFPSGIKALADYVHQKGLKLGIYADAGYYTCAKRMPGSLGYEEQDAKTFASWGVDYLKYDNCNNGGIKPLHRYPIMTRALMKTGRPIYFSYVNDMHPALWGYPVGNSWRTSDDITDNWERMVSRIDRNDVYADFAKPGGWNDPDMLEVGNGGMKKHEYVVHFSLWAISKAPLLIGCDLGKMDDDTLEILSNAEVIAVNQDPLGVQAKRVRMEGALEVWAGPLSEYRVAVLLVNKFSDRGSEMTAEWEDIGLDPSTIVQARDLWEHETLESQFVGKMSVTVEPHSCKMYVLKPIA >Vigun05g066300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5749274:5749660:-1 gene:Vigun05g066300.v1.2 transcript:Vigun05g066300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFAKSVVLLSMLTLAWAGKVDLTVTNSLEGKENLKIHCKSRDDDLGMRVLHFNESAHWRFGTDIFWRTMFSCSFQWGKSPFFHFNVYDQIRDYDVCRDCQWFIKKHGPCRDEPTNNAFTPKCYKWN >Vigun06g046750.1.v1.2 pep primary_assembly:ASM411807v1:6:17156398:17156883:-1 gene:Vigun06g046750.v1.2 transcript:Vigun06g046750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCGESLQESKNLEIKKINDDKKASMAAQFAAEATLRRVHSAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKIKIKS >Vigun02g142800.1.v1.2 pep primary_assembly:ASM411807v1:2:29130016:29135855:-1 gene:Vigun02g142800.v1.2 transcript:Vigun02g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVSPQLQMSLKSSFIGDRFLRSSRNQPLPQLFRFNPGSKHVSMQLSRTLSGLTNLFFNRRNVDEVPNSNRKRLRPGKVSPRRQVPKHIPRPPYVNSLIPAGLVSGPQVHDKKGIECMRASGRLAAQVLQYAGTLVKPGITTDEIDQAVHQMIVDNGAYPSPLGYGSFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFYCGSVDDEAKKLVQITKECLDKAISICAPGVEFKAIGKTIHDHADKYRYGVVRDFVGHGVGRVFHADPVVLHFRNNESGRMVLNQTFTIEPMLTIGSINPVKWNDDWTVVTEDGSLSAQFEHTILITPDGAEIMTEC >Vigun11g048000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7471803:7476327:1 gene:Vigun11g048000.v1.2 transcript:Vigun11g048000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPSAVTPSKSKLARTFAKVLHVRAVTGIAPVDGLKKGDADLNNEANIIKEEEEELQKRKATEASLAKAFASISTVKASYAQLQHAQSPYDPDVIQAADQLIVSEFKTLSEIKQCFFKKQFDPSPDRAILQAKLKELESVNKTFEITGQKLESQVRLKESEITFLREKLEEANKQNRSIEKRLNQSGSLSVLDNLHISGLSPSHFVTVLRHTVRSIRNFVKLLVNEMRSAGWDIDASVNAIIEQNVDYWKEDHKCFAIESFVCREMFDSFNIPNFSLPNESLPDKNKRQLFFGRFNELKPVKAMEFLSAKPRSPFAKFCRIKFLRLVHPKMEASFFDNVNQRNLLNGGEFPNTNFFTSFAEMAKRVWLLHCLAFSFESQASIFQVGKGCRFSDVYMESVNENENENDEEMAVESEPQVAFTVLPGFRIGKTLIQCQVYLSQAMVKKSTCRKQKR >Vigun11g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7471272:7476336:1 gene:Vigun11g048000.v1.2 transcript:Vigun11g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPSAVTPSKSKLARTFAKVLHVRAVTGIAPVDGLKKGDADLNNEANIIKEEEEELQKRKATEASLAKAFASISTVKASYAQLQHAQSPYDPDVIQAADQLIVSEFKTLSEIKQCFFKKQFDPSPDRAILQAKLKELESVNKTFEITGQKLESQVRLKESEITFLREKLEEANKQNRSIEKRLNQSGSLSVLDNLHISGLSPSHFVTVLRHTVRSIRNFVKLLVNEMRSAGWDIDASVNAIIEQNVDYWKEDHKCFAIESFVCREMFDSFNIPNFSLPNESLPDKNKRQLFFGRFNELKPVKAMEFLSAKPRSPFAKFCRIKFLRLVHPKMEASFFDNVNQRNLLNGGEFPNTNFFTSFAEMAKRVWLLHCLAFSFESQASIFQVGKGCRFSDVYMESVNENENENDEEMAVESEPQVAFTVLPGFRIGKTLIQCQVYLSQAMVKKSTCRKQKR >Vigun11g048000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7471803:7476327:1 gene:Vigun11g048000.v1.2 transcript:Vigun11g048000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPSAVTPSKSKLARTFAKVLHVRAVTGIAPVDGLKKGDADLNNEANIIKEEEEELQKRKATEASLAKAFASISTVKASYAQLQHAQSPYDPDVIQAADQLIVSEFKTLSEIKQCFFKKQFDPSPDRAILQAKLKELESVNKTFEITGQKLESQVRLKESEITFLREKLEEANKQNRSIEKRLNQSGSLSVLDNLHISGLSPSHFVTVLRHTVRSIRNFVKLLVNEMRSAGWDIDASVNAIIEQNVDYWKEDHKCFAIESFVCREMFDSFNIPNFSLPNESLPDKNKRQLFFGRFNELKPVKAMEFLSAKPRSPFAKFCRIKFLRLVHPKMEASFFDNVNQRNLLNGGEFPNTNFFTSFAEMAKRVWLLHCLAFSFESQASIFQVGKGCRFSDVYMESVNENENENDEEMAVESEPQVAFTVLPGFRIGKTLIQCQVYLSQAMVKKSTCRKQKR >Vigun11g048000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:7471199:7476339:1 gene:Vigun11g048000.v1.2 transcript:Vigun11g048000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKPSAVTPSKSKLARTFAKVLHVRAVTGIAPVDGLKKGDADLNNEANIIKEEEEELQKRKATEASLAKAFASISTVKASYAQLQHAQSPYDPDVIQAADQLIVSEFKTLSEIKQCFFKKQFDPSPDRAILQAKLKELESVNKTFEITGQKLESQVRLKESEITFLREKLEEANKQNRSIEKRLNQSGSLSVLDNLHISGLSPSHFVTVLRHTVRSIRNFVKLLVNEMRSAGWDIDASVNAIIEQNVDYWKEDHKCFAIESFVCREMFDSFNIPNFSLPNESLPDKNKRQLFFGRFNELKPVKAMEFLSAKPRSPFAKFCRIKFLRLVHPKMEASFFDNVNQRNLLNGGEFPNTNFFTSFAEMAKRVWLLHCLAFSFESQASIFQVGKGCRFSDVYMESVNENENENDEEMAVESEPQVAFTVLPGFRIGKTLIQCQVYLSQAMVKKSTCRKQKR >Vigun05g273500.1.v1.2 pep primary_assembly:ASM411807v1:5:46408729:46410767:-1 gene:Vigun05g273500.v1.2 transcript:Vigun05g273500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSTTSQPMATVAGVQFQNLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDVVPSLATFSDIHGLANASMESFFPLSDNTILNSHHHLDHLYLPIIPTPTILQAFDHLNNDIKIDINNYHNNNNNNHHHNSNFLHFQNPMPETVPPSSNNNIIPSLFELDTWSSFGCVPFHLNPNQQSQTMITKTADTTTPHLILEKLINPSTWQHQAVEPTVDNLVPKVCESVEDYVCSIPFSSSAANSQHHQHEDAVACYTPGICPQDQIAVPNQVEYIDAIIMSSLPSSTTSSSSVSLYQADK >Vigun05g082700.1.v1.2 pep primary_assembly:ASM411807v1:5:7804367:7806799:-1 gene:Vigun05g082700.v1.2 transcript:Vigun05g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRWMEAVLPLGIIAGMLCVMGNAQYYIHRAAHGRPKHIGNDLWDVAMERRDKKLHEQASSSN >Vigun08g043300.1.v1.2 pep primary_assembly:ASM411807v1:8:4524679:4527526:-1 gene:Vigun08g043300.v1.2 transcript:Vigun08g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEMVTTFVFLLVLHPPFLKTVESLNFNITNFNDPESEQNMAYIGDGKASNGSVELNIVDYLFRVGRALYAKPMHLWDQSSNVLTDFTTRFTFSIDRAKNGTYADGFAFYMAPHGYPIPPNSGGGTFALFNTTSNTFIPHNHVLAVEFDTFNGTIDPPMQHVGIDDNSLSSVASAKLDVDKNLGKKCNVLITYTASNKTLFVAWSFNGTATSHSNSSLSYQIDLMEILPEWVDVGFSASTGEFTEHNVIYSWDFSSTLNSDATDNSSGGDGNGKRKVWVIAVATSSAVLVVVAGSVAVWAMMTKKRRDKVDKSNDGEVGANSVKFDLDRATLPRRFDYKELLAATNGFADDRKLGRGGSGQVYKGVLSNLGRVVAVKRIFANFANSERVFINEVRVISRLIHRNLVQFVGWCHEQGEFLLLFEYMPNGSLDTHLFGDKKPLTWDVRYKVALGVALGLRYLHEDAEQSVLHRDIKSANVLLDTDFSTKLGDFGMAKLVDPRLKTQRTGVVGTYGYLAPEYMNGGRASKESDIYSFGVVALEIACGRRTYRDGEFHVPLRNWVWQQYVEGNVMDVVDERLKKEFNVDEMRSLIIVGLWCTNPNDKERPKAAQVINVLELEAPLPELPLDLHDRPPASLVTYSQQPNSQSMQTLPFTDSFISVGR >Vigun10g145000.1.v1.2 pep primary_assembly:ASM411807v1:10:36272638:36279356:-1 gene:Vigun10g145000.v1.2 transcript:Vigun10g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLTQIRLLHPLIPMKPRTLPLLSRAFFFPPSKFLRSAPFKARAFSSRVHAHARDKLPVRPSKSLVDDEADLSDWVDDLRTGRVDRFSKRFSSDDDEDRKFPPPRRNNNRASTNLGKRRGEDLRRGRQNVGARRKFQPRSDDDEEVVESGRKLKGGGVGAFLSEDESEDEESDGSEEEEILNKSRTALFGQQNGLNRRTVEPTPRPSSPGGSDSYLSESRFDQCSVSQLSLKGVKDAGYEKMTVVQEATLPVILKGKDVLAKARTGTGKTVAFLLPSIEVVAKSPPSDRDHRRPPISVLVICPTRELASQAAAEANKLLKYHPTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIERIISAVPKQRQTLMFSATVPEEVRQVCHIALRRDHEFINTVQEGSEETHSQVLQKHLVAPLDKHFPLLYVLLKDHIADDVDYKVLVFCTTAMVTRLVAELLGELNLNVREIHSRKPQSYRTRVSEEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGLPTDREQYIHRLGRTGRRGKEGQGILLLAPWEEFFLSTVKDLPIEKVPVVPSVDPDTKRKVEKALSHVDMKNKEAAYQAWLGYYNSNKKVGRDKFRLVELANEFSRSMGLDNPPAIAKLVLGKMGLRNIPGLRAK >Vigun10g188700.1.v1.2 pep primary_assembly:ASM411807v1:10:40414841:40417222:-1 gene:Vigun10g188700.v1.2 transcript:Vigun10g188700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRCATLISSIAFSIYFFIIIFQVPIFRVPCRIGICKTPIEVTSSQLIASEVFPRSIVKILFYPGAVAKAICNHKTIPSYENLLNIHQFNTRTVSAASDIQRLEVLAGSYLSVAGAITGLIKPGRMGLFGVLLIMWGVIRESIMGRSGFAQAKGIYIYPAMYIVLISAFFSIRKDVRKLIRTFTRKHVKKVKRFKSKGK >Vigun03g036700.1.v1.2 pep primary_assembly:ASM411807v1:3:2812111:2817485:-1 gene:Vigun03g036700.v1.2 transcript:Vigun03g036700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLICMFSLLLLLLAPPFASAGHDYAQALSKSLLFFEAQRSGYLPHNQRVTWRAHSGLQDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMAASGELGHAMEAVKWGTDYFIKAHPQANVLYGEVGDGNTDHYCWQRPEDMTTDRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSHELLTHAYQLFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNEYYLDYLGRNGDSMGGTGWTMTEFGWDVKYAGVQTLVAKFLMQGKAGHHAPVFERYQQKAESFMCSCLGKGSRNVQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLTSSARNLRCNSGNVAPAELLSLAKSQVDYLLGNNPRATSYMVGYGNNFPQRVHHRGSSIVSIKVNPSFVSCRGGYNTWFSSKRSDPNLLTGALVGGPDAYDDFADERDNYEQTEPATYNNAPLIGILARLNGGHGVYNQLLPVVPAPNPVVIKPRPSPNTKTTPSPASWSGPISIEQKMTTSWVANGKTYYRYSTVVTNKSNKSLNSLNLSISKLYGPIWGLTKSGDSYTFPSWLSSLAAGKSLEFVYIHSTSPADVSVANYVLA >Vigun09g013600.14.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014065:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009042:1014090:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009008:1014106:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009008:1014125:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.12.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014065:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014106:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1011103:1014106:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1011103:1014106:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.10.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014066:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014106:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009018:1014070:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.11.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009116:1014065:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.13.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014065:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.15.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009704:1014065:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun09g013600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1009019:1014125:-1 gene:Vigun09g013600.v1.2 transcript:Vigun09g013600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRCVRIAEEAIASGNKDRAVKFLRIAQRLNRDLPIQSLLQKCEGLDSQSSTAAAHGAQASGTSSRREPLNGERSHVSYTEENVRLIREIKGKSDYYAILGLERSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGSRRMYDQTGTVDDFDQGEVNHFRRRRRRTTTATTTRDFFEDEFDPDEIFRAFFGHSDVFGRNHVFRPRGMGNPHRTEVNDGSGGRHHNVMLVIQLLPLLIIVLLAYLPFSEPEYSLHKHYNYQIPKTTELHGVQFFVKSQEFEANYPVGSDARVAVEESVLKDYRSMLRRYCQVEMQRRSWNRNLPTPHCDKLQNFAVMA >Vigun08g054000.1.v1.2 pep primary_assembly:ASM411807v1:8:6493011:6499796:-1 gene:Vigun08g054000.v1.2 transcript:Vigun08g054000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPTTKRGGGGRSKPVPASKSSLVMAFFSCVAWLYVAGRLWQDAENRNLLASLLKKNSAQRPKVLTVEDKLMVLGCRDLERRIVEAEMELTLAKSQGYLKGQGQKSGSSDRRLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDAENSSTKDFLILEGHEEAQEELPKKVKTFFSTAVQNWDADFYVKVDDSIDIDLAEGLIELLDHRRGQDGAYIGCMKSGDVISEDGKPWYEPDWWKFGDEKSYFRHAAGSLVIISKNLAQYININSASLKTYAFDDTSLGSWMMGIQATYIDDSRLCCSSIRQEKVCSLA >Vigun08g054000.2.v1.2 pep primary_assembly:ASM411807v1:8:6493011:6499796:-1 gene:Vigun08g054000.v1.2 transcript:Vigun08g054000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPTTKRGGGGRSKPVPASKSSLVMAFFSCVAWLYVAGRLWQDAENRNLLASLLKKNSAQRPKVLTVEDKLMVLGCRDLERRIVEAEMELTLAKSQGYLKGQGQKSGSSDRRLLAVIGVYTGFGSRLKRNVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDAENSSTKDFLILEGHEEAQEELPKKVKTFFSTAVQNWDADFYVKVDDSIDIDLEGLIELLDHRRGQDGAYIGCMKSGDVISEDGKPWYEPDWWKFGDEKSYFRHAAGSLVIISKNLAQYININSASLKTYAFDDTSLGSWMMGIQATYIDDSRLCCSSIRQEKVCSLA >Vigun09g201800.1.v1.2 pep primary_assembly:ASM411807v1:9:37611475:37617171:-1 gene:Vigun09g201800.v1.2 transcript:Vigun09g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMALLLALGTSIQGLDVLQALNNPKYEQFNKALTEANLVDKINALKSVTVLPLSNKAMASLAGKSPAFLKATVSTHVLIGYYDQRRIFDDVGSQIPIETLFQSSGLAKDKQGYVLVQQVNEGELVMGSAASPPGTVFTSALVQTVANNPEEFVAIELNEPILVPGIENLFSDVKVPLGAGEKAASPSALAPGQSSDASRLCMGLLGAASASAAFILGL >Vigun06g168700.1.v1.2 pep primary_assembly:ASM411807v1:6:29032546:29035869:1 gene:Vigun06g168700.v1.2 transcript:Vigun06g168700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRPSLKTEGEESVCVKVAQLKRLSETSKTITMFEPRGLGSIEKRDSDADNSFPSTTVRAPEKKLTLFALRLAVLEKAATSLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSITDAGINSFRMLRSSPNLLLQGVKSLFKPIVMKRQRRDMVEANVTPRYRDGTFNTRTPTRTWISSDVPLLPCARWFFLSRHISKLLYWLQLFSATACVVLSSMKLIKRNYGEVAKGDTDRRNRESALNIFYALALAEALLFLMEKTYWEWKISYCKLLDEVNRECELGPSGMVSIRRFFYDAYSRCVNGSIFDGLQMDIVCFAMDLLASNSPDEELIGARILRQFALSERFSDDTLQKLGISISVVERLVEMLNWTDHKEEEIRLSAAEILSALAGKKQNSLRIAGIPGAMESISSLLQTNRNCIPAADEIGEKKLVFDHPNYGYWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIDFTHAEERLLKNENVTPSQILTVKRSLQLVKMLASTTGTNGKHLRREISEIVFTISNIRDILMHGEKHPLLQKLSIEILTSLALEEEARERIGGTGGVLKELFNIFFKDCTAENQKDVTTVAGEALAMLALESKSNCHRISKLKVMERLIEALNVPMLRVNAARIIRNLCNYSGSECFKQLREVTAAAPTILQAIMSQENKLQEVMIGLAASVFTFMDSSESSTVFEESRITEAELANKLVHILKKHPYPPTKVPRIRRFVIELAIWMMKEREENIHTFKGLGMEEVLESVLETTSELESFNVFSGTVGLNRHNLPIHSLVETALKLMEDR >Vigun10g126200.2.v1.2 pep primary_assembly:ASM411807v1:10:33429687:33431999:-1 gene:Vigun10g126200.v1.2 transcript:Vigun10g126200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVVDLLTLEGTPYHKNCFKCSHCKGCLTMCTYSSMDGVLYCKTHFEQLFKESGNFSKNFTKSADKQTDINRAPSKLSSMFSGTLDKCAVCSKTVYPLEKVTLEGECFHKTCFRCAHGGCTLTHSNYAALDGVLYCRVHFQQLFMEKGNYSHVLQAAAHKRTGSSTPPEPLFEESSHPPAASEEPEVVEDEEKSDEKSEGKSEEKSEEKREEKEEDEEERS >Vigun10g126200.1.v1.2 pep primary_assembly:ASM411807v1:10:33429687:33431754:-1 gene:Vigun10g126200.v1.2 transcript:Vigun10g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVVDLLTLEGTPYHKNCFKCSHCKGCLTMCTYSSMDGVLYCKTHFEQLFKESGNFSKNFTKSADKQTDIQNRAPSKLSSMFSGTLDKCAVCSKTVYPLEKVTLEGECFHKTCFRCAHGGCTLTHSNYAALDGVLYCRVHFQQLFMEKGNYSHVLQAAAHKRTGSSTPPEPLFEESSHPPAASEEPEVVEDEEKSDEKSEGKSEEKSEEKREEKEEDEEERS >Vigun10g126200.3.v1.2 pep primary_assembly:ASM411807v1:10:33429687:33432132:-1 gene:Vigun10g126200.v1.2 transcript:Vigun10g126200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYSSMDGVLYCKTHFEQLFKESGNFSKNFTKSADKQTDINRAPSKLSSMFSGTLDKCAVCSKTVYPLEKVTLEGECFHKTCFRCAHGGCTLTHSNYAALDGVLYCRVHFQQLFMEKGNYSHVLQAAAHKRTGSSTPPEPLFEESSHPPAASEEPEVVEDEEKSDEKSEGKSEEKSEEKREEKEEDEEERS >VigunL059137.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000274.1:3712:4071:1 gene:VigunL059137.v1.2 transcript:VigunL059137.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun01g177100.1.v1.2 pep primary_assembly:ASM411807v1:1:35816701:35822177:-1 gene:Vigun01g177100.v1.2 transcript:Vigun01g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLHFTLIFFSLFLFLVPSFTFPLPPILPRFPSSTVSAELKQRSHSAPNNPYKTKFFTQILDHFNFNPQSNHVFQQRYLINDTFWGGAKSNAPIFVYTGNEGNIEWFTQNTGFMFENAPSFHALLVFIEILRKINTIWGNRKVAYANATTLGYLSSTQALADYATLIVDLKKNLSAIDSPVVVFGGSYGGMLAAWFRMKYPHVAIGALASSAPILHFMDLVSPDIFNSIISQDFRSESENCYKVLKGSWKLIEHTANKPGEWNCSLEGWLRTAWVYTAMTDYPTPSNFLNPLPAYPIKKMCEAIEGTEKGKNDELEKLYAAASIYYNYTGTATCFDLDDDSDPHDLGDGNGSRFLVIYFDFRKSYILISGSRHPEVFLGCDACTEMIMPVGGSNKQSIFPEYKWSYEDRASACEFFYNVTPRPHWITTEFGGHAIQTVLKRSASNIIFFNGLRDPWSGGGVLKNISKTIVALVEKRGAHHVDLRYSTKEDPKWLKDVRIQEVKIIASWISQYYQDLHSTN >Vigun04g158700.1.v1.2 pep primary_assembly:ASM411807v1:4:38072452:38075913:1 gene:Vigun04g158700.v1.2 transcript:Vigun04g158700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGDRKEDKDRLSDMPDFVLLHIMKFMSMKQAVQTCVLSSRWKELWKHLTDLALNSSDFTNLTHFSKFVSWVISNRDNSISLHSLDLRRKGCIDHELLDRIMVYAVSHDVQQLTIEVNLSVILGFRLHPSMFSCSSLTYLKLSIWAVPWMTQLPSSLQLPALKSLHLEHVTFTASESDCAEPFSSCHMLDTLVLDRFNLHQGVKFLCICNSNLSSLTIGSTIQEAPYKFALSTPNLRYLNVMRDPLHQLSACRLCYLEQVSIDCEAYFHTHFERTYSALIGLLQVLADYVKIMILSSSTLKILLHGLSTSGSMITQIPCFVQLKSLKLKMKSSTNISDEGVGRIVEYLLQKSPFAKVDIINC >Vigun04g158700.2.v1.2 pep primary_assembly:ASM411807v1:4:38072452:38075913:1 gene:Vigun04g158700.v1.2 transcript:Vigun04g158700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGDRKEDKDRLSDMPDFVLLHIMKFMSMKQAVQTCVLSSRWKELWKHLTDLALNSSDFTNLTHFSKFVSWVISNRDNSISLHSLDLRRKGCIDHELLDRIMVYAVSHDVQQLTIEVNLSVILGFRLHPSMFSCSSLTYLKLSIWAVPWMTQLPSSLQLPALKSLHLEHVTFTASESDCAEPFSSCHMLDTLVLDRFNLHQGVKFLCICNSNLSSLTIGSTIQEAPYKFALSTPNLRYLNVMRDPLHQLSACRLCYLEQVSIDCEAYFHTHFERTYSALIGLLQVLADYVKIMILSSSTLKILLHGLSTSGSMITQIPCFVQLKSLKLKMKSSTNISDEGVGRIVEYLLQKSPFAKVDIINC >Vigun10g039200.1.v1.2 pep primary_assembly:ASM411807v1:10:5350578:5354739:-1 gene:Vigun10g039200.v1.2 transcript:Vigun10g039200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSSSSKPPRNYDVLINFNGEDIRRKFVSHLDSAFSSVGLTTFLHHQNAVESMHVQQPILNLCRVVIVVFTKTYSQSAWCLHQLQQIIAWHESYCRHVLPVYYEIQPSDVRLQKGDFGKAFKETAQQTFSAQQLEDGMSRWSHALTKVASLFGWDESNHRSDAELVDKIVKSVLNLSVLSATKFPVGLQDQVEHLIRTIRNKSSDVCIIGFCGMGGSGKTTLAKAIYHQIHGTFMQKSFIEDIAQVSEPRGHIHLQQQLLSDVLKIKVEIHSVEMGRSIIRDRLFRKRVLIVLDDMDDYLPLLDLRKSRSWLSEGTVIIITTRDEDLLRKHQVDSVFRINEMNDNESLELFSWHAFREPKPRKEYDYLARRVVSCCGGLPLALELIGSSLFERREKEWHSVLVGLEGIHMYDVEQKLKISFDLCNQMERDLFLVLCRYFVGKDRSYATKILNASGINADSGIRVLMERNLIKVKANNKFGIHYLLQGMGIEIIREILRKEPWKHNRLWVDEDGKYALKVDTTLPVKLISTSREPSSRPKVAVNSGYLSAKLRWINLHGFSSEHLPEDFNVHGAVAIDLKHSLLRFVWKEPQILKWLKVLNLSHSEYLTETPDFSGIPSLQRLILKDCPRLCKIHPSIGFLCYLTLLNLKDCECLSNLPREIYKLKSLRTLILSGCSKIDLVEKDIVPMKSLITVIAETKALKQVPFLILSSKAIGYMSQRGFERLSSNLFPSIIRFWMSATMNPIFYIHSIGMDIDNIWDDIAPLLGSLANLRSVLVQCNTEFQLSKLVKNILVEYFANVTESGISKQHLRFSLIGIGAYYEFFNAVSDNISQVLAGSESCDVSVLGDNHPYWLAYMGEGHSVSFTVPPDTDLKGMILCVVYLSTLEIEATECLRIVLIVNYTKCRFHIHMHGTGISFNDIDWEGIMSNFGYGDKVEIFVTSGHGLVVKNTILYLIWGESNYYEKEPMSKKNSVLRFIKKIVE >Vigun10g039200.2.v1.2 pep primary_assembly:ASM411807v1:10:5350578:5354739:-1 gene:Vigun10g039200.v1.2 transcript:Vigun10g039200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITVLSATKFPVGLQDQVEHLIRTIRNKSSDVCIIGFCGMGGSGKTTLAKAIYHQIHGTFMQKSFIEDIAQVSEPRGHIHLQQQLLSDVLKIKVEIHSVEMGRSIIRDRLFRKRVLIVLDDMDDYLPLLDLRKSRSWLSEGTVIIITTRDEDLLRKHQVDSVFRINEMNDNESLELFSWHAFREPKPRKEYDYLARRVVSCCGGLPLALELIGSSLFERREKEWHSVLVGLEGIHMYDVEQKLKISFDLCNQMERDLFLVLCRYFVGKDRSYATKILNASGINADSGIRVLMERNLIKVKANNKFGIHYLLQGMGIEIIREILRKEPWKHNRLWVDEDGKYALKVDTTLPVKLISTSREPSSRPKVAVNSGYLSAKLRWINLHGFSSEHLPEDFNVHGAVAIDLKHSLLRFVWKEPQILKWLKVLNLSHSEYLTETPDFSGIPSLQRLILKDCPRLCKIHPSIGFLCYLTLLNLKDCECLSNLPREIYKLKSLRTLILSGCSKIDLVEKDIVPMKSLITVIAETKALKQVPFLILSSKAIGYMSQRGFERLSSNLFPSIIRFWMSATMNPIFYIHSIGMDIDNIWDDIAPLLGSLANLRSVLVQCNTEFQLSKLVKNILVEYFANVTESGISKQHLRFSLIGIGAYYEFFNAVSDNISQVLAGSESCDVSVLGDNHPYWLAYMGEGHSVSFTVPPDTDLKGMILCVVYLSTLEIEATECLRIVLIVNYTKCRFHIHMHGTGISFNDIDWEGIMSNFGYGDKVEIFVTSGHGLVVKNTILYLIWGESNYYEKEPMSKKNSVLRFIKKIVE >Vigun10g154800.1.v1.2 pep primary_assembly:ASM411807v1:10:37489220:37492224:-1 gene:Vigun10g154800.v1.2 transcript:Vigun10g154800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSNYKHRVQCSPKSMGKIVGVGFRPTEQELVDFYLKHKLLADDSRVDVIPVIDLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDIRSWNTNTLIATKKNLVYYKGSVSCGVKSYWVIHEYHAVTFDESERCFVLCRLMRRKSGKTTEGGIDPIICGEEEPSIIKVSDYENQATEEGIPYGGTLPVVETIFQANPQPVTYVSQIQSSPPEEASFPNYPLNNACFGNEKSFMQDEYLNRIIAEENLDNDEESSCTFVDNSSQKDTNIVDSSSVHNKYFNYDEYHSSKRLKLSKDVADDASTNQETKESMIGDGFWGMESSSCDSTPNGVLEINCTEVSSSRSIS >Vigun08g178200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34807297:34808549:-1 gene:Vigun08g178200.v1.2 transcript:Vigun08g178200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPRDSPPVSAESQPASPQDSSVVPQALRPPPSEKPVPPPGTYVIKIPKDQVYRVPPAENARRYDQYTHRKHRRSRCCCCCCWLIGILFILIVLLGIAAGIFYLVFRPEAPKYTIENIAIRGINVTSPSSDVAISPQFNVTVKADNPNDKIGIYYLKDSSAEVFYNDARLCNGALPAFYQPSNNVTVFGMVLKDNGIELRSEDRKSLLESQTKRKVPLTVRIRAPVKIKVGSVKTWKITVKVDCDVTVNELTVQAKIVSKSCDYKVDLW >Vigun05g109400.1.v1.2 pep primary_assembly:ASM411807v1:5:11242076:11246346:-1 gene:Vigun05g109400.v1.2 transcript:Vigun05g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISVFTFWLILVLLGVLCCEGCWKHEKEALANINSVYFDNNLPWVDTTDCCKWDRVECNSTTGRVVKLDLSYGSDYHHGYWILNYSHFLVFEDLKNLNLSSNDIYSCVETEALGLNNLEVLDLSNNSGTHNILSCVLESSSLKVLYLQFNNFDATSYQGLFSMLVNLEVLDLTMCEFSDDDIASALSALPSLKSLNLESCTQLNWRPLHSFSKLRTLEILYLTTVELDDSNLRSSEKEEFAWPSNLQFLGLSRNSFSKKVFSSLSGLRHLKSLDISGNDLEGSLNISGLTSSNLETLDFSRNHITNFVENQDWSGLKMLKELNISYNKFKGPLQPYFADFSSLRKLDLSNNHFTGNIGSSLASLTSLEYLGFEGNQFEVPVSFTPFFNHSNLKFIYGNGNKVILDSHSSMKKWVPKFQLQVLSLSSTTESHSLPIPSFLHYQYNLTLLDFTGCRLEGEFPKWLLENNTKMTYLVLKNCSFTQFQVPSHPHLHLAEMIVSHNAISGQIMRHNISSFFPNLEILDMSENAISGSVPREFGLMKLLQTLDLSSNHLSGEIPHELINMSSLKTLDLSDNQLTKVTFGVGHRYTGSISSLDISNNQLVGKIPSLIKNMSGLEHLSMSNNHFEGSIPQEFAELKNLIYLDLSQNNLTGEIPPFVSSSVKSIHLNNNHLTGLSKRTFRGGSSLELLELSHNEVTHNIEDMVEDIIFIDLKILLVKGNHFNGDIPSQLCKLKGLTILDFSHNNLTGVIPRCLGRMPFYNEDPEELRRLFYGIIRNTPNSRFDRQIPNVEETANFTTKGRTDTYMGSILAYMSAIDLSHNKLKGNIPSELGNLKRIQSLDLSHNDLTGKIPTTFSKLRQTESLDLSFNKLSGQIPSQLSELTFLSSFNVSYNNLSGAIERRGQFLTFEESCFRGNPLLCGPPLARSCNPEPDIFPSDSDNGNSGSWLDKFVFCVTFAVSYASFLLVTAAALYINPYWRQLSFYYAELVSLNCYYFVEDNMCKFCNLGTV >Vigun06g132500.1.v1.2 pep primary_assembly:ASM411807v1:6:25845877:25849213:1 gene:Vigun06g132500.v1.2 transcript:Vigun06g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKVGPGPRVRGGVHAPNLSQLRRDFKSGACSSPVAFDPLSVTSLRLTPESLSRSQCQPVLKSRQPLHLCLAGGQGMMENNGDFQSKSLQEAMEQFKGQSIEDILRQQMQKGGSGDIPPGGRRGGGGGSDDGSSGGSDGMSNENLQVVLATVCFVIMYIFVINGLELIKLARDCIKFVSGRGQSARLKRAMHRWVKLYENIIEKKEAVKNGLEKACTYWFDPDFFRGVSRHNLKSTHE >Vigun05g162166.1.v1.2 pep primary_assembly:ASM411807v1:5:25674891:25675246:-1 gene:Vigun05g162166.v1.2 transcript:Vigun05g162166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ASPKVTEACKGFLGPGGDWPSSAKAEGSLTSRPTRRWKGRRSTDKSYSRDNRLIFPKSSHRQEGLAPRCRLFDTWGCSMFQRLGCSPLKRYVSCVQNVVRQFGPY >Vigun09g154400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31986397:31988449:1 gene:Vigun09g154400.v1.2 transcript:Vigun09g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHTLITAAALQRMLHHHFPTPMEQRSRWKPNIEVAPNCPRCASTNTKFCYYNNYSLSQPRYFCKACRRYWTKGGSLRNVPVGGGCRKNRRAKSSSRHNQTQRSFTSHQTHPNNNASREIDMALVFAKFLNPKQNVGEDNNASSSSNNYFTPDTVQTENDTAVVQSPNKGLSSDPAIVESDSDAVVAIENFGGEELSLGEIDDLERLLGVCGDEDGLWCDATLSSSVTWEPPVKELECSMPLSENDAQLLPITSASSTVHSMSDTCWSSWGSLDLSAMEVFSSTP >Vigun09g109200.1.v1.2 pep primary_assembly:ASM411807v1:9:23054581:23060274:-1 gene:Vigun09g109200.v1.2 transcript:Vigun09g109200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVTMKGNCSNHIDGGAVEAQAPATAKDAEAALFRELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVADQHMPVYDLPPKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQNENDVEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELAAKDLHGNEWRFKHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAILTGTIFTVYYKPRTSPAEFIVPYDQYMESLKNSYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDPNRWRESKWRCLKVRWDETSNTPRPERVSPWKIEPALAPPALNPLSMPRTKRPRSNAVPSSPDSSVLTREAASSKVSVDPSPANGFPRVLQGQEFSTLRGNFGESNDSDAAEKSVVWPPAAVDDEKIDASTSRRYGTESWMSMGRNEPTYSDLLSSFGAGGDPSHSSLMDQMSPVAYPTRKQSSDHEGKLHMPQPWPVMPSSLSLNILDSNTKGPAHGGDPTYQARGNLRYSAFGEYPALHGHKVEHSHGNLMPPPSAPLNQYQSPCSREVMSKPVSTKTFEAVKLKDGDCKLFGFSLISGPIVPEPSVSQRNVNEPTGQMHLTPHQQRTSENDEKSDHSKGSKTADDQIVDDHDRPLQTSQLHAKDGQAKPLSSSARSCTKVHKKGIALGRSVDLTKYTGYDELVAELDQLFEFEGELLSTKKDWLIVYTDNEGDMMLVGDDPWQEFCAMVRKIYIYPKEEIQKMSPGTLSSKNEENLSGSEGADAKDVKRLPLQKFNYENCLDA >Vigun09g109200.2.v1.2 pep primary_assembly:ASM411807v1:9:23054581:23060274:-1 gene:Vigun09g109200.v1.2 transcript:Vigun09g109200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVTMKGNCSNHIDGGAVEAQAPATAKDAEAALFRELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVADQHMPVYDLPPKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQNENDVEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELAAKDLHGNEWRFKHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAILTGTIFTVYYKPRTSPAEFIVPYDQYMESLKNSYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDPNRWRESKWRCLKVRWDETSNTPRPERVSPWKIEPALAPPALNPLSMPRTKRPRSNAVPSSPDSSVLTREASSKVSVDPSPANGFPRVLQGQEFSTLRGNFGESNDSDAAEKSVVWPPAAVDDEKIDASTSRRYGTESWMSMGRNEPTYSDLLSSFGAGGDPSHSSLMDQMSPVAYPTRKQSSDHEGKLHMPQPWPVMPSSLSLNILDSNTKGPAHGGDPTYQARGNLRYSAFGEYPALHGHKVEHSHGNLMPPPSAPLNQYQSPCSREVMSKPVSTKTFEAVKLKDGDCKLFGFSLISGPIVPEPSVSQRNVNEPTGQMHLTPHQQRTSENDEKSDHSKGSKTADDQIVDDHDRPLQTSQLHAKDGQAKPLSSSARSCTKVHKKGIALGRSVDLTKYTGYDELVAELDQLFEFEGELLSTKKDWLIVYTDNEGDMMLVGDDPWQEFCAMVRKIYIYPKEEIQKMSPGTLSSKNEENLSGSEGADAKDVKRLPLQKFNYENCLDA >Vigun03g118800.1.v1.2 pep primary_assembly:ASM411807v1:3:11072042:11074787:-1 gene:Vigun03g118800.v1.2 transcript:Vigun03g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKANRKKVCVIGGSGFMASLLINKLLQKGYVVNTTVRNPGDPNKISHLLELQSLGNLEIFGADLRVGEDFYAPISGCELVFQFATPANFASDDPENDMIKPAISGVLNVLKACARAKEVKRVILTSSTAAVTINQLNGTDLVMDESNWTDVEYLMTAKPHGWGYPASKALEEKAAWKFAEENHIDLITVIPTLTTGPSITQDIPTSVVLATSLVTGNGFFLKAFKDIQLLSGSISITHVEDICRAHMFLAEKESASGRYIVCAHNTSIPELAKFLRERYPQCQIPTEFDDIPSKAKFVISSDKLVKEGFRFKHGIEEIFEHSLEYLGSKGALIN >Vigun03g118800.2.v1.2 pep primary_assembly:ASM411807v1:3:11072042:11073906:-1 gene:Vigun03g118800.v1.2 transcript:Vigun03g118800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPAISGVLNVLKACARAKEVKRVILTSSTAAVTINQLNGTDLVMDESNWTDVEYLMTAKPHGWGYPASKALEEKAAWKFAEENHIDLITVIPTLTTGPSITQDIPTSVVLATSLVTGNGFFLKAFKDIQLLSGSISITHVEDICRAHMFLAEKESASGRYIVCAHNTSIPELAKFLRERYPQCQIPTEFDDIPSKAKFVISSDKLVKEGFRFKHGIEEIFEHSLEYLGSKGALIN >Vigun05g107900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11067392:11068463:1 gene:Vigun05g107900.v1.2 transcript:Vigun05g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLDENGVPIWMRNRSNPVATTNVVDHNLRNNTYNLYDVTFENHNIHTLVTHHPSQVERWLSRNAGRRQGLMVGLDVEWRPNTRRNMQNPVATLQLCVAQHAEPRSLASLLQNPNVTFFGVGIRDDAEKLLRDYDLHVANVCDLRSLAADKIWRCPHLRQAGLKTLCVHVLGVDVEKPQRISRSFWDNRWLTGEQVQYAAIDAFLSYEIGRRLNEPVIW >Vigun07g061200.1.v1.2 pep primary_assembly:ASM411807v1:7:6925256:6929482:-1 gene:Vigun07g061200.v1.2 transcript:Vigun07g061200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHLISSPNVSSLTNGSNLWGRKLSFKSAFYESSGGSKASQQKIKSQIQYNPLRFQQSSFNHHYKHVERGATYEESNKNYVVKAVTVPSSESESEASSSKNFVDSVKNFMAVLYQFIYPYAMYGRTTTAISASLVAVERLSDISPLFFIGLLQALLPSLFMDLYVNGVNQVFDFEIDKINKPHLPFASGKLSFKTCVFIVASSAILGLGLNLMIGSPPLIWKFVLNVILINCYSMNLPFLRWKQYPVLAALFVVVSMAVVFPISYFLHMQTFVLKKPLMFTRSFIVSLLFMGLYSTGLALSKDIPDMEGDIKHGVDSFAARLGQKNVFWICIFLFEMAFGVAFLAAASSSSPLWMKSIACLGNVVLGSILFYRTKDVDVTNPASTGPFYFFLWKLMTAGQVFLPFVR >Vigun07g030600.1.v1.2 pep primary_assembly:ASM411807v1:7:2812610:2821287:-1 gene:Vigun07g030600.v1.2 transcript:Vigun07g030600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVVVPLGLLFFSSGLIVNLIQAVCYVFVRPVSKNLYRRMNRVVAELLWLELVWIIDWWAGVKVQVFTDSETFRLMGKEHALVISNHRSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQQLRDFPLPFWLALFVEGTRFTQAKLLAAQEYAVSVGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDVTVAIPKSSPAPTMLRLFRGKSSVVHVHIKRHLMKDLPEESEAVAQWCRDIFVAKDALLDKHIAEDTFSNQELQDAGRPVKSLVVVISWACVVVTGAIKFLQWSSLLSSWKGVAFSGIGLGVVTLLMHILIQFSQAERSTPSKVAPAKSRNREELEARDNKQD >Vigun09g110000.4.v1.2 pep primary_assembly:ASM411807v1:9:23556704:23560302:1 gene:Vigun09g110000.v1.2 transcript:Vigun09g110000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVKFKIYKDCLPLPESSFKFVIRDNYQKGSNKFNPELNIRQVRSLIELFRPLHELPTSPILPFLKKPMNNVYHHISRPPPLSRMSPNQAPLLLNYHVHNTMPHLAAAQSVPSQASRNQFYSPASTLTLEGTYATGICSSHNQTILRGCAHTQTLQYSQHAHHNIIHPQPDFHSSLMPVGSCHTQSLRNPQYPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSIPNPQLLQDPQYQYQSILNSQLLQDHEYQSIPKPSHDFHPSVTNAGSSHPQLSWLPHYTHQHISNLQPNTYSATLNVGHSYDQSLPDYQYTHQKNAQIPQQINHPYFPQEFPSSTYSSQGPGAMQGVISSGQQTGMGSE >Vigun09g110000.1.v1.2 pep primary_assembly:ASM411807v1:9:23557324:23560276:1 gene:Vigun09g110000.v1.2 transcript:Vigun09g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEEGVDVQEKLSGFIFMCNGITKPECYRYRVFGLPARRKADVEKINPGTYLFLFDTDVKLLYGIYMATSTGKLEIEPLAFGHKFPAQVKFKIYKDCLPLPESSFKFVIRDNYQKGSNKFNPELNIRQVRSLIELFRPLHELPTSPILPFLKKPMNNVYHHISRPPPLSRMSPNQAPLLLNYHVHNTMPHLAAAQSVPSQASRNQFYSPASTLTLEGTYATGICSSHNQTILRGCAHTQTLQYSQHAHHNIIHPQPDFHSSLMPVGSCHTQSLRNPQYPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSIPNPQLLQDPQYQYQSILNSQLLQDHEYQSIPKPSHDFHPSVTNAGSSHPQLSWLPHYTHQHISNLQPNTYSATLNVGHSYDQSLPDYQYTHQKNAQIPQQINHPYFPQEFPSSTYSSQGPGAMQGVISSGQQTGMGSE >Vigun09g110000.6.v1.2 pep primary_assembly:ASM411807v1:9:23556704:23560276:1 gene:Vigun09g110000.v1.2 transcript:Vigun09g110000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEEGVDVQEKLSGFIFMCNGITKPECYRYRVFGLPARRKADVEKINPGTYLFLFDTDVKLLYGIYMATSTGKLEIEPLAFGHKFPAQVKFKIYKDCLPLPESSFKFVIRDNYQKGSNKFNPELNIRQVRSLIELFRPLHELPTSPILPFLKKPMNNVYHHISRPPPLSRMSPNQAPLLLNYHVHNTMPHLAAAQSVPSQASRNQFYSPASTLTLEGTYATGICSSHNQTILRGCAHTQTLQYSQHAHHNIIHPQPDFHSSLMPVGSCHTQSLRNPQYPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSIPNPQLLQDPQYQYQSILNSQLLQDHEYQSIPKPSHDFHPSVTNAGSSHPQLSWLPHYTHQHISNLQPNTYSATLNVGHSYDQSLPDYQYTHQKNAQIPQQINHPYFPQEFPSSTYSSQGPGAMQGVISSGQQTGMGSE >Vigun09g110000.2.v1.2 pep primary_assembly:ASM411807v1:9:23556688:23560301:1 gene:Vigun09g110000.v1.2 transcript:Vigun09g110000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEEGVDVQEKLSGFIFMCNGITKPECYRYRVFGLPARRKADVEKINPGTYLFLFDTDVKLLYGIYMATSTGKLEIEPLAFGHKFPAQVKFKIYKDCLPLPESSFKFVIRDNYQKGSNKFNPELNIRQVRSLIELFRPLHELPTSPILPFLKKPMNNVYHHISRPPPLSRMSPNQAPLLLNYHVHNTMPHLAAAQSVPSQASRNQFYSPASTLTLEGTYATGICSSHNQTILRGCAHTQTLQYSQHAHHNIIHPQPDFHSSLMPVGSCHTQSLRNPQYPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSISNPQSLQDPQHPYQSIPNPQLLQDPQYQYQSILNSQLLQDHEYQSIPKPSHDFHPSVTNAGSSHPQLSWLPHYTHQHISNLQPNTYSATLNVGHSYDQSLPDYQYTHQKNAQIPQQINHPYFPQEFPSSTYSSQGPGAMQGVISSGQQTGMGSE >Vigun03g101900.1.v1.2 pep primary_assembly:ASM411807v1:3:8759067:8767089:-1 gene:Vigun03g101900.v1.2 transcript:Vigun03g101900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSNSHGNLDEQISQLMQCKPLSEQEVRVLCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Vigun05g215700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40757139:40759742:1 gene:Vigun05g215700.v1.2 transcript:Vigun05g215700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQYFEDVVPQLDSFEDKSSLKTWKCGGDDDIADSDKKASSGFECNICLDCVQDPVVTLCGHLYCWPCIYKWLNFQSGSSENEEKQQCPVCKSEISESSLVPLYGRDQSTLPSKGKGHQVGIVIPRRPLGPSWLTNSSRSRDSETFSRHVYHHRHYPNHPQQFNSIPGSYPSPMFNAGGSLANAFDPTYGIFGEMIYARVFGNQLTNIYTYPNSYDPSGNSNPRVRRHLMQVDRSLNRITFFLLCCLVLCLLLF >Vigun05g215700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40757059:40759813:1 gene:Vigun05g215700.v1.2 transcript:Vigun05g215700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQYFEDVVPQLDSFEDKSSLKTWKCGGDDDIADSDKKASSGFECNICLDCVQDPVVTLCGHLYCWPCIYKWLNFQSGSSENEEKQQCPVCKSEISESSLVPLYGRDQSTLPSKGKGHQVGIVIPRRPLGPSWLTNSSRSRDSETFSRHVYHHRHYPNHPQQFNSIPGSYPSPMFNAGGSLANAFDPTYGIFGEMIYARVFGNQLTNIYTYPNSYDPSGNSNPRVRRHLMQVDRSLNRITFFLLCCLVLCLLLF >Vigun07g250200.1.v1.2 pep primary_assembly:ASM411807v1:7:36962767:36964077:1 gene:Vigun07g250200.v1.2 transcript:Vigun07g250200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSKVTSATLCLAVLFLFLTSTYAGRLGPASSSFTSTKTQHGVLEEEKLDVEESCDGISEEDCLMRRTLVAHTDYIYTQKHKP >Vigun09g047500.3.v1.2 pep primary_assembly:ASM411807v1:9:4527154:4553583:-1 gene:Vigun09g047500.v1.2 transcript:Vigun09g047500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSEPSGEDVAPEKPLSSPKKNVPEASRRAAKTAADPGGPAKRKVEPRTGSSAGAGTVAARRSGSVGGSASSVSAPRRNSTGGLSQKSSISVAGRKAGAESVGGGKSSVSSASEPIRKSLPELRRNSVTSSRSANPVAASPVGSASRTSGASKAEVARKPVSKLALSASGSASSVSRRISSSSVDSTASSGGSARRTVSRVSSPTVSSGLKAGSLSTSQDRASALSGRRKGGTPDSRDSRFIALPHVEIKANDDLRLDLRGHRVRSLTASGLNLSSNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLENCRVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPYLPVLEHLRVEENPILKMLHLEAASILLVGPTLKKYNDRDLSREEVALAKRYPAHTALCIRDGWDFSRPDQAADSTFRFLVEKWKDHIPPGFLLKEASIDKPVEEDMCRCHFTIIPDGAASTGPPLDLKYQWFCGDLSLANFVPIPDATSEVYWPKHDDIGKVLKVECTLTLEEMTYPPIFAISPRISRGNGIPKVVDLEVHGELVEGSIIRGCAKVAWCGGTPGKGVASWLRRKWNSSPVVIVGAEDEEYKLTIDDVDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVKIVGDAVEGSTIKGVGDYFGGREGPSKFEWLRESMDSGGLLLVSAGTSEYTLTKEDVGCCVAFVYIPINFEGQEGKSMSVMSPVVKQAPPKVTNLKIIGELRENSKVTATGIVTGGTEGSSRVQWYKTHSSTLDENSLEALSTSKIAKAFRIPLGAVGYYIVAKFIPMTPDGDSGEPVFVISDKAVETLPPSLNFLSIIGDYNEDGILTASYGYVGGHEGKSIYSWYIHEVEGDSGSRIPGISGLQYRITKEAIGKFISFQCTPVRDDGVVGDTRICMGQERIRPGSPRLLSLHIIGNAVEGTILRIEKKYWGGEEGDSVCRWLRTTPDGTKKEIAGATDASYMPSIDDIGSFISVSCEPVRSDWARGPMVLSEQIGPIIPGPPTCHTLEFVGSMIEGQHLSFNAVYTGGEQGDCTHEWFRVKDNGVRERISSKDFLDLTLEDVGACIEIIYTPVRKDGIKGNPKHIVSDLISPADPKGIELLIPECCEDRELMPLRKYFGGHEGIGKYTWYCTKRKLEGSELLDITNASDVVISGTELMYKPLLKDVASYLALYWVPTRADGKCGEPLVAISSAPVSPAPPVVSNVHVKELSTGIYSGEGEYFGGHEGESLFSWYRENNEGTIELVNGANSKSYEVTDSDYSFRLLFGYTPIRSDSVVGELVLSAPTNIVFPELPCVEMLALTGKAVEGDVLTAVEVIPNSETQRHVWSKYKKDIRYQWFCSSEVGDSLSYDPLPNQNSCSYKVRLEDIGHHLKCECIVTDVFGRSGEAVCIETTPVLPGIPRIHKLEIEGRGFHTNLYAVHGIYSGGKEGKSRVQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGVEGQSISVSTEPIAVEPDVLKEVKNNLELGSVKFEVLCDKDQTSKKISSVGTYERRILEINRKRVKVVKPATKTSFPTTELRGSYAPPFHVELFRNDQHRLKVVVDSENEADLMVHSRHIRDVIVLVIRGLAQRFNSTSLNSLLKIDT >Vigun09g047500.2.v1.2 pep primary_assembly:ASM411807v1:9:4527154:4553583:-1 gene:Vigun09g047500.v1.2 transcript:Vigun09g047500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSEPSGEDVAPEKPLSSPKKNVPEASRRAAKTAADPGGPAKRKVEPRTGSSAGAGTVAARRSGSVGGSASSVSAPRRNSTGGLSQKSSISVAGRKAGAESVGGGKSSVSSASEPIRKSLPELRRNSVTSSRSANPVAASPVGSASRTSGASKAEVARKPVSKLALSASGSASSVSRRISSSSVDSTASSGGSARRTVSRVSSPTVSSGLKAGSLSTSQDRASALSGRRKGGTPDSRDSRFIALPHVEIKANDDLRLDLRGHRVRSLTASGLNLSSNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLENCRVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPYLPVLEHLRVEENPILKMLHLEAASILLVGPTLKKYNDRDLSREEVALAKRYPAHTALCIRDGWDFSRPDQAADSTFRFLVEKWKDHIPPGFLLKEASIDKPVEEDMCRCHFTIIPDGAASTGPPLDLKYQWFCGDLSLANFVPIPDATSEVYWPKHDDIGKVLKVECTLTLEEMTYPPIFAISPRISRGNGIPKVVDLEVHGELVEGSIIRGCAKVAWCGGTPGKGVASWLRRKWNSSPVVIVGAEDEEYKLTIDDVDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVKIVGDAVEGSTIKGVGDYFGGREGPSKFEWLRESMDSGGLLLVSAGTSEYTLTKEDVGCCVAFVYIPINFEGQEGKSMSVMSPVVKQAPPKVTNLKIIGELRENSKVTATGIVTGGTEGSSRVQWYKTHSSTLDENSLEALSTSKIAKAFRIPLGAVGYYIVAKFIPMTPDGDSGEPVFVISDKAVETLPPSLNFLSIIGDYNEDGILTASYGYVGGHEGKSIYSWYIHEVEGDSGSRIPGISGLQYRITKEAIGKFISFQCTPVRDDGVVGDTRICMGQERIRPGSPRLLSLHIIGNAVEGTILRIEKKYWGGEEGDSVCRWLRTTPDGTKKEIAGATDASYMPSIDDIGSFISVSCEPVRSDWARGPMVLSEQIGPIIPGPPTCHTLEFVGSMIEGQHLSFNAVYTGGEQGDCTHEWFRVKDNGVRERISSKDFLDLTLEDVGACIEIIYTPVRKDGIKGNPKHIVSDLISPADPKGIELLIPECCEDRELMPLRKYFGGHEGIGKYTWYCTKRKLEGSELLDITNASDVVISGTELMYKPLLKDVASYLALYWVPTRADGKCGEPLVAISSAPVSPAPPVVSNVHVKELSTGIYSGEGEYFGGHEGESLFSWYRENNEGTIELVNGANSKSYEVTDSDYSFRLLFGYTPIRSDSVVGELVLSAPTNIVFPELPCVEMLALTGKAVEGDVLTAVEVIPNSETQRHVWSKYKKDIRYQWFCSSEVGDSLSYDPLPNQNSCSYKVRLEDIGHHLKCECIVTDVFGRSGEAVCIETTPVLPGIPRIHKLEIEGRGFHTNLYAVHGIYSGGKEGKSRVQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGVEGQSISVSTEPIAVEPDVLKEVKNNLELGSVKFEVLCDKDQTSKKISSVGTYERRILEINRKRVKVVKPATKTSFPTTELRGSYAPPFHVELFRNDQHRLKVVVDSENEADLMVHSRHIRDVIVLVIRGLAQRFNSTSLNSLLKIDT >Vigun07g085600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12899672:12900939:1 gene:Vigun07g085600.v1.2 transcript:Vigun07g085600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVWVSNLIRSWPLLMYAVSWVTVMTFTVAVASLSTEVAFVSAISSSHTCKSNDGGSFVRVRVPLEETPCFPVHLLTKSSIDAIVPPIFAALIVAASACVVKAVGLWEHDQTTHSAFPT >Vigun07g085600.1.v1.2 pep primary_assembly:ASM411807v1:7:12899672:12900939:1 gene:Vigun07g085600.v1.2 transcript:Vigun07g085600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFCCKKRLHHRYLKVASSMAMLVWVSNLIRSWPLLMYAVSWVTVMTFTVAVASLSTEVAFVSAISSSHTCKSNDGGSFVRVRVPLEETPCFPVHLLTKSSIDAIVPPIFAALIVAASACVVKAVGLWEHDQTTHSAFPT >Vigun07g177000.1.v1.2 pep primary_assembly:ASM411807v1:7:29225238:29226363:1 gene:Vigun07g177000.v1.2 transcript:Vigun07g177000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLQQDYVVSEEIGRGRFGTVYRCSSADSGESYAVKSIDKVAITAGGDSLDTRCLFTEAKIVQLLSPHPHIVTLHSLYEDEKHLHMVLDLCYEPTFHLQAMPEREAASMMWQLMKAVSHCHRFGVAHRDIKPDNILLDKENRLKLADFGSADTFKEGDLMSGVVGTPHYVAPEVLAGSGYTEKVDVWSCGVVLYQMLAGFLPFRGDSPVEVFEAVLHSSLRFPTRVFSSVSHSAKDLLRRMLCREVSRRFSAEQVLRHGWFSIIQQSD >Vigun03g241900.3.v1.2 pep primary_assembly:ASM411807v1:3:40437714:40440906:-1 gene:Vigun03g241900.v1.2 transcript:Vigun03g241900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFKLFCSSMYPYTLCSSYDHGSKAELSRPLPFSGGQIPKWPELNVFSFEELKSATSNFRSDSLVGEGGFGRIYKGWLDENTLTPAKPGSGVAVAIKMLNPGSLQGFHEWQSEVNILGRLSHPNLVRLLGYCWDENQFLLVYEFMPKGSLDRHLYKRDHRTEPLSWNTRLKIAIGAARGLVFLHANENNVIFRDFKTSNILLDGNYNAKISDFGLAKVWPPEKQLHVTTTVVGTPGYLDPEYFLTGLVDGKSDVYGFGVVLLQIFTGMTAIWPTGESLVLWAKPYLSSTEKLKSIIDGGMEGQYSENEALEAAQLILKCIDDDPKQRPSMRQVLEGLEVIETIHENFI >Vigun03g241900.2.v1.2 pep primary_assembly:ASM411807v1:3:40437617:40439868:-1 gene:Vigun03g241900.v1.2 transcript:Vigun03g241900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDHGSKAELSRPLPFSGGQIPKWPELNVFSFEELKSATSNFRSDSLVGEGGFGRIYKGWLDENTLTPAKPGSGVAVAIKMLNPGSLQGFHEWQSEVNILGRLSHPNLVRLLGYCWDENQFLLVYEFMPKGSLDRHLYKRDHRTEPLSWNTRLKIAIGAARGLVFLHANENNVIFRDFKTSNILLDGNYNAKISDFGLAKVWPPEKQLHVTTTVVGTPGYLDPEYFLTGLVDGKSDVYGFGVVLLQIFTGMTAIWPTGESLVLWAKPYLSSTEKLKSIIDGGMEGQYSENEALEAAQLILKCIDDDPKQRPSMRQVLEGLEVIETIHENFI >Vigun03g241900.1.v1.2 pep primary_assembly:ASM411807v1:3:40437617:40439868:-1 gene:Vigun03g241900.v1.2 transcript:Vigun03g241900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSGSSYDHGSKAELSRPLPFSGGQIPKWPELNVFSFEELKSATSNFRSDSLVGEGGFGRIYKGWLDENTLTPAKPGSGVAVAIKMLNPGSLQGFHEWQSEVNILGRLSHPNLVRLLGYCWDENQFLLVYEFMPKGSLDRHLYKRDHRTEPLSWNTRLKIAIGAARGLVFLHANENNVIFRDFKTSNILLDGNYNAKISDFGLAKVWPPEKQLHVTTTVVGTPGYLDPEYFLTGLVDGKSDVYGFGVVLLQIFTGMTAIWPTGESLVLWAKPYLSSTEKLKSIIDGGMEGQYSENEALEAAQLILKCIDDDPKQRPSMRQVLEGLEVIETIHENFI >Vigun03g241900.4.v1.2 pep primary_assembly:ASM411807v1:3:40437633:40439868:-1 gene:Vigun03g241900.v1.2 transcript:Vigun03g241900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPGSLQGFHEWQSEVNILGRLSHPNLVRLLGYCWDENQFLLVYEFMPKGSLDRHLYKRDHRTEPLSWNTRLKIAIGAARGLVFLHANENNVIFRDFKTSNILLDGNYNAKISDFGLAKVWPPEKQLHVTTTVVGTPGYLDPEYFLTGLVDGKSDVYGFGVVLLQIFTGMTAIWPTGESLVLWAKPYLSSTEKLKSIIDGGMEGQYSENEALEAAQLILKCIDDDPKQRPSMRQVLEGLEVIETIHENFI >Vigun05g256400.1.v1.2 pep primary_assembly:ASM411807v1:5:45069898:45070821:1 gene:Vigun05g256400.v1.2 transcript:Vigun05g256400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGKIKKGAGGRKGGGPKKKPVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKASKEPKSPSKATKSPKKA >Vigun08g048400.1.v1.2 pep primary_assembly:ASM411807v1:8:5382513:5393267:-1 gene:Vigun08g048400.v1.2 transcript:Vigun08g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVALGGTLTAHDRQILTAVNAGASSLSLAGSSFIVLCYLLFKELRKFSFKLVFYLALSDMLCSFFSIIGDPFKGLFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVMTVMRSIGNNDHRHLGAWCWTQTGRTGKAVHFVTFYMPLWGAILYNGFTYFQVIRMLNNATRMAVGMSSQTFVSDTRDNMRALNRWGYYPLILIGSWAFGTINRIHDFFEPNHKIFWLTFLDVGTAALMGLFNSIAYGLNSSVRRAICERLDKFWPERLSRWLPNNLKYKNLQQESELVLLKTEEDQ >Vigun03g167100.1.v1.2 pep primary_assembly:ASM411807v1:3:19374379:19375648:-1 gene:Vigun03g167100.v1.2 transcript:Vigun03g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVAALVWVFVLGVGVSEEGDLASQCNVLVQKVLPCLSFATGQAAVPTKECCSATLEIKNSDPKCLCFAIQQTHKGIPEAKSMGIQEGRLLQLPSACNLKNASTTNCPKLLGLSPNSPDAAIFRNGTLRINSTSTLGTTRPVSDTHKASFGTMLPPPLAPPHLAALLCAILLLLTTLI >Vigun03g067700.1.v1.2 pep primary_assembly:ASM411807v1:3:5588673:5594348:-1 gene:Vigun03g067700.v1.2 transcript:Vigun03g067700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDSAIEQLLNVEKQMRLAGDVAGTRKAVTDILQLCFDARAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDVETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEDQGHIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDREDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEAPADIPSLLELKQIYYELMIRYYSHNNDYLEICRCYKSIYEIPSVKEDPAKWTPILRKICWYLVLAPHDPMQSSLLNSTLEDKNLSEIPNFKLLLKQLITMEVIQWTTLWDTYKNDFENEKASGESLGEKAAEDLKQRIIEHNILVVSKYYARITLKRLAELLCLSVQEAEKHLSDMVVSKALVAKIDRPMGIVCFQSAKDSNDILNSWAANLDKLLDLVEKSCHQIHKETMVHKAALKV >Vigun11g113400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31620489:31622448:-1 gene:Vigun11g113400.v1.2 transcript:Vigun11g113400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKNQNRGDGSKSLADTLARWKEYNAWVESSNEAEKPVRKVPAKGSKKGCMKGKGGPENTLCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAISAALAYDEAAKAMYGSVARLNFPNLEVSALSQESKRNSQADSQCGSALMISESSECMIFPNSSEGDTAKDDDKEGLSLSLSVKHEVV >Vigun11g113400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31620455:31622448:-1 gene:Vigun11g113400.v1.2 transcript:Vigun11g113400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKNQNRGDGSKSLADTLARWKEYNAWVESSNEAEKPVRKVPAKGSKKGCMKGKGGPENTLCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAISAALAYDEAAKAMYGSVARLNFPNLEVSALSQESKRNSQADSQCGSALMISESSECMIFPNSSEGDTAKDDDKEGLSLSLSVKHEVV >Vigun01g044200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:6345068:6347025:1 gene:Vigun01g044200.v1.2 transcript:Vigun01g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHLFFPSPHTTPSVPPPHFPPPTRGHAVDANHHRQCRRREPNPPESLLFLASSFAREAPSSHLRSQLRPAATLPATTIGTREHYGSSTSGLRDPDRHRRALTLSFFFLRETDAQALLPLFSGSTRAALATPRVAAICARFPFKAPNRRRPRRRRWFLLLCSSLCYDWM >Vigun02g129700.1.v1.2 pep primary_assembly:ASM411807v1:2:28113421:28115850:1 gene:Vigun02g129700.v1.2 transcript:Vigun02g129700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQDEHDPMLMVLPSLSPPNTYQAVVIGGTFDRLHDGHRLFLTAAAEVAKGRIVIGVCDGPMLAKKQFAELIQPVEERINNVKNFIKSIKPDLEVQAVPITDPFGPSIVDENLEVVIVSKETLPGGLAINRKRAERGLSLLKIEVVDLLSGESGEIKLSSTMLRKIEAEKAKQQNTTSQT >Vigun05g026300.1.v1.2 pep primary_assembly:ASM411807v1:5:2129553:2141654:-1 gene:Vigun05g026300.v1.2 transcript:Vigun05g026300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSISLAKGLDSLPCPLLSQPSLSVISSNMWRHAARQACRGVSVNFHSPRLLSFSRASLYSPRTNILSNLPQRYCVRCDRFQFPLRAKGFSGVAEAVSSTDVDEDGTSVDEIQQLLLQEMDKEEQVQFMEEEAAGEIQQLLLQEMDKEERSGLLEKEASPADEIQQQLLQDMDKEEKSELEDEEAAPIGGIRELLQGMKKEKQKEVVGHRWQNQVKGVGQSRYQELRRRQVKIETEVWEEAVREYRELLADMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQEMYNSGRNRTAYAPYFVQLPADKMAVIAMHKLMGLLMTGTENATVGTARVVQAACGIGDAIENEVRIYKFLEKTKKKKGDRSKKNEAVESVGDIKEEQKLRKKVIDLMKKQKLAAVRGLVKDKDNTKAWGTVIKTKVGSRLIELLLQTAYIQPSSDQLLDDAPDIRPAFIHSFITVTKESIKSSRRYGIIQCDPLILKGLDRTAKNMVIPYMPMLVPPVNWTGYDKGGHLFLPSYVMRTHGVRQQREAIKRAPRKQLEPVFEALDTLGHTKWRINKKVLSVVDRIWASGGRLADLVDRDDVPLPDEPDTDDEAKIKKWRWKVKSVQKENRERYSQRCDTELKLTVARKMKDEEGFYYPHNVDFRGRAYPMHPHLNHLGSDLCRGILEFADGRPLGKSGLRWLKIHLANLYAGGVDKLSHEGRIAFTENHFEDIFDSADKPLEGRRWWLKAEDPLQCLAVCITLTEALKSPSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAVAVNLVAGEKPADVYSGIAARVSNIMHRDAQKDPTIFPDALHAKALVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERNAISDDTELFGASCYAAKVTLTALEEMFQGARGIMNWLGDCAKIIASENQPVRWSTPLGLPVVQPYRKLGTHIIKTSLQMLTLQRETDKEFVIPVGCKTSHQAKLVLNEMPMVMVRRQRTAFPPNFVHSLDGSHMMMTAVACKQEGLDFAGVHDSYWTHACDVDKLNRLLREKFVELYETPVLENLLESFQSSFPSLSFPPLPERGDFDLREVLESPYFFN >Vigun05g026300.2.v1.2 pep primary_assembly:ASM411807v1:5:2129553:2141654:-1 gene:Vigun05g026300.v1.2 transcript:Vigun05g026300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSISLAKGLDSLPCPLLSQPSLSVISSNMWRHAARQACRGVSVNFHSPRLLSFSRASLYSPRTNILSNLPQRYCVRCDRFQFPLRAKGFSGVAEAVSSTDVDEDGTSVDEIQQLLLQEMDKEEQVQFMEEEAAGEIQQLLLQEMDKEERSGLLEKEASPADEIQQQLLQDMDKEEKSELEDEEAAPIGGIRELLQGMKKEKQKEVVGHRWQNQVKGVGQSRYQELRRRQVKIETEVWEEAVREYRELLADMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQEMYNSGRNRTAYAPYFVQLPADKMAVIAMHKLMGLLMTGTENATVGTARVVQAACGIGDAIENEVRIYKFLEKTKKKKGDRSKKNEAVESVGDIKEEQKLRKKVIDLMKKQKLAAVRGLVKDKDNTKAWGTVIKTKVGSRLIELLLQTAYIQPSSDQLLDDAPDIRPAFIHSFITVTKESIKSSRRYGIIQCDPLILKGLDRTAKNMVIPYMPMLVPPVNWTGYDKGGHLFLPSYVMRTHGVRQQREAIKRAPRKQLEPVFEALDTLGHTKWRINKKVLSVVDRIWASGGRLADLVDRDDVPLPDEPDTDDEAKIKKWRWKVKSVQKENRERYSQRCDTELKLTVARKMKDEEGFYYPHNVDFRGRAYPMHPHLNHLGSDLCRGILEFADGRPLGKSGLRWLKIHLANLYAGGVDKLSHEGRIAFTENHFEDIFDSADKPLEGRRWWLKAEDPLQCLAVCITLTEALKSPSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAVAVNLVAGEKPADVYSGIAARVSNIMHRDAQKDPTIFPDALHAKALVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERNAISDDTELFGASCYAAKVTLTALEEMFQGARGIMNWLGDCAKIIASENQPVRWSTPLGLPVVQPYRKLGTHIIKTSLQMLTLQRETDKVMVRRQRTAFPPNFVHSLDGSHMMMTAVACKQEGLDFAGVHDSYWTHACDVDKLNRLLREKFVELYETPVLENLLESFQSSFPSLSFPPLPERGDFDLREVLESPYFFN >Vigun05g026300.3.v1.2 pep primary_assembly:ASM411807v1:5:2131709:2141654:-1 gene:Vigun05g026300.v1.2 transcript:Vigun05g026300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSISLAKGLDSLPCPLLSQPSLSVISSNMWRHAARQACRGVSVNFHSPRLLSFSRASLYSPRTNILSNLPQRYCVRCDRFQFPLRAKGFSGVAEAVSSTDVDEDGTSVDEIQQLLLQEMDKEEQVQFMEEEAAGEIQQLLLQEMDKEERSGLLEKEASPADEIQQQLLQDMDKEEKSELEDEEAAPIGGIRELLQGMKKEKQKEVVGHRWQNQVKGVGQSRYQELRRRQVKIETEVWEEAVREYRELLADMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQEMYNSGRNRTAYAPYFVQLPADKMAVIAMHKLMGLLMTGTENATVGTARVVQAACGIGDAIENEVRIYKFLEKTKKKKGDRSKKNEAVESVGDIKEEQKLRKKVIDLMKKQKLAAVRGLVKDKDNTKAWGTVIKTKVGSRLIELLLQTAYIQPSSDQLLDDAPDIRPAFIHSFITVTKESIKSSRRYGIIQCDPLILKGLDRTAKNMVIPYMPMLVPPVNWTGYDKGGHLFLPSYVMRTHGVRQQREAIKRAPRKQLEPVFEALDTLGHTKWRINKKVLSVVDRIWASGGRLADLVDRDDVPLPDEPDTDDEAKIKKWRWKVKSVQKENRERYSQRCDTELKLTVARKMKDEEGFYYPHNVDFRGRAYPMHPHLNHLGSDLCRGILEFADGRPLGKSGLRWLKIHLANLYAGGVDKLSHEGRIAFTENHFEDIFDSADKPLEGRRWWLKAEDPLQCLAVCITLTEALKSPSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAVAVNLVAGEKPADVYSGIAARVSNIMHRDAQKDPTIFPDALHAKALVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERNAISDDTELFGASCYAAKVTLTALEEMFQGARGIMNWLGDCAKIIASENQPVRWSTPLGLPVVQPYRKLGTHIIKTSLQMLTLQRETDKISCWCD >Vigun03g213000.1.v1.2 pep primary_assembly:ASM411807v1:3:35309797:35316802:-1 gene:Vigun03g213000.v1.2 transcript:Vigun03g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQNEGTKWEGYVNWRNKPALRSRHGGMLAASFVFVAEILENLAFVANANNLVLYMIKCMHMSPSNSANNVTNFIGTACLLTLLGGFCSDAFFSTYQTFLISAVFELLGLILLTVQAHERSLMPAACEAGTPCHEVSGGKAAMLFAGLYLVALGVGGIKGSLPAHGAEQFDESTPSGRKQRSTFFNYFVFCLSFGGLLASTFVVWLADNKGWEWGFGISTLSIFVSIPVFLAGSPTYRNKIPSGSPLATFFKVLVAATLNGCFSRNSDTAVVITTSSPCNLPPRNENKESEALTNTLKFLNRAVESNPKYSSMQCTVEQVEDVKIVLKALPIFFCTIMLNCCLAQLNTFSVEQAATMNTKLGSFKVPPASLSVFPVLFIMVLAPVYDLIIAPFARKLTKTEMGISHLQRIGFGLVLSIISMAVAAVVEVKRKRVAINSGLVDDATKPLPISFIWVALQYLFLGSADLFTFAGLLEFFFTETSIRMRSLATSLAFASLAVGYYLSSAIVSIVNSVTGAISHKPWLSGANLNHYHLDRFYWLLCVLCVLNFFHYLFWAFRYKYRGIGTATE >Vigun03g027900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:2104404:2106256:1 gene:Vigun03g027900.v1.2 transcript:Vigun03g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGETHRANPTVHVPPWPLIHESSPEIFSQYTANADYSPYSLQEALSALQHYDSTDAESDSDVPSLESDVPVDAYSCDHFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGSACPDFRKGSCKKGDACEYAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQLRVLPQQSPRSADSYDGSPLRHAIESSKAAAPFVSSPGSVSPPVESPPMSPMTRSGSLSVNEMVASLRNLQLGKIKSLPSSWNVIGSPGFGSPRGPMIRPGFFSLPSTPTQAPVRGGVNYFDLWDQSCEEEPVMERVESGRNIRARMFEKLSKENVLDGSGSGEPAVPDVEWVSELVSR >Vigun05g148600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21368292:21369977:1 gene:Vigun05g148600.v1.2 transcript:Vigun05g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVHIAVVSSPGFSHLAPIIEFTKRLVKLHPNFHVTCIVPSLGSPPQSSIAYLKTLPSTIDSVFLPPISKEQFPQGLYMGLQIQLTVTLSLPSIHEALRSLSSKVRLTALVADVFAFQSLEIAKELHALSYFYCPGSAMALSLVLQLPKLDEEVSGEFKDLVEPIKLPGCVPLWGVDLPAPTQNRSSEAYKSFLERAKAMIIADGMIMNTFLDLESGTIRALEESVNGKIKLYPVGPITQKGSSNEDDECLSLRWLDKQPPCSVLYVSFGSGGTLSQHQIDELALGLELSGQRFLWVLRAPSNLPSAAYLETAEEDPLQFLPKGFLERTKEKGLVVASWAPQVQILGHSSVGGFLSHCGWNSTLESVQEGVPLITWPLFAEQRMNAVMLAEGLKVALRPRFNEDGIVEKEEIAKVIKCLMEEEIGKGMRERMRTLKDVAAHALQDGSSKQTLSRLTSEWENLSGN >Vigun03g037500.1.v1.2 pep primary_assembly:ASM411807v1:3:2866951:2872160:-1 gene:Vigun03g037500.v1.2 transcript:Vigun03g037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPKADIHNRHDEDADAGAAFVLQSKGQWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFLGLTTMGVVTFYSYFLMSKVLDYCEKSGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGVGAILLAGECLEIMYSNVSVHGSLKLYHFIAMVTVVMIVLSQLPSFHSLRHINFCSLLFALGYTLLVVVACIHAGTSKNAPPRDYSLEPKKSARAFSAFTSLSILAAIFGNGILPEIQATLAPPATGKMVKGLIMCYTAIFVTFYAAAVSGYWVFGNKSSSNILKSLLPDSGPSLAPTWVLALAIIFVLLQLFAIGLVYSQVAYEIMEKKSADVRQGMFSKRNLIPRIILRTIYMICCGFLAAMLPFFGDINGVVGAIGFIPLDFILPMLLYNMTYKPPKSSLTYWINLSIIVVFTGVGIMGAFSSIRKLVLDANQFKLFSSDVVD >Vigun01g166001.1.v1.2 pep primary_assembly:ASM411807v1:1:34779799:34782163:-1 gene:Vigun01g166001.v1.2 transcript:Vigun01g166001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDELDRSWKQLGVDITSKVALHSELSTGEFTGCLLHYGASHVCGVDVGYGLVGRGIVKDPSETLKLFYEGIGELQV >Vigun03g267550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43806965:43807264:1 gene:Vigun03g267550.v1.2 transcript:Vigun03g267550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCVVWKEEDSMIIRSPEPEVKILADRDSIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTNDLEEISRKVFSAHFGQLSIIFL >Vigun11g027300.2.v1.2 pep primary_assembly:ASM411807v1:11:3562481:3568684:-1 gene:Vigun11g027300.v1.2 transcript:Vigun11g027300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPSSSCSSSSEASIAEQEKKRPNYEKKNNLKSQKCKQNQTTCRRSSVYRGVTRHRWTGRFEAHLWDKSSWNNIQSKKGKQVYLGAYDTEEAAARTYDLAALKYWGKEAVLNFPIENYAKDLEEMDKVSKEEYLASLRRQSSGFSRGISKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAVAYDLAAIEYRGVNAVTNFDINNYIDKEKKDQTQETVVQTVQTETAVPNSSYLEEAEVEQQQLNTTTPPPIENLHMPPLQQYNQVPHTPFVYPREESSSVVNIMDHVLEQDLPWSFMYTGVSKFQDPDFAFSKAEDLAAMFCDDSEFEEDIDSLFSTKPNRETDEGGGNMVHGDNKQKEILSFESSSPSSTTTSLSCNYA >Vigun11g027300.1.v1.2 pep primary_assembly:ASM411807v1:11:3562453:3568684:-1 gene:Vigun11g027300.v1.2 transcript:Vigun11g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPSSSCSSSSEASIAEQEKKRPNYEKKNNLKSQKCKQNQTTCRRSSVYRGVTRHRWTGRFEAHLWDKSSWNNIQSKKGKQVYLGAYDTEEAAARTYDLAALKYWGKEAVLNFPIENYAKDLEEMDKVSKEEYLASLRRQSSGFSRGISKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAVAYDLAAIEYRGVNAVTNFDINNYIDKEKKDQTQETVVQTVQTETAVPNSSYLEEAEVEQQQLNTTTPPPIENLHMPPLQQYNQVPHTPFVYPREESSSVVNIMDHVLEQDLPWSFMYTGVSKFQDPDFAFSKAEDLAAMFCDDSEFEEDIDSLFSTKPNRETDEGGGNMVHGDNKQKEILSFESSSPSSTTTSLSCNYA >Vigun11g027300.3.v1.2 pep primary_assembly:ASM411807v1:11:3562569:3568613:-1 gene:Vigun11g027300.v1.2 transcript:Vigun11g027300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPSSSCSSSSEASIAEQEKKRPNYEKKNNLKSQKCKQNQTTCRRSSVYRGVTRHRWTGRFEAHLWDKSSWNNIQSKKGKQGAYDTEEAAARTYDLAALKYWGKEAVLNFPIENYAKDLEEMDKVSKEEYLASLRRQSSGFSRGISKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAVAYDLAAIEYRGVNAVTNFDINNYIDKEKKDQTQETVVQTVQTETAVPNSSYLEEAEVEQQQLNTTTPPPIENLHMPPLQQYNQVPHTPFVYPREESSSVVNIMDHVLEQDLPWSFMYTGVSKFQDPDFAFSKAEDLAAMFCDDSEFEEDIDSLFSTKPNRETDEGGGNMVHGDNKQKEILSFESSSPSSTTTSLSCNYA >Vigun10g118000.1.v1.2 pep primary_assembly:ASM411807v1:10:32424575:32430072:1 gene:Vigun10g118000.v1.2 transcript:Vigun10g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRVRPEDASLDKRRRGIGAEKMERQGGAAAPGRHRTPFSVVTNRSDLNTTSDVSEAVDFTKEEVEALLNEKKKGNTYDSKKKMEQMTDLIKRLKACVRWYKRIEEGYVQEKEKLQSELEASEKKCSDTVTEMKNKIDELMEALSDSRKTISCLEERIVKEESDKLEAINSYGKEKEARTEAEKVRDEKSAELEKVRDEKLVAEKKAISNEDLYKRSQEYNMSLQQYNSRLQFDLETVNEALKRLETEKATIVESLSNLRGHNKALQDQLASLKVSQDETIKKKEILVNELKCLREELKQLRDDRDSQLEQVHALTGEIARHKEYTGKTCTQLDTLMIKTNALEETCSSQKDQIHILQQQLFSEREKLKNTDLSASETRTMFEEQKRIIQGLQERLADKEFQVVEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSEDTVVSFPTSTEALGRGIELLQNGQKYNFTFDKVFNHEASQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPDEPDLKGLIPRSLEQIFQTSQSLKDQGWKYTMQASILEIYCDTIRDLLLTNRSSDHARTENSASTKQYTISHDANGNTHVSDLTIKDVCSADEISSLLRQAAQSRSVGRTQMNEESSRSHFVFTLRISGKNENTEQQVHGVLNLIDLAGSERLSRSGATGDRLKEAQAINKSLSSLSDVILALGGKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDQSSTGESLCSLRFAAKVNACEIGIPRRQTHTSSSRSSESRLSYG >Vigun04g128500.2.v1.2 pep primary_assembly:ASM411807v1:4:32337493:32340489:-1 gene:Vigun04g128500.v1.2 transcript:Vigun04g128500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTVAYQRGSGEFIRFTADHGGGDDGGDGYRHDGDGGGGSGGSPGLSFNQGMQQGSDHRSTEWMQQSGYPMMSGFGHAPSSLSRFSSSPSSGPSGVGQKRGREEQETDNISSSHNLMQQQTTPRLFRTTLGHFVLPSQGDSSSVTEETLTSTITTVSAVTATTTAAVTAVTETSGGGGERRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTEEAAARAYDEAALRFRGNRAKLNFPENVRAVPPLQPFQPATRLTVSDSSTTQFRPLTAAVAAPSFIQPPQMQTSSDLIRDYLQYSQLLQSDFQQQHHHQHQQQQQPQPQPSSLIQQLYYNAQLASLQSPSLLQSSPSFSSSVSPTPFPLFSTSPQFSSASFPMFSTQQMSYFQPLESFPPAGGRPEIPPSTWSDTGGQPPPSG >Vigun04g128500.1.v1.2 pep primary_assembly:ASM411807v1:4:32337493:32340489:-1 gene:Vigun04g128500.v1.2 transcript:Vigun04g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTVAYQRGSGEFIRFTADHGGGDDGGDGYRHDGDGGGGSGGSPGLSFNQGMQQGEVTMQGGSLVSGYNRGDPEFRQMVSALTHVVSSGSDHRSTEWMQQSGYPMMSGFGHAPSSLSRFSSSPSSGPSGVGQKRGREEQETDNISSSHNLMQQQTTPRLFRTTLGHFVLPSQGDSSSVTEETLTSTITTVSAVTATTTAAVTAVTETSGGGGERRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTEEAAARAYDEAALRFRGNRAKLNFPENVRAVPPLQPFQPATRLTVSDSSTTQFRPLTAAVAAPSFIQPPQMQTSSDLIRDYLQYSQLLQSDFQQQHHHQHQQQQQPQPQPSSLIQQLYYNAQLASLQSPSLLQSSPSFSSSVSPTPFPLFSTSPQFSSASFPMFSTQQMSYFQPLESFPPAGGRPEIPPSTWSDTGGQPPPSG >Vigun09g138800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30055879:30056840:-1 gene:Vigun09g138800.v1.2 transcript:Vigun09g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSCISSSHHRTSHRPCCHCTSHHRREATPSTPVTIGSVADEKQTPLSRFSSLLLLPACETTSMTIAADAAGSVCDHCLERRSRSVYDRRSVCDHRRERRCRRCSTIPFREKERCATTLRLVTTVSATVIGSATITRPHSTVQTSNLPATIFSLLCFCFD >Vigun11g038700.1.v1.2 pep primary_assembly:ASM411807v1:11:5391175:5394637:1 gene:Vigun11g038700.v1.2 transcript:Vigun11g038700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKLVTFQFILVTFFFSLLRFSSSEVSGVSLSNGTVMFGHEAVEDKNIEASALLKWKASLDNQSQVSLSSWSTFTSPCKWKGIVCDESNSISTINLQNLGLRGTLLNLNFSSFPKLLRFHVSYNNLGGPIPRQLGNMSKLSELRMDHNYFSDSIPQEIGALHNLEYLFLRTNQLSGSIPLTIGMLTNLVTLDLSSNHLSGTIPSLRNLTNLQELILFNNLLSGPIPEDLGGLHHLTTIKLLKNHISGPIPSSIGDLANLMKLQLTRNQLQGSIPSSLGNLTKLVELSISENKLSGSIPASIGNLVNLEKLNLAQNKLSGPIPSTFRNVTKLTVLLLYVNKLTGNFSAATSNLTNLVNLQLSSNHFTGPLPQYICLGGSLSNFSANENRFTGPIPSSLKNCSSLKRLSLAENMLTGNINDFGMYPNLDYIDLSRNAFNGHLSSNWVKCHNLTSLVISYNRLFGGIPPELGQAPKLQRLKLSSNSLTGNIPKELGNLTQLFELSISNNNLSGNIPIEIGSLKQLRIFDLSTNVLSGPIPKHLGRLLKLNHLNLSHNKLNESIPSEFSQLQHLRELDLSWNLLNGKIPATLRKLKMLENLNLSHNNLSGNISSSFKDMLSLTNVDISNNQLEGPIPNNRAFLKAPFQALQNNKNLCGYASGLMPCPQLLSHNPHRKKTKMLLFLTLGALFVVGVSLYIHRQKARKIKKQEREEKNGDLFSILHYDGKIVHETIIEATNNFDERYLIGKGGFGGVYKAILPSGQIVAVKKLQVEVDSEIVDFKAFTSEVRTLTEIKHRNIVKLHGFCEHPRYCFLVYEFVEGGSLDKVLNNDTHASMFDWNKRVNVVKGVVNALYHMHHGCSPPIVHRDISSKNVLIDLEYEARLSDFGTAKILNPNSRNLTSFAGTYGYAAPELAYTMEVNEKCDVFSFGVLCLEIIIGNHPGDLISLMHSPSSTSVTANLLLKDVMDQRLPFPVMPVVKEVVIIAKVAFACLNERPLSRPTMEDVYNKFVMPKSPLTRDTLNTIVLGQLQNY >Vigun07g076500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:10215836:10217747:1 gene:Vigun07g076500.v1.2 transcript:Vigun07g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATMNFVGYFMIWLSVTSRIAKPQLWQMCLYFYIGANSQSFANTGALVNCVQSFPRSRGSVIGLLKGYVGLSGAIFTQLYHAFYGDNSKTLIFLIGWLPAAVSFIFLPTVRVLSITPQPREIKVFYQLLYISLGVAGFLMVLIVIQNRLSFTRVEYIVDGIMVLLLLLLPLVIVFKEEFRLWKNQNQNLNQNQNQNQNFTDHADVVASVIELPEAEEAHGAASSHLEGKTNSCLKNVFKPPKRGEDYTIFQAIFSIDMLILFIASVFGVGGTLTALDNLGQIGNSLGYPKKSLTTFVSLVSIWNYLGRASSGFASEFLLAKYKFPRPLLLTLVMLLSCVGHILIAFGIPNSLYFSSVIIGFCFGAMWPLMFAIISEIFGLKYYSTLYNLGAVASPVGSYILNVKVTGFLYDREALKQLKMKGLTRQTGKDLTCLGVQCYKMAFIIITAFTLVGCFVSFILVLRTRKFYKGDIYQKFRVEPDTPQNLPTNIAQEADKINTK >Vigun01g088500.2.v1.2 pep primary_assembly:ASM411807v1:1:24689902:24693249:1 gene:Vigun01g088500.v1.2 transcript:Vigun01g088500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASSILFPCVRVNACATDTSKNVALNGSGNCYKYIKRKPLLSHHRSRSSSSVSPIPSLHNRDLSDLVEVFPSLVCEDNKDMEISHDINIKDDKPGDINEEQKIKVDLEVKNKSFEKEHTKEEKKHKDGDEKKEKDKKKKEKGKVDDEEKTEKKKEKKEKEKKKEKDEKIDVENDKDEKEGGEDGEKKEKKKKEKKDKEKEGNDDEEKKKKKEKEKKKEKNEGEDEEKIEKKKKKEKKGKDDEGEDEEKIEKKKKKEKKGKDDEGEDEEEDEKKKKKKDKKEKDKDHKKEKKEKDVEKEGEKEDDKVEVSARDIPIEEITEEGEKEDKEKDGGKEVKEKKKKGDKDKKEKEKKKLTGKDKVKDLSSLKQKLEKINAKIEPLLQKKADIERQIKEAEAEAHVVSDKNKEEVQEL >Vigun01g088500.1.v1.2 pep primary_assembly:ASM411807v1:1:24689902:24693249:1 gene:Vigun01g088500.v1.2 transcript:Vigun01g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASSILFPCVRVNACATDTSKNVALNGSGNCYKYIKRKPLLSHHRSRSSSSVSPIPSLHNRDLSDLVEVFPSLVCEDNKDMEISHDINIKDDKPGDINEEQKIKVDLEVKNKSFEKEHTKEEKKHKDGDEKKEKDKKKKEKGKVDDEEKTEKKKEKKEKEKKKEKDEKIDVENDKDEKEGGEDGEKKEKKKKEKKDKEKEGNDDEEKKKKKEKEKKKEKNEGEDEEKIEKKKKKEKKGKDDEGEEEEDEKKKKKDKKEKDKDHKKEEKGKDDEGEDEEKIEKKKKKEKKGKDDEGEDEEEDEKKKKKKDKKEKDKDHKKEKKEKDVEKEGEKEDDKVEVSARDIPIEEITEEGEKEDKEKDGGKEVKEKKKKGDKDKKEKEKKKLTGKDKVKDLSSLKQKLEKINAKIEPLLQKKADIERQIKEAEAEAHVVSDKNKEEVQEL >Vigun05g020300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1694075:1696738:-1 gene:Vigun05g020300.v1.2 transcript:Vigun05g020300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPETNPPPPDPPIPSAPEVEVETAPPAAATASQPSIEIAAPPALAPKRQRRPSVRLGEIGDQRAALHGHDSHTRRPSMPPWSWRTPKESSRTSKARSVTNLANGGEEFGSTNNRRGKAKRGGPTAKRLRSNWTPRATVDENGEEEGFRDFEHELEQDQSPVHSVEDNGVDYWHADRSEDPRVRVSDNDGVESESRERRKSDGVRSWLFELGLSRYAPMFEIHEVDDELLPMLTLEDLKDMGINAVGSRRKMYNAIQKLRKCFP >VigunL052500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000338.1:14574:15716:1 gene:VigunL052500.v1.2 transcript:VigunL052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVYIVGIDMLKFGRFQGATPGELGAQAALLAMDEAGVTIHDIQALYSGNQFDVVGMVAQDIQKQIGQTGIPAANVKNACATGATAFREAMYLIKAGAAEVTLAVGAEVMPRGLLGGGETAGLNPEGILGSASMPAVFAEAGQAYAHEHGATFEQFAKVSVKNHHHSTLNPKAMYQKETPLEEVMGAEMIAYPNTKLMCSVNVDGAAAAVLMSGDEVRRRGLMDQAVKIRASALASDPYTPRNLAMWDINAATRLAAEAAYEQAGVGPDEVDLVELHDCFATAELLHYENLKLCGVGEAGRMIDTGETALGGRVPVNVSGGLLSKGHPIAATGIANLYEISTHLRGKADRRQVEGARLGLAHVVGLGTVAAVHILEKAN >Vigun08g055800.1.v1.2 pep primary_assembly:ASM411807v1:8:6930928:6934662:-1 gene:Vigun08g055800.v1.2 transcript:Vigun08g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTALTTTLSRLQLQVPLPPDTTKRRAAEQTCLVLLRTCDTLATLTRIHAFILKLGLHHNPLVLTKFASTASNIDAVHYAASVTFPEDRNDEATPRRRAFHDAFLFNTFIRAFAQTTHAKPRALHFYRFMLRHAVSPNKFTFPFVLKACAGIESLALGMAVHSAVVKFGFEEDIHVRNAMIHMYCCCEEGSKPNSAQKVFDESTDRDLVTWSTMIGGYARAGNSTRAVSLFREMQVTGMCPDEITMVIVLSACADLGALELGKWLESYVERKSITKSEKLCNAMIDMFAKCGDVDRAVKIFREMETRTIVSWTSVIVGLAMHGRGLEAVSLFDEMVEQGVEPDDVAFVGVLYACSHSGLVDKGHYYFKTMENRFNIVPKIEHYGCMVDMLSRAGLVKEAVEFVGAMPVEPNQVIWRSIVTACNARGELRLGESVAKELIKSEPMHESNYVLLSNIYAKLMRWEKKRKVREMMGVKGMRKIPGSTMIEMNNEMYEFVAGDKSHDKYKEIYEMVDEMGKEIKRAGYVAATSQVLLDIDEEDKEDALYRHSEKLAIAFALLSTPPGTPIRIVKNLRVCEDCHSATKFISKVYAREIVVRDRNRFHHFKNGLCSCGDFW >Vigun08g161500.1.v1.2 pep primary_assembly:ASM411807v1:8:33403917:33406053:1 gene:Vigun08g161500.v1.2 transcript:Vigun08g161500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYEQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKREPPQRFIRRRDDAPRPGQPGQAPRPPVAGAPIRS >Vigun08g159600.1.v1.2 pep primary_assembly:ASM411807v1:8:33235991:33239410:1 gene:Vigun08g159600.v1.2 transcript:Vigun08g159600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTMAVILFMFILSVVSQNLVYMMPPTVALSLSSESDKLALLALKHELTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLENENWGGTLGPSLGNLSFLTTLILSNINLHGEIPTQIGRLKRLQVLDLSHNGLNGQIPVHLSNCTKLEMINLLYNKLTGKVPSWFGSGSMTRLNKLLLGVNDLVGTIPPSLGNLSSLQNIFLARNHLVGSIPHVLGRLSNLKIVNLALNSLSGVVPDSLYNLSNIQILALANNQLSGILPSKMQLGFPNLQAFLFGANQFSGTFPSSVSNITGLQAFDISYNGFSGPIPPTFGSLNKLQMLNLCYNSFGSGRARDLDFLLSLTNCTHLRILHLDGNGFGGAVPGLIGNFSTYLSILTMGLNQISGTIPEGIWQLIGLTDIDMGENYLQGTIPDSIGRLKNLVGLDLEENKLSGNIPTAIGNLTILSELSLHSNSFVGGIPLSLKYCTRLERFSVSTNNLNGDIPNQTFGNFEGLVKLDLSYNSFTGSIPSEFENLKHLSVLYLQVNKFSGEIPEELGACSGLTKLVLQSNFFRGSIPSFLGSLGSLEFLDLSNNNFSCTIPVELQKLSYLNTLNLSFNHLYGEVPTGGVFNNVTAISLIGNKDLCGGIPQLKLPACSKLPSNKHKWSFRKKPILIIAIVVGVGLITSTLFISIYLIRKSPKTQSTSWSPEKKYVKVSYGDLHKATDGFSSSNLVGSGSFGSVYSGYLLPFKTPVAVKVLNLEKCGASKSFVAECKVVGMIMHRNLVNILTCCSSIDYNGKDFKAIVFEFMPNGSLESLLYDNVEPDTRSFSVNLDLVVNIALDVANALDYLHHGSSQAVVHCDIKPSNVLLDDAIVAHLGDFGLARLLHVATGHSSRDEVSSSAIRGTIGYVPPEYGAGRGVSTKGDMYSYGIVVLEMVTGRKPTDAMFGEGLSLHKFCQMAIPEGMSEIADSRLVAATGEEGRRMMESKIRECLVGLARIGVGCSAELPSERMDIKDVVLEMHSIKQRLCH >Vigun07g149100.1.v1.2 pep primary_assembly:ASM411807v1:7:25988934:25993136:1 gene:Vigun07g149100.v1.2 transcript:Vigun07g149100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR2 description:phosphoribosylamine--glycine ligase [Source:NCBI gene (formerly Entrezgene);Acc:114192128] MSCATFNVAVSLNLHGCNKNAVSKSLGFRHHTTFHKFSSLFFETLNLSSTNRSFAQDAPSRSFHSVFKCAAQNSESSPSVGIDTENNSQRVTVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDISDGASVISFCQKRGVGLVVVGPEAPLVAGLTNELVKAGIPTFGPSAEAAALEGSKNFMKNLCDKYDIPTAKYKTFTDPSAAKQYIQEQGAPIVIKADGLAAGKGVTVAMTLEEAYKAVDSMLVQGDFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSIVMDSIIIPTVKGMSAEGSKFVGVLYAGLMIEKKSGMPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELNGVSLKWSPGSAMVVVMASKGYPGSYQKGTVIENLEEAEAVAPGIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEACDRAYLAVENVNWPGGFYRRDIGWRALPQKQYARKE >Vigun07g149100.3.v1.2 pep primary_assembly:ASM411807v1:7:25989223:25993037:1 gene:Vigun07g149100.v1.2 transcript:Vigun07g149100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR2 description:phosphoribosylamine--glycine ligase [Source:NCBI gene (formerly Entrezgene);Acc:114192128] MSCATFNVAVSLNLHGCNKNAVSKSLGFRHHTTFHKFSSLFFETLNLSSTNRSFAQDAPSRSFHSVFKCAAQNSESSPSVGIDTENNSQRVTVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDISDGASVISFCQKRGVGLVVVGPEAPLVAGLTNELVKAGIPTFGPSAEAAALEGSKNFMKNLCDKYDIPTAKYKTFTDPSAAKQYIQEQGAPIVIKADGLAAGKGVTVAMTLEEAYKAVDSMLVQGDFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSIVMDSIIIPTVKGMSAEGSKFVGVLYAGLMIEKKSGMPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELNGVSLKWSPGSAMVVVMASKGYPGSYQKGTVIENLEEAEAVAPGIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEACDRAYLAVENVNWPGGFYRRDIGWRALPQKQYARKE >Vigun07g149100.2.v1.2 pep primary_assembly:ASM411807v1:7:25989222:25993037:1 gene:Vigun07g149100.v1.2 transcript:Vigun07g149100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUR2 description:phosphoribosylamine--glycine ligase [Source:NCBI gene (formerly Entrezgene);Acc:114192128] MSCATFNVAVSLNLHGCNKNAVSKSLGFRHHTTFHKFSSLFFETLNLSSTNRSFAQDAPSRSFHSVFKCAAQNSESSPSVGIDTENNSQRVTVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDISDGASVISFCQKRGVGLVVVGPEAPLVAGLTNELVKAGIPTFGPSAEAAALEGSKNFMKNLCDKYDIPTAKYKTFTDPSAAKQYIQEQGAPIVIKADGLAAGKGVTVAMTLEEAYKAVDSMLVQGDFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSIVMDSIIIPTVKGMSAEGSKFVGVLYAGLMIEKKSGMPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELNGVSLKWSPGSAMVVVMASKGYPGSYQKGTVIENLEEAEAVAPGIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEACDRAYLAVENVNWPGGFYRRDIGWRALPQKQYARKE >Vigun03g435500.1.v1.2 pep primary_assembly:ASM411807v1:3:63948518:63954415:-1 gene:Vigun03g435500.v1.2 transcript:Vigun03g435500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWERILVVLLIVLNYVLVAKGETNDVDFSTLKSLTSTWKNTPPEWEGSDPCDDWEGIKCKNTRVTSITLASTGLGGQLSGDIGSLSELEILDLSYNKDMTGPLPQSIGDLRKLTTLILVSCSFSGPIPDSIGNMQELLFLSLNSNGFSGVIPNSIGNLSKLYWLDLADNQLEGSIPVSGDDPFGLDKLHHAKHFHLGKNNLSGTIPPGLFSPEMALIHLLLESNKLEGKIPATLGLVQSLEVVRLDSNSLDGPVPSNINNLTNVRDLYLSNNKLSGSLPDLTGMNALNYLDMSNNSFKPLDFPEWFSTLKSLVTLEMERTQLQGQVPSSLFELVNLQTVVLKDNKINGTLDVGSSNSSRLRLIDLETNSIDNIKQNEVSGVRIILKDNPVCQETNERGTYCSSSQSNFSYSTQPNNCEPDACSSEQTSSPNCKCAYPYTGTLAFRSPSFSDLDNITHYSMLEDSLMRSFKSHFVPVDSVLLSHPSIDSTGYLKLSLQVFPSGLDYFNRTGTSTIGFMLSNQTFKPPQPDFGPFFFLADGYEHFGNHEGLSESGKHSNIGIIIGAAVGGLVLLVLLLLAGLYAFRQKKRAEKAIGQSNPFKRWDTVDSKSDVPQLKEARMFSFEDLKKYTKSFSQVNDIGSGGFGKVYKGTLPDGNVVAIKRAQKESMQGKLEFKAEIELLSRVHHKNLVSLLGFCFDQGEQMLVYEYLKNGSLKDALSGKSGIKLDWIRRLKIALGTARGLAYLHELVNPPIIHRDIKSNNILLDNRLNAKVSDFGLSKSMVDAEKDHVTTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVLMLELISARKPLERGKYIVKEIRNAVDKTKGLYGLHEFIDPAMGVSSTTLIGFDKFVNLTLKCVEESGEERPKMSDVVREIENILKSAGANPTEESPSISSSYEEVSRGSSSHPYNSNDTFDNVSAGSPYTKVDPN >Vigun05g146200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:20147905:20148687:1 gene:Vigun05g146200.v1.2 transcript:Vigun05g146200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFALFLLSALTFYPPSTTAQPVKDAYGNSVKNGGLYYIFPRFWGGGGGGIKRAITGDETSPLSVVQTPFETDPGNPWRIQSLVAALFVPEGRVYISYDVQQPGVRSNYWTAVEGEAERTVVKLGYPNSHPGFFTIHKTSSADTYKFQFCSNDDATSCSNVGIVKDDAGNRLLATNQEKPFEFILAPVSSVASK >Vigun05g172800.2.v1.2 pep primary_assembly:ASM411807v1:5:31442755:31445934:1 gene:Vigun05g172800.v1.2 transcript:Vigun05g172800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWAITMSLIVILVLIWVWKILNWLWFKPKRLEKLLREEGFQGNPYKLFVGDSKEFLQMRNEALSKPMNLSDDIVPRVSSYIHHSVNTHGKKSFIWFGSTPRVTILEPEQIKDVLNKMSDFPKPKSNPLVKLLATGLIDHEGEKWSKHRRLINPAFNLEKLKTMLPLFLESCNDLVSKWEGMLSSDGSCEIDAWPFLQNLASDVIARSAFGSSFEEGRRIFQLQREQAKLALQLALKIQIPGWRFLPTKSHRRMKEIDRHIKASLKDMIYRREKALKAGQETTSVLLVWTMVLLSRYPNWQQRAREEVFQIFGNEKPGFDGLNRLKIVTMILNEVLRLYPPALELARVVKRDMKLGNITLPAGVDVFLPTVLVHHDNEFWGEDANQFNPERFSEGVLKATNGRVSFFPFGWGPRICIGQNFSLLEAKMALSMILQHFSFELSPAYAHAPTMSITLQPQYGAHIILHKVKI >Vigun05g172800.1.v1.2 pep primary_assembly:ASM411807v1:5:31442755:31445934:1 gene:Vigun05g172800.v1.2 transcript:Vigun05g172800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWAITMSLIVILVLIWVWKILNWLWFKPKRLEKLLREEGFQGNPYKLFVGDSKEFLQMRNEALSKPMNLSDDIVPRVSSYIHHSVNTHGKKSFIWFGSTPRVTILEPEQIKDVLNKMSDFPKPKSNPLVKLLATGLIDHEGEKWSKHRRLINPAFNLEKLKTMLPLFLESCNDLVSKWEGMLSSDGSCEIDAWPFLQNLASDVIARSAFGSSFEEGRRIFQLQREQAKLALQLALKIQIPGWRFLPTKSHRRMKEIDRHIKASLKDMIYRREKALKAGEASNNDLLGILLESNQKEIQEHGNGDNKNVGMSLEDVIQECKLFYFAGQETTSVLLVWTMVLLSRYPNWQQRAREEVFQIFGNEKPGFDGLNRLKIVTMILNEVLRLYPPALELARVVKRDMKLGNITLPAGVDVFLPTVLVHHDNEFWGEDANQFNPERFSEGVLKATNGRVSFFPFGWGPRICIGQNFSLLEAKMALSMILQHFSFELSPAYAHAPTMSITLQPQYGAHIILHKVKI >Vigun03g032100.1.v1.2 pep primary_assembly:ASM411807v1:3:2436872:2443608:-1 gene:Vigun03g032100.v1.2 transcript:Vigun03g032100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGEGLISVNNFIGRQHWEFDPNAGTPEERAEVERVREEFRKNRFCNKQSGDLLLRMQLRKEHTCGSIPERVKVRDRENVTEEGLISTMRRGISFYSSIQAHDGHWPAESAGPLFFVQPLVMALYITGSLNAVLGPEHQKEIIRYLYNHQNKDGGWGSHIEGHSTMFGSALSYIALRILGEGREDGEDRAMARGRKWILDHGGLEAIPSWGKFWVTVLGVHEWSGCNPLPPEIWLLPKYTPIHPGKMLCYCRLVYMPMSYLYGKKFVGPITKLIISLREEMYNQPYDQIDWNKCRSRVAKEDLYYPHPLIQDVLWASLHHVAEPLLNRWPFSMLREKALEVAIDHIRYEDENSRYLGIGNVEKVLCLIARWVENPNSEAYKLHLARIPDYFWLAEDGLKIQSFGSQLWDAALAIQAILSCDLSEEYGPTLQKAHHFVKASQVRENPSGDFEAMHRHISKGAWTFSMQDQGWQVSDCTAEGLKAALLLSQMPVDLVGEKMEDDRFYDAVNVILSLQSNNGGFPAWEPQRAYRWLEKFNPTEIFEDTLIEMEYVECTSSALQALALFRKLYPKHRRKEIDYCISKAIHYIEKAQNPDGSWFGCWGICYTYGTWFSVKGLTECGKNYRNSDALRKACDFLLSKQLPNGGWGESYLSSQNKVYTNLEDNRANLVQSSWALMSLIDAGQVEIDPTPVERGIRLLINSQMEDGDFPQQEITGVFMRNCTLNYSSYRNIFPIWVLGEYRRRVFTQTNQML >Vigun08g199000.2.v1.2 pep primary_assembly:ASM411807v1:8:36419457:36423150:1 gene:Vigun08g199000.v1.2 transcript:Vigun08g199000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQKDYDVFLSLGGKDVRYTFTGNLFNALRSKRIKTFFREHEDDPELHSDDTNLSPSALKAIQESKISIVVFSEEYASSSRCLDELVAILECRMMTNQLVWPIFYGVHPSEVRFQKGRYDQAMRRFEKSYCKDRMQKWREALVEVSNLSGWFYQKGYKYEYKFIRKIVEAAVQVLPRYDVFLSFCGEDTRYTLTGFLYNALRREGFKIFMDDEELEGGNQISQTLMEAIESSRVSIVVFSENYGYSTWCLDELAKITECMNTKNQMVWPIFYNVQKLDVCNQTKSYGEAMTAHENRFGKDSEKVQKWRSALSQITNLEGEHLSENEFQHESIERIVERLINIEENRTRDTPSQVTSMLLCGRPESEPSRMLAS >Vigun08g199000.1.v1.2 pep primary_assembly:ASM411807v1:8:36419457:36421542:1 gene:Vigun08g199000.v1.2 transcript:Vigun08g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQKDYDVFLSLGGKDVRYTFTGNLFNALRSKRIKTFFREHEDDPELHSDDTNLSPSALKAIQESKISIVVFSEEYASSSRCLDELVAILECRMMTNQLVWPIFYGVHPSEVRFQKGRYDQAMRRFEKSYCKDRMQKWREALVEVSNLSGWFYQKGYKYEYKFIRKIVEAAVQVLPRYDVFLSFCGEDTRYTLTGFLYNALRREGFKIFMDDEELEGGNQISQTLMEAIESSRVSIVVFSENYGYSTWCLDELAKITECMNTKNQMVWPIFYNVQKLDVCNQTKSYGEAMTAHENRFGKDSEKVQKWRSALSQITNLEGEHLSENEFQHESIERIVERLINIEGGKHISSPFLVPMISTENNE >Vigun11g151400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36175888:36177993:1 gene:Vigun11g151400.v1.2 transcript:Vigun11g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNLLFFVTYLCISMSMRMAMPFNAVLLVVALTFLAISSSTSTRILDEVEETPQQPNTAESPVSSILTPLVAAIPVAAPINGVDQHHTLSFFMHDILGGSNPSARAVTGVVTNPALNAQVAFAKPNGANLPLNGGLPQNNNNGGILNNNNLPFLTGLGGTTANVFNNNGNNFGNGGIGFPVTNTNQLPEGMTLQKIMFGTMIVFDDELTEGHELGSGLVGKAQGFYIASSVDGTSQTMAFTAKFEESGYVDSLSFFGVHRTQVSESHIAIIGGTGKYLNAEGYAIIKTFPVSAQQHNTDGVETLLQLTAYLAY >Vigun09g273100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43420360:43421121:1 gene:Vigun09g273100.v1.2 transcript:Vigun09g273100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKVFFDMTIGGQPAGRIVMELFADTTPRTAENFRALCTGEKGVGRSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTVKTEWLDGKHVVFGEVVEGLDVVKDIEKVGSSSGKTAKPVVVADCGQLS >Vigun03g119900.1.v1.2 pep primary_assembly:ASM411807v1:3:11153027:11155744:-1 gene:Vigun03g119900.v1.2 transcript:Vigun03g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPWKKMYAFNEDRLDKPRRFGVTEIPFPKFSPFSHNPLQDIFESRGDYVDGLNLSRGSYSKMPKATIKQVIDLAHQHGVYVSTSVWNEHPISITPSDFKEYVEECKQLGFDTIELNAGTLGIPEETFLRFVRLVKSAGLKARPHFQVRFNESDIPKGGHRAYGAYIPLKPRSSEFVEDVDLLIRKAERCLKEDADVIMIDADGVSQHADKMRGDIVAKIIGRLGLEKTMFEASNQRISEWFIRQYSPKVNLFIDHSHVLDVECIRGRNSGSNHAFVFGPSSFSVLR >Vigun06g097400.1.v1.2 pep primary_assembly:ASM411807v1:6:22859072:22860773:1 gene:Vigun06g097400.v1.2 transcript:Vigun06g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSKIESLPLDCISEILSRASPLEACLCSLLSSTLRSCADSDMVWRSFLPSDHEVIVSSAVNPFSLNFSSYKLLFYSLCQPLLIHHGNKIFRLEKCSGKKSFIISARELAIAWSSHPMMWCWKQLPESRFAEVVELRTVSWLEIEGKMRTQNLTPNTTYAAYLIMKVCDGAFGVEFVPSKVSVTIGKKVQRGRAYLGCKDEKKCKMESLFYGNRREVMGKAAFGEEDEVISIPWKREDGWMEIELGEFFIDEADEEITMNLREVSYQLKGGIIIEGIEIRPKHAP >Vigun02g133300.1.v1.2 pep primary_assembly:ASM411807v1:2:28388920:28390840:-1 gene:Vigun02g133300.v1.2 transcript:Vigun02g133300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGEKEQFNKHAFACVLVASMISIIFGYDTGVMSGAMIFIKEEFGTSDTRQEVLAGILNLCALVGSLAAGRACDYFGRRYTISLASFLFMTGSILMGYGPNYAILMLGRCVAGIGVGFALMIAPVYSAEMSSAKSRGFLASLPELGIGIGILLGYVANYFLGKLSLKLGWRLMLGIAAVPSLVLALGILLMPESPRWLVVQGHLGKAKKVLLKVSNTEQEAEIRFKDIKIAAGVTDENCAEETVKAPQKNNGEGVWKELLVRPSSSVRWMLIAAVGVHFFQHATGIEAVMLYSPRIFKKAGVTTKDKLLLTTIGVGVTKIIFSTIATFFLDRVGRRRLLLSSTGGMVCSLAVLGFSLTMVHISQEKLLWALSLSIVATYSFVASFNMGLGPVTWVYSSEIFPLKLRAQGVSIGVAVNRVMNAAIAMSFISIYKTLTIGGAFFMFAGISILALFFFYFFLPETKGKALEEMEMVFSKNYTRNVAAETDLSQNV >Vigun08g016300.2.v1.2 pep primary_assembly:ASM411807v1:8:1376750:1379470:1 gene:Vigun08g016300.v1.2 transcript:Vigun08g016300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLAILLQFLPFFLVFNHASSESFIGVNYGQVADNLPPPSATAKLLQSTAIGKVRLYGTDPAIIKALANTGIGIVIGAANGDIPGLASDPNFAKTWVNTNVVPYYPASNIILVTVGNEVITSNDAGLVNQMLPAIQNVQGALEAASLGGKIKVSTVHSMAVLRDSEPPSAGRFHPEYDTVLQGLLSFNNATGSPFAINPYPYFAYRSDPGRADNLAFCLFQPNAGRIDSNTNIKYMNMFDAQVDAVRSALDSLGFKNVEIVVAETGWPYKGDSNEAGPSLENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGAASERAFGLFNPDQTMIYDAGLTKQQQTSSPVPVVAPTPDVSKPPVIPAPTDPGQTQKSPVIPAPVGPGPTPNSAEFLSGHEATILQSLIMFTVLILMLF >Vigun08g016300.1.v1.2 pep primary_assembly:ASM411807v1:8:1376750:1379470:1 gene:Vigun08g016300.v1.2 transcript:Vigun08g016300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLAILLQFLPFFLVFNHASSESFIGVNYGQVADNLPPPSATAKLLQSTAIGKVRLYGTDPAIIKALANTGIGIVIGAANGDIPGLASDPNFAKTWVNTNVVPYYPASNIILVTVGNEVITSNDAGLVNQMLPAIQNVQGALEAASLGGKIKVSTVHSMAVLRDSEPPSAGRFHPEYDTVLQGLLSFNNATGSPFAINPYPYFAYRSDPGRADNLAFCLFQPNAGRIDSNTNIKYMNMFDAQVDAVRSALDSLGFKNVEIVVAETGWPYKGDSNEAGPSLENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGAASERAFGLFNPDQTMIYDAGLTKQQQTSSPVPVVAPTTPDVSKSPMTPKPTTVPSPTKTNSSATWCVPKAGVSEEQLQANLDYACGQGIDCAAIQQGGACFEPNTLLNHAAYAMNLLYQTAGRNPLSCDFSQTAMLSTNNPSYKSCLYAGGNA >Vigun07g044000.1.v1.2 pep primary_assembly:ASM411807v1:7:4456922:4459660:1 gene:Vigun07g044000.v1.2 transcript:Vigun07g044000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVCYHQPNFFTLHFLFPIKPFHLLFSMLNKLILPMSAQLSLEPWTTLAGKVVMVTGASSGLGRDFTLDLARAGCRVVLAARRVDRLQSLCDEINRMPSSSDLAEGDRSLRAVSVELDVAADGAVIDRQVQKAWDAFGHLDALINNAGVRGTVKPALELSEEEWKQTLRTNLTGTWLVSKCVCKRMRDAQRKGSIINIASVSGLNRGQVPGGSAYSSSKAGVNMLTKVMALELGADKIRVNSISPGIFRSEITEKLMAKDWLNKVAMKTIPLRSFGTSDPALTSLARYLIHDSSEYVSGNNFLVDAGASLAGLPIFSSL >Vigun08g128800.1.v1.2 pep primary_assembly:ASM411807v1:8:29885388:29893842:1 gene:Vigun08g128800.v1.2 transcript:Vigun08g128800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSAPKTEKASEDGENDKLRFGLSSMQGWRASMEDAHAAIPYLDESTSYFGVYDGHGGKAVSKFCAKYLHQQVLKSESYQAGDISTSLQKSFLRMDEMMRGQRGWRELAVLGDKIEKLSGMLEGFIWSPRSSEANESIDDWAFEEGPHSDFTGPNSGSTACVAVIRGKKLVVGNAGDSRCVLSRKGQAHNLSKDHKPDLEAEKDRILKAGGFIQVGRVNGSLNLARAIGDMEFKQNKYLPAEKQIVTADPDVTSVELCDDDEFLVLACDGIWDCMSSQQLVDFIHQQLKTENKLSAVCEKVFDRCLAPTAGGEGCDNMTMILIQFKKPSSADVSATNQPQSSAQPPETDKSSEKKVEKKVESK >Vigun08g128800.2.v1.2 pep primary_assembly:ASM411807v1:8:29885388:29893842:1 gene:Vigun08g128800.v1.2 transcript:Vigun08g128800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSAPKTEKASEDGENDKLRFGLSSMQGWRASMEDAHAAIPYLDESTSYFGVYDGHGGKAVSKFCAKYLHQQVLKSESYQAGDISTSLQKSFLRMDEMMRGQRGWRELAVLGDKIEKLSGMLEGFIWSPRSSEANESIDDWAFEEGPHSDFTGPNSGSTACVAVIRGKKLVVGNAGDSRCVLSRKGQAHNLSKDHKPDLEAEKDRILKAGGFIQVGRVNGSLNLARAIGDMEFKQNKYLPAEKQIVTADPDVTSVELCDDDEFLVLACDGIWDCMSSQQLVDFIHQQLKTENKLSAVCEKVFDRCLAPTAGGEGCDNMTMILIQFKKPSSADVSATNQPQSSAQPPETDKSSEKKVEKKVESK >Vigun08g051000.1.v1.2 pep primary_assembly:ASM411807v1:8:5886464:5890088:1 gene:Vigun08g051000.v1.2 transcript:Vigun08g051000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNLFFTILITFSVALITYNIIISANAPLKQDFPGPSRPSISVDPLIKMPLHRSSSQKKRLFHTAVTASDSVYNTWQCRVMYFWYNKFKDGPQSGMGGFTRILHSGKPDQFMDEIPTFVAQPLPAGMDQGYIVLNRPWAFVQWLEKADIKEDYILMAEPDHIIVKPIPNLATDGLGAAFPFFYIEPKKYEKVLRKYFPVEKGPITDIDPIGNSPVIVGKEFLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVASALHGVRNILHKDFMIQPPWDKEIGKTYIIHYTYGCDYTMKGELTYGKIGEWRFDKRSFDKVAPPKNLTLPPPGVPESVVTLVKMVNEATASIPNWWS >Vigun03g391600.2.v1.2 pep primary_assembly:ASM411807v1:3:59770063:59775794:1 gene:Vigun03g391600.v1.2 transcript:Vigun03g391600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKPMCFGMDMNLLLERYDLVKFIKVVQQAGLYLHLRIGPFVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAAMQKFTEKIVSMMKADKLFQTQGGPIIMSQIENEYGPVEWEIGAPGKAYAQWAAQMAVGLGTGVPWIMCKQEDAPDPVINTCNGYYCENFTPNKVYKPKMWTENWTGWYTEFGGPVPRRPAEDMAFSVARFVQNGGSFVNYYMFHGGTNFDRTTGGPFIATSYDYDGLLDEYGLLNEPKWGHLRDLHKAIKLCEPALVSVDPTVTWPGKNLEVHVFKTSATCAAFLANYDTKSSSTITFGNGKYDLPPWSVSILPDCKTEVFNTARVGAQSSLQKMTVVNTAFDWQSYNEEPASSSEDDPLTAYALWEQINITRDSTDYLWYMTDVNIDANEGFIKRGQSPVLTVMSAGHALHVFINGQLSGTVYGGLEFPKLTFSDGVKLRVGNNKISLLSIAVGLPNVGTHFETWNAGVLGPVTLNGLNEGTRDLSKQKWSYKIGLKGEALNLNTVSGSSSVEWVEGSLLAKKQPLTWYKTTFSTPEGNDPLALDMISMGKGQVWINGRSIGRHWPGYIARGGCGECSYTGTYTEKKCQTNCGEPSQRWYHVPRSWLNPSGNYLVVFEEWGGDPSGISLVKRTTASVCSDIYEGQPTLKNRQMLDSGKINRPKAHLWCPPGQKISKIKFASYGLPQGSCGNYREGRCHAHRSYDAPEKNCIGKQSCSVTVAAEVFGGDPCPGTAKKLSLEALCS >Vigun03g391600.3.v1.2 pep primary_assembly:ASM411807v1:3:59771645:59775794:1 gene:Vigun03g391600.v1.2 transcript:Vigun03g391600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTENWTGWYTEFGGPVPRRPAEDMAFSVARFVQNGGSFVNYYMFHGGTNFDRTTGGPFIATSYDYDGLLDEYGLLNEPKWGHLRDLHKAIKLCEPALVSVDPTVTWPGKNLEVHVFKTSATCAAFLANYDTKSSSTITFGNGKYDLPPWSVSILPDCKTEVFNTARVGAQSSLQKMTVVNTAFDWQSYNEEPASSSEDDPLTAYALWEQINITRDSTDYLWYMTDVNIDANEGFIKRGQSPVLTVMSAGHALHVFINGQLSGTVYGGLEFPKLTFSDGVKLRVGNNKISLLSIAVGLPNVGTHFETWNAGVLGPVTLNGLNEGTRDLSKQKWSYKIGLKGEALNLNTVSGSSSVEWVEGSLLAKKQPLTWYKTTFSTPEGNDPLALDMISMGKGQVWINGRSIGRHWPGYIARGGCGECSYTGTYTEKKCQTNCGEPSQRWYHVPRSWLNPSGNYLVVFEEWGGDPSGISLVKRTTASVCSDIYEGQPTLKNRQMLDSGKINRPKAHLWCPPGQKISKIKFASYGLPQGSCGNYREGRCHAHRSYDAPEKNCIGKQSCSVTVAAEVFGGDPCPGTAKKLSLEALCS >Vigun03g391600.1.v1.2 pep primary_assembly:ASM411807v1:3:59770063:59775794:1 gene:Vigun03g391600.v1.2 transcript:Vigun03g391600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPRGIFYALLLFLWVCAVTASVTYDHKAILVNGKRRILISGSIHYPRSTPEMWPDLIQKAKDGGIDVIQTYVFWNGHEPSPGKYYFEDRYDLVKFIKVVQQAGLYLHLRIGPFVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAAMQKFTEKIVSMMKADKLFQTQGGPIIMSQIENEYGPVEWEIGAPGKAYAQWAAQMAVGLGTGVPWIMCKQEDAPDPVINTCNGYYCENFTPNKVYKPKMWTENWTGWYTEFGGPVPRRPAEDMAFSVARFVQNGGSFVNYYMFHGGTNFDRTTGGPFIATSYDYDGLLDEYGLLNEPKWGHLRDLHKAIKLCEPALVSVDPTVTWPGKNLEVHVFKTSATCAAFLANYDTKSSSTITFGNGKYDLPPWSVSILPDCKTEVFNTARVGAQSSLQKMTVVNTAFDWQSYNEEPASSSEDDPLTAYALWEQINITRDSTDYLWYMTDVNIDANEGFIKRGQSPVLTVMSAGHALHVFINGQLSGTVYGGLEFPKLTFSDGVKLRVGNNKISLLSIAVGLPNVGTHFETWNAGVLGPVTLNGLNEGTRDLSKQKWSYKIGLKGEALNLNTVSGSSSVEWVEGSLLAKKQPLTWYKTTFSTPEGNDPLALDMISMGKGQVWINGRSIGRHWPGYIARGGCGECSYTGTYTEKKCQTNCGEPSQRWYHVPRSWLNPSGNYLVVFEEWGGDPSGISLVKRTTASVCSDIYEGQPTLKNRQMLDSGKINRPKAHLWCPPGQKISKIKFASYGLPQGSCGNYREGRCHAHRSYDAPEKNCIGKQSCSVTVAAEVFGGDPCPGTAKKLSLEALCS >Vigun07g179300.3.v1.2 pep primary_assembly:ASM411807v1:7:29586735:29589145:1 gene:Vigun07g179300.v1.2 transcript:Vigun07g179300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWIVKMGNQVSANLKQALLLEPSARKKHNPKRSLSHPEIMKLRNEISNSQGIQNLVSSEEGYLLELARAEKLEELNRVASVVSRLGRKCSEPAVQGFEHVYGDIVNGVIDVKELGFLVKRMEGMVRKMDRYVSATRSLYSEMGVLNDLEQAVKKFQHNQHEENRRAFEQKLTWQRQDVRHLKEISLWNQSFDKVVELLARTVCTIYARICIIFGDSTWRKSTTSLGLSGDSPPPMRSECKLMSGQIGAPLSSEKLKSNHGKRNNGHGYHLGSTARTTVGTRVTAWKPQTDIRRGELPYLQLEDFGFPCGTSPGKLFMDCLSLSSSISKFDDDNDDYVVDSEEQHKISSYHSIGVENKVKKREQLYHSGDLNHVHSSVPITGDLSLSSFGPHSRLTLYAPPSTLGGCGLAMHYANVITVIEKFLKYPHLVGEEARNDLYQMLPSSLRLSLKGKLKSYVKNLGIYDAPLAHDWKLTLDGILKWLAPLAHNMIRWQSERNFEQHQIVSRTYVLLFQTLYFADKDKTEEAMCQLLMGLNYICRYEQQQNALLGCASSFDFEDCMDWQLQCGAFPS >Vigun07g179300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29586710:29589145:1 gene:Vigun07g179300.v1.2 transcript:Vigun07g179300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNEISNSQGIQNLVSSEEGYLLELARAEKLEELNRVASVVSRLGRKCSEPAVQGFEHVYGDIVNGVIDVKELGFLVKRMEGMVRKMDRYVSATRSLYSEMGVLNDLEQAVKKFQHNQHEENRRAFEQKLTWQRQDVRHLKEISLWNQSFDKVVELLARTVCTIYARICIIFGDSTWRKSTTSLGLSGDSPPPMRSECKLMSGQIGAPLSSEKLKSNHGKRNNGHGYHLGSTARTTVGTRVTAWKPQTDIRRGELPYLQLEDFGFPCGTSPGKLFMDCLSLSSSISKFDDDNDDYVVDSEEQHKISSYHSIGVENKVKKREQLYHSGDLNHVHSSVPITGDLSLSSFGPHSRLTLYAPPSTLGGCGLAMHYANVITVIEKFLKYPHLVGEEARNDLYQMLPSSLRLSLKGKLKSYVKNLGIYDAPLAHDWKLTLDGILKWLAPLAHNMIRWQSERNFEQHQIVSRTYVLLFQTLYFADKDKTEEAMCQLLMGLNYICRYEQQQNALLGCASSFDFEDCMDWQLQCGAFPS >Vigun07g179300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:29586708:29589145:1 gene:Vigun07g179300.v1.2 transcript:Vigun07g179300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWIVKMGNQVSANLKQALLLEPSARKKHNPKRQDSSSKELIGILSFEVANVMSKTIHLHRSLSHPEIMKLRNEISNSQGIQNLVSSEEGYLLELARAEKLEELNRVASVVSRLGRKCSEPAVQGFEHVYGDIVNGVIDVKELGFLVKRMEGMVRKMDRYVSATRSLYSEMGVLNDLEQAVKKFQHNQHEENRRAFEQKLTWQRQDVRHLKEISLWNQSFDKVVELLARTVCTIYARICIIFGDSTWRKSTTSLGLSGDSPPPMRSECKLMSGQIGAPLSSEKLKSNHGKRNNGHGYHLGSTARTTVGTRVTAWKPQTDIRRGELPYLQLEDFGFPCGTSPGKLFMDCLSLSSSISKFDDDNDDYVVDSEEQHKISSYHSIGVENKVKKREQLYHSGDLNHVHSSVPITGDLSLSSFGPHSRLTLYAPPSTLGGCGLAMHYANVITVIEKFLKYPHLVGEEARNDLYQMLPSSLRLSLKGKLKSYVKNLGIYDAPLAHDWKLTLDGILKWLAPLAHNMIRWQSERNFEQHQIVSRTYVLLFQTLYFADKDKTEEAMCQLLMGLNYICRYEQQQNALLGCASSFDFEDCMDWQLQCGAFPS >Vigun10g135850.1.v1.2 pep primary_assembly:ASM411807v1:10:34777521:34808566:-1 gene:Vigun10g135850.v1.2 transcript:Vigun10g135850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLSKPLDPIINFVWGKGVKHVTYIFSYTKNFEELNKRVKRLGEEKQRLDGKRDKAKRKGDIVEDRVEEWFGEVGEFESRVEKYRNNAGHKKTRGLYYLFPYHRHKLGRQAKKMEMEALRLKDECPKDDQVSHADKVTSFDRPNPGYIEFDSRKFIVEDFMTKLKDPNIKIIGLHGAQGMGKSTLIKEIVKKAEDEGLLVAEIDVTENPNPLKIQEDIAHVLGLPLAGESENVRADYLRRWLKVENVSILIILDNLHERLDLNRLGIPVDDDYGLRKKNELSIMSSKQGPDRTQDTAGNTRGTDEKVPRKKNESSTKPSDDKQGSSGLKQDPDHTQYTAGDTRGIDEKVLKKGNFVGDYQGCKVLLSSRDKKVFPRDVGPTFNLKEIDENEALQLFEKVTGGGDKMSMPKEEIQNYCTGLPITIVTFAEAFKNWIKSESKPTLDKFKKQGLVEWQKSSETPNKKKYDLPKNKELKFIYLLCAQMGHLPLVNDLVKYCFGLGILEGVSSLSTARGKINESLQELKNLSLVSYENPNIHFHMSHMVRDDALSNALMDHNVFVLRDGKLDYWPDLEKCISISICNSYITDGFPQVINCPQLQFLQIETNDPSLEIPQRFFSSMKNLLVLILTGFHLSSLPYSIKDLLNLRMLCLERCTLDCNLSILRKFKKLRILSFSGSQLKNLPVELRYLDKLRMLDISDCFKLKIIPLDLFSNLTCLEELYIRKSLIKMLVEKGENKGHNSFLSELKNLHQLKVVDLSIPCVSILPNHLFFDRLKGYKIEIGDVEMFSVGEFRMPNKYEELKVLALQVKDDTDIQSHQGIKLLFKTSQSLFLGNVCVQNVVNELNIDGFQNLKHLSIINNNDVEYFNSTGLSYCENIFSNLESLWLCNMMNLKMICRGPITLESFAKLKTIKVEMCCQLENLFSFYAIKISTSTGTSEIFKCNSNMKKFLASLEMIEVCECVSLKEILQIPPDCVKDCECESLKEILQIPQDYGKVEFLKLRTLTLQSLPSFTCFYTKVERSCWPHSIEAQTTNRGHTEISTEQAGHNDNAPPLFGEPVEVPNLENLNLSSLNIRKIWSDQHLSSFYFQNLIKLVVKDCDKLTHLCSLPMASSLKKLKSLVISGCLKMKTIFEIEGVSANKVCVFPKLEEIHLSKMKRLTDMWQTEVGIDSFSSLISVRIEECDELDKIFPSHMEGWFESLINLKVSNCKSVKVIFEVSDSEEIDVSSGIDTNLQVILLDELPKLKELWSKDPYGILNFKKLRTIDVSKCDELRNLFPASMVNDVSKLERMSVLHCERMVEIVSSKDVLEVDNDPLEFPELTFVRLYELPNMKQFYKGRHPIKCPKLKELSMGKCMKLKRFETSDEKFVFSAEVVFPKLEYMEIDFLEAQNWLSNYKMLKLKELIILNSVHRPDLLYPFLYKMPNLEKLKLTSSYSGSLQSTNIGQHDRLRVVLQLKQLFICSSKLKDIGFERDQVLERLELLKLKDCDNLCNLGPSSVSLSYLTCLKLKRCNGLKNLMASSTAKSMVQLKTMKVIDCGQVEQIVSNDGSEEGKGIKIVFSKLISIELVGLMNMTSFCGYKNCEFEFPLLEILIVRTCPKMEKFSERGLIAPKLKDVYGVEGDKKAQWQWEGDLNDTIQEIFHDKVSFAYTEDLELDEFIMEQLWQASGWVHQNSFGYLKRLTVEGCDSVVHVIPSHLLSCFHNLEELDVRDCEAAEVIFNMNDENRVMITKASPIFRLKRLYLYDLPNLEHVWEKDPERIMGLQALEEMSVIYCERLKSLFPASLATRDLTTLEVINCKELREIFGKDEKVGEGTTQHSAFPPLTTLKLYQLPSLEYSIHCSKQQESTSNLSEGDIQEICLGSRSIPNSYFCLLESLTLDGCEFLTDVLLPFNLLPFLTNLETLEVRYCDSVEIIFDVKCTTQDREVASMRPTLPFSLKKLTLSNLPNLKNVWNGDPLVILSMHHLQEVCVKECEGLTSVFPASKEKYLLKLENLVVRYCGGLMTIFGEDNIDPRTKLELTCPFVRSLELEGLPDFKYFYYNSLYTDIFTDLESHTENKVGSEKLLKCLSLGKNGVNMILQGEFQPNLLDNIKALTLCLGSDLFGYGILERFPNIEKLVVRDGSFKEIFCSESPNNVLHQLKVLQLESLGELVSIGLDNFWTDSFVRNLETFEVISCESLENLVACTVCFSNLICLKVEGCHSLSYLLTSSTAKSLGQLKRMEIKWCRSIEEIVCKEESDEDEIIFPKLSCLNLEWIGELGRFYRGSLSFPSLEELSVTYCEKMITFCVGTVKADKLSQVQLDKFSEAIQLEIDLNSTSDSMQKSLVACKKGVSFSNLTCLKVEDCDSLSYLLTSSTAKSLGQLKRMEIKRCRSIEEIVCKEESDEDEIIFPKLSCLNLEWLGELGRFYRGSLSFPSLEELSVTYCKKMITFCVGSVDAGKLCQVKFGSEEVIPLETDLNSIMWEKYLRKTLGESWLDLDRSKPELGEIWRGSLSIPNFCFRELVTLKKLVLSNLPELEAVWNEDPPHGILCMQHLKKVHVTKCKRLTSVFPASVAKDLELEELVIEECERLVAIVAEDNTDSSLELTLPCPYVKSLKLRGLQKFNYFYYCSLNTHLESPTQDQLPREKCLLLGENGMKMILRGELERNLLDSLKALTLCFGSDAFGYEILEEVPNIEKLAVCGGSFEKMFCCESGNNVLQQLKVLQLESLEELVSISWSDSFIRNLESFEVIKCGSLKSLIACKKGVSFSNLICLKVEGCCSLSYLFTSSTAKRLDQLKRMEIKDCESIEEIVSKEESDGNEIIFPQLNCLKLECLENLNSFYKGSLSFPSLEELTVSSCSEMITLCPGTVKADKLSQVKLNNFSEAIQLEIDLNSTIRKTFLKERASLQWELEFRDRADLQEIWCLALQIPDFCFRNLETLIVNKCNISSDAVLPFTLLPLLPTLETLEVRNCDSVKTIFDVKCAQGTSTFPLKKLVLWKLPDLETVWNEDTHETVTEPNPAHPEGTNLKLTFPDVTSLTLCDLPNFNHNTISCIHDATPTFELIIPNLEDLTVGKNELKMIVDGEFQTNLLHNLKVLGLCFDNECDEFPEYGFLQQLPNVKKLMVWSSSFKLIFCHQRPNNSELLLQLKELRLESLGELVSIGLENSWTELFVRNLETFEVITCSTLENLVTRTVSFSNLTCLKVKNCDNLSYLFTSSTAKTLGRLQRMKIKQCKSIEEIVCGKESNENDIIFLQLSCLKLHNLLNLRRFYRGNLSFPSLEELSVTDCDDMVTLCPSTLKADKLTQVRIEYGKVISLDTDLNSTTRKEFGRKISELEKLDLQSRPKLPEIWHDPLYIPDLCFSELVTLTVENCQFLSDAVLPFHLLPLLPKLETLKVGNCDYVKTIFDIKRTTKDTLVTLPLALKELTLSNLSNLENIWSEDPHGILIMHHLKEVHVKECKGLTSVFPASVAKDLVKLEDLVVEECEGLKAIVAEESKEDEIIFPQLMYLKVQSCNSLPYLLTSSTAKSLSELKSMKIKECKSIEEIISKEGEESDEKVEIKFEQLRDLYLEKLDELRCFYDGNFTLSFPSLEEVHVIKCSSMKTFSAFNKIDNPWYYSEYARPRKETDLNSALHRTSEEEVQVMIN >VigunL060114.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:253177:253485:-1 gene:VigunL060114.v1.2 transcript:VigunL060114.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun09g094150.1.v1.2 pep primary_assembly:ASM411807v1:9:13761559:13762858:1 gene:Vigun09g094150.v1.2 transcript:Vigun09g094150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNMAKQQRGLASRRNLERLKLELSSIKQGVQSYELVQGNNGTWGFLRYGIGEEYEQTEADDNKVFNYTVEETVVDMKFPARGSKAECFSNVSAPNSIGIEVELQRAHQEETRMNFFSLNLGLDETEKKIQHDDD >Vigun10g054900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9312964:9316720:1 gene:Vigun10g054900.v1.2 transcript:Vigun10g054900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHTLLCFFLLPFLCINHNANVFPANAYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWHGVTCKEGRVVALDLSEESISGRLLNSTVLFGLQYLQSLNLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLHGITISAKGQEWFHALSSLHNLCVLSMSSCNMSGPIHASLAKLLSLTVLNLSNNSMSSSVPDSLTNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQHLMGSLPNFQPRGSLRDLNLSETSFTGKLPGAISNLKLLSTIDISYCQFNGTLPSSMSELTQLVYLDLSSNNFSGPLPSFNMSKNLTYLSLSHNSLTGVLPSIHFEGLKNLANIDLGFNFFIGNLPLSLLKLPYLRELKLPFNQLTGILDESVITSPTLEMLDLDSNHLEGPIPLSIFNLRTLEVIQLNSNKFNGTLQLHMIRRLSNLTTLGLSYNNLSVDIYSRYDRDSSPFPALRNIMLASCKLRGIPAFVKSQSTLLHLDLADNEIQGTIPYWIWQLKYLVVLNLSKNFLTKLEGNVWNFSSNLLHFDLSSNQLQGPFPFLPKFVNILDYSNNRFNSVIPADIGNRLPFVKVLSLSNNSFHGQIPESFLNASHLLLLDLSHNNFVGTIPRCFAKLSSTLRVLNFGGNKLQGYIPDTLPTSCSLQLLDLNNNLLDGTIPTSLAYCQKLQVLNLGRNFLTDKFPCFLSKISTLRIMDLRLNNLHGSIGCPKNRGDWEMLHMVDVAFNNFSGAIPGALLNRWKAMMRDNDNVGPEFGHLFIELVDNYDPKNFKELMSLLDKTIVAKLAKLVANRTRSILDQESSEPYKVDLAQYQNSILITNKGQEIKLDKIQRAFTYVDMSSNNFEGSIPIELMQFKAMMALNLSNNAFSGHIPSSIENLKNLESLDLSNNSLSGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNSGMPTPETPQSHSKSSTDRSLLSAELGFIFGFGVFILPLLFWKRWNFWYSKQMDEIFHKIIPQLDFAYEHQRGQTRKTLRRRY >Vigun09g001700.1.v1.2 pep primary_assembly:ASM411807v1:9:148218:149427:-1 gene:Vigun09g001700.v1.2 transcript:Vigun09g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVSPIQIPIHRYGSFLICPNKKFMSKPTYVPLKRATSSSSSSSIVRITASMKNKVYEDRSEGIVCYQDERGEIICEGYDEGPCFQRIPELTNNHPREAQITNLLLRQSWLQIMKGEKNSNDGGVEEGRVRKDFNCNGFNSLC >Vigun08g208800.1.v1.2 pep primary_assembly:ASM411807v1:8:37174751:37178435:-1 gene:Vigun08g208800.v1.2 transcript:Vigun08g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] MSPSLDLPPKGGFSFDLCRRNAMLESKGLKAPTFLKTGTTIVGLVFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKENLSRDEGIQIVVEAICAGIFNDLGSGSNVDVCVITKGNKDYLRNHLLPNPRTYVNPKGFEFPKKTEVLLTKITPLKEKVEVIEGDAMEE >Vigun10g121700.1.v1.2 pep primary_assembly:ASM411807v1:10:32890892:32895502:1 gene:Vigun10g121700.v1.2 transcript:Vigun10g121700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDETSGPMIDEFYANGGADDGDKRSRRAIMSGDQLDVEAYAALYSGRTKIMRLIFIADKMNNATTQLEALRMAYDEIKKGENTQLFREVVQKIDGRLGASYGMDTAWCEAVDRRAEQKKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGEAFKSYVRTRDYCTTSKHIIHMCMSAILVSIEMGQFPHVTSYVSKAEQALDVHDSIIVAKLRCAAGLANLEAKKYKLAARKFLETGPELGSHYNDVIAPQDVATYGGLCALATFDRAELKSKVIDNSNFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKANLLLDIHLHDHVETLYDQIRHKALIQYTHPFVSVDLNMMANAFKTTVAGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLETGREFDRDVRSMLLRSNLIKHDYNLRALRKL >Vigun03g431400.1.v1.2 pep primary_assembly:ASM411807v1:3:63563711:63566028:-1 gene:Vigun03g431400.v1.2 transcript:Vigun03g431400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGDCFSNHPILNGTSGVGNVTNIGGVNCYVTGCSLSAIAILLISDVYGYKAPLLRKIGDKVGDSGYYVVVPDLLNDDPLDPKNLKRPNNVWLKDHEPGKGVEIAKPIIKALKSKNVSAIGAAAFCWGAKTVTGLATANLTQASVLLHPSYITVDDVRGIKTPIAILGAEHDSLSPPKLVKEFKQVLDAKPEVISYVKIFMNASHGWALRYDPKDPTAVKGAEAAHKIMIDWFDKHLKK >Vigun03g431400.2.v1.2 pep primary_assembly:ASM411807v1:3:63563711:63565274:-1 gene:Vigun03g431400.v1.2 transcript:Vigun03g431400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYINLFKTDACRILRKTLQWKIGDKVGDSGYYVVVPDLLNDDPLDPKNLKRPNNVWLKDHEPGKGVEIAKPIIKALKSKNVSAIGAAAFCWGAKTVTGLATANLTQASVLLHPSYITVDDVRGIKTPIAILGAEHDSLSPPKLVKEFKQVLDAKPEVISYVKIFMNASHGWALRYDPKDPTAVKGAEAAHKIMIDWFDKHLKK >Vigun02g043400.3.v1.2 pep primary_assembly:ASM411807v1:2:17498301:17502968:1 gene:Vigun02g043400.v1.2 transcript:Vigun02g043400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILFDGRFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYPGWGQEPSKLMPWRNSHWRVQSFAGQPTAVKNGLGTVSPFPGKFDESSVSISPTMLSGTQNTIDPDQSRDIVNKTAERQPDLVSKGIPLPLPMHANMSVPVRSDGVLAHPLQGTVSDAQSTECPTTSEPQNQQDELTVEGGTISISSVYSQGLLNNLTQALQSAGLDLSQASISVQINLGKRANSGLSCGTSSPKNHDNPPSNNQTIAHFRDAGSGEDSDQTQKRMKTYK >Vigun02g043400.2.v1.2 pep primary_assembly:ASM411807v1:2:17497249:17502968:1 gene:Vigun02g043400.v1.2 transcript:Vigun02g043400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGKGNQEEDEYDEEDFGSSKKQGTSSAPNTNKDGKAIDKASAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYPGWGQEPSKLMPWRNSHWRVQSFAGQPTAVKNGLGTVSPFPGKFDESSVSISPTMLSGTQNTIDPDQSRDIVNKTAERQPDLVSKGIPLPLPMHANMSVPVRSDGVLAHPLQGTVSDAQSTECPTTSEPQNQQDELTVEGGTISISSVYSQGLLNNLTQALQSAGLDLSQASISVQINLGKRANSGLSCGTSSPKNHDNPPSNNQTIAHFRDAGSGEDSDQTQKRMKTYK >Vigun02g043400.1.v1.2 pep primary_assembly:ASM411807v1:2:17497249:17502968:1 gene:Vigun02g043400.v1.2 transcript:Vigun02g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGKGNQEEDEYDEEDFGSSKKQGTSSAPNTNKADGKAIDKASAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYPGWGQEPSKLMPWRNSHWRVQSFAGQPTAVKNGLGTVSPFPGKFDESSVSISPTMLSGTQNTIDPDQSRDIVNKTAERQPDLVSKGIPLPLPMHANMSVPVRSDGVLAHPLQGTVSDAQSTECPTTSEPQNQQDELTVEGGTISISSVYSQGLLNNLTQALQSAGLDLSQASISVQINLGKRANSGLSCGTSSPKNHDNPPSNNQTIAHFRDAGSGEDSDQTQKRMKTYK >Vigun06g120200.2.v1.2 pep primary_assembly:ASM411807v1:6:24794851:24797009:1 gene:Vigun06g120200.v1.2 transcript:Vigun06g120200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALETAKVTPQEIDCLCYTKGPGMGAPLQVSAIVVRVLSQLWKKPIVGVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLEYAHGASTSLEDSTFTQRFRTDEVKAIWREANLEKLNGLAEKNI >Vigun06g120200.1.v1.2 pep primary_assembly:ASM411807v1:6:24794851:24797788:1 gene:Vigun06g120200.v1.2 transcript:Vigun06g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALETAKVTPQEIDCLCYTKGPGMGAPLQVSAIVVRVLSQLWKKPIVGVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLEYAHGASTSLEDSTFTQRFRTDEVKAIWREANLEKLNGLAEKNI >Vigun03g149000.2.v1.2 pep primary_assembly:ASM411807v1:3:15301670:15304555:1 gene:Vigun03g149000.v1.2 transcript:Vigun03g149000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLWKPVHIVVTSFYVSALLFSDCMAYEEGNHSSSHKNTSNKFYKMNQQKTSDIAVHGLLLWASTGLLMPLGILVIRGSIRAEPGSRRSKVLFYLHMLSVLLATVGAAMSLKKFENSFDNNHQKLGLALYGAILVQGLIGFFRPHRGKKERSYWYLLHWILGTIVSVVGVINIYTGLKAYHKRTLKSTTLWTILFTVEVTIIGLVYLFQDKVEYMKKQGVIIGSESSILSSNQHIIPQSQTQKELLPVACGRKRNALENLFD >Vigun03g149000.1.v1.2 pep primary_assembly:ASM411807v1:3:15301670:15304555:1 gene:Vigun03g149000.v1.2 transcript:Vigun03g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLWKPVHIVVTSFYVSALLFSDCMAYEEGNHSSSHKNTSNKFYKMNQQKTSDIAVHGLLLWASTGLLMPLGILVIRGSIRAEPGSRRSKVLFYLHVGFQMLSVLLATVGAAMSLKKFENSFDNNHQKLGLALYGAILVQGLIGFFRPHRGKKERSYWYLLHWILGTIVSVVGVINIYTGLKAYHKRTLKSTTLWTILFTVEVTIIGLVYLFQDKVEYMKKQGVIIGSESSILSSNQHIIPQSQTQKELLPVACGRKRNALENLFD >Vigun08g025600.5.v1.2 pep primary_assembly:ASM411807v1:8:2221334:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAESVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKDTTLYDNMPQLFMDAPKIGKDPSSDLS >Vigun08g025600.6.v1.2 pep primary_assembly:ASM411807v1:8:2221402:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAEKSVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKKQTSYT >Vigun08g025600.3.v1.2 pep primary_assembly:ASM411807v1:8:2221334:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAEKSVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKDTTLYDNMPQLFMDAPKIGKDPSSDLS >Vigun08g025600.7.v1.2 pep primary_assembly:ASM411807v1:8:2221402:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAESVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKKQTSYT >Vigun08g025600.2.v1.2 pep primary_assembly:ASM411807v1:8:2221402:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAESVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKDTTLYDNMPQLFMDAPKIGKDPSSDLS >Vigun08g025600.1.v1.2 pep primary_assembly:ASM411807v1:8:2221402:2225549:-1 gene:Vigun08g025600.v1.2 transcript:Vigun08g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDMHQPQPSHGRTFPPNSEDFSTFFNQLLHNPPTLGMDPNHSASDFTPNNLNNNNNNIHVPSPPSTFHFSHPHHYIPATDANTFKQHNHNHNPDFTSAEKSVEARKPVPPPRSSSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMMRNGLSLHPMSLPGELRPVMMPQTGLNLDENDGLQNSTSAIASSSNEESLVRHAFSFPKQCSISDQSLVVPSVTRLATSNAPSTFQPPIKDTTLYDNMPQLFMDAPKIGKDPSSDLS >Vigun09g043300.1.v1.2 pep primary_assembly:ASM411807v1:9:4044072:4046228:-1 gene:Vigun09g043300.v1.2 transcript:Vigun09g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIAMAVFWRKQLAPVPSATFIFIFFFFAPCDFAILHPLKVSPSEFVSSVRKVGDVLQNVTSTLKSEFSNVKSEFPLSDAIVACLELLDLSADELSWSISAVETPQGKHNSTGNLSSDLRTWLSGVLANTDTCMEGFEGTSGNVEDLIYDEIKEAMLLLQNLVNQVVSDSFRMDKVPALVEPLLQRNTMPADAVVAADGSGNFTTVMDAVNAAPEYSMRRFVIHIKKGLYTEKVEIKKNKWNIVMIGEGMDDTVISGNLSRTQNLTTYRTATFAVNGRGFIATDISFRNTAGPERNQSVALRSDSDLSVFLRCGIFGYQDSLYAHSLRQFYRECKISGTVDFIFGHASAVFQNCTILVKKGLDKQQNTITAQGETCPYGSSGFSIQFCNVSADHDLLPSVNTTSTYLGRPWRKYSTTVFMQSYISEVLRPEGWLEWDGTSLYLDTLYFGEYKNYGPGARVDNRVKWPGYHVINDSSQAYNFTVANLLLGDLWLPSTGVTYTSGFGGH >Vigun04g092650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:18024906:18025154:-1 gene:Vigun04g092650.v1.2 transcript:Vigun04g092650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLLKILHFLYYKLYTGAANHTRREKLHVYALQQQTTVETLPMKFTLNIFLCNPAQSYLNPSISICTTVSTFIISHYTHH >Vigun03g272900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44825488:44827486:1 gene:Vigun03g272900.v1.2 transcript:Vigun03g272900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGASLIAPERKPSINGGGAAGGGGGVLLPTAPSPSSLPSSATNNVTLTSTTTTTTTTTTTTTPTSENQNLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNIGVANSVAKTASTKMKAVASELGKSPGFGGFEVEHELPPPSQILWGSPQNTHLLALLRATQNQNPNPNPSHMSIKEEGTLIGHMVSTEPLVSNALLNHRTLGYDAVAQVPSLGLFGRSNQDQQQNGGFLLGEHHNSGIQELYQKLRSSSANNYCGDNSSQVFMGNMASNYSSSVSNNIMETTSVAGGEFGYWNGPTFSWSDLPTTNGAGAYP >Vigun01g131550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30967431:30967577:1 gene:Vigun01g131550.v1.2 transcript:Vigun01g131550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSAMFSSLRASKLRPWGRCSKYIRQQRTRLYIIWRCTVLLLCWHE >Vigun02g050000.1.v1.2 pep primary_assembly:ASM411807v1:2:19066517:19068898:-1 gene:Vigun02g050000.v1.2 transcript:Vigun02g050000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMYFVLFLAFTGHSSALYCVCKDGVGDQALQKAIDYACGAGADCTRILQNGACFQPNTVKDHCNYAVNSYFQRKGQAQGSCDFSGAATPSQNPPTSASTCVYPSSPGNAGTGITGTTTTTTPTTGTPSTLTPTTPTGTGTGTGTGTGTGSTITGNPNVFGISPTSSTGTGINDSSKGVVHLKDTCMLLVSCILTFLLVLRV >VigunL059138.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000275.1:3058:10867:1 gene:VigunL059138.v1.2 transcript:VigunL059138.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKHPSFPTSSLQVAFFTVRLSFALFQLHPPKLPSRSLAKFTLFQRHPPKLPCSQFGLVHSFPVSSPQVALFAVRSSSLFSSIIPPSCRVRSLA >Vigun06g171000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29246198:29247993:-1 gene:Vigun06g171000.v1.2 transcript:Vigun06g171000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFSDEPESPPPGSPPPGSPPPESEGPDPPQSNAVWGFGDLIQTLASKSESVLENYRRDFEEFGSGLRKETAVIREAASRAVKDLPASLDVGAAVAQESLESVGQAIDDIGITVWNSTAQIISQGRDSFLASDSDSFDSINYDSNNSNAGKKLLSGGSSDRGLDLKRYRRFDNLVHALQCDVNTYLEDPEDLEKFSDWKLGFELDKKEEEIRDLLEENGVVEEIYEKVVPSRTGHESFWTRYFYRLHKLKQAEDARTKLVNSAISGSEEGDLSWDFDDEDEDEDGNNGYEPLGKTSRVSLVKEGNSADVGGVNTVQSVKNIQAEKKALNIEEEDGEKGVSPESITGVDDRLEKGKYKENVASCVPEHESGSGDKLDSKCEEKEHSEVKADNDNSGSCKDSDISVVSSQPSMAEEDIGWDEIEDIESNDENKGDAVGSASRIHLQKRSNVIDQDEYDLSWDVEDEEEAVKS >VigunL059838.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:38403:38762:1 gene:VigunL059838.v1.2 transcript:VigunL059838.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g229600.1.v1.2 pep primary_assembly:ASM411807v1:5:42296736:42301192:-1 gene:Vigun05g229600.v1.2 transcript:Vigun05g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSPNPTMAHKKRVPMSARMQSPTSPFFVGSNDDQLERAQARAARAAAIRHKSLAVNFHPHPPKSPSCLNEHQILDLFHNCIKLASENKINQKNTWELDLIDHLTDIIRVEEGNHVETNFQIASCTLEAGVRIYSLRVDSVHSDAYKVLAGMNRVGQDTEEGATLGSVNAENGEASRKEVGKKLSHLSTLESSFEVLNVKKFDVAFAVDPLYHHTSAQFDEGGAKGLLMNNLGVYGKCRVLFDSLEVPAKCLTSQNDHDISDTIDLSFARDCVEQMILNMHTKDVISPTLRIIVNQFDENDGRPFYFQSSGEKSAEEFDAAIDSELANGKEGYEICSSWSYDHDSQTFAAEWGFSDADPSFPSYHEEKEPNVDDIFDNVDGYLFLSLGFRSKKNSWAGPDHWKYQKVQEGSKSKVPCTSEDELIQKTRQPRTKREVKVDLEFTSFLEKKIPDIFSPPKNPKSLLVSENISPCVTKLPEDWHYEPENLVNLFLLPHVKCIRRRARKVPDVLEEQCYYNESFPSWDNGSVCDGDVTDVNNDTDDSSTLVAQPRQINKIEVQYDKTSKQVNVQALKITLWDHIQESIQLPFQGQKEKVSFRHILTNFPSKCNAAATISDISPHLCFICLLHLANEKGLSIQSCPNLDDLGICLLDDGARTI >Vigun05g229600.2.v1.2 pep primary_assembly:ASM411807v1:5:42296736:42301192:-1 gene:Vigun05g229600.v1.2 transcript:Vigun05g229600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSPNPTMAHKKRVPMSARMQSPTSPFFVGSNDDQLERAQARAARAAAIRHKSLAVNFHPHPPKSPSCLNEHQILDLFHNCIKLASENKINQKNTWELDLIDHLTDIIRVEEGNHVETNFQIASCTLEAGVRIYSLRVDSVHSDAYKVLAGMNRVGQDTEEGATLGSVNAENGEASRKEVGKKLSHLSTLESSFEVLNVKKFDVAFAVDPLYHHTSAQFDEGGAKGLLMNNLGVYGKCRVLFDSLEVPAKCLTSQNDHDISDTIDLSFARDCVEQMILNMHTKDVISPTLRIIVNQFDENDGRPFYFQSSGEKSAEEFDAAIDSELANGKEGYEICSSWSYDHDSQTFAAEWGFSDADPSFPSYHEEKEPNVDDIFDNVDGYLFLSLGFRSKKNSWAGPDHWKYQKVQEGSKSKVPCTSEDELIQKTRQPRTKREVKVDLEFTSFLEKKIPDIFSPPKNPKSLLVSENISPCVTKLPEDWHYEPENLVNLFLLPHVKCIRRRARKVPDVLEEQCYYNESFPSWDNGSVCDGDVTDVNNDTDDSSTLVAQPRQINKIEVQYDKTSKQVNVQALKITLWDHIQESIQLPFQKEKVSFRHILTNFPSKCNAAATISDISPHLCFICLLHLANEKGLSIQSCPNLDDLGICLLDDGARTI >Vigun04g006100.2.v1.2 pep primary_assembly:ASM411807v1:4:426384:434984:1 gene:Vigun04g006100.v1.2 transcript:Vigun04g006100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHDLPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDFLDLSDYERRLSQIKQIFTSIDHPHVWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSLVEKRWLAFQLLVAVNQCHENGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDTPLKPYMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQFDPSQHLEKIPDIGIRKMILHMIQLEPESRFSAERYLKEYAAVVFPIYFSPFLHDFYRCWSPLHSDMRVLLCQSAFPEILKQMMNNQSSDDVGANSGDLLEEMVAKETVSFVKDSVRKRDDIGKGLVHDNYGLLGDINSLLRDAKRNNSPSDVAGNAHNSTFPENLTNLQTGKLLQTISNAFRGNDHPFLKSIAMDDLSSLMSEYDSQSDTFGIPFLPLPKDSMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYVDDEDRLQRVIPYVIVMLSDSAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSVSLSEAGVLDELSLSQKPLTSSNQTSGRMKRINGEVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDSLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTESVIVKAVECMSILCKSGFFRKRTLLQMIERGFPLLCYPSEWVRRSVVSFIAASSECLGAVDSYVFLAPVIRPFLRRQPVSLASERALLSCLKPPVSRQVFYEVLENSRSSDMLERQRKIWYSSSQSKLWEMDFLKKGIEELDSLKSWTEKQQGPGVQQTVGTAFQQPGISDCDKAEAKLRDMGAFVHNDNNAAGHRDTQCMEKLQFSGFMSPNFSGVNSLTYEKPSEGIPLYSFSVDRRGTGIPPAASDPPLPMSSLGFSSSAMPWVNPLSTSFNLASSVPAPKLFSGSFSISNGSKQFHRVVHEPDARENETAYINSTFQDLGSSANVQGTSIAMEDATAQTDLSGFPSYARASIPDSGWRPRGVLVAHLQEHRSAVNDIAISADHSFFVSASDDSTVKIWDSRKLEKDISFRSKLTYHLEGSRVLCATMLPGSAQVIIGASDGFIHMFSIDHMSRGIGNVVEKYSGIADITKKDIKEGAILNLLNCPVDNYTIMYSTQNCGIHLWDTRSNSNTWTLKAAPEEGYASSLASGPCGNWFVSGSSRGVITLWDLRFLIPVNSWQYSLACPIEKMCLFLAPSNASMSSAARPLVYVAAGCNEVSLWNAENGSCHQVLRMVNYDNDAEMSDMPWALARPSSKPTSQSDLRRNVHRKYGVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYCICGPNLKGIGNDDFYETKSSFGVQVVQETKRRPLTIKLTAKAILAAAATDSAGCHRDSIVSLASIKLNQRLLLSSGRDGAIKVWK >Vigun04g006100.3.v1.2 pep primary_assembly:ASM411807v1:4:429007:434984:1 gene:Vigun04g006100.v1.2 transcript:Vigun04g006100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILHMIQLEPESRFSAERYLKEYAAVVFPIYFSPFLHDFYRCWSPLHSDMRVLLCQSAFPEILKQMMNNQSSDDVGANSGDLLEEMVAKETVSFVKDSVRKRDDIGKGLVHDNYGLLGDINSLLRDAKRNNSPSDVAGNAHNSTFPENLTNLQTGKLLQTISNAFRGNDHPFLKSIAMDDLSSLMSEYDSQSDTFGIPFLPLPKDSMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYVDDEDRLQRVIPYVIVMLSDSAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSVSLSEAGVLDELSLSQKPLTSSNQTSGRMKRINGEVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDSLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTESVIVKAVECMSILCKSGFFRKRTLLQMIERGFPLLCYPSEWVRRSVVSFIAASSECLGAVDSYVFLAPVIRPFLRRQPVSLASERALLSCLKPPVSRQVFYEVLENSRSSDMLERQRKIWYSSSQSKLWEMDFLKKGIEELDSLKSWTEKQQGPGVQQTVGTAFQQPGISDCDKAEAKLRDMGAFVHNDNNAAGHRDTQCMEKLQFSGFMSPNFSGVNSLTYEKPSEGIPLYSFSVDRRGTGIPPAASDPPLPMSSLGFSSSAMPWVNPLSTSFNLASSVPAPKLFSGSFSISNGSKQFHRVVHEPDARENETAYINSTFQDLGSSANVQGTSIAMEDATAQTDLSGFPSYARASIPDSGWRPRGVLVAHLQEHRSAVNDIAISADHSFFVSASDDSTVKIWDSRKLEKDISFRSKLTYHLEGSRVLCATMLPGSAQVIIGASDGFIHMFSIDHMSRGIGNVVEKYSGIADITKKDIKEGAILNLLNCPVDNYTIMYSTQNCGIHLWDTRSNSNTWTLKAAPEEGYASSLASGPCGNWFVSGSSRGVITLWDLRFLIPVNSWQYSLACPIEKMCLFLAPSNASMSSAARPLVYVAAGCNEVSLWNAENGSCHQVLRMVNYDNDAEMSDMPWALARPSSKPTSQSDLRRNVHRKYGVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYCICGPNLKGIGNDDFYETKSSFGVQVVQETKRRPLTIKLTAKAILAAAATDSAGCHRDSIVSLASIKLNQRLLLSSGRDGAIKVWK >Vigun04g006100.1.v1.2 pep primary_assembly:ASM411807v1:4:426384:435010:1 gene:Vigun04g006100.v1.2 transcript:Vigun04g006100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHDLPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDFLDLSDYERRLSQIKQIFTSIDHPHVWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSLVEKRWLAFQLLVAVNQCHENGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDTPLKPYMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQFDPSQHLEKIPDIGIRKMILHMIQLEPESRFSAERYLKEYAAVVFPIYFSPFLHDFYRCWSPLHSDMRVLLCQSAFPEILKQMMNNQSSDDVGANSGDLLEEMVAKETVSFVKDSVRKRDDIGKGLVHDNYGLLGDINSLLRDAKRNNSPSDVAGNAHNSTFPENLTNLQTGKLLQTISNAFRGNDHPFLKSIAMDDLSSLMSEYDSQSDTFGIPFLPLPKDSMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYVDDEDRLQRVIPYVIVMLSDSAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSVSLSEAGVLDELSLSQKPLTSSNQTSGRMKRINGEVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDSLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTESVIVKAVECMSILCKSGFFRKRTLLQMIERGFPLLCYPSEWVRRSVVSFIAASSECLGAVDSYVFLAPVIRPFLRRQPVSLASERALLSCLKPPVSRQVFYEVLENSRSSDMLERQRKIWYSSSQSKLWEMDFLKKGIEELDSLKSWTEKQQGPGVQQTVGTAFQQPGISDCDKAEAKLRDMGAFVHNDNNAAGHRDTQCMEKLQFSGFMSPNFSGVNSLTYEKPSEGIPLYSFSVDRRGTGIPPAASDPPLPMSSLGFSSSAMPWVNPLSTSFNLASSVPAPKLFSGSFSISNGSKQFHRVVHEPDARENETAYINSTFQDLGSSANVQGTSIAMEDATAQTDLSGFPSYARASIPDSGWRPRGVLVAHLQEHRSAVNDIAISADHSFFVSASDDSTVKIWDSRKLEKDISFRSKLTYHLEGSRVLCATMLPGSAQVIIGASDGFIHMFSIDHMSRGIGNVVEKYSGIADITKKDIKEGAILNLLNCPVDNYTIMYSTQNCGIHLWDTRSNSNTWTLKAAPEEGYASSLASGPCGNWFVSGSSRGVITLWDLRFLIPVNSWQYSLACPIEKMCLFLAPSNASMSSAARPLVYVAAGCNEVSLWNAENGSCHQVLRMVNYDNDAEMSDMPWALARPSSKPTSQSDLRRNVHRKYGVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYCICGPNLKGIGNDDFYETKSSFGVQVVQETKRRPLTIKLTAKAILAAAATDSAGCHRDSIVSLASIKLNQRLLLSSGRDGAIKVWK >Vigun03g406566.1.v1.2 pep primary_assembly:ASM411807v1:3:61364537:61369617:-1 gene:Vigun03g406566.v1.2 transcript:Vigun03g406566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDIDLNGDSERKQDSKRKAKDESAKTIPLYKLFSFADSFDHLLMFVGTVGAVANGISPPLMTLLFGNMINAFGGTKNSNEVVDEVSKVSLEIVYLAVGTCFASLFQLTCWMITGERQAARIRGLYLQTILRQDVTFFDKETRTGEVVGRMSGDTVLIQDAMGEKVGQFLQLLATSVGGFVIAFIRGWLLTVVMLSCIPLIVLCGAMVSLVIAKASSKGQEGYSQAATVVEQTIGSIRTVASFTGEKQATDKYNRSLIKAYKAGVQEALASGFGFGSLSFVSTCSYGLAIWFGAKMIIEKGYTGGEVVTVMLAVLTGSASLGQASPSLSAFSAGKAAAFKMFETIKRKPEIDAYDTSGRKLDDICGHIELREVCFSYPTRPDELIFNGFSLSIPSGTTTALVGESGSGKSTVVSLIERFYDPQAGEVLIDSINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDDATVEEIRASAELANAAKFIDRLPQGLDTMVGEHGTQLSGGQKQRVAIARAILRDPRILLLDEATSSLDVESEKIVQEALDRIMINRTTVIVAHRLSTIRNADSIAVIHQGKIVEKGSHAELTNDPSGVYSQLIRLQEIKGSEQNASYDTDKIESPVLSGRQLSQRSSLRSISQRSPSSGCNSFSESHVVPTTVSLDPAGRGSQTLPATVSSPPQVPLSRLAYLNKPEIPVLFIGTLAAVVSGLLLPISALFVSKMISIFYEPVDELRKDSKLWALLFVAIGVVSFITAPCRFYFFGVAGGKLIKRIRKMCFEKVVHMEVSWFDDAEHSSGAIGARLSSDAAAVRALVGDALGLLVQNVATAVGCLVIAFQASWQLALIVLALAPLLGLNGYVQFKFIKGFSADAKKLYEEASQVASDAVGSIRTVASFCAEKKVMELYQKKCEGPIKTGIRRGIISGIGYGISFFMLYTVYAFSFYAGARLVKDGKSTFSDVFRVLFALSMAAMGISQSGSLVTDSAKSKSAAASVFAILDRKSQIDPSDDSGFTLEEVNGEIEFNNVSFKYPTRPDVQIFKDLCLTIHSGKTVALVGESGSGKSTVISLLLRFYDPDSGHITVDGKEIQSMQVKWLRQQMGLVSQEPVLFNDSIRANIAYGKGGDATEAEVIGAAELANAHNFISGLQEGYETIVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALERVMVNRTTIVVAHRLSTIKGADLIAVIKNGVIAEKGTHEALLNKEGDYASSVALHTSASTS >Vigun10g079950.2.v1.2 pep primary_assembly:ASM411807v1:10:21882731:21898062:-1 gene:Vigun10g079950.v1.2 transcript:Vigun10g079950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRHLYWQIGLCTIITRREKFISEPSWALFQMVLYLKSKKAFPWVYHGFDSLQNYYDALIDAKKIVDYQVVYGKY >Vigun10g079950.1.v1.2 pep primary_assembly:ASM411807v1:10:21880104:21898062:-1 gene:Vigun10g079950.v1.2 transcript:Vigun10g079950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRHLYWQIGLCTIITRREKFISEPSWALFQMVLYLKSKKAFPWVYHGFDSLQNYYDALIDAKKIVDYQVVYGKY >Vigun10g090800.1.v1.2 pep primary_assembly:ASM411807v1:10:26183662:26187228:1 gene:Vigun10g090800.v1.2 transcript:Vigun10g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTASSPLSLQNQKTSFQDLPEASPKPYKKGFVATLMGGASTNPSFKEDNYFVSLLRSSEKKALQELKEKLKASFDDSPSDASMWGIPLLGGDDKADVILLKFLRARDFRVGDALHMLVKCLAWRKEFGADTILEEDLGFKELEGVIAYMQGYDKEGHPVCYNAYGMFKDKEMYERVFGDEEKLKKFLRWRVQVLERGIKVLHFKPGGVNSLIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVQYGGLKRPSDLPNGPPKPASEFTIKGGEKVNIQIEGIEAGATITWDIVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKMGASDEAIHNSFTSKESGKMVLSVDNTASRRKKVAAYRYVVRKSSTI >Vigun11g074400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:21666361:21670155:-1 gene:Vigun11g074400.v1.2 transcript:Vigun11g074400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGDDQVTTSSTSSFGVSRRPTTSGQRNRSWSHEGQPNDVARVGKRHKSTEEVSLQGFPSTHLKELLIVSAKALSENKMKDFDQLIEKARSVVSITGEPIQRLGAYLVEGLVARKEASGNNIYRALRCREPEGKDLLSYIQLLYEICPYLKFGYMAANGAIAEACRDEDHIHIIDFQIGQGIQWMTLLQALAARPGGAPHVRITGIDDPLSKYVRGDGLEAVGKRLAEISQTFNIPVEFHSVPVLAPYVTKDMLDIRPGEALAVNFPLQLHHTADESVDMSNPRDGLLRLVKSLSPKVTTLIEQESNTNTTPFFNRFIETLDYYLAIFESIDVSLARKSKDRINVEQHCLARDIVNIVACEGKERVERHELLGKWKSRFTMAGFSQYPLSSYVNSVIRSLLRCYSEHYNLVEKDGAMLLGWKDRNLISASAWH >Vigun11g074400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:21666361:21670155:-1 gene:Vigun11g074400.v1.2 transcript:Vigun11g074400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEFSSVGVTSSAGIPCTSSYTHISAIPNRLIGSLKHDTEHSPNSALSTHFDSDTLSSALSDGQEQHTAGEIHSGVNPLQLSTRCFFPQDISYDHETRYALLELETALMAPDGDDQVTTSSTSSFGVSRRPTTSGQRNRSWSHEGQPNDVARVGKRHKSTEEVSLQGFPSTHLKELLIVSAKALSENKMKDFDQLIEKARSVVSITGEPIQRLGAYLVEGLVARKEASGNNIYRALRCREPEGKDLLSYIQLLYEICPYLKFGYMAANGAIAEACRDEDHIHIIDFQIGQGIQWMTLLQALAARPGGAPHVRITGIDDPLSKYVRGDGLEAVGKRLAEISQTFNIPVEFHSVPVLAPYVTKDMLDIRPGEALAVNFPLQLHHTADESVDMSNPRDGLLRLVKSLSPKVTTLIEQESNTNTTPFFNRFIETLDYYLAIFESIDVSLARKSKDRINVEQHCLARDIVNIVACEGKERVERHELLGKWKSRFTMAGFSQYPLSSYVNSVIRSLLRCYSEHYNLVEKDGAMLLGWKDRNLISASAWH >Vigun03g046100.1.v1.2 pep primary_assembly:ASM411807v1:3:3688065:3691405:1 gene:Vigun03g046100.v1.2 transcript:Vigun03g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPTSVPATASCWSNVVKKQPPPPPQHNVPVLVETTESSNAIAVAVVDANAVIESGEKLHGLADKFISIPEVMQEIRDPVSRHKLSFLPFSIQTMEPSPESINKVVKFARATGDLQTLSDVDIKLIALTYTLEAQIHGTKHLRDAPPPVQTLNVKRLPEKDMPGWGSNVPNLKEWEALEQAEDSMNSNSKILPLQDLNLNIVSPDDHTVDGSVERTSEADSGIQDGGEDGFTKPRRFTPKKKEIKIEGKTMVADGIDASQGEFDDNAGDWMPAVSRSTHRRYLRRKARREYNESISSTQDEQDLEGKDDNVGGDVGVLNQQVHERDPQQVENAVSENNQVVKENKDNESIYEILQQMRLEEGSLEVLDEENKPSLSSEELQSYNTGLVATVPDGNADVVTKSRDSEINTVDSQSNHLEIASQTSEAADFSYADDDGSEQSWMVRSLSESSVACITGDFAMQNVLLQMGLRLRAPGGTQIHQLHRWILKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVNENGIVVAARRPRVTLRGTKFSLPLPQGGRDAATKNPILREDQLPQRVLYPKTKKKTSKQDDDFFTPDSVFSHHTDKKAPFQPPIRKAMAVFGGRRNPNDNHYSRSKHK >Vigun10g035400.2.v1.2 pep primary_assembly:ASM411807v1:10:4770868:4774762:-1 gene:Vigun10g035400.v1.2 transcript:Vigun10g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLSTCSAVYYEIEPSECTSFRRVIWKAFKATAQQTFSGQQLEHGMSSWSHALTKAANFFGWDERNYRSDAELVDTIVKRILYLPALSATKFPVGLQSYVEDVIRTIENKSTEVCMIGIYGMDGSGKTTVAKAIYNEIHDTFTEKSFIEDNAQVSRTRGYVHLQEKLLSDVLKTKVEIHSDEMGRSMIRERLFQKRVLIVLDDLNEHGPLLDLWESRAWSGKGTVIIVTTRHEHLLRARQVDAVFRINPMNENESLELLSWHAFREAKPKEEFHDLAKTIVTRCGGLPLALEVIGTYLCERTKEEWQRVLFKLVKIPQHEVLQILKICFDGLPNQMEKDLFLDICCFFVGKGRAYFTKILNGCGIDADTAIRVLIERSLIKVKKNNKFGMHPLLRDMGREIIREISRNEPENSRLWLDEDMKHAPSKNTVRDTSRMLKLAGHSEYLFKKLRCISLQGFSSEYLPNDFYLHDAIVVDLKYSLLRLVWKESQVLVSLKVLNLSHSKYLTKTPDFSRLPSLEQLILKDCPSLREVHRSIGCLYNLTLLNLKDCTGLRNLPREIYMLKSLKTLIVSGCSKIDLLEKDIVQMQCLITLIAENTVVKQVPFSLVSSKTTAYISLRGCEGLSHNLFLFIIRSWISPSMHPLSYIRSFCMDMEVNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQVEIIVVEYRVNITESDTSKPHFRSSLIGVGRCKEFFDAFSDSISQVLARSESCDVSLPVGNGPYWLAHMGEGHSVSFTVPQDRVINGMALCFVYLSTFEIVATECLRSVLIVNHTKCTLQIHNHGTVISFNDIDWQGIISNLESGDKVEIFLTFSQELVVKNTVVYLICGESNDLETKPVPKKNSLIRFIKNIV >Vigun10g035400.1.v1.2 pep primary_assembly:ASM411807v1:10:4770995:4774709:-1 gene:Vigun10g035400.v1.2 transcript:Vigun10g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLSTCSAVYYEIEPSECTSFRRVIWKAFKATAQQTFSGQQLEHGMSSWSHALTKAANFFGWDERNYRSDAELVDTIVKRILYLPALSATKFPVGLQSYVEDVIRTIENKSTEVCMIGIYGMDGSGKTTVAKAIYNEIHDTFTEKSFIEDNAQVSRTRGYVHLQEKLLSDVLKTKVEIHSDEMGRSMIRERLFQKRVLIVLDDLNEHGPLLDLWESRAWSGKGTVIIVTTRHEHLLRARQVDAVFRINPMNENESLELLSWHAFREAKPKEEFHDLAKTIVTRCGGLPLALEVIGTYLCERTKEEWQRVLFKLVKIPQHEVLQILKICFDGLPNQMEKDLFLDICCFFVGKGRAYFTKILNGCGIDADTAIRVLIERSLIKVKKNNKFGMHPLLRDMGREIIREISRNEPENSRLWLDEDMKHAPSKNTTLRDFFKPYPLQVRDTSRMLKLAGHSEYLFKKLRCISLQGFSSEYLPNDFYLHDAIVVDLKYSLLRLVWKESQVLVSLKVLNLSHSKYLTKTPDFSRLPSLEQLILKDCPSLREVHRSIGCLYNLTLLNLKDCTGLRNLPREIYMLKSLKTLIVSGCSKIDLLEKDIVQMQCLITLIAENTVVKQVPFSLVSSKTTAYISLRGCEGLSHNLFLFIIRSWISPSMHPLSYIRSFCMDMEVNSWDDIAPLLSSLANLRSVLVQCDTEFQLSKQVEIIVVEYRVNITESDTSKPHFRSSLIGVGRCKEFFDAFSDSISQVLARSESCDVSLPVGNGPYWLAHMGEGHSVSFTVPQDRVINGMALCFVYLSTFEIVATECLRSVLIVNHTKCTLQIHNHGTVISFNDIDWQGIISNLESGDKVEIFLTFSQELVVKNTVVYLICGESNDLETKPVPKKNSLIRFIKNIV >Vigun03g126700.2.v1.2 pep primary_assembly:ASM411807v1:3:12111391:12112856:-1 gene:Vigun03g126700.v1.2 transcript:Vigun03g126700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNSGKIQVCGSTTYVAQTSWIQNGTIEENILFGLPMNRQKYNEVIRVCSLEKDLEMMEHGDQTEIGECGINLSGGQKQRIQLVRAVYQDSDIYLLDDVFSVVDADTGTEIFKVMRDGTIVQSGKYNDLLASGMDFSALVATHKTSMKLVEQGAVMPGENLNQQMKSPKAASNNREANGEINPLDEPSFSSFDCAEILLFHDPGVKDSTYILLSNSPQHLACPHVFL >Vigun03g126700.3.v1.2 pep primary_assembly:ASM411807v1:3:12111391:12112856:-1 gene:Vigun03g126700.v1.2 transcript:Vigun03g126700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNSGKIQVCGSTTYVAQTSWIQNGTIEENILFGLPMNRQKYNEVIRVCSLEKDLEMMEHGDQTEIGECGINLSGGQKQRIQLVRAVYQDSDIYLLDDVFSVVDADTGTEIFKVMRDGTIVQSGKYNDLLASGMDFSALVATHKTSMKLVEQGAVMPGENLNQQMKSPKAASNNREANGEINPLDEPSSFDCAEILLFHDPGVKDSTYILLSNSPQHLACPHVFL >Vigun01g126500.1.v1.2 pep primary_assembly:ASM411807v1:1:30353518:30361614:1 gene:Vigun01g126500.v1.2 transcript:Vigun01g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAQRNEQLTNDSSRTQCTVSSNGFWSKNSTDVCYNQLQKFWSELSLQARQKLLRIDKQSLFEQARKNMYCSRCNGLLLEGFLQIATHGKSLQQEGVDGHFPCNRSGGLRKLNNEGLSIINGCQDEIQDPSIHPWGGLTTARDGSLTLMSCYLYSKSLKGLQIVFDGARSRERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLLDFWSALGEETRLSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADSAFQYEVSDDSVQADWRQTFADASGTYHHFEWAVGTTEGKSDILEFENVGLNGCVRASGLDLGGLSACFVTLRAWRLDGRCTEFSVKAHSLKGQQCVHCRLTVGDGYVTITKGESIRRFFEHAEEAEEEEDDDSIDKDGNELDGECARPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACREIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKEKRCSESNDAPGSPEITKEELSAVADVEQNCPNDCRNLVIETEEANLLRDDSPKIEGEELCDEDKTLKPQDLSLDDCEGEISDAKEEMDQSTVEQSMLSHRRLRCRKEFQQDMSMKWSDRRRYTVVSENTVMVGRSEPRHYGESFMTSSRTMNGLNRLSRINVPTKSNGRNGGPPKFNEKFYSSKNRTNERCDIHSCSCSLNNEYKTRVEQHSPVSRVSRETKPTCQSESAGDTSKQFYRGTKNNQVDYMHESNGRSKNKIILGNYPGRDLFQSKRVWEPTESQKKYHSSNFESDVILRSTKVQGTQSDLIKSSIGEAAESSENDIEECSSKRFGGVDERCQNNFHVEAEGSCSSMEIASEEPGICSTGGFALNSSADPTQSSTFSSDNCSLCLSEGDNNTTSSNHENTESSITSDSEDVGRQSEVRNNLDYMENILSDCHEVATENNENANEEGLVRSSSSLIGPSFDGTRNYAFGNHVETAQNFDACFPTTNVCSQPQSMLPPLSNQNIHFPVFQAPSTMGYFHQNPVSWPGAPTNGLIPFPHTNPYLYASPLGYGLNEDPRFCLQYGALQQPTPIFNPAVPVHQPVARANVLNAEVRTRVSKPASLLQHLNGSFAERVVPAGTISKKPALYGEVIHDNSAKSLDDNNDFSLFHFGGPVALSKGCKSAHTSLNGDTVGDFGSKGSADQVENVHSCNKKETPAMEEYNLFATSNKGFQFSKMIK >Vigun07g237500.1.v1.2 pep primary_assembly:ASM411807v1:7:35937255:35939842:1 gene:Vigun07g237500.v1.2 transcript:Vigun07g237500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARVSLLLGVLFLASLSVSFGIVHREHQESQEESEPRGQNNPFYFDSDRWFHTLFRNQYGHLRVLQRFDQRSKQIQNLENYRVVEFKSKPNTLLLPHHADADFLLVVLNGRAILTLVNPDGRDSYILEQGHAQKIPAGTTFFLVNPDDNENLRIVKLAVPVNNPHRFQDFFLSSTEAQQSYLQGFSKNILEASFGSDYKEINRVLFGEEEQQQQDEESQQEGVIVQLKREQIRELMKHAKSTSKKSLSSQNEPFNLRSQKPIYSNKFGRLHEITPEKNPQLRDLDVFLTSVDMKEGGLLMPNYNSKAIVILVVNKGEANIELVGQREQQQQQQEESWEVQRYRAEVSEDDVFVIPASYPVAITATSNLNFIAFGINAENNQRNFLAGEEDNVMSEIPTEVLDVTFPASGEKVEKLINKQSDSHFTDAQPEQQQREEDRKGRKGPLSSILDTLY >Vigun11g122300.1.v1.2 pep primary_assembly:ASM411807v1:11:32965043:32968496:-1 gene:Vigun11g122300.v1.2 transcript:Vigun11g122300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGGKKRVVVVGGGIAGSLVAKSLQFTAHVTLIDPKEYFEITWAGLRSMVQPSFAQRSVINHSEYLCHGNIITSSAVNVTETDVITADGQRVPFDYLIIATGHADEVPKNRRDRLNQFVEFNEKIRSARSIMIVGGGPTGVELAAEIAVDFPDKKITIVHKGPRLLDFVGTKAADKTLKWLQSRNIAVKLEQSIDLNELSYENKTYRTSNGETFEADCYFLCLGKPLASAWLEGTMLRHDMNEEGRIKVDEKLRVIGRRNIFAIGDITDIPEIKQGFAAQQHAEVVVKNLKVMIDGGKDCSQCRMENYKPQLPMAIVSLGRKDAVAQLPLMTIIGRVPGYIKSADLFVGRTRRQMGLDPHSVHA >Vigun02g154100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30077960:30078157:1 gene:Vigun02g154100.v1.2 transcript:Vigun02g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEMGALSVLLVLLLIFCNSGFVSVGGGLLNFTRPRKNVSGNGKAELEEKRGVPSGANPLHNR >Vigun11g005800.1.v1.2 pep primary_assembly:ASM411807v1:11:616916:624538:1 gene:Vigun11g005800.v1.2 transcript:Vigun11g005800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVKENGGKEHCPSTLFVSNLPYSFSNSQLEETFSEIGPVRRCFMVTQKGSAQHRGFGYVQFAVEEDANRAIELKNGMSVEGRKIGVKHAMPRPPREDRKSKPNKAGTPDDLVKPNGDDVNDSTSLGTEKHVSILKEEVQVPSKQKNSKKPMETKKSALCNDVADDGGGSEKQRVARTVIFGGLKDSDMAEEVHSQAREIGTVCSIKYPLSKKDLEQHGLMQDGCTMDASSVLYTSVKSARVSVAMLHKKVIRGETIWARQLGGEGSKTQKWKLIVRNLPFKAKDTEIRDMFSSAGYVWDVFIPQKSDTGLSKGFAFVKFTCKQDAENAIQKLNGSKFAKRVIAVDWAVPKKIFSSDVNDTRASEKEEQNLSDEDSDEEDVELVDKRSGQGDDSDTNHSSAMVEEGAPPEDNFDEEADIARKVLNNLLGSSSKGTSENNGSMLSKENKESRPDEVVKDADGKVSDDLEKVSGVSKPDISSINNLSNPKGTEEDLQRTVFISNLPFECDNEEVKQRFSGFGEVEYFAPVLHQVTKRPRGTGFLKFKTVEAADTAISAAIAASGTGILLKGRPLKVLKALDKKSAHDKELEKAKNNEVNDHRNLYLAKEGLIVEGTTAAEGVSASDMLKRQELERKKKTKLQSPNFHVSRTRLIIYNLPKSMHEKELKKLCIDAVISRATKQKPLIRQIKFLKNEKNGKVAQERYSRGVAFVEFSEHQHALVALRVLNNNPETFGPEHRPIVEFALDNVQTLKLRNAKLQFQQQAPQDDNNGMKNDKPGNKEDHKQDRKRKSREHGEPGKETVVNTNGESGDTLANGKSKRQKGNKKNKRALKENPEALSMKPKNNQNGQKNGGASAEDQNTAPNRRKSGNKDDDTGFRKRKMQNQEQEAGQKMVSKKRPKKNKGSVGKDVVDKLDMLIEQYRSKFSHKSSQENGEKKPSKQVRKWFQS >Vigun04g149900.1.v1.2 pep primary_assembly:ASM411807v1:4:36561982:36565796:-1 gene:Vigun04g149900.v1.2 transcript:Vigun04g149900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVLYIYDVTNSGSEKTNNTIVQINKIFKDGIGLGGIFHSAVQVYGEDEWSFGFCDQGTGVFSCPSGKNPMYTFRESIVLGKTNFSIFKVNQILRELSREWPGSSYDLLAKNCNHFCDEFCERLDVPKLPGWVNRFANAGDTAMEVAGNTALRFRQAKTEIVSASKVAYRFLLGVTNNVTNNVKNGPESPNNNSNRGGSPRFQTAWLKSMITNGAKPSTSSEAEDQNGITPLQPKQEDEKSMLHSSSSHDS >Vigun05g279600.1.v1.2 pep primary_assembly:ASM411807v1:5:46890364:46894933:1 gene:Vigun05g279600.v1.2 transcript:Vigun05g279600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASSRLTLLKGNGRTAGDSRFASRGVFSWLGEGSSGSLPPLHTPLRGFPPPSSLPDYVEPGKTKITTLSNGLKIASETSPNPAASVGLYVDCGSLYESPLSSGASHLLEKMAFKSTTNRSHFRVVREVEAVGGNVGATASREQMGYTFDALRTYVPQMIELLVDSVRNPAFLDWEVNEELRKVKEEIGDIAINPQRLLMEAVHSAGYSGALAMPLFATETALNRLNGPILEEFVAENYTAPRMVLAASGVEHEELLSVAEPLLSDLPSVPRSEEPSSTYVGGDFRQQRESGVAHVAIAFEVPGGWKQEKEAVLLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIHCFSAFNSLFNNTGLFGIYATTSSDFVEKAVDLAARELIALASQGQVSQVQLDRAKESTKSAVLMNLESRMIASEDIGRQILTYGERKPIEQFLKEVDSITLNDISKFSQKIISSPLTMASCGDVMNVPSYESVSSKFHAK >Vigun03g380100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58476516:58477097:1 gene:Vigun03g380100.v1.2 transcript:Vigun03g380100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLVLFLLLALVTFISNANTLPISPQLSQQKRPDNETIYRVSKQLCWGCMAESVEFLFRHNLVRASKWELPLTWDFELEQYARWWAGERKADCKLEHSFPENGFKLGENIFWGGGSAWTPSDAVRVWADEEKYYTYATNSCVPGQMCGHYTQIVWKSTRRIGCARVVCDDGDVFMTCNYDPVGNYVGERPY >Vigun03g129000.1.v1.2 pep primary_assembly:ASM411807v1:3:12471317:12477514:1 gene:Vigun03g129000.v1.2 transcript:Vigun03g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLHVPNNGPCLSFLYLHSSYQTKTQHINKQQITKEETTNSMTSSSSVHCDLLVQEEDILEEKNRKTTLHMLIAEEAEGDDTKAIEMSVRVEGAKEDITVTWENLWVTVSSGKKKKPILQGLTGYASPGRILAIMGPSGCGKSTLLDGLAGRLSSQMKHTGKILINGQKQTLAYGTSGYVTQEDAMLSTLTAGETLYYSAELQFPDSMSTAEKKEQADITLREMGLQGAINTRVGGWGSKGLSGGQKRRLSICIEILTRPRLLFLDEPTSGLDSAASYYVMSRIATLNLRDGIRRTIVTSIHQPSSEVFELFHDLCLLSSGETVYFGPASDANQFFSSNGFPCPTLHNPSDHYLRIINKDFEQDAEEGFGKGVSTEKAIDTLVKSYRLSQVRKQVKREVKKIVESDSCEARKNRIHAAFPTQCLVLTRRSSLQFFRDISNYWLRLIVFIVIAISIGSIFYNIGTENGSVQGRGSLLIFFVSVLTFMTLVGGFSPLLEEMKVFGRERLNGHYGVTAFLIGHTFSAVPYMILISVIPGGIVYHLCGLHKGIDRFFYFTSLLFAIVMWVESLMLVIGSISPNYVIGMFLAGGVEGLMIVTGGFYRLPNDLPKPLWKYPFYYVSFLKYALQGSFKNDFDGLTFSVNLEGGGSASMSGREVLAETWHVEMGHSKWVDLAIMFAMILLYRVLFLVITKFKEKFKPFLASISLRP >Vigun11g190700.1.v1.2 pep primary_assembly:ASM411807v1:11:39012269:39016718:1 gene:Vigun11g190700.v1.2 transcript:Vigun11g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTHQCSWPLRIQDHPDKASINKAPFCRGLDLLNVGYHAQKYGHSARKCRPIFSSSGTNNMDASDSDETDKNKPQNGEMGGVNSEILRENLEKIVGRDDSTFSGFDLATLIRNKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDVANTLKCWGAVSHIRNSLEKSKERPRIGKAVSIFIDMDESGARSNEWIYK >Vigun11g190700.2.v1.2 pep primary_assembly:ASM411807v1:11:39012269:39015731:1 gene:Vigun11g190700.v1.2 transcript:Vigun11g190700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTHQCSWPLRIQDHPDKASINKAPFCRGLDLLNVGYHAQKYGHSARKCRPIFSSSGTNNMDASDSDETDKNKPQNGEMGGVNSEILRENLEKIVGRDDSTFSGFDLATLIRNKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDVANTLKCWGAVSHIRNSLEKSKERPRIGKAVSIFIDMDESGARSNEWIYK >Vigun11g190700.3.v1.2 pep primary_assembly:ASM411807v1:11:39012269:39016718:1 gene:Vigun11g190700.v1.2 transcript:Vigun11g190700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTHQCSWPLRIQDHPDKASINKAPFCRGLDLLNVGYHAQKYGHSARKCRPIFSSSGTNNMDASDSDETDKNKPQNGEMGGVNSEILRENLEKIVGRDDSTFSGFDLATLIRNKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQVLKLLCYIDSFHLVVL >Vigun01g239200.2.v1.2 pep primary_assembly:ASM411807v1:1:41002779:41005230:-1 gene:Vigun01g239200.v1.2 transcript:Vigun01g239200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSPSTPLITICPSTTEHGTRNCQQHVYGREFQSMMLEGLDEEGCVDEVGHQSEKKRRLSIDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANFDALKLNYDTLNHDNEALRKQIKELKSRLEEENTGSGGVSVKEEIMMPDSEDKTTMEQSKSEPSSESEDQLKYECFNANNNNNGCFVGASLFPVDFKDGSSDSDSSAILNEENHCSPITEHLLLSPESSSMNCLQFQKAYQAQYVVKMEEHNFFSADEACNFFSDEQAPTLQWWN >Vigun01g239200.1.v1.2 pep primary_assembly:ASM411807v1:1:41002779:41005230:-1 gene:Vigun01g239200.v1.2 transcript:Vigun01g239200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSPSTPLITICPSTTEEHGTRNCQQHVYGREFQSMMLEGLDEEGCVDEVGHQSEKKRRLSIDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANFDALKLNYDTLNHDNEALRKQIKELKSRLEEENTGSGGVSVKEEIMMPDSEDKTTMEQSKSEPSSESEDQLKYECFNANNNNNGCFVGASLFPVDFKDGSSDSDSSAILNEENHCSPITEHLLLSPESSSMNCLQFQKAYQAQYVVKMEEHNFFSADEACNFFSDEQAPTLQWWN >Vigun04g081500.1.v1.2 pep primary_assembly:ASM411807v1:4:12280982:12283013:-1 gene:Vigun04g081500.v1.2 transcript:Vigun04g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELREEILSRSYVGDEEDGENVDEKGAEGLHKEADVEVEVEQRDQEDEIGERLHKGFDLNSESDVEQFGEVLALQVIAPYDPQQPSFDSLGVDLMKLYHTVTYARTLYRLVANINGQILGTSKCSGFAPGKMVDNMVVLFGASTMMYFQRRKYGHVKRVAFNPLYASHVLNDSRRMKINRRQWTLKDYEAYFRAGLISVEDIVDADFLFAPMVHEGHWWCYAVNCQQKKLYVLDSIGHSNKNRKRVDNAIAYNMGLLFGMLLKCGDEYLIFEAECQNTPIQPNLYDCGIIVLQMMELWDGQKKFDGNTMPDYTNDQLQQIRQ >Vigun03g014500.1.v1.2 pep primary_assembly:ASM411807v1:3:980743:985194:-1 gene:Vigun03g014500.v1.2 transcript:Vigun03g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTLFSVLSVLLLFLSLHPSSAAHDYRDALRKSILFFEGQRSGKLPADQRLRWRRDSAMHDGATAGVDLSGGYYDAGDNIKFGFPMAFTTTMLSWSVIDFEKSMGAELGNALKAVRWGTDYLLKATAKIGSGVVFVQVGDPYSDHNCWERPEDMDTLRTVFKIDGSHPGSDVAGETAAALAAASIVFRSRDPSYSTMLLNRAVAVFQFADSHRGAYSNSLRRAVCPFYCDVNGYQDELLWAAAWLHKASRRRQYREYIVRNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRANYFASFKQNADQFICSTLPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGETTATPALLKHLAKRQVDYILGDNPLGMSYMVGYGPRYPQRIHHRASSLPSVGLHPARIGCKAGSRYFFSPNPNPNVLVGAVVGGPSNNTDSFPDSRPFFQQSEPTTYINAPLVGLLAFFSGHY >Vigun01g160100.5.v1.2 pep primary_assembly:ASM411807v1:1:34187182:34197286:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSKMEAERLSPIHSPSFLRQSLPAIGPMLLISTGYVDPGKWVATVEGGARFGFDLMAFMLIFNFAAIFCQYISARIGVVTGKNLAQICSDEYDTWTCMLLGVQTELSVIMLDLNMILGMAQGLNLIFGWDLFSCVFLAATGVVFHILLAVLLDIEKAKFLGQFVAGFVLVSFILGMLINQPEIPFSMNGILIRLSGESAFVLMSLLGANLLPHNFYLHSSIVQWHQGPTSISKNALCHNHFLAILCVFSGLYLVNNMLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun01g160100.1.v1.2 pep primary_assembly:ASM411807v1:1:34186844:34197302:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSKMEAERLSPIHSPSFLRQSLPAIGPMLLISTGYVDPGKWVATVEGGARFGFDLMAFMLIFNFAAIFCQYISARIGVVTGKNLAQICSDEYDTWTCMLLGVQTELSVIMLDLNMILGMAQGLNLIFGWDLFSCVFLAATGVVFHILLAVLLDIEKAKFLGQFVAGFVLVSFILGMLINQPEIPFSMNGILIRLSGESAFVLMSLLGANLLPHNFYLHSSIVQWHQGPTSISKNALCHNHFLAILCVFSGLYLVNNMLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun01g160100.2.v1.2 pep primary_assembly:ASM411807v1:1:34187182:34197286:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSKMEAERLSPIHSPSFLRQSLPAIGPMLLISTGYVDPGKWVATVEGGARFGFDLMAFMLIFNFAAIFCQYISARIGVVTGKNLAQICSDEYDTWTCMLLGVQTELSVIMLDLNMILGMAQGLNLIFGWDLFSCVFLAATGVVFHILLAVLLDIEKAKFLGQFVAGFVLVSFILGMLINQPEIPFSMNGILIRLSGESAFVLMSLLGANLLPHNFYLHSSIVQWHQGPTSISKNALCHNHFLAILCVFSGLYLVNNMLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun01g160100.4.v1.2 pep primary_assembly:ASM411807v1:1:34187165:34197287:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSKMEAERLSPIHSPSFLRQSLPAIGPMLLISTGYVDPGKWVATVEGGARFGFDLMAFMLIFNFAAIFCQYISARIGVVTGKNLAQICSDEYDTWTCMLLGVQTELSVIMLDLNMILGMAQGLNLIFGWDLFSCVFLAATGVVFHILLAVLLDIEKAKFLGQFVAGFVLVSFILGMLINQPEIPFSMNGILIRLSGESAFVLMSLLGANLLPHNFYLHSSIVQWHQGPTSISKNALCHNHFLAILCVFSGLYLVNNMLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun01g160100.7.v1.2 pep primary_assembly:ASM411807v1:1:34192257:34197287:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun01g160100.6.v1.2 pep primary_assembly:ASM411807v1:1:34189047:34197287:1 gene:Vigun01g160100.v1.2 transcript:Vigun01g160100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVQTELSVIMLDLNMILGMAQGLNLIFGWDLFSCVFLAATGVVFHILLAVLLDIEKAKFLGQFVAGFVLVSFILGMLINQPEIPFSMNGILIRLSGESAFVLMSLLGANLLPHNFYLHSSIVQWHQGPTSISKNALCHNHFLAILCVFSGLYLVNNMLMTSSANEFYSTGHVLLTFQDALSPMEQVLRNPIALLGFLLLLFLANQITALSWSLGGEVVVQGFLKLDIPGWLHYATIRVITVSPALYFVWSSGAEGMYQLLLFTQVLVALQLPSLVIPLFRVATSRSIMGVHKISQFLELLALIIFIGMLGLNIVFVVEMVFGNSDWASDLRWNVGSGVSISYLVLLTTSFSSLCFMLWLAATPLRSVSVRLDSKTWNWDMPNTLPNPPIIGEKSYLTETRCREDASMHVQEPTPAVAKTLEYSDVSYPSFHPALPKSVMEPELLVNVARANHSAMLASTSESEVVTTVINEISHSQLEDTKTITMETNNPIEKTMEVEGDLNAERDDDDDGDSWEAEEPSGFALANVPSSTSDGPASFKCLNGKSDEGGNSFGSLSRIEGLGRAARRQLAAVLDEFWGQVFDFHGHITQEAKANKIDLLLGVSVDLRPTSSLQKVDACRKDYSEYSGSLGGRVSNTLANSDLYDSSQQPLMQCNSESSYGLQRSTSSMRPDRIQLLDACVQNSSHNLLDSGERRYFSVRNLHSSETRDYQPATIHGYQTASYLSRLGKDGDSANLNGPVDLSSLKSPSIVNAKYRDSLAFALGKRLCSGPSVGQPPGFPKLATTSRDCQLQSERSYYDFCPSGSVDNTVNSVNTKKYHSLPDISGYSIPYRSVYVSDKNAPWDGSVGHGSYASRTSYERSPYSNSGTRSGSHLAFNELSSSKAYNEAISSQLSSGFDTGPLRSRLPCEQFGPEKNINIVMEGVGNRPNAIVQETTSFVDIEKKLLLSVRLCIVKLLKLEGSEGLFRKNGGADEDLIDCVASREKVVCEFETREMSQVNHVGEAHYCLSIGNLVFLRLQFLIAGRDVYGELI >Vigun05g265500.1.v1.2 pep primary_assembly:ASM411807v1:5:45751628:45753802:-1 gene:Vigun05g265500.v1.2 transcript:Vigun05g265500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGECISNHPIFNTSSGVGYVTNIAGVNTYVTGSPLAILAIVLISDVYGFKPPLLRKIADKVAHHGYFVAVPDFFHGEPYNRDNASRPFTPWLKDHDPAKGIETAKPIIEALKRKGASAVGVAGFCWGARTVTNLGKAKLVKASVLLHPSYITVDDIRGVEVPIAILGAEHDSLSPPKLLKQFQQVLNAKPEIDSYVKIFPNVSHGWTVRYDPNDPKAVKAAGKAHKIMINWFDKHLKKF >Vigun08g027400.2.v1.2 pep primary_assembly:ASM411807v1:8:2464719:2468380:1 gene:Vigun08g027400.v1.2 transcript:Vigun08g027400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHFLLHRLRLHSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGCSDVEVDYPKGCVKEEKIVSDIAPVIQLAKHIIFSPRYKNGEPLSMEDERAVAKNLLIYHPDYEDKIGSGLYAITMVAGSTSPIGCALRNTLKKSTKQVQIGLLVKSLLKDGQ >Vigun08g027400.1.v1.2 pep primary_assembly:ASM411807v1:8:2464719:2468380:1 gene:Vigun08g027400.v1.2 transcript:Vigun08g027400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHFLLHRLRLHSFQGFTVAPPQGHRLWCSATSSPEEATENASGGTHTGCSDVEVDYPKGCVKEEKIVSDIAPVIQLAKHIIFSPRYKNGEPLSMEDERAVAKNLLIYHPDYEDKIGSGLYAITVDKHPLYLISRCLFVERIDGSRIDFSYRVCLKEYIEKKYQTSADRFVSKKSLKGWTVMY >Vigun09g104100.1.v1.2 pep primary_assembly:ASM411807v1:9:18721973:18729245:1 gene:Vigun09g104100.v1.2 transcript:Vigun09g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGMTSSMVAPINMGKQLLGNWCSAKKRIVEINSPCNSTCYNTNRRFSLLVSACSHCSPVGGNTRKGLKDVPLINGRARKLGNESTEDFATFLRGRFVEDRFVYRQNFFVRSYETGPDKTISMETLTNFLQETALNHVRSCGLNENSFGTTYEMDLRKLIWVVTRMQVQVQRYSKWGDEIEVETWIDVGGKNGTRRDWIIRDRYTKEIIAKATSKWAIMNRETRRLSKMHEEVRQELAPFYFNRFAIAAEEIDHQKIQKLTDSTVEGFRFGVIPGWYDMDVNQHVNNAKYTRWISESVPRKMLEDYTMTSMTLEFRRECTQSDILESMTSASSKVIGASNNKYVSKKPHLQYIHLLRLQDNKTELVRARTEWHLKLNHN >VigunL059039.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000279.1:1141:1500:1 gene:VigunL059039.v1.2 transcript:VigunL059039.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun10g085200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:24290277:24291190:1 gene:Vigun10g085200.v1.2 transcript:Vigun10g085200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDNNLAASNHFVTFFNPLFPSSVSIIQTRPKLRNKIEHPSVSDEPLPLIPLHMINETREQYSRSFIMASEPLPLSPSIVPSNDAQNDELLFLSDPKFARHGEILFLVFVLLFSFFLSVIAVFMFMKRVRSHLNQNEGLKLDV >Vigun10g085200.2.v1.2 pep primary_assembly:ASM411807v1:10:24290277:24291190:1 gene:Vigun10g085200.v1.2 transcript:Vigun10g085200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDNNLAASNHFVTFFNPLFPSSVSIIQTRPKLRNKIEHPSVSDEPLPLIPLHMINETREQYSRSFIMASEPLPLSPSIVPSNDAQNDELLFLSDPKFARHESS >Vigun01g192900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37062041:37064261:1 gene:Vigun01g192900.v1.2 transcript:Vigun01g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNQTASTMEIETVPSEAKLLPAKPKFEPLKPHEISDGEIQFRKVNVPPHRYTPLKKAWMDIYTPIFEQMKIDVRMNLKARRVELKTRTDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >Vigun01g192900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37062054:37066989:1 gene:Vigun01g192900.v1.2 transcript:Vigun01g192900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNQTASTMEIETVPSEAKLLPAKPKFEPLKPHEISDGEIQFRKVNVPPHRYTPLKKAWMDIYTPIFEQMKIDVRMNLKARRVELKTRTDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >Vigun01g192900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37060464:37063879:1 gene:Vigun01g192900.v1.2 transcript:Vigun01g192900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNQTASTMEIETVPSEAKLLPAKPKFEPLKPHEISDGEIQFRKVNVPPHRYTPLKKAWMDIYTPIFEQMKIDVRMNLKARRVELKTRTDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFAIENASKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRAVTARLAERF >Vigun07g061900.2.v1.2 pep primary_assembly:ASM411807v1:7:7036074:7057280:-1 gene:Vigun07g061900.v1.2 transcript:Vigun07g061900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVMKKTISNVCALKIPRKYFCTEGVKVGGSAIGEASNEHEKKQTISKIIPQYDIAIVGGGMVGMALACFLASMPMTKQLNVAIVDSNPALSSGLHIKKEDPPDPRVWDYTGLGYARYHARDVNKDYLGCVAENKVLHNALLSCVKDSDFKTTIYPLRLSSMTLNTSSMSVVEENTKSVEPPSAQGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTRDMFSWFKMDATISTNEFFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHRLPIFL >Vigun07g061900.3.v1.2 pep primary_assembly:ASM411807v1:7:7036074:7057280:-1 gene:Vigun07g061900.v1.2 transcript:Vigun07g061900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFDGILMSFQEHEKKQTISKIIPQYDIAIVGGGMVGMALACFLASMPMTKQLNVAIVDSNPALSSGLHIKKEDPPDPRVSTVTPASISFLRDSGAWKYVEQNRHAYFNAMQVWDYTGLGYARYHARDVNKDYLGCVAENKVLHNALLSCVKDSDFKTTIYPLRLSSMTLNTSSMSVVEENTKSVEPPSAQGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTRDMFSWFKMDATISTNEFFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHRLPIFL >Vigun07g061900.1.v1.2 pep primary_assembly:ASM411807v1:7:7036074:7057280:-1 gene:Vigun07g061900.v1.2 transcript:Vigun07g061900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVMKKTISNVCALKIPRKYFCTEGVKVGGSAIGEASNEHEKKQTISKIIPQYDIAIVGGGMVGMALACFLASMPMTKQLNVAIVDSNPALSSGLHIKKEDPPDPRVSTVTPASISFLRDSGAWKYVEQNRHAYFNAMQVWDYTGLGYARYHARDVNKDYLGCVAENKVLHNALLSCVKDSDFKTTIYPLRLSSMTLNTSSMSVVEENTKSVEPPSAQGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPMGDKFSNIVWTMSPAESNSRKSTTEEEFLKDVNYALDYGYGPRPTSGLLGTRDMFSWFKMDATISTNEFFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIALGTDIGEVNLLKKYETERRSANIMMMAILDGFQKAYSVDFGPFNILRAAAFHGANCISPLKKGIISYASGEHRLPIFL >Vigun07g044700.1.v1.2 pep primary_assembly:ASM411807v1:7:4517358:4520126:1 gene:Vigun07g044700.v1.2 transcript:Vigun07g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MADNKKRRRKDSTGDELPFKNKLKPDASILETLKDFSTASSSSSVPQTLTLQDLTLPFRCREVADLSLSSVQSNIESLVLRIAHSIISGQGFSFDVPSRSAANQHYVAELDRIVLKDKSSQRPFANISTVRKSAITARILQLIHQLCLKGIHVTKRDLFYTDVKLFQDQIQSDAVLDDVSCMLGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVSTRLFLRKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYKIPEQCRLPMTDQDIKTGKDLLEEDFVKKNPGWVEELTLMVKTKQKAEIQALSTFGFQYLSEVYLPLKLQQKDWL >Vigun06g149600.1.v1.2 pep primary_assembly:ASM411807v1:6:27431954:27434571:-1 gene:Vigun06g149600.v1.2 transcript:Vigun06g149600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLKLKAVPNENPKENVKRETVDLGNGSDVVYIQRLIPSDQSWKWFQYLDKHIPWTRPTIRVFGKSFLQPRDTCYVATQGLTELTYSGYQPHAYSWDDYPPLKDMLDAVHKALPGSSFNSLLLNRYNGGNDYVGWHSDDEKLYGPTPEIASLTFGCERDFVLKKKPCKKSCDGSDEPASKRLKKGGHDADQHTFRLKHGSLLVMRGHTQRDWIHSVPKRAKAEGTRINLTFRRVF >Vigun06g149600.2.v1.2 pep primary_assembly:ASM411807v1:6:27431954:27433412:-1 gene:Vigun06g149600.v1.2 transcript:Vigun06g149600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLMWYLNLETLASKELVFGPRDTCYVATQGLTELTYSGYQPHAYSWDDYPPLKDMLDAVHKALPGSSFNSLLLNRYNGGNDYVGWHSDDEKLYGPTPEIASLTFGCERDFVLKKKPCKKSCDGSDEPASKRLKKGGHDADQHTFRLKHGSLLVMRGHTQRDWIHSVPKRAKAEGTRINLTFRRVF >Vigun05g047650.1.v1.2 pep primary_assembly:ASM411807v1:5:3993379:3994452:1 gene:Vigun05g047650.v1.2 transcript:Vigun05g047650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSQSNTGAVQATDDDASGSKLSCVQKGIHER >Vigun05g019900.1.v1.2 pep primary_assembly:ASM411807v1:5:1630830:1645730:-1 gene:Vigun05g019900.v1.2 transcript:Vigun05g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVILQAAFSPNPDERKAAEQSLNQFQYAPQHLVRLLQIIVDNNVDMGVRQVASIHFKNFIAKNWSPLDETQQKISQSDKDVVRDHILVFVTQVPPLLRVQLGECLKTVIHCDYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERVPVYRIVDETFPHLLNIFNGLVQIVNPSLEVADLIKLICKIFWSSIYLEIPKLLFDQNIFNAWMMLFLNILERPVPSEGQPVDPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKHYAGKILECHLNLLNVVRVGGYLPDRVINLILQYLSNSISRNSMYTLLQPRLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFRRYDEASAEYKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDQNNFRKALQCVVSRMQDSELPVRVDSVFALRSFIEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMSTAEADDEADEPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAQFLSCKEPDYQQSLWNMISSIMSDKNMEDNDIIPAPKLIEVVFQNCKGHVDHWVEPYLRITVERLRHTEKSYLKCLFMQVIADALYYNAALTLSILQKLGVASEIFHLWFQLLQQVKKSGMRANFKREHEKKVCCLGLTSLLALPSDQLPAEALGRVFRANLDLLVAYKEQVAEAAKEEEAEDDDDMDGFQTDDEDEDGSGFDKEMGVDADDGDDADTITLRKLAEQAKSFRPNDEDDDDSDDDYSDDEELQSPIDEVDPFVFFVDTIKVVQSSDPLRFENLTQTLEFNYQALANGVAQHAEQRRAEIEKEKLEKSTAATTA >Vigun01g132900.2.v1.2 pep primary_assembly:ASM411807v1:1:31076609:31079931:-1 gene:Vigun01g132900.v1.2 transcript:Vigun01g132900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEPKTDYAEIYTASDALDASSIFHTFYDVVGFVLYMHQQIPSTVQDMAIEFEAMHSEYKELETELGAEVKPSFRRKHVSKMRDIKVGIKRLDKLMNSLLNLQTAFKIVINEVPTIDGVVLALGASPLRPQNIYELNFSHSIGVSKDADDFARSKAAESLSRKVIRTLISKGAGSVTYPGPIRLFVLIKAPSSFNQPMHFLPKRDFKYNRKVVPLGLQFKCRNQNQEVVATASEDLIWFQCRHVIKGLAMNTLPEE >Vigun01g132900.1.v1.2 pep primary_assembly:ASM411807v1:1:31076595:31079983:-1 gene:Vigun01g132900.v1.2 transcript:Vigun01g132900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEPKTDYAEIYTASDALDASSIFHTFYDVVGFVLYMHQQIPSTVQDMAIEFEAMHSEYKELETELGAEVKPSFRRKHVSKMRDIKVGIKRLDKLMNSLLNLQTAFKIVINEVPTIDGVVLALGASPLRPQNIYELNFSHSIGVSKDADDFARSKAAESLSRKVIRTLISKGAGSVTYPGPIRLFVLIKAPSSFNQPMHFLPKRDFKYNRKVVPLGLQFKCRNQNQEVVATASEDLIWFQCRHVIKGLAMNTLPEE >Vigun01g132900.3.v1.2 pep primary_assembly:ASM411807v1:1:31076628:31079931:-1 gene:Vigun01g132900.v1.2 transcript:Vigun01g132900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEPKTDYAEIYTASDALDASSIFHTFYDVVGFVLYMHQQIPSTVQDMAIEFEAMHSEYKELETELGAEVKPSFRRKHVSKMRDIKVGIKRLDKLMNSLLNLQTAFKIVINEVPTIDGVVLALGASPLRPQNIYELNFSHSIGVSKDADDFARSKAAESLSRKVIRTLISKGAGSVTYPGPIRLFVLIKAPSSFNQPMHFLPKRDFKYNRKVVPLGLQFKCRNQNQEVVATASEDLIWFQCRHVIKGLAMNTLPEE >Vigun03g203301.1.v1.2 pep primary_assembly:ASM411807v1:3:32565347:32566076:1 gene:Vigun03g203301.v1.2 transcript:Vigun03g203301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGGTCPDPHPRPKHKPVKPTPSGGFGGSGGSGGSGGSGGSGGSGGSGGSGGSGGSGSGTTCPRDALKLGMCANVLNGLVNATLLGQPPVTPCCTLLNCLVDLEAAVCLCTALRANILGINLNLPISLSLLLNVCSIQPPRNFQCF >Vigun02g028126.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10284358:10284942:-1 gene:Vigun02g028126.v1.2 transcript:Vigun02g028126.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVIVVVEVVAAVVEVVAAVVVIVVVEVVGAVVVAMVVVLVVVVVVVVVMVVSVMVVMFLVVVVVVMLVVVVVVVLVVVVVALVEVVVVAVVVVVVIAVVVVVVVAVVVVSVLVVLVLLVVMVVVVVVVVVEVVALVVVMVVVVAVVVFVVVVVVVIMVVVVMMVVIVGAVVVVEMMGGGGRGCSGGGGWLW >Vigun07g290500.2.v1.2 pep primary_assembly:ASM411807v1:7:40236133:40240259:-1 gene:Vigun07g290500.v1.2 transcript:Vigun07g290500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGAGPVGGSGSASTIKQVKLERESELRIEVGNDAPLRLRLLNGTAEIFGTELPPEIWLNFPPRLKFAVFTWFGATIEMDGATETDYTADETPMVSYVNVHAILDGRRSRAKASSPDDSDASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCIAATPIEMPIDPVEGIPLEMPLVYFYGHATPSNNVELYKVLVKELSGMIERQFTGNTESRASGMVINTMGWIEGVGYDLLLHAIRTFKANVVLVLGQEYFYGLSNDLSPHSNIANFSDLFVYRVGGGPQAPRSALPIGAEPAADPTRVVPVNINRDLLHLVLAVSFAKEPDEIISSNVAGFIFVTDIDIQRKKITYLAPSSGELPSKYLIMGSLTWLET >Vigun07g290500.1.v1.2 pep primary_assembly:ASM411807v1:7:40236133:40240259:-1 gene:Vigun07g290500.v1.2 transcript:Vigun07g290500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGAGPVGGSGSASTIKQVKLERESELRIEVGNDAPLRLRLLNGTAEIFGTELPPEIWLNFPPRLKFAVFTWFGATIEMDGATETDYTADETPMVSYVNVHAILDGRRSRAKASSPDDSDASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCIAATPIEMPIDPVEGIPLEMPLVYFYGHATPSNNVELYKVLVKELSGMIERQFTGNTESRASGMVINTMGWIEGVGYDLLLHAIRTFKANVVLVLGQEKLCSMLKDVLKSEPKVDVVKLQRSGGVVSRNAKVRQKARSYRIREYFYGLSNDLSPHSNIANFSDLFVYRVGGGPQAPRSALPIGAEPAADPTRVVPVNINRDLLHLVLAVSFAKEPDEIISSNVAGFIFVTDIDIQRKKITYLAPSSGELPSKYLIMGSLTWLET >Vigun05g123000.5.v1.2 pep primary_assembly:ASM411807v1:5:13629136:13629444:1 gene:Vigun05g123000.v1.2 transcript:Vigun05g123000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLFEEYNKSWLFFCHEGRLMIYLKNTTKVIFQMNDVQVSRWMKCLKNIAKVETFVLLFVRSKYFCICFLVY >Vigun10g137400.1.v1.2 pep primary_assembly:ASM411807v1:10:35385928:35391988:-1 gene:Vigun10g137400.v1.2 transcript:Vigun10g137400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGPFGKVVEGVIDFVSKNGVRHMTYIVHYNENVRELKDSVRDLKFEKQRIIHQCEEGTKNLNNIEEKVTEWVRKVSEIDTTIEVFENDDGHTKARSPNCFVFPYLWNRHRLGRQAYKEKVNVKRLIDESPKVDEVLYRQNVTSNDATLSNCGFVEFSSIKSTMEKVMIELQDSSVRMIGLYGRGGVGKSTLVKEIARKAKEKKLFDVVVKVEITADPNPQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKKESGNILLILDDLWDKLDLNKLGIPLDDDEDDDDFNSGNKDLSRQKNKDNKDPNQKTQEKKDDNRTVLKKEKFLGGHKGCKILLTSRDKKVLCVEMDVKTTFCVRELDDKDALILFKKLAGIHNEMSSSKQEIVKKYCEGLPMAIVVVARALRNKSESVWEATIKKLKKHELVGEGTSMDISVKMSYHHLENEEIKSIFLLCAQMGRRPLIMDLVKYCFGLGILEGVSSLWEAREKIKTSIQKLKDSGLLLDESSNNHFNMHGMVRDTALSIAHKDHNAFNLRNGKLDDWPELEKCTSISICNSDIIDGLPEVINCPQLKLFQIDTNDPSLEIPEGFFRRMKNLNVLIMTGFRLSSLPYSIQCLLKLRMLCLERCTLRCNLSIIGKLKKLRILSFSGSLLQNLPAELQCLDKLRMLDISDCSELKIIPPNLISSLTCLEELYIRESLIKMLVDRETNKGQDLFLSELKNLHQLKVVELSIPCVSIFPNHLFFDKLKDYKIMIGDFDFFSLGEFRMPDKYETLRVLALQLKDDTNIHSQESIKLLLKTVQILLLGKINGVQSVVNELNIDGFPDLKHLSIINNSDIKYVNSTELCNYVNVFPNLESLCLNNLGNLDMICYGPITVVSFAKLKTIKVEMCYRLKNLYSLDMVMFPTGAQTCEISEYNSYMDKFLSSLEIIEVSECGSLKEILQIPKHYGKVEFLKLHTLTLRLLPLFTCFYTKVEKFCCPHLTEAQTTNRGLTELSEEDEQSDKEPPLFGELVEIPNLETLNLSSLNIHKIWGDQHSSSFIFQNLIKLVVKDCDKLTYLCSLSMARSLKKLKSLVISECPIMEKIFKTERNSADKVCIFPMLEEIHLSKMNRLTDMWQTKVSADSFSSLISVKIEECNKLDKIFPSHMEGWFESLDNLKVSWCQSVEVIFEINVSQEKDEFGGIDTNLQVILLEGLPNLKQLWSTDPNGILNFKKLQSIEVGSCDELRNLFPVSVAKDIPKLEHMSALHCEKMEEIVASQDASEAKKDLLLFPKLTSVRLYDLPNMKYFYKKRYPIKCPKLKELSVRRCVSLKHFSKIPLKQQTKSKISFSQLKRYSPTWSTWKLTSKKHKNCYRSTKCTA >Vigun10g137400.2.v1.2 pep primary_assembly:ASM411807v1:10:35383426:35396578:-1 gene:Vigun10g137400.v1.2 transcript:Vigun10g137400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGPFGKVVEGVIDFVSKNGVRHMTYIVHYNENVRELKDSVRDLKFEKQRIIHQCEEGTKNLNNIEEKVTEWVRKVSEIDTTIEVFENDDGHTKARSPNCFVFPYLWNRHRLGRQAYKEKVNVKRLIDESPKVDEVLYRQNVTSNDATLSNCGFVEFSSIKSTMEKVMIELQDSSVRMIGLYGRGGVGKSTLVKEIARKAKEKKLFDVVVKVEITADPNPQKIQEEIAYVLGLRLEGEGENVRADCLRRRLKKESGNILLILDDLWDKLDLNKLGIPLDDDEDDDDFNSGNKDLSRQKNKDNKDPNQKTQEKKDDNRTVLKKEKFLGGHKGCKILLTSRDKKVLCVEMDVKTTFCVRELDDKDALILFKKLAGIHNEMSSSKQEIVKKYCEGLPMAIVVVARALRNKSESVWEATIKKLKKHELVGEGTSMDISVKMSYHHLENEEIKSIFLLCAQMGRRPLIMDLVKYCFGLGILEGVSSLWEAREKIKTSIQKLKDSGLLLDESSNNHFNMHGMVRDTALSIAHKDHNAFNLRNGKLDDWPELEKCTSISICNSDIIDGLPEVINCPQLKLFQIDTNDPSLEIPEGFFRRMKNLNVLIMTGFRLSSLPYSIQCLLKLRMLCLERCTLRCNLSIIGKLKKLRILSFSGSLLQNLPAELQCLDKLRMLDISDCSELKIIPPNLISSLTCLEELYIRESLIKMLVDRETNKGQDLFLSELKNLHQLKVVELSIPCVSIFPNHLFFDKLKDYKIMIGDFDFFSLGEFRMPDKYETLRVLALQLKDDTNIHSQESIKLLLKTVQILLLGKINGVQSVVNELNIDGFPDLKHLSIINNSDIKYVNSTELCNYVNVFPNLESLCLNNLGNLDMICYGPITVVSFAKLKTIKVEMCYRLKNLYSLDMVMFPTGAQTCEISEYNSYMDKFLSSLEIIEVSECGSLKEILQIPKHYGKVEFLKLHTLTLRLLPLFTCFYTKVEKFCCPHLTEAQTTNRGLTELSEEDEQSDKEPPLFGELVEIPNLETLNLSSLNIHKIWGDQHSSSFIFQNLIKLVVKDCDKLTYLCSLSMARSLKKLKSLVISECPIMEKIFKTERNSADKVCIFPMLEEIHLSKMNRLTDMWQTKVSADSFSSLISVKIEECNKLDKIFPSHMEGWFESLDNLKVSWCQSVEVIFEINVSQEKDEFGGIDTNLQVFPNLEHMEIDFKEAQELLPKYQMHRLKELSLISVESVDLLNQFPYRVPNLEKLKFDSSNFKELVPKANIGRQKGLGIVLQLKELVFLNSQIKDLGFERGQLLQRLELLRLEDCDQLSNLAPPSVSLTYLTYLELKSCGGLRNLMAFSTAKSMVQLKTMKVIDCAEVEEIVSKEGSEEGKVMKIVFSKLISIELVGLNNLTSFCSHEECEFVFPSLEVLIVRECFKMRKFSEKGSITPKLKDVFGVEGDEKAKWQWEGDLNATIQKIFNDKVTFTYTEYLNIEDYTELIEQLWHDRHWVQQNRFGYLKRLNAWGCDTLVHIIPSHLLSCFHNLEELDVWNCSNAEVIFNINHNNRMTKASGIFSLKNLCLYDLPKLEHLWDEDPKGIIDLHVLEELRVEYCRSLTCLFPESVAKDLTGLEVFMVTECEQLEEIYRKDETSGEEEGSAQHSMFPRLTKCTLEQLPRLKYFIHCSKQQDNLTKFFPSPPVATSTMINFESYVFRTVGRSTLRI >Vigun02g101500.2.v1.2 pep primary_assembly:ASM411807v1:2:25615238:25620248:-1 gene:Vigun02g101500.v1.2 transcript:Vigun02g101500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPINLPNKNRTLTFVVTLIALCGLSFYLGGIFCSGKDGVVVKTIQKALDTPMQTSGSLLMRTITFPECGLDYQDYTPCTDPKRWRKYGLYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFLFPGGGTMFPNGVGEYVDMMQELIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYEHRWRGWNTTAEEQKSDYNKLQNLLTSMCFKLYNKKEDIAVWQKAKDNSCYDKLPKGNYPPKCDDSIDPDSAWYTPLRPCFVVPDPKHKKSVLTYMPKWPERLHATPERIAVAHGASTSASISTFNHDDGKWKKRIQHYKKLLPELGTDKIRNVMDMNTVYGAFAAALINDPLWVMNVVSSYGLNTLPVVYDRGLIGTLHDWCEAFSTYPRTYDLLHLDGYFSAENHRCEMKYVLLEMDRILRPGGHALIRESSYFVDAIATVGKGMRWICRKETTEYGVDKEKVLICQKKLWHSSNKGSR >Vigun02g101500.3.v1.2 pep primary_assembly:ASM411807v1:2:25615238:25620242:-1 gene:Vigun02g101500.v1.2 transcript:Vigun02g101500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPINLPNKNRTLTFVVTLIALCGLSFYLGGIFCSGKDGVVVKTIQKALDTPMQTSGSLLMRTITFPECGLDYQDYTPCTDPKRWRKYGLYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFLFPGGGTMFPNGVGEYVDMMQELIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYEHRWRGWNTTAEEQKSDYNKLQNLLTSMCFKLYNKKEDIAVWQKAKDNSCYDKLPKGNYPPKCDDSIDPDSAWYTPLRPCFVVPDPKHKKSVLTYMPKWPERLHATPERIAVAHGASTSASISTFNHDDGKWKKRIQHYKKLLPELGTDKIRNVMDMNTVYGAFAAALINDPLWVMNVVSSYGLNTLPVVYDRGLIGTLHDW >Vigun02g101500.4.v1.2 pep primary_assembly:ASM411807v1:2:25615238:25618899:-1 gene:Vigun02g101500.v1.2 transcript:Vigun02g101500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPINLPNKNRTLTFVVTLIALCGLSFYLGGIFCSGKDGVVVKTIQKALDTPMQTSGSLLMRTITFPECGLDYQDYTPCTDPKRWRKYGLYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFLFPGGGTMFPNGVGEYVDMMQELIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYEHRWRGWNTTAEEQKSDYNKLQNLLTSMCFKLYNKKEDIAVWQKAKDNSCYDKLPKGNYPPKCDDSIDPDSAWYTPLRPCFVVPDPKHKKSVLTYMPKWPERLHATPERIAVAHGASTSASISTFNHDDGKWKKRIQHYKKLLPELGTDKIRNVMDMNTVYGAFAAALINDPLWVMNVVSSYGLNTLPVVYDRGLIGTLHDWCEAFSTYPRTYDLLHLDGYFSAENHRCEMKYVLLEMDRILRPGGHALIRESSYFVDAIATVGKGMRWICRKETTEYGVDKEKVLICQKKLWHSSNKGSR >Vigun02g101500.1.v1.2 pep primary_assembly:ASM411807v1:2:25615238:25620242:-1 gene:Vigun02g101500.v1.2 transcript:Vigun02g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPINLPNKNRTLTFVVTLIALCGLSFYLGGIFCSGKDGVVVKTIQKALDTPMQTSGSLLMRTITFPECGLDYQDYTPCTDPKRWRKYGLYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFLFPGGGTMFPNGVGEYVDMMQELIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYEHRWRGWNTTAEEQKSDYNKLQNLLTSMCFKLYNKKEDIAVWQKAKDNSCYDKLPKGNYPPKCDDSIDPDSAWYTPLRPCFVVPDPKHKKSVLTYMPKWPERLHATPERIAVAHGASTSASISTFNHDDGKWKKRIQHYKKLLPELGTDKIRNVMDMNTVYGAFAAALINDPLWVMNVVSSYGLNTLPVVYDRGLIGTLHDWCEAFSTYPRTYDLLHLDGYFSAENHRCEMKYVLLEMDRILRPGGHALIRESSYFVDAIATVGKGMRWICRKETTEYGVDKEKVLICQKKLWHSSNKGSR >Vigun02g101500.5.v1.2 pep primary_assembly:ASM411807v1:2:25615238:25618857:-1 gene:Vigun02g101500.v1.2 transcript:Vigun02g101500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPINLPNKNRTLTFVVTLIALCGLSFYLGGIFCSGKDGVVVKTIQKALDTPMQTSGSLLMRTITFPECGLDYQDYTPCTDPKRWRKYGLYRLTLLERHCPPIFERKECLVPPPDGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFLFPGGGTMFPNGVGEYVDMMQELIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLMEIHRILRPGGFWVLSGPPVNYEHRWRGWNTTAEEQKSDYNKLQNLLTSMCFKLYNKKEDIAVWQKAKDNSCYDKLPKGNYPPKCDDSIDPDSAWYTPLRPCFVVPDPKHKKSVLTYMPKWPERLHATPERIAVAHGASTSASISTFNHDDGKWKKRIQHYKKLLPELGTDKIRNVMDMNTVYGAFAAALINDPLWVMNVVSSYGLNTLPVVYDRGLIGTLHDWCEAFSTYPRTYDLLHLDGYFSAENHRCEMKYVLLEMDRILRPGGHALIRESSYFVDAIATVGKGMRWICRKETTEYGVDKEKVLICQKKLWHSSNKGSR >Vigun10g136651.1.v1.2 pep primary_assembly:ASM411807v1:10:35171386:35172009:-1 gene:Vigun10g136651.v1.2 transcript:Vigun10g136651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSCPCHFTGFSSVYCLRFRIVTSKILDHPIPIRQQLPTSKKWSTCSCEV >Vigun01g079400.1.v1.2 pep primary_assembly:ASM411807v1:1:22470509:22474068:1 gene:Vigun01g079400.v1.2 transcript:Vigun01g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSLRLSVNIVAQPQGSHLNLYSTAFKEPFIELNKVDRRHGKRPLPSDHASRENREGFNFPSQQGEEEPSSFPFVQHPINTADDSTPSTYGQIPTSTLIETQDPSQHPLNQGRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAAAYDAAALKFKGSKAKLNFPEHLLLASIPPSSPSSSPSPQTINTNNSYYASFSSPLAPHAPTPSPPPPLYQPQPLPSQEEAFPNLMQYAQLLWSRDDDDLQRVASGLHHDSFYDSSSSTLFSSSSTSSVVTESDQRIGGDTSGFKDSCFSRGSDFHAKN >Vigun03g293600.1.v1.2 pep primary_assembly:ASM411807v1:3:47899382:47903815:-1 gene:Vigun03g293600.v1.2 transcript:Vigun03g293600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEQELEKKVEIVLKTIGPARPSRLLLPSSIKVRDLRRLVAGNVNLPIENLSLVLRGCALCDMKNGDEVSVQLNDGDSLIVAVKPKPPVKDEYDNDDDDEDLKFRLPHSSSRWKKWLYTFLHDNLKLPDVVLMVIFTLSLKAWLLITLWFILAPVAHRWDLGPLYILATGFCLILFNLGKRQAGDISAYSIFNEDFRELPGTLNADRLDRDIRAGQF >Vigun03g293600.2.v1.2 pep primary_assembly:ASM411807v1:3:47899382:47903815:-1 gene:Vigun03g293600.v1.2 transcript:Vigun03g293600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEQELEKKVEIVLKTIGPARPSRLLLPSSIKVRDLRRLVAGNVNLPIENLSLVLRGCALCDMKNGDEVSVQLNDGDSLIVAVKPKPPVKDEYDNDDDDEDLKFRLPHSSSRWKKWLYTFLHDNLKLPDVVLMVIFTLSLKAWLLITLWFILAPVAHRWDLGPLYLQLAFVSFSSTLESAKLVTSVHILSSMKTSESFQGRLTQIGLIEI >Vigun01g215600.1.v1.2 pep primary_assembly:ASM411807v1:1:38931833:38936046:-1 gene:Vigun01g215600.v1.2 transcript:Vigun01g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVQVSACALSAAVKNLRLRPTAVVSFTNHFRKKSLRVVSMSSVPPSQPFEIAVKASVTTPNSLGDCPFCQRVLLTLEEKHLPYEPKLVDFTNMPEWFLKANPDRKVPVIKFDEKWVPDSDVITQTLEEKYPIPPLVTPPEKATVGSKIFSTFIGFLKSKDPNDGTEQALLNELSSFNDYIKENGPYINGNEISAADLSLGPKLYHLEIALGHYKKWTVPDSLTSLKAYTKAIFSRESFIKTSAQPQDVIEGWRPKVEG >Vigun07g037800.1.v1.2 pep primary_assembly:ASM411807v1:7:3621010:3623101:-1 gene:Vigun07g037800.v1.2 transcript:Vigun07g037800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDNWIICNILHKIYRYWELKVQRVMGSETQCELPLVDFTNQNLKPGTHPWLSTCEVVRSALEDHGAFLALYDNITFETYDSVYSEMKNFFDLSTETKRRKTTEKPIFSYSGQRPQIPLYESAGIVNPLSFQDCQKFTHVMWPQGNHNFCESVHSYAKQVVKLDHIVKRMMFESYGVERKKLECLLESTEYVLRGYKYRIPEEGESNLGVAPHSDTALLTILNQKVEGLGVKLKNGEWFEVGVSPSLFLVMGGDALMVWSNGRIGACEHRVIVKSKKERYSMGLLSYAGKIMEPEEKLVDEEHPLRYKPLDHYGYLRFFLTQEALKSDSRIKAYCGI >VigunL012966.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:64102:64586:1 gene:VigunL012966.v1.2 transcript:VigunL012966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMVTEFINYSEQIIRAARYIGQGLMITLSHANRTFSRRIHFEFDKCIACEVCVRVCPIDLPIVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNLIALGRLPVSVIDDYTIRTIQIK >Vigun02g012900.1.v1.2 pep primary_assembly:ASM411807v1:2:4939837:4946848:-1 gene:Vigun02g012900.v1.2 transcript:Vigun02g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTISQEAFEELVKENVDDLGMDPMEALQDAIQTLTLQGVDLSGIVTSVPGDTNPVIDCLDRLKNLQSEPDDDELVIAFNTLHELCSNPNPNANSNAAIATRNGAVELVFSFIPRATGSRALLLSALNALSTLLHDVQSTGTFQRCDGPTIVIGFLADNKQDVEILSSGFRVVASAATGDEIVKESFMELKVDELVLEILSLHKNEGIQSLYDAVRALLTPDDNRVVASQVYGYARKFAKIGIAEALVDSLSAGLCSPDLVPACTTLKVIAVNDEICKSIAEKGGVDAVLRCINDSAEQGNKAVAKVCCSLLSKLAGSDMNKSEIVGKGGMAKLMILSSRFSDDPSVLQEVMSIISVLCLRSPENAARAVEAGAGELAVQAMKKFPAAHQMQRNSCLMIRNLVVRNPENRTTLLLNGIEKYIRKAKGTHSNCKDAATDALRDLGLDNYNL >Vigun02g141000.1.v1.2 pep primary_assembly:ASM411807v1:2:28976647:28979752:1 gene:Vigun02g141000.v1.2 transcript:Vigun02g141000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYPLLLYIFLRYHLKSGYGMVVCDLWEHTAHRKSPNPTQQHPSAFMELSLDLSLGFVPKPISLFFADVSGNRDKTDKVATLDGFVQRLEDELRKVEAFKRELPLCMLLLNDAIARLKEEKVKCSVLQDLPTSEQFVPPKTTSGESENEKCEKKNWMSSAQLWSTQKIKSRNEEGDRSVPENPINANLCAPRKEGSQIPSFSLMGHASELSHSNSKSVCGDTSSGSSLLRVEVQSQPQPPQHMQQNPRKQRRCWSPELHRRFVDALQQLGGAQVATPKQIRELMQVESLTNDEVKSHLQKYRLHVRRFPVSSVGQADNGSWMGQDQCGDKSKGNLSQSGSPQGPLTPLLLGGGGSAKGISSPGRNSVDAEDEQSDCRNWKGGLHQHLEADNHSL >Vigun10g007800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:739330:740382:1 gene:Vigun10g007800.v1.2 transcript:Vigun10g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLSCGPILQRVNSNNNDACLPAKEESIRSYDNNNNNNNNNNNNNIDNNNNNNNNNNNSKKKARHNRSLSYGNMTPPQYANSGPLAKVKAQHHRRSNSESGVEPRLVRSSGMRRDWSFEDLSTQQKDKGVRCH >Vigun10g162500.1.v1.2 pep primary_assembly:ASM411807v1:10:38132441:38134575:-1 gene:Vigun10g162500.v1.2 transcript:Vigun10g162500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWMQNKLGGKHDNRKPNTHTTATYHAKQEPREEFSDWPHGLLAIGTFGNNSEIKENLDDQNAQEDPSSSEEIADFTPEEIGNLQKELTKLLRRKPNVEKEISELPLDRFLNCPSSLEVDRRISNALCSESDDKEEDIEKTLSVIIDKCKDICADNRKKTIGKKSISFLLKKIFVCRSGFAPTPSLRDTLQESRMEKLLRTMLHKKIYNQNSSRTSLMAKCIEDKKMTRKRSDDESDERSGEGSKWVKTDSEYIVLEI >Vigun07g054800.2.v1.2 pep primary_assembly:ASM411807v1:7:5944993:5953371:1 gene:Vigun07g054800.v1.2 transcript:Vigun07g054800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHEENPYEGGGGGFGKFRKRPLRKTQTTPYDRPPTALRNPNRNNGWFSKLVDPAQRLIASSAHKLFGSLFLKRLPAPPGPAAAAPTPQVVQAVRDHHQETALIVGNEYSGKQKGVVGETSIQSSDGDGLTELEKLLKQKTFTRSEIEHLTEVMRSRTVGSSVEEERKSREVIPSDPILPRAQREEYPKTPAVENVMDLVSTPYVAKVTSNVSVDDISSPAELAKAYMGSRSSKLSPSMLGLRSSPREDPTLLKSQNFAQKSPIMSIVPRTTTLTRVHENGFVTPRSRGRSAIYNMARTPYSRVHPGSLPKGTSVAVEGEPSSSAQHVTDHHMLSGSKQGLLKRRSSVLDNDIGSFGPIRRIRHKSNLLSSNRLTSSHLGNPHSIDRSVVGTDAAQQPSSSMQKPNLLGEVRHTHSKLSAETVDNTMPSTSIPPLPSKSSEMASKILQQLDKLVSPKEKSPTKLSSSMLRGQALRSMETVDSSKFLDNIRDNELDGTLKNMSAGAPRLKSKIDETENGSSKLVASTDATVDANATVPRKQDISILKSGDSSGKKAGYHSPQKNRAFHMSAPEDYLELDDDASPNGAVSPFSTSGKETAVSTALADKTASSIEPTVLEKTPGSSVLVPSKIFTVDGKPHVRTADGSTVEEKVDVSPYITSSVSDPIVKPTTGAITVASNTSLGSNKSTTPNGSVANSPLFNFGNKVVPSTELAAAVAPSKDSTKPSPLFGLEKVASSKEPGTEAPLVNSGFNKIVDKVPQVPFTFSSSGGESTVFKFGSSSDSKPKSLISSSPVDSMPKLELENAGAKSNTIFTGFTAKSSEPAVSSALMPSSTNIFTFGNSSNLNAVPAASSPSPFSSVVTNNFTDQNIFSSSSLAASNGSNSATVTSSSPCTTSSTPAIVAVTNNSSSSQVPSLSPTTSVFKFGSTPLPSTSLPVSSSSGSEPLENKNSIFGSSSASVGITGSGIVGFNSSVATTGSSQSLGSIMGTTSGSVPGSLASSVTSVFPTSSETQSVPFSSSASAPLFGLTGSTGFASGSSLFSSSSATNVFNAGTTSGQSTPAASSEANAVSSSSGTTSSVFGVSSWQPNKSVFGSSFTSASTPSSGFSFGSSFNSSSSSTPGFSFGSSTPNVTSTSSPMMFGSSAVASTPQFSFTSATATTNTQPAFGSSSPVFSFGSAPVNNDQMNMEDSMAEDTVQATPPANPPTTPVFGQQPAPAPLQSNFAFGASAPTAAATPFQFGSQQNIAPQNPSPFQASGSLEFNAGGSFSLGTGGGDKSGRKFVKVKHRQRKK >Vigun07g054800.1.v1.2 pep primary_assembly:ASM411807v1:7:5944993:5953371:1 gene:Vigun07g054800.v1.2 transcript:Vigun07g054800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHEENPYEGGGGGFGKFRKRPLRKTQTTPYDRPPTALRNPNRNNGWFSKLVDPAQRLIASSAHKLFGSLFLKRLPAPPGPAAAAPTPQEVVQAVRDHHQETALIVGNEYSGKQKGVVGETSIQSSDGDGLTELEKLLKQKTFTRSEIEHLTEVMRSRTVGSSVEEERKSREVIPSDPILPRAQREEYPKTPAVENVMDLVSTPYVAKVTSNVSVDDISSPAELAKAYMGSRSSKLSPSMLGLRSSPREDPTLLKSQNFAQKSPIMSIVPRTTTLTRVHENGFVTPRSRGRSAIYNMARTPYSRVHPGSLPKGTSVAVEGEPSSSAQHVTDHHMLSGSKQGLLKRRSSVLDNDIGSFGPIRRIRHKSNLLSSNRLTSSHLGNPHSIDRSVVGTDAAQQPSSSMQKPNLLGEVRHTHSKLSAETVDNTMPSTSIPPLPSKSSEMASKILQQLDKLVSPKEKSPTKLSSSMLRGQALRSMETVDSSKFLDNIRDNELDGTLKNMSAGAPRLKSKIDETENGSSKLVASTDATVDANATVPRKQDISILKSGDSSGKKAGYHSPQKNRAFHMSAPEDYLELDDDASPNGAVSPFSTSGKETAVSTALADKTASSIEPTVLEKTPGSSVLVPSKIFTVDGKPHVRTADGSTVEEKVDVSPYITSSVSDPIVKPTTGAITVASNTSLGSNKSTTPNGSVANSPLFNFGNKVVPSTELAAAVAPSKDSTKPSPLFGLEKVASSKEPGTEAPLVNSGFNKIVDKVPQVPFTFSSSGGESTVFKFGSSSDSKPKSLISSSPVDSMPKLELENAGAKSNTIFTGFTAKSSEPAVSSALMPSSTNIFTFGNSSNLNAVPAASSPSPFSSVVTNNFTDQNIFSSSSLAASNGSNSATVTSSSPCTTSSTPAIVAVTNNSSSSQVPSLSPTTSVFKFGSTPLPSTSLPVSSSSGSEPLENKNSIFGSSSASVGITGSGIVGFNSSVATTGSSQSLGSIMGTTSGSVPGSLASSVTSVFPTSSETQSVPFSSSASAPLFGLTGSTGFASGSSLFSSSSATNVFNAGTTSGQSTPAASSEANAVSSSSGTTSSVFGVSSWQPNKSVFGSSFTSASTPSSGFSFGSSFNSSSSSTPGFSFGSSTPNVTSTSSPMMFGSSAVASTPQFSFTSATATTNTQPAFGSSSPVFSFGSAPVNNDQMNMEDSMAEDTVQATPPANPPTTPVFGQQPAPAPLQSNFAFGASAPTAAATPFQFGSQQNIAPQNPSPFQASGSLEFNAGGSFSLGTGGGDKSGRKFVKVKHRQRKK >Vigun05g169900.2.v1.2 pep primary_assembly:ASM411807v1:5:29627822:29629940:-1 gene:Vigun05g169900.v1.2 transcript:Vigun05g169900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDMIKDIDGKRETLKLAVRIVDLWFVESWDSKRNMEMILTDQKEDIATWEDKLKEGESYIMHNFKILNNRAQYRVCDHPFKLLFIGATFVRRQPIASIPTKVWKFKSIKDIIDGNYSVDLLVGNGASICCTLWDSYCMRLVSYWRESRETPYPAIILTKAKIKVASGPWPVSLSNCWNGSKLIMGDDISELIEFRKEFSKTTAHEIFEEGSQYSGSSQIIHVDRFMYKTIVKSVSEILTVIEISCVTVAHTLKFNLGNDGWSYSVCNLCAKRTYEVDYVCYNLIYDSIYVFKYKLEIQVTDGKKVANFMLWDQDYINLISLSAGDLRKKMIKVEDPKCFPEDLDVLLGCTLAFKVKPQGNNRPASVMRVSTDREIIGHISSLLGQTQVMEIVEAKENCSDELELHKDKSIVSTY >Vigun07g058400.1.v1.2 pep primary_assembly:ASM411807v1:7:6436308:6438943:1 gene:Vigun07g058400.v1.2 transcript:Vigun07g058400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINHYSMDLPETTLWWPQQQPHQQQQPMLMEATPNRSSTSWPSAEPLGLNLNHQNDDVEEDEEEEDQTTTTTQQEETEDEKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGGGGGGGESGECKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFERHRADAHRLFIGWRRRRHGDTSPVHVSGRAVAHGKSGDGSSKNEGGGGTGGGLGWTRGFYSAHPYPTHQQQLHNHQPLPYQYDCLHAGQGSQGERERSVAEGNSPSSSSSSRVLRLFGVNMEYQTEHDSGPSTPQCSYNTTNMPSTQGTQNHRHQPYYYY >Vigun03g029600.1.v1.2 pep primary_assembly:ASM411807v1:3:2222599:2226504:-1 gene:Vigun03g029600.v1.2 transcript:Vigun03g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTAMPESIDSVSFDMEKIYLGGKEHHIRTGCGSVSVIVYGDPDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAATICCEDPVPSAEDLADQIIEILNYFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCKAPSWTEWFYNKVMSNLLYFYGMCGLLKECLLQRYFSKEVRGNVAVAESEIVQACRKLLDERKRTNVLRFLQAINQRPDISEGLRRLKCRTLIFVGDSSPFHSEALYMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPTHFSESPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLKG >Vigun10g156500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37611906:37613455:1 gene:Vigun10g156500.v1.2 transcript:Vigun10g156500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPTTVSGDNSLGESDTIEITGKVMVVAIIFLFMVVVFVLVLHLYAKWFWWNMEENAAPQPRQRRRRRRRFVFAPGQDPVTYETHQVGLDPSVLKSLPVLVFQPENFKEGLECAVCLSEIIEGEKARVLPKCNHGFHVDCIDMWFHSHSTCPLCRNPVGFESSKTSEENDFSENTESSSNEENSDAVSGLRSSNFPTNVLVWGNHTQVSSFGVSLEEEEGSSQQATFPPSSTSSSSSSDGNNVNGRCYGMLVIDIPSECTSSSLSPSGSRCVEEEVKSPMAATLRSFKRLLSRDRKLSPCSPSSVDVEQAQS >Vigun10g150400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36963889:36965242:-1 gene:Vigun10g150400.v1.2 transcript:Vigun10g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEQHYASRKLSPSLQPKIIKTAKPAAYFILLLLTYSLGYLSHHPASTSLPLAPSSPPPQLPEPDIRFAINTTELNPFRITTRCADPIPPETIRRTLVDRLFNGTSPFDNFPPPHAAEKLRRTKRVKGWGSTGAVFENLIRRVRPRTVVEVGTFLGASAIHMAELTRQLGLQTQILCVDDFRGWAGFRERFMNIPMENGDVWLFYQFLQNVVTFNQTGSVLPVPFSSGSTLMLFCEWGVYADLVEIDAGHDFLSAWADINRGFRILRPGGVIFGHDYFTAADNRGVRRAVDLFAKVHNLKVNIDGQHWVIYSS >Vigun06g075500.1.v1.2 pep primary_assembly:ASM411807v1:6:20610104:20610591:1 gene:Vigun06g075500.v1.2 transcript:Vigun06g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLLFPCLIVLFLIALETGFVNACQFNCQTANDCKNLRCFKPTCKKYCLNGCCICGCTGLGENGNVS >Vigun01g107700.1.v1.2 pep primary_assembly:ASM411807v1:1:28024204:28026647:-1 gene:Vigun01g107700.v1.2 transcript:Vigun01g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSEDFSVVVLASDLGIDARPFLEHQQQQEEENWHDCSQYLFPDEDFSDLDQLQFLLLQGTDKNSNRILRIVGKYFPATVVNAERLKRYVFHKICSELPDEPFCIVYMHTSVQKEDNSPGITILRWIYEELPSDFKDRLQIVYFIHPGLRSRLVIATVGRLFLSGGLYWKIKYVSRLQYLWDDIKKGEIEIPDFVKSHDDILEHRPLTDYGIEPDPFHLTGIPSSTYSFGKYEERWAGRGYVS >Vigun01g107700.2.v1.2 pep primary_assembly:ASM411807v1:1:28024204:28026647:-1 gene:Vigun01g107700.v1.2 transcript:Vigun01g107700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSEDFSVVVLASDLGIDARPFLEHQQQQEEENWHDCSQYLFPDEDFSDLDQLQFLLLQGTDKNSNRILRIVATVVNAERLKRYVFHKICSELPDEPFCIVYMHTSVQKEDNSPGITILRWIYEELPSDFKDRLQIVYFIHPGLRSRLVIATVGRLFLSGGLYWKIKYVSRLQYLWDDIKKGEIEIPDFVKSHDDILEHRPLTDYGIEPDPFHLTGIPSSTYSFGKYEERWAGRGYVS >Vigun01g133500.2.v1.2 pep primary_assembly:ASM411807v1:1:31170095:31172952:1 gene:Vigun01g133500.v1.2 transcript:Vigun01g133500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSKNIHMAHFMPNVVEPQPSNGTVELLGASNSHHDNHLPGLSPQKQPNSLVNGVKRPHERSLAGEQVNQDHGLQKKYTTKKKSKFCGASVRRSERLKSAIVCSTKANFGVEVENITVSDSEKEEVLAGPELVLEPPQEHESESEPEQDESEKSLDEKVDSALHRINSLDKIVGWLKSKVEESFCEAPSVVPIGYKSMYFDSQKKIEALIEENQRLNGKLENALGKIEAYEKEILALIGVLEKTRDSVKDDTISNLAKSVEAAVNVSTQAIHNVCSASAIKRKRKEG >Vigun01g133500.3.v1.2 pep primary_assembly:ASM411807v1:1:31170136:31173971:1 gene:Vigun01g133500.v1.2 transcript:Vigun01g133500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSKNIHMAHFMPNVVEPQPSNGTVELLGASNSHHDNHLPGLSPQKQPNSLVNGVKRPHERSLAGEQVNQDHGLQKKYTTKKKSKFCGASVRRSERLKSAIVCSTKANFGVEVENITVSDSEKEEVLAGPELVLEPPQEHESESEPEQDESEKSLDEKVDSALHRINSLDKIVGWLKSKVEESFCEAPSVVPIGYKSMYFDSQKKIEALIEENQRLNGKLENALGKIEAYEKEILALIGVLEKTRDSVKDDTISNLAKSVEAAVNVSTQAIHNVCSASAIKRKRKEG >Vigun01g133500.1.v1.2 pep primary_assembly:ASM411807v1:1:31170109:31173983:1 gene:Vigun01g133500.v1.2 transcript:Vigun01g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSKNIHMAHFMPNVVEPQPSNGTVELLGASNSHHDNHLPGLSPQKQPNSLVNGVKRPHERSLAGEQVNQDHGLQKKYTTKKKSKFCGASVRRSERLKSAIVCSTKANFGVEVENITVSDSEKEEVLAGPELVLEPPQEHESESEPEQDESEKSLDEKVDSALHRINSLDKIVGWLKSKVEESFCEAPSVVPIGYKSMYFDSQKKIEALIEENQRLNGKLENALGKIEAYEKEILALIGVLEKTRDSVKDDTISNLAKSVEAAVNVSTQAIHNVCSASAIKRKRKEG >Vigun07g234600.1.v1.2 pep primary_assembly:ASM411807v1:7:35641247:35644379:-1 gene:Vigun07g234600.v1.2 transcript:Vigun07g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAKMWTVLMCLIMSLFTRTESLSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDLEVTSPGGNTVKSIKGTSGDKFDFKAPTHGMYKFCFHNPYSTPETVSFYIHVGHIPSEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRHTNESTRKRVVFYTVGEYFLLAAVSALQVLYIRRLFSKSVAYNRV >Vigun02g058200.1.v1.2 pep primary_assembly:ASM411807v1:2:20289376:20291966:1 gene:Vigun02g058200.v1.2 transcript:Vigun02g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEVGDVKQKEEVGDDSSKNEVDCEKSVDNQNADNPMPSSEEEEQAIKKKYGGMLPKKPPLISKDHERAYFDSADWALGKQGAQKPKGPLEALRPKLQPTQQHARSRRSAYAPADDSEGEGGHSNASAEEESATEDGGADTPQDQSRR >Vigun01g175800.1.v1.2 pep primary_assembly:ASM411807v1:1:35699288:35704077:-1 gene:Vigun01g175800.v1.2 transcript:Vigun01g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRIRNSTLLLTRFLNSATLPRPRWLQTVAYEELRANPEKPYTSTAVFIHGFLGSSRNWRSFSRNLLASLSNSSPSSNWRTVMLDMRNHGKSTERELNPPHNIESAAKDLADLVKAEGWPWPEVVVGHSMGGKVALQFAESCSHGDYGHSVLSPKQLWVLDSVPGEVNPENRNDEVRDVLATLQSLPTQFSSRKWLVSHLMGLGYSKALSDWIGTNLKKAGDHETWIFDLENAKEMFDSYCEKSYWNLLEKPPKGMEIVILRAEKSDRWDQDAIERIQKLASVRGSDTLGKVSFYVLPNAGHWVHVDNPKGLLEIMAPKIASL >Vigun05g098100.2.v1.2 pep primary_assembly:ASM411807v1:5:9567807:9583090:1 gene:Vigun05g098100.v1.2 transcript:Vigun05g098100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALMLAVLISKIARIDYPKEWPDIFLVLSQQLQSADVLASHRIFLILFRTLKELSTKRLTSDQRNFAEISSHFFDYSWRLWQSDVQTILHGFSSLSQSSNLNAEDQPHELYLTCERWLLCSKIVRQLIVSGFQSDSKCFQEVRPVKEVAPVFLSAIQSLLPYYSSFQKQYTKFWDFVKRACTKLMKILVAFQGRHPYSFGDKFVLSSVMDFCLNRITDPEPYLLSFEQFLIQCMVMIKNILECKEYKPSLTGRVMDENGVTVELMKKNMSSAVGGVLTSLLPTERIVHLCNVLISRYFVLTASDMEEWYRNPESFHHEQDMVQWTEKLRPCAEALYIVLFETNSQLLGPVVVSLLQESMNNCPTSVTEITPALLLKDAAYGATAYVYYELSNYLSFKDWFNGALSLELSNEHPNLRIIHRKVAIILGQWVSEVKDDTKRPVYCALIRLLQGKDLSVQLAACRSLCLHIEDANFSEREFVDLLPICWDSCFKLFEEVQEFDSKVQVLNLISILIGHVSEVIPFANKLVQFFQKVWEESSGESLLQIQLLVALRNFVVALGYQSPICYCILLPILENGIDINSPDELNLLEDSMLLWEATLSHAPSMVPKLLQYFSRLVDIMERNFDHLQVAVNIIEDYIILGGNDFLSMHATNIAKILDLVIGNVNDKGLLSVLPVVDILIQCFPIEVPPLISSTLQKLIVICLSGGDDHDPSKTSVKASSAAILARLLVMNTNSLAQLASDPSTSLLLQTASIPVQENILLCLVDIWVDKVDNVSSIQKKTIGLALSIILTLRLPQVLDKLDQILSVCTSVILGRNEDLTEEESSGDMSSSASHDEGTIPSKEFRKRQIKFSDRINQLSLEDCVKENLQTCAAIHGELFNAAMSSMHPSAFAQLKQALKMP >Vigun05g098100.3.v1.2 pep primary_assembly:ASM411807v1:5:9570644:9583090:1 gene:Vigun05g098100.v1.2 transcript:Vigun05g098100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELDSSFQKQYTKFWDFVKRACTKLMKILVAFQGRHPYSFGDKFVLSSVMDFCLNRITDPEPYLLSFEQFLIQCMVMIKNILECKEYKPSLTGRVMDENGVTVELMKKNMSSAVGGVLTSLLPTERIVHLCNVLISRYFVLTASDMEEWYRNPESFHHEQDMVQWTEKLRPCAEALYIVLFETNSQLLGPVVVSLLQESMNNCPTSVTEITPALLLKDAAYGATAYVYYELSNYLSFKDWFNGALSLELSNEHPNLRIIHRKVAIILGQWVSEVKDDTKRPVYCALIRLLQGKDLSVQLAACRSLCLHIEDANFSEREFVDLLPICWDSCFKLFEEVQEFDSKVQVLNLISILIGHVSEVIPFANKLVQFFQKVWEESSGESLLQIQLLVALRNFVVALGYQSPICYCILLPILENGIDINSPDELNLLEDSMLLWEATLSHAPSMVPKLLQYFSRLVDIMERNFDHLQVAVNIIEDYIILGGNDFLSMHATNIAKILDLVIGNVNDKGLLSVLPVVDILIQCFPIEVPPLISSTLQKLIVICLSGGDDHDPSKTSVKASSAAILARLLVMNTNSLAQLASDPSTSLLLQTASIPVQENILLCLVDIWVDKVDNVSSIQKKTIGLALSIILTLRLPQVLDKLDQILSVCTSVILGRNEDLTEEESSGDMSSSASHDEGTIPSKEFRKRQIKFSDRINQLSLEDCVKENLQTCAAIHGELFNAAMSSMHPSAFAQLKQALKMP >Vigun05g098100.1.v1.2 pep primary_assembly:ASM411807v1:5:9566631:9583090:1 gene:Vigun05g098100.v1.2 transcript:Vigun05g098100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDVPAMYSLLTNSMSADHRIRAPAEDALAQSESRPGFCSCLLEVITAKDLASQTDVRMMATVYFKNSVNRYWRHRRDSSGISNEEKMHLRQKLLMYLREENDQIALMLAVLISKIARIDYPKEWPDIFLVLSQQLQSADVLASHRIFLILFRTLKELSTKRLTSDQRNFAEISSHFFDYSWRLWQSDVQTILHGFSSLSQSSNLNAEDQPHELYLTCERWLLCSKIVRQLIVSGFQSDSKCFQEVRPVKEVAPVFLSAIQSLLPYYSSFQKQYTKFWDFVKRACTKLMKILVAFQGRHPYSFGDKFVLSSVMDFCLNRITDPEPYLLSFEQFLIQCMVMIKNILECKEYKPSLTGRVMDENGVTVELMKKNMSSAVGGVLTSLLPTERIVHLCNVLISRYFVLTASDMEEWYRNPESFHHEQDMVQWTEKLRPCAEALYIVLFETNSQLLGPVVVSLLQESMNNCPTSVTEITPALLLKDAAYGATAYVYYELSNYLSFKDWFNGALSLELSNEHPNLRIIHRKVAIILGQWVSEVKDDTKRPVYCALIRLLQGKDLSVQLAACRSLCLHIEDANFSEREFVDLLPICWDSCFKLFEEVQEFDSKVQVLNLISILIGHVSEVIPFANKLVQFFQKVWEESSGESLLQIQLLVALRNFVVALGYQSPICYCILLPILENGIDINSPDELNLLEDSMLLWEATLSHAPSMVPKLLQYFSRLVDIMERNFDHLQVAVNIIEDYIILGGNDFLSMHATNIAKILDLVIGNVNDKGLLSVLPVVDILIQCFPIEVPPLISSTLQKLIVICLSGGDDHDPSKTSVKASSAAILARLLVMNTNSLAQLASDPSTSLLLQTASIPVQENILLCLVDIWVDKVDNVSSIQKKTIGLALSIILTLRLPQVLDKLDQILSVCTSVILGRNEDLTEEESSGDMSSSASHDEGTIPSKEFRKRQIKFSDRINQLSLEDCVKENLQTCAAIHGELFNAAMSSMHPSAFAQLKQALKMP >Vigun02g003700.3.v1.2 pep primary_assembly:ASM411807v1:2:1977743:1982283:-1 gene:Vigun02g003700.v1.2 transcript:Vigun02g003700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYAGVVDCVFCFHHVHLLSNVAGVGLLQIRTKRNVTRSASVGGVWAVYPVIFSISILCGVLHSIVTVALSIATVSFFSFSAFRCAGAPPNVLWGSYPAVGKGDLENYTFCHYCSKPKSPRAHHCRSCRKCILDMDHHCPFIGNCVGAANHQSFIGFLISAVLSTIYVSIMSVYAGSHLWPPLRYSLRHIDGVKGTYLAWTILNEIVVAFLRSALLLSSRGLILAYLFIASVSLQIGLTVLLWQQLSYIYEGKTYLSHLSSQADNEEEKKDCQNLVRFFGLQYSVTRYLPNFCVSRKRHIK >Vigun02g003700.2.v1.2 pep primary_assembly:ASM411807v1:2:1977713:1984111:-1 gene:Vigun02g003700.v1.2 transcript:Vigun02g003700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFCCPRIYIFVIFGGVWAVYPVIFSISILCGVLHSIVTVALSIATVSFFSFSAFRCAGAPPNVLWGSYPAVGKGDLENYTFCHYCSKPKSPRAHHCRSCRKCILDMDHHCPFIGNCVGAANHQSFIGFLISAVLSTIYVSIMSVYAGSHLWPPLRYSLRHIDGVKGTYLAWTILNEIVVAFLRSALLLSSRGLILAYLFIASVSLQIGLTVLLWQQLSYIYEGKTYLSHLSSQADNEEEKKDCQNLVRFFGLQYSVTRYLPNFCVSRKRHIK >Vigun02g003700.1.v1.2 pep primary_assembly:ASM411807v1:2:1977743:1984103:-1 gene:Vigun02g003700.v1.2 transcript:Vigun02g003700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFCCPRIYIFVIFGGVWAVYPVIFSISILCGVLHSIVTVALSIATVSFFSFSAFRCAGAPPNVLWGSYPAVGKGDLENYTFCHYCSKPKSPRAHHCRSCRKCILDMDHHCPFIGNCVGAANHQSFIGFLISAVLSTIYVSIMSVYAGSHLWPPLRYSLRHIDGVKGTYLAWTILNEIVVAFLRSALLLSSRGLILAYLFIASVSLQIGLTVLLWQQLSYIYEGKTYLSHLSSQADNEEEKKDCQNLVRFFGLQYSVTRYLPNFCVSRKRHIK >Vigun09g172041.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34187093:34188681:-1 gene:Vigun09g172041.v1.2 transcript:Vigun09g172041.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSFGFSGFGLWVTGFGFRVSWFGFGVSAFGFQVLSLRFRVLAFRDSAFGFRVWVLGVGFRVSGYELRVLGLMFQVSDFGFLVLGFGFRALGVGFRVCGFGIWGSGFGDRICNISGEQCTNELETAYYFLYNIF >Vigun04g044200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3800394:3802180:1 gene:Vigun04g044200.v1.2 transcript:Vigun04g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWKMALRQVADLSGYHFKDGYTILPMVGVGKSTLAQAVYNGLIADNFDSLCFLENVREKSDKHGIEYLQSILISQVLTKEDINLTIGSALEAE >Vigun09g084201.1.v1.2 pep primary_assembly:ASM411807v1:9:10525713:10526318:-1 gene:Vigun09g084201.v1.2 transcript:Vigun09g084201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSTSTSYVYSESEEEESEESELQEDQQRLVARKKSMVC >Vigun06g087200.1.v1.2 pep primary_assembly:ASM411807v1:6:21912345:21916376:-1 gene:Vigun06g087200.v1.2 transcript:Vigun06g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTQDSPSNPNPNATSLTPSSSLFFRGAGASAAPVAGSGESHSHHRRALSEVSYRLPDDMMDLSPSDPFNGGSSTASLEEIGSEDDLFSTYIDVEKLGSSTNGAGRGNGSDQSGYGNGTNGHHDSEKSPRDEERPRHRHSSSVDGSSSTNMFGEIMEAKKAMPPDKLAELWNIDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTTGLSSENTELKLRLQAMEQQAQLRDALNDALMKEVERLKMATGEVLNNHSESFNMGMHQMPFAGSNFFSIPPHSGPSSHQNMQLPPYGISQSSMPAHQLQQTHSHQISDILQNDQLGRLQGLDISSKGSSFVKSEGPSISANDSSTTF >Vigun06g226600.3.v1.2 pep primary_assembly:ASM411807v1:6:33454690:33463575:1 gene:Vigun06g226600.v1.2 transcript:Vigun06g226600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPCIEVVVEHVCIHEWSPLLTNLLLSSTSFSTVRESVRDLINVFVIQFFVGLKHLLAERGRERGMGHEHSKLSEPKGSRRARFKERLRRHLHRRQSGNGSSANKPLTADNFAGIALLALLSAEMEFKDKWIACVSLGEQTFRTSTSDSTDKPVWNSEKKLLLEQNGAHVARISVFETNKLSSNTLVGYCEVDLLEFLTKDSDPEAEVFNLLDPSVPGKVVGNISISCSVEDYNEDGMLSLSEFSDLIDAFGNQVANSKKEELFKAADKNGDGVVSMEELASLLTFQQEQEPLLNCCPVCGEILQISDRLNSMIHLTLCFDEGTGNQVMTGGFLTDKQASYGWFFKLSEWAHFSSYDVGIRSGSSASHILVYDRKSQRLVEEIIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGARMDSPESSADIPRFIESFKDQINLAEVKYPLEHFKTFNEFFIRELKPDSRPIVSAERDDIAVCAADCRLSAFKSVDDSKRFWIKGRKFSVQGLLGKDMCSSAFVDGTMVIFRLAPQDYHRFHFPVSGTVEQFVDIPGCLYTVNPIAVNSKYCNVFTDNKRVVSIISTVEFGKVAFVAIGATMVGSINFTKKKGDSVKKGDEFGYFSFGGSTVICVFEKDSIAIDEDLLANSTRALETLVSVGMRLGVSTRKLS >Vigun06g226600.2.v1.2 pep primary_assembly:ASM411807v1:6:33454690:33463575:1 gene:Vigun06g226600.v1.2 transcript:Vigun06g226600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPCIEVVVEHVCIHEWSPLLTNLLLSSTSFSTVRESVRDLINVFVIQFFVGLKHLLAERGRERGMGHEHSKLSEPKGSRRARFKERLRRHLHRRQSGNGSSANKPLTADNFAGIALLALLSAEMEFKDKWIACVSLGEQTFRTSTSDSTDKPVWNSEKKLLLEQNGAHVARISVFETNKLSSNTLVGYCEVDLLEFLTKDSDPEAEVFNLLDPSVPGKVVGNISISCSVEDPIETEKGFVKRILSIVDYNEDGMLSLSEFSDLIDAFGNQVANSKKEELFKAADKNGDGVVSMEELASLLTFQQEQEPLLNCCPVCGEILQISDRLNSMIHLTLCFDEGTGNQVMTGGFLTDKQASYGWFFKLSEWAHFSSYDVGIRSGSSASHILVYDRKSQRLVEEIIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGARMDSPESSADIPRFIESFKDQINLAEVKYPLEHFKTFNEFFIRELKPDSRPIVSAERDDIAVCAADCRLSAFKSVDDSKRFWIKGRKFSVQGLLGKDMCSSAFVDGTMVIFRLAPQDYHRFHFPVSGTVEQFVDIPGCLYTVNPIAVNSKYCNVFTDNKRVVSIISTVEFGKVAFVAIGATMVGSINFTKKKGDSVKKGDEFGYFSFGGSTVICVFEKDSIAIDEDLLANSTRALETLVSVGMRLGVSTRKLS >Vigun06g226600.1.v1.2 pep primary_assembly:ASM411807v1:6:33454690:33463575:1 gene:Vigun06g226600.v1.2 transcript:Vigun06g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPCIEVVVEHVCIHEWSPLLTNLLLSSTSFSTVRESVRDLINVFVIQFFVGLKHLLAERGRERGMGHEHSKLSEPKGSRRARFKERLRRHLHRRQSGNGSSANKPLTADNFAGIALLALLSAEMEFKDKWIACVSLGEQTFRTSTSDSTDKPVWNSEKKLLLEQNGAHVARISVFETNKLSSNTLVGYCEVDLLEFLTKDSDPEAEVFNLLDPSVPGKVVGNISISCSVEDPIETEKGFVKRILSIVDYNEDGMLSLSEFSDLIDAFGNQVANSKKEELFKAADKNGDGVVSMEELASLLTFQQEQEPLLNCCPVCGEILQISDRLNSMIHLTLCFDEGTGNQVMTGGFLTDKQASYGWFFKLSEWAHFSSYDVGIRSGSSASHILVYDRKSQRLVEEIIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGARMDSPESSADIPRFIESFKDQINLAEVKYPLEHFKTFNEFFIRELKPDSRPIVSAERDDIAVCAADCRLSAFKSVDDSKRFWIKDRDGGQGGNGFANMRLQSQGRKFSVQGLLGKDMCSSAFVDGTMVIFRLAPQDYHRFHFPVSGTVEQFVDIPGCLYTVNPIAVNSKYCNVFTDNKRVVSIISTVEFGKVAFVAIGATMVGSINFTKKKGDSVKKGDEFGYFSFGGSTVICVFEKDSIAIDEDLLANSTRALETLVSVGMRLGVSTRKLS >Vigun01g248500.2.v1.2 pep primary_assembly:ASM411807v1:1:41572811:41583324:-1 gene:Vigun01g248500.v1.2 transcript:Vigun01g248500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLEYNLDDLFQEAKKRWLKPVEVLYILRNHDMCELTHQPPHQPAGGSLYLFNRRVTRYFRKDGHNWRKKRDGRTVGEAHERLKVGNEEILNCYYAHGEENRSFQRRSYWMLELEYEHIVLVHYRDTSKGKSNSEPFTQFSSSSSRVFSQSQSLYTDHNPGTSSMFGDSCEPNQKFPSPGSLEVTSEAQALRQLEEELNINEDSFNERVIYKDKSTLSGPNDQGHLFVRYNGRQDNSYTYCDHDFPNDCPDGNEKDIYWAEMLEACKPLPVTNLPDQYGYEAFENEESLFSLGREMIANMENNQWPNSNCNYVENSVFPPPQGDIGVKFPLCSRVENPVTTSDYCENFFDQTKIQEPLGVDSSLTFEQKQKFTIRAVSPEYCYANETTKVIIIGSFLYHHSDSTWACLFDDVEVPAEIIQDGVISCEAPSNLLGKVKLCITSGNRVPCSEVSEFEFRNRTTSCTRCNSLETEDGRSPEDLLLLVRFAEMLHSASSKKDDSTESGSNLSTEQKDGDDSWSHMIDTLLVGTGKSSDTVNWLLEELLKDKLQLWLSNRSHERDEGTDCSLSKKEQGIIHMVSGLGFEWALNPILSCGVNINFRDINGWTALHWAAKFGREKMVASLIASGASAGAVTDPSSQNPSGETAASVAASHGHKGLAGYLSEVQLTSHLSSLTLTASKLSEGSSELEAELTVRSVSKENIVAGEEDVSLKASLDAVRNASQAAARIQDAFRAYSFRKRKEREEAMAAAAAACLDGYCIDPAGCNDDNISVLSAMSKLNSRSSGDYNLAALSIQKRYRGWKGRKEFLALRQKVVKIQAIVRGYQARKQYKIILWAVGILNKVVLRWRRKRVGITSVRQEMDSNEGESDDEDFLNVFRKEKVNGTIETALKRVLSMVRHDDARHQYRRLLSLYRQAKTKRESTSDEAPSSTSEEDPLNMEEDDWDLLWQKLCA >Vigun01g248500.3.v1.2 pep primary_assembly:ASM411807v1:1:41572813:41583324:-1 gene:Vigun01g248500.v1.2 transcript:Vigun01g248500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLEYNLDDLFQEAKKRWLKPVEVLYILRNHDMCELTHQPPHQPAGGSLYLFNRRVTRYFRKDGHNWRKKRDGRTVGEAHERLKVGNEEILNCYYAHGEENRSFQRRSYWMLELEYEHIVLVHYRDTSKGKSNSEPFTQFSSSSSRVFSQSQSLYTDHNPGTSSMFGDSCEPNQKFPSPGSLEVTSEAQALRQLEEELNINEDSFNERVIYKDKSTLSGPNDQGHLFVRYNGRQDNSYTYCDHDFPNDCPDGNEKDIYWAEMLEACKPLPVTNLPDQYGYEAFENEESLFSLGREMIANMENNQWPNSNCNYVENSVFPPPQGDIGVKFPLCSRVENPVTTSDYCENFFDQTKIQEPLGVDSSLTFEQKQKFTIRAVSPEYCYANETTKVIIIGSFLYHHSDSTWACLFDDVEVPAEIIQDGVISCEAPSNLLGKVKLCITSGNRVPCSEVSEFEFRNRTTSCTRCNSLETEDGRSPEDLLLLVRFAEMLHSASSKKDDSTESGSNLSTEQKDGDDSWSHMIDTLLVGTGKSSDTVNWLLEELLKDKLQLWLSNRSHERDEGTDCSLSKKEQGIIHMVSGLGFEWALNPILSCGVNINFRDINGWTALHWAAKFGREKMVASLIASGASAGAVTDPSSQNPSGETAASVAASHGHKGLAGYLSEVQLTSHLSSLTLTASKLSEGSSELEAELTVRSVSKENIVAGEEDVSLKASLDAVRNASQAAARIQDAFRAYSFRKRKEREEAMAAAAAACLDGYCIDPAGCNDDNISVLSAMSKLNSRSSGDYNLAALSIQKRYRGWKGRKEFLALRQKVVKIQAIVRGYQARKQYKIILWAVGILNKVVLRWRRKRVGITSVRQEMDSNEGESDDEDFLNVFRKEKVNGTIETALKRVLSMVRHDDARHQYRRLLSLYRQAKTKRESTSDEAPSSTSEEDPLNMEEDDWDLLWQKLCA >Vigun01g248500.1.v1.2 pep primary_assembly:ASM411807v1:1:41572813:41583324:-1 gene:Vigun01g248500.v1.2 transcript:Vigun01g248500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLEYNLDDLFQEAKKRWLKPVEVLYILRNHDMCELTHQPPHQPAGGSLYLFNRRVTRYFRKDGHNWRKKRDGRTVGEAHERLKVGNEEILNCYYAHGEENRSFQRRSYWMLELEYEHIVLVHYRDTSKGKSNSEPFTQFSSSSSRVFSQSQSLYTDHNPGTSSMFGDSCEPNQKFPSPGSLEVTSEAQALRQLEEELNINEDSFNERVIYKDKSTLSGPNDQGHLFVRYNGRQDNSYTYCDHDFPNDCPDGNEKDIYWAEMLEACKPLPVTNLPDQYGYEAFENEESLFSLGREMIANMENNQWPNSNCNYVENCPLSPAVFPPPQGDIGVKFPLCSRVENPVTTSDYCENFFDQTKIQEPLGVDSSLTFEQKQKFTIRAVSPEYCYANETTKVIIIGSFLYHHSDSTWACLFDDVEVPAEIIQDGVISCEAPSNLLGKVKLCITSGNRVPCSEVSEFEFRNRTTSCTRCNSLETEDGRSPEDLLLLVRFAEMLHSASSKKDDSTESGSNLSTEQKDGDDSWSHMIDTLLVGTGKSSDTVNWLLEELLKDKLQLWLSNRSHERDEGTDCSLSKKEQGIIHMVSGLGFEWALNPILSCGVNINFRDINGWTALHWAAKFGREKMVASLIASGASAGAVTDPSSQNPSGETAASVAASHGHKGLAGYLSEVQLTSHLSSLTLTASKLSEGSSELEAELTVRSVSKENIVAGEEDVSLKASLDAVRNASQAAARIQDAFRAYSFRKRKEREEAMAAAAAACLDGYCIDPAGCNDDNISVLSAMSKLNSRSSGDYNLAALSIQKRYRGWKGRKEFLALRQKVVKIQAIVRGYQARKQYKIILWAVGILNKVVLRWRRKRVGITSVRQEMDSNEGESDDEDFLNVFRKEKVNGTIETALKRVLSMVRHDDARHQYRRLLSLYRQAKTKRESTSDEAPSSTSEEDPLNMEEDDWDLLWQKLCA >Vigun07g191000.1.v1.2 pep primary_assembly:ASM411807v1:7:30916507:30917506:1 gene:Vigun07g191000.v1.2 transcript:Vigun07g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LBII MVAFSDKQEGLVNGAYEAFKADIPKYSVVFYTTILEKAPAAKNLFSFLANGVDATNPKLTGHAEKLFGLVRDSAAQLRASGGVVADAALGAVHSQKAVNDAQFVVVKEALVKTLKEAVGDKWSDELGTAVELAYDELAAAIKKAY >Vigun11g172200.2.v1.2 pep primary_assembly:ASM411807v1:11:37753501:37758937:-1 gene:Vigun11g172200.v1.2 transcript:Vigun11g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MVLWNQCNEYQRSHTCGAEASYPQRVEDGIGGSERRLQWITSSKLSFTIPLLILNPPYPSTSVSMEAFSVQSLTLTTIPSSLSHRGATEKPCAHVNLSHFTRYPSSTRSTKHGIRCRTQASGSTKSSAGTAEGISEKTDSKDDNLVFVAGATGKVGSRTVRELIKLGFRVRAGVRSAQRAVALTKSVQELKLDGSSATGGGQAVEKLEIVECDLEKPDTIGLALGNASTVICAIGASEKEIFDITGPFRIDYLATKNLVDAATVAKVNHFILVTSLGTNKIGFPAAILNLFWGVLVWKRKAEEALVASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQIAELLAVIAKNPDLSHCKIVEAIAETTAPLTPMEELLAKIPSQRPYISSPKKPDIPAASIPDPPANVVTVEPRVATEQETSQPEPVAKQTLSPYTAYDDLKPPSSPTPSQPGSEKQAKTSETVPKPSVSETPSSVPGVDGITQATSSPKVGQPLSPYVAYPDLKPPTSPSPNAPVVSVSKSAADDVPQIDTISSNGTPQLSTPDEPKEEHLAQPKSRPLSPYTMYEDLKPPASPSPSFRSS >Vigun11g172200.1.v1.2 pep primary_assembly:ASM411807v1:11:37753501:37758937:-1 gene:Vigun11g172200.v1.2 transcript:Vigun11g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MVLWNQCNEYQRSHTCGAEASYPQRVEDGIGGSERRLQWITSSKLSFTIPLLILNPPYPSTSVSMEAFSVQSLTLTTIPSSLSHRGATEKPCAHVNLSHFTRYPSSTRSTKHGIRCRTQASGSTKSSAGTAEGISEKTDSKDDNLVFVAGATGKVGSRTVRELIKLGFRVRAGVRSAQRAVALTKSVQELKLDGSSATGGGQAVEKLEIVECDLEKPDTIGLALGNASTVICAIGASEKEIFDITGPFRIDYLATKNLVDAATVAKVNHFILVTSLGTNKIGFPAAILNLFWGVLVWKRKAEEALVASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQIAELLAVIAKNPDLSHCKIVEAIAETTAPLTPMEELLAKIPSQRPYISSPKKPDIPAASIPDPPANVVTVEPRVATEQETSQPEPVAKQTLSPYTAYDDLKPPSSPTPSQPGSEKQAKTSETVPKPSVSETPSSVPGVDGITQATSSPKVGQPLSPYVAYPDLKPPTSPSPNAPVVSVSKSAADDVPQIDTISSNGTPQLSTPDEPKEEHLAQPKSRPLSPYTMYEDLKPPASPSPSFRSS >Vigun09g176000.1.v1.2 pep primary_assembly:ASM411807v1:9:34773661:34778501:1 gene:Vigun09g176000.v1.2 transcript:Vigun09g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGFTVNAPSSGFHSGKITLSVLITCVVAASSGLIFGYDVGVSGGVTTMVPFLKKFFPSILRNASGSEVNMYCVYDSEVLTLFTSSLYLAGLVSSLAAGRVTTKFGRRNTIMLGGAIFLVGGVLNGAAQSIAMLIFGRILLGLGVGFTNQATPLYLSEIAPPKWRGAFNTGFQFFLGIGVVAAGSINYRTAKYTWGWRVSLGLAVVPAAVMTVGAFLITDTPSSLVERGKIDEARKALRKVRGSHVDVEPEMEELMNRSHNVNSVEQEEPFMTVFERKYRPHLVMAVAIPLFQQLTGINIVAFYSPNLFQSVGLGHSAALLSSVVLGLVNLVSIIVSSFFVDEFGRRFLFITGGIVMLVCQIAVSGLLAVVTGVDGRNDISKGKAVMVLVLLCIYAAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVGVQFITLFALSQTFLTMLCHFKFGAFLFYAVWIAVMTLFITFFLPETKGIPLESMHVIWGKHWFWRRFVTGVADQA >Vigun08g039500.1.v1.2 pep primary_assembly:ASM411807v1:8:3873436:3876766:-1 gene:Vigun08g039500.v1.2 transcript:Vigun08g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGINSKNELGGKKKKWRLWKSSSAGENRSQEFEYGSVASDSFTAAVATVVRAPPKDFKLLKQEWAATRIQTAFRAFLARRALRALKGVVRLQALVRGRLVRKQAAVTLRCMQALVRVQARVRARRVRMSMEGQAVQNMLNERRTKAELNKEAEDGWCDSKGSLEDVKTKLQMRQEGAFKRERAIAYSLAQKQWRSTPISNSRANNTSLNKQEIDKANWGWSWLERWMAAKPWESRLMEQTRHHADATEKTPPPKKCVDLINSKKNSEPCNVKVRKNNVTTRISARPPQIGQATRSSSSPSSEFHYDESSNSSSICTSMTPISGSVSLPCERTEDSSNSTTRPSYMNLTESTKAKQKTSSHQYNRSQRQQSMDEFQFLKRTAVFSNGDSKSIGASDPSTNFSRPLYLPTYMDKKPR >Vigun07g253600.1.v1.2 pep primary_assembly:ASM411807v1:7:37221100:37224969:-1 gene:Vigun07g253600.v1.2 transcript:Vigun07g253600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFRMNSAKISHVMGIQRHFMLVNHVVHPVKTSSFLFNKVHSKPFIRLPHFIRKPREYETSLSLFSSSFCSSSSSSSAVAASLSKVGFVSWYLGMIKSWPILTKSVTSSLIYIGADLSSQTIVREPSEAFDFMRTSRMAGYGMIVLGPSLHFWYNFVSKLFPRRDIFSTLKKMVIGQTIYGPAMTVIFLSLNAHLQGETGSEIVARLKRDFLSTMLGAIMYWPICDFITFKFIPVNLQPLITNSFSYLWTVYMTYMASLEKAA >Vigun07g253600.2.v1.2 pep primary_assembly:ASM411807v1:7:37221843:37224969:-1 gene:Vigun07g253600.v1.2 transcript:Vigun07g253600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFRMNSAKISHVMGIQRHFMLVNHVVHPVKTSSFLFNKVHSKPFIRLPHFIRKPREYETSLSLFSSSFCSSSSSSSAVAASLSKVGFVSWYLGMIKSWPILTKSVTSSLIYIGADLSSQTIVREPSEAFDFMRTSRMAGYGMIVLGPSLHFWYNFVSKLFPRRDIFSTLKKMVIGQTIYGPAMTVIFLSLNAHLQACILCLPICPISCIGWLEEMQRKGKEDMEK >Vigun09g036800.1.v1.2 pep primary_assembly:ASM411807v1:9:3247324:3249318:1 gene:Vigun09g036800.v1.2 transcript:Vigun09g036800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLLRLDRFGKLLYDPFPSFDERALILKTLVRTKAIDATVDLSAIARM >Vigun03g256400.1.v1.2 pep primary_assembly:ASM411807v1:3:42265595:42267992:-1 gene:Vigun03g256400.v1.2 transcript:Vigun03g256400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMWNVPPGFRPSKSAPSSPAKPLGAVLRTRSESFHITHKVPVGDTPYVRAKNVQLVDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAGEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEEAYRRALSIAPDNNKMCNLGICLMKQGRIGEAKETLYRVKPAVMDGPRGSDSHLKAYERAQQMLKDLESEMMNKGVDRIEQSRLFEAFLGSSSIWQPQPCKDHHTTLPAATNSARIQDDFADENINSNIITKNHTALPPPKATTTSSTNKQSVTMLGNSLNVAAPPFYASKSMLREPFENQFSETLKRTRSGKAAGSMRIVSDVKDSSSSNSSNKLQVELEVSVPENKTRRLSSEDGEKNKLTDLLPDDDDFEEAILAAILGPSNDNKATTETSRILQRNNNDKRLKVFKDITLSLSPRA >Vigun09g211800.2.v1.2 pep primary_assembly:ASM411807v1:9:38601384:38612646:1 gene:Vigun09g211800.v1.2 transcript:Vigun09g211800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSALLNKDLVFLTIKFLREEGLKETAHMLECESGLFFNLKHFEKMLVVGKWDDAESYLSRFTSIDDNVHSTKIYFEIRKQKYLEALDIHDRGEALDILLRDLKVCIPGHEELFNELTQLLAVNNIREHALLATYKDTNSARKNVADGIIKLIEGNPEFHGKLKFPTFKKQRLYYLLNLSLNWQHQVRKDPLRAPDMKTFLMDPVSSSSSNPSLLQSENNDSIENLQSETTMEDHNVLSLQGRPNQVSEENGNKKGLEDGRSKPKIYESSPCQFLELPTHPDITKIVRVTYTNEGNGILALASNGHHILWKWPCNNLNSDGKATTQVSPQIWQPRSSQLMSNELSSSYSGPPISSFSLSKNDLYLMSTSGGPISLFHMFSLETLTTIMPPSSITTCLALYPRDNNILAIGMDDLSIIIYNTSTNKIISKLEGHTKRVSDLAFSNSFDLLVSIGVNDQIFVWNTNGWKKLKDRYLQIHGQRVPEVLTETHIHFHLYQRHFLVVRSDCLVMYKARNLKCYNQWVPRAAEVISQATFSSDGQAVYVCFVDGTVAILDTLKFQMRCKINLSTYIPTIPSSSMSPIAIAAHPQNPTQFVVGLTDGRAFVFEPREPQDWSRFSH >Vigun09g211800.3.v1.2 pep primary_assembly:ASM411807v1:9:38601703:38612646:1 gene:Vigun09g211800.v1.2 transcript:Vigun09g211800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGKWDDAESYLSRFTSIDDNVHSTKIYFEIRKQKYLEALDIHDRGEALDILLRDLKVCIPGHEELFNELTQLLAVNNIREHALLATYKDTNSARKNVADGIIKLIEGNPEFHGKLKFPTFKKQRLYYLLNLSLNWQHQVRKDPLRAPDMKTFLMDPVSSSSSNPSLLQSENNDSIENLQSETTMEDHNVLSLQGRPNQVSEENGNKKGLEDGRSKPKIYESSPCQFLELPTHPDITKIVRVTYTNEGNGILALASNGHHILWKWPCNNLNSDGKATTQVSPQIWQPRSSQLMSNELSSSYSGPPISSFSLSKNDLYLMSTSGGPISLFHMFSLETLTTIMPPSSITTCLALYPRDNNILAIGMDDLSIIIYNTSTNKIISKLEGHTKRVSDLAFSNSFDLLVSIGVNDQIFVWNTNGWKKLKDRYLQIHGQRVPEVLTETHIHFHLYQRHFLVVRSDCLVMYKARNLKCYNQWVPRAAEVISQATFSSDGQAVYVCFVDGTVAILDTLKFQMRCKINLSTYIPTIPSSSMSPIAIAAHPQNPTQFVVGLTDGRAFVFEPREPQDWSRFSH >Vigun09g211800.1.v1.2 pep primary_assembly:ASM411807v1:9:38601703:38612646:1 gene:Vigun09g211800.v1.2 transcript:Vigun09g211800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSALLNKDLVFLTIKFLREEGLKETAHMLECESGLFFNLKHFEKMLVVGKWDDAESYLSRFTSIDDNVHSTKIYFEIRKQKYLEALDIHDRGEALDILLRDLKVCIPGHEELFNELTQLLAVNNIREHALLATYKDTNSARKNVADGIIKLIEGNPEFHGKLKFPTFKKQRLYYLLNLSLNWQHQVRKDPLRAPDMKTFLMDPVSSSSSNPSLLQSENNDSIENLQSETTMEDHNVLSLQGRPNQVSEENGNKKGLEDGRSKPKIYESSPCQFLELPTHPDITKIVRVTYTNEGNGILALASNGHHILWKWPCNNLNSDGKATTQVSPQIWQPRSSQLMSNELSSSYSGPPISSFSLSKNDLYLMSTSGGPISLFHMFSLETLTTIMPPSSITTCLALYPRDNNILAIGMDDLSIIIYNTSTNKIISKLEGHTKRVSDLAFSNSFDLLVSIGVNDQIFVWNTNGWKKLKDRYLQIHGQRVPEVLTETHIHFHLYQRHFLVVRSDCLVMYKARNLKCYNQWVPRAAEVISQATFSSDGQAVYVCFVDGTVAILDTLKFQMRCKINLSTYIPTIPSSSMSPIAIAAHPQNPTQFVVGLTDGRAFVFEPREPQDWSRFSH >Vigun08g068200.2.v1.2 pep primary_assembly:ASM411807v1:8:10429926:10432336:-1 gene:Vigun08g068200.v1.2 transcript:Vigun08g068200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLMVVAVLGNVASMSLYAAPTVTFKRVIRKKSTEEFSCIPYIIALMNSLLYTWYGLPVVSNKWENFPLVTVNGVGVLFELSYVLIYFWFSSPKGKVAMTAAAVLTVVGVIAIVSTFAIPDHRHRKLLVGSVSLGVSIALYASPLVAMKKVIETKSVEFMPLPLSLSSLLVSLLWMTYGLLIRDIFVAGPNVVGTPLGILQLVLHCKYGKRRQKEEANKQIGHEEKLDMEMGHHKNENVPSN >Vigun08g068200.1.v1.2 pep primary_assembly:ASM411807v1:8:10429926:10432336:-1 gene:Vigun08g068200.v1.2 transcript:Vigun08g068200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLMVVAVLGNVASMSLYAAPTVTFKRVIRKKSTEEFSCIPYIIALMNSLLYTWYGLPVVSNKWENFPLVTVNGVGVLFELSYVLIYFWFSSPKGKVKVAMTAAAVLTVVGVIAIVSTFAIPDHRHRKLLVGSVSLGVSIALYASPLVAMKKVIETKSVEFMPLPLSLSSLLVSLLWMTYGLLIRDIFVAGPNVVGTPLGILQLVLHCKYGKRRQKEEANKQIGHEEKLDMEMGHHKNENVPSN >Vigun10g123300.2.v1.2 pep primary_assembly:ASM411807v1:10:33119652:33139539:1 gene:Vigun10g123300.v1.2 transcript:Vigun10g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKVEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMHKVDKLILIYRNFHNDEICHKCAVFEFFESGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASMTKNPDVSKIQGQIADMLGVVLEEESDIARAARIHQILNDENKSTLIILDDLWEEVNFNLLGIPRELEKDDGIINVKGKSLDVNSLKNFSDGKSPVLDGSASFKKKVKSPDYADSVDVKKGEFFEGALKNVNEGKPPIDASDRAKIEKTVPRYKGCKILMISEIKQVLLNQMEGKEEYIFPVEVLKEKEAEMLFKKKAGIDAKNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSIWDETNRKLESQNLTGAPEFSTRLSYELLEDAELKYTFLLCARMGHDALIMNLVKYCIGFGFLRGINTARQTRDKVHTLVAKLKELGMLSDSYSSDHFTMPDTVRRAALSIAYEENHLFTMTKEKVDEWPDELEQYAAISLHHCNFIEEFPATINYPRLRVLEIVNNISRSKMPKNFFKGVKELRVLILTGIHLPLIDSSISSLHKLRMLCLEQCRMQDEELSIIGELNRLRILSFSGSNIKSLPNELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTSIQWEDEDQSRQSKITLLSDLKHLNQLTALDIQIPNVSYLPKNLFFDNLYSYKIIIGDLSSFSETDFKMPEKYEMIKFLAIQLKNGSDIHSLKGIKMLFEGVENLFLELNSVHEKRNSVHEAHNIVHDLFYRLNLKGFPCLKHLWIVNNSTLQSLIHPKDRQEHEKAFPKLESLSLHNVKMDEICSCKLSEPSFGKLKVIKISLCGELKNVFSISMVILLKFLETIEVSECNSLKEIIDMGPQSNPEKTELLMLPELRHLKLQSLCEFVGFDAIPQTGGEERKLFHEKVRVSKLERLELSSIQIDVIWSVIDQSSKRLSFENLTHLDVNGCWKLKYLMSLTMAKSLVNLQSLYVSDCEKMRSIFLLEQNREKDVTGNIFPKLKNMKLCSMKSLSQIWNPKLASDSFSKLDTLIIEECDKLENVMEGIFVSICNLRVTNCMSMQAIFNIREQVGDAANNLQDVHLETLPKLKLVWKMNYEDRVGIPKFNNLKKIWAQDCHSLEYIFPFSVAMSLDNLESLVVCDCYGLSVIVAERETTNTDRARFNFAKLSTIKFSKLPELTSFCPTTYDLSCPLNELSIELCDNLEPFSKGTEHAQRNHGHVFFPKEVINNLKSMQIESWHAKSPSSYMGKRNHRRNNLEELSLSRLMDTDILYSFLHRNPNLKSLLLNNCFFEKIVPPKEGTEIENLGVVPNLKSLTLIDLPNLKEIGFEPDIILERLELLILKNCPCMITIAPSSVSFTRLTNLEVVNCDRLQSLMSASTAKTLVQLKTMKVVKCESMMEIVRKDGEKFDRVVFQQLKTLELVSLKKLKSFCVSDCGFEFPSLEKLVVSACYNMAKFSETVTISPILQNIHVVHGKENKRLCWEGDINATIKKIFEEKKFFEGMEEMSLSQHKELHKSWKRGAGLQEQNSQFYSLKILKLENCVIRPCAIPSNILPYLRSVKELQVRGCNNVRVIFEMNAKEGTGTTFQLQKLILEQLPKLENVWESNGKGTESFQNLKLVHVSKCEKLQTVFPFTLAKNLKKLVKLEIVSCGGLYEIVKNEGDTTTMFVLPCLTTLYLCDMPELIYFYRQSFTLDCSALNTLAVVGCPELELFGSANRQSIFFDLKDICNIEVLLLDWQHILVLRTKLGEPMDNLESLNHIHLCFLVDENERPYLPIQILQKMPNLTQMSIYYCSCLEVFQTQISEIDEKGVLTHLKTLTLDSVSKLQSIGSEDSPWLNVICDSEKLQELHVIDCPDLKTLVHSTPSVSFTYVKKMYINNCKELKYLFTLSSVNKLENLEHIEINGCESMEAIVLKEEKDIATEIKLQKLRRMDLTLLPKLEYFYSSNDTLHLPCLTQVGIWMCPKMEFFSGGEIHLNSSFRGIQASNGSSDDLVFYHDLNSSVEMVFLQQEFFKAVGKECFSDNLELQTDIRCKTGLQNNWLANLETLKLQNCKLSYAIPSSILCLLNNLKELEVRDSDKVKAIFDMNDDTEIKETESQLKILTLNRLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLPTLFPASLAKCLDDLKKLKIDFCENLHDFVEQEETTFVTEKFVFPCLEDLELNDLPQVTCPKTFTLEFPSIKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPNEILKAPNLIELDMNNGNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCSSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYRCPNLKYLFTSSAAKDLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDNIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGISQRKKFFEAVDKECFSDNIELQEDLQCNFGLQNQWLGDLVTLKLQNCTLPCAIPSVILSLLKSLKELEVRDSATIEVLFYMNDNEIIKIASQLRILTLERLSKLTQVWEKKKNGVLMFPNLQRVIVRSCKNLQTLFPASLAKNLRSLKNLKIECCAEFREIVEKEEDTEANFVLPCLEKLALLSLPQLNCFYAHTFTLECPALNKIYVSDCDKLELFQGADLMGEVTSVNRKPLISSLEVISNLKSLQLDWKHILVLRSRLRSKQFSGVFKFVNEMILVLDGDKSEIPIVLNEILHTTPNLKKMGMIIDNCNTTDTFLGQYPKIGEDGMLLQLRELTLFCVSAIRTNQSENSSWLNTIFEKVHEMHTFECPNVEKIGVHSTSTMSFCFLKEVCAYQCPQFQYLFTSSVAKELVNLKEITVVECESLKEIVAKEEDEDEPKGEGEYKYENEMIFMKLEELTLVSLDKLESFYTGSSTLNFPSLRKVVVRKCLKAKIFRHPDKVPLKFRVIIDGICCSGDKNALIMQQFEEEAS >Vigun06g162200.1.v1.2 pep primary_assembly:ASM411807v1:6:28439831:28452856:-1 gene:Vigun06g162200.v1.2 transcript:Vigun06g162200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSNSQSAEVCLAMNQNALSSSDNHGRTLKPEEDKEEGEEEEDEDADFNPFLKETLSQEASSSLSSEVDGLDGNVVTSKPSVGSELSKVTTKEQTCTAVDNEHGEEEIVLQPSGMISLSEMNQVKHNDLTRVADGNGSRTGELSDKTNSRSPVIDVDNEDAICMRTRARYSLASFTLDELETFLQETDDDDDLQNADDEEEYKKFLTAVLQGGDDDLSTHENENLDDDEDNDADFEIELEELLESDAEDNSMVKTRKESDRAGRRPETRQNKRQKVSAQCEKKTLGEVKRPLRPILPNWLNGTLASGKGLVPEASLSFQSSASGNGLVNGFTPLQIGQLHCLIHEHVQLLIQVFSLSVLEPSQKQVASQVQGLLFEMLHKRDEILASKRVPYPTVCFSPSFTCSSVSEEGSKFVQVQSNTEEYGLPQDAHNVLCSQSIQRSSEGLNLQSCFQPAESSFWIPSVRGPVKSILDVSPLHLVRSYTDDINSAAQEFRKRYIESCSDSPVEKEPLFPFSSPVAEANSEISSGTTAKAVNAVSTSPGQQQPKKTLAAMLVESTKKQSIALVPKEVAKLAQRFLALFNPALFPHNPPPAAVVNRILFTDSEDELLALGIMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKASENPIKAVRRMKTSPLTAEEIACIQEGLKIYKFDWMSVWQYIVPHRDPSLLPRQWRIALGTQKSYKIDESKREKRRLYESQRRKLKAASLESWRAISDKEDCDTEIAGSESMDYSDVPYVHQAFLADWRPDASALGYSERIPTTSGEGNVAHNAFSQNIRFYRGTQDYGLSGKVQYQNGNQSAFPSVSYLPQFFHTTSDLRNGMNGAPSALNPKKPVFNVTSSTKYYCRPYRSRRAHNAHLVKLAPELPPVNLPPSVRVVSQTAFKGFQCGTSKVYPPGGGVAASREDHFASQNHHGEKSENVHPVIGAKPALKDTIRNSQLERSETVEGRSIGVEKGTCTDLEMHPLLFQVTEDGNVPYYPLKFSSGTSSSFSFFSGSQPQLNLSLFHSSQQQSHIDCANKSLKSKNSILRSGGIDFHPLLQKSDDAQSPNFDSNQPESLGTSGVSAIANRYSGLIDKSNELDLEIHLSSVSGREKSVKSRQAKARDPAGSQKTVVISGIAREPLEDSVPHCQQGGENVSASSRGLASSYPLVVPNDNIARYDVNDIGDQSHPEIVMEQEELSDSEEDIEEHVEFECEEMTDSEGEDGSGCEQALDVQNKEVSISSQVDGGLLTNNTALNITLTNEEQDDRSSSSWLSLDSCTAGNPVLSKAMLGHSTSMIGEASASRNFSIGKVVTGETHTIDMVQQPTVGPHVSTTPRKPRKRFGKPKANLNIGLTVERSSNDGNHENG >Vigun03g366200.1.v1.2 pep primary_assembly:ASM411807v1:3:56935383:56941815:-1 gene:Vigun03g366200.v1.2 transcript:Vigun03g366200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHLQHEIAFQRFTEEQELSEGRDMQQRLPPTWLNSVNAAQQRQQNFLDSAAEKSVDRNRGESNCESEDLREYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQSQRVVEKYSALAHNGVVDEKELDQFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDDQAESNGNLYEGSFDGGETLGFGPLVPTETERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNNPSSSSNTKSKRKSAGESSNQSFM >Vigun08g111100.4.v1.2 pep primary_assembly:ASM411807v1:8:27565587:27569198:1 gene:Vigun08g111100.v1.2 transcript:Vigun08g111100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVICIQVSRPYWKVDTFLEGAVARYKGFLHLIKRSRERHINRFSVPTYDIDLIWHSHQLHPVSYCNDLVAIVGKVLEHDDTDSDRTKGQKLDVGFSETTKQWEETFGSRYWKAGAMYRGNPPSPLTVDKYKMVGTHHNCSAPSNDTNQSLIQQLPQKLLVQVMLEIVDVRNLPSGHKGKLLVSFNKKQEDLLFNTKKQLSISSKSQGKQVAVFQCESNGELLLELISRPSFNFRGLKLDKVLGKTSINLEDLQNVASKLPIEKWLDLTCAVNWSKPISIRIGLSLTPPVTAPHNLHFVSMLPIKKSYLSFLLPRRSQNIGCWTNINVVNEARNKIINIQTGNLSTENTKSSINKKVIGRTASGNTHILAELKGTVWSMMNSNWMLQIKKTGDEDKRLFELFELTGTRNVVIFPGRKLEYETRNYGNGEERCLMTAVKFSRKYPYGKAVALMDLEYGFLEIKEEWLVLPAILSAFILSNFPEFSNQSEEENGLMHKR >Vigun08g111100.2.v1.2 pep primary_assembly:ASM411807v1:8:27563781:27569198:1 gene:Vigun08g111100.v1.2 transcript:Vigun08g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRILGNSNVLSSTQGTSREESEKVWDSMYPSEPYELVGLNNHSLQGFTENFLEAKQSTTKYDLISAVKRQTTFFYQVSRPYWKVDTFLEGAVARYKGFLHLIKRSRERHINRFSVPTYDIDLIWHSHQLHPVSYCNDLVAIVGKVLEHDDTDSDRTKGQKLDVGFSETTKQWEETFGSRYWKAGAMYRGNPPSPLTVDKYKMVGTHHNCSAPSNDTNQSLIQQLPQKLLVQVMLEIVDVRNLPSGHKGKLLVSFNKKQEDLLFNTKKQLSISSKSQGKQVAVFQCESNGELLLELISRPSFNFRGLKLDKVLGKTSINLEDLQNVASKLPIEKWLDLTCAVNWSKPISIRIGLSLTPPVTAPHNLHFVSMLPIKKSYLSFLLPRRSQNIGCWTNINVVNEARNKIINIQTGNLSTENTKSSINKKVIGRTASGNTHILAELKGTVWSMMNSNWMLQIKKTGDEDKRLFELFELTGTRNVVIFPGRKLEYETRNYGNGEERCLMTAVKFSRKYPYGKAVALMDLEYGFLEIKEEWLVLPAILSAFILSNFPEFSNQSEEENGLMHKR >Vigun08g111100.3.v1.2 pep primary_assembly:ASM411807v1:8:27563781:27569198:1 gene:Vigun08g111100.v1.2 transcript:Vigun08g111100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQQELEWAEAQKIVLSENLVATAKQQLLFLAEVDRNRGLYDDGPLLRIAVYRYKYCWLPLLAKHTESPVTENPLVVPLDCEWIWHCHRLNPVRYKTDCMELYGRILGNSNVLSSTQGTSREESEKVWDSMYPSEPYELVGLNNHSLQGFTENFLEAKQSTTKYDLISAVKRQTTFFYQVSRPYWKVDTFLEGAVARYKGFLHLIKRSRERHINRFSVPTYDIDLIWHSHQLHPVSYCNDLVAIVGKVLEHDDTDSDRTKGQKLDVGFSETTKQWEETFGSRYWKAGAMYRGNPPSPLTVDKYKMVGTHHNCSAPSNDTNQSLIQQLPQKLLVQVMLEIVDVRNLPSGHKGKLLVSFNKKQEDLLFNTKKQLSISSKSQGKQVAVFQCESNGELLLELISRPSFNFRGLKLDKVLGKTSINLEDLQNVASKLPIEKWLDLTCAVNWSKPISIRIGLSLTPPVTAPHNLHFVSMLPIKKSYLSFLLPRRSQNIGCWTNINVVNEARNKIINIQTGNLSTENTKSSINKKVIGRTASDKEDR >Vigun08g111100.1.v1.2 pep primary_assembly:ASM411807v1:8:27563781:27569198:1 gene:Vigun08g111100.v1.2 transcript:Vigun08g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQQELEWAEAQKIVLSENLVATAKQQLLFLAEVDRNRGLYDDGPLLRIAVYRYKYCWLPLLAKHTESPVTENPLVVPLDCEWIWHCHRLNPVRYKTDCMELYGRILGNSNVLSSTQGTSREESEKVWDSMYPSEPYELVGLNNHSLQGFTENFLEAKQSTTKYDLISAVKRQTTFFYQVSRPYWKVDTFLEGAVARYKGFLHLIKRSRERHINRFSVPTYDIDLIWHSHQLHPVSYCNDLVAIVGKVLEHDDTDSDRTKGQKLDVGFSETTKQWEETFGSRYWKAGAMYRGNPPSPLTVDKYKMVGTHHNCSAPSNDTNQSLIQQLPQKLLVQVMLEIVDVRNLPSGHKGKLLVSFNKKQEDLLFNTKKQLSISSKSQGKQVAVFQCESNGELLLELISRPSFNFRGLKLDKVLGKTSINLEDLQNVASKLPIEKWLDLTCAVNWSKPISIRIGLSLTPPVTAPHNLHFVSMLPIKKSYLSFLLPRRSQNIGCWTNINVVNEARNKIINIQTGNLSTENTKSSINKKVIGRTASGNTHILAELKGTVWSMMNSNWMLQIKKTGDEDKRLFELFELTGTRNVVIFPGRKLEYETRNYGNGEERCLMTAVKFSRKYPYGKAVALMDLEYGFLEIKEEWLVLPAILSAFILSNFPEFSNQSEEENGLMHKR >Vigun06g152800.1.v1.2 pep primary_assembly:ASM411807v1:6:27707152:27709923:1 gene:Vigun06g152800.v1.2 transcript:Vigun06g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAKPPSRYSSYDSRSSTSSHFSDPSSSHEFNNPRTKPVSTRALVKTKPSHTAKVDPTFTTMVKKFMDRKPKSSSATATRLIIPSDFMAQDLKKDAKRVAGFSALQKKLFGKGASEKKEKVKALTEVKNNTRTLAMVLRSERELLSINKEHDEQISHLKQMLEDKNKEVEKLKDLCLKQREEIKSLKNAVLFPDVMNSQLQELLEKQGSELKQAKLVIPALQQQVSSLTGQLQNLAEDLAEVKADKYSAKVGLLGYGSSPRTPTYAREDACNSWEFSSDDQSDDLLLNDLNPCLTPCNAKSRSKEFEGRGSGSVLDESLSEDDAKVYPEINFSALDHKFSKSSDCCHNSSKRSVPTKAGRRSDESKLAYGGRKNRFA >Vigun02g075900.2.v1.2 pep primary_assembly:ASM411807v1:2:22782078:22786045:-1 gene:Vigun02g075900.v1.2 transcript:Vigun02g075900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHLAFTFALFLLLCNVSAAPSTTLPAKIVSGFVSNVVPAFTKWVWSLKAPTKTALGVKSMMKFESGYSVETVFDGSKLGIEPYAVEVLPDGDLLILDSVNSNIYRISSSLSLYSRPKLVAGSAEGYSGHVDGRLREARMNHPKGITVDNRGNIYVADILNMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYENGFPLGIAMLVGAGFFGYMLALLQRRLGSIVSSQDTKDPAMSGISPSSYQKPVKSVRPPLIPSEDESDKQEESFFGSLGKLLTNAGASVVEIMGGLFPGFRKRPQSYEFQSQPLFQQPQKQVNAWPVQESFVIPEEDEPPSIDPRTPTPRKTYPFMSKDAEKMQQLLQSRAFYSGWEGDLHQQQQLKHQHHQQQQLKHHHRHQYHSSIPHTYLEQSHEETNEIVFGAVQVHDRKQETVVIKPVEYGQSLYDHHHHIRPRISSMGYTNKH >Vigun02g075900.1.v1.2 pep primary_assembly:ASM411807v1:2:22782078:22786045:-1 gene:Vigun02g075900.v1.2 transcript:Vigun02g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHLAFTFALFLLLCNVSAAPSTTLPAKIVSGFVSNVVPAFTKWVWSLKAPTKTALGVKSMMKFESGYSVETVFDGSKLGIEPYAVEVLPDGDLLILDSVNSNIYRISSSLSLYSRPKLVAGSAEGYSGHVDGRLREARMNHPKGITVDNRGNIYVADILNMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYENGFPLGIAMLVGAGFFGYMLALLQRRLGSIVSSQDLLQTKDPAMSGISPSSYQKPVKSVRPPLIPSEDESDKQEESFFGSLGKLLTNAGASVVEIMGGLFPGFRKRPQSYEFQSQPLFQQPQKQVNAWPVQESFVIPEEDEPPSIDPRTPTPRKTYPFMSKDAEKMQQLLQSRAFYSGWEGDLHQQQQLKHQHHQQQQLKHHHRHQYHSSIPHTYLEQSHEETNEIVFGAVQVHDRKQETVVIKPVEYGQSLYDHHHHIRPRISSMGYTNKH >Vigun10g000700.1.v1.2 pep primary_assembly:ASM411807v1:10:121492:122210:1 gene:Vigun10g000700.v1.2 transcript:Vigun10g000700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHPGACVSETAWERSNSGVGGGGDGGDAVVLTVWKKSLLPNCHGFTVFDTNRGNLVFRVDNYIAGNKDHILLMDAAGTPLITIRRKRLSLGDTWLVFKGEDESLKPLFTARKNVSILNNSNNKCLAQLLSSSGTGNMKKEVAYEIEGCYARRCCTFYSKNRSKVAEIKMKEGEAGRVAFGADIFRLIVQPEMDTALAMAFLILLDHMFRSR >Vigun03g389400.1.v1.2 pep primary_assembly:ASM411807v1:3:59592601:59595777:-1 gene:Vigun03g389400.v1.2 transcript:Vigun03g389400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITIKDIYDVFAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADCLQKVVILGALFLWNTFTKHGSLDWTITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKLLITEQFPETAGSITSFRVDSDVVSLNGREPLQTDAEIGEDGKLHVVVKRSAASSMISSFNKSHLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAPSPKHGYTNSFQSNSGIGDVYSLQSSKGATPRTSNFEEEMLKMHKKRGGRSMSGELFNGGLVSSYPPPNPMFSGSTSAGGLKKKDSSGGSAAPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGTVDPSKAVPHETVASKAVQELIENMSPGRRGSGEKELEMDEGAKFPTSGSPYTCQKKVDLEGNANKNQRMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLISFRWHIEMPTIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAAFSMAVRFLTGPAVIAATSIGIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHADILSTAVIFGMLIALPITILYYVLLGL >Vigun03g389400.2.v1.2 pep primary_assembly:ASM411807v1:3:59592601:59595777:-1 gene:Vigun03g389400.v1.2 transcript:Vigun03g389400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITIKDIYDVFAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADCLQKVVILGALFLWNTFTKHGSLDWTITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKLLITEQFPETAGSITSFRVDSDVVSLNGREPLQTDAEIGEDGKLHVVVKRSAASSMISSFNKSHLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAPSPKHGYTNSFQSNSGIGDVYSLQSSKGATPRTSNFEEEMLKMHKKRGGRSMSGELFNGGLVSSYPPPNPMFSGSTSAGGLKKKDSSGGSAAPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGTVDPSKAVPHETVASKAVQELIENMSPGRRGSGEKELEMDEGAKFPTSGSPYTCQKKVDLEGNANKNQRMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLISFRWHIEMPTIVKGSISILSDAGLGMAMFSLGCPSPGYRSLCVCQRIQSPCRYS >Vigun03g087200.1.v1.2 pep primary_assembly:ASM411807v1:3:7235261:7239502:-1 gene:Vigun03g087200.v1.2 transcript:Vigun03g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHYSSSYFERRPILKSKAPAVKWVKEWVPQDLVTTGGKCMLLKWVTEDTVKTLKEKTTEPTMPEPEPEPTTEVLFLCSYDGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNASVDVTKYTTPPSEKQTKTAKPSGGAYGSASSDRPYACPYDGCEKAYIHEYKLRLHLKREHPGLMPDETAEHAQANNVDNEMDEASDQDAYLTKRSNGKIQKQSRPKPSLKLPPSKIAKRKVSTPTLTVNKNSWPVKDEPFDEEDSEETEEDRDNVEDGWRYTGGNNEDDDEETEYED >Vigun07g280800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:39568042:39571103:1 gene:Vigun07g280800.v1.2 transcript:Vigun07g280800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDKKDSSQNMPNAEPDAENAYASFQGLLQLAKITNADVDVSRGACKKCGRVGHLKFQCKNYVKIKDDNEEKDPDAMQPVGLVGLDKKLKVEKVDRRSNVESSEEEEDSDSSDSEIDSEIERIIAERSGKKISGKRSSSGKKGDSDDDGSDKDSDKRRKRGRSKKRSSKRKVSDSDDSDEERRRRRRKRRREQRRKREKSSDEDDEYRRRRRKSRKEKRRRRSHLSDSDSSEDSIVRHKRKNKRASSSSETDSSGHDDSRKGRRVNKSEKRRSRHHGDDE >Vigun05g127300.2.v1.2 pep primary_assembly:ASM411807v1:5:14410311:14417932:1 gene:Vigun05g127300.v1.2 transcript:Vigun05g127300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVASAFCVKLNTQRRLVTRRGFSGQLTNLKTVQRSVFGATDLKFTTRTQQLPIKCIGLGALVDFGGATTSRLVPVVDQMLLMGSIFLTYMAGVIPVEKSDASYRKTNSDKNVFPQSSDISGSSAKQNYGLESKYILNVVREKILNSLNALEDKAYSGDIILQSAKKPLSLSAVARGPKLRLLWAAFQQVEEEVNNILSISRTVGMDGLFGRFSEIIQRSCHPICAAWLEKEFFLLKGNADKELASMILEKVKGDSTVVESIARSGKKDLYSELLWYLTFGSLREDCYYDSCIFAVHGISILEDLVVALADGVASIYLEFNSVDSDVSSKTNSLDMSLCALSTRELQKLRNEVALNQWLCHNMDTVVSMYEDRFDLCILESKPIDLTDSIQTEEQNWWKKLTQQNSKTRSPELHCIEISHFSMPVKRTKELRALIGWRYYFSLFLELSDITMPIIRAVIDKVSDAISFFLVTLIGRSLGLIYTGIRQSLKWK >Vigun05g127300.3.v1.2 pep primary_assembly:ASM411807v1:5:14410783:14417932:1 gene:Vigun05g127300.v1.2 transcript:Vigun05g127300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVASAFCVKLNTQRRLVTRRGFSGQLTNLKTVQRSVFGATDLKFTTRTQQLPIKCIGLGALVDFGGATTSRLVPVVDQMLLMGSIFLTYMAGVIPVEKSDASYRKTNSDKNVFPQSSDISGSSAKQNYGLESKYILNVVREKILNSLNALEDKAYSGDIILQSAKKPLSLSAVARGPKLRLLWAAFQQVEEEVNNILSISRTVGMDGLFGRFSEIIQRSCHPICAAWLEKEFFLLKGNADKELASMILEKVKGDSTVVESIARSGKKDLYSELLWYLTFGSLREDCYYDSCIFAVHGISILEDLVVALADGVASIYLEFNSVDSDVSSKTNSLDMSLCALSTRELQKLRNEVALNQWLCHNMDTVVSMYEDRFDLCILESKPIDLTDSIQTEEQNWWKKLTQQNSKTRSPELHCIEISHFSMPVKRTKELRALIGWRYYFSLFLELSDITMPIIRAVIDKVSDAISFFLVTLIGRSLGLIYTGIRQSLKWK >Vigun05g127300.1.v1.2 pep primary_assembly:ASM411807v1:5:14410332:14417932:1 gene:Vigun05g127300.v1.2 transcript:Vigun05g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVASAFCVKLNTQRRLVTRRGFSGQLTNLKTVQRSVFGATDLKFTTRTQQLPIKCIGLGALVDFGGATTSRLVPVVDQMLLMGSIFLTYMAGVIPVEKSDASYRKTNSDKNVFPQSSDISGSSAKQNYGLESKYILNVVREKILNSLNALEDKAYSGDIILQSAKKPLSLSAVARGPKLRLLWAAFQQVEEEVNNILSISRTVGMDGLFGRFSEIIQRSCHPICAAWLEKEFFLLKGNADKELASMILEKVKGDSTVVESIARSGKKDLYSELLWYLTFGSLREDCYYDSCIFAVHGISILEDLVVALADGVASIYLEFNSVDSDVSSKTNSLDMSLCALSTRELQKLRNEVALNQWLCHNMDTVVSMYEDRFDLCILESKPIDLTDSIQTEEQNWWKKLTQQNSKTRSPELHCIEISHFSMPVKRTKELRALIGWRYYFSLFLELSDITMPIIRAVIDKVSDAISFFLVTLIGRSLGLIYTGIRQSLKWK >Vigun09g071000.1.v1.2 pep primary_assembly:ASM411807v1:9:7737620:7743061:-1 gene:Vigun09g071000.v1.2 transcript:Vigun09g071000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLRRYFSMRPQNSKVQTLYDHCKTIFSPSGTPPPSSQALQKLSSILDTVKPADVGLKEETADDDRGHGFFGANVLNRVARWAQPITYLDIHECDSFTMCIFCFPTSAVIPLHDHPGMTVFSKLLYGSLHVKAYDWVEPPFVVESKGPGYPQVRLAKLAVDKVLNAPCTSVLYPKHGGNLHCFTAVTPCAMLDILTPSYNTEEGRKCTYYHDYPYSAFAAGNAPVCDGEEEEYAWLAELESPRDLYMRLGEYAGPNIQH >VigunL005200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:67228:68744:-1 gene:VigunL005200.v1.2 transcript:VigunL005200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIPIRNLSERLDLLSHVCFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun03g384100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58885159:58890329:-1 gene:Vigun03g384100.v1.2 transcript:Vigun03g384100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRERERERDRTRDRERKRRRDKDDRDRDREREKGDRDRDREREKGDRDREREKGDRERDKGDRDRERDKDDRDRERDKDDREREREREREKDDRDRERERERDRVRSKRSRSRSPDRGRSRHARSPSPSDRSHRRRHHRTPTPEQPRKRHRRESVEEEHKETKKAVSDFVDGIAKEQQQKQKENGGEAEGNEDELEMMKMLGIPVGFDSTKGKPVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >Vigun03g384100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58885154:58890340:-1 gene:Vigun03g384100.v1.2 transcript:Vigun03g384100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRERERERDRTRDRERKRRRDKDDRDRDREREKGDRDRDREREKGDRDREREKGDRERDKGDRDRERDKDDRDRERDKDDREREREREREKDDRDRERERERDRVRSKRSRSRSPDRGRSRHARSPSPSDRSHRRRHHRTPTPEQPRKRHRRESVEEEHKETKKAVSDFVDGIAKEQQQKQKENGGEAEGNEDELEMMKMLGIPVGFDSTKGKPVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >Vigun01g169950.2.v1.2 pep primary_assembly:ASM411807v1:1:35190327:35190849:-1 gene:Vigun01g169950.v1.2 transcript:Vigun01g169950.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGLIVGLIYPFFHHGRGIYKLWFLRVPFNNEAATAYLLCLSSCSFLLSFCTFPCTNKTTLFGACFSPRFELLDIAEKKMKLMGSEECEVKDEECLSRRFMVEPELEYIYSHNRINK >Vigun01g169950.1.v1.2 pep primary_assembly:ASM411807v1:1:35190328:35190849:-1 gene:Vigun01g169950.v1.2 transcript:Vigun01g169950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGLIVGLIYPFFHHGRGIYKLWFLRVPFNNEAATAYLLCLSSCSFLLSFCTFPCTNKTTLFGACFSPRFELLDIAEKKMKVDDMELMGSEECEVKDEECLSRRFMVEPELEYIYSHNRINK >Vigun10g085800.2.v1.2 pep primary_assembly:ASM411807v1:10:24543135:24550160:-1 gene:Vigun10g085800.v1.2 transcript:Vigun10g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLRSFPRSFFFCCFLLLSLFLLLLLLVPPSSSSSSSSSAPNEKVTVSLYYESLCPYCADFIVNRLVRLFQTDLISIVNLRLVPWGNAWIAPDGSVVCQMQHFKFVECLERLSLEGRHRQWMNCFQMTGLGTSPIDCYTTGNGKTIHLKYGKETSELKPPHRFVPWVVVNNQALQEDYRNFETYICRAYKGKMMPSACRSSHSTKTYDESNEKDNNFFIPVCYADEARNLTLHFGK >Vigun10g085800.1.v1.2 pep primary_assembly:ASM411807v1:10:24543135:24550160:-1 gene:Vigun10g085800.v1.2 transcript:Vigun10g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLRSFPRSFFFCCFLLLSLFLLLLLLVPPSSSSSSSSSAPNEKVTVSLYYESLCPYCADFIVNRLVRLFQTDLISIVNLRLVPWGNAWIAPDGSVVCQHGEDECFLNTIEACTITIYPDVMQHFKFVECLERLSLEGRHRQWMNCFQMTGLGTSPIDCYTTGNGKTIHLKYGKETSELKPPHRFVPWVVVNNQALQEDYRNFETYICRAYKGKMMPSACRSSHSTKTYDESNEKDNNFFIPVCYADEARNLTLHFGK >Vigun04g139700.1.v1.2 pep primary_assembly:ASM411807v1:4:34686408:34689893:1 gene:Vigun04g139700.v1.2 transcript:Vigun04g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKHHRKTIMCAYFLKIFYIVLLLSLLASGSTVTLKNSSESGEAKCIERERQALLSFKDSLLDDFAMLSTWTDNTDCCQWERIQCNHQTGHVQLLDLHGNYLDRPYLRGAINVTSLIHLQYIQHLDLSHNYFAGTYIPDFMGFTNLRYLSLSNCYFAGRIPSKLGDLSQLRYLNLRDNILWGEIPIQIGNLKLLQFLDLGGYYLSGKIPFQIGNLRKLQYLSIGCNYGEITSYTISNSLSGAIPFQIGNLPLLHTLRLGGNFDVRAKDAQWLSSLHSLTVLDLTSLRHLGSSRQLLQTISKIIPNLTELRLAECNLLDVHIQSLFHSRSSNNSISLTILDLSSNMLTSSTLQLLFNFGLHFQELYLPHNNISLSPSLCPNFPSLKILDLSYSNPASSMSLGNSNISSKLQELHLGSSSLIDRNLLISSTSTTNSLSSLLHIDLSNNLLRSYSIFHWLSNFTTNLRILHLDSNFLEGSIPDEFGKSMNSLEYLYLSNNKLQGKVPSFFGSMCRLQGLDLSNNKLNGEFPTFIQNSSWCSRHIFRELDLSYNQITGKIPESIRLLSGLEVLSLDGNSLEGDVTESHLSNFSKLYQLLLSHNSLSLKFVSSWVPPFQLIYLYLESCKLGPSFPSWLQTQTSLIFLQISDCGINDTVPEWFWNKLQTMYVLNMADNNLTGSIPNMQLKLHYRPIINLNSNKFEGKVPLFFLQASELLLSSNNFSDLSSFLCGNVTAANLATLDLSYNQIKGQLPDCWKSADRLLFLDLSSNELTGKIPKSMGNLVRLEGLVLRKNSLMGELPSSLKNCNNLIMVDVSENLLSGLIPSWIGESMQQLMILIMRGNHFSGNLPLHLCYLKRIQLFDLSRNNLCGGIPTCLNNFAALSENNINRTEIESRVHWYNNTYHEMYSIFRYSNYTLRVTWMWKGVERNFANPELTFLSIDLSCNNLTGKVPRVITYMFGLVSLNLSRNNLSGEIPSEIGNLRSLESLDLSRNQFNGRIPSSLSQIDFLEKLDLSHNSLSGRIPLGRHLDTFDGSCFEGNIDLCGEQINKRCAGDQILVKPQEAEIHGEDFVFYEALYMSLGIGFFTGFWGLLGPLLLWQPWRIAYLRFLNTLIDYLLVMGELNIAKCQRWLRD >Vigun01g079800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22542911:22544726:1 gene:Vigun01g079800.v1.2 transcript:Vigun01g079800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRILLVTFPSQGHINPALQFAKRLISMGAHVTLLVTLHLYRRITKKITIPGLYVLPFSDGFDAGFFPVNNTAADYHLYLSELQRRSSDFVSELIVSTTAEGNPFTQVVYTLLVPWVADVARSFNLPTALLWIETATVLDILYYYFHGYADYINEETMAEASCSITLPGLPFSLSQRDIPSFLLQWKPTMLSFTFPAFEEQILQLDREINPTVLVNTFEALESAALRAVDGINMIPIGPLIPSAFLDGRDPSDSCFGGDIFPLSNDYVTWLDSKEEKSVVYVSFGSYLELSKRQMEEIARALFDCGRPFLWVIRGKENEEEVLELGMELEKKGKIVTWCSQVEVLSHGSVGCFVTHCGWNSTMESLVSGVPMVAFPQWTDQMTNAKLVEDVWKIGVRVDHDVNEDGIVEGKKIKRCLDVVMGSGDKACELRKNSQKWMGLARDAAMEGGSSENNLRAFVHHVGDKFTHTQVTPN >VigunL056050.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:17573:19705:1 gene:VigunL056050.v1.2 transcript:VigunL056050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTDMPPSSTSPQHHERDNDNQKVPFYKLFTFADHLDVAFMAIGTISAMANGWSQPIMSVIVGKLINTFGSTDPSNTIKEVSKVSLLFVYLAIASGVASFLQVTCWMVTGERQAARIRGLYLKTILKQDIAFFDTETTTGEVIGRMSGDTILIQDSMGEKVGKFIQLASTFIGGFVIAFVRGWRLTVVLVACIPCIVVTRGILSVLMSRMSSRGQAAYAEAGTVVEQTVGAIRTVSGIFTGERKAIEKYNIKLKIAYKTMVQQGMTSGLGMGLLLLIVFCTYALAMWYGSKLVIEKEFQGGTVITVIIALMTGGTSLGQTSPCLNAFAAGQAAAYKMFETIKRKPEIDAYDTNGVVMEDIRGDIELKDVYFSYPARKNVQIFSGFSLYVPSGTTAALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNFRLDG >Vigun11g051501.1.v1.2 pep primary_assembly:ASM411807v1:11:8727672:8727975:1 gene:Vigun11g051501.v1.2 transcript:Vigun11g051501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIVVLRSSLSLMHSPLVLLRIPHSGDSPPLAGFKSCLSNQTQNLTELTYNKSYYKSPAHLTGT >Vigun11g195100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39452863:39454271:1 gene:Vigun11g195100.v1.2 transcript:Vigun11g195100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPPRPLRCSDLSILLHHAREPSTISPVTRLLRRQPRQPPATPVGAKVVADAERTQARDINAANAHCLPTRAFLLNLRLGSDCLCFSGNASGERMTLGDNCGVESLMMKWVVK >Vigun04g077600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10636980:10637804:1 gene:Vigun04g077600.v1.2 transcript:Vigun04g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIMHKIEDSFGGHKKDEEHKTEHKENHHDEHKGEHKEGFMDKMKDKLHGHEHDKSHKEGHKEGHKEEHKEGFMEKMKDKFHGDEHDKGENKKKKKEKKKHEHGHEKHGDSSSSDSD >Vigun07g198500.1.v1.2 pep primary_assembly:ASM411807v1:7:31886887:31890749:-1 gene:Vigun07g198500.v1.2 transcript:Vigun07g198500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSESSKGVVLGRLVECIKEISGLPECQNFCKRVYGNLVRRVKLLSPLFEELKDSDESLSDEQLENFESILVALDSAKTLLKSVNQGSKLYQALRRNDTADKFQQVTEKIEAVLSEIPYYKLEISEEVREQIELVHAQFKRAKAQTEFADIQLDMDMAMAQKEKDPDPAILKRLCEKLHLRTINDLRKESSELHELLITSGEELGDSFEMITSLLSKLRDCVLTENPEVDTSECEKLTIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLVHTALTPNYVLKSLIALWCESNGIELPKKQGNCRTKKCGGSSHSDCDRTAISALLDKLASNNIEQQRAAAGELRLLAKRNADNRVCIAEAGAIPPLVDLLSSSDPRTQEHAVTALLNLSINESNKGTIVNAGAIPDIVDVLKKGSMEARENAAATLFSLSVLDENKVQIGAAGAIPALIKLLCEGTPRGKKDAATAIFNLSIYQGNKARAVKAGIVGPLIQFLKDAGGGMVDEALAILAILASHHEGRVAIGQAGPIPILVEVIRTGSPRNRENAAAVLWSLCTGDPVLLKLAKEHGAEAALQELSENGTDRAKRKAGSILELLQRIEGDDNLRNS >Vigun09g263900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42798106:42799554:1 gene:Vigun09g263900.v1.2 transcript:Vigun09g263900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNNNNNLEGSEEPEIGGFLAPRALFGPALHLQAQNPSQHTENNDGDGPPNAARRPRGRPPGSKNKPKPPVIVTRDSANALHSHVLEVSLGAELMESLSTYACRRGRGVCVLSGTGTVANVALRQPAGSVLTFPGRFEIVSITGTVLPPPAPPGSAGLSVYLSGAQGQVVGGIVVAPLVASSDVVLVAASFANAMLERLPLLPLNHHHDDDDEDPPAVTGSGHVGDGRNNPFSWWLQSELFELGGSGSASSHAPPKPSPF >Vigun09g039000.1.v1.2 pep primary_assembly:ASM411807v1:9:3501149:3506777:1 gene:Vigun09g039000.v1.2 transcript:Vigun09g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNVVEEEAVAIRGYELRLIRCTLNSEQPQQVCALQKRDSLDDSIKHLLNLIQCGSYIQALTSKPSFHLVFRLAALDSPPLSDPDHLYPLLVDRAECFITAAASDAVEQRRRGMLVTCIAVAAFLGFTQANFTGPLKGAELPRCPLRLDGDDEERDNWARNELISAGSDLVGKFSNLQYIVFAKMLLIRVKDLGVEMKSLWWWLARVLVVQQRVLNERCSSLSDLLHLYMGEALQTFGCREQVESYWQDDLHDGESSDIVSVIHLEAGIIEYVYGRVDSCRMHFKSAEMAAGLQLSLTGVLGFRTEHQVEPKAQMVLVTNTGSSNNVDENCPLTNTGIQTCDSNKGEDDWNLNQHEASEASPVLRIPKLLENNDENGGHVTATLTATQQAVILAFCILIEIKISPHRDELQLWEMAPYIEAIDSQNFFYFTIRCLSDFLRIRWESSDSRPRTQQRALLMMDNLVKHIYEPSPAIADRIAFSYAVYMPSIPELKKKYGSLLKECHLIGEAAKEFEELELWYNLIECYSSMGKKAEAVELIKKRLLETPNDPSLWCSLGDITENDACFEKALEVSNNRSYTAKRSMAKSAYKRGDYYTSIILWDSAIAMNSMDSEGWFGLGKAALKAPETGKALDAFTRVIQLDPENENAWNNIACLQTMKKRGKEAFITLKEALKFKQNSLHLWERYSLVAVEIGNISQALEGVQTMLDISNNKKVDSELLERITGEVEKRVLASVGGRSRETEQLLVLLGKVLQQIVKYGSGFESDIWGLYAKWHKMNGDLMMSSEALLKQVRSLQGSDTWKDQDRFKKFAKSSLDLCQVYMDMFSSSSGSSKQLSTAELHLKNVIRQAQSCFSDTQEFRDLQACYDEVKIKLQSNSINA >Vigun07g024200.1.v1.2 pep primary_assembly:ASM411807v1:7:2159303:2161747:-1 gene:Vigun07g024200.v1.2 transcript:Vigun07g024200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTNNTYYSLLATILLVLTFVLSEGQLSATFYSTTCSNVSTIVRNSVQQALTSDSRIAASLIRLHFHDCFVDGCDGSILLDVGGNITQSEKDALPNANSVRGYDVVDSIKSSVESSCPGVVSCADILALAAESSVSLSQGPSWTVLLGRRDSLTANQASANTSLPSPFETLANITSKFSAVGLDTNDLVALSGAHSFGRSQCQFFSQRLFNFNGTGNPDPNLNSTYLTTLQQNCPQNGNGATLNDLDPSTPDTFDNNYFTNLLIHKGLLQTDQELFSTDGASTISIVNNFANNQSAFFEAFAQSMINMGNISPLTGTQGQIRIDCKKVNGS >Vigun10g081625.1.v1.2 pep primary_assembly:ASM411807v1:10:22815580:22816176:-1 gene:Vigun10g081625.v1.2 transcript:Vigun10g081625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNFEMIDPVGNIHEITIVRGYLHMRYIRCGIPEFIKYYEIKQDHVMRLTYMGDYKFLVRIFDLGDDEITYMKRSSDNFSTTLESNDENSFYFSTEKTLTENDIQNSSLYLDAHFATVSLVKNRKMYNLSNENDGLWLCTIRWSQRSRMECYLSRGWKEFCVDNGLEAGNK >Vigun02g119400.5.v1.2 pep primary_assembly:ASM411807v1:2:27203263:27205755:-1 gene:Vigun02g119400.v1.2 transcript:Vigun02g119400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDWDDEECDDTLTMTTVSRHCFGDDSESPSFSISIIENMKEDYGLFVWPCSVVLAEYVWQQKHRFSGATVVELGAGTSLPGLVAAKLGARVTLTDNSTRLEVLDNMRRVCELNKLECNVSYHYSDCFNMFQITKSVVKMCVECVNVSVEYYGSLCLAWNFLKVVTSGSLMLICGCS >Vigun02g119400.1.v1.2 pep primary_assembly:ASM411807v1:2:27200613:27205755:-1 gene:Vigun02g119400.v1.2 transcript:Vigun02g119400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDWDDEECDDTLTMTTVSRHCFGDDSESPSFSISIIENMKEDYGLFVWPCSVVLAEYVWQQKHRFSGATVVELGAGTSLPGLVAAKLGARVTLTDNSTRLEVLDNMRRVCELNKLECNVLGLTWGVWDAPLFSLQPTLILGADVLYDSNAFDDLFATVTFLLQNSPGSIFITSYHNRSGHHLIEFLMGKWGLKCLKLLDGFSFLPPDKASLLSGHRSNKQRKLRLRYRNVCGS >Vigun02g119400.4.v1.2 pep primary_assembly:ASM411807v1:2:27203263:27205761:-1 gene:Vigun02g119400.v1.2 transcript:Vigun02g119400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDWDDEECDDTLTMTTVSRHCFGDDSESPSFSISIIENMKEDYGLFVWPCSVVLAEYVWQQKHRFSGATVVELGAGTSLPGLVAAKLGARVTLTDNSTRLEVLDNMRRVCELNKLECNVLGLTWGVWDAPLFSLQPTLILGADVLYDSNAFDDLFATVTFLLQNSPGSIFITSYHNRSGHHLIEFLMGKWGLKCLKLLDGFSFLPPDKASLLSGNIQLAEIAPISKDNA >Vigun02g119400.6.v1.2 pep primary_assembly:ASM411807v1:2:27203263:27205755:-1 gene:Vigun02g119400.v1.2 transcript:Vigun02g119400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDWDDEECDDTLTMTTVSRHCFGDDSESPSFSISIIENMKEDYGLFVWPCSVVLAEYVWQQKHRFSGATVVELGAGTSLPGLVAAKLGARVTLTDNSTRLEVLDNMRRVCELNKLECNVLGLTWGVWDAPLFSLQPTLILGADVLYDSNGETKKECITHYLMGRLPL >Vigun02g119400.2.v1.2 pep primary_assembly:ASM411807v1:2:27200662:27205756:-1 gene:Vigun02g119400.v1.2 transcript:Vigun02g119400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDWDDEECDDTLTMTTVSRHCFGDDSESPSFSISIIENMKEDYGLFVWPCSVVLAEYVWQQKHRFSGATVVELGAGTSLPGLVAAKLGARVTLTDNSTRLEVLDNMRRVCELNKLECNVLGLTWGVWDAPLFSLQPTLILGADVLYDSNAFDDLFATVTFLLQNSPGSIFITSYHNRRTQK >Vigun04g138300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34451271:34453069:1 gene:Vigun04g138300.v1.2 transcript:Vigun04g138300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVSSSLGLPSPKPHEISISTTLSDFPSLLTHFPPFASTTSVKWPRPNPKPQSIKSLPLLDRALIGAAAGGLAGAFTYVCLLPLDTIKTKMQTKGAAQIYKNTLDAVVKTFQSSGLLGFYSGISAVIVGSTASSAVYFGTCEFGKSFLGKLENFPAVLIPPTAGAMGNVASSAIMVPKELITQRMQAGAKGRSWQVLVEILKNDGVMGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLQKTEKNHLEPVQSVLCGALAGAISASLTTPLDVVKTRLMTQVRGEGVNKVAAVMYDGVSSTVKQILKEEGWVGLTRGMGPRVLHSACFSALGYFAFETARLGILREYLRRKELSERETQVSDSTA >Vigun03g296300.1.v1.2 pep primary_assembly:ASM411807v1:3:48290316:48290578:-1 gene:Vigun03g296300.v1.2 transcript:Vigun03g296300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLTLVQTIATAGVFSAISFWYGFMFGRESSRKELSQLIEDLRRGNPPSPSSSSSHS >Vigun07g125600.1.v1.2 pep primary_assembly:ASM411807v1:7:23236109:23240305:1 gene:Vigun07g125600.v1.2 transcript:Vigun07g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSPKFLIFLLLLTAIPIGIIVTLERAQPATHVYHYHSSGWFRECAKWDSHHARFIVSFFEGGIGQVKLPEKDSDPSPPLEEVTVVKEPNLAGNASLGIAIDTPRNRVLVVNADAIGNRYGALAAYDLSSWNRLFLTQLSGPSDEKSFADDVAVDAEGNAYVTDVKGNKIWKVGVEGKVISSIKSPLFSAKEWYKNLVGLNGIVYHPDGFLIVIHTFSGNLFKIDLAKGEEVKMIKVKGSLSFGDGLELVSPTKLVVAGNPSARLVESSDGWNSASVVATFSGPKHRLATAATVKDGKVYLNHMVGMGYPKKKHALVEAVF >Vigun07g118850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22050774:22051217:-1 gene:Vigun07g118850.v1.2 transcript:Vigun07g118850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGGKVLLPRVPPPHELLQIFSDKTSESRHFRQHIRSYNHVFSFTSLGVHMDETIVANGRGIYTFRAEGEIYDRIRGFYPNDGSRPRFLQLYIYDTEHELQNRMLKSPQLHQIIVHKLQQILHRCNPFVHVFRQLAQEPNIQICS >Vigun07g258600.1.v1.2 pep primary_assembly:ASM411807v1:7:37565229:37571570:-1 gene:Vigun07g258600.v1.2 transcript:Vigun07g258600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILYLAIISLSLLLFHNTNTFILVQALTSPSDIAALRAFKASIKPSSIAPWSCLASWNFTTDPCSIPRRTSFICGLTCTADSKTINQITLDPAGYSGTLTPLISQLTQLTTLDLSDNNFFGSIPSSISSLSNLQTLTLRSNFFSASIPPSITTLKSLQSLDFSHNSLSGYLPNSMNSLAKLRRLDLSFNNLTGSIPKLPPNLLELAIKANSLSGPLQKQNFQGMNQLEVVELSDNALTGTVESWFFSLPSLQQVNLANNTFTATQISGPRPAPSSGNLVALDLGFNRIQGYAPANLASFPALSFLSLRYNALRGAIPVEYGQMKSIRRLFLDGNFLLGKPPAGLVAGGTEVTGSLGDNCLKECPRSSQLCSPAQKPISVCKRAYSGRPTPIPVTPHLLRPRYKIALPLVQTKRTKKTGIVVKYCSEIIWGR >Vigun08g180900.5.v1.2 pep primary_assembly:ASM411807v1:8:35048323:35050693:1 gene:Vigun08g180900.v1.2 transcript:Vigun08g180900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYTIVDPVARIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSVANGDDHTVKRRKVAEDDNDSHEEPKRNNKAEPGQTSGRGRGRGRGRGRGRGSRNVVDQEMSSQAKCEEDSEALKQNEKQSQSNESMENASSPEEVKQSFPVSKPAQVSIRNFDLNMDPDENMESLTSPTAVPTSSSAKSISEEKHHEEYPGWSLSDMEKMSIDPIQLANINGRIDEDEEDYDEEM >Vigun08g180900.4.v1.2 pep primary_assembly:ASM411807v1:8:35048214:35050693:1 gene:Vigun08g180900.v1.2 transcript:Vigun08g180900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSVANGDDHTVKRRKVAEDDNDSHEEPKRNNKAEPGQTSGRGRGRGRGRGRGRGSRNVVDQEMSSQAKCEEDSEALKQNEKQSQSNESMENASSPEEVKQSFPVSKPAQVSIRNFDLNMDPDENMESLTSPTAVPTSSSAKSISEEKHHEEYPGWSLSDMEKMSIDPIQLANINGRIDEDEEDYDEEM >Vigun08g180900.2.v1.2 pep primary_assembly:ASM411807v1:8:35046854:35050693:1 gene:Vigun08g180900.v1.2 transcript:Vigun08g180900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSVANGDDHTVKRRKVAEDDNDSHEEPKRNNKAEPGQTSGRGRGRGRGRGRGRGSRNVVDQEMSSQAKCEEDSEALKQNEKQSQSNESMENASSPEEVKQSFPVSKPAQVSIRNFDLNMDPDENMESLTSPTAVPTSSSAKSISEEKHHEEYPGWSLSDMEKMSIDPIQLANINGRIDEDEEDYDEEM >Vigun08g180900.3.v1.2 pep primary_assembly:ASM411807v1:8:35047113:35050694:1 gene:Vigun08g180900.v1.2 transcript:Vigun08g180900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSVANGDDHTVKRRKVAEDDNDSHEEPKRNNKAEPGQTSGRGRGRGRGRGRGRGSRNVVDQEMSSQAKCEEDSEALKQNEKQSQSNESMENASSPEEVKQSFPVSKPAQVSIRNFDLNMDPDENMESLTSPTAVPTSSSAKSISEEKHHEEYPGWSLSDMEKMSIDPIQLANINGRIDEDEEDYDEEM >Vigun08g180900.1.v1.2 pep primary_assembly:ASM411807v1:8:35046854:35050693:1 gene:Vigun08g180900.v1.2 transcript:Vigun08g180900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLRRGAKTMNAFHLKQCVQTFNVFDFLKDIVSKVPDLGGSVANGDDHTVKRRKVAEDDNDSHEEPKRNNKAEPGQTSGRGRGRGRGRGRGRGSRNVVDQEMSSQAKCEEDSEALKQNEKQSQSNESMENASSPEEVKQSFPVSKPAQVSIRNFDLNMDPDENMESLTSPTAVPTSSSAKSISEEKHHEEYPGWSLSDMEKMSIDPIQLANINGRIDEDEEDYDEEM >Vigun05g267900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45987418:45987789:-1 gene:Vigun05g267900.v1.2 transcript:Vigun05g267900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYNNQHRHVLQLVLSCRKITAQVTNTTTSSIIAMASSSEQEFAAHYRAQLNRFPRSQRYWDGKVASRVGEKLGFRLREIGITTVQIDPSEEQSRPPHYRAMVSPLFHSIKNAGVHVSGVQ >Vigun10g124500.1.v1.2 pep primary_assembly:ASM411807v1:10:33275391:33283289:1 gene:Vigun10g124500.v1.2 transcript:Vigun10g124500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLTRFAAASNIARSRRFSAAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMNSFRSLENNTQGQSDKVVSLAKWRILNRLHDRNETLYYRVLIDNIKEFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLERYQKKFCMFNDDIQGTAGVALAGLLGTVRAQGQPLTDFVNQKIVVVGAGSAGLGVLKMAIKAVAKISGCSDSAAKSQFYLIDKDGLVTTERNNLDPAAAPFAKNPRDLEGLTEGASIIEVVKKIKPHVLLGLSGVGGIFNDEVLKAMRESVSTKPAIFAMSNPTMNAECTAIDAFKHAGENIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGSHLITDGMLQAAAECLASYMAEEDISNGILYPSVDSIRDVTAEVGAAVLRAAVEEELAEGHGDVGHRELSHMSKDETVEYVRSNMWYPVYSPLVHEK >Vigun01g016700.8.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831082:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.6.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1829090:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.10.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831007:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.3.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831082:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.5.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831007:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.7.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831082:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.9.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831082:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun01g016700.1.v1.2 pep primary_assembly:ASM411807v1:1:1826894:1831082:-1 gene:Vigun01g016700.v1.2 transcript:Vigun01g016700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAIGRTCRTSAHAFEGNRVLPWIYVPSCFFHNGQAHMAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACISIGKILSERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >Vigun03g206300.1.v1.2 pep primary_assembly:ASM411807v1:3:33446316:33446730:1 gene:Vigun03g206300.v1.2 transcript:Vigun03g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPRPRPSPRCNQPKTPLATAAPTTDLDDEGLGICDLHSPSFQTRLELLPREPQGHYHWLKWCCDVEVVVLEWECCGKVVISFLVYL >Vigun05g105700.1.v1.2 pep primary_assembly:ASM411807v1:5:10704357:10708973:1 gene:Vigun05g105700.v1.2 transcript:Vigun05g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSAPPLLRFSSRRSSSLTPHLSFGNWGKSEFGFVPRISPAKLQWKGREGLLQRKKKSQWGLRCSAEGIDGGMFVRGREEGVAFSIPERLKVVSLVACVMCVCNADRVVMSVAIVPLAAKHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKRVLAWGVFLWSLATLLTPLAANHSTMSLLAIRAFFGLAEGVAFPAMSTLISRWFPTNERASALGMSMAGFHLGNVVGLLLTPIMLSTIGISGPFILFSSLGLLWVMTWAYRVTDDPAESNFISRAEQRLIQAGKTSSQKKSNKFPPLHLLLSKLPSWAIIFANAMNNWGYFVLLSWMPVYFKSVYNVNLKHAAWFSAVPWATMAMSGYLAGVASDFLIKAGYPTIFVRKFMQTIGFLGPAVTLLCLNYANTPAIAATLLTIALSLSSFSQAGYMLNIQDIAPQYAGTLHGISNSAGTVAAIISTIGTGYFVQWLGSFQAFLTVTAGLYIATTIFWNLFATSDQVL >Vigun02g024301.1.v1.2 pep primary_assembly:ASM411807v1:2:8123014:8130121:1 gene:Vigun02g024301.v1.2 transcript:Vigun02g024301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSNSSNKPSSAGAKQAQGVKFTKRTSSGRTMSLSRDDDNDMSGDNSGSNDYINYTVMMPPTPDNQPGASDSKVEGGATGTSRFAAESQQEGRHGLNSGNNKSMLLRSQTQDFDHNRWLFETKGTYGIGNAFWQEDQNTFEEGVSKENFMDKPWKPLTRKIRIPGAILSPYRLLVVIRIVILAMFLTWRVRNPNHEAMWLWGISIVCELWFAFSWLLDVLPKMNPINRSVDLGALHDKFDQATETNPTGRSDLPGVDVFVSTADAEKEPPLVTANTILSILGVNYPIEKISCYISDDGGAILTFEAMAEAIKFAEVWVPFCRKHNIEPRNPDAYFNLKKDPTKNKKRHDFVKDRRWIKREYDEFKVRINGLPEVIRQRSKTQNSKEEKKAKQLAKDKNGGTLPSDYTCDVPKATWMADGTHWPGTWYNPIPDHSKGDHAGILQIMSKVPDHDPVMGVADETKLDFTGVDIRIPMFAYVSREKRPGYDHNKKAGAMNAMVRASAILSNGPFILNLDCDHYFYNSLAVKEGMCFMMDRGGDRVCYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRYALYGFEPPRFIEHTGVFGRVKTKVNLKAPHAKAEDDTQPLTSDSEMGYPEKFGSSTMFTDSITVAEYNGRPLADHKSVKNGRPPGALLAPRPPLDAPTVAEAIAVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFFASRRLKFLQRISYLNVGIYPFTSLFLVVYCFIPALSLFSGQFIVEGLNVAFLTYLLLITICLTLISLLEVKWSGIALEEWWRNEQFWVIGGTSAHLVAVIQGLLKVVAGIEISFTLTSKSAGDEEQDEFADLYIVKWTSLFIMPLTIIIINIIALVMGFLRTVFSVIPQWNKLLGSMFFSFWVLSHMYPFAKGLMGKRGRVPTIIYVWSGILSITIALLWITVDPPSDTIQT >Vigun09g006300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:457612:458932:1 gene:Vigun09g006300.v1.2 transcript:Vigun09g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHSSHTNLGSECTSYDAGANGSIARWGNSFEVSMGHNKENDHTEVWAVKYKTCQKKNTCGFNLKASRDSNGVESIHFGIGDFADNKTEFALKITRVGRDGLRGGICGIDSCNAPVSFTRAKQDHTIETTIVAYGCSFREGLFVLEMKKKVNAEHAYMVNMAHYYVTNDVGVSVEAKIQRRQSCFGVEVEGPFKHPSEELRKVLVKTRRTGVWSRSACSHCNVVAKSGGAKSGESNSSLKAQPSPQKGHDVVGQISNHAFSTSIKEQYNKGLINSSGYTTGSMNNSIIFIDCNF >VigunL053464.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000612.1:5607:6587:1 gene:VigunL053464.v1.2 transcript:VigunL053464.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFIVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGNRFS >VigunL023828.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:41452:41754:1 gene:VigunL023828.v1.2 transcript:VigunL023828.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding AVFGGELLSIVGLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKVYDSTSIFPIRQFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLW >Vigun07g099300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17392012:17392629:1 gene:Vigun07g099300.v1.2 transcript:Vigun07g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFLESPYWDMMMQEPSEILFQSLSSNDVLDPLHDSLSFDMVDFSTAATEGKKVVVESEVKKRERSYIGVRKRPWGKFAAEIRDTTRSGRRVWLGTFESAEAAALAYDQAAFSMRGHNAVLNFPVKRVKESLQEIQYTCFNGSSPALALKARHCKQKHLSKPKNSKGKDKSNPCLVVLEDLGVDYLEQLLSISEQSASPTYFN >Vigun09g015400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1124447:1124749:-1 gene:Vigun09g015400.v1.2 transcript:Vigun09g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNKGVVRLVENPSSERKVLVHSASNEIITSYALLEHKLSSLGWERYYDDPDLLQFHKRATVHLISLPRDFNRFRSMHMYDIVVKNKNYFEVRDM >Vigun06g070800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20165315:20165737:-1 gene:Vigun06g070800.v1.2 transcript:Vigun06g070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKAYLVLMALLLTCIGIKYGVSETETFQQSPLLLFLIAMFSHVLASTSDMTQTIIFITFHVSGIIACETLLWILSAQILWCSVINFLLLLLSIFFFFHSLTQLLLCFINYITHHLRVTPPNALQMPNIELQPLEAHV >Vigun04g046801.1.v1.2 pep primary_assembly:ASM411807v1:4:4040731:4041264:-1 gene:Vigun04g046801.v1.2 transcript:Vigun04g046801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELSNFRVYNCKGWEWEKPEETQEQMGSIVSSKLDDFMALSCNLNDDFLSTGFTQLAHVSYLWLQESDITFLPECIKEFHNLHALDVSDCKLLQEIRGVPPKLKHFKAKNCTSFTSSETSRGWRDSIHLSRRKCSRVVG >Vigun04g192800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41674954:41681017:-1 gene:Vigun04g192800.v1.2 transcript:Vigun04g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYLTINFIIVVRKLHFKLYISFHHFSTPTMAAEVVGGALLSAFLQVAFDRLASPQVLQFFRGRKLDEKLLSNLNIMLHSINALADDAEQKQFRNPHIKAWLFAVKDVVFDAEDLLKEIEYELTRCQVEARSDPQTLTSKVSNFFNSTFTSFNKKIESEMRDVLEKLEYLAKQKGALGLKEGIYSGDGSGSKESQKLPSSSLVVESVIYGRDADKEMILNWLTSETENHDQPSILSIVGMGGLGNKTTLAQHVYNDPKIEEAKFDIRAWVCVSDHFDVLIVTKTILEAITKSKDDSGDLEMVHGRLKEKISGRKFLLVLDDVWNERREEWEAVRTPLSYGAPGSRIIVTTRGEKVASNMMSEVHRLKQLEEEECWKLLKSMH >Vigun01g223300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:39682470:39683830:1 gene:Vigun01g223300.v1.2 transcript:Vigun01g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSLFILCSLIILVQPSFSSTQPPNLDPDSIKIFGDAHVVTNNDAAPHVRLTNPSLSSSGLLRRPEPLVFADANTTLSTEFSFSISGNGDGVLFLLTAASGNGSDTVAVEFDTSKDENVGDPNSNHVGIDVGTHVSLAVANASDVGLVLNNGEKLNAWVDYEGGSKVMEVRLSKWGEQKPSDPIVSHKIDFSKMWGGNSVFAGITSSNGGHSLQVVSIYSWKLRLKKDPNGLHSHPVDPNGLSEDEHRRVCPLTVLAGVIFGTGCLALMTFVVLFMWVIFFQKSAEESLARIPDHSSDIRYERIDVAVDKNAQDDES >Vigun02g180750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32158837:32159169:1 gene:Vigun02g180750.v1.2 transcript:Vigun02g180750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARVFVCLFTFAKISEPLLLISFPITTFFLGIIISSLCSTQLAVILLQESGFRYFTISKPYNTKYATTGTCSRQSSAVHRSQFRQRNTALPAPSLYLFRRRHCLRFKM >Vigun07g067200.1.v1.2 pep primary_assembly:ASM411807v1:7:7970829:7976913:1 gene:Vigun07g067200.v1.2 transcript:Vigun07g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIIECCVSASATRVCGLLPYRNYNSCNSMLANCSASSSTTRRTLSLSLISTTFSAFIFSLSPPSSSSSTTSSSSSSSSSKLPLSKFFEIPDSGGVQALDLLDGSGEVPSDGDQVAIHYYGRLAAKQGWRFDSTYDHKDDNGEPNPFVFVLGSGKVIAGIDVAVRSMKVGGIRRVIIPPSLGYQNTSQEPIPPNFFDRQRLFTTIFNPTRRANGEGSTLGTVIFDIELVSVRHQ >Vigun09g106840.1.v1.2 pep primary_assembly:ASM411807v1:9:21071279:21073233:1 gene:Vigun09g106840.v1.2 transcript:Vigun09g106840.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLSKSFSSFPRGTCSLSVSHQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPGTTGLSPSLAPPSRGLGPGPPLRTLLQTTIRTPKAIDSHDGLFPAQLAFKDSMVHGILQFTPSIAFRYVLHRCKSRDIRCRESFHDITWRFQGKISLRVDQPKRFSGLLTTSQAANRPRRRNPNTSPDHSIGRSDGRCVQRAGTLPGPVGQGYRLVEYISVARVRPRTSKGITDLLLPQTSVA >Vigun07g103333.1.v1.2 pep primary_assembly:ASM411807v1:7:18603951:18605941:1 gene:Vigun07g103333.v1.2 transcript:Vigun07g103333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKLLWVVLSLSLLLGVANSFDFHEKDLASEESLWDLYERWRSHHTVSRSLGEKHKRFNVFKANVMHVHNTNKMDKPYKLKLNKFADITNHEFRSTYAGSKVNHHRMFQGTPHGNDTFMYENVGSVPALVDWRKKGVVTDVKDQGKCGSCWAFSTVVVVEGINQIKTNKLVTLSEQELVHYDKEENQGYNGGLMESAFEFIKQKGGITTESNYPYTAQEGTCDKSKVNDLAMSIDGHDPC >VigunL014200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:247973:248134:1 gene:VigunL014200.v1.2 transcript:VigunL014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKHTFISKKLIRNTLWKKKGYFTTLKAFSLGQSIFTGNSKSFFCNKYKC >Vigun08g004700.1.v1.2 pep primary_assembly:ASM411807v1:8:409544:412877:1 gene:Vigun08g004700.v1.2 transcript:Vigun08g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYGARSEALIESIKLREEIEKKRREKIEKKRIKKEKKKSKEEKKEKRKEKKERKHKEETTASTNGSDDDKKFKLIKDITETKADGKLQKGEVCENELLERSGITEELEQPVTSREPCCLSDSTQSSKRKRGSPQASHENGPAIKIRLPLRKHSDPEELNSKSKFDVGSSSGNAGDNEHLSNKTSVTNTGPKRFLGKSDSSSGKVLQNSVSGNAVVTKSNVGSDRQSQCLRTSETKRVVGNLDSRHPKSVNNSVRPDVVVAKDTIGNDSQSQSLRTTEVKRVPLGNSVSRHGNAVQNSVRGDGVVAKNTLGSDRQSQSLRTAVPNGVLGSSDSGHAKVMQNSVNGNVVIDKGTLGSDRQSQCLQLEEPKRVVSNSGKAIHNSIRGDSSGAKSTLQNDRQTQCPRNNTEPKRVNGNSDARHGKAVQNLVHGEDVVTKSTPASDHQSKCLTKRKRVPEKPDSKHSKALKNIFHGDAVVADIAVGDAMVADKAVDDAMDMVIAVDDGSKKVESLYKSLLLIPPLTYDGFESLDQDWLFSSEAEAEAKPRASKKQKCDSEVFKLSSSMWPRAEYFPEVDVYALPYAVPF >Vigun03g170700.1.v1.2 pep primary_assembly:ASM411807v1:3:20398916:20402223:-1 gene:Vigun03g170700.v1.2 transcript:Vigun03g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHFPLRWESTGSQWWYASPIDYAAANGLYDLVTELLHLDTNLLIKLTSLRRIRRLEAVWDDESKFEDVAKCRSKVARNLMIECEMGKGHNSLIRAGYGGWLLYTAASAGDADFVLELLGRDPLLVFGEGEYGVTDMLYAAARGKNCEVFKLLLHSALSRKESLSGSGAELEEKLDEGSKVFKRDVMNRAIHAAARGGNLEILKQLLAGVSVSQILSYRDAQGSTLLHAAAARGQVQVVRNLVESYDIVNSTDTQGNTALHIACYRGYLPVVEFLIGASPSLATLNNNYGDTFLHMAVVGFRSPGFCRLDKHIELMKQLTSENIVSMKDIINVRNNEGRTALHVAVMHNIKCDVVELLMSVSSIDFNIRDTDGMTPLDHLRQQRSRSRSASSDTLIKQLISAGGISNCTDYITRNALVNHLRTHGIGASPGTSFRIPDSEILLYTGIENSCDTNYDQASMESNSWSSEINNYESPNSPCNSNNSSVNYGARRLKFLLQWHGIRDTKEAASELEDDVSENSFNSRNNLEELPIPLRQRYSKMCSLPNNKRTVSIRTYLPSASDRKYFHAGLMQGVIKVKPQGPLPVHATSGLFQELSISSHASNNKQNGVDIMRPSCSNGSMDGGGSLQLNYKRGSFNKKMMNRYFSFGAHGQALEDANSCTKSNRSSKNFSSLVA >Vigun09g140900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:30289605:30290271:-1 gene:Vigun09g140900.v1.2 transcript:Vigun09g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSHFEITQGQNWRFSLGLGPVKGADSKPNSDGTSSSAESKTAKKDPNQSSTGGSKQNPTRLKPRFAPEFDGLHCFESIVPC >Vigun04g091400.1.v1.2 pep primary_assembly:ASM411807v1:4:16833798:16834229:1 gene:Vigun04g091400.v1.2 transcript:Vigun04g091400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSLIFLKLILAVMFIILFSTGVSSKPVCQTRCIEFLDCVNNCRNLGYTRGICNDGLCCCSK >Vigun01g201800.1.v1.2 pep primary_assembly:ASM411807v1:1:37805856:37811280:1 gene:Vigun01g201800.v1.2 transcript:Vigun01g201800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRGSFIFRRFLSQFRKQFDSKSEFPLPSFRHRAPFFLRSYSSEVQDQLSSELLNIMEQRLSAIEYRTACLNKLLNQPEASPSEYARANKELRKLSGSVDLINELRTKQKEIDGLKSLMTECSEDKDMLNMATEEMGQAIEEERRLQNLLLKSLLPKDDANERDCILEVRAGTGGEEASLFAMDIFRMYEKYALKKGWKFEVVDIAQSDLKGYKEASAAIAGAGVYGKLKFESGIHRVQRVPVTEKLGRIHTSAVSVAILPQADEVDVQLKNEDLRIDTYRSGGSGGQHANTTNSAVRVTHIPTGIMITIQDERSQHMNKAKALKVLCAKLYEMERLRLHRSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITYHSVDDVMQGENLDVFIDALLLQEEMDAIATFSSSQ >Vigun02g115200.2.v1.2 pep primary_assembly:ASM411807v1:2:26882265:26883140:1 gene:Vigun02g115200.v1.2 transcript:Vigun02g115200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRRSLPPSQTPVYSGTPHCYSMRSFEMHQRSYDISLWGFTEITTLMAQSNFRFTIRTFHQNTLLPGLPRSSALFPTQTFFQQAPHFIRILLSPLSEWRTLSLLSPQSSQALFDTHTIETIANVAADQVQETFQVHDAAAASASSSSESQSPEVSLSMVINVTDNRMRAATQNSLGTVPAAAASSEEAAIDRVLKKSRVVQSECCCCVCLDEFEVNAECYTLPCQHFFHHKCIFQWLRTSRTCPMCRYPLLTQKTSSKKRVS >Vigun02g028373.1.v1.2 pep primary_assembly:ASM411807v1:2:10390343:10391997:-1 gene:Vigun02g028373.v1.2 transcript:Vigun02g028373.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQPFLKIEYAAKAYIDSIRYYVPQDWGAGMSIGKYGLFLRVVVVARVVVVVVVMVVVVEVVVVVVEAVVVVVVRVYFLGGGGGRCGSGGDRGGGFYGCGSGGNVGGVVMAVEVVLVMVVVVVVVVTMVVVVVVVVVAAMVVVDVVVVVMVVMVVLVVVVVVIVVVLVVVVMAVVVVVVVVYGRIGDGGGACGGGRICGGGCGCGYGASGGYLSNGGGGGGGCGSGGDSGGGGDGCRGGYGGSGRSGG >Vigun04g135100.1.v1.2 pep primary_assembly:ASM411807v1:4:33846525:33849492:1 gene:Vigun04g135100.v1.2 transcript:Vigun04g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINLLLSLILSVLVLSVLFLLYQTRSPKAMEGIPGSLGWPIVGESFSFLSDFSSPSGIFSFMKKRQKRYGMVFKSFVLGRFTIFMTGREASKILLTGKDGIVSLNLFYTGQQVLGPTSLLQTTGEAHKRIRRLIGEPLSIDGLKKYFHFINTQAMQTLDQWEGRKVLVLEEASTFTLKVIGHMIMSLEPSGEEQEKFRSNFKIISSSFASLPFKLPGTAFHRGIKARDRMYEMLDSTILRRRSGQDFQQDFLGSLVMKHSKEDGEEDENKLTDKQLKDNILTLLVAGHDTTTAALTWLIKFLAENPIVLEQLREEHRQIVARRKIGTDLTWAEANNMPYTAKVISETLRRATILPWFSRKASQDFEIDGYKIKKGWSINLDVVSIHHDPEVFPDPEKFDPSRFDEPLRPFSFLGFGSGPRMCPGMNLAKLEICVFIHHLVNRYKWRPLENENSVQPTLVRMPKNKYPIIVEAL >Vigun07g266700.2.v1.2 pep primary_assembly:ASM411807v1:7:38231974:38234599:1 gene:Vigun07g266700.v1.2 transcript:Vigun07g266700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLFCFLLFYHLVLILCAGYGYGHQGCPHSFTCGHLGSFHYPFTKAEQPDCGLIPIHDCDNSQSIQKKIQLEKNGKHFVLTGITQQDGISISDEDLHKRLQNNICDTLNNSYSLSSHSSLYSCYIKYNVTLFRCKHGLSMKPPAHYFNHSCPEYDYDIYYDSLSFLNNDEAHKLFSSCSVLTLAKKDKPDTTDILSFVSAQMVVQVLLSKDCDDCYNHRGGQCRLDRDQQFYCHEEPKNKSKTLKLVLGLVTGLCVILLAMVLIGCLIFRRKCTPSDPQHQSRNADTDSIGPSSNSDPENCRFYYGVPLFSYKELKEATYNFHHARQLGSGGFGTVYYGKLRDGREVAIKRLYEHNYRRVEQFMNEVHILTRLRHTNLVSLYGCTSHRSRELLLVYEHVPNGTVACHLHGDFARPRTLPWHIRMKIAIETASALCYLHASDIVHRDVKTKNILLTQSFSVKVADFGLSRLFPNDVTHVSTAPLGTPAVDMSRHRDEINLSNLAIKKIQQSAFSELVDPSLGFDSDSEVKRMMISVAELAFQCLQRDKDLRPSMDEVLKVLMRIESGRDEEHLLPPSLPSPDWEDNGLLKKMIVQPSPKAVTDTWHSESTASNASA >Vigun07g266700.1.v1.2 pep primary_assembly:ASM411807v1:7:38231993:38234599:1 gene:Vigun07g266700.v1.2 transcript:Vigun07g266700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLFCFLLFYHLVLILCAGYGYGHQGCPHSFTCGHLGSFHYPFTKAEQPDCGLIPIHDCDNSQSIQKKIQLEKNGKHFVLTGITQQDGISISDEDLHKRLQNNICDTLNNSYSLSSHSSLYSCYIKYNVTLFRCKHGLSMKPPAHYFNHSCPEYDYDIYYDSLSFLNNDEAHKLFSSCSVLTLAKKDKPDTTDILSFVSAQMVVQVLLSKDCDDCYNHRGGQCRLDRDQQFYCHEEPKNKSKTLKLVLGLVTGLCVILLAMVLIGCLIFRRKCTPSDPQHQSRNADTDSIGPSSNSDPENCRFYYGVPLFSYKELKEATYNFHHARQLGSGGFGTVYYGKLRDGREVAIKRLYEHNYRRVEQFMNEVHILTRLRHTNLVSLYGCTSHRSRELLLVYEHVPNGTVACHLHGDFARPRTLPWHIRMKIAIETASALCYLHASDIVHRDVKTKNILLTQSFSVKVADFGLSRLFPNDVTHVSTAPLGTPGYLDPEYHQCYQLTNKSDVFSFGVVLIELISSMAAVDMSRHRDEINLSNLAIKKIQQSAFSELVDPSLGFDSDSEVKRMMISVAELAFQCLQRDKDLRPSMDEVLKVLMRIESGRDEEHLLPPSLPSPDWEDNGLLKKMIVQPSPKAVTDTWHSESTASNASA >Vigun08g032200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2945231:2945987:1 gene:Vigun08g032200.v1.2 transcript:Vigun08g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPASIFRFFHHRFHHPNTQTYSKTITFHFHLLPLYYISPTQLQSPFAINAYLHIVIFACKRGDALVKGESPTHLFKKKRESISDTDNVGDFDLHDEVTRRKPSVLCLGILQEQRRASMFWEKEG >Vigun11g179000.1.v1.2 pep primary_assembly:ASM411807v1:11:38302081:38304646:1 gene:Vigun11g179000.v1.2 transcript:Vigun11g179000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTFIFAVVFSVVVLVAAASSDGGEDPLIRQVVGGVRLGAEKHFLEFKRRFGKAYATNEEHDYRFTVFKANLRRAQRHQSLDPSAVHGVTQFSDLTPSEFRNKVLGLRGVRLPSDAEKAPILPTDNLPSDFDWRDNGAVTPVKNQGSCGSCWSFSATGALEGAHFLATGELVSLSEQQLVDCDHECDPDEPGSCDSGCNGGLMNSAFEYILKSGGVMREEDYPYSGTDRGTCKFDKAKIAASVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYMHGVSCPYICSKRLDHGVLLVGYGSGAYAPIRMKEKPYWIIKNSWGENWGENGYYKICRGRNVCGVDSMVSTVAAVHTTTH >Vigun03g433550.1.v1.2 pep primary_assembly:ASM411807v1:3:63747469:63747858:-1 gene:Vigun03g433550.v1.2 transcript:Vigun03g433550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKREVGSWISEVPKSWGFWVLGRVWLRSLRGPLGSAVCPGGPELRVWGSRVKSGILGTVDIQVGSLGSRSVQGGSRVLPRGTRQGDRSFFRRDPTPG >Vigun03g198850.1.v1.2 pep primary_assembly:ASM411807v1:3:28561647:28561831:-1 gene:Vigun03g198850.v1.2 transcript:Vigun03g198850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEEYKSTFTLPLTTRLRYAREDASKRDRPLQ >Vigun10g037100.1.v1.2 pep primary_assembly:ASM411807v1:10:5028094:5033754:-1 gene:Vigun10g037100.v1.2 transcript:Vigun10g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSFSKFRIEGDVFIHCMGDDIRRNFVSHLSSALLQAGVKPYLLAVDMQREQFVASIEGFQIGIVVFTKTYFESFRSVDELVRIIECHETHGLMVMPVFYEIDRSDFGNTLKATAREVMKIEHIIWSGEYQKTWFQRWNSALIKAGTLPNWEESEHRSDAELVEEIVKSVLAKLDCPLCTTKFPVGLEPHVKNVIGLFENQPTKVCMIGIWGMGGSGKTTVAKAIYNQIPYTFGDKNFIQGIKEVCETDGRDLVHLQEKLPSGSLKTNVTTESGEMKKITTENRLFGKKLFIVLDDVNEIDQLKELRRNDKQFGQGSVIIITTRNLNLLYQLNVDYVYEMDKLDENDSLELFSWHAFGEAKPKEDFIKFARSAVAYCGGLPLALEVLGSYLRKRSVNEWRSVLSKLEIIPNTQVQNILRISFDGLCLMEKDIFLDVCCFFIGKDRDYVTEILNGCGLHADIGMKVLIERGLLKIEKNNELGMHCLLRDMGREIVRQTSTMQPGRRRRLWLHKDVLDVLTKNSGTEAIEGLSLNCQFTRSEFVKACAFEKMKRLRFLQLDNVQVTGDYGYLSKQLRWIYWQGFPFKYIPNNFYLKEAIVIDFQQSNLRQMWKEPQVLPWLKILNLSHSKFLIETPDFSKLPSLEKLIVKHCPRLCKVHQSIGDLHNLLLINLKGCSRLWNLPTETYKLKSLKTLILSGCLKIHILEEDILRMESLTTLISESTVVKQVPFSIVSSKSIGYILLGGNNGLSFTVFNSIISSWISPKINLLSGIRPFRGLSSSLVSMSMENNDLGDLAPILSSDLNILNILVQCDAEFQRYQQVIALLDEIRDLNLTEFEIRSTPETSKHPLRRCLIKFGSDQEKVFDILSESILQGSENSASCDVFLPGDKDPYWLAHMGEAHSVTFTVPEDRHIKGMTLCTVNLYNPRHFTTSEYLISILMVNYTKCTIQIYRRKTVQSFSDVDWQGIISNLGPGDKVEVFVIFGDKFLVKKTALYLMYHGLIDKEVDPSLDPKNIDLCSTDTDTVTQDDTDADTDTDTVTQDDTDADTDTGTCTSTSTSTIRHV >Vigun10g111400.1.v1.2 pep primary_assembly:ASM411807v1:10:31188056:31189419:1 gene:Vigun10g111400.v1.2 transcript:Vigun10g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMKLVWSPETALKAYIDTVKSCEKFKESGVPELLSAMAAGFNTKLIVESWCYGGPIAASVGLAVAARNTGARHVCIVPDEKSRVRYIEALAEMGVSPPPEVVAGEAEAAVSRLAGLDFLVVDCKRREFARVLRVAKVSPKGAVLACKNAWQRNSRGGFRWSVVLQRGVRVVRSVFLPVGKGLDIAYIGSRSGAPLPPPPQTTASNGGTCRWIKHIDQQSGEEHLFRE >Vigun06g234600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:34025578:34026822:1 gene:Vigun06g234600.v1.2 transcript:Vigun06g234600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCGPALNTSFLRKQPVKMSSMKAFQNLNSVFGVKEGRGGRVTAMAAYKVKLITPEGEKEITCPDNEYILDAAEDQGLDLPYSCRAGACSSCTGKVVSGTVDQSDNSFLDDDQIGLGFVLTCVAYPTSDLVIQTHKEDELVDS >Vigun11g133450.1.v1.2 pep primary_assembly:ASM411807v1:11:34294222:34294863:-1 gene:Vigun11g133450.v1.2 transcript:Vigun11g133450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELVNQSLLFKVESRNDQTFKLEQSFRVKKICLDDDIIEKFNDSSLKSVDVYAGNGEFSREKQRVVNESTVDIVEDLLVRFTKETIDCASQSADLIKDTATNEDGNTSLKRESAKKTLSLESIEEDTVPLKLLKRNIKKEKLDKQ >Vigun07g076600.2.v1.2 pep primary_assembly:ASM411807v1:7:10252285:10258429:1 gene:Vigun07g076600.v1.2 transcript:Vigun07g076600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIMKGGHKKPSKSDASDPAAAAYAAANRNMPAVPSPNVVVNHASRGTGGAAPPSSGTAMPLVPTPGAMEPLPPFRDTPVADRQNLFLRKLHICCYVLDFSDTLKNVREKEIKRQALMDLVDFIQSGSGKMSEHCQEEMIRMISVNIFRSLPPASHENTGQEPTDPEEEEPSMDPTWPHLQLVYELLLRYVVSSDTDTKIAKRYIDHSFVLKLLDLFDTEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHAGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPLTNCQKEVLYLGELEEVLEVTQAAEFQKCMVPLFRQISRCLNSSHFQCRLQNEPCFCGTMSIS >Vigun07g076600.1.v1.2 pep primary_assembly:ASM411807v1:7:10252285:10258429:1 gene:Vigun07g076600.v1.2 transcript:Vigun07g076600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIMKGGHKKPSKSDASDPAAAAYAAANRNMPAVPSPNVVVNHASRGTGGAAPPSSGTAMPLVPTPGAMEPLPPFRDTPVADRQNLFLRKLHICCYVLDFSDTLKNVREKEIKRQALMDLVDFIQSGSGKMSEHCQEEMIRMISVNIFRSLPPASHENTGQEPTDPEEEEPSMDPTWPHLQLVYELLLRYVVSSDTDTKIAKRYIDHSFVLKLLDLFDTEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHAGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPLTNCQKEVLYLGELEEVLEVTQAAEFQKCMVPLFRQISRCLNSSHFQVAERALFLWNNEHIVSLIAQNRTVVLPIIFEAFERNISSHWNQAVHGLTVNVRKMFLEMDAELFEECQRQHAEKEAKAKDVEEQRELNWKRLEDAAAQNGFEDMLLT >VigunL059305.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000643.1:30358:30684:-1 gene:VigunL059305.v1.2 transcript:VigunL059305.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSLKKKLRSTSSMRKYGSFNRR >Vigun03g061800.1.v1.2 pep primary_assembly:ASM411807v1:3:5071417:5077565:-1 gene:Vigun03g061800.v1.2 transcript:Vigun03g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKYTRIDNNNTNNKRSATSYLSTVTIVVFVALCLFGIWMMTSSSVVPVQNVDMSQDNKNEGQDQSVVKEQNEVKEQSDVIDQSGVKEQSEVTEQATDPSGKSSQQFEENQGDLSADATKGDSTPDKTSDEPEKREEKSDEKSEEKPSDDTKTENQDSNFSERKSDSDESVKQSDSDESVKKSDSDESVKKSDSDENDKKSESDVIESKPESNENKQFDSYSDDKKSDDAGETPDKTEENVEQTGTKDSDEISDKKTDDSTKNQGSTDAFPSVAQSDLLNESTPQNGSFSTQASKSNNEKESQESSKQSTGYDWKRCNVTTGSDFIPCLDNLKAISSLPSTKHYEHRERQCPQKPPTCLVALPNGYKRPIEWPKSREKIWYSNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDIIQQSVPDIAWGKRTRVILDVGCGVASFGGFLFERNVLTMSMAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPIYQKLAEDVEIWTDMKKLTKAMCWELVSVSKDKLNGVGIAVFKKPDSNECYEQRSIDRPPMCPDSEDPNAAWNIPLQACMHKLPVNSTERGSQWPERWPARLTNSPYWLTDSHVGVYGKPAPEDFTVDYEHWKRIVSKSYLNGIGIKWSNVRNVMDMRAVYGGFAAALKDLNIWVMNVISVNAPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADRLFSNIKKRCDLRAVMAEVDRILRPEGKLIVRDTTEIINEVAAMVKSMQWEVRMTYSKEKVGFLCVQKSMWRPTEFETLEYAIGKLPT >Vigun11g068800.2.v1.2 pep primary_assembly:ASM411807v1:11:20084074:20085745:1 gene:Vigun11g068800.v1.2 transcript:Vigun11g068800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYQLFCLYKPFASYSIVISTTIYRYSYCCFVSVMAKISFTLVFTLILAITGISRTSGAMPKKHCQQVLGPNDCTFSNCNANCVKQYLGIGYCVGPYPHKCVCVYNCPH >Vigun02g179400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32052599:32053578:1 gene:Vigun02g179400.v1.2 transcript:Vigun02g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMTGRSSSNPGSRYARNISTEELIAGFSSRKRERKISKCFVYSLVIFVMMMFICLILALLMSHMEDPKIEFKSARLMNSTNNYHNVSSTSPFNVTIVARVILTSPKFVSFDYQKSTVSVRYENSGECVGVSKIKAATVEAMETRDMDLKVHMSFGTKELVKPDLTDSTNSHMLKLRSYAKLSGTLHTFKLLKKRKTIQVSCTINLDFTSFSNQNFRC >Vigun07g074200.1.v1.2 pep primary_assembly:ASM411807v1:7:9494438:9498776:1 gene:Vigun07g074200.v1.2 transcript:Vigun07g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPESSDAKGTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPDTMDKLQLFRGDTILLKGKKRKDTVCIALADDTCEEPKIRMNKVVRSNLRVRLGDVVSVHACPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRKRDNPEAMEEDIEEEEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSDTSTGGAGAGAASDPFASAGGADEDDLYS >Vigun03g217400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:36180232:36180972:1 gene:Vigun03g217400.v1.2 transcript:Vigun03g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTMLIFLVFLILQHNFDSIEASRNNIPTPPAIPTSPQAPSVYWYKPNSDTIGGGGDGDAYRPTSPGHSPGVGHETPPQTK >Vigun03g077700.1.v1.2 pep primary_assembly:ASM411807v1:3:6428525:6431465:-1 gene:Vigun03g077700.v1.2 transcript:Vigun03g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALTLTATTTTSYSSFPITKHAFSYPRINFFSSNNRAFSVSASASASSSSRSLQALIFDCDGVILESEHLHRQAYNDAFVHFNVRCPSSSSPGPLNWDVQFYDELQNLIGGGKPKMRWYFKEHGWPSSTLFETPPTSDEDRAKLIDTLQDWKTERYKEIIKSGTVKPRPGVLRLMDEAKDAGKKLAVCSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKEKKPDPSIYLAASKKLGISDKDCLVVEDSVIGLQAATQAGMSCVVTYTPSTADQDFKEAIALYPDLSNVRYDDMLQNGFNDFFSEEVM >Vigun03g077700.2.v1.2 pep primary_assembly:ASM411807v1:3:6428525:6431465:-1 gene:Vigun03g077700.v1.2 transcript:Vigun03g077700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALTLTATTTTSYSSFPITKHAFSYPRINFFSSNNRAFSVSASASASSSSRSLQALIFDCDGVILESEHLHRQAYNDAFVHFNVRCPSSSSPGPLNWDVQFYDELQNLIGGGKPKMRWYFKEHGWPSSTLFETPPTSDEDRAKLIDTLQDWKTERYKEIIKSGTVKPRPGVLRLMDEAKDAGKKLAVCSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKEKKPDPSIYLAASKKLGISDKDCLVVEDSVIGLQAATQAGMSCVVTYTPSTADQDFKEAIALYPDLSNVRLKDLELLLQNAVAAK >Vigun07g213400.1.v1.2 pep primary_assembly:ASM411807v1:7:33484791:33487540:1 gene:Vigun07g213400.v1.2 transcript:Vigun07g213400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSIASSMDEVDRTMYASFSSAANSLSHLYTLSMNRHKLSFQAGERHSLEKLYQWIRRQQEGGSRVAPVDILGYIQNELDYCGEEPCMSPRIPQQHQQSQPLMHATVTGSGFPVTTEFPGQTLMGQGLRSEHSDNQSKNSVFSNALSNPVRQVGEVGNYTEDNFLQQQSRDSASFSFNDAAMDMHSD >Vigun04g053900.1.v1.2 pep primary_assembly:ASM411807v1:4:5054409:5056329:1 gene:Vigun04g053900.v1.2 transcript:Vigun04g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILLNPCQPFFSHTILAPLKKHKLHHHAPKNRFLCNNINRTSSFNYRSSASVPLHELPSASFDEYIEDKGRVIRSIFSEKTASQQLNEEEWRVKMTPMEALFLKCHPVIHITAKCKSEAHEYPPEIPHHITKFLEVQITKVEFPDLNVDYIPQNLNINAKGSLYLEKKGMHNWMKNHLDINLNLAFPSLLAWVPDLVLQGILQSVLKSYVDDINNGFAVRLLGDYNSFKRNKSKSFK >Vigun09g020700.1.v1.2 pep primary_assembly:ASM411807v1:9:1592466:1596764:-1 gene:Vigun09g020700.v1.2 transcript:Vigun09g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHRFAFATRRVAASLSHDSLLPPTSSRIISFSTDSRDGGGGAFDAEWHTTTSWSTGIAGEHFNGEPSPSKSAVLSELQDTEDKVRELEAANRRGKAYVDSWGRRLTETSVLMKQIQEPGARGSYLKDSEKAEMYRLHKKNPEVYTVEKLAKDYRIMRQRVHAILWLKEIEEEEEKKLGHPLDDSIELLLDTFPEFFNSHDREFHVASLPYKPDFKVMPEGWDGITKDVDEVHYEISKKEDDMLYREFVEKMNFNKKKMAGEVKCHKYSRRRPSDGWTFTVEKLGSRGKRGGGGGWKFASIADGSSRPLNEMEKMYVRRETPRPRRRILP >Vigun11g042650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6110831:6111391:-1 gene:Vigun11g042650.v1.2 transcript:Vigun11g042650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATFVFIIAFIAALPVDIDGIREPISGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHILLGVACYMGREWELSFRLGMCPWIAVAYSAPVAAGTAVFLIYPIGQGSLSDGMPLGISGTFQSYRAW >Vigun10g093200.5.v1.2 pep primary_assembly:ASM411807v1:10:26735234:26741629:-1 gene:Vigun10g093200.v1.2 transcript:Vigun10g093200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVGERSGRVPLSEVVADCVKRWFRDALKEAKTGDINMQVLVGQMYYSGYGVPRDAQKGRVWLTKASRTRSSVWKVGDKHPGYNASDSDSDELKEDS >Vigun10g093200.1.v1.2 pep primary_assembly:ASM411807v1:10:26735234:26741844:-1 gene:Vigun10g093200.v1.2 transcript:Vigun10g093200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVGERSGRVPLSEVVADCVKRWFRDALKEAKTGDINMQVLVGQMYYSGYGVPRDAQKGRVWLTKASRTRSSVWKVGDKHPGYNASDSDSDELKEDS >Vigun10g093200.4.v1.2 pep primary_assembly:ASM411807v1:10:26735274:26741843:-1 gene:Vigun10g093200.v1.2 transcript:Vigun10g093200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVGERSGRVPLSEVVADCVKRWFRDALKEAKTGDINMQVLVGQMYYSGYGVPRDAQKGRVWLTKASRTRSSVWKVIMLVTLIPMN >Vigun10g093200.2.v1.2 pep primary_assembly:ASM411807v1:10:26735234:26741844:-1 gene:Vigun10g093200.v1.2 transcript:Vigun10g093200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVGERSGRVPLSEVVADCVKRWFRDALKEAKTGDINMQVLVGQMYYSGYGVPRDAQKGRVWLTKASRTRSSVWKVGDKHPGYNASDSDSDELKEDS >Vigun10g093200.3.v1.2 pep primary_assembly:ASM411807v1:10:26735274:26741843:-1 gene:Vigun10g093200.v1.2 transcript:Vigun10g093200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVGERSGRVPLSEVVADCVKRWFRDALKEAKTGDINMQVLVGQMYYSGYGVPRDAQKGRVWLTKASRTRSSVWKVGDKHPGYNASDSDSDELKEDS >Vigun08g011700.5.v1.2 pep primary_assembly:ASM411807v1:8:1040759:1044970:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g011700.2.v1.2 pep primary_assembly:ASM411807v1:8:1039990:1044919:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g011700.1.v1.2 pep primary_assembly:ASM411807v1:8:1039968:1044919:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g011700.7.v1.2 pep primary_assembly:ASM411807v1:8:1039990:1044881:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g011700.6.v1.2 pep primary_assembly:ASM411807v1:8:1039990:1044881:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g011700.4.v1.2 pep primary_assembly:ASM411807v1:8:1039990:1044970:1 gene:Vigun08g011700.v1.2 transcript:Vigun08g011700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNQAFSSGSYADMLSGNPLLPHNYSETVGGQNELKFMTSLRDTMTVQSIDGHSNAPPTADQDSFSNAGDSHSHLVPRTTQLGLVDSEQSVQNQGLSLSLGSVMPSIASVPTFPYQYPGASFSSLMASSVPNLKGTSSIKDDEASLQRELRNAECMASLASSGFHKREGLLYTPQHPSMCLSEGQSDGSLGFSSSVLNSQYLKAAQELLDEIVNVRKALKQTGLEKQQSFRDIGLDGSKDSDGKSTSQSGQISSCPNSSAANTSCELSPAERQNLLDKKTKLLSMLDEVDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQVTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSEMSSNLLPSESPLKAPRDDVQSSDSKREESQENLITVDDSVIQHHGLKLDHASELERGIQSSDHEENAMDPRIGKLQGDQRFNINNSNSSYYGEGCIIAPTTATYDLSELGNIGVGGHVSLALELRNCESEGFGDDMHRRRKKTLASSPEADLLDYHFTEQGKQQNKFGNPHLLHEFVV >Vigun08g035000.1.v1.2 pep primary_assembly:ASM411807v1:8:3366146:3374182:1 gene:Vigun08g035000.v1.2 transcript:Vigun08g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFTECQMVMNVLWTRLGETGKDWRYVYKALAVIEYLVGNGSERAVDDIIEHTFQISALASFEYVEPSGKDVGLNVRKKAETIVSLLNDRDKIHDVRNKASANRDKYIGVSSSGITYKSGGSASYSSGGFSQSSGKYGGFGSRDGDRFSDSNRDKGGSYDDHGKSNHTVASDNQDNSFKKGSSRSVSKSPENKSSRVSKSSTNANNYGSVPSQSSSVPGNSTEDDMDDFNPRGTSTKTSPGNSNQVDLFGQDLIGDFMDAPTSVPVEKPATGNLPEVDLFADASFVSATPQMDKGASSQPQAEVDLFASQPAISTVTPTADLFSIAEPVVQPDSKPEKSGPVDNSSFDPFAAVPLNNFDGSDIFGDFTSQSDSVSSQPSNNVVRDDKHDNITGKTLADTKVPSKKDTFQVKSGVWADSLSRGLIDLNITAPKKVSLADVGIVGDLSDGSEGKEKGPPPSFYMGRAMGSGLGSGSGSIGLGRSGFTPSQPAPADDIFSSLGSQQYQFGAFQK >Vigun05g209800.1.v1.2 pep primary_assembly:ASM411807v1:5:40054913:40055967:-1 gene:Vigun05g209800.v1.2 transcript:Vigun05g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSDGDCRPLGFLLGLPFAFLALIVSIVGLVIWIVGLLLTCICPCCLCITVIVELALELVKAPLHVMEWCTSQIPC >Vigun05g051900.3.v1.2 pep primary_assembly:ASM411807v1:5:4432461:4437069:-1 gene:Vigun05g051900.v1.2 transcript:Vigun05g051900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAEISSPELRPLQRDTEANNRSLSHIEAIVKQLETLTADKPPPETTTSDLRQCLTQLSQLAPFPNSLRLQIWKLSYRLWNACVDISNTIRSSSSATTESQAELRHIAADLLSIAGEVAGVPSPAIKSASFYHKTGLIWHNLRKFDLAAKCFERATDLVSKLDINSIVDAGERKMLLDLNLARSRTAWEVRDPSLSVALLNRSKSFLFGLCDHYADLAKQYMAFGKRALSNSGADASREALKLMNEALETCEKGFDTARTREEKVEIRGLRWKALRFIAAIHLQKEEYESVIKCVKVLRDSADGGDEHPSLSVLAMKAWLGLGRHGEAERELRGMVIDRGIPEGVWVSAVEAYFSAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVAHRVAGGGGEGGRVRAKVVAELVSDERVVALFAGQDAAKDRVAMHAVLWNCGADNFQSKDYETSAELFEKSMLYIAHDTENRILRAKGYRVLCLCHLGLLQLDRAQEYINEAGKLEPNVVCAFLKFKIYLQKNDHQGAIDQIEAMTTCLDFQPDFLSLSAHEAVACHALPVAVASLSSMLKFYASGKSMPTAEVTVVRTLLTVLSQEPGNEQQVIKFLKHAHTRASEIGPDFFFGKEEVGRRERNWFAVTSWNYGTKTGQDKNYELSAEFLKLASDFYALVEGSDDESNVMVCKSLVLSVSSMIALEFQRNTPMSETEVKQALLLLDRAGQMLKSNSSRNSVNNDQISTIEPELLFIYTFCAYDIQGRLNDPGSQFLNVRNFASSKACKPHHLLQIGLSASQAPRLNHEASL >Vigun05g051900.1.v1.2 pep primary_assembly:ASM411807v1:5:4432461:4437069:-1 gene:Vigun05g051900.v1.2 transcript:Vigun05g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAEISSPELRPLQRDTEANNRSLSHIEAIVKQLETLTADKPPPETTTSDLRQCLTQLSQLAPFPNSLRLQIWKLSYRLWNACVDISNTIRSSSSATTESQAELRHIAADLLSIAGEVAGVPSPAIKSASFYHKTGLIWHNLRKFDLAAKCFERATDLVSKLDINSIVDAGERKMLLDLNLARSRTAWEVRDPSLSVALLNRSKSFLFGLCDHYADLAKQYMAFGKRALSNSGADASREALKLMNEALETCEKGFDTARTREEKVEIRGLRWKALRFIAAIHLQKEEYESVIKCVKVLRDSADGGDEHPSLSVLAMKAWLGLGRHGEAERELRGMVIDRGIPEGVWVSAVEAYFSAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVAHRVAGGGGEGGRVRAKVVAELVSDERVVALFAGQDAAKDRVAMHAVLWNCGADNFQSKDYETSAELFEKSMLYIAHDTENRILRAKGYRVLCLCHLGLLQLDRAQEYINEAGKLEPNVVCAFLKFKIYLQKNDHQGAIDQIEAMTTCLDFQPDFLSLSAHEAVACHALPVAVASLSSMLKFYASGKSMPTAEVTVVRTLLTVLSQEPGNEQQVIKFLKHAHTRASEIGPDFFFGKEEVGRRERNWFAVTSWNYGTKTGQDKNYELSAEFLKLASDFYALVEGSDDESNVMVCKSLVLSVSSMIALEFQRNTPMSETEVKQALLLLDRAGQMLKSNSSRNSVNNDQISTIEPELLFIYTFCAYDIQGRLNDPGSQFLNVRNFASSKACKPHHLLQIGLSASQAPRLNHEVASFALNECLSSFLASPVPDYQNVALVMRKLISMASVYKGDTDDDLVYGMYRQAYRIMVGLKEDEYPIEEGKWLAMTAWNRAAVPVKLGQIEAGKKWMTVGLDIAKHVPGMEAYKACMEEVLGNLKKEP >Vigun05g051900.2.v1.2 pep primary_assembly:ASM411807v1:5:4432461:4437069:-1 gene:Vigun05g051900.v1.2 transcript:Vigun05g051900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAEISSPELRPLQRDTEANNRSLSHIEAIVKQLETLTADKPPPETTTSDLRQCLTQLSQLAPFPNSLRLQIWKLSYRLWNACVDISNTIRSSSSATTESQAELRHIAADLLSIAGEVAGVPSPAIKSASFYHKTGLIWHNLRKFDLAAKCFERATDLVSKLDINSIVDAGERKMLLDLNLARSRTAWEVRDPSLSVALLNRSKSFLFGLCDHYADLAKQYMAFGKRALSNSGADASREALKLMNEALETCEKGFDTARTREEKVEIRGLRWKALRFIAAIHLQKEEYESVIKCVKVLRDSADGGDEHPSLSVLAMKAWLGLGRHGEAERELRGMVIDRGIPEGVWVSAVEAYFSAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVAHRVAGGGGEGGRVRAKVVAELVSDERVVALFAGQDAAKDRVAMHAVLWNCGADNFQSKDYETSAELFEKSMLYIAHDTENRILRAKGYRVLCLCHLGLLQLDRAQEYINEAGKFKIYLQKNDHQGAIDQIEAMTTCLDFQPDFLSLSAHEAVACHALPVAVASLSSMLKFYASGKSMPTAEVTVVRTLLTVLSQEPGNEQQVIKFLKHAHTRASEIGPDFFFGKEEVGRRERNWFAVTSWNYGTKTGQDKNYELSAEFLKLASDFYALVEGSDDESNVMVCKSLVLSVSSMIALEFQRNTPMSETEVKQALLLLDRAGQMLKSNSSRNSVNNDQISTIEPELLFIYTFCAYDIQGRLNDPGSQFLNVRNFASSKACKPHHLLQIGLSASQAPRLNHEVASFALNECLSSFLASPVPDYQNVALVMRKLISMASVYKGDTDDDLVYGMYRQAYRIMVGLKEDEYPIEEGKWLAMTAWNRAAVPVKLGQIEAGKKWMTVGLDIAKHVPGMEAYKACMEEVLGNLKKEP >Vigun08g050900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5874506:5874931:-1 gene:Vigun08g050900.v1.2 transcript:Vigun08g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGINRQHSLREKLKSSICCFSGTSHNDSLEHGEGFYSKFHIPRTPISPAGSTSSFSWFKLKSPPGTDFGNDSHTRVRGRSLKSRLSRKLLHNRQSQSADFSYDPSSYALNFESESPEEFPFRNFSSRLPPSPPVEYSGH >Vigun11g035800.1.v1.2 pep primary_assembly:ASM411807v1:11:4836706:4841399:1 gene:Vigun11g035800.v1.2 transcript:Vigun11g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAGGAGSNDSNAGRRQSKKPKYSKFTQQELPACKPILTPRAVISAFLIVTIVFIPIGVASLIASHDVVEIIDRYDSQCIPSNVTDKVAYIQTPGEKPCNRELHVDKRMKSPIYVYYQLDNFYQNHRRYVKSRNDEQLRDSKNANSTSGCEPEDSANGMPIVPCGLIAWSLFNDTYSFSRNSVNLTVNKKDISWKSDRDHKFGSDVFPKNFQSGTLIGGGRLDENRSLSEQEDLIVWMRTAALPTFRKLYGRIEVDLEKGDVIKVNLNNNYNTYSFNGKKKLVLSTTTWLGGKNDFLGIAYLTVGGLSFFLAMAFTVVYLIKPRQLGDPSYLSWNRNPGGQ >Vigun06g112900.1.v1.2 pep primary_assembly:ASM411807v1:6:24127265:24136809:-1 gene:Vigun06g112900.v1.2 transcript:Vigun06g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVELSTLVTRAAHDSYASLKELVDKCRSSELSDTDKKISILKFLSKTQQRMIRLNVLSKWCQQVPLIQHCQQLASTVSNHDMCFTQAADSLFFMHEGLQQARAPVYDVPSAIDILLTGSYQRLPKCVEDVGTQYALTEDQQKPALKKLDTLVRSKLLQVSIPKEFSDIKVSDGTAQLRVDGEFKVLITLGYRGHLSLWRILHLELLVGEKNKPVKLEEMRRHVLGDDLERRMAAADNPFSVMYSVLHELCVTLVMDTVIRQVQVLRQGRWKDAIRFELISEGHGASSSSVQNPDAESDSSALRTPGLKIVYWLDFDKNANVSESGTCPFIKIEPGSDLQIKCLHSSFVIDPLTGKEAEFVLDQSCIDVERLLLRAICCNRYTRLLEIKRELVKNVQVCRTADDVLLQSRMGEPDIEYKQKDDKCCSKDSEGHEVLCVRAYGSSFFTLGINIRNGRFLLQSSQNIVVSSALIECEEALNQGSMTAAEVFISLRSKSILHLFASIGRVLGLEVYEHGINIVKIPKNVSDGSAMLLMGFPDCGSSYFLLMQLDKDFKPLFKLLETQPDPSITDNLSGGDLNQVVRIKKIDIGQMQVHEDEMNLSLVDWGKLRSALPNAIGPNQTSGHEFFSDIRLENSIQIAKGHPSGFSSLVDEVFGLEKGSSAAPLSVQNISSTGNTSLPSQYGSVPMNIHSLKAGSPSPKWEGGIQMAQVNNVTKASGATSLYSGSLFSSGSVKGPVQSSSVGSIPTGQVRSTAGKKLSASKSEQDLTSPKSPHSVDITSSSAIDEEQLRVLNDTSNEALSGSRSSRLLSPPRPTGSRIPNSRPNGPQVDSFKAVGSGSCATTPVSQTLESTVSYSAGEDVTSKNDKKSRKRTASDMLALIPSLQGVENNPGICKRRKLSDASGCQMSLAQGAMSAEMIPKTEGYTYGSLIAEVNKGTVPSSIYIVALLHVVRHCSLCIKHARLTSQMDALDISYVEEVGLRSGSSNIWFRLPLARGDSWQHICLRLGRPGCMYWDVKINDQHFRDLWELQKGSNNTPWGSGVRIANTSDIDSHIHYDPDGVVLSYQSVEVDSIKKLVADIQRLANARTFALGMRKLLGVRAEEKSDDLVTTSTDSKALNSKVALDTADKLSEQMRRAFRIEAVGLMSLWFSFGSSVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVSSLLDCIRLTAGPLHALAAATRPARAGPVPGVAAALSSIPKQSGGYISSQGLLLGNSTTNVGQPTSGPGANTVMPTASGLTNQTLSMLAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIMYRKQFAVDMRCFAGDQVWLQPATPPKEGRLSGGSLPCPQFRPFIMEHVAQELNGLDPSFTGQQAGGLANSNNPNPGSGSQMMAANGNRINLPISAAMSRTGNQVASLNRVGNALAGSSNLALMTSPVSIRRPPGAVVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKENEGALLNLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHQQQQQQQQNSNPAPEELSQSEISEICDYFSRRVASEPYDASRVASFITMLTLPVSVLREFLKLIAWKKGLSQTQVGDVVSAQKPRIELCLENHSGLNVDENSESSSAFRSNIHYDRVHNSVDFALTVVLDSSHVPHVNAAGGAAWLPYCVSVRLRYSFGESSNVSFVAMNGSHGGRACWLRVDDWEKCRQRVARAVEVNGSSAADVSQGRLKLVADSVQRNLHMCIQGLRDGNGVTASSGAT >Vigun02g043600.1.v1.2 pep primary_assembly:ASM411807v1:2:17516835:17527946:-1 gene:Vigun02g043600.v1.2 transcript:Vigun02g043600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRALGHSNTTLIKSCRTIIFTHSSSFSSACLRRSSSPPPPPPQAPPSPKKVPFTVSVHGKTWQDPYHWMSNSDDPNLLKHLNRENVYADGFMADTLKLRSVLSSEMKARLPPTVSTPPERWGPWMYYQYIPEGKEYPVLCRRLEMKKTGWLKNFVFHYGMTRSKREEILLDWNELAEKYGYVNVGTCRVSPDHNYLAYTLDISGGERYTLQVKDLRSGLIDPKSKVDGAVSLAWAQDASSLFYTQSDENQRPYRVLCRKLGYDHLDEFPVFTENDSSFCVDITSTKDGKFITVNSNSRTSSEVYVIDSVNPSNGLQKLCNRTSGVQYFLEHHSGLFYILTNAPTPESQWSGQGYYLVRCRVEDIESAKLQNIILPDNDMDICDMDIFNGYLVLFFNKKGLPRLCSLNFPLQVDSKHQSYIQDLKPWYFPLPSNTCSVSPGSNHDFLSMVYRVVLSSPVMPDLVVDYDMSKHTYSIVHQEEVNCDSVGWSSIPTFELNKSTIHEACSDKKECATNFNSQRWKDFSQIYCCQREEVISNDGVMVPLTIVYSRESWKKGQSPGLLVGYGAYGEDLDKSWCSDRLSILDRGWVLAFADVRGGGGGGPSWHKSGSGLNKQNSIFDFVSCGNYLVNKGYVQSDLLCAIGWSAGGLLVGAAVNMCPQLFRAVILKVPFLDICNTLLDPTLPLTLLDYEEFGNPQLQSNLDSILSYSPYDNIPPNSCFPSVLVTAAVNDSRVGVWEGAKWVAKVRDSTCPRCSLSVIMKTSMIGGHFGEGGHYAQCDETAYEYAFLMKALGISIE >Vigun06g039600.3.v1.2 pep primary_assembly:ASM411807v1:6:15878933:15883597:1 gene:Vigun06g039600.v1.2 transcript:Vigun06g039600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSLHVELSKKTSFLGLKLWVLIGIGVGAFIVLILCALSVWVMFRRKSRRSLDKFSLSQIPRVSKDISVDKVGVQNSYDQAENVAIPVHDKASENNSDKFLVHLRKSKSSDADNISQCSSVYHHERGFSSMSGEEGSSGTVKKQSALAFGGVVTASPLVGLPEISHLGWGHWFTLRDLELATNRFSPENVIGEGGYGVVYRGRLINGSEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGAMSQQGILTWEARMKVITGTAKALAYLHEAIEPKVVHRDIKSSNILIDTEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNERSDIYSFGVLLLEAVTGRDPVDYSRPANEVNLVEWLKMMVGTRRAEEVVDSRLEVKPSTRALKRSLLVALKCVDPEAEKRPKMSQVVRMLEADEYPFREDRRNRKSRTISMEIESLKDISGPSGAEKVKGSESHAPETTQG >Vigun06g039600.2.v1.2 pep primary_assembly:ASM411807v1:6:15879683:15883597:1 gene:Vigun06g039600.v1.2 transcript:Vigun06g039600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSLHVELSKKTSFLGLKLWVLIGIGVGAFIVLILCALSVWVMFRRKSRRSLDKFSLSQIPRVSKDISVDKVGVQNSYDQAENVAIPVHDKASENNSDKFLVHLRKSKSSDADNISQCSSVYHHERGFSSMSGEEGSSGTVKKQSALAFGGVVTASPLVGLPEISHLGWGHWFTLRDLELATNRFSPENVIGEGGYGVVYRGRLINGSEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGAMSQQGILTWEARMKVITGTAKALAYLHEAIEPKVVHRDIKSSNILIDTEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNERSDIYSFGVLLLEAVTGRDPVDYSRPANEVNLVEWLKMMVGTRRAEEVVDSRLEVKPSTRALKRSLLVALKCVDPEAEKRPKMSQVVRMLEADEYPFREDRRNRKSRTISMEIESLKDISGPSGAEKVKGSESHAPETTQG >Vigun06g039600.1.v1.2 pep primary_assembly:ASM411807v1:6:15878933:15883759:1 gene:Vigun06g039600.v1.2 transcript:Vigun06g039600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSLHVELSKKTSFLGLKLWVLIGIGVGAFIVLILCALSVWVMFRRKSRRSLDKFSLSQIPRVSKDISVDKVGVQNSYDQAENVAIPVHDKASENNSDKFLVHLRKSKSSDADNISQCSSVYHHERGFSSMSGEEGSSGTVKKQSALAFGGVVTASPLVGLPEISHLGWGHWFTLRDLELATNRFSPENVIGEGGYGVVYRGRLINGSEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGAMSQQGILTWEARMKVITGTAKALAYLHEAIEPKVVHRDIKSSNILIDTEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNERSDIYSFGVLLLEAVTGRDPVDYSRPANEVNLVEWLKMMVGTRRAEEVVDSRLEVKPSTRALKRSLLVALKCVDPEAEKRPKMSQVVRMLEADEYPFREDRRNRKSRTISMEIESLKDISGPSGAEKVKGSESHAPETTQG >Vigun06g076100.2.v1.2 pep primary_assembly:ASM411807v1:6:20646992:20654129:1 gene:Vigun06g076100.v1.2 transcript:Vigun06g076100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFIHNGEKVFEWDQTLDEVNIYINLPPNVHSKQFYCKIQSKHLELGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITLQKRDKGQTWASPILGQGQLDPYSTDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRSD >Vigun06g076100.1.v1.2 pep primary_assembly:ASM411807v1:6:20646992:20649172:1 gene:Vigun06g076100.v1.2 transcript:Vigun06g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFIHNGEKVFEWDQTLDEVNIYINLPPNVHSKQFYCKIQSKHLELGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITLQKRDKGQTWASPILGQGQLDPYSTDLEQKRLMLQRFQEEVSFAFITIVFLVFQFGIYLLNPMTCVACASQCPLFLWSEILVIHFQSST >Vigun06g076100.3.v1.2 pep primary_assembly:ASM411807v1:6:20647149:20654129:1 gene:Vigun06g076100.v1.2 transcript:Vigun06g076100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFIHNGEKVFEWDQTLDEVNIYINLPPNVHSKQFYCKIQSKHLELGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITLQKRDKGQTWASPILGQGQLDPYSTDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRSD >Vigun03g089500.5.v1.2 pep primary_assembly:ASM411807v1:3:7516355:7522337:-1 gene:Vigun03g089500.v1.2 transcript:Vigun03g089500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSESSFPQTSVLLSDQSPSLDTASDSDNDGFVSGEEGDFEPESTRPILVKPSVPVEESKLDGPLQEAHRPIAKVTEDDDGSVEDADDDVAEGDADGVVWQKSGEREESGEAVKDGDFSDSNEVFVEASGGDDREAPFENGVDADKGFEGEGVELDGRGEEEKAVEEVHDGRTTHLDSVVVDEKGEDEGGVVEKDGGGGVEAVVDGVEVNLLGSGVVVVGDELDVKESQIKGLDEAAGVSLDNGFDAIDKVGEVDDDGDVVVGGADESVVQNVDGPDGVIGGDGEPGENGVSGDGLKSDIVVPREEGGGSEFVEQNEVKKEDDVEGEIENHVEEKGDDEVEGGHHGEREIEGLVSDEKIESSGEKVEEVENVGSHDDDDEREINGSVSDGKGEEVEEVVYGSNAAAANKFLEDLELQQQSRASGSSRDEGIDGQIVTDSDEEETDDEGVGKELFDTATLAALLKAASGGDQDGGSITITSQDGSRLFSVERPAGLGSSLQSGKPAMRPTRPNIFSPSISRASAVTDSNLSEEEKKKLNKLQEIRVKYLRLVHRLGFTTEESIAAQVLYRMTLVAGRQSGQMFSLESAKETATRLEAEGRDDLDFSVNILVLGKAGVGKSATINSIFGETKTSINSCGPATTAVTEIVGVVDGVKIRIFDTPGLKTSAFEQNFNTKVLSAVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSITSVLGSSIWRNVIVTLTHAASAPPDGPSGAPLSYDVFVAQRSHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCFSMKILSEAGNASKAQESFDHRRLFGFRTRSPPLPYLLSWLLQSRTYPKLPADQGGADNGDSDTEMADLSDSDLDEDEDEYDQLPPFKPMKKSQVAKLTKEQQKAYLEEYEYRVKLLQKKQWREELRRMREMKKRGNAKVDNYGYPEEDDQENGTPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDNHGWDHDCGYDGVNIEHSLAIINKFPTGVTVQITKDKKDFSIHLDSSVAAKLGENGSAMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTSGGVSVTFLGENVSTGVKIEDQIAVGKRLVLVGSTGIVKSQTDSACGANLEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANLQSQFSLGRSYKMAVRAGLNNKLSGQISVRTSSSDQLQIALVAIYPIAKAIYKNFWPGASNA >Vigun03g089500.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:7518073:7522335:-1 gene:Vigun03g089500.v1.2 transcript:Vigun03g089500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSESSFPQTSVLLSDQSPSLDTASDSDNDGFVSGEEGDFEPESTRPILVKPSVPVEESKLDGPLQEAHRPIAKVTEDDDGSVEDADDDVAEGDADGVVWQKSGEREESGEAVKDGDFSDSNEVFVEASGGDDREAPFENGVDADKGFEGEGVELDGRGEEEKAVEEVHDGRTTHLDSVVVDEKGEDEGGVVEKDGGGGVEAVVDGVEVNLLGSGVVVVGDELDVKESQIKGLDEAAGVSLDNGFDAIDKVGEVDDDGDVVVGGADESVVQNVDGPDGVIGGDGEPGENGVSGDGLKSDIVVPREEGGGSEFVEQNEVKKEDDVEGEIENHVEEKGDDEVEGGHHGEREIEGLVSDEKIESSGEKVEEVENVGSHDDDDEREINGSVSDGKGEEVEEVVYGSNAAAANKFLEDLELQQQSRASGSSRDEGIDGQIVTDSDEEETDDEGVGKELFDTATLAALLKAASGGDQDGGSITITSQDGSRLFSVERPAGLGSSLQSGKPAMRPTRPNIFSPSISRASAVTDSNLSEEEKKKLNKLQEIRVKYLRLVHRLGFTTEESIAAQVLYRMTLVAGRQSGQMFSLESAKETATRLEAEGRDDLDFSVNILVLGKAGVGKSATINSIFGETKTSINSCGPATTAVTEIVGVVDGVKIRIFDTPGLKTSAFEQNFNTKVLSAVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSITSVLGSSIWRNVIVTLTHAASAPPDGPSGAPLSYDVFVAQRSHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCFSMKILSEAGNASKAQESFDHRRLFGFRTRSPPLPYLLSWLLQSRTYPKLPADQGGADNGDSDTEMADLSDSDLDEDEDEYDQLPPFKPMKKSQVAKLTKEQQKAYLEEYEYRVKLLQKKQWREELRRMREMKKRGNAKVDNYGYPEEDDQENGTPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDNHGWDHDCGYDGVNIEHSLAIINKFPTGVTVQITKDKKDFSIHLDSSVAAKLGENGSAMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTSGGVSVTFLGENVSTGVKIEDQIAVGKRLVLVGSTGIVKSQTDSACGANLEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANLQSQFSLGRSYKMAVRAGLNNKLSGQISVRTSSSDQLQIALVAIYPIAKAIYKNFWPGASENYSIY >Vigun03g089500.3.v1.2 pep primary_assembly:ASM411807v1:3:7518073:7522335:-1 gene:Vigun03g089500.v1.2 transcript:Vigun03g089500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLLLRMEWMLIKGLRVEGGHHGEREIEGLVSDEKIESSGEKVEEVENVGSHDDDDEREINGSVSDGKGEEVEEVVYGSNAAAANKFLEDLELQQQSRASGSSRDEGIDGQIVTDSDEEETDDEGVGKELFDTATLAALLKAASGGDQDGGSITITSQDGSRLFSVERPAGLGSSLQSGKPAMRPTRPNIFSPSISRASAVTDSNLSEEEKKKLNKLQEIRVKYLRLVHRLGFTTEESIAAQVLYRMTLVAGRQSGQMFSLESAKETATRLEAEGRDDLDFSVNILVLGKAGVGKSATINSIFGETKTSINSCGPATTAVTEIVGVVDGVKIRIFDTPGLKTSAFEQNFNTKVLSAVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSITSVLGSSIWRNVIVTLTHAASAPPDGPSGAPLSYDVFVAQRSHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCFSMKILSEAGNASKAQESFDHRRLFGFRTRSPPLPYLLSWLLQSRTYPKLPADQGGADNGDSDTEMADLSDSDLDEDEDEYDQLPPFKPMKKSQVAKLTKEQQKAYLEEYEYRVKLLQKKQWREELRRMREMKKRGNAKVDNYGYPEEDDQENGTPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDNHGWDHDCGYDGVNIEHSLAIINKFPTGVTVQITKDKKDFSIHLDSSVAAKLGENGSAMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTSGGVSVTFLGENVSTGVKIEDQIAVGKRLVLVGSTGIVKSQTDSACGANLEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANLQSQFSLGRSYKMAVRAGLNNKLSGQISVRTSSSDQLQIALVAIYPIAKAIYKNFWPGASENYSIY >Vigun03g089500.1.v1.2 pep primary_assembly:ASM411807v1:3:7517658:7522337:-1 gene:Vigun03g089500.v1.2 transcript:Vigun03g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSESSFPQTSVLLSDQSPSLDTASDSDNDGFVSGEEGDFEPESTRPILVKPSVPVEESKLDGPLQEAHRPIAKVTEDDDGSVEDADDDVAEGDADGVVWQKSGEREESGEAVKDGDFSDSNEVFVEASGGDDREAPFENGVDADKGFEGEGVELDGRGEEEKAVEEVHDGRTTHLDSVVVDEKGEDEGGVVEKDGGGGVEAVVDGVEVNLLGSGVVVVGDELDVKESQIKGLDEAAGVSLDNGFDAIDKVGEVDDDGDVVVGGADESVVQNVDGPDGVIGGDGEPGENGVSGDGLKSDIVVPREEGGGSEFVEQNEVKKEDDVEGEIENHVEEKGDDEVEGGHHGEREIEGLVSDEKIESSGEKVEEVENVGSHDDDDEREINGSVSDGKGEEVEEVVYGSNAAAANKFLEDLELQQQSRASGSSRDEGIDGQIVTDSDEEETDDEGVGKELFDTATLAALLKAASGGDQDGGSITITSQDGSRLFSVERPAGLGSSLQSGKPAMRPTRPNIFSPSISRASAVTDSNLSEEEKKKLNKLQEIRVKYLRLVHRLGFTTEESIAAQVLYRMTLVAGRQSGQMFSLESAKETATRLEAEGRDDLDFSVNILVLGKAGVGKSATINSIFGETKTSINSCGPATTAVTEIVGVVDGVKIRIFDTPGLKTSAFEQNFNTKVLSAVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSITSVLGSSIWRNVIVTLTHAASAPPDGPSGAPLSYDVFVAQRSHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCFSMKILSEAGNASKAQESFDHRRLFGFRTRSPPLPYLLSWLLQSRTYPKLPADQGGADNGDSDTEMADLSDSDLDEDEDEYDQLPPFKPMKKSQVAKLTKEQQKAYLEEYEYRVKLLQKKQWREELRRMREMKKRGNAKVDNYGYPEEDDQENGTPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDNHGWDHDCGYDGVNIEHSLAIINKFPTGVTVQITKDKKDFSIHLDSSVAAKLGENGSAMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTSGGVSVTFLGENVSTGVKIEDQIAVGKRLVLVGSTGIVKSQTDSACGANLEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANLQSQFSLGRSYKMAVRAGLNNKLSGQISVRTSSSDQLQIALVAIYPIAKAIYKNFWPGASNA >Vigun03g089500.2.v1.2 pep primary_assembly:ASM411807v1:3:7515844:7522337:-1 gene:Vigun03g089500.v1.2 transcript:Vigun03g089500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSESSFPQTSVLLSDQSPSLDTASDSDNDGFVSGEEGDFEPESTRPILVKPSVPVEESKLDGPLQEAHRPIAKVTEDDDGSVEDADDDVAEGDADGVVWQKSGEREESGEAVKDGDFSDSNEVFVEASGGDDREAPFENGVDADKGFEGEGVELDGRGEEEKAVEEVHDGRTTHLDSVVVDEKGEDEGGVVEKDGGGGVEAVVDGVEVNLLGSGVVVVGDELDVKESQIKGLDEAAGVSLDNGFDAIDKVGEVDDDGDVVVGGADESVVQNVDGPDGVIGGDGEPGENGVSGDGLKSDIVVPREEGGGSEFVEQNEVKKEDDVEGEIENHVEEKGDDEVEGGHHGEREIEGLVSDEKIESSGEKVEEVENVGSHDDDDEREINGSVSDGKGEEVEEVVYGSNAAAANKFLEDLELQQQSRASGSSRDEGIDGQIVTDSDEEETDDEGVGKELFDTATLAALLKAASGGDQDGGSITITSQDGSRLFSVERPAGLGSSLQSGKPAMRPTRPNIFSPSISRASAVTDSNLSEEEKKKLNKLQEIRVKYLRLVHRLGFTTEESIAAQVLYRMTLVAGRQSGQMFSLESAKETATRLEAEGRDDLDFSVNILVLGKAGVGKSATINSIFGETKTSINSCGPATTAVTEIVGVVDGVKIRIFDTPGLKTSAFEQNFNTKVLSAVKKLTKKSPPDIVLYVDRLDLQTRDMNDLPMLRSITSVLGSSIWRNVIVTLTHAASAPPDGPSGAPLSYDVFVAQRSHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCFSMKILSEAGNASKAQESFDHRRLFGFRTRSPPLPYLLSWLLQSRTYPKLPADQGGADNGDSDTEMADLSDSDLDEDEDEYDQLPPFKPMKKSQVAKLTKEQQKAYLEEYEYRVKLLQKKQWREELRRMREMKKRGNAKVDNYGYPEEDDQENGTPAAVPVPLPDMALPPSFDSDNPAYRYRFLEPTSQLLTRPVLDNHGWDHDCGYDGVNIEHSLAIINKFPTGVTVQITKDKKDFSIHLDSSVAAKLGENGSAMAGFDIQNIGKQLAYIVRGETKFKNFKRNKTSGGVSVTFLGENVSTGVKIEDQIAVGKRLVLVGSTGIVKSQTDSACGANLEVRLREADFPIGQDQSSLSLSLVKWRGDLALGANLQSQFSLGRSYKMAVRAGLNNKLSGQISVRTSSSDQLQIALVAIYPIAKAIYKNFWPGASNA >Vigun07g145100.4.v1.2 pep primary_assembly:ASM411807v1:7:25588704:25595048:1 gene:Vigun07g145100.v1.2 transcript:Vigun07g145100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAMDGNNTCWDKVIAEMIEMGFEYSSIVEAIKVVGPSIPSAVEHILNSTTEEASTTHTSNSHARNGNALKKRPLRTSLVRQSNIYDHFHSNDDVKEHKKELPQLGINPNPVVLLEPLEAQDLDAESDWEQKIRNLMKKHFGFSSLKSFQKEALSAWVAHKDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLTRHGISACFLGSGQPDNTVEQKAMRGLYSIVYICPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSTSKLKSLKFDIPLMALTATATKRVREDILKSLHMSKETKVCLTSFFRPNLRFMVKHSRVSQASYAKDFHELIHVYGRKKNIDENEKVFISDDSDQFSNSSDASSISDTDSVSPDDMDDNQDDYAFRDINIMHSGNSDGFLTGKELSIEFLENDIDAFQSVDNLDVTRDDFCVLPHKELELSKNTDTPKKPEGRVKILNEPLEQGPTIIYVPTRKETLRIAKYLCKLGVKAAAYNAGLPKLHLRKVHKEFHENSLEVIVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCILYANLASKPSLLPSRRSEDQKKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCHLCDVCIDGPPKKQNLKEEACILLQTIGSHNQWRRDSKDYSYDDDIHFEYNYRGQRERPNLRMLVGKIRQQFQKFSITDMLWWRGLARILEVKGYIREGDDKRYVQAKFPEFVRSMNEEAFWVYPEADMLLARKLTEKPFSSFSEWGKGWADPEIRRQRLQRMGVNRKSVKRKRKGKVQHDLRTSRGRLTAKLSKHK >Vigun07g145100.3.v1.2 pep primary_assembly:ASM411807v1:7:25588630:25595137:1 gene:Vigun07g145100.v1.2 transcript:Vigun07g145100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAMDGNNTCWDKVIAEMIEMGFEYSSIVEAIKVVGPSIPSAVEHILNSTTEEASTTHTSNSHARNGNALKKRPLRTSLVRQSNIYDHFHSNDDVKEHKKELPQLGINPNPVVLLEPLEAQDLDAESDWEQKIRNLMKKHFGFSSLKSFQKEALSAWVAHKDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLTRHGISACFLGSGQPDNTVEQKAMRGLYSIVYICPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSTSKLKSLKFDIPLMALTATATKRVREDILKSLHMSKETKVCLTSFFRPNLRFMVKHSRVSQASYAKDFHELIHVYGRKKNIDENEKVFISDDSDQFSNSSDASSISDTDSVSPDDMDDNQDDYAFRDINIMHSGNSDGFLTGKELSIEFLENDIDAFQSVDNLDVTRDDFCVLPHKELELSKNTDTPKKPEGRVKILNEPLEQGPTIIYVPTRKETLRIAKYLCKLGVKAAAYNAGLPKLHLRKVHKEFHENSLEVIVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCILYANLASKPSLLPSRRSEDQKKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCHLCDVCIDGPPKKQNLKEEACILLQTIGSHNWRRDSKDYSYDDDIHFEYNYRGQRERPNLRMLVGKIRQQFQKFSITDMLWWRGLARILEVKGYIREGDDKRYVQAKFPEFVRSMNEEAFWVYPEADMLLARKLTEKPFSSFSEWGKGWADPEIRRQRLQRMGVNRKSVKRKRKGKVQHDLRTSRGRLTAKLSKHK >Vigun07g145100.5.v1.2 pep primary_assembly:ASM411807v1:7:25588704:25595048:1 gene:Vigun07g145100.v1.2 transcript:Vigun07g145100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAMDGNNTCWDKVIAEMIEMGFEYSSIVEAIKVVGPSIPSAVEHILNSTTEEASTTHTSNSHARNGNALKKRPLRTSLVRQSNIYDHFHSNDDVKEHKKELPQLGINPNPVVLLEPLEAQDLDAESDWEQKIRNLMKKHFGFSSLKSFQKEALSAWVAHKDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLTRHGISACFLGSGQPDNTVEQKAMRGLYSIVYICPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSTSKLKSLKFDIPLMALTATATKRVREDILKSLHMSKETKVCLTSFFRPNLRFMVKHSRVSQASYAKDFHELIHVYGRKKNIDENEKVFISDDSDQFSNSSDASSISDTDSVSPDDMDDNQDDYAFRDINIMHSGNSDGFLTGKELSIEFLENDIDAFQSVDNLDVTRDDFCVLPHKELELSKNTDTPKKPEGRVKILNEPLEQGPTIIYVPTRKETLRIAKYLCKLGVKAAAYNAGLPKLHLRKVHKEFHENSLEVIVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCILYANLASKPSLLPSRRSEDQKKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCHLCDVCIDGPPKKQNLKEEACILLQTIGSHNWRRDSKDYSYDDDIHFEYNYRGQRERPNLRMLVGKIRQQFQKFSITDMLWWRGLARILEVKGYIREGDDKRYVQAKFPEFVRSMNEEAFWVYPEADMLLARKLTEKPFSSFSEWGKGWADPEIRRQRLQRMGVNRKSVKRKRKGKVQHDLRTSRGRLTAKLSKHK >Vigun07g145100.1.v1.2 pep primary_assembly:ASM411807v1:7:25588630:25595137:1 gene:Vigun07g145100.v1.2 transcript:Vigun07g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAMDGNNTCWDKVIAEMIEMGFEYSSIVEAIKVVGPSIPSAVEHILNSTTEEASTTHTSNSHARNGNALKKRPLRTSLVRQSNIYDHFHSNDDVKEHKKELPQLGINPNPVVLLEPLEAQDLDAESDWEQKIRNLMKKHFGFSSLKSFQKEALSAWVAHKDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLTRHGISACFLGSGQPDNTVEQKAMRGLYSIVYICPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSTSKLKSLKFDIPLMALTATATKRVREDILKSLHMSKETKVCLTSFFRPNLRFMVKHSRVSQASYAKDFHELIHVYGRKKNIDENEKVFISDDSDQFSNSSDASSISDTDSVSPDDMDDNQDDYAFRDINIMHSGNSDGFLTGKELSIEFLENDIDAFQSVDNLDVTRDDFCVLPHKELELSKNTDTPKKPEGRVKILNEPLEQGPTIIYVPTRKETLRIAKYLCKLGVKAAAYNAGLPKLHLRKVHKEFHENSLEVIVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCILYANLASKPSLLPSRRSEDQKKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCHLCDVCIDGPPKKQNLKEEACILLQTIGSHNQWRRDSKDYSYDDDIHFEYNYRGQRERPNLRMLVGKIRQQFQKFSITDMLWWRGLARILEVKGYIREGDDKRYVQAKFPEFVRSMNEEAFWVYPEADMLLARKLTEKPFSSFSEWGKGWADPEIRRQRLQRMGVNRKSVKRKRKGKVQHDLRTSRGRLTAKLSKHK >Vigun07g145100.2.v1.2 pep primary_assembly:ASM411807v1:7:25588630:25595125:1 gene:Vigun07g145100.v1.2 transcript:Vigun07g145100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNAMDGNNTCWDKVIAEMIEMGFEYSSIVEAIKVVGPSIPSAVEHILNSTTEEASTTHTSNSHARNGNALKKRPLRTSLVRQSNIYDHFHSNDDVKEHKKELPQLGINPNPVVLLEPLEAQDLDAESDWEQKIRNLMKKHFGFSSLKSFQKEALSAWVAHKDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLTRHGISACFLGSGQPDNTVEQKAMRGLYSIVYICPETVLRLIQPLQKLAESRGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSTSKLKSLKFDIPLMALTATATKRVREDILKSLHMSKETKVCLTSFFRPNLRFMVKHSRVSQASYAKDFHELIHVYGRKKNIDENEKVFISDDSDQFSNSSDASSISDTDSVSPDDMDDNQDDYAFRDINIMHSGNSDGFLTGKELSIEFLENDIDAFQSVDNLDVTRDDFCVLPHKELELSKNTDTPKKPEGRVKILNEPLEQGPTIIYVPTRKETLRIAKYLCKLGVKAAAYNAGLPKLHLRKVHKEFHENSLEVIVATIAFGMGIDKPNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLSDCILYANLASKPSLLPSRRSEDQKKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCHFGVGIPRITHMMMIYILSTITEDKERGQILGCWLEK >Vigun01g027300.10.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.4.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.1.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMEAEERPKIRDIRRYFCEFCGICRSKKTLITSHVNSQHREEIEKARAEREREPETEAVKSNTCGECGASFKKHAYLVQHMQSHSLERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.8.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMEAEERPKIRDIRRYFCEFCGICRSKKTLITSHVNSQHREEIEKARAEREREPETEAVKSNTCGECGASFKKHAYLVQHMQSHSLEHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.5.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.3.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.11.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.6.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.9.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAACLDLMDQYQVGRPPVKLHQGCLIHAKIERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.7.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMEAEERPKIRDIRRYFCEFCGICRSKKTLITSHVNSQHREEIEKARAEREREPETEAVKSNTCGECGASFKKHAYLVQHMQSHSLERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun01g027300.2.v1.2 pep primary_assembly:ASM411807v1:1:3240061:3242973:1 gene:Vigun01g027300.v1.2 transcript:Vigun01g027300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLERPYVCVVDDCQASYRRKDHLTRHVLQHQGKTFKCPVENCSTEFSLQSNVKRHVEEVHDDNSTSTCDRTHKQHTCPEIGCGKVFRFASQLRKHEDSHVKLESVDVVCLEPGCMKHFTNVQCLQAHVKSSHQYITCETCGTKQLKKNIKRHLLTHEPLNSPETFRCEFKGCSCTFSRKSNLDKHNKVVHFKEKPYVCGFADCGMRFAYKHVRDNHEKTAKHVFTLGDFEKTDEELRSKCRGGRKRKCPSVEMILRNRVTPPSQLESLLFMQDSE >Vigun06g183632.1.v1.2 pep primary_assembly:ASM411807v1:6:30319310:30320754:1 gene:Vigun06g183632.v1.2 transcript:Vigun06g183632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAKPWLVLSLLMAANCMQYYCAHAEPVVACLFVFGDSLSDSGNNNNRATLGRANFRPYGIDFPQGPTGRFTNGRTSVDFISEFLGFLKLIPPHADTSSSDVLKGVNYASGGAGIRPDSGMIGGDNIDLEKQVRNHSRCHVYASEKWILC >Vigun02g001400.1.v1.2 pep primary_assembly:ASM411807v1:2:915366:921577:1 gene:Vigun02g001400.v1.2 transcript:Vigun02g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQVVNVSGEEGNSVDDHRGVKVKGVAHTVDGDLDLAAGGAASEELAVKNCKDAEANGTAEEASEKDGELKRVDSEGGAGSVRNGVLENETHAADELVADHEEYVVVGVSDVQNSVAAEGEIVGDANGNVNEVEECELLDREEVSGDENGVVVNVVEGDTDVNQGDRDFECVEVHNDAVVDGAAEEVTAADANGVDDLQSKSESISDKDVDKSGEIENVVSADVLDEKDIVTNENHDMEEVTERNGVPVDVGGVSGTTDVKECEPEDVGNSSETGQIESVSGLAESEQEPSECTEENEIVVEGDSGSKLERSEEEAGSVLVHKGESTTALNRTDINGDGDVESDIAVESTSEPSVIDCEMKSDGELNVHVQEMKNAAEHSEAGTSNGAVQGESKPSLVSEMENSTEEVEAEPSNFAVEAEAKPSNGAVEREVEPSNGAGEREVESSNGAVETGIEPSNGEVECLADPSIGAVESEAESSNGALKSVAESSNGAVEGEAESSNGAVVREIKALNGAVEIVAEPSNGTVEGEAEPSNGEVVSEAELSNGAVEVEAESSTVNEAEPSNGAVESSNGEVQCEVEPSSEAVESQTEPSNGAVEREVELSNGEMQSEAEPSDREEDREAEPSDGAMERQAEPSNSAAEREAEPSNGVLESEVQPLTGAVESEAEPLTGTVKSEAEPLTGAVEREVELSNVSLEREAKPSDGVVGSEAEPSNGEVECEAKPSNGVVESEVEPSTGEVESEAKPSTGVVESEAKPSNGVVESEAKPSNGVVESESESSVDLREMKNNAVNSEAELSTGAMKSETESSAVSEITSYPLESEDEHSKGAVESEAQPVVEGEGSNQRDEDTRPALDASDVQNVGAEIVKKPFFYLIRVPRYDDDENIKEQIEKTLRQVEEKTKIRDSIRAESQTIKARCKDCDQEVKAAIAAQRAARDQLKSKRQEMDSVQSKMNRLNNAISVGDIDGKIRNMEHMIQHETLPLNEEKQLIRQIKQLKQNREELSSNMRKQDQSQQSLDHKEGNIEEHSKHLQLLKKEMEVLRNNVLKLDTATKDAKKKYNNEYDKLNELIAQFRAADEVRQEAYAKSVALKKQLHEKGKNFWDYRKAANKAQELAAGGKKEELQCFCVDQVERIMELWNKNDDFRRDYVRCNTRSTLRRLQTLDGRSLGPDEEPPVIPNAITVRASKNISVVSQSTLEQEKKPPSTESVIIKNEPVSKVVVQRTETSQTTKAKNPTKPSLLEKPVARWGDESDEEVKKEPVRTKEEEELLLKAEKARKEEEEAMLKEKRRLEEIEKAKEAQQRKKRNAEKAQQRAALKAQKEAELKEKEREKRARKKERRKAASAVTAENTEQESAPTSEILTRSMEEHDQSEKAAELTKKPQKPSQFTKQTKPKSVPLALRNRGKRRIQPWMWILIAVIVAVALFYVGNSSVLRSSLQGFGF >Vigun10g118800.1.v1.2 pep primary_assembly:ASM411807v1:10:32506592:32509171:-1 gene:Vigun10g118800.v1.2 transcript:Vigun10g118800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEKARTLFGISLSQRPKWQQFFICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLYGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMMMGSFIPGLRRKYPVHEYVSAVLLVIGLIMFTLADAQTSPNFSLIGVLMISGALIMDSFLGNLQEAIFTLNPQTTQMEMLFCSTLVGLPLLIPPMLFTGELFQAWTSCSQHLYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPMTEQHATGLLLIAMGICLKIFLDDKSNKKTSNSSPIVNIPKPSEHKELQAQLDYAGEDEERRPFV >Vigun10g118800.3.v1.2 pep primary_assembly:ASM411807v1:10:32506592:32509171:-1 gene:Vigun10g118800.v1.2 transcript:Vigun10g118800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCEAFSCAYGIPWPNKGIFGFSELPCTNHVQIHKVMMMGSFIPGLRRKYPVHEYVSAVLLVIGLIMFTLADAQTSPNFSLIGVLMISGALIMDSFLGNLQEAIFTLNPQTTQMEMLFCSTLVGLPLLIPPMLFTGELFQAWTSCSQHLYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPMTEQHATGLLLIAMGICLKIFLDDKSNKKTSNSSPIVNIPKPSEHKELQAQLDYAGEDEERRPFV >Vigun10g118800.2.v1.2 pep primary_assembly:ASM411807v1:10:32506592:32509171:-1 gene:Vigun10g118800.v1.2 transcript:Vigun10g118800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEKARTLFGISLSQRPKWQQFFICSSGFFFGYLVNGICEEYVYNRLHFSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMMMGSFIPGLRRKYPVHEYVSAVLLVIGLIMFTLADAQTSPNFSLIGVLMISGALIMDSFLGNLQEAIFTLNPQTTQMEMLFCSTLVGLPLLIPPMLFTGELFQAWTSCSQHLYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPMTEQHATGLLLIAMGICLKIFLDDKSNKKTSNSSPIVNIPKPSEHKELQAQLDYAGEDEERRPFV >Vigun03g039900.2.v1.2 pep primary_assembly:ASM411807v1:3:3051633:3057320:1 gene:Vigun03g039900.v1.2 transcript:Vigun03g039900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNILLSALGVGVGVGVGIGLASGQGVGKWGANTSSSNAITAEKMEQEMLRQVVDGRESNVTFEKFPYYLSEQTRVLLTSVAYVHLKHAEVSKYTRNLAPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDLTDFSLKIQSKYGSSNKESSFKRSTSESTLERLSDLFGSFSIFSQRQEPKGKMHRPSSVMDLQSMGAEASYNPPPLRRNASSSSNISGLASQTNATNSASLKRTTSWSFDEKLLIQSLYKVLVFVSKTYPIVLYLRDVDKLLYKWQRIYYLFQKMLKKLSGSILILGSRVIDCGNEYEEVNEKLDSLFPYTIEIRPPEDESHLVSWKSQLEEDMKMIQVKDNKIHIMEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISCHLMKNKDPEYRNGKLVISSNSLSHALSIFHKGKSSRGDTLKLEDQAVKSEKQREEGTDMKSEPKSENAAPVKKAEAETSTSVGKEDSEKSVPAPKAPEVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKVDNELDLKELATMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKSLDKKQKATKRQNEDVQDSEGVQEIEQEIVIALRPLNMQDFKEAKNQVAASFAAEGAGMSELKQWNDLYGEGGSRKQQQLSYFL >Vigun03g039900.1.v1.2 pep primary_assembly:ASM411807v1:3:3051633:3057320:1 gene:Vigun03g039900.v1.2 transcript:Vigun03g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNILLSALGVGVGVGVGIGLASGQGVGKWGANTSSSNAITAEKMEQEMLRQVVDGRESNVTFEKFPYYLSEQTRVLLTSVAYVHLKHAEVSKYTRNLAPASRTILLSGPAELYQQMLAKALAHYFEAKLLLLDLTDFSLKIQSKYGSSNKESSFKRSTSESTLERLSDLFGSFSIFSQRQEPKVAGKMHRPSSVMDLQSMGAEASYNPPPLRRNASSSSNISGLASQTNATNSASLKRTTSWSFDEKLLIQSLYKVLVFVSKTYPIVLYLRDVDKLLYKWQRIYYLFQKMLKKLSGSILILGSRVIDCGNEYEEVNEKLDSLFPYTIEIRPPEDESHLVSWKSQLEEDMKMIQVKDNKIHIMEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISCHLMKNKDPEYRNGKLVISSNSLSHALSIFHKGKSSRGDTLKLEDQAVKSEKQREEGTDMKSEPKSENAAPVKKAEAETSTSVGKEDSEKSVPAPKAPEVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKVDNELDLKELATMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKSLDKKQKATKRQNEDVQDSEGVQEIEQEIVIALRPLNMQDFKEAKNQVAASFAAEGAGMSELKQWNDLYGEGGSRKQQQLSYFL >Vigun03g039900.4.v1.2 pep primary_assembly:ASM411807v1:3:3052734:3057320:1 gene:Vigun03g039900.v1.2 transcript:Vigun03g039900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYFEAKLLLLDLTDFSLKIQSKYGSSNKESSFKRSTSESTLERLSDLFGSFSIFSQRQEPKGKMHRPSSVMDLQSMGAEASYNPPPLRRNASSSSNISGLASQTNATNSASLKRTTSWSFDEKLLIQSLYKVLVFVSKTYPIVLYLRDVDKLLYKWQRIYYLFQKMLKKLSGSILILGSRVIDCGNEYEEVNEKLDSLFPYTIEIRPPEDESHLVSWKSQLEEDMKMIQVKDNKIHIMEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISCHLMKNKDPEYRNGKLVISSNSLSHALSIFHKGKSSRGDTLKLEDQAVKSEKQREEGTDMKSEPKSENAAPVKKAEAETSTSVGKEDSEKSVPAPKAPEVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKVDNELDLKELATMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKSLDKKQKATKRQNEDVQDSEGVQEIEQEIVIALRPLNMQDFKEAKNQVAASFAAEGAGMSELKQWNDLYGEGGSRKQQQLSYFL >Vigun03g039900.3.v1.2 pep primary_assembly:ASM411807v1:3:3052734:3057320:1 gene:Vigun03g039900.v1.2 transcript:Vigun03g039900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYFEAKLLLLDLTDFSLKIQSKYGSSNKESSFKRSTSESTLERLSDLFGSFSIFSQRQEPKVAGKMHRPSSVMDLQSMGAEASYNPPPLRRNASSSSNISGLASQTNATNSASLKRTTSWSFDEKLLIQSLYKVLVFVSKTYPIVLYLRDVDKLLYKWQRIYYLFQKMLKKLSGSILILGSRVIDCGNEYEEVNEKLDSLFPYTIEIRPPEDESHLVSWKSQLEEDMKMIQVKDNKIHIMEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISCHLMKNKDPEYRNGKLVISSNSLSHALSIFHKGKSSRGDTLKLEDQAVKSEKQREEGTDMKSEPKSENAAPVKKAEAETSTSVGKEDSEKSVPAPKAPEVPPDNEFEKRIRPEVIPANEIGVTFSDIGALDETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILKTLLAKEKVDNELDLKELATMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKSLDKKQKATKRQNEDVQDSEGVQEIEQEIVIALRPLNMQDFKEAKNQVAASFAAEGAGMSELKQWNDLYGEGGSRKQQQLSYFL >Vigun10g013500.1.v1.2 pep primary_assembly:ASM411807v1:10:1479246:1483132:-1 gene:Vigun10g013500.v1.2 transcript:Vigun10g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRFESSLHNQTLSLSSSLSYSLPIKPSSSTLSVARTRATCLPLVTCSVSKVHNYGTVDYERRPIVRWNDVYRRISLNPDPDMGSAEVLNRWENEGKTLTKWELSRVVKELRKYKKFRRALEVYDWINNRPERFRVSESDAAIQLDLIAKVRGFSSAEVFFLSLEDQLKNKRTYGALLNVYVYSRSKEKAESLFDTMRSKGYVVHPLPFNVMMTLYMNVKEYDKVDMLVSEMMEKKIQLDIYTYNIWLSSCGSQGSIEKMEQVFEQMEKDPTIIPNWSTFSTMASMYIRMDQTEKAEECLRNVENRIKGRDRIPFHYLLSLYGRIGNKDEVYRVWNTYKSVFPKNTPNLGYHAVISSLVKVHDIAGAEKLYKEWVSVKLSYDPRVGNLLLDWYVEAGDMQKALSFFKKMKEDGGFPNSNTWEILSEGYIADKRISEALSCLKEAFMVANDSRSWRPKPLNLSAFIELCQEQGDMESAESLTELLKLSKFSKYKAYESFIGSSDDEVDRTDDIIHGSENMDGDSEMMLNELQGSF >Vigun07g057500.1.v1.2 pep primary_assembly:ASM411807v1:7:6348339:6350652:-1 gene:Vigun07g057500.v1.2 transcript:Vigun07g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGIASLFSSSINNIKCLRSKHCSVMDPLTTAMPSNRMFAAPVSHLVEPLSIGGTMSHRLWGPRISAAVAQEEVVVEGDAGFVEQQKVEENEEEVVPEQDSDESLASTKLYFGNLPYSVDSAKLAGLIQDYGSAELIEVLYDRDTGKSRGFAFVTMSCIEDCNTVIENLDGKEFMGRTLRVNFSNKPKPKEPLYPETEHKLFVGNLSWSVTNEILTQAFQEYGTVVGARVLYDGETGRSRGYGFVCFSTKAEMESALEALNDVELEGRAMRVSLAQGKRAQG >Vigun03g208300.1.v1.2 pep primary_assembly:ASM411807v1:3:34214909:34215525:1 gene:Vigun03g208300.v1.2 transcript:Vigun03g208300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSIILFLVLVSEGYGKCLPSDISISQSRSGVTMKGKPEWSVSVTSKCACAQTNVILNCTGFQSVEYVDPLVLTVSTSGDFCLLTPGQPLNKDGVVRFKYAWDHQFSLNPTSTQSSCP >Vigun08g111450.1.v1.2 pep primary_assembly:ASM411807v1:8:27639712:27642711:-1 gene:Vigun08g111450.v1.2 transcript:Vigun08g111450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTKYSTVTIYMFSTYLQKVMKGKASDNRV >Vigun07g209900.1.v1.2 pep primary_assembly:ASM411807v1:7:33152274:33159325:-1 gene:Vigun07g209900.v1.2 transcript:Vigun07g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLMDLSFICWVLLIQPLCLISANMEGDALHSLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYSNNITGPIPSDLGNLTNLVSLDLYLNRFTGPIPDSLGKLSKLRFLRLNNNSLSGPIPMSLTNITALQVLDLSNNHLSGVVPDNGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISAPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPHQEPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYIEAEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >Vigun05g180600.2.v1.2 pep primary_assembly:ASM411807v1:5:34379081:34417073:-1 gene:Vigun05g180600.v1.2 transcript:Vigun05g180600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSFFFFFFFIASFFFASFAFASGATLPQDEVEALKDIGKRLGKKWDFSVDPCSGERNWSGNDNNITWAYDIHNGTVCHVTRIFLKSQSLPGMLPQNLFKLPYLQEIDLSRNYLNGTIPKEWGSTKLVTIALLGNRLTGSIPIEITNISTLEDLNLEANQLSESLPPELANLTQIRRLVLSSNNFTGELPVALGKLSELKDFRIGDNQFSGKIPDFIQNLRNLEILRIEGSGLSGPIPSGISDLQNLIDLIISDLRGSETSTFPLLDKMNLEKLILRNCNIIGDLPEYLGKIASLDDNLDLSFNKLTGPIPNTYAALATKVTNIYLTGNLLNGPLPSWTEKAKSMNLFANSITNGLGEDACLEGHTCSKMLYNLRINCGGNAVTVNGNTYDDDVDDGDATRFLWNRGRNWAVSTTGNFMNNNNYHAGKYLVSKTYGLSTKNVDMYTTARASPISLTYYGFCLQNGNYTIFLHFAEIVFTDDQTFNNLGRRVFDIYIQGKLVKESFNIAEEAGGNGKPIIKKFTTVVSNNTLNIRLNWAGKGTIALPFGSVYGPLISAITVNSDNPPGSSISVGIVVGIVVALVVIIILLVGILWWKCCLRKKSSLERELKGLELRTSVFTLRQIKLATNNFDEENKIGEGGFGPVYKGCLSDGTLIAVKLLSSKSRQGNREFLNEIGMISALQHPYLVKLYGCCVEGNHLLLVYEYMENNNLARALFGPEELQIKLDWPTRYKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVVLEIINGRNNTIHRQKEESFSILDWAHMLKEKCDLMELVDRRLGSDFNKEEVLVMIKVALLCTQVTTTLRPTMSSVVSILEGKSVAEEVVTEASELLDEKKMDAMRTFYNQLHIEVPWTASSTSASDLYPTHLDSSFLDKRNHL >Vigun05g180600.3.v1.2 pep primary_assembly:ASM411807v1:5:34379081:34417073:-1 gene:Vigun05g180600.v1.2 transcript:Vigun05g180600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSFFFFFFFIASFFFASFAFASGATLPQDEVEALKDIGKRLGKKWDFSVDPCSGERNWSGNDNNITWAYDIHNGTVCHVTRIALLGNRLTGSIPIEITNISTLEDLNLEANQLSESLPPELANLTQIRRLVLSSNNFTGELPVALGKLSELKDFRIGDNQFSGKIPDFIQNLRNLEILRIEGSGLSGPIPSGISDLQNLIDLIISDLRGSETSTFPLLDKMNLEKLILRNCNIIGDLPEYLGKIASLDDNLDLSFNKLTGPIPNTYAALATKVTNIYLTGNLLNGPLPSWTEKAKSIDVSYNNLTITGQQSTTCNQKNRNLFANSITNGLGEDACLEGHTCSKMLYNLRINCGGNAVTVNGNTYDDDVDDGDATRFLWNRGRNWAVSTTGNFMNNNNYHAGKYLVSKTYGLSTKNVDMYTTARASPISLTYYGFCLQNGNYTIFLHFAEIVFTDDQTFNNLGRRVFDIYIQGKLVKESFNIAEEAGGNGKPIIKKFTTVVSNNTLNIRLNWAGKGTIALPFGSVYGPLISAITVNSDNPPGSSISVGIVVGIVVALVVIIILLVGILWWKCCLRKKSSLERELKGLELRTSVFTLRQIKLATNNFDEENKIGEGGFGPVYKGCLSDGTLIAVKLLSSKSRQGNREFLNEIGMISALQHPYLVKLYGCCVEGNHLLLVYEYMENNNLARALFGPEELQIKLDWPTRYKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVVLEIINGRNNTIHRQKEESFSILDWAHMLKEKCDLMELVDRRLGSDFNKEEVLVMIKVALLCTQVTTTLRPTMSSVVSILEGKSVAEEVVTEASELLDEKKMDAMRTFYNQLHIEVPWTASSTSASDLYPTHLDSSFLDKRNHL >Vigun05g180600.1.v1.2 pep primary_assembly:ASM411807v1:5:34379081:34417073:-1 gene:Vigun05g180600.v1.2 transcript:Vigun05g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSFFFFFFFIASFFFASFAFASGATLPQDEVEALKDIGKRLGKKWDFSVDPCSGERNWSGNDNNITWAYDIHNGTVCHVTRIFLKSQSLPGMLPQNLFKLPYLQEIDLSRNYLNGTIPKEWGSTKLVTIALLGNRLTGSIPIEITNISTLEDLNLEANQLSESLPPELANLTQIRRLVLSSNNFTGELPVALGKLSELKDFRIGDNQFSGKIPDFIQNLRNLEILRIEGSGLSGPIPSGISDLQNLIDLIISDLRGSETSTFPLLDKMNLEKLILRNCNIIGDLPEYLGKIASLDDNLDLSFNKLTGPIPNTYAALATKVTNIYLTGNLLNGPLPSWTEKAKSIDVSYNNLTITGQQSTTCNQKNRNLFANSITNGLGEDACLEGHTCSKMLYNLRINCGGNAVTVNGNTYDDDVDDGDATRFLWNRGRNWAVSTTGNFMNNNNYHAGKYLVSKTYGLSTKNVDMYTTARASPISLTYYGFCLQNGNYTIFLHFAEIVFTDDQTFNNLGRRVFDIYIQGKLVKESFNIAEEAGGNGKPIIKKFTTVVSNNTLNIRLNWAGKGTIALPFGSVYGPLISAITVNSDNPPGSSISVGIVVGIVVALVVIIILLVGILWWKCCLRKKSSLERELKGLELRTSVFTLRQIKLATNNFDEENKIGEGGFGPVYKGCLSDGTLIAVKLLSSKSRQGNREFLNEIGMISALQHPYLVKLYGCCVEGNHLLLVYEYMENNNLARALFGPEELQIKLDWPTRYKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVVLEIINGRNNTIHRQKEESFSILDWAHMLKEKCDLMELVDRRLGSDFNKEEVLVMIKVALLCTQVTTTLRPTMSSVVSILEGKSVAEEVVTEASELLDEKKMDAMRTFYNQLHIEVPWTASSTSASDLYPTHLDSSFLDKRNHL >Vigun02g075200.1.v1.2 pep primary_assembly:ASM411807v1:2:22683065:22684697:-1 gene:Vigun02g075200.v1.2 transcript:Vigun02g075200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAEGPATILAIGTATPPNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHVTEEVLKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPLFELVWTAQTIAPDSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFDPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATRDVLSDYGNMSSACVLFILDEMRRKSIENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >Vigun08g031700.1.v1.2 pep primary_assembly:ASM411807v1:8:2882303:2889042:-1 gene:Vigun08g031700.v1.2 transcript:Vigun08g031700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYRVRSVDWRPSPVVALATTIDGARVAAARQDGSLEIWLVSPGAIGWHCQLTIHGSPNGRVTSLVWCPGGVDGSRLFSSNVDGTVSRWDLFNLKQETVLKSEVSIWQMAMKFPVDDKVNDESKDGRMGMVTENGNGFHDFGEHESSDSDDDSHSPGPLERSYRELPRVAIGFNDGRLRIYEISDTDEFILVKSFPLVTGRVLSVTWSADANYIYSGSSDGLIRCWNATLGNEVYRITAGLGGLGSGQELCIWSLLSLRNGTLVSADSSGSVQFWDSQHGTLLQAHSLHKGHVFALAAAPTHNRVFSAGSDGQFICLQVILYKLSDSQAASADDVNYSSMTKRWIYIHHVRSHTHDIRALSVAVPISPEGDINPEINPEKRIKRSRGARKPTDFSYHKWAHLGVPMLISAGDDTKLFAYPVKEFTQFPPHDICPAPQRTPIQLVLNSIFNQSKMLLVQSSKHIDVHLLPLKNVSTPGGLTKTEIVARVKSKASRKIICSTISNSGALFAYSDHKRPSLLELRRCEVGKITWNVDRRELPQRLPFAHSMVFSHDSSKLIVAGCDRRIYVVDVVKSELVHTFTPRRESQDEDLPPTEPPITRLFSSSDGQWLAAVNCFGDIYVFNLEIQSQHWFISRLDGASVTAGGFPPQNDNVLIVTTSSNQVYALDVEAKQLGEWSKRHTFALPRRYQEFPGEVIGLSFPPAEASSSPSAISSSVVVYSSRAMCLIDFGLPVEQDEGDMLNTQDSRAGNLQYFSVKKGTKRKFEVLPIDKPVRRNFQVLPLENPVLFLAHTSKNSLFIVDKPWLQVVKSLEAPPVHRHIYGT >Vigun08g031700.2.v1.2 pep primary_assembly:ASM411807v1:8:2882303:2889042:-1 gene:Vigun08g031700.v1.2 transcript:Vigun08g031700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYRVRSVDWRPSPVVALATTIDGARVAAARQDGSLEIWLVSPGAIGWHCQLTIHGSPNGRVTSLVWCPGGVDGSRLFSSNVDGTVSRWDLFNLKQETVLKSEVSIWQMAMKFPVDDKVNDESKDGRMGMVTENGNGFHDFGEHESSDSDDDSHSPGPLERSYRELPRVAIGFNDGRLRIYEISDTDEFILVKSFPLVTGRVLSVTWSADANYIYSGSSDGLIRCWNATLGNEVYRITAGLGGLGSGQELCIWSLLSLRNGTLVSADSSGSVQFWDSQHGTLLQAHSLHKGHVFALAAAPTHNRVFSAGSDGQVILYKLSDSQAASADDVNYSSMTKRWIYIHHVRSHTHDIRALSVAVPISPEGDINPEINPEKRIKRSRGARKPTDFSYHKWAHLGVPMLISAGDDTKLFAYPVKEFTQFPPHDICPAPQRTPIQLVLNSIFNQSKMLLVQSSKHIDVHLLPLKNVSTPGGLTKTEIVARVKSKASRKIICSTISNSGALFAYSDHKRPSLLELRRCEVGKITWNVDRRELPQRLPFAHSMVFSHDSSKLIVAGCDRRIYVVDVVKSELVHTFTPRRESQDEDLPPTEPPITRLFSSSDGQWLAAVNCFGDIYVFNLEIQSQHWFISRLDGASVTAGGFPPQNDNVLIVTTSSNQVYALDVEAKQLGEWSKRHTFALPRRYQEFPGEVIGLSFPPAEASSSPSAISSSVVVYSSRAMCLIDFGLPVEQDEGDMLNTQDSRAGNLQYFSVKKGTKRKFEVLPIDKPVRRNFQVLPLENPVLFLAHTSKNSLFIVDKPWLQVVKSLEAPPVHRHIYGT >Vigun04g081450.1.v1.2 pep primary_assembly:ASM411807v1:4:12273404:12275744:-1 gene:Vigun04g081450.v1.2 transcript:Vigun04g081450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFPSLDDICMGEAIPVQSSHELNEDINSNNPHDVNEDEIVPKVHMCFDTLDAVKVFYRNFAIQSGFGVRIKISLRGSDNEINYIKLCKAGITVEKKEGKWYIRSVVTDHSHDISPQKSRLIRGNRKVNMHARQTVDINDEAGVRINKSFRSLVCEAGGYDNITFIERDVRNYIGKQRRSLCKDGDGQASRAACEDFGDVVSFDTTYLTNKYDMPFAPFVGVNHHGQSILLGCGLVSCEDTSTFVWLFECWRRYMSNIEPHGIVTDQCKAMMNAIKVVFPNTKHRWCLWHIMKKVPEKLQGYTNYKSMKMELKKLVYDSIYINDFQLGWVDFITRYDLNANEWLCTLYDERHRWVPCYLKSHFWAGMSTTQRSEGMNAFFDGFINSSTTLQQFVVQYDNALRQKAEKEFEADFASANTTIAYGSQSPIERQFQLEYTHAKFSEVQNKFRGKMNCFVKSVSKDEIVWKYIVKEEWMWNGQKNHRMHNLKLDSVTTNIEYSCLLFEFRGILCRHSFLVLGQEDIEFVADKYVLRRWSKNVRRRHSLVNAGYNKSTDDPRMQRYQVLCKTFYDIAEVACDSENTTKQLCDELHLIAKSLGVPTEPMQCVASNGVGCQTSNDVTPSATNSGMVLSPIHVKRKGRPRSNRLQTFPTLMQRRTISMSPLNAHR >Vigun05g197100.1.v1.2 pep primary_assembly:ASM411807v1:5:38093038:38095706:1 gene:Vigun05g197100.v1.2 transcript:Vigun05g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEIADIESQNVDTPPDVSHKVKRQVTLKFDDVVYKIKTKKGGIFTKKGETVEKEILKGVTGVVEPGEMLAMLGPSGSGKTTLLTALGGRLGGKLHGSITYNGEAFSNAMKRNTGFVTQDDVLYPHLTVLETLVFTALLRLPNSVTKEKKVEHAKGVIDQLGLTRCKDSIVGSPYLRGVSGGERKRVSIGQELLINPSLLFLDEPTSGLDSTTAQRIVSTLWELACGGRTVVMTIHQPSSRLYYMFHKVLLLSEGNTFYFGKGSEAIEYFSNMGYAPSVAMNPSDFLLDLANGIYTDEFNADHVVDKQKLISVYKGNCAAQSKPALKGIDDSGKDQNRFQEEGSGKWPTNWGQQFLVLLRRDIKERRHESFSLLRVCQVLVVALISGLLWFKSDISHLQDQIGLLFFISGFWGFFPLFQAIFTFPQELMMLQKERSSGMYRLSSYFMSRVVADLPMELVLPTIFLIITYWMAGLKANVVNFMCTLLSLLLNVLVAQGLGLALGASVMDQKAATTLASVIMLCFLLAGGFYVQHVPKFIAWIKYISISYYTYQLFIGSQYRYGETYPCSSGQCPIVEFPSIKQIGVHFGLHDQLMAALGLVIMLIVYRVIAYVALMRIGVTKN >Vigun04g129000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:32432683:32433665:-1 gene:Vigun04g129000.v1.2 transcript:Vigun04g129000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGQAIKLSPSTPELSGGRISMRKTASKSVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Vigun06g118200.1.v1.2 pep primary_assembly:ASM411807v1:6:24631952:24634967:1 gene:Vigun06g118200.v1.2 transcript:Vigun06g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKQMYLIVSSWKLNLHRGISYNINCVVTQALCPESRAMNNLLVLGFCAIISLVVAPCSISAQPTRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPSHIPTGRFSNGLNIPDLISLELGLEPTLPYLSPLLVGEKLLIGANFASAGIGILNDTGIQFLNIIHIYKQLKLFQEYQLRLSAHIGAEGARNLVNRALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVRYLVSEYRKVLRRLYDLGTRRVLVTGTGPMGCVPAELAMRSRTGDCDVELQRAAALFNPQLVEMLNALNEEVGSQVFIAANAYRMHMDFVSNPRAYGFVTSKIACCGQGPYNGIGLCTPASNLCPNRDLYAFWDPFHPSEKANRIIVQQILRGTTEYMHPMNLTSIMDLDSMT >Vigun06g118200.2.v1.2 pep primary_assembly:ASM411807v1:6:24632491:24634967:1 gene:Vigun06g118200.v1.2 transcript:Vigun06g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLVLGFCAIISLVVAPCSISAQPTRAFFVFGDSLVDSGNNDFLATTARADAPPYGIDFPSHIPTGRFSNGLNIPDLISLELGLEPTLPYLSPLLVGEKLLIGANFASAGIGILNDTGIQFLNIIHIYKQLKLFQEYQLRLSAHIGAEGARNLVNRALVLITLGGNDFVNNYYLVPYSARSRQFSLPDYVRYLVSEYRKVLRRLYDLGTRRVLVTGTGPMGCVPAELAMRSRTGDCDVELQRAAALFNPQLVEMLNALNEEVGSQVFIAANAYRMHMDFVSNPRAYGFVTSKIACCGQGPYNGIGLCTPASNLCPNRDLYAFWDPFHPSEKANRIIVQQILRGTTEYMHPMNLTSIMDLDSMT >Vigun09g076000.1.v1.2 pep primary_assembly:ASM411807v1:9:8697011:8699701:1 gene:Vigun09g076000.v1.2 transcript:Vigun09g076000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKESGGKGKGKQAASGSDENASKGKGKGGKGGDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHIILSEGRKN >Vigun09g076000.2.v1.2 pep primary_assembly:ASM411807v1:9:8697079:8699701:1 gene:Vigun09g076000.v1.2 transcript:Vigun09g076000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKESGGKGKGKQAASGSDENASKGKGKGGKGGDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHIILSEGRKN >Vigun07g205500.1.v1.2 pep primary_assembly:ASM411807v1:7:32702347:32704757:-1 gene:Vigun07g205500.v1.2 transcript:Vigun07g205500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNVVTNNNLITTSPKLSDEGARVSAMLLSTAVVYPAVLNAAIELNLFEIIAKVTPHGSFMSSHEIASKLPNQHPDLPDRLDRMLRLLASYSLLTSSTRTTQHGATETVYGLSHIGQYYATGATTGYFASFASYLSCPALSQLWSNFKEAVVDADVDLFKKVHGVTTYQYMEKDPKMNQMFIRAMADLCATDMIRVLEMYTGFEGISRLVDVGGGNGQNLKMIISKYPSIKGINFDLPQVIENAPLLSGVEHVGGDMFARVPEGDAMTLKVVLHNWSDEKCVEILSNCHKALSGNGKVIVLEIIMPEEPEATEESQLFSSLDNLMFITAGGKERTEKQYENLCKLTGFSNFHVACRASSGPGVMEFYK >Vigun04g143800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35727820:35729244:-1 gene:Vigun04g143800.v1.2 transcript:Vigun04g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKDSIVLYSALGRGHLVSMVELGKFILSHHPSLSINILFLTPPPNQDTPTSPTAFTCAATAKYIAAVTAATPSITFHRIPQISLPTVLHPQALNFELCRATTHHFRRILNLISHFSNLKAVVLDFMNHTAARVTDALQIPTYFYYTSGASTLAILFQQIIIHESTTKSMKDLNMHITIPGVPTIHTDDLPDTGKDRQSESCQIFIDIGKCMRDSCGVIVNSCDAIEGRVMEAFNQGLMEGTTPPVFCVGPVISSEPCKGDDNGCLSWLDSQPSQSVVFLSFGSMGRFSRKQLREIAIGLEKSEQRFLWVVRSEFEEGDSGEPPSLDELLPEGFLERTKEKGMVVRDWAPQAAILSHESVGGFVTHCGWNSVLEGVWEGVPMVAWPLYAEQKLNKVILVEEMKVGLGVKRNKEGLVSSTELGERVKELMDSDRGKEIRQRIFKMKISAKEATSEGGSSVVALNELVQKWKAH >Vigun01g159401.1.v1.2 pep primary_assembly:ASM411807v1:1:34108074:34109647:-1 gene:Vigun01g159401.v1.2 transcript:Vigun01g159401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTSSVGMNDVRRGLIHGLPGSKYHLERYTLLKIGVTSLFVEPMLAMLVRLISIVAKAWCRTLSSFNSSICEDGFI >Vigun09g030700.5.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMTQVFLIVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKVFVVLHQLQIFTLGRPLPEKPLIYPPGDLPANSRAQTSGLDLSGPKPGTEINLVNAVPCRISFERGKERHFSFLAISVGTSGWLVLAEELPLKKPFGVVRVAAPLAGCNPKIDDKHSRWLHLRIRPSSLPILDPAKFNTHGKLKTKAFVDGRWTLAFRDEESCKSAFCMIVEEINFLCDEVHRRLKPLLNLETSLNLAGLADEDSSSNTTPRNSV >Vigun09g030700.6.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSRDRFTLDHLRYLTDQLTKVQIVSEVNKDFVIEALRSIAELITYGDQHDPSFFEFFMEKQVVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKELDESMLDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKLMLCLVEFLSNGAKSAIFPF >Vigun09g030700.4.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMTQVFLIVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKELDESMLDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKVFVVLHQLQIFTLGRPLPEKPLIYPPGDLPANSRAQTSGLDLSGPKPGTEINLVNAVPCRISFERGKERHFSFLAISVGTSGWLVLAEELPLKKPFGVVRVAAPLAGCNPKIDDKHSRWLHLRIRPSSLPILDPAKFNTHGKLKTKAFVDGRWTLAFRDEESCKSAFCMIVEEINFLCDEVHRRLKPLLNLETSLNLAGLADEDSSSNTTPRNSV >Vigun09g030700.3.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSRDRFTLDHLRYLTDQLTKVQIVSEVNKDFVIEALRSIAELITYGDQHDPSFFEFFMEKQVVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKVFVVLHQLQIFTLGRPLPEKPLIYPPGDLPANSRAQTSGLDLSGPKPGTEINLVNAVPCRISFERGKERHFSFLAISVGTSGWLVLAEELPLKKPFGVVRVAAPLAGCNPKIDDKHSRWLHLRIRPSSLPILDPAKFNTHGKLKTKAFVDGRWTLAFRDEESCKSAFCMIVEEINFLCDEVHRRLKPLLNLETSLNLAGLADEDSSSNTTPRNSV >Vigun09g030700.1.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSRDRFTLDHLRYLTDQLTKVQIVSEVNKDFVIEALRSIAELITYGDQHDPSFFEFFMEKQVVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKELDESMLDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKVFVVLHQLQIFTLGRPLPEKPLIYPPGDLPANSRAQTSGLDLSGPKPGTEINLVNAVPCRISFERGKERHFSFLAISVGTSGWLVLAEELPLKKPFGVVRVAAPLAGCNPKIDDKHSRWLHLRIRPSSLPILDPAKFNTHGKLKTKAFVDGRWTLAFRDEESCKSAFCMIVEEINFLCDEVHRRLKPLLNLETSLNLAGLADEDSSSNTTPRNSV >Vigun09g030700.2.v1.2 pep primary_assembly:ASM411807v1:9:2581462:2599380:-1 gene:Vigun09g030700.v1.2 transcript:Vigun09g030700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRYLTDQLTKVQIVSEVNKDFVIEALRSIAELITYGDQHDPSFFEFFMEKQVVGEFVRILKLSKSISIPLQLLQTVSIMIQNLRSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAISGKLNNSTISLLVKTHDEEVVSFPLYVEAIRFAFHEENMVRTAVRTVTLNVYHVGDECVNRYITSAPHTEYFSNLVSFFRNQCMDLNKLVSETLKNQGSGSTSAIIAAVDEIEDNLYYFSDVISAGIPDVGRLITDSILMLLVFPLLLPSLRTVDSNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALFYPFETFTKFSGGKVNGYTSDSSFTSVSQEPDDNSAKYKAEYLTVEVPNSTSSSDLYLESVVSENNRSSSNLTLREVLLAYVTKGDDVQVLGSLSVLATLLQTKELDESMLDKLGILPQRKQHKKQLLQALVGEASGEEQLFSSENSSTRDSISCELNTYLEKIKELYGLSFLCSDLVTSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSEAEFNSQHLELLQVSYKNSAAALVKEVRGFWPDLLITVLCNEWKKCKRAMESSYPPKEPKSVLFPSPILSSEDDIPEGSSFAAGERLLELSKVFVVLHQLQIFTLGRPLPEKPLIYPPGDLPANSRAQTSGLDLSGPKPGTEINLVNAVPCRISFERGKERHFSFLAISVGTSGWLVLAEELPLKKPFGVVRVAAPLAGCNPKIDDKHSRWLHLRIRPSSLPILDPAKFNTHGKLKTKAFVDGRWTLAFRDEESCKSAFCMIVEEINFLCDEVHRRLKPLLNLETSLNLAGLADEDSSSNTTPRNSV >Vigun03g056400.1.v1.2 pep primary_assembly:ASM411807v1:3:4588334:4588975:-1 gene:Vigun03g056400.v1.2 transcript:Vigun03g056400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTILGFMLLLVLIFASDEGVQRTEAKECEVTAKIFKGQCIIEKDCEIICKLQGFPTGRCENQQCMCIQPNC >Vigun09g200400.1.v1.2 pep primary_assembly:ASM411807v1:9:37483138:37485696:1 gene:Vigun09g200400.v1.2 transcript:Vigun09g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVGPAFTIDVPADGNSEDRIFLNGSPENDRSNFRISGPPKFFAGGESESSSSIGTPDDSDNEEEEVQSKLKIRTGLGSLDAMEDSLPIKRGLSSHFDGKSKSFSDLSQVSTLKDLKELQKQESPFNKRRRVLIASKWSRRSSFYSWSNPQSMPLLPVDEDRDDDDDDEDEEEEEEKARKVPSASSSSSSSLAEEKKPEDQVQLRLNRVPESYAAHMRLRLGSFKARSFSLADLQEHDDEEEDED >Vigun02g014133.1.v1.2 pep primary_assembly:ASM411807v1:2:5249360:5251463:-1 gene:Vigun02g014133.v1.2 transcript:Vigun02g014133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGHGIAFIQLYHSSDRSNTGKEKQKRKKEYWKEVVTGCSYNESQEDFKRSKELPIPTKPYILNSFSSLAIAWLVYFLSKKMEEDTHSNIYSVPYINLPALRVENISDLNLDIMEQQNIEEDSKRMQLQNVEKQFETTEHRNVEEHLETIELHNDFMQPQNMFMEVQNHQEHLDIMKLLQNTAIELERQNALLYLESMALENILLEEQNVRKKLIAMELLKRIMEKHYGQERSETMGQQQNDEVYLTTSEPQNVQKLFFTKEQEKVQGHLVTVEPLEQQYFQMDQQNDEYPLLSMEQQHHLIDILTVEEQNVLATMKLKNVTEQFAIMELQNVQGNFAAKEEQNVQEHSDIMKLKNVLMEIKNTLMEEQNDREEHLDYMKLQKIFMEFQNIVTEGQNAPECLEIMTLQNIPVEEQNVAEQLATAKQQKVSATVKQKNVAEKSRRLKLQTGRLRGLFQKAQDPWNIKKQLQEHDLKRGSCCL >Vigun07g217600.1.v1.2 pep primary_assembly:ASM411807v1:7:33961366:33972263:1 gene:Vigun07g217600.v1.2 transcript:Vigun07g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGEDEDLQMAIRMSMQRATPETKRSKPQDAAAGAVSESSEDSPESKTRRRELMAAAAEKRIAAAVRVLPSSLPPGKKSGELGRREELRLKSVNSSKELSAEEANQLFSMVFGNEVSKGILAQWSNQGIRFSSDPVTSMGLVQHEGGPCGVLAAIQAFVLKHIIFFSDELKDVPCLPQKGLGASFKSLSVPSYTFSSLPEGVKVRALVRSMAEILFSCGSNRRAVIATLSFPENHIPRFEGISEDEVIAKSLQGLSIESAVDLQKVLRVETCTSQTTALQRLEANLPFFQSRMGALLFLISALLSRGLDLVQSDRDDPSLPLVTAPFGHASQEIVNLLLCGEAVPNVFDGRMDLGGGMFLKGISRDVEVGFLTLLESLNFCKVGQHLKSPKWPIWVVGSESHYTVLFALDPSVQSENELEGRETQIRKAFDAQDQSGGGGFISVEGFHQVLRETDIKFPPEKLEHLCSAGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDLYHFNGIAKSDLNGSQVNSAGETPLQRPRLTKLRVSVPPRWTPEEFMADVKVSSAPSASDSAGKDNEVSKPEPSQHAPLVDCIRTRWARATCSWFGDPPSIV >Vigun07g188566.2.v1.2 pep primary_assembly:ASM411807v1:7:30558128:30559466:1 gene:Vigun07g188566.v1.2 transcript:Vigun07g188566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASSSPCLSLPSVSHLHYHLPSSFPCPSLHLSFPSQATPLRLHTPPPLSCSLNSSSSVEQSASLSQMQNSCLTPELIGSLNLSLLGTWTLQMGFLAN >Vigun07g188566.1.v1.2 pep primary_assembly:ASM411807v1:7:30558128:30559466:1 gene:Vigun07g188566.v1.2 transcript:Vigun07g188566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASSSPCLSLPSVSHLHYHLPSSFPCPSLHLSFPSQATPLRLHTPPPLSCSLNSSSSVEQSASLSQMQNSCLTPELIGSLNLSLLGTWTLQMGFLAN >Vigun03g416700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62356964:62358350:-1 gene:Vigun03g416700.v1.2 transcript:Vigun03g416700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQAFVALVAGVTGMAGLSLAQALKEPNCPGGPWKVYGAARRPPPSWFPPSTVDHFITFDAVDSSDTHAKLSPFASEVTHLFWISLQVRADEEDNVRINKTMLLNVLTALKSCTHSKLAHVTVQTGTQHYMGPIHDPVLSTKLISHDPPFHESMARLPYPNFYYALEDLVESYAPSFTYSVHRSSIIVGASSRSIYNSLLTLATYAVICRHVGLAFRYPGTKYTWEHFCDMTDAGVLAQQHVWAAVTPETRNEAFNCTNGDVFTWKSIWKLLCELFDVEFVPFDETQTFDVVELMRDKGSIWKEIVEKYELHNTILEEITCYDALQAVLRFKFQHVSSMNKSREYGFFGHVDTFKSIRFWVEKLREMKIIPSYQH >Vigun04g174400.1.v1.2 pep primary_assembly:ASM411807v1:4:39864904:39867638:-1 gene:Vigun04g174400.v1.2 transcript:Vigun04g174400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFTISFLYVVLFVSFKSNMVLCVEIEEFFPEERDALILIRDSLNSSVNLHGNWTGPPCINNLSRWIGITCSNWHVVQIVLEGVNLSGFLPPTFLQNITLLSQLDFRNNALFGSLPSLKNLVFLEQALLSFNHFSGSIPVEYVELTSLRVLELQENYLHGQIPPFDQPSLTSFNVSYNHLSGPIPETSVLLRFPESSYGNNSDLCGEPLDKLCPIEPPAPSPAPFSAPPPFAMPPIPAMKPNNRFQAWSIAVIGAAAALILLSLIMLIAFLFRKRQTSGKEVRRNDSAGHVFGAWAKKMVAYAGGSDVSERLGRLEFSNKKLPVFDLDDLLRASAEILGRGNLGITYKATLETGTVVAVKRLNHMNELSKKEFLQQIQLLGQMKHENIAEIISFYYSEEQKLVIYEFTSDGTLFELLHEGRGVGRMPLDWTTRLSIIKDIAKGLLFLHHSLPSQKVPHANLKSSNVLIHQDTKGYHSKLTDYGFLPLLPAKQNAEKLAIRRSPEFVQGKKLTRYADVYCFGIIVLEIVTGKIPGHIIGEIEETTNDLSDWVRTVVNNDWSTDILDLEILAEKEGHDAMLKLTELALECTDMTPEKRPKMSVVLVRIEEIEKMKKEND >Vigun10g114600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31785401:31787259:1 gene:Vigun10g114600.v1.2 transcript:Vigun10g114600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGHQVFGFGFVVVGLWHLFNNVKLHALSSKSFTSTLWFPTTKSRYLELYFVMACSTIFISLELFISPVHHQPFDPDGTIPTNHLHNFEHSSMAMSFLVYAFFALVLDAKCSKAQHELTHLLGALAFSQEYLLIHFHSSNHIGPENQYHYFLQLLILVSIITTLMGIGYPKSFLLGFVRSVNIVFLGVWLIVTGFLLYTPGFQSKGCVSHVKEYKVTCSDDKARHRAVALVNIQFSWLLIGITIFAMCFYLVLVRIYGEKVEYVSLSKEECYLEEDESNIDIECQKKSIQGKV >Vigun10g114600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:31785401:31787259:1 gene:Vigun10g114600.v1.2 transcript:Vigun10g114600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGHQVFGFGFVVVGLWHLFNNVKLHALSSKSFTSTLWFPTTKSRYLELYFVMACSTIFISLELFISPVHHQPFDPDGTIPTNHLHNFEHSSMAMSFLVYAFFALVLDAKCSKAQHELTHLLGALAFSQEYLLIHFHSSNHIGPENQYHYFLQLLILVSIITTLMGIGYPKSFLLGFVRSVNIVFLGVWLIVTGFLLYTPGFQSKGCVSHVKEYKVTCSDDKARHRAVALVNIQFSWLLIGITIFAMCFYLVLVRIYGEKVEYVSLSKEECYLEEDESNIDIECQKKSIQGKV >Vigun07g068700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:8305338:8306510:1 gene:Vigun07g068700.v1.2 transcript:Vigun07g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWGTLFVLLVLVTLHASAARNVPRGGGENEEQTLGVHATASQTESSRSQGVGEKKNFLVGGVGGFAGMGGFVGGGAGGIGKVGGIGGGVAGGIGKFGGIGGGIGKFGGIGGGAGIGGFHGIGAGAGIGGFHGIGGGVGGAGGAGGLGGVGGLGGAGGGVGGLGGVGGLGGAGGGVGGLGGVGGLGGAGGVGGLGGLGGLGGGAGGVGGLGGGAGGGLGGAAGGLGGVGGVGGVGGGVGGGGLGGVAGLGN >Vigun03g025400.1.v1.2 pep primary_assembly:ASM411807v1:3:1890876:1893170:-1 gene:Vigun03g025400.v1.2 transcript:Vigun03g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAAKREKTVMGCCLRGSSIPATASVGEDSGLKQEVLIQVPACRVHLMDEGEALELAQGHFTIVKTMEENVSLATIIKVGDDLQWPLTKDEPVVKLGALHYLFSLPVKDGEPLSYGVTFSEATLGSLSSLDSFLKDHSCFSGFNLNKKNNLDWREFAPKVDNYNHFLAKAIAGGTGQIVKGIFICSNAYTNKVQKGGETILNSSADEKNGVVVASESMNNKTFSASKKNKINKNLKRVRKLSKMTEKLSKSVLNGVGIVSGSVMNPVLKSQPGKAFLKMVPGEVLLASLDAVNKVLDAAEAAEKQTFSATSKAASRAVSNRFGESAGEATEHVFATAGHAANTAWNVFKIRKALTPASSATNGVLKKAAKVPTFK >Vigun10g116400.1.v1.2 pep primary_assembly:ASM411807v1:10:32144475:32150248:1 gene:Vigun10g116400.v1.2 transcript:Vigun10g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGDLPLPPTPSNGGEFLLSLIQRPHQHHSHPRQQSPAIDPAVAVVGPTIPVGSPQWQIAGADHQHPLPLPHHLPPWSHTLSSPLYPPNFFGLPHNPFPPPRTHFPVNPNSVTNGVNVNAGLTHDLRKLGFPIEEKNSKVDGFVQQQELKLQFGSLPTVAYSASEVSPNVDSLLNLNFNRGYNGFDRNLHVDHPSSNSSGNVVLQGNHDVVDRERRGLEGYTASGSLSHEASRVPPGFGNRNRGKGLEGRKDGRVGGGEMGGGGGGRIENLYGKREGVRMVSGERSNVRGNVVRGMGLVDQLDRPGPPAGSNLHSSVVNETGGSGAHVDVLGEQLADSLLIEDDSDDRTNSRQRRATREKDARSSDSRGQQILSQRARMYKRQLVCRRDIDVLNVPFLAIYESLIPPEEEKQKQRQLVALLEKLVSKEWPAAKLYLYGSCANSFGVSKSDIDVCLAIDEADMDKAKIIMKLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNLLAVVNTKLLRDYALIDPRLRQLAFIIKHWAKSRRVNETYHGTLSSYAYVLMCIHYLQMRRPAILPCLQEMETTYSVTVDDISCAFFDEVEKLGDFGRHNKETIAQLVRGFFHYWAYCHDYANSVISVRTGSIISKREKDWTRRIGNDRHLICIEDPFETSHDLGRVVDKYSIKVLREEFERAAEIMQNDPNPCIKLFEPYVPI >Vigun10g122800.5.v1.2 pep primary_assembly:ASM411807v1:10:33048855:33066093:1 gene:Vigun10g122800.v1.2 transcript:Vigun10g122800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSSPRAKEWLKKQLIQLNLYEAKVSEVEDVVEKLKKKRDTIQHIVEEEERRHGRRIHVEVKEWIENVDKLILAYKDFHEDEICHKYAVFDFLDSGYLPKPGIRYHRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYPSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGAVLEEESDISRAARIHQILNDENKSTLIILDDLWEKVNFNLLGIPHELEKDDGVTNVKGKSLDVDILKNVNDGESPVLDGSTSFRRGTLQGEGSKNVNKGKSSSVADSMNVKKGEFFGGDLNNVNEGKSLLDASGRLKTEKTVPQYKGCKILMISEIKQVLLSQMEGKEKSIFPVEVLKEKEAEMLFNKKAGIGDNNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLAGAPEFSTKLSYDLLEDEELRYTFLLCARMGHDALIMDLVKYCIGLDFLRGINTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKEKVDEWPDELERYAAISLHHCNFTKEFPGTINYPRLRVLEIVNSIPRPKIPKNFFKGVKELRVLLLIGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELEKLRILSFSGSDIKNLPDELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPEKYETLKLLAVQLKNGSDIHSLKGIKMLFEGVENLFLELNTVHEKHNSVREAHNIVHDLFYRLNLKGFSYLKHLWIVNNSTIQSLINPKDRQQPEKAFPKLESLSLHNLKIYEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVRLLKFLETIEVSECNSLKEIIDVGPQNKIELPMLPELRNLRLQSLSEFVGFDVIPQIEREERKLFHEKVGISKLERLELSSIQIDVIWSVEQSSKRSSFENLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCEKMGSIFLLEQDREKDITVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLFRLMDTEILYSFLHRNPNLRSLSLNYCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLKEIGFEPDIILERLEFLILRNCPCMITVAPSSVSFTRLTNLEVVDCDGLQSLMSASTAKTLVQLNILKVVKCEFLMEIVRKDGEKSDRVVFKKLKALELVSLKKLKSFCVSDCDFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSEHQELQETWQHGGGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNAEEGTGSTFHLEKLALEKLPKLKDVWESNGKGTESFQNLRLVNVNECEKLQSVFPLTLAKNLKKLEKLEILYCHRLHEIVRKEDDTEAVFFFPCVTTLYLFDLPDLVYFYPEAFTLDCSALNSLIVLSCPALKLFGSANKQSIFFDLKDICNLEVLILDWEHTLVLRTKLGEPKDNLKYLNDIKLFFGDDENERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPKNVKERVLTHLKTLKLYNVSKLQSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPLVSFRYVKEMYIDRCKELKYLFTLSSVNKLENLEHIEVSNCKSMQAIVFKEDDDISEEIKLQQLKRVHIYCLSSLECFYSGYETLRLPSLMLVDIWKCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDMVFYHDLNSSVDKVFLQQEFFQAVDKKCFSDNLELQADPHCKTGLQNKWLANLETLKLENCKLSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELKHVWEKYTSRILIFPNLQEVVVSDCAKLQTLFPASLAKSLKDLKKVKIDSCENLQDFVEQEETTFVTEKFVFPCVEDLELNDLPQVTCPKTFTLEFPSVKFVSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDWKQVLALSLGFTSQKSTKGLRNLNCISLSFFRAKENEMPVLPVEILKAPNLIEMGINNCDSIENFLAQNPNIGEEEMLRQLTILRLCNVSTTQFFELNYCSSLNMICERLHKLIVSQCPHLTAILGVRSAVSFSCLKELNIYKCSNLKYLFTTSAAKRFMNLEEISVIECESLTKIVAKEGNATSEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKTVAVWSCPNMEFFSHRIQSLMGVTVSMDPEADDLPPSRDINTIIKRISERKEFFEAVDKECFLDNLELQEDPHCKSGLQNKWLRDLISLKLQNCTLPCAIPSVILSLLKCLKELEVRDSATVEVLFYMNDNEIVQIASQLRILALKGLSKLTHVWEKKKNGVLMFPNLQQVVVSNCKKLETLFPASLAKNLKSLKGIEIKDCSKFREIVEKEEATEAKFVLPCLERLILSSLPQLSCFYPQTFTLECPALNILSVFECERLELFQSEHSMGEGTSVKREPLISSLEVISNLKELELDWKQILALRSRFRSEKFTGVFKCINKMHLLSYGDKSEMTIVLNEILHKAPNLTEMIIQVLNCKNPEIFHAQNPKVCEDGMLLQLRILTLFNVSAIMSIQSENSSWLNTICENLHELNVPSC >Vigun10g122800.3.v1.2 pep primary_assembly:ASM411807v1:10:33048855:33066093:1 gene:Vigun10g122800.v1.2 transcript:Vigun10g122800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSSPRAKEWLKKQLIQLNLYEAKVSEVEDVVEKLKKKRDTIQHIVEEEERRHGRRIHVEVKEWIENVDKLILAYKDFHEDEICHKYAVFDFLDSGYLPKPGIRYHRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYPSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGAVLEEESDISRAARIHQILNDENKSTLIILDDLWEKVNFNLLGIPHELEKDDGVTNVKGKSLDVDILKNVNDGESPVLDGSTSFRRGTLQGEGSKNVNKGKSSSVADSMNVKKGEFFGGDLNNVNEGKSLLDASGRLKTEKTVPQYKGCKILMISEIKQVLLSQMEGKEKSIFPVEVLKEKEAEMLFNKKAGIGDNNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLAGAPEFSTKLSYDLLEDEELRYTFLLCARMGHDALIMDLVKYCIGLDFLRGINTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKEKVDEWPDELERYAAISLHHCNFTKEFPGTINYPRLRVLEIVNSIPRPKIPKNFFKGVKELRVLLLIGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELEKLRILSFSGSDIKNLPDELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPEKYETLKLLAVQLKNGSDIHSLKGIKMLFEGVENLFLELNTVHEKHNSVREAHNIVHDLFYRLNLKGFSYLKHLWIVNNSTIQSLINPKDRQQPEKAFPKLESLSLHNLKIYEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVRLLKFLETIEVSECNSLKEIIDVGPQNKIELPMLPELRNLRLQSLSEFVGFDVIPQIEREERKLFHEKVGISKLERLELSSIQIDVIWSVEQSSKRSSFENLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCEKMGSIFLLEQDREKDITVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLFRLMDTEILYSFLHRNPNLRSLSLNYCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLKEIGFEPDIILERLEFLILRNCPCMITVAPSSVSFTRLTNLEVVDCDGLQSLMSASTAKTLVQLNILKVVKCEFLMEIVRKDGEKSDRVVFKKLKALELVSLKKLKSFCVSDCDFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSEHQELQETWQHGGGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNAEEGTGSTFHLEKLALEKLPKLKDVWESNGKGTESFQNLRLVNVNECEKLQSVFPLTLAKNLKKLEKLEILYCHRLHEIVRKEDDTEAVFFFPCVTTLYLFDLPDLVYFYPEAFTLDCSALNSLIVLSCPALKLFGSANKQSIFFDLKDICNLEVLILDWEHTLVLRTKLGEPKDNLKYLNDIKLFFGDDENERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPKNVKERVLTHLKTLKLYNVSKLQSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPLVSFRYVKEMYIDRCKELKYLFTLSSVNKLENLEHIEVSNCKSMQAIVFKEDDDISEEIKLQQLKRVHIYCLSSLECFYSGYETLRLPSLMLVDIWKCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDMVFYHDLNSSVDKVFLQQEFFQAVDKKCFSDNLELQADPHCKTGLQNKWLANLETLKLENCKLSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELKHVWEKYTSRILIFPNLQEVVVSDCAKLQTLFPASLAKSLKDLKKVKIDSCENLQDFVEQEETTFVTEKFVFPCVEDLELNDLPQVTCPKTFTLEFPSVKFVSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDWKQVLALSLGFTSQKSTKGLRNLNCISLSFFRAKENEMPVLPVEILKAPNLIEMGINNCDSIENFLAQNPNIGEEEMLRQLTILRLCNVSTTQFFELNYCSSLNMICERLHKLIVSQCPHLTAILGVRSAVSFSCLKELNIYKCSNLKYLFTTSAAKRFMNLEEISVIECESLTKIVAKEGNATSEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKTVAVWSCPNMEFFSHRIQSLMGVTVSMDPEADDLPPSRDINTIIKRISERKEFFEAVDKECFLDNLELQEDPHCKSGLQNKWLRDLISLKLQNCTLPCAIPSVILSLLKCLKELEVRDSATVEVLFYMNDNEIVQIASQLRILALKGLSKLTHVWEKKKNGVLMFPNLQQVVVSNCKKLETLFPASLAKNLKSLKGIEIKDCSKFREIVEKEEATEAKFVLPCLERLILSSLPQLSCFYPQTFTLECPALNILSVFECERLELFQSEHSMGEGTSVKREPLISSLEVISNLKELELDWKQILALRSRFRSEKFTGVFKCINKMHLLSYGDKSEMTIVLNEILHKAPNLTEMIIQVLNCKNPEIFHAQNPKVCEDGMLLQLRILTLFNVSAIMSIQSENSSWLNTICENLHELNVPSC >Vigun10g122800.1.v1.2 pep primary_assembly:ASM411807v1:10:33048855:33066092:1 gene:Vigun10g122800.v1.2 transcript:Vigun10g122800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSSPRAKEWLKKQLIQLNLYEAKVSEVEDVVEKLKKKRDTIQHIVEEEERRHGRRIHVEVKEWIENVDKLILAYKDFHEDEICHKYAVFDFLDSGYLPKPGIRYHRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYPSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGAVLEEESDISRAARIHQILNDENKSTLIILDDLWEKVNFNLLGIPHELEKDDGVTNVKGKSLDVDILKNVNDGESPVLDGSTSFRRGTLQGEGSKNVNKGKSSSVADSMNVKKGEFFGGDLNNVNEGKSLLDASGRLKTEKTVPQYKGCKILMISEIKQVLLSQMEGKEKSIFPVEVLKEKEAEMLFNKKAGIGDNNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLAGAPEFSTKLSYDLLEDEELRYTFLLCARMGHDALIMDLVKYCIGLDFLRGINTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKEKVDEWPDELERYAAISLHHCNFTKEFPGTINYPRLRVLEIVNSIPRPKIPKNFFKGVKELRVLLLIGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELEKLRILSFSGSDIKNLPDELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPEKYETLKLLAVQLKNGSDIHSLKGIKMLFEGVENLFLELNTVHEKHNSVREAHNIVHDLFYRLNLKGFSYLKHLWIVNNSTIQSLINPKDRQQPEKAFPKLESLSLHNLKIYEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVRLLKFLETIEVSECNSLKEIIDVGPQNKIELPMLPELRNLRLQSLSEFVGFDVIPQIEREERKLFHEKVGISKLERLELSSIQIDVIWSVEQSSKRSSFENLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCEKMGSIFLLEQDREKDITDNIFPKLKNMKLRNMNSLSKIWNPKLPSDSFSKLDTLIIEECHRLENVMEGTFVSICNLRVTNCRFMQAIFNIREQVGDVANNLQNVHLEALPKLKLVWKMNNEDRLGIPKFNNLKKILAQDCESLEYIFPFSVAKSLDNLESLVVCDCYGLSEIITKREANHMNRVNFNFPKLSTIKFSNLLKLTSFYPSTYDLSCPLNELSIDLCNNLEPFNSVHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLFRLMDTEILYSFLHRNPNLRSLSLNYCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLKEIGFEPDIILERLEFLILRNCPCMITVAPSSVSFTRLTNLEVVDCDGLQSLMSASTAKTLVQLNILKVVKCEFLMEIVRKDGEKSDRVVFKKLKALELVSLKKLKSFCVSDCDFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSEHQELQETWQHGGGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNAEEGTGSTFHLEKLALEKLPKLKDVWESNGKGTESFQNLRLVNVNECEKLQSVFPLTLAKNLKKLEKLEILYCHRLHEIVRKEDDTEAVFFFPCVTTLYLFDLPDLVYFYPEAFTLDCSALNSLIVLSCPALKLFGSANKQSIFFDLKDICNLEVLILDWEHTLVLRTKLGEPKDNLKYLNDIKLFFGDDENERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPKNVKERVLTHLKTLKLYNVSKLQSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPLVSFRYVKEMYIDRCKELKYLFTLSSVNKLENLEHIEVSNCKSMQAIVFKEDDDISEEIKLQQLKRVHIYCLSSLECFYSGYETLRLPSLMLVDIWKCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDMVFYHDLNSSVDKVFLQQEFFQAVDKKCFSDNLELQADPHCKTGLQNKWLANLETLKLENCKLSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELKHVWEKYTSRILIFPNLQEVVVSDCAKLQTLFPASLAKSLKDLKKVKIDSCENLQDFVEQEETTFVTEKFVFPCVEDLELNDLPQVTCPKTFTLEFPSVKFVSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDWKQVLALSLGFTSQKSTKGLRNLNCISLSFFRAKENEMPVLPVEILKAPNLIEMGINNCDSIENFLAQNPNIGEEEMLRQLTILRLCNVSTTQFFELNYCSSLNMICERLHKLIVSQCPHLTAILGVRSAVSFSCLKELNIYKCSNLKYLFTTSAAKRFMNLEEISVIECESLTKIVAKEGNATSEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKTVAVWSCPNMEFFSHRIQSLMGVTVSMDPEADDLPPSRDINTIIKRISERKEFFEAVDKECFLDNLELQEDPHCKSGLQNKWLRDLISLKLQNCTLPCAIPSVILSLLKCLKELEVRDSATVEVLFYMNDNEIVQIASQLRILALKGLSKLTHVWEKKKNGVLMFPNLQQVVVSNCKKLETLFPASLAKNLKSLKGIEIKDCSKFREIVEKEEATEAKFVLPCLERLILSSLPQLSCFYPQTFTLECPALNILSVFECERLELFQSEHSMGEGTSVKREPLISSLEVISNLKELELDWKQILALRSRFRSEKFTGVFKCINKMHLLSYGDKSEMTIVLNEILHKAPNLTEMIIQVLNCKNPEIFHAQNPKVCEDGMLLQLRILTLFNVSAIMSIQSENSSWLNTICENLHELNVPSC >Vigun10g122800.4.v1.2 pep primary_assembly:ASM411807v1:10:33048867:33066014:1 gene:Vigun10g122800.v1.2 transcript:Vigun10g122800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSSPRAKEWLKKQLIQLNLYEAKVSEVEDVVEKLKKKRDTIQHIVEEEERRHGRRIHVEVKEWIENVDKLILAYKDFHEDEICHKYAVFDFLDSGYLPKPGIRYHRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYPSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGAVLEEESDISRAARIHQILNDENKSTLIILDDLWEKVNFNLLGIPHELEKDDGVTNVKGKSLDVDILKNVNDGESPVLDGSTSFRRGTLQGEGSKNVNKGKSSSVADSMNVKKGEFFGGDLNNVNEGKSLLDASGRLKTEKTVPQYKGCKILMISEIKQVLLSQMEGKEKSIFPVEVLKEKEAEMLFNKKAGIGDNNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLAGAPEFSTKLSYDLLEDEELRYTFLLCARMGHDALIMDLVKYCIGLDFLRGINTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKEKVDEWPDELERYAAISLHHCNFTKEFPGTINYPRLRVLEIVNSIPRPKIPKNFFKGVKELRVLLLIGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELEKLRILSFSGSDIKNLPDELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPEKYETLKLLAVQLKNGSDIHSLKGIKMLFEGVENLFLELNTVHEKHNSVREAHNIVHDLFYRLNLKGFSYLKHLWIVNNSTIQSLINPKDRQQPEKAFPKLESLSLHNLKIYEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVRLLKFLETIEVSECNSLKEIIDVGPQNKIELPMLPELRNLRLQSLSEFVGFDVIPQIEREERKLFHEKVGISKLERLELSSIQIDVIWSVEQSSKRSSFENLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCEKMGSIFLLEQDREKDITDNIFPKLKNMKLRNMNSLSKIWNPKLPSDSFSKLDTLIIEECHRLENVMEGTFVSICNLRVTNCRFMQAIFNIREQVGDVANNLQNVHLEALPKLKLVWKMNNEDRLGIPKFNNLKKILAQDCESLEYIFPFSVAKSLDNLESLVVCDCYGLSEIITKREANHMNRVNFNFPKLSTIKFSNLLKLTSFYPSTYDLSCPLNELSIDLCNNLEPFNSVHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLFRLMDTEILYSFLHRNPNLRSLSLNYCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLKEIGFEPDIILERLEFLILRNCPCMITVAPSSVSFTRLTNLEVVDCDGLQSLMSASTAKTLVQLNILKVVKCEFLMEIVRKDGEKSDRVVFKKLKALELVSLKKLKSFCVSDCDFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSEHQELQETWQHGGGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNAEEGTGSTFHLEKLALEKLPKLKDVWESNGKGTESFQNLRLVNVNECEKLQSVFPLTLAKNLKKLEKLEILYCHRLHEIVRKEDDTEAVFFFPCVTTLYLFDLPDLVYFYPEAFTLDCSALNSLIVLSCPALKLFGSANKQSIFFDLKDICNLEVLILDWEHTLVLRTKLGEPKDNLKYLNDIKLFFGDDENERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPKNVKERVLTHLKTLKLYNVSKLQSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPLVSFRYVKEMYIDRCKELKYLFTLSSVNKLENLEHIEVSNCKSMQAIVFKEDDDISEEIKLQQLKRVHIYCLSSLECFYSGYETLRLPSLMLVDIWKCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDMVFYHDLNSSVDKVFLQQEFFQAVDKKCFSDNLELQADPHCKTGLQNKWLANLETLKLENCKLSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELKHVWEKYTSRILIFPNLQEVVVSDCAKLQTLFPASLAKSLKDLKKVKIDSCENLQDFVEQEETTFVTEKFVFPCVEDLELNDLPQVTCPKTFTLEFPSVKFVSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDWKQVLALSLGFTSQKSTKGLRNLNCISLSFFRAKENEMPVLPVEILKAPNLIEMGINNCDSIENFLAQNPNIGEEEMLRQLTILRLCNVSTTQFFELNYCSSLNMICERLHKLIVSQCPHLTAILGVRSAVSFSCLKELNIYKCSNLKYLFTTSAAKRFMNLEEISVIECESLTKIVAKEGNATSEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKTVAVWSCPNMEFFSHRIQSLMGVTVSMDPEADDLPPSRDINTIIKRISERKEFFEAVDKECFLDNLELQEDPHCKSGLQNKWLRDLISLKLQNCTLPCAIPSVILSLLKCLKELEVRDSATVEVLFYMNDNEIVQIASQLRILALKGLSKLTHVWEKKKNGVLMFPNLQQVVVSNCKKLETLFPASLAKNLKSLKGIEIKDCSKFREIVEKEEATEAKFVLPCLERLILSSLPQLSCFYPQTFTLECPALNILSVFECERLELFQSEHSMGEGTSVKREPLISSLEVISNLKELELDWKQILALRSRFRSEKFTGVFKCINKMHLLSYGDKSEMTIVLNEILHKAPNLTEMIIQVLNCKNPEIFHAQNPKVCEDGMLLQLRILTLFNVSAIMSIQSENSSWLNTICENLHELNVPSC >Vigun10g122800.2.v1.2 pep primary_assembly:ASM411807v1:10:33048855:33066093:1 gene:Vigun10g122800.v1.2 transcript:Vigun10g122800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIGCYQFLTSSPRAKEWLKKQLIQLNLYEAKVSEVEDVVEKLKKKRDTIQHIVEEEERRHGRRIHVEVKEWIENVDKLILAYKDFHEDEICHKYAVFDFLDSGYLPKPGIRYHRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYPSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKNPDIRKIQGQIADMLGAVLEEESDISRAARIHQILNDENKSTLIILDDLWEKVNFNLLGIPHELEKDDGVTNVKGKSLDVDILKNVNDGESPVLDGSTSFRRGTLQGEGSKNVNKGKSSSVADSMNVKKGEFFGGDLNNVNEGKSLLDASGRLKTEKTVPQYKGCKILMISEIKQVLLSQMEGKEKSIFPVEVLKEKEAEMLFNKKAGIGDNNSEYDKLAAQIASKCKGLPMTIVTTARALKNKSLSVWEETNRKLESQNLAGAPEFSTKLSYDLLEDEELRYTFLLCARMGHDALIMDLVKYCIGLDFLRGINTARETRDRVYTLVAKLKESGLLSDSYSSDHFTMPDTVRRAALSIAYKENHLFTMTKEKVDEWPDELERYAAISLHHCNFTKEFPGTINYPRLRVLEIVNSIPRPKIPKNFFKGVKELRVLLLIGIHLPLIDSSISSLHKLRMLCLEQCCMLDEELSIIGELEKLRILSFSGSDIKNLPDELNELKMLQIFDISNCSKLKKIPYGVISSLVSLEELYMRNTLIQWEDEEQTRQSKIAILSDLKHLNQLTTLDIQIPNVSYLPKNLFFDKLDSYKIVIGDLSSFLETDFKMPEKYETLKLLAVQLKNGSDIHSLKGIKMLFEGVENLFLELNTVHEKHNSVREAHNIVHDLFYRLNLKGFSYLKHLWIVNNSTIQSLINPKDRQQPEKAFPKLESLSLHNLKIYEICSCKLSEPSFGKLKVIKINLCGELKNVFSISMVRLLKFLETIEVSECNSLKEIIDVGPQNKIELPMLPELRNLRLQSLSEFVGFDVIPQIEREERKLFHEKVGISKLERLELSSIQIDVIWSVEQSSKRSSFENLTHLDVNGCWKLKYLMSFTMAKSLVNLQSLYVSDCEKMGSIFLLEQDREKDITDNIFPKLKNMKLRNMNSLSKIWNPKLPSDSFSKLDTLIIEECHRLENVMEGTFVSICNLRVTNCRFMQAIFNIREQVGDVANNLQNVHLEALPKLKLVWKMNNEDRLGIPKFNNLKKILAQDCESLEYIFPFSVAKSLDNLESLVVCDCYGLSEIITKREANHMNRVNFNFPKLSTIKFSNLLKLTSFYPSTYDLSCPLNELSIDLCNNLEPFNSVHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLFRLMDTEILYSFLHRNPNLRSLSLNYCFFEKIVPPKEDTEIENLGVVPNLKSLMLIDLPNLKEIGFEPDIILERLEFLILRNCPCMITVAPSSVSFTRLTNLEVVDCDGLQSLMSASTAKTLVQLNILKVVKCEFLMEIVRKDGEKSDRVVFKKLKALELVSLKKLKSFCVSDCDFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSEHQELQETWQHGGGMQKQNSWFYSLKILKLENCEIQPCAIPSNILPYLRTLKELQVRGCNNVEVIFEMNAEEGTGSTFHLEKLALEKLPKLKDVWESNGKGTESFQNLRLVNVNECEKLQSVFPLTLAKNLKKLEKLEILYCHRLHEIVRKEDDTEAVFFFPCVTTLYLFDLPDLVYFYPEAFTLDCSALNSLIVLSCPALKLFGSANKQSIFFDLKDICNLEVLILDWEHTLVLRTKLGEPKDNLKYLNDIKLFFGDDENERPDLPIQILQKMPNLTKMSINYCSCLEVFQTQIPKNVKERVLTHLKTLKLYNVSKLQSIGSEDSPWLNVICDSEKLQKLYVFTCPDLKTLVHSTPLVSFRYVKEMYIDRCKELKYLFTLSSVNKLENLEHIEVSNCKSMQAIVFKEDDDISEEIKLQQLKRVHIYCLSSLECFYSGYETLRLPSLMLVDIWKCPKMEFFSGGEIHLNSSFRGIRVSNVLSDDMVFYHDLNSSVDKVFLQQEFFQAVDKKCFSDNLELQADPHCKTGLQNKWLANLETLKLENCKLSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELKHVWEKYTSRILIFPNLQEVVVSDCAKLQTLFPASLAKSLKDLKKVKIDSCENLQDFVEQEETTFVTEKFVFPCVEDLELNDLPQVTCPKTFTLEFPSVKFVSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDWKQVLALSLGFTSQKSTKGLRNLNCISLSFFRAKENEMPVLPVEILKAPNLIEMGINNCDSIENFLAQNPNIGEEEMLRQLTILRLCNVSTTQFFELNYCSSLNMICERLHKLIVSQCPHLTAILGVRSAVSFSCLKELNIYKCSNLKYLFTTSAAKRFMNLEEISVIECESLTKIVAKEGNATSEAIKFERLHTIHLQSLTSLVCFYSGSDTLQLSSLKTVAVWSCPNMEFFSHRIQSLMGVTVSMDPEADDLPPSRDINTIIKRISERKEFFEAVDKECFLDNLELQEDPHCKSGLQNKWLRDLISLKLQNCTLPCAIPSVILSLLKCLKELEVRDSATVEVLFYMNDNEIVQIASQLRILALKGLSKLTHVWEKKKNGVLMFPNLQQVVVSNCKKLETLFPASLAKNLKSLKGIEIKDCSKFREIVEKEEATEAKFVLPCLERLILSSLPQLSCFYPQTFTLECPALNILSVFECERLELFQSEHSMGEGTSVKREPLISSLEVISNLKELELDWKQILALRSRFRSEKFTGVFKCINKMHLLSYGDKSEMTIVLNEILHKAPNLTEMIIQVLNCKNPEIFHAQNPKVCEDGMLLQLRILTLFNVSAIMSIQSENSSWLNTICENLHELNVPSC >Vigun08g157450.1.v1.2 pep primary_assembly:ASM411807v1:8:33003072:33004144:-1 gene:Vigun08g157450.v1.2 transcript:Vigun08g157450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEYERIWHLKSCAFDSFCSEDLLAMDVYCGGNGGRLLFGMTQKSLIGCSLSPFITFPFTIILMWSIWNGYSLSNWLSEGTRISQECYGVDVQMVLGNYVDCEANGFYLPALWDERG >Vigun01g120400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:29710620:29710814:-1 gene:Vigun01g120400.v1.2 transcript:Vigun01g120400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNFNKFMADLCVTDMNRILEMYTGFEVISKLVDVGGGNGQNLKMIISKCPSIKGLNFISQSD >Vigun08g016200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1363046:1363240:-1 gene:Vigun08g016200.v1.2 transcript:Vigun08g016200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCTIFWLINLVCNLVNDTMDPLARIGTSAQLLLHMGEVGGSNPPGSANTRDIKIYSRYFRTI >Vigun07g293400.1.v1.2 pep primary_assembly:ASM411807v1:7:40478376:40483479:1 gene:Vigun07g293400.v1.2 transcript:Vigun07g293400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDYHHRPAHLAHASPPDYFVRQGNTTYQDDLFLRKRTRMRRWLCCTCQVEESYPSNENEHLKSPRNYGDSNQKGSKVSAPVKPETQKAPPPIEAPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNNGKAVAVKKLDVSSEPESNNEFLTQVSMVSRLKNDNFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLIFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKTPAPAPES >Vigun07g293400.2.v1.2 pep primary_assembly:ASM411807v1:7:40478292:40483482:1 gene:Vigun07g293400.v1.2 transcript:Vigun07g293400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYPSNENEHLKSPRNYGDSNQKGSKVSAPVKPETQKAPPPIEAPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNNGKAVAVKKLDVSSEPESNNEFLTQVSMVSRLKNDNFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLIFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKTPAPAPES >Vigun05g293800.1.v1.2 pep primary_assembly:ASM411807v1:5:47966719:47969274:-1 gene:Vigun05g293800.v1.2 transcript:Vigun05g293800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPPHLSDIEAARYPPPPPPNFYIPQPRLWFSWLVPLIFLANIAIFIYTMYENDCPAYLNDESCLFSEYLGRFSFQPFRENPLLGPAIRTLRLLGALEEDLVVDQQEVWRFFSCMFLHAGVVHLLANMFSLLFIGVRLEKEFGFLRIGLLYALSGFGGSLLSILHLKENKSSTVSVGASGALFGLLGAMLSELLTNWSIYANKCAALTSLLIIVGLNLAVGFLPHVDNSAHVGGFLSGYFLGFVLLMRPQYGYVNRKYIPPGYDVKRKSKYKWYQYFYLFVSLVILLLGFAYGLANLYIENADQRFPFLESYPR >Vigun05g293800.2.v1.2 pep primary_assembly:ASM411807v1:5:47966719:47969507:-1 gene:Vigun05g293800.v1.2 transcript:Vigun05g293800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPPHLSDIEAARYPPPPPPNFYIPQPRLWFSWLVPLIFLANIAIFIYTMYENDCPAYLNDESCLFSEYLGRFSFQPFRENPLLGPAIRTLRLLGALEEDLVVDQQEVWRFFSCMFLHAGVVHLLANMFSLLFIGVRLEKEFGFLRIGLLYALSGFGGSLLSILHLKENKSSTVSVGASGALFGLLGAMLSELLTNWSIYANKCAALTSLLIIVGLNLAVGFLPHVDNSAHVGGFLSGYFLGFVLLMRPQYGYVNRKYIPPGYDVKRKSKYKWYQYFYLFVSLVILLLG >VigunL010201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000167.1:43329:44144:1 gene:VigunL010201.v1.2 transcript:VigunL010201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRNIRDNKRRLLAAKYELRRKLYKAFCKDSDLPSDMRDKLRYKLSKLPRNSSFARVRNRCISTGRPRSVYEFFRISRIVFRGFFLTILLCMLKNGMYSLVSDFDLDTILAWLIPFASCMDMDDVGASSQSSVNPAEPPAPDLSHTLLDDNTRRAELDERAGFHFVGLSEEKKDKVLLAQVQIERAIEKALLSDGYSRDELSQKSKRDEIRGFLFYRNGKLLSIKKYESYVEEVKFGTHRSQPYQDLIHAISSSYLFLNKVKKIKRWER >Vigun02g019300.8.v1.2 pep primary_assembly:ASM411807v1:2:6704939:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.9.v1.2 pep primary_assembly:ASM411807v1:2:6704921:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRCV >Vigun02g019300.10.v1.2 pep primary_assembly:ASM411807v1:2:6706150:6713520:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAPCHHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.13.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.3.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.4.v1.2 pep primary_assembly:ASM411807v1:2:6704555:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRCV >Vigun02g019300.11.v1.2 pep primary_assembly:ASM411807v1:2:6706150:6713520:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAPCHHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRCV >Vigun02g019300.6.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRCV >Vigun02g019300.2.v1.2 pep primary_assembly:ASM411807v1:2:6704554:6713519:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRCV >Vigun02g019300.12.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPVSRTALLHLRLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.15.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.5.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.1.v1.2 pep primary_assembly:ASM411807v1:2:6704494:6713519:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun02g019300.14.v1.2 pep primary_assembly:ASM411807v1:2:6704556:6713471:1 gene:Vigun02g019300.v1.2 transcript:Vigun02g019300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHEICLKSGPHLGSVTSEVRLLCDLEQAEPSWTVRHVGGAMRGAGAEQISVLVRSMVESKTSKNVLRLFYTLGYKLDHELLRVGFSFNFYRGAQITVTVSSINKMLKLHATDEAVPVTPGIQMVEVTAPAAAETYTEVAAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >Vigun04g065200.1.v1.2 pep primary_assembly:ASM411807v1:4:7120437:7121851:1 gene:Vigun04g065200.v1.2 transcript:Vigun04g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLGILFLSLIYGSVSEVQDKDDRSAVVVGTVYCDTCSQHDFTPETPFISGAKVGVECKFAHSEPSFEEEVETDEHGEFKVKLPFKVWKHAERIKGCSVKLISSSESNCDVPSVSTSSSVRLITRKQEEHIFSAGLFSFKPVEKPTFCDQKQSVSNPFTSEKNSAVDAAFPPLPFLPPIPFLPPIHFPPIPFPPIPFLPPIPFLPPIPFLPPNPFFPPSPPSPPQTPSPVQPPSSPPTESPPPSSPPPSPVPEPPSPLPEPPSPVPEPPSSLPEPPSPVPEPPSPVPEPPSPLPESPSSSPEPPNPLPEPPSALPEPPSSLPPHSAAPLNYYTV >Vigun06g202000.1.v1.2 pep primary_assembly:ASM411807v1:6:31690370:31696748:1 gene:Vigun06g202000.v1.2 transcript:Vigun06g202000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLAPDSKGENLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGVDDTDCCSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVDGGTYQSLDPVQPPIAPPYKRALELKKASNQGVRDPENLSLDS >Vigun05g073500.2.v1.2 pep primary_assembly:ASM411807v1:5:6472617:6479901:1 gene:Vigun05g073500.v1.2 transcript:Vigun05g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPLDLAITPMRVLQDPLLGPACRAMFEEGDVDDRLLMILLLTVERLRKNSLWKPYLDMLPTTFGNPLWFSDDELQELRGTTLYRATELQKKSLLSLYETKVKDIVKKLLTLDGNSEIEVSFEDFLWANSVFWTRALNIPMPRSYVFPEMQDDHESCIPEADEKRSQVKKSNNLTTKETCNSCTVTGETVWVEGLVPGIDFCNHDLKPIATWEVDGTGLATGVPFSMYLILAAQSHLQNDQEISISYGNKGNEELLYLYGFVIDENTDDYVMVHYPTEAMSTISFSESKGQLLEVQNAEMRCLLHKTFLDNGFFPLGTQISGEDKKGKAEQVCNYSWSGQRKMPSYANKLVFPEKFMTTLRTIAMQEEELYKVSSMLEELAGPERERELSDTDVQSAVWEVCGDSGALQLLVDLLRVKMMNLEESSGPDEDDLDLLRKALIIDEHEDSKQCTSNLSTKTNDSEEPKLMTRNKWSAIVYRRGQKELTRLFLKEAEHALQLSLNEEEVTSC >Vigun05g073500.3.v1.2 pep primary_assembly:ASM411807v1:5:6472617:6479901:1 gene:Vigun05g073500.v1.2 transcript:Vigun05g073500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEAKLETFMQWLQANGVELRGCKIKWCDSKRGFGIFFEKDVSDALVLPLKLKKWPSAEKENILGVMLVVPLDLAITPMRVLQDPLLGPACRAMFEEGDVDDRLLMILLLTVERLRKNSLWKPYLDMLPTTFGNPLWFSDDELQELRGTTLYRATELQKKSLLSLYETKVKDIVKKLLTLDGNSEIEVSFEDFLWANSVFWTRALNIPMPRSYVFPEMQDDHESCIPEADEKRSQVKKSNNLTTKETCNSCTVTGETVWVEGLVPGIDFCNHDLKPIATWEVDGTGLATGVPFSMYLILAAQSHLQNDQEISISYGNKGNEELLYLYGFVIDENTDDYVMVHYPTEAMSTISFSESKGQLLEVQNAEMRCLLHKTFLDNGFFPLGTQISGEDKKGKAEQVCNYSWSGQRKMPSYANKLVFPEKFMTTLRTIAMQEEELYKVSSMLEELAGPERERELSDTDVQSAVWEVCGDSGALQLLVDLLRVKMMNLEESSGPDEDDLDLLRKALIIDEHEDSKQCTSNLSTKTNDSEEPKLMTRNKWSAIVYRRGQKELTRLFLKEAEHALQLSLNEEEVTSC >Vigun05g073500.1.v1.2 pep primary_assembly:ASM411807v1:5:6472617:6479901:1 gene:Vigun05g073500.v1.2 transcript:Vigun05g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEAKLETFMQWLQANGVELRGCKIKWCDSKRGFGIFFEKDVSDGVMLVVPLDLAITPMRVLQDPLLGPACRAMFEEGDVDDRLLMILLLTVERLRKNSLWKPYLDMLPTTFGNPLWFSDDELQELRGTTLYRATELQKKSLLSLYETKVKDIVKKLLTLDGNSEIEVSFEDFLWANSVFWTRALNIPMPRSYVFPEMQDDHESCIPEADEKRSQVKKSNNLTTKETCNSCTVTGETVWVEGLVPGIDFCNHDLKPIATWEVDGTGLATGVPFSMYLILAAQSHLQNDQEISISYGNKGNEELLYLYGFVIDENTDDYVMVHYPTEAMSTISFSESKGQLLEVQNAEMRCLLHKTFLDNGFFPLGTQISGEDKKGKAEQVCNYSWSGQRKMPSYANKLVFPEKFMTTLRTIAMQEEELYKVSSMLEELAGPERERELSDTDVQSAVWEVCGDSGALQLLVDLLRVKMMNLEESSGPDEDDLDLLRKALIIDEHEDSKQCTSNLSTKTNDSEEPKLMTRNKWSAIVYRRGQKELTRLFLKEAEHALQLSLNEEEVTSC >Vigun05g285300.1.v1.2 pep primary_assembly:ASM411807v1:5:47300650:47305886:-1 gene:Vigun05g285300.v1.2 transcript:Vigun05g285300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGRQGLRSGAAGVHHQRQYSDNFLDGSSNGNRWLQSAGLQHLQSSTNQLPPLQDYNLYGGGGVQGGRMYRNAQRSFNGGTEYYVEPSTPPGGYRASMQKKNGDDLSADFSPGLLDLHSFDTELLPPEMPASNAYDGNSLYQPGRGRSFDDSEPYMLSKQTGRARAPENILKSFPAEKEKSNSVAKIKVVVRKRPMNKKELAKNEEDIIETYSNSLTVHETKLKVDLTQYVEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVENIKELIEKGNSTRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSTGSCEHTLNTLRYADRVKSLSKGNNTKKDAISSNFNLKESTAVPLSSIAGSAYEDRTTDGWPDENEGDDFSPPEEYYEQVKPSLKKNVKMESYATTDDKLKKPSGLMKWKDLPKVEAQTTHAEDDLNALLQEEEDLVNAHRTQVEETMNIVREEMNLLVEADQPGNQLDDYITRLNAILSQKAAGILQLQTRLAHFQKRLKEHNVLVSSATGY >Vigun08g085700.1.v1.2 pep primary_assembly:ASM411807v1:8:18947994:18950422:-1 gene:Vigun08g085700.v1.2 transcript:Vigun08g085700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTGEDDLNYEFAWGRKRGMGGKKKDVQFYESFTFDGVQYTLNDAVYLQNESCDVPHIGKLIKIWENRDKSRKVKVQWFFRSGEIHKFLEGIQTKENELFLACGDGKGFANVNPLETIVGKCNVVCISKNIGNSQLYDEAKADFVFYRFFDVGQRKVVDQIDDKIAGT >Vigun11g211540.1.v1.2 pep primary_assembly:ASM411807v1:11:40636186:40651769:-1 gene:Vigun11g211540.v1.2 transcript:Vigun11g211540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPGAFPVIQVWTVSLPVTLVNASDKNPFLHVVDVIGWILVRERVCAPKDESKQLNDIENVPGSDATGAQNGTSRSNYSARSLLKSASISASKCVVVKQNKDPKQEAMKEINDETMDGVTCCCPPCLILILVLLRTFFHALIL >Vigun04g097100.1.v1.2 pep primary_assembly:ASM411807v1:4:20826171:20827179:1 gene:Vigun04g097100.v1.2 transcript:Vigun04g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMISSPAVTTVNRAGAGAGMVAPFTGLKSLGGFPTRKTNNDITSVANNGGRVQCMQVWPTTGKKKFETLSYLPDLTEEQLLKEIDYLLRNGWIPCLEFTLQDPFPYREQNRSPGYYDGRYWTMWKLPMFGCTDATQVLQEVVEARTAHPNGFVRIIGFDNVRQVQCISFIAYKAPGF >Vigun03g167500.1.v1.2 pep primary_assembly:ASM411807v1:3:19442656:19445060:-1 gene:Vigun03g167500.v1.2 transcript:Vigun03g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSGVVSRMCSDVRLANKNKDLHMHMRVHVAGDSFIYSRKRQKKTPEKTVTDYDFFESLPDDLVISIFCKLSSTATKPSDFLNVLITCRRLNKLALHSLVLSKVSPRAFAIRAKDWCDSAHRFLKHCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAINSHARALYSLAVIQFNGSGGTKSDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQNIAEGRRFLVQANARELAAVLSTSAAARHWLTCNLQPQLRQGIGCPLLSDFGCNVPTPEVHPASRFMAEWFAARGGSPGQGLRLCSHAGCGRPESRKHEFRRCSVCGAVNYCSRACQALDWKFRHKAECAPVERWLDEDGEDIGDEDSDGEVMAMADS >Vigun02g121900.1.v1.2 pep primary_assembly:ASM411807v1:2:27409734:27412026:-1 gene:Vigun02g121900.v1.2 transcript:Vigun02g121900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRFSTSFFTLFLFQFLTGSYSTTFTIVNKCSYTVWPGLLSGAGTSPLSTTGFALQSGESNVVGVPPAWSGRLWGRTHCSQDDAGKFSCVTGDCGSSAVECAGGNAAPPATLAEFTLNGAGGLDFFDVSLVDGYNLPMMVEPQGGTGGGNCTATGCVVDLNTPCPTELKVMSSGEGVACRSACEAFNDPQYCCSGAYATPDTCRPSSYSQFFKSACPRAYSYAYDDGTSTFTCASADYTITFCPQPTTSSIKSGNPMAVETSGVDSDGRYNRVMAVVVSVLVAFVATTQFGGLSLTVSQ >Vigun09g225600.1.v1.2 pep primary_assembly:ASM411807v1:9:39822049:39824375:-1 gene:Vigun09g225600.v1.2 transcript:Vigun09g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARKCSYCGNLGHNSRTCKTPLSHTYLKLFGVQVEISSSSSSSSSSSSSSSSSSSTISFSSPSYSAMKPSFSTNYFFSSSPSLRDGNQNSDAYLLTANTLLSTIQDTKKGVAWTEEEHRVFLVGLQKLGKGNWRGISKSFVKTRTPVQVASHAQKYFLRQSHNIPSSSSSSSSNCTLQMPHPDLELKLATPTQMHSF >Vigun10g060100.1.v1.2 pep primary_assembly:ASM411807v1:10:12144946:12151456:1 gene:Vigun10g060100.v1.2 transcript:Vigun10g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISIICFNFGIIFPIVLAVFARVSSQLGSKVEELPGFEGPLPFELETGYVGLGERDDDMQVFYYFIKSENDPTKDPLMLWLTGGPGCSSFSGLAFQIGPLGFKTEKYDGSVPNLILRPHSWTKVCSIIFVDLPLGTGFSYAKNLTANRRDWKLVHHTHQFLRKWLIDHPEFISNEFYMGADSYSGIPGPAIVQEISKGNEIGLQPPINLQGYLLGNPVTTRKEKNDQIPFSHGMGLISDELYESLKINCKGEYTYVDSTNELCLRDLNYYDELLSGINTFNILDRYCKADSAKKHEGPWIRSLTQNFESSLNSHLTELDTRCQIYGFFLATQWANDESVRKSLHIRQGTKGKWERCFTGDYEYEICSSFPFHVNLSAKGYRSLIYSGDHDAVVPFMSTQAWIRALNYSILQDWRPWLLKGQVAGYTRTYSNQMTFATVKGSGHTAPEYKPEEGYAMFSRWIASKPL >Vigun03g177800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22123784:22125019:1 gene:Vigun03g177800.v1.2 transcript:Vigun03g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEFQDMFVGGTAAPAAVVEWAMSEVMRNAEVMEKAQSEVRKVFNEKGFVDEAEVGECEYLNSVIKETMRLHPPEALLVPRENSEACVMNGYEIPAKSKVIINAWAIGRDPTYWNEAERFVPERFVESCYDFSGTHFEYIPFGAGRRICPGAAFSVPNMTLLLANLLYHFSWKLPNGTTHQAFDMAESFGLTVRRANDLCLIPMAYHPSTIANH >Vigun08g060101.1.v1.2 pep primary_assembly:ASM411807v1:8:7931424:7933778:1 gene:Vigun08g060101.v1.2 transcript:Vigun08g060101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRGSGVGLRTDPAWSHCISIDGKTRNLKCKYCEKVLTGGIYRLKHHLAGTSKDVGTCIAVLEDVEKSMLGVVSLLQQNLVKKSIFRELEGDGGMVESESARKRPSEERTDKSSNIFKRRNTQTTINSIFKKTEREDACQEIALYFYNNAISFNVANSEEFRRMLDHYHEIRVKYLKQQKNGCTIMTNGWTDRRRRTILNFLVNSPRGTVFLKSIDASDICKTTDKIYKMMDDVVEEIREDNVIQIVTDNAANYKAARDLLMQKRQKLYWTPCAAHCIDLMLEDFEKKIPLHHDTIGSGKKITTYIYSRTSLISLLHKFTKGKDLIRLANTRFATSYLTLGCLNENKGSLIRMFTSNEWQSSQLVKTRDGGLVENLILDKEFWKNILNCLRGALPLIKVLRMVDSDEKPAMGFIYEEMDIAKEKIQSLFNGVRKRPLHVASYYLNPMLHYDAEFKADYEVKHGMYDCLERLVGDIDEIDKIDSQIESFKSKSGFFGSAIAQRALKTKTPSQWWESYGDEHPELQKFAIRVLSLTCSSSGCERNWSAFERVHIKKRNCLQQKTMNDVVFVMANSRLKKKKDVRKTKDYNMDDLASDDEWTVEENEASSSLDASYEEVLLEVGENEDASRGGVVAPMDHLEVPPIVDNDQGPRGEDIDENEDAMEDNDDYPTFDMNEFLG >Vigun09g093000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13348053:13349215:1 gene:Vigun09g093000.v1.2 transcript:Vigun09g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHINSNVSSYFETMSTLKFAERVSGVELGIVRSSKDGKDLKEMMEQVPKMIKAQFMESEISLSKASISLCLSVLPGV >Vigun01g205400.4.v1.2 pep primary_assembly:ASM411807v1:1:38118866:38119557:1 gene:Vigun01g205400.v1.2 transcript:Vigun01g205400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHKKQARLELMSGCSEEEGISFSDADRRGYEGGSDDDSEMGKSQLRRRRRVSGFGKVVVHQFAKAKKQIRRVRSRKSLLGKSRPGNEEGKVIVVHGDCSGGRRRGNGCGFCFSRPKVLESPNESPTSDPNDPNFTQAMLRTLIDKNDFYSKECNPHLD >VigunL027200.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:106487:107006:1 gene:VigunL027200.v1.2 transcript:VigunL027200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITGRFDPLEQLDEFNQYQQCSSSNDKLNLNYRAMTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun03g026500.1.v1.2 pep primary_assembly:ASM411807v1:3:1987284:1988859:-1 gene:Vigun03g026500.v1.2 transcript:Vigun03g026500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFNDPGTLAPTGLYIGGTKYMVIQGEPGSVIQGKKGPGGATVKKTNMALIIGIYDEPMTPGQCNMIVERLGDYLIEQVVEGTLPLDPWYIGGPIVKGFGRGSKVLGIPTANLSTMGYSDLLSEHPTGNGSLFWLGWIVSKRCF >Vigun06g190400.1.v1.2 pep primary_assembly:ASM411807v1:6:30821524:30823395:-1 gene:Vigun06g190400.v1.2 transcript:Vigun06g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLKTQLVFLFLVWGSWAFLCYGLPSEYSILALDLDKFPSEEGVVELFQRWKEEHRKFYKHPEEAKLRLENFKRNLKYIVEKNAKRNSPYGHSLGLNRFADMSNEEFRHKFISKDDSCEDAPYTLDWRKKGVVTGVKDQGHCGSCWAFSSTGAIEGINAIATGDLVSLSEQELVDCDSTNDGCYGGYMDYAFEWVMHNGGIDSETDYPYTGVERRCNVTKEKTKVVSIDGYSDVGQSDNSLLCATVKQPISVAIDGSSRDFQLYTGGIYDGHCSSDPDDIDHAVLIVGYGSEDDEDYWIVKNSWGTSWGMEGYIYIRRNTHLKYGVCAINYMASYPTKEPTAPSPSSPPSPPSPSPPQPLPPPPPPPPPPPPPPIRCGEYSYCSAHETCCCLHQYFDFCFVYGCCEYENAVCCHGTEYCCPSDFPICVTEHGLCLKHHRDLIGVAAKKKKLGSHKLPWTKLEQTEKTFQPLQMRNVFAAIL >Vigun04g083800.1.v1.2 pep primary_assembly:ASM411807v1:4:13341654:13345717:-1 gene:Vigun04g083800.v1.2 transcript:Vigun04g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRMDSNHLFKLTSLRRIRRLEVVWDDEEQFNDVAKFRSEVAQKLLLECESKKGKNSLIRAGYGGWLMYTAASAGDLGFVQVLLERNPLLVFGEGEYGITDILYAAARSKNCEVFRLLFDFAVSPRFISGKGGVVVEEHVGDIPSVYRWEMTNRAVHAAARGGNLKILEELLANCSDVLVYRDADGSTVLHAAAGRGQVEVVKYLISSFDMINSTDHQGNTSLHVAASRGQLATAETLVSAFPTLISLRNNSGETFLHKAVSGFQSHAFRRLDKQVDLLRNMLSGKNFHVGDIINDKSNDGRTALHMAIIGNIHSDLVQLLMTAPSINVNISDVDGMTPLDYLRQHPNSASSDILIKKLISAGGMFGCQGHSSRKAIASHLRMQSIGSSPGTSFRVSDTEIFLYTGFENVSDASADHGNGGVSSSSSEHIPYDPNAVENRASTASKRPSSVNYAAARLKRALQWSRVKDKKYEEKFKKSTDEGSVDSVRKWNNSFDETPTPLRQRFSRPVSLPNNKRTLSVRSQQSSPNAKKRFASGLVHGVMQSMPQVKVSGRSRSSSFSKSSISSPRSIDKQKGIYIDSDIAGPSSLNQPPPEDDESPKLVKRTSVGRKLRGHYFCFGAPGLNVKNTVHRRQESQSYKAHAVAVA >Vigun02g167100.3.v1.2 pep primary_assembly:ASM411807v1:2:31097413:31101226:1 gene:Vigun02g167100.v1.2 transcript:Vigun02g167100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDFISIQEPL >Vigun02g167100.4.v1.2 pep primary_assembly:ASM411807v1:2:31097470:31100553:1 gene:Vigun02g167100.v1.2 transcript:Vigun02g167100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGELRSNYVCHI >Vigun02g167100.5.v1.2 pep primary_assembly:ASM411807v1:2:31097553:31100553:1 gene:Vigun02g167100.v1.2 transcript:Vigun02g167100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDFISIQEPL >Vigun02g167100.2.v1.2 pep primary_assembly:ASM411807v1:2:31097411:31101226:1 gene:Vigun02g167100.v1.2 transcript:Vigun02g167100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDFISIQEPL >Vigun02g167100.1.v1.2 pep primary_assembly:ASM411807v1:2:31097553:31101192:1 gene:Vigun02g167100.v1.2 transcript:Vigun02g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDFISIQEPL >Vigun05g040250.1.v1.2 pep primary_assembly:ASM411807v1:5:3263614:3263904:-1 gene:Vigun05g040250.v1.2 transcript:Vigun05g040250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICDFGNQAMLNIVGKVVVISTMEQVSSGLRLYTMHGCASSKMCFSVSWEFTSLKFMNFLNPVMIFRF >Vigun06g175500.3.v1.2 pep primary_assembly:ASM411807v1:6:29569587:29574206:-1 gene:Vigun06g175500.v1.2 transcript:Vigun06g175500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRWLILITLLCLFAFSNGRGLKSGHKNHPYEYNHTLATILVEYASAVYLSDLTELFTWTCTRCDGLTKGFDMIELVVDVEHCLQAFVGVAEDPHAIIIAFRGTNEHSLQNWIEDLYWKQHDINYPGMGDAMVHRGFYTAYHNTTIRPAILDAIERAKKFYGDIQIIVTGHSMGGAMASFCGLDLTVNKNEKMSKL >Vigun06g175500.1.v1.2 pep primary_assembly:ASM411807v1:6:29569587:29574206:-1 gene:Vigun06g175500.v1.2 transcript:Vigun06g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRWLILITLLCLFAFSNGRGLKSGHKNHPYEYNHTLATILVEYASAVYLSDLTELFTWTCTRCDGLTKGFDMIELVVDVEHCLQAFVGVAEDPHAIIIAFRGTNEHSLQNWIEDLYWKQHDINYPGMGDAMVHRGFYTAYHNTTIRPAILDAIERAKKFYGDIQIIVTGHSMGGAMASFCGLDLTVNKNEKMSKL >Vigun06g175500.2.v1.2 pep primary_assembly:ASM411807v1:6:29569587:29574206:-1 gene:Vigun06g175500.v1.2 transcript:Vigun06g175500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRWLILITLLCLFAFSNGRGLKSGHKNHPYEYNHTLATILVEYASAVYLSDLTELFTWTCTRCDGLTKGFDMIELVVDVEHCLQAFVGVAEDPHAIIIAFRGTNEHSLQNWIEDLYWKQHDINYPGMGDAMVHRGFYTAYHNTTIRPAILDAIERAKKFYGDIQIIVTGHSMGGAMASFCGLDLTVNKNEKMSKL >Vigun09g277300.1.v1.2 pep primary_assembly:ASM411807v1:9:43730955:43732896:1 gene:Vigun09g277300.v1.2 transcript:Vigun09g277300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSIPSPIYGSSHSSLSISRLPSSLRAQPLHVSRRPKLKGVVMMSLEAGIGVMATKLGMMSFFQPDGEVVPVTVVGFKEGNIVTQIKTEATDGYHAVQVGYRRVRDRKLTKPEIGHLEKVGAIPMRHLQEFRLQTVDQFELNQRLVFDDLFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGPMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKRKIRKLKIVKIDKDLNVVMIKGAVPGKPGNLLRITPAKIVGKNIPNN >Vigun09g277500.2.v1.2 pep primary_assembly:ASM411807v1:9:43735820:43740947:-1 gene:Vigun09g277500.v1.2 transcript:Vigun09g277500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLESKSIEEGGAGSYCGEKKREKSRRSSSIWCVWQSKVKIEDAMKKRMNNNNTSTSISVREASTNEPSSSPSSSVPLLFHKDNGNEEQTLVPPNPNPNLNLEDGFYEIETIRRRRVRKGELQYLIKWRGWPETANTWEPLENLQSVPDLLHAFEEDSFRKRKRKHVVHQTKIRKHRQRSTTSYSLRHFPTHNPHSQTPIFPNQPPPTTLPQPQQTNVNAFADHHQNDYDPKLCELKATTNTGLELDNLGMHFQQLKVSTANGGHLDCAEPTQTGRCRGAKRRKCGPVKRFKRETDAGKPVDAQNSISVPVGAVEAGCTRTTGCVGNNSPLKMDDAKTACNIVKILKPIGYSSSLSDNMQDVLVTFMAMRSDGTEVMVNNRYLKAYNPLLLINFYELHLRYCPTL >Vigun09g277500.1.v1.2 pep primary_assembly:ASM411807v1:9:43735820:43740947:-1 gene:Vigun09g277500.v1.2 transcript:Vigun09g277500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLESKSIEEGGAGSYCGEKKREKSRRSSSIWCVWQSKVKIEDAMKKRMNNNNTSTSISVREASTNEPSSSPSSSVPLLFHKDNGNEEQTLVPPNPNPNLNLEDGFYEIETIRRRRVRKGELQYLIKWRGWPETANTWEPLENLQSVPDLLHAFEEDSFRKRKRKHVVHQTKIRKHRQRSTTSYSLRHFPTHNPHSQTPIFPNQPPPTTLPQPQQTNVNAFADHHQNDYDPKLCELKATTNTGLELDNLGMHFQQLKVSTANGGHLDCAEPTQTGRCRGAKRRKCGPVKRFKRETDAGKPVDAQNSISVPVGAVEAGCTRTTGCVGNNSPLKMDDAKTACNIVKILKPIGYSSSLSDNMQDVLVTFMAMRSDGTEVMVNNRYLKAYNPLLVICNLNPSHIELFISLSNFHGFDIF >VigunL079801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000597.1:58713:60008:1 gene:VigunL079801.v1.2 transcript:VigunL079801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVKIKPKGSTKVKSINQSSPSSKKDQSGLSAQKPGSQTQAESSTQKSPAELSTQLIISGTTQKTYPSDYAMAIPTLQAFQDQGLTKLPSQSWKQIFGEEPDDLPKNLKDIISSLAQSKVTLHPRTSGKQIASQTQPISQKKPSIYHVKDSFLPTVQMEPEFWDSNPSKVCSKIFPSGFLFKPNHINKTQRFYEFILVDSKSVEIKHHIDKSDSENITHSTIQILKFLSFKDFKTKQILKFSQPFDPIGYSYWDYQAAWTNVFWLSNKTGKHSWLIYFKKNVRYSFPQWFSNWWDSFGPIQKILPDPVLEGFNQFKTRFGNETPFHVSLHFFSKFSLAWIFAWQHQFRKDQSSKLLPVLGKQLSVKWWDNFDATHANAQGVSSWFKKNPKALKLADPETSQFLNRKAQIASAIAASANDETLAQNLHQIL >Vigun05g280350.1.v1.2 pep primary_assembly:ASM411807v1:5:46974847:46975654:-1 gene:Vigun05g280350.v1.2 transcript:Vigun05g280350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLRYVSAEVSEVSEEIEYLSTQLVQDLECLFEDLESFFVDLERLFEDWEFAYKRLECVSKGLEYNARINQLEEELVAKRDKISVLERVMLDIKIFIQKIQRSLRMKMLIR >Vigun10g045600.2.v1.2 pep primary_assembly:ASM411807v1:10:6684705:6691042:1 gene:Vigun10g045600.v1.2 transcript:Vigun10g045600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNEEASDEGPNYSPPSSSSSSPSSHAWKTRIVIPTLLAGVAGAGIGLISKHRKTLGLANVCSSYAANFAIVTGCYCGGQLGALRYSVVFAVVGTAADFTYLKLKDALRDYTKTIYQDIENSKESGSWLKLPEWFPVKVLDEEALAAKRAQEEQFLQQRARIRSLKEEES >Vigun10g045600.1.v1.2 pep primary_assembly:ASM411807v1:10:6684705:6691042:1 gene:Vigun10g045600.v1.2 transcript:Vigun10g045600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNEEASDEGPNYSPPSSSSSSPSSHAWKTRIVIPTLLAGVAGAGIGLISKHRKTLGLANVCSSYAANFAIVTGCYCGAREYVAATRKTGPDDIWNSGLAGFGTGALLGRLQGGQLGALRYSVVFAVVGTAADFTYLKLKDALRDYTKTIYQDIENSKESGSWLKLPEWFPVKVLDEEALAAKRAQEEQFLQQRARIRSLKEEES >Vigun10g186500.1.v1.2 pep primary_assembly:ASM411807v1:10:40279976:40282198:1 gene:Vigun10g186500.v1.2 transcript:Vigun10g186500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSEAFRTHPVYLHHKHHSDFNSLQQYLPDSYAWTQQDSDAYADTNDKSVPVIDLKEPNAVKLIGHACRTWGVFQVVNHGVPMKLFTDIQRACLALFSLPLHQKLKAARSPDGVSGYGRARISSFFPKLMWSECFTILDSPLHLFLQLWPQDHAKYCEIVKEYEAAMKKLAAKLMCLVLASLGISKEDIKWAGPRGEFNGACAALHWNSYPSCPDPDRAMGLAAHTDSTLLTILHQNNVSGLQVLKDGEGWVAVPPLAGGLVINIGDLLHILSNGLYPSVLHRVRVNRSWQRFSVAYLYGPPTNVEISPNEKLVGPGRPCLYRSVSWNEYLGTKAKHFNKALSAVRISSSVNGLFDVKEDQNNDFQLG >Vigun03g056300.1.v1.2 pep primary_assembly:ASM411807v1:3:4583595:4584160:-1 gene:Vigun03g056300.v1.2 transcript:Vigun03g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLGFLFLLFLVFATDVGVKRTEARECSTPSKNFKGLCFSDRNCEAVCNTERFPGGKCVRQKCMCTIHC >Vigun08g092200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:21542790:21543657:1 gene:Vigun08g092200.v1.2 transcript:Vigun08g092200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGNPKPNAGSSCGERRTRRCSKYIVPGTLVKMRDSKITHNRSSTQISSSAISLTPSSTFQHDPSSLNQDNGVPCFHLPMYHSQPSCFNRKKLFAVTPVFTPTQTYQF >Vigun03g262400.1.v1.2 pep primary_assembly:ASM411807v1:3:43055905:43085676:1 gene:Vigun03g262400.v1.2 transcript:Vigun03g262400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPKTEKNDVPVVDIDSDGDDGVTVASAIKDELSQKIPSSQVELVQQETPVSSSALSVSDGRFRSFWKAGDYAVGPSSKPTAFQGHLEHARVHPKFLHSNATSHKWAFGAIAELVDNAVDEIQNGATFIKIDKFDVKKDNSPALCFLDDGGGMDPSSIRKCMSLGYSSKKSKTTIGQYGNGFKTSTMRLGADVIVFSRARHLGRATQSVGLLSYTFLRRTGQDDVVVPMIDFDTSGHWPEPIVYSSQDEWTANLKTILDWSPFTSKEELMLQFDDIGSHGTKVLIYNLWLNDEGIYELTFDDDMEDIMLRDEASHGAEKKLNKKTVLLQSHISYRLRYSLRAYVSMLYLRKFSNFKIILRGKLVDQYNIADNLIHSKVIPYRPQLAIASNEAAAETKTTIGFIKEAPSIKVTGFNVYHKNRLIKPFWKVVADGSSKGSCVVGVLEANFIEPAHDKQDFERSVLFIRLENKMKQMTMDYWKGHCHLIGYQPLDFRSQNVVKEAQIRKSAEHSTNPQNELPSDQQFTGVVPEHRNTLSLNQTIVDLDVTGVQDLSHEQLGTVVETLQSVSVDEICAENIKLFERCEEYRLKETQLKKMVVDLEEEYKQIQKKCAVLASLLETKRKEKRAKG >Vigun11g150400.1.v1.2 pep primary_assembly:ASM411807v1:11:36063692:36073814:1 gene:Vigun11g150400.v1.2 transcript:Vigun11g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSASNLPDGAGRSFATSFSGQSGAASPIFHHTGAIQGLHNIHGSFNVPNMPGSLTSRNSTINNVPSGGVQQPTGSLSSGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSSTNGVGGSIPGILPTSGAIGNRNAVPGLGVSPILGNAGPRITSSVGNMVGGGNIGRTGGGLSVPALASRLNLGANSGSSGLGMQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQAVSNLNSMGMLNDVNTNDSSPFDINDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGTADYAMDMHQKEQLHDNAVPMMQSQHFSMGRSAGFSLGGTYSSHRAQQQQHAPSVSSGNVSFSSVNNQDLLHLHGSDIFPSSHSTYHSQTSGPPGIGLRPLNSPNTVSGMGSYDQLIQQYQQHQNQSQFRLQMSAVNQSFRDQGMKSIQTTQPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFNVPQCYYAKQPPALHQGYFSKFSVETLFYIFYSMPKDEAQLYASNELYNRGWFYHKEHRLWFIRVPNMEPLVKTNTYERGSYHCFEPSIFETVRKDNFVLHYEMLEKRPHLPQH >Vigun11g150400.2.v1.2 pep primary_assembly:ASM411807v1:11:36068596:36074208:1 gene:Vigun11g150400.v1.2 transcript:Vigun11g150400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSYPSAGGPLSQSHVQAVSNLNSMGMLNDVNTNDSSPFDINDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGFKGGTADYAMDMHQKEQLHDNAVPMMQSQHFSMGRSAGFSLGGTYSSHRAQQQQHAPSVSSGNVSFSSVNNQDLLHLHGSDIFPSSHSTYHSQTSGPPGIGLRPLNSPNTVSGMGSYDQLIQQYQQHQNQSQFRLQMSAVNQSFRDQGMKSIQTTQPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFNVPQCYYAKQPPALHQGYFSKFSVETLFYIFYSMPKDEAQLYASNELYNRGWFYHKEHRLWFIRVPNMEPLVKTNTYERGSYHCFEPSIFETVRKDNFVLHYEMLEKRPHLPQH >Vigun03g280100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45823349:45824489:-1 gene:Vigun03g280100.v1.2 transcript:Vigun03g280100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEGGSTRRRGKEGEATRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAVEGPVAAASDMSAASIRKKATEVGARVDALHRQQPHAPVAGEFADRVDLNKTPEPENSDCDWDGD >Vigun04g098450.1.v1.2 pep primary_assembly:ASM411807v1:4:22388783:22393115:1 gene:Vigun04g098450.v1.2 transcript:Vigun04g098450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSSVTGFFSFFHHSTCSGLGAELGHCLSIYLGFIFVPNGGENRFSLVQVYSKLMPARFTYIFRCSKLEGNMCNSNS >Vigun11g159300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36703919:36706989:1 gene:Vigun11g159300.v1.2 transcript:Vigun11g159300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKQLGEVLVVASITNTLSHSHSATLNLPRAVALGLTQPLILKILSNQSIHPSQKLRFFEWTRSHHNPSAAAYSLVLRSLSGGGFFSDIPSVLHSMTQDGVVLDPHSLNLLLHSFILSSNFSLAFQLLDYVQHLHLDATTVYNTLIAALLTKNQLSLALSIFFKLLDAVDSKSIACNRLLVSLRKEDMRVEFKQVFTKLREKKGFSFDTSGYNVCIHAFGCWGDLATCFALFKEMKDDGKGLVAPDLCTYNSLITALCRLGKVDDALVVWDELNGSAHQPDRFTYTNLIHACCRTFRMGDATRVFNHMQSHGFPPDTLVYNSLLDGHFKATKVVEACQLFEKMVQEGVRPSCWTYNILIHGLLRNGRAEAAYTMFCDLKKKGQFVDGITYSIVVLQLCKEGQLEEALQMVEEMESRGFVVDLVTITSLLISIHRHGRWDWTDRLMKHIRENNLVLSVLKWKAGMEASMKNPPGKKKDYSPMFPSKGDFSDIMSFLSGAQDNTNINDGEEASFDGIDEWSSSPHMDKLANQMCSTGYSSQLFSPSRGQRVQEKGPDSFDIDMVNTFLSIFLAKGKLSLACKLFEIFSDAGVSPVSYSYNSIMSSFVKKGYFNEAWAVLAEMGENFCPTDIATYNMILQGLGKMGRADLASAVLDRLLKQGGYLDIVMYNTLINALGKAGRINEVNKLFEQMKSCGINPDVVTYNTLIEVHSKAGLLKDAYKFLKMMLDAGCTPNHVTDTTLDYLGKEIDKLRFQRASILSERDDPS >Vigun09g226300.1.v1.2 pep primary_assembly:ASM411807v1:9:39862626:39867835:1 gene:Vigun09g226300.v1.2 transcript:Vigun09g226300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADETHYSSPNDSAPLKRKYEDQSSDRPTGFSAGPATGIELAKQRAQEVAARLLSVAPPPPLDAKRPKSDNGAPTSGFDSYDAKPQYSAVPPVSYSHQGTSKKIDIPNGRVGVIIGKSGETIKYLQLQSGAKIQVTRDMDADPNSATRTVELMGTPEAIASAEKLINEVLAEAESGGSGIVARRLTGQAGSDEFVMRIPNNKVGLIIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTLKIDGTPEQIESAKELVNQIISGENRLRNPSMSGGYPQQGYQSRPPSNWGPPHQCSNLVMAMFNLEHILVHHLSITCLSHHIQAILPNNQVDIPPIGTSLLHHLTNSLLMLGAMITIINSHSNRKILEVLHLQLMALHTITVNHLLLVIANRDRVILRTAIMRIMHNLIQGMDNHQHMISSKAMAMVVGVTQHKRATLQAMHRREIQHQLHLPNRPPFHSKVILVANSPVQTLPTTLLRELLSQVMGFPLHPKLLMEVNNSQVMVLVMEPLNLRNQVAILLFMDSRNHLVQ >Vigun11g008700.1.v1.2 pep primary_assembly:ASM411807v1:11:978024:979546:1 gene:Vigun11g008700.v1.2 transcript:Vigun11g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGKKNEWMMLLIKCTISSLTGQTLQYDEEGKPKRRRLNSKPLDLLASGLI >Vigun04g107900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26254189:26255094:1 gene:Vigun04g107900.v1.2 transcript:Vigun04g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVRFEAAELTKVRQTLKDKETKLKDKETELTSLKIKVDELTPKVETYETQVQELIVKCQNLENEKEELTDQLCATLNQGFQLALDQVKVLYPDTDISNANITKEVVDGQLIEIADE >Vigun10g174300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:39297995:39298920:1 gene:Vigun10g174300.v1.2 transcript:Vigun10g174300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYGTIPTTGPPNLEYISRAKQRIKAGLGTRRPWKAMLNFRSLKVPGGGAAEALSRVAVNVSYFRMNYAMVALLILFLSLLWHPISLIVFLLLMAAWLFLYFLRDEPLVLLGHLVDDRLVLVAMAVLTVALLLLTDATVNILVAVAVAVVVVVAHAAFRRTEDLFLGEEEEAAAAALAGAA >Vigun09g267500.1.v1.2 pep primary_assembly:ASM411807v1:9:43071956:43072705:-1 gene:Vigun09g267500.v1.2 transcript:Vigun09g267500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLKRIQVFAVCFAVVVLVASASEVTEGRGSCWRNSRSWPHGKCFHSSICNHHCQISDNAISGQCFFFKYCKCKFCDDPRSLILSP >Vigun06g049900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17502582:17504135:-1 gene:Vigun06g049900.v1.2 transcript:Vigun06g049900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKRRRRKIPEVKLRNLESIVREMTASKPHAVLLASPGMGHIIPMVELGKRLLTHHSFHVTIFVVTTDSATTTSQILRQTSNLSSLNIVHVPPIDVSDKLPPNAPVELRIGLTIVESLPFLSSSITSINNFPPPSALIVDIFGLPALPIARDLGMLTYVYFATSAWFSAVTVYFSDTNKKVIETHAESRQPLSIPGCTPVRFEDTLESFSSPGGIMYEGHLRAAKEIVAADGILMNTWQDLEPAATKALREDGVLGRFTKGEVYAVGPLVRSVEKIPEGGKKGGVLQWLDEQPAESVIYVSFGSGGTMSANQMREVALGLELSRQRFVWVVRPPREGDASGAFFNVASGVDAAMSYLPEGFVERTEGVGVVVPMWAPQAEILEHPATGGFVTHCGWNSVLESVENGVPMVAWPLYAEQKMNAFMLSEELGVAVRVAEEGGGVVGREQVAEVVRRVMVEEEGAAMRNKVKELKLSGEKALCMFGSSHHSLSEMRKECEIHVQASSSSSSSSSTSSPS >Vigun01g190900.1.v1.2 pep primary_assembly:ASM411807v1:1:36932008:36936315:-1 gene:Vigun01g190900.v1.2 transcript:Vigun01g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAMDVEEQPSPKSNNVKRFGLKNSIQTNFGDDYVFQIVPKDDWSAMAVSLSTNAVKLYSPMAGQYFGECKGHTATINQILFSGPSHHQVLSSCSSDGTIRAWDTRTFQQVSCINAGSSQEVFSFCLGGTSGNLVAAGCKSQILFWDWRNMKQIACLEESHVDDVTQVHFVPNEQGKLISASVDGLICTFDTTGDINDDDHLESVINMGTSIAKVGIFGESYQKLWCLTHIETLGIWNWKDGTNEGNFSDARSLASESWNLDHVDYFIDCHYYRESEKLWVIGGTNAGTMGYFPVNYKGVATIGGAEAILEGGHTSVIRSVLPMSKVHSNSPSHGIFGWTGGEDGRLCCWLSDDSSESNRSWISSTLIMRPEKTRKKNRHQPY >Vigun07g085050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12712130:12712258:1 gene:Vigun07g085050.v1.2 transcript:Vigun07g085050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPFGLLLRHFVPSLLMFHAGSSASRLKHLEKSDRRCSLS >Vigun09g049700.1.v1.2 pep primary_assembly:ASM411807v1:9:4910397:4912769:-1 gene:Vigun09g049700.v1.2 transcript:Vigun09g049700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPIVVFLFKDGEGFAAAMLQALHPNPSSSFTRREDTFDHSLEPYGIKHHKASGSVSHFVDEHGAYTVSIVVMEHYEPPVLVCALNEVLNKITADKSSLPTLLVPFLVESSKVKGQIKYLGSDESKPLIFGIRIGQNTDIMRTLLNKTQELPSSLWIQHENFASFLHFVRVMQLPTFLLIGQTSQYLDNKSTKHHEIIRAIGEILASATGLQFSEERVVWNPKKKSGESKEPWRALYG >Vigun07g181400.2.v1.2 pep primary_assembly:ASM411807v1:7:29813409:29817418:-1 gene:Vigun07g181400.v1.2 transcript:Vigun07g181400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNMDSVKDGNDNNTNEDHTSRRSSSSSEVFEEANEMQTPKTSKKSRLNLSDESTFISPELYEFLEICLPNIVKGRQWVLLYSTLKHGVSLRTLIRKSAELSCPGLLIVGDMQGAVFGGLLECPLKPTAKRKYQGTNETFVFTTVYGQPRLFLPTGVNRYYCMCMNDLLALGGGGSYALCLEEDLLSGTSGPSETFGNSCLAHSPEFQLKNVELWGFAHASPFQG >Vigun07g181400.1.v1.2 pep primary_assembly:ASM411807v1:7:29813372:29817418:-1 gene:Vigun07g181400.v1.2 transcript:Vigun07g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFRDKVTQKFSHLFPNSSSSQNSPYSQEDKPASSYLSYIIPSISFDGSNTSKYQNESKSPSAGYNYENFDYQDVPSDNYVDCSPMYSNMDSVKDGNDNNTNEDHTSRRSSSSSEVFEEANEMQTPKTSKKSRLNLSDESTFISPELYEFLEICLPNIVKGRQWVLLYSTLKHGVSLRTLIRKSAELSCPGLLIVGDMQGAVFGGLLECPLKPTAKRKYQGTNETFVFTTVYGQPRLFLPTGVNRYYCMCMNDLLALGGGGSYALCLEEDLLSGTSGPSETFGNSCLAHSPEFQLKNVELWGFAHASPFQG >Vigun07g181400.3.v1.2 pep primary_assembly:ASM411807v1:7:29812737:29817418:-1 gene:Vigun07g181400.v1.2 transcript:Vigun07g181400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFRDKVTQKFSHLFPNSSSSQNSPYSQEDKPASSYLSYIIPSISFDGSNTSKYQNESKSPSAGYNYENFDYQDVPSDNYVDCSPMYSNMDSVKDGNDNNTNEDHTSRRSSSSSEVFEEANEMQTPKTSKKSRLNLSDESTFISPELYEFLEICLPNIVKGRQWVLLYSTLKHGVSLRTLIRKSAELSCPGLLIVGDMQGAVFGGLLECPLKPTAKRKYQGTNETFVFTTVYGQPRLFLPTGVNRYYCMCMNDLLALGGGGSYALCLEEDLLSGTSGPSETFGNSCLAHSPEFQLKNVELWGFAHASPFQG >Vigun08g208850.1.v1.2 pep primary_assembly:ASM411807v1:8:37175677:37176469:1 gene:Vigun08g208850.v1.2 transcript:Vigun08g208850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHNVILDYKQLTVYTMLCKTIRVVAVQKAKTPTYKHFIVVYGTVIADLALQIAYGSWKKNPSHHSTMAPPLNENLPNELL >Vigun03g156100.1.v1.2 pep primary_assembly:ASM411807v1:3:16733065:16735349:-1 gene:Vigun03g156100.v1.2 transcript:Vigun03g156100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPRPPSESDLFLHSNFASRYARDSLPRFSMPEDSMPKEAAYQNIHDELQLDAIPKLNLASFVTTSMEEECNKLIMESINKNYVDMDEYPITTDLHNRCVNMIARLFHADIGEDENAIGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNLVTGSNVQVCWEKFARYFEVELREVEVREGYYVMDPAKAVELVDENTICVAAILGSTYNGEFEDVKLLNDLLLQKNKQSGWDTPIHVDAASGGFIAPFLYPELEWDFRLALVKSINVSGHKYGLVYAGIGWVIWRTKDDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGQEGYLSIMENCRENAMVLKEDLEKSGHFNILSKDNGVPVVAFSLKDRRQYDEYKISEMLRRHGWIVPAYPMPPAAQHVIVLRVVIRAEFSRTLAERLAFDIHNVLHELEKLPPPTMMNNIEEENKDLVDNGVKETTLDAHRAIIVQESNKRQKVMAA >Vigun01g109401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:28230380:28231568:1 gene:Vigun01g109401.v1.2 transcript:Vigun01g109401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVHPRDQVGGDTRKDLVPAATSSSKPRNCACMVSYSVLILALLTLSILLLPLVLPPLPPPPLLLLFLPVFILLVLFLLAFSPSTLPNMPVLSS >Vigun05g192800.2.v1.2 pep primary_assembly:ASM411807v1:5:37434943:37439411:-1 gene:Vigun05g192800.v1.2 transcript:Vigun05g192800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFEMVQGPVENGAEGAKPVSHEKENGKLEKEIGAVEAIKFGSHGDESAKGNDVSEANVPRDAAEEWPAPKQIHSYYFVRWRPYEDPTIKSKIDSLDKEITKKNQARFQITEALKAKRSERGELISQIKSLRGDSRQFQSIVDEKIKEIEPLQQALGKLRNTNNAGRGGLCSSEAELNSIIYSLQYRIQHESIPLTEEKQLLREIKQLEGTREKVIANAAMRTKLQESMGQKEAIQDQVKLIGGDLDGVKKEREAIRSKIKQIDDALKTIDKDIQSLQEELAAISQKRDKSFESMQQLKKQREEGNSYFYQSRTVLNKARDLAAKKDINALDEVSQTEVEKFMALWNSDKAFRNDYEKRILASLDMRQLSRDGRMRNPDERPLLEEPKLAEAEAIPKTVSKQPKEEPKPSPQETLPAQKESKSKGRDLKSKPDIKDSAVTDEYEFENPRKVTPAKEPEIDPAKLKEIKREEEILKAKQALERKKKLADKAAAKAAIRAQKEAEKKLKDREKKAKKKASGAAGVVANPEDEPKDEVAEATEPEKVVDEIPAPAPVKEKVQKESGIRSRNRARGPDSVPKVILKRKKSNNYWVWVGAAVAALLVLLLAVLGYIYLF >Vigun05g192800.1.v1.2 pep primary_assembly:ASM411807v1:5:37434943:37439411:-1 gene:Vigun05g192800.v1.2 transcript:Vigun05g192800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVGFEMVQGPVENGAEGAKPVSHEKENGKLEKEIGAVEAIKFGSHGDESAKGNDVSEANVPRDAAEEWPAPKQIHSYYFVRWRPYEDPTIKSKIDSLDKEITKKNQARFQITEALKAKRSERGELISQIKSLRGDSRQFQSIVDEKIKEIEPLQQALGKLRNTNNAGRGGLCSSEAELNSIIYSLQYRIQHESIPLTEEKQLLREIKQLEGTREKVIANAAMRTKLQESMGQKEAIQDQVKLIGGDLDGVKKEREAIRSKIKQIDDALKTIDKDIQSLQEELAAISQKRDKSFESMQQLKKQREEGNSYFYQSRTVLNKARDLAAKKDINALDEVSQTEVEKFMALWNSDKAFRNDYEKRILASLDMRQLSRDGRMRNPDERPLLEEPKLAEAEAIPKTVSKQPKEEPKPSPQETLPAQKESKSKGRDLKSKPDIKDSAVTDEYEFENPRKVTPAKEPEIDPAKLKEIKREEEILKAKQALERKKKLADKAAAKAAIRAQKEAEKKLKDREKKAKKKASGAAGVVANPEDEPKDEVAEATEPEKVVDEIPAPAPVKEKVQKESGIRSRNRARGPDSVPKVILKRKKSNNYWVWVGAAVAALLVLLLAVLGYIYLF >Vigun05g094100.1.v1.2 pep primary_assembly:ASM411807v1:5:9117065:9119526:1 gene:Vigun05g094100.v1.2 transcript:Vigun05g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLCITTNLNLDGVDTNPVFSEATTAVSTIIGKPEKFVMVILKSSVPISFGGNKEPAAYAEIVSMGGLNSEVKRKLIATIGTILQSKLCIPRTRFFLKVFDTTAFSTKSKM >Vigun01g084300.1.v1.2 pep primary_assembly:ASM411807v1:1:23890341:23899756:1 gene:Vigun01g084300.v1.2 transcript:Vigun01g084300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRIGRQESLSDKIYRFRGTLLVVAIPLLLIVFVLYVMPSSSSNESAGDFALVNRKMSPNKKSGGSYAVIFDAGSSGSRVHVFHFDQNLDLVHIGKDLELFVQLKPGLSSYAQDPKKAAESLVPLLEKAESVVPRELRSKTIVRVGATAGLRALEGDASDRILQAVRDLLKDKSSLRSEIDAVTVLDGTQEGAYQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISETDAAMAPKVADGGDPYVREMFLRGRKYYVYVHSYLRYGLLAARAEILKVSDDAENPCILSGYDGSYLYGGKSFKASSSSLGANINECKSVALKALKVNESACTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFADPKSPVAIVRPADFEDAAKQACQTKLENAKSTYPNVDEGNLPYLCMDLVYQYTLLVDGFGIYPWQEVTLVKKVKYDDALVEAAWPLGSAIEAVSST >Vigun01g178400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35933676:35934158:-1 gene:Vigun01g178400.v1.2 transcript:Vigun01g178400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTTAVTTIHLPTESSALRRHNSIAAASPVTKLSLPATTPPQRTTSLELVSLKSPFSASYTSLRDVLPSPNFAVNSPTASAYSGQEISIRNRLVKQAAWAYLQPMSASPGGASTPHFLRRLSAASLDFIFHHLVPAVSRFFRGMFHAIRVHVCTRCYS >Vigun06g184100.1.v1.2 pep primary_assembly:ASM411807v1:6:30355678:30366334:-1 gene:Vigun06g184100.v1.2 transcript:Vigun06g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNPSMSSVSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKACSDSSGAGSASEANAQFYQQEADKLRAQISNLQNNNRQMMGESLGSMTAKDLKNLESKLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAESERSHNMGVLAGGTSYESMQSQQPFDSRGYFQVTGLQPNNQYARQDQMSLQLV >Vigun06g132000.1.v1.2 pep primary_assembly:ASM411807v1:6:25811548:25813160:1 gene:Vigun06g132000.v1.2 transcript:Vigun06g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNLERKKPEMAPHASRVRISFAALIASLVLPLFFPECCYSSSVLIARASAPKSDADLLEFPLNLEYLEAEFFLFGALGHGLDVIAPKLAGGGPPPIGAKAAKLDNFIKDVILQFGLQEVGHLRAIKSTVKGFPRPQLDLSSSSFAKVMDNAVGRTLDPPFDPYANSINYLLASYVIPYVGLTGYVGANPKLQNATSRKLVAGLLGVESGQDAVIRAFLYERKTQLVHPYGLSVGEFTDRISSLRNKLGKAGLKDEGLVVAKVDGAEKEVTGNILAGDRDSLAYSRTPEEILRIIYGTGDEHVHGGFYPKGASGRIARAYLKHSI >Vigun07g227500.6.v1.2 pep primary_assembly:ASM411807v1:7:34945127:34947009:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEVGT >Vigun07g227500.4.v1.2 pep primary_assembly:ASM411807v1:7:34945127:34947009:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEVGDCFEMDTYYGPCNYFYGSSMG >Vigun07g227500.2.v1.2 pep primary_assembly:ASM411807v1:7:34945043:34947016:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEELKQMVH >Vigun07g227500.1.v1.2 pep primary_assembly:ASM411807v1:7:34945043:34947016:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEVIALKWTPIMVLVITSMALLWASLVLTDDFIISSW >Vigun07g227500.5.v1.2 pep primary_assembly:ASM411807v1:7:34945127:34947009:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEVGDCFEMDTYYGPCNYFYGSSMG >Vigun07g227500.3.v1.2 pep primary_assembly:ASM411807v1:7:34945127:34947009:1 gene:Vigun07g227500.v1.2 transcript:Vigun07g227500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITIVGRMSDGLPLAQGLRYMNEEYAYLSCYKQQAQFILQEISRGALTASKMTIHVNNFCFNYLVENGVVFIVLCESTYPRKLAFHCLQDIQKEFEKFDKTLIGKITRPYSFVKFDGIIANISRQYIDTRTQANLSKLNANRKQYLDVASEDFYNIVERKRNSETMRRLPVTPQPESTIWCSPRLEVIALKWTPIMVLVITSMALLWASLVLTDDFIISSW >Vigun06g229100.7.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33647752:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQLMECLIPVEEKSKPRRMLRRVNSAQW >Vigun06g229100.1.v1.2 pep primary_assembly:ASM411807v1:6:33643813:33646518:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQLMECLIPVEEKSKPRRMLRRVNSAQW >Vigun06g229100.3.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33646487:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQV >Vigun06g229100.8.v1.2 pep primary_assembly:ASM411807v1:6:33645089:33645956:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQVCTVSTSLLLQPG >Vigun06g229100.10.v1.2 pep primary_assembly:ASM411807v1:6:33645460:33645956:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEVCFITPHLFY >Vigun06g229100.5.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33646487:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQLMECLIPVEEKSKPRRMLRRVNSAQW >Vigun06g229100.4.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33646487:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQV >Vigun06g229100.9.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33646487:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQV >Vigun06g229100.2.v1.2 pep primary_assembly:ASM411807v1:6:33643817:33646487:-1 gene:Vigun06g229100.v1.2 transcript:Vigun06g229100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVVVTTNPDVAAQSSISSQVWDEVVGGAESQDMAISLHDLLLTSVARNDAVPPSHGGRGKRKAVEEAVVDRATLQKQRRMIKNRESAARSRERKQAYTVELESLVTLLEEENARLLKEEAERRKQRFNQLMECLIPVEEKSKPRRMLRRVNSAQW >Vigun08g212200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37358007:37358282:1 gene:Vigun08g212200.v1.2 transcript:Vigun08g212200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMKKEENCIKVKHVNGTEVEIPGLKVRMSESPTSECELKRSCSEKRECLCSPTTHVGSFRCRQHRVDTMRHSNSVGSSRDFKPSLQPQ >VigunL086901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000659.1:126065:126226:1 gene:VigunL086901.v1.2 transcript:VigunL086901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFHFHPLPWVILVDQSLCCLPTRRYFCGCFEFYLSITKRCWRILMSRTCSI >VigunL016550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:888225:891264:1 gene:VigunL016550.v1.2 transcript:VigunL016550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPIFLERVKGVGVVDVKEVINWGLSRPMLRASGIQWDLRKVDNYECYEEFHWEVQWQKEGDSLARYLVRIGEMIKSIKIIQQALEGLPGGPYKNLEIRCFDREKEPEWNEFEYRFISKKSSPSFELRKQELYVRVEAPKGELGIFLIGDQNGFPWRWKIHSPGFINLHILPQLVKRMKLADIMTILGSIDIIMGEGLQFLKEIYGILWVFVPIFILILGITISVLAIVWLEREISAGIQQLIGPEYTGPFGVLQALADETKLLFKENLIPSRGDIRLFSFGPSISIISIIISYSIIPFSYNFVLSDLNIGVFLWIAISSIVPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLLLSNSLSTVDIVDAQSKYGFWGWNLWRQPMGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFDLFYVASYLNLFVSSLFVIVLYFGGSNFSILYIFVSNFFQINKTYIVFVTIIGIFITLVKTYLFIFVSITRRWTLPRLRIDQLLNLGWKFLLPISLARERKKIFKAIHDMFLLVT >Vigun01g146600.2.v1.2 pep primary_assembly:ASM411807v1:1:32835809:32841639:1 gene:Vigun01g146600.v1.2 transcript:Vigun01g146600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPGSVSATQVGSYFVGQYYQILRQQPNLVHQFYSDSSSMIRVDGDSIESAQDVVQIHSIVSLLSFTSIEIKTINSLDSWDGGVLVMVSGSVKLKDITGRRKFVQTFFLAPQEKGYFVLNDIFHFVEEGVTYPNMIPVASEAIDNQPHVSASLAEPPASDYSLEEEARDYVNSVHIDDDPVDKYSLPEHHQQIHEDLETEIVVEETSAQEASPPIHNVAHTVQEPPAALVEESFEEPPKKTYASILRVSKGLPMLSAAPQHVPQYTFKSAPPPSEVNHVAQPVIQQSSPASMYVPESGSEPADEGYGLEDEGEVTSVYVRNLPANVTEAEIDQEFKNFGRIKPDGIFIRVRKEIGVCYAFVEFEDIVGVQNALQASPIQLAGRQVYIEERRPNSGTAVRGGRRGRGRGSYQADAPRGRFGARNMGRGSNLDNSDYSRLRGDGYLQRSSR >Vigun01g146600.1.v1.2 pep primary_assembly:ASM411807v1:1:32835809:32841639:1 gene:Vigun01g146600.v1.2 transcript:Vigun01g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPGSVSATQVGSYFVGQYYQILRQQPNLVHQFYSDSSSMIRVDGDSIESAQDVVQIHSIVSLLSFTSIEIKTINSLDSWDGGVLVMVSGSVKLKDITGRRKFVQTFFLAPQEKGYFVLNDIFHFVEEGVTYPNMIPVASEAIDNQPHVSASLAEPPVASDYSLEEEARDYVNSVHIDDDPVDKYSLPEHHQQIHEDLETEIVVEETSAQEASPPIHNVAHTVQEPPAALVEESFEEPPKKTYASILRVSKGLPMLSAAPQHVPQYTFKSAPPPSEVNHVAQPVIQQSSPASMYVPESGSEPADEGYGLEDEGEVTSVYVRNLPANVTEAEIDQEFKNFGRIKPDGIFIRVRKEIGVCYAFVEFEDIVGVQNALQASPIQLAGRQVYIEERRPNSGTAVRGGRRGRGRGSYQADAPRGRFGARNMGRGSNLDNSDYSRLRGDGYLQRSSR >Vigun03g299000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48699497:48701440:-1 gene:Vigun03g299000.v1.2 transcript:Vigun03g299000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRLLRTRKRSSLPTLSFATLTKHQNITFATPSHHHVSRHSHQGELNDNSIIASNKLITSYVRCGDLDSAVRVFDNMKVKSTVTWNSILAAYAKKLGHFEYARQLFEKIPQPNVVSYNIMLACHWHHFGVHDARCFFDSMPVKDVASWNTMISGYAQVELMGEAQRLFSVMPEKNCVSWSAMVSGYVACGDLDSAVACFYAADVRSVITWTAMITGYMKFGRVELAERLFGEMTMRTLVTWNSMIAGYVENGRAEDGLRLFRIMLETGVKPNALTLTSVLLGCSNLSALQLGKQIHQLVCKSPLSSDTTAGTSLVSMYSKCGDLKDAWGLFVKISRKDVVCWNAMISGYAQHGAGEKALHLFDEMKNEGMKPDWITFVAVLLACNHAGLVDLGVQYFNTMVRDIGIETKPEHYACMVDLLGRAGKLAEAVDLIKSMPFRPHPAIYGTLLGACRIHKNLHLAEFAAKNLLELDPTIATGYVQLANVYASQNRWDHVARIRRSMKENNVVKTPGYSWIEINSVVHEFRSSDRLHPELASIHAKLNDLEKKMKLAGYVPDLEFALHDVGEELKEQLLLWHSEKLAIAFGLLKLPLGVPIRVFKNLRVCGDCHSATKYISAIEGREIIVRDTSRFHHFKDGFCSCTDYW >Vigun01g234200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40594709:40595442:1 gene:Vigun01g234200.v1.2 transcript:Vigun01g234200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGARSAEIYILEKRQKEKMKRMEEERVRRGEVSGEERKVSSSGVGKNKVHPGSEQGATTDNVFRA >Vigun03g356700.1.v1.2 pep primary_assembly:ASM411807v1:3:55816318:55816787:1 gene:Vigun03g356700.v1.2 transcript:Vigun03g356700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNVYLSGLKTFVTLILIISLTLFPSNSVGSGSTKDGKGLKQKKLVLGSRPPRCLNKCLSCKPCMAALVISPHHRVSHIHKATTAQRDEGYYLLSWKCKCGNKFFQP >Vigun05g125300.1.v1.2 pep primary_assembly:ASM411807v1:5:14014106:14018117:1 gene:Vigun05g125300.v1.2 transcript:Vigun05g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVVRNFCSVASGFPNCRQNQTLVPTHHQFQLRNPLLRLKKQPFHSITHFKSSRTTQKPRPTFVVFAAQSNFLKVLQNAWRVGRDGVEAGTNLVPNSVPRPIARISVTVVALSVTLFVLKAFFSTAFFILATIGLSYFAYLAFNKDQGSRGNKETTSTSMDDPVEEARKIMEKYK >Vigun08g003500.2.v1.2 pep primary_assembly:ASM411807v1:8:323755:328447:1 gene:Vigun08g003500.v1.2 transcript:Vigun08g003500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVEMKDQATPSQSVSSALPSALQHLKGISSIIESGSYSKEVRRIARALRLTFALRRKLTAPLLSSFLDYVLVPASELHAKLSSYLPSPKEGDREMEVDSATSAIQNPVAKHLLPELEIYCYLLVLLFLIDQKRYDAAKACSSASIVRLKSLNRRTVDVIASRLYFYYSYSYELAGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPSAARGFRIQCNKWALIVRLLLGEVPERNVFMQRGMEKALRPYFELTNAVRIGDLDLFMNVAEKFGTTFNRDGTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLNSANPVADAESIVAKAIRDGAVEASLDHADGWMVSKETGDIYSTNEPQFAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERHQQEQELAKHIEEEDDDDF >Vigun08g167100.1.v1.2 pep primary_assembly:ASM411807v1:8:33866325:33869390:1 gene:Vigun08g167100.v1.2 transcript:Vigun08g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRRRRVVHRRKPLSDITNTSPPVPPEPRKTNPSSSSTPSSALDNRASESSTVAAAAATNLDDAPNPTPPSPPVPSTPSIRAPSLPADSITPPSLQTVDVEASDDVEASEPVQSISVVYSRRRSSNKRKKDKGKAVAVPVSTTPNLKISDSREKNDEFEGLNLSKAKALTFPRAKKQRTSSSEKDAVKDHQLQDYIEKQNAYFKEIDEFELEVESGDDLD >Vigun03g303300.1.v1.2 pep primary_assembly:ASM411807v1:3:49328876:49332443:-1 gene:Vigun03g303300.v1.2 transcript:Vigun03g303300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKEGQDTQDELKRRNLRDELEDRERRHFSSKNKSYDDRDHGKGSHLFLEGSRREIEDHIVARSVDADDSDVEVKSDDESDDDDDDEDDTEALLAELEQIKKERAEEKQRKERQQQEEELKVKEAELLRGNPLLNNPTSFNVKRRWDDDVVFKNQARGETKIAKRFINDTIRNDFHRKFLQKYMK >Vigun07g150400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26077552:26080015:1 gene:Vigun07g150400.v1.2 transcript:Vigun07g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVSHSSNSQDPKHSQIEAQTIPMATLTDDSSLQQIQSLRNLNGKLLKETTERRQQIDSLQSEIRRSAVSYNDILAAFHIENVVASVFVDSQVKEMNLCFDMLLGEKDREVEGLNRQLESLAVRFRNETGVLVKERDRLVYQTKVLGENVEREVKLRGEAEKSRVEGEKLLSQKQRDVAELKTERDSALKSSRESLLAVETLKEEIEAVRREKDEFLKVSENQKQKIGGLEEELTRVNECLKMQEESTRVRLDGVGNKLGLATQRVEEMKKENISLHQEKKEIEKVVEMLTEENAGVRKSLNVAMKELEDKQHKVDETVRVKGEIEEAKVNLESEIVGLREKINELQESYMKELEDKQHEIDAAFRVKGEIEQVKVNLESEIVELRQKINELRKSYNEELEDKQHEVDAAVRVKGEIEEVKVNLESEIVQLRQKISELKESHKKESEDKQREVDAAVRVKGEIEEVKVNLESEIVQLREKISDLKKSYTKFETENKQLLSEVESYRNAVEKERVEKGNMKKGFDEERKRVEKLELLISKLKETVVKREADLGQLRNDRDKLVENEKKLEGNVSVLRKENDALQSKLLEARKEVEELSGKVDVWCKNWNKALALLKHTATLVSQQKGIEEEVVANGKNVEEMEEIAVEEVEKIKKAFESKEEMLDEMKQKVVSLNKSVVEAHKSKNMWTVLSSATTIFAAALVAYVARGR >Vigun03g009800.1.v1.2 pep primary_assembly:ASM411807v1:3:675752:680875:1 gene:Vigun03g009800.v1.2 transcript:Vigun03g009800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSSFAVSTSLTRYDVFLNFRGEDTRDNFISHLNGELERKHVEAYIDYRLLRGEEISPALETAIEESKIYVLVFSQNYASSTWCLNELTKILDCKKRYGRDVIPVFYKVDPSTVRKQEERYKEAFEEHEVQFKEEMEKVQGWKDALTEAAGLSGWDSNVIRLEKTLVEGIVEDILRKLNRYDIRYDQGIIGIEKHIEGIRSLLHLKSPDIRVIGICGMGGIGKSTISEQLYHTLAVHFDSRSLVLDVQEKIERDGTSSIITKYQSELLKETPSPHLSFLNKRLKRTKVLLILDDMIDCGVLNAVMRVRDSFGQGSRIIMTSRDRQVLKNAGADDIYELKKLNFYDSLKLFNLHAFKQNSSDESTYMDLSIKVLRYAKGIPLVLRLLGSLLYGRKREAWESQLQKLKKCQDLKIFNVLKLSYDGLDEEQKNIFLDIACFYRGHNESMVVEILDDCGFSSLIGMNILKDRCLISILDGRIVMHNLIQEMGQEIVRKECPQHPAKHSRLFKADEIHEILRNNKGSDAIQCILQDVDEMKEVKVHAKAFKMMNNLRMLMLYSYSWSSHSNVFLESSLGSLPDTLKILYWVGFQQKSLPPKFCPQNLVRLEMPCCHLEQLWEGDQNLPNLRRLNLSCSLNLTRIPDLSLSPNIEEIILSYCPKLIEVHSSILLSKLSCLRLDRCNDLKSVTVPNNILSRSPGLILLPECGKLEMFSSSQHGFPQRGNSSSFPLSKLQLRKPRDFPISHPKRPEYQLGTFSSFARGVFPISPPQKPKYQLGPFPRSSIRDALPEFDTDLQSNVSYLCDDSSTRKSPPSEIFSIIFVRYQEEEVTNDNIYVYDERPIQLTGGVPLNFRSLKNLCLIDLSNCSSLTILPFDLSEMKFLKHLYLGGCSKLETFPEIEETMEDLAVLVLDNTAIQALPSSLWRLVGLQELSLRSCSNLEIIPSFIGSLTRLCKLDLAYCESLQTFPSSIFKLKLKKLDLCGCLRLTTFPEITEPTQTFAHINLSETLIKELPSSFGNLVKLRSLQLNKCTDLESLPDSIVNLFDLRKLDCSGCA >Vigun04g165100.8.v1.2 pep primary_assembly:ASM411807v1:4:38966477:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.11.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKGKRKVSLLLTMILIIVPTIVSVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKILNKQIC >Vigun04g165100.7.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKGKRKVSLLLTMILIIVPTIVSVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.1.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKGKRKVSLLLTMILIIVPTIVSVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQGANEFKNEVLLIAKLQHRNLVTFIGFCLEDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.6.v1.2 pep primary_assembly:ASM411807v1:4:38966477:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.5.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQGANEFKNEVLLIAKLQHRNLVTFIGFCLEDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.9.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.10.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971525:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.3.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971524:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKVGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQGANEFKNEVLLIAKLQHRNLVTFIGFCLEDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.4.v1.2 pep primary_assembly:ASM411807v1:4:38966477:38971521:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKGKRKVSLLLTMILIIVPTIVSVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDQNKILIYEYVLNKSLDYFLFDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun04g165100.2.v1.2 pep primary_assembly:ASM411807v1:4:38966533:38971525:-1 gene:Vigun04g165100.v1.2 transcript:Vigun04g165100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELVSTSMASCNIFFLFILVSFLTFATAHAQSDSDPVYLFHNCPMGNTTDGSTFQSNLNILLSSLSDNAPDNNGFYNNTVSGQNPSDSVFGLFMCRGDVPSQLCHQCVQNATHRLSSECSLAKQAVIWYDECTVRYSNNSFFSTFSTRPRIGLLNTANISNQESFMTLLFQTMNKTANEAAAAVGNKFATRQAYISGFQNLYCLAQCTPDLSLSDCRRCLSGVIGDLPWCCQGKQGGRVLYPSCNVRYELYPFYRSIEGPEDSGFSEDPTYLNHNCSTNVTAGSTFKMYVETLLTYMSTNATDGKMFYKGSVENIVNGLFMCQGGLPSGLCQQCVTKATLRISSACNSFQEGVIWYSHCMLRYSYRYFFSRVQKIPTFQLLKVTSASSSAPEQEFFAFTLSTMLANLAKETQDSDERYLTKSSKLNALQTLYALSQCTQDLSSDDCRGCLEDINGNIPWSLLGSVGGRVLNPSCSLRFELFQFYGFGDQPQRPKSGDPSPSPHKGKRKVSLLLTMILIIVPTIVSVILFFLVYYLIKSKVRKSAKTILKENFGNESVTLEPLQFSLTVIEVATNNFSKENRIGKGGFGEVYKGILLDGRQIAVKKLSKSSKQDSQQANLLGWSDRYNIIGGIARGILYLHEYSRLKVIHGDLKPSNILLDENMIPKISDFGLARIIEIHEDQASTNRIVGTYGYMSPEYAMLGHFSEKSDVFSFGVMVLEIITGKRNANSYDQPYGVGDGLSSYVWRQWRSDSLCILDPSIKEKYCEEEVIKCTQIGLLCVQQNPDARPTMMEVVSYFSNHSIELPDPEEPAFFLHGRMDSRPVPHSKQHTNGHTPFSINEMPTSQFLPR >Vigun07g121600.1.v1.2 pep primary_assembly:ASM411807v1:7:22418846:22422652:1 gene:Vigun07g121600.v1.2 transcript:Vigun07g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFTLASILTAISALLFLATYLTNTPTRDTQKHLHFQKHIQLAQSTCQGTLYPDLCVSTLATFPDLTSKSVPQVISSVVNHTMYEVKSSFYNCTTLKKKIRNLNPLDQRALDDCLKLFEDTNVELKTTIDDLSKTTIGSKRHHDLQTLLSGAMTNLYTCLDGFAYSKGRVRDRIEKKLLEISHHMSNSLAMLKKVPQVKRTTSKSEVFPEYGNMKKGFPSWVSPNDRKLLQASVNETKFDLVVAKDGTGNFTTIGEALAVAPNSSTTRFVIHIKAGAYFENVEVIRKQTNLMFVGDGIGKTVVKGSRNVVDGWTTFQSATVAVVGEGFIAKGITFENSAGPDKHQAVALRSGADFSAFFRCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYARKPNENQKNLFTAQGREDPNQNTGISILNCKIAAASDLVPVKSSFKSYLGRPWKTYSRTVYLKSYMEDLIDPAGWLEWNDTFALDTLYYGEYMNKGPGSNTSGRVTWPGYRVINSSTEATQFTVAEFIQGSDWLNTTGIPFFSGLS >Vigun01g163400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:34510363:34512850:1 gene:Vigun01g163400.v1.2 transcript:Vigun01g163400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAELRGGMKSLGYHVITGRWFMLFASLLIMSVAGATYMFGAYSNEVKTSLGYDQSTLNLISFFKDLGANVGVISGLVNEVTPPWVVLSIGVLMNFFGYFMIWLAVSGRIAKPQLWKMCLYICIGANSQTFANTGALVTCVKNFPGSRGSLLGLLKGYVGLSGAIITQLYHAFYGDHNPQALILLIAWLPAAVSFLFLPTIRIFNTVHQPKNNRVFYHLLYISLFLAGFLMVLILVQNKLSFSRIEYTVDGLVVLSFLLLPLAVVFREEVNHLKAKTQGLTDSAPELKVVTEVTPPQIIDQEGLPNTTSSHEKSSCFRNILNPLRGEKTTPFCKHFSVWTC >Vigun09g077700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8946162:8950501:1 gene:Vigun09g077700.v1.2 transcript:Vigun09g077700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSLSGGIPEDLRMSQKLVYLNLSHNTLEGELNLKGLTQLQTVDLSVNRFRGELGLSFPAICDSLVTLNVSENHLSGGIHGFFDQCLSLQHLDLSTNHLNGTLWTGFSRLREFSISENFLTGVVPPQAFPVNCSTENLDLSVNLFVGKVPKEVSNCKNLVVLNLSSNNFTGDIPREIGSISGLEGLFLANNTFSRDVPETLLTLNNLFILDLSRNKFGREVQEIFGKFKQVKFLVLHSNSYTGGLNSSGIFTLTNLRRLDLSFNNFSGPLPVEISEMSSLAFLTLTYNQFSGSIPPELGKLNRLMALDLAFNSFSGTIPPSLGNLTTLLWLTLSDNSLTGEIPPELGNCSSMLWLNLANNKLYGKIPPDLTRIGRNARATFESNEKNLGGVVAGNSECLAMKRWIPADYPPFSFVYTILTRKNCRALWDRLLKGYGIFPMCTSEPSARSSHIAGYIQLSGNDLSGEIPSEIGTMVNFSMLHLGDNKFTGKFPIGMTGLPLVVLNMTRNNFSGEIPSEIGDMKCLQDLDLSRNNFSGTFPISLVHLDELSRFNISYNPLISGTVPPSGHLLTFDKDSYLGDPFLKLPKFFNISDDRNSTLQRVLKNPTKWSLVLALGLAIVVFGLLFLVICFLVKSPSVEPGNLMKNMKNIKKKKAHDSGSSGSSPWYSDTVKIFHLNKTVFTHADILKATSNFTEERVIGRGGYGTVYRGSFPDGREVAVKKLQREGTEGEKEFRAEMKVLSGHGFSWPHPNLVTLYGWCLYGSEKILVYEYIGGGSLEELVTDTKRLKWKRRLEVAIDVARALVYLHHECYPSIVHRDVKASNVLLDKNGKAKVTDFGLARIVDVGDSHVSTIVAGTVGYVAPEYGQTWKATTKGDVYSFGVLVMELATGRRAVDGGEECLVEWTRRVMMDCGRQGLGESVQVLLKGSGVVEGAKEMGELLQVGVKCTHDAPQARPNMKEVLAMLIKIYDPIGDSNYGHLV >Vigun09g077700.1.v1.2 pep primary_assembly:ASM411807v1:9:8946162:8950501:1 gene:Vigun09g077700.v1.2 transcript:Vigun09g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAKTTKSTQSTRKEPGSSLMSEQETDSWGFLFVCFLILFSGKLVVGDSLATDAEVLLKLKSYLLNQTRTNRGGYINWNKNSSNPCYWSGIACSLLNGTTTRVVKVDISYSEIYGNIFDGFSNLTELRHLDMSWNSLSGGIPEDLRMSQKLVYLNLSHNTLEGELNLKGLTQLQTVDLSVNRFRGELGLSFPAICDSLVTLNVSENHLSGGIHGFFDQCLSLQHLDLSTNHLNGTLWTGFSRLREFSISENFLTGVVPPQAFPVNCSTENLDLSVNLFVGKVPKEVSNCKNLVVLNLSSNNFTGDIPREIGSISGLEGLFLANNTFSRDVPETLLTLNNLFILDLSRNKFGREVQEIFGKFKQVKFLVLHSNSYTGGLNSSGIFTLTNLRRLDLSFNNFSGPLPVEISEMSSLAFLTLTYNQFSGSIPPELGKLNRLMALDLAFNSFSGTIPPSLGNLTTLLWLTLSDNSLTGEIPPELGNCSSMLWLNLANNKLYGKIPPDLTRIGRNARATFESNEKNLGGVVAGNSECLAMKRWIPADYPPFSFVYTILTRKNCRALWDRLLKGYGIFPMCTSEPSARSSHIAGYIQLSGNDLSGEIPSEIGTMVNFSMLHLGDNKFTGKFPIGMTGLPLVVLNMTRNNFSGEIPSEIGDMKCLQDLDLSRNNFSGTFPISLVHLDELSRFNISYNPLISGTVPPSGHLLTFDKDSYLGDPFLKLPKFFNISDDRNSTLQRVLKNPTKWSLVLALGLAIVVFGLLFLVICFLVKSPSVEPGNLMKNMKNIKKKKAHDSGSSGSSPWYSDTVKIFHLNKTVFTHADILKATSNFTEERVIGRGGYGTVYRGSFPDGREVAVKKLQREGTEGEKEFRAEMKVLSGHGFSWPHPNLVTLYGWCLYGSEKILVYEYIGGGSLEELVTDTKRLKWKRRLEVAIDVARALVYLHHECYPSIVHRDVKASNVLLDKNGKAKVTDFGLARIVDVGDSHVSTIVAGTVGYVAPEYGQTWKATTKGDVYSFGVLVMELATGRRAVDGGEECLVEWTRRVMMDCGRQGLGESVQVLLKGSGVVEGAKEMGELLQVGVKCTHDAPQARPNMKEVLAMLIKIYDPIGDSNYGHLV >Vigun09g201700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:37601183:37601798:-1 gene:Vigun09g201700.v1.2 transcript:Vigun09g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKKEGFRLMENGEAERCRMKKKVLVHLASGEVVSSYGSLEQILSNLGWERYYGRDLQLYQFHKHSSTDLISLPKDFSKFTSVYMYDIVIKNPNVFHVRDN >Vigun06g111300.7.v1.2 pep primary_assembly:ASM411807v1:6:24026764:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELLQLPLLQLQQRFVSSLCLLNPFYRPLSTSPYPVSGALCLFFFFFSFHFCLKLIFWISLHFPRLRFRRLLLFCYFWTPLREIPGEENLLLTDNMEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPVYQERR >Vigun06g111300.3.v1.2 pep primary_assembly:ASM411807v1:6:24026736:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTLPVSHNMKQPVHTTRRASLPFPQSCAIQLPPRRSSTGLLCNVTSPNISVNSPRIDRIAEFPLASYEDQLFPINRCSPNSVQGSSGSPPCGNDSTLIDKCTIKVCDTSYVKPGCTDAWQGIKRSMLKKNNEDKSGSSDQNATAGASSHNSSDLHCRQFDTSSFQQRAEALEGLLEFSARLLQQERYGELGVLLKPFGPGKASPRETAIWLSKSFKENTFSREQST >Vigun06g111300.1.v1.2 pep primary_assembly:ASM411807v1:6:24026491:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTLPVSHNMKQPVHTTRRASLPFPQSCAIQLPPRRSSTGLLCNVTSPNISVNSPRIDRIAEFPLASYEDQLFPINRCSPNSVQGSSGSPPCGNDSTLIDKCTIKVCDTSYVKPGCTDAWQGIKRSMLKKNNEDKSGSSDQNATAGASSHNSSDLHCRQFDTSSFQQRAEALEGLLEFSARLLQQERYGELGVLLKPFGPGKASPRETAIWLSKSFKENTFSREQST >Vigun06g111300.4.v1.2 pep primary_assembly:ASM411807v1:6:24027981:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTLPVSHNMKQPVHTTRRASLPFPQSCAIQLPPRRSSTGLLCNVTSPNISVNSPRIDRIAEFPLASYEDQLFPINRCSPNSVQGSSGSPPCGNDSTLIDKCTIKVCDTSYVKPGCTDAWQGIKRSMLKKNNEDKSGSSDQNATAGASSHNSSDLHCRQFDTSSFQQRAEALEGLLEFSARLLQQERYGELGVLLKPFGPGKASPRETAIWLSKSFKENTFSREQST >Vigun06g111300.6.v1.2 pep primary_assembly:ASM411807v1:6:24026672:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTVRQARVNQFLMFALWYIMNKLKHVISVA >Vigun06g111300.5.v1.2 pep primary_assembly:ASM411807v1:6:24026764:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELLQLPLLQLQQRFVSSLCLLNPFYRPLSTSPYPVSGALCLFFFFFSFHFCLKLIFWISLHFPRLRFRRLLLFCYFWTPLREIPGEENLLLTDNMEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTVRQARVNQFLMFALWYIMNKLKHVISVA >Vigun06g111300.2.v1.2 pep primary_assembly:ASM411807v1:6:24026764:24033033:1 gene:Vigun06g111300.v1.2 transcript:Vigun06g111300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELLQLPLLQLQQRFVSSLCLLNPFYRPLSTSPYPVSGALCLFFFFFSFHFCLKLIFWISLHFPRLRFRRLLLFCYFWTPLREIPGEENLLLTDNMEQYEVLEQIGKGAFGSALLVKHKHEKKKYVLKKIRLARQTERSRRSAHLEMELLSKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVMFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDIQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLGNQHLQPYVRKVHLKINSPRRSTLPGIWSESNYMKKARFLKSEDDHVSVSGYKWHSISNDRTLNPSVSGAEVDSLCSTLEIDCTPDNLNQRFAKLSIGDNHDLKSQHKSAVSRTSSNARIPTLTTSKASATPKKSMVSSKNHKTLPVSHNMKQPVHTTRRASLPFPQSCAIQLPPRRSSTGLLCNVTSPNISVNSPRIDRIAEFPLASYEDQLFPINRCSPNSVQGSSGSPPCGNDSTLIDKCTIKVCDTSYVKPGCTDAWQGIKRSMLKKNNEDKSGSSDQNATAGASSHNSSDLHCRQFDTSSFQQRAEALEGLLEFSARLLQQERYGELGVLLKPFGPGKASPRETAIWLSKSFKENTFSREQST >Vigun02g157000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30327495:30329113:1 gene:Vigun02g157000.v1.2 transcript:Vigun02g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKFQGDENHHQHSAQIMTAKVPITILNNPFLSAVTATTATNSVSEFSFSLSSNFTSGPSLRVSYTPTASQPFSLSLKSGLGLLGSPAHSPLVFSANFSLSPTPTPFFSLQFKPQFGHFSLHKTVFSDTPPPPSPLPPTPQIVSDESSSGWQNLKLEPCDNRDQSHPNVLENSNNDGKHALSPGIRVMARTVVPVTKGFFLNFRWGVNFPRNFGSKMPSLTVNKIGLERVEDGKENNNNDNSQKKRVGSEVDLQVLKEMCFWMGRDLENVERENREMKNALDEMKMGISTARKEVVLQPNRVSKTSSEESQRRRSYKSGRQEDEKKVQPNKSQSVATDVESELQKAIKAATS >Vigun06g034000.1.v1.2 pep primary_assembly:ASM411807v1:6:14428412:14430934:1 gene:Vigun06g034000.v1.2 transcript:Vigun06g034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKASYTVVPNEPTPEGFQWLSEPDQVARQSHTPTIYVYNAKHNHDALVERIRNSLSKILCYYYLVAGRLRKLEEGGRLELNCNAKGAVLIDAESTKTVHDYGDFMGDSAKDLVPKVEYTNTLIEELPLLVVQVTSFLGDEAFSIGVAISHTLSDGVASIQFINSWAKLARGETLEPHEMPFLDRTVLKFTEPPQSPRFEHQEFKPLPLILGRSDTTIEKSKRVEAMTLKLTAEQVEKLKNKANADKSREGSRPYSRFEAIGAHVWRCASKARGLDENQPTLLRFNADIRSRVIPPLPRNYFGNALVLKTASSRAGEILSNSLGHAAQKIREAVEELTYEYIKSQIDLIRSQDDMDEARALSFGINEGKDAVFVGNPNLRITSWLSMSMNEADFGLGKPIYLGLAGGTVQERALITHSPDADASIFLFLHFQMEHIQLFKNYFYDEI >Vigun03g235600.1.v1.2 pep primary_assembly:ASM411807v1:3:39215647:39219578:1 gene:Vigun03g235600.v1.2 transcript:Vigun03g235600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNIKRTIIFRICLRYRMAENKDLETAIRRLASVKNHLLSAPAASPELTLTRTSTSDNSFGRVHGHVPSHDVVWKTASDDFTDIVYEKAVGEGIAKISINRPERRNAFRPKTVKELMRAFTDARDDSSVGVVILTGKGTKAFCSGGDQALRTDDGYSDDGGFSNLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRLYDAVEAEKMGLVNTVVPLESLEKETIKWCREILSNSPTAIRVLKSALNAVDDGHSGLQELGGNATLIYYGTEEAKEGKTAYLQRRRPDFSKFKRRP >Vigun03g235600.2.v1.2 pep primary_assembly:ASM411807v1:3:39215647:39219632:1 gene:Vigun03g235600.v1.2 transcript:Vigun03g235600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNIKRTIIFRICLRYRMAENKDLETAIRRLASVKNHLLSAPAASPELTLTRTSTSDNSFGRVHGHVPSHDVVWKTASDDFTDIVYEKAVGEGIAKISINRPERRNAFRPKTVKELMRAFTDARDDSSVGVVILTGKGTKAFCSGGDQALRTDDGYSDDGGFSNLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRLYDAVEAEKMGLVNTVVPLESLEKETIKWCREILSNSPTAIRVLKSALNAVDDGHSGLQELGGNATLIYYGTEEAKEGKTAYLQRRRPDFSKFKRRP >Vigun05g062100.1.v1.2 pep primary_assembly:ASM411807v1:5:5348320:5350774:1 gene:Vigun05g062100.v1.2 transcript:Vigun05g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKNNLKDQEHYFHSSWTIAKLITSFFLLVSISYLFYSLGFITHSNDCPPKKPYPLPTTTLVSTTTPPPPQHTPKPSEKTNISHIVFGIGASSRLWSQRKEYIKLWWRPKETRGIVWLDQEVKTEAGDETLLPTLRISSDVSKFKVKNPREELGVRISRIVSETVRLGMENVRWFVMGDDDTFFVTENLVKVLQKYDHNQFYYIGANSESHLQNIHFSYNMAYGGGGFAISYPLAVTLERMQDQCLQRYPALFGSDDRIQACMAELGVPLTKEIGFHQFDVYGSVFGLLAAHPVTPLVSMHHLDVVEPIFPNVNRVEALQKLIGPMRLDSYGLMQQSICYDKARHWTVSVSWGYAVQIFRGIFLARDMEMPGRTFLNWIRRADYTGFPFNTRPFSRNACLKPFVFYLDNAIYDGVADETVSDYVRVQPNPDCRWKMPDPTQISMIRVYKKLDSHLWDKSPRRNCCRFRPTEEEGTMVIEVGECRKDEFSS >Vigun05g062100.2.v1.2 pep primary_assembly:ASM411807v1:5:5348320:5350774:1 gene:Vigun05g062100.v1.2 transcript:Vigun05g062100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKNNLKDQEHYFHSSWTIAKLITSFFLLVSISYLFYSLGFITHSNDCPPKKPYPLPTTTLVSTTTPPPPQHTPKPSEKTNISHIVFGIGASSRLWSQRKEYIKLWWRPKETRGIVWLDQEVKTEAGDETLLPTLRISSDVSKFKVKNPREELGVRISRIVSETVRLGMENVRWFVMGDDDTFFVTENLVKVLQKYDHNQFYYIGANSESHLQNIHFSYNMAYGGGGFAISYPLAVTLERMQDQCLQRYPALFGSDDRIQACMAELGVPLTKEIGFHQFDVYGSVFGLLAAHPVTPLVSMHHLDVVEPIFPNVNRVEALQKLIGPMRLDSYGLMQQSICYDKARHWTVSVSWGYAVQIFRGIFLARDMEMPGRTFLNWIRRADYTGFPFNTRPFSRNACLKPFVFYLDNAIYDGVADETVSDYVRVQPNPDCRWKMPDPTQISMIRVYKKLDSHLWDKVGSLFSQV >Vigun10g169600.1.v1.2 pep primary_assembly:ASM411807v1:10:38879028:38882614:1 gene:Vigun10g169600.v1.2 transcript:Vigun10g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVERKKLIKGKVVLVQKSVAQTINTPQGLLTVGAKIVNNIPTLDITKSVSFKLISSTESENPTTLAGKVGKDTYLENNVSVVRTVQEIKEEFDIYFEWDRNEMGSPGAFYVTNQMDEEFFLVSLTLEYPSQHDNHTNIYFDCNSWVHNRSCYKTDRIFFANVPYLPGTTPVQLQTYREAELSNLRGDGTGLRQKWDRIYDYDVYNDLGFLASDAPTDHPVLGGLNYPYPRRVRTGRQLIQNNKNGEYYEVPADTYYVPRDENFSDQKILEFAQLSKTALGGSLGPLVLSMYLNTTSNEFNGIEEVLKMYEGGVNLPISITGNTPPSALNFPTPDVIKESKFAWMTDEEFAREMIAGVNPNVIRLLKNKEELVLPWTSTCKCERITVTEEDLEINMDGIKVDEAIKNRKLFVLDYYETFMAYLAKINDLPSIKAYATRTFLILKEDGTLKPLAIELSKPYICPCGLQFVETTVVLPADKGVESTIWQLAKAHVNVNDTGYHELISHWLHTHAVTEPFAISTHRNLSVLHPIYKLLYPHFRDTFNINSLARKSLISAGGIIEQTFLPGPYSMEMTAAVYKNWVFADQGLPKDLIKRGLAVKDVSAAHGLRLAIEDYPYAVDGLEIWNAIKLWVQDYVDLYYSDDGAVEDDWELQTWWTEAVEKGHGDLRAPWPQLHSSQDLVEICTTIIWVASALHAAVNFGQYSYGGYIVNRPTQSRRWIPKAGTEEYEEAKNNPQEAFLKTITAKYETIIDISVMELLSTHSSDEVYLGQRDSLIWTADKEANALFKRFTDDLARIEKDISDRNNNKELKNRTGPVQLPYTVLLPTSEPGLTFRGIPNSISI >Vigun01g227900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40039995:40041796:-1 gene:Vigun01g227900.v1.2 transcript:Vigun01g227900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLMTSFCWAVDSAIRSETASNSGSSPVHSFASVVDYALRSSSASEPDLGTSRVPSFGSRVDYVISSSDGERTDVRAEGSSREASSVTGNLVRGFQLFSKAELVAAINNFSLQNKIGGGAAYIGKLLDGREVAIKRVERMISIKSKLAILPRLHHKHLVGLVGFCEEKDETLLVYEYLKNGALYDHLHEKKNVEKGSSVLNDWKMRIKIALDASRCIEYLHNAVPSIIHRDIKSSNILIDATWTARVSDFGLSMMSPEPDRDHRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKYGEDGGIFGTFMSVVDFAVPRILAGELVKILDPRVGPPDVNEAEAVELVAYIALHCVNLEGKDRPTMTDIVNNLERALAI >Vigun06g186800.1.v1.2 pep primary_assembly:ASM411807v1:6:30587780:30592145:-1 gene:Vigun06g186800.v1.2 transcript:Vigun06g186800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEERRFGIIIRIQKSTEEGAIQCHFFFSFFSPSIKLKSLFPAAGIRMMKITPQISDSNATTKPQDGRELNIVVHQTVPGVRSLPELDKTQKRQIYIAAASGDWDEASSYPINHWWLIPLNGVGITALHVAVSMEQTRFVENVVKRMDIKDLESCKAERNTALCLAAITGNVEIAKILLRKNPMLLWIRDENGMLPIQLASSAGHILITDFLFGKTLEDPHHNNKIPFQDIKKLFFSTINNKLYTVASKLLNSELKLVTAENEEGLTALQMLAQFSLCEEIIGYGDIVDSVFDAMEKQRDSIKHAQLSKAMFDAAKSGNTDILELLLEYHPDLLFEVNSSNQSLLHIAILHRQKSVYKLILSNRAAKNIMTKLVDSHLNTVLHLAGKMGQPQEKPGLSTNHVVMSSEERWFQAVEKIVPPATKRMRNKDGLTPKELFYQTHKELHKESLSELQGKANTLLVVATLVISLGITGGMTVPIENIDGTKTPFFGRKIWYTFFFLSIAFGSCLCVSSMFFYASVILPASWAKPKEECVRMQQTKLVFGNVTLFGSLAIMFTAVISGSVLVFEFLSSWILYFICGLGLTILLVHLTLDYNRWIGIACSVLSYLEDAPTDRATILRPISKMCHFFLTFAKKKGLNVVQ >Vigun06g186800.3.v1.2 pep primary_assembly:ASM411807v1:6:30587780:30591250:-1 gene:Vigun06g186800.v1.2 transcript:Vigun06g186800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRFVENVVKRMDIKDLESCKAERNTALCLAAITGNVEIAKILLRKNPMLLWIRDENGMLPIQLASSAGHILITDFLFGKTLEDPHHNNKIPFQDIKKLFFSTINNKLYTVASKLLNSELKLVTAENEEGLTALQMLAQFSLCEEIIGYGDIVDSVFDAMEKQRDSIKHAQLSKAMFDAAKSGNTDILELLLEYHPDLLFEVNSSNQSLLHIAILHRQKSVYKLILSNRAAKNIMTKLVDSHLNTVLHLAGKMGQPQEKPGLSTNHVVMSSEERWFQAVEKIVPPATKRMRNKDGLTPKELFYQTHKELHKESLSELQGKANTLLVVATLVISLGITGGMTVPIENIDGTKTPFFGRKIWYTFFFLSIAFGSCLCVSSMFFYASVILPASWAKPKEECVRMQQTKLVFGNVTLFGSLAIMFTAVISGSVLVFEFLSSWILYFICGLGLTILLVHLTLDYNRWIGIACSVLSYLEDAPTDRATILRPISKMCHFFLTFAKKKGLNVVQ >Vigun06g186800.2.v1.2 pep primary_assembly:ASM411807v1:6:30587780:30592100:-1 gene:Vigun06g186800.v1.2 transcript:Vigun06g186800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKITPQISDSNATTKPQETQKRQIYIAAASGDWDEASSYPINHWWLIPLNGVGITALHVAVSMEQTRFVENVVKRMDIKDLESCKAERNTALCLAAITGNVEIAKILLRKNPMLLWIRDENGMLPIQLASSAGHILITDFLFGKTLEDPHHNNKIPFQDIKKLFFSTINNKLYTVASKLLNSELKLVTAENEEGLTALQMLAQFSLCEEIIGYGDIVDSVFDAMEKQRDSIKHAQLSKAMFDAAKSGNTDILELLLEYHPDLLFEVNSSNQSLLHIAILHRQKSVYKLILSNRAAKNIMTKLVDSHLNTVLHLAGKMGQPQEKPGLSTNHVVMSSEERWFQAVEKIVPPATKRMRNKDGLTPKELFYQTHKELHKESLSELQGKANTLLVVATLVISLGITGGMTVPIENIDGTKTPFFGRKIWYTFFFLSIAFGSCLCVSSMFFYASVILPASWAKPKEECVRMQQTKLVFGNVTLFGSLAIMFTAVISGSVLVFEFLSSWILYFICGLGLTILLVHLTLDYNRWIGIACSVLSYLEDAPTDRATILRPISKMCHFFLTFAKKKGLNVVQ >Vigun08g041150.1.v1.2 pep primary_assembly:ASM411807v1:8:4167292:4173781:1 gene:Vigun08g041150.v1.2 transcript:Vigun08g041150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIQVTALSNPPRVYRRAPLYISKSKTPQSQGKVLDFPNGDVTDPVLTIADLHAAGPPHQSDMTRVTTSTDLFLFSRCCLHCRRTNPSVSLLSLLPLSHRRDNVAASIAPA >Vigun11g023750.1.v1.2 pep primary_assembly:ASM411807v1:11:2966854:2967517:-1 gene:Vigun11g023750.v1.2 transcript:Vigun11g023750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTQENCYTLHGFPAKTANVSQAETTDSKFTEDEYQEYLRLKSNSLAQSSQAPSTSTVCISQSMEGHNSWVIDSGASDHISGAWLGETDWRRI >VigunL076501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:67882:68697:1 gene:VigunL076501.v1.2 transcript:VigunL076501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRNIRDNKRRLLAAKYELRRKLYKAFCKDSDLPSDMRDKLRYKLSKLPRNSSFARVRNRCISTGRPRSVYEFFRISRIVFRGFFLTILLCMLKNGMYSLVSDFDLDTILAWLIPFASCMDMDDVGASSQSSVNPAEPPAPDLSHTLLDDNTRRAELDERAGFHFVGLSEEKKDKVLLAQVQIERAIEKALLSDGYSRDELSQKSKRDEIRGFLFYRNGKLLSIKKYESYVEEVKFGTHRSQPYQDLIHAISSSYLFLNKVKKIKRWER >Vigun10g115101.1.v1.2 pep primary_assembly:ASM411807v1:10:31900748:31900973:-1 gene:Vigun10g115101.v1.2 transcript:Vigun10g115101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPLLLNVEFRTLVLKYLTQGKARSHSPRIHGNAV >Vigun07g073900.1.v1.2 pep primary_assembly:ASM411807v1:7:9415651:9417708:-1 gene:Vigun07g073900.v1.2 transcript:Vigun07g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHYLLHIPSLPSLSASLTSQTPSLPVRRLHPKTHSYHAPSHATLSSFKPLHFALSGALSLGLLFGGIRVAEAAKVGVNKPELLPKEFSTVIDVAGFLSDGQEKRLAAEIAALEADTGFKLRVLAQNYPDTPGLAVKDFWQVDDRTVVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNIFYWKEKGEDASIEAAVTAISNCLREPVGPNNCSEVN >Vigun04g138800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34499112:34502636:1 gene:Vigun04g138800.v1.2 transcript:Vigun04g138800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYFLIILFAVFVCLLHIKVSVLGFNSPSQSSQVKCIERERQALLTFKQSVPDYHGMLLTWRDDENNRDCCKWEGIECNNETGHIEMLDLRGGSISHYLSGSINITSLCDLQYLEYLDLSFNYFPNSQIPASIGSFQRLRYLNLSCSRFSGTIPYELENLSKLEYLDLKSNDLLGEIPSQLGNLSSLRYLDLSDNYYINGEIPSQLGNLSHLRYLDLSDTGLANAIPSALGSLSHLRYLDLSYNELSAIPSQLGNLSHLTYLDLSGNSLSGALPLQVGNLPLLHSLRLDGRSGLHLKDEKWLTSLSSLTTLTLYSFSYLHFSHPWLSDHLPNLRELSLVQCGLSDHHISSLFPSQSNLSTSLSILDLSDNILTSSTFQSLSNYSSNLQELHLSGNDIVSAYPHYPNFPSLVLLDLSSNNLTQTSIFQGIFNFSTKLQNLYLRNSSLTERNFAVTSASIKNSLSLVTLDLSGNLLKSSAIFNWVFNFTTNLQSLSLDANLIEGPIPDALGKVMNSLEVLGISSNKLKGEIPASLGNICTLKILYLYGNSLRGKISSFIQNSSRCNSPALETLDLSNNLIIGKLPNISAFTSLKILCFSNNQLTGEIPKSFGLLHRLQSLRLEENYLEGDINELHLTNLSQLLELDLSDNSLSLTWFPPFQLFNLGLASCKLGPSFPSWLQSQNQLSFLDISEAGIDDFVPDWFWNKLQFISRMNMSYNRLKGVIPNITMRYVNYGPEAIILNSNQFEGVIPTFLSHAGTLDLSRNKFSDLNTLLCRNRATKDMRTLDLSNNEITGQLPECWEHLSSLVFLDLRNNKLSGKIPQSMGTLVNLQALVLRNNNFRGELPLTLKNCSSLDLLDVSKNLLSGPIPSWIGENMEQMKILSLRVNHFFGSVPVQLCYLSQIQVFDLSQNNLTGEIPTCFRNFTTLMERSVIRREIVRKRKISAEESYGYIYDSYLSLEWKGQDYEFWNPENLLKSIDLSSNNLTGEVPKEIGYLDGLISLNLSRNNFHGEIPSEIGNLSLLEFLDLSRNNLSGSIPSTLSNIDRLGVLNLSNNNLSGRIPWGRQLQTFDASSFEGNNDLCGEQLNKSCPGDKTQKPKEAAIDGEEENSILYGGFYMSLGVGFFVGFWGLLGSILIWQPWRIAYMRFLNRLIDYIRVMVELNITKCHILLKG >Vigun11g030066.1.v1.2 pep primary_assembly:ASM411807v1:11:3909371:3909934:1 gene:Vigun11g030066.v1.2 transcript:Vigun11g030066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDSEISAWCLYSCPIPRRRPFAPPPPPLRSATSAASFCCLVVLSVKP >Vigun01g008400.1.v1.2 pep primary_assembly:ASM411807v1:1:993867:996406:1 gene:Vigun01g008400.v1.2 transcript:Vigun01g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSLTLITVFISLFSLSSPSPSSNIFPNTIDFWCNKTPHPQTCKHFALINREPRQDDIPKTAFQFKNLILRIAMQQSVEAQIQIMWLGTKCRSKQEKTAWSNCITLYQDTINLLNQALNPTKQSSTYDLQTWLSTALTNIDTCQTGFHELRVVNNVLPFIPNKNISEIISDFLALNNASSFIPPKTHKNGFPTWLSPNDRKLLESPPSFIPDVVVAKDGSGNFETIREALKVVPKMSQKRFVIYVKRGVYNENIEIENSMKNVMLYGDGIKLTIISGSRSVGGGFTTFDSATVAIRGDGFIGRGITFRNSAGPEKHQAVAVRCGGDFSVFYRCGFEGYQDTLYVHSQRQFYRDCYIYGTVDFIFGNAAVVFQSCNIYARRPMQKQKNVITAQGRTDPNQNTGICIQNSRVMAAQDLAPVLSSFKTFLGRPWREYSRTVFLQTYLDELIEPAGWLEWKDDFALETLYYGEFKNLGPRASTRDRVKWRGFHAITSATEASKFTVENFIGGRSWLPDTGIPFF >Vigun06g123500.1.v1.2 pep primary_assembly:ASM411807v1:6:25082201:25083142:1 gene:Vigun06g123500.v1.2 transcript:Vigun06g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGFHLNIMSILFVFSLLLLLEVPKLCTAEEKEDKWDHFMFVQQWPKGYCDSPHPGTRKCRIVPEKFVIHGLWPQKENGTHPKCRTKTPIYRRDLKPLTKQLDEDWPNLTGINFLFWKVEWIKHGGCSEATIPKLEYFNLTLHLYEQNNLLNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHDPALFCYHDPKLNATALYQISICLTPNGTSFVNCPNSDGTCGDQTLLLPK >Vigun06g152600.1.v1.2 pep primary_assembly:ASM411807v1:6:27674077:27683705:1 gene:Vigun06g152600.v1.2 transcript:Vigun06g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVGGGGVFVAWEEQVICQERGNRVIHFYLKDALGNSVLAVVGTERSVRHMMYVVPDHFLQAYGSTQPINACKWRARREVVDWLTCLVSRNRSHHAGVQLDELAQADESLKILVPGINVSNKILPDKMISRKLKFQSSDIEWSGSPWFCAKQLKHYSGFCRNGTAINVHSFVYIMAEEENHYLGYLEDMYEDKKRQKKVKVRWFHHGQEVKQVIPQLILQEGEVFITPHVQVISAECVNGPATVLTPKHYEKYLAAVPHTSLSEIHTCFRQFKNNRLKPFTLTKLRGYSNQPVLSCLNSPILSKRKAKFEKSHTDDDENFTQDDPLRSSNKRIRSSKDHIFEKGFPGLQMTKCGLKYPSLKLKLSRKTVGIKVIGPKPKLSFQVGAKIEVLCQDSGIRGCWFRCKILSMSARLLKVQYDDLLDIDGAQKLEEWVPASRVAAPDKLGMRCSGRLTVRSCPPEYNSDRTFEIGAAVDAWWSDGWWEGVITAVNVSGDGILQVYTPGEERFLKVDQKNIRISRDWINNRWIDIRGKPDICTYLTSNVRSSIGMSANSAVVVGSMSDCSALESKSSSIPKVELSKKVEPESSGLEIPVDLENMKGITLREPLYAIHEDKDNSGGGCDEADKAVKTILTFNEHKDNNSCSGSDDETEDDDNVNGDDVDNGEASKENFDCCEPKLDAAEAIQVHDE >Vigun04g121100.1.v1.2 pep primary_assembly:ASM411807v1:4:31044953:31049629:-1 gene:Vigun04g121100.v1.2 transcript:Vigun04g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKIRFGFIGCAEIARKVSRAVALAPNAVLHAVGSRSLDKARAFAVANGFPAATKVYGSYEAVLDDPDVDVVYVPLPTLRWAVLAAGKKKHVMLEKPVALNVGEFDEIIAACESNGVQLMDCTMWMHHPRTSKMKEFLSDVNRFGQLKSIHSNFTLTASADFLENDIRVKPDLDALGALGDAGWYCLRAILWVANYELPKTVIALRSLVRNQFGVLLACGATLYWEDGKVGTFYCSFLANMTVDITALGTKGALHVHDFIVPYEEKEASFFAATESGYDEGVTKWVNKPSEHVIKTEIPQEALMVNEFARLVADIKFKNAKPEKKWPTISRKTQLVIDAVKASIDKGFEPVQIQE >Vigun05g003600.1.v1.2 pep primary_assembly:ASM411807v1:5:282704:286467:1 gene:Vigun05g003600.v1.2 transcript:Vigun05g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELTEIKKAGIGLIGFGIFFTFLGVVLFFDRGLLALGNIFFLTGIAILLGWRSTWTLFTNRANYKGTASFLLGLFFIFVRWPIVGIILQIYGCFFLFSGFWSSIKVFLYHIPVVGWIIQFISPP >Vigun01g175900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35707864:35709690:1 gene:Vigun01g175900.v1.2 transcript:Vigun01g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPSFHRRSSSSSSDRLLGRYPATAAASPSPADELSEAELFWAADSSESEPQHHAPSPKTRLRNFDRPVDSGILVVLTEPDSRGGVFRGKSPVQTSSRMMIPSLPRPPASDYLAQSAPSRKFQQSAPVKVPILIAASASRRRNADEFARVIDDDVDDDEEMLPPHEIVARGSGVSPKTTFSVLEGVGRTLKGRDLRQVRNAVLRQTGFLD >Vigun08g052000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:6115411:6115765:-1 gene:Vigun08g052000.v1.2 transcript:Vigun08g052000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMKTIGIMILLMTVLGVAEADYKHSSEQVKLNTDSSSSCKSKCILSCIGLIPTPPLYSKCMQDCDKKCGKNK >Vigun03g066100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5473749:5474504:1 gene:Vigun03g066100.v1.2 transcript:Vigun03g066100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun07g118400.1.v1.2 pep primary_assembly:ASM411807v1:7:21991556:22000479:1 gene:Vigun07g118400.v1.2 transcript:Vigun07g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADSTWFTSLSCSLNATPNLPHWLRFIFLSPCPQRALLSGVDVLLLFILFVFALLKLYSRLTSNANANSHLDKPLIRNNRVSVKTTAWFKLTLTATALLTILYTVACILVFVSSTNQPWKQTDGLFWLLQAITQLVLVVLIVHEKRFEAVAHPLSLRIYWIANFIVVSLFTASGVIRLVSAGVEDGKHFSFMVDDTVSFISLPLSLFLLCVAVKGFTGIVSGEETEPLIDEETKLHEKSNLTGFSSASAVSKAFWIWINPLLNKGYKSPLKIDEIPYLSPQHRAERMSVIFESKWPKSDERSKHPVRTTLLRCFWRDIAFTAFLAVIRLSVMFVGPVLIQSFVDFTAGKGSSVYEGYYLVLILLCAKFVEVLTTHHFNFNSQKLGMLIRCTLITSLYKKGLRLTGSARQDHGVGPIVNYMAVDTQQLSDMMLQLHAVWMMPFQVGIGLFLLYNCLGASVITATIGLLCIIAFAVVANRRNKYFQFNSMMCRDSRMKAVNELLNYMRVIKFQAWEEHFNGRILDFRKSEFEWLSKFMHSICSVIIVLWSTPLLISTLTFATALFLGVRLDAGTVFTTTTVFKILQEPIRTFPQSMISLSQALVSLGRLDRYMSSRELSDDSVEREEGCGGRIAVQVRDGTFSWDDDGQLQDLKNINLEINKGEITAIVGTVGSGKSSLLASILGEMHKNSGKIQVCGSTAYVAQTSWIQNGTIEENILFGLPMNRQKYNEVIRVCSLEKDLEMMEHGDQTEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAHTGTEIFKECVRGSLKGKTIILVTHQVDFLHNVDLIVVMRDGQIVQSGKYDDLLASGMDFSALVAAHETSMELVEQGAVVPGENLNQPIKSPKAGSKNRQANGESNSLDQPKSGNESSKLIKEEERETGKVSFRIYKLYCTEAFGWWGIGGVIFLSVLWQASMMASDYWLAYETSEEHAQFFNPSVFISIYGIIAVVSVILIVLRSYAVMVLGLKTAQIFFTQILHSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPLFLNFVVAMYITVISIFIITCQNSWPTAFLLIPLAWLNIWYRGYFLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFKKQKEFCLENIKRVNSNLRMDFHNFSSNAWLGFRLELLGSIVFCFSAMFMIMLPSNIIKPENVGLSLSYGLSLNAVMFWAIYMSCFIENKLVSVERIKQFTNIPSEATWRNKDLVPPANWPGQGNVDIKDLQVRYRPNTPLVLKGITLRINGGEKVGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDISILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTGQYTDEEIWKSLERCQLKEAVASKPEKLDSSVVDNGDNWSVGQRQLLCLGRVMLKQSRLLFMDEATASVDSQTDAVIQKIIREDFASRTIISIAHRIPTVMDCDRILVVDAGRAKEFDSPANLVQRPSLFVALVQEYANRSSGL >Vigun06g134600.1.v1.2 pep primary_assembly:ASM411807v1:6:26084004:26088006:-1 gene:Vigun06g134600.v1.2 transcript:Vigun06g134600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCIFGPIIMDNYQGDLTDILRASGGAYGGSSSSSCSTGTSSSAELPTTSHHHTITHTLSRNDHWHQHFSSSSSSSDPITFSSVLQDPRGLTNFGDPFSTMRDPFLQELDMPSASAYFTGGLEDAAASFGASAVSTNTNPNPNPNASTGVFAAHKILEEHDMRRPCKNIFSNMIQISPNAKLPVSPYDSTAAVAPSPRAIKPPAVVSPNMVNANSSKDCLVDTTGVQISSPRNPGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNAGNSKSSEGSNPQKSTTSKAKDEQQQQQQQESNNSEGNASPVVGGNSSANSACVKEENMEDIEKQFEMDEGEFSDGLPYKPCLMDQSNNQSEDFFAELGEIEADPLDILFSQGFGAGDDDQREESKALDPFHLFDWSGDNNTSTNSSSFEEPNAKRRL >Vigun09g165700.1.v1.2 pep primary_assembly:ASM411807v1:9:33356758:33359455:1 gene:Vigun09g165700.v1.2 transcript:Vigun09g165700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEGKRNAVEKFFFGTLLLWLGSVCFQILLNQRWELLSVVAGSIFYQTSNCIIRFFSPADKDPLFVNTSVSLLHSFVTSASVILILFRELLSNNGPSGMFDHSQLVEHTWPWAFEALSFSCGYFAYDQWDMLHYRLYNGWIPSILVHHLVLLICFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGIRDAKSILVKLEWFLNWVTFCVARSVPHILITAKLMKDAHKFQKGVELPLALLGMAGMNLLNIGLGMDLLKAFKRERKSQQRNLHDHRE >Vigun09g165700.2.v1.2 pep primary_assembly:ASM411807v1:9:33356758:33359455:1 gene:Vigun09g165700.v1.2 transcript:Vigun09g165700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSSFLALYFSDKDPLFVNTSVSLLHSFVTSASVILILFRELLSNNGPSGMFDHSQLVEHTWPWAFEALSFSCGYFAYDQWDMLHYRLYNGWIPSILVHHLVLLICFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGIRDAKSILVKLEWFLNWVTFCVARSVPHILITAKLMKDAHKFQKGVELPLALLGMAGMNLLNIGLGMDLLKAFKRERKSQQRNLHDHRE >Vigun03g122200.2.v1.2 pep primary_assembly:ASM411807v1:3:11427561:11437288:-1 gene:Vigun03g122200.v1.2 transcript:Vigun03g122200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESLRHRLLLSLNLLWSQRWTPTPPQLHGLRQRASNGVNGSQGTCKDGICKAGTGIQGDFKLNNFEDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTLATFCCGFSFNFWSISVSRMLVGVGEASFISLAAPFIDDNAPVSQKTVWLGTFYMCIPAGYAVGYIYGGLVGSHFSWRWAFWVEAVLMFPFAIFGFFMKPLQLKGFAPADSEKKLKLETAVSETQDVNASNGKDETLSLKAEFGDKSAHEPSRSKYETTILCQFSRFLQDMKELLLDKVFVVNVLGYIVYNFVIGAYSYWGPKAGYSIYNMANADMMFGGITVVCGILGSLGGGFLLDFVNSTISNAFKLLSLATLAGGACCFGAFLLRSEYGFLALFAVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVVQDKINNWRTTALILTSIFFPAAAIWFIGIFLHSVDRYNEDSEHEGSSVERTNTAPLVEENTAKTPISGQTQEC >Vigun03g122200.1.v1.2 pep primary_assembly:ASM411807v1:3:11427561:11437288:-1 gene:Vigun03g122200.v1.2 transcript:Vigun03g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPEHEGKPASSSSSQPQPSLEPAVDANSTSTSWFTPKRLLAIFCVINLLNYLDRGAIASNGVNGSQGTCKDGICKAGTGIQGDFKLNNFEDGVLSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTLATFCCGFSFNFWSISVSRMLVGVGEASFISLAAPFIDDNAPVSQKTVWLGTFYMCIPAGYAVGYIYGGLVGSHFSWRWAFWVEAVLMFPFAIFGFFMKPLQLKGFAPADSEKKLKLETAVSETQDVNASNGKDETLSLKAEFGDKSAHEPSRSKYETTILCQFSRFLQDMKELLLDKVFVVNVLGYIVYNFVIGAYSYWGPKAGYSIYNMANADMMFGGITVVCGILGSLGGGFLLDFVNSTISNAFKLLSLATLAGGACCFGAFLLRSEYGFLALFAVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGVVQDKINNWRTTALILTSIFFPAAAIWFIGIFLHSVDRYNEDSEHEGSSVERTNTAPLVEENTAKTPISGQTQEC >Vigun04g025800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1993476:1994154:-1 gene:Vigun04g025800.v1.2 transcript:Vigun04g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAHIALCTLVVLFVAEVQVSMAVTCSPVQLSSCVSAITSSTPPSDLCCSKIKEQKPCLCQYLKNPNLKKFVDSPNARKVASTCGTPFPRC >Vigun01g031300.1.v1.2 pep primary_assembly:ASM411807v1:1:3925812:3928333:1 gene:Vigun01g031300.v1.2 transcript:Vigun01g031300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAPPDHSPVEDAESLRKAVKGWGADGKAIISILGHRNAAQRTQIREAYHNLFQEDLIKRLESELSGDFERAMYRWILEPAEREALLANIALKRDEKSYQVIVEISCVLSPEELFAVRRAYHNRFKRSMEEDVAANTTGHLRQLLVGLVSSFRYGGSEINARLAQSEADALHEAIKNKNKSNEEIIRILTTRSKTQLVATFNRYRDDHGIAITKKLSDEGSNEFFTAANLAISCINDHKKYYEKVLRNAMENIGTDEEAVTRVIVTRAEKDLKEIKDVYYKRNSVDLEHVVAKETSGDYKKFLLTLMGHDD >Vigun03g366900.2.v1.2 pep primary_assembly:ASM411807v1:3:57029662:57035139:-1 gene:Vigun03g366900.v1.2 transcript:Vigun03g366900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETGKLFGLGCDPVVGSLTSSKKKDYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNVDGAPFVVAGGINGVIRVIDAGDEKIHKSFVGHGDSVNEIRTQTLRPSLVISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEQSFTWTDLPSKFPTKYVQFPVYNASVHLNYVDCNRWLGDFILSKSVDNEIVLWEPKVKEQTPGEGVVDILQKYPVPECDIWFIKFSCDFHFNIAAVGNREGKIFIWELQSSPPVLLARLSHPQSKSPIRQTATSFDGSTILSCCEDGTIWRWDEVTNSST >Vigun03g366900.1.v1.2 pep primary_assembly:ASM411807v1:3:57029662:57035139:-1 gene:Vigun03g366900.v1.2 transcript:Vigun03g366900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETGKLFGLGCDPVVGSLTSSKKKDYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNVDGAPFVVAGGINGVIRVIDAGDEKIHKQSFVGHGDSVNEIRTQTLRPSLVISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEQSFTWTDLPSKFPTKYVQFPVYNASVHLNYVDCNRWLGDFILSKSVDNEIVLWEPKVKEQTPGEGVVDILQKYPVPECDIWFIKFSCDFHFNIAAVGNREGKIFIWELQSSPPVLLARLSHPQSKSPIRQTATSFDGSTILSCCEDGTIWRWDEVTNSST >Vigun05g060100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5153176:5154003:1 gene:Vigun05g060100.v1.2 transcript:Vigun05g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCDAVMTRSVWSYNLESEFKLIRRVIGFFPFISMDIEFPGVIFQSHPTLRQPQNNYAVMKANVDCMHLIQVGLTLSDYHGNLPTFGTSHRFIWEFNFCEFDVTCHPHAPHFIALLQRQGMDFHKNRNFGVNIVQFVELMMISGLLCNSHIRWITFHGAYDFAYMIKVLSHRFLHMQPLLPPNLGDFLQLVKFFFGQEVYDVKHLMRFCPNLHGGLDRVSESLGLDNSARKSHHAGSDSLVTLHVFNEIKKLYFHTQNDLKKHAGVVYGLEML >Vigun08g177100.1.v1.2 pep primary_assembly:ASM411807v1:8:34728538:34734331:1 gene:Vigun08g177100.v1.2 transcript:Vigun08g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLQPQIILLKEGTDTSQGKPQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGAVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLREAKPFIEDGVHSQNLIRSYRTASTLAIEKIKELAVSIEGKSLEEKKSLLAKCASTTLSSKLIGGEKEFFASMVVDAVIAIGNEDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNVELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVSSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLKRVAAATGGTVQTSVNNIIDEILGTCEVFEERQVGNERFNIFNGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDIATGGIADSFANFVWEPAIVKINAINAATEAACLILSVDETIKNPKSESAQGDAAASAMGRGRGGAFRGRGRGMRR >Vigun02g006400.1.v1.2 pep primary_assembly:ASM411807v1:2:2799384:2800367:1 gene:Vigun02g006400.v1.2 transcript:Vigun02g006400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGLCSISEINVRDAPRIATKVLWTFTTINIHDQLFVYVDRRFAAAFENELTHQWTLFDSFENRFVVSYNMDKMNPKLTDEWKDLEKTYNTQIWDSYVQFRYVGNSTFQITVFIGEFTPKNMKAFLDTASVDPGTSLFAVTLTQCQAKASHLDLNVDFADVIKSLEMEVIYLVARLSGVECKLLVSQKNKSTKLGQGWRKFCAENRLKEGDRLVFEVDHVQKQPIVEVYINGCYCDVAKSIDLV >Vigun02g006400.2.v1.2 pep primary_assembly:ASM411807v1:2:2799384:2800367:1 gene:Vigun02g006400.v1.2 transcript:Vigun02g006400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMHLVLQPKCCGPSPQLTYMTSFENRFVVSYNMDKMNPKLTDEWKDLEKTYNTQIWDSYVQFRYVGNSTFQITVFIGEFTPKNMKAFLDTASVDPGTSLFAVTLTQCQAKASHLDLNVDFADVIKSLEMEVIYLVARLSGVECKLLVSQKNKSTKLGQGWRKFCAENRLKEGDRLVFEVDHVQKQPIVEVYINGCYCDVAKSIDLV >Vigun01g021400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:2254497:2264975:-1 gene:Vigun01g021400.v1.2 transcript:Vigun01g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLPLSFSNPKPVASLSSSFVHGRTKPSSFSINEKLKTGNRLQNVSVSISCSSIKLVRDRALDRHVVTKYRIRFVQKLKTLLLSKSKHYIPVHILCKCRSYLGLPKPRSILSMIHRYPSIFEVFNMSWPSKPLYATKLHPKLCVRLTPAAAAVAAEELAFQSSISNMLAGKLQKLLMLSSRRQLLLSKLVHFAPHLGLPPNFRSRLCNDHPEKFRTVETPYGRALELVSWDVNLAKCLPPRASHDPGFIVDRPLKFKQLRLRKGLNLKRRHQDFLLRFEEMPEVCPYRNPAEAFSKESLEAEKRSCAVVREILAITVEKRTLIDHLTHFRKEFGFPNKLRGMIIRHPELFYVSLKGQRHSVFLVEGFGEKGDLLEKEEILSIQDKWMDLARESKRMRRERRKNRFSKDTGSLKGADQNNSDTDDDDYDDNIGIDNFENGYDDGFENIFEELDFEAEDDDQGDNIFSQSNYGQFWTAEPFPIQHGLDEPQMQPW >Vigun10g034600.5.v1.2 pep primary_assembly:ASM411807v1:10:4625190:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLIHFTGEDIRRKFVSHLESALSAVGFTTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYCRHVLPVYYEIQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVERVIRTIENESTGVCMIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLLG >Vigun10g034600.4.v1.2 pep primary_assembly:ASM411807v1:10:4625190:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLVILITENTASKQVPFSIVTSKSIGYISLCGLEGLSHNLFPSIIRFWMSPTMNTLSYKHSFCMDMENNSWDDFAPMLSSLANLRSVLVQCDTEFQLSKQLETIMIEYGANSTKPGTSKQHFKSSLIGVGRCKYFFNAVSNSISQVFASIESCDASLPGDNDPYWLAHTGEGHSVSFIVPQNRVLNGLTLCVVYLSIPEIVASECLRSVLIVNYTKCTLHLHNHGTAIFFNDIDWRGIISNLGSGDKVEIFLIFCHGLVVTRTIVYLIFAESNDLEMEPVPTKNSHVRFIKKV >Vigun10g034600.6.v1.2 pep primary_assembly:ASM411807v1:10:4622662:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLIHFTGEDIRRKFVSHLESALSAVGFTTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYCRHVLPVYYEIQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVERVIRTIENESTGVCMIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVFITCHFLCKLSSR >Vigun10g034600.7.v1.2 pep primary_assembly:ASM411807v1:10:4625190:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPCITKFSHLMCVFRTVILEKPSKQLHTKHSQNNNWSMACPGGATHSPKLHISMDGMRAITGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLVILITENTASKQVPFSIVTSKSIGYISLCGLEGLSHNLFPSIIRFWMSPTMNTLSYKHSFCMDMENNSWDDFAPMLSSLANLRSVLVQCDTEFQLSKQLETIMIEYGANSTKPGTSKQHFKSSLIGVGRCKYFFNAVSNSISQVFASIESCDASLPGDNDPYWLAHTGEGHSVSFIVPQNRVLNGLTLCVVYLSIPEIVASECLRSVLIVNYTKCTLHLHNHGTAIFFNDIDWRGIISNLGSGDKVEIFLIFCHGLVVTRTIVYLIFAESNDLEMEPVPTKNSHVRFIKKV >Vigun10g034600.1.v1.2 pep primary_assembly:ASM411807v1:10:4625190:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLIHFTGEDIRRKFVSHLESALSAVGFTTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYCRHVLPVYYEIQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVERVIRTIENESTGVCMIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLVILITENTASKQVPFSIVTSKSIGYISLCGLEGLSHNLFPSIIRFWMSPTMNTLSYKHSFCMDMENNSWDDFAPMLSSLANLRSVLVQCDTEFQLSKQLETIMIEYGANSTKPGTSKQHFKSSLIGVGRCKYFFNAVSNSISQVFASIESCDASLPGDNDPYWLAHTGEGHSVSFIVPQNRVLNGLTLCVVYLSIPEIVASECLRSVLIVNYTKCTLHLHNHGTAIFFNDIDWRGIISNLGSGDKVEIFLIFCHGLVVTRTIVYLIFAESNDLEMEPVPTKNSHVRFIKKV >Vigun10g034600.2.v1.2 pep primary_assembly:ASM411807v1:10:4622662:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLIHFTGEDIRRKFVSHLESALSAVGFTTFLLHQNAVNPMHIEEHNLNLCRVAIVVFTKTYSQSAWCLHQLQQIIQWHQTYCRHVLPVYYEIQPSDVRLQNGDFGKAFEATAHQTFSEQQLEHGMSRWSHALTKAAHFYGWDESNYRSDAELVDTIVKGVLNLPLLSATEFPVGLQSYVERVIRTIENESTGVCMIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLLG >Vigun10g034600.8.v1.2 pep primary_assembly:ASM411807v1:10:4622662:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLLG >Vigun10g034600.3.v1.2 pep primary_assembly:ASM411807v1:10:4625190:4629516:-1 gene:Vigun10g034600.v1.2 transcript:Vigun10g034600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPCITKFSHLMCVFRTVILEKPSKQLHTKHSQNNNWSMACPGGATHSPKLHISMDGMRAITVGLQSYVERVIRTIENESTGVCMIGISGMEGSGKTTVAKAVYNQIHDRFIEKSFIEDNPEVSRTRGHLHLLKKLLLDILKIKVEIQNVEMGRIMIRERLYGKRMLIVLDDLNQYKYHELSDLWECRAWSGRGSVIIITSTYENLLRTSQVDAVFRINPMNPNESLELLSWHAFKEAKPKEEYHDLAKTIVTHCGGLPLALEVLGSYLYERTKKEWHTVLSKLVRIPQLEVLPILKISFNGLRNQMEKDLFLDICCFFVGKSRAYVTKILNGCGIDADSGIRVLIERSLIKVKKNNKFGMHSVLRDMGQQIVREISGKEPENCRRWYDERMKHALSKNNHTKVMQTLRDFFKPYPLEVRDTSRMLKLAGHSEYLSKKLGCISLQGFSSDYLPNDLHLHDAIVIDIKHSLLRLVCKKPQVLASLKVLNLSHSKYLTKVLDFSRLPSLEQLILKDCPRLREVHKSIGCLCNLTLLNLKDCTSLRNLPGEIYMLKSLKTLILSGCPKIDLLETDIVQMKSLVILITENTASKQVPFSIVTSKSIGYISLCGLEGLSHNLFPSIIRFWMSPTMNTLSYKHSFCMDMENNSWDDFAPMLSSLANLRSVLVQCDTEFQLSKQLETIMIEYGANSTKPGTSKQHFKSSLIGVGRCKYFFNAVSNSISQVFASIESCDASLPGDNDPYWLAHTGEGHSVSFIVPQNRVLNGLTLCVVYLSIPEIVASECLRSVLIVNYTKCTLHLHNHGTAIFFNDIDWRGIISNLGSGDKVEIFLIFCHGLVVTRTIVYLIFAESNDLEMEPVPTKNSHVRFIKKV >Vigun01g195800.2.v1.2 pep primary_assembly:ASM411807v1:1:37271405:37272449:-1 gene:Vigun01g195800.v1.2 transcript:Vigun01g195800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLTYKQNCSKNNSPQQKPYTNVPHYSAGRPFPFLSFSHINFTVTTFFLSAILLFKSPLRVRISPTTPSSTSSIILITSIMETQSYEYTIIDIPDIEIDHLQSDQPKPLKDKKMSGSMRFLRVALLVMRGRSQKPKEIHQVGQIDDGSKSRWNKIVNSLHLQSNQSPCSVSDSATKWPATECGGNAFVAEEEGRYSASIPSSRYASAVGLNQLVESDDEENEKQEVIVECKEHGDGDGDEMIDSKAEDFITHFYEEMKLQRMNTNDSYTEFTPFTMV >Vigun05g011000.3.v1.2 pep primary_assembly:ASM411807v1:5:877909:887436:-1 gene:Vigun05g011000.v1.2 transcript:Vigun05g011000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSVHATLFARASFFCQSNGLRSFRSCLPFSSSAASVSTHNHSQKKWRQPVLSVLDVGGVKISREDVVRDDPTNNVPDNIFSKLGVQLHRRDEHPLGILKNAIYEYFDTNYSNKFEKFDDLCPIVSVKENFDDVLVPEDHVSRSYNDTYYVDPQTVLRCHTSAHQAKLLRSGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPEDWEASGMDATLFAATDLKKCLEGLASHLFGAVEMRWVDTYFPFTNPSFELEIYFKENWLEVLGCGVTEQEILKRNGKPNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGVKFKPFSKYPPCYKDMSFWISESFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQWKVREQVQNKLNVVLR >Vigun05g011000.4.v1.2 pep primary_assembly:ASM411807v1:5:877909:892497:-1 gene:Vigun05g011000.v1.2 transcript:Vigun05g011000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSVHATLFARASFFCQSNGLRSFRSCLPFSSSAASVSTHNHSQKKWRQPVLSVLDVGGVKISREDVVRDDPTNNVPDNIFSKLGVQLHRRDEHPLGILKNAIYEYFDTNYSNKFEKFDDLCPIVSVKENFDDVLVPEDHVSRSYNDTYYVDPQTVLRCHTSAHQAKLLRSGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPEDWEASGMDATLFAATDLKKCLEGLASHLFGAVEMRWVDTYFPFTNPSFELEIYFKENWLEVLGCGVTEQEILKRNGKPNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGVKFKPFSKYPPCYKDMSFWISESFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQWKVREQVQNKLNVVLR >Vigun05g011000.1.v1.2 pep primary_assembly:ASM411807v1:5:877909:887436:-1 gene:Vigun05g011000.v1.2 transcript:Vigun05g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSVHATLFARASFFCQSNGLRSFRSCLPFSSSAASVSTHNHSQKKWRQPVLSVLDVGGVKISREDVVRDDPTNNVPDNIFSKLGVQLHRRDEHPLGILKNAIYEYFDTNYSNKFEKFDDLCPIVSVKENFDDVLVPEDHVSRSYNDTYYVDPQTVLRCHTSAHQAKLLRSGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPEDWEASGMDATLFAATDLKKCLEGLASHLFGAVEMRWVDTYFPFTNPSFELEIYFKENWLEVLGCGVTEQEILKRNGKPNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGVKFKPFSKYPPCYKDMSFWISESFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQWKVREQVQNKLNVVLR >Vigun10g130900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33928041:33931485:-1 gene:Vigun10g130900.v1.2 transcript:Vigun10g130900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METFTALLLLTAVTAYLIWFTFISRSLKGPRVWALLGSLPGLIDNCDRMHDWICDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWHAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSGAISRLCFILKKAHDQAEPIDLQDLMLRLTFDNICGLAFGRDPQTCVSGLPDNRFATAFDRATEASLQRFILPEVLWKVKKWLRLGMEVSLSRSLVHVDDHLSNVIEKRKVELLSQQKDGTLHDDLLTRFMRKKESYTDKFLQQVSLNFILAGRDTSSVALCWFFWLVMQNPKVEEKILREICTVLMETRGDDMAEWLGEPLAFEEVDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKSTWGEDCMEFRPERWLSLDGTRFIMHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLVLVPGHLVEQKMSLTLFMKNGLKVNVHERDLRGVIIGIQKEKEGDGGFRSNES >Vigun05g104400.1.v1.2 pep primary_assembly:ASM411807v1:5:10418289:10421768:1 gene:Vigun05g104400.v1.2 transcript:Vigun05g104400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFPMLQSIKLQSLCLFLLLMVISLHAPFSSSLSLQHTEANALLKWKASLHNPSQTLLSSWNNGNTSCNWLGIASDHSGSVSNINLTNIGLSGTLQTLNFSSLPNILTLDISHNFFSGTIPPQVGVLSKLTHLDLSHNHLTGPIPSQITRLVSLQILYLEKSVFDGCIPEEIGALKNLREISIRFANLTGTIPNSIGNLSVLSKIYLRNCNLTGSIPKSIGNLTSLVILDFSQNNLYGNIPHEIGNLLNLKFLRLGRNNLQGSIPQEIGNLINLRALYLEGNNLSGNIPVEIGKLVNLIKLWLLQNNLSGSIPQEIGMMTNLFQLDLSDNFLSGTMPPTIGNLSNLFFIYLYDNYLSGSIPTEVGKLHFLLTIQLPNNNLSGPIPSSIGNLVNLDSIRLNGNKLSGTIPSTIGNLTKLTTLVLFSNNLSGYIPIEMNMLTNLETLHLFDNNFIGHLPHNVCYSGKLLKFTAGSNHFTGPVPRSLKNCSSLIRVSVPQNHLTGNLTEDFGVYPHLDYINLSENNFYGHISPKWGKCHNLTGLKISNNNLSGSIPPELSQATNLQVIQLSSNHLTGDIPEHLGNLTYLFELSLNSNNLSGNVPIKIASLQDLETLELGANSFSGLIPNQLGNLVKLLHLNLSQNKFTGNIPFELGKLKYLQSLDLSMNILNGNIPPLLGDLKSLEVLNLSHNHLSGDLSSLDEMIGLISIDISYNQLQGPLPNILAFHMATIEALRNNKGLCGNVSGLEPCPTSHHKSQNHKTNKVVLVFLPIALGTSMLALFLFGVLYHLCQRSKTKEHQIAESSVQNLFAIWSFDGKMVYENIIEATEEFDNKHVIGVGGQGSVYKAELQTGQIVAVKKLHSVQNGERSNDKAFRSEIQALTEIRHRNIVRLYGYCSHSRFTFLVYEFLERGSIDKILKDNEEATVFNWKRRIDSIKGVANALCYMHHDCSPPIVHRDISSKNVLLNLEYVAHVSDFGTAKLLNPNSANWSSFVGTFGYAAPELAYTMEVNEKCDVYSFGVLALEILFGKHPGDFVTSLVSSSNVMESTLDIPSLMSKLDERLPYPAKPTAKEIVLILRMTNACLSESPSSRPTMEQVVNDSSMHKS >Vigun08g012300.2.v1.2 pep primary_assembly:ASM411807v1:8:1083098:1085121:-1 gene:Vigun08g012300.v1.2 transcript:Vigun08g012300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVTETVVVGVVSWTSAFVIVRRLFPKRSFDFCNRLVSTLHATLAVTLAWLSIEDWRCPICGVGSKSSPQQMQVLAVSLSYLIYDLACCHFGERVSLDNTVHHLVSIVGIGAGLFYQKCAAEMVATMWITEISSPFLHLRELLKELGYRDTPLNLAADDVAGTVSHLRDFIC >Vigun08g012300.1.v1.2 pep primary_assembly:ASM411807v1:8:1083098:1085185:-1 gene:Vigun08g012300.v1.2 transcript:Vigun08g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVTETVVVGVVSWTSAFVIVRRLFPKRSFDFCNRLVSTLHATLAVTLAWLSIEDWRCPICGVGSKSSPQQMQVLAVSLSYLIYDLACCHFGERVSLDNTVHHLVSIVGIGAGLFYQKCAAEMVATMWITEISSPFLHLRELLKELGYRDTPLNLAADILFAAIFSFARMLLGPCLTYVTLSADYPLLIKAMALGLQLVSAFWFFKIVRMIKRKLSKRA >Vigun08g012300.3.v1.2 pep primary_assembly:ASM411807v1:8:1083169:1084879:-1 gene:Vigun08g012300.v1.2 transcript:Vigun08g012300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVTETVVVGVVSWTSAFVIVRRLFPKRSFDFCNRLVSTLHATLAVTLAWLSIEDWRCPICGVGSKSSPQQMQVLAVSLSYLIYDLACCHFGERVSLDNTVHHLVSIVGIGAGLFYQKCAAEMVATMWITEISSPFLHLRELLKELGYRDTPLNLAADILFAAIFSFARMLLGPCLTYVTLSADYPLLIKAMALGLQLVSAFWFFKIVRMIKRKLSKRA >Vigun08g012300.5.v1.2 pep primary_assembly:ASM411807v1:8:1083169:1084879:-1 gene:Vigun08g012300.v1.2 transcript:Vigun08g012300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVTETVVVGVVSWTSAFVIVRRLFPKRSFDFCNRLVSTLHATLAVTLAWLSIEDWRCPICGVGSKSSPQQMQVLAVSLSYLIYDLACCHFGERVSLDNTVHHLVSIVGIGAGLFYQKCAAEMVATMWITEISSPFLHLRELLKELGYRDTPLNLAADDVAGTVSHLRDFIC >Vigun08g012300.4.v1.2 pep primary_assembly:ASM411807v1:8:1083181:1085012:-1 gene:Vigun08g012300.v1.2 transcript:Vigun08g012300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYVTETVVVGVVSWTSAFVIVRRLFPKRSFDFCNRLVSTLHATLAVTLAWLSIEDWRCPICGVGSKSSPQQMQVLAVSLSYLIYDLACCHFGERVSLDNTVHHLVSIVGIGAGLFYQKCAAEMVATMWITEISSPFLHLRELLKELGYRDTPLNLAADILFAAIFSFARMLLGPCLTYVTLSADYPLLIKAMALGLQLVSAFWFFKIVRMIKRKLSKRA >Vigun07g255900.1.v1.2 pep primary_assembly:ASM411807v1:7:37377585:37384080:-1 gene:Vigun07g255900.v1.2 transcript:Vigun07g255900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAMRQSNQLLLHRLLLYFVFSSSLCWASQDGERVTVRNTPQSTWWTPLSGNAGDDDDSPPRPLKLTFAGPATHWTDAIPIGNGRLGAMVWGGVPSELLQLNEDTLWTGIPGDYTNKSAPQALAEVRKLVDDGKFSEATAAAVKLSGEPSDVYQLLGDIKIEFHDSHLKYSKESYYRELDLDTATAKVKYSVGDVEFTREHFVSSPDQVIVTRLSASKPGSLSFTVYFDSKMHHDSRVSGQNQIIIEGRCPGSRIRPRLDSIDNPKGIRFSAVFDMQISNGVIQVLDDRKLRVEGSDSAIFLLTASSSFDGPFTMPEDSKKDPTSESLSKMKSVKSFSYADLYARHLDDYQNLFRRVSLQLSKSSKTVLGKSVFYKRQSISSQANLSQKEGDTIPTSARVKSFQTDEDPSFVELLFQYGRYLLISCSRPGSQVANLQGIWNKDVEPAWDGAPHLNINLQMNYWPALSSNLHECQEPLFDFISSLSANGKKTAKVNYETNGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCAHLWEHYTYTMDKDFLKNKAYPLLEECTSFLLDWLIEGRGGLLETNPSTSPEHMFTAPDRKPASVSYSSTMDISIIKEVFSIIISAAEVLGRHNDTIIKRVTMYQSKLPPTKLSRDGSIMEWAEDFEDPDVHHRHVSHLFGLFPGHTISLEKTPVLCKAVESSLIKRGDDGPGWSTTWKASLWAHLHNSEHAYRMIKHLIVLVDPDHESDYEGGLYSNLFTAHPPFQIDANFGFSGAIAEMLVQSTTKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWKEGDLSEVGLWSERLNSQVRLHYRETVVLTSLSPGRVYSYNNLLKCVKTYSLPQKS >Vigun02g028178.1.v1.2 pep primary_assembly:ASM411807v1:2:10311040:10311939:-1 gene:Vigun02g028178.v1.2 transcript:Vigun02g028178.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVVGCGSGGDGGRGGGGHSGGGGCNGGGGSGVVVVVVVAVVMVVVAVEVVGLVVMVGSWSWGWSWLWVWWWWLLWGWSLWWCWSSWLGWWITDWSRKNKFRVQDSGFMVKGVWFVVEGGCGGGGGEGCGGGCCGRGGGGGDGDGSGGRGGGGVVVVVAMVVVVVMVVVVVVVVLTVVVVVVVVVVVVVVAVVLVVAVVVMVLVM >Vigun05g112600.1.v1.2 pep primary_assembly:ASM411807v1:5:11805110:11805522:-1 gene:Vigun05g112600.v1.2 transcript:Vigun05g112600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKPPVFMLLIIMVLSTTIVEGRTLSLISAPGYSKIFATLGVVCKCCDGVGGACTSTWTQSCNNLQCYPWKSH >Vigun04g170900.2.v1.2 pep primary_assembly:ASM411807v1:4:39493362:39494261:-1 gene:Vigun04g170900.v1.2 transcript:Vigun04g170900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSAILRSLSLRRIQPRAASAAVAPLPATTQFSRDLTAELTTLQSATKSDVDREAVEEYLETNTDILDACNYFVERVESVKKNYVESLRKVARFVEINATSRALDGLVQLESCEGTEKRRVKMGKRGCCLGRTKQGHESELGEVLCGSKGMALMSCWFLEQGLCVDCGKSESPMMKGCSWLRIVQELGEKKRRSGCLQKTVGAARELKEVIKGKRGKEMVEGCVERVKRRCKELEDEIGFVEGKVRDLYRSLIDVRMALLGILSQA >Vigun05g090800.1.v1.2 pep primary_assembly:ASM411807v1:5:8772019:8773065:1 gene:Vigun05g090800.v1.2 transcript:Vigun05g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISVINPLYCVPKSVSIQINTEKGIAYGEKDDRLFYIDDTFFTLHDRHVLYDDSKKPIVTFYNKIMSLRELFKVLKGGSNDSSDLLFSVKEVKKSSTIHGITKLNVFLAGNKDEKKSDFRMIIYGNKHSCTVYAGESPTVIAKMENNGGFNVLVYPNVDNAFIVALFMIVKDMDCSNESNDSGQGSSTATKMISTTLLLA >Vigun02g080400.1.v1.2 pep primary_assembly:ASM411807v1:2:23314928:23319555:-1 gene:Vigun02g080400.v1.2 transcript:Vigun02g080400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLIWRDYRGDVSAVDAERFFTKLIEKQADAQSQDPVVLDNGVTYMFVQHSNVYVMIATRQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAQILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVENVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEATVEKHSKSRIEIMVKARSQFKERSTATNVEIELPVPVDATNPNVRTSMGSAAYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSITDEDATPERKAPISVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Vigun02g053100.1.v1.2 pep primary_assembly:ASM411807v1:2:19526882:19529917:1 gene:Vigun02g053100.v1.2 transcript:Vigun02g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDSEKKQHDVKEKDLFKAAEEGDAATFQALSAETLSKALSLRNEDARSLLHVAASFGHSQVVNILLSCDGSAGVVNSADEEGWAPLHSAASIGNLEIVKSLLSKGADVNLKNNGGRAALHYAASKGWLKIAEILISHDAKINIKDKIGSTPLHRAASTGKSELCELLIEEGAEVDIIDRTGQTPLMNAVICHNKEVALLLIRHGADVDVEDKEGYTVLGRATDELRPLLIGAAKAMLELSV >Vigun02g053100.2.v1.2 pep primary_assembly:ASM411807v1:2:19524778:19529869:1 gene:Vigun02g053100.v1.2 transcript:Vigun02g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDSEKKQHDVKEKDLFKAAEEGDAATFQALSAETLSKALSLRNEDARSLLHVAASFGHSQVVNILLSCDGSAGVVNSADEEGWAPLHSAASIGNLEIVKSLLSKGADVNLKNNGGRAALHYAASKGWLKIAEILISHDAKINIKDKIGSTPLHRAASTGKSELCELLIEEGAEVDIIDRTGQTPLMNAVICHNKEVALLLIRHGADVDVEDKEGYTVLGRATDELRPLLIGAAKAMLELSV >Vigun02g053100.3.v1.2 pep primary_assembly:ASM411807v1:2:19526882:19529869:1 gene:Vigun02g053100.v1.2 transcript:Vigun02g053100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDSEKKQHDVKEKDLFKAAEEGDAATFQALSAETLSKALSLRNEDARSLLHVAASFGHSQVVNILLSCDGSAGVVNSADEEGWAPLHSAASIGNLEIVKSLLSKGADVNLKNNGGRAALHYAASKGWLKIAEILISHDAKINIKDKIGSTPLHRAASTGKSELCELLIEEGAEVDIIDRTGQTPLMNAVICHNKEVALLLIRHGADVDVEDKEGYTVLGRATDELRPLLIGAAKAMLELSV >Vigun05g189700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36856113:36858748:1 gene:Vigun05g189700.v1.2 transcript:Vigun05g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRIRHCLPHGLCRQTFQPTVVRLNSSNINVFSRNFGQPARKEEEDVEEVEIDQRSLPADFDPATFDPDDHRGPPSERVFRLVDEIASLTVAEAAELGLVLMKKMGVKEMPNVGFMKAGAGNLAGMAAKAPAAAKEEQKPEKTVFELKLESYEAASKIKIIKEVRGFTDLGLKEAKDLVEKTPSVIKKGVSKEEGEKIMEKLKALGAKVVME >Vigun04g008500.2.v1.2 pep primary_assembly:ASM411807v1:4:606968:614363:-1 gene:Vigun04g008500.v1.2 transcript:Vigun04g008500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINSLEVVNTSIKPNRTYLSKNLIALLSYGGVPNEFFKGLLESNLEDANNVFSNKRVALRASVNHGAMDEYNATEMILCGIPLDEPFLQYHLSILAREERKKLRGGKLYMPDCFYLMGTVDPTGCLEKDQVCIIHENDQITGDVLVYRNPGLHFGDIHIMRATHVDKLESFVGHSKYAIFFPCVGTRSVADEIAGGDFDGDLYWVSKHPQLLQYFRKSDPWMENFAPCHSVQLDSSAKRPSAFSATELEDELFRLFLKTRFQPSNAMGVAADSWMALMDRLLTLKNDCTEENEKKKVKENILKLIDIYYEALDAPKKGGRKVQVPDDLKAEMFPHYMEKDKSFTSTSILGLIYDWVGTWQTKDLSGEEIRLLSCFDVEVPPSCMEKWKTKYKEYRTDMTNALKDISKSKEEAAKVIRKYKEFCLEGCGFSFDKSLYHKTKTEASALCSICIA >Vigun04g008500.1.v1.2 pep primary_assembly:ASM411807v1:4:606932:614363:-1 gene:Vigun04g008500.v1.2 transcript:Vigun04g008500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINSLEVVNTSIKPNRTYLSKNLIALLSYGGVPNEFFKGLLESNLEDANNVFSNKRVALRASVNHGAMDEYNATEMILCGIPLDEPFLQYHLSILAREERKKLRGGKLYMPDCFYLMGTVDPTGCLEKDQVCIIHENDQITGDVLVYRNPGLHFGDIHIMRATHVDKLESFVGHSKYAIFFPCVGTRSVADEIAGGDFDGDLYWVSKHPQLLQYFRKSDPWMENFAPCHSVQLDSSAKRPSAFSATELEDELFRLFLKTRFQPSNAMGVAADSWMALMDRLLTLKNDCTEENEKKKVKENILKLIDIYYEALDAPKKGGRKVQVPDDLKAEMFPHYMEKDKSFTSTSILGLIYDWVGTWQTKDLSGEEIRLLSCFDVEVPPSCMEKWKTKYKEYRTDMTNALKDISKSKEEAAKVIRKYKEELYGATAKMEDSPKNISDIYEEALAIYHVCYEYAVLTKSVSKCSFAWKVAGSALTSLYIIKQKQKPLHCAPSVLREIFGS >VigunL029900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:157758:158396:-1 gene:VigunL029900.v1.2 transcript:VigunL029900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYEDPMSFQRENRCFTTNHKFHVGYSTYTDNYGQEFFYQPPSTSEISSDTFF >Vigun11g222300.1.v1.2 pep primary_assembly:ASM411807v1:11:41385020:41390078:1 gene:Vigun11g222300.v1.2 transcript:Vigun11g222300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGRFAGVSYPIKSYSSSWRDNTNNKLLPLNANRLRWRFMAQESDSSFAPSLDSDSSDKTAATGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGIIDEEQENELPNFPSFIPFLPPLTSANLKQYYATCFSLIAGIILFGGLLAPSLELKLGLGGTSYADFIESLHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSSTGALVLIEPVSTIKGGNKPLLPPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Vigun01g107900.1.v1.2 pep primary_assembly:ASM411807v1:1:28035613:28039565:-1 gene:Vigun01g107900.v1.2 transcript:Vigun01g107900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VuP5CR MEIAPIPVDTYTLGFIGAGKMAESIARGAVRSGVLPPSRIRTAVHSNPARRTAFESFGVTVLSSNDEVVRQSNVVVLSVKPQLVKDVVSKLKPIITKDKLLVSVAAGVKLKDLQEWAGSDRFIRVMPNTPAAVGEAASVMSLGAAATEEDGNVIAKLFGSIGKIWRAEEKYFDGITGLSGSGPAYIYLAIEALADGGVAAGLPRDLSLSLASQTVLGAASMVTQSGKHPGQLKDDVTSPGGTTITGIHELENGGFRGTLMNAVVAAAKRSRELS >Vigun11g058150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:12219783:12220627:1 gene:Vigun11g058150.v1.2 transcript:Vigun11g058150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVKGRWVNYDRAIISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTRNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLIVDVATIISEEIHKFVRYEVNIRNDKAKGALGFPALITALCQEQGVEVDLIEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQPAMEEQQTEPTQQPQLNMNNELLE >Vigun09g124900.2.v1.2 pep primary_assembly:ASM411807v1:9:27775665:27779803:-1 gene:Vigun09g124900.v1.2 transcript:Vigun09g124900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDEGDFDVFFDSVDCFSPTQGSALTEQESGYEIWANEPVSVKERRGKFLQGMGWHHGSSELCSQENNNMMNSDSSSVRFELERTGDSEEVSDTCSCILPYDQVSEELVLSGSETTSEDQKGGPKDKPDASLEGKIYEVSFTDQEVRHRKAEAREEEKKSWWKHFVSNKKGGWGKVSSKLNSGPNKTRRIKVKQNKKRWMEFSALYIGQEIRAHEGLIWTMKFSPNGQYLASGGEDGVIRIWRVTSLNTSSICFNAEDSAVSKVKHGSSGSQQKHSSQSFVVLPNKILKVEESPLHEFCGHTSDVLDLAWSNSDTLLSSSSDKTVRLWKIGCNECLRVFHHKDYVTCIQFNPVDENFFISGCIDGKVRIWGIHEERVVDWADIRDVISAISYRPDGQGFVVGSLTGTCRFYVTSGKHFQLDAQIRVNGKKRTSGNKITGIQFSQKNHQRVMITSEDSKVRILEGIELVQTYKALPRSGSQMSGSFTSGGEHIISVGGDSRVYMWNFNDLGNTSPKSTKSKYSCEYFGSKGVTIAIPWSSMTAEERGSGNDFAHYSSEMQPQLEAAHGVRESERFSFGSWFSIDGTCRGSVTWPEEKLPSWDLPLAEVEFDHQKQKYVSETWGLSIVAAGCDGTIKTFHNFGLPIRL >Vigun09g124900.1.v1.2 pep primary_assembly:ASM411807v1:9:27775720:27783647:-1 gene:Vigun09g124900.v1.2 transcript:Vigun09g124900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDEGDFDVFFDSVDCFSPTQGSALTEQESGYEIWANEPVSVKERRGKFLQGMGWHHGSSELCSQENNNMMNSDSSSVRFELERTGDSEEVSDTCSCILPYDQVSEELVLSGSETTSEDQKGGPKDKPDASLEGKIYEVSFTDQEVRHRKAEAREEEKKSWWKHFVSNKKGGWGKVSSKLNSGPNKTRRIKVKQNKKRWMEFSALYIGQEIRAHEGLIWTMKFSPNGQYLASGGEDGVIRIWRVTSLNTSSICFNAEDSAVSKVKHGSSGSQQKHSSQSFVVLPNKILKVEESPLHEFCGHTSDVLDLAWSNSDTLLSSSSDKTVRLWKIGCNECLRVFHHKDYVTCIQFNPVDENFFISGCIDGKVRIWGIHEERVVDWADIRDVISAISYRPDGQGFVVGSLTGTCRFYVTSGKHFQLDAQIRVNGKKRTSGNKITGIQFSQKNHQRVMITSEDSKVRILEGIELVQTYKALPRSGSQMSGSFTSGGEHIISVGGDSRVYMWNFNDLGNTSPKSTKSKYSCEYFGSKGVTIAIPWSSMTAEERGSGNDFAHYSSEMQPQLEAAHGVRESERFSFGSWFSIDGTCRGSVTWPEEKLPSWDLPLAEVEFDHQKQKYVSETWGLSIVAAGCDGTIKTFHNFGLPIRL >Vigun09g124900.3.v1.2 pep primary_assembly:ASM411807v1:9:27775719:27783648:-1 gene:Vigun09g124900.v1.2 transcript:Vigun09g124900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDEGDFDVFFDSVDCFSPTQGSALTEQESGYEIWANEPVSVKERRGKFLQGMGWHHGSSELCSQENNNMMNSDSSSVRFELERTGDSEEVSDTCSCILPYDQVSEELVLSGSETTSEDQKGGPKDKPDASLEGKIYEVSFTDQEVRHRKAEAREEEKKSWWKHFVSNKKGGWGKVSSKLNSGPNKTRRIKVKQNKKRWMEFSALYIGQEIRAHEGLIWTMKFSPNGQYLASGGEDGVIRIWRVTSLNTSSICFNAEDSAVSKVKHGSSGSQQKHSSQSFVVLPNKILKVEESPLHEFCGHTSDVLDLAWSNSDTLLSSSSDKTVRLWKIGCNECLRVFHHKDYVTCIQFNPVDENFFISGCIDGKVRIWGIHEERVVDWADIRDVISAISYRPDGQGFVVGSLTGTCRFYVTSGKHFQLDAQIRVNGKKRTSGNKITGIQFSQKNHQRVMITSEDSKVRILEGIELVQTYKALPRSGSQMSGSFTSGGEHIISVGGDSRVYMWNFNDLGNTSPKSTKSKYSCEYFGSKGVTIAIPWSSMTAEERGSGNDFAHYSSEMQPQLEAAHGVRESERFSFGSWFSIDGTCRGSVTWPEEKLPSWDLPLAEVEFDHQKQKYVSETWGLSIVAAGCDGTIKTFHNFGLPIRL >Vigun05g238800.1.v1.2 pep primary_assembly:ASM411807v1:5:43184985:43185617:1 gene:Vigun05g238800.v1.2 transcript:Vigun05g238800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGEFKHFVIVKFKEGVAVDELTKGMEKLVSEIDAVKSFEWGRDIESLDVLRQGFTHAFLMTFNKKEDFAAFQSHPNHVEFSTTFSAAIENIVLLDFPSTIVKAPA >Vigun11g168800.1.v1.2 pep primary_assembly:ASM411807v1:11:37538712:37540349:-1 gene:Vigun11g168800.v1.2 transcript:Vigun11g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNKVQNMNSVVKRVMVSLLVLMQGACYGSEKASHVSVADIVTTEFFNSILDEGDDEADCAGKNFYSRQAFLHALNSYKQFGRTGSADDSKREIAAAFAHFTQETQHFCYVEETEGESKDYCDDSNSEYPCASDKEYYGRGPIQLRWNYNYGAAGESIGFDGLKAPETVANDPVVSFKTALWFWTQNVSPVMEQGFGETIRAMKGEAECDGGNPDAVQARVDYYTQYCSQLGVAPGDNLTC >Vigun04g169600.2.v1.2 pep primary_assembly:ASM411807v1:4:39394547:39398344:-1 gene:Vigun04g169600.v1.2 transcript:Vigun04g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKNFFSFFLKRRRIIMGVDIQGSIPFLAERISRRLGKTKDHVVALKTLVLIHRLLRGGNRSFEQELCKAHVSGHLQINTRCFTKSSDHPSAGFLHKYAAYLEERMSWLINQAGKLEPVMSKGLEFRRYDEKSFDMAFRTLPKCQVLLDKVLECSPHDILCSDHGLAQAAMSNTLRESFQVYMTFSEGIAALVNMFFDLTASARVLACEILKTASLQTQKLHDLYESCKFVVENKNLDYPSVQIISMSHVVALEQLGTQQNELATSHVSLSSISRPPPKSKEMVELEVAAEENRRSEEKIDVNLSPTPTPTLFSWTLETKISMVWVVFEDEVPNESQVLPAQQKLGTVDAVTDMKSEYGRPSVFLNSFSSTFQTSMSSKV >Vigun04g169600.3.v1.2 pep primary_assembly:ASM411807v1:4:39394548:39398343:-1 gene:Vigun04g169600.v1.2 transcript:Vigun04g169600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKNFFSFFLKRRRIIMGVDIQGKLRLALGSVKDHASIGKAMMYHYQHDGFSNIEIAVLRATGHDNGTIGDRYMHEILFLVSNSPGSIPFLAERISRRLGKTKDHVVALKTLVLIHRLLRGGNRSFEQELCKAHVSGHLQINTRCFTKSSDHPSAGFLHKYAAYLEERMSWLINQAGKLEPVMSKGLEFRRYDEKSFDMAFRTLPKCQVLLDKVLECSPHDILCSDHGLAQAAMSNTLRESFQVYMTFSEGIAALVNMFFDLTASARVLACEILKTASLQTQKLHDLYESCKFVVENKNLDYPSVQIISMSHVVALEQLGTQQNELATSHVSLSSISRPPPKSKEMVELEVAAEENRRSEEKIDVNLSPTPTPTLFSWTLETKISMVWVVFEDEVPNESQVLPAQQKLGTVDAVTDMKSEYGRPSVFLNSFSSTFQTSMSSKV >Vigun04g169600.1.v1.2 pep primary_assembly:ASM411807v1:4:39394548:39397897:-1 gene:Vigun04g169600.v1.2 transcript:Vigun04g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESYSQKNKNFFSFFLKRRRIIMGVDIQGKLRLALGSVKDHASIGKAMMYHYQHDGFSNIEIAVLRATGHDNGTIGDRYMHEILFLVSNSPGSIPFLAERISRRLGKTKDHVVALKTLVLIHRLLRGGNRSFEQELCKAHVSGHLQINTRCFTKSSDHPSAGFLHKYAAYLEERMSWLINQAGKLEPVMSKGLEFRRYDEKSFDMAFRTLPKCQVLLDKVLECSPHDILCSDHGLAQAAMSNTLRESFQVYMTFSEGIAALVNMFFDLTASARVLACEILKTASLQTQKLHDLYESCKFVVENKNLDYPSVQIISMSHVVALEQLGTQQNELATSHVSLSSISRPPPKSKEMVELEVAAEENRRSEEKIDVNLSPTPTPTLFSWTLETKISMVWVVFEDEVPNESQVLPAQQKLGTVDAVTDMKSEYGRPSVFLNSFSSTFQTSMSSKV >Vigun04g169600.4.v1.2 pep primary_assembly:ASM411807v1:4:39394548:39396795:-1 gene:Vigun04g169600.v1.2 transcript:Vigun04g169600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDIQGSIPFLAERISRRLGKTKDHVVALKTLVLIHRLLRGGNRSFEQELCKAHVSGHLQINTRCFTKSSDHPSAGFLHKYAAYLEERMSWLINQAGKLEPVMSKGLEFRRYDEKSFDMAFRTLPKCQVLLDKVLECSPHDILCSDHGLAQAAMSNTLRESFQVYMTFSEGIAALVNMFFDLTASARVLACEILKTASLQTQKLHDLYESCKFVVENKNLDYPSVQIISMSHVVALEQLGTQQNELATSHVSLSSISRPPPKSKEMVELEVAAEENRRSEEKIDVNLSPTPTPTLFSWTLETKISMVWVVFEDEVPNESQVLPAQQKLGTVDAVTDMKSEYGRPSVFLNSFSSTFQTSMSSKV >Vigun04g080000.1.v1.2 pep primary_assembly:ASM411807v1:4:11381827:11383577:-1 gene:Vigun04g080000.v1.2 transcript:Vigun04g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAFILLLSLLFLSYVLYVAAVPATRISMTKKEDALLFEDTKENVVVNIRKQKGLFEVKEEVEEKRMMMETSDYSPTGPNHSHTPKSPGKPGN >VigunL059328.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000234.1:23332:23499:1 gene:VigunL059328.v1.2 transcript:VigunL059328.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Vigun07g012100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1041982:1046091:-1 gene:Vigun07g012100.v1.2 transcript:Vigun07g012100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGNLSEHSRRDELERVFRRFGQCNVQLKRDGYGFVVFDFPPNAEKALRALKGRNICGEELTLTWSNKQPNTHFSRISRGGRRNANEFRRKTGFRGWSNQKMGRANSVDTPDEQRGYRYDDFKDYVGEEKGYEGDFPDEGGGVIPKTGENDRWGEPVHDLVENGNGNAIEFDRYEPYQGHDRKYESEDYLVGYSGGSPEANSPENVGRTHIVEGTSNRPNGSKFHQTCFRCGDPGHKMRNCPKEHSSQWRYNRLGVRQNSRIDKSHEDENKFGYAFRMKLQSSGDALPMRHQRGGRRLSVSRETYRHQRKEYGERKRSRTEMELPKRSKAKIRKRSVSSSMPSDYSASRSLTNSQSSKSLQKSSSRSRSRPVSSRSHSSSSKLRSLSVSLSPPSLSPNKTRLNSKSSPINGTSVKTVDHLPAQGQKIGGNKMELENSKSKDTGVDVNGKTAAVCDTAVNAVEKNQFVQEDNHENHVFLKSSDRVTDLNEPPVENLSPFTVKKTEGLSHTGKHGLNPAPETNVNLHSDIPTLVSMEEMHMVLNNYGLELPKDDENNLTVDAFFGCARLWPWHLVYYRKLKKGPISTENYARRVAQNQEFGIIDKYIRSSSGWGEFGLQNS >Vigun05g111100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11573752:11576370:1 gene:Vigun05g111100.v1.2 transcript:Vigun05g111100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRHRLRLIPTPLLYSFPKSPFLQSSFSFSTTTSDPVSFTLSYLTNTCGFSPEDALKLSKRLRFNTALGFSVISFFRTHGFSAAQIYHVFNKVPDVMLYHPTRRILPKFHFLASKGASPSDTVLIVSKNPRFLHHNFNNRISSTFQLLRTFFPSDHEALAVLIACPNIITDYRIASNVDMLLEAGVTHSGIRHLLHSRPLVLCSNLRTTLEEVKLLGCDPLKLNFVVALEAKWALPKSLWDAKVDALKKWGWSEDEVLVAFRIQPSMMLHSSEKLNAVTGIWVGRLGWDRSVLIAYPSLFFCSLEKRIVPRALVLEHLLSKGLVRKDINLYTPFRMTDEVFLQKYVKRFEQETLRLLELYREGDGTCQHLRV >Vigun05g111100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11573756:11576370:1 gene:Vigun05g111100.v1.2 transcript:Vigun05g111100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRHRLRLIPTPLLYSFPKSPFLQSSFSFSTTTSDPVSFTLSYLTNTCGFSPEDALKLSKRLRFNTALGFSVISFFRTHGFSAAQIYHVFNKVPDVMLYHPTRRILPKFHFLASKGASPSDTVLIVSKNPRFLHHNFNNRISSTFQLLRTFFPSDHEALAVLIACPNIITDYRIASNVDMLLEAGVTHSGIRHLLHSRPLVLCSNLRTTLEEVKLLGCDPLKLNFVVALEAKWALPKSLWDAKVDALKKWGWSEDEVLVAFRIQPSMMLHSSEKLNAVTGIWVGRLGWDRSVLIAYPSLFFCSLEKRIVPRALVLEHLLSKGLVRKDINLYTPFRMTDEVFLQKYVKRFEQETLRLLELYREGDGTCQHLRV >Vigun05g111100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11573752:11576370:1 gene:Vigun05g111100.v1.2 transcript:Vigun05g111100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIRHRLRLIPTPLLYSFPKSPFLQSSFSFSTTTSDPVSFTLSYLTNTCGFSPEDALKLSKRLRFNTALGFSVISFFRTHGFSAAQIYHVFNKVPDVMLYHPTRRILPKFHFLASKGASPSDTVLIVSKNPRFLHHNFNNRISSTFQLLRTFFPSDHEALAVLIACPNIITDYRIASNVDMLLEAGVTHSGIRHLLHSRPLVLCSNLRTTLEEVKLLGCDPLKLNFVVALEAKWALPKSLWDAKVDALKKWGWSEDEVLVAFRIQPSMMLHSSEKLNAVTGIWVGRLGWDRSVLIAYPSLFFCSLEKRIVPRALVLEHLLSKGLVRKDINLYTPFRMTDEVFLQKYVKRFEQETLRLLELYREGDGTCQHLRV >Vigun04g013000.1.v1.2 pep primary_assembly:ASM411807v1:4:945442:949495:-1 gene:Vigun04g013000.v1.2 transcript:Vigun04g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKSTMAITTRDRDRELLIPVSAVEGPAASSSLPSSSSSSSPHHPGRETFYKVIRSWASKKFMSGCVILFPIAITFYVTWWFIHFVDGFFSPIYAQLGIDVFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRVGEYAFGFITSFVTLQNYSVDEQLCCVYVPTNHLYIGDIFLVSTADIIRPNLSVREGIEIVVSGGMSMPQILSTFDSRITPGEISRIIRS >Vigun04g013000.2.v1.2 pep primary_assembly:ASM411807v1:4:945442:948677:-1 gene:Vigun04g013000.v1.2 transcript:Vigun04g013000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVILFPIAITFYVTWWFIHFVDGFFSPIYAQLGIDVFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRVGEYAFGFITSFVTLQNYSVDEQLCCVYVPTNHLYIGDIFLVSTADIIRPNLSVREGIEIVVSGGMSMPQILSTFDSRITPGEISRIIRS >Vigun10g036550.1.v1.2 pep primary_assembly:ASM411807v1:10:4922303:4933641:-1 gene:Vigun10g036550.v1.2 transcript:Vigun10g036550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSTQSFSKSKHQRIHDVFINFRGEDTRRKFVSHLHCALSKAGINTFFDEENLVKGMQLQELMRAVEGSQIAIVVFSQTYTESTWCLDELEQIIKCSQTQGQSVLPVFYEIDPSDVRHQKGDFGKSLEETARRTYSGEQLERALSRWRRALNKTAGIGGWDIRNIFYFLLETELVRQIVDRVQTLLDNEDLSITGYPVGLESRAQEVIGLIETRSTQVCMIGIWGIGGSGKTTVAKAIYNMIHRRFVDKSFIENIRETCQNHGRGYVHLQEQLLSNILKMKLEIHSVGMGTTLIEKRLTGKTAFIVLDDVNEYSQIKAVCGNRKWIGQGSVIIVTARDVSLLNRLEVDYVYEMDKMDEDESLQLFSFHCFGDAKPKEDFSELSRNVVAYCGGLPLALEVLGSYLYDKTSKREWESVLLMLEKIPVGEIQRKLRISFDSLNNDMEKDIFLDVCCFFIGKDIGYVLVERGLIKVEKNNKLGMDPLVREMGREIIRENSRKEPRKHSRLWFQNDVVEVLTKNTGTEAIEGLVLKMHSTSRDCFKAYSFQKMERLRLLQLHHVQLDGNYGYLSKQLRWISWHGFPPKFLPNNFYMDHVIAIDLKHSHLQFLWKQSPVLKWLKILNLSHSRYLRKTPDFSRLPSLEQLILKDCPSLLTIHKSIGDLRNILLINLKDCTSLTNLPKEIYELKSVKTFILSGCSKIDKLGEDMAQMESLVTLIADHIYVKQVPFSIVSSKSIGYVSLCGFEGLARNVFPAFIWSWMPATMNPLLYTHPFYVTSCYLVSMITQNNTFGELAPMLTSLPNLRSVLVQCETESQLSKHVKTILVEHALSFMESGISRHHLRSSLIGVGSYKAFFDILSDRISEGLLATNEACEVLLPVYFTVPEDGGMKGMTLCIVCLSNPEIKPTERLTSVLIANYTKRTLQIHKQDTVISFNDEDWKEIISHLGGGDKVEIFVTFGDDLVVKKTAVYLMYGESHDIQIKPTNSEPNDVEIEPRHCESNDIEVEPMHSNDIKIEPMNSQSNVLEIKSEPKPNGNGCTRFFKKFVTLK >Vigun03g082400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6854372:6854951:1 gene:Vigun03g082400.v1.2 transcript:Vigun03g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSVVVLALLMFAVIGLASAAEKSSPSDASADDYDEDYSIDDIRSGASANGVVAAPLGGPVPPGAFDHAKGGVPSTTSATTAASSSSSVHFSAVAGVASAALAAAFYF >Vigun09g199600.1.v1.2 pep primary_assembly:ASM411807v1:9:37399983:37407283:1 gene:Vigun09g199600.v1.2 transcript:Vigun09g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKNRSDKKDGVSGLVAVAIDKKKESQSALKWAVDNLLTRSATVILIHVKLLAPSLSPSPSLFAPRISSFLGDDPSALSKEHDGQNRNVFLPYRVFCTRKDIQCKDVLLEDSEVPRAVIEYASQTGVEHLVLGSSAKTSLLKRFKVSDIPGEISKGAPDFCTVYIIAKGKIQTMRSATRPAPAIFSNQLCQGNNGRSNLSEPNVYSGQSIRDQEAHSFDTSSQYDENFRSPFTRKRYNGRHYGDTPNLDTDISFVSSGRRSTDRLIPSMNLDTGISNSRLSYSSDIDANFSFESAPFPRKSVEMSSPLELSSFSFESDKQSSSSSQTADDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAIAEKEKAKSKAAIEAAEAQKRIAELEAQKRLNAEMKALRESEEKRKVLESLNVDVRYRKYSIEEIEAATNFFSESLKIGEGGYGPVFRCLLDHTPVAVKVLRPDAQQGRSQFQREVEVLSCIRHPHMVLLLGACPEYGCLVYEYMANGSLEDRLFRLGNTPPLPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTAKSPMGLTHHVARAIEKGTLSELLDPSLSDWPQEEALTFAKLGVQCAELRRRDRPDLGKVVLPELHRLRNLAEDTHLISALGNPTNIPRDMSDRPVSAVLEGDCPPFPNAVAGEIRANNSVAF >Vigun06g215000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32623660:32628482:1 gene:Vigun06g215000.v1.2 transcript:Vigun06g215000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSIKDAFDRVAKKQKLSSSKSQEVVDQVGREIEQALATIQSSRDLSSPVDQKSVLTELKFKINAIGPLQQLEGSNKELNASISKYQKLLEKLLNPDISKAYRNVDFDTRIINQIIANHFYRQGLFDLGDSVVNEAGEPDATALRSQFLEMHQIIAAMREKNLQPALTWVSANREKLDHIGSNLELKIHRLQFVEVLQNGTRADALKYARTYLAPFASLNKDEFPKLMGCLLYAGRLESSPYSELMSPIHWEMTTEELARQFCTLLGQSYENPLSVAVAAGVEGLPTLLKLANVMAAKKQEWQEMKQLPVPVELGKEFQFHSIFVCPVSRDQGSEENPPMLLPCLHVLCKQSIMKLSKNSTRTFKCPYCPAEATVTHCRQLYF >Vigun03g438100.1.v1.2 pep primary_assembly:ASM411807v1:3:64150990:64169019:-1 gene:Vigun03g438100.v1.2 transcript:Vigun03g438100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPHLQLKELGSKLETLPSSKDAIVKLLKQATPCLAELDQSPSTSTLESMKPFFNAIVKPELLKHQDRDVKLLVATCVCEITRITAPEAPYSDDILKDIFHLFVGTFRGLSDTNGASFGRRVVILETLAKYRSCVVMLDLECNDLVNEMFSIFFAVARDDHPESVLSSMQTIMVVLLEESEDVREDLLSILLSKLGREKKAVNMAARRLAMNVIQQCVGKLEPSIKQFLLSLMSGDSKPVNNQVEYHGVIYDLYCCAPQILSGVLPYVTGELLSDQLETRLKAMNLVGDIISLPGSSISEAFQPIFSEFLKRLTDRVVDVRMSVLEHVKKCLLLNPFRAEAPLIISALCERLLDFDENVRKQVVAVICDVTCHALNAVPLETVKLVSERLRDKSLLVKKYTMERLAEVYRVVCEKSSDTVNPNEYNWIPGRILRCFYDKDFRSDIIESVLCGSLFPVEFSVSVIAKHWIGVFSGFDRVEVKALEKILEQKQRLQQEMQKYLSLRQLSQDKDIPEVQKKILFCFRVMSRSFADPVKAEESFLILDQLKDANIWKILTNLVDPNTSLHQARAHRDDLLKILGEKHRLYEFLNTFSVKCSYLLFNKEHVKTILLETTAQKSAENAQHTQSCMNILVIIARFSPLLLRGSEEELVNLLKDNDDTIKEGVLNAVAKAGATIREQLAVTSSSVDLILEKLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKKLVDMLEDKTHLPAVLQSLGCIAQTAMSVYVTREKEIEEFILKKILKSDSQEDNPKTSWDGESDLCKLKIYGIKTFVKSYLPVKDAHVRPDIDRILDILRNILLYGEVSKDLKSSSVDKAHLKLASAKAVLRLSRLWDHKIPVDLFHLTLRVSEDSFPQVRKILLGKIHHYIKDRLLDAKYACAFLLNIFGSKPNKFAEDKQNLADIIQMHHQLKARQLSSQSDANSLATYPEYILPYLVHTLAHNSCPDVDDCKEFGAYDDIYRQFHLILSMLLQRDEDVKSEVTTDKEKEIISTITCIFLSIKHSEDVVDTSKSKNSHALCDLGLTITRRLVQKDVDLLGLSHLVSLPPMLYKASEKEGDDTGVTEVKSWLADENALAHFESLELDMVHSQSAENEASKDDEKDGNEMPLRKMLKHIKSKGTSGKKGKRNKSVPAETKKAESDFDTVNMARQINGDNLGTSSNVEASNGHGHSLSKKALKDLDSAAGKKRQAKETTPVPVPKRRRSSSTHGKLTLSTSISKTSRRVSGEESPQPKLLLDEEVNPDADGKAIQKKMVKGSEKDLLLSSLKQKVNVSDGYHNDELNKPDEHDMMSLDRVQLSDKTVSNINKSSTGSTKKGKRKSIAGMAKCTTKGGEIDIEDLIGCKIKVWWPMDKKFYGGTIKSYDPLKRKHVILYEDGDVEILRLEKERWELVDKGRKSTKKIKLSSLEASGQKHKGSSGSSRKKAKKIINGNQPSSKPVNRASKNNLHHEDAKETSEMSNPKETAAPKANEMYSGDSEEELTGGFEEITRKEKSIKGTKSGSRGKRLRKEKNFRYTEESNEERQDYNEMVSEDRESVPQDSSEERELDKSNGALRENINGEELDSERREDDSDAGSSPREMARSHIEPSKSRYDDEHDTKAEISDDVPLSKWKRRMGKKSSRKEQ >Vigun08g034100.1.v1.2 pep primary_assembly:ASM411807v1:8:3177947:3182982:1 gene:Vigun08g034100.v1.2 transcript:Vigun08g034100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPARRFGDNGGGLFSSKSRSPPVLSIALVVMGGLLVIGYFYRGSGGFGSRLDSVSRLEGDYLCSGEVQQAIPILQKAYGDSMHKVLHVGPDTCYVVSKLLKEEETEAWGIEPYDIEEADSSCKALIHRGSVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPDLVRVSSDGIVIFTGFPTAQKAKVADVSKFGRAAKMRSSSWWDKFFLQINLEENEAASKKFEQASTKSSYIPKCQIFHLKSLH >Vigun10g071775.1.v1.2 pep primary_assembly:ASM411807v1:10:17788535:17804827:1 gene:Vigun10g071775.v1.2 transcript:Vigun10g071775.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRRELEKLVTAKVTSLKSGCTRGRFMGYFVVQAPDLREQYIDIANVQETNKGWVQMQVNILLLQANGAGSVLSFLMLHCHFQGVNPWKGRLLLLESVSSVLEQGLLQDDKKIKNVSTMKECISTMARKHRNRKLLPMLKNGKVASNDILIRLHESKKRFYNLWL >Vigun05g163166.1.v1.2 pep primary_assembly:ASM411807v1:5:26243737:26246992:-1 gene:Vigun05g163166.v1.2 transcript:Vigun05g163166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPDEERQDKYENDEFIADDIEDEEEQDEEEKANTDDERQKKKKRKNKEEYVLDEDDYELREDNNINIRPSKESQRFKRLKKDRTDTEEESSGMSDKDEFVCGYKGAPLQDSAEGEEQGEEEEDADTSTINPFLTRSTMARAQSILTASPKGGFVTMFLKDQDFGYVERIFVIRFLNELGSQWTIVDENGRLHEITYNMDIHYPKLTRGWSNFRRCYDLDSRKLFVLKFVGNSSFQVMFAKESSSDFRVAKFLNKVALYSPLTTSPLIHFEVRLSKFNCEASHLDLSSEFGNYFCNSGLTKVVLHGPFFQVECNLLVRSKSVKIGSGWKNFCKLHELEERISIIFEVESQKTSVDIKVIYNL >Vigun04g149200.1.v1.2 pep primary_assembly:ASM411807v1:4:36477800:36481600:-1 gene:Vigun04g149200.v1.2 transcript:Vigun04g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSSVPIKISNRSKPHSHTQTMKLCPEFSDSYVVGVIFTVLLVGLQGVRIVECKVGNELDCLEYPAISCRKHSAFLSDFGGVGDGKTSNTKAFQNAISNLSHYASDGGALLVVPPGTWLTGSFNLTSHFTLFLHKEATILASQDESEWPTLPVLPSYGRGRDAPDGRFSSLIFGTNLTDVVITGYNGTIDGQGFYWWDKFHKGELKLTRPYTIEIMFSNHIQISNLTLINSPSWFVHPIYSSDIIIQGLTILAPVDSPNTDGINPDSCTNTRIEDCYIVSGDDCIAIKSGWDEYGIKFGMPSQHIIIRRLECVSPDSAMIALGSEMSGGIQDVRAEDLKAINTESAVRIKTAVGRGAYVKDIFVKGMNLNTMKYVFWMTGSYGSHPDPDFDPKALPNITGINYRDVFADNVTYSARLDGIANDPFTGICISNVTIHSGDKKLQWNCTDVEGVTSNVYPKPCELLPEKKEKVECPYPDDKVPIESVQLKTCSFKSFSFF >Vigun08g202900.1.v1.2 pep primary_assembly:ASM411807v1:8:36679765:36681691:-1 gene:Vigun08g202900.v1.2 transcript:Vigun08g202900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARTMSLLPILLISCFFCVGKATDCGGNHVKQTIIVGKEGDAAFSRIQEAINSVRNNNDQWVKIHIKAGLYIESIVIPKNKPCIILEGEGSARTTIDHKDHQSINKNATFLSFAPNVIASGITFKNSYNVATDKPCDLGSRIEPANAAKLYGDKYFMHKCSFVGYQDTLYDIQGRHVFRDCYIQGEVDFIYGYSQSYYQNCLINAVGRNRNLPGFVTAQGRESADDRSGFVFEGGLIKGNGKVNLGRAWKPYSRVIFRNTYFSDIVTPQGWVAWSAANNPSTTTYAEVDCKGPGADTSKRVPWMKKLRSSDLNELSFESFINSDGWFDNLPTVS >VigunL005901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000195.1:9928:12990:-1 gene:VigunL005901.v1.2 transcript:VigunL005901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDSSRFSDYYYGKLVYQDVNLRSYFGSIRPPARLTFGFRLGRCILIHFPKRTFIHFFLPRRPRRLKRRKKSRPGKEKGRRWAFGKVGPIGCLHSSDNTEEERNEVRGRRAGKRVESIRLDDREKQNEIRIWPKKKQRYGYHDRSPSIKKNLSKSLRVSGAFKHPKYAGVVNDIAFLIENDDSFRKRKFFKLFLPNKSRSDGPTSHLFKRTLPAVRPSLNYSVMQYLLNRKNQMHFDPVVVLNHFVAPGVAEPSTMGGAKQGRGLDKRIRSRIAFFVESSTSEKKCLAEAKKRLTHFIRLANDLRFAGTTKTTISLFPFFGATFFFPRDRVGFYKNLSFEDAREPLLGKLRIKCWNLMGKDKVMELIEKLIDLGRIGEWIKGIEMMIEIILRNRRIPYGYNSYLNEVKKMRSLLSNRTNTNTLIESVKIKSVYQSASPIAQDISFQLRKKTRSFRSIFSRIVKDIPLVMKKGVEGIRICCSGRSEGAEIARTECGKYGKTSHNVFNQKIDYASAEVSTRYGISGVKVWISYSKKKRGRAISETYEI >Vigun04g096400.3.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516533:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKSPGKGKRGKLHPNGSTDMVSTDS >Vigun04g096400.10.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKSPGKGKRGKLHPNGSTDMVSTDS >Vigun04g096400.1.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEVGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKSPGKGKRGKLHPNGSTDMVSTDS >Vigun04g096400.2.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516534:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKSPGKGKRGKLHPNGSTDMVSTDS >Vigun04g096400.7.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEVGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRKSPGKGKRGKLHPNGSTDMVSTDS >Vigun04g096400.8.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEVGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun04g096400.9.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun04g096400.5.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516530:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEVGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun04g096400.11.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516533:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun04g096400.4.v1.2 pep primary_assembly:ASM411807v1:4:20505958:20516534:-1 gene:Vigun04g096400.v1.2 transcript:Vigun04g096400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLRNKRKLNSKFKRKASKRDSHDIEENLKNDTINPSNERNIVEGFQDTSLDAIFSEDDSEVLGDDSESDGFLSEDSSFLHDAGSDSESEHYIENSNVSSSLSVQNRDICAELLKKAKKLNKLREKDPGFSKFLESYDMKIEETQDEEGSDDGKNLGLVQPLDSNNACSHVGKHLTSASVYSLCKLVKEQNSVAALTCLINAYREACHNDSEATSVSGCVFSDGIQKSETFCKIILFMLQEADATFRRLLGISNTSSRKEAVLDLKNTPKWLSLRPLIKSYLRSTVFLLNQVTDSELLAFSICGLRTSIIFLCAFPSLLRNLLKISIHLWATGDGSLSSHSFLIIQDIASMSSSNWFDFCFVKTYKAFISNSQSVERKFEHIRFLRNSFVELCCLDMQKSSNKAMTCILHLGKILQKGWQTKKKEVVKTICSWQYINCIDMWVTLISASIHDYDLQPLLYMIVQIINGVALLFPGPRYLPLRLRCIQWLNNLSGSSGVFIPVTSLVLDVLEYKIAKDSGKPGKVLQPMSTVKLPKHWLKSRGFQEECVLSAIELLSEHFAQWSYHISFPELATAPLIHLKKVFEKTSTESFRRVIKRFIDQVEINIDFVQKKREEVPFSPKDHQSVESFLQVEKRNGNTPFTQYYKNIMSKAASRNSISDRK >Vigun09g099100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:15905872:15907843:-1 gene:Vigun09g099100.v1.2 transcript:Vigun09g099100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLCATSKLRAFTNSFSQMEKALNDAVSKSFVGTYFKLEQRKSCFTRELRAAIATFLTMAYIITVNATIIAASGGTCSVADCSSPAGPDCRVKPNVGYERCLAKTKSDLVVATAVSALVGSVAMGLLANLPLGLAPGMGPNAYFTFNLVGFHGSGSISYQTALAVILVEGCAFLFISAVGLRGKLAKLIPHSVRLGCAAGIGLFIAFTGLQSSLGVGLIGADPSSLVTITACRIVDPETGACLGGKLQSPKFWLGLVGFLITSYGVMKNMKGSMIYGIVFVTFVSWFRHTEVTYFPDTPVGDASYEYFKQVVGFHKIESTAGMVRFSDFNQKEVWVALGTLFYVDVLAITGTMYTMAEIGGLLDEKGHFEGEYVAYMVDAGSTIVGSALGVTSTATFVESSAGLREGGRTGLTAVLVGLLFLLSLFFTPLLSSVPPWAIGPSLVMVGVMMMKVVKDIDWSNTKDAVPAFATMLLMPLTYSIANGIIAGIGLYIALSLYDYAASSVNWLRKMRRMVAKEQNQVSATAAVEII >Vigun05g162265.1.v1.2 pep primary_assembly:ASM411807v1:5:25743420:25744159:-1 gene:Vigun05g162265.v1.2 transcript:Vigun05g162265.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SSINDGSGPPKFILSVQNYHRIGSLLPEKGSNPKFAQLYIYETENETTNRVSHFGSDSQQSIFDKSLIEELKKMIDKHNVLAQTFRRVRDFIHDDEQSDFGLRLFRHRFKDPRVYNTLGCIVADEVATLIVGDLSTLDVGRVIIVKKVSGQLTRLHETHTCFIPLQYPLIFPYGEDGYQEDIPIRDCQKYGQSRKRTHISLREFIAFRIQDRKVEFGNVVNSR >Vigun08g159400.1.v1.2 pep primary_assembly:ASM411807v1:8:33217785:33222955:1 gene:Vigun08g159400.v1.2 transcript:Vigun08g159400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNLVYMMPPTVGLSLSSESDKLALLALKHKLTDIVQLCLFTLGLFPTLTNGVANALPSWNNSLHFCEWQGVTCGHRHMRVSVLHLQNQNWGGTLGPSLGNLTFLTTLILSNINMHGEIPTQIGRLKRLQVLHLSHYSLNGQIPVHLSNCSKLEVINLLYNKLNGKLPSSFGLGSMTRLNKLLLGANDLVGTVPPSLGNLSLLQNITVARNHLVGSIPHVLGRLSNLKELNLGLNNLSGVVPDSLYNLSNIQIFVLGVNRLSGTLPSKMQLAFPNLRAFFVGDNQLNGAFPSSISNITGLQAFDISLNGFSGPIPPTLGSLNKLETFSILDNRFGSGSAQDLDFLSSLTNCTQLKILLLGWNEFGGVFPDLIGNFSTNLTSLSMECNQITGKIPEGIGQLIGLTSFVVPNNYLEGSIPDSIGKLKNLVRLVLQENKLSGNIPTAIGNLTMLSELYLHTNRLVGGIPLSLKYCTRMQSFGVSSNNLSGDIPNQTFGNLEGLINLDLSNNSFTGSIPLEIGNLTHLSVLYLNENKLSGEIPAKLAACSALTELMLQINFFRGSIPSFFGSLGSLEILDLSNNNFSSTIPVELQNLTFLHALNLSFNHLYGEVPIGGVFNNVTVISLIGNKDLCGGIPQLNLPACSKLPSKKRKWTCRKKLILIIAIEVGVYLVAFTVFISIYLFRKRPKTPSTSCSPENEYVKVSYGDLHKATNGFSSSNLVGSGGFGSVYNGYLLPFQTPVAVKVLNLETVGASKSFAAECKALGRIMHRNLLNILTCCSSIDYNGKDFKAIVLEFMPNGCLESLLHDKVEPKSRNFNLDLDLVVNIALDVANALDYLHHDSEEAVVHCDIKPSNVLLDDDMVAHLGDFGLARLLHVATGNSSRDQVSSSAIRGTIGYVPPEYGTGCGVSTKGDIYSYGILVLEMMTGRRPTDAMFGEGVSLHKFCQVAIPEGITEIVDSRLVVETGEEGRRVMETEIRECLVDLVRIGVRCCAEKPVERMDIKDVVLELDTIKERLSLSQ >Vigun03g318900.1.v1.2 pep primary_assembly:ASM411807v1:3:51357101:51359253:1 gene:Vigun03g318900.v1.2 transcript:Vigun03g318900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMICNFYLSLLFFISVLCVLKFATKTKTKPKTKLNLPPSPPKLPFIGNLHQFGTLPHRSLRNLSLNHGGIMLLQLGQMENPTLVVSSAEAVTEIMKTHDLAFSDRPQNIAAKILLYGCTNIAFSLYGQNWRHKRKISVLQLLNMKRVQSLHQIREEEVANLVNKLRDVSSSDDECYVNLSEMLMSTSSDVACKCALGRKYSGDGHSRVKELANDVMHQLVAFTVGDYFPLLGWVDFLTGKIQEYKATFHALDALFDQTIAENLTENMEGDHSENKSFVNVLLQLQQSNNLNFELTKNDVKTLLLDMFAGGTDTTAVTAEWAMSELMRNPAIMKKAQEEVRKIVGHKSKIEESDMSQMHYLKCIIKETLRLHPAAPLLAPRTTISSVKLRGYDIPAKTLVYVNAWAIHRDPNFWESPEEFTPERFENSQIDYKSQHFHYIPFGFGRRGCPGIYFGLALVEYFLANLLCWFDWKLPESDEHKDIDMSEKFGLVVSRKIPLYLNPVTFPSKF >Vigun06g034900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14751711:14754030:-1 gene:Vigun06g034900.v1.2 transcript:Vigun06g034900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNFFISRLVASSFTGYKGSHLLLGSLLPCKHTASLVFFNYFTSSTSSDSKSDGKQHRGSTFTVSYLINSCGLSQTLARELSNRVNLKTPDGPNAVIDILSNYGFSKSQLAKLVVRHPSVLFAKVEDTLLPKLEFFRSIGLSNTDIPKILIANHGILKRHLENCIIPRYEILKSVVHADREVVRTIKNAPLGFVYGDLMNRLVPNIDILREYGVPQASISHLVTNSLSAAYVEHSRFVEAIKRAKEIGFSPLKMDFVVAIHVLVTMRKEVWESRFQVYEKWGWNRDMALRAFRKFPSFMKFSGETFSRKMSFLVKDMGWPSEAIAEYPLVVAYSLEKRIIPRFSVIKILKSKGLLEKNVHFSSIICTTEEKFLEKFVVNFQDALPLLPDLYRGFLNQSNVV >VigunL007301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:62267:62425:1 gene:VigunL007301.v1.2 transcript:VigunL007301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Vigun09g221400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39490832:39492205:-1 gene:Vigun09g221400.v1.2 transcript:Vigun09g221400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVPVALSLTSVTHTKPSSQAFFKPLPKRTLSKKPPSKSNLQINASLKEKAVTGLTAATVTASMVIPEVANAAASDFSPSLKNFLLSIFAGGVVLVAIFGAVVGVANFDPVKRT >Vigun03g299800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48789782:48790961:-1 gene:Vigun03g299800.v1.2 transcript:Vigun03g299800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSSTYESDLALYSIRRHLLGESESIFGAPACASGRASSVSLLYSCLSDNWGDLPLKEDDSEDMVLYGVLRDAVNVGWVPSLEAGSPDTVLSGFPTDFTVKLEPDVMPTVTSSPPRTAVVKQEKQASPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSSPESGAGPAKKKKVGGSGQVGSQVAECTRGEELLVR >Vigun06g155100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27880587:27882228:1 gene:Vigun06g155100.v1.2 transcript:Vigun06g155100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELKNLMMVWSIAAATMCYCHRIGRLIPEGASRLVAIIPAIVLLLLLPLRLISIHFGGPSSFFLGWLSTFKLLLFAFGKGPLSSNPPLSLPHFVSIACLPINFQQTTTTPSKTQIPKSPFNYASSSMLLLFALLISLYAKKDYLHPNFLLFLYGLHMYIGLEFIFTTISTATRKLLGVHLEPQFDKPYLCTSLQDFWGNRWNIMVNRVLHPTVYHPLVTLATPLIGRRWAPLPAIVATFAVSGLMHELVFYYIKRETRTWEPWEPSWDATCFFLLHGLCVAAEVALKKGLKGKKWQFPRVASWLLSLLFVLYTAILLFLPALVRCHVYEKTTRELTALTQFLKDVYSVSRLYRYANVTNT >Vigun09g075100.1.v1.2 pep primary_assembly:ASM411807v1:9:8479998:8483736:1 gene:Vigun09g075100.v1.2 transcript:Vigun09g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENKDPEIRLFGQKIAFSGEPDAPTIAAADTASPPSPVDVVRDAEGEGEEEVDDDVADSEAEDDENKDKDPAEEVSEKTKEADLPPNAADSKNTPEGIQNPNTPSIDEESAKSKSNKSENDPTTTVAATATNNSQDNNNKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITISEALQAARIDSPNGTHLLKPNGKVLSFGLDPPICDSMASVLNLAEKKTRNAFQSMEDQRNKDDDRSSGSSITVSNEESGKSTSQESLVPNNNNNGFIHHHHHHVPCISTVPWAYPWNSGVPSAPALCPPGFPMSFYPHAFWNIPWFPTHHAAPAPRSPGSGPNSPTLGKHSRDDDLAKQEHVHEEPSRQRNGSVLVPKTLRIDDPSEAAKSSIWATLGIKNECVSGGGMFKGFQSKKDEKERVVETSPVLRANPAALSRSLNFHENS >Vigun09g216500.2.v1.2 pep primary_assembly:ASM411807v1:9:39067207:39072329:-1 gene:Vigun09g216500.v1.2 transcript:Vigun09g216500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKLKPISINAAIAKHSANAARGCIPYSGLGLRFQYCTNLDSTPSHLTQRTSPKLFPPLLCSNSKVVILLLPNACYVRGHCCCRKIHTTLVFGKQQTMSGQSQSCVSNGTERSRMHWTPLMERYFIDLMLEHLQRGNRVGHTFNKQAWTDMLTSFNANFGSQFDKDVLKARYTNLWKQFNDVKSLLSQFGFSWDAARQMVVAADDSVWDVYLKSHPDTRCYRTKPVLNFDDLCVIYGNALADGRYSLSSHDVCPDDKLQELHLVDGTGTVTVPSSERTRTDWTASMDQFFIELMVDQLGRGNQVDNGFNKKAWTDMLAIFNAKFGCQHGRRVLKNRFKKLLKYYRDITDFIKQGFLWNEQQQMLLADDHFWDAYVKAHPHARIYRSKTLPNYRDLELIFRNVSENEINNLQPEKNHEDVISEETKAGLKISGELSSYLCDRVPEGEAKGSRNPSGTDRTRTYWTPPMDRCLINLLLDQVKHGNRVGQTFISQAWNDMITSFNEQFNSQYDKDVLKNRYKHLRKQFNDVDHLLQHDGFSWDDTREMIDAEDHVWDSYTKAHPEARSLRVKTLLDYGKLCIIFGAKGSDTRYLHLAHNAHLTRELPTLIAGEQKNGLFSNVYDAGSTIEWTESMERCFVDFMVEQVNNGNRIENLFNEEAWMHVAQTFNTRWGLQSDKKVLMEQYLCLMKKHDDICNILSHSEFAWNETLQTIIAKDDVWDAYIKDHPDAISYKNKCLYNFHDLCKIFGNKVMEISDVKVSDLGQLHLMEEDDFTIDMRMDETSENLVNIINVDISEQDLGRGRENDAEESYGNLVVSGSNEIDIVAVETNGNLDVTSNNEISNQDREKPMTHHVRKRPGTMMSRDSTLPKTKLRMKEALSEMASAVKALMNKRENTNSPFEDALSVLQAMPDIDEELVMDASDLLEDETKAKIFLALDISLRKKWLLRKLRQ >Vigun11g144550.1.v1.2 pep primary_assembly:ASM411807v1:11:35365380:35366843:-1 gene:Vigun11g144550.v1.2 transcript:Vigun11g144550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITNQSRVCRNVFFLCLFCTLIFSSHVSSTKGSEIGGSVIGSTPPSCNSRCENCTPILVPKSPPAHPLTHSASDPHVDLDPEAAVWKCTCGGKLYDP >Vigun05g152800.3.v1.2 pep primary_assembly:ASM411807v1:5:24165486:24168984:1 gene:Vigun05g152800.v1.2 transcript:Vigun05g152800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGAGLLFVLLRSCVIPINVEVPVACVILIFLFALQHYGTHRLGFLFAPVVLTWLLCISCIGMYNILHWNPHVYEALSPYYMFKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHIIESDYRIGFYVSVPEKIRWPVLAIAILQAVVGSQAIITGTFSIIKQCSAMGCFPKVKIIHTSSKVHGQIYIPEINWCLMLLCLAITVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIFFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDIEFEKDLICSIAEFIRSDTSESNQGFESFEDDTKMAVVGTSASKSEGIRMCEDDNKNSEMENCLEMRVVKSPEIVRKRVRFVVPDSPEIDLGAREELQELMQAREGGMAFIMGHSYVKAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASILEVGMVYHV >Vigun05g152800.2.v1.2 pep primary_assembly:ASM411807v1:5:24165301:24168984:1 gene:Vigun05g152800.v1.2 transcript:Vigun05g152800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGTVKRLSDWMTKLDVEVPVACVILIFLFALQHYGTHRLGFLFAPVVLTWLLCISCIGMYNILHWNPHVYEALSPYYMFKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHIIESDYRIGFYVSVPEKIRWPVLAIAILQAVVGSQAIITGTFSIIKQCSAMGCFPKVKIIHTSSKVHGQIYIPEINWCLMLLCLAITVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIFFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDIEFEKDLICSIAEFIRSDTSESNQGFESFEDDTKMAVVGTSASKSEGIRMCEDDNKNSEMENCLEMRVVKSPEIVRKRVRFVVPDSPEIDLGAREELQELMQAREGGMAFIMGHSYVKAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASILEVGMVYHV >Vigun05g152800.1.v1.2 pep primary_assembly:ASM411807v1:5:24162814:24168984:1 gene:Vigun05g152800.v1.2 transcript:Vigun05g152800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVIYKNSVKDGSWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHAQVGLLPNVQLADEELTEYTKDGTVPIDKNNVGLGLKSVFEKHKVLQRVLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPVACVILIFLFALQHYGTHRLGFLFAPVVLTWLLCISCIGMYNILHWNPHVYEALSPYYMFKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHIIESDYRIGFYVSVPEKIRWPVLAIAILQAVVGSQAIITGTFSIIKQCSAMGCFPKVKIIHTSSKVHGQIYIPEINWCLMLLCLAITVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIFFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDIEFEKDLICSIAEFIRSDTSESNQGFESFEDDTKMAVVGTSASKSEGIRMCEDDNKNSEMENCLEMRVVKSPEIVRKRVRFVVPDSPEIDLGAREELQELMQAREGGMAFIMGHSYVKAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASILEVGMVYHV >Vigun05g152800.4.v1.2 pep primary_assembly:ASM411807v1:5:24165460:24168984:1 gene:Vigun05g152800.v1.2 transcript:Vigun05g152800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNILHWNPHVYEALSPYYMFKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHIIESDYRIGFYVSVPEKIRWPVLAIAILQAVVGSQAIITGTFSIIKQCSAMGCFPKVKIIHTSSKVHGQIYIPEINWCLMLLCLAITVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIFFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDIEFEKDLICSIAEFIRSDTSESNQGFESFEDDTKMAVVGTSASKSEGIRMCEDDNKNSEMENCLEMRVVKSPEIVRKRVRFVVPDSPEIDLGAREELQELMQAREGGMAFIMGHSYVKAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASILEVGMVYHV >Vigun05g152800.5.v1.2 pep primary_assembly:ASM411807v1:5:24165460:24168984:1 gene:Vigun05g152800.v1.2 transcript:Vigun05g152800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSDVEVPVACVILIFLFALQHYGTHRLGFLFAPVVLTWLLCISCIGMYNILHWNPHVYEALSPYYMFKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSKHHIIESDYRIGFYVSVPEKIRWPVLAIAILQAVVGSQAIITGTFSIIKQCSAMGCFPKVKIIHTSSKVHGQIYIPEINWCLMLLCLAITVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIAFIFFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTLKKYEFDVQNKVSINWLLGLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVIFLCVKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDFHKDDIEFEKDLICSIAEFIRSDTSESNQGFESFEDDTKMAVVGTSASKSEGIRMCEDDNKNSEMENCLEMRVVKSPEIVRKRVRFVVPDSPEIDLGAREELQELMQAREGGMAFIMGHSYVKAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSIPHASILEVGMVYHV >Vigun03g079200.5.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605352:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun03g079200.3.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605351:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIARDSPNGFIMLVLVFISVTLRFYQEYSSSKAAMKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun03g079200.4.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605351:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIARDSPNGFIMLVLVFISVTLRFYQEYSSSKAAMKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun03g079200.6.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605351:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun03g079200.1.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605351:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKISNLFVNLTSHYMLPKSNTIRQTLVDRPSSHKDRFPFSLFRFFRRFIPSRKLDGGSKTEDEEKVYSWLYTLAQTDKNLVFEYVRSTERGLSFTEAERRLRENGPNVPLEYSFPRWWNLLWNALFHPFNIILIILSVMSFIARDSPNGFIMLVLVFISVTLRFYQEYSSSKAAMKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun03g079200.2.v1.2 pep primary_assembly:ASM411807v1:3:6600929:6605352:-1 gene:Vigun03g079200.v1.2 transcript:Vigun03g079200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIARDSPNGFIMLVLVFISVTLRFYQEYSSSKAAMKLSEFVKCPIKVQRCAGRVVQKELVVQVDHRDVVPGDIVIFEPGDLFPGDIRLLSSKQLVVSQASLTGESWTTDKTADIREDYNTPLLDLKNICFMGTNVVSGTGTGLVVSTGSKTYMSTMFSIVGKKKPPDDFEKGLRWIFYLLISVILVVVTIMFVVTYLTSLNLTQSVLFAISVASVLNPQMLPLIINTCLAKGALAMAKDRCIVKSLTSIRDMGSMDILCIDKTGSLTMNHAIMVNHLDYRGLPQEKVLRYAFLNSYFKTDQKYPLDDAILAFVYSNGFRFRPSMWRKIDEIPFDFIRRRVSVIIETEDRHSEFFGRFMVTKGALLEVLKVCSFIENFEQDEISPFSSDDYQRILNLSEDISNEGLRILGVAIRKLEMEMPQTCETSNGSRREDEDVEKDMVFLGLITFFDPPKDTAKQALWRLCEKGVKAKVLTGDSLSLTTRVCREVGISIDHVITGPELELLDQDTFHETVKRATVLARLTPIQKLHVVQSLQTVGNHVVGFLGDGVNDSLALDAANVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLSFGNTMKYVKMSVIANLGSVISLLIATLVFKYEPLTSTQLLTQNFIYSVGQIAIAWDKMDEEYVKTPHKSSERGLSMFIVWNGSVCTLCDVATLMLLWFYYKAYTDVTQKFFHTAWFIEGLLLQTLIIHLIRTEKIPFMQEVASWPVIFSTVVTSAIGIALPFTPIGKVMGFSLLPLSYFGLLFLLFLGYFIVGQLVKRLYILVYKRWL >Vigun10g042100.2.v1.2 pep primary_assembly:ASM411807v1:10:5846626:5850660:1 gene:Vigun10g042100.v1.2 transcript:Vigun10g042100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQFLSMDNSSSSYKLPEKYDVLINFTGDDIHRKFVSHLDSVLTSVGISTFLHHQNSVKATHIQQPILKNCRVAIVVFTQTYSQSAWCLNQLQQIIKWHETYLRHVLPVYYEIQPSDVRLQKGDFGKALKATAQQTFSGQQLEDAMSRWSYALTKAANFFGWDENNHRSDAELVDKIVKSLLNLPVLSATRFPVGLQSHVEDLIRTINSKSREVCTIRICGQGGSGKTTLAKAIYHQIQCKFRMKSFIEDIEQLSGTRRDLRLQEQLLLDVLKTKVEIPSVDVGRTMIQERLSGKRVLIVLDHVYYFCELLNLLKYRHSFSEGTVIIITAEKEMLLGEYQTETVFWVEQMDINESLELLSWHAFREAKPIQEYDDLAKQVVNYCGGLPLTLELIGSSLFERTKEEWQRVIFGLEDTRKGYVTKILNGWGVNADIGIRVLMERNLIKIKKNNKLGMHPLLQQMGITISVKEPGKNRRLWFDKDKKYGTQNMQWLPVEDPFRRVHPTENSQYRHKKLGWISSLLFSSQGTKANKRFSPKRDEVGMSVNSEYLLQKLKWTIVHGFPSEYLHRKFYVHDAIGIDLKHSLLRLVWKKPQVLRWLKVLNLSHSKYLKETPDFSGLPSLEQLILKHCSRLRKVHRSIGSLNNLILLNLKDCTSLSNLPREIFELKSLRTLILSGCSMIDQMENDLVQMESLITLIAENTAVKEVPFSIVSSRSIGYISLHRFEGLSSNLFPSIIGSWMSPTMNPISCIHSFCMDMADNSWDDMAPLLSTLANLRSVLVACDTEFQLSEQVKNILVEYFANITEAGISKQHFRCSLIGVGAYHQFFNAVGDNIYEVLASSESCDVSLPVVNDPYCLAHMGEGHSVSFTVPQDCDMKGMVLCVVYLSTPKIIEPEFTTVLIVNYSKCTFQIHNHGTIICFNDEDWHGIMSNLESGDTVEIFVNFGNGLVVKNTAVYLICGESKNMEKASEPKHSLIRFIKKVVM >Vigun10g042100.1.v1.2 pep primary_assembly:ASM411807v1:10:5846626:5850660:1 gene:Vigun10g042100.v1.2 transcript:Vigun10g042100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQFLSMDNSSSSYKLPEKYDVLINFTGDDIHRKFVSHLDSVLTSVGISTFLHHQNSVKATHIQQPILKNCRVAIVVFTQTYSQSAWCLNQLQQIIKWHETYLRHVLPVYYEIQPSDVRLQKGDFGKALKATAQQTFSGQQLEDAMSRWSYALTKAANFFGWDENNHRSDAELVDKIVKSLLNLPVLSATRFPVGLQSHVEDLIRTINSKSREVCTIRICGQGGSGKTTLAKAIYHQIQCKFRMKSFIEDIEQLSGTRRDLRLQEQLLLDVLKTKVEIPSVDVGRTMIQERLSGKRVLIVLDHVYYFCELLNLLKYRHSFSEGTVIIITAEKEMLLGEYQTETVFWVEQMDINESLELLSWHAFREAKPIQEYDDLAKQVVNYCGGLPLTLELIGSSLFERTKEEWQRVIFGLEDTRKYAVQQKFEVIFHALLNEMEKNLFLDVCCFFVGKGRGYVTKILNGWGVNADIGIRVLMERNLIKIKKNNKLGMHPLLQQMGITISVKEPGKNRRLWFDKDKKYGTQNMQWLPVEDPFRRVHPTENSQYRHKKLGWISSLLFSSQGTKANKRFSPKRDEVGMSVNSEYLLQKLKWTIVHGFPSEYLHRKFYVHDAIGIDLKHSLLRLVWKKPQVLRWLKVLNLSHSKYLKETPDFSGLPSLEQLILKHCSRLRKVHRSIGSLNNLILLNLKDCTSLSNLPREIFELKSLRTLILSGCSMIDQMENDLVQMESLITLIAENTAVKEVPFSIVSSRSIGYISLHRFEGLSSNLFPSIIGSWMSPTMNPISCIHSFCMDMADNSWDDMAPLLSTLANLRSVLVACDTEFQLSEQVKNILVEYFANITEAGISKQHFRCSLIGVGAYHQFFNAVGDNIYEVLASSESCDVSLPVVNDPYCLAHMGEGHSVSFTVPQDCDMKGMVLCVVYLSTPKIIEPEFTTVLIVNYSKCTFQIHNHGTIICFNDEDWHGIMSNLESGDTVEIFVNFGNGLVVKNTAVYLICGESKNMEKASEPKHSLIRFIKKVVM >Vigun06g101600.3.v1.2 pep primary_assembly:ASM411807v1:6:23184990:23190395:-1 gene:Vigun06g101600.v1.2 transcript:Vigun06g101600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFRSLSRKDIQVLVGYGCPTMDRKVVFSAKLLRKHAHLDEGDVCSSCSLRNSCERAYLLTNKEDEARTLDVMRLLLTFGFDPVDGSVINKSLLKQKSVKTVVRKLLHEVVKLSSVPIDPNLTPPVIKKPPPKVKQPPPPPKRRIGRDDVEMKKGDWLCPKCEFMNFAKNTACLQCDAKRPKRQLLPGEWECPECNFLNYRRNMVCFHCECKRPADEFLENKMQDRIPGSKPKLDKMGNRQEVSNAWNFDFDDNESDGADVAAFEYADTHAINEDFSSGNNAQHGNHRGWEDNLEKNNRVRGSPDGEYANRDIYKPGIGFDDFEDEDDVDSYELETTPNSSKRIETSKSNFSEDEKLSESDDIEGSDDERYTSHRTASQRSLPHRSIRKNTSFSGSEDDELGFVTKEQRSTLSNFKSGNVSGAGRRRNGRGPSKKLSFGSESEDDNGAGLYSDEDDDIHKAYSFRKNKGDKFNPSRQNNGNRHNSERRNFTKNRNSGFISRRRENMFSDDFEGSSQQSYRNGRDSKGNGRNRKSFEDFDGPSRRSFGDGRGSRGNSRGGSFRQSFGNGRGSRGNDRNWHRFEDREHGTGKLNKYRMDEKDSGEFRNSRRVIER >Vigun06g101600.1.v1.2 pep primary_assembly:ASM411807v1:6:23184990:23190395:-1 gene:Vigun06g101600.v1.2 transcript:Vigun06g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGYGAFSLLLTRRFHFSYSLHPKPFSSSSFFHLLTKPFSTTPPDPNPDPKPSSLSARLSFVFDQIDAIEKERSQKHETLQRIRAWRQSKDAPPQSDAQPEPPPLSVADETPPELPPMKAVELVHPWPEWIQLMEMLVHQNYFDHRRMDEDKMVLDSGFSPPVVAEGVDFTKDFKSVHMACLNHGRDRFDILRSLSRKDIQVLVGYGCPTMDRKVVFSAKLLRKHAHLDEGDVCSSCSLRNSCERAYLLTNKEDEARTLDVMRLLLTFGFDPVDGSVINKSLLKQKSVKTVVRKLLHEVVKLSSVPIDPNLTPPVIKKPPPKVKQPPPPPKRRIGRDDVEMKKGDWLCPKCEFMNFAKNTACLQCDAKRPKRQLLPGEWECPECNFLNYRRNMVCFHCECKRPADEFLENKMQDRIPGSKPKLDKMGNRQEVSNAWNFDFDDNESDGADVAAFEYADTHAINEDFSSGNNAQHGNHRGWEDNLEKNNRVRGSPDGEYANRDIYKPGIGFDDFEDEDDVDSYELETTPNSSKRIETSKSNFSEDEKLSESDDIEGSDDERYTSHRTASQRSLPHRSIRKNTSFSGSEDDELGFVTKEQRSTLSNFKSGNVSGAGRRRNGRGPSKKLSFGSESEDDNGAGLYSDEDDDIHKAYSFRKNKGDKFNPSRQNNGNRHNSERRNFTKNRNSGFISRRRENMFSDDFEGSSQQSYRNGRDSKGNGRNRKSFEDFDGPSRRSFGDGRGSRGNSRGGSFRQSFGNGRGSRGNDRNWHRFEDREHGTGKLNKYRMDEKDSGEFRNSRRVIER >Vigun06g101600.2.v1.2 pep primary_assembly:ASM411807v1:6:23184990:23190395:-1 gene:Vigun06g101600.v1.2 transcript:Vigun06g101600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTKEISCSLRNSCERAYLLTNKEDEARTLDVMRLLLTFGFDPVDGSVINKSLLKQKSVKTVVRKLLHEVVKLSSVPIDPNLTPPVIKKPPPKVKQPPPPPKRRIGRDDVEMKKGDWLCPKCEFMNFAKNTACLQCDAKRPKRQLLPGEWECPECNFLNYRRNMVCFHCECKRPADEFLENKMQDRIPGSKPKLDKMGNRQEVSNAWNFDFDDNESDGADVAAFEYADTHAINEDFSSGNNAQHGNHRGWEDNLEKNNRVRGSPDGEYANRDIYKPGIGFDDFEDEDDVDSYELETTPNSSKRIETSKSNFSEDEKLSESDDIEGSDDERYTSHRTASQRSLPHRSIRKNTSFSGSEDDELGFVTKEQRSTLSNFKSGNVSGAGRRRNGRGPSKKLSFGSESEDDNGAGLYSDEDDDIHKAYSFRKNKGDKFNPSRQNNGNRHNSERRNFTKNRNSGFISRRRENMFSDDFEGSSQQSYRNGRDSKGNGRNRKSFEDFDGPSRRSFGDGRGSRGNSRGGSFRQSFGNGRGSRGNDRNWHRFEDREHGTGKLNKYRMDEKDSGEFRNSRRVIER >Vigun10g130700.1.v1.2 pep primary_assembly:ASM411807v1:10:33900442:33904534:1 gene:Vigun10g130700.v1.2 transcript:Vigun10g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRNFPSITECNGTKSSPESVAADLDGTLLISRSSFPYFMMVAVEAGSLLRGFILLLAVPLIIFAYLFISESLGIQILIYISYSGLKIRDIELVSRAVLPRFYAADVRKESFEMFDRCKRKVVVTANPTVMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKWKRLAVAKEFGEESPDIGLGDRKTDFDFMSICKEGYMVPPSKSAKPVPQERLKSRLIFHDGRFVQKPDPLNALITFLWLPFGFILSIIRVYFNLPLPERIVRYTYEMLGIKLVIRGHRPPPPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSKLSRFLSPIPAVALTRDRAADAARITEILQKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAVDCKQSMFFGTTVRGIKFWDPYFFFMNPRPVYEVTFLDPLPEEMSVKAGGKSSIEVANHVQKVLGDVLGFECTGLTRKDKYMLLGGNDGKVESLYGSKK >Vigun07g083300.1.v1.2 pep primary_assembly:ASM411807v1:7:12187319:12189137:1 gene:Vigun07g083300.v1.2 transcript:Vigun07g083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRSLPSHFTLCVHSLPVRVFLLSPHFTLSFHRFVHLTSLSQNVPSPLRLLTSPFTHLSVRSPLRSLTSPFAHLSVRSPFLLSPFLLFVSPLFFHLFLLSPSFASICTSICASVHDCRRSHLLDWVIWFDCSHLRLSPLLFCFWIKLGQLAS >Vigun09g140700.1.v1.2 pep primary_assembly:ASM411807v1:9:30284132:30287155:1 gene:Vigun09g140700.v1.2 transcript:Vigun09g140700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQSLCDMSENPATLGFCTLVGMFFSPLWVAFFVGVIVGWLWKPKWARLGKEKLTTSLAKSLDFASPSSDSTPSKSVASPIRSSSSSPCLNSIKMQRPNQESLALRKGTDKRTSSSSSPVKFSSFVSSPETTEETPDAVTIKDLHHLWLLVEEKDGGLAWNQMMDRSTPTMSYKAWQREPKDGPPQYRSSTIFEDATPEMVRDLFWDDEFRPRWDDMLASSSTIEECPTTGTMKVQWIRKFPFFCKDREYIIGRRIWESGRHYYCVTKGIDCPSIPRRDKPRRVDVYYSSWCIRAVESKRGTGQLTACEVLLFHHEEMGIPWEIAKLGVRKGMWGTVQKIEPGLRAYQETRASGAALSHSAFMAQVNTKISPEDLQTIGANDNSEEDPSVASPEKPQGVNIPKMLVIGGAVALACSLDRGLVTKYLLFGVARRFANIGKR >Vigun02g163800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30865896:30867103:1 gene:Vigun02g163800.v1.2 transcript:Vigun02g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKNEADEAKKKNKKRESEEKHRMYRGVRMRQWGKWVSEIREPKKKSRIWLGTFPTPEMAARAHDVAALTIKGTSAFLNFPELASHLPRPPTTSPKDIQAAAAEAAALNCEAQSQARPQAQAQTQAQIMPDGDDAFFDLPDLLADSGQSAGGFGYSASWLVAAPEYLDSAFRLDDPPFPWDSSL >Vigun08g201200.2.v1.2 pep primary_assembly:ASM411807v1:8:36549768:36555899:-1 gene:Vigun08g201200.v1.2 transcript:Vigun08g201200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSISMSGASFGASSVSATKDRRMASVEHLVLELSNPDLRENALHELSKKRELFQDLAPLLWNSFGTMAALLQEIVSIYPVISPPNLTPAQSNRVCNALALLQCVASHLDTRMQFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRSMEMGSELSKTVATFIVQKILLDEIGLKYICTTAERFYAVGRVLGNMVATLVEQPSCRLLKHIIRCYLRLSDNQSACEALRTCLPDMLRDATFSSCLREDPTARRWLQQLLHNIGVNRVPALQGGGGFDHMMVS >Vigun08g201200.1.v1.2 pep primary_assembly:ASM411807v1:8:36550179:36555943:-1 gene:Vigun08g201200.v1.2 transcript:Vigun08g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSISMSGASFGASSVSATKDRRMASVEHLVLELSNPDLRENALHELSKKRELFQDLAPLLWNSFGTMAALLQEIVSIYPVISPPNLTPAQSNRVCNALALLQCVASHLDTRMQFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRSMEMGSELSKTVATFIVQKILLDEIGLKYICTTAERFYAVGRVLGNMVATLVEQPSCRLLKHIIRCYLRLSDNQSACEALRTCLPDMLRDATFSSCLREDPTARRWLQQLLHNIGVNRVPALQGGGGFDHMMVS >Vigun02g057600.1.v1.2 pep primary_assembly:ASM411807v1:2:20227949:20229080:-1 gene:Vigun02g057600.v1.2 transcript:Vigun02g057600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCENVGLKKGPWTPEEDNKLLDYVRNHGHGKWRSVPAKAGLQRCGKSCRLRWINYLKPDIKRGNFSAEEDRTIIQLHALLGNKWSIIAAHLPQRTDNEIKNYWNTNIKKRLITMGLDPISHKTIKPNTFEACGDGHDQSKDTINIYHMAQWESARLEAEARGSMVQVGSGSSRSSGLILSKIPIQPCLSSHSVSTEPNTVYNMYALVLAPNHDFRSSVSTLSFPRFPAVSNTPQITNKESSLSYKSDNSVMESWVSNLQDDDIMVAVEAFRTARSDSIEELFKEPTSV >Vigun10g139300.1.v1.2 pep primary_assembly:ASM411807v1:10:35529178:35532260:-1 gene:Vigun10g139300.v1.2 transcript:Vigun10g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIHSPEISVALQIAPNPNPRLSKVHSFKPHTKTTRIGSARGVRISCKVKDCPVLDLGLDENVNSYGQFSAPVKPESKQSKEEEEEKQNYHVNVGYAIRTLREDFPDLFYRELSFDIYRDDIVFKDPMNTFIGIENYKSIFWALRFHGKIFFKALWVDISSVWQPVENVIMVRWTVHGIPRVLWESRGRFDGTSEYKLDKQGKIFEHRVDNIATNSPHRFKVLSVAELIQSIGCPSTARPTYFETSSHPERT >Vigun11g166176.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37353774:37353926:-1 gene:Vigun11g166176.v1.2 transcript:Vigun11g166176.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQPKTYPFRTCTMY >Vigun11g136300.2.v1.2 pep primary_assembly:ASM411807v1:11:34555427:34558755:1 gene:Vigun11g136300.v1.2 transcript:Vigun11g136300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSPSSKLSLLFFFFLSLSSSFATSDPSHDPAHQPQSHAEKLIRSHDDDDGFVPGMIVEKKFSFLGDSGPSVEDLGHHAGYYSLPHSKAARMFFFFFESRKSTDDPVVIWLTGGPGCGSELALFYENGPFHITNNLSLTWNDYGWDQASNIIFVDQPTGTGFSYSSDDSDIRHDEAGVSNDLYDFLQEFFKTHPDFVKNDFYIFGESYGGHYVPALASRGFAIGNGLTDPAIQYQAYPDFAFDNRIITQAEYDNVSMLIPDCEQATKTCETQGGNNCTDALYSCYKIFQRILNFTDNINYYDIRKKCEGPMCYNFTNVERLLNMQKVKSALGVSDDLGYALCSLDVRFAMMEDWMKNLEVGIPDLLEEGMKVLVYAGEEDLICNWLGNSRWVEAMQWSGQKAFQISPTGKFVVDGAEAGSLNSYGPLTFLKVHGAGHMVPMDQPKAALQMMTSWMGGKLNASSLQSFSHRLKPRPVALKATNTKGLMAMKLLLRHPDRESRPHHSVSQIQLEPPSHLLLPEGITQRHINHMMANKQDTYDSIIRIHISRSDSLDTCTCGGLYCSAEPLPMGFTLPHTRLAFKHLRPLSCQRLGPPATLTTWVSLLYLRLTNPLEFRDEILT >Vigun11g050266.1.v1.2 pep primary_assembly:ASM411807v1:11:8263267:8264272:1 gene:Vigun11g050266.v1.2 transcript:Vigun11g050266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVVRRGSRRSIIVHHSNHLIRRNYLHLQRYHKRDGRRRHHINFHSHVTTNQSRRSSMLIQNNLHPPALREFPPLCGPHSDMLVDTEDTSYEAFSLPVQEVSSLVDPHSDMRLDIDDMNFLSEWIGNDHLERGLPEKSIARQLQTKTYLLPNDLEGSTFEEQQIDICIICQDEYKNKEDIGTLQCGHEYHAYCIRRWLHEKNVCPICKSKALTIG >Vigun09g003400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:229676:231154:1 gene:Vigun09g003400.v1.2 transcript:Vigun09g003400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNLSASHSFGLFVTSPIFCPNNLPAITLSSRSSRRSSPSIQCAVQFRPCIDIHKGKVKQIVGSTLQDLKGGDGSDPVTNFESDKSAAEYATLYRGDGLTGGHVIMLGADPLSKASALEALHAYPGGLQVGGGINADNCLSYIEEGASHVIVTSYVFNDGQMDIGRLKDLVQIVGKERLVLDLSCRKKDGKYAIVTDRWQKFSDVFVDPGVMEFLANFADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTGMADLERIKSAGVGRVDVTVGSALDIFGGNLSYEEVVAWHVQQKAYVV >Vigun09g003400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:229386:231154:1 gene:Vigun09g003400.v1.2 transcript:Vigun09g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNLSASHSFGLFVTSPIFCPNNLPAITLSSRSSRRSSPSIQCAVQFRPCIDIHKGKVKQIVGSTLQDLKGGDGSDPVTNFESDKSAAEYATLYRGDGLTGGHVIMLGADPLSKASALEALHAYPGGLQVGGGINADNCLSYIEEGASHVIVTSYVFNDGQMDIGRLKDLVQIVGKERLVLDLSCRKKDGKYAIVTDRWQKFSDVFVDPGVMEFLANFADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTGMADLERIKSAGVGRVDVTVGSALDIFGGNLSYEEVVAWHVQQKAYVV >Vigun10g042400.1.v1.2 pep primary_assembly:ASM411807v1:10:5915550:5919336:1 gene:Vigun10g042400.v1.2 transcript:Vigun10g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMLITNHLHHNDSKNDVSKNRNTIIEESPKIAYKKIKRFYVMFWFIYVPLLFHFTCNSKHTVFASESEIDHTALIKFKESISSDPNGILVSWNTSTHFCNWYGITCHPTLGRVTELNLQGHRLKGYISPHIGNLSYMTTFKLGNNSLYGNIPLEVGRLSQLQIFRVENNTLAGEIPLNLTHCTQLKSLYLYGNNLKGKIPTEIGLLQNIQVLNFGRNQLTGQIPSSIVNLSSIRRVFLPDNNLEGEIPQEICHLKSLTTVALGGNKLSGSFPSCLYNISSLTVISIGGNQFSNSLPPNMFHTLQNLRVLLLYNNQFYGPIPPSIANASTLSLLDLSKNHFWGRIPSLGKLQDLYLLNLGENSLGYNSTNDLEFLKSLVNCTKLKYLCLSINSFGGHLPNSLGNLSTQLSELYLGYNEIYGEIPESIGNLVGLTVLSIGNTHIDGSIPTTFGKFTKLGVLVLYRNKLSGKIQPFIGNFSQLFYLDLRANRFEGSIPPSIGNCQMLQHLDLSQNNLTGTIPLELFNLTSLFEKLDLSHNSLSGSIPDEVGNIHDINILDMSNNHISGHIPLGVGKCVMLEYLFLQGNSLQGIIPSSLASLKSIVLLDLSQNHLSGSIPNFLQNLSFLQHLNVSFNMLDGEVPTEGVFGNVSALVVTGNSKLCGGISKLHLPPCPVKSKKHAKNHRFRLIVVILGVAVFLIVLSSTLAIYWSRKKGKKPSLNSSTIDQLAKVSYQSLYNGTDGFSTTNLIGCGNFSSVYKGTLELEDRVVAIKVLNLQRKGAHKSFVAECNALKNIKHRNLVQILTCCSSTDYKGQEFKALIFEYMRNGSLEQWLHPTTTSVEQPRTLSLKQRLSIMIDVASALQYLHHECEQPIIHCDLKPGNVLLDDDMIAHVSDFGIARLLSTTKGITSKQSSSTLAIKGTVGYAPPEYGVGAEVSTSGDMYSFGILMLEMLTGRRPTDEIFEDGQNICNFVAM >VigunL018600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:44653:45273:-1 gene:VigunL018600.v1.2 transcript:VigunL018600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFLELYNKKIFPSTPITSFSSFLSYIVVTPLILGFEKDFSCHSHLGPIRIPSLFSFPPAPFPRNEKEDGTLELYYLSAYCLPKILLLQLVGHRVIQISCVFCGFPMLQLPYQFGRSGMDRLNIPSGSLVLTLLCGIHSRLALGITSGSGWNSSQNPTTSPTSLPPTVSRTSIETEWFHVISSIGYSSPFVSLFPIAVSMSLQD >Vigun08g191800.1.v1.2 pep primary_assembly:ASM411807v1:8:35934585:35942966:-1 gene:Vigun08g191800.v1.2 transcript:Vigun08g191800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRELLVGSYRRLHQNTIHSSPTHFHQTMSHSDPPNRYSYSPSLHWNPQLHQYFLNAYGADHFSRISAALTRPSRYSCIRVNTLRSGADAVIEKLRPLVSNVSVAVQSEFEDGESNPLKECLEDASAPFSKCKIPGLDYVVFVWGSGPHRIGYGEAPPKEVIVSRKCAEAVLRGAQVYVPGVMACSAHVEKGDTVAVSVAVEQQGADGGWGIPMTRGTVLQGSETDAYYFERNGLYIGQGTAMLSRAGMFRVSEGVGVDMKDRVYDLHSFHNLLEGEIFLQNLPSIIAAHALDPQRGERILDMCAAPGGKTTAIAILMKDEGEIVATDRSHNKVLDIQKLAAEMGLSCIKTFKLDALKSVCRRDDIDTFTDPCCNNAKNDVTNQVFDSPNLEVEIVSPIVTEGKDEKTNGRVYVSKADARKNMRRARNGPGRNQSVGGRVDRSKGFPPDSFDRVLLDAPCSALGLRPRLFAGEDTIESLRNHAKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYKYLSLAPQHPRIGGPGLVGSCEFADGYVEEWLRPGEEELVQRFDPSSPLDTIGFFISKFLVGSKDT >Vigun05g065800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5696370:5696831:-1 gene:Vigun05g065800.v1.2 transcript:Vigun05g065800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGRRVCITWVLIMFVVLSSAKSDMYVELHVQITNSLEGNYDLSIHCDNIDPTENLLLPNTSSQADYEGLFSSSRPPFLCYFQWGLQHHIYNLVDPFWDFDCKQHCNWFIKQSGPCKYYGTKLVCFKWIQN >Vigun09g018000.1.v1.2 pep primary_assembly:ASM411807v1:9:1352328:1357286:-1 gene:Vigun09g018000.v1.2 transcript:Vigun09g018000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREASMRISTLGFVLLLCFSSALLCRGNESTMRVLLEVKSSFTEDPENVLRDWSENNTDYCSWRGVSCGSKKLNSLDADDDSVQVVGLNLSDSSLGGSISPSLGGLQNLLHLDLSLNRLEGPIPSALSNLTSLESLLLHSNQLNGQIPTELDSLMRLRVLRIGNNQLSGPIPETLGYMPSLEYLGLASCRLSGQIPAQLGRLSELQYLSLQENDLTGPIPYEVGYCWSLQVFSAAGNNLNGSVPSELSLLRKLQILNLANNSLTGSIPSQLGQLSQLTYLNLMGNQLEGRIPPSLAQLGNLQNLDLTNNTLTGEIPEELGNMGQLQYLVLSGNKLNGTIPRTICSNATSLETLLMGDNGLHGEIPAELGECQSLKQLDLSGNDLNGSIPIEVYGLTGLTDLLLHNNTLDGSISPFIGNLTNMQSLALFHNNLRGDLPREIGTLGKMEIMYLYDNQLSGKIPLEIGNCSSLQWVDFFGNHFSGEIPFTIGRLKDLNFLHLRQNELVGVIPPTLGNCHKLTVLDLADNRLSGGIPATFGFLRNLEQFILYNNSLEGSLPHQLVNLANLTRVNLSNNRLNGSLAPLCSSSSFLSFDVTDNEFDGEIPFVLGNSPSLDRLRLGNNKFSGEIPRTLGKITALSLLDVSGNSLTGGIPDELSLCNNLTHVDLNNNLLTGHIPLWLGNLPQLGEVKLSFNQFSGSIPLGLLKQPKLIVLSLNNNSLSGTLPGDIGDLVSLNTLRLDHNNLSGPIPQTIGKLNNLYELRLSRNGFSGEIPIEIGSLQNVQSILDLSYNNLSGHIPSSIGMLSKLEVLNLSHNALTGEVPSAVGELTSLQKLDISYNNLQGALDKQFSRWPHESFEGNIHLCGASLARCIGGDKRSVFSNTSVIIVSTLSTLAVIALLVLVVIVLFKSKQEFFRRGSELSFVFSSSSRAHKRSLIPLTVPAKRDFRWQDIMYSTNNLSDEFVVGCGGSGTVYRVELPSGEIVAVKKISGKDDYLLNKSFIREIKTLGRIKHRHLVKLMGCCSNRMKGTGWNLLIYEYMENGSVWDWLHGVPLKAKRILDWDTRFKIAMGLAHGVEYLHHDCVPKIIHRDIKSSNILLDSNMEAHLGDFGLAKSIIENPESNAESNSCFAGSYGYIAPEYAYTLKATEKTDVYSMGVVFMELVTGKMPTDAGFGAEMDMVRWVKMNLEKEGTAVEEVIDPQLKPLLPGEEFAALQVLEIALHCTKTAPQERPTSRQVCHHLQHVSNNKIVDFDKKNLDHY >Vigun02g105700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25994100:25995563:1 gene:Vigun02g105700.v1.2 transcript:Vigun02g105700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNKSKKSSSPSKFTFCVTLFFLVLFTIPAFFLLHTPTTCTTLSKTWSGDLLLAEFAWNKLPFLEQNPSPVPLKIAVFSRKWPIGTTPGGMERHAYTLHTALVQRGHKVHIFTSPPQEETSSTFSSDTTNHQDDVNAPSSPFIHCHEGEPGKWRYNKAWEQFLEQNQKEPFDVVHSESVALPHWLARDLPNLAVSWHGIALESLQSSLFQDLARRADEPRSPDFDKGLYGVLPKILNEIRFFRNYAHHVAISDSCGEMLRDVYQIPSRRVHVILNGVDEGDFREDVELGKEFRTKIGIPSNASLVLGVAGRLVKDKGHPLLHEAYSRLITKHPNVYLIVAGSGPWENRYRDLGKQALVLGSMSPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGSVVVDDEFGFMFSPNVESLMESLEAVVKEGKVSLARRGNACREYAISMFTATKMALAYERLFLCIKEDKFCNYH >Vigun09g060000.1.v1.2 pep primary_assembly:ASM411807v1:9:6121969:6125790:-1 gene:Vigun09g060000.v1.2 transcript:Vigun09g060000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKVLHGIGSLVPRGAFAVLLLLLFFFSSFTSTEAYDPLDPTGNITIKWDVISWTPDGYLAVVTMYNFQQYRHIQSPGWSLGWTWAKKEVIWNMMGSQTTEQGDCSKFKAGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWGQDPSSAVSSFQISVGSAGTTNKTVKLPKNFTLKAPGPGYTCGPAKVVKPTTFITSDKRRTTQAMMTWNITCTYSQFLAQKTPSCCVSLSSFYNDTVVNCPTCTCGCQNKTESGSCVEPNSPHLASVVSSSSAKGANTPLVQCTSHMCPIRVHWHVKLNYKEYWRVKITITNFNYRMNYSQWNLVVQHPNLDNITQLFSFQYKSLTPYEGLNDTSMLWGIKFYNDFLSSAGPLGNVQSEILLRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSKLVFSVLCTLIATLASLLSVI >Vigun03g361600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:56521006:56522906:1 gene:Vigun03g361600.v1.2 transcript:Vigun03g361600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWKERSMEEREKAPQILQVLEALKQATRHIQRHHTSDSPAVKALLEFQTILSSSDPKLYALSDYLNRLKTLLHSLNNSKGLRSFLTRPLSSHSLSRLAVSIESEIQAWIDRETLLALSASLRNPSDGVSELVALLTQFRDRISQGFNRELQDLVLKLKLFYSLETVLLDEKYADRVREHGGLAVAALIRFNKDVFVGQVMMGPTVRALVSMGSVHSLEVLCSLIRSIRSPLVDEIESNGGIPKVIALLNSRDLQLQVLALECVLEIGYFGRKEAVEAMVKEGLVEKLVELQRAENGGDLIEIGKERVCGVLETFPFASCVARFAVQLEVGEGLRQREKRAFKPEILVRVREASLSDAEAATIAAEVLWGSSP >Vigun03g274100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44994898:44996016:-1 gene:Vigun03g274100.v1.2 transcript:Vigun03g274100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCGACKYQRRRCGSDCLLAPYFPAESIQRFAVVHHVFGGGNVSNILKSTSPESRKWVARALAYQAEARVRDPVHGCVGLIWEQEASLGILKEKLQKAKRELAQYVGPYVMQKMIACFSDPLANMVDLQAPLSATVDDFDLSSWDPFPAWESPSSSRCQPPQADRKGKSVAPPS >Vigun03g274100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:44994898:44996013:-1 gene:Vigun03g274100.v1.2 transcript:Vigun03g274100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCGACKYQRRRCGSDCLLAPYFPAESIQRFAVVHHVFGGGNVSNILKSTSPESRKWVARALAYQAEARVRDPVHGCVGLIWEQEASLGILKEKLQKAKRELAQYVGPYVMQKMIACFSDPLANMVDLQAPLSATVDDFDLSSWDPFPAWESPSSSRCQPPQADRKGKSVAPPS >Vigun01g197000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37378288:37379698:1 gene:Vigun01g197000.v1.2 transcript:Vigun01g197000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VubZIP53 MASIQRSATSSGSEAGDPQIDERKRKRMLSNRESARRSRMRKQKQLEDLTDEVSKLQGANKKLVENIKTKEEACAETEAANSILRAQTLELTERLRFLNSILEIAEEVGGLSVEIPDIPDPLLKPWQIPHPTQPIMATANMFLR >Vigun03g292500.1.v1.2 pep primary_assembly:ASM411807v1:3:47756399:47757106:1 gene:Vigun03g292500.v1.2 transcript:Vigun03g292500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKEKVKNMASAAKEQVDVYKAKIDEKAEMATARTEEERVIAHEHAKANEAKAKMELHEAKARHAAEKLGTKQSHPLVGTHNQTQYEYQHESLGVVPTYPSHPLEGNLPRNKHI >Vigun04g153200.1.v1.2 pep primary_assembly:ASM411807v1:4:37041807:37049844:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCSPTSLQLRLAFAAPKFPLTPHLRMRNLNKNRVRPLRAERDGAASEWTGSGPNLDGFSGWSDTDAEQQTDKKKESYGGVVGVGVAGVLLLSGLTFAALSLGKQTGSRPEQHMKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGQIDMSNDYSSSESRNVYRDNSIVDDSDIGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.4.v1.2 pep primary_assembly:ASM411807v1:4:37041794:37049886:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCSPTSLQLRLAFAAPKFPLTPHLRMRNLNKNRVRPLRAERDGAASEWTGSGPNLDGFSGWSDTDAEQQTDKKKESYGGVVGVGVAGVLLLSGLTFAALSLGKQTGSRPEQHMKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.6.v1.2 pep primary_assembly:ASM411807v1:4:37041807:37049844:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGQIDMSNDYSSSESRNVYRDNSIVDDSDIGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.5.v1.2 pep primary_assembly:ASM411807v1:4:37041794:37049886:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTCSPTSLQLRLAFAAPKFPLTPHLRMRNLNKNRVRPLRAERDGAASEWTGSGPNLDGFSGWSDTDAEQQTDKKKESYGGVVGVGVAGVLLLSGLTFAALSLGKQTGSRPEQHMKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.3.v1.2 pep primary_assembly:ASM411807v1:4:37041794:37049886:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.8.v1.2 pep primary_assembly:ASM411807v1:4:37041807:37049844:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGQIDMSNDYSSSESRNVYRDNSIVDDSDIGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.7.v1.2 pep primary_assembly:ASM411807v1:4:37041807:37049844:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGQIDMSNDYSSSESRNVYRDNSIVDDSDIGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >Vigun04g153200.2.v1.2 pep primary_assembly:ASM411807v1:4:37041794:37049886:-1 gene:Vigun04g153200.v1.2 transcript:Vigun04g153200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTTQQEEILSSDDHNNETTEPENVDKVEQGNDKIEGSQLIYDSKNSSVDVDDATKHIFVQEDLQHESAFDNKLVFASKSPVSLESENTVDSFNAYGFRDFDSNPTVDTAESTANLKENLFNVDPGDLPNYDGAKPPHLNAVQHDEITSSSGSVSFGFSETYSSSGADNETEIVSVVVSPESNNTISDHKFFNEAVEENILSASKKESLDLNKIPQVSAEGNEPSLEEWSIPGNDVFEKSSVLLSANALVDEKVINDSYEVDEVKSESPNFGSFFSVPGIPAPSVVSATAQVFPGKVLVPAAVDQVQGQALAALQVLKVIEPDVQPSDLCTRREYARWLVSASSTLSRSTVSKVYPAMYIDNVTELAFDDVTPEDPDFSSIQGLAEAGLIESRLSRQDIRLSGDEDDSPFYFSPGSPLSRQDLVSWKMALEKRQLPEADRKTLYQLSGFLDADKIHPNACPALVADLSAGEHGIIALAFGYTRLFQPDKPVTKAQAAMALATGEASEIVSEELARIEAESIAENAVAAHSALVAQVEKDINASFELELLLEREKINAVEKMAEEARLELERLRAEREKDNLALTKERAAIDSEMEVFSKLRHEAEDQLQILMNDKVEIAHEKERINKLREQAEVENKEIARLQYELEVERKALSMARAWAEDEAKRVREQAIALEEARDRWERHGIKVVVDDDLRKEASAGVTWLNASEQISVQGTVDRAENLLDKLKLMASDIRGKSRDILDKIIHLVSQFISKLREWASRTGKQAEEFGEAAISKVGKSASELQQSAIEVGFGFKEGAKRVAGDCREGVEKITQKFTQKFKT >VigunL007166.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000138.1:22417:23302:-1 gene:VigunL007166.v1.2 transcript:VigunL007166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTYRGVDKLIPVDVYLPGCPPKPEAIIDAITKLHKKEKQKMQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIVVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSKKILEFLRFFGFGKVRIFKKRNLMICWESLMIVIRV >Vigun04g027200.1.v1.2 pep primary_assembly:ASM411807v1:4:2098078:2098780:1 gene:Vigun04g027200.v1.2 transcript:Vigun04g027200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLCSVSSISVPFLVLLIITFPFIAQITTVCAELTMRKLGPMASPPPPPKLGTPYKPSLPPPNRQPRHPPSMP >Vigun08g104600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25990990:25992811:1 gene:Vigun08g104600.v1.2 transcript:Vigun08g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLRKLFPDETTTSNQTQTQDCYGLCDPACPYNCYTNQDYFLSPPPPFVSPSTQVNHISSYVIILGTLFAVVFVVLGFYVIKVKCYAAWCGWRLNGSLPSQSDTTTEEFLHENQVDHPVWLIATVGLQQSIINSITVCKYKSNEGLVEGTECSVCLNEFQEEETLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAGIVSSSVISEAPVSNLEHENANLGRNQETLMENSRNEGSLNSNTVAGESSEALELVDESNSKDRVHDQTQNHHVLEIEIHTEMGSVSTTESESHHVGDEHKHVNRRKQEQDGDYHPKTCKTMRRCSSIEECLHLSPVSMKRSFSCNGRILTSRGCMTLNSRLHSSLPEFSIC >Vigun11g205700.2.v1.2 pep primary_assembly:ASM411807v1:11:40252914:40257959:1 gene:Vigun11g205700.v1.2 transcript:Vigun11g205700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDKAVENGSLNSAEAEKPQIEPIRLPSVEEIRGQDIWNNCAVRSVVSGVMGGGLGIFMGLFLGALDNPLMQEEMTGRQQLIYQAKQMGRRSWSSAKAFAVMGFVFSAAECVVEKARAKHDITNTVVAGCATGGAISAKESKIRQKAMRMNLPREELQFLLPLEY >Vigun11g205700.1.v1.2 pep primary_assembly:ASM411807v1:11:40252914:40256387:1 gene:Vigun11g205700.v1.2 transcript:Vigun11g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDKAVENGSLNSAEAEKPQIEPIRLPSVEEIRGQDIWNNCAVRSVVSGVMGGGLGIFMGLFLGALDNPLMQEEMTGRQQLIYQAKQMGRRSWSSAKAFAVMGFVFSAAECVVEKARAKHDITNTVVAGCATGGAISAKGGPKAACVGCAGFAAFSVVIEKFLERHQ >Vigun06g072400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20336181:20336716:-1 gene:Vigun06g072400.v1.2 transcript:Vigun06g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAPSIKLCVVMFVAITNLLMNPSFSYEDYDPDLGPIAPMTSYEKLLTDCVVKYHPPCDNLYIFGTIFYYNETISGDCCNNIREVGKQCHDTLTTYIISLKKSKGKETQILQRSKEIWNECNHPSPQSSPQPSPQQVQNI >Vigun10g156300.1.v1.2 pep primary_assembly:ASM411807v1:10:37599337:37602606:-1 gene:Vigun10g156300.v1.2 transcript:Vigun10g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDSSSDEEDDRRNLVDQNHRKPPSPSPAAVFHVEDRSPRFFHRNFRYQKRYIVAILAFLLVILFFSVPNFHSLFSSSSFQFDSITDRVKDSELRAINLLRQQQLGLLTAWNTTRRSNASDPNQLEDLKSALFKQISLNQEIQQVLLNPHSMGNTVEPEFDLNATLNGVVYDRCRTVDQKLSQRRTIQWNPRDGKFLLAICVSGQMSNHLICLEKHIFFAALLNRALVIPSSKVDYRFDRVVDIDRINKCLGKKVVFSFEEFSNLKKGHLHIDKFLCYFSKPTPCFLDDEWLKKLGGLGVTMNKPEAVWEEDTRNPKNKTVQDVLGKFSYDDDVMAIGDVFYAEMEPEWVMQPGGPIAHQCKTLIEPNRLIALTAQRFIQTFLGRNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCILRVVERADAPIIYLSTDAGESETGLLQSLVVLNGRSVPLVTRPARNFAEKWDALLYRHGLEGDVQVEAMLDKTICAMSSVFIGAPGSTFTEDILRLRRGWGSASICDEYLCHGEEPDIVAENE >Vigun06g162000.3.v1.2 pep primary_assembly:ASM411807v1:6:28418393:28430445:-1 gene:Vigun06g162000.v1.2 transcript:Vigun06g162000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLQLNCKTVFPCRSDSVKLNPFPGVLPRRSVTFESGYVCSPSKAGWGVSFVSASADFSRRRHQKKVSVTRPKGTAAKGFVPSKRNARLKKGDSLTPVVSEVSGGDKKQAVDVNIDDDKEVGVEFSEDERFEVIDRTDEIVGDVGELLLLDETVDVIESSQANISITDEDLEVLEPYNGGVEIVEDSGEGLLDHAEIDDNIRDTDTLGEITEEAVEESSIAADDRIKEEASRLLKLELEENQRQQEIERIVEEKLSQGTKVFSYPPVVKPDQDIEVFLNKSLSALSDEPHVVIMGAFNDWKWKSFSVRLNKTRLNGDWWSCQLYVPREAYQVDFVFFNGENVYDNNDQKDFRIPIEGGMDALAFEDFLLEEKRKELEELARLQSERERLAEEQRRIEADRAAKEEDRSRARVEVQRMRETLPQLLKNAVKSIDNVWYIEPSEFKDNDLIRLYYNRSSGPLAHANEIWIHGGHNNWKYGLSIVERFIKSVLKGDDWWYADVVVPDQALVLDWVFADGPPQKAGVYDNNHKQDFHAIVPTVTPDEQYWVEEEQLLYRKFQDERRLRDEAIRAKVEKTAQMKAETKERTLKRFLLSQKHIVFTDPLDVQAGSTVTVFYNPSNTNLNGKPEVWFRCSFNRWTHRNGPLPPQRMLPAENGTHVKASVKVPLDAYMMDFVFSESEHGGAFDNKFGMDYHIPIFGGIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFESQRSYLWGGTEIKVWRGNVEGLSVYFLEPQNGFFQVGCVYGRGNDAERFGFFCHAALEFLLQNGFHPDIIHCHDWSSAPVAWIFKDNYAHYGLSKARLVFTIHNLEFGAHFIAKAMQYADKATTVSPTYSREISGNPVIAPHLHKFHGIINGIDPDIWDPYNDKFIPVSYSSENVVEGKRASKEALQQRLGLKRADLPLVGIITRLTHQKGIHLIKHAMWRTLERGGQVVLLGSAPDPRIQNDFVNLANQLHSSHNDRARLCLAYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLFDTVFDVDHDKDRAQAQGLETNGFSFDGADVGGVDYALNRAITAWYDGRDWFNSLCKRVMEQDWSWNRPALDYLELYHAARKLE >Vigun06g162000.2.v1.2 pep primary_assembly:ASM411807v1:6:28417742:28430445:-1 gene:Vigun06g162000.v1.2 transcript:Vigun06g162000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLQLNCKTVFPCRSDSVKLNPFPGVLPRRSVTFESGYVCSPSKAGWGVSFVSASADFSRRRHQKKVSVTRPKGTAAKGFVPSKRNARLKKGDSLTPVVSEVSGGDKKQAVDVNIDDDKEVGVEFSEDERFEVIDRTDEIVGDVGELLLLDETVDVIESSQANISITDEDLEVLEPYNGGVEIVEDSGEGLLDHAEIDDNIRDTDTLGEITEEAVEESSIAADDRIKEEASRLLKLELEENQRQQEIERIVEEKLSQGTKVFSYPPVVKPDQDIEVFLNKSLSALSDEPHVVIMGAFNDWKWKSFSVRLNKTRLNGDWWSCQLYVPREAYQVDFVFFNGENVYDNNDQKDFRIPIEGGMDALAFEDFLLEEKRKELEELARLQSERERLAEEQRRIEADRAAKEEDRSRARVEVQRMRETLPQLLKNAVKSIDNVWYIEPSEFKDNDLIRLYYNRSSGPLAHANEIWIHGGHNNWKYGLSIVERFIKSVLKGDDWWYADVVVPDQALVLDWVFADGPPQKAGVYDNNHKQDFHAIVPTVTPDEQYWVEEEQLLYRKFQDERRLRDEAIRAKVEKTAQMKAETKERTLKRFLLSQKHIVFTDPLDVQAGSTVTVFYNPSNTNLNGKPEVWFRCSFNRWTHRNGPLPPQRMLPAENGTHVKASVKVPLDAYMMDFVFSESEHGGAFDNKFGMDYHIPIFGGIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFESQRSYLWGGTEIKVWRGNVEGLSVYFLEPQNGFFQVGCVYGRGNDAERFGFFCHAALEFLLQNGFHPDIIHCHDWSSAPVAWIFKDNYAHYGLSKARLVFTIHNLEFGAHFIAKAMQYADKATTVSPTYSREISGNPVIAPHLHKFHGIINGIDPDIWDPYNDKFIPVSYSSENVVEGKRASKEALQQRLGLKRADLPLVGIITRLTHQKGIHLIKHAMWRTLERGGQVVLLGSAPDPRIQNDFVNLANQLHSSHNDRARLCLAYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLFDTVFDVDHDKDRAQAQGLETNGFSFDGADVGGVDYALNRAITAWYDGRDWFNSLCKRVMEQDWSWNRPALDYLELYHAARKLE >Vigun06g162000.1.v1.2 pep primary_assembly:ASM411807v1:6:28417742:28430445:-1 gene:Vigun06g162000.v1.2 transcript:Vigun06g162000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLQLNCKTVFPCRSDSVKLNPFPGVLPRRSVTFESGYVCSPSKAGWGVSFVSASADFSRRRHQKKVSVTRPKGTAAKGFVPSKRNARLKKGDSLTPVVSEVSGGDKKQAVDVNIDDDKEVGVEFSEDERFEVIDRTDEIVGDVGELLLLDETVDVIESSQANISITDEDLEVLEPYNGGVEIVEDSGEGLLDHAEIDDNIRDTDTLGEITEEAVEESSIAADDRIKEEASRLLKLELEENQRQQEIERIVEEKLSQGTKVFSYPPVVKPDQDIEVFLNKSLSALSDEPHVVIMGAFNDWKWKSFSVRLNKTRLNGDWWSCQLYVPREAYQVDFVFFNGENVYDNNDQKDFRIPIEGGMDALAFEDFLLEEKRKELEELARLQSERERLAEEQRRIEADRAAKEEDRSRARVEVQRMRETLPQLLKNAVKSIDNVWYIEPSEFKDNDLIRLYYNRSSGPLAHANEIWIHGGHNNWKYGLSIVERFIKSVLKGDDWWYADVVVPDQALVLDWVFADGPPQKAGVYDNNHKQDFHAIVPTVTPDEQYWVEEEQLLYRKFQDERRLRDEAIRAKVEKTAQMKAETKERTLKRFLLSQKHIVFTDPLDVQAGSTVTVFYNPSNTNLNGKPEVWFRCSFNRWTHRNGPLPPQRMLPAENGTHVKASVKVPLDAYMMDFVFSESEHGGAFDNKFGMDYHIPIFGGIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFESQRSYLWGGTEIKVWRGNVEGLSVYFLEPQNGFFQVGCVYGRGNDAERFGFFCHAALEFLLQNGFHPDIIHCHDWSSAPVAWIFKDNYAHYGLSKARLVFTIHNLEFGAHFIAKAMQYADKATTVSPTYSREISGNPVIAPHLHKFHGIINGIDPDIWDPYNDKFIPVSYSSENVVEGKRASKEALQQRLGLKRADLPLVGIITRLTHQKGIHLIKHAMWRTLERGGQVVLLGSAPDPRIQNDFVNLANQLHSSHNDRARLCLAYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLFDTVFDVDHDKDRAQAQGLETNGFSFDGADVGGVDYALNRAITAWYDGRDWFNSLCKRVMEQDWSWNRPALDYLELYHAARKLE >Vigun03g390900.1.v1.2 pep primary_assembly:ASM411807v1:3:59722217:59723871:1 gene:Vigun03g390900.v1.2 transcript:Vigun03g390900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKIFLTLLFVGYVVAVSAGNFNQDFEITWGDARAKILNNGELLTLSLDKASGSGFRSRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSLGNVHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPIREFKNLESKGVSFPKTQAMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFNAEACVWTSSSGSSCSSNNPSSNQSWMKQSMDATGQARIQWVQKNYMIYNYCTDTKRFPQGLPPECTIA >Vigun11g047500.1.v1.2 pep primary_assembly:ASM411807v1:11:7336406:7340964:-1 gene:Vigun11g047500.v1.2 transcript:Vigun11g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHLVFCAFTVLALTYTLQFQAHASPSGSLIEHLSSLLKWRRSNSKTSQSDENVLQFENGYVVETVVEGNDIGVVPHRILVSAEDGEIFAVDAINSNIVRITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDSRFNHPKGITVDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVRPTCSLLVIDRGNAALRQINLNQEDCDYQSSSISVTDVLTVVGAVLVGYATCRFQQGFGSSFFPKTPSSKGEFKGQDHKGETKWPSFRQLLVYLSNFSLKTFSSFFRFIPWRSKSDSTKRGLTPQNDLLKMPEDEVEEVPMADRQRAFDSLLTETKQVHTPSTAEKYSQMRPAKIKSSSSKDPSLLRKHHSLKKQEYAEFYGSTEVPSHAKSKSQKQRSKHRKREKVGHVLSEGVGAEKKPVEMRGVDYGNPRFEHYNTRTKYVPEDSFLFNPH >Vigun11g117900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32396865:32397868:-1 gene:Vigun11g117900.v1.2 transcript:Vigun11g117900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKMGVLRAAIGDAILTSIWVFIMSTLRIASTEVALFLSLQPLSLAGLFITTVLNTFCVLTVSFIGGVLGGASFNPSTTVSFYTAGLRPDSSLSSMAVRFPAQAFGGAVGAKALVLVMPSQYKHMLKGPFLKVDLHTGALAEGLLTFTHNMAILFLVLRGPKNPFLKVYLLSVATAALVIPGSGFTGPSMNPANAFGWAFVNNKHNTLEQFYVYWVCPFLGASSAAFIFRSLFMAPIKQKKA >Vigun08g079500.1.v1.2 pep primary_assembly:ASM411807v1:8:16304696:16312962:-1 gene:Vigun08g079500.v1.2 transcript:Vigun08g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATLPNLSTKVCSIAAGEAHTLILTEDGRVYCWGRGILGRLGGGSEHDHHLPVQVRFGSEEYSVRIVGIAAGAYHSLALADDGSVWCWGYNIYGQLGINGEDFHGEKHSDGDYSIVPCLLNKFLDLHPPVSSSSSTIPEAEDESSLKITVVKAGGMMSLCIDNLGALWMWGHCPGENKEGGVSLISNFTPTPVWDFHGHIVVQVACGKEHVVALVTAGESYKGVDDLVCYTWGNNSHGQLGLGDKENRPRPEVVKTFDLKSPWVICEVTCGAFHTALLTQKKSPSDTLESTCWTFGLGDNGQLGHGTTQSKLVPEPVKELPQNVHLVSVDCGLFHTCVVSIDGYVWCWGMEKGLGLCPDDSNGGTHSGDALSPLLISCNPYQPKFPNPIQVACGAAHTVLVAQEGNKLWSWGRGRSGVLGNGKTMDSYTPTIVVWPPLIQDFKQESEGITETNDKLCSALNKVKLLQTKLSVMERYASMLHGFIFGEPFDEKEIATSLGNSGAFDISREWENILEAADSTKLRNLEMVYRNMLVAVKDKLMKRKIKEIINECLSSSHAENEHI >Vigun08g201500.2.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRNYSAELESHALPRVTAAAHPLCASPPSPPVAQVDVVDRGNSDFFDPLRGTDNDANAAVPDHDNLNEYADIQPTKEWTSFRRLLMQRFPVSKMVSVSTMPDVLMRSGKLHEKSSTAMHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQVIGMYDSLDEYLKVVDAYTDLILQNQMNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLVQFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun08g201500.3.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQVIGMYDSLDEYLKVVDAYTDLILQNQMNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLVQFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun08g201500.4.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQVIGMYDSLDEYLKVVDAYTDLILQNQMNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLVQFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMQLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun08g201500.6.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRNYSAELESHALPRVTAAAHPLCASPPSPPVAQVDVVDRGNSDFFDPLRGTDNDANAAVPDHDNLNEYADIQPTKEWTSFRRLLMQRFPVSKMVSVSTMPDVLMRSGKLHEKSSTAMHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLVQFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun08g201500.1.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRNYSAELESHALPRVTAAAHPLCASPPSPPVAQVDVVDRGNSDFFDPLRGTDNDANAAVPDHDNLNEYADIQPTKEWTSFRRLLMQRFPVSKMVSVSTMPDVLMRSGKLHEKSSTAMHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQVIGMYDSLDEYLKVVDAYTDLILQNQMNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLVQFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMQLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun08g201500.5.v1.2 pep primary_assembly:ASM411807v1:8:36573058:36588987:1 gene:Vigun08g201500.v1.2 transcript:Vigun08g201500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRNYSAELESHALPRVTAAAHPLCASPPSPPVAQVDVVDRGNSDFFDPLRGTDNDANAAVPDHDNLNEYADIQPTKEWTSFRRLLMQRFPVSKMVSVSTMPDVLMRSGKLHEKSSTAMHLEELDDPQKFADEGVKTITWQEYVSRLHELKDEITRSWQAEDRVTSLKLSIKVAKLLMDTSVLEFYPTLFVLVTDIMDMLGNLVWQRIKRKAEFSEDGTLRCSLAENFQARDICADAKETCYNWFSKIGAVQELLPRIYLELAILPCWCFLFDQPLDSLRRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDIRVILMQILSANERSHKNGEVNKKLQVSLMEPTIEYIMKCLFNGLTQRQVHEVLSELGLMKNQQDLGSVSCVSIILHHLLKELPIEVVSTNVVQILHLIEFSKDNSFGQHLNYRLLGFRLYERKSPAHIVNTVLDKVIQVIGMYDSLDEYLKVVDAYTDLILQNQMNNHLNAILEGISSRALNKRVKEDEMLSLQSFIVKLLSHFKHLEDVFSLFPEILDVMYGKSQDVVFLHILNMVTRDDHISDPTSIQLLFEIAHTLHDNVEFMNVKDDDGQVARSISRFVLMVDYGAEMEQHLAFLVNCRGAFGRFNELKETLVHSSNSLAIQALKCAKKHLSFFKACVTFSEVTIPSVSAQRQFDLFLETAEVAFLGGLVSHSDGLIDSAITCLHTLDIIDGFRTPTDVEGLVSSIRKLCGFLIMVPGTFSLPVTYFPNNLFTLISSRSWFEPKMRTQIFSAIILLLTTLSQKRLPYHANTQIPGNDMLYYGDSSYNQELVSLSKLVLENLLSAVQQEPSQAARGIMALEACNCIASSFMLNSELSPVCLTLIETAKSCLSAQDRYLQSTVQLLNKQCPTFVGTMVSTSV >Vigun05g205700.1.v1.2 pep primary_assembly:ASM411807v1:5:39472146:39473660:-1 gene:Vigun05g205700.v1.2 transcript:Vigun05g205700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAITKPPTSECDSSEESGWTKYFEDFLNNIDDHKRCSVSFSGVDSYSTLFACAAEKMLTHDHTTQPEESCFRKRKKIKTSLVVDDALEDTASSPVKGSKGKRNTPRERDESQELGFRRDNDHTELKKKGLCLVPMSMIAKYIG >Vigun03g327400.1.v1.2 pep primary_assembly:ASM411807v1:3:52338599:52340530:1 gene:Vigun03g327400.v1.2 transcript:Vigun03g327400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDTATLVIQQPNGKVERLYWPVTASEVMKTNPDHYVALLISTTLCTSKDTENCQIKSENNNPNTTNPVRLTRIKLLKPTDTLMLGQVYRLISAQEVMKGLWAKKQAKLKRNSPESAQKPNLMKERTDKSARRSEPEDNLETKGERQGSRTASSNNASGATAKSRTWQPSLQSISEATS >Vigun01g163300.1.v1.2 pep primary_assembly:ASM411807v1:1:34503891:34506402:1 gene:Vigun01g163300.v1.2 transcript:Vigun01g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSYHVIAGRWFMLYASLLIMAAAGSVYMFGMYSNEVKTSLGYDQTTLNLLSFFKDLGATVGIVSGLINEVTPPWVVLSIGVLMNFFGYFMIWLAVSGRISKPHVWQTCLYIFIGANSQTFANTGALVTCVKNFPGSRGSLLGLLKGYVGLSGAIIAQFYHAFYGDHNPQALILLFAWLPAAVNFLFLPTIRIFNNVYHHRPNQNKVFYHLLYISLALAAFLMVLIIMQNKLSFTRPEFVADAVVVLFLLLLPLVVVFREEINHLKAKTQGVTDSEFKVVTEITPPPTVEPEVPTTTCSSREDYTILQALLSIDMVILFAATIFGVGGALTAIDNLGQIGSSLGYPRKSITTCVSLLSIWNYLGRVVAGFSSEMLLTKYKVPRPLMFTLVMLLSCVGHILIALGAPNSLYFASVIIGFCLGAQWPLMFAIISEIFGLKHYSTLYNFGAVASPVGSYILNVRLTGVLYDKEALKQLKAKGLSRQAGKELNCVGVQCYRMAFIIITVATLFACLISLVLVLRTRKFYKGDIYRNFRVKDTPSIYITTSRSRPPS >Vigun10g068466.1.v1.2 pep primary_assembly:ASM411807v1:10:16117902:16118124:1 gene:Vigun10g068466.v1.2 transcript:Vigun10g068466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTVTGFSRGSRFRCRQPFVYFSNSVWWRLRV >Vigun05g035300.1.v1.2 pep primary_assembly:ASM411807v1:5:2882992:2885234:1 gene:Vigun05g035300.v1.2 transcript:Vigun05g035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHFLVIPYPSVGHVNPLMQLCEVLATHGCNITFLNTEFNHKRANTADAALENFKGPQIKFVTLPDGLGPEDDRKDPKKLIFSIKTHMPHMLPKLIQDINALNVNNSITCILVTVNMGWALEVGHKLGIKGAVLWPASATSLATCDYLPKFIQDGILDSDGNPVEKKEIELYPNMPMMDTANFPWCGLDKTLVHHIAQEMQTIKLGEWWLCNTAQNLEPAAFSISPRFLPIGPLMASDSNKSTLWQEDTTCLEWLDHQPPQSVIYVAFGSSGVIDHNQLKELALGLDLLNKPFLWVVNSCDSNRVNNSYSDEFHGSKGRIVNWAPQKKVLNHPAIACFISHCGWNSSMEGVCSGVPFLCWPLVKDQFVNRSYICDVWKVGLRLDKDENGLVSKEEIKKKVEQLFDDEGIKARSLKLKELTLNNVVEGGHSSENLKSFISWAE >Vigun01g138100.1.v1.2 pep primary_assembly:ASM411807v1:1:31835553:31837038:-1 gene:Vigun01g138100.v1.2 transcript:Vigun01g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLVAGKTTAANKDKDKDKKRPVSRSSRAGIQFPVGRIHRQLKQRVQANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKAAKE >Vigun07g169600.1.v1.2 pep primary_assembly:ASM411807v1:7:28373409:28378363:1 gene:Vigun07g169600.v1.2 transcript:Vigun07g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGPESASPVTHRVQSLSSADTRGKHRIHAELKRLEQEARFLEEELEKLERMEKASTACKGLLSNVETKPDPLLPSTIGPISPTWDRWFEGPQDSKSCCRCWIL >Vigun08g128825.1.v1.2 pep primary_assembly:ASM411807v1:8:29896718:29897404:1 gene:Vigun08g128825.v1.2 transcript:Vigun08g128825.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSNIRKTCNVFWKDEYGGCLRRMREPKDVC >Vigun03g007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:486301:488442:1 gene:Vigun03g007100.v1.2 transcript:Vigun03g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVPQHRSSKTESYVDNKRKEDIRHANIVAARAVANAVRTSLGPKGMDKMISTSSDEVIITNDGATILNKMQVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGALLEQCLLLLSHGIHPTIVSDSLHKAAVKAVDVLTAMAVPVELSDRDSLVKSASTSLNSKVVSQYSTLLAPLAVDAVLSVVDAAKPDMVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGYADLVEEVSLGDGKIVKINGIKEMGKTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVAKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCVRAFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >Vigun09g034400.1.v1.2 pep primary_assembly:ASM411807v1:9:3017174:3018820:1 gene:Vigun09g034400.v1.2 transcript:Vigun09g034400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVKTRRMCYILMASILITHLVCVKSKPLFLLDASTQQAPFKHSSTVADVQGVSKHVFDSTQGNERKTMLKSKGKGYKEALRGLSRLGSTPPRCEHKCGGCIPCDPIQIPTNNDLLGAQYANYEPEGWKCKCGNTYFNP >Vigun06g208200.6.v1.2 pep primary_assembly:ASM411807v1:6:32157482:32160830:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun06g208200.1.v1.2 pep primary_assembly:ASM411807v1:6:32157482:32160830:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKRRFAEEDNSHLLSKKVRESAPESDVSASTLHVILNPADCDLDFNIDHNGLVGYGLHQEGFAYCWSGARANVGIIRGKYCFGCKVVSEQLVDMNDTVPEQQHICRLGISRGEDTVGNLGESRHSFGYGGTGKFSNSGRFVNFGDRFGVGDTIICCVDLESKPLASIGFSKNGKWLGVATKFDVANLGVMDSPTGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun06g208200.4.v1.2 pep primary_assembly:ASM411807v1:6:32157481:32160831:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVPEQQHICRLGISRGEDTVGNLGESRHSFGYGGTGKFSNSGRFVNFGDRFGVGDTIICCVDLESKPLASIGFSKNGKWLGVATKFDVANLGVMDSPTGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun06g208200.3.v1.2 pep primary_assembly:ASM411807v1:6:32157481:32160831:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVPEQQHICRLGISRGEDTVGNLGESRHSFGYGGTGKFSNSGRFVNFGDRFGVGDTIICCVDLESKPLASIGFSKNGKWLGVATKFDVANLGVMDSPTGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun06g208200.2.v1.2 pep primary_assembly:ASM411807v1:6:32157481:32160831:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKRRFAEEDNSHLLSKKVRESAPESDVSASTLHVILNPADCDLDFNIDHNGLVGYGLHQEGFAYCWSGARANVGIIRGKYCFGCKVVSEQLVDMNDTVPEQQHICRLGISRGEDTVGNLGESRHSFGYGGTGKFSNSGRFVNFGDRFGVGDTIICCVDLESKPLASIGFSKNGKWLGVATKFDVANLGVMDSPTGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun06g208200.5.v1.2 pep primary_assembly:ASM411807v1:6:32157481:32160830:1 gene:Vigun06g208200.v1.2 transcript:Vigun06g208200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKRRFAEEDNSHLLSKKVRESAPESDVSASTLHVILNPADCDLDFNIDHNGLVGYGLHQEGFAYCWSGARANVGIIRGSLQWKSALFPHVLLKNVEVQMQFSVGDGLVPEEGFKPWTSSVEDANIIMGPSFSDPKDCEMIMMVGLPASGKTTWAEKWVRDHPEKRYVLLGTNLILDQMKVPGLLRRNNYGERFDLLMDRATGIFNILLSRAANIPRNYIIDQTNVYKSARKRKLKPFADYKKIAVVVFPKPEELKIRSDKRFAEMGKEVPLDALNKMIANYVLPESKDMPHSDEVFDQVMFEEMNQSESQKYLDQMKQNLACVSNNNRSTLPLGGCNESFVGSHLQNKRSLTGSGLHQSGSYSTMTPNSHGIPSQVSQVNANGHVTELERSINSFSGVYPGSQIPRVARAPSPCEPYSNSESNCFPRDVTGYNQTYYAISRDDIRNFNNSVVGGPNRPDIIGNNMVFHDAFPDAYNRSGMNESSPTARARAMSFDYRTRGEPYPDAYRSGLNESSPIVSARAVSFDYRTHDEPYPTEAYTHSLRGFRPDLRTRSPTTFDSLYPYGTPTPRPPYGSSPNNMPNSGRYAPHRPRYF >Vigun10g085100.1.v1.2 pep primary_assembly:ASM411807v1:10:24213405:24223267:-1 gene:Vigun10g085100.v1.2 transcript:Vigun10g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRLYLICIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMQKHKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >Vigun04g104966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:24989229:24989639:-1 gene:Vigun04g104966.v1.2 transcript:Vigun04g104966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHLIRCKENPNKEAFKRQKLSSSTTEGVSVGLSPTISKFDQNVSRMKLVKMFVKFELPFRFVEDEDFHDFVRSLQPRFEVPSRTTLRHEMWELYEEEKKVENFYNKGVWEGLLNYRHMDFNPKPKQHEFKKSLY >Vigun02g022100.1.v1.2 pep primary_assembly:ASM411807v1:2:7525678:7530381:-1 gene:Vigun02g022100.v1.2 transcript:Vigun02g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMNSGGSSIRRRSLSISSHVSYHTDNDGENESVSEAGDIGDRALRSKRFSESNSFRLSFDNRSEKEAVVSIPEEHRSHPNSSIRPLPPAFTSLSPLSTDGIVRSEDIEHDPPKGLPEFLDYTSCLVHLAVFGILGVLTRYLLHKLFGPGVAHVTSDQTILYVDLPANMIGSFLMGWFGVVFKGDISQVSEHLAITITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFLLGLFLIAYSIQFGIEIAKGFRWLLHRLGISSEKDVNKMNCKVDSYRRQLIVMVMFLVILGILWGVSGALVKAEFKHGGNAAQLWFACMVGRMGVWIRWFLARLNGRGLGKEGLFKWIPFGTLIANVLATCIMAALSTVKNAVKTRDCDTVVAGIQFGLMGCLSIVSTFAAEFNAMRESNHPWRAYTYAVITICVSFSLGILIYCIPVWTKGFDIDT >Vigun02g022100.2.v1.2 pep primary_assembly:ASM411807v1:2:7525678:7529237:-1 gene:Vigun02g022100.v1.2 transcript:Vigun02g022100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTRYLLHKLFGPGVAHVTSDQTILYVDLPANMIGSFLMGWFGVVFKGDISQVSEHLAITITTGYLGSLTTFSGWNQKMLELSVSGHWLFASLGFLLGLFLIAYSIQFGIEIAKGFRWLLHRLGISSEKDVNKMNCKVDSYRRQLIVMVMFLVILGILWGVSGALVKAEFKHGGNAAQLWFACMVGRMGVWIRWFLARLNGRGLGKEGLFKWIPFGTLIANVLATCIMAALSTVKNAVKTRDCDTVVAGIQFGLMGCLSIVSTFAAEFNAMRESNHPWRAYTYAVITICVSFSLGILIYCIPVWTKGFDIDT >Vigun08g075100.2.v1.2 pep primary_assembly:ASM411807v1:8:12997304:13001671:-1 gene:Vigun08g075100.v1.2 transcript:Vigun08g075100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFSPNTCAHEMSTQNRRSSFSSSTASSLAKRHSSVVPSGKAAKRAPLANITNQRNAPSSSSVSSSIKTAKTKKEDPARSSSITRSTACGNKIRELKTINSATTIIPKANSLPQRKDAAPAVARVSMPVRSSIDVSPGKSDARDVSAVDSIQRKTSNLSVCGTTKPEGNIYSGEIVVDLKREDKVVNIDNICSDPQLCATYACDIYKHLRESEENKRPSTDFMERIQNDINVSMRAILIDWLVEVAEEYRLVPDTLYLTVNYVDRYLSGNAMNRKRLQLLGVSCMMIASKYEEICAPQVEEFCYITDNTYIKEELLRMESAVLNYLKFEMTAPTVKCFLRRFVRAAAHDVKELPSLQLECLTNFIAELSLLEYSMLCYPPSLIAASATFLGRFILFPSKKPWNSILQHYTQYRPSDLCACVNDLHRLCCSTDTSNLHAIREKYSQHKYKYVAKKCVPVSIPQEVFQN >Vigun08g075100.1.v1.2 pep primary_assembly:ASM411807v1:8:12997304:13001671:-1 gene:Vigun08g075100.v1.2 transcript:Vigun08g075100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFSPNTCAHEMSTQNRRSSFSSSTASSLAKRHSSVVPSGKAAKRAPLANITNQRNAPSSSSVSSSIKTAKTKKEDPARSSSITRSTACGNKIRELKTINSATTIIPKANSLPQRKDAAPAVARVSMPVRSSIDVSPGKSDARSVSMDETLSSNSIKSPDEVEYLDNRDVSAVDSIQRKTSNLSVCGTTKPEGNIYSGEIVVDLKREDKVVNIDNICSDPQLCATYACDIYKHLRESEENKRPSTDFMERIQNDINVSMRAILIDWLVEVAEEYRLVPDTLYLTVNYVDRYLSGNAMNRKRLQLLGVSCMMIASKYEEICAPQVEEFCYITDNTYIKEELLRMESAVLNYLKFEMTAPTVKCFLRRFVRAAAHDVKELPSLQLECLTNFIAELSLLEYSMLCYPPSLIAASATFLGRFILFPSKKPWNSILQHYTQYRPSDLCACVNDLHRLCCSTDTSNLHAIREKYSQHKYKYVAKKCVPVSIPQEVFQN >Vigun08g075100.3.v1.2 pep primary_assembly:ASM411807v1:8:12997304:13001671:-1 gene:Vigun08g075100.v1.2 transcript:Vigun08g075100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFSPNTCAHEMSTQNRRSSFSSSTASSLAKRHSSVVPSGKAAKRAPLANITNQRNAPSSSSVSSSIKTAKTKKEDPARSSSITRSTACGNKILARVSMPVRSSIDVSPGKSDARSVSMDETLSSNSIKSPDEVEYLDNRDVSAVDSIQRKTSNLSVCGTTKPEGNIYSGEIVVDLKREDKVVNIDNICSDPQLCATYACDIYKHLRESEENKRPSTDFMERIQNDINVSMRAILIDWLVEVAEEYRLVPDTLYLTVNYVDRYLSGNAMNRKRLQLLGVSCMMIASKYEEICAPQVEEFCYITDNTYIKEELLRMESAVLNYLKFEMTAPTVKCFLRRFVRAAAHDVKELPSLQLECLTNFIAELSLLEYSMLCYPPSLIAASATFLGRFILFPSKKPWNSILQHYTQYRPSDLCACVNDLHRLCCSTDTSNLHAIREKYSQHKYKYVAKKCVPVSIPQEVFQN >Vigun05g234800.1.v1.2 pep primary_assembly:ASM411807v1:5:42838179:42839698:-1 gene:Vigun05g234800.v1.2 transcript:Vigun05g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPGFRFYPTEEELVAFYLHNQLEGQRQDTSRVIPVIDINGVEPWNLPSLAGERCRGDTEQWFFFSPRQERETRGGRPNRTTASGYWKATGSPCHVYSSDNKVIGMKKTMVFYEGKAPSGRKTKWKMHEYKAIQHSHQSNTTPPKLRHEFSLCRVYVISGSFRSFDRRPLEVARAELRVDGDRGAASTSAQERAPLVDGSSSSDTSHSGDAHIHEAEAGAGSSGTHWNTSNRVEEPLWEWEHLIQ >Vigun05g234800.2.v1.2 pep primary_assembly:ASM411807v1:5:42838179:42839698:-1 gene:Vigun05g234800.v1.2 transcript:Vigun05g234800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPGFRFYPTEEELVAFYLHNQLEGQRQDTSRVIPVIDINGVEPWNLPSLAGERCRGDTEQWFFFSPRQERETRGGRPNRTTASGYWKATGSPCHVYSSDNKVIGMKKTMVFYEGKAPSGRKTKWKMHEYKAIQHSHQSNTTPPKFLFWQLRHEFSLCRVYVISGSFRSFDRRPLEVARAELRVDGDRGAASTSAQERAPLVDGSSSSDTSHSGDAHIHEAEAGAGSSGTHWNTSNRVEEPLWEWEHLIQ >Vigun01g169500.1.v1.2 pep primary_assembly:ASM411807v1:1:35115337:35129854:1 gene:Vigun01g169500.v1.2 transcript:Vigun01g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPARRSSSYSLLNQTPDDNLTVPLFDSSSGDGNNNRNKLERISDWESVVDHRQGNRIGNLYPSLRMQRQSSESSFGESSLSGEFYTTSAMFTVAPDETDEFTSGEVRGRIPDLGAARSDRSYGRSWAQQTEESYQLQLALALRLYSEATCADDPNFLDPVPDESPSRSSYSAETVSHRFWVNGCLLYFDKIPDGFYLIHGMDPYLWTMCTDLQENGRIPSIEALKSLDPSSDSSVEVILVDRQRDPILKELQNKVHDIFCRSIVTTDVVDQLAKLVFDCMGGSASVWEGDLFPIWRECINDLRDRIGSIVVPIGSLSTGLCKHRAVLFKVLADTIGLPCRIAKGCKYCSREDASSCLVRFGLDREYMVDLIGKPGYLCEPDSLLNGPSSISFSSPLRFPRLKPAEPTIDFRSLAKQYFSDSMPTDLVFDSGVADFGFSFPEQYERQYRDRNPGPIPNDNNRSSFVPPQPQAYRPSAHDRGSETFKSANPPQNVVGPSLTSKDSLLLKHNRPGHRDTQTRLLISNKPSREFSVDMEDLDIPWTDLVLKGRIGSGSFGTVHQAEWNGSEVAVKILMEQDFAGERFQEFLREVAIMKSLRHPNIVLLMGAVTKPPNLSIVTEYLSRGSLYRLLHKPGATEMLDERRRISMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVSDFGLSRQRQTHFSHPSQLLGHLSGWLQKFFVMSHPMRSQMFTALV >Vigun03g406900.1.v1.2 pep primary_assembly:ASM411807v1:3:61417129:61419584:-1 gene:Vigun03g406900.v1.2 transcript:Vigun03g406900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAVGALVAPSSSPTSFPLINSTASVEVEHGVKPIEDGYKWRLVLAYEGTRYAGWQYQQSPPTVQCTLEKALTQATKLQRKDLSLVGASRTDAGVHAWGQVAHFFTPFNYNNLEDIHAALNGLLPSDIRVREISPASAEFHARFSAKSKIYHYKIYNDSVMDPFQRHFAYHSVYKLNSAVMKEAAKYFVGKHDFSAFANSSHNDGVPDPVKQIFRFDLKEMGALLQLEVEGTGFLYRQVRNMVALLLQIGREAIPPDIVAHILASRDRKELAKYSLSVPPHGLSLVSIKYKESHLLLPPGCPANSFGKHHTIRKCKLPLFL >Vigun06g007080.1.v1.2 pep primary_assembly:ASM411807v1:6:3645632:3647040:1 gene:Vigun06g007080.v1.2 transcript:Vigun06g007080.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKKSFGFWGVPWRRGICPNENLGQGGDGGQGDQGGTVLQPPKLQRMDTPRPGGKGKEEGKGKEVEGKEKEDKDEKDNGGQGGTGSQQPKPKRPGTSYPNRPGGKGKEVNKFRWIERVKIVTLAFFVIVIWYFAIQFKWYFTIQFKWLFLTIAPCDAGDSLSIPLDQFEIIPLMDMKIGDLYFSFTNPSLFMLLTLSLVLLLFHFVTKNGGGKSVPNAWQSLVELIYDFVPNLVNEQIAGNVKQKFFPYILVTFTFSLFCIPQGMIPYSFIVTSHFLITLGLSFSIFIGITIVGFQRNRLHFLSFSLPVGVPLLLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGSAWTMLCMNDLFYFIGDLGPLFIVLALTGPELGVAISQAHVSTISICIYLNDATNLHQTG >Vigun07g012700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1112307:1112726:-1 gene:Vigun07g012700.v1.2 transcript:Vigun07g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSTTLSPILSSSSSSSSSQNQTPKIQLLNLDSLSVQTPTYTSLRDVLPYSGAAVNSPAANHYHVPIRNRLVKQAAWAYLQPMSTSPSGGPSGPNFFRRNPLATCLSFIYHHILPSLTRTLHRILHIFPCFLPVIL >Vigun04g175800.1.v1.2 pep primary_assembly:ASM411807v1:4:39987248:39990680:1 gene:Vigun04g175800.v1.2 transcript:Vigun04g175800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLIKNSVQEKMTHENTVGVEIDYEYDDCNNSKRPKMTSKVWEEMQRIQTIEGSKVLCRHCGKLLQDNCGTSHLKRHLMICPKRPKRLDATTQDSMASGCFRALPSSARESGLNTVLMVRPLKIEPESQVPYFFPTSNNRVPTIASTGNAPSSIQELRAKTSSPLMLPTIESPKNQVELALDDVEMKAFYASLDAETSVMSPSQDITVVTELSNSTPSEETKKALKTLQDLLSKDFTDLLHTGQSGTIKSSIEYLAKLSADDGISAEMRLLILEVSREFTRWSCDYNDANRKIESANTNILKADKLEENLEANKKEFKEVSSLENELSNQLSCLEKRKKELEEQINAIKANISVFQSAKVTSTKRKREAFEEAKILKAQRDELKEQVPHLKNELEVAKKNQAHIRAEWSKLGEKFNKSLNGMNHEENLDGKSVQENSI >Vigun09g070500.2.v1.2 pep primary_assembly:ASM411807v1:9:7676264:7684238:-1 gene:Vigun09g070500.v1.2 transcript:Vigun09g070500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSITSKEAQVSKRVSHDHFFLWREFVWGAVAGAFGEGMMHPVDTIKTRLQSQAILNGLQKNILQMVRYVWQVDGLKGFYRGAIPGITGSLATGATYFGVIESTKKWIEDSHPSLRGHWANFIAGAIGDTLGSFVYVPCEVIKQRMQVQGTLTSWSSVAVKNGNAIKPGPQIYGYYTGMIHAGFSIWKTQGLKGLYAGYFSTLARDVPFAGLMVMFYEGLKDVKEYVEQRGISSPNWRVNHSLEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGSTLRYTGWLDAMCSIWAAEGTKGIFRGSIPRIAWYIPASALTFMAVEFLRDHFNERVPNDKLRDVPRLSVDKKSLQEVA >Vigun09g070500.1.v1.2 pep primary_assembly:ASM411807v1:9:7676264:7684238:-1 gene:Vigun09g070500.v1.2 transcript:Vigun09g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSITSKEAQVSKRVSHDHFFLWREFVWGAVAGAFGEGMMHPVDTIKTRLQSQAILNGLQNQKNILQMVRYVWQVDGLKGFYRGAIPGITGSLATGATYFGVIESTKKWIEDSHPSLRGHWANFIAGAIGDTLGSFVYVPCEVIKQRMQVQGTLTSWSSVAVKNGNAIKPGPQIYGYYTGMIHAGFSIWKTQGLKGLYAGYFSTLARDVPFAGLMVMFYEGLKDVKEYVEQRGISSPNWRVNHSLEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGSTLRYTGWLDAMCSIWAAEGTKGIFRGSIPRIAWYIPASALTFMAVEFLRDHFNERVPNDKLRDVPRLSVDKKSLQEVA >Vigun09g218400.2.v1.2 pep primary_assembly:ASM411807v1:9:39205552:39210046:-1 gene:Vigun09g218400.v1.2 transcript:Vigun09g218400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANERDLFSAEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLLTIPVLLVVFSAEVGSLSKEDLWKKLWEDARYDLATVLASFGVFVFTLSVYFMSRPRPIYLIDFACFKPDDELKVSREELMEVARKSGKFDEESLQFQKRMLMSSGIGDETYIPKAVVASSENTATMKEGRAEASMVMFGALDELFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGVIAVDLAKDILQANPNNYAVVVSTEMVGYNWYQGKDRSMLIPNCFFRMGCSAVLLSNRRRDYSRAKYRLEHIVRTHKGADDRSFRCVYQEEDEQKLKGLKISKDLIEIGGDALKTNITTLGPLVLPFSEQLLFFGTLVWRHLFGSKTDGTSPSMKKPYIPDYKLAFEHFCVHAASKTILDELQRNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKESVRRGDRVWQLAFGSGFKCNSVVWRAMRRVTNPSRNPWLDCINRYPATLN >Vigun09g218400.3.v1.2 pep primary_assembly:ASM411807v1:9:39205525:39210275:-1 gene:Vigun09g218400.v1.2 transcript:Vigun09g218400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANERDLFSAEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLLTIPVLLVVFSAEVGSLSKEDLWKKLWEDARYDLATVLASFGVFVFTLSVYFMSRPRPIYLIDFACFKPDDELKVSREELMEVARKSGKFDEESLQFQKRMLMSSGIGDETYIPKAVVASSENTATMKEGRAEASMVMFGALDELFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGVIAVDLAKDILQANPNNYAVVVSTEMVGYNWYQGKDRSMLIPNCFFRMGCSAVLLSNRRRDYSRAKYRLEHIVRTHKGADDRSFRCVYQEEDEQKLKGLKISKDLIEIGGDALKTNITTLGPLVLPFSEQLLFFGTLVWRHLFGSKTDGTSPSMKKPYIPDYKLAFEHFCVHAASKTILDELQRNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKESVRRGDRVWQLAFGSGFKCNSVVWRAMRRVTNPSRNPWLDCINRYPATLN >Vigun09g218400.4.v1.2 pep primary_assembly:ASM411807v1:9:39205613:39210046:-1 gene:Vigun09g218400.v1.2 transcript:Vigun09g218400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANERDLFSAEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLLTIPVLLVVFSAEVGSLSKEDLWKKLWEDARYDLATVLASFGVFVFTLSVYFMSRPRPIYLIDFACFKPDDELKVSREELMEVARKSGKFDEESLQFQKRMLMSSGIGDETYIPKAVVASSENTATMKEGRAEASMVMFGALDELFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGVIAVDLAKDILQANPNNYAVVVSTEMVGYNWYQGKDRSMLIPNCFFRMGCSAVLLSNRRRDYSRAKYRLEHIVRTHKGADDRSFRCVYQEEDEQKLKGLKISKDLIEIGGDALKTNITTLGPLVLPFSEQLLFFGTLVWRHLFGSKTDGTSPSMKKPYIPDYKLAFEHFCVHAASKTILDELQRNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKESVRRGDRVWQLAFGSGFKCNSVVWRAMRRVTNPSRNPWLDCINRYPATLN >Vigun07g265100.1.v1.2 pep primary_assembly:ASM411807v1:7:38068899:38073725:-1 gene:Vigun07g265100.v1.2 transcript:Vigun07g265100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGMHTIRSHGTRVARIHMHDWLILLLLVIIDAVLNIIEPFHRFVGEGMMTDLRYPLKGNTIPFWAVPIVAILLPLAVFLVYYFIRKDVYDFHHAILGLLFSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKGVFDPVTSDVRCTGDKGVIKEGHKSFPSGHTSWSFAGLVYLSWYLSGKIRVFDRRGHVAKLCLVFLPILVAAMIAVSRVDDYWHHWQDVFAGGLIGTTIASFCYLQFYPPPYDLDGWGPHAYFQMLAESRNGSQPSTVNNEIHHVQSSELQAVSVYIPPQHDADTRVNSWDSSPMLGASQNVRTH >Vigun05g145200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19695279:19697914:-1 gene:Vigun05g145200.v1.2 transcript:Vigun05g145200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRLCIVLPPNSGEMVVPLEKGLQQEPFQTPKKQVVEAKHPHRACGGQVLASLRDVLGKFYDSGWWRICQKGEHKEKHDCGVLFHDMDGVQVSVNIGRDNPRIFSYAELFIGSNGFSEDQVLGSGGFGRVYKAVLPSDGTVVAVKCCLAGKGKQFEKSFAAELTAVADLRHKNLVRLRGWCVNEDQLHLVYDYMPNRSLDRVLFRRHENLKGKPLQWGQRVKIVKGLAAALYYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGMARWLEHELEYEYKYKNRKTTSIRSDHFRLGETSRVGGTIGYLPPESLQKPSNATSKFDVFSFGIVVLEVASGRRAIDLTQTDEQMILLDWVRRLSDEGKLLEAADTRLPDGSFILSEMQHFIHTGLLCTLHDPQLRPSMKWVVEALSDFSFKLPPLPSFLSHPLYISLSSPSDTSHSPSSTSGTSSTTDNASITTNNTSNYVTAAGQTVYVTAEYKNSEIVSSKSMHHQRPFPVVETPREISYKEIVSATDNFSDSRRVAELDFGTAYHGILDDKCHVLVKRLGMKTCPALRDRFSNELRNLGRLRHRNLVQLRGWCTEQGEMLVIYDYSASRILSQLLMHHNNGTRSGASFLQWHHRYNIVKALASAVLYLHEEWDEQVIHRNITSSAVILEQDMNPRLSSFALAEFLSRNEHGHHVVADTRKSVRGIFGYMSPEYVESGEATTEADVYSFGVVVLEVVSGQMAVDFRQPEVLLVKKVHEFEMRKRPLKELADIRLNGEYNDQELMRLVRLGIACTSCNPQLRPSMRQIVSILDGNDKLLVQNSKESSEEWRQINYCSLSMVKRIQALGIQ >Vigun07g213000.4.v1.2 pep primary_assembly:ASM411807v1:7:33426995:33435972:-1 gene:Vigun07g213000.v1.2 transcript:Vigun07g213000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTNLLFFLLLFASTISSSLAKGSLQQTLLPSEEFNDRSNASRTRHLLENESQAQTSVDLVQGYMSNDDLEWAIKNFGQRCSNISRIYSIGNSVNGFPLLVIEISDKPGEEESEPAFKFSCSLLGTLMHSACINLLNWQKFYGYIGNVHGDEPVGRELLIILANWLCDNYLKDPLATLIVENVHLHLLPSMNPDGFSLRKRGNANNIDLNRDFPDQFFSVNDDEDSRQPETRAIMNWLKDIRFTGSATLHGGALVANYPWDGSDDRRTKYYGCPDDDAFRFMASIYSHSHYNMSSSKEFLSGITNGAAWYPLYGGMQDWNYIHAGCFELTLEVSDNKWPNATELPILWKYNRMSLLNLVASLAKTGVHGRIYSSVDGRPLPGSVTVTGINYTVTAGKTLGDYHRFLAPRDKYEVVATMIGYKSKNTTIWLDDGPVSLDFVLDPEVSVKGSVLQNVYDCDCGSKSTEEFVQFLWGAHLEVFFILIVILGFLLFLFRRRAKVKVSTSRQLSGSKKLSRFKVNEMLFVLKFCCILRFDSFRWLKCIHITK >Vigun07g213000.3.v1.2 pep primary_assembly:ASM411807v1:7:33426800:33436004:-1 gene:Vigun07g213000.v1.2 transcript:Vigun07g213000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTNLLFFLLLFASTISSSLAKGSLQQTLLPSEEFNDRSNASRTRHLLENESQAQTSVDLVQGYMSNDDLEWAIKNFGQRCSNISRIYSIGNSVNGFPLLVIEISDKPGEEESEPAFKYIGNVHGDEPVGRELLIILANWLCDNYLKDPLATLIVENVHLHLLPSMNPDGFSLRKRGNANNIDLNRDFPDQFFSVNDDEDSRQPETRAIMNWLKDIRFTGSATLHGGALVANYPWDGSDDRRTKYYGCPDDDAFRFMASIYSHSHYNMSSSKEFLSGITNGAAWYPLYGGMQDWNYIHAGCFELTLEVSDNKWPNATELPILWKYNRMSLLNLVASLAKECMEEYILQSMEGHYRAL >Vigun07g213000.2.v1.2 pep primary_assembly:ASM411807v1:7:33426936:33436002:-1 gene:Vigun07g213000.v1.2 transcript:Vigun07g213000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQKFWTKMQQHFQDIQGFLEWAISIGNSVNGFPLLVIEISDKPGEEESEPAFKYIGNVHGDEPVGRELLIILANWLCDNYLKDPLATLIVENVHLHLLPSMNPDGFSLRKRGNANNIDLNRDFPDQFFSVNDDEDSRQPETRAIMNWLKDIRFTGSATLHGGALVANYPWDGSDDRRTKYYGCPDDDAFRFMASIYSHSHYNMSSSKEFLSGITNGAAWYPLYGGMQDWNYIHAGCFELTLEVSDNKWPNATELPILWKYNRMSLLNLVASLAKTGVHGRIYSSVDGRPLPGSVTVTGINYTVTAGKTLGDYHRFLAPRDKYEVVATMIGYKSKNTTIWLDDGPVSLDFVLDPEVSVKGSVLQNVYDCDCGSKSTEEFVQFLWGAHLEVFFILIVILGFLLFLFRRRAKVKVSTSRQLSGSKKLSRFKVNEMLFVLKFCCILRFDSFRWLKCIHITK >Vigun07g213000.1.v1.2 pep primary_assembly:ASM411807v1:7:33426801:33436003:-1 gene:Vigun07g213000.v1.2 transcript:Vigun07g213000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTNLLFFLLLFASTISSSLAKGSLQQTLLPSEEFNDRSNASRTRHLLENESQAQTSVDLVQGYMSNDDLEWAIKNFGQRCSNISRIYSIGNSVNGFPLLVIEISDKPGEEESEPAFKYIGNVHGDEPVGRELLIILANWLCDNYLKDPLATLIVENVHLHLLPSMNPDGFSLRKRGNANNIDLNRDFPDQFFSVNDDEDSRQPETRAIMNWLKDIRFTGSATLHGGALVANYPWDGSDDRRTKYYGCPDDDAFRFMASIYSHSHYNMSSSKEFLSGITNGAAWYPLYGGMQDWNYIHAGCFELTLEVSDNKWPNATELPILWKYNRMSLLNLVASLAKTGVHGRIYSSVDGRPLPGSVTVTGINYTVTAGKTLGDYHRFLAPRDKYEVVATMIGYKSKNTTIWLDDGPVSLDFVLDPEVSVKGSVLQNVYDCDCGSKSTEEFVQFLWGAHLEVFFILIVILGFLLFLFRRRAKVKVSTSRQLSGSKKLSRFKVNEMLFVLKFCCILRFDSFRWLKCIHITK >Vigun05g110150.1.v1.2 pep primary_assembly:ASM411807v1:5:11404313:11404974:1 gene:Vigun05g110150.v1.2 transcript:Vigun05g110150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIHKQRVDIFLFKWCSVHSVSINVEIVPAVHSRELKQNCGCRA >Vigun10g027800.2.v1.2 pep primary_assembly:ASM411807v1:10:3377668:3389882:1 gene:Vigun10g027800.v1.2 transcript:Vigun10g027800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINKNIKSPVRAWHVLKWFSYKRHQPPHFGFSLVLPPEHRKSSRSNLPFAAIMASFSESDRQQVLVERKSHARVLTLNRPKQLNALSFYMVSRLLEVFTEDEENSDIKLVVVKGNGRSFCAGGDVAAVAREGSKGDWKSGAKFFHTEYTMNYLMATYSKPQVSILNGIVMGGGAGASVHGRFRVVTENTVFAMPETALGLFPDVGAPYFLSRLPGFFGEYVGLTGARLNGAEMLVCGLATHFVPSSKLSLLEEALCKVQTSDPNAVSAIIDKYSEQPFLKDDSVYHRMDVINKCFSRKSVEGIISSLEIEATGKADHWISETVQTLKKASPTSLKIFLRLIREIRLLGIGPCLVLEYRIVCHILKGHYSKDFFEGCRAILLDKDKNPKWEPSKLELLSDSDVDRYFSKLDDEEWEELELPKRFKNLPTYAIAKL >Vigun09g173600.1.v1.2 pep primary_assembly:ASM411807v1:9:34437793:34442626:1 gene:Vigun09g173600.v1.2 transcript:Vigun09g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMMDTTWSYQASTAEPLTFVPNPLPPLQSNIPVLSEQQLNNPPSSSSGEGLMLDGAVPSLQTQDMTLAGYFDQLSELQNANSVPIGNNNYNVINTNQSSSYPALLHQNPGRSANSETGQWCGPFAPHVRSTLITQNEDTINSRTAPEQFQFSASDVHGAQYAPSYTQSPQPFTQVQNQIDHASNLQQVLDLHSQDSRRSVYPTRPRSEPFSNLQPGSVTMQPNTFQPGYRSMTPNLAAPSGSSVARYGQNPSLFATSSSSFGSGSSVLPNYPHLYDHHHHHLPQVMMPSVQGQQSSSSMQQWTQMGDYNQRMTTSNLQRQTSTSSQTLISRFLGHSSSSLTEGTSSRVPNSREVGYASVLRLQQGATGLANEALTSRDRGTQPGTSSDEIDPSDAIQRILNMNPSRMISRSIPAITDQTGGFPSERVPYVPPRRGRPPKRREPIESYTERAKSLRLPSETARRGSIPSQRPPQRPTSGIVIRESNNVVPPNPPSVPRHFDNAVYDMEFERRGQPLDPHLRFFRQPPPER >Vigun01g200200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37658461:37659282:-1 gene:Vigun01g200200.v1.2 transcript:Vigun01g200200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKRKRKDEEKEEEEEEEMMKMEEFYALLRRFRDARDRRRKELEEIEKSEKKKKMATEQHSGWVPSFEWEDFTNEVEFRGQQPLHFTTPSTASPSPRTTRKQRKNDQHHLHHNSLDLNLAL >VigunL025300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:90809:91213:1 gene:VigunL025300.v1.2 transcript:VigunL025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps8 MGKDTIANIITYIRNADMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFFNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGEVLCYIW >Vigun03g397900.1.v1.2 pep primary_assembly:ASM411807v1:3:60420610:60423204:-1 gene:Vigun03g397900.v1.2 transcript:Vigun03g397900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALQNNGGVSIGLSSLAHCVAIYDQDFPAEDSDSSSDSSIGRNSVSSEDSSDHEDATEVEVQSSLKGPLDTMNDLEEDLPVKKGISKFYSGKSKSFTSLADAAAASCMEEIVKPEDPYAKKRKNVIARNASIERSRSCASNIGGILKRASNIGRGTSCLNLHSSEEGSSSSSISPPCPLPPVHPRANNRSSLPQPSSVTRSSPWRSYSWSDLNAIAESHDISGLAICSGN >Vigun09g049100.1.v1.2 pep primary_assembly:ASM411807v1:9:4802049:4807350:-1 gene:Vigun09g049100.v1.2 transcript:Vigun09g049100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVAEADVSAFRECLSLSWKNPFVLRLALSAGIGGFLFGYDTGVISGALLYIRDDFKEVDTKTWLQEAIVSMALAGAIIGASVGGWINDRFGRKKSIVVADILFFIGSIVMASAMNPATLIVGRVFVGLGVGMASMASPLYISEASPTRVRGALVSLNGLLITGGQFLSYVINLAFTTAPGTWRWMLGVAAIPALIQVILMALLPESPRWLFRKGKEEEAKEILRKIYPPQQVEDEMNALKESVEMEVREAAGSEKVSIMKLLKTKSVRRGLYAGMGLQIFQQFVGINTVMYYSPTIVQLAGFASNRVALLLSLVTAGLNAFGSILSIYFIDKTGRRKLVLLSLCGVVVSLVVLTVVFHETTTHSPMVSSIETSHFNSSTCPSYSKAINPSEWDCMTCLKASPECGFCASRANKVLPGACLISNERTKDECQKEERLWYSRGCPSKYGWLALIGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTSNWVSNLIVAQSFLSLTQAIGTSSTFMIFIFITVAAIFFVIVFVPETKGLPIEQVENMLETRSFNFKFWQTSPHSPEGPEQKHHQSL >Vigun09g032000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2742103:2743483:1 gene:Vigun09g032000.v1.2 transcript:Vigun09g032000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQILEEFRPITPIRTVVPTTRTLKHDLGTTMEMLNEDVVEECHTPTSPSQKLRTPLLCPPAPKKPRRVPPRHNSDPPSSQPFFSVSHDLASIFVLRKPNLPTTTTTSTNQPLF >Vigun10g042000.3.v1.2 pep primary_assembly:ASM411807v1:10:5817943:5820660:-1 gene:Vigun10g042000.v1.2 transcript:Vigun10g042000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFASSSSKRQRRYDVLINFSGEDIRKKFVSHLDSALSAVGLTTIHHHHNAVHIQQPILKLCRVAIVVFTQTYSESAWCLHQLQQIIQWHETYCQHVLPVYYEIRPSDVRLQKGNFGETLKATAQQAFSGQQLEHGMSMWNLALTKAANLFGWDDSNYRSDAEVVDNIVKTVLHLPALSATKFPVGLQSHMEDLIQTIKNKSSEVCIIGIYGGGGLGKTTLAKAIYHQIHGTFKEKCFIEDVAQVSKIRGHAHLQEQLLSNVLKTKVEIHSVEMGGRMIRESLSGKRVLIVLDDMNEYSTLLDLCRCRARFSEGTVIIITTTNEGLLIRHPVDSVFRIERMNAKESLELLSWHAFREAKPKEDYNDLARKVVTYSGELPLLLEVTGSSLFERTKREWNGVLFEFAKRPQNNVPRKLKISFDVLCNQIEKDLFLDVCRFFVGKGRVYATNILNGFGVDVDNGIRVLTERSLIQVEKNNKLGMHSLLREMGREIIREITGKEPGKISQLWLDKDVEYVLTENTFFSSQRTKVIQRLPVKMLLNMRDFFDPYPEVREPLLKLTENSEYLSKKLRWISLQGFSSKYLPNDFYLHDAMVIDLKHSLLRVVWKETQILRSLKVLNLSHSMHLIETPDFSRIQCLEQLILKDCPRLRKVHQSIGCLYNLILLNLKDCTSLRNLPVGIYMLKSLRTLILSGCSKIDLSEKDIVL >Vigun09g177700.6.v1.2 pep primary_assembly:ASM411807v1:9:35001399:35004481:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun09g177700.4.v1.2 pep primary_assembly:ASM411807v1:9:35001417:35004475:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun09g177700.3.v1.2 pep primary_assembly:ASM411807v1:9:35001399:35004481:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun09g177700.1.v1.2 pep primary_assembly:ASM411807v1:9:35001420:35004475:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun09g177700.5.v1.2 pep primary_assembly:ASM411807v1:9:35001569:35004475:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun09g177700.2.v1.2 pep primary_assembly:ASM411807v1:9:35001289:35004475:1 gene:Vigun09g177700.v1.2 transcript:Vigun09g177700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEFRSWAELIPDALGVIFTNLSLQDRVTVIPRVCKSWANAVTGPYCWQEIDIKDWSSRCQPDQLDRLLEMLIRRSCGSLRKLSVSGLQTESIFTFIAENACSLQTLRLPRSSMSDAIVEQIAGRLSMISFLDVSYCIKIGPYALEMIGKNCKLLEGLCRNMHPLDTAGKPYQDDEAYAISSTMPKLKHLEMAYHLISTSGVLQILANCPKLEFLDQRGCWGVTLDNMFLKQKFPKLKVLGPFVLDTYESDGWDDFSDVSDASEYLAWDFVAGGMGEYYVDDSDSYDGMWDDEGRLDELQFGFYEGIEDAGMYWPPSP >Vigun03g400400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60729110:60730070:-1 gene:Vigun03g400400.v1.2 transcript:Vigun03g400400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVSPLEAQAFNYLTFGFITLLNNFWTWLAVTFWRNPPPKSEFLPPPDHPILDESDPLAATAPACVPSPTVVGNGPDVDVDGVRKGKFRLYYESERECEGEETVTEEWVEIDGGGEWWESWERLLRMRMGENEKGWYTCQDLAALNGGVVRFWDGGFSFGSRKHSTHCVLIW >Vigun07g029600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2689154:2691270:1 gene:Vigun07g029600.v1.2 transcript:Vigun07g029600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDETGCQAPERPILCINNCGFFGRAATMNMCSKCYKDMLLKQEQDKLAAASVENIVNGGSGCSGKQAVTVGSVDVEVGNVEMKTVSAEISGDSSSAQNLEMKAKTGPSRCATCRKRVGLTGFSCKCGNLFCAMHRYSDKHDCPFDYQSVGREAIAKANPAIKADKLDKI >Vigun07g029600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2689321:2691270:1 gene:Vigun07g029600.v1.2 transcript:Vigun07g029600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDETGCQAPERPILCINNCGFFGRAATMNMCSKCYKDMLLKQEQDKLAAASVENIVNGGSGCSGKQAVTVGSVDVEVGNVEMKTVSAEISGDSSSAQNLEMKAKTGPSRCATCRKRVGLTGFSCKCGNLFCAMHRYSDKHDCPFDYQSVGREAIAKANPAIKADKLDKI >Vigun07g029600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2689360:2691270:1 gene:Vigun07g029600.v1.2 transcript:Vigun07g029600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDETGCQAPERPILCINNCGFFGRAATMNMCSKCYKDMLLKQEQDKLAAASVENIVNGGSGCSGKQAVTVGSVDVEVGNVEMKTVSAEISGDSSSAQNLEMKAKTGPSRCATCRKRVGLTGFSCKCGNLFCAMHRYSDKHDCPFDYQSVGREAIAKANPAIKADKLDKI >Vigun05g109500.1.v1.2 pep primary_assembly:ASM411807v1:5:11257693:11261032:1 gene:Vigun05g109500.v1.2 transcript:Vigun05g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQSKVRKPEVFGKGKVTPNQIAFIVDRYLCDNNFSSTRSSFRTEASSLIANSPIHEAPKSLLTLGEMLDEYICLKEQKVMLDQERVVVEQEKKRVQMLLQGMQNVMTAYNASGNLSAAAPAAKSTVAAITQPTYTLKSQPGIHTSVQSKSNTLPLPQSSNSNARSGNISTQTLTVSDRKRKDTKAVDDPLTAKKPRGRSSNRKIVVQGQTALQQSDNAVNTMVAQPSAIQSSPENCIPRESQPQGSNVAKCLFNQSTSSVPSNSPVPKTPPRTKSSHSDTQISPAEISSVAVTPSRCTVISTKRVMVSPAKQMAYIEMSRCISPVKTNSEKINKRDHVRSRLNFDAADMPGSSYNPLSNEISTSESEKELDIFDIDFPNFDALGMDFSFTEMLNDLDFSCEGIDFSCHPTPSPSMDNASGSSHECNDNHATPEFSTVAEVLCEKDIKILGPDCLSAMKSVTKSITVISPEKNHQQSVDKEIVHKK >Vigun07g259200.1.v1.2 pep primary_assembly:ASM411807v1:7:37608556:37616214:-1 gene:Vigun07g259200.v1.2 transcript:Vigun07g259200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPDNVSNSHPIKDPPTFSPDKERDGQASAASVSEQDNSAHETTPMSSPPGISSWAKSLKISQSFSRSQDDPSGGNVEKSTFARFTSNLGLRLSPKSPGSDDSSNETAVQSNLFGSITKGLVDTSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLVISFCQSAYSWLKQDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESMDYYNQKRCVDGKGLVLPSQIRYVKYFERVLTYFNGENPPARRCMLRGFRLHRCPYWIRPSVTVSDHSGVLFSTKKHPRTKDLLPEDFWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKVLNTGDLDGFDKRKLPSPGFMVEVVLVDHNASVETSQPKTTTTKSDESSSNSPTGPAPVERSTPSQNAVKESESHNNDKDDVFSDGEAEQSASSATKQTNPPSEAVETVKNDTRGSESDRISNQIANLSRATEQVSLGNKSSSTSIHHASEPRSNVDGKTVSSIEVPSAESEFKAMAADASVFTFGDDEDYESD >Vigun07g098400.1.v1.2 pep primary_assembly:ASM411807v1:7:17192193:17195902:1 gene:Vigun07g098400.v1.2 transcript:Vigun07g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSNIEETLKRLVTQLKKTPQEVFDALMNQTVDLVLIANPTQSIHKSLLQKHGRYICYITLTNICYIMKDGTEYAEKNGMFFIETSTKTADNINELFEHLVLQLMEFLVKELVWRVFLVSWLVFGELEQGIYHLN >Vigun07g164000.1.v1.2 pep primary_assembly:ASM411807v1:7:27601454:27605435:-1 gene:Vigun07g164000.v1.2 transcript:Vigun07g164000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLDYYNKQELSWHTSCYNSREKKNFFFSTPPLQSMADTYVLATQKRNRSDEPDGGDSKKLMLHAEADPAAALASARHEFGEHGGVNMSIEASATFTVMEPETMRRMFTGELGPDRDFFIYSRHFNPTVLNLSRLMAALEGTEAAYCTASGMSAISVVLMQLCSQGDHVVASRTLYGGTHALLSHFLPRTCGTTTSFVEVTDLTMVDEAMVEGKTKVLYFESISNPTLTVANIPELCRMARRKGVTVVVDNTFAPMVLSPARLGADVVVHSISKFISGGADIIAGAVCGPASLVNKMMDLTQGALMLLGPTMNAKVAFELSERIPHLGLRMKEHSQRALMFARRLKSLGLRVIYPGLEEHPQHQLLKSMHNAEYGFGGLICVDMETEERANRLMNHLQNYGQFGFMAVSLGYYETLMSCSGSSTSSELSSEEQKLAGISPGLVRMSIGYIGTLEQKWAQLEKALTRLNEPPLKN >Vigun01g092800.2.v1.2 pep primary_assembly:ASM411807v1:1:25429473:25432233:-1 gene:Vigun01g092800.v1.2 transcript:Vigun01g092800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPKPLNYIPEVILKKRKNREAWALRKKEQFQQKKFQSIKKPEDFIHEYRNQEVDLIRMKRRVKRKLPTVNSNLLIIIRIQGKKDMHPRTRKVMYSLGLRSMFSAVLVKPSEGVMAKLQRVEPYVTYGYPNLKSIKELIYKKGLARIGGQRVPLTDNNVIEQELGKFGIVCIEDMVHQLYNAGPHFKEVVRFMWPFVLNKPAEGLKGSKALFKEGGDTGNREDLINELMDKMN >Vigun01g092800.1.v1.2 pep primary_assembly:ASM411807v1:1:25429468:25432330:-1 gene:Vigun01g092800.v1.2 transcript:Vigun01g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPKPLNYIPEVILKKRKNREAWALRKKEQFQQKKFQSIKKPEDFIHEYRNQEVDLIRMKRRVKRKLPTVNSNLLIIIRIQGKKDMHPRTRKVMYSLGLRSMFSAVLVKPSEGVMAKLQRVEPYVTYGYPNLKSIKELIYKKGLARIGGQRVPLTDNNVIEQELGKFGIVCIEDMVHQLYNAGPHFKEVVRFMWPFVLNKPAEGLKGSKALFKEGGDTGNREDLINELMDKMN >Vigun05g149700.1.v1.2 pep primary_assembly:ASM411807v1:5:21895307:21901718:1 gene:Vigun05g149700.v1.2 transcript:Vigun05g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFIILGMCVVASSPCVWGRMELHAGPRFNVIDYGAMGNAETDDSQAFLKAWKDACNASYGIPTLLIPKEKTFMLQPLLFSGPCNPPIVHIKLKGNIIAPKNIKGWKLGKGTRKAWIGFKRINGLVIRGGGQIDAQGAPWWNAYFNSEINRPTALHFSECNNLFLNGLTHINSPKNHISINRCNGSFISKLHFIAPDQSPNTDGIDISSSSNILIKNSKIETGDDCIAINHGSTFISIIGVYCGPGHGISIGSLGKNGAHHTVEEIYVRNCTFNRTTNGARIKTWIGGQGYARKIRFKDIIVVEATNPVIIDQQYNPYDSAEAVRVSDVSYDNVRGTSSSPHAIKLHCDKNIGCTNIVLKGINITTIAGNKTYASCQNVKGVCSFCNPHVPCLSHS >Vigun07g224700.3.v1.2 pep primary_assembly:ASM411807v1:7:34653798:34657243:-1 gene:Vigun07g224700.v1.2 transcript:Vigun07g224700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPENVGGYCVIKERMTQALRYFKELTELNVLAQVWAPVRNGNRYVLTTSGQPFVLDPHSNGLHQYRTVSLMYVFAVDGEDDGSLGLPGRVFQQKLPEWTPNVLYYSSKEYPRRDHALHYNVRGSLALPVFEPALQSCVGVLELIMTSQKINYAPEVDKICKALETVNLRSSEILDHPYTQICNEGRQNALSEILEILTVVCENHNLPLAQTWIPCKHRSVLAQGGGVKKICSSFDGSCMGKVCMSTTDVAFYIIDAHLWGFREACVEHHLQQSQGVAGRAFLSHSMCFCSNITQFCKTDYPLVHYALMFGLTSCFAICLRSSHTGTDDYVLEFFLPPRVTDFHEQKTLLASILATMKQHFQSLKIASGVELEDGSIEIIEATIERIHTRLESIPIAPSIRSPHRPGTSPNMEEEVPRDPSEKHILKYCNGINHEANLSDKAGGKIDHMTTLETKTSKKPLERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFGLNSLSTSPLPIAVGSLPEPSTPNKFTQPVSMSIRPSEPQMKVNESKELETNREAGMEDQLVGRIHNLERVTNDKGGSEVGKEPKRTRTGSGSSEDSTNPTSHGSWHDSPPNESSPVKDIFITSNHDQCAVLRRSPESTPNKPTPFPVPEFMAAELQEPFGGMLIEDAGSSKDLRNLCPSVAEAILEDLVPEACGTNPPALDLSPKQSMGTPNKAVTPFAATKEMKTVTIKATYREDIIRFRVSLTCGIVELKEEVAKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECMDVSRSSGSNIIRVLVHDITSNLGSSCESSGE >Vigun07g224700.1.v1.2 pep primary_assembly:ASM411807v1:7:34653798:34658374:-1 gene:Vigun07g224700.v1.2 transcript:Vigun07g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEEENTDCVPRSKPAEEGGCTMDFDLDLETSWPLDHMAFVSNPMSPFLFSSNSDQPYSPLWAFSDGEDPKFPASAFSDCHKIFPCDSNSIAEKPEENDESKKLLPPLAPMPLPENVGGYCVIKERMTQALRYFKELTELNVLAQVWAPVRNGNRYVLTTSGQPFVLDPHSNGLHQYRTVSLMYVFAVDGEDDGSLGLPGRVFQQKLPEWTPNVLYYSSKEYPRRDHALHYNVRGSLALPVFEPALQSCVGVLELIMTSQKINYAPEVDKICKALETVNLRSSEILDHPYTQICNEGRQNALSEILEILTVVCENHNLPLAQTWIPCKHRSVLAQGGGVKKICSSFDGSCMGKVCMSTTDVAFYIIDAHLWGFREACVEHHLQQSQGVAGRAFLSHSMCFCSNITQFCKTDYPLVHYALMFGLTSCFAICLRSSHTGTDDYVLEFFLPPRVTDFHEQKTLLASILATMKQHFQSLKIASGVELEDGSIEIIEATIERIHTRLESIPIAPSIRSPHRPGTSPNMEEEVPRDPSEKHILKYCNGINHEANLSDKAGGKIDHMTTLETKTSKKPLERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFGLNSLSTSPLPIAVGSLPEPSTPNKFTQPVSMSIRPSEPQMKVNESKELETNREAGMEDQLVGRIHNLERVTNDKGGSEVGKEPKRTRTGSGSSEDSTNPTSHGSWHDSPPNESSPVKDIFITSNHDQCAVLRRSPESTPNKPTPFPVPEFMAAELQEPFGGMLIEDAGSSKDLRNLCPSVAEAILEDLVPEACGTNPPALDLSPKQSMGTPNKAVTPFAATKEMKTVTIKATYREDIIRFRVSLTCGIVELKEEVAKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECMDVSRSSGSNIIRVLVHDITSNLGSSCESSGE >Vigun07g224700.2.v1.2 pep primary_assembly:ASM411807v1:7:34653798:34658374:-1 gene:Vigun07g224700.v1.2 transcript:Vigun07g224700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPENVGGYCVIKERMTQALRYFKELTELNVLAQVWAPVRNGNRYVLTTSGQPFVLDPHSNGLHQYRTVSLMYVFAVDGEDDGSLGLPGRVFQQKLPEWTPNVLYYSSKEYPRRDHALHYNVRGSLALPVFEPALQSCVGVLELIMTSQKINYAPEVDKICKALETVNLRSSEILDHPYTQICNEGRQNALSEILEILTVVCENHNLPLAQTWIPCKHRSVLAQGGGVKKICSSFDGSCMGKVCMSTTDVAFYIIDAHLWGFREACVEHHLQQSQGVAGRAFLSHSMCFCSNITQFCKTDYPLVHYALMFGLTSCFAICLRSSHTGTDDYVLEFFLPPRVTDFHEQKTLLASILATMKQHFQSLKIASGVELEDGSIEIIEATIERIHTRLESIPIAPSIRSPHRPGTSPNMEEEVPRDPSEKHILKYCNGINHEANLSDKAGGKIDHMTTLETKTSKKPLERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFGLNSLSTSPLPIAVGSLPEPSTPNKFTQPVSMSIRPSEPQMKVNESKELETNREAGMEDQLVGRIHNLERVTNDKGGSEVGKEPKRTRTGSGSSEDSTNPTSHGSWHDSPPNESSPVKDIFITSNHDQCAVLRRSPESTPNKPTPFPVPEFMAAELQEPFGGMLIEDAGSSKDLRNLCPSVAEAILEDLVPEACGTNPPALDLSPKQSMGTPNKAVTPFAATKEMKTVTIKATYREDIIRFRVSLTCGIVELKEEVAKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECMDVSRSSGSNIIRVLVHDITSNLGSSCESSGE >Vigun02g090600.1.v1.2 pep primary_assembly:ASM411807v1:2:24547819:24550593:1 gene:Vigun02g090600.v1.2 transcript:Vigun02g090600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQFQILILIFHQIHIRSDERWSKAEAPLRHWRGFKTLKQGVLESTCHTKERHINIRKRKQKNILLFQYSALVFIKDASPNLKMENDQRAGGTQDSSIMKSVDQRVRSKGRNDIMTRRLKNRERQRRYRARKRLEAETKKSFVVEETPTVKVEQPPNGNHNNNFMTRIYCKRDWKKDARRAHVLKHQQMNTITGMPEMSCLAIGNKSETVPEKKEIQSESSSVVSTETPRVVLSRRNWKAEARRKKN >Vigun04g006700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:467759:471498:-1 gene:Vigun04g006700.v1.2 transcript:Vigun04g006700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPNTEYNDTEKQTQGFSTRSTMWDFIREAKSLWEVAFPTALTALIFYARSMVSMLFLGHLGDTELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGAKRPKLLSLTLQRCVLFLTCCSIPISLLWLNMSKIFLILHQDMKITKMAQNYLLYLLPDLVTNSFLHPIRVYLRAQNVTQPVTLASLAGTLLHVGFNFVLVRRGVAGVAAASAASSFSILGLLLLYVWISGVHLDTWSAPSRECFTGWEPLLRLAAPSCVSVCLEWWWYEIMILLCGLLVEPTASVAAMGILIQTTSLIYVFPSSLGLAVSTRVGNLLGENRAPRARMSAVVAVFFAAVMGFSAVIFASSMRRRWGRMFTLDEGILQLTAAALPILGLCELGNCPQTVGCGVVRGTARPNVAANVNLGAFYLVGMPVAVGLAFWLEVGFCGLWLGLLSAQVCCAGLMLYTIGTTDWEYQACRAQLLTAIDDSGNGSDGQKEPLIGVVVDT >Vigun04g006700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:467759:471498:-1 gene:Vigun04g006700.v1.2 transcript:Vigun04g006700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPNTEYNDTEKQTQGFSTRSTMWDFIREAKSLWEVAFPTALTALIFYARSMVSMLFLGHLGDTELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGAKRPKLLSLTLQRCVLFLTCCSIPISLLWLNMSKIFLILHQDMKITKMAQNYLLYLLPDLVTNSFLHPIRVYLRAQNVTQPVTLASLAGTLLHVGFNFVLVRRGVAGVAAASAASSFSILGLLLLYVWISGVHLDTWSAPSRECFTGWEPLLRLAAPSCVSVCLEWWWYEIMILLCGLLVEPTASVAAMGILIQTTSLIYVFPSSLGLAVSTRVGNLLGENRAPRARMSAVVAVFFAAVMGFSAVIFASSMRRRWGRMFTLDEGILQLTAAALPILGLCELGNCPQTVGCGVVRGTARPNVAANVNLGAFYLVGMPVAVGLAFWLEVGFCGLWLGLLSAQVCCAGLMLYTIGTTDWEYQACRAQLLTAIDDSGNGSDGQKEPLIGVVVDT >Vigun10g072200.7.v1.2 pep primary_assembly:ASM411807v1:10:17933924:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.6.v1.2 pep primary_assembly:ASM411807v1:10:17936296:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.2.v1.2 pep primary_assembly:ASM411807v1:10:17936192:17938772:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.4.v1.2 pep primary_assembly:ASM411807v1:10:17936192:17938772:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.3.v1.2 pep primary_assembly:ASM411807v1:10:17936192:17938772:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.10.v1.2 pep primary_assembly:ASM411807v1:10:17933924:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.1.v1.2 pep primary_assembly:ASM411807v1:10:17933924:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.9.v1.2 pep primary_assembly:ASM411807v1:10:17933924:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.11.v1.2 pep primary_assembly:ASM411807v1:10:17936296:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.5.v1.2 pep primary_assembly:ASM411807v1:10:17936192:17938772:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun10g072200.8.v1.2 pep primary_assembly:ASM411807v1:10:17933924:17938771:-1 gene:Vigun10g072200.v1.2 transcript:Vigun10g072200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQKGISSSFTSEFFESIKESHPPPSSAGGWIFGSIFSPPSPKTKVLGRESLRSELSRKIANETRNSKIGIQDHSSKYSGSEAHNTVNKDMSSIYQDQRVQPCHLSSSIHYGGQDICPSPQSIHNEGFNTLPYQNDAGDDESELASRGNWWQGSLYY >Vigun09g074900.1.v1.2 pep primary_assembly:ASM411807v1:9:8429327:8431750:1 gene:Vigun09g074900.v1.2 transcript:Vigun09g074900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLSLFVIIGIIFGIKLEGCQCRVVQFIFGDSLSDVGNNKYLSKSLAQASLPWYGIDFGNGLPNGRFSNGRTVADIIGDNMGLPRPPAFLDPSLTEDVILENGVNYASGGGGILNETGSYFIQRFSLYKQIELFQGTQELIRSRVGKDEAEKFFQGARYVVALGSNDFINNYLMPVYSDSWTYNDETFVDYLIGTLGEQLKLLHGLGARQLMVFGLGPMGCIPLQRVLSTSGDCQDRTNKLAIRFNRASSKLIDELQKQLPNSSYRFGDAYDVVNDVISNPNKYGFQNSDSPCCSFGNIRPALTCIPASKLCKDRSKYVFWDEYHPSDRANELIANELIKKFGFMRVDQTNPPSPSPAIAPSSNH >Vigun05g034650.1.v1.2 pep primary_assembly:ASM411807v1:5:2825696:2826214:1 gene:Vigun05g034650.v1.2 transcript:Vigun05g034650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLCSPSSIHSCFFSPSFCGVVPLTRASALHLVIRPSSVLHSVQWSLSLVLQPFILCSGPSYLCWFILSVVVPGRG >Vigun04g067200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7633604:7635358:1 gene:Vigun04g067200.v1.2 transcript:Vigun04g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTREVSALNYLLPSNPSPYPPNNNFTTLQNNIPTFQFQRFSTQLYGHNHNTTPYDFSPQSSCISSNSTSDEADEHQLTLINERKHRRMISNRESARRSRMRKQKHLDELWSQVMWLRNENHQLIDKLNHVSENHDQVLQENAQLKEQASELRQMIRDMQIHSPSPSFTPFQDHDVPCSTSPFLRSDSSNQSNSCNNNMDLLG >Vigun07g243200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36448302:36450154:1 gene:Vigun07g243200.v1.2 transcript:Vigun07g243200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVCHGLQSHSQLAESIALKLRLPASKPNPPQFFKSSFWDSNSNSNSTFKPHTEENNNSTPRTPSNPTSSWTFLEALSNVSKQPSQEQTTYVHPHQKRSSLTLSPRSLQLCTENLGNESGSDSDESSIDMLSAVSTISGTREQTQEGQTRQLSTARKAKAQNFPPPLTTIRGSEPLRVRPHRENGRLVIEVTKVPPSPLCFKAERSHGRLRLCFLTNPTPSFDPEEEDDAEENEVLTNEKELENEIIGRVKDTEEEEDEETEEEETGDEKEEEDEEEENLGEECAACGCVESDVIVEKYERLRRCREGGDHENNELLNWSDSLCVVTS >VigunL059034.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000261.1:12995:14466:1 gene:VigunL059034.v1.2 transcript:VigunL059034.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHARTPNTMDSWVVGSKGRKVGNEGDLAELRRRQVFGKLESTEANTELQIRPTFWLLASWEAM >Vigun06g122700.2.v1.2 pep primary_assembly:ASM411807v1:6:25020659:25032899:1 gene:Vigun06g122700.v1.2 transcript:Vigun06g122700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGNEHPCYVPPELVNCSSKACSVTHQYYCYLMELKQDYKYEVCVRDIVLAIRSELDPQIVDALSGTSFVVERGKLSLNLTSAKPVRLSPQEVEQCRRFQTTLFRILLKRDDNKLASDSDNFCLGDNPEFDYLLLPATVEHQRPSNSIIDWESVNSCCPFSSESTCGSNCKDHACDVRIKNGSVCSCKLENCVVYTPHSKSFYTMTPVIWDLNGNSTLRYLGRDGTATYKEHFKKKHGIELRFPHQSLLRGRKVFEVGNYLLKDRKNKNKVGEKMGSEELPPELCSVIMSPISICTVYSFSFIPSIMHWLEGLLVAFNLRKMLLDHCTKNDIPIIKVFEAITAKGCQEAYNYENLETLGDSFLKYAVSQQLFKTHQNDREGILSKLREGLISNVALRKFASDKNLPGFIRMEAFDPKQWIIPGDKTKSLLLEEGLVSCGRTSMYVGRKRKIELKKVADVVEALIGAFISTEDEEAALSFINWIGIEVDTSIIPYERHLSTDPENLVDVKFLESRLNNYKFEDPYLLVEALTHGSYKGPEIQTCYERLEFIGDAVLDNLITMHLYKEYFNEKFSPGFLTTMRSISVNNECYALSAIKAKLHKHILCDSVVRKNIEKTMKGVENLSLESTFGWELETYFCPVLADVIESIAGAIFVDSGYKKEIVFESIKPLLKPLVTPKTAKRHPISELQELCQKNQYKLTEHEHPSVRENDETLFKIEVKANRITRTAKASNKDTARKMASKEVLKELQICKSLG >Vigun06g122700.3.v1.2 pep primary_assembly:ASM411807v1:6:25020659:25032815:1 gene:Vigun06g122700.v1.2 transcript:Vigun06g122700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGNEHPCYVPPELVNCSSKACSVTHQYYCYLMELKQDYKYEVCVRDIVLAIRSELDPQIVDALSGTSFVVERGKLSLNLTSAKPVRLSPQEVEQCRRFQTTLFRILLKRDDNKLASDSDNFCLGDNPEFDYLLLPATVEHQRPSNSIIDWESVNSCCPFSSESTCGSNCKDHACDVRIKNGSVCSCKLENCVVYTPHSKSFYTMTPVIWDLNGNSTLRYLGRDGTATYKEHFKKKHGIELRFPHQSLLRGRKVFEVGNYLLKDRKNKNKGEKMGSEELPPELCSVIMSPISICTVYSFSFIPSIMHWLEGLLVAFNLRKMLLDHCTKNDIPIIKVFEAITAKGCQEAYNYENLETLGDSFLKYAVSQQLFKTHQNDREGILSKLREGLISNVALRKFASDKNLPGFIRMEAFDPKQWIIPGDKTKSLLLEEGLVSCGRTSMYVGRKRKIELKKVADVVEALIGAFISTEDEEAALSFINWIGIEVDTSIIPYERHLSTDPENLVDVKFLESRLNNYKFEDPYLLVEALTHGSYKGPEIQTCYERLEFIGDAVLDNLITMHLYKEYFNEKFSPGFLTTMRSISVNNECYALSAIKAKLHKHILCDSVVRKNIEKTMKGVENLSLESTFGWELETYFCPVLADVIESIAGAIFVDSGYKKEIVFESIKPLLKPLVTPKTAKRHPISELQELCQKNQYKLTEHEHPSVRENDETLFKIEVKANRITRTAKASNKDTARKMASKEVLKELQICKSLG >Vigun06g122700.1.v1.2 pep primary_assembly:ASM411807v1:6:25020659:25032815:1 gene:Vigun06g122700.v1.2 transcript:Vigun06g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGNEHPCYVPPELVNCSSKACSVTHQYYCYLMELKQDYKYEVCVRDIVLAIRSELDPQIVDALSGTSFVVERGKLSLNLTSAKPVRLSPQEVEQCRRFQTTLFRILLKRDDNKLASDSDNFCLGDNPEFDYLLLPATVEHQRPSNSIIDWESVNSCCPFSSESTCGSNCKDHACDVRIKNGSVCSCKLENCVVYTPHSKSFYTMTPVIWDLNGNSTLRYLGRDGTATYKEHFKKKHGIELRFPHQSLLRGRKVFEVGNYLLKDRKNKNKGEKMGSEELPPELCSVIMSPISICTVYSFSFIPSIMHWLEGLLVAFNLRKMLLDHCTKNDIPIIKVFEAITAKGCQEAYNYENLETLGDSFLKYAVSQQLFKTHQNDREGILSKLREGLISNVALRKFASDKNLPGFIRMEAFDPKQWIIPGDKTKSLLLEEGLVSCGRTSMYVGRKRKIELKKVADVVEALIGAFISTEDEEAALSFINWIGIEVDTSIIPYERHLSTDPENLVDVKFLESRLNNYKFEDPYLLVEALTHGSYKGPEIQTCYERLEFIGDAVLDNLITMHLYKEYFNEKFSPGFLTTMRSISVNNECYALSAIKAKLHKHILCDSVVRKNIEKTMKGVENLSLESTFGWELETYFCPVLADVIESIAGAIFVDSGYKKEIVFESIKPLLKPLVTPKTAKRHPISELQELCQKNQYKLTEHEHPSVRENDETLFKIEVKANRITRTAKASNKDTARKMASKEVLKELQVTESKLSMNRTRFLFPLLCAVFLFCWFVDEMIFHVVSYFLNSFKF >Vigun11g183400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38609794:38610957:-1 gene:Vigun11g183400.v1.2 transcript:Vigun11g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLLGVRRARLVASNGVVPKGYLAVYVGEKMKRFMIPIGYLNQPSFQDLLSLAVEEFGYHHPMGGLTIPCREDVFLNIMSAMS >Vigun01g141200.1.v1.2 pep primary_assembly:ASM411807v1:1:32202170:32212436:1 gene:Vigun01g141200.v1.2 transcript:Vigun01g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MSNPQNQKPWKAEYAKSGRSSCRTCKSPIASETLRLGKMVQSTKFDGLMPMWNHAACILKKANQIKLVEDVENLESLRWEDQQKIRKYIESGSGSGDNSSSAATKTGSKAVKDTECGIEVSQTSRATCRDCSQKIIKGEVRISTKPDGPGAKGLAWHHAKCLVELSPSIQVDKLSGWNSLSSSDQSAVSDFAKKCHPMNKGGVSSTNIETEKGKESTQPHTSKGGIKRGKDADSERKSKVAKAKEDVSASSEASVKNYNETGEACDLEKKMEIQSKEIWALKDDLKKKVTTTELREMLEANGQDSTGSELDLRDRCADGMMFGALGLCPICSGFLRYSGGMYRCTGYISEWSKCSYSTCEPIRVEGKWKIPEETHNQYLKKWFKSQKGKKPVRILPLPSPRKSAESQITANQHQSSAGNFQDIKVAICGLANDTIEEWKRKIKAMGGIFHAKVKKDTNCLVVGGALNDEAEMRKARRMKIPIVREDYLIDCIQKKKRLPFDMYKVEMIGEASSMVTIKVKGQSAVHEASGLQESGHILVEGKSIYNTTLNMSDLSTGINSYYICQIIQEDKGSDCYLFRKWGRVGSDKIGGNKLEEMSKSDAICEFKRLFLDKTGNPWEAWEQKTIQKQPGRFFPLDIDYGVNKQVSNKKRNDNDSKLPPPLIELIKMLFNVETYRSAMMEFEINMSEMPLGKLSKNNIQKGFEALTEIQNLFKITDPDPSVKESLLINASNRFFTMIPSIHPHIIRDEDDFKSKVKMLEALQDIEIASRLVGFDANNEDSIDDSYKKLHCDISPLPHDSEDFGLIKKFLLNTHAPTHTDWSLELEEVFSLEREGEFDNFAPYRDKLGNRMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGVYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGNIYELKKAKYMDKPPQGKHSTKGLGKKMPQESEYAKWRGDVTVPCGKPVPSNVKASELMYNEYIVYDTAQVKMQFLLKVRFHHKR >Vigun08g070500.1.v1.2 pep primary_assembly:ASM411807v1:8:11260521:11263272:1 gene:Vigun08g070500.v1.2 transcript:Vigun08g070500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGLCALTPHQVPLLLFSGVASFLTHLNLKALTMNSGFCMVSSLNHQEVQSRFFDPSWLQMQPHVPMSFVWPKECVVDANEEFQAPMVDLGGFLRGDEEATHVAVKLIRKACSTHGFFQVINHGVDPLLIAEAYEQMDAFFKLPIDRKVSIHKTPGSVWGYSGAHADRFSSKLPWKETLSFPFHDNNTLDPVVSTFFNSTLGQDFQQAGVVFQKYCESMKRLGMKLLELLAISLGVDKLHYKELFEDGCSVMRCNFYPSCQEPSVALGTGPHCDPTSLTILHQDQVGGLDVFADNTWQTVPPRARALVVNIGDTFTALSNGRYKSCLHRAVVNKYKERRSLAFFLCPKEDKVVRAPEDIVRRDGTKQYPDFTWSNLLEFTQNYYRADEATLHNFTKWLLSSKQQTL >Vigun01g201700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37802957:37804910:1 gene:Vigun01g201700.v1.2 transcript:Vigun01g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVIISAVSLILVVGVAIGAVVTVNKNGDESSIKTNQKSVEIICQNTDDQNLCHNTLSSVKGLDTADPKAYISTAVKATMDSVIKAFNMSDRLSTEHGDTDNGTKMAIDDCKDLLQSAIQSLQLSTDMVQNNNIQAVHDQTADFKNWLSSVISYQQACMEGFDDGKEGEKSIKEQFQTESLDKVQKLTAITLDIVSGLSHILEKFGLKLNLKPASRRLLSMDGYPTWFSAADRKLLDQIKRKGWRANITPNVVVAQDGSGQFKTIADAIASYPSDFQGRYYIYVKAGVYDEYITVPKTAVNLFLYGDGPGKTVVTGHKNFRDGVKTMQTATFANTAPGFIAKAMTFENTAGPDGHQAVAFRNQGDMSAVIGCHILGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSPTVIQHSVIVVRKPLDNQLNTITADGTSEKNMDTGIVIQDCDIVAEAELFPVRFQIKSYLGRPWKQYSRTVVMESTIGDFLHPEGWCPWAGEYFEDTLYYAEYNNAGPGAAVEGRIKWKGYHGLISREEAAQFTAGQFLKAGLGAGTDWLKALRVPHVLDFAKP >Vigun06g120300.1.v1.2 pep primary_assembly:ASM411807v1:6:24799405:24802326:1 gene:Vigun06g120300.v1.2 transcript:Vigun06g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERREKGEKREVLMAEKLKGGVVVGKRRGPSTPPPTWRLEFPSQRNDNNGNNRSSKNKNRVEEFLNFPSTTTLSARKLCAKLWQIQPHQHAPLPKMNKPRRHRRDTLLKVPNLKHLSQPASATRLTGHVGTSLVQHHRSAKRNGRTEQPVSPPCHCSSMQVYNHPIKSVDFKSWITESSRNPKTSRELLKVLNHIWCLEEQHASNLSVVKALKMELDLSRAQVKELQQEKQLNKHEMENLIKQRTEEKIVRKGKEHDKIKAVIQSVMKEIEDERRLRKHSESLHRRLARELSEVKSSFSGSLRDLEKERKTRILLENLCDDFAKGIRDYEYEVRSLVHDNSEQGQAQVKGDNSHDRLILHLSDAWLDERKQMRLVQDGHDLPEIDSSIVDKLGVDIETFLHAKRSVNSRRYSNLSTKEKILEQKKGADRGLDKHGSKMNNNNSAEVDREKKGRQNSMRKHVQSKEIIEECCELQAGVMKNLSCDENDSTALFNTPGTSTVCEATQGPAESNTLLWTKRMNSSHGVRNSSLSSEGNDRVYPESIFREDNDTLMEKLLEARMERQKSRSRATINKTSFPSARQVCNQKHSSVSSCHGIALS >Vigun06g120300.3.v1.2 pep primary_assembly:ASM411807v1:6:24799950:24802271:1 gene:Vigun06g120300.v1.2 transcript:Vigun06g120300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYNHPIKSVDFKSWITESSRNPKTSRELLKVLNHIWCLEEQHASNLSVVKALKMELDLSRAQVKELQQEKQLNKHEMENLIKQRTEEKIVRKGKEHDKIKAVIQSVMKEIEDERRLRKHSESLHRRLARELSEVKSSFSGSLRDLEKERKTRILLENLCDDFAKGIRDYEYEVRSLVHDNSEQGQAQVKGDNSHDRLILHLSDAWLDERKQMRLVQDGHDLPEIDSSIVDKLGVDIETFLHAKRSVNSRRYSNLSTKEKILEQKKGADRGLDKHGSKMNNNNSAEVDREKKGRQNSMRKHVQSKEIIEECCELQAGVMKNLSCDENDSTALFNTPGTSTVCEATQGPAESNTLLWTKRMNSSHGVRNSSLSSEGNDRVYPESIFREDNDTLMEKLLEARMERQKSRSRATINKTSFPSARQVCNQKHSSVSSCHGIALS >Vigun06g120300.2.v1.2 pep primary_assembly:ASM411807v1:6:24799461:24802211:1 gene:Vigun06g120300.v1.2 transcript:Vigun06g120300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERREKGEKREVLMAEKLKGGVVVGKRRGPSTPPPTWRLEFPSQRNDNNGNNRSSKNKNRVEEFLNFPSTTTLSARKLCAKLWQIQPHQHAPLPKMNKPRRHRRDTLLKVPNLKHLSQVSEPASATRLTGHVGTSLVQHHRSAKRNGRTEQPVSPPCHCSSMQVYNHPIKSVDFKSWITESSRNPKTSRELLKVLNHIWCLEEQHASNLSVVKALKMELDLSRAQVKELQQEKQLNKHEMENLIKQRTEEKIVRKGKEHDKIKAVIQSVMKEIEDERRLRKHSESLHRRLARELSEVKSSFSGSLRDLEKERKTRILLENLCDDFAKGIRDYEYEVRSLVHDNSEQGQAQVKGDNSHDRLILHLSDAWLDERKQMRLVQDGHDLPEIDSSIVDKLGVDIETFLHAKRSVNSRRYSNLSTKEKILEQKKGADRGLDKHGSKMNNNNSAEVDREKKGRQNSMRKHVQSKEIIEECCELQAGVMKNLSCDENDSTALFNTPGTSTVCEATQGPAESNTLLWTKRMNSSHGVRNSSLSSEGNDRVYPESIFREDNDTLMEKLLEARMERQKSRSRATINKTSFPSARQVCNQKHSSVSSCHGIALS >Vigun04g097600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:21894175:21895886:1 gene:Vigun04g097600.v1.2 transcript:Vigun04g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGVQWTEMARPKFLLLQTLTHQRFHLLPCHVRQRPFPSPSCHALPPPPTSYRHFTLPPRTTIAACHGWKNKRNLFSSSSQMLGFLSSSLASTTQEQQRCRRGPPRVRPRLSFVTALVSRVASYTAGNLLFSICFLIGNHHDKTVCGHSSIFATRLPYILLFISVVRMNLCIG >Vigun06g124300.1.v1.2 pep primary_assembly:ASM411807v1:6:25146137:25147187:1 gene:Vigun06g124300.v1.2 transcript:Vigun06g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFVFSLLLLLEVPKLCTAEKKEEKWNYFMLVQQWPTGFCEYQIIAGKRKCYITPDKFVIHGLWPQRNDGKVPKCQSKTPLYRRDLKPLDQALRSDWPNLVGQDFNFWKSQWGKHGGCAEATLPKAEYFNLALHIYDQNKILNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHDPELSCYHDPKLNVTVLYQIRICLTKNGTSLTNCLNPDSSCGDQSLLFPKKEEEK >Vigun03g290400.2.v1.2 pep primary_assembly:ASM411807v1:3:47313772:47319264:-1 gene:Vigun03g290400.v1.2 transcript:Vigun03g290400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHRLNLSTLVFSVAIIILVSYSAKSQALKHSPRFLGKLATTGNHFAPPQFHYETRYFQQRLDHFSFSELPTFSQRYLISTEHWVGPQRLGPIFLYCGNEGDIEWFAQNTGFVWELAPRFGAMVVFPEHRYYGESTPFGSAKEAYKDATTLSYLTAEQALADFSVLITDLKQNFSAKDCPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVAPETFYNIVSNNFKRESFTCFNYIKQSWNEITSTGQTYNGLERLTKTFNLCQKLKSTGDLYDWLESAYSYLAMVNYPYPSDFLMTLPGHPIREACTEMVMPMSSNQDSSMFPPYEYNYTSFQEECWNDYGVKPRPKWITTEFGGHDIHTTLKKFGSNIIFSNGLLDPWSGGSVLQNISESVVSLVTEEGAHHIDLRPSTQNDPRWLVEQRETEIKLIEGWISDYHQKNKAIFDM >Vigun03g290400.1.v1.2 pep primary_assembly:ASM411807v1:3:47313772:47319264:-1 gene:Vigun03g290400.v1.2 transcript:Vigun03g290400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHRLNLSTLVFSVAIIILVSYSAKSQALKHSPRFLGKLATTGNHFAPPQFHYETRYFQQRLDHFSFSELPTFSQRYLISTEHWVGPQRLGPIFLYCGNEGDIEWFAQNTGFVWELAPRFGAMVVFPEHRYYGESTPFGSAKEAYKDATTLSYLTAEQALADFSVLITDLKQNFSAKDCPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVAPETFYNIVSNNFKRESFTCFNYIKQSWNEITSTGQTYNGLERLTKTFNLCQKLKSTGDLYDWLESAYSYLAMVNYPYPSDFLMTLPGHPIREVCKRIDGFPAGTNILERIYEGVNVYYNYTGKEKCFELDDDPHGMSGWDWQACTEMVMPMSSNQDSSMFPPYEYNYTSFQEECWNDYGVKPRPKWITTEFGGHDIHTTLKKFGSNIIFSNGLLDPWSGGSVLQNISESVVSLVTEEGAHHIDLRPSTQNDPRWLVEQRETEIKLIEGWISDYHQKNKAIFDM >VigunL024645.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:62791:63133:-1 gene:VigunL024645.v1.2 transcript:VigunL024645.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEQLGGKIEADNSQIRSRKGKRVVILTNKDKFFKKYKTYRDRGDTENNEQKNELALRL >Vigun03g001700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:132678:134024:1 gene:Vigun03g001700.v1.2 transcript:Vigun03g001700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGWRRLRRGKKKKKEKEEKVEEEEVVTPNHFRCPISLELMKDPVTLSTGITYDRESIETWFDQGSFTCPLTNNLVSNSDLIPNHTLRMIIQDWCVENQRCGVQRIPTPRVPITPNDVHHLLLLLHAKASRLDQDACLQLVHKIQRWGAESHRNMRCLVDNGAPTALASAFYAFANADVNADDDSVERNPYVCVLHQMLSALNSMFPTQSEPIQHLGSPPSLRCIVSFLRRQDLSGKESSIVALKHLLSFGHHQHLEALAQIEGINQLLVEFLNPRIFSPTIVKASLAVVWYLVSSSSPCTHKMRLKFVELGMVCVVVDILVDSERSLCERALGVLESLCACEEGREKAYGNALAIPLLVKKLLRVSPLGSDYSVCAIWRLCKFGEEMALLEALQVGLFQKLLLVLQVGCGDDTKEKATQLLKLLNPYRGSAVECVDSDFKNLKRSM >Vigun10g179800.2.v1.2 pep primary_assembly:ASM411807v1:10:39783669:39790888:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.5.v1.2 pep primary_assembly:ASM411807v1:10:39787369:39790889:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMKLQGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.6.v1.2 pep primary_assembly:ASM411807v1:10:39786330:39790888:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEMLKLERIYKDMGKKPLDWKLCQEIAIRYSSLSKDVGKTSLSWQQVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.4.v1.2 pep primary_assembly:ASM411807v1:10:39785959:39790923:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.1.v1.2 pep primary_assembly:ASM411807v1:10:39785959:39790923:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEMLKLERIYKDMGKKPLDWKLCQEIAIRYSSLSKDVGKTSLSWQQVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.3.v1.2 pep primary_assembly:ASM411807v1:10:39783669:39790888:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEMLKLERIYKDMGKKPLDWKLCQEIAIRYSSLSKDVGKTSLSWQQVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun10g179800.7.v1.2 pep primary_assembly:ASM411807v1:10:39786330:39790888:1 gene:Vigun10g179800.v1.2 transcript:Vigun10g179800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGLTEQPFPKLSSDEVEQWFKGRQRVSQNKDSSSSSKGRQATDISELGFEARSTKDIAWHDVALFSNYRVLCSGELEVRVRYAGFAKEQDEWVNVKLGVRARSIPLEPSECQKVQDGNLILCFLERDDYALYCDARVVKIQRRVHDPTECTCTFFVRYLHDQSEDRVSWNRICCRPTEEKSAVYPVLALHSTQSSSPTFSLNPIESLWG >Vigun09g059900.1.v1.2 pep primary_assembly:ASM411807v1:9:6119262:6121881:1 gene:Vigun09g059900.v1.2 transcript:Vigun09g059900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSGKRQQKSTFGCFKLVALIALCFVLISPAESFDPLDPTGNVTIRWDIMSWTSDGYLATVTLFNFQLYRNIMNPGWTLGWTWAKKEIIWAMVGAQATEQGNCAKFKLKIPHSCKRNPEVVDLLPGAPFNMQFTNCCRGGVLTSWGQNPSGAVSSFQIGVGLSGTSNKTVKLPKNFKLLGPGPGYSCGPAKVVPSTAILTDDRRRKMQALMSWNVTCTYSQFLASKNPSCCVSLSSFYSDKITGCPPCACGCQNNDTCVTKDSKILQENVTSTHRKSDITPKPLLQCTHHLCHVRVHWHLKDNYQDYWRVKIAIINFNYRLNFTDWSLVVQHPNLNNVTQVYSFEYMPLLPYESINDTGMFYGLKYYNDLLMEAGPKGNVQSEVLMKKDKNTFTLKQGWAFPRRVYFNGDECMLPPPDSYPMLPNSAHKTPTTITLMATYVVFTLFFHLVATLF >Vigun09g059900.2.v1.2 pep primary_assembly:ASM411807v1:9:6119262:6121881:1 gene:Vigun09g059900.v1.2 transcript:Vigun09g059900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSGKRQQKSTFGCFKLVALIALCFVLISPAESFDPLDPTGNVTIRWDIMSWTSDGYLATVTLFNFQLYRNIMNPGWTLGWTWAKKEIIWAMVGAQATEQGNCAKFKLKIPHSCKRNPEVVDLLPGAPFNMQFTNCCRGGVLTSWGQNPSGAVSSFQIGVGLSGTSNKTVKLPKNFKLLGPGPGYSCGPAKVVPSTAILTDDRRRKMQALMSWNVTCTYSQFLASKNPSCCVSLSSFYSDKITGCPPCACGCQNNDTCVTKDSKILQENVTSTHRKSDITPKPLLQCTHHLCHVRVHWHLKDNYQDYWRVKIAIINFNYRLNFTDWSLVVQHPNLNNVTQVYSFEYMPLLPYESISEFLEMDSKWLSLFCISALEEVSLILCFLIFICR >Vigun02g118600.1.v1.2 pep primary_assembly:ASM411807v1:2:27126767:27129280:-1 gene:Vigun02g118600.v1.2 transcript:Vigun02g118600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEKYLKEIEIARRELRSFVANNKCAPLMLQLAWNDAATYDARSRTGGPNGSIRFSTKHEAIKELEKAVQYCDIVKHKLKLKKVSYADLYQLADVVAIEVTQGPTIDFVPGKKDSNECPRVQAHFLNGEEDARSLRKKFCGMRLSEDKDIVVLCGGHSLIRVMHPKVPLRETPKGETHEDRNKFEERNWTNEPLKFDNSYFKELLSKGSSFSRLPMDYALVEDQRFRHYVERYAKDEDIFFKEYAISHKKLSELGFDPKNLNKSKGLYQKLNQHKGLVGIGIISLVVAGILGYLHKKKKG >Vigun09g117200.1.v1.2 pep primary_assembly:ASM411807v1:9:25804036:25807494:1 gene:Vigun09g117200.v1.2 transcript:Vigun09g117200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHSDSNMLLFLPDDVFAVVSGFLSPRDVCNLCLCCKSLNALVASEKLWLTQCDDLGIVPHKDLVEWRKGVASYKALCRFLVGVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEEGPILWASVFEVVSDYDGSMMFFLHGREKGVNYVYPGSVKLTDKSCNVLLLEVEPGGCSSVSALLQSKSFVHYSGLESSSSRKVCRSISDVSSSQRVVGNGEGMVGFGKLAFSDRRKLLEVTTSHVRQKVPDKMIGPLFPRLRDDEENFQKDLALLWERRSLLSQMYKGGSGEVDEYKLSSQEAVDPTQLELGDVRARFDLRSVSHPLPEEDGNTQCIKRKGLRGYFWNGIKQMLGRSNSMNGNHSVSKKLMSSGEIRHARLQEFLRSSDTVRLTLKASTVKLSSYRAWPNMHDSRFALYKLPLRVPRDDQEYAGLWGGTFGWPPGKPSEDKPGKALFFLLLSYEEFQGQQLLIATKILEGTHYVLHPNGSAMFTANINEPSSEPFPWDTDADLVPVNVNHAFVGEGIASGYGFRYPGSKPGSLFVFQNGILAFMWKESRIVLTLQRLNLQELLKKGERVSSLPPVTNFSYLTKSYSNVFAGLPSSSNFLSSPRNGQ >Vigun04g158200.2.v1.2 pep primary_assembly:ASM411807v1:4:38034251:38035479:-1 gene:Vigun04g158200.v1.2 transcript:Vigun04g158200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGREKEDERDMLSELPDDVLLHIMHFMNTKTAVGTSLLSKRWNNVWKCLTTLCFSLSDFKTLASYDQFVNHIVSQRDTSIGLHRLDFEACDIKEELLHLVPPLLHDVPHLSIHLHTRSLNMFNYSIPFLFFSHSLTSLTLSIDRSRRIFILPQPIQLPALRTLNLTNVGFVVMDSDECVEPFSSCLVLDSLVLEWCYLTNPWKVLSISNPNLSRCTMKRYSFRLLLSTPNLTYLAIGKSMFPCEVSSTCDLALLQEADIDITCDSHAIVLRLLKMLSYVKILTLSEPVLKTILLGVLEDESFQHPSIQTPRILPPRFVRLQTLKGENIQQTVVLMKYLLQNSQLTEVEVINF >Vigun05g205400.2.v1.2 pep primary_assembly:ASM411807v1:5:39442685:39450864:-1 gene:Vigun05g205400.v1.2 transcript:Vigun05g205400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGVSADSFYEIRPECTDVPISRFKIKAGKTLSARKWHAAFTQEGYLDIGKTLSRIYRGGVHPSIRGEVWEFLLGCYDPKSTFEEREQIRHHRRMQYATLKEECRQLFPLIGSGRFVTAPVVTEDGMPIQDPLVLKETNAAKGLAVHHQDNNNSSRIAPNSLQKVTDKIVVQWLLTLHQIGLDVVRTDRSLVFYEKQENLSKLWDVLAVYAWVDKDVGYGQGMCDLCSPMIILLNDEADAFWCFERLMRRLRGNFRCTESSVGVTAQLNELASVTQVIDPKLHNHLELLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFLMYEMPESASEKAVGSKGKSKSIRQCGKYEREFLKSGSKDASQSPLPISVFLVASVLKDKSTKLLQEARGLDDVVKILNDITGNLDAKKACNGAMKLHKKYLRKAKKP >Vigun05g205400.1.v1.2 pep primary_assembly:ASM411807v1:5:39442685:39450864:-1 gene:Vigun05g205400.v1.2 transcript:Vigun05g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGVSADSFYEIRPECTDVPISRFKIKAGKTLSARKWHAAFTQEGYLDIGKTLSRIYRGGVHPSIRGEVWEFLLGCYDPKSTFEEREQIRHHRRMQYATLKEECRQLFPLIGSGRFVTAPVVTEDGMPIQDPLVLKETNAAKGLAVHHQDNNNSSRIAPNSLQKVTDKIVVQWLLTLHQIGLDVVRTDRSLVFYEKQENLSKLWDVLAVYAWVDKDVGYGQGMCDLCSPMIILLNDEADAFWCFERLMRRLRGNFRCTESSVGVTAQLNELASVTQVIDPKLHNHLELLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFLMYEMPESASEKAVGSKGKSKSIRQCGKYEREFLKSGSKDASQSPLPISVFLVASVLKDKSTKLLQEARGLDDVVKILNDITGNLDAKKACNGAMKLHKKYLRKAKKP >Vigun04g099640.1.v1.2 pep primary_assembly:ASM411807v1:4:23340142:23342416:-1 gene:Vigun04g099640.v1.2 transcript:Vigun04g099640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDFALLSLPHHNDVNRALMSSNMQHQAVPLSESEKCIVGTGLECQVALDSGVSIIAEHEGNIVYTDTDRIFLFGNGDTLSIPLTIYQRSNKNTCMHQKPQVHRGKCIKKGQILADGAATVGDELALGKNVLVAYMPWEGNNSEDAVLISERLVYEDIFTSFHIRKYEIQTHMTSYGSERITNKIPHLEAKLLRNLDKNGIVIFGSWVETGDVLVGKLTPQMAKESSYSPEDRLLRAILGIQVSTSKETCLKLPTGGRSRVIDVRWIQKKGGSSYNPEMIRISILQKREIKVRDKVAGRHGNKGIVSKILSRQDMPYLQDGGPVDMVFNPLGVPSRMNVEQIFECSLGLSGGMLDRHYRITPFDERYEQEASRELVFSEIYEASKQTSNPWIFEPVYPGKSKIFDGRTWNSFKQPALMGKTYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIQTRQEVLGTTIIGGTIPKPTDAPESFRLLVRELRSLAMELNHFLISEKNFRIDRKEYKHQQL >Vigun10g061150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:12629974:12630192:1 gene:Vigun10g061150.v1.2 transcript:Vigun10g061150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSRRKSVGIAQDSELSVAYPLDVIRRRMQMVGWKDVALAVAGEGKNIMEYTGMANAFRKTMHHEGIMKE >Vigun09g033000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2846501:2853359:1 gene:Vigun09g033000.v1.2 transcript:Vigun09g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKPRIVIIGAGMAGLTAANKLYTATASKDLFELCVVEGGSRIGGRINTSEFGGDRIEMGATWIHGIGGSPIHKIAKEIHSLRSDQPWECMDGNTDEAITIAEGGFHLHPSIVDPITKLFNTLMEYSQRKLNEATAKGELESYHNLPALAAKVASNRSGNDNLSIGSFLRQGLEAYQISKEQEEVKGCGEWSRKLLEEAIFAMHENNQRTYTSADDLLTLDYSAESEYRMFPGEEITIAKGYLSIIESLASVLPPGLIQLGRKVTRIEWQLDERKGVGNGCCSSRPVKLHFCDGSVMSADHVIVTVSLGVLKSAIRDDDDDDDSGMFCPPLPPSKTEAISRLGFGVVNKLFMQLSPKHGGGKHEYHHEHVNEDSDKGFPFLQMVFHSPQSETRHKKIPWWMRRTATLFPIYNNSSVLLSWFVGEEALALESLKDEEIINGVSSTVSCFLQHSQWQKGSSSHRLCNGNVNSEERSQENEVKFSKVLKSKWGTDPLFLGSYSYVAVGSSGDDLDAMAEPLPKDSSCQPSASSPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGIYNN >Vigun11g096900.1.v1.2 pep primary_assembly:ASM411807v1:11:28321403:28323172:1 gene:Vigun11g096900.v1.2 transcript:Vigun11g096900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQGEVHASKLLRAQTHVWNHIFRFISSLSLKCGIELGIADIIHNYGQPMPLSQLIASLPIHPSKTSFISRLMRILTHSGFFSEHHGTPNEGEVMYVLTDASTLLLKDHPFSMRCVLQLIFDPVMINPWFQFSTWFTNETPSPFHSENGMGCWDFAGRDPNFNHRFNDMMAMDSRLVSTVVIEKYKRLLEGTESLVDVGGGTGTMAKVIVDSFPQLKCIVFDLPHVVAGLEETQNIKYVGGDMFEAIPHADSIMLKWVLHDWKDEECVKILKNCKEAMASEGRVLIIDIVMENKKEDHELTETQFFFDMQMMMILTGKERNEKEWASLILSAGFSNYNCFKLTELEVGIA >Vigun06g111900.1.v1.2 pep primary_assembly:ASM411807v1:6:24066182:24068282:1 gene:Vigun06g111900.v1.2 transcript:Vigun06g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRVTLNSKLTVVSSRPVCSGKVHALSALDRGMGCHTLHVIFYYKNEDNWFKSFDLDPLRESLSEVLTQYPVLTGRLDRGESGEWEVKCNDAGVRTIKATVDSTLHEWLKSASDSEEKLLVTWDDMPEDPSTWSPFRIQINSFQEGGVAIGVSCSHMMADLTFIASFVKSWTEFHRHFPITHPPLIAPLSTGRHASSSSTKTSSATATATNFATATFKFSGSTMKQCLSKVHDWCPNATPFDFLAALFWTRVARVRPPKTQDQTHSLCICSDFRSLLKPPLPIGYLGNALKFSTLSQKVKDVELGGVVSAVHKHLEELSEEASNEGKRVYGSELTCVCMEHLMVEEDQESLLYGAVFGNNEKPVHVSCRVGNVESEGLIMVMPCSEGGLSRSVTVMLAEEEVAELNKDEAIMELEPVMVLAGCEMEH >Vigun07g241500.1.v1.2 pep primary_assembly:ASM411807v1:7:36305165:36305673:1 gene:Vigun07g241500.v1.2 transcript:Vigun07g241500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGICAFSPKYKYAEEKRKEMLHQNQIMRVAVWLLGNNCSESNPFLFSFLLSCVYGGRREPHFQLLPQTAHIISTFFYLMVSVLVLKGERKRSDQGVLNLVPTGPIFWQSLKNHCHGFGLVWFDLQNPSLT >Vigun08g067300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:10066652:10067381:-1 gene:Vigun08g067300.v1.2 transcript:Vigun08g067300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDSHHHQRWEGKVSARLRNVTKAQAWPLVKDFFNLHKRFPTLATCYGLHGSNGDPGCIRYCAGFSIPSNGPESVSWSKERLLAVDDVDFWLKYEIVESNIGFNSYESTMKVTSDDDDDDDDESNGCMLEWSFAVDPVKGLELEELVGKYHVALQVMAQKMEEEIQSLVSGILNNT >Vigun10g168800.1.v1.2 pep primary_assembly:ASM411807v1:10:38774659:38780010:1 gene:Vigun10g168800.v1.2 transcript:Vigun10g168800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGILNRGHKIKGTVVLMTKNVFDFNEFVSSTRGGIAGAAGGIFGAATDIVGGIVDGATAIFSRNIAIQLISATKTDGLGNGKIGKRTFLEKHLPSLPNLGDRQDAFNVYFEWDESFGIPGAFYIKNFMQSEFFLVSLTLEDIPNHGTIHFVCNSWVYNAKCYKRDRIFFVNKTYLPNETPTSLVKYRKEELENLRGDGKGERKEYDRIYDYDVYNDLGNPDKNKSLARPVLGGSSDFPYPRRGRTGRKSTRTDRNCEIPTNDTYIPRDENFGHLKSSDFLTYSIKALTQNVLPTFQKAFGFNNEFDTFEDVRGLFEGGVYLPTDVISKISPIPVLKEIFRTDGEQVLKFPPPHVIRVSKSAWMTDEEFGREMLAGVNPCLIQRLQEFPPKSNLDVTRYGDQTSTITKEHLEINLGGLTVEQALKGNRLFILDHHDAFIPYLERINDLPTAKCYATRTILFLKDDGTLKPLAIELSLPNPGGNGANSRVILPAEQGAENTIWLLAKAYVVVNDSCYHQLMSHWLNTHAVMEPFVIATNRHLSVLHPIYKLLLPHYRDTMNINGLARQSLINAGGVIERSFLPGEFAVEMSSAVYKNWVFTDQALPADLIKRGLATEDPSAPHGLRLVIEDYPYAVDGLEIWDTIQTWVKEYVSLYYPTNDAVKKDTELQAWWKEAVEKGHGDLKDKAWWPKLNTPQDLIHTCSIIIWIASALHAAVNFGQYPYGGFILNRPTITRRLLPEPGTKDYDELSSNYQKAYLRTVTGKVEALVDLSVIEILSRHASDEVYLGQRDNPNWTDDIKALQAFKKFGQKLKVIEDKIMERNKDSSLRNRNGPVQMPYTLLLPTCEDEGLTFRGIPNSISI >Vigun07g156400.1.v1.2 pep primary_assembly:ASM411807v1:7:26750374:26752896:1 gene:Vigun07g156400.v1.2 transcript:Vigun07g156400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAATLADEPPKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAIATVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLVQKAKIEIVLGKSERFDNLMSSSSKTEPEAAAAEDKK >Vigun05g045900.2.v1.2 pep primary_assembly:ASM411807v1:5:3807477:3812222:1 gene:Vigun05g045900.v1.2 transcript:Vigun05g045900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLVSNFNLVIYVLVFVGSGLELGCASSTPSQLQLEANAIINSGWWNLSHSHSHHICSLIDDIHCNDGGSVTRLAYLCSKRPIQLANLKLSVFKNLERLQVSFCGLEGIIPSEIGSLSKLTYLDLSHTFIHGEIPFTIGNLLNLNYLDLSYDSIHGQIPPSMGNLIKLSTLILSNNFIEGPISFEFSFFKNLTIIDLSYNKITGTLPISLSKLRNLQNLHISHNLLTGSLKPFSDGFFPFLESLDLSYNSLTGAIPLSLHNVHYLDLSFNRLKGPIPNGVHPYALMGNKGVCSNFTNVQKEYHFRSCSVSQKKRRQLVIILSITIFLIMVFLLLLYLKRNRIAIKNKQARITEISKNGDLFCIWNYDGSIAYEDIITATEDFDLKYCIGKGAYGSVYRAQLPSGKIVAVKKLLDFEAEVHTFDESFRNEAKVLSKIKHRHIVKLHGFCLHKRIMFLIYEYMENGSLFSVLLNDMEAMELDWKKRINVVKGTAHALSYLHHDCVPPIVHRDVSTSNVLLNSKWEPTVSDFGTARFLNLDSSNRTIVAGTIGYIAPGKLFMFFSAPIYL >Vigun05g045900.1.v1.2 pep primary_assembly:ASM411807v1:5:3807477:3812222:1 gene:Vigun05g045900.v1.2 transcript:Vigun05g045900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLVSNFNLVIYVLVFVGSGLELGCASSTPSQLQLEANAIINSGWWNLSHSHSHHICSLIDDIHCNDGGSVTRLAYLCSKRPIQLANLKLSVFKNLERLQVSFCGLEGIIPSEIGSLSKLTYLDLSHTFIHGEIPFTIGNLLNLNYLDLSYDSIHGQIPPSMGNLIKLSTLILSNNFIEGPISFEFSFFKNLTIIDLSYNKITGTLPISLSKLRNLQNLHISHNLLTGSLKPFSDGFFPFLESLDLSYNSLTGAIPLSLHNVHYLDLSFNRLKGPIPNGVHPYALMGNKGVCSNFTNVQKEYHFRSCSVSQKKRRQLVIILSITIFLIMVFLLLLYLKRNRIAIKNKQARITEISKNGDLFCIWNYDGSIAYEDIITATEDFDLKYCIGKGAYGSVYRAQLPSGKIVAVKKLLDFEAEVHTFDESFRNEAKVLSKIKHRHIVKLHGFCLHKRIMFLIYEYMENGSLFSVLLNDMEAMELDWKKRINVVKGTAHALSYLHHDCVPPIVHRDVSTSNVLLNSKWEPTVSDFGTARFLNLDSSNRTIVAGTIGYIAPELAYTMVVNEKCDVYSFGVVALETLMGKHPKEILSSLHSTSAGGDIKLCEILDQRLLHPTFSVLQDIVVVAIMAFACLNPNPFSRPTMKRISQCFLSQLTPFNIPLHHISLHQLMSQKLRHSLKL >Vigun08g161600.1.v1.2 pep primary_assembly:ASM411807v1:8:33408733:33410817:1 gene:Vigun08g161600.v1.2 transcript:Vigun08g161600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYEQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKREPPQRFIRRRDDAPRPGQPGQAPRPPVAGAPART >Vigun08g097350.1.v1.2 pep primary_assembly:ASM411807v1:8:23495781:23498775:1 gene:Vigun08g097350.v1.2 transcript:Vigun08g097350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLVTVACCFCALRLLASLCEVAYEVTPSLCRPHCPSLVHFLHLKVLAFFAGALLEKQIVFVCSNLWQRNG >Vigun08g072900.1.v1.2 pep primary_assembly:ASM411807v1:8:12130580:12145721:1 gene:Vigun08g072900.v1.2 transcript:Vigun08g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWREIAVTVLVFLLHQIDGEDGCSPCGKITNISSCGKIRNISYPFRLKGDPEGCGLFELSCENNITLLSLFSGRFNVEAINYNNFTIRLVDPGLQQTNCSSLPRYSLSWYNFSDYSYPNILGYTYYFPVEHIVFLSCNHGVSGNRKYVDTGGCLNWDSKSYTYAMAGHLLAEDLEVGCDVKLVAPTSWWDFDKNNYSYAMMHTALLYGFQLSWPDGGFYENRCCYFNSSDDMLVCEKMRPSRLVESLEAAIFSILKGLAKVIFRPNQYVFINLDEDYDENRYEPGFVSLGHYVIPYFIVRYFGGMILFIVLLVYKWRRRHLSLYENIENYLEQNSLIPIRYSYKEIKKMTRGFNEKLGEGGYGSVFKGKLRSGPWVAIKVLRKSKGNGQDFINEVATIGRIHHQNVVHLIGYCAEGSKRALVYEFMPNGSLDKFIFSKEGNIHLTYDTIHDIAIGVAQGISYLHHGCEMQILHFDIKPHNILLDAKFTPKISDFGLAKLYSTDKSVMTMTAARGTIGYMAPELFYKNIGRISHKSDVYSFGMFLMEIASRRKNLNPNVEHSSQLYFPFWIYEQLDKGKDIEMEDAIDDENKIAKKMIIVSLWCIQLKPNDRPSMNKVVEMLEEDIENLKIPPKPSLYPHESLEDGQRTSFNQTTVSDFVSSSSFHGDRD >Vigun08g220800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37938743:37939858:-1 gene:Vigun08g220800.v1.2 transcript:Vigun08g220800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYIYSPYTTLTIPPFVIKSISEHKGMDHLNHPNSKVHHNHSLSHNSTKKMKTSIQFLLCFSLFCVFFSSLPLFLQFANPFLMQFFTYMVEKSYMFLLCNGLLALIAMNSALVSSSSPPTTHHSTEHPAVVEAVEAVEAVDHTEKSILSNSNESDIAVAAPIAGEETESPEEEEKVLFIVEQENVVSSSSSEMQEEENAVAIIEEDEVAVDDNEELNKKCDDFIKRMKATFSSNNLELRAVESFYFSNQNSLVTVVN >Vigun09g272100.3.v1.2 pep primary_assembly:ASM411807v1:9:43379290:43381953:1 gene:Vigun09g272100.v1.2 transcript:Vigun09g272100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKEVLSDILLGMAAGLKRDPIVILRMEGEDLLEFVNGPSYEAEMASIFSQIESPSGSLREHVIEAFGRLTVDQGVPPTSDSWVFNNVVDPALSQAGPALDKPAAQETFLEEFKKVAFSVVDILKEKPVIVAHSENTFDGRGVKRLLSNKFELERTLNLALENLPKDRNGKISKDYLRVALDLVSPSAGLPPVGAIEEMDKVIVEAFKMVNADDTNTVTEEEFKKSLTEILGGIMLQLEGNPISVSSNSVVHEPLGSSSTLLQPSSSETA >Vigun09g272100.1.v1.2 pep primary_assembly:ASM411807v1:9:43377701:43381952:1 gene:Vigun09g272100.v1.2 transcript:Vigun09g272100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRKNGAKGEIVDGSKIMELVGNEQVFSNFVDNKFEELDKDRDGKLSMKELEPAVADIGAGLGLPAQGTSPDSDHIYYEVLNEFTHGKQEKVSKMEFKEVLSDILLGMAAGLKRDPIVILRMEGEDLLEFVNGPSYEAEMASIFSQIESPSGSLREHVIEAFGRLTVDQGVPPTSDSWVFNNVVDPALSQAGPALDKPAAQETFLEEFKKVAFSVVDILKEKPVIVAHSENTFDGRGVKRLLSNKFELERTLNLALENLPKDRNGKISKDYLRVALDLVSPSAGLPPVGAIEEMDKVIVEAFKMVNADDTNTVTEEEFKKSLTEILGGIMLQLEGNPISVSSNSVVHEPLGSSSTLLQPSSSETA >Vigun09g272100.2.v1.2 pep primary_assembly:ASM411807v1:9:43379027:43381952:1 gene:Vigun09g272100.v1.2 transcript:Vigun09g272100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVTLVLNEFTHGKQEKVSKMEFKEVLSDILLGMAAGLKRDPIVILRMEGEDLLEFVNGPSYEAEMASIFSQIESPSGSLREHVIEAFGRLTVDQGVPPTSDSWVFNNVVDPALSQAGPALDKPAAQETFLEEFKKVAFSVVDILKEKPVIVAHSENTFDGRGVKRLLSNKFELERTLNLALENLPKDRNGKISKDYLRVALDLVSPSAGLPPVGAIEEMDKVIVEAFKMVNADDTNTVTEEEFKKSLTEILGGIMLQLEGNPISVSSNSVVHEPLGSSSTLLQPSSSETA >Vigun02g060900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20633099:20635680:1 gene:Vigun02g060900.v1.2 transcript:Vigun02g060900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNNANDTDSQTQTPQHPTATTTTNGSLKRHRPTTVPPPSQPPSAVVFYRECLKNHAAGIGGHALDGCGEFMPSSSSNPTEPRSLTCAACGCHRNFHRRDTQHYQNNHSNPRPNFISFYHSPPPSHHGTGLCTSSSPGPSPSPSPLSSPSPPPLSHRFPGPRPVQGLFGQGSEHHHRSFNLSESPSGKKRFRTKFSQEQKEKMQNFSESLGWRMQKGDEGLVQDFCNEIGVSRGVFKVWMHNNKNNSSRKRSLEPDEKINGNASDTHNNNPYNDDTH >Vigun02g060900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20633099:20635680:1 gene:Vigun02g060900.v1.2 transcript:Vigun02g060900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNNANDTDSQTQTPQHPTATTTTNGSLKRHRPTTVPPPSQPPSAVVFYRECLKNHAAGIGGHALDGCGEFMPSSSSNPTEPRSLTCAACGCHRNFHRRDTQHYQNNHSNPRPNFISFYHSPPPSHHGTGLCTSSSPGPSPSPSPLSSPSPPPLSHRFPGPRPVQGLFGQGSEHHHRSFNLSESPSGKKRFRTKFSQEQKEKMQNFSESLGWRMQKGDEGLVQDFCNEIGVSRGVFKVWMHNNKNNSSRKRSLEPDEKINGNASDTHNNNPYNDDTH >Vigun01g167300.1.v1.2 pep primary_assembly:ASM411807v1:1:34912270:34924026:-1 gene:Vigun01g167300.v1.2 transcript:Vigun01g167300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRKQQVSLRGASAKEITRDALLQKVSQERELRNYAKRAAAAALFIQRVWRRFKVTKAVSLQLQQEWEIAVNRYTGVMTANWISNNLLRPFLFFITLISTQREKVRCKRVDSMKLCFTVVLESLKSSDSKLNFCFLAIGTTEERRIWRYQARKLTFLSFLILSEFSERPSGSQDITVVTSLAMRILVMLTDLKGWKGITNDNHFDADLAVKDLIQFMGSEKSGCYVSIGRYISALENHSPQSKTIAQADENFFITASVITLAVRPFYLINYDAEVPHTLDFNYAAEQYIVYLLTIPWLVQHLPLILLPALKHKSILFPCFQTLLILKEKVLMEMSEFIKSEIPVSFKAIPPVGWALANIICLATVNENESFNQGLDHGLYVHVVITLSEALLACLDNIGWVRKKKKALQTDGESSTHPVDAVQHEGEATNESLILSYMDQFRPVCQQWHLKILLASIDRDSINKAETVPSSSLECPGNLELCDVALFYSNVLRIFSVLSPIRGSLSVLNMLSFTPGFLVKLWSVLEGSFFSGDKHNFDNYISENSKHKAFEKMQKEVSKDGPNKWVNVLHKIAGRSRAATDCTDFSSSHSEPSRANDDSSDIWDIEPMRNGPQGIPKDMFAMLHIFCATYSHLLLVLDDIEFYEKQVPFQIEQQRKIASMLNTLVYNGLSHVGGHHNKSLMDCAIRCLHLLYERDCRHPFCPPALWLSPARKSRPPIAVAARTHEVLAANLRHDDSSASLSVGSAVTIVPHVFPFEERVEMFREFIKMDKASRKMAGEISEPGSRAIEIVVRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLLEAGLDYGGLSKEFLTDLSKAAFAPEYGLFSQTSTSDRLLVPTASARYLENGLQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKNYDGDVTELCLDFTVTEESLGKRYVVELKSGGKDISVTNENKMQYIHAMADYKLNQQMLPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNYDIDVDDLKNNTRYTGGYNEGSRTIKIFWEVIKGFEPKSVVCFLNLSPVVLVLHYLGSNTCSHH >Vigun05g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5326785:5330357:1 gene:Vigun05g061700.v1.2 transcript:Vigun05g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQDANRSFFPFGNPFRMISPRGTKMTSELLSILQAFEVTLEERLKKLIPESKDEILSLSWMNLAMQALSESYNDIKNLIAELDLPVNDWDEKWIDVYFDISVKLLDLCIAFSSELSRMSQGHLLLQCALHNLGSSSSEQYHRACSSLDGWKQHIGSGNPRIEKCGSILDGLLGSLDLPKVKKSAKGKVLMQAMYGVKVLTVFVCSVFAAAFSGSTKNVMHLDVADLYSWSGTFKRLQNLVNEEIRVRFSSGRFTILNELESVDSAVKELYPIIQRVVDPVEMESLSNVVEELGKSTEKLSQGLDLLTKEVDSFFQVVLNGRDALLSSLRSGAIVIHNNMGGNRNAQIVN >Vigun03g131400.1.v1.2 pep primary_assembly:ASM411807v1:3:12776727:12779028:1 gene:Vigun03g131400.v1.2 transcript:Vigun03g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSTMKHTLVRSAARALLGGGRSYYRHAPTAAIVEPTRQHGGGAFGSFYLRRMSTLPDIKDHNSEEKKNEVKDDNTNAVISSYWGISRPKVRREDGTEWPWNCFMPWDTYHSDVSIDVTKHHTPKSLTDKVAFRSVKFLRVLSDLYFKERYGCHAMMLETIAAVPGMVGGMLLHLKSLRKFQHSGGWIKALLEEAENERMHLMTMVELVQPKWHERLLIFTAQGVFFNAFFVFYLLSPKAAHRFVGYLEEEAVISYTQHLEAIESGKVENVPAPAIAIDYWRLPKDATLKDVVTVIRADEAHHRDVNHFASDIHHQGKELRDAPAPIGYH >Vigun05g152900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:24186832:24187677:1 gene:Vigun05g152900.v1.2 transcript:Vigun05g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTRIRFVDDDLQDIAFAKRGCCFNFSCFEDSSSKTTSSRRKLVREKKVKWWSKIREWSWKTFVRRFSNKNRAIGYRKQGSFHYDARSYAQNFDEGTKEKREEHYAYDFSSRYASVPSSAKSSMDLGKYGPSFI >Vigun01g056600.1.v1.2 pep primary_assembly:ASM411807v1:1:11270045:11272122:1 gene:Vigun01g056600.v1.2 transcript:Vigun01g056600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVERVFAQSLEMGHRCCSKQKIKRGLWSPEEDEKLLRYINTHGHKSWSSVPKYAGLQRCGKSCRLRWINYLRPDLKRGSFTAEEEQIIIDIHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGLDPQTHNLLSSHRRSSASTISNMHKKSNSIFIMSSHTPNAPVETTFQTFSSLPKPPPNIVQTPSTIVTSEYQTSAIVSNKFSSDLPYDLLVNNGSNICSPSYMNPTAVELSNNENIANWVSRVNVDDFSGQTLEEVTQVQVQQEKDKICEDTVTDVREANKDNLERSVSFESSNFDFGLLESVLSSEFISHDLSCMDELAWNF >Vigun05g066700.1.v1.2 pep primary_assembly:ASM411807v1:5:5763383:5763746:-1 gene:Vigun05g066700.v1.2 transcript:Vigun05g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAKMDLLLCMLTLASAGKTTVEITNTLEGKQKLNIHCKSKDDDLGPHWFKIPMEDYSLCKHCHWYIESDGPCRYQLGVRKCYKWN >Vigun03g111400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10067798:10073216:1 gene:Vigun03g111400.v1.2 transcript:Vigun03g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGTSGRNRHSLPPSRRRHDGAYSDGGSDSRDDDSDDELNYASRKPSGSQVPLKKRLDPSERDDDLGSQEEADDDDDGRSDHDGDSSDESNIGDDLYKDEDDRRKLSEMTELQREMILSDRATKKDDKNLLGKIASKREKGKVSVPRKQSPPMSSSRMRASARSADRSAKNDALNELRAKRLKQQDPEAHRKLREASRNAGPRHFSPPKRKPFTSTSLSSSSHSESESRSHSDDEGSTGDGGIGDSDDDRALAGSEGPSFQDIKEITIRRSKLAKWFMEPFFEELIVGCFVRVGIGRSKTGPIYRLCMVKNVDASEPDRQYRLENKTTYKYLNVVWGNESSAARWQMAMVSDSVPLEEEFKQWVKEVDRSGGRMPTKQDVLEKKQAIQKAITFVYSAATVKQMLQEKKSASTRPLNVAAEKDRLRRELEIAQSKHDEAEVERIRARLQELEASRQAKQKDAKALKLAEMNRKNRFENFKNASELKPVNTGLKAGEAGYDPFSRRWTRSRNYYAGQKAAAGNNSVNGIVVGPGTNSTGVPVTAEAGMVATAAALEAAADAGKLVDTSAPVDQGTESNMLHNFELPISLALLQKYGGAQGAQAGFMARKQRIEATVGFRVLENDGRRHSLTLTVSDYKRRRGLL >Vigun06g177800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29787473:29788704:-1 gene:Vigun06g177800.v1.2 transcript:Vigun06g177800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQIAHHRDTAEIYKGEAVCRQKSRLLLDEILLPRGLLPLENIVEMGYNRTSGFVWLKQKHKKEHRFTSIGRTVSYDTEVTAFVEEHRMRRVTGVKTKELFLWVTISEIFVEDPACAKISFANATGISRSFPLSAFSLQDEQQQQQH >Vigun01g160950.1.v1.2 pep primary_assembly:ASM411807v1:1:34282585:34282983:1 gene:Vigun01g160950.v1.2 transcript:Vigun01g160950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRNNELLLISTHLRSLNVQEFFYSILFLLLVVGYLVRTHLLFVSRVYSELQIEFEKVKSLEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNK >Vigun03g024800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:1855542:1856441:1 gene:Vigun03g024800.v1.2 transcript:Vigun03g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIDIAEVKDPNDRQVTFSKRRTGLFKKANDLSVKCGAELAIVMFSPAKRPYSFGHPSVNVVADKFLRKNPTSNYVRENDAPDDEVDDIERLRQQLSVVEVHINEEQKKATELDEREKEQETSEAQNKELQNSPVLRRMVEDYVDAIEVSECMLLLAKEPVIGISNRPICKRRRRN >Vigun10g184500.4.v1.2 pep primary_assembly:ASM411807v1:10:40111454:40114422:1 gene:Vigun10g184500.v1.2 transcript:Vigun10g184500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCYELVENFVGCISDHVEDIAKQKDCPDECKEAVPSLIYAAARFGDLPELLELRTLFTEKYGNSLQPYINKEFVERLRQDPPTTEMKIGLLYDIAQEFYIEWNDKSLRERLHIEEKPICGEDFNRSKAKEREGRKDLNEESWIHERSSSDDETSLSSNDGRKDTTSSSSLGSISEDEVEKIETNKPISSYWRIPPPYVKQKTNKSVSNRKTHADTATTPDSGGMKSDRKKHYSSQQPEHDSEQNRRRRSSHVRGKSLPSEPNTAVESSKGHVRTISLESGMRGGAWRVHPNLPDYDDLRARLLALRHR >Vigun10g184500.1.v1.2 pep primary_assembly:ASM411807v1:10:40111455:40114421:1 gene:Vigun10g184500.v1.2 transcript:Vigun10g184500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWLLKPRFYSKCLSYVKALKIRLERIQNRKKAVLKFLKSDIAELLRIGLEYDAYTRAKGLLLEQKMLSCYELVENFVGCISDHVEDIAKQKDCPDECKEAVPSLIYAAARFGDLPELLELRTLFTEKYGNSLQPYINKEFVERLRQDPPTTEMKIGLLYDIAQEFYIEWNDKSLRERLHIEEKPICGEDFNRSKAKEREGRKDLNEESWIHERSSSDDETSLSSNDGRKDTTSSSSLGSISEDEVEKIETNKPISSYWRIPPPYVKQKTNKSVSNRKTHADTATTPDSGGMKSDRKKHYSSQQPEHDSEQNRRRRSSHVRGKSLPSEPNTAVESSKGHVRTISLESGMRGGAWRVHPNLPDYDDLRARLLALRHR >Vigun10g184500.2.v1.2 pep primary_assembly:ASM411807v1:10:40111455:40114421:1 gene:Vigun10g184500.v1.2 transcript:Vigun10g184500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIRLERIQNRKKAVLKFLKSDIAELLRIGLEYDAYTRAKGLLLEQKMLSCYELVENFVGCISDHVEDIAKQKDCPDECKEAVPSLIYAAARFGDLPELLELRTLFTEKYGNSLQPYINKEFVERLRQDPPTTEMKIGLLYDIAQEFYIEWNDKSLRERLHIEEKPICGEDFNRSKAKEREGRKDLNEESWIHERSSSDDETSLSSNDGRKDTTSSSSLGSISEDEVEKIETNKPISSYWRIPPPYVKQKTNKSVSNRKTHADTATTPDSGGMKSDRKKHYSSQQPEHDSEQNRRRRSSHVRGKSLPSEPNTAVESSKGHVRTISLESGMRGGAWRVHPNLPDYDDLRARLLALRHR >Vigun10g184500.3.v1.2 pep primary_assembly:ASM411807v1:10:40111455:40114421:1 gene:Vigun10g184500.v1.2 transcript:Vigun10g184500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWLLKPRFYSKCLSYVKALKIRLERIQNRKKAVLKFLKSDIAELLRIGLEYDAYTRQAKGLLLEQKMLSCYELVENFVGCISDHVEDIAKQKDCPDECKEAVPSLIYAAARFGDLPELLELRTLFTEKYGNSLQPYINKEFVERLRQDPPTTEMKIGLLYDIAQEFYIEWNDKSLRERLHIEEKPICGEDFNRSKAKEREGRKDLNEESWIHERSSSDDETSLSSNDGRKDTTSSSSLGSISEDEVEKIETNKPISSYWRIPPPYVKQKTNKSVSNRKTHADTATTPDSGGMKSDRKKHYSSQQPEHDSEQNRRRRSSHVRGKSLPSEPNTAVESSKGHVRTISLESGMRGGAWRVHPNLPDYDDLRARLLALRHR >Vigun03g310200.1.v1.2 pep primary_assembly:ASM411807v1:3:50214554:50221977:1 gene:Vigun03g310200.v1.2 transcript:Vigun03g310200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAARLNVPAPVASGPSAEATLRHVDRLINSNHYTSPSRTIYSDRFIPSRSASKFALFDIASLPEGRDDSSSAYTTLLRTALFGPDAAGLAPPLTPQKTASPPMTLPSRNIFRYKTETRQSMHSLSPFMCDDSVPGVNHGPVKAPRKVPRSPFKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDLVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKKIRSMEGHRLRVGALAWSSSLLSSGGRDKNIYQRDIRAQDDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDNEIGASSLGRTIIR >Vigun11g092950.1.v1.2 pep primary_assembly:ASM411807v1:11:27514987:27515848:-1 gene:Vigun11g092950.v1.2 transcript:Vigun11g092950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDPSEDFLLFEEGFFLEWGHCLLMGTNCFTDPRGNILNIEFEENLMAERKFRASDDIVNFYDVYDIGFVCTLYCGDRYFRFRVFDIDWNEIEYPLVEPSASGLRSSFSCSPRFSNLSESS >Vigun07g085100.1.v1.2 pep primary_assembly:ASM411807v1:7:12713081:12714449:1 gene:Vigun07g085100.v1.2 transcript:Vigun07g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAVVSNVPGYIISKLEGTFIESLYIQDALKLEQASELDRFDDFYVEEENLCGGFETQEPKLEIKCLKDCSTFMCLPSSSSDEETDKSVAKQSRQNYCSVSLEAPAKLVSAMKGSREKERGSQIKLTVKWAPDVYDPVPTLSSHTVKHKKHQKPRMRKSEKKNGKKSQKVSYSRRSSSSSKDKQYRNRWLHSGEEVFEASTEVDDLNVANHDSYCATSYLKASITKLHWPIGEAL >Vigun07g109300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20249137:20251031:1 gene:Vigun07g109300.v1.2 transcript:Vigun07g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTIEIPHERHRPNTRRTPDSDISDDYRRRRSPSYDSYDRPADRRRRRSESPPLRNPRHANGAPNDDALPKKFGRRNGAYLDRDRGDWQRSNSESDEELKGLNYEEYRRLKRQKMRKSLKYCIWNVTPSPPRRDGDDLEDYSKPGELSDRDDVDGKIDKEVKPRGKSKSESDSESEKSISSESDDSRSRKKSRKSSAGSSRRRSYSESGSESESESVSESEEEYRRRRKSRRNRRKRSNRSSRKRRYSDSDESDESESGDSESSGRKRMKRSSSSRSSSKRSRRSRKGKKKTSETESERAYSEEENGSGSGDAGKATIDEVLNTEINAEAMKLKELFESQKKPALDNEPAVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHDPFAPKASDGADA >Vigun03g440200.1.v1.2 pep primary_assembly:ASM411807v1:3:64309992:64313605:-1 gene:Vigun03g440200.v1.2 transcript:Vigun03g440200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGLGVSPGTVPVYHGTKLKVLDRKVRIAEMVLRGVSLGLGVLAIVLVVTDSQVKEFFSFQKKAKFTDMKALVFLVIANGITVGYSLIQGLRCVVSMVRGHVLFSKPLAWLIFSGDQVMAYVTVAAVAAALQSGMIGKAGQAELQWMKVCNMYGKFCNQMGEGIGCSLVVSISMVVLSCISAFSLFRLYGTNKNKHSGW >Vigun08g013900.1.v1.2 pep primary_assembly:ASM411807v1:8:1183019:1185647:1 gene:Vigun08g013900.v1.2 transcript:Vigun08g013900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSESVCVTGASGFIGSWLVMRLMERGYTVRATVRDPANMKKVKHLVELPGANTKLTLWKADLSEEGSFDEAIKGCTGVFHVATPMDFESKDPENEVIKPTIKGLLDIMKACVKAKSVRRIVFTSSAGTVDVAEKPKPVYDENCWSDVEFCRRVKMTGWMYFVSKTLAEKEAWKFAREHNIDFVSVIPPLVVGPFLMPTMPPSLITALSLITGNEGHYHIIKQGQFVHLDDLCLAHIFLFENPKAEGRYICCSDEATIHDIAKLLNQKYPHYNIPTKFKDIPDELDIIRFSSKKITDMGFKFEYSLEDMFTGAVETCREKGLLPEPAQTPVNGSVHK >Vigun07g283200.5.v1.2 pep primary_assembly:ASM411807v1:7:39714799:39717424:1 gene:Vigun07g283200.v1.2 transcript:Vigun07g283200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLTFNLITVSSYRVDNVWAIAKDRIATIAIGGGLCLVLSLLLFPNWSGEDLHNSTIAKLEGLANSVQGYKAVLDSKSKDETLALQASWEPRWSNYWHRIPWRQYKVVGVTLLHFSYTIVALHGCLLSEIQTPGSIRALYKDSCIRLAEEVSKALRELANSIRNKRQFSPQALSDSINEALQNLNDELKSQPQLFLGSKNFGGTTHAEEETRVSVSSFRSDCSPKFEYKSRELSGEMSVEGHKKVLKPLMSKIVMTSLEFSEALPFAAFTSMLVEMVAKLDHVIDAVDDLAKLSRFREFRDEDEIVVSCEKPKIVHNDLPSYAFD >Vigun07g283200.1.v1.2 pep primary_assembly:ASM411807v1:7:39714200:39717424:1 gene:Vigun07g283200.v1.2 transcript:Vigun07g283200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLTFNLITVSSYRVDNVWAIAKDRIATIAIGGGLCLVLSLLLFPNWSGEDLHNSTIAKLEGLANSVQACVVRYFNDSENQETQDDASDDLIYKGYKAVLDSKSKDETLALQASWEPRWSNYWHRIPWRQYKVVGVTLLHFSYTIVALHGCLLSEIQTPGSIRALYKDSCIRLAEEVSKALRELANSIRNKRQFSPQALSDSINEALQNLNDELKSQPQLFLGSKNFGGTTHAEEETRVSVSSFRSDCSPKFEYKSRELSGEMSVEGHKKVLKPLMSKIVMTSLEFSEALPFAAFTSMLVEMVAKLDHVIDAVDDLAKLSRFREFRDEDEIVVSCEKPKIVHNDLPSYAFD >Vigun07g283200.4.v1.2 pep primary_assembly:ASM411807v1:7:39714800:39717424:1 gene:Vigun07g283200.v1.2 transcript:Vigun07g283200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLTFNLITVSSYRVDNVWAIAKDRIATIAIGGGLCLVLSLLLFPNWSGEDLHNSTIAKLEGLANSVQACVVRYFNDSENQETQDDASDDLIYKGYKAVLDSKSKDETLALQASWEPRWSNYWHRIPWRQYKVVGVTLLHFSYTIVALHGCLLSEIQTPGSIRALYKDSCIRLAEEVSKALRELANSIRNKRQFSPQALSDSINEALQNLNDELKSQPQLFLGSKNFGGTTHAEEETRVSVSSFRSDCSPKFEYKSRELSGEMSVEGHKKVLKPLMSKIVMTSLEFSEALPFAAFTSMLVEMVAKLDHVIDAVDDLAKLSRFREFRDEDEIVVSCEKPKIVHNDLPSYAFD >Vigun07g283200.3.v1.2 pep primary_assembly:ASM411807v1:7:39714200:39717424:1 gene:Vigun07g283200.v1.2 transcript:Vigun07g283200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLTFNLITVSSYRVDNVWAIAKDRIATIAIGGGLCLVLSLLLFPNWSGEDLHNSTIAKLEGLANSVQACVVRYFNDSENQETQDDASDDLIYKGYKAVLDSKSKDETLALQASWEPRWSNYWHRIPWRQYKVVGVTLLHFSYTIVALHGCLLSEIQTPGSIRALYKDSCIRLAEEVSKALRELANSIRNKRQFSPQALSDSINEALQNLNDELKSQPQLFLGSKNFGGTTHAEEETRVSVSSFRSDCSPKFEYKSRELSGEMSVEGHKKVLKPLMSKIVMTSLEFSEALPFAAFTSMLVEMVAKLDHVIDAVDDLAKLSRFREFRDEDEIVVSCEKPKIVHNDLPSYAFD >Vigun07g283200.2.v1.2 pep primary_assembly:ASM411807v1:7:39713634:39717424:1 gene:Vigun07g283200.v1.2 transcript:Vigun07g283200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLTFNLITVSSYRVDNVWAIAKDRIATIAIGGGLCLVLSLLLFPNWSGEDLHNSTIAKLEGLANSVQGYKAVLDSKSKDETLALQASWEPRWSNYWHRIPWRQYKVVGVTLLHFSYTIVALHGCLLSEIQTPGSIRALYKDSCIRLAEEVSKALRELANSIRNKRQFSPQALSDSINEALQNLNDELKSQPQLFLGSKNFGGTTHAEEETRVSVSSFRSDCSPKFEYKSRELSGEMSVEGHKKVLKPLMSKIVMTSLEFSEALPFAAFTSMLVEMVAKLDHVIDAVDDLAKLSRFREFRDEDEIVVSCEKPKIVHNDLPSYAFD >Vigun01g107200.2.v1.2 pep primary_assembly:ASM411807v1:1:27901411:27906000:1 gene:Vigun01g107200.v1.2 transcript:Vigun01g107200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKDSGVMKAWEATMRKTHAVAKKRANSIFGTISLSQVTEEEDNQDDYDDDDENENEVYEVYLEEKVLPNGDYYTGEWANNFPHGLGKYLWTDGCMYVGDWYKGKTKGKGRFSWPSGATYEGEFKGGYMCGTGTYTGCSGDTYKGHWELNLKHGHGFKSYANGDWFDGEWKRGLQDGEGRYEWKDESHYVGEWRNGIICGKGSFAWANGNKFEGFWEDGWPKGNGTFKWPDGSFYEGNFSKDVKDPNGTYHPKESESEEGEGEGHSNWDPQELYNDLSAYLVCPGEKAQVMPSQKRLAVWRSTKAAGETSKTRRMSVDGRVSMGLDRQGDRLLDGGESDGSAKTPTMGSDLEEDLTALRVEDAAENSGQLQPLKAPKKSKRVGESIGKGHKNYELMLNLQLGLRHSIARPAPTASLDLKPAAFDPKEKVWTRFPPEGSKYTPPHPCCDFKWKDYCPVVFRTLRKLFMVDPADYMLSLCGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRLLPSYYNHFRDYKNTLLTKYYGLHCVKLNGPIQKKVHS >Vigun01g107200.1.v1.2 pep primary_assembly:ASM411807v1:1:27901411:27906000:1 gene:Vigun01g107200.v1.2 transcript:Vigun01g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKDSGVMKAWEATMRKTHAVAKKRANSIFGTISLSQVTEEEDNQDDYDDDDENENEVYEVYLEEKVLPNGDYYTGEWANNFPHGLGKYLWTDGCMYVGDWYKGKTKGKGRFSWPSGATYEGEFKGGYMCGTGTYTGCSGDTYKGHWELNLKHGHGFKSYANGDWFDGEWKRGLQDGEGRYEWKDESHYVGEWRNGIICGKGSFAWANGNKFEGFWEDGWPKGNGTFKWPDGSFYEGNFSKDVKDPNGTYHPKESESEEGEGEGHSNWDPQELYNDLSAYLVCPGEKAQVMPSQKRLAVWRSTKAAGETSKTRRMSVDGRVSMGLDRQGDRLLDGGESDGSAKTPTMGSDLEEDLTALRVEDAAENSGQLQPLKAPKKSKRVGESIGKGHKNYELMLNLQLGLRHSIARPAPTASLDLKPAAFDPKEKVWTRFPPEGSKYTPPHPCCDFKWKDYCPVVFRTLRKLFMVDPADYMLSLCGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRLLPSYYNHFRDYKNTLLTKYYGLHCVKLNGPIQKKVRFIIMGNLFRSEFITHRRYDLKGSSLGRTSDKPETEISETTILKDLDLNFIFQLEKSQFEEFCRQVDLDCEILEQEGIMDYSLLVGIYFKDISPEGEVIPIQSRTPAASASGDLENEENPDTTSEAKDEPPSDPPPSVTLGMNMPARVERTVRRSGCELQLIGEPIGEFYNVSLTFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPIQYSRRFRDFILRVFTETS >Vigun04g172000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39604511:39604980:1 gene:Vigun04g172000.v1.2 transcript:Vigun04g172000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVCLMLLFLLGTCSASLKVSEVGQVIKSGDHHEATDEPSESSEACCDRCECTKSIPPQCRCSDVRLNSCHSACKSCACTFSIPAQCFCGDINDFCYKPCKSSSHDDDDWDK >Vigun11g113900.3.v1.2 pep primary_assembly:ASM411807v1:11:31691458:31693845:1 gene:Vigun11g113900.v1.2 transcript:Vigun11g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFCYNNGTADISPSNLFLARCAAEYMEMKEPVADVCNLLELTEKSLHEINYWTWSDVLIALKQCQNLVVLDCSEMVERCLDTIVGRLVLASEASPCPSTSSTDSSWIRFSCDSKSTESVKTSFTRLTWWFEDLLFFSPLLVAMLVKSMLLRKMDHVLISKFLLYYQKAKFSTSTTEEKSKIIEMVIDMHYGMDLSCVPCKTLFGILRVTLGLNISKCSRNKLETMIGSQLDQATLDNLLVPSPYGISYLYDVNLVLRILKAFLRRGNGAVTPIRMKKVASLIDLYTAEIAPDPCLKISKFLALATALPDSARDSYDEIYHAMDMYLEVHAQLSQEERVKICCVLNYEKLSPQACIHLSQNKKFPSKSAVQALISQQSKLKNLLHVTPSTSSYNDSPCSSSGAAQKVKKDKTSEQVVLYAGNFDLSTDNEKLKAHLQGMQWRVMELEKFCRKMQVQMAKITKSKASGNSYAKSLPKLCS >Vigun11g113900.1.v1.2 pep primary_assembly:ASM411807v1:11:31689862:31693845:1 gene:Vigun11g113900.v1.2 transcript:Vigun11g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCNLEVDVNGEETFMVDKTVITQYSNKFARLFGKAGGAIGKLKVIFHDFPGGAEGFELMLKFCYNNGTADISPSNLFLARCAAEYMEMKEPVADVCNLLELTEKSLHEINYWTWSDVLIALKQCQNLVVLDCSEMVERCLDTIVGRLVLASEASPCPSTSSTDSSWIRFSCDSKSTESVKTSFTRLTWWFEDLLFFSPLLVAMLVKSMLLRKMDHVLISKFLLYYQKAKFSTSTTEEKSKIIEMVIDMHYGMDLSCVPCKTLFGILRVTLGLNISKCSRNKLETMIGSQLDQATLDNLLVPSPYGISYLYDVNLVLRILKAFLRRGNGAVTPIRMKKVASLIDLYTAEIAPDPCLKISKFLALATALPDSARDSYDEIYHAMDMYLEVHAQLSQEERVKICCVLNYEKLSPQACIHLSQNKKFPSKSAVQALISQQSKLKNLLHVTPSTSSYNDSPCSSSGAAQKVKKDKTSEQVVLYAGNFDLSTDNEKLKAHLQGMQWRVMELEKFCRKMQVQMAKITKSKASGNSYAKSLPKLCS >Vigun11g113900.2.v1.2 pep primary_assembly:ASM411807v1:11:31689862:31693845:1 gene:Vigun11g113900.v1.2 transcript:Vigun11g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFCYNNGTADISPSNLFLARCAAEYMEMKEPVADVCNLLELTEKSLHEINYWTWSDVLIALKQCQNLVVLDCSEMVERCLDTIVGRLVLASEASPCPSTSSTDSSWIRFSCDSKSTESVKTSFTRLTWWFEDLLFFSPLLVAMLVKSMLLRKMDHVLISKFLLYYQKAKFSTSTTEEKSKIIEMVIDMHYGMDLSCVPCKTLFGILRVTLGLNISKCSRNKLETMIGSQLDQATLDNLLVPSPYGISYLYDVNLVLRILKAFLRRGNGAVTPIRMKKVASLIDLYTAEIAPDPCLKISKFLALATALPDSARDSYDEIYHAMDMYLEVHAQLSQEERVKICCVLNYEKLSPQACIHLSQNKKFPSKSAVQALISQQSKLKNLLHVTPSTSSYNDSPCSSSGAAQKVKKDKTSEQVVLYAGNFDLSTDNEKLKAHLQGMQWRVMELEKFCRKMQVQMAKITKSKASGNSYAKSLPKLCS >Vigun07g120550.1.v1.2 pep primary_assembly:ASM411807v1:7:22292828:22294161:-1 gene:Vigun07g120550.v1.2 transcript:Vigun07g120550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPICTCSPSPTRLPHPPLLLFLCHSTEPTTTVRKILLEKQHGYKVRKPQF >Vigun03g260000.1.v1.2 pep primary_assembly:ASM411807v1:3:42613901:42618435:1 gene:Vigun03g260000.v1.2 transcript:Vigun03g260000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPERNHNRSYNHHKVFSVLIVVLFLLLLVDNALCIRFPDRVAESALDQSDQQRFQVAVFALGSFWRSEAVFGCLPGVVRTTVGYAGGSKSNPEYRSLGDHAECVKVEYDPQQISFRELLDIFWSSHDPRQVYGQGPDVGKQYRSIIFVNGTEEARMAAVSKEQEQTRSRSSIVTTQILQFGTFYPAEPEHQKFELKQNTILLQLIGNLPAEELERSSLATKLNGYVAELCPPDIQKRIDAKINDIIKRGWPILRDL >Vigun08g044200.2.v1.2 pep primary_assembly:ASM411807v1:8:4626696:4631700:1 gene:Vigun08g044200.v1.2 transcript:Vigun08g044200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLSTPKTEKSSDDGENDHLRYGLSSMQGWRASMEDAHAAHLDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVVKSEAYTAGDIGTSLRESFFRMDEMMRGQRGWRELASLGDKINKFNGKIEGLIWSPRSREYKKAQEDSWAFEEGPHSNFGGPTSGSTACVAVIRNNQLFVANAGDSRCVICRKGQAYDLSIDHKPDLDSEKDRIIKAGGFIHAGRVNGSLSLARAIGDMEFKQNRFLPAEKQMVTANPDINTIELCDEDEFIVLACDGIWDCLSSQQLVDFVRQQLLIETKLSAVCERVLDRCLAPTIAIGDGCDNMTMILVQFKKLNQSSAPT >Vigun08g044200.1.v1.2 pep primary_assembly:ASM411807v1:8:4626696:4631705:1 gene:Vigun08g044200.v1.2 transcript:Vigun08g044200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLSTPKTEKSSDDGENDHLRYGLSSMQGWRASMEDAHAAHLDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVVKSEAYTAGDIGTSLRESFFRMDEMMRGQRGWRELASLGDKINKFNGKIEGLIWSPRSREYKKAQEDSWAFEEGPHSNFGGPTSGSTACVAVIRNNQLFVANAGDSRCVICRKGQAYDLSIDHKPDLDSEKDRIIKAGGFIHAGRVNGSLSLARAIGDMEFKQNRFLPAEKQMVTANPDINTIELCDEDEFIVLACDGIWDCLSSQQLVDFVRQQLLIETKLSAVCERVLDRCLAPTIAIGDGCDNMTMILVQFKKLNQSSAPT >Vigun08g044200.3.v1.2 pep primary_assembly:ASM411807v1:8:4627594:4631700:1 gene:Vigun08g044200.v1.2 transcript:Vigun08g044200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLSTPKTEKSSDDGENDHLRYGLSSMQGWRASMEDAHAAHLDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVVKSEAYTAGDIGTSLRESFFRMDEMMRGQRGWRELASLGDKINKFNGKIEGLIWSPRSREYKKAQEDSWAFEEGPHSNFGGPTSGSTACVAVIRNNQLFVANAGDSRCVICRKGQAYDLSIDHKPDLDSEKDRIIKAGGFIHAGRVNGSLSLARAIGDMEFKQNRFLPAEKQMVTANPDINTIELCDEDEFIVLACDGIWDCLSSQQLVDFVRQQLLIETKLSAVCERVLDRCLAPTIAIGDGCDNMTMILVQFKKLNQSSAPT >Vigun07g113900.2.v1.2 pep primary_assembly:ASM411807v1:7:21077168:21102402:1 gene:Vigun07g113900.v1.2 transcript:Vigun07g113900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGMIHWMADLIGLYKISCNSEWPQVCSCISLLFYYTMLSFIKSDLEEMGFIISRTDCGLTEQLLPSKHSFFIRESRSGVRHTNVLLRGAVFRTFSINFFTYGFPVSLFALSFWSFNFASLCAFGLLAYVGYVIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFTFLNWKLGRDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCVSDESGQSSNDYSSVKVEGETKVLIVATIAWGLRKCSRAIMLALIFLIALKPGFIHAVYMIFFLMYLLTHNVSRKIRQALILLCEIHFSLLYVLQINLISTALEKKGSLSMEVVTQLGLREEDSAWDFLEVALLACFCAIHNYGFEMLFSFSAIIQHAPSPPIGFGILKAGLNKSVLLSVYSSSSVRNSDESFSHERRIASYLSATGQKFLSLYRSCGTYIAFLTILLTVYMVRPNYISFGYVLLLLLWIIGRQLVERTKRQLWLPLKVYAILVFIFIYSLSSFSSLEMWLSKLIDLYFYLGYDSKASSFDNIWESMAVLIVMQLYSYERRNNKQNSQDHMDQLEPGALGFIRRFIIWHSQKILFIALFYASLSSISAFGFVYLVGLVFCSILPKVSTIPSKSFLAYTGFLVTAEYLFQLLGKQAKMFPGQKYSDISLFLGFHVFQPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPSEVLSKGQWEEPCPLFVPTEDAYINDAMCNEESKGSYNSHPPSSVKERTPGKSLQIITSGLSQAPDTPSSKRGGSDSNGKKYSFGFIWGSSKESHKWNKKRIIALRKERFETQKTVLKIYLKFWIENMFNLFGLEINMISLLLVSFALLNALSMLYIALLAACILLNRQIVRKVWPMFVFLFASILILEYLVIWKDMKLSNSHASNRCHDCWKISHPYFHFCEKCWFGLIVDDPRMLISYFVVFMLACFKLRADHLPSFSGSSTYRQIMSQRRNTFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLILILITGTLEYDILHLGYLAFALVFFRMRFEILKKKNRIFKFLRIYNFTVIMISLAYQSPFIGGPSAGNCETVNNIYEMIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTAQLQQNRESEENKRQRNLQVEKMKSEMLNLQIQLHSMNGSTNCIDGFSHNSEGLRRRKSVSLTSNNDIGISDKEDQVLGRLDYAIREDSVHPSELQETSACTNVETPLTEEYMKHLQDPPICEITEIDIDTASSDSGKKEKVKGQPKENPLKSAVQLIGDGVSQVQFIGNKAVNNLVSFLNISQDSDSNERTNTEDRIYDEMESQKTQHIYMDRSSSMQSDKSSEAASLQLGRIFRFMWNQMRSNNDVVCYCSFVLVFLWNFSLLSMVYIGALFLYALCVHTGPSYIFWIVVLIYTELYILLQYLYQIVIQHCGLNIDPWLLRELGFPTHKITSSFVVSSLPLFLVYLFTLIQSSITPKDDELMSSTDFKYKRNDLHANDDHTSYNWQDRAWDRLNQMINMVKLVIRSFFRYWKSLTQGAESPPYFVQVSLDVNFWPEDGIQPQRIESGINQVLRIVHTEKCKEKNPNLCSFASRVNVQSIERSLEKPNVALVVFEVVYASPVTDSSSTEWNKSLTPAADVAKEILKAQRTGLVEEVGFPYHILSVIGGGKREIDLYAYIFCADLIVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEYVFMLMAIFFLIVLDRIIYLCSFATGKVVFYIFNLILFTYSVTEYAWQLEPSQQRTAQFALRSIFLAKAVSLGLQAVQLQYGVPHKSTLYRQFLTSEVSRVNYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDSVLNRATHKQGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNIANPIKDATFQVDIKTVSGRLNLYQTTLCERLRWDQLDSNVNSDPFGYLDAYNKNDIQLICCQADASTLWLVPLVVRTRLIQSLEWNTDMEIFFTWILSRDRPKGKEVVKYEKAVDPQYLPTQSDVQMVLNGSVNSFRIYNVYPRYFRLTGSGDVRPLEEDNTVSADLIINREQFEWWTFRDINRSNLSGLCGALTGPMAIIISEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Vigun07g113900.3.v1.2 pep primary_assembly:ASM411807v1:7:21079285:21102400:1 gene:Vigun07g113900.v1.2 transcript:Vigun07g113900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFKRSGVRHTNVLLRGAVFRTFSINFFTYGFPVSLFALSFWSFNFASLCAFGLLAYVGYVIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFTFLNWKLGRDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCVSDESGQSSNDYSSVKVEGETKVLIVATIAWGLRKCSRAIMLALIFLIALKPGFIHAVYMIFFLMYLLTHNVSRKIRQALILLCEIHFSLLYVLQINLISTALEKKGSLSMEVVTQLGLREEDSAWDFLEVALLACFCAIHNYGFEMLFSFSAIIQHAPSPPIGFGILKAGLNKSVLLSVYSSSSVRNSDESFSHERRIASYLSATGQKFLSLYRSCGTYIAFLTILLTVYMVRPNYISFGYVLLLLLWIIGRQLVERTKRQLWLPLKVYAILVFIFIYSLSSFSSLEMWLSKLIDLYFYLGYDSKASSFDNIWESMAVLIVMQLYSYERRNNKQNSQDHMDQLEPGALGFIRRFIIWHSQKILFIALFYASLSSISAFGFVYLVGLVFCSILPKVSTIPSKSFLAYTGFLVTAEYLFQLLGKQAKMFPGQKYSDISLFLGFHVFQPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPSEVLSKGQWEEPCPLFVPTEDAYINDAMCNEESKGSYNSHPPSSVKERTPGKSLQIITSGLSQAPDTPSSKRGGSDSNGKKYSFGFIWGSSKESHKWNKKRIIALRKERFETQKTVLKIYLKFWIENMFNLFGLEINMISLLLVSFALLNALSMLYIALLAACILLNRQIVRKVWPMFVFLFASILILEYLVIWKDMKLSNSHASNRCHDCWKISHPYFHFCEKCWFGLIVDDPRMLISYFVVFMLACFKLRADHLPSFSGSSTYRQIMSQRRNTFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLILILITGTLEYDILHLGYLAFALVFFRMRFEILKKKNRIFKFLRIYNFTVIMISLAYQSPFIGGPSAGNCETVNNIYEMIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTAQLQQNRESEENKRQRNLQVEKMKSEMLNLQIQLHSMNGSTNCIDGFSHNSEGLRRRKSVSLTSNNDIGISDKEDQVLGRLDYAIREDSVHPSELQETSACTNVETPLTEEYMKHLQDPPICEITEIDIDTASSDSGKKEKVKGQPKENPLKSAVQLIGDGVSQVQFIGNKAVNNLVSFLNISQDSDSNERTNTEDRIYDEMESQKTQHIYMDRSSSMQSDKSSEAASLQLGRIFRFMWNQMRSNNDVVCYCSFVLVFLWNFSLLSMVYIGALFLYALCVHTGPSYIFWIVVLIYTELYILLQYLYQIVIQHCGLNIDPWLLRELGFPTHKITSSFVVSSLPLFLVYLFTLIQSSITPKDDELMSSTDFKYKRNDLHANDDHTSYNWQDRAWDRLNQMINMVKLVIRSFFRYWKSLTQGAESPPYFVQVSLDVNFWPEDGIQPQRIESGINQVLRIVHTEKCKEKNPNLCSFASRVNVQSIERSLEKPNVALVVFEVVYASPVTDSSSTEWNKSLTPAADVAKEILKAQRTGLVEEVGFPYHILSVIGGGKREIDLYAYIFCADLIVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEYVFMLMAIFFLIVLDRIIYLCSFATGKVVFYIFNLILFTYSVTEYAWQLEPSQQRTAQFALRSIFLAKAVSLGLQAVQLQYGVPHKSTLYRQFLTSEVSRVNYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDSVLNRATHKQGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNIANPIKDATFQVDIKTVSGRLNLYQTTLCERLRWDQLDSNVNSDPFGYLDAYNKNDIQLICCQADASTLWLVPLVVRTRLIQSLEWNTDMEIFFTWILSRDRPKGKEVVKYEKAVDPQYLPTQSDVQMVLNGSVNSFRIYNVYPRYFRLTGSGDVRPLEEDNTVSADLIINREQFEWWTFRDINRSNLSGLCGALTGPMAIIISEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Vigun07g113900.1.v1.2 pep primary_assembly:ASM411807v1:7:21072490:21102400:1 gene:Vigun07g113900.v1.2 transcript:Vigun07g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFLAGFVLPSLLLPAALINWSLISLVDLIAFILILYNVSQLGFHFRRRFLLLWPIVIFSVVAILSEVTYLVIWAAQPMSQSVPQAWWAKLIGFMIVQSWKSPYAIYFLVIQLLALLVALVDIYGKRHFLKTWQDSSRDHFLSIIEHIGSHLRVASCLLLPAIQLVVGISHPSWASLPFFVGSCVGLVDWSLTSNFLGLFRWWRLLQLYAGFNIFLLYIYQLPMELPGMIHWMADLIGLYKISCNSEWPQVCSCISLLFYYTMLSFIKSDLEEMGFIISRTDCGLTEQLLPSKHSFFIRESRSGVRHTNVLLRGAVFRTFSINFFTYGFPVSLFALSFWSFNFASLCAFGLLAYVGYVIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFTFLNWKLGRDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCVSDESGQSSNDYSSVKVEGETKVLIVATIAWGLRKCSRAIMLALIFLIALKPGFIHAVYMIFFLMYLLTHNVSRKIRQALILLCEIHFSLLYVLQINLISTALEKKGSLSMEVVTQLGLREEDSAWDFLEVALLACFCAIHNYGFEMLFSFSAIIQHAPSPPIGFGILKAGLNKSVLLSVYSSSSVRNSDESFSHERRIASYLSATGQKFLSLYRSCGTYIAFLTILLTVYMVRPNYISFGYVLLLLLWIIGRQLVERTKRQLWLPLKVYAILVFIFIYSLSSFSSLEMWLSKLIDLYFYLGYDSKASSFDNIWESMAVLIVMQLYSYERRNNKQNSQDHMDQLEPGALGFIRRFIIWHSQKILFIALFYASLSSISAFGFVYLVGLVFCSILPKVSTIPSKSFLAYTGFLVTAEYLFQLLGKQAKMFPGQKYSDISLFLGFHVFQPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPSEVLSKGQWEEPCPLFVPTEDAYINDAMCNEESKGSYNSHPPSSVKERTPGKSLQIITSGLSQAPDTPSSKRGGSDSNGKKYSFGFIWGSSKESHKWNKKRIIALRKERFETQKTVLKIYLKFWIENMFNLFGLEINMISLLLVSFALLNALSMLYIALLAACILLNRQIVRKVWPMFVFLFASILILEYLVIWKDMKLSNSHASNRCHDCWKISHPYFHFCEKCWFGLIVDDPRMLISYFVVFMLACFKLRADHLPSFSGSSTYRQIMSQRRNTFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLILILITGTLEYDILHLGYLAFALVFFRMRFEILKKKNRIFKFLRIYNFTVIMISLAYQSPFIGGPSAGNCETVNNIYEMIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTAQLQQNRESEENKRQRNLQVEKMKSEMLNLQIQLHSMNGSTNCIDGFSHNSEGLRRRKSVSLTSNNDIGISDKEDQVLGRLDYAIREDSVHPSELQETSACTNVETPLTEEYMKHLQDPPICEITEIDIDTASSDSGKKEKVKGQPKENPLKSAVQLIGDGVSQVQFIGNKAVNNLVSFLNISQDSDSNERTNTEDRIYDEMESQKTQHIYMDRSSSMQSDKSSEAASLQLGRIFRFMWNQMRSNNDVVCYCSFVLVFLWNFSLLSMVYIGALFLYALCVHTGPSYIFWIVVLIYTELYILLQYLYQIVIQHCGLNIDPWLLRELGFPTHKITSSFVVSSLPLFLVYLFTLIQSSITPKDDELMSSTDFKYKRNDLHANDDHTSYNWQDRAWDRLNQMINMVKLVIRSFFRYWKSLTQGAESPPYFVQVSLDVNFWPEDGIQPQRIESGINQVLRIVHTEKCKEKNPNLCSFASRVNVQSIERSLEKPNVALVVFEVVYASPVTDSSSTEWNKSLTPAADVAKEILKAQRTGLVEEVGFPYHILSVIGGGKREIDLYAYIFCADLIVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEYVFMLMAIFFLIVLDRIIYLCSFATGKVVFYIFNLILFTYSVTEYAWQLEPSQQRTAQFALRSIFLAKAVSLGLQAVQLQYGVPHKSTLYRQFLTSEVSRVNYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDSVLNRATHKQGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNIANPIKDATFQVDIKTVSGRLNLYQTTLCERLRWDQLDSNVNSDPFGYLDAYNKNDIQLICCQADASTLWLVPLVVRTRLIQSLEWNTDMEIFFTWILSRDRPKGKEVVKYEKAVDPQYLPTQSDVQMVLNGSVNSFRIYNVYPRYFRLTGSGDVRPLEEDNTVSADLIINREQFEWWTFRDINRSNLSGLCGALTGPMAIIISEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Vigun02g035700.2.v1.2 pep primary_assembly:ASM411807v1:2:14774847:14779929:-1 gene:Vigun02g035700.v1.2 transcript:Vigun02g035700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYCRASKPFLTLKVRFLVPLPSSFSFCTNDAVVNNNRSVVLFRAAVAEPELLVRVLNTVRDRPVSALRFFRWAEGQTGFKRSELAYAVILDILARNGLMRSAYCIMEKEAVIMGGVVDVLTDGGVFSSDVSVKLLDLLLWIYAKKSMLGMCWLVFYKMIAKGLLPDVKNCNRVLRLLKDTNVAREVYNVMMECGIRPTTVTYNTMLDSFCKQRQVQQAQQLLIQMQRVGCSANELTYNILVNGLSHEGELEKAEELIEEMLRLGLKVSAYTYDPLIRGYCEKGMLDNALRLVEEMLRRGVLPTVATYNTIMYGLCKWGRVSDARQLLDVMVNKNLMPDLISFNTLIYGYSRFGDLDVARRIKDEMIQHGPHPDVFTYTILVRGFCMMGNLPMAKELFDEMLHRGLQPDRFAYITRIVGELKLGDPYKAFGMQEEMLSKGFPPDLITYNVFIDGLHKLGNLKEASKLVQKMLYDGIVPDHVTYTSIIHAHLMAGHLRKARVVFNEMLSKGIVPSVVTYTVLIHSYAVRGRLELAILHFIEMLEKGVCPNVITYNALINGLCKVRKMDQAYHFFVEMQAKGIYPNKYTYTILINENCNLGHWQEALRLYKDMLDRDIQPDSCTHSALLKHLSKDYKLRAVWHLENVIGGCE >Vigun06g082700.1.v1.2 pep primary_assembly:ASM411807v1:6:21434444:21437777:-1 gene:Vigun06g082700.v1.2 transcript:Vigun06g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSDLLSSPTDHNLRGSERPQELSDQTASGVPKFKSTPPPSLPISHPQTHPLPPISPSYFNIPHGLSLAELLDSPVLLHSSNVLPSPTTGSYAGQGFNWKSSYGESQHHVKEEDKSFSSFSFQTQTQIPLTSSTGFQSSNGWSFPETAKQDGFSSRMNMSMVKTEASSAMQGFNSENNNHHSAGLLQSDYNSYQPQVQTLSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPSCPTKKKVERSLDGQITEIVYKGSHNHPKPQANKRNSSSLAINSVNNEITHHMDSVATPENSSISMEDDDFGQSKSGGDEFDNDEPDAKRWRIEGENEGISAAGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSSSISRAVPINTTTTNSTTSAATSIYINNSHPNMSGSFGFSGFGNPLMGSYMNQQSDNVFTSRAKEEPGDDSFLDSLLC >Vigun09g068700.1.v1.2 pep primary_assembly:ASM411807v1:9:7329043:7330164:1 gene:Vigun09g068700.v1.2 transcript:Vigun09g068700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEMKVEKGVDLQRYMGRWYEIASFPSRFQPKNGANTRATYTLKEDGSVHVLNETFSDGKRGFIEGTAYKADPNSDEAKLKVKFYVPPFLPIIPVVGDYWVLFLDQDYQYALIGQPSRKYLWILSRKPDLDEEIYKELVEKAKEEGYDVSKLQKTPQSDRPEEAEEGPRDTKGFWWIKSILGK >Vigun10g079500.1.v1.2 pep primary_assembly:ASM411807v1:10:21781245:21784468:-1 gene:Vigun10g079500.v1.2 transcript:Vigun10g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVRPQFVLFGSSIVELSYSCEGWGATLANLYARKADVILRGYCGWNTRRALQALDKIFPKDAGDQPSLVIVYFGGNDSMHPHPTAHSPHVPLQEYIQNMRNIAIHLMSLSKKTRLIFLSSPPINEVQMHEMLSDLLGPLKRTNESCRLYSEACLELCREMNVKAIDLWSALQQRHDWLDVCFTDGIHLSHEGNKIVAKEILKVLREADWEPSLHWNSMPTEFAEDFTL >Vigun03g254900.2.v1.2 pep primary_assembly:ASM411807v1:3:42115114:42119180:1 gene:Vigun03g254900.v1.2 transcript:Vigun03g254900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSASAPLDFEIGTPGVKFMVALDTGSDLFWVPCDCTRCAATDSPAFASAFASDFDLQIYNPNGSSTSKKVTCNNSLCTHRSQCPGTFSNCPYMVSYVSAETSTSGILVEDVLHLTKEDNHHDLVEANVIFGCGQIQSGSFLDVAAPNGLFGLGMEKISVPSMLSREGFTADSFSMCFGSDGIGRISFGDKGSFDQDETPFNLNPSHPTYNVTVTQVRVGTTLIDVEFTALFDSGTSFTYLVAPTYTRLTESFHSQVQDRRRPSDSRIPFEYCYDMSPDANTSLIPSVSLTMGGGSHFAVYDPIIIISTQSELVYCLAVVKSSELNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDMEDRNAIPIRPHSHADVPPAVAAGLGHYPATDSSRKSKYNNYHSSTASSPSSHYTHNSLPTFLGFLVFCFVYIP >Vigun03g254900.3.v1.2 pep primary_assembly:ASM411807v1:3:42115114:42119180:1 gene:Vigun03g254900.v1.2 transcript:Vigun03g254900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDTGSDLFWVPCDCTRCAATDSPAFASAFASDFDLQIYNPNGSSTSKKVTCNNSLCTHRSQCPGTFSNCPYMVSYVSAETSTSGILVEDVLHLTKEDNHHDLVEANVIFGCGQIQSGSFLDVAAPNGLFGLGMEKISVPSMLSREGFTADSFSMCFGSDGIGRISFGDKGSFDQDETPFNLNPSHPTYNVTVTQVRVGTTLIDVEFTALFDSGTSFTYLVAPTYTRLTESFHSQVQDRRRPSDSRIPFEYCYDMSPDANTSLIPSVSLTMGGGSHFAVYDPIIIISTQSELVYCLAVVKSSELNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDMEDRNAIPIRPHSHADVPPAVAAGLGHYPATDSSRKSKYNNYHSSTASSPSSHYTHNSLPTFLGFLVFCFVYIP >Vigun03g254900.1.v1.2 pep primary_assembly:ASM411807v1:3:42115114:42119180:1 gene:Vigun03g254900.v1.2 transcript:Vigun03g254900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSYYKGSSLTHSPTPYTDIITVTKNDTVSIQDLSHVTPRSSLVPPRQTLGSTEQCGVSRERVSVEEPNGKMLSFVFVVVVTFISLWEFCQCHDHVYTFTMHHRHSEPVRKWSHAAAGIPPPPEKGTVEYYAELADRDRFLRGRKLSQIDAGLAFSDGNSTFRISSLGFLHYTTVEIGTPGVKFMVALDTGSDLFWVPCDCTRCAATDSPAFASAFASDFDLQIYNPNGSSTSKKVTCNNSLCTHRSQCPGTFSNCPYMVSYVSAETSTSGILVEDVLHLTKEDNHHDLVEANVIFGCGQIQSGSFLDVAAPNGLFGLGMEKISVPSMLSREGFTADSFSMCFGSDGIGRISFGDKGSFDQDETPFNLNPSHPTYNVTVTQVRVGTTLIDVEFTALFDSGTSFTYLVAPTYTRLTESFHSQVQDRRRPSDSRIPFEYCYDMSPDANTSLIPSVSLTMGGGSHFAVYDPIIIISTQSELVYCLAVVKSSELNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDMEDRNAIPIRPHSHADVPPAVAAGLGHYPATDSSRKSKYNNYHSSTASSPSSHYTHNSLPTFLGFLVFCFVYIP >Vigun09g033600.1.v1.2 pep primary_assembly:ASM411807v1:9:2927558:2932745:1 gene:Vigun09g033600.v1.2 transcript:Vigun09g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENHPSPTYFILLLITITRLISTVGKTSQWKAVLPAELAGVDEDVFDKLRGDSEALQGRASKDYGNIAREVPLAVFHPASAQDIARLIKASYRGAVPFKVAARGQGHSTRGQAMARGGVVVDMAGFRERGSGVGIRVGNTVDPSVGYFHYADVGGEQLWIDVLHATLEHGLAPLSWTDYLYLTVGGTLSNAGISGQTFRYGPQISTVRELDVITGKGESVTCSSQTNSELFHGVLGGLGQFGIITRARIALAPAPKRVKWVRLLYSDFSAFTKDQEQLISITGRKQNIIGLDYVEGSLLMHQGPINNWRSSFFPLADHGRIISQVTQHRVLYCLEVAKYYDGQSENNVDKELQVLLHGLSYIPGFYYEKDVSYVEFLNRVRSGELKLQSQGLWDVPHPWLNLFIPKSQIMDFDSGVFKNIILKRNITTGPVLVYPMNRNKWDNRMSASIPDEDIFYTVGFLHSSGFDNWKEYDTQNKEIVQFCNDAGIKIKQYLPHYRTQEDWTNHFGPKWRTFVERKHQFDPRMILSPGQRIFNN >Vigun10g164500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38357227:38358211:-1 gene:Vigun10g164500.v1.2 transcript:Vigun10g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEEMILMLILCCLVSSTSHASPLQNFCKADLNGPNSPAGYNCLPYDAVTAADFKYSFNGAPSIAVPSNTVLFPASVTEVPILNGLGISGGCVEIEEGGIVPVHDHAATEILLVQQGEITVGLFTPRKAYQNTLQQGDLVVFPEGLRHYLINSGTGKATAFAAFSSANPRFRFLPIELSANDVPSAIIARTTFLDEPQVRKLKAFFNGTG >Vigun10g098500.1.v1.2 pep primary_assembly:ASM411807v1:10:28851754:28855454:1 gene:Vigun10g098500.v1.2 transcript:Vigun10g098500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKHKKEKINKIRYTKCVSHIEHVPTVLPEPQTHTRTLQSAPSSFRNRVKSTHPINKITNHRTRTLSAPSALDVARQDTLTTVEFEDQEESRYNVGSLKGQHSSNPLPLPLPSHQGGGELKATGSFKSGIVAGSVYAPDAAEHDALATVEYEEQEESKNRVGLVKDHCSTNSQPLPLPSRQGGGAALKANVSFKMGMATPLVYACGPLPLPPTGLLRNLLFEEIAAACHNFSSDRCMSECLSSTIYSASFGDDASSAKKFEATVTRLHSSTQGLKEFINDVNTLASLQHPNLCKLLGFHARDGSEPRMLVYERLYHGSLDQQLFGRSDGHSIDWNSRMKVAICAAQGLTFLHEEGPFQAMYNEFSAANIQIDKDFSAKLSGYGCVGRIPEEEISSSTCAVGNLSVETLERGILTPKSYVWGFGIILLELLTGRKNVDSHHPKEERNLVKWSRPFLADDFRLSLIMDPHLKGRYPPKAARAVADIAQRCLQKEPSERPPMRTIVEHLKVVQDMKYSCWFPLQEPAKQMSRSPSLNGVKCLTPTLSFSPSTAPVARPSVSSPRWCAVPTLHLPLGACSSTFNMDDHVRPESRKSILNV >Vigun10g098500.3.v1.2 pep primary_assembly:ASM411807v1:10:28851309:28855454:1 gene:Vigun10g098500.v1.2 transcript:Vigun10g098500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKHKKEKINKIRYTKCVSHIEHVPTVLPEPQTHTRTLQSAPSSFRNRVKSTHPINKITNHRTRTLSAPSALDVARQDTLTTVEFEDQEESRYNVGSLKGQHSSNPLPLPLPSHQGGGELKATGSFKSGIVAGSVYAPDAAEHDALATVEYEEQEESKNRVGLVKDHCSTNSQPLPLPSRQGGGAALKANVSFKMGMATPLVYACGPLPLPPTGLLRNLLFEEIAAACHNFSSDRCMSECLSSTIYSASFGDDASSAKKFEATVTRLHSSTQGLKEFINDVNTLASLQHPNLCKLLGFHARDGSEPRMLVYERLYHGSLDQQLFGRSDGHSIDWNSRMKVAICAAQGLTFLHEEGPFQAMYNEFSAANIQIDKDFSAKLSGYGCVGRIPEEEISSSTCAVGNLSVETLERGILTPKSYVWGFGIILLELLTGRKNVDSHHPKEERNLVKWSRPFLADDFRLSLIMDPHLKGRYPPKAARAVADIAQRCLQKEPSERPPMRTIVEHLKVVQDMKYSCWFPLQEPAKQMSRSPSLNGVKCLTPTLSFSPSTAPVARPSVSSPRWCAVPTLHLPLGACSSTFNMDDHVRPESRKSILNV >Vigun10g098500.2.v1.2 pep primary_assembly:ASM411807v1:10:28851264:28855454:1 gene:Vigun10g098500.v1.2 transcript:Vigun10g098500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKHKKEKINKIRYTKCVSHIEHVPTVLPEPQTHTRTLQSAPSSFRNRVKSTHPINKITNHRTRTLSAPSALDVARQDTLTTVEFEDQEESRYNVGSLKGQHSSNPLPLPLPSHQGGGELKATGSFKSGIVAGSVYAPDAAEHDALATVEYEEQEESKNRVGLVKDHCSTNSQPLPLPSRQGGGAALKANVSFKMGMATPLVYACGPLPLPPTGLLRNLLFEEIAAACHNFSSDRCMSECLSSTIYSASFGDDASSAKKFEATVTRLHSSTQGLKEFINDVNTLASLQHPNLCKLLGFHARDGSEPRMLVYERLYHGSLDQQLFGRSDGHSIDWNSRMKVAICAAQGLTFLHEEGPFQAMYNEFSAANIQIDKDFSAKLSGYGCVGRIPEEEISSSTCAVGNLSVETLERGILTPKSYVWGFGIILLELLTGRKNVDSHHPKEERNLVKWSRPFLADDFRLSLIMDPHLKGRYPPKAARAVADIAQRCLQKEPSERPPMRTIVEHLKVVQDMKYSCWFPLQEPAKQMSRSPSLNGVKCLTPTLSFSPSTAPVARPSVSSPRWCAVPTLHLPLGACSSTFNMDDHVRPESRKSILNV >Vigun10g007700.2.v1.2 pep primary_assembly:ASM411807v1:10:728314:729517:-1 gene:Vigun10g007700.v1.2 transcript:Vigun10g007700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLASFDERKLWGCLFLPIGSVFFVGYFFVAVISKLLPPSHVPLISALQNDWYYCFLLPLTLPIIVVAVYFHWLSMKLFKHA >Vigun10g007700.1.v1.2 pep primary_assembly:ASM411807v1:10:728314:729421:-1 gene:Vigun10g007700.v1.2 transcript:Vigun10g007700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLASFDERKLWGCLFLPIGSVFFVGYFFVAVISKLLPPSHVPLISALQNDWYYCFLLPLTLPIIVVAVYFHWLSMKLFKHA >Vigun02g007800.1.v1.2 pep primary_assembly:ASM411807v1:2:3379417:3380883:-1 gene:Vigun02g007800.v1.2 transcript:Vigun02g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPCLLQMVSALVLISLASASLEVGFYRSTCPSAEAIVKSTVEKAISANPGIAAGIIRLHFHDCFVRGCDGSVLLASTPGNPPAERDNFINNPSLRGFEVIDEAKTQLEAACPNTVSCADILAFAARDSASKVGGINYDVPSGRRDGRVSIGDEVPQNLPGPGFNADQLINNFGQKGLSVDEMVTLSGAHSIGVASCGVFSNRLYSFSGTVTQDPSLDPSYAETLKKQCPPPPAVTGTTVSLEPSTPIRLDSKYYEGLINHRGLLTSDQTLFATQSTKPMVESNAYNGASWAEKFAQAMVRMGSIQVLTGYDGEIRKQCSFVN >Vigun11g098850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:29058667:29059902:-1 gene:Vigun11g098850.v1.2 transcript:Vigun11g098850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAEFEYDQHKFTSKAAARRFLEIMHVSILSERHVNLKVGEFDDFRLELERRKWHRVLGNLPNEVDEVLVKEFYANAYNSDGSLPCQAKVWGKMIKFNRKALNTFLRTPIFPADRNTPYGDFLIEDKDLEAIVARLCILGESYVIGVSRTPVRILRKHLNSLAHIWSVFSYNNISPNTHTSDINLERSYLIFAIMTKIENDIGVVISQEIALIASNATKLGFPALITALCKAKGVVSDTPIFLRLQSPINSRFISKHCINPAVDHVPAPRPVPRPRPPNVPRASSSEATSQAAMSKMFARQEDIWDSKQAVRRGTRCLMDNLHKLSLAVPNTPDDYLMTGAQFDECISWPRGRPKSQWGGVVLLLTLLTLRIRMMMVLMVQMMLMMLMRLRMLLRRSFLLMMMLEMCCV >Vigun04g054200.1.v1.2 pep primary_assembly:ASM411807v1:4:5131185:5133015:-1 gene:Vigun04g054200.v1.2 transcript:Vigun04g054200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEKYYSQRETMAVAVAVAEEEAFDLPPGFRFHPTDEELITHYLSQKVLDNCFCSRAIGEADLNKCEPWDLPCMAKMGEKEWYFFSVRDRKYPTGQRTNRATGAGYWKATGKDKEIYKAKTLIGMKKTLVFYKGRAPSGEKTNWVMHEYRLEDDHSMHNPHKKAMNDWAICRIFEKTNCGKKMQISGLVRFGSFGKDMPSLMDSSPFNSSEAKAVLGESSSHDENMVESLETLMMASSSSYSSNPFDVSPASWGVPHQSHCSNHFMDEEQSMVGMVMENHGSRTHKDFDADMSSLMYNDEMFHRSLGNQEYSSPSLGHVYTSGLWGF >Vigun11g063300.1.v1.2 pep primary_assembly:ASM411807v1:11:14404598:14406823:1 gene:Vigun11g063300.v1.2 transcript:Vigun11g063300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNRNSLIYLFLLFAFCEMKIIVGKDTRSCISRKSPCFGKKVPCPNECPYGSPTNSKAKVCYLDCDSPTCETQCKTRKPNCNSPGSACLDPRFVGADGIVFYFHGRRNEHFALVSDVNLQINARFIGLRPATRTRDYTWIQSLGVLFGSHKFTIEATPTASWNHEVDHLKFSHNGKELAIPDGYLSTWQCPQNQVRIERTSSKNSVTITLPEVAEILVNVVPVTKEDSRIHNYQIPEDNCFAHLEVQFKFHGLSSKVEGVLGRTYQPDFQNPAKLGVPMAVVGGEDKYRTTSLVSADCRVCLFDGAKEASEKINSVSEYGLLDCTGVANSGNGIVCRR >Vigun07g267400.1.v1.2 pep primary_assembly:ASM411807v1:7:38286934:38290198:1 gene:Vigun07g267400.v1.2 transcript:Vigun07g267400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLLYHMKMKMYDVYVILLFCHLTVLHLSAGNANEHTSDCPDLFDCGSLGNISFPFTTSEHPNCGALAIQGCNNPNQTALKQVRLSSGGKLLQVTNIVGGWRWKISIIDKDYRNLLENSSCNALSYNITVPPSSPFGYFSLENNITALNCSHHKNLNLSKDFINYTRCSPFDFYFAPSSSDQNYLRSLTSSCSMVQLPVRQDSQFFKDPFGFLNPQITFQFQSSSACWRCRDRGGNCRLDSNAKLYCAMRKSGAWNRKLPLMLALTVVASVVVVLMVLICCFRAKIFYPLFWRENPTHRVIEDILKEHGPLPTARFSYLEVKKMTNSFRNKLGQGGFGSVYKGKLHDGCVVAVKVLTVSKGNGEEFINEVVSISRTSHVNIIRLLGFCFENTHRALVYEFMPNGSLDKFIYEDKIASKDVHQLDWKILNDIAAGIARGLEYLYRGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKVCPRKESMVSLFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVLEMVGKRKNIKTKVDRSSEIYFPHWIYNRLESNTELGLENVRNESEDEMVRKMTIVGLWCIQTHPSTRPTISKVVEMLESKLDFLQIPPKPYLSSPPISPTKLSYESL >Vigun07g257800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37496399:37497126:1 gene:Vigun07g257800.v1.2 transcript:Vigun07g257800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQSLAFIVVIVWCSSWLNIKHATARQLNTVVLHADDDHEPRRNILELSNIVLRRLNVGSGPKNDVRKLVMDSSGPSPDGPGHKGALPSYSKPNH >Vigun01g179400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36010142:36011008:-1 gene:Vigun01g179400.v1.2 transcript:Vigun01g179400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSHDGRGHHPSRAFSYESSSHGDRPASPPMEAPPNMMYYSPSMGYQPPPMALQGYPPGYDPYPNDYPPHSQSQVYHYPAGPYFSDPPTHHNAGGNKALLRGFLIFCCVIFGGLFLATLVMALMMHPKLPAYTVNSLSVANFNASTALTADWNTSFSIQNVNDKLNGFLSGFKVDLLHKNVILAMSYVPDFGLDKKEVKRIDAKMSSMGFPFPTSDMVEMAKDQTSGSVTVVMRIASMVEFKSETFTTRMSFVLAICDGLKVVFQNHTGNGALDNGGNPVVCQLYM >Vigun05g295700.4.v1.2 pep primary_assembly:ASM411807v1:5:48083231:48085811:1 gene:Vigun05g295700.v1.2 transcript:Vigun05g295700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGNKRSLFEELPPSPPVSKRLRCSSSPIRLSLPSLIDHLRPLFPHMDDQILEKALQENGNDLDAAIKSLHGLRLESNDDNSQAAPQPHPDVVHTGSLEENVDAACASGGQPAADNFPADGAEWIDLFVREMTCATSVDDARARAARLLEVLEKSISAHATSGATTALQRENLMLKEQIEALTKEKNCFKNAFKIQFERLSDYDSKNQELQQLKQLVSQYQEQIRTLEVNNYALRMHLNQAQQYNSFPGRFPPDAF >Vigun05g295700.2.v1.2 pep primary_assembly:ASM411807v1:5:48083135:48085851:1 gene:Vigun05g295700.v1.2 transcript:Vigun05g295700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGNKRSLFEELPPSPPVSKRLRCSSSPIRLSLPSLIDHLRPLFPHMDDQILEKALQENGNDLDAAIKSLHGLRLESNDDNSQAAPQPHPDVVHTGSLEENVDAACASGGQPAADNFPADGAEWIDLFVREMTCATSVDDARARAARLLEVLEKSISAHATSGATTALQRENLMLKEQIEALTKEKNCFKNAFKIQFERLSDYDSKNQELQQLKQLVSQYQEQIRTLEVNNYALRMHLNQAQQYNSFPGRFPPDAF >Vigun05g295700.3.v1.2 pep primary_assembly:ASM411807v1:5:48083135:48085817:1 gene:Vigun05g295700.v1.2 transcript:Vigun05g295700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGNKRSLFEELPPSPPVSKRLRCSSSPIRLSLPSLIDHLRPLFPHMDDQILEKALQENGNDLDAAIKSLHGLRLESNDDNSQAAPQPHPDVVHTGSLEENVDAACASGGQPAADNFPADGAEWIDLFVREMTCATSVDDARARAARLLEVLEKSISAHATSGATTALQRENLMLKEQIEALTKEKNCFKNAFKIQFERLSDYDSKNQELQQLKQLVSQYQEQIRTLEVNNYALRMHLNQAQQYNSFPGRFPPDAF >Vigun03g384300.1.v1.2 pep primary_assembly:ASM411807v1:3:58911142:58919490:-1 gene:Vigun03g384300.v1.2 transcript:Vigun03g384300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGLNYVSSAPAFSKDGKRLLVCSGSSVSVFSTATGSLVSSLQGHTALVTTVVVVPTAASLLSYCWTASIDGTIRQWDYSVPECVKILDLHFPIFSLVVPSALSTSEEKDAKSSPNVIAYVSVESRLAQDNRPNAGFGQIRKCNLTNFHTVSSLILRQTEQPESLTISPSGKFLGIKDKRKLHIWKVPKMDSDSAVSKKITLHHTKTFTVIAFHPTERIVAAGDVTGRILIWRGFGAQKFLNSSGLVNGRPTYNDDKPGVRENDDAESCCTWHWHSSGVSHLSFSSDGAYLYSGGKEGVLMLWQLDTGNKKPLPRIGSPLLYFVDSPDSSLSSLSCADNQIHILKMPSMEIIKSISGIKPPLSSQDICEGLSSRVSFDCTSGSVAVQTENYRIQFYSLFANRGVYEVQVCERNHQPVDEITLVVSLVELSIDGSMMGTVEVKLPEDGIGGLVCLKFWDLDDNQRFSVSTLIYEPHRDAHISAVAFHPTRHMAVSSSYGGDFKVWVCKEEIEHKGQMLQNSGWMCHAVGSYKNKAMRAAAFSADGSVLAVAADTVITLWDPNNNVLVAVIGETPVPITSLVFAGSSDYLLSISHGSKPQLSVWSMSKLATSWSYRLQIEAVSSAIDLSYFAVLVLLPEWNDCTFKGDGIILLFNVKDPVPVASWSVSKAKGGGLAFLKGEPSESAITDGRASHVLLAYINGDREYVIFHPFGKEARELSFTGQEDRVFEETGQSGYTSIYGELPKFDLKRNIASPIPSAASERPWETIFSGSSHSLPPLTKLCSEFLESLLEKRTTTVE >Vigun09g136500.2.v1.2 pep primary_assembly:ASM411807v1:9:29734113:29742155:1 gene:Vigun09g136500.v1.2 transcript:Vigun09g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTIDATATLDYASIQIFPHQNRYEAFVCKGMQSDKAAAGHLEHLLPHLPAINDLYAEGFDANFDLELPENLHGAEWFSKATIKRFLHVVSSPDLINVISSILDEMSQLEDSKKFHVSLYGKGHQDHLETERDGDYSSYGEAPASKPEVSIVSPDASKNELLRAMDLRLTALIDKLAETFNKATGATCSPEDLTYLAKFSQHFGATNIGYSLCKFIELNHKNQYVGPLSSEAILHSCDVTKDNANKTIKSLQSSKPLHSDTPVKYGVSPAKAAQVERHGSTESEESSNSSDEDQTSAERSRSLVRSATPRRSASPMRRVQIGRAGPRRAAALTIKSLNYFPGRERPNTFRDASENDSEGEVSEQSYKKSEIDVRRITVQDAISLFESKQRDQTTDIQKRKSLADVSVGTNKSVLRRWSAGMGETSVQDQPEHFHEDPVPMTSNDDAVHDKISKNSEVEMLSDFVSEIDSSNAIIDRDKKSERQENVGLYTEDNPDETNPAVKDETVNKLAASAEWNQRKQEEFNQILKKMVESKPVLFGKSQPSRNQNISFEQRGGSYNHYKEKRDQKLKGAKAGKVEKEAQFRQMQQLLDKSKVEMSKNVSASKKVASRLPQTSQRKSTQPANSPKETSKPSATKRISSRTSPMPATRKSWSATPSPRTAGTSPAKARGGISSANTTPTRRKPVSTTSVPQPSPQKERSQPRKRNDKETQTSNNSKSLRSMTEKRQPAVPNKSKAVKAKVTTASEEASVPSKTSLSNKGTKKSSVVPLESKPFLRKGSRMGHGTADLIKKKGPPKMEKSQRESAELIEDRESELVVNASDLVSHHSDGDTMTPIHQNAATVPDPQINNQSQCNEPEKLDQNPTDGDVVTYTEESSLNIRNEEELTISPSAWVDTEEDLLMPKPCEDDTFQSASLANAVPVGSSSPRVRHSLSQMLQEESSEPDSCEWGNAENPPAMIYQKDAPKGLKRLLKFARKSKGDTGSTGWSSPSVFSEGEDDAEELKNSNKRNADNLLRKAALNVKSYGQPKNSVHDGYERNLGRGDGKGSHKMQDGAGPTTRGSRSFFSLSAFRGSKP >Vigun09g136500.1.v1.2 pep primary_assembly:ASM411807v1:9:29734113:29742155:1 gene:Vigun09g136500.v1.2 transcript:Vigun09g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTIDATATLDYASIQIFPHQNRYEAFVCKGMQSDKAAAGHLEHLLPHLPAINDLYAEGFDANFDLELPENLHGAEWFSKATIKRFLHVVSSPDLINVISSILDEMSQLEDSKKFHVSLYGKGHQDHLETERDGDYSSYGEAPASKPEVSIVSPDASKNELLRAMDLRLTALIDKLAETFNKATGATCSPEDLTYLAKFSQHFGATNIGYSLCKFIELNHKNQYVGPLSSEAILHSCDVTKDNANKTIKSLQSSKPLHSDTPVKYGVSPAKAAQVERHGSTESEESSNSSDEDQTSAERSRSLVRSATPRRSASPMRRVQIGRAGPRRAAALTIKSLNYFPGRERPNTFRDASENDSEGEVSEQSYKKSEIDVRRITVQDAISLFESKQRDQTTDIQKRKSLADVSVGTNKSVLRRWSAGMGETSVQDQPEHFHEDPVPMTSNDDAVHDKISKNSEVEMLSDFVSEIDSSNAIIDRDKKSERQENVGLYTEDNPDETNPAVKDETVNKLAASAEWNQRKQEEFNQILKKMVESKPVLFGKSQPSRNQNISFEQRGGSYNHYKEKRDQKLKGAKAGKVEKEAQFRQMQQLLDKSKVEMSKNVSASKKVASRLPQTSQRKSTQPANSPKETSKPSATKRISSRTSPMPATRKSWSATPSPRTAGTSPAKARGGISSANTTPTRRKPVSTTSVPQPSPQKERSQPRKRNDKETQTSNNSKSLRSMTEKRQPAVPNKSKAVKAKVTTASEEASVPSKTSLSNKGTKKSSVVPLESKPFLRKGSRMGHGTADLIKKKGPPKMEKSQRESAELIEDRESELVVNASDLVSHHSDGDTMTPIHQNAATVPDPQINNQSQCNEPEKLDQNPTDGDVVTYTEESSLNIRNEEELTISPSAWVDTEEDLLMPKPCEDDTFQSASLANAVPVGSSSPRVRHSLSQMLQEESSEPDSCEWGNAENPPAMIYQKDAPKGLKRLLKFARKSKGDTGSTGWSSPSVFSEGEDDAEELKNSNKRNADNLLRKAALNVKSYGQPKNSVHDGYERNLAGRGDGKGSHKMQDGAGPTTRGSRSFFSLSAFRGSKP >Vigun01g068300.1.v1.2 pep primary_assembly:ASM411807v1:1:18303590:18304623:-1 gene:Vigun01g068300.v1.2 transcript:Vigun01g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKNMTGRSNDETRSYFSWNLEMERVLAELLRDQRNMGNKSDRAWKRVAYNVAAIVLSNNFKVQVTWENVKNQIKLWRSWYGVVSDILGQRGFDWDGTKHMIIVGDKNVWNEYSHKEARHFRFKAIPNWDDIVDLCAKDRAIGHGAETTMDADEVMSKEVNEVNFVGLEDLNATIDLEEPNSNLKRKAQSTLSSTSTQSQRRKINEKELMAASLKDMDESFKRLTHVYGEKVDENEIKEVLDEVCLMPNLTKEQWAKVIKWLGDMPKQLAIMKALPIEQKKDYVLIHISTT >Vigun07g286300.1.v1.2 pep primary_assembly:ASM411807v1:7:39919898:39920956:-1 gene:Vigun07g286300.v1.2 transcript:Vigun07g286300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTKLSLKLFIDPKREIVPFAETSKAVVDFLFTLLCLPIGTVIRILDKNQMVGSLGNLYQSLENLDKTYMQKEEHKDLLLKPSAPVPSHISGLLPSINGTSSNNSNKSTSVFFYRCPAHLGFVTCDNTTPCPQQQCGKTMNIGMYFLGEKVPKQMSAEKSGFVKEGVTYMVMDDLVIHPLSSIALLTKLNVKDFGNLQEKVVELDIKQVVNLLKASLQSKTVLTDVFLNNHTLVLKNHASA >Vigun07g022500.1.v1.2 pep primary_assembly:ASM411807v1:7:1910296:1913443:1 gene:Vigun07g022500.v1.2 transcript:Vigun07g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRHYSRRRRSPSPRGRHRGRDRDLPTSLLVRNLHRDCRAEDLHGPFGQFGPVKDVYLPRDYYTGDPRGFGFVQYVDPADAADAKHHMDGQILLGRELTVVFAEENRKKPSEMRARERRRDRSYDYRRSPRTYSPSPDYSPSPRRRRNYRSISPRGRKYSDRSYSRSPYGSRSRSRSRSYGRSRSYSRSLSRSPGYSR >Vigun11g003400.1.v1.2 pep primary_assembly:ASM411807v1:11:326596:329776:-1 gene:Vigun11g003400.v1.2 transcript:Vigun11g003400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWQGDADEGIDYMFKIVMVGDSGVGKSQLLNRFVKNEFHLKSKPTIGVEFLTRTLVMDHKLVKAQIWDTAGQERYQAITTAYYRGATGALLAYDITKQQTFDHVEKWLDELRIHAGRNILVMLVGNKSDLSSVRAVPVEVAREFAQQEGLFFLETSALDSSNVESAFIGLLSQVYRTVSRKQIIVEGHETNWDKVNLGLEGTNIKVPFQEPECHNAKKRSNCCSIL >Vigun10g199800.2.v1.2 pep primary_assembly:ASM411807v1:10:41200160:41205191:-1 gene:Vigun10g199800.v1.2 transcript:Vigun10g199800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAANTIMTLTTTMSVFTIYAYGVWGLLTSVVLFLVMLFLWQRNRFFFAPSSPSPRSTPSASSFNTDSIISTPRTSNFVTYADLKFLMEILDEKLNENDKWEDVLDRRNHHLCYSVKCFKPKNGPLRYLSKTVFNDISSEMLRNFYMDNDYRKQWDKTVIEHNQLQVDKSDGTEVGHTIKKFPLLTPREYVLAWKLWQGSDKTFYCFMKECEHPLVPRQRKYVRVEFFRSGWQIREAGSNACEITMFHQEDAGLNMEMAKLAFRKGIWSYVCKMDDALRRYSAINYHLSSSVNTSLNLMQKVPAYLDSVRSNISRAHPTVFDDQVNAESQKQVIQRRPSRKFLANSLLLLGGATALCLSRGHSSLGAKVAIAYVLTKFSKRGARSNQS >Vigun10g199800.3.v1.2 pep primary_assembly:ASM411807v1:10:41200160:41203839:-1 gene:Vigun10g199800.v1.2 transcript:Vigun10g199800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDEKLNENDKWEDVLDRRNHHLCYSVKCFKPKNGPLRYLSKTVFNDISSEMLRNFYMDNDYRKQWDKTVIEHNQLQVDKSDGTEVGHTIKKFPLLTPREYVLAWKLWQGSDKTFYCFMKECEHPLVPRQRKYVRVEFFRSGWQIREVAGSNACEITMFHQEDAGLNMEMAKLAFRKGIWSYVCKMDDALRRYSAINYHLSSSVNTSLNLMQKVPAYLDSVRSNISRAHPTVFDDQVNAESQKQVIQRRPSRKFLANSLLLLGGATALCLSRGHSSLGAKVAIAYVLTKFSKRGARSNQS >Vigun10g199800.1.v1.2 pep primary_assembly:ASM411807v1:10:41200160:41205191:-1 gene:Vigun10g199800.v1.2 transcript:Vigun10g199800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAANTIMTLTTTMSVFTIYAYGVWGLLTSVVLFLVMLFLWQRNRFFFAPSSPSPRSTPSASSFNTDSIISTPRTSNFVTYADLKFLMEILDEKLNENDKWEDVLDRRNHHLCYSVKCFKPKNGPLRYLSKTVFNDISSEMLRNFYMDNDYRKQWDKTVIEHNQLQVDKSDGTEVGHTIKKFPLLTPREYVLAWKLWQGSDKTFYCFMKECEHPLVPRQRKYVRVEFFRSGWQIREVAGSNACEITMFHQEDAGLNMEMAKLAFRKGIWSYVCKMDDALRRYSAINYHLSSSVNTSLNLMQKVPAYLDSVRSNISRAHPTVFDDQVNAESQKQVIQRRPSRKFLANSLLLLGGATALCLSRGHSSLGAKVAIAYVLTKFSKRGARSNQS >Vigun08g090100.1.v1.2 pep primary_assembly:ASM411807v1:8:20883994:20888403:-1 gene:Vigun08g090100.v1.2 transcript:Vigun08g090100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDKKCGARFSNMLVLAKPYLLCVGLQFGMAGTFIITKACLDRGMSRFVLTVYRNAVAAFVLAPFAIIFERNIRPKMTMSIFMQIQALAFLEPVIDQGFTCLGMQYTSATFASAIMNAVPSVTFVIAVILRLESVKLKELRSEAKVIGTLVTFGGALLMTLYKGPQINLINNPNSTQKHESHSLEGDKHWFTGTLFLCFGCFAWSSFYILQSITVKRYPAELSLSSLICLAGALQSAVVAVIADHNPRSWAIGFDYTLYGPLYAGVMSSGIAYYVQGLVMKSRGPVFMTSFNPLLMINVATFGSFLLGEQIYLGSIIGATIIVVGLYSVVWGKAKDYPLLQHPSATATKDTEAPELPTTSSTLK >Vigun07g167600.1.v1.2 pep primary_assembly:ASM411807v1:7:28176473:28179481:1 gene:Vigun07g167600.v1.2 transcript:Vigun07g167600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSAIHSVGFLHLRTHREFFFSTRPRKVKVLLPCHHHHHPIYSSNAFDRSDRSSVIINSTSGTSTATSAAEGSLSEVENIKQRCRTWQWKGQYSINYFVSSDSPPQLQANHPPLLLVHGFGASIPHWRRNIKILAQNYTVYAIDLLGFGASDKPPGFQYTMETWAQLILDFLNEVIQKPTVLIGNSVGSLACLIAASDSSQTLVRGIVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKGIASAIFERVKQRENLRNVLSSVYGNKESVDEELVEIIREPANAPGALDAFVSIVTGPPGPNPVVLMPNISLPVLLLWGDEDPFTPIDGPVGKYFSSLPSQQENVKLFLLEGVGHCPHDDRPELVHEKLLPWLASLSNSE >VigunL037400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:313470:313829:-1 gene:VigunL037400.v1.2 transcript:VigunL037400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun07g292500.1.v1.2 pep primary_assembly:ASM411807v1:7:40373711:40391105:1 gene:Vigun07g292500.v1.2 transcript:Vigun07g292500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGPSSEPQGQPQRRITRTQTAGNLGEAIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPYNILPLDPDSANQTIMRFPEIQAAVYALRNTRGLAWPKDYKKKKDEDILDWLGQMFGFQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYDVIAKEAERSKKGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLPVEQLNFDKSNDNKPVNRDKWVGKVNFVEIRSFWHIFRSFDRMWGFFILCLQAMIIVAWNGTGDPSAIFDANVFKKVLSVFITAAILKLGQAILDVILSWKAQWSMSMYVKLRYILKVVSAAAWVIVLSVSYAYTWENPPGFAQTIQSWFGNNSNSPSFFIVAVVVYLSPNMLAAMLFLFPLIRRFLERSNYRIVMLLMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVEPTKAIMSVKISNFQWHEFFPRARKNLGVVVALWSPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFDSLPGAFNACLIPEEKSEPRKKGLKATLSRRFDQIPNNKGKEAARFAQLWNQIITSFREEDLISNREMDLLLVPYWADRELDLIQWPPFLLASKIPIALDMAKDSNGKDRELRKRINSDHYMYSAIKECYASFKSIVKYLVQGDREKQVIEYIFSEVDKHIEADDLTSEFRLSALPSLYEQFVKLINYLLENKHEGRDQIVLLFQDMLEVVTRDIMMEDHIFSLVDSIHGGSGHEGMLLLEQQYQLFASEGAIRFPIEPVTEAWTEKINRLYLLLTTKESAMDVPSNLEAKRRISFFSNSLYMDMPTAPKVRNMLSFSVLTPYYTEEVLFSLYDLDSPNEDGVSILFYLQKIFPDEWNNFLERVNCSSEEELKGNESDELEEELRRWASYRGQTLTRTVRGMMYYRKALELQSFLDMAKDEDLMEGYKAVENSDDNSKGERSLWTQCQAVADMKFSYVVSCQQYGIDKRSGAARAQDILRLMTRYPSLRVAYIDEVEEPSKERPKKINKVYYSCLVKAMPKSSSPSETEPVQYLDQVIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRFPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLIVYQIFSHTYRSGVAYLMITVPMWFMVGTWLYAPFLFNPSGFEWQKIVDDWTDWNKWISIQGGIGVTPEKSWESWWEEEQEHLQYSGMRGIIAEILLSLRFFIYQYGLVYHLTFTRKTKNFLVYGISWLVIFLILFVIKTVSVGRRKFSADFQLVFRLIKGMIFLTFISVLVTLIALPHMTIQDIVVCILAFMPTGWGMLQIAQALKPLVRRAGFWESVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKGRSSRNKE >Vigun08g145800.1.v1.2 pep primary_assembly:ASM411807v1:8:31887793:31891275:1 gene:Vigun08g145800.v1.2 transcript:Vigun08g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNILFFIFCLVTNHIHNVCATEDRLKEDVKLSIDSPVKTIHTNFGDIVDCVDIYKQPTFDHSLLKDHKLQLKPNFEIENITENSSQRDFVFGLVEENCPEGTIPILRSTKDNFTQKNNSLNDHMLMQGIIGVHVAEVSMKPDFGPYYRVSGTTSIYNPVISKTSQISMSHIWVENGYENKVNKISAGWHVYPALYGNDFATRFYISWTSDNYKWTGCYNLKCPGFVQIDRHKYIGSHFSNVSAYGGATYEVRLAITQDPGTKNWWLRAKDINIGYFPAALFKDLNSAVIVGWGGRTRADIGSDSPPMGSGHFPDKISNHACYFISMLIQDSTKKVFAPKLDQTVSFTDNSKCYGVNYYGDQGRGYGSALQFGEPGGKCGI >Vigun05g264700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45681356:45684486:-1 gene:Vigun05g264700.v1.2 transcript:Vigun05g264700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPEGPPEFALKETRPNIGAGAVMRDKLSCTYDLVEQMQYLYVRVVKANDLPGKDVTGGVDPYVEVKLGNYKGLTKHFEKKSNPQWNHVFAFSKERIQASVLEVVVKDKDVAVDDFVGRVMFDLNEIPKRVPPDSPLAPQWYRLEDRRGEKAKGELMLAVWMGTQADEAFPDAWHSDAATVGPEAVANIRSKVYLSPKLWYVRVNVIEAQDLVPSDKTRYPEVSVKANLGNQFLRTRASQSKTINPMWNEDLMFVAAEPFEEPLVLTAEDRVGPNKDEILGRCVIPLHNVQRRLDHKPVNTRWFNLEKHVVDEGEKKKEIRFSSRIHLRVCLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGIISAQGLMPMKTRDGRGSTDAYCVAKYGQKWIRTRTIVDSLGPRWNEQYIWEVFDPCTVITVGVYDNGHLHVGEKPGASKDSRIGKVRIRLSTLEADRVYTHSYPLLVLHNSGVKKMGEVHLAVRFTSLSVINMLSMYSQPLLPKMHYIHPLSVIQQDSLRHQAIQIVSMRLSRAEPPLRKEVVEHMLDVDSHMWSMRRSKANFFRITIVLGGLIAFGRWFDQICNWKNPLTTILIHILFIVLVLYPELILPTTFLYFSLIGIWNFRLRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRSSDMIRMRYDRLRSIAGKVQTVVGDLATQGERFHNLLSWRDTRATTLFVTFCFIAALVLYVTPFQVVFLLIGFYVLRHPRFRQKHPSAPFNYFKRLPARVDTIL >Vigun05g264700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45681356:45684704:-1 gene:Vigun05g264700.v1.2 transcript:Vigun05g264700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPEGPPEFALKETRPNIGAGAVMRDKLSCTYDLVEQMQYLYVRVVKANDLPGKDVTGGVDPYVEVKLGNYKGLTKHFEKKSNPQWNHVFAFSKERIQASVLEVVVKDKDVAVDDFVGRVMFDLNEIPKRVPPDSPLAPQWYRLEDRRGEKAKGELMLAVWMGTQADEAFPDAWHSDAATVGPEAVANIRSKVYLSPKLWYVRVNVIEAQDLVPSDKTRYPEVSVKANLGNQFLRTRASQSKTINPMWNEDLMFVAAEPFEEPLVLTAEDRVGPNKDEILGRCVIPLHNVQRRLDHKPVNTRWFNLEKHVVDEGEKKKEIRFSSRIHLRVCLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGIISAQGLMPMKTRDGRGSTDAYCVAKYGQKWIRTRTIVDSLGPRWNEQYIWEVFDPCTVITVGVYDNGHLHVGEKPGASKDSRIGKVRIRLSTLEADRVYTHSYPLLVLHNSGVKKMGEVHLAVRFTSLSVINMLSMYSQPLLPKMHYIHPLSVIQQDSLRHQAIQIVSMRLSRAEPPLRKEVVEHMLDVDSHMWSMRRSKANFFRITIVLGGLIAFGRWFDQICNWKNPLTTILIHILFIVLVLYPELILPTTFLYFSLIGIWNFRLRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRSSDMIRMRYDRLRSIAGKVQTVVGDLATQGERFHNLLSWRDTRATTLFVTFCFIAALVLYVTPFQVVFLLIGFYVLRHPRFRQKHPSAPFNYFKRLPARVDTIL >Vigun10g069600.2.v1.2 pep primary_assembly:ASM411807v1:10:16700013:16704373:-1 gene:Vigun10g069600.v1.2 transcript:Vigun10g069600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHHHHLLLSLPFPHSPTPPKRFFFRTTRPLKTTRSTVESPTPKRRGRKKKPPPPETPPANDMPEHQNAETKKEQPSEDDELFDYDDGIDFPYEDPPLVCCFGAAQREFIPGVRVQMYPMHPDKYSEWKMLQWKPPEFARAPGGPPSNVAVAHVRLGGRAAFLGKVGRDEFGDDLVLTMNKERVQTRGVKFDEGRRTGCSYMKVKFEEGRMKMETVKDSAEDSLLASELNLAVLKEARIFHFNSEVLTCPTMESTLFRAIKWTRKFGGLVFFDLNLPLSLWRSRDETREIIKKAWNEADIIEVSRSELEFLLDEEYYERKRNYRPQYFAESYEQTKNLQEYYHYTPEEVAPLWHDRLKFLFVTDGTIRIHYYTPSFHGSVVGTEDVLITPFTCDRTGSGDAIVAAILRKLTTCPEMFENQDVLERQLRFAVAAGIIAQWTIGAIRGFPTESATQNLKEQVYVPSMW >Vigun09g269800.1.v1.2 pep primary_assembly:ASM411807v1:9:43239573:43242093:1 gene:Vigun09g269800.v1.2 transcript:Vigun09g269800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATLLKSSPVIDKCEWVKAQTLRHTPPLSVLRCNPAFTVRASYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGDKIVDVLLQQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYTQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQENGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVLFEGILLKPSMVTPGAESKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLFRAKSNSLAQLGKYTGEGETDEAAKGMFVKGYVY >Vigun05g226000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41831306:41832115:1 gene:Vigun05g226000.v1.2 transcript:Vigun05g226000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGLKICLVLFLFLLVIVSVGMVTLFLTVFKPKDPNIMVQPVGLEHFNLSLLTNLTANVSLPMVITMVNPNFGSFEYPEAMGYVNFHGGIVGEVPIQGEFVPAREQIVVDAWANLMLQKVVTDTRFWADVLSGSLNFTSTAALPGKVEMLKILKLKATVYSTCDFSIDLTSRNVDSKCSAKIKL >Vigun02g056200.1.v1.2 pep primary_assembly:ASM411807v1:2:20002324:20004671:1 gene:Vigun02g056200.v1.2 transcript:Vigun02g056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSVSPSMVLPPSHPFRRLPPRFLSARTRSRRRHHVSVSCTLAGDGAHDDLDRALHMDGAIPGTSNEFVKRVSSRAYDMRRNLQQSFDSSSYDVLDANPWRETSKPVYVLTQKENQLCTMKTRRNRSEVERELGLLFSKGGKWGSGIGNQSKQARGGTTKFQMLVEDVREGVLVFEDENEAVKYCDLLEGGGQGCEGVAEIEASSIFDLCQKMRALAVLFRRGRTPPLPESLKLNLRARKRSLEDQDDLM >Vigun07g014500.1.v1.2 pep primary_assembly:ASM411807v1:7:1326435:1330742:1 gene:Vigun07g014500.v1.2 transcript:Vigun07g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFQSNPKIQAGADGLQTLVLMNPDYVQYSDAPPPPHGGNHLFLNSAATVANNTSLLNLPHAPSPHTQQFVGVPLSAAAQEPPPSMPHDVSALHGFLPRMQYNLWNTIDPNTAAREAPRASQGLSLSLHGEEVRPSSSSASGASNGGGVAGIQSVLLSSKYLRATQELLDEVVNVNSGIKVEQGKKSFEKTKVVGESSTAASGDGGEGSGKRSSELSTTERQEIQMKKAKLINMLDEVEQRYRQYHNQMQIVTSSFEQVAGIGSARTYTALALQTISKQFRCLKDAITGQIRAANKSLGEEDCFGAKVEGSRLKYVDHHLRQQRAIQQLGMIHHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEMKEHEVNGNGSEEKSSKSGEDPAMKGEKRPTSEIESKGSSSKQENNSTVSTSPSTSPPVVGSVKNQSGFSFMGSSELDGITQGSPKKARNHEILVSPNRASSTNNEQQVSMDERQSRDGYSFMGSQTNFTSGFGQYPMEEIGRFDAEQFTPRFSGNGVSLTLGLPHCDTLSGTHQNFLPNQNIQLGRGLDIGQPNQFGVLNNSTSHSSAAFESMNMQNPKRFAAQLVLDFVA >Vigun11g098200.1.v1.2 pep primary_assembly:ASM411807v1:11:28892156:28893650:1 gene:Vigun11g098200.v1.2 transcript:Vigun11g098200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSEDRVSKLLAAQTHVWNHIFSFISSMSLKCAIDLEIPDIIHKHGEPMPLSQLTASLSMNPSKANNIYRLMRILTHSGFFSEVKVNENELEVGYALTDASTLLLKDNPLSVTPLLHAMLDPILTKPYHELGTWFRNDDPSPFHTAHGMKIWDYAGRDQKLNKLFNDAMASDAELVSNVVIERCGGVLKGLESVVDVGGGTGTMAKAIAKSFPHIDCTVFDLPHVVAGLQGSDNLKFVGGDMFEWVPPADAVLLKWILHDWNDEQCVRILKKCKEGVKKKVIAIDIVVESEKVDHESTETQLMADMVVMALYAGKERTEKEWAKIIFSAGFSDYKIIPVVGLRSLVEIYP >Vigun05g016400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1338573:1338803:1 gene:Vigun05g016400.v1.2 transcript:Vigun05g016400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCCSCNGQYIIEGLSSGFMFVLGGIGIILLDLALDRNRAKSVKVSYASAGVSSVVLAYVMSMLFIRIKIPAYLR >Vigun01g129600.1.v1.2 pep primary_assembly:ASM411807v1:1:30746459:30749182:-1 gene:Vigun01g129600.v1.2 transcript:Vigun01g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLNKNLRRLSSLLKSSLRRRSKSKPSFDNPSPKPPTEPPSPPSKPIRIATFNAAFFSMAPVLLEPDRSTASDDDNVERPKSTYDRPPRSILKQSQSPPLGKSKLRVSINLPDNEISLRQTSFSEHERAKGSWSFSEEVEGERQRKTVLEVLREVNADVLGLQDVKAEEENGMKPLSDLARALGMNYVFAESWAPQYGNAVLSKWPIKRWKLQKIFDHTDFRNVLKATIDVPEEGELHFYCTHLDHLDESLRMKQINAILQSNDEPHILAGGLNSLDESDYSQERWTDIVKYYEEMGKPTPKVEVMKYLKNRHYRDAKDFSGECESVVMIAKGQSVQGTCKYGTRVDYILSSSDSTYEFVSGSYLVLSSKGTSDHHIVKADVVKVNRNPQENLTKKQEQSGHNVQRIKSTPSKCIWKTQTHDID >Vigun03g166100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19088448:19088657:-1 gene:Vigun03g166100.v1.2 transcript:Vigun03g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVSLVLFVLLTPGLLFQVPGRGRYVEFGNFQTSGASVLIHSLLYFGFICVFLLAIKIHLYLG >Vigun06g192000.1.v1.2 pep primary_assembly:ASM411807v1:6:30927872:30929983:-1 gene:Vigun06g192000.v1.2 transcript:Vigun06g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLCIAAKAPTPLASLILNAPKPSSLSLNVSRSSFSNVRSPLLHCSFLSSPSHLSSPSSFTGLSLGLELTCNVGPRRRKSGGLVVRAGKAALCQTKRNRSRKSLARTHGFRKRMSTPGGRAILRRRRAKGRKALCTKTHPNSGK >Vigun09g244300.1.v1.2 pep primary_assembly:ASM411807v1:9:41324439:41327171:1 gene:Vigun09g244300.v1.2 transcript:Vigun09g244300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSAAITNRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCAEAGWTVEEDGTTYRKGCRAPLPGDGAGTSTRNTPFSSQNPSPLSSSFPSPIPSYQVSPSSSSFPSPSRLDDNNASNLIPYIRHSFSASLPPLRISNSAPVTPPLSSPTSRNPKPIPTWDSIVKASMASSFNHHHHHHQNHPFFAASAPASPTHRHLHAPPTIPECDESDTSTVESGQWLNFQAFGPSVSAVPISPPLNFIKPVVSQQHQHNLNHPDNRIQEMRNSEVQFGVQVKPWVGERIHEGGLDDLELTLGSGKTLA >Vigun01g065200.1.v1.2 pep primary_assembly:ASM411807v1:1:17241327:17246557:1 gene:Vigun01g065200.v1.2 transcript:Vigun01g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIRRVLVWISFGVSILEGVGGVGDDRKPKRWAVLVAGSQGYGNYRHQADVCHAYQILKKGGLKDENIIVFMYDDIAYNPLNPRPGIIINKPNGPDVYRGVPKDYTGAAATAENFFAVIRGNRSALSGGSGKVLKSSGRDTVFIYFTDHGSPGFVSMPVGPPVAAHEFVEVLKKKHAAKSYKKMVIYLEACESGSMFDGILPNNLNIYVTTAANPHENSYAFYCPNGKPPAPPNYTTCLGDAYSIAWMEDCDKSNMWKETLQQQYETVRQRILWSSHVMQYGDQKLNNDFLVSYFGAPYQPSDNESAYSFEPSRETRHSQRDAHLLHLRLELQKAPVDSEEKMKAQRDLDDEISHREHVDNVILLIANLLFGGENSSTMMLHYRPPGQPLVDDWDCFKTSIKTYESLCGTLSSYGRKYTRFFANMCNAGISVEQLKAASSQVCPEKNKTF >Vigun03g448100.2.v1.2 pep primary_assembly:ASM411807v1:3:64916942:64920011:-1 gene:Vigun03g448100.v1.2 transcript:Vigun03g448100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSKFLRAILADNTQARAKARTFLTSIYAFPWYAPHHNNRHSRSPTNNRFLSPGPGPGPGPGPLFLSRPPWKLSQSATPLYLHENAVVFPKVHPFNLLRSTPPLPLRFPDPAPSISTNPSLFHSFVNLPNFISFSRLLSGPLLAWMISNEFYTPAIVGLALSGATDWLDGYVARKMKIDSVVGSYLDPLADKVLIGCVALAMVHKDLLHPGVVSLVVFRDVFLVGGAVFLRANSLGWKWKSWFDFFNLDGTARQKVEPLLLSKVNTVFQLALVAAALLQPEFGTQETQPYITYLSYLVASTTVATTAAYGAQYFRRFTVVSNSV >Vigun03g448100.1.v1.2 pep primary_assembly:ASM411807v1:3:64916984:64921042:-1 gene:Vigun03g448100.v1.2 transcript:Vigun03g448100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTPVAIGTRGTIGSLVRKEIEYFSKFELGNSQRPQPHYVDMVSSRGYSSCRPSFWVFLMTGKRRKRRGSNVFVPKMCSVAEVVENTSPEDVPIMVLFSKFLRAILADNTQARAKARTFLTSIYAFPWYAPHHNNRHSRSPTNNRFLSPGPGPGPGPGPLFLSRPPWKLSQSATPLYLHENAVVFPKVHPFNLLRSTPPLPLRFPDPAPSISTNPSLFHSFVNLPNFISFSRLLSGPLLAWMISNEFYTPAIVGLALSGATDWLDGYVARKMKIDSVVGSYLDPLADKVLIGCVALAMVHKDLLHPGVVSLVVFRDVFLVGGAVFLRANSLGWKWKSWFDFFNLDGTARQKVEPLLLSKVNTVFQLALVAAALLQPEFGTQETQPYITYLSYLVASTTVATTAAYGAQYFRRFTVVSNSV >VigunL085001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000642.1:98482:98808:-1 gene:VigunL085001.v1.2 transcript:VigunL085001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRIVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSLKKKLRSTSSMRKHGSFNRR >Vigun02g157200.1.v1.2 pep primary_assembly:ASM411807v1:2:30332890:30338994:-1 gene:Vigun02g157200.v1.2 transcript:Vigun02g157200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRGKRSEAFWPSIVMKKWLNIKPKVNDFSEDEVDTETESEDDACSLRGSRMREDKPPLRTQEIPSKFSSQRSDASSKGQKARHRRGKSETLRAQYINTKEVRVTIGTWNVAGRHPSQDLEIDDWLCTEDPADIYILGFQEVVPLNAGNVLGAEDNTPIQKWEAIIRRSLNKSSEPDSKHKSYSAPPSPVLRTSSAADLLADTIDVDNPIPIDMMIEELVGTVEHDEVKQQEVKSIIEIENNLQLRRIYGIDIDWPERSLDAIPQIVDSNSKLRRVLSSSARIGFNRTESTLKYTVGLKRSHHSSGNLGLLWEEQQVIPEVVDSVSDLLSAEGDDAFIEMVNTEDDNELGTMKSCGNPRYVRIVSKQMVGIYVSVWVQRRLRRHINNLKVSPVGVGLMGYMGNKGSVSISMSLFQSRLCFVCSHLTSGQKEGAEHRRNSDVHEILRRTCFSPAFDADQPQTIPSHDQIFWFGDLNYRINMLDAEVRKLVALKKWNELMNYDQLSKELRIGRVFDGWKEGSINFPPTYKYEINSDRYVGQSPKEGEKRRSPAWCDRILWLGKGIKQLQYGRAEINLSDHRPVSSAFLVEVEVFDHRKLKRALNFTSAAVHPEIFLDEDGEI >Vigun01g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:13169381:13171168:1 gene:Vigun01g061700.v1.2 transcript:Vigun01g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTLLFPDTDLDLSFTSTTTDRTSARTSLARTSSLTLSFNDRISTSGPSISVVNRRPHRSSDPHWSAIQAATMLSSDGRLHLRHLKLLRHLGSGNLGRVFLCRLRDYDGANFALKVVDKDLLTPKKLSHAETEAEILQALDHPFLPTLYARIDVSHYTCLLIDYCPAGDLHSLLRKQPQHRLPLSVARFFAAEVLVALEYLHALGIVYRDLKPENVLLREDGHVMLSDFDLCFKSDVAPSLTLRTHNKPPRVDPTNGCFSCNRLPSRQHHQTFLAEFIAEPVAAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGVFVYELLYGTTPFKGCNKETTLRNIASCKDVRFVQLSEGEEPGMAEARDLIEKLLVKDPRRRLGCAKGATDVKRHPFFDGIKWPLIRTYRPPEVKGLVRRNRSHVSGHVSCRRKRWSWKKLSHVLRKCNLISNYSNNNNNYYHYVDSYKVN >Vigun11g122500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32984324:32985206:1 gene:Vigun11g122500.v1.2 transcript:Vigun11g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNNHRTRCGDTRFPRNVILLLVTIFVFLMAAISIAWLVMHPHDPGFAVTSLSVTNFSLSDSQVRGRYEVGLTITNPNKIITQVVLHRQGSLLLYDSELYSKAAVQTTVNLEKLTNKSVKVDFEVKDQHQKVVPPILGRDLNKGVVNFNVVLKVTVRFEAGIWPSKDELLDVTCKDLDVEFHPKTKGTGNLLGIGKNCSTKNAAGRT >Vigun02g161400.3.v1.2 pep primary_assembly:ASM411807v1:2:30707926:30711662:-1 gene:Vigun02g161400.v1.2 transcript:Vigun02g161400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQLISVSPDELRFHFELEKQTFCDLKVLNNTQNHVAFKVKTTSPKKYFVRPNTGVVHPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVSSNTDVDDLPADTFNKESGNSIEELKLRVAYISTASPEGSSEDDASRNSHKLDSSSNLKEERDAAVRQTRQLQQEVDMLKRRRNRKGDPGFSFIFAIFVGVLGVLLGFLFKLLFSSPSRE >Vigun02g161400.2.v1.2 pep primary_assembly:ASM411807v1:2:30707926:30711662:-1 gene:Vigun02g161400.v1.2 transcript:Vigun02g161400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQLISVSPDELRFHFELEKQTFCDLKVLNNTQNHVAFKVKTTSPKKYFVRPNTGVVHPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVSSNTDVDDLPADTFNKESGNSIEELKLRVAYISTASPEGSSEDDASRNSHKLDSSSVLQNLKEERDAAVRQTRQLQQEVDMLKRRRNRKGDPGFSFIFAIFVGVLGVLLGFLFKLLFSSPSRE >Vigun02g161400.1.v1.2 pep primary_assembly:ASM411807v1:2:30707926:30711662:-1 gene:Vigun02g161400.v1.2 transcript:Vigun02g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQLISVSPDELRFHFELEKQTFCDLKVLNNTQNHVAFKVKTTSPKKYFVRPNTGVVHPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVSSNTDVDDLPADTFNKESGNSIEELKLRVAYISTASPEGSSEDDASRNSHKLDSSSSQVLQNLKEERDAAVRQTRQLQQEVDMLKRRRNRKGDPGFSFIFAIFVGVLGVLLGFLFKLLFSSPSRE >VigunL013301.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:98545:100357:-1 gene:VigunL013301.v1.2 transcript:VigunL013301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYRPTVTEAFASVQYTMMILHVFRVYLTGAFKKPRELTWVTGVVLAVLTASFGVTSYSLPWDQIGYWVVKIVTGVPEAIPVIGSSLVELSHGSSSTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSEPTGLLTVPFLVNVNKFQNPFRRPVATTIFLIGTVVALWLGIGATLPIEKSLTLGLF >VigunL012550.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:52297:53313:-1 gene:VigunL012550.v1.2 transcript:VigunL012550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCGSSTPRTPEYRTVNEERHEIKANILATHYREKGQSFGFFHVVKELNNENGPRWGREPIAVSAVLPERCVLPGPLVLGKGPLNALTPTPDMDRTVSRRSEPSSRTALMGEQPNPWNILQPQVAKSRHRGAKPSRRCDDGPSTRHRRITKADFRPCSTGGSCSQAPFCLCTRGPISVWPEETFARLRYLWEAYAP >Vigun10g112000.2.v1.2 pep primary_assembly:ASM411807v1:10:31269742:31274333:-1 gene:Vigun10g112000.v1.2 transcript:Vigun10g112000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQPAKSTEKQQPEQVCSFFRKPINKKNIRKRTIDDEDNEEDSNKETSLLHIQKKTLKPDNKLFFSTGSSKSSVSAEPSEESGKPVFQFESSKEIQVQHDSKATATLETETEFSRDARAIRERALKQASESLKGKSARPEDEKLYKGMNSYKDYKAGFRREQTIASEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKARKMRLAAGEDADVVEGANLSDEDDEDSLPFACFICRNPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRRKVAEDK >Vigun10g112000.1.v1.2 pep primary_assembly:ASM411807v1:10:31269772:31276184:-1 gene:Vigun10g112000.v1.2 transcript:Vigun10g112000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQPAKSTEKQQPEQVCSFFRKPINKKNIRKRTIDDEDNEEDSNKETSLLHIQKKTLKPDNKLFFSTGSSKSSVSAEPSEESGKPVFQFESSKEIQVQHDSKATATLETETEFSRDARAIRERALKQASESLKGKSARPEDEKLYKGMNSYKDYKAGFRREQTIASEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKARKMRLAAGEDADVVEGANLSDEDDEDSLPFACFICRNPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRRKVAEDK >Vigun10g112000.4.v1.2 pep primary_assembly:ASM411807v1:10:31269783:31273501:-1 gene:Vigun10g112000.v1.2 transcript:Vigun10g112000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQPAKSTEKQQPEQVCSFFRKPINKKNIRKRTIDDEDNEEDSNKETSLLHIQKKTLKPDNKLFFSTGSSKSSVSAEPSEESGKPVFQFESSKEIQVQHDSKATATLETETEFSRDARAIRERALKQASESLKGKSARPEDEKLYKGMNSYKDYKAGFRREQTIASEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKARKMRLAAGEDADVVEGANLSDEDDEDSLPFACFICRNPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRRKVAEDK >Vigun10g112000.3.v1.2 pep primary_assembly:ASM411807v1:10:31269783:31273501:-1 gene:Vigun10g112000.v1.2 transcript:Vigun10g112000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQPAKSTEKQQPEQVCSFFRKPINKKNIRKRTIDDEDNEEDSNKETSLLHIQKKTLKPDNKLFFSTGSSKSSVSAEPSEESGKPVFQFESSKEIQVQHDSKATATLETETEFSRDARAIRERALKQASESLKGKSARPEDEKLYKGMNSYKDYKAGFRREQTIASEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKARKMRLAAGEDADVVEGANLSDEDDEDSLPFACFICRNPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRRKVAEDK >Vigun03g098600.1.v1.2 pep primary_assembly:ASM411807v1:3:8402189:8403930:1 gene:Vigun03g098600.v1.2 transcript:Vigun03g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVFLLFSLLGLSSGSAISSERSILDLDLAKYTTQEHVSSLFQLWKKEHGRVYRNKEEKAKRLEIFKNNLNYIRDMNANRKSPHSHRLGLNNFADISPEDFSKKYLQDPKDVSQSINMVNNKMKKEQHSCDHAPESWDWSKKGVITEVKYQGQCGSGWAFSATGAIEAIHAITTGNLVSLSEQELVDCVEASKGCYNGWHFQSFQWVKDNGGIATEDDYPYKAKEGTCKANKIQEPVTIDGYETLILSNDSTESETEKALLCATLEQPISVSIDAKDFHFYSGGIYDGGNCSSPYGVNHFVLIVGYGSVDGVDYWIAKNSWGKDWGMDGYIWIQRNTGNLLGVCGMNFFASYPTKENSETPRLDSSSL >Vigun03g066200.1.v1.2 pep primary_assembly:ASM411807v1:3:5474505:5477178:-1 gene:Vigun03g066200.v1.2 transcript:Vigun03g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLGVGVPLSHLVGSKSPPRLCALIIRCSAKKGERPWKTSDARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRKCFLAGLVIRSLSISTSSWRCVETLSGYLSERNIMGIYDVDTRAITRRLRQDGSLIGVLSTDNSITDEELLKMSRSWDIVGIDLISGVSCQTVHEWVDKTKQEWDFSSGGSGETFHVVAYDFGIKHNILKRLASYGCKITVVPSTWPATETLKMNPDGVLFSNGPGDPSAVPYAVETVKKILGKVSVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVLNLRTGHVEISAQNHNYVVDPATLPEGVEVTHVNLNDESCAGLAFPAQRIMSLQYHPEASPGPHDSDYAFGEFIKLMKQQKTRKLEPKISTLSGA >Vigun04g104900.1.v1.2 pep primary_assembly:ASM411807v1:4:24922702:24928515:-1 gene:Vigun04g104900.v1.2 transcript:Vigun04g104900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSLQVEEDSEEEEEEEEQEEEEEEDERNLNGPLLGRTQLGNHMVKKVLEQEPEMLPCHASASPLSPQLSSLGTPRLGPSIKVWDPYNVLGPQPPLLSPPALSRSFSANGMVPYDEAVTEVFLISHGECELNLAPDLVGGRCPAAALTANGMRQARALAVFLKSQGVRFSAVYSSPLDRARSTAVSVSKEVSFSEEQIQSSDALTEISQGNWEGCLRSEIYTPEIQALIDRSQPDFTAPSGESLRQVEFRMINFLNGTVLGLHEKLRLDLSSHQNDNHAFAQHNSHALLTNSIHDQDGPSLAPNQWDLLSRHRPAFSRKKSGKSRLQFVTNTGDVIEEEISSGNANHQSSLHNSGFSSFSPTVACIGLFTHSVPIKCLLTGLLGCSPLMSHKFCIEDSSVTVLQHSLRTGWQIKRLNDTAHLRLL >Vigun05g013800.1.v1.2 pep primary_assembly:ASM411807v1:5:1092555:1096392:1 gene:Vigun05g013800.v1.2 transcript:Vigun05g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPWDDVVVIQQGKDLNEPCIVTVNCPDKAGLGCDLCRIILEFGLRITRADISTDGRWCYIVFWVLPNPASIKVDWESLKTRLLSVCPSCLFSYHFNQLSTSPSPPLIYLLKVWCVDQKGLLHDINEILCNLELIIQRVKAMPTPDGRVLDMFFITDGMELLHTKKRQDYVCESLKDALGERCISSELQLAGPEYGHLQGFSSLPPAFAEELFSSELLDKVSLHPLSQDMKTLKTPTVTVDNSLSPVHTLLQIQCVDQKGLCYDIMRISKDSDIKVAFGRFSSSVEGFRNIDLFVQQNDGKKIIDPDSQKTLCSCLKEEMLHPLRVIIASRGPDTELLVANPVELSGKGRPRVFYDVTLALKALGVCIFSAEVVRHSTQERQWEVYRFLLEENRNFPLTRSQTRSQIVDKVRRTLMGW >Vigun10g136100.2.v1.2 pep primary_assembly:ASM411807v1:10:34938764:34943318:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun10g136100.5.v1.2 pep primary_assembly:ASM411807v1:10:34938821:34943317:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun10g136100.3.v1.2 pep primary_assembly:ASM411807v1:10:34938821:34943317:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun10g136100.4.v1.2 pep primary_assembly:ASM411807v1:10:34938781:34943318:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun10g136100.1.v1.2 pep primary_assembly:ASM411807v1:10:34938781:34943318:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun10g136100.6.v1.2 pep primary_assembly:ASM411807v1:10:34938821:34943317:1 gene:Vigun10g136100.v1.2 transcript:Vigun10g136100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGKISLKYWVDDKKERVIVAEASGELVDVLFSFLTLPLGTIIRLWDTSEQQERRENSSQQQVGCENISQQQVGRENISEQLVGRGNTSEEQEGRDNNSEQQQRPDNSSEQQEGRENTSQQQQGRENTSQQQQVEHENTSEQQQRCENTSEQQIGHENNSEQQEGPGCINKLCHSFKDILGLQSKQQVGLGCIKELYQSVNKLDSDVFRNNNCQEMLHSPRNPLESSCQRLKVKVDDTKPTKYFMCLNCSSKESKLLVSSFSAKKCDCGSFIKEIEMLEEHGGDDGVFVKGKAMFLIYDDLTVRRSSPSESIKSPLKLGHKKLENQIVEHLDRKKILNILKQALTSKTPLSDVLLGKESKRSVSFSRVIGSSGSKDYLEIKVMVSKAKNKILFVEADGDFVDFLASFLTTPLGSIMNLKSNNFSFCLIPLLASILKIKNGKLSLGCIRNLYKSVKNLDPSWFIESSNRSLLNPKVAPHFGCERNPLLNANQYDTAKYWYGLREMKNEKGRIISEKRMISKKRDMLQQPKDIKLLDPRSSDRARKDGVGFMKRPCLFVVNDDLTVLPMTTTSSIPCSFMDDTPFTKLEEHLVKIKKSEAINLLRASLTSDKGAFTRSLSSLLWTWRFQRLIPGWSFFRRKRIKWEEKRKRQKREKDIDLNDKKEKKKQEKSVVESKREKEENNNNSVQNTKEEVKPKSVGEK >Vigun03g171400.4.v1.2 pep primary_assembly:ASM411807v1:3:20638698:20640612:1 gene:Vigun03g171400.v1.2 transcript:Vigun03g171400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLKMLNPKKTIEVGVFTGYSLLLTALSIPHDGKITAIDIDRKAYDIGLPVIKKAGVEHKIDFIESAALPFLDKLLEDPANEGSFDFAFIDADKENYVNYHERLIKLIKIGGLLVYDNTLWGGRVCWPEEKVPAHTRSGRNAAIEFNKTITNDSRVEFSLTSVGDGLNICRRVA >Vigun03g171400.2.v1.2 pep primary_assembly:ASM411807v1:3:20638698:20640612:1 gene:Vigun03g171400.v1.2 transcript:Vigun03g171400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKDPSIYRNPVILQSEDLTKYILETAVYPREPEPLKELRELNNNHPWGFIATSPDAAQLMTLLLKMLNPKKTIEVGVFTGYSLLLTALSIPHDGKITAIDIDRKAYDIGLPVIKKAGVEHKIDFIESAALPFLDKLLEDPANEGSFDFAFIDADKENYVNYHERLIKLIKIGGLLVYDNTLWGGRVCWPEEKVPAHTRSGRNAAIEFNKTITNDSRVEFSLTSVGDGLNICRRVA >Vigun03g171400.1.v1.2 pep primary_assembly:ASM411807v1:3:20638698:20640612:1 gene:Vigun03g171400.v1.2 transcript:Vigun03g171400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKDPSIYRNPVILQSEDLTKYILETAVYPREPEPLKELRELNNNHPWGFIATSPDAAQLMTLLLKMLNPKKTIEVGVFTGYSLLLTALSIPHDGKITAIDIDRKAYDIGLPVIKKAGVEHKIDFIESAALPFLDKLLEDPANEGSFDFAFIDADKENYVNYHERLIKLIKIGGLLVYDNTLWGGRVCWPEEKVPAHTRSGRNAAIEFNKTITNDSRVEFSLTSVGDGLNICRRVA >Vigun03g171400.3.v1.2 pep primary_assembly:ASM411807v1:3:20638977:20640612:1 gene:Vigun03g171400.v1.2 transcript:Vigun03g171400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKDPSIYRNPVILQSEDLTKYILETAVYPREPEPLKELRELNNNHPWGFIATSPDAAQLMTLLLKMLNPKKTIEVGVFTGYSLLLTALSIPHDGKITAIDIDRKAYDIGLPVIKKAGVEHKIDFIESAALPFLDKLLEDPANEGSFDFAFIDADKENYVNYHERLIKLIKIGGLLVYDNTLWGGRVCWPEEKVPAHTRSGRNAAIEFNKTITNDSRVEFSLTSVGDGLNICRRVA >Vigun10g041500.3.v1.2 pep primary_assembly:ASM411807v1:10:5752688:5756856:-1 gene:Vigun10g041500.v1.2 transcript:Vigun10g041500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMIQERLSGKKVLIVLDDVPEFCKLLDLLKCRNWFSGGTVIIVTAEDLELLEKHRVDYVFRMKPMNDNESLELLSWHALREAKPKQKEHDYLARRIAIYCLRLPLILEVIGSNLFERTKEEWYGVLRDLEKIGSPSVENKLNICFKGLQNQMVKDLFLYVSRFFVGKGRNYATKILNGCQIDVDIGIRVLLERNLLKVTKNNKFGMHPLLQEMGLTIIREISEKDPSKNRPWLFDKDTKYGTKATQLLLQKLDQVEMSLNPEYLLQKLRWISPYGFYSEYLDNKFCADDAIAFDQFAPQVLRSLKVLNLSHSNYLTTTPDFTRLPCLEQLILKCCRRLRKVHQSIGCLCNLLLLDLKDCTSLSNLPKEIYKLKSLRTLNLSGCSKIDLMDKDIAQLESLTILIAENTTVKHVPFSVISSKSIGYICLRRFEGLSHNLFPSIIRSWMSQNMNLISYMHLFCMDKEDNSWDDIVPSVSSSLRNLRSVLVQCNTEFQLSKQVKSILVEYFANTTESGISKHRVRSSLIGVGRCNEFFNSVSYSISEVLRSSEWCEVSLPTVNDPCCLAHMGEGHSVSFIMPQDRDMKGMALCVVYLSTPEVIEPEFTTILIVNHTKCTFHIHNLDTIICFNDEDWNGIRSNLGCGDRVEMFVSFGNGLVVKKTAVYLICGESKNMEKASEPKKHSLTRFIKKVVM >Vigun10g041500.5.v1.2 pep primary_assembly:ASM411807v1:10:5752688:5756856:-1 gene:Vigun10g041500.v1.2 transcript:Vigun10g041500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLQEMGLTIIREISEKDPSKNRPWLFDKDTKYGTKATQLLLQKLDQVEMSLNPEYLLQKLRWISPYGFYSEYLDNKFCADDAIAFDQFAPQVLRSLKVLNLSHSNYLTTTPDFTRLPCLEQLILKCCRRLRKVHQSIGCLCNLLLLDLKDCTSLSNLPKEIYKLKSLRTLNLSGCSKIDLMDKDIAQLESLTILIAENTTVKHVPFSVISSKSIGYICLRRFEGLSHNLFPSIIRSWMSQNMNLISYMHLFCMDKEDNSWDDIVPSVSSSLRNLRSVLVQCNTEFQLSKQVKSILVEYFANTTESGISKHRVRSSLIGVGRCNEFFNSVSYSISEVLRSSEWCEVSLPTVNDPCCLAHMGEGHSVSFIMPQDRDMKGMALCVVYLSTPEVIEPEFTTILIVNHTKCTFHIHNLDTIICFNDEDWNGIRSNLGCGDRVEMFVSFGNGLVVKKTAVYLICGESKNMEKASEPKKHSLTRFIKKVVM >Vigun10g041500.4.v1.2 pep primary_assembly:ASM411807v1:10:5752688:5756856:-1 gene:Vigun10g041500.v1.2 transcript:Vigun10g041500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLQEMGLTIIREISEKDPSKNRPWLFDKDTKYGTKATQLLLQKLDQVEMSLNPEYLLQKLRWISPYGFYSEYLDNKFCADDAIAFDQFAPQVLRSLKVLNLSHSNYLTTTPDFTRLPCLEQLILKCCRRLRKVHQSIGCLCNLLLLDLKDCTSLSNLPKEIYKLKSLRTLNLSGCSKIDLMDKDIAQLESLTILIAENTTVKHVPFSVISSKSIGYICLRRFEGLSHNLFPSIIRSWMSQNMNLISYMHLFCMDKEDNSWDDIVPSVSSSLRNLRSVLVQCNTEFQLSKQVKSILVEYFANTTESGISKHRVRSSLIGVGRCNEFFNSVSYSISEVLRSSEWCEVSLPTVNDPCCLAHMGEGHSVSFIMPQDRDMKGMALCVVYLSTPEVIEPEFTTILIVNHTKCTFHIHNLDTIICFNDEDWNGIRSNLGCGDRVEMFVSFGNGLVVKKTAVYLICGESKNMEKASEPKKHSLTRFIKKVVM >Vigun10g041500.2.v1.2 pep primary_assembly:ASM411807v1:10:5752703:5756856:-1 gene:Vigun10g041500.v1.2 transcript:Vigun10g041500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFSKVILEKPLKQLHTKHFQNKNWSMACPGGATHSPKLQIALDGMRAITVGLQSRVENVIRTIKSKSTKVCIIGICGKGGSGKTTLAKAIYNQIQITFRDKSFIEDITRVSGIRGTLPLQEQLLLDVLKTRVEIPSLDMGSRMIQERLSGKKVLIVLDDVPEFCKLLDLLKCRNWFSGGTVIIVTAEDLELLEKHRVDYVFRMKPMNDNESLELLSWHALREAKPKQKEHDYLARRIAIYCLRLPLILEVIGSNLFERTKEEWYGVLRDLEKIGSPSVENKLNICFKGLQNQMVKDLFLYVSRFFVGKGRNYATKILNGCQIDVDIGIRVLLERNLLKVTKNNKFGMHPLLQEMGLTIIREISEKDPSKNRPWLFDKDTKYGTKATQLLLQKLDQVEMSLNPEYLLQKLRWISPYGFYSEYLDNKFCADDAIAFDQFAPQVLRSLKVLNLSHSNYLTTTPDFTRLPCLEQLILKCCRRLRKVHQSIGCLCNLLLLDLKDCTSLSNLPKEIYKLKSLRTLNLSGCSKIDLMDKDIAQLESLTILIAENTTVKHVPFSVISSKSIGYICLRRFEGLSHNLFPSIIRSWMSQNMNLISYMHLFCMDKEDNSWDDIVPSVSSSLRNLRSVLVQCNTEFQLSKQVKSILVEYFANTTESGISKHRVRSSLIGVGRCNEFFNSVSYSISEVLRSSEWCEVSLPTVNDPCCLAHMGEGHSVSFIMPQDRDMKGMALCVVYLSTPEVIEPEFTTILIVNHTKCTFHIHNLDTIICFNDEDWNGIRSNLGCGDRVEMFVSFGNGLVVKKTAVYLICGESKNMEKASEPKKHSLTRFIKKVVM >Vigun10g041500.1.v1.2 pep primary_assembly:ASM411807v1:10:5752703:5756856:-1 gene:Vigun10g041500.v1.2 transcript:Vigun10g041500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSMEFTALSSSLPRNYDVLINFTGEDIHRKFVSHLDSALSAVGFTTFLHHQNAVKPMHIQEPIVNLCRVAIVVFTKTYSESAWCLHQLQQIVKWHETCCQHVLPVYYEIAPSDVRLQQGDFGKAFKATAHQTFSEQELEHGMSRWSHALTKVANCFGWDESNYRSDAEVVNKIVKSLLNLSVFSATKFPVGLQSRVENVIRTIKSKSTKVCIIGICGKGGSGKTTLAKAIYNQIQITFRDKSFIEDITRVSGIRGTLPLQEQLLLDVLKTRVEIPSLDMGSRMIQERLSGKKVLIVLDDVPEFCKLLDLLKCRNWFSGGTVIIVTAEDLELLEKHRVDYVFRMKPMNDNESLELLSWHALREAKPKQKEHDYLARRIAIYCLRLPLILEVIGSNLFERTKEEWYGVLRDLEKIGSPSVENKLNICFKGLQNQMVKDLFLYVSRFFVGKGRNYATKILNGCQIDVDIGIRVLLERNLLKVTKNNKFGMHPLLQEMGLTIIREISEKDPSKNRPWLFDKDTKYGTKATQLLLQKLDQVEMSLNPEYLLQKLRWISPYGFYSEYLDNKFCADDAIAFDQFAPQVLRSLKVLNLSHSNYLTTTPDFTRLPCLEQLILKCCRRLRKVHQSIGCLCNLLLLDLKDCTSLSNLPKEIYKLKSLRTLNLSGCSKIDLMDKDIAQLESLTILIAENTTVKHVPFSVISSKSIGYICLRRFEGLSHNLFPSIIRSWMSQNMNLISYMHLFCMDKEDNSWDDIVPSVSSSLRNLRSVLVQCNTEFQLSKQVKSILVEYFANTTESGISKHRVRSSLIGVGRCNEFFNSVSYSISEVLRSSEWCEVSLPTVNDPCCLAHMGEGHSVSFIMPQDRDMKGMALCVVYLSTPEVIEPEFTTILIVNHTKCTFHIHNLDTIICFNDEDWNGIRSNLGCGDRVEMFVSFGNGLVVKKTAVYLICGESKNMEKASEPKKHSLTRFIKKVVM >Vigun04g156800.1.v1.2 pep primary_assembly:ASM411807v1:4:37873464:37878879:1 gene:Vigun04g156800.v1.2 transcript:Vigun04g156800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWSKKNKTKAVFKLQFQATQVPKMKKSALKVALVPDNVGKPTVKLEKVAVQDGTCSWENPIFESVKFVRDAKSGKLQEKIYHFVVSTGSSKSGFLGESSIDFADFAAETEPLTVSLPLKFANSGAILHVTIQNVEGVQRDQSTFRNGEDYGNGSSRHLLSICSADENSRNVYEDFPKLLPPLRQNSMPCKGTIEAIATRAQMHRGSLGSASDRSLGDSWRNSLEDTHPRETLQEPSDNVIENLRSEIASLKRKAEESELELQSLQKLMEKECSRGQSMSRQIISLRDERNMIKTKYEQLMSQQNLINETKNSKALQTEIEQARQQLEAIKEELAYEKEFSSNLKLQLQKTQYSNSELLLAVGELEAMLEHKNKELLDKTKEHDDATELGHLKQKIADLNGEIDIYYKQRDELNEQIKELNFECENLKKENLDISLRLNHKEAQQIALQNKYSASLATIKQLESQVQRLEEKIGKQVDDFSGSLIYINELENQVSDLKREMKVQEEDYQKDFQAMKCAKLEQEERAIQAEETLIKTIHNNDLACQRFEDEYRSLSAEMTLKAEENEKKVMEAYAEADELQKQNKLMEEVLQKCNQELRLITNQNELKLQQLLNQIDSKEKKVEMMSQELEIKSKQLEDVQRHRDEKDKALTKQIQLLRIEIRKLMLEEHDPTELVTMLLMQENNDEEIRFDTLTSALEIFKTQHNELKHNLHVEQAEKENMKEKISQLEGELKKKVEEISAVEKRLKNSKGPTTAKSMNLASWNYETAASCSSTKENNKKSGSEMQMGMDDANSPVGKSEEGRTICNSAENEFHLASHRSDVKTCLENKAIVFNYDDAGYCPTNELLDEVAVLKERNKYMGTQLKEMEERYSDISLKFAEVEGERQQLVMALRNMRNGKN >Vigun04g156800.3.v1.2 pep primary_assembly:ASM411807v1:4:37873464:37881626:1 gene:Vigun04g156800.v1.2 transcript:Vigun04g156800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWSKKNKTKAVFKLQFQATQVPKMKKSALKVALVPDNVGKPTVKLEKVAVQDGTCSWENPIFESVKFVRDAKSGKLQEKIYHFVVSTGSSKSGFLGESSIDFADFAAETEPLTVSLPLKFANSGAILHVTIQNVEGVQRDQRNGEDYGNGSSRHLLSICSADENSRNVYEDFPKLLPPLRQNSMPCKGTIEAIATRAQMHRGSLGSASDRSLGDSWRNSLEDTHPRETLQEPSDNVIENLRSEIASLKRKAEESELELQSLQKLMEKECSRGQSMSRQIISLRDERNMIKTKYEQLMSQQNLINETKNSKALQTEIEQARQQLEAIKEELAYEKEFSSNLKLQLQKTQYSNSELLLAVGELEAMLEHKNKELLDKTKEHDDATELGHLKQKIADLNGEIDIYYKQRDELNEQIKELNFECENLKKENLDISLRLNHKEAQQIALQNKYSASLATIKQLESQVQRLEEKIGKQVDDFSGSLIYINELENQVSDLKREMKVQEEDYQKDFQAMKCAKLEQEERAIQAEETLIKTIHNNDLACQRFEDEYRSLSAEMTLKAEENEKKVMEAYAEADELQKQNKLMEEVLQKCNQELRLITNQNELKLQQLLNQIDSKEKKVEMMSQELEIKSKQLEDVQRHRDEKDKALTKQIQLLRIEIRKLMLEEHDPTELVTMLLMQENNDEEIRFDTLTSALEIFKTQHNELKHNLHVEQAEKENMKEKISQLEGELKKKVEEISAVEKRLKNSKGPTTAKSMNLASWNYETAASCSSTKENNKKSGSEMQMGMDDANSPVGKSEEGRTICNSAENEFHLASHRSDVKTCLENKAIVFNYDDAGYCPTNELLDEVAVLKERNKYMGTQLKEMEERYSDISLKFAEVEGERQQLVMALRNMRNGE >Vigun04g156800.2.v1.2 pep primary_assembly:ASM411807v1:4:37873464:37878879:1 gene:Vigun04g156800.v1.2 transcript:Vigun04g156800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWSKKNKTKAVFKLQFQATQVPKMKKSALKVALVPDNVGKPTVKLEKVAVQDGTCSWENPIFESVKFVRDAKSGKLQEKIYHFVVSTGSSKSGFLGESSIDFADFAAETEPLTVSLPLKFANSGAILHVTIQNVEGVQRDQRNGEDYGNGSSRHLLSICSADENSRNVYEDFPKLLPPLRQNSMPCKGTIEAIATRAQMHRGSLGSASDRSLGDSWRNSLEDTHPRETLQEPSDNVIENLRSEIASLKRKAEESELELQSLQKLMEKECSRGQSMSRQIISLRDERNMIKTKYEQLMSQQNLINETKNSKALQTEIEQARQQLEAIKEELAYEKEFSSNLKLQLQKTQYSNSELLLAVGELEAMLEHKNKELLDKTKEHDDATELGHLKQKIADLNGEIDIYYKQRDELNEQIKELNFECENLKKENLDISLRLNHKEAQQIALQNKYSASLATIKQLESQVQRLEEKIGKQVDDFSGSLIYINELENQVSDLKREMKVQEEDYQKDFQAMKCAKLEQEERAIQAEETLIKTIHNNDLACQRFEDEYRSLSAEMTLKAEENEKKVMEAYAEADELQKQNKLMEEVLQKCNQELRLITNQNELKLQQLLNQIDSKEKKVEMMSQELEIKSKQLEDVQRHRDEKDKALTKQIQLLRIEIRKLMLEEHDPTELVTMLLMQENNDEEIRFDTLTSALEIFKTQHNELKHNLHVEQAEKENMKEKISQLEGELKKKVEEISAVEKRLKNSKGPTTAKSMNLASWNYETAASCSSTKENNKKSGSEMQMGMDDANSPVGKSEEGRTICNSAENEFHLASHRSDVKTCLENKAIVFNYDDAGYCPTNELLDEVAVLKERNKYMGTQLKEMEERYSDISLKFAEVEGERQQLVMALRNMRNGKN >Vigun09g203200.6.v1.2 pep primary_assembly:ASM411807v1:9:37782259:37789187:-1 gene:Vigun09g203200.v1.2 transcript:Vigun09g203200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILWTIASIMGVVAVLHTESLQLDCKDTKHVTEKDVYNHLPSNEERILGEESSQSTDHQKINNLRERGYMEYGCQHYRRRCRIRAPCCNEIFSCRHCHNEAKNNIDIDQKHRHDIPRHQVKQVICSLCETEQEVQQNCINCGVCMGKYFCGTCKLFDDDVSKKQYHCSGCGICRTGGCENVFHCYRCGCCYPTQMKNSHPCVEGAMHHDCPICFEYLFESVNDVLVLPCGHTIHKSCLNEMREHFQYACPLCSKSVCDMSMIWEKFDMEIAATPMPEAYRNKMIWILCNDCTKTSHVQYHLVAHKCLNCKSYNTRQIRG >Vigun01g226200.2.v1.2 pep primary_assembly:ASM411807v1:1:39900554:39905427:1 gene:Vigun01g226200.v1.2 transcript:Vigun01g226200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHSLTVDDYQALLDRGWRRSGSFLYKPEMERTCCPSYTIRLKASDYVPSKEQLRVSRRMQRFLDGALDVKRVEVMEDPNTSTKTENHPRPMSEESQAAGNDDKDEVEKSLHYLSNKIDSVIQIFIEKGEFPSGIQLPKASVNIVSQGKRKSLANGSDDLLYSSNIAFQIAASIRRAHSCDKVGNDSNPSIVCEKENELSPKIIAEKLVASLDPTVNGLSIRACNGHINFYASSKHFSLTGSGKIASIPKKSRMEHDVGRNGLIGSQNCQVKRRKLEIRLNRSSFDPEEFALYRRYQVKVHNDKPQDVTENSYRMFLVDSPLLQVFPNGDSTVPPCGFGSFHQQYLIDGQLVAVGVIDILPKCLSSKYLFWDPDFAFLSLGKYSAFQEIGWVKENQVYCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYQWVPFDVARPLLDRRPYVVLSDASIPQKGESSLPQVTDDIMGREFDDGVQEDANDVPMLEDEETVDSESECSDDEPDLETTSCDDPEIGDVSKVLLGIKGSHVKYKDLRGAFGPEQRSYLESQLRRYRKVVGTVLSERMVYSLG >Vigun01g226200.1.v1.2 pep primary_assembly:ASM411807v1:1:39900191:39905427:1 gene:Vigun01g226200.v1.2 transcript:Vigun01g226200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTNTPKESVVEDCGRRRTSCGYCRSSRHNSISHGMWAHSLTVDDYQALLDRGWRRSGSFLYKPEMERTCCPSYTIRLKASDYVPSKEQLRVSRRMQRFLDGALDVKRVEVMEDPNTSTKTENHPRPMSEESQAAGNDDKDEVEKSLHYLSNKIDSVIQIFIEKGEFPSGIQLPKASVNIVSQGKRKSLANGSDDLLYSSNIAFQIAASIRRAHSCDKVGNDSNPSIVCEKENELSPKIIAEKLVASLDPTVNGLSIRACNGHINFYASSKHFSLTGSGKIASIPKKSRMEHDVGRNGLIGSQNCQVKRRKLEIRLNRSSFDPEEFALYRRYQVKVHNDKPQDVTENSYRMFLVDSPLLQVFPNGDSTVPPCGFGSFHQQYLIDGQLVAVGVIDILPKCLSSKYLFWDPDFAFLSLGKYSAFQEIGWVKENQVYCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYQWVPFDVARPLLDRRPYVVLSDASIPQKGESSLPQVTDDIMGREFDDGVQEDANDVPMLEDEETVDSESECSDDEPDLETTSCDDPEIGDVSKVLLGIKGSHVKYKDLRGAFGPEQRSYLESQLRRYRKVVGTVLSERMVYSLG >Vigun10g166100.1.v1.2 pep primary_assembly:ASM411807v1:10:38515416:38519136:1 gene:Vigun10g166100.v1.2 transcript:Vigun10g166100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVVVNPTMSFQVSHKIKYVAFMCLVFVTSSICSNDTAQIKYDVFVSFRGEDVRRGFLSHLIELFSQKQIAFFVDDGIPKGEEISEALFGAIEESYISLVIFSENYAFSRWCLSELEKILECRRKNGQTVMPIFYKVDPSDVRHQRRTYGDAFVKHETKYSLTTVQTWRSALSESANLSGFHLSNFRDEAELVKGIVKFVWRTLNHVHHVNSKGLVGIGKRIADVESLMELEATDVRMIGIWGMGGIGKTTIAQEVYDKMCFKYDSCCFLANIREESGRHGMNILKEKLFSKLLREEHLNIGTPDGFQKLVERRLHRMKVLIVLDDVDDSEQLEKLARTDWFGYGSRIIVTTRDKQVLATESANVYHAEALNFDESLRLFNLNAFKKKHVEAEYEELSKKAVDYAKGIPLVLKVLGHRLHGKDKEIWESELEREGVHNKKVHDIIKSSYYDLEEDEKRMFLDIACFFYGQQLQVKYIDFLLKDRDYSVVAGLERLKDKALISISQENTVSMHDIIRETAWQIAGQESIEDPRSQVRLFDPDDIYRVLTYNKGNEAIRSIVVNLLRIKQLHLKPQVFTKMSKLHFLNIYTAGTRDIRFYEPWGLYLHQGLESLPNELRYLGWAHYPLESLPSNFSAENLVELHLPYSRLKKLWQEVPDLVNLRVLMLYSSSNIKELPDFSKAPNLEVIDLRSCVGLTSVHPSIFSLKKLEILDLEDCRSLTSIRSNVHMDSLRYLSLYKCMELKDFSVTSKNMIMVNLEHTSIKQLPSSMGSQSKLEKINLAFSYIESLPASMKDLKGLRHLDLRHCRNLSSLPELPPSIETLDARECVSLESVTFPSITKQWNENKKKIVFWNCLNLDERSLKAIETNARINMVKFAHRHLSTSGDAQAIYVYPGSQVPEWLTHKTTLYDDDDDDDDYISFAPHSSHSGYILCFILPAVQYAERVLKLKVSTEGEDEGDDSVIVYLDRPHHSIKSDHVYLMYNQECSRFLTSRAKQQPMLKFKVTVATLALSSKYIEVQLRGFGVSTISNFLNKQQLYDAHIPKEHC >Vigun02g025400.2.v1.2 pep primary_assembly:ASM411807v1:2:8446820:8451472:1 gene:Vigun02g025400.v1.2 transcript:Vigun02g025400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPSPAASTLSPPPQTPPSSTSPSPSNDTTPPPQQPPSSTSPPQRTPSSTSPPQPAESPPPLTPSPASPPPSPPPSSSPPPVSGITPPPVPPPSPPPSSPQPSPPPPPSPDAPAPVPPSSPPPPPPSAPVPSRSPPPPPPSSNPPNSTSPPPPPQPSPSAPPPPRNSPPPPATTLPPASPPLNSPSPPAAPPPRNSTRSPPPPPPPVHSPSPGSSPPPAPEPSNPPSRISPPPTPSSSPAPPSNSTPSSSPPPPAVTQLSPPSPSPSKVSSPPSPTPNPTASGGGISTAGVVAITVAAGFLLLGFIGVLIWCMRRQKRKIPVNGGYVMPSTLASSPESDSSFFKTHSSAPLVQSGSGSDVVYTPSDPGGLGHSRSWFSYEELIKATNGFSSQNLLGEGGFGCVYKGHLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIEDNRRLLVYDYVPNNTLYFHLHGENQPVLEWANRVKIAAGAARGLTYLHEDCNPRIIHRDIKSSNILLDFNYEAKVSDFGLAKLALDANTHITTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDVSQPLGDESLVEWARPLLSHAIDTEEFSSLADPRLEKNYIESELYCMIEVAAACVRHSASKRPRMGQVVRAFDSLGGSDLTNGMQLGESEVFDSAQQSEEIRLFRRMAFGSQNYSTDFFSRASLNP >Vigun02g025400.1.v1.2 pep primary_assembly:ASM411807v1:2:8446987:8451417:1 gene:Vigun02g025400.v1.2 transcript:Vigun02g025400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRHTKNTPSIQTCSTRLKIHQCLLPSTQKKKTRSGRRREHGYCSNKAKKRFTRDMSAASPSPAASTLSPPPQTPPSSTSPSPSNDTTPPPQQPPSSTSPPQRTPSSTSPPQPAESPPPLTPSPASPPPSPPPSSSPPPVSGITPPPVPPPSPPPSSPQPSPPPPPSPDAPAPVPPSSPPPPPPSAPVPSRSPPPPPPSSNPPNSTSPPPPPQPSPSAPPPPRNSPPPPATTLPPASPPLNSPSPPAAPPPRNSTRSPPPPPPPVHSPSPGSSPPPAPEPSNPPSRISPPPTPSSSPAPPSNSTPSSSPPPPAVTQLSPPSPSPSKVSSPPSPTPNPTASGGGISTAGVVAITVAAGFLLLGFIGVLIWCMRRQKRKIPVNGGYVMPSTLASSPESDSSFFKTHSSAPLVQSGSGSDVVYTPSDPGGLGHSRSWFSYEELIKATNGFSSQNLLGEGGFGCVYKGHLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIEDNRRLLVYDYVPNNTLYFHLHGENQPVLEWANRVKIAAGAARGLTYLHEDCNPRIIHRDIKSSNILLDFNYEAKVSDFGLAKLALDANTHITTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDVSQPLGDESLVEWARPLLSHAIDTEEFSSLADPRLEKNYIESELYCMIEVAAACVRHSASKRPRMGQVVRAFDSLGGSDLTNGMQLGESEVFDSAQQSEEIRLFRRMAFGSQNYSTDFFSRASLNP >Vigun02g025400.3.v1.2 pep primary_assembly:ASM411807v1:2:8446782:8451463:1 gene:Vigun02g025400.v1.2 transcript:Vigun02g025400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPSPAASTLSPPPQTPPSSTSPSPSNDTTPPPQQPPSSTSPPQRTPSSTSPPQPAESPPPLTPSPASPPPSPPPSSSPPPVSGITPPPVPPPSPPPSSPQPSPPPPPSPDAPAPVPPSSPPPPPPSAPVPSRSPPPPPPSSNPPNSTSPPPPPQPSPSAPPPPRNSPPPPATTLPPASPPLNSPSPPAAPPPRNSTRSPPPPPPPVHSPSPGSSPPPAPEPSNPPSRISPPPTPSSSPAPPSNSTPSSSPPPPAVTQLSPPSPSPSKVSSPPSPTPNPTASGGGISTAGVVAITVAAGFLLLGFIGVLIWCMRRQKRKIPVNGGYVMPSTLASSPESDSSFFKTHSSAPLVQSGSGSDVVYTPSDPGGLGHSRSWFSYEELIKATNGFSSQNLLGEGGFGCVYKGHLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIEDNRRLLVYDYVPNNTLYFHLHGENQPVLEWANRVKIAAGAARGLTYLHEDCNPRIIHRDIKSSNILLDFNYEAKVSDFGLAKLALDANTHITTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDVSQPLGDESLVEWARPLLSHAIDTEEFSSLADPRLEKNYIESELYCMIEVAAACVRHSASKRPRMGQVVRAFDSLGGSDLTNGMQLGESEVFDSAQQSEEIRLFRRMAFGSQNYSTDFFSRASLNP >Vigun02g025400.4.v1.2 pep primary_assembly:ASM411807v1:2:8446782:8451463:1 gene:Vigun02g025400.v1.2 transcript:Vigun02g025400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPSPAASTLSPPPQTPPSSTSPSPSNDTTPPPQQPPSSTSPPQRTPSSTSPPQPAESPPPLTPSPASPPPSPPPSSSPPPVSGITPPPVPPPSPPPSSPQPSPPPPPSPDAPAPVPPSSPPPPPPSAPVPSRSPPPPPPSSNPPNSTSPPPPPQPSPSAPPPPRNSPPPPATTLPPASPPLNSPSPPAAPPPRNSTRSPPPPPPPVHSPSPGSSPPPAPEPSNPPSRISPPPTPSSSPAPPSNSTPSSSPPPPAVTQLSPPSPSPSKVSSPPSPTPNPTASGGGISTAGVVAITVAAGFLLLGFIGVLIWCMRRQKRKIPVNGGYVMPSTLASSPESDSSFFKTHSSAPLVQSGSGSDVVYTPSDPGGLGHSRSWFSYEELIKATNGFSSQNLLGEGGFGCVYKGHLPDGREIAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCIEDNRRLLVYDYVPNNTLYFHLHGENQPVLEWANRVKIAAGAARGLTYLHEDCNPRIIHRDIKSSNILLDFNYEAKVSDFGLAKLALDANTHITTRVMGTFGYVAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDVSQPLGDESLVEWARPLLSHAIDTEEFSSLADPRLEKNYIESELYCMIEVAAACVRHSASKRPRMGQVVRAFDSLGGSDLTNGMQLGESEVFDSAQQSEEIRLFRRMAFGSQNYSTDFFSRASLNP >Vigun09g275201.1.v1.2 pep primary_assembly:ASM411807v1:9:43575209:43578174:1 gene:Vigun09g275201.v1.2 transcript:Vigun09g275201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEDDLDLLLSLQDRVPDTPPGSPTPGYLSDDESLNQRDKPDMSVFKNAVQDCLPYDPPKRSNQQTNLLLTIPSLKSFQAFALGTIRLIFISRIHYYVFLNQLLTPAALKEHFSDIRFVRLSVIKNSLIGDTFSGNWATVGVLTEKGSQKTSSTGKSYCIWKIGCLDERTVSLFLFGDAYQRNMQEHAGTVFALFNCAVRKDNAGNGFSLSIYSTRQIMKMGTSVDYGVCKGKRTDGMACTLVINKRHGTYCKYHKSKTSEKYSTMRSELMGGRNLRTAFRPKSRDYLKSEGIYLVDPLADKTNLKNSRPVKLLSSDGLRKALSNAGKVTTTPHSQGIRFLSQLAAMSDLKVKNKGSKIPHEQKCTEKRKSSSPNVGSSSVIRNRQLDGKRIKPDGQVLAAKTSKCTEKMIELDFVSSEEDF >Vigun02g042300.1.v1.2 pep primary_assembly:ASM411807v1:2:17235237:17239637:1 gene:Vigun02g042300.v1.2 transcript:Vigun02g042300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKENHARRKKGGLITMPFIFANEICEKLAVVGFNTNMISYLTTQLHMPLTKAANTLTNFSGTASLTPLLGAFIADSFAGKFWTVTAASIIYQIGMISLTLSAVLPQFRPPPCRGEEVCQQASGGQLAVLYVSLLLGALGSGGIRPCIVAFGADQFDESDPKQTTRTWTYFNWYYFVMGAAILVAVTVLVYIQDNIGWGLGLGIPTVAMFISIIAFIVGYPLYRNLNPAGSPFTRLVQVGVAAIRKRKVANVSEASLLYQNDELDASISLGGKLLHSGQMKFLDKAAIVTEDDDSKTPNLWRLSTVHRVEELKSIIRMGPIWASGILLITAYAQQNTFSLQQAKTMNRHLTKSFQIPAGSMSVFTILTMLITTAFYDRVFIKVARRFTGLDRGISFLHRMGIGFVISTLATLVAGFVEIKRKKAALAYGLYDHPHSTIPISVFWLVPQYSLHGMAEAFMSIGHLEFFYDQAPESMRSTAMALFWTAISAGNYVSTLLVTLVHKFSAGPNGSNWLPDNLNKGKLEYFYWIITLLQFFNLIYYLVCAKLYTYKPIQVHDKGNGSSDGNQIELTTPVSV >Vigun03g233300.1.v1.2 pep primary_assembly:ASM411807v1:3:38839643:38843578:-1 gene:Vigun03g233300.v1.2 transcript:Vigun03g233300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVFSWTKSKPRKTQPRSVVGTRKHKCGQAHANLSTHTSEGSFLNMAFSLCLRSPIISLLLHFLLVVSTLCLVRSSQFGNHLVANQTFQPKEELRKLNVIRARLQQINKPPVKTIQSPDGDIIDCVMSHQQPAFDHPLLKGHKPLDPPERPRGHNEMDDLSENFQLWSLSGESCPEGTIPIRRTREEDILRASTIRRFGMKNTLNRVKMDTKNDGHEHAIGYVTGDKYYGAKAIINVWSPNVKNPFEFSLSQMWVISGSFGDNLNTIEAGWQVYPRLFGDWKPRFFIYWTNDSYHRTGCYNLLCPGFVQTNKNIALGAAISPISSYNGDQFDITLLIWKDPKTGNWWLQYGAGTLIGYWPSLLFGNLRDHASMIHFGGEIVNLGLFGSHTSTQMGSGHFAEEAYGKASYFRNMQVVDWRNNLIPLSNPKVLVDHPNCYNLQQGFNKAWGNYLYYGGPGRNVRCP >Vigun03g106700.2.v1.2 pep primary_assembly:ASM411807v1:3:9501721:9503969:-1 gene:Vigun03g106700.v1.2 transcript:Vigun03g106700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSEGKRVLRNDEEEEDEEEEEEEEEVETDFGEDGRRNKRVMRDLYGKKGWKGGGSMPPSCQVDNCDADLSEAKQYHRRHKVCEYHAKAHSVHMAGLQQRFCQQCSRFGITVLNLKVDKTHWVLWVNFNQWHVVDNLDA >Vigun03g106700.3.v1.2 pep primary_assembly:ASM411807v1:3:9501721:9503969:-1 gene:Vigun03g106700.v1.2 transcript:Vigun03g106700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSEGKRVLRNDEEEEDEEEEEEEEEVETDFGEDGRRNKRVMRDLYGKKGWKGGGSMPPSCQVDNCDADLSEAKQYHRRHKVCEYHAKAHSVHMAGLQQRFCQQCSRFGITVLNLKIP >Vigun03g106700.1.v1.2 pep primary_assembly:ASM411807v1:3:9501721:9503969:-1 gene:Vigun03g106700.v1.2 transcript:Vigun03g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSEGKRVLRNDEEEEDEEEEEEEEEVETDFGEDGRRNKRVMRDLYGKKGWKGGGSMPPSCQVDNCDADLSEAKQYHRRHKVCEYHAKAHSVHMAGLQQRFCQQCSRFHELSEFDESKRSCRARLVGHNERRRKNAVDYRGE >Vigun07g248700.1.v1.2 pep primary_assembly:ASM411807v1:7:36825648:36828226:-1 gene:Vigun07g248700.v1.2 transcript:Vigun07g248700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSKELLQLEHKGTPLSSLESALLLSGSKREADAPTRKLPSHGTPLTAPLPPSQLLGKVKDFLGVMSEANKRLELDAKEHPENYDIEELTGNESEVIEMDLMLGVADLKTPEAVAAAESAISTCQPVISLAADGSEIDSEDSGADDDSEDDENESGDNSCKDGIDVENGNGSVIKESISGKDNIHEKQKGTGNSKKRPRIVELS >Vigun03g179700.1.v1.2 pep primary_assembly:ASM411807v1:3:22571160:22576915:-1 gene:Vigun03g179700.v1.2 transcript:Vigun03g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFNILLLFLTFSSFSSSSSPSHPRRVLHQPLFPRISIPPTHPPALSPQPQKQHHLPKFPFSSSSSFSPPPPQKPFFPSYHSPPLPPSPTVVATFPANISSLLLPHPYSHHHRSAAALAVSLSLFSLALLAAAAFFLLHRRRAKRTSSSDATHDDDDEKASRSDSLRLFPSNAAASDGVDRKPRGKSSPTSELLYLGTVANSVNSTLDTSSSHHDDGFRPPYQKLGDSPELNPLPPLPRHKFKPWMNEDDDDKNVKEKVEQQEEAEKEEEDEQFFSPRSSSGGNNQHSPPYPSAVAASSSRVDNKIGSTSFTSRTPSYPRSNSLSFSRSPSLNFSPASVKSLPPHNPASPSFSSSSSSPREEWHVPSRDQNSPARYLPPPPPPPPPPPRIWEAPVSVRKVSEETPKPKLKALHWDKVKASSDRVMVWDQLRPSSFQLNEDMIETLFMANNSKEGVGVAVRDNARRHVVHSASPMPMENRVLDPKKSQNIAILLRALNVTIDEVCDALREGNCDTLGTELLESLLKMAPTKDEESKLKEFQDESPFKLGPAEKFLKVVLDIPFAFKRVDAMLYIANFDSELEYLKKSFETLEVACEELKNSRMFLKILEAVLRTGNRMNVGTNRGDAHAFKLDTLLKLADIKGTDGKTSLLHFVVQEIVRTEGSHVSGSNHYHASDNVHQYSLQDEVDFKKLGLQIVSGLSGELSNVKKAAAMDSDMLSSDVAKLARGIDKVVQVVKLNEESPLKETNQKFSEAMKGFLERGEQEISTIQAQEKNGLSSVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDGVCKEVGKVNERTLVGSRHSVMPANPVMQTFFPEIIGKQPSDSSESD >Vigun02g062400.1.v1.2 pep primary_assembly:ASM411807v1:2:20858306:20861615:-1 gene:Vigun02g062400.v1.2 transcript:Vigun02g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFRRITTTTISTAKQFNALPSIIAADTHFSPSGKVPVTRRWLSSRKSRSGEDEWNEAWETAWLPEDLTPKTRAPWESDVNFPSSSSSAAAAADAVAEADEETKAFVAEMNENWNERRRGSKEKEKREENGALYSVENMKKDYRLKKQRLHAGLWLKEIEKLEEAKLADSDIAAGDDIQRLIDSCSDIFDPGHNDLNNAQVQTAEFKNVPDGWETISKNQEGNVWEMSQREEDILLQEFERRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEVVGPNAKRGKGSVSRVPSLSDPSTQPFKEEKYSVDKTYLPLQRR >Vigun05g077600.1.v1.2 pep primary_assembly:ASM411807v1:5:7196314:7202806:1 gene:Vigun05g077600.v1.2 transcript:Vigun05g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNNRTKGSHFPLLAFLLLCLLAPLLFFRASPLHTFHDQGGNSAVTSRKVARFREWQSLQDLESLFSKEVLDVIVSNTNDVGPLSLESFRKKLSASWRVVGSKASNATNQVNEPANNVGQEKQNGKEGRFSVGHAQWTDSPAQLSRRQLIEKRKEKRAAELIREDDEAIVKLENSALEHSKSVDSAVLGKYNIWRKENENENPDSTVRLMRDQIIMAKVYLSIAKLKENLQLYQELESQLKGSQRALGEATSDADLNPRDHENIKTMGQVLSKAKEKLYDCKLVTGKLRAMLQTADERVRSLRKQSTFLSQLAAKTIPNGIHCLSMRLTIDYFLLPLEQRKFPRSENLDNPALYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLKQLESATMKEYYFKAGHPTTTGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVNLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKVWKKKDITGIYHKWQNLNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLEIAMTKYRSYWTKYVKFSHPYLQNCKLHE >Vigun05g077600.2.v1.2 pep primary_assembly:ASM411807v1:5:7196314:7202806:1 gene:Vigun05g077600.v1.2 transcript:Vigun05g077600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENMKVARFREWQSLQDLESLFSKEVLDVIVSNTNDVGPLSLESFRKKLSASWRVVGSKASNATNQVNEPANNVGQEKQNGKEGRFSVGHAQWTDSPAQLSRRQLIEKRKEKRAAELIREDDEAIVKLENSALEHSKSVDSAVLGKYNIWRKENENENPDSTVRLMRDQIIMAKVYLSIAKLKENLQLYQELESQLKGSQRALGEATSDADLNPRDHENIKTMGQVLSKAKEKLYDCKLVTGKLRAMLQTADERVRSLRKQSTFLSQLAAKTIPNGIHCLSMRLTIDYFLLPLEQRKFPRSENLDNPALYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLKQLESATMKEYYFKAGHPTTTGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVNLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKVWKKKDITGIYHKWQNLNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLEIAMTKYRSYWTKYVKFSHPYLQNCKLHE >Vigun06g170701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29227978:29228505:-1 gene:Vigun06g170701.v1.2 transcript:Vigun06g170701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLPPFMLIVVLTFLYGNLIGVFVLVAFLVGLLLWQYIFSGIRNSQYPVMRAFRSSEIEDIESHPADNHVLNARLRNRREELNFPCLVPKAQIISLAMKALPPVLCFQTTHHSHQTDCSICMEEFKNGELVQPFGLCLHLFHLYCINSWLRYGKTNCPVCRKDLSLAAHSHR >Vigun03g321300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51631492:51632655:1 gene:Vigun03g321300.v1.2 transcript:Vigun03g321300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVISTAQTQPQMFLRNSAPLQVRHIPARISLVLKPPSTSSLVAKSQQQHQKTQDDGIPAEEVKILVKFKSRHNYIRVLEVSRKAEHPLSGSRLLLLDGPGNIHSISFLFTSLTKTYFDVFATLPPILPSGPIALLGFGAGTAARLLLDHYPNAVLHGWELDPAVIQVAREYFNLGKLERENQQRLFIYIGNALNATVPNGFSGIIVDLFSKGCLIPELQEPATWEKLRGRLRKGGRIMVNVGGSCVEPESRLRDGKVVMEETLMAMKEVFGKELFVLNLGNRKDDSSLALTGEMPPSEEWKKKLPDPLKCYTQMWMPYLV >Vigun03g139632.1.v1.2 pep primary_assembly:ASM411807v1:3:13785401:13795962:1 gene:Vigun03g139632.v1.2 transcript:Vigun03g139632.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNQVAAVSPASPQETEGSNNNITRIHPSLYFAAVKGNFQEFIKVQNLETLVTPNKNTILHIHLTSTTTQKTGIHSSASFLLQTIQGKRPDLTVKVGVSEEFVRQILEKCRGLVLLPNAKGETPLHIAAKNGHSGVAKLLVEHVKAFPPDIEHGVGAEQKFMRATNNEKDTALHKAVRYNHIQVVKILLEMDPDYSYHANKADETPLYLASKGRYQKVACEILSNIKSPAYEGPNNQTALHAAVINQDIEMARELLKNEYVKLAGKHADKEGRNPLHYAVKKGNKVLTELLLEQNTSIAYMQDNNGMTALHIAAAYGSWQIVETIIKRYPECSEIVDNKGQNFIHYAVNKGRLFTVIRIKRNLSMDHLFNEKDVYGNTPLHPQSGFLIFFPIISALRRRAIVLKLAYASREDLNALLTTQDPIEQLKLGEEKQNEQFDKKPKNELEKSVGRFFTKEAKETHLLVATLIATVSFAAGITLPGGTIQDGEHKSTPIMRDKASFIVFTVSNTLAMVLATAAAHIHLFTPLITKAKWKVHFLCELALRFTLRALLAMIVAFAVATYAVLESSLLGIALVTLVSLYFLNLN >Vigun06g039800.1.v1.2 pep primary_assembly:ASM411807v1:6:15893281:15896954:-1 gene:Vigun06g039800.v1.2 transcript:Vigun06g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIITRVFASFTHCRSTQFQLGSLQQHKHHASLFMFNTFTSAEDSHKGDTFTVSYLSNSCGLSLELARKLSKRVNLKTPNGPNAVLDTLKNYGFSKYQVAKLVEKNPRLLVASVEKTLLPKLKFFNSIGVSNTVIHKIAHENPLILLRSLGKCLVPRYEIIRSVVRDDLEVVRVLKNAPLGFTYCDLLNDLVPNIGILRQYGVPQGSISLLMVNFPSAAMVKHSRFVEVVERVKKFGFDHKKTTFVMAIQVLLTMRKGAWESRFEIYEKWGWNWEMTLQAFVKFPNFMKLSEETITKKMTFLVKDMGLSSLDIAACPPVLAYNLEKRIIPRFSEHLPLLPDVYNGLIHHDDVK >Vigun06g039800.3.v1.2 pep primary_assembly:ASM411807v1:6:15893281:15896954:-1 gene:Vigun06g039800.v1.2 transcript:Vigun06g039800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIITRVFASFTHCRSTQFQLGSLQQHKHHASLFMFNTFTSAEDSHKGDTFTVSYLSNSCGLSLELARKLSKRVNLKTPNGPNAVLDTLKNYGFSKYQVAKLVEKNPRLLVASVEKTLLPKLKFFNSIGVSNTVIHKIAHENPLILLRSLGKCLVPRYEIIRSVVRDDLEVVRVLKNAPLGFTYCDLLNDLVPNIGILRQYGVPQGSISLLMVNFPSAAMVKHSRFVEVVERVKKFGFDHKKTTFVMAIQVLLTMRKGAWESRFEIYEKWGWNWEMTLQAFVKFPNFMKLSEETITKKMTFLVKDMGLSSLDIAACPPVLAYNLEKRIIPRFSEHLPLLPDVYNGLIHHDDVK >Vigun06g039800.2.v1.2 pep primary_assembly:ASM411807v1:6:15893281:15896954:-1 gene:Vigun06g039800.v1.2 transcript:Vigun06g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIITRVFASFTHCRSTQFQLGSLQQHKHHASLFMFNTFTSAEDSHKGDTFTVSYLSNSCGLSLELARKLSKRVNLKTPNGPNAVLDTLKNYGFSKYQVAKLVEKNPRLLVASVEKTLLPKLKFFNSIGVSNTVIHKIAHENPLILLRSLGKCLVPRYEIIRSVVRDDLEVVRVLKNAPLGFTYCDLLNDLVPNIGILRQYGVPQGSISLLMVNFPSAAMVKHSRFVEVVERVKKFGFDHKKTTFVMAIQVLLTMRKGAWESRFEIYEKWGWNWEMTLQAFVKFPNFMKLSEETITKKMTFLVKDMGLSSLDIAACPPVLAYNLEKRIIPRFSVSEIIGIGVMRYFGNGNALP >Vigun05g134800.2.v1.2 pep primary_assembly:ASM411807v1:5:15935531:15937049:1 gene:Vigun05g134800.v1.2 transcript:Vigun05g134800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAGGDVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFARRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPVGPRHYPNHPAPPGPVVPHYNYHLSSGPGPGPVKKAPRREYYEEEFDDEDDVGARKMRREGYDGYGYAGGKSSLSAPMEHQSPREMSGNEE >Vigun05g134800.1.v1.2 pep primary_assembly:ASM411807v1:5:15935522:15960900:1 gene:Vigun05g134800.v1.2 transcript:Vigun05g134800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAGGDVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFARRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPVGPRHYPNHPAPPGPVVPHYNYHLSSGPGPGPVKKAPRREYYEEEFDDEDDVGARKMRREGYDGYGYAGGKSSLSAPMDKKSEGRSRVDRDADNLQLQQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELLEQYSSVWHHSRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >Vigun05g115000.3.v1.2 pep primary_assembly:ASM411807v1:5:12161709:12163541:-1 gene:Vigun05g115000.v1.2 transcript:Vigun05g115000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSCFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNQQDIDKCLDEWKRKGFRITGSPCDVLFRDQRENLMKNVSSIFEGKLNILINNAGTCSPKNLVDYTAEDVSRVMGINFESSFHLCQLAHPLHKASGYGNIVFISSIAGLKALPYISAYASSKGAMNQFTKNVALEWAKDNIRANCVAPGCIKTALSDSVAVWIWRRRC >Vigun05g115000.1.v1.2 pep primary_assembly:ASM411807v1:5:12161709:12163541:-1 gene:Vigun05g115000.v1.2 transcript:Vigun05g115000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSCFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNQQDIDKCLDEWKRKGFRITGSPCDVLFRDQRENLMKNVSSIFEGKLNILINNAGTCSPKNLVDYTAEDVSRVMGINFESSFHLCQLAHPLHKASGYGNIVFISSIAGLKALPYISAYASSKGAMNQFTKNVALEWAKDNIRANCVAPGCIKTALSDSVAGSGDEGVKVVEGIISKTPAGHIGEPEDISAIVAFLCLPAASYITGQIITVDGGYII >Vigun05g115000.2.v1.2 pep primary_assembly:ASM411807v1:5:12162042:12163541:-1 gene:Vigun05g115000.v1.2 transcript:Vigun05g115000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKLSCFKDQKWSLHGMTALVTGGTRGIGYAIAEELAEFGASVHICARNQQDIDKCLDEWKRKGFRITGSPCDVLFRDQRENLMKNVSSIFEGKLNILINNAGTCSPKNLVDYTAEDVSRVMGINFESSFHLCQLAHPLHKASGYGNIVFISSIAGLKALPYISAYASSKGAMNQFTKNVALEWAKDNIRANCVAPGCIKTALSDSVAVCMLCYIKLIHS >Vigun11g052100.1.v1.2 pep primary_assembly:ASM411807v1:11:8924339:8931644:1 gene:Vigun11g052100.v1.2 transcript:Vigun11g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAVAMNNNSSNVIHHQNETRATSTITIKGILSLIMENIDVNLDGGNKRVISLGMGDPTLTTLFHTPKVVEEAVADSLHSHKFHGYAPTAGLPQARIAIAEYLSRDLPYKLSSDDVFITCGCTQAIDVSVAMLARPGANILLPRPGFPIYELSTAFRGVEVRHYDLLPEKGWEVDLDAVEALADQNTVGLVIINPGNPCGNVYSYHHLEKIAETAKRVGTIVISDEVYGHLAFGAKPFVPMGVFGSVVPVLTLGSLSKRWIVPGWRLGWFVTNDPSGTFREPKVVERIKKYFDLLGGPPTFIQAAVPQIIANTEEIFFNKTIDNLRHTADICCTEIKDIPCIVCPYRPEGSMAMMVKLNLSLLEDISDDIDFCFKLAKEESVIILPGTAVGLKDWLRITFAADPCALGEGMKRIKSFCQRHARKL >Vigun01g092900.1.v1.2 pep primary_assembly:ASM411807v1:1:25445049:25494955:-1 gene:Vigun01g092900.v1.2 transcript:Vigun01g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLACPSSSLHGLATLQRHSQPSHFLLSNSLFFPPSPRLAASRKCNFRSLLSGRSSRRSAVRASADSPEVSESVVSDSGVLQPYSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTTVCLDDVPSEPSDFFPLSVHYQERFSAAGRTSGGFFKREGKTRDHEVLICRLIDRPLRPTMPKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPMSKAIAGVRVGLVGDKYIVNPTTKEMEHSELDLLLAGTDSAILMIEGYSNFIPEEKLLRAVEVGQDAVRAICNEVEALVKKCGKPKMLDAIKLPPPELYEHVEEIAGDEIVKVLHIRNKIPRRKALSSLEEKVLNILTEKGFVSNDSKLRSSSETIVEVLEDEEEDEEVIVDGEVDEGDVHIKLTSHKPALLFSEVDVKLVFKEVTSKFWRKRIVEGGKRSDGRTPDQIRPINSRCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRIDGLDGDDELKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERSLERILPSENDFPYTIRVESTITESNGSSSMASVCGGILALQDAGVPIKCSIAGIAMGMVLDTKEFGGDGTPLILSDIVGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPIMRKALQQARDGRKHILEAMTNCSPPPANRLSKYAPLIHIMKVKPDRVNLIIGSGGKKIKSIIEESGVEAIDADDNGTVKIFAKDLPSLERSKAIISSLAMVPTIGDIYRNCEIKSIASYGVFVEIAPGREGLCHISELSSGWLPKAEDVFKVGDRIDVKLIEINEKGQLRLSHRALLPDTNPDNSNVKQSTGELADDTETSSKSPEKSKDNSSTPKITSSSKRSSEDDSVLPSKKFVRRLVNSSQDKPLTNKEKTKKSSNKAAGSVSVNDESTLVSGEA >Vigun04g189200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:41328093:41331159:-1 gene:Vigun04g189200.v1.2 transcript:Vigun04g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHERLKEKISGKKFFLVLDDVWNERQEKWEAVQTPLSYGAPGSRILVTTRGEKVASIMRSKVHRLKQLKKDICWNVFEKHALRDDELELNDEKKEIGRRIVQKCKGLPLALKTIGSLLRTKSSISDWQSVLESDIWDLPKEVEIMPALLLSYQHLPSHLKRCFAYCALFPKDYKFDKKELILLWIAQDFLHCSQQSNNLEEIGEQYFNDLLMRSFFLQSDFKTCFFMHDLLNDLAKYVCADFCFRLKFDKGNCISKTTRHFSFGISDVKYFDGLGSLTDAKRLRSFFPYKEFGRRYIDYYPLQFKILVHELFSNFKFLRVLSLDQYSELREVPDSIGDLKHLHSLDLSGTQIQKLPDSTCLLYNLLILKLNYCSSLKELPLNLHKLTKLRCLEFENTNVTEMPMHFGELKNLQVLSAVFVDKNKEFSTKHLGGLNLHGGLSINEVQNIVNPVDALEANLKNKDLVKLELKWKSDYIPDDPRKEKKVLENLQPSKTLEHLSIKSYGGTEFPSWVFDNSLSNLVSLRLEDCKYCLCLPPLGLLSSLKTLQIIGFDGIVSIGDEFYGNSSSSFTSLERLTFSNMKELEECERKTAAFPRLEFLSVYQCPKLKGLPKELVNVKYLDIRGSMKAWCLERCEHTVSHNSLEDLNFCAFPIMNIPMSRSFDLLEQINIFRGCDSLTTFPLDFFPNLKALSLYFCRNLQIISQDHTHNHLESLTIDGCSRFDSFPSEGLSAPRLKRIDIDEAENLKLLPKQMQILLPSLNILKIICCPKVEMFPNGGLPPNVKAVFLSSLKLMASLRETLGTNTCLQSLYIEKMDVEFFPDEVLLPHSITALRICDCPNLKKMEYKGLCHLSSLLLHNCPNLQCLPEDGLPKSISSLQILNCPLLKQRCQNPEGQDWNKIAHIEDLIILP >Vigun08g047600.1.v1.2 pep primary_assembly:ASM411807v1:8:5141019:5145195:-1 gene:Vigun08g047600.v1.2 transcript:Vigun08g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHHQQRKPNNINASDTDPFLLNYTPSDLRTASEFLATWLPFLSQDLCARCTQSLSDRIQSIDPGEVPQNDSPNDQNDAEDNCDTHSLGSWKDGAEVNSCVETPSQRVCWADMAQEDDEFGEEENNNNVVNVVMGNSNDASGVGAAVAEKPTLPPERREYLRFTSVRRKKDFACFERVNGKLVNILGGLELHTGIFSAAEQRRIVNYVASLQDMGKRGELKERTFSAPQKWMRGKGRQTIQFGCCYNYAVDRDGNPPGILPHSMVDPLPDLFKVIIRRLIKWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGANLKIVGPGEFDGSIAIPLPVGSVLVLNGNGADVAKHCVPAVPAKRISITFRRMDESKRPFGYVPEPDLQGIQPLTYKAEDEKKSGGHRSSHHVRRQRDRRGGRNDAMGFASRNDRFSERDSNHNTPRSGNRWSRNLDG >Vigun08g192400.2.v1.2 pep primary_assembly:ASM411807v1:8:35973365:35975657:1 gene:Vigun08g192400.v1.2 transcript:Vigun08g192400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPETQFDDNPTGSPRFTWRDHISSCWKDADYKRVAMASLVKAVYMLELDRQENRTQENALAPSWWMPLKYKLTHKLIDKRDGSIFGAIFEWDRSAALSDFIPIRPNGAPKAVLALRGTLLRSHTGRRDIEDDIRFAAWESLKGSFRFQETLEALISVSDMHGSRNVCIAGHSLGAGFALQVGKELAKEGINVEAHLFNPPSLSLAMNIGYIGEGAEYVWNGLKSMFVSSNEVQVSNDGHKTYGISVKRFMGQLSGMMDAYFGVGNRVPHLYINSNDYISCLYFYGTREITDSENMVPAEGKNVAKLFVVSKKNQEFLEAHGLKQWFSSDAELGQDIHNCKLISKQLSTLNIATPSLVIFLLYPHLASLAMSHINIRGTTDYLWNILKSVPLSSGKGQVSNDGDNTSGVGLKGWTSQLSRLKDASFWVVKCVSYLKVYKNGGTREKKIEEKKDLTDRQLRAKLLVVSKEKQEFLAAHGLEQWWPSDAELVQTIHDREHIRHLYSSTLWETAHLLNPSFVTLAMNLRNIGKAQVSNDGDNSGVGLKVGCLSYLA >Vigun03g448400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:64944441:64946787:-1 gene:Vigun03g448400.v1.2 transcript:Vigun03g448400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTDVFLFAFALLFLRWWWRRWSATGGGPKNLPPGPPGWPIVGNLFQVVLQRRHFIYVIRDLRKKYGPIFTMQMGQRTLIIVSSADLIHEALIQRGPQFASRPQDSPIRLIFSMGKCAINSAEYGPLWRTLRKNFVTEMITPLRIKQCSWIRKWAMEAHMSRIQQEARLHGFVEVMSNCRLTICSILICLCFGAKIEESRIKSIESILKDVMLITLPKLPDFLPVFTPLFRRQVKEAKELRKRQVELLAPLIRNRKAYVEGRFSGDEMASTVGAAYVDSLFGLEVPGRGRLGEEELVTLVSEVISAGTDTSATAVEWALLHLVMDQEIQERLYKEIVECVGKDGVVTESHVEKMPYLSAVVKETFRRHPPSHFVLSHAATEDTKLGGYTVPKNASVEFYTAWLTEDPNMWEDPNEFRPDRFLSGDGVDVDVTGTKGVRMMPFGIGRRICPAWSLGMLHINLLLAKMVQAFHWLPNPNAPPDPAETFAFTVVMKNPLKAVIVPRSI >Vigun02g176700.1.v1.2 pep primary_assembly:ASM411807v1:2:31856542:31862699:1 gene:Vigun02g176700.v1.2 transcript:Vigun02g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKICSILLFSAVILLLCDAPSVLAGDIVHDDDSTPKKPGCANLFVLVKVQTWVNGVEDAEFVGVGARFGRAIVSKEKNARHTRLILSDPRDCCSPPKNKIVGDVIMVDRGNCTFTRKANTAQNANASAILIINNQKELYKMVCDPDETDLNIHIPAVMLPLDAGARLEKMLTNTSSVSVQLYSPRRPPVDVAEVFLWVMAVLTILCASYWSAWTAREAAIEQDKLLKDASDEIPNTKYASVSGVVNMNVKAAVLFVVFASCFLFMLYKLMSSWFIDVLVVLFCIGGIEGLQTCLVALLSRWFKHAGEAYIKVPFLGSISYLTLAVSPFCTTFAVLWAVYRNNSFAWICQDILGIALIITVLQIVHVPNLKVGTVLLGCAFIYDIFWVFISKKFFKESVMIVVARGDRSGEDGIPMLLKFPRIFDPWGGYSIIGFGDILLPGMLVAFSLRYDWLANKSLRGGYFLWAMFAYGFGLLVTYVALNLMDGHGQPALLYIVPFTLGTLMTLGRKRGDLRGLWTNGEFQKPCPHLRLQNSGELSPE >Vigun03g206700.1.v1.2 pep primary_assembly:ASM411807v1:3:33551347:33553474:-1 gene:Vigun03g206700.v1.2 transcript:Vigun03g206700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDKKLMAYIEEFGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIAAQLPKRTDNEIKNYWNTHLKKRLTRMGIDPTTHKPKTDALGGSGGCQSKDAANLSHMAQWESARLEAEARLVRESRLQVQNNLGPGSNTQPARLVLNKITTQQPSLPPCLDILKAWQSSWSKPQPPTKESNPKMMHSMYAMMLSTEDTLESPTSTLCFPGTAPTMLPVSNNNNIGVLFNENNNNMFPLPSTNMDGGFMKENTVLHLQDDDIMAAVEAFRGTTTMYDSNNNVVPPTLPNGVVLEGLNNDEGLVYESNDNLGAEEENMAMMNGDGSLCNVNLEENNKHYWNNIFNLVNDPLSGPSVF >Vigun11g100400.2.v1.2 pep primary_assembly:ASM411807v1:11:29446138:29450017:-1 gene:Vigun11g100400.v1.2 transcript:Vigun11g100400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFSSPYHHHHHRSRTKSSSRSVRRDENSVPVEPLRISIDCVQSPPATTANSSSRSPLSLLRSPKSSLPLRDLLLLSPSSARRSKARLDDEAPEAAGVRRRYKNHAASPRSSRRWRREEKEGGAVEEAVKQKKRRHSGRHRKERLSLVPFQPPSTSSPTDEENGGDLDRVGAFITDLIMWRDVSKSTLWFGFGCLCFLSSCFSKGINVSIFSALSRLAILLLGVSFFSNSVFQRNQIEKRSFAKLKEDDILHLAKLILPALNFAISKTRELFSGEPCMTLRVAPFLLLGAEYGHLITIWRLCAIGFFVSFSVPKLYSCYSAQINQRVECLKLRLLDTWNVCTHKKKVLASVLVTFWNLSTIKTRICTAFILLVILRYFRQNITEHVEADEVAEKEQHQALVVVAEPEEVEARHALVVA >Vigun11g100400.1.v1.2 pep primary_assembly:ASM411807v1:11:29446138:29450017:-1 gene:Vigun11g100400.v1.2 transcript:Vigun11g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFSSPYHHHHHRSRTKSSSRSVRRDENSVPVEPLRISIDCVQSPPATTANSSSRSPLSLLRSPKSSLPLRDLLLLSPSSARRSKARLDDEAPEAAGVRRRYKNHAASPRSSRRWRREEKEGGAVEEAVKQKKRRHSGRHRKERLSLVPFQPPSTSSPKADEENGGDLDRVGAFITDLIMWRDVSKSTLWFGFGCLCFLSSCFSKGINVSIFSALSRLAILLLGVSFFSNSVFQRNQIEKRSFAKLKEDDILHLAKLILPALNFAISKTRELFSGEPCMTLRVAPFLLLGAEYGHLITIWRLCAIGFFVSFSVPKLYSCYSAQINQRVECLKLRLLDTWNVCTHKKKVLASVLVTFWNLSTIKTRICTAFILLVILRYFRQNITEHVEADEVAEKEQHQALVVVAEPEEVEARHALVVA >Vigun03g402900.1.v1.2 pep primary_assembly:ASM411807v1:3:60995516:61001028:-1 gene:Vigun03g402900.v1.2 transcript:Vigun03g402900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLKNCEGPVRFLYQQARHHSHHNNLMAYLVGFDPCSRLSRAFSQYPSLSSSDRGCYLSFPLLHKLVYANVFGVRRSIGFGRRGCGFDTNGSCSGNGDWIFVRAKARSRGFASNRHPPMLADLERRNSVKGDEFDATVIKIDNGSVNGSPAKPPCFNDHRLSQKVVVAVDVDEVLGNFVSALNKFIADRYSSNYSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTPYFKSGIHPLPGAHTALQKLSRFCSLSVVTSRQNVIKDHTIEWIEKNFPGLFHEIHFGNHFALDGVSRPKSEICRSLNAKVLIDDNPRYALECANVGIRVLLFDYENSYPWCKSESAEEHPLVTKVKNWDEVEQQLMSLIAS >Vigun08g030100.1.v1.2 pep primary_assembly:ASM411807v1:8:2746054:2748389:-1 gene:Vigun08g030100.v1.2 transcript:Vigun08g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGPGFFSDIGKSGRDILTKDYNSDQRFTISSSANSGLDLKSTLVKSRGLSSGDVTAEFKYKNKVVNFKVDTDSNVLTTFTVSDVVPSAKTIASIRLPDYNSGKFEVQYLHDHVAFTLGVGLTRSPAIDFSGTMGTPSIAFGAETSYSTSLAKFTKYNAGLCFKMPSSSASVILGDKGDSMKVSYLHQLERLNGGAVVGEISRRFSTNENTLTVGCSYVVDSQTVLKAKLNNHGNLAALLQHELTRKSFLTISGAFETKDLDKNPKFGFSLLLKP >Vigun02g097300.1.v1.2 pep primary_assembly:ASM411807v1:2:25297414:25300983:-1 gene:Vigun02g097300.v1.2 transcript:Vigun02g097300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVGRNVHRRTQSALDEREVMPPNSEAVAAVASAATATSHGIEVAVEFKPVEHPIEPLDSDRPIQCPLPEPSILNDGRIWKERVSATVRRRGDLPVMKEGGTLESEDAGIKPRTSRSNRMILPSVSAPEHNLLKLLEECNASGI >Vigun03g313400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50629115:50631635:1 gene:Vigun03g313400.v1.2 transcript:Vigun03g313400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSLGGGAGGDDRVLATAQQIVKSLRAAKEDREDMLLIFSTFDNRLSGISDLINGDDSKSSEEEELDRFEAAEKVILADASLSEEPSRQSTSLFNPPNNPAEYFSAVDEIIHWMEQFSIAPPSSAVGRTGPAIVDRAENAIQLAMSRLEDEMRHVLICNTIPLDAMSRYGSIRRVSLSFGSHDSAIDDTLESFGEVGSSRFHERGASLGDDLFVDLVRPEAVQDLREIIDRMVRSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRVEWRSLDEKMKNWVQAVKVAVGVLLSGEKRLCDSLFGDLDDLKEICFNETAKGCVMQLLNFGEAISICKRSPEKLFRILDMYEALRDAMPDLQAMVSDEFVIGEANGVLSGLGEAAKGTFAEFENCIRNETSKKPVITGDVHPLPRYVMNYLKLLVDYGDPMDSLLEISEEDLHRFKNDLGGDGSQLEAMTPLGQRILLLMSELEYNLEEKSRLYEDSAMQQVFLMNNLYYLVRKVKDSDLGKVLGDNWIRKRRGQIRQYATGYLRASWSRALSCLKDEGIGGSSNNASKMALKERFKSFNACFEEIYRIQTAWKVPDDQLREELRISISEKVIPAYRSFVGRFRSQLEGRHAGKYIKYTPEDLETYLLDLFEGSPAVLHHIRRKST >Vigun03g313400.1.v1.2 pep primary_assembly:ASM411807v1:3:50629099:50632553:1 gene:Vigun03g313400.v1.2 transcript:Vigun03g313400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSLGGGAGGDDRVLATAQQIVKSLRAAKEDREDMLLIFSTFDNRLSGISDLINGDDSKSSEEEELDRFEAAEKVILADASLSEEPSRQSTSLFNPPNNPAEYFSAVDEIIHWMEQFSIAPPSSAVGRTGPAIVDRAENAIQLAMSRLEDEMRHVLICNTIPLDAMSRYGSIRRVSLSFGSHDSAIDDTLESFGEVGSSRFHERGASLGDDLFVDLVRPEAVQDLREIIDRMVRSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRVEWRSLDEKMKNWVQAVKVAVGVLLSGEKRLCDSLFGDLDDLKEICFNETAKGCVMQLLNFGEAISICKRSPEKLFRILDMYEALRDAMPDLQAMVSDEFVIGEANGVLSGLGEAAKGTFAEFENCIRNETSKKPVITGDVHPLPRYVMNYLKLLVDYGDPMDSLLEISEEDLHRFKNDLGGDGSQLEAMTPLGQRILLLMSELEYNLEEKSRLYEDSAMQQVFLMNNLYYLVRKVKDSDLGKVLGDNWIRKRRGQIRQYATGYLRASWSRALSCLKDEGIGGSSNNASKMALKERFKSFNACFEEIYRIQTAWKVPDDQLREELRISISEKHFKMLRFYPFLSNTWDGLNSNLNS >Vigun03g313400.3.v1.2 pep primary_assembly:ASM411807v1:3:50629115:50632503:1 gene:Vigun03g313400.v1.2 transcript:Vigun03g313400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSLGGGAGGDDRVLATAQQIVKSLRAAKEDREDMLLIFSTFDNRLSGISDLINGDDSKSSEEEELDRFEAAEKVILADASLSEEPSRQSTSLFNPPNNPAEYFSAVDEIIHWMEQFSIAPPSSAVGRTGPAIVDRAENAIQLAMSRLEDEMRHVLICNTIPLDAMSRYGSIRRVSLSFGSHDSAIDDTLESFGEVGSSRFHERGASLGDDLFVDLVRPEAVQDLREIIDRMVRSGYERECLQVYSSVRRDALDECLVILGVERLSIEEVQRVEWRSLDEKMKNWVQAVKVAVGVLLSGEKRLCDSLFGDLDDLKEICFNETAKGCVMQLLNFGEAISICKRSPEKLFRILDMYEALRDAMPDLQAMVSDEFVIGEANGVLSGLGEAAKGTFAEFENCIRNETSKKPVITGDVHPLPRYVMNYLKLLVDYGDPMDSLLEISEEDLHRFKNDLGGDGSQLEAMTPLGQRILLLMSELEYNLEEKSRLYEDSAMQQVFLMNNLYYLVRKVKDSDLGKVLGDNWIRKRRGQIRQYATGYLRASWSRALSCLKDEGIGGSSNNASKMALKERFKSFNACFEEIYRIQTAWKVPDDQLREELRISISEKHFKMLRFYPFLSNTWDGLNSNLNS >Vigun03g072150.1.v1.2 pep primary_assembly:ASM411807v1:3:5939344:5940397:-1 gene:Vigun03g072150.v1.2 transcript:Vigun03g072150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMSTLASWSAIRQGHATRSSFVAFFVVNYWRRGGEALGKANEVVDLVVFGSSFCSIVVLEVQWSVRDRDCMDKL >Vigun03g263500.1.v1.2 pep primary_assembly:ASM411807v1:3:43246496:43248494:-1 gene:Vigun03g263500.v1.2 transcript:Vigun03g263500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSFFLLLSSLAFAPMCLCNYYQEGKLYPQFYDYSCPQAQNIVKSILATYVQQQPRLAASILRLHFHDCFVKGCDASLLLDSSESIISEKGSNPNRNSARGFEVIDAIKAALERECPSTVSCADILTLAARDSVVLSGGPSWEVPLGRRDSRDASISGSNNNIPAPNNTFQTILTKFQLHGLDLVDLVALSGGHTIGNARCTTFRQRLYSQISGNGKPDSTLDQYYAAALRNRCPRSGGDQNLFFLDYATPYKFDNTYFKNLLAYKGLLSSDQILLTDQESAELVKSYAESNDIFFEQFAKSMIKMGNISPLTNSRGEIRENCRKINA >Vigun04g049400.1.v1.2 pep primary_assembly:ASM411807v1:4:4302111:4306207:1 gene:Vigun04g049400.v1.2 transcript:Vigun04g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSNAVQAGSLDVDKSKARKNKESGVKERKWGFRFSIFGSCIPSRSKVDSTTVSGTSAPNNGENVKSVVTESEENKSASDRITKETVAAPGSSTTTSDAESNPSTPIFSEELKVASCLRKFTFNGLKVATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHNGHQGHKEWLAELNYLGDLVHPNLVKLVGFCIEDDQRLLVYEFMPRGSLENHLFRRPLPLPWSIRMKIALGAAKGLAFLHEEAQRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGEKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSIDKKRPNGEHNLVEWARPVLGDRRMFYRIIDPRLEGHFSVKGVQKAVQLAAQCLSRDPKSRPLMSEVVQALKPLPNLKDMAISSYHFQIARVDRTMSMPNHKNGIRTQIVSVPRKGQPPVRTLSTSNVPHGSPYIRYTKSPKPTG >Vigun03g179150.1.v1.2 pep primary_assembly:ASM411807v1:3:22476457:22477201:-1 gene:Vigun03g179150.v1.2 transcript:Vigun03g179150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLCMREVQGLIPCISISFEFKSQVGSFVFLGSCWAFSAVATVEGINQIKTGNLISLSEQQLVDCASYHGCQGESLENAFGYIKSTGLGTDEQYPYKETTQTCHSVNPAVRILGFQMVPPQREDQLLQAVNNQPVSVILDASGQAFQFYRGGVFSGNCGTQLNHAVTAIGYDEDASGKYWLIRNSWGQGWGEEGYMKIRRDTGSPQGLCGINMHATYPLL >Vigun06g048000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17234160:17237702:1 gene:Vigun06g048000.v1.2 transcript:Vigun06g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRNVIYWWIGEGFVKKSTEKTSEEEGEDVFDELLNSKLIVPHGTGKCPIVNKFKLNPWIRHMLVSSVLAENKQPFRFYSQITTSSHQNHADYGCLVLDQTKVQIGGDFASKSDHWRSVFNLHASYLTIEPQWMAKMKKLVVLQLGRWQESPKHHIEVAGTEFMTDSKAQKHLKYLSLRGISRISALPPSIAQLVSLEILDLKACHNLETLPNEITSLKKLTHLDVSQCYLLESMPKGIGKLSELQVLKGFVVGSSNKTPTVSDLANFKKLKRLSIHIGSEAVIQDKEFESLSNSQVKCLKISWGVSSNTKYKDIEIVLPQGLEKLNIEGFPSEQTPTWLMINHLPLALKKLYIIGGKLRSIHNENNRALEYCKVEILRLKYLKNLQIDMEHLRELFPSLRYAEVKQVSNLYDEWSIMDG >Vigun05g261700.1.v1.2 pep primary_assembly:ASM411807v1:5:45428513:45429810:1 gene:Vigun05g261700.v1.2 transcript:Vigun05g261700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQRNLREPSKSPSTTETDELEYTDPDVKSVGDLSATSPVAKNEEKESEDGNTDQYSGGGIRGEGPSGVNSAHNDTDVEIDAVNDSDKEKSEPSVDQVSSSSSNSAANEPEDGQVVNSPTKRRKTQ >Vigun10g081200.1.v1.2 pep primary_assembly:ASM411807v1:10:22644486:22650462:1 gene:Vigun10g081200.v1.2 transcript:Vigun10g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSTNPDGSVKNAMPSYFYLPPLDVSAAFPQATPASTFPPSASDYFQLDDLLTAEEHAIRRKVRECMEKEIAPIMTEYWEKAKFPFHVIPKLGALRIAGGTIKDYGCPGLSITGNAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEAQKQKYLPSLAQFHTVACWALTEPDYGSDASALKTTATKVEGGWILEGQKRWIGNSTFADVLVVFARNAATNQINGFIIKKDAPGLTVTKIENKIGLRIVQNGDIVMRKVFVPDEDRIAGVNSFQDTNKVLAVSRIMVAWQPIGISMGIYDMCHRYLTERKQFGAPLAAFQISQQKLVQMLGNIQAMILVGWRLCKLYESGKMTPGHASLGKSWITLRARETAALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINTLITGREVTGVASFKPVAQRSRL >Vigun10g081200.2.v1.2 pep primary_assembly:ASM411807v1:10:22644486:22650462:1 gene:Vigun10g081200.v1.2 transcript:Vigun10g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSTNPDGSVKNAMPSYFYLPPLDVSAAFPQATPASTFPPSASDYFQLDDLLTAEEHAIRRKVRECMEKEIAPIMTEYWEKAKFPFHVIPKLGALRIAGGTIKDYGCPGLSITGNAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEAQKQKYLPSLAQFHTVACWALTEPDYGSDASALKTTATKVEGGWILEGQKRWIGNSTFADVLVVFARNAATNQINGFIIKKDAPGLTVTKIENKIGLRIVQNGDIVMRKVFVPDEDRIAGVNSFQDTNKVLAVSRIMVAWQPIGISMGIYDMCHRYLTERKQFGAPLAAFQISQQKLVQMLGNIQAMILVGWRLCKLYESGKMTPGHASLGKVASNLCPSRNPLLSFLKPKSSNITCNYFLR >Vigun01g004400.2.v1.2 pep primary_assembly:ASM411807v1:1:533284:539263:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGNPLPIVLAQPTSEKPPLVYTRRSKAHVPKTSSNFRESLFGEARS >Vigun01g004400.5.v1.2 pep primary_assembly:ASM411807v1:1:533284:539263:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGNPLPIVLAQPTSEKPPLVYTRRSKAHVPKTSSNFRESLFGEARS >Vigun01g004400.4.v1.2 pep primary_assembly:ASM411807v1:1:533284:539263:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGNPLPIVLAQPTSEKPPLVYTRRSKAHVPKTSSNFRESLFGEARS >Vigun01g004400.7.v1.2 pep primary_assembly:ASM411807v1:1:533179:539263:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGGRGINVK >Vigun01g004400.6.v1.2 pep primary_assembly:ASM411807v1:1:533284:539332:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGGRGINVK >Vigun01g004400.3.v1.2 pep primary_assembly:ASM411807v1:1:533179:539263:-1 gene:Vigun01g004400.v1.2 transcript:Vigun01g004400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNFDPRHTGELLKHIDRQNEVLMEAYRSMFHELRKLQVEEEMLMRKMHEVMSTHGLTKMVDKKEPVAPEAILATREEQRNGKTILEWLIRWKAQPVEEATWEAAETIKEKFPSFCLEDKTETREDDIDGNPLPIVLAQPTSEKPPLVYTRRSKAHVPKTSSNFRESLFGEARS >Vigun11g039000.1.v1.2 pep primary_assembly:ASM411807v1:11:5458279:5460228:1 gene:Vigun11g039000.v1.2 transcript:Vigun11g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILQKLLLAFMHLWLCWSSCVHVHAAHDSLKPGDTLNSFSPSLYSKSRKYFLGFYTIGIADGDFTYLTVQSAYENQIVWEGNREQSVDQSDAVLSLNFSGVLKIESESVKKPIILYSPPQLINNTVATLLDTGNFVLQKLHPNGTNILLWQSFDSPTDTLIPTMKLGVNHKTGHRWLLVSQLTDSRATPGAFSLEWEPKEQELVIRRRGKVCWKSGKMKNNRFENIPEDAQGVLNYTIVSNEEEDSFSFTSTNENLTSWWTLSNTGRLFYNLEEGYVARADLCYGYNNTEGGCQRWQDIPNCRSPGDVFTKKSLRSNYENVTYDQNQNISHSDCEAACWSNCDCNGFKEVYYDGSGCRFYRWNSSKDIIVDGSVSGEDFYILENKGNITPLHHGTKRWIWISTVTAATLLIICASILFLAIMKRKHVLQEKKRKEMAMKLSEIDDFGNDFKKGHGLKVFDYTLVVEATNGFSSENKLGQGGFGPV >Vigun01g183200.2.v1.2 pep primary_assembly:ASM411807v1:1:36382289:36392717:-1 gene:Vigun01g183200.v1.2 transcript:Vigun01g183200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSSWFFRLRSSSSKFFHRYRTLHNKYAPTVFNNDSTRNARSTSLLPFALALSAGSLALHPHFSPSFCDSDRGVNVGGKGSTQYVVKGSQKEFPRELLEELKIICQDNISVDYDERYIHGKPQNSFHKAVNIPDVIVYPRSEEEVSKVVNLCNIHKVPIVPYGGATSIEGHTLSPHGGVCIDMSLMKTVKALHVDDMDVVVEPGIGWMELNEYLEPYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGLITEVTLRLQKIPQHSVVAMCNFPSVKDAADVAIATMRSGVQVSRVELLDEVQVKAINIANGKNLPECPTLMFEFIGTEAYAREQTQIVRKIVSEHNGSDFVFAEEPEAKKELWKVRKEALWACFAMEPDLEAMISDVCVPLSHLGDLISRSKKELDASPLVCNCSCW >Vigun01g183200.1.v1.2 pep primary_assembly:ASM411807v1:1:36382289:36392717:-1 gene:Vigun01g183200.v1.2 transcript:Vigun01g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSSWFFRLRSSSSKFFHRYRTLHNKYAPTVFNNDSTRNARSTSLLPFALALSAGSLALHPHFSPSFCDSDRGVNVGGKGSTQYVVKGSQKEFPRELLEELKIICQDNISVDYDERYIHGKPQNSFHKAVNIPDVIVYPRSEEEVSKVVNLCNIHKVPIVPYGGATSIEGHTLSPHGGVCIDMSLMKTVKALHVDDMDVVVEPGIGWMELNEYLEPYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGLITEVTLRLQKIPQHSVVAMCNFPSVKDAADVAIATMRSGVQVSRVELLDEVQVKAINIANGKNLPECPTLMFEFIGTEAYAREQTQIVRKIVSEHNGSDFVFAEEPEAKKELWKVRKEALWACFAMEPDLEAMISDVCVPLSHLGDLISRSKKELDASPLVCTVIAHAGDGNFHTVILFDPSQEEQRREAERLNQFMVHAALSLEGTCTGEHGVGTGKMKYLEEELGVEALRTMKKIKSVLDPNNIMNPGKLIPPHVCF >Vigun03g316100.1.v1.2 pep primary_assembly:ASM411807v1:3:51010167:51022966:-1 gene:Vigun03g316100.v1.2 transcript:Vigun03g316100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVPVPIAIDINAIKDKLSFQLRPWQRSFQFWVRAIDIYTGYKVFQVRVNFVKDVQKQEAMWERQHELAADKIFALCSDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDRAPPTPFDVMKLVLENELGQGIDDVFERFDVEPLGSASIAQVHRARLKGDTSDVVVKVQHPGIQDLMMTDIHNLQAFALYMQKTDIKFDLYSVTKEMEKQIGYEFDFMREADAMARIRKFLYENNKKTPVLVPRVIRDMVTRRVLVMEYIDGVPIMNLGDEIAKRGINPRGKVAAAAKQRILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPEQLRLGYANLVLAIANRDPLRAAESYRELGIETLSSCENGLQELFKLAETMFDTKLPPGVVMLQPFSDESSIKKVAVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWKPFAEEALSQAGRLKDKNVKSRGR >Vigun11g019100.1.v1.2 pep primary_assembly:ASM411807v1:11:2366249:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFTHSISETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMQENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun11g019100.6.v1.2 pep primary_assembly:ASM411807v1:11:2364272:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKMKPAEQHTDMKTLRWKMPGFRKSFFKQCLEGVNQSGLPLTCLSGLVRQLERYELRLNGAADNEAEYCHCLSKKTKELFSQLRNAESQPCLSNSNASGEVFSAQETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun11g019100.5.v1.2 pep primary_assembly:ASM411807v1:11:2364051:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKMKPAEQHTDMKTLRWKMPGFRKSFFKQCLEGVNQSGLPLTCLSGLVRQLERYELRLNGAADNEAEYCHCLSKKTKELFSQLRNAESQPCLSNSNASGEVFSAQETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun11g019100.4.v1.2 pep primary_assembly:ASM411807v1:11:2364051:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKMKPAEQHTDMKTLRWKMPGFRKSFFKQCLEGVNQSGLPLTCLSGLVRQLERYELRLNGAADNEAEYCHCLSKKTKELFSQLRNAESQPCLSNSNASGEVFSAQETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMQENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun11g019100.2.v1.2 pep primary_assembly:ASM411807v1:11:2366249:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFTHSISETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun11g019100.3.v1.2 pep primary_assembly:ASM411807v1:11:2364272:2371284:1 gene:Vigun11g019100.v1.2 transcript:Vigun11g019100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKMKPAEQHTDMKTLRWKMPGFRKSFFKQCLEGVNQSGLPLTCLSGLVRQLERYELRLNGAADNEAEYCHCLSKKTKELFSQLRNAESQPCLSNSNASGEVFSAQETGESANIDWKEQVYQEIQRMNSAYFSKVHFSYQQMNRVLQQLVSSPQGSNTNIFEKLRKTMKKIEVVLALFRLKKCQITTDTKKILDEAEKFIQTSFFSRNVSSNHQGLKHLADEQSRKQSGPSHSSISPLEIIETKQSQQALGKQNYDIRKDVSQENKPCLKEQQVKKIETKPLPQALGTQNSHIWKDLSQENKPCLKEQQVKIMETKPLSQALGTQNSYIWKDVSQQNKACLEQQQVKKMETKPWPQALGTQNYHIRKDVSQENKPCWKEQQVKKMERKPSPQAFGTQNSRIRKDVSQENKPCIKEQQVKIVETKPLPQALGTQNSHIWKDVSQQNKPCLKEQQVKIIETKPSPQPLGTQNSHIWRDVSQQKKPCLKIMETEPLPQPLRTQNSDIWKYVSQQNKPCLKEQQVKIIETKPSPQALGTQNYHTWKDVSQQNMICLKEQQVEKQYTNSSQHVDQVSIMKGPDNAEQKQAQGAGKASEAFSVRVNSPGISASPLTENCNKLEEISRKSNLTFDEPSAEMKHILKVLAVISPEALSASVNEIREAVYWNDVPPTPEFLNGPPKMVQQQNQPGLIAQTGKSFASDIEPFSQARYVTWHGFFPTGWKRSHSMKTDQLSDAEEPDWTSLAYEKKKPRIMQENSSLLEEIKEINNRLVDSEIVVGGNYSFLEAPGVAAEASEGLVIEFLFNAETVNKNLLFHISADKKSIVKPLRLFVPTDYPTSSPVIVDQQMSEVSTEDQKDLSTIAKSKLRCSLRCLDQTWSLEDMAMLWEHCVRETLIECAKGFGGGTFSSIYGEWKNYQNEV >Vigun06g160100.2.v1.2 pep primary_assembly:ASM411807v1:6:28267647:28271017:-1 gene:Vigun06g160100.v1.2 transcript:Vigun06g160100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAKKGLNNYVKQLQENPLRTKVITAGVVSAISDIVSQKLSGIQKLQLKRLLLKLFGAGYIGPFGHFFHLILDKIFKGKRDTKTVAKKVLIEQCTSSPWNNLLFMIYYGLVVEGQPWVNVKAKVRKDYPSVQYTAWTISPIVGWINHKFLPLHFRVVFHSVAAFFWSIFLNLRARSLALTKA >Vigun06g160100.1.v1.2 pep primary_assembly:ASM411807v1:6:28267647:28271017:-1 gene:Vigun06g160100.v1.2 transcript:Vigun06g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAKKGLNNYVKQLQENPLRTKVITAGVVSAISDIVSQKLSGIQKLQLKRLLLKVLFGAGYIGPFGHFFHLILDKIFKGKRDTKTVAKKVLIEQCTSSPWNNLLFMIYYGLVVEGQPWVNVKAKVRKDYPSVQYTAWTISPIVGWINHKFLPLHFRVVFHSVAAFFWSIFLNLRARSLALTKA >Vigun11g142400.1.v1.2 pep primary_assembly:ASM411807v1:11:35216692:35218622:1 gene:Vigun11g142400.v1.2 transcript:Vigun11g142400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVQEQGEYSAKDYHDPPPAPLFDPEELTQWSFYRALIAEFIATLLFLYVTVLTIIGYKRQTDANVGGTECDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLIRALLYMVAQCAGAICGTGLAKGFQKAYYNRYGGGANSVADGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNDEKIWDDQWIFWVGPIVGAAVAAFYHQYILRAAAIKALGSFRSNA >Vigun01g008600.1.v1.2 pep primary_assembly:ASM411807v1:1:1012401:1017184:-1 gene:Vigun01g008600.v1.2 transcript:Vigun01g008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLSAFTLLVHIALLSRLCFGGDPTVYTELRVSYTTVSPLGVPQQVIAIDGKFPGPLINVTTNNHVVVNVFNGLDEELLMTWPGIQMRRNSWQDGVLGTNCPIPSKWNWTYQFQVKDQIGSFFYFPSLNFQRASGGFGPFVINNREIIPIPFPQPDKDIFIIIGDWYTQNHTALRATLDGGKGLGIPDGVVINGKGPFQYNTTLVPSGIDYEKITVDPGKTYRIRVHNVGISTSLNFRIQNHNLLLVETEGHYTTQSNFTNFDIHAGQSYSFLLSTDQNASTDYYIVASARFVNESLWQKVTGVAILHYSNSKGPASGPLPPPPDDFYDKTASMNQARSVRQNTSASGARPNPQGSFRYGSINITDTYVLKVTSLVPINGKNRAAINGISFLKPDLPFRLADQHQLRGIYKLDFPSKPMNRTPKIDRSIINATYKGFIEVILLNNDSSIQNFHLDGYSFFVVGMDYGDWTENSRGSYNKWDAISRSTTQVFPGGWTAILISLDNVGSWNLRSENLDRWYLGQETYLRIINPEENGDTEMAAPDNVLYCGALKSLQKTNSHFSAASTLGHSLNLFSLLLGIFSAVIFLIQAS >Vigun07g149800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26031237:26032352:1 gene:Vigun07g149800.v1.2 transcript:Vigun07g149800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREFHVQPMGICCRLYHFLKKALTSQASKTVTLGRSRHDSSSSTALRGSDSKAKEEVRSDTPSQVDDGKKLPPTEGLAGDSISSHVQLAEEKNNSCMNDDNKMEGKNEVLTSPTPIPTPTPTLAPTRSIKKTVSINENVEEIRPPKKFKRIWSKSFQKIGSRDEEEEPMPPPRPILKLASDLTDKSYSYS >Vigun11g113100.1.v1.2 pep primary_assembly:ASM411807v1:11:31583231:31585589:-1 gene:Vigun11g113100.v1.2 transcript:Vigun11g113100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRKKMAQIVANDAKAATDRISDLPDAVLHQILFLLPIKCVAQMSILSKRWRHLWCTFPDLDFRTLDPFQISSKNVKFLEFEKPRQPLDSSRMDFITQVLSIRDKHSDIRVLCFRARLSFSRLNGLIRRAIRHNVRELDIEASTVCTDDYFNFPRCIIGSESLRVLKLRSGFRLPPSSVMRHGFQSLKTLSLSFVILNNQPSLTDLFSESSFPLLQTLTLDACFGLKYLRVGCRALVDLNLEKCYALEGLDISCAKLERMRLVKCFVAYSEKSWVKINAPMLQHLCWQHNAVTDMAMFEPWNVLHEVTVGFFILTRDNSQSKLQSAVELLSGLSRVHSLCLERQTIEILSNNHLLFQPFYDLKHLELQTGFNKSNVPGLTCLFKSSPTLNTLILKIIHEYRIERKEWNRDLWDVTITEGEQYWESQIRTLESFLQHLKVVKIHGFLDYENEVALAKFLLKHGKALEEMVLHTGNCNARDTLRRQKIRSQMRGFSWASSNAKVAFQ >Vigun11g113100.2.v1.2 pep primary_assembly:ASM411807v1:11:31583231:31585589:-1 gene:Vigun11g113100.v1.2 transcript:Vigun11g113100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRKKMAQIVANDAKAATDRISDLPDAVLHQILFLLPIKCVAQMSILSKRWRHLWCTFPDLDFRTLDPFQISSKNVKFLEFEKPRQPLDSSRMDFITQVLSIRDKHSDIRVLCFRARLSFSRLNGLIRRAIRHNVRELDIEASTVCTDDYFNFPRCIIGSESLRVLKLRSGFRLPPSSVMRHGFQSLKTLSLSFVILNNQPSLTDLFSESSFPLLQTLTLDACFGLKYLRVGCRALVDLNLEKCYALEGLDISCAKLERMRLVKCFVAYSEKSWVKINAPMLQHLCWQHNAVTDMAMFEPWNVLHEVTVGFFILTRDNSQSKLQSAVELLSGLSRVHSLCLERQTIEEWNRDLWDVTITEGEQYWESQIRTLESFLQHLKVVKIHGFLDYENEVALAKFLLKHGKALEEMVLHTGNCNARDTLRRQKIRSQMRGFSWASSNAKVAFQ >Vigun09g190100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:36486849:36488030:-1 gene:Vigun09g190100.v1.2 transcript:Vigun09g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNICGRKRKKTETGDGKREKMQTDFSPSPSVHVNEDLIKEILLNLPTKAVLRFKALSKSWFSLISDSEFARRHFDAAVSPTHKLLNFVNDSKAYCVDIKSALCNDSWHAVSNFIVPSSFDLWGSGLSVAGSCRGFLLLQYYFHDLVMWNPSTGRQKEIHCDSSWPHDLSGMGYDPVDDDIVVVTVTLRINDDNDSKVSYFSLRTNCWTSVEYVLPYAYSKFQLELRHGQFWNGALYWILKSSDKLRSVVIAFDVREKRLSEVPLPNHLAILPLQSDIYHLKVMGEHLFLCLVRNEVALIEMWSMKEQHKEVTFWIKTFVFSSYLVGFMSLIFPICFTENGEILAFNSHDTLLKINKKGNLVRYGKKHSLLDFLSCGMYRESFLSLPGESGEIK >Vigun08g174500.1.v1.2 pep primary_assembly:ASM411807v1:8:34484681:34495847:-1 gene:Vigun08g174500.v1.2 transcript:Vigun08g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAQTAEERLLEKAVKEECAWENLPRRLQPVVSSKEEWHKRIIEYCIKKRLKWEDSFSRKLCKEHEYYEDMMRYLQKNLALFPYHLADYVCRVMRVTPFRYYIDMLFEVMKNEQPYDRIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIVKELLPTQPVEFPIEPWWGVCLVNLTLEEFKKLSKEEMAAIDKVCLDDANSFVTFDSHVIRGLCRRGLVYFDVPVYPHDRFKVSKLEGFVSNREQTYEDPIEELLYAVFVVSNENVTVSDLATTLQADLSQLQAAAAFVCRLGWATKVIDPGSIIGDSTILASPMSTVSDEDGSVAGQNHDNTPLENDSIQQADTSASGNHASRSSYTRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHTSITDLCKDLSTLEGARYEGELQEFANHLFSLRCVLECLQSGGIATDEEEGGSDNLNMITSTNDGPSSVIAEILDEKSEESGVLQTVISTEELINSVSEKFVEASVYSEGVPSSSGMDDETHSSTLEEGSDPHIQEADKGVPSTSSGMDDETHSSTSEEGSDPHITSSGMDDETHSSTLEEGSDPHIQEADKGVPSTSSGMDDETHSSTSEEGSDPHITSSGMDDETHSATLEEGSDPHIQEADKSHTSFRENEKLPMFEADKSHTNFRENEKPAVFEGSDVKIEILKRRKIYRVDLLRSESLASLTPTTLDRLFLRDYGILVSLVPLPPSSILPKPTGPVHFGPPAYSSMSPWMKLVLYSTAGSGPLSVVLMKGQCMRLLPAPLTGCEKALIWSWDGSTIGDLGRKLEGNMVKGSILLHCLNSLLKHSAVLVLPLSRFDLNQSGKLITLDVPLPLRNADGSIASVGKELGLDDEEENFKLNSLLNNLANKMELWTVGYVRLLKLFNGTKSDHFSSIEKYEWVPLSVEFGIPLFSPTLCNSICRRIVSSKILQSGSFGEHHDAMQILRKKLHDICAEYKPTGPTAKLLYQKEQVTGQPMDQARATWNPLIDISPASGVVLSVRQRLKLANQQSSQNEVLCCDGNVLRSYALTLPHEAATKPVKETPEANKTKPEPEANDSKEAILPGVNLIFDGSRLLPFDVGACLQARQPISLVMEAAAASAHVPFK >Vigun06g144600.4.v1.2 pep primary_assembly:ASM411807v1:6:27019991:27027231:-1 gene:Vigun06g144600.v1.2 transcript:Vigun06g144600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLYLVGTIPNFLFSLANLQNVVLKNNHLGGTLDIGTNYSKQLQLIDLRSNSIQDYKQPNDPPHIRIMLAHNPVCTETGPETMEDYCTNLDIFDTKQQNNCQHGSCSPDQTLSPNCKCGYPYTGTWTCRAPSYFEWSNTTTLEEFLMHEFQYHHQPVDSVSLIPSTKDPFRGFEFIIQIFPLDQNHFSQLDKSNISFLLRNLSAYSAFDFITGNHVEGPKQSSNSSNSAIIIGVAIGGSSLLLLLLALAGFYAFRQRRRAERAISRSNPFGNWDPNKSNCGTPQLKAPRQFSFKEIQKYTNNFSQANDVGSGGYGKVYRGTLPTGQVVAVKRAQKESKQGAQEFKAEIELLSRVHHKNLVRLVGFCFEHEEQMLVYEFVPNGTLKDALTWKRGITLSWSRRLKVALGTARGLAYLHEHADPPIIHRDIKSNNILLDENYNAKVSDFGLSKSILDDDDHVTTQVKGTMGYLDPDYYTSQRLTEKSDVYSFGVLVLELITAKRPIERGKYIVKVVRNTIDKTKDLYGLHEIIDPAICSGSTLQGFEKFVDLAMECLQDSGVDRPTMSEVVKEIEEMLRSLGLNLTSELAASSSSSHRFHEVSIVSSQQDHLYSNESVVSSAENLYSTSEHS >Vigun06g144600.2.v1.2 pep primary_assembly:ASM411807v1:6:27019991:27029850:-1 gene:Vigun06g144600.v1.2 transcript:Vigun06g144600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTADTHVWFPYIGLTGQLSGDIGSLSELDNLDLSYNRGLTGPLPREIGNLKKLTKLTLVGCGFTGGIPDAIGSLQQLEVLSLNSNNFAGLIPPSIGNLSNLTWLDLADNQLDGSIPVSSDTTPGLDMLYNALHFHLGKNRLSGQIPPKLFSSKMALIHVIFHSNHLDGSIPETLGLVTSLTVVRFENNSLNGHVPQSLNNLISVTDLLLSNNKLEGAMPNLTGMNSLRYLDLSNNSFEESNVPLWLSNLKSLTTLQMENLYLVGTIPNFLFSLANLQNVVLKNNHLGGTLDIGTNYSKQLQLIDLRSNSIQDYKQPNDPPHIRIMLAHNPVCTETGPETMEDYCTNLDIFDTKQQNNCQHGSCSPDQTLSPNCKCGYPYTGTWTCRAPSYFEWSNTTTLEEFLMHEFQYHHQPVDSVSLIPSTKDPFRGFEFIIQIFPLDQNHFSQLDKSNISFLLRNLSAYSAFDFITGNHVEGPKQSSNSSNSAIIIGVAIGGSSLLLLLLALAGFYAFRQRRRAERAISRSNPFGNWDPNKSNCGTPQLKAPRQFSFKEIQKYTNNFSQANDVGSGGYGKVYRGTLPTGQVVAVKRAQKESKQGAQEFKAEIELLSRVHHKNLVRLVGFCFEHEEQMLVYEFVPNGTLKDALTWKRGITLSWSRRLKVALGTARGLAYLHEHADPPIIHRDIKSNNILLDENYNAKVSDFGLSKSILDDDDHVTTQVKGTMGYLDPDYYTSQRLTEKSDVYSFGVLVLELITAKRPIERGKYIVKVVRNTIDKTKDLYGLHEIIDPAICSGSTLQGFEKFVDLAMECLQDSGVDRPTMSEVVKEIEEMLRSLGLNLTSELAASSSSSHRFHEVSIVSSQQDHLYSNESVVSSAENLYSTSEHS >Vigun06g144600.1.v1.2 pep primary_assembly:ASM411807v1:6:27019991:27029850:-1 gene:Vigun06g144600.v1.2 transcript:Vigun06g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRILIPLLLFFNCLFFAVAQDITKDLEVLKSLSESWQGQIPNWEGSDPCSWYGINCRYSRVVSISLTDIGLTGQLSGDIGSLSELDNLDLSYNRGLTGPLPREIGNLKKLTKLTLVGCGFTGGIPDAIGSLQQLEVLSLNSNNFAGLIPPSIGNLSNLTWLDLADNQLDGSIPVSSDTTPGLDMLYNALHFHLGKNRLSGQIPPKLFSSKMALIHVIFHSNHLDGSIPETLGLVTSLTVVRFENNSLNGHVPQSLNNLISVTDLLLSNNKLEGAMPNLTGMNSLRYLDLSNNSFEESNVPLWLSNLKSLTTLQMENLYLVGTIPNFLFSLANLQNVVLKNNHLGGTLDIGTNYSKQLQLIDLRSNSIQDYKQPNDPPHIRIMLAHNPVCTETGPETMEDYCTNLDIFDTKQQNNCQHGSCSPDQTLSPNCKCGYPYTGTWTCRAPSYFEWSNTTTLEEFLMHEFQYHHQPVDSVSLIPSTKDPFRGFEFIIQIFPLDQNHFSQLDKSNISFLLRNLSAYSAFDFITGNHVEGPKQSSNSSNSAIIIGVAIGGSSLLLLLLALAGFYAFRQRRRAERAISRSNPFGNWDPNKSNCGTPQLKAPRQFSFKEIQKYTNNFSQANDVGSGGYGKVYRGTLPTGQVVAVKRAQKESKQGAQEFKAEIELLSRVHHKNLVRLVGFCFEHEEQMLVYEFVPNGTLKDALTWKRGITLSWSRRLKVALGTARGLAYLHEHADPPIIHRDIKSNNILLDENYNAKVSDFGLSKSILDDDDHVTTQVKGTMGYLDPDYYTSQRLTEKSDVYSFGVLVLELITAKRPIERGKYIVKVVRNTIDKTKDLYGLHEIIDPAICSGSTLQGFEKFVDLAMECLQDSGVDRPTMSEVVKEIEEMLRSLGLNLTSELAASSSSSHRFHEVSIVSSQQDHLYSNESVVSSAENLYSTSEHS >Vigun06g144600.3.v1.2 pep primary_assembly:ASM411807v1:6:27019991:27027686:-1 gene:Vigun06g144600.v1.2 transcript:Vigun06g144600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCIFVASRRFENNSLNGHVPQSLNNLISVTDLLLSNNKLEGAMPNLTGMNSLRYLDLSNNSFEESNVPLWLSNLKSLTTLQMENLYLVGTIPNFLFSLANLQNVVLKNNHLGGTLDIGTNYSKQLQLIDLRSNSIQDYKQPNDPPHIRIMLAHNPVCTETGPETMEDYCTNLDIFDTKQQNNCQHGSCSPDQTLSPNCKCGYPYTGTWTCRAPSYFEWSNTTTLEEFLMHEFQYHHQPVDSVSLIPSTKDPFRGFEFIIQIFPLDQNHFSQLDKSNISFLLRNLSAYSAFDFITGNHVEGPKQSSNSSNSAIIIGVAIGGSSLLLLLLALAGFYAFRQRRRAERAISRSNPFGNWDPNKSNCGTPQLKAPRQFSFKEIQKYTNNFSQANDVGSGGYGKVYRGTLPTGQVVAVKRAQKESKQGAQEFKAEIELLSRVHHKNLVRLVGFCFEHEEQMLVYEFVPNGTLKDALTWKRGITLSWSRRLKVALGTARGLAYLHEHADPPIIHRDIKSNNILLDENYNAKVSDFGLSKSILDDDDHVTTQVKGTMGYLDPDYYTSQRLTEKSDVYSFGVLVLELITAKRPIERGKYIVKVVRNTIDKTKDLYGLHEIIDPAICSGSTLQGFEKFVDLAMECLQDSGVDRPTMSEVVKEIEEMLRSLGLNLTSELAASSSSSHRFHEVSIVSSQQDHLYSNESVVSSAENLYSTSEHS >Vigun03g241100.1.v1.2 pep primary_assembly:ASM411807v1:3:40276722:40278338:1 gene:Vigun03g241100.v1.2 transcript:Vigun03g241100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTLVSWPRMSENIEAFPLSPNKSEEVHVLAVDDSLVDRKVIERLLKVLACKVTAVDSGLRALQLLGLLDEPKIPSETNGFEQGLKVDLIITDYCMPGMTGYELLKKIKESSTFKETPVVIMSSENVLPRIDRCLEEGAEDFIVKPVKLSDVKRLKDYMTTKEAKVEGRDREREGILKRKLSDTSDVSSSSPPSISSSSSPSVIDSPIRRLKMTSTD >Vigun03g241100.2.v1.2 pep primary_assembly:ASM411807v1:3:40276722:40278338:1 gene:Vigun03g241100.v1.2 transcript:Vigun03g241100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTLVSWPRMSENIEAFPLSPNKSEEVHVLAVDDSLVDRKVIERLLKVLACKVTAVDSGLRALQLLGLLDEPKIPSETNGFEGLKVDLIITDYCMPGMTGYELLKKIKESSTFKETPVVIMSSENVLPRIDRCLEEGAEDFIVKPVKLSDVKRLKDYMTTKEAKVEGRDREREGILKRKLSDTSDVSSSSPPSISSSSSPSVIDSPIRRLKMTSTD >Vigun05g000100.1.v1.2 pep primary_assembly:ASM411807v1:5:36973:40082:-1 gene:Vigun05g000100.v1.2 transcript:Vigun05g000100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMNVRELSISKNDRTSMLVIANSKFLTLPTMLTLGRVASIPLLVATFFMDGWRGTVVTTSIFTAAAVTDWLDGYIARKMKMKSTFGAFLDPVADKLMVSATLVLLCTRPLEVAVFGQLPWLLIIPSITIIGREITMSALREWAASQGSKLLEVVAVNNLGKWKTATQMLALIILLATRDCSRGGPVILVGSGVLLLYISAGLSLWSFVVYTREICKVLRSQPR >Vigun05g000100.2.v1.2 pep primary_assembly:ASM411807v1:5:36973:40082:-1 gene:Vigun05g000100.v1.2 transcript:Vigun05g000100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEQLLLQVSSPLQQLPIGLMVILLMKMKSTFGAFLDPVADKLMVSATLVLLCTRPLEVAVFGQLPWLLIIPSITIIGREITMSALREWAASQGSKLLEVVAVNNLGKWKTATQMLALIILLATRDCSRGGPVILVGSGVLLLYISAGLSLWSFVVYTREICKVLRSQPR >Vigun07g057200.1.v1.2 pep primary_assembly:ASM411807v1:7:6278826:6285934:1 gene:Vigun07g057200.v1.2 transcript:Vigun07g057200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQWWRIRFSFRSATMMVCFLNVITAIFLLHTFFTSAYTRNKFSYANSYSAQLRYIKESEEIRLAMLPVELIKRVREIEQEVYTEPETAQKKDTKQTAAVDLSKRLKDFHSLNDAASLKALEEWRKRKMERARQRELEKNGTTSHA >Vigun05g014600.1.v1.2 pep primary_assembly:ASM411807v1:5:1181994:1184663:-1 gene:Vigun05g014600.v1.2 transcript:Vigun05g014600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILRLRMRMITMRHSLRIHNKRYHQPEHVHSDAFVISPTTLHIPQYCNHNHYYLHLLRSCKHLNPLFQIHARLIVTEQCTLTQSTPKSITNPSLVLWNSLIRAYSRLRLFQEAIKLYHTMLCVGLEPDKYTFTFVLKACTGALDFHEGVAIHQDIACRELECDVFIGTGLVVMYSKMGQLDSARKVFDKMPTKDVVSWNAMISGYSQSSNPGEAMEIFRRMQMEGVEPDSVNLARRIFDEMQVKDDVSWATMMAGYVHHGCFFEVLQLLDKMKQQRMKMNKVSVVNSLLAAAEMRDLEKGKDVHNYALHEGMMSDIIVATPIVCMYAKCGELKKAKELFLSLKGRDLVAWSAFLSALVQAGFPGEALSIFQEMWHVGLKPDKTILKILVSACADILNAGLGKIVHCHTIKADMVSDISVATTLVSMYTRCELFMYAMALFNRMRYKDVVAWNTLINGFTKFGDPRLAFEMFHTLQVTGVQPDSGTMVNLVSACALLDDLHLGTCFHGNTIKTGFESDLHVKVSLIDMYAKCGNLFSAENLFQLIKHVKDEVPWNVMIAGYLHNGGANEAICTFNQMKLESVRPNLVTFVIILPAVSFLSMLREAMAFHACIIRMGFISSTLVGNSLIDMYAKCGQLCYSEKCFHEMENKSTISWNAMLSGYAMHGQGELALALFSLMQETNVHVDSLSYLSVLSACRHAGLIPEGKNIFHSMTEKHNLQPNMEHYACMVDLLGRAGLFDEVLSLINGMPTEPDAQVWGALLGACKVHSNVKLGEVALHHLLKLEPRNAVHYVVLADIYAQCGRWVDARTTRSNMIDHGLKKIPGYSLVGAHKQGQFLSG >Vigun05g259700.4.v1.2 pep primary_assembly:ASM411807v1:5:45331278:45334823:1 gene:Vigun05g259700.v1.2 transcript:Vigun05g259700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSALDRLASLHIEYPMLFQLINPSAERVTHCGVLEFVADEGIIYIPYWMMKNMLLQEGDLLYVKNTNLTKATYVKLQPHTMDFLDISNPKAILETSLRSYSCLTTGDTIMVQYNKKEYYIDIVETRPSRAVSIIETDCEVDFAPPLDYEEPKKQAKVDRRRPEVEDEQPKKIARFNSFSGFSRRLDGKASLEPVEQTSLPELEQKQYDKEINNSDSKPTNTASYGALGKLAFESNATTSSNKATPKASQESQCEDKSQEEEDPKLKPFIPLSGSGRRLGGKTSAHPIEVASFPELHQKETDKGMKDSDSKPANIASHKASGKLVCGINAASSNCQATSKTSHENRNQEKSLEEEDPKSKPFIPFSGLGRRLGGKASAQPVEETSRPELKQKETDKETKNFDSSPTNTALCKSFGNLVFGSNVTTSSIQATPKFSPRNKSQENSQKEEELKFKPFTGKKHTLMD >Vigun05g259700.1.v1.2 pep primary_assembly:ASM411807v1:5:45331245:45334844:1 gene:Vigun05g259700.v1.2 transcript:Vigun05g259700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGDDRYATFEQYYRCYPVSFIDKSHLEKGDKIIMPPSALDRLASLHIEYPMLFQLINPSAERVTHCGVLEFVADEGIIYIPYWMMKNMLLQEGDLLYVKNTNLTKATYVKLQPHTMDFLDISNPKAILETSLRSYSCLTTGDTIMVQYNKKEYYIDIVETRPSRAVSIIETDCEVDFAPPLDYEEPKKQAKVDRRRPEVEDEQPKKIARFNSFSGFSRRLDGKASLEPVEQTSLPELEQKQYDKEINNSDSKPTNTASYGALGKLAFESNATTSSNKATPKASQESQCEDKSQEEEDPKLKPFIPLSGSGRRLGGKTSAHPIEVASFPELHQKETDKGMKDSDSKPANIASHKASGKLVCGINAASSNCQATSKTSHENRNQEKSLEEEDPKSKPFIPFSGLGRRLGGKASAQPVEETSRPELKQKETDKETKNFDSSPTNTALCKSFGNLVFGSNVTTSSIQATPKFSPRNKSQENSQKEEELKFKPFTGKKHTLMD >Vigun05g259700.2.v1.2 pep primary_assembly:ASM411807v1:5:45331278:45334823:1 gene:Vigun05g259700.v1.2 transcript:Vigun05g259700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGDDRYATFEQYYRCYPVSFIDKSHLEKGDKIIMPPSALDRLASLHIEYPMLFQLINPSAERVTHCGVLEFVADEGIIYIPYWMMKNMLLQEGDLLYVKNTNLTKATYVKLQPHTMDFLDISNPKAILETSLRSYSCLTTGDTIMVQYNKKEYYIDIVETRPSRAVSIIETDCEVDFAPPLDYEEPKKQAKVDRRRPEVEDEQPKKIARFNSFSGFSRRLDGKASLEPVEQTSLPELEQKQYDKEINNSDSKPTNTASYGALGKLAFESNATTSSNKATPKASQESQCEDKSQEEEDPKLKPFIPLSGSGRRLGGKTSAHPIEVASFPELHQKETDKGMKDSDSKPANIASHKASGKLVCGINAASSNCQATSKTSHENRNQEKSLEEEDPKSKPFIPFSGLGRRLGGKASAQPVEETSRPELKQKETDKETKNFDSSPTNTALCKSFGNLVFGSNVTTSSIQATPKFSPRNKSQENSQKEEELKFKPFTGKKHTLMD >Vigun05g259700.3.v1.2 pep primary_assembly:ASM411807v1:5:45331278:45334823:1 gene:Vigun05g259700.v1.2 transcript:Vigun05g259700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGDDRYATFEQYYRCYPVSFIDKSHLEKGDKIIMPPSALDRLASLHIEYPMLFQLINPSAERVTHCGVLEFVADEGIIYIPYWMMKNMLLQEGDLLYVKNTNLTKATYVKLQPHTMDFLDISNPKAILETSLRSYSCLTTGDTIMVQYNKKEYYIDIVETRPSRAVSIIETDCEVDFAPPLDYEEPKKQAKVDRRRPEVEDEQPKKIARFNSFSGFSRRLDGKASLEPVEQTSLPELEQKQYDKEINNSDSKPTNTASYGALGKLAFESNATTSSNKATPKASQESQCEDKSQEEEDPKLKPFIPLSGSGRRLGGKTSAHPIEVASFPELHQKETDKGMKDSDSKPANIASHKASGKLVCGINAASSNCQATSKTSHENRNQEKSLEEEDPKSKPFIPFSGLGRRLGGKASAQPVEETSRPELKQKETDKETKNFDSSPTNTALCKSFGNLVFGSNVTTSSIQATPKFSPRNKSQENSQKEEELKFKPFTGKKHTLMD >Vigun07g125550.1.v1.2 pep primary_assembly:ASM411807v1:7:23222639:23224160:-1 gene:Vigun07g125550.v1.2 transcript:Vigun07g125550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLFHLRIQFESINAFLTRNPSFCLRNQNFRPFSSHKLLSNKGLTNALRVLHLVSPQKRGADVKSRQSHLRLIENISPTLRTTTEMNIQSSVLQMEQGFVGVDVRFLSQAVSTCRSKRDLWGGIQYHCLAITTGFIANVYVGSSLISLYSRCALLDDAYREFEEMPVRKLLHQMRGSDLGPNYFTYTSLLSACMGSGALEYGSCAHCQIIHLGFHSYLHIYNALIAMYSKCGAIDEALYIFEYMEGRDVVTWNTMIKAISLFEEMIKQCVNPDAVTYLGVLSSCRHGGLVKEGQVYFNSMIEDGLQPEEAHDFVQNMPVFPNAVVWGSLLSSSRLHGSVQIGIHAAENRLLLEPGCSATLQQLVNLYARVGWWNEAARVRKLMKDKGLKPNPGFSWVENNLIGHMSSLNFQSQMCDEENIWLSVLQC >Vigun05g198650.1.v1.2 pep primary_assembly:ASM411807v1:5:38438348:38440008:-1 gene:Vigun05g198650.v1.2 transcript:Vigun05g198650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFSSSSASSSSSSSSSSTANKLTCMQCKSDITNLVNGWPLRDGNLAQLCHRCGYFYEKGIFCETFHRHSAGWLECAICLTKLHCACLASNSKVQFSYGGELCCNVCARKLIRGE >Vigun05g138500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:16818013:16819258:-1 gene:Vigun05g138500.v1.2 transcript:Vigun05g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHITITYCLQSMKTPLSSSFSHKLHNHELSTQTFPIFPSPFTCNNLQQLITPSCKSPLLPSLVWLRRCWFAVYSVMSQYCIWFRSFDIGKKEIWFGSFEQVKKREIWFGFSI >Vigun01g200800.1.v1.2 pep primary_assembly:ASM411807v1:1:37711099:37715650:1 gene:Vigun01g200800.v1.2 transcript:Vigun01g200800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCCAVCAEPLEWVAYGPCLHREVCSTCVARLRFICDNRCCCICKTECNRVFVTKALGDYTKMINDFAALPSEVREGKIGSYWYHEDTNAFFDDLDHYKMIKAMCRLSCSVCDKMEEQPQDAASRRRDKFRNIGQLKGHMFHRHKLHMCSLCLEGRKVFICEQKLYSRAQLKQHISTGDSEVDGSESERGGFMGHPLCEYCGTPFYGDNELYLHMSTEHYTCHICQRQHPGHYDYFKNYDDLEIHFRQEHFLCEDEACLAKKFVVFQSEAEMKRHNASEHGGRMSRSKRNAALQIPTSFRYRHGNEQEQRRGRNRSFRRDFTENQLSMAIEASLETANAEQTFRDQSASGSGRVAVDDGNGDIDSLIQPFESLAAAGSEASSRYLQALGHSSRSGPLEDSSFPPLPITSNNGQQGSKQEVEGSSSNSMAARLRRHNNRTVTVINSGNAWPAAGRGLVQPPSNPSQFRQSSNNALGLSRNSGQTKKGVINSGHSTSTYAGSIQSTQRTSRGQLPGGSSRDTRDNVRIVHSASAPNLMENNSVEASLSDFPPVSAAQASKIPASSHSSLKVENVQSANQSLIEKIRGALDFDEERYSMFKDISAQYRQGTIDTETYLDYVQNFGLSHLVPELARLCPDTQKQIQLIEAYSASLQRDALLENNLVQSSASTHRKDSNVNKKGKGKSIDNKGSNPTEKLADNFLSTVHQLQANYKSSEEKVEVLSRGDYRSDRGKLKIEQRTDTNSESQPAVRLGGKTETSNGSLSTQVREDGGGGNKQRKKGSKFLRVRLGDGSASALFDQPDPGTTDRSEVNKDEPGGGLPVRGVWRNGGGHKLFP >Vigun05g005000.1.v1.2 pep primary_assembly:ASM411807v1:5:408464:415669:-1 gene:Vigun05g005000.v1.2 transcript:Vigun05g005000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMTINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDASGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFDIAKRATLQFLEKFKTPVVMGGEPDKEILKMVARTTVRTKLYESLADQLTDIIVNAVLCIRKPEEDIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEVNAGFFYSNAEQREAMVAAERRQVDERVKKIIELKNKVCSDNDSNFVVINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEEKYTFIENVKNPFSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTLEDESVVLGAGAFEVAARQYLINEVKKTVEGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDKGNIVGLSLNTGEPIDPAMEGIFDNYSVKRQIINSGPVIVSQLLVVDEVIRAGRNMRKPT >Vigun06g124800.1.v1.2 pep primary_assembly:ASM411807v1:6:25186873:25189884:1 gene:Vigun06g124800.v1.2 transcript:Vigun06g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVSTLSVVPPEPLLSPKAFPFPSHLSLEDKSIFIYLSFSGSLTPIRVMEWDTIESVKFKIQRCESLPFLTNKQKLVYAGRELARSDSLLKDYGVTGGNVLHLIIKLSDVQVINVKTSSGKEFSFQVERGRDVRYIKRRIAKREKQFDDPEEQELVCNGERLDDQRLIDDICCKHNDAVVHLFVRKKNVKIQRRPLELSILATDLTDEKKNDVNGETCRRNYDVGKEDIIRKSDAIQRTVPRKPPDRDFILEPVIINPKIELAQSIWNMVNSTYDGLDSGKYPIRSAEGTGGAYFMLDSAGQKYVSVFKPIDEEPMAVNNPRGLPLSLDGEGLKKGTRVGQGAFREVAAYVLDHPLSGRRKLFGDETGFAGVPPTLMTKCLHKAFNYPRELTPKIGSLQMFTENSGSCEDMGPGAFPVKEVHKISVLDIRLANADRHAGNILISKEESNNQSVLIPIDHGYCLPTSFEDCTFEWLYWPQACQPYSPETIEYIKSLDAEEDIALLKFHGWDLPVECARTLRISTMLLKKGVERGLTPFTIGSMMCRESLNKESVIEEIIQEALDSVLPGTSEATLLDAVSQIMDLRLAEIVRSRL >Vigun07g247400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:36738210:36739383:1 gene:Vigun07g247400.v1.2 transcript:Vigun07g247400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSSRSFVCCVLFLFIVISEASAQYNYVSCDNNGGNYTADSTYQTNLNTLLSNLTSNTQIDYGFYNFSYGENSDTVNAIGLCRGDVEPDECRSCLSDARDNLTQLCPNQKEAILYHDRCMLRYSNRSIFGLMETQPSYYMLNVNNATEVYQFNQVLNNLLRILTGMAASGDSRRKYAAANAGASNYQTIYGVVQCTPDLPQQECYRCLVGAIAEISACCSSRIGARVGRPSCNIRYENYSFYEPTEYAPAPAPVPLM >VigunL044300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:461143:461265:-1 gene:VigunL044300.v1.2 transcript:VigunL044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun11g003800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:368493:369601:-1 gene:Vigun11g003800.v1.2 transcript:Vigun11g003800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAEKNDTDFKVPEPITPCATTTAAAATSISEPSRFFDAVTPATSSRSPKRSLPQEDAASADRTGASEPKRAVNRCSGCRRRVGLTGFRCRCGDLFCAEHRYTDRHDCSYDYKAAGREAIARENPVVKAAKIVKV >Vigun08g147000.1.v1.2 pep primary_assembly:ASM411807v1:8:31982068:31983709:1 gene:Vigun08g147000.v1.2 transcript:Vigun08g147000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLTSHGVNEYQKCGVQEEDNSQTTTNGFSNDSASASAVTQSLINFKANDFSNLMKGSDSFLSFQQSWLFSKLHEGCDQWNHVSPKNTTDMRLVQNFSCSETATCYSSIFSGAKEKKHGESSSSGWLYSEPNVHSSSLNESAAEELVLRKRSFMGESMQAANAKKPCTIASKATKPKSSPSKDPQSVAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPVQGGKAPDISQVRQAIDAILSSQR >Vigun06g171100.1.v1.2 pep primary_assembly:ASM411807v1:6:29249634:29256540:-1 gene:Vigun06g171100.v1.2 transcript:Vigun06g171100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKALRPKTPQEVAKSIKESLMALDTKTVSEVKALEKALEEVEKNFATMRTMLSGDGESEPNLDQVSQLVEEICKEDVLTLLIHKLPILGWEARKDLVHCWSILLKHKVESHSYCVEYIEQHVELLDFLVVCYDNKDIALSCGIMLRECIKFPSLGRYILESASFVLFFKFVELPNFDVASDAFSTFKDLLTKHVNVVSEFLTAHYDEFFDLYERLLTSPNYVTRRQSLKLLSDFLLESPNSQIMKRYILEVRYLKVMMTLLRDSSKNIQISAFHIFKVFVANPHKPREVKLILAKNQEKLLELLHNLSPGKGSEDEQFEEEKEFIIKEIARLSC >Vigun02g068500.10.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.9.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.2.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868675:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVGQKVIFINLATKRGNCNGYEDCR >Vigun02g068500.11.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21867593:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.5.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.3.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVGQKVIFINLATKRGNCNGYEDCR >Vigun02g068500.4.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868646:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKELEA >Vigun02g068500.1.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.7.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868675:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun02g068500.6.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKLKLQLQALVAEVRDLRDRERSATEQHHLLLQKLKHNEEECGRKIQELQGELASVREERQKLERKVNYLENDNVLLENKQKELKGTMNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKELEA >Vigun02g068500.8.v1.2 pep primary_assembly:ASM411807v1:2:21858097:21868645:-1 gene:Vigun02g068500.v1.2 transcript:Vigun02g068500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLQSRESFVHAYEESTSKMKRSIEAKDRMLNVLSEKISSCLALFDSIAKEVFCIKQVLDKVQNIVNDREEVVTKLKKKMDWVSAFEKTFVENISDLRNKVENNEAELRRKDRIISELVAKLDVAKVGNHNQAQMEDVQKTLYLKDTEIQNLVSDKEVLHYEVGSLRLILQRIQDTVTNMNEEDKRLFSSILQQKEEIAMDMKIVDNMK >Vigun03g190900.1.v1.2 pep primary_assembly:ASM411807v1:3:26322812:26327169:1 gene:Vigun03g190900.v1.2 transcript:Vigun03g190900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELAGDHTPELLCLVHDIAGMCVDSSSAAPIAADAMFRKDCTDLVRRISLLTHLFEEIRELNSNNNNSNNVVASASSSSSSSSAASWSSDLIFALQSARSLLSVARNFRSNCSSDGAAKTIVFQFQCVTWKLEKLLSDLPYDNLDISEEVKEQVDLVRTQLKRASDKYGFMISKMPSHELSQPLTEEISQVLSKSVGGLYKQHSCPENLSELDSIPKNNGENNCSTNRLGSLLERARSIPASSEVLFLNESDPESQEISETKSLPEVKRPEAIVIPEDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLQHLTLTPNYVLRSLISQWCIEHHIEQPTGLTNGKIKKSDGSFRDVTGDIAAIEALVRKLSSRSVEERRAAVTEIRSLSKRSTDNRILIAEAGAIPVLVNLLTSEDVLTQDNAVTSILNLSIYENNKGLIMLAGAIPSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGASGAIPALVELLQNGSPRGKKDAATALFNLCIYQGNKGRAIRAGIITALLKMLTDSSKSMVDEALTIMSVLASHQEAKIAIVKASTIPVLIDLLRTGLPRNKENAAAILLALCKRDADNLACISRLGAVIPLSELARTGTERAKRKATSLLEHLRKLQQL >Vigun05g024700.1.v1.2 pep primary_assembly:ASM411807v1:5:2030677:2031184:-1 gene:Vigun05g024700.v1.2 transcript:Vigun05g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQNSIFVVLVVFVLFSQTYGEWKFCPKSMNFDGQCPLGASGRRCFEEFLARLGASAMPMNCTCNNLSQNQRKCTCQVVCG >Vigun02g191300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32883040:32884766:1 gene:Vigun02g191300.v1.2 transcript:Vigun02g191300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGKSEAPIARSDGVDGEIVNNESKVFKIFVGYDPSEDLAFEVCRHSILKRSSIPVEIIPIKQSDLRKRGLYWRERGQFESTEFSFSRFLTPCLANYQGWAMFVDCDFLYLADIKELRDLIEDKYAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNRVLTPETVNTQTGAFLHRFQWLEDDEIGSIPFVWNFLEGHNKVVENDPLTLPKAIHYTRGGPWFEAWKNCEFADLWVSEMEDYRKQVKKESAN >Vigun02g191300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32882837:32884766:1 gene:Vigun02g191300.v1.2 transcript:Vigun02g191300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGKSEAPIARSDGVDGEIVNNESKVFKIFVGYDPSEDLAFEVCRHSILKRSSIPVEIIPIKQSDLRKRGLYWRERGQFESTEFSFSRFLTPCLANYQGWAMFVDCDFLYLADIKELRDLIEDKYAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNRVLTPETVNTQTGAFLHRFQWLEDDEIGSIPFVWNFLEGHNKVVENDPLTLPKAIHYTRGGPWFEAWKNCEFADLWVSEMEDYRKQVKKESAN >Vigun02g191300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32882861:32884766:1 gene:Vigun02g191300.v1.2 transcript:Vigun02g191300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGKSEAPIARSDGVDGEIVNNESKVFKIFVGYDPSEDLAFEVCRHSILKRSSIPVEIIPIKQSDLRKRGLYWRERGQFESTEFSFSRFLTPCLANYQGWAMFVDCDFLYLADIKELRDLIEDKYAIMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNRVLTPETVNTQTGAFLHRFQWLEDDEIGSIPFVWNFLEGHNKVVENDPLTLPKAIHYTRGGPWFEAWKNCEFADLWVSEMEDYRKQVKKESAN >Vigun06g003666.1.v1.2 pep primary_assembly:ASM411807v1:6:1744152:1744882:-1 gene:Vigun06g003666.v1.2 transcript:Vigun06g003666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VIGFVDHTFAIFYDHGLERQWTLTDVEGNIHVVTYNKNLEKPLLIGGWSDVRDLYELQDDHNIYFGYDLPAHFGNYLRKGRFKKLLGPRKIVKCKLLLTNHPKKSNKIGSGWKEFCTTHGFDATIDLMFEVDEMKNNQNVKVLTYCNF >Vigun05g019300.3.v1.2 pep primary_assembly:ASM411807v1:5:1572889:1574474:1 gene:Vigun05g019300.v1.2 transcript:Vigun05g019300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKLSGFLALPKPNVAAGIHRIIKGFKNLSQLFFYRENVEEMEAEMEIGFPTDVKHVTHIGIDGSTITNNVKGWDNLKAPELLSLSPISFKQFELAMATQAQCSLIDDDATNSSKCG >Vigun05g019300.2.v1.2 pep primary_assembly:ASM411807v1:5:1572889:1574473:1 gene:Vigun05g019300.v1.2 transcript:Vigun05g019300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMERIVVPCSFSCASHSSVELGAPNGPKEDSKATVGSRHDRSIVRANMKRGKLSGFLALPKPNVAAGIHRIIKGFKNLSQLFFYRENVEEMEAEMEIGFPTDVKHVTHIGIDGSTITNNVKGWDNLKAPELLSLSPISFKQFELAMATQAQCSLIDDDATNSSKCG >Vigun05g019300.1.v1.2 pep primary_assembly:ASM411807v1:5:1572889:1574474:1 gene:Vigun05g019300.v1.2 transcript:Vigun05g019300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMERIVVPCSFSCASHSSVELGAPNGPKEDSKATVGSKGHDRSIVRANMKRGKLSGFLALPKPNVAAGIHRIIKGFKNLSQLFFYRENVEEMEAEMEIGFPTDVKHVTHIGIDGSTITNNVKGWDNLKAPELLSLSPISFKQFELAMATQAQCSLIDDDATNSSKCG >Vigun03g235801.3.v1.2 pep primary_assembly:ASM411807v1:3:39231762:39235331:1 gene:Vigun03g235801.v1.2 transcript:Vigun03g235801.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSVISSSLHMTNSMTVKGVLSFAPNPPLFHHLPKAVAFVSLSASTKPPTKSFQVRSVVGTTEAASGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGALVPVEGPDYPLFALEINPEKSREEFRNAAQKNGGTGVKDFMDGMGLGMIADQRTNKKSGTRITLPRSPLSPQLASSHSIRIGLNPGGVTCRRISEAQNIDDV >Vigun03g235801.2.v1.2 pep primary_assembly:ASM411807v1:3:39231748:39235493:1 gene:Vigun03g235801.v1.2 transcript:Vigun03g235801.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSVISSSLHMTNSMTVKGVLSFAPNPPLFHHLPKAVAFVSLSASTKPPTKSFQVRSVVGTTEAASGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGALVPVEGPDYPLFALEINPEKSREEFRNAAQKNGGTGVKDFMDGMGLGMIADQRTNKKSGTRITLPRSPLSPQLASSHSIRIGLNPGGVTCRRISEAQVSQSS >Vigun03g235801.1.v1.2 pep primary_assembly:ASM411807v1:3:39231762:39236945:1 gene:Vigun03g235801.v1.2 transcript:Vigun03g235801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSVISSSLHMTNSMTVKGVLSFAPNPPLFHHLPKAVAFVSLSASTKPPTKSFQVRSVVGTTEAASGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGALVPVEGPDYPLFALEINPEKSREEFRNAAQKNGGTGVKDFMDGMGLGMIADQRTNKKSGTRITLPRSPLSPQLASSHSIRIGLNPGGVTCRRISEAQTKYNKRQQDESNEFASLISMEESLHKDNKRKGHQRLEDGC >Vigun03g010600.1.v1.2 pep primary_assembly:ASM411807v1:3:735510:739240:1 gene:Vigun03g010600.v1.2 transcript:Vigun03g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTFWNCISLLFLVFAFFFYSRTYSSTPSFMAEQSSKSIYDFTVKDIRGNDVSLNDYSGKVILIVNVASQCGLTQTNYKELNVLYDKYKNQGFEILAFPCNQFAGQEPGNNEEIQEVVCTRFKAEFPIFDKVEVNGKNAAPLYKFLKEQKGGIFGDGIKWNFTKFLVNKEGKVVERYAPTTSPLKIEKDIEKLLQS >Vigun03g010600.2.v1.2 pep primary_assembly:ASM411807v1:3:735818:739190:1 gene:Vigun03g010600.v1.2 transcript:Vigun03g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTFWNCISLLFLVFAFFFYSRTYSSTPSFMAEQSSKSIYDFTVKDIRGNDVSLNDYSGKVILIVNVASQCGLTQTNYKELNVLYDKYKNQGFEILAFPCNQFAGQEPGNNEEIQEVVCTRFKAEFPIFDKVEVNGKNAAPLYKFLKEQKGGIFGDGIKWNFTKFLVNKEGKVVERYAPTTSPLKIETLRSSCSLEC >Vigun02g135600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:28546130:28547438:1 gene:Vigun02g135600.v1.2 transcript:Vigun02g135600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYVCLNSTMLSTPSPLFFTPPSRTLTLLLNPTKPQTSKLSSFHSLSVSAVPAKVDTTVKIAEIEDPPNFDFKVYMLHKAHTVNQALDAAIALRDPEKIHKAMRYSLLAGGKRVRPILCVAACELVGGDEATAIPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLAFAFEHLAAATEGVSPARVVRAIGELAKSIGGDGLVAGQVVDLDSEGASNVGLERLEFIHVHKTAALLEAAVVLGAIVGGGSDEEVEKLRKFARCIGLLFQVVDDILDVTKSSEELGKTAGKDLVADKVTYPKLLGIHKSKEFAEKLLQDAQEQLFGFDPRKAAPLIALTNYIAYRQN >Vigun09g042400.1.v1.2 pep primary_assembly:ASM411807v1:9:3938661:3945799:-1 gene:Vigun09g042400.v1.2 transcript:Vigun09g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHSQGVSRHCSLLAVLSGKSRDIKQKQKQSAASEDQPPYPFPELSSSGSLEVKLLIKPSTDELGRALEQLQPDFVYLQGQLLEDRGEIGTLAWDFDLSSPETLCGLFGSKLPNTVYLETPKGEKLAEALRNKGVPYTIYWKNDFSKYAASHFRHSFFSVAQSTSSHTWDAFQLALASFRLYCIQNNVLPSNSHKGAGKFGPQIFGVPPNIDTSPSVADMKEEEEENSPETISAVKIYDDDVNMRFLVCGVPCTLDACLLGALEDGLNALLFTEIRGCKLHNRTSAPPPPLQAGTFSRGVVTMRCDISTCSTAHISLLVSGSADTCFNDQLLENHIKKELIEKSQLVQAFPNHEQSKSPSLEPRRSASVACGSSVFEVCMRVPAWASQVLRQLASNVSYRSLVMLGIASIQGLPVASFNKDDAERLLFFCTKPEKENCRNEPVFSAIPSWLKPPPASRKRSEPCSSSKSMNPSGRGIEDVGSHRQKLNLAAMRPIPQSHRHKILPFSGLSGGARYDGDHGKSNQPLAPIKHNVSGPTSVTNRKSVSNSFQANQIISLNPLPMKKHGCDRAPIRVCSEEDFLRDVMQFLILRGHNRLIPPGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHEDVDGECCLMCHSSAAGDWVNCGVCGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPRCSALKFSKKSQKTANGY >Vigun09g042400.2.v1.2 pep primary_assembly:ASM411807v1:9:3938684:3945799:-1 gene:Vigun09g042400.v1.2 transcript:Vigun09g042400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHSQGVSRHCSLLAVLSGKSRDIKQKQKQSAASEDQPPYPFPELSSSGSLEVKLLIKPSTDELGRALEQLQPDFVYLQGQLLEDRGEIGTLAWDFDLSSPETLCGLFGSKLPNTVYLETPKGEKLAEALRNKGVPYTIYWKNDFSKYAASHFRHSFFSVAQSTSSHTWDAFQLALASFRLYCIQNNVLPSNSHKGAGKFGPQIFGVPPNIDTSPSVADMKEEEEENSPETISAVKIYDDDVNMRFLVCGVPCTLDACLLGALEDGLNALLFTEIRGCKLHNRTSAPPPPLQAGTFSRGVVTMRCDISTCSTAHISLLVSGSADTCFNDQLLENHIKKELIEKSQLVQAFPNHEQSKSPSLEPRRSASVACGSSVFEVCMRVPAWASQVLRQLASNVSYRSLVMLGIASIQGLPVASFNKDDAERLLFFCTKPEKENCRNEPVFSAIPSWLKPPPASRKRSEPCSSSKSMNPSGRGIEDVGSHRQKLNLAAMRPIPQSHRHKILPFSGLSGGARYDGDHGKSNQPLAPIKHNVSGPTSVTNRKSVSNSFQANQIISLNPLPMKKHGCDRAPIRVCSEEDFLRDVMQFLILRGHNRLIPPGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHEDVDGECCLMCHSSAAGDWVNCGVCGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPRCSALKFSKKSQKTANGY >Vigun03g138200.2.v1.2 pep primary_assembly:ASM411807v1:3:13567485:13571671:1 gene:Vigun03g138200.v1.2 transcript:Vigun03g138200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHSLAPQWLKSSGNDSRVTDTNNQFTSPYSDHMTYTSRQRNPSLSRSYSSSERSWTDMDGEKDIDNDGLTSASVGIRSKAMMMHQSNISSSTSSMGLSMAETLAQSPHHSYSPSKVSASTQKLEELALKQSRLLIPVTPSTPRSLAASSLEKSKAKTGRHQYPFYSRRSGHSLQGAHPNSDMQKISSVNSHNFSASRELNGVSSVVKDNLSSNSRSLATPVTRSTSIAAASRSLGNNNSTPSSWITLEKKTTFPTQSRNDFFKNLSRKSSLENPSSDMSCSSEKSEASTTNVSSCPILKPREASSVDSSSLNMVSDSSSMITVNDNAANEPLKPSSSGENQHISNPFHFTEEEEMAFLRSLGWQESVGDDEGLTEEEIQDFNQKHMKLQP >Vigun03g138200.1.v1.2 pep primary_assembly:ASM411807v1:3:13567453:13571747:1 gene:Vigun03g138200.v1.2 transcript:Vigun03g138200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDHSLAPQWLKSSGNDSRVTDTNNQFTSPYSDHMTYTSRQRNPSLSRSYSSSERSWTDMDGEKDIDNDGLTSASVGIRSKAMMMHQSNISSSTSSMGLSMAETLAQSPHHSYSPSKVSASTQKLEELALKQSRLLIPVTPSTPRSLAASSLEKSKAKTGRHQYPFYSRRSGHSLQGAHPNSDMQKISSVNSHNFSASRELNGVSSVVKDNLSSNSRSLATPVTRSTSIAAASRSLGNNNSTPSSWITLEKKTTFPTQSRNDFFKNLSRKSSLENPSSDMSCSSEKSEASTTNVSSCPILKPREASSVDSSSLNMVSDSSSMITVNDNAANEPLKPSSSGENQHISNPFHFTEEEEMAFLRSLGWQESVGDDEGLTEEEIQDFNQKHMKLQP >Vigun11g214100.1.v1.2 pep primary_assembly:ASM411807v1:11:40868825:40874186:1 gene:Vigun11g214100.v1.2 transcript:Vigun11g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLHSYGGEKNSNGCKDSSNLNRSFSSAQRSFYYKPDNARKGLVSSSSSRYERDRAVEEDREGSRVVRKRSEHDFEGFDRRKGFDRYRESDRSLMHRSESFCSGGSRRDQFPKGFRSERDRSRREGSVSSWRRGLKDLDERERVVRSPKGLRDVKSPSWSKDSVSESEQSKKRSSSPRPFREGNSNKSKSKSPTWSKDSVSESEQSKSVEVKKAEEELLQQVQSGSSSEMEEGELEPEPQTEMVAPASEDLPPSVTLETDERHVQKNERHPNDDDTDALMDERQELSTKEEVKPNEEVGFEVKDAEKEADEMPDVQEDPTEKMAVTETELGSVGNGDDDKREQCLDVGAGCEEETKKSGDVEKEKLVLNEDESKEDKGVDLGTSTDIVKPELNDGLSTENEVPKEVDREVTMEGVANNVKDKGKGISVALAPPTDVAHSSDDGLWMERGSMDLPTCSVDVIEGPSTRGFELFSRSPVRKVEKVDHSVLNKHKDDLEQLDLTLSLPNVLLPIGAHETGAHETTSQGPGSPSQARSVQSLSNTFCTNSDGFPASMSLSGSQSFYHNPSCSLTKNSVDYEQSVGSRPLFQGIDQVSQGCWQGQSQSDSKQKEVPFGQRTSVSGNGSLFQSQASWGVLDSQALKGQHSRVLEGSSKVAGGLDRQLSFHKQFSGQSRRHDDVRSPPQSVGSHDIGSNYSFEKKREARDRSSGSLYRTTSQKEQEQLMMGGADFVETIIARIVSEPVHAMSRKFHEMTGQSIACLKEGIREIMLNADKHGQILAFQKVLQNRSDVILDVLLKCHRVQLEILVALKTGLTHFLHLDNSISSSELAQIFLNSRCKNLSCRSQLPVDECDCKVCAQKNGFCRECMCLVCSKFDNASNTCSWVGCDVCLHWCHTDCGLRESYIRNGHGTKGMAEMQFHCIACDHPSEMFGFVKEVFHNFAKEWSVEALCRELEYVKRIFSASKDMRGRQLYEIAEQMLPRLANKSNLPEVLRHIMSFLSDGDSSKLAMTANFAGKEQIKENNGVAGPSQEAAAWMKSIYSEKPPLLERPVNILPTFDQNDKRTLAQELQMSSIQKDYCFDELESVVKIKQAEAKMFQSRADDARREAEGLKRIALAKNEKIEEEYANRIAKLRLAETDEIRKQKFEEAQALERAHLEYLNMKRRMETDIKDLLSKMEATKMSLAM >Vigun05g099300.1.v1.2 pep primary_assembly:ASM411807v1:5:9718526:9723152:-1 gene:Vigun05g099300.v1.2 transcript:Vigun05g099300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMMKVFAVIGLVLLIVHPAAHALSRDQFPPDFVFGASTSAYQVEGAANEDGRKPSIWDTFSHAGNDNGDVACDHYHKYKEDVKLMANMGLEAYRFSISWSRLIPEGRGQVNPKGLQFYNNLIDELINHGIEAHVTLYHWDLPQALEDEYGGWVSRRVVKDFTKYAEVCFKEFGDRVKYWTTMNEANIHVLMGYDLGLSQPQRCSPNTIMNCSRGNSSTEPYLAAHHMLLAHASAARLYRKKYQGMQHGFIGFSQLLFGFLPQTNSTEDIMAVQRVQDFFIGWFMNPFIFGDYPDIMKKNAGSRLPSFTQK >Vigun01g049800.1.v1.2 pep primary_assembly:ASM411807v1:1:7757721:7760047:-1 gene:Vigun01g049800.v1.2 transcript:Vigun01g049800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPETTLLLSLLFLFSSCSCFTLNNAHSTTILNVWPKPRNLTWAPPYQATLIASNFTITTTTPHHNKHLSAAITRYQNLVISEHHHPLLPPAVNISKILPPLQFLTLVVQDPDAELVHGVDESYTLSILPSSAILTANTTWGAIRGLETFSQLAWGLPTCVAVGVRVWDSPLYAHRGITLDTSRNYYPVKDLLRTVEAMSMNKLNVLHWHLTDSQSFPLVLPSEPSLAEKGAYASHMVYTPEDVKTIVEFGLDRGVRVLPEIDAPGHTGSWALAYPEIVTCANMFWWPPSGDILAAEPGTGHLNPLNPKTYQVLKNVIRDTTTLFPEPFYHSGADEVIPGCWKTDPTIQKYLSDGGTLNQVLEKFINNTLPFILSLNRTVVYWEDVLLDETVHVSSTILPKEHVILQTWSNGHNNTKKIVSLGYRTIVSSSDFYYLDCGHGDFVGNNSVYDQQNGDDKDNGGSWCGPFKTWQTIYNYDIAYGLNEEEAKLVLGGEVALWSEQADGTVLDARIWPRTSALAETLWSGNRDEKGVKRYAEATDRLNEWRSRMVNRGIGAEPIQPLWCVRNPGMCNTVH >Vigun10g119300.2.v1.2 pep primary_assembly:ASM411807v1:10:32566872:32575331:1 gene:Vigun10g119300.v1.2 transcript:Vigun10g119300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNMFQSDDSRKYYCPVLGSKVFVQGSNLRCLTAVSNILQALGYEVMTANNSIELFGSELHLQKPLKISDLSSLWKYTLWRMEDRMVITADVEGFGMFESEEIIVNNNRECRSFMNTGGQTLQGVIIREHNHTREGNKSESLMLGRKRLSRTYDSHAELAGTSASPNQRNQLRNVPGFEIQNIESHFQQYLEQANPIQQHNNINSSELNMKSQNLSQTVNDSKKMHQLQNRHVHICDCMHKHSQRNPLHDFLNSSDQTSTFGKNQFCLQNEPYSVSRYTSDSDEFMNNVSGDQRAENTIDGKVFSEDTCIYCVDDISVQSEMEFNTLSSNDMCHRMIFQYKVKWSLIHFHLMTCATDFRHHFLFLFYHQKENMAFMRSKQMKFFILQMELGSLVMRM >Vigun05g174500.2.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALIIAFSITICFIAFVISKIVISVLLYKRWRRKQMIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPEYFDTGRATLKGDVYSFGVVLLELLTGKKPNDEAFMEEGTMLVTWVPSSI >Vigun05g174500.5.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALIIAFSITICFIAFVISKIVISVLLYKRWRRKQMIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPAILLFACRIF >Vigun05g174500.7.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALIIAFSITICFIAFVISKIVISVLLYKRWRRKQMIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHVMQGDQGTRRFWIGQPDIE >Vigun05g174500.1.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALIIAFSITICFIAFVISKIVISVLLYKRWRRKQMIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPEYFDTGRATLKGDVYSFGVVLLELLTGKKPNDEAFMEEGTMLVTWVKAVVREKKEELVLDSSLRCCCSMEEVNKVFSIAMMCLEPDPLNRPTMGDVVKMLDQTEEDKLVTES >Vigun05g174500.4.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPEYFDTGRATLKGDVYSFGVVLLELLTGKKPNDEAFMEEGTMLVTWVPSSI >Vigun05g174500.8.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALIIAFSITICFIAFVISKIVISVLLYKRWRRKQMIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHVMQGDQGTRRFWIGQPDIE >Vigun05g174500.3.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPEYFDTGRATLKGDVYSFGVVLLELLTGKKPNDEAFMEEGTMLVTWVKAVVREKKEELVLDSSLRCCCSMEEVNKVFSIAMMCLEPDPLNRPTMGDVVKMLDQTEEDKLVTES >Vigun05g174500.6.v1.2 pep primary_assembly:ASM411807v1:5:31875501:31878307:-1 gene:Vigun05g174500.v1.2 transcript:Vigun05g174500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEEGYTGGKIVIFRSSVLKSLTADAILKKTQKLNNKDIIGSGGYGVVYGLKLDESTALAVKRLNRGTAERDKGFERELEAMADIKHRNIVTLHGYYTAQHYNLLIYELMPNGSLDSFLHGRSRDKKVLDWATRYRIAAGAARGISYLHHDCIPHIIHRDIKSSNILLDENMDARVSDFGLATLMQPNKTHVSTFVAGTFGYVAPAILLFACRIF >Vigun07g130400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23999417:24003883:-1 gene:Vigun07g130400.v1.2 transcript:Vigun07g130400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTSNPPINQTMTTLPQSHNPIPNPSMDNQIHRNGAVEPNHRAAKKPKLAHSISDSEIREEFSHHQRGVARINNGSFGSCPRSVLAAQSAWQLRFLQQPDDFFFNVLRGGILESRAIVADLINADHIDRVSLVDNATTAAAIVLQQIGRRFVHGHFRREDSVIMFHCAYQAVKKSIEAYVTPIGGTVVEVQLPFPVRSDEEIVSEFKKGIEKGKLNGGRVRLAIIDHVTSMPSVVLPVRELIKVCREQGVEQVFVDGAHAIGSLPVDVKEIGADFYVSNLYKWFFSPPSVAFLYCKENSNDVHHPIVSQEYGKGLPVESAWVGMRDYSPQLVVPSILEFVNRFEGGIAGIMQRNHDEVVKMGTMLAESWGTILGSPPVMCASMIMVGLPSKLCVMSDDDALRLRSYLRVYHAIEVPVYYQVLRNDDRDPRDKNGYITGYVRISHQVYNTVDDYQKLKTAINQLLEDGKICSGLPTE >Vigun03g152400.1.v1.2 pep primary_assembly:ASM411807v1:3:16090465:16091735:-1 gene:Vigun03g152400.v1.2 transcript:Vigun03g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTIPDLHVQTTQGKIELHAFCKNGWTVLFSHPGDFTPVCTTELAKMAQHSSEFYKKGVKLLGLSCDDVESHNEWIKDIEAYISGCKVDYPIISDPKREIIKELNMVDPEEKDSTGNLPSRALHIVGPDLKIKLSFLYPATTGRNIDEVLRVIESLQKASQFKVATPANWKPGESVVISPSVTNEQAKDMFPQGFDTVDLPSNKEYLRLTKV >Vigun02g126900.1.v1.2 pep primary_assembly:ASM411807v1:2:27921693:27922792:-1 gene:Vigun02g126900.v1.2 transcript:Vigun02g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELKWSVVVTVAFTVFVLWFGTLHVQASNSVSAFVQNSIYSNRITLFSKSYCPYCLRAKRILAELNEKPFVVELDLRDDGYEIQSVILDLIGRRTVPQVFVNGKHIGGSDDLSAAVQSGELQKLLSAS >Vigun02g126900.2.v1.2 pep primary_assembly:ASM411807v1:2:27921693:27927435:-1 gene:Vigun02g126900.v1.2 transcript:Vigun02g126900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVLSLSLSSKTTVLHCVLRNSRHCGHRRGGREGTVYLRRRRRRMMELKWSVVVTVAFTVFVLWFGTLHVQASNSVSAFVQNSIYSNRITLFSKSYCPYCLRAKRILAELNEKPFVVELDLRDDGYEIQSVILDLIGRRTVPQVFVNGKHIGGSDDLSAAVQSGELQKLLSAS >Vigun05g175100.3.v1.2 pep primary_assembly:ASM411807v1:5:32199087:32201109:-1 gene:Vigun05g175100.v1.2 transcript:Vigun05g175100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLLTGKQKLSSSLTGKQKLLKRQKMVNDEGTMSKLPEALISRILSFLPTKDAVRTSVLSKKWLFRWTFITRLDLDDTVFYSPKKKTGGKMSFLNFVYRALLLTQTSTFQSFSLLLANKYDMSLLNTWISNILIRSVRNLRVETHSTMSFSALASHSLFDSNILEEVVLKMDSCAIRVPKTHAEFENLKLLKLSGISFTLHSSSKVLTLSFPLLKVFETVNCSWLNANRLHLIVPLLERVIIAQDAVSMSNETSRCAIYFSGFCPKQFSYCGFANISYYFKLFESSSAHNASVNVVVNQCSTNRDPEIENRAFLLLNEFRQMKCLKFEGCEVLAQSKLAKLQSFEMLSHLELGVVSGEVLLGLLLKSPILKTLLFKGVSIFDTEVLNSVAVPDCLTSTLQVVKFHKLHGCEHELCLAKFFMENGLALERISFYLVSHRLGKSKIMEEFKEKLFSFKKGFSFAIIEFSYDD >Vigun05g175100.2.v1.2 pep primary_assembly:ASM411807v1:5:32199087:32201169:-1 gene:Vigun05g175100.v1.2 transcript:Vigun05g175100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLLTGKQKLSSSLTGKQKLLKRQKMVNDEGTMSKLPEALISRILSFLPTKDAVRTSVLSKKWLFRWTFITRLDLDDTVFYSPKKKTGGKMSFLNFVYRALLLTQTSTFQSFSLLLANKYDMSLLNTWISNILIRSVRNLRVETHSTMSFSALASHSLFDSNILEEVVLKMDSCAIRVPKTHAEFENLKLLKLSGISFTLHSSSKVLTLSFPLLKVFETVNCSWLNANRLHLIVPLLERVIIAQDAVSMSNETSRCAIYFSGFCPKQFSYCGFANISYYFKLFESSSAHNASVNVVVNQCSTNRDPEIENRAFLLLNEFRQMKCLKFEGCEVLAQSKLAKLQSFEMLSHLELGVVSGEVLLGLLLKSPILKTLLFKGVSIFDTEVLNSVAVPDCLTSTLQVVKFHKLHGCEHELCLAKFFMENGLALERISFYLVSHRLGKSKIMEEFKEKLFSFKKGFSFAIIEFSYDD >Vigun06g087100.1.v1.2 pep primary_assembly:ASM411807v1:6:21905322:21906259:1 gene:Vigun06g087100.v1.2 transcript:Vigun06g087100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVALVASMMACMMITCSYGESSLSCDQVTIWLTPCIPYGVFGGNVTSLCCQGVYSLNAAYKNGDDRRGACQCIKDRAALIPGMNYDHVNEVPGRCGTKCPFKVYPSTNCSAVQ >Vigun03g279100.2.v1.2 pep primary_assembly:ASM411807v1:3:45704539:45710754:-1 gene:Vigun03g279100.v1.2 transcript:Vigun03g279100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHSPNYRSKCRAPAPAIIFLICSLSFTNVSEACSAATDCGAGLFCGNCPALGLKQPICTRGQPTLPTPIVNGLPFNKYTWIVTHNSFSIVDAPPLPGVQRMTFYNQEDSVTNQLRNGVRGLMLDMYDFQNDIWLCHSFRGQCYNFTAFQPAVNTLKEVEAFLTENPTEIVTIIIEDYVHTPKGLTNLFTSAGLDKYWFPVSKMPKKGNDWPTVTEMVQANHRLVVFTSDASKEAGEGIAYEWRHMVENEPGDPGVEQGSCPHRKESKALNSRSQSLFLMNYFPTYPVEADSCKEHSAPLAEMVNTCYKAAGNLLPNFIAVNFYMRSDGGGVFDIVDKMNGQSLCGCSTIAACQAGAPFGSCKNISVPSTSPATNTAGSFTGSVQFSKSAASVHRPNCLFLVVFYFLQSLVAAVMTKHCV >Vigun03g279100.1.v1.2 pep primary_assembly:ASM411807v1:3:45704539:45710754:-1 gene:Vigun03g279100.v1.2 transcript:Vigun03g279100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHSPNYRSKCRAPAPAIIFLICSLSFTNVNSQVSEACSAATDCGAGLFCGNCPALGLKQPICTRGQPTLPTPIVNGLPFNKYTWIVTHNSFSIVDAPPLPGVQRMTFYNQEDSVTNQLRNGVRGLMLDMYDFQNDIWLCHSFRGQCYNFTAFQPAVNTLKEVEAFLTENPTEIVTIIIEDYVHTPKGLTNLFTSAGLDKYWFPVSKMPKKGNDWPTVTEMVQANHRLVVFTSDASKEAGEGIAYEWRHMVENEPGDPGVEQGSCPHRKESKALNSRSQSLFLMNYFPTYPVEADSCKEHSAPLAEMVNTCYKAAGNLLPNFIAVNFYMRSDGGGVFDIVDKMNGQSLCGCSTIAACQAGAPFGSCKNISVPSTSPATNTAGSFTGSVQFSKSAASVHRPNCLFLVVFYFLQSLVAAVMTKHCV >Vigun07g060300.2.v1.2 pep primary_assembly:ASM411807v1:7:6818878:6826759:1 gene:Vigun07g060300.v1.2 transcript:Vigun07g060300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAPATGTTARSTVEPSQSQPPKPSPPLLPSSTSSTPPVSAPTHSSPNPNPSPSPSPNPNPNPNPNPIQAPNPKPPIPTPAQPRPTQSFNRTLPTSQPQYPHFSSAPSPPSAPGGAAAPRGGMAIGVPAHHQSPSPPFSSSFGQHFGGLGRTGVNVAESTPNSSTSQVRTPVQGMGMLGSQMRPSGIAAHQQRPVQSSLRPPSSAPNTQPGGSQNFQGHGIMRPSSVGSPATPSQGASQSVQSLNQPWLSSGPLGKPPLPSTAYRQQLNPPSMQQRSHIPPQQQSTPTSSQQQQQQQQQQPPLSNQSQEHFGQQVQPSRAPHHVPHQQQVTRLQGPGNQKPSSLVVAQTSAVQPVSQSRLTNADTEEPCNSILSKRSIHELVNQVDPLEKLDHEVADILVDIAENFLESIIRSGCSLAKHRKSTTLEAKDILLHLEKNWNMTLPGFGGDEIKSYRRQITSDIHKERLSAIKKSMTATEAAHAKSAGQASGSAKGNQAKTPMNIIGSPNLKSS >Vigun07g060300.1.v1.2 pep primary_assembly:ASM411807v1:7:6818854:6826857:1 gene:Vigun07g060300.v1.2 transcript:Vigun07g060300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAPATGTTARSTVEPSQSQPPKPSPPLLPSSTSSTPPVSAPTHSSPNPNPSPSPSPNPNPNPNPNPIQAPNPKPPIPTPAQPRPTQSFNRTLPTSQPQYPHFSSAPSPPSAPGGAAAPRGGMAIGVPAHHQSPSPPFSSSFGQHFGGLGRTGVNVAESTPNSSTSQVRTPVQGMGMLGSQMRPSGIAAHQQRPVQSSLRPPSSAPNTQPGGSQNFQGHGIMRPSSVGSPATPSQGASQSVQSLNQPWLSSGPLGKPPLPSTAYRQQLNPPSMQQRSHIPPQQQSTPTSSQQQQQQQQQQPPLSNQSQEHFGQQVQPSRAPHHVPHQQQVTRLQGPGNQKPSSLVVAQTSAVQPVSQSRLTNADTEEPCNSILSKRSIHELVNQVDPLEKLDHEVADILVDIAENFLESIIRSGCSLAKHRKSTTLEAKDILLHLEKNWNMTLPGFGGDEIKSYRRQITSDIHKERLSAIKKSMTATEAAHAKSAGQASGSAKGNQAKTPMNIIGSPNLKSS >Vigun07g060300.3.v1.2 pep primary_assembly:ASM411807v1:7:6818938:6826694:1 gene:Vigun07g060300.v1.2 transcript:Vigun07g060300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAPATGTTARSTVEPSQSQPPKPSPPLLPSSTSSTPPVSAPTHSSPNPNPSPSPSPNPNPNPNPNPIQAPNPKPPIPTPAQPRPTQSFNRTLPTSQPQYPHFSSAPSPPSAPGGAAAPRGGMAIGVPAHHQSPSPPFSSSFGQHFGGLGRTGVNVAESTPNSSTSQVRTPVQGMGMLGSQMRPSGIAAHQQRPVQSSLRPPSSAPNTQPGGSQNFQGHGIMRPSSVGSPATPSQGASQSVQSLNQPWLSSGPLGKPPLPSTAYRQQLNPPSMQQRSHIPPQQQSTPTSSQQQQQQQQQQPPLSNQSQEHFGQQVQPSRAPHHVPHQQQVTRLQGPGNQKPSSLVVAQTSAVQPVSQSRLTNADTEEPCNSILSKRSIHELVNQVDPLEKLDHEVADILVDIAENFLESIIRSGCSLAKHRKSTTLEAKDILLHLEKNWNMTLPGFGGDEIKSYRRQITSDIHKERLSAIKKSMTATEAAHAKSAGQASGSAKGNQAKTPMNIIGSPNLKSS >Vigun01g093300.1.v1.2 pep primary_assembly:ASM411807v1:1:25595386:25599572:1 gene:Vigun01g093300.v1.2 transcript:Vigun01g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASMQVATSKFVLCPNASAAKVAGSKKIATVNFRSKFKGCETKIHVVSSNGSVSSSSSRRSVSSLKADDNKRRSNLESLFCYDKAIPEEIIEKPVGLSLEEKSIGNNSRCTDCEAKGAVLCVTCAGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCAECGGRGHLGPK >Vigun07g142300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25286615:25287295:1 gene:Vigun07g142300.v1.2 transcript:Vigun07g142300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKANPSADGSDDGVTRFICGSRFSRKSVGSAFSCMPANTGPPGKDASKVKKKELFGDSGNGKASCGDEPVKVPFSQLLALEGIDRSGEGRLNSKDGQSVKMKLPLLSFLYKKGWNNFTRTGFSSDENGDNNDVVRFGQVRRKLSLRHLFRIPSKILLFHLKLKLTKFQIKKKATKQFEQEDDDDENEDRELCKKRILMGGRCRPLSSPGYKEMYSSSWTRARSHP >Vigun03g189500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:25801277:25803286:-1 gene:Vigun03g189500.v1.2 transcript:Vigun03g189500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDRDTAAALPSPLALTGDAITLRFTPRKTLLHMRLLLRFSSAFKIGAAPIHFATVRSRSPDTVVSGFSRLKVVVGPAVTVEGNVDAAGARGLRGSSSRDHHSSVHVTTRDHTPIQSFKSPAASPAARFLFSWFSASDLYWVAMVISSV >Vigun03g189500.1.v1.2 pep primary_assembly:ASM411807v1:3:25801248:25803336:-1 gene:Vigun03g189500.v1.2 transcript:Vigun03g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDRDTAAALPSPLALTGDAITLRFTPRKTLLHMRLLLRFSSAFKIGAAPIHFATVRSRSPDTVVSGFSRLKVVVGPAVTVEGNVDAAGARGLRGSSSRDHHSSVHVTTRDHTPIQSFKSPAASPAARSKW >Vigun07g192200.3.v1.2 pep primary_assembly:ASM411807v1:7:31093770:31096104:1 gene:Vigun07g192200.v1.2 transcript:Vigun07g192200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVATTALIFMLACAIMHGLRSLYARTRKSNYKLPPGPSLVTIIRNSRQLYKKPQQTMAKLAKFYGPIMRFTIGQSTTIVISSTIAAKEILQTHDTLFSDRTNPDITTSYNHNHYSLVFLPVSPLWQELRKICHGNLFSAKTLDASQDLRRTKLQELLTDIRQKSLAGEVVDVGRAAFMACINFLSYTFVSKDFVPSVGNGEYKHVVGTLLKATGTPNLVDYFPFLRVFDPQGIRRHTTNYIDKLFDVLDPIIDERMKMRQEENYVTSHDMLDILLDISQKSSEKIHRKQIKHLFLDLLVAGTDTTAYGLERTMTELMHSPEAMRKVKKELAEVIGVGKAVEESDVARLPYMQAVIKESLRMHPPAPLLLPRRAKTDVEVCGWTVPKGAQVLINEWAIGRSPEVWDDAHVFSPERFLDSDIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLVNCFDWKLENNMEPKDMDLDESLRAIPVPL >Vigun07g192200.1.v1.2 pep primary_assembly:ASM411807v1:7:31093973:31096104:1 gene:Vigun07g192200.v1.2 transcript:Vigun07g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLMYMDTVATTALIFMLACAIMHGLRSLYARTRKSNYKLPPGPSLVTIIRNSRQLYKKPQQTMAKLAKFYGPIMRFTIGQSTTIVISSTIAAKEILQTHDTLFSDRTNPDITTSYNHNHYSLVFLPVSPLWQELRKICHGNLFSAKTLDASQDLRRTKLQELLTDIRQKSLAGEVVDVGRAAFMACINFLSYTFVSKDFVPSVGNGEYKHVVGTLLKATGTPNLVDYFPFLRVFDPQGIRRHTTNYIDKLFDVLDPIIDERMKMRQEENYVTSHDMLDILLDISQKSSEKIHRKQIKHLFLDLLVAGTDTTAYGLERTMTELMHSPEAMRKVKKELAEVIGVGKAVEESDVARLPYMQAVIKESLRMHPPAPLLLPRRAKTDVEVCGWTVPKGAQVLINEWAIGRSPEVWDDAHVFSPERFLDSDIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLVNCFDWKLENNMEPKDMDLDESLRAIPVPL >Vigun07g192200.2.v1.2 pep primary_assembly:ASM411807v1:7:31093697:31096104:1 gene:Vigun07g192200.v1.2 transcript:Vigun07g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVATTALIFMLACAIMHGLRSLYARTRKSNYKLPPGPSLVTIIRNSRQLYKKPQQTMAKLAKFYGPIMRFTIGQSTTIVISSTIAAKEILQTHDTLFSDRTNPDITTSYNHNHYSLVFLPVSPLWQELRKICHGNLFSAKTLDASQDLRRTKLQELLTDIRQKSLAGEVVDVGRAAFMACINFLSYTFVSKDFVPSVGNGEYKHVVGTLLKATGTPNLVDYFPFLRVFDPQGIRRHTTNYIDKLFDVLDPIIDERMKMRQEENYVTSHDMLDILLDISQKSSEKIHRKQIKHLFLDLLVAGTDTTAYGLERTMTELMHSPEAMRKVKKELAEVIGVGKAVEESDVARLPYMQAVIKESLRMHPPAPLLLPRRAKTDVEVCGWTVPKGAQVLINEWAIGRSPEVWDDAHVFSPERFLDSDIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLVNCFDWKLENNMEPKDMDLDESLRAIPVPL >Vigun06g133400.1.v1.2 pep primary_assembly:ASM411807v1:6:25983609:25985982:1 gene:Vigun06g133400.v1.2 transcript:Vigun06g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTALPPELANNALRLYRECLRRAKYIGHRKHNTELLVDMVRQQFKKHKHETDPEKIQKLKDDAARGLINHILYESEQMTGSKFSKTKQPNK >Vigun05g225600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41792594:41794189:1 gene:Vigun05g225600.v1.2 transcript:Vigun05g225600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAIVTRGNQFFIEVGAQETVVAIKRKIEQLHGVPVASQVLSVTGWELVDGLDMDDYPIVTEGTKIDLTIKPAEPHPNKMQITVKFSAKKLSIEVDRTDTVRSLKEKIHIIDSTPIKRMTLYFSGTELDEDFRTLSEYGIGEFCEIVVFLKTMNRSRDEPPTRKLSLVVQTSSSLLNAATIPLEMRDANTVNELKQLLISRKILPSDDYLFIHRQRIMRDSCSLRWHGVENGDCLYVFKGTVSTSGYA >Vigun03g074200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6123417:6124793:-1 gene:Vigun03g074200.v1.2 transcript:Vigun03g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIHEQINPFDEEKEEKEEEINYDELKKRMWKDRILLQKLKEKRPKEEPDQEAKQEASRRKKMSRAQDSILKYMVKIMEVCNGQGFVYGIIPEKGKPVTGSSDSLREWWKEKVKFDQHAPLAIAKYMPLLQIDDLDATSYMHLLNDLQDTTLSSLLSALMQHCIPPQRRFPLERGLPPPWWPTGTETWWGEQGLLAQEHGPPPYKKPHDLKKVWKVSLLAAVIKHISPNLDKLRRLVTQSKTLQDKMTAKDTATWSKVMNQEEALLQLTNKCLKISTEEDENECESSNSNSQEGSCVAELNDDSNLGGIIEKRKSVIDLDAIADKLYACQYYQCPQSEMGTGFIDKNSRMNHESSCSYRTNQSPALLQDHVSNDTQITCEDDWMNMEVASANQNDDDQLIDVVGKTPQDYGNLWLNSLGDLELHAALDQIDMHLNRNSEQDSPQGQEITSIWDLTYK >Vigun10g034200.5.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598482:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPDMFCRYITKFSHLMFVFRKVILVKPSKQLHTKHSQDKNWNMACAGGAAHSPKLQISLDGMIAITVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKYTTGYSKYLSKKLRCISLQCFSSEYLCNDFYLHDAIVVDLKRSLLGIIWKQPQVLAFLKVLNLSHSKYLRKTPDFSGLQNLEHLILKDCPRLREVHRSIGCLCNLTLLNLKDCTSLSNLPREIYMLKSLKTLILSGCSKINLLEKDIVQMESLITLIAENTVVKQVPFSIVSSKGIGYISLRGFEGLSHNLFPFIIQSWMSPSMNSLSYNPSFCMDVEVKSWDDITPLLSSLGNLRSVLVQCDTEFQLSKQVKTILVEYRGNITEIGTSKPHFRYCLIGVGSCKEFFNAFSDGTSEGLASSESCDVFLPGGNDPYWLVHMGEGHSVSFTLPKDHVLKGMALCAIYSPNPEILTTECLRSVLIVNYTKCTLQIHYHGTIISFNDIDWQGIISNLGSGDRVEIFVIFCDGLVVKRTVVYLICGESNDLEKEPG >Vigun10g034200.6.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598505:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDFTLSAVGLTTFLHQENAVKGMKIQEPILDFCRVAIVVFTKTYSESAWCLHQLQQIIQWHETYSRHVLPVYYEIQPSDVRLQKGHFGKAFKATAHQTFSGQELEHGMCRWSRALTKVANFFGWDDSNYRSDAELVDRIVKSVLNLPILSATEFPVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKLDILSTSLRN >Vigun10g034200.3.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598482:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDFTLSAVGLTTFLHQENAVKGMKIQEPILDFCRVAIVVFTKTYSESAWCLHQLQQIIQWHETYSRHVLPVYYEIQPSDVRLQKGHFGKAFKATAHQTFSGQELEHGMCRWSRALTKVANFFGWDDSNYRSDAELVDRIVKSVLNLPILSATEFPVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKYTTGYSKYLSKKLRCISLQCFSSEYLCNDFYLHDAIVVDLKRSLLGIIWKQPQGLASSESCDVFLPGGNDPYWLVHMGEGHSVSFTLPKDHVLKGMALCAIYSPNPEILTTECLRSVLIVNYTKCTLQIHYHGTIISFNDIDWQGIISNLGSGDRVEIFVIFCDGLVVKRTVVYLICGESNDLEKEPG >Vigun10g034200.1.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598482:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDFTLSAVGLTTFLHQENAVKGMKIQEPILDFCRVAIVVFTKTYSESAWCLHQLQQIIQWHETYSRHVLPVYYEIQPSDVRLQKGHFGKAFKATAHQTFSGQELEHGMCRWSRALTKVANFFGWDDSNYRSDAELVDRIVKSVLNLPILSATEFPVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKYTTGYSKYLSKKLRCISLQCFSSEYLCNDFYLHDAIVVDLKRSLLGIIWKQPQVLAFLKVLNLSHSKYLRKTPDFSGLQNLEHLILKDCPRLREVHRSIGCLCNLTLLNLKDCTSLSNLPREIYMLKSLKTLILSGCSKINLLEKDIVQMESLITLIAENTVVKQVPFSIVSSKGIGYISLRGFEGLSHNLFPFIIQSWMSPSMNSLSYNPSFCMDVEVKSWDDITPLLSSLGNLRSVLVQCDTEFQLSKQVKTILVEYRGNITEIGTSKPHFRYCLIGVGSCKEFFNAFSDGTSEGLASSESCDVFLPGGNDPYWLVHMGEGHSVSFTLPKDHVLKGMALCAIYSPNPEILTTECLRSVLIVNYTKCTLQIHYHGTIISFNDIDWQGIISNLGSGDRVEIFVIFCDGLVVKRTVVYLICGESNDLEKEPG >Vigun10g034200.2.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598482:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDFTLSAVGLTTFLHQENAVKGMKIQEPILDFCRVAIVVFTKTYSESAWCLHQLQQIIQWHETYSRHVLPVYYEIQPSDVRLQKGHFGKAFKATAHQTFSGQELEHGMCRWSRALTKVANFFGWDDSNYRSDAELVDRIVKSVLNLPILSATEFPVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKYTGLASSESCDVFLPGGNDPYWLVHMGEGHSVSFTLPKDHVLKGMALCAIYSPNPEILTTECLRSVLIVNYTKCTLQIHYHGTIISFNDIDWQGIISNLGSGDRVEIFVIFCDGLVVKRTVVYLICGESNDLEKEPG >Vigun10g034200.4.v1.2 pep primary_assembly:ASM411807v1:10:4594209:4598482:-1 gene:Vigun10g034200.v1.2 transcript:Vigun10g034200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSNLQRKYDVLIHFDGEDIRRKFVSHLDFTLSAVGLTTFLHQENAVKGMKIQEPILDFCRVAIVVFTKTYSESAWCLHQLQQIIQWHETYSRHVLPVYYEIQPSDVRLQKGHFGKAFKATAHQTFSGQELEHGMCRWSRALTKVANFFGWDDSNYRSDAELVDRIVKSVLNLPILSATEFPVGLESQVKDVIRTIKSNPRKVCIIGIWGMRGSGKTTLAKAIYNQIHGTFTEKSFIENISDFSQRRHLNLQKRLLSDILKTKINIHSVEMGRSMIRERLYGKRVLIVLDDMIDEHYPLLDLRICRASLGGGSVIILTTRYKDILRKHGVDAVFGKNLLNSNESLELFSWHAFREAKPKEEYHFLAKSVVAYCEGNPLSLEVIGSYLYERTKIEWHRVLLKLIEVPQFEILPILKISFDGLRDQTEKDLFLDICCFFVGKGRAYVTKILNGCGIDADCGIRVLLERSLIKVKRNNKFGIHPLVRDMGREIIDDISRKEPRKNRWLWLDDDMKHAPSKYTVRTFFYLWF >Vigun03g290500.1.v1.2 pep primary_assembly:ASM411807v1:3:47339832:47341438:1 gene:Vigun03g290500.v1.2 transcript:Vigun03g290500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLHQDPTDQGLQLILGLALTTSTPDNTVTPSPPSISNTLHHLTTTHRPNPYSSKSTFISTTNSQAEPSLTLALSRHTYPDQLLKLSSEDPLEQTSPHSAISSFSGGSGRVKRERDLSCEEADATETERVSSRASDEEEDGTTARKKLRLTKEQSALLEESFKQHSTLNPKQKQALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPLYMPMSAATLTMCPSCERLGGGVGGGASTKSPFSMAPKPHFFNPFANPSAAC >Vigun01g149000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33049847:33052224:-1 gene:Vigun01g149000.v1.2 transcript:Vigun01g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTMMLRVLNKQFLGIVGDAATAVANKPPPPPVVSRKPAFIDAFLYKMKKNPSLLSNKTIWSRRSTILPEFVDTTVKIYNGKTTIRCKITEGKVGHKFGEFALTRKRKTREQANAKNVKQQKKKK >Vigun08g190000.1.v1.2 pep primary_assembly:ASM411807v1:8:35784896:35788831:1 gene:Vigun08g190000.v1.2 transcript:Vigun08g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVMDNSNDSSSSLSFVSSHLSHGSSNHNVSSSTSNEHGANIEILTLSKLSGSLEKLLIDAEYDYSDAEILVEDMCVGVHRCVLASRSPFFHELFKKGTGGSGKEGKPRYLMSELVPYGTVGYEAFQVFLYYLYTGRLKASPTEVTTCVDETCIHDACRPAINYALELMYASATFQMKELVLLFQRHLLNFVEKALVEDVIPILLAAFNCQIDQLLSRCIHRVARSDFDIICLEKELPHEVVTEIKSCRLSSQPESAADAMEVEPVSEKSIRRIHKALDSDDVELLKLLLNESRVTLDDAYALHYACAYSDSKVIQEVLGLGMADILRRNSRGYTVLHVAARRKDPSILVALLNKGACATDTTPDGQTALSICQRLTRRKDYHEKTVQCKESNKDRLCVDVLEREMRRSSMTVTMSVSSQLTADDLHMRLDYLEDRVTFARLLFPAEARVAIENAEADSSSLYANESALKGTNGNLKEVDLNESPSARTRKLQLRLHALMKTVENGRRFFPHCSDVLDKFLEDDMPDVFFLEKGSEEEQRMKKARFMELKDDVQKAFHKDMAENNHTAFSSSVSSSSSSTRREGLNHRVRKK >VigunL003300.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:11785:18649:1 gene:VigunL003300.v1.2 transcript:VigunL003300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFASNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSNFGGIPIHRSEIHIYELKGPNDPLCNQYFPKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKIERRIFLANYQTITYSHTLWGANTLPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEIDRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLYYTKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNLFCRIGRSRPLVSTRTDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIRIIYDEEDELQENDSEFLQGGTMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFELLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun01g114000.1.v1.2 pep primary_assembly:ASM411807v1:1:28901342:28907112:1 gene:Vigun01g114000.v1.2 transcript:Vigun01g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKELLKKGLGLGDMAFNAGGGAITWFPGHMAAATRAIRHRLKVSDLVIEVRDARLPLSSANADLQPHLSAKRRVVALNKKDLANPNIMHKWTHYFETCKQDCIPINAHSQSSVRKLLELVEFKLKEVICREPTLLVMVVGVPNVGKSALINSIHQIAKSRFPAQEKMKRAAVGPLPGVTQDIAGFKIAHKPSIYVLDSPGVLVPSISDIETGLKLALAGSVKDSVVGEERIAQYLLAVLDTRGTPLHWKHLNNRRLDGIEYEAEANHEYSLKNLKPKRRNPPNRSDLVYVEDLVMGVQHALYLTLTEFNGNVEDENDLECLIDQQFSVLQKAMKIPHKASEARLMVSKKLLTLFRSGKLGPFILDDVPDIKPVS >Vigun07g265200.4.v1.2 pep primary_assembly:ASM411807v1:7:38081475:38087347:-1 gene:Vigun07g265200.v1.2 transcript:Vigun07g265200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWQGFSSFQRFWASFQGGMRVPVDPSAHTIKSHGAALARNHVRDWLILLLLIVIEVVLFIIKPFYRFVGRDMMEDLKYPLKENTVPVWAVPLYAVLLPMAVFLLFYMRRRDVYDLHHSVLGLLFAVLITGVLTDAIKNAVGRPRPDFFWRCFPDGVENYDKWGGVVCHGKESDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDQKGHIAKLCIVFLPLLTACMVGISRVDDYWHHWQDVFAGGLLGLVVATFCYMQFFPAPYSNEGWGPYAYFRAMEESRGNNGNNDRESPVVQAMEEGVTNQEPRRNGDTFTPYPFRSPTLEAMEMAHK >Vigun07g265200.1.v1.2 pep primary_assembly:ASM411807v1:7:38081475:38087346:-1 gene:Vigun07g265200.v1.2 transcript:Vigun07g265200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWQGFSSFQRFWASFQGGMRVPVDPSAHTIKSHGAALARNHVRDWLILLLLIVIEVVLFIIKPFYRFVGRDMMEDLKYPLKENTVPVWAVPLYAVLLPMAVFLLFYMRRRDVYDLHHSVLGLLFAVLITGVLTDAIKNAVGRPRPDFFWRCFPDGVENYDKWGGVVCHGKESDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDQKGHIAKLCIVFLPLLTACMVGISRVDDYWHHWQDVFAGGLLGLVVATFCYMQFFPAPYSNEGWGPYAYFRAMEESRGNNGNNDRESPVVQAMEEGVTNQEPRRNGDTFTPYPFRSPTLEAMEMAHK >Vigun07g265200.2.v1.2 pep primary_assembly:ASM411807v1:7:38081475:38087346:-1 gene:Vigun07g265200.v1.2 transcript:Vigun07g265200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWQGFSSFQRFWASFQGGMRVPVDPSAHTIKSHGAALARNHVRDWLILLLLIVIEVVLFIIKPFYRFVGRDMMEDLKYPLKENTVPVWAVPLYAVLLPMAVFLLFYMRRRDVYDLHHSVLGLLFAVLITGVLTDAIKNAVGRPRPDFFWRCFPDGVENYDKWGGVVCHGKESDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDQKGHIAKLCIVFLPLLTACMVGISRVDDYWHHWQDVFAGGLLGLVVATFCYMQFFPAPYSNEGWGPYAYFRAMEESRGNNGNNDRESPVVQAMEEGVTNQEPRRNGDTFTPYPFRSPTLEAMEMAHK >Vigun01g133400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31149637:31150596:-1 gene:Vigun01g133400.v1.2 transcript:Vigun01g133400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVCQGLQSCLEPCVMEPRVLSLKLALQQGSNFPQPSPTTANNTNTNSVSGSEEKPVTNHECDKGHAESKEGSGWSILRTLSQSSDCHNKTEDSVYVHPTVKRSSSILSDKSLEMCTESLGSETGSNAGDSTDDISLFSFDATVYTTLTTTTTTDVTTTVGSCESKRVNRACNFPPPLTTITDLGGVQVRPRREGGRLILEAVASSSPCSYFRAEREDGRLRLCLFEKFSNSEDEVCDVEEGVEEKEEEEEENEKSREVCDDNDSGAEEECVENVDEEMGVTKFSRPSSCKESGKREIFCDGLASLNFPLFLSVSE >Vigun07g241900.2.v1.2 pep primary_assembly:ASM411807v1:7:36338873:36342633:1 gene:Vigun07g241900.v1.2 transcript:Vigun07g241900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRASFPFRDGTFKNARSTEYTVPAEYHPWHSLCQPCQLCHQQVFQQFTGINAIMFYAPVLFNTLGFTKDASLYCTVIIGGVNVISTVVSIYSVDRLGRRMLLFEAGVQMFLSQFVIAVIIGIKVKDHYDDLSKGFAVLVVVMVCIFVSAFAWSWGPLTWLIPSEIFPLETRSAGQSIAVCVNLLCTFLIAQAFLSMLCFFKFGIFLFFSGCVLIMSTFVLFLLPETKNVPIEDMTERVWKHHWLWKRFAEDDSVKEEKVVIGN >Vigun07g241900.1.v1.2 pep primary_assembly:ASM411807v1:7:36336789:36342503:1 gene:Vigun07g241900.v1.2 transcript:Vigun07g241900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGFTASGNDFEAKITPIVILSCIMAATGGLMFGYDVGVSGGVTSMGAFLKEFFPEVYIRTVEEKELESNYCKYDNQKLQLFTSCLYLAGLTATFLASHITRKQGRRATMLIAGFIFIAGVAFNAAAQNLPMLIVGRLLLGSGVGFANQAVPVFLSEMAPSRMRGALNILFQLNITLGILFANLVNYATNKIKGGWGWRLSLGLGGLPALFLSLGALVVVDTPNSLIERGHLEEGKTVLRKIRGIDNIEAEFLELVDACNVAKEVKHPFRNILKRRNRPQLLISIALHVFQQFTGINAIMFYAPVLFNTLGFTKDASLYCTVIIGGVNVISTVVSIYSVDRLGRRMLLFEAGVQMFLSQFVIAVIIGIKVKDHYDDLSKGFAVLVVVMVCIFVSAFAWSWGPLTWLIPSEIFPLETRSAGQSIAVCVNLLCTFLIAQAFLSMLCFFKFGIFLFFSGCVLIMSTFVLFLLPETKNVPIEDMTERVWKHHWLWKRFAEDDSVKEEKVVIGN >Vigun07g241900.4.v1.2 pep primary_assembly:ASM411807v1:7:36339017:36342633:1 gene:Vigun07g241900.v1.2 transcript:Vigun07g241900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRASFPFRDGTFKNARSTEYTVPAEYHPWHSLCQPCQLCHQQSRIKGGWGWRLSLGLGGLPALFLSLGALVVVDTPNSLIERGHLEEGKTVLRKIRGIDNIEAEFLELVDACNVAKEVKHPFRNILKRRNRPQLLISIALHVFQQFTGINAIMFYAPVLFNTLGFTKDASLYCTVIIGGVNVISTVVSIYSVDRLGRRMLLFEAGVQMFLSQFVIAVIIGIKVKDHYDDLSKGFAVLVVVMVCIFVSAFAWSWGPLTWLIPSEIFPLETRSAGQSIAVCVNLLCTFLIAQAFLSMLCFFKFGIFLFFSGCVLIMSTFVLFLLPETKNVPIEDMTERVWKHHWLWKRFAEDDSVKEEKVVIGN >Vigun07g241900.3.v1.2 pep primary_assembly:ASM411807v1:7:36338874:36342633:1 gene:Vigun07g241900.v1.2 transcript:Vigun07g241900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRMRGALNILFQLNITLGILFANLVNYATNKIKGGWGWRLSLGLGGLPALFLSLGALVVVDTPNSLIERGHLEEGKTVLRKIRGIDNIEAEFLELVDACNVAKEVKHPFRNILKRRNRPQLLISIALHVFQQFTGINAIMFYAPVLFNTLGFTKDASLYCTVIIGGVNVISTVVSIYSVDRLGRRMLLFEAGVQMFLSQFVIAVIIGIKVKDHYDDLSKGFAVLVVVMVCIFVSAFAWSWGPLTWLIPSEIFPLETRSAGQSIAVCVNLLCTFLIAQAFLSMLCFFKFGIFLFFSGCVLIMSTFVLFLLPETKNVPIEDMTERVWKHHWLWKRFAEDDSVKEEKVVIGN >Vigun03g031200.1.v1.2 pep primary_assembly:ASM411807v1:3:2363325:2372670:-1 gene:Vigun03g031200.v1.2 transcript:Vigun03g031200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYDTRRDNGGNGVVVPPCTCSGDDFRARGGGKELSRVVAAARNGSSVSNYDMAVSRNVKKTQKRKGLQELFTADYIVNRVLQKDGPPFGQEFDFLPSGPRHTSACQEDQGSSKRRKGSKSATAPVKKHGIGKGLMTVWRATNPDARDLPTGFGVDGQEVPLLSNSIGHKLIHENNRSRKTVNRNVMTKNKMQNKRNKSQDKRKISMQRVGELNLCVTQNQSPNKSCGLALDNAISEEGVDRVSMLIDDEELELRELQEGTDLFRCPNHLAASGMLVCSLCKDALVKFPPDTVKMKKPIHLQPWDSSPELVKKLFKVFHFIYTYAIIVDICPFTLDELVQAFHDKDSMLLGKIHVALLTLLLSDIEVELTNGFSPHLNKSCNFLALLHSVESQEYSLDFWRRSLNSLTWIEILRQVLVASGFGSKQGSLRRDVLNKELNLLVNYGLCPGTLKSELFNILSERGNTGCKVAELAKSMQIVELNLASTTEELESLICSTLSSDITLFEKISSSAYRLRMSTVMKDSDESHSDTEYSGSVDDELNDTDTCSSADDYENDSINSSIRKLKSVNSHKNNMRKMYMEMDESRSGEAWLLGLMEIEYSNLNIEEKLNALAALTDLVSSGSSVRMKDLSKVSADCNSSIQLRGSGAKIKRSVIKKPGSLLNHKGHLNSDPCTVDSSSLFSRFHSYEAYFQKGNGSSMSHPIQSVFLGSDRRYNRYWLFLGPCNVDDPGHRRIYFESSEDGHWEVIDTVEALCALMSVLDDRGKREALLIESLERRQTSLCRSMARINVNSSTGMGSMSHSDQSELDMVTDDSYSPASDVDNLNMTETAKDSFPSAGAVVIEAGKKVEDLIKKWIRVQEYDSWVWNSFYSDLNVVKYGRRSYMDSLARCKSCHDLYWRDERHCKICHMTFELDFDLEEKYAIHIATCREKEDNNTFPNHKVLPSQIQSLKAAVYAIESVMPEDALVGAWRKSAHKLWVKRLRRTTTLVELLQVLEDFVGAINKDWLFECKFPNGVVEEIIASFASMPHTSSALGLWLVKLDVIIAPYLDRVHPLKKQGTCQQGPWR >Vigun03g031200.2.v1.2 pep primary_assembly:ASM411807v1:3:2363325:2372670:-1 gene:Vigun03g031200.v1.2 transcript:Vigun03g031200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYDTRRDNGGNGVVVPPCTCSGDDFRARGGGKELSRVVAAARNGSSVSNYDMAVSRNVKKTQKRKGLQELFTADYIVNRVLQKDGPPFGQEFDFLPSGPRHTSACQEDQGSSKRRKGSKSATAPVKKHGIGKGLMTVWRATNPDARDLPTGFGVDGQEVPLLSNSIGHKLIHENNRSRKTVNRNGELNLCVTQNQSPNKSCGLALDNAISEEGVDRVSMLIDDEELELRELQEGTDLFRCPNHLAASGMLVCSLCKDALVKFPPDTVKMKKPIHLQPWDSSPELVKKLFKVFHFIYTYAIIVDICPFTLDELVQAFHDKDSMLLGKIHVALLTLLLSDIEVELTNGFSPHLNKSCNFLALLHSVESQEYSLDFWRRSLNSLTWIEILRQVLVASGFGSKQGSLRRDVLNKELNLLVNYGLCPGTLKSELFNILSERGNTGCKVAELAKSMQIVELNLASTTEELESLICSTLSSDITLFEKISSSAYRLRMSTVMKDSDESHSDTEYSGSVDDELNDTDTCSSADDYENDSINSSIRKLKSVNSHKNNMRKMYMEMDESRSGEAWLLGLMEIEYSNLNIEEKLNALAALTDLVSSGSSVRMKDLSKVSADCNSSIQLRGSGAKIKRSVIKKPGSLLNHKGHLNSDPCTVDSSSLFSRFHSYEAYFQKGNGSSMSHPIQSVFLGSDRRYNRYWLFLGPCNVDDPGHRRIYFESSEDGHWEVIDTVEALCALMSVLDDRGKREALLIESLERRQTSLCRSMARINVNSSTGMGSMSHSDQSELDMVTDDSYSPASDVDNLNMTETAKDSFPSAGAVVIEAGKKVEDLIKKWIRVQEYDSWVWNSFYSDLNVVKYGRRSYMDSLARCKSCHDLYWRDERHCKICHMTFELDFDLEEKYAIHIATCREKEDNNTFPNHKVLPSQIQSLKAAVYAIESVMPEDALVGAWRKSAHKLWVKRLRRTTTLVELLQVLEDFVGAINKDWLFECKFPNGVVEEIIASFASMPHTSSALGLWLVKLDVIIAPYLDRVHPLKKQGTCQQGPWR >Vigun04g040000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3396325:3399518:-1 gene:Vigun04g040000.v1.2 transcript:Vigun04g040000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKLPLSLSCPLSIHNFPPFLLFHHFHSQSHPSSFHNPQDAVTLFNGMLQMHQPPPIIQFNRILGSLMRINHYPTVISLSKQLESSGIIPTICTLTILINCFCHLRQMASAFSVFGRILKMGHHPNTVTFNTLINGLCISGEVKKALIFHDNVVAQGVRLDQVSYGTLINGLCKSGETRAAVQLLRNIEGRLVRPNVVMYSSIIDSLCKDKLVAEANDLYSEMVVKGVSPNVITYTALMYGFCIVDQLQEAIDLFSQMVLKNISPDVYTFNILVDAFFKEGKVEQAKHVLAVMVKAGVKPNVITYNSLMDGYYLVKDVKRAKDVFNTMTLRGVIPDVRSYNIVINGLCKNKMVDEAVNMFEEMHQKNIVPNTVTYNSLIDGLCKSGKLSYVWKLIDEIHDNGQHVDVVTYNCLLHALCKNHDIDRAIELFNKIKDSGLQPTEYTYTILMDGFCKVGRLKKAREIFQNLLIKGYQLSVRTYNVMINGLCNWGLFDEALAMLSEMEESGYNPDAVTYETVICHLFEENKNDMAEKLLREMIARGLL >Vigun04g040000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3396325:3399518:-1 gene:Vigun04g040000.v1.2 transcript:Vigun04g040000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKLPLSLSCPLSIHNFPPFLLFHHFHSQSHPSSFHNPQDAVTLFNGMLQMHQPPPIIQFNRILGSLMRINHYPTVISLSKQLESSGIIPTICTLTILINCFCHLRQMASAFSVFGRILKMGHHPNTVTFNTLINGLCISGEVKKALIFHDNVVAQGVRLDQVSYGTLINGLCKSGETRAAVQLLRNIEGRLVRPNVVMYSSIIDSLCKDKLVAEANDLYSEMVVKGVSPNVITYTALMYGFCIVDQLQEAIDLFSQMVLKNISPDVYTFNILVDAFFKEGKVEQAKHVLAVMVKAGVKPNVITYNSLMDGYYLVKDVKRAKDVFNTMTLRGVIPDVRSYNIVINGLCKNKMVDEAVNMFEEMHQKNIVPNTVTYNSLIDGLCKSGKLSYVWKLIDEIHDNGQHVDVVTYNCLLHALCKNHDIDRAIELFNKIKDSGLQPTEYTYTILMDGFCKVGRLKKAREIFQNLLIKGYQLSVRTYNVMINGLCNWGLFDEALAMLSEMEESGYNPDAVTYETVICHLFEENKNDMAEKLLREMIARGLL >Vigun04g040000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3396546:3399518:-1 gene:Vigun04g040000.v1.2 transcript:Vigun04g040000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKLPLSLSCPLSIHNFPPFLLFHHFHSQSHPSSFHNPQDAVTLFNGMLQMHQPPPIIQFNRILGSLMRINHYPTVISLSKQLESSGIIPTICTLTILINCFCHLRQMASAFSVFGRILKMGHHPNTVTFNTLINGLCISGEVKKALIFHDNVVAQGVRLDQVSYGTLINGLCKSGETRAAVQLLRNIEGRLVRPNVVMYSSIIDSLCKDKLVAEANDLYSEMVVKGVSPNVITYTALMYGFCIVDQLQEAIDLFSQMVLKNISPDVYTFNILVDAFFKEGKVEQAKHVLAVMVKAGVKPNVITYNSLMDGYYLVKDVKRAKDVFNTMTLRGVIPDVRSYNIVINGLCKNKMVDEAVNMFEEMHQKNIVPNTVTYNSLIDGLCKSGKLSYVWKLIDEIHDNGQHVDVVTYNCLLHALCKNHDIDRAIELFNKIKDSGLQPTEYTYTILMDGFCKVGRLKKAREIFQNLLIKGYQLSVRTYNVMINGLCNWGLFDEALAMLSEMEESGYNPDAVTYETVICHLFEENKNDMAEKLLREMIARGLL >Vigun11g131400.1.v1.2 pep primary_assembly:ASM411807v1:11:33941060:33942047:1 gene:Vigun11g131400.v1.2 transcript:Vigun11g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSKNHRNGQAESHRRWKLTNKSSSFHSQSSSAAAMSGTSIRRPMTVPELLLDRNRSAPAAAAEVVRRQPPKLLLKVTIMGSLGPVQVVMTPESAVGDLVAAAVNQYIKEGRRPILPSNDPSQFDLHYSQFTLESLERNEKLKDIGSRNFFLCPKKHGGGGGDGGSTTPFASCSREADKTTKGGAFGWLRFMDLSR >Vigun04g026400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:2027868:2028317:-1 gene:Vigun04g026400.v1.2 transcript:Vigun04g026400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTYSDKGCKLALEDKKERVTFFHWRRRRERSEHVDTRHAKACNCSSLGSFQQQGHKTREKFIEFICSSCLFCICCPLACVSCFIKLPCRICHQALRCAWQWSCSGSKNRVCADYSSFSDIDSDVTYGKVKPSSVSEERRQSSSIAK >Vigun09g121300.1.v1.2 pep primary_assembly:ASM411807v1:9:26941270:26942992:1 gene:Vigun09g121300.v1.2 transcript:Vigun09g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLRFPFSVRASSSHLCDQPRPRVCRPRRSLPKAPPATRASKGVDVGKPWMLDLRSLSPGVTRVASAFPRPRPPSQRHFREQGTPLETLVQFSVFSHQRSPTLAPVCTPVLC >Vigun09g121300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:26941268:26942991:1 gene:Vigun09g121300.v1.2 transcript:Vigun09g121300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLRFPFSVRASSSHLCDQPRPRVCRPRRSLPKAPPATRASKGVDVGKPWMLDLRSLSPGVTRVASAFPRPRPPSQRHFREQGTPLETLVQFSVFSHQRSPTLAPVCTPVLCAKPPTIASILFFFSVPIGCM >Vigun05g013600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1070898:1073604:-1 gene:Vigun05g013600.v1.2 transcript:Vigun05g013600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIIFGCLLLALVAEVYYLLWWKKRITNREIENDYGNPVKELFYMFCWKRPSSSSSSLRQTSFTPPELCSSMRIGDTLVHDPEQVHSHSGKDFVFKPFGDDSIDAEYMRQQDLLGPPRFLFTIVEESKEDLESDDGKSKCDKSGKGSRGRSLGDLLVVETPYMTPTSSPPFFTPPFGTPISPYNQRGFNPLFETATDAEFNRLKSSPPPKFKFLQEAEEKLRRKLEQDENKGHGDEVEGGSFITIVVDKKTEKEVNHHQHPRPPQYHSSTSQVLPLAS >Vigun05g013600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1070898:1073605:-1 gene:Vigun05g013600.v1.2 transcript:Vigun05g013600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIIFGCLLLALVAEVYYLLWWKKRITNREIENDYGNPVKELFYMFCWKRPSSSSSSLRQTSFTPPELCSSMRIGDTLVHDPEQVHSHSGKDFVFKPFGDDSIDAEYMRQQDLLGPPRFLFTIVEESKEDLESDDGKSKCDKSGKGSRGRSLGDLLVVETPYMTPTSSPPFFTPPFGTPISPYNQRGFNPLFETATDAEFNRLKSSPPPKFKFLQEAEEKLRRKLEQDENKGHGDEVEGGSFITIVVDKKTEKEVNHHQHPRPPQYHSSTSQVLPLAS >Vigun05g013600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1070898:1073604:-1 gene:Vigun05g013600.v1.2 transcript:Vigun05g013600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIIFGCLLLALVAEVYYLLWWKKRITNREIENDYGNPVKELFYMFCWKRPSSSSSSLRQTSFTPPELCSSMRIGDTLVHDPEQVHSHSGKDFVFKPFGDDSIDAEYMRQQDLLGPPRFLFTIVEESKEDLESDDGKSKCDKSGKGSRGRSLGDLLVVETPYMTPTSSPPFFTPPFGTPISPYNQRGFNPLFETATDAEFNRLKSSPPPKFKFLQEAEEKLRRKLEQDENKGHGDEVEGGSFITIVVDKKTEKEVNHHQHPRPPQYHSSTSQVLPLAS >Vigun05g013600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:1070898:1073360:-1 gene:Vigun05g013600.v1.2 transcript:Vigun05g013600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIIFGCLLLALVAEVYYLLWWKKRITNREIENDYGNPVKELFYMFCWKRPSSSSSSLRQTSFTPPELCSSMRIGDTLVHDPEQVHSHSGKDFVFKPFGDDSIDAEYMRQQDLLGPPRFLFTIVEESKEDLESDDGKSKCDKSGKGSRGRSLGDLLVVETPYMTPTSSPPFFTPPFGTPISPYNQRGFNPLFETATDAEFNRLKSSPPPKFKFLQEAEEKLRRKLEQDENKGHGDEVEGGSFITIVVDKKTEKEVNHHQHPRPPQYHSSTSQVLPLAS >Vigun03g127800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12355331:12355921:1 gene:Vigun03g127800.v1.2 transcript:Vigun03g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFLFLAFFLVLSLHSIGEVEGSSRKLLSPSLPDLGNIPVFEFPPGTPWPEYRLPSFLKPNYPAIPSSYYFYTPPANTNMANKASSSKP >VigunL080201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000604.1:19214:19546:-1 gene:VigunL080201.v1.2 transcript:VigunL080201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun11g121600.1.v1.2 pep primary_assembly:ASM411807v1:11:32818007:32821824:-1 gene:Vigun11g121600.v1.2 transcript:Vigun11g121600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKGIENWSEKVEDLVDAGDVESAISLLESVVQTLNPSDSTSQLPLASALSDLADLYSSKGFSLKADHLQSHASVLKQLHSSNSSSEQVPKESNEDGVVKPTTFASRRSDGRVEKRAELTAQTSAAGGSSDEDWEAIADREPDELLPTVSSDIVSGKSNLKLENAKSGTPKRRGRGTFSYEKQELYSDQLLDRPVADVEQAETRCNSEDNRDVQSTKYGTNHVIVLADFSPSTRTTELEKLFEDFKDRGFVIRWVNDTVALAVFRTPSVALEALNSVRCSFTTRILDEDDTLLTSIKARDLEPPRQRPKTSAQAAQRLIAHSMGLKLSSTSGGSREYRKQEIDRRERIVTRQKLRDEAWGDD >Vigun11g121600.2.v1.2 pep primary_assembly:ASM411807v1:11:32818007:32821825:-1 gene:Vigun11g121600.v1.2 transcript:Vigun11g121600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKGIENWSEKVEDLVDAGDVESAISLLESVVQTLNPSDSTSQLPLASALSDLADLYSSKGFSLKADHLQSHASVLKQLHSSNSSEQVPKESNEDGVVKPTTFASRRSDGRVEKRAELTAQTSAAGGSSDEDWEAIADREPDELLPTVSSDIVSGKSNLKLENAKSGTPKRRGRGTFSYEKQELYSDQLLDRPVADVEQAETRCNSEDNRDVQSTKYGTNHVIVLADFSPSTRTTELEKLFEDFKDRGFVIRWVNDTVALAVFRTPSVALEALNSVRCSFTTRILDEDDTLLTSIKARDLEPPRQRPKTSAQAAQRLIAHSMGLKLSSTSGGSREYRKQEIDRRERIVTRQKLRDEAWGDD >Vigun08g204200.1.v1.2 pep primary_assembly:ASM411807v1:8:36798383:36803200:1 gene:Vigun08g204200.v1.2 transcript:Vigun08g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEAQNPLLGENTCGSLLKKLQEIWDEVGESDEQRDKMLLQLEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSSLLSALGEKSFAGIPENPSGTIKEQLAAIAPVLEQLWQQKEERIKEFSDVQSQIQQICGEIAGNLNLNDASPAVDESDLSLKKLDEYQSELQELQKEKSERLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQSKSISNDTLARLAKTVLTLKEDKKQRLQKLQELASQLIDLWNLMDTHPEERSLFDHVTCNMSASVDEVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQTELEDIFARAHVEIDPDAAREKIMALIDSGNVEPAELLADMDNHIAKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDHGMSFTYDGVPLLAMLDEYAMLRHEREEEKRRMRDQKKHHEQRNTEQEAIFGSRPSPGRPVSSGKVGGTRANGGANGTPNRRLSLNAHQNGSRSTKDGKRDIRPVAPVNYVAISKEDAASHVSGTEPIPASP >Vigun08g204200.2.v1.2 pep primary_assembly:ASM411807v1:8:36798570:36803200:1 gene:Vigun08g204200.v1.2 transcript:Vigun08g204200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEAQNPLLGENTCGSLLKKLQEIWDEVGESDEQRDKMLLQLEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSSLLSALGEKSFAGIPENPSGTIKEQLAAIAPVLEQLWQQKEERIKEFSDVQSQIQQICGEIAGNLNLNDASPAVDESDLSLKKLDEYQSELQELQKEKSERLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQSKSISNDTLARLAKTVLTLKEDKKQRLQKLQELASQLIDLWNLMDTHPEERSLFDHVTCNMSASVDEVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQTELEDIFARAHVEIDPDAAREKIMALIDSGNVEPAELLADMDNHIAKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDHGMSFTYDGVPLLAMLDEYAMLRHEREEEKRRMRDQKKHHEQRNTEQEAIFGSRPSPGRPVSSGKVGGTRANGGANGTPNRRLSLNAHQNGSRSTKDGKRDIRPVAPVNYVAISKEDAASHVSGTEPIPASP >Vigun10g023600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2796125:2798051:-1 gene:Vigun10g023600.v1.2 transcript:Vigun10g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQREKLHIVVFPWLAFGHLGPFFELAKLIAQKGHKISFISTPRNIHHLPKVPENLQHLVDLIELPLPRVDKLPENAEATVDVPYHLIPYLKQAFDGLEQPLTMFLERCKPHWIIYDFAPYWLSPICSQLGISCIFFSIFSASALYYFLLDHYTSKARVSAQNKGFPDEHYETNESGVSDVFRVLETTNSAQASAIRTCMEIEAASLKLLESIYSKPMIPVGLLPPSLEFSEDSNDENWDTILKWLDKQEKGSVVYVAFGSEVRLSDEDFTEITKGIEMSGFPFFWVLKKQNTSNVELQDLVVNNSGTGLVWRTWAPQMRILAHKSVGGFLTHCGWSSVNESLLVGCPLVMLPFQNDQFIVAKLMEEKRVGFQVQRSEHDEKLTRESLANALRAVMLEKSYTSEAEEMSKIVGDKELHQKYIDEFVEYMEIHKPVLKD >Vigun03g422633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62824853:62825131:1 gene:Vigun03g422633.v1.2 transcript:Vigun03g422633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKFSTLVLFLVIVILLCLTSDSHSTSRLESHVKLGRNLSDNKISFTSVDVHSTFKTYMFNKIPHGSVDRETPTGPDPLHNDVPPPKDRS >Vigun10g136399.1.v1.2 pep primary_assembly:ASM411807v1:10:35037223:35038995:-1 gene:Vigun10g136399.v1.2 transcript:Vigun10g136399.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKQVHVKECKGLTGVFPASVAKDIVVDECEGLKAIVSEESKEDEIIFPQLMYLEVESCNSLTYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDENVKIIFEQLQDLYLEKLDELRYFYAGNFTLSFPSLEEVHVIKCSSMKTFSAFNKIDNPWYYSEYARPRKVTYLNSALHRTSEEEAPDASSAVISVIQ >Vigun10g136399.2.v1.2 pep primary_assembly:ASM411807v1:10:35037223:35038995:-1 gene:Vigun10g136399.v1.2 transcript:Vigun10g136399.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKQVHVKECKGLTGVFPASVAKDIVVDECEGLKAIVSEESKEDEIIFPQLMYLEVESCNSLTYLFTSSTAKSLGELKSMKIKECKSIEEIISKEGEESDENVKIIFEQLQDLYLEKLDELRYFYAGNFTLSFPSLEEVHVIKCSSMKTFSAFNKIDNPWYYSEYARPRKVTYLNSALHRTSEEEVEPLLPTPILQ >Vigun03g087900.1.v1.2 pep primary_assembly:ASM411807v1:3:7341686:7346471:-1 gene:Vigun03g087900.v1.2 transcript:Vigun03g087900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPVKTIQSPDGDTINCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPIRRTKEEDVLRASSVRRYGRKKHIAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEVGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTCSNGDWGHYFYYGGPGKNPNC >Vigun03g087900.3.v1.2 pep primary_assembly:ASM411807v1:3:7341686:7346471:-1 gene:Vigun03g087900.v1.2 transcript:Vigun03g087900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHVLQSPDGDTINCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPIRRTKEEDVLRASSVRRYGRKKHIAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEVGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTCSNGDWGHYFYYGGPGKNPNC >Vigun03g087900.2.v1.2 pep primary_assembly:ASM411807v1:3:7341686:7346485:-1 gene:Vigun03g087900.v1.2 transcript:Vigun03g087900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGCGVTILLLFCLWGVFFSLSSAARLSVSRQKLEVAKHLNRLNKSPSPDGDTINCVPVSKQPAFDHPFLKDHKIQTRPSFHPEGLFDENKVSENPKAKVHIPISQAWHANGRCPEDTIPIRRTKEEDVLRASSVRRYGRKKHIAIPKPRSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEVGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGATITPVSGYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTCSNGDWGHYFYYGGPGKNPNC >Vigun06g055800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:18228597:18229990:-1 gene:Vigun06g055800.v1.2 transcript:Vigun06g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIVRFMKVWLTTISCLCYCYYISSKIPKGLFRLLSLLPILYLFLILPFNLSSFHLGAPTTFFLVWLGTFKLILFSFNHGPLAQSPPNILHFITLASLPISLNHKNSKPKPKCLFLLKLLLFPFIINAYNYTQNLHPLFILLLYCSHMYLGIELVLALTAIPVRTLLGFNLQPQFDEPYLSTSLQDFWGRRWNLMVTGILRPSVFHPVYRISTPFVGPSCALSAAVLATFLVSGLMHELIYYYLTRAPPTWEVTCFFVLHGVCTAAEVAVKKVMLRRGWRLHGAVSAVLVLAFLAATGRWLFFPQLLRNGVDEKAIEEYSTMVNFVKLKLPLHLLTSSLFLKNASGE >Vigun10g084400.1.v1.2 pep primary_assembly:ASM411807v1:10:23959192:23960544:1 gene:Vigun10g084400.v1.2 transcript:Vigun10g084400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSEEFRLVSPAFSNEGKLPRNYTEEGQGAKKNISPPLEWYNLPPGTQTLALVVEDIDAPDPGGPIVPWTHWVVANIPATVKGLPEGFSGKDIGGEYAGIKEGNNDLKVPGWCCPKLPTHGHRIQFKLYALDTELHLGNKVTKEKLLEDAIQGHVLGEATLMAVF >Vigun07g278300.1.v1.2 pep primary_assembly:ASM411807v1:7:39320461:39323054:-1 gene:Vigun07g278300.v1.2 transcript:Vigun07g278300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVVENIVIVGAGIAGLATSLALHRLGVRSLVLEYSDSLRVTGFGLTTWTNAWKALDALGVGDILRNQHLQLQRNVTTSLSLGQQTSSLSLIGTGKHGDGEVRCVRRQLMIEALGNELPSGTIRFSSKVVAIQESGFFKIVHLADGTTIKTKVLVGCDGINSVVAKWLGFKEASFTGRYVIRGDIKLTNKHGLQPNFMHFFGEGFRSGVLPCDDKTVYWFFTWTPTTEEKELVNNPAKMKRLVLNKFEKMPSDIRCFIEKTETEDILTSPLRYRHQWELVLGNISKGNVCVVGDAFHPMAPDLGQGGCCSLEDGIVLGRYLAQAFCKKGESEEQYKEIEASLRKYAKERRWRSIDISVTSYVLGLLLQGDLKLVAHVRDKVLPHFLAELLLKKSDFDCGKLNTSD >Vigun11g097150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:28450461:28451346:1 gene:Vigun11g097150.v1.2 transcript:Vigun11g097150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSEENATKFVQAQTHVWKHIFNFINSMSLKCANDLSIPDIIHDYGQPMPISELIASLPIHPSKTCFLNRLMKILTHSGFFSQHNATENELEVRYALTDASKLLLKDHPFSMKRVPQLIFDPVLMNPWFQFSTWFTNEDPTPFHSVNGMGLWDFAGRESNFNHLFNEFMAVDSRLVSTVMIEKYKKVFEGIESLVDVGGGTGTMAKVVVESFPQVIKVHCV >Vigun11g097150.2.v1.2 pep primary_assembly:ASM411807v1:11:28450461:28451346:1 gene:Vigun11g097150.v1.2 transcript:Vigun11g097150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSEENATKFVQAQTHVWKHIFNFINSMSLKCANDLSIPDIIHDYGQPMPISELIASLPIHPSKTCFLNRLMKILTHSGFFSQHNATENELEVRYALTDASKLLLKDHPFSMKRVPQLIFDPVLMNPWFQFSTWFTNEDPTPFHSVNGMGLWDFAGRESNFNHLFNEFMAVDSRLVSTVMIEKYKKVFEGIESLVDVGGGTGTMAKAWKKLRT >Vigun10g137200.2.v1.2 pep primary_assembly:ASM411807v1:10:35358767:35363354:-1 gene:Vigun10g137200.v1.2 transcript:Vigun10g137200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGEIQRNLLHNLDVLTLCLGSDVFGYKILEEVPNIEKLVVCDDSFKEMFCCESGNNVLQQLKVLQLESLGELVSIGLENSWTDSFVRNLETFEVIKCGSLKSLVACTVSFSNLICLKVEGCRSLSYLFTSSTAKSLGQLKRMEINNCESIEEIVSNKEESDEDEIIFPNLSRLNLDCLQNLRRFYRGSLSFPSLEELSITACDKMVTLCPGTLKASKLCEVIVGYGEAIPLEIDLSSTMWKEFMSKIGKRSNLEFKDREDLQEIWRLSLQIPDFCFTYLETLIVDGCQLLSYVVPFRVLPLVPQLQTLEVRNCDSVKTIFDVKYAQDTLTFPLKELVLLKLPNLETVWNEDPAEIVTDPNPAHSEQTNPKLITPNLEHLTVGENELKMIVDGKFQRNLLHKLKVLTLCFDNECDEFPEYGFLQQLPNAEKLVVCSSSFERIFCLQRPNNSEILLQLKELRLESLEKLVSIGLDNSWTEPFVRNLETFEVISCSSLQNLVSGRVSFSNMICLKVESCGSLSYLFTSSTAKSLAKLQRMEIEECELIEEIVSKGGESDEDEIIFPKLNCLNLKNLKNLLRFYKGSLSFPLLEELSVTDCDNMVSLCPGMLKADKLSQVKLEGSNTPLETGLNFTMRKEFLRKISELEELDLESRPGLQEIWHGSVHIPDLCFSDLATLTVNDCRFLSDAVLPFHLLPLLPRLKTLEVGNSDSVKTIFDVKCATKDTLITFPLRKLVLSKLPNLENIWSEDPHGILNMSRLEEVHVKECKGLTSVFPASVAKNLVELEDIVVEDCEGLMAIVAEESKEGDELDENGIIFPRLSYLKVESCNSLRYLFKSSTAKGLGELKRMKIKECNSIEEIVSKEGEESDEDEEIIFKQLQDLYLEKLDELGCFYSGNFTLSFPSLEEVYVIKCRSMKTFSKFNKIIHPIKWYCSEYERPHKESDLNSAVRKTSEKEAPDASSAIILVLQ >Vigun05g208166.1.v1.2 pep primary_assembly:ASM411807v1:5:39842994:39844143:-1 gene:Vigun05g208166.v1.2 transcript:Vigun05g208166.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRKDKVLEQERDWLLHDSNKRIANRRVWKLSDYNTFFCSLLVGMEDILSAEFLFAPIVHGDHWWCYCVNLKTMKFFVFDSLGHSRNNRTRIDNYIARNMELFLCMLLNCESEKKPSFEVLCVDTPIQPNGHDCGVLVLKFIEMWDGVSQFNGKALPNYTNEELQLIRQKFVCDWVLHEDNVLRDEVIKHYDLLLKK >Vigun07g019900.1.v1.2 pep primary_assembly:ASM411807v1:7:1653119:1659016:1 gene:Vigun07g019900.v1.2 transcript:Vigun07g019900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPFLSLSRHPFSHTSHHFPTGAASQSSIFLPKFQSFAFGNHAGSEFPSGSCSNALRRKRVSVVCSKSTLTVEEVAERGVKGKGEEFDAIVIGSGIGGLVAGTQLAVKGARVLVLEKYVIPGGSSGFYQRDGYTFDVGSSVMFGFSDKGNLNLITQALEAVGCQMQVVPDPTTVHFHLPNNLSVRVHKEYDKFIDELTSYFPHEKEGILKFYSECWKIFNALNSLELKSLEEPLYLFGQFFQKPQECLTLAYYLPQNAGAIARKYIKDPNLLSFIDAECFIVSTVNGIQTPMINASMVLCDRHFGGINYPLGGVGGIAKSLAKGLIDQGSEIVYKANVTGIIIEQGKAVGVRLADGREFFAKTIISNATRWDTFGKLLKGVPLPKEEENFQKVYVKAPSFLSIHMGVKAEILPPDTDCHHFVLENNWSKLEVPYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSMEDWEGLSRVDYEAKKQLVADEIINRLENKLFPGLRSSIDFIEVGTPKTHRRYLARDKGTYGPMPRGTPKGLLGMPFNTTGIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPVLDAMLLRLLGWIRTMA >Vigun09g038800.1.v1.2 pep primary_assembly:ASM411807v1:9:3478575:3479944:1 gene:Vigun09g038800.v1.2 transcript:Vigun09g038800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVISKMYCSSSQKVLGVRRRPHVVNGGGFVVTDCSAQRVVFKVDGCGIHGTKDQLILRDGEGDALLLMRRKGGMVEALSIYKKWKGYSLNYEGSQTLVFSLKEPNSCFVKNNGIRISIEPRAAGNKGWDFEIRGYFPDRNCSIVDIRGNVIAQVGVNKEVEKLMESKDLYNVVVKPGMDQAFVFGVIAVLDYIHGESTYC >Vigun10g019400.1.v1.2 pep primary_assembly:ASM411807v1:10:2230533:2237780:1 gene:Vigun10g019400.v1.2 transcript:Vigun10g019400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMESLIGLVNRIQQACTVLGDYGGDADNNAFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKVDGKAKEYAEFLHMPGQKITDYAFVRQEIQNETDRVTGKTKQISPIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPQSIVEDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDRGTNALDVLEGRSYRLQHPWVGVVNRSQADINKNVDMIVARRKESEYFETSPDYGHLANKMGSVYLAKLLSQHLESVIRARIPSITSLINKTIEELESEMNQIGRPIALDAGAQLYTILELCRAFDRIFKEHLDGGRAGGDKIYNVFDNQLPAALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALSYFRGPAEASVDAVHFVLKELVRKSIAETQELRRFPTLQAELAAATSEALERFREESKKTAIRLVDMEASYLTVEFFRRLPQEMEKAGNPANQATPNEDRYGEGHYRRIGSNVTSYIGMVSDTLRNTIPKAVVFCQVRQAKLSLLNHFYTQIGKKEAKQLSQLLDEDPALMERRQQCAKRLELYKAARDEIDSVSWAR >Vigun09g234900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40532831:40535218:-1 gene:Vigun09g234900.v1.2 transcript:Vigun09g234900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLFLLLLFISTSSAARDTLPEGSSLSVEKQSDILLSSNGDFSASFFRVGQNALCFSVCFTRSKQPTVLWMANRDQPVNGKGSFLSLRTNGNLVLTDAGGTIIWETATLSSFQLHLKLRNNGNLLLLTSEGTTIWQSFDSPTDTLLPTQPLTERGALVSPRSATNHSSGLYKLYFDDESFLRLLYKGHTFPGAYWQASWQLPTGRTNYNITKIALLDSFGLFTSSDGFEFRSTDYPKKLYRRLKMDSDGNLRLYSFNEERKIWEVTWQVVSQPCSIHGICGQNSICNHDPVIGRTCYCLNGYKVKDPNDWTQGCEPEFSPSDFSCNSRQSLGFLHLPSTELNGYDMSYEFVSSLKECQNRCLALCDKCVAVEFRFNEFGEHGCYLKKVAFNGRVSPSYDKDTYLKLPNAILRSSAKIPKHSRMNCSVRLSQNLNRVYQPLKKNSTLSFLVWFACGVGVFEFSTIFIVWFFFFRTSKQPDTLDQQKHLLSATGFERFTYAELRSATKGFKEEVGRGAGGVVYKGTLYDNRVAAIKRLNEATQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRLLVYEYMEHGSLADNLFGNALDWKKRFNVAVGTARGLAYLHEECLEWILHCDVKPQNILLDSEFQPKVADFGLSKLLNRDERVNSSFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVVLEMVSGRSPMAIHSLENSGNIEKHRLVTWIREKIKLAPTFGFRMLEIIDPSLEGNFDVSEAEVLVEVALQCVEDDMNERPSMSQVTEMLQAHQNKLLPR >Vigun09g229500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40132995:40133778:-1 gene:Vigun09g229500.v1.2 transcript:Vigun09g229500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSSTCSGFFSLRSPNSVESRVSSTQASSGCGKFDGVAMWFINGVTTAFFASLNRCSCIRIATQEDGEDGNDLPLMLNDGNLRHDGVVSAAGSRRRTGKGKKSEALIVVDEDRT >Vigun10g110900.1.v1.2 pep primary_assembly:ASM411807v1:10:31098944:31101740:1 gene:Vigun10g110900.v1.2 transcript:Vigun10g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNFERMFLLRRVMALYMMAELSSVIGSMSPSSINQERQALLHSGWWNDYQNISSDHCHWPGIFCNEAGSVTYIYSGSMKIPSSKELLWIVNLNITAFPNLVNLDLSGMGLRGSIPTEIGSLTNLTSLVLSNNRLQGSIPPTLGRLEKLVHLYLHSNQIEGHIPEELGNLSRLELLQLSHNKISGLLPPKLLEMDQMSSLNLSSNQLCGSIPLKTMRCPFASIVDLSHNLFNGNITSQIGCVHDLNLSHNFLDGEIPFLFTWSSELSRLDLSYNNLSGKVHKELASLSYINLSYNSFDFSQNLNSVSEVPNYCFFHEDSLINDHRMPNFTYCHLLNQTNAQTRKSKLVIMLIVLPIIFFNLLLLLSILYFSRCKPKKKCEGIATKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGSVYRAQLPSGNIVALKKLHRVESQNPSFDKSFRNEVKMLTEIRHKNIVKLHGFCLHNRCMFLVYQYMERGSLFYILNNEVEAKELNWSKRVNVIKGMAQALSYMHHDCTTPIVHRDVTSSNVLLNSQLEACVSDFGTARLLDPDSSNQTLVVGTYGYIAPELAYTLSVTEKCDVYSFGVVALEMLMGKHPRELISSLSNPTTQNMLVSDLLDSRLPLPLQKDAPDIDLVVNIALSCLCSKPNLRPSMQQVAEKLSSFKLQMPFHEVSIHQVIGQDIF >Vigun10g139200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35527310:35528380:-1 gene:Vigun10g139200.v1.2 transcript:Vigun10g139200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTISSSSFRFVTTRIPLSLFRRTPTLLPPLTKPLFSKSITFQRLPSLSPPSSSSSSSSSSSSSPSPSSTSLQPIEDLPPKLQEIVHLFQSVPEPKAKYEQLLFYGKNLKPLEPHFKTNENKVQGCVSQVWVRAYLDPNGHVVYEADSDSVLTKGLAALLVQGLSGRPVSEIIRVTPDFVTLLGLQQSLTPSRNNGFLNMLKLMQRKALMLFVEAEKGGESTELKPPESNSDSIVENSSRGGESSELGEGDVGLDSGGGVELGGRGKRIREKLQKELEPVELEIEDVSYQHAGHAGVRGSDGETHFNVKVVSKEFEGKSLVKRHRLIYGLLQEELQTGLHALSIEAKTPAEVEG >Vigun06g119200.4.v1.2 pep primary_assembly:ASM411807v1:6:24724691:24728378:1 gene:Vigun06g119200.v1.2 transcript:Vigun06g119200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTHLALHLLFALLFLAPPFPHSSSLLFSAALTDPQDSCVLKFNTSQYVAMDECTVVNEHIYMWGEDGFPTTLCCRNALTIVSNALATQALSSGGQIFLSQDQWQRCSQSFHPQPGLSLDSCGFDNLYRGSSICSSFILQDVRTLQQYQDAFGKCSHFNQPFGPSCADCTSGISNVRDTLYSQVDKHNNDTERAICGVAAIVALAAGSPNDPLVDKFLRCLPPSASGNKKGSLWKALLSVPVVVIVILIVVIMVKCLSKKKGRKPVDLQEITAWSGLYWFCKGEIENAMNYGGRKISLGRGSAGQVYRGVLPSGQIVAIKHLTKSNTSESFTREIEGLSRLRHPNLVCLFGCCIEGDERYLVYEFCANGNLAQHLLRRDSHLTWETRVRILRDCSFALKYLHHHMEGCVVHRDIKLTNILLTEKYQAKLSDFGLSKVMGVKESKVFTDFWYCCFANIVWTESHRVGS >Vigun06g119200.1.v1.2 pep primary_assembly:ASM411807v1:6:24724691:24728378:1 gene:Vigun06g119200.v1.2 transcript:Vigun06g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTHLALHLLFALLFLAPPFPHSSSLLFSAALTDPQDSCVLKFNTSQYVAMDECTVVNEHIYMWGEDGFPTTLCCRNALTIVSNALATQALSSGGQIFLSQDQWQRCSQSFHPQPGLSLDSCGFDNLYRGSSICSSFILQDVRTLQQYQDAFGKCSHFNQPFGPSCADCTSGISNVRDTLYSQVDKHNNDTERAICGVAAIVALAAGSPNDPLVDKFLRCLPPSASGNKKGSLWKALLSVPVVVIVILIVVIMVKCLSKKKGRKPVDLQEITAWSGLYWFCKGEIENAMNYGGRKISLGRGSAGQVYRGVLPSGQIVAIKHLTKSNTSESFTREIEGLSRLRHPNLVCLFGCCIEGDERYLVYEFCANGNLAQHLLRRDSHLTWETRVRILRDCSFALKYLHHHMEGCVVHRDIKLTNILLTEKYQAKLSDFGLSKVMGVKESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKARDVSMGKRPLSDFEDPRLKGKVDKADFEAILQIAVLCVAKSSKGRPTIEVVFDELDKVCMDTETKMKAKKDESSSSNSTPSSKSSKSAPL >Vigun06g119200.2.v1.2 pep primary_assembly:ASM411807v1:6:24724691:24728378:1 gene:Vigun06g119200.v1.2 transcript:Vigun06g119200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTHLALHLLFALLFLAPPFPHSSSLLFSAALTDPQDSCVLKFNTSQYVAMDECTVVNEHIYMWGEDGFPTTLCCRNALTIVSNALATQALSSGGQIFLSQDQWQRCSQSFHPQPGLSLDSCGFDNLYRGSSICSSFILQDVRTLQQYQDAFGKCSHFNQPFGPSCADCTSGISNVRDTLYSQVDKHNNDTERAICGVAAIVALAAGSPNDPLVDKFLRCLPPSASGNKKGSLWKALLSVPVVVIVILIVVIMVKCLSKKKGRKPVDLQEITAWSGLYWFCKGEIENAMNYGGRKISLGRGSAGQVYRGVLPSGQIVAIKHLTKRRDSHLTWETRVRILRDCSFALKYLHHHMEGCVVHRDIKLTNILLTEKYQAKLSDFGLSKVMGVKESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKARDVSMGKRPLSDFEDPRLKGKVDKADFEAILQIAVLCVAKSSKGRPTIEVVFDELDKVCMDTETKMKAKKDESSSSNSTPSSKSSKSAPL >Vigun06g119200.3.v1.2 pep primary_assembly:ASM411807v1:6:24724691:24728378:1 gene:Vigun06g119200.v1.2 transcript:Vigun06g119200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTHLALHLLFALLFLAPPFPHSSSLLFSAALTDPQDSCVLKFNTSQYVAMDECTVVNEHIYMWGEDGFPTTLCCRNALTIVSNALATQALSSGGQIFLSQDQWQRCSQSFHPQPGLSLDSCGFDNLYRGSSICSSFILQDVRTLQQYQDAFGKCSHFNQPFGPSCADCTSGISNVRDTLYSQVDKHNNDTERAICGVAAIVALAAGSPNDPLVDKFLRCLPPSASGNKKGSLWKALLSVPVVVIVILIVVIMVKCLSKKKGRKPVDLQEITAWSGLYWFCKGEIENAMNYGGRKISLGRGSAGQVYRGVLPSGQIVAIKHLTKSNTSESFTREIEGLSRLRHPNLVCLFGCCIEGDERYLVYEFCANGNLAQHLLRRDSHLTWETRVRILRDCSFALKYLHHHMEGCVVHRDIKLTNILLTEKYQAKLSDFGLSKVMGVKESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKVLENMFIIIFTCDSMR >Vigun03g316900.7.v1.2 pep primary_assembly:ASM411807v1:3:51091345:51096299:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRGRVGVVFDLVEPF >Vigun03g316900.4.v1.2 pep primary_assembly:ASM411807v1:3:51090096:51095861:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun03g316900.1.v1.2 pep primary_assembly:ASM411807v1:3:51091345:51096335:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun03g316900.3.v1.2 pep primary_assembly:ASM411807v1:3:51090096:51096178:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun03g316900.5.v1.2 pep primary_assembly:ASM411807v1:3:51091367:51096287:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTAEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun03g316900.8.v1.2 pep primary_assembly:ASM411807v1:3:51091346:51096298:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRGRVGVVFDLVEPF >Vigun03g316900.2.v1.2 pep primary_assembly:ASM411807v1:3:51091346:51096335:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTGFYNRYTSEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun03g316900.6.v1.2 pep primary_assembly:ASM411807v1:3:51091367:51096287:-1 gene:Vigun03g316900.v1.2 transcript:Vigun03g316900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISKTLVALRRVRSLRDPSTNGVSKLSPLIHDGDWDNGYSDGVSLSWRFLNGSHPCDCEGDGFSRSNSLDFKDQREQETAELELKMLNYKMNYCGISRHEGQRDDELVHSNPKEQGISGDESPNESSCSNHEGGGLDLVAITPPCNHLKDGELCYLSTALRVDHSKSARKSLRKNQMKPSGVVGDVASHVGSQQCLSVYDSISARCALEHVTQDVDALDNRHGCGLRSCWSKSPRFRESNHYSEIQGLPLILQHANETDLSGRRNIRHTGGEISPNMETPRSLSVKFRPKSFEDLVGQNVIGKSLLGAICRGRIASFYLFHGPRGTGKTSASRIFAAALNCLSSVEQKPCGLCRECVLFFSGRNKNVNEVDSLRINRAERVKSLVKHACIPPVSSSFKVFIVDECQLLHGETWACLLNSLENVSPHVVFVMITPDLDNLPRSAVSRAQRYHFAKIKDVDIVRRLETICVEEGLESEQVALDFIAARSCGSLRDAETMLDQLSLLGKRITVSLVHELTGVISDDELLDLLDLALSSDTSNTVIRARELVRTRIDPLQLISQLANLIMDILSGKCELRGSETKTAEADLQKLSHALRILSESEKQLRISKNQTTWFTAALLQLSSVEYSSADANTTKLCTRAASIRDGDICSTSPKGDSLEHLATTDQCDDKSYRLGVHEEHKGTLDSIWHKATEMCQSSRLRTFLRKQGKLSSVCISQGRAVAELEFQHRDHVSRAERSWKLIASSLQFILGCNLELRINYVPSCASDSIYAKLKRSSFNFFGCSRRIRWKSLSSNEQGSESDYAEYTSQKPMMNVQTLTCSSDYDPRVPRAESGHGIGMRVITTLRNSEGNLLSSGKMFMNRPDQETPRISCSRVDSCTEEGCNYEHLASSTLDLDNESECFPGTRWLHKKFGSSYAPQQKDFVLSMPKFNCSEICRYDLEPSVFSHSSNKC >Vigun09g239000.4.v1.2 pep primary_assembly:ASM411807v1:9:40935113:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGTTLKLPLGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.1.v1.2 pep primary_assembly:ASM411807v1:9:40935113:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGTTLKLPLGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKVGIPLGKIFIINPRGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.6.v1.2 pep primary_assembly:ASM411807v1:9:40935113:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.7.v1.2 pep primary_assembly:ASM411807v1:9:40935113:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.3.v1.2 pep primary_assembly:ASM411807v1:9:40935084:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKVGIPLGKIFIINPRGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.5.v1.2 pep primary_assembly:ASM411807v1:9:40935113:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKVGIPLGKIFIINPRGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun09g239000.2.v1.2 pep primary_assembly:ASM411807v1:9:40935084:40943654:-1 gene:Vigun09g239000.v1.2 transcript:Vigun09g239000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVGRILSQGVYTVSGPFHPFGGAVDIVVVEQKDGSFKSSPWYVRFGKFQGVLKSREKVVDICVNGVPAGFQMHLDHKGEAYFLREVVAQEEDNLILPPSPVNDKDDYSRRSKSCDYDGEGAVKTTSRRSRILGFVFGRRSLKQREGNGEAIGSRVGSLERAEIAANLLDLKWSTNLSGEQGQKVLANSSGDGNVVVEDDELKEEACFARECDLNGKEVVYDIAESDVQVACVKVKFVEKELNGEVSGVSAVDASGDNSNDISKNDVSADGVCRETPETSKLGVSCSSEQAQEVMYLAGAEECEEVRVHVHDEVLHRTTVLLSEGTEAVEVIEKTDLGMPVLGISEFHSGVKQIDCLDSGDIMYNEVDIEEQSVSTTSQTVKISVGHFSGEKVEPNCVIKPSSYSTPDDKAISENMKDKDSFSSLSTPLDPVDDCLPRKASRRSPSQSSEDERFLFSDLDESAINDRPERTPVPEHLDNEDHVSYENDTEKLTAISSPIVIPRNEAAGEKFGQHSGSLPNFSSGTDIMNQHDARYPLSQSLESRSKSLPCAFPPKDDLECPKPDEDKGNHLTHEESGAKDCHDSGEIKGDDPSTQNTSPAGNWRLWPFSLRRAGSKDSILPPSLSDAKNTTDGNSLEKTISTDMNKDKPKPKAGSKDSILPPSLSDVKNTTDGNSLENTISTVMDKDKPKPNFKKIKVRETTPTSEQVASLNLKDGMNTVTFTFSTAVLGNQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLYSAIKENGYQLLFLSARSISQAYLTRQFLVNLKQDGKVLPDGPVVISPDGLFPSLYREVIRRVPHEFKIACLEVIKDLFPSDCNPFYAGFGNRDTDEISYLKGEVVVNRRVDSKSYASLHALVNGIFPPTNSSEQEDFNNWNFWKLPPPAIDI >Vigun01g207100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38282214:38284483:-1 gene:Vigun01g207100.v1.2 transcript:Vigun01g207100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVYLEQQSPDIAQGVHGNLTRQPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAGDLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRSLVQISYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGREDTDFTWEVVKPLKWENPEK >Vigun01g207100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38282214:38284483:-1 gene:Vigun01g207100.v1.2 transcript:Vigun01g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVYLEQQSPDIAQGVHGNLTRQPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAGDLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRSLVQISYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGREDTDFTWEVVKPLKWENPEK >Vigun01g207100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38282214:38284483:-1 gene:Vigun01g207100.v1.2 transcript:Vigun01g207100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVYLEQQSPDIAQGVHGNLTRQPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAGDLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRSLVQISYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGREDTDFTWEVVKPLKWENPEK >Vigun01g207100.5.v1.2 pep primary_assembly:ASM411807v1:1:38282214:38284483:-1 gene:Vigun01g207100.v1.2 transcript:Vigun01g207100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRYNDRVSLIFQESKFLNIVLIRVELYLFLFLWASSELGRMDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVYLEQQSPDIAQGVHGNLTRQPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAGDLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRSLVQISYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGREDTDFTWEVVKPLKWENPEK >Vigun01g207100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38282214:38284483:-1 gene:Vigun01g207100.v1.2 transcript:Vigun01g207100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVYLEQQSPDIAQGVHGNLTRQPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCTWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAGDLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVACGLARRSLVQISYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIDLDLKRGGNGRFLKTAAYGHFGREDTDFTWEVVKPLKWENPEK >Vigun01g025100.1.v1.2 pep primary_assembly:ASM411807v1:1:2820212:2821682:-1 gene:Vigun01g025100.v1.2 transcript:Vigun01g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRKAMMYCHPFWVSIFNPTKEYIQFDPAFVVYWSKEVRHNLNYLVDPRGNKIVIRIGQTSVPENFFCGGYEIASFYKFKENYFLAVKYLGNRVFDLRIFDIDMTEIQYPAPKNPTDVHPEIPLPRFFNCFNVKLSIQQGPTFASDNFEMIDPVGNIHQIIISRGFLHMRFITKGIPRFIKYYDIKNDHVLRLTYMGDNKFIVRIFDLAGDEITYITKSTDECSTTMESNDENPFYFSTEKTLSENDIRSSSLYLDAQFADVALVKNQKIYNLSNENGDLWECNIRWSNRSRMGCYITQGWNQFCIDNALEAGNKVMFGVDKNRSSTIHVLIT >Vigun08g036600.1.v1.2 pep primary_assembly:ASM411807v1:8:3501497:3506174:1 gene:Vigun08g036600.v1.2 transcript:Vigun08g036600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPNPNSYEHMLKESINRFFAEHRRGVTDFSDFASIFSRMLHAAPNPPIPILWFYAALEFRAAREPSRSVRDLFQLLVSCTDACGSTKRIGALAPLVFVLHRLALRRGEFRSEVESLVEGVVSYCSIFCGKEVCDGDVAVLEFGDLIKVWTVDDDGGGGGGVFGDCAVEGFFPLVSEEFRKGIVRGCEVGVLAGVVMCEALLLKLCLAFEKGLSRAELEKNLLASAVQTITGFRSFRFLDTLFRMMLEPVFPMTSLLGSENQVLLKEVLYNSVMMIDYSFINPQAEFSLYANSLKDVAITWLFVAELAVQSAREKGDQGKAMSYINAFCRSCIPIQLINWVTSQNYIGRKITRPNVSTPIALIKWLLVVEEQGISVFAGETAKQMDLMFKANFFTSRTECLLPVIKHFFNNLDKNLFSMNGEAVADKLDADIDMLDTVDTANLAAADDLNSVIDGTRKRKEGIEDDTNKTPVKYMRCHVHETSVRENPFTFRQQ >Vigun09g010600.2.v1.2 pep primary_assembly:ASM411807v1:9:796530:800730:-1 gene:Vigun09g010600.v1.2 transcript:Vigun09g010600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASTTASINFPFQLSSLAFRASHQRATLSLSFAPLSVSKTAMASAALRSSTPVPNELNNDSDFESLLSPDGYISICGFGSLLSERSARSTFPDLANFRTAQLKSFRRVFAHVAPVFFERGIAKPETMEISSLSVEPCEGETLVVTVFEIRKSEIPDFIKREVEFRFLAVLPETLDGHAFDFPAVLCARYSDEEFFNIRCKGGKKGAMVHYI >Vigun09g010600.3.v1.2 pep primary_assembly:ASM411807v1:9:796530:800730:-1 gene:Vigun09g010600.v1.2 transcript:Vigun09g010600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASTTASINFPFQLSSLAFRASHQRATLSLSFAPLSVSKTAMASAALRSSTPVPNELNNDSDFESLLSPDGYISICGFGSLLSERSARSTFPDLANFRTAQLKSFRRVFAHVAPVFFERGIAKPETMEISSLSVEPCEGETLVVTVFEIRKSEISSRGRLSFGFLLFFLKRLMAMLLIFQRSFVPVTVMRNFSTLDVKAERRVQWFTTFDFPPIIAL >Vigun09g010600.1.v1.2 pep primary_assembly:ASM411807v1:9:796530:800730:-1 gene:Vigun09g010600.v1.2 transcript:Vigun09g010600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASTTASINFPFQLSSLAFRASHQRATLSLSFAPLSVSKTAMASAALRSSTPVPNELNNDSDFESLLSPDGYISICGFGSLLSERSARSTFPDLANFRTAQLKSFRRVFAHVAPVFFERGIAKPETMEISSLSVEPCEGETLVVTVFEIRKSEIPDFIKREVEFRFLAVLPETLDGHAFDFPAVLCARYSDEEFFNIRCKGNKEIYFQQYGRWNIDKIWRDDVLPCRVYLRHCVLAAKNLGETAYNNFLDHTYLADRKTTIREYLATTGSGIMEEQPPESLKHRYGG >Vigun09g010600.4.v1.2 pep primary_assembly:ASM411807v1:9:796530:800730:-1 gene:Vigun09g010600.v1.2 transcript:Vigun09g010600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASTTASINFPFQLSSLAFRASHQRATLSLSFAPLSVSKTAMASAALRSSTPVPNELNNDSDFESLLSPDGYISICGFGSLLSERSARSTFPDLANFRTAQLKSFRRVFAHVAPVFFERGIAKPETMEISSLSVEPCEGETLVVTVFEIRKSEISSRGRLSFGFLLFFLKRLMAMLLIFQRSFVPVTVMRNFSTLDVKETRKSIFSNMGGGI >Vigun02g047800.1.v1.2 pep primary_assembly:ASM411807v1:2:18734564:18736710:-1 gene:Vigun02g047800.v1.2 transcript:Vigun02g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVHPLLFFPGLVESQVLSVQAVLCVILFTFLFILFLTPGGLAWALSKSSVQPIIPGPVTAQLRVFTGLTPHRTLAKLARSHSAEKLMAFSVGLTRFVISSEPETAKEILGSTGFADRPVKESAYELLFYRAMGFAPYGEYWRNLRRISALHLFSPKRIAGFEGFRCEVGLKMVEEVKKVMSEDRPVEVKKVLHLGSLNNVMMTVFGMCYGFYEGEGAELEALVSEGYELLGVFNWSDHFPLLGWLDLQGVRKRCRDLVEKVNAFVGKIIEEHRMKREKGEYVKDEGMSDFVDVLLDLENENKLSQADMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQEKAQREIDSVCGSSRLVSDADIPNLRYLQCIVKEALRVHPPGPLLSWARLAVHDVTVGDKHIPKGTTTMVNMWAITHDERVWAEPEKFKPERFIEEDVSIMGNDLRLAPFGSGRRVCPGKALGLASVHLWLAQLLQNFKWVSSDDGAVDLEEQLKLSMEMKKPLSCKVVPRVAVSVF >Vigun06g207300.1.v1.2 pep primary_assembly:ASM411807v1:6:32089380:32090815:1 gene:Vigun06g207300.v1.2 transcript:Vigun06g207300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWKLLLLWFALMFAAMGVKVSLPIAATHLPLLWNFLLLCFKPPYLFILLNTIIISILASSRFHHSKPQPDPIPPTPLQPKSLSVNASPVLQEQEVQKEVIHQEITVKRTDSTEEIPPSTEKPLVSARFTHRKFLKSPSEGGRALKVVAKAKRHETLESTWKAITEGRSVPLSRHMKKCDTWQSRGNLAPPLENKSDETFPDVSTAGRLRKEPSLSQEELNRRVEAFIRKFNEEMRLQRQQSLEQYMQMVNRGAA >VigunL032250.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:208688:209483:-1 gene:VigunL032250.v1.2 transcript:VigunL032250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVFLISSLAECERLPFDLPEAEEELIAGYQTEYSGIKFGLFYVASYLNLFVSSLFVTVLYFGGSNFSIPYIFVSNFFQINKTYGVFVTIIGIFITLIYPLLIGN >Vigun01g007900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:941667:943498:-1 gene:Vigun01g007900.v1.2 transcript:Vigun01g007900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFNSPLATTTTTLFIFLFTFTPHKATAATCLPDEEAGLLGFKSGIRSDPSGLLNNWIPGTDCCKWNGVECHFNSTRVQRLTLSGQPEENPRSILSGTISPSLSKLRLLDGLYLFDLKNISGPFPTFLFQLPNIQFVYLENNDLSGRIPENIGKLTKLYALSLVGNRFTGTLPSSITELTQLTQLKLDNNSLSGTLPKAINKLVNLNYISLEGNQFEGTIPAFSSNLRSLRLSRNKFSGNIPASIASLASNLAYLELGHNSLSGKIPDFLGKFRALDTLDLSWNRFSGTVPASFANLTKIFNLDLSNNLLVDPFPVMNVKGIESLDLSNNSFHLGKIPKWVLTSPIIFSLKLANCGIKMRIEDFKPSETFFYDFIDLSGNEISGNAISLVNSTQYLIGFWAARNNLKFDLGKLRFGERFRYLDLSHNAVFGKIPNSVVGLQKLNVSYNHLCGQIPKNTFPASAFVGNDCLCGSPLKPCK >Vigun04g181900.1.v1.2 pep primary_assembly:ASM411807v1:4:40587135:40591167:-1 gene:Vigun04g181900.v1.2 transcript:Vigun04g181900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSAVTTAEKSSTNNYTLLQVHDSDSPMFPEKQKATSRKQFTWFLLLKLHRVMTCLSWLTNGLKSTVALVKKRVSLADMSDEGPKSRGRLYRFIKVFLALSIGGLVIEIIAHFNRWNLHMIHPWEVQGLLQWSYMAWLSFREDYVAPLVLLVSKFCIVLFLIQSLDRLVLCIGCFWIKYKKLKPTIDADACDVEDPSNFPMVLVQIPMCNEREVYSQSIGAVAQLDWPKDRILIQVLDDSDDGNLQMLIKEEVASWKEKGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTIPHFKGKPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGYFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILTSKISVWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPESELPLWVICYVPIFMSFLNILPAPKSVPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLALAERESKSSNEEKILRRHSESGLELLGKLKQSEEVPSKKKRNRLYRKELALALLLLTASARSLMSAHGVHFYFLLFQGLSFLVMGLDLIGEQVS >Vigun03g391400.1.v1.2 pep primary_assembly:ASM411807v1:3:59750637:59753502:-1 gene:Vigun03g391400.v1.2 transcript:Vigun03g391400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGETPPPAPPTGLLMKRCKFIWRLLLLSNLALGAFLFASAKRRDSVEVTRRTAQKSHKAKASVEVHPEPVTSSIDLNYDNLIFPVTTPVQMQAPIPEEVQREIFQWMLEEKRKLKPKNPVEKKQIDEEKAILKQFLRAKSIPKF >Vigun03g391400.2.v1.2 pep primary_assembly:ASM411807v1:3:59750637:59753502:-1 gene:Vigun03g391400.v1.2 transcript:Vigun03g391400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNSTTLSHWPLELCQLQTFLFASAKRRDSVEVTRRTAQKSHKAKASVEVHPEPVTSSIDLNYDNLIFPVTTPVQMQAPIPEEVQREIFQWMLEEKRKLKPKNPVEKKQIDEEKAILKQFLRAKSIPKF >Vigun10g151500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37137274:37138542:-1 gene:Vigun10g151500.v1.2 transcript:Vigun10g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFHHNMSEDMMVKVVTSNGGIMELFSPITVECITNEFPGHGIFRSRRDIFSEPLPKTEELHGGQVYYLLPLNPSSSRKSMTRQLSDVALTPYRMSTCDKSNNNNNNNVYTEPEVVPRYNSGGVWKVKLVISPEKLSEILSQESRTEALIESVRTVAKCGNGVPSSVANSDQWSLASSWKGSMSEKMGVE >Vigun02g022300.3.v1.2 pep primary_assembly:ASM411807v1:2:7543458:7546810:-1 gene:Vigun02g022300.v1.2 transcript:Vigun02g022300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELRTDSTDYRTELLSPNIAAENVSMARQPSWRINMDEHRLPERQMDSHFGFGFFIRTLKRQRKLAEYYKRQERLLKGYQEVDSYTDLGMLPGNQSEDDMKELERSERVAIYASNIGNMVLFTAKVYASVESKSLAVIASTLDSLLDLLSGFILWFTANAMRKPNHHQYPIGKNRMQPVGIVVFASVMATLGLQILFESGREIITKTQPERDPVKEKWMVGIMVTATLVKVALMTYCRRFKNEIVRAYAQDHFFDVITNSIGLATAVLAIKFYWWIDPVGAILPYIQ >Vigun02g022300.2.v1.2 pep primary_assembly:ASM411807v1:2:7543458:7546810:-1 gene:Vigun02g022300.v1.2 transcript:Vigun02g022300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELERSERVAIYASNIGNMVLFTAKVYASVESKSLAVIASTLDSLLDLLSGFILWFTANAMRKPNHHQYPIGKNRMQPVGIVVFASVMATLGLQILFESGREIITKTQPERDPVKEKWMVGIMVTATLVKVALMTYCRRFKNEIVRAYAQDHFFDVITNSIGLATAVLAIKFYWWIDPVGAILIALYTISNWAKTVMENVWSLIGKTAPAEYLAKLTYLCWNHNMEITHIDTVRAYTFGSNYFVEVDIVVSEEMSLVQAHDIGETLQDKLENLPEIERAFVHIDFNTTHKLEHKPKVV >Vigun02g022300.1.v1.2 pep primary_assembly:ASM411807v1:2:7543458:7546810:-1 gene:Vigun02g022300.v1.2 transcript:Vigun02g022300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELRTDSTDYRTELLSPNIAAENVSMARQPSWRINMDEHRLPERQMDSHFGFGFFIRTLKRQRKLAEYYKRQERLLKGYQEVDSYTDLGMLPGNQSEDDMKELERSERVAIYASNIGNMVLFTAKVYASVESKSLAVIASTLDSLLDLLSGFILWFTANAMRKPNHHQYPIGKNRMQPVGIVVFASVMATLGLQILFESGREIITKTQPERDPVKEKWMVGIMVTATLVKVALMTYCRRFKNEIVRAYAQDHFFDVITNSIGLATAVLAIKFYWWIDPVGAILIALYTISNWAKTVMENVWSLIGKTAPAEYLAKLTYLCWNHNMEITHIDTVRAYTFGSNYFVEVDIVVSEEMSLVQAHDIGETLQDKLENLPEIERAFVHIDFNTTHKLEHKPKVV >VigunL018751.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000195.1:59632:60253:-1 gene:VigunL018751.v1.2 transcript:VigunL018751.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSSEQERFDAFEFIVLIPLPTRSMLFMISAHDSIAMYLAIEPQSLCFYVMAASKRKSEFSTEAGSKYLILGVGLCLDLLRAKSEGLVPMEIPLGLIPRLMTYLNWEAWRKVKIEGNR >Vigun10g125100.1.v1.2 pep primary_assembly:ASM411807v1:10:33347297:33349926:1 gene:Vigun10g125100.v1.2 transcript:Vigun10g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATERYAVVTGANKGIGLEIVKQLASAGIKVVLTARNEERGLHAMEKIKASALSHLVMFHQLDVADATSVASLADFIKSKFAKLDILVNNAGIGGAITKDVDLLPSVLLNPGAVSEEDGAKAMTQTYELAEECLQINYYGTKITVESLMPLLQLSHSPTIVNVSSSKGKLQNFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYMGAYIVSKAAMNGYTRILAKKNPSFCINSVCPGYVKTDITSNTGFLTVEEGAASPVKLALLPNGSPSGLFYYRADVASF >VigunL020800.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000277.1:3236:10528:1 gene:VigunL020800.v1.2 transcript:VigunL020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKDVKILLKQTNEYRRASRFAKNLGQRPNSNYVGKVSRLKSSSKSTGSSVIFESPGPLHDIIVCWIDQHVVHKGEGSKRLQLFIVELIRAGIFYPLAYVRQLIVSGIMDANVNLVDMERRRRHYRILKQLPGCFIHDVLEDLGIVDGVHLKEALQIYLNERRLILRGPLSESHDDASGTDLSALKRKRYPASIKDEASGMTVDQRNVISTTKNVKDNANIEELKTAISVLLQLPNCSSNLSATGCDESEGTIKRSIGSQNSKIDPVEGTPGCEQCSRTKRQKLSEERNSCVQGHSPVQSDDDDAWWVKKGMKSPEPLKVDQPQKLTKQVTKSRQKNVRKTQSLAQLAASRIEGSQGASTSHVCGSKVSCPHHKTAMDVDGQRSVDIIPTSHFGDIVSIGKALKQLRFVEKRAIAVWLLSVVRQVIEETDKNIGKVGQFGRPFSGADDRSSIRWKLGEDELSAILYLMDISHDLVSAVKFLLWLLPRVLNSPNSTIHSGRNVLMLARNAENQVCDVGEAILLSSLRRYENILVAADLIPEALSSAMHRAAKIVSSNGRLSGSGVLAFARYLLRKYSNVASVIEWEKTFKATCDTRLSSELDSCRSVDGELGLPLGVPAGVEDHDDFFRQKISGGRLQSRVGAGMREVVQRNVEEAFHFLFGKDRKLFAAGTLKGLPPVEKWDNGYQIAQQIVMGLIDCIRQTGGAAQEGDPSLVSSAVSAIVGSVGPTLAKMPDFSSGSNHSNITSTSNLLNYARCILRMHITCLGLLKEALGERQSRVFDIALATEASTALAGVFTPSKASRAQFQTFPEVHDSSNTISNDMGSNSNKVVVAKTTKIAAAVSALFVGAIIYGVTSLERMVTVLRLKEGLDAVQFVRSTRSNANGNARSVMAFNKMDSSIEVHVHWFRLLVGNCRTICEGLVVELLGEPFIMALSRMQRMLPLNLVFPPAYSIFAFIRWRPFILNATVREDMNQIYQSLIVAITEAIKHLPFRDVCFRDCQGLYDLMSADNSDSEFANLLEFNGSDMHLKLTAFVPLRSRLFLNAIIDCKMPQSIYAKDDGSRISGPGESKVKPTDSGSKLQDMLVHVLDTLQPAKFHWQWVLLRLLLNEQALIEKVENHDVPLSDAIKLSSPSPEKAASASENENNFIQILLTRLLVRPDAAPLFSELIHLFGRSLEDSMLLQAKWFLGGQDVLFGRKAIRQRLHNIAVNKKLSVKTQFWEPWGWCSPTTDSLTIKGDNKKFDSTSLEEGEVVEEGTYLKRCQQQVIERALIELLLPCIDQSSDEARNSFATDLVKQLSYIETHITAITGGSKPVGSAPAGVEGQPNKVNNRKNLRTGNATLSRRPTVATDSSPPSPAALRASMSLRLQLLLRFLPTLCTDREPSVRSTRQFLASVIFRLLGSRVVHQDADISANAVPLPTREAESSSEVASAAFVDSSSQSLFDRLLLVLHGLLSSYPPSWLRPKPSKTSNEPTIDRELLETLQNDLDRMQLPDTDRWRIQAAMPVLIPSMRCSLSCQPPSVSNSALMCIQPGTTNPGVNSSSSTIPQRGPALSRVASNVSGKQKRQDNDLEIDPWTLLEDGAGSVPPAGNTASIGSGDHVNIRAASWLKGAVRVRRTDLTYVGAVDDDS >Vigun11g090500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27077117:27077392:-1 gene:Vigun11g090500.v1.2 transcript:Vigun11g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLHGIRKALFAAKNQASSKAGDVPKGYLSVYVGEKMKRFVIPVSYLNQPSFQDLLSKAAEEFGYDHSNGGLTIPCSEDVFRNLTSCFN >Vigun09g042750.1.v1.2 pep primary_assembly:ASM411807v1:9:3981609:3982340:1 gene:Vigun09g042750.v1.2 transcript:Vigun09g042750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFHLEGRMTTIASERMVFDGNFAWEPNFHNRRERIVDLIEKRKQSYID >Vigun09g107550.1.v1.2 pep primary_assembly:ASM411807v1:9:22109896:22110955:1 gene:Vigun09g107550.v1.2 transcript:Vigun09g107550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSESLHDFILIFLGSGLILGSLGVVFFTNPIFSAFSLGLVLVCVSLFYILSNSHFVAASQLLIYVGAINVLIIFAVMFMNGSDYYQNFRVWTVGDGITLMVCTSIFLSQITTILETSWHGIIWTTRPNQILEQYLISTSQQIGIHLSIDFFLPFELISIILLVALIGAIFVARQSWSMIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGDIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun06g216300.2.v1.2 pep primary_assembly:ASM411807v1:6:32723857:32733857:1 gene:Vigun06g216300.v1.2 transcript:Vigun06g216300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDTENPTTREEDEDEVSPVEEVRLTVPNTDDPTQPIWTFRMWFLGLLSCSLLSFLNQFFAYRTEPLIITQISVQVAVLPVAHIMAVVLPKTKFTIRGFGPRTFSFNPGPFNVKEHVLITMFANAGSAFGTGSPYAVLIVTIIKVFYGRSISFFASWLLIVTTQVLGYGWAGILRKYVVEPAHMWWPSTLVQVSLFRAMHETNDNRARTKFFFYALLCSSSWYVIPGYLFTTLTNISWVCWIFSKSVTAQQIGSGMRGLGVGALTLDWAALSSFLSSPLISPFFAIVNVFVGYAFMVYVVIPIAYWGLNVYNANRFPIFSSHLFTAQGQWYNIPAIVDNHFELNVAEYEKQGRIHLSVFFALTYGFGFATIASTLTHVFCFYGREIMERYRASSNGEEDIHAKLMKRYKDIPSWWFYLLLVVTLVVSLALCIFLNDQVQMPWWGLLFAAALAFGFTLPISIITATTNQTPGLNVISEYVFGLIYPGRPIANVCFKTFGYISMAQAVSFLSDFKLGQYMKIPPRSMFLVQFIGTVLAGTINIWVAWWLLNSIKNICHDDLLPADSPWTCPDDRVFFDASVIWGLVGPKRIFGSLGNYSALNWFFLGGALGPIIVWVLHKAFPKQSWIPLINLPVLLGATATMPPATPLNYNAWILVGTIFNFFIFRYRKKWWQRYNYVLSAALDSGVAFMTVLIYFSLGLENKSLNWWGNDGEHCPLAACPTAKGVIVDGCPVK >Vigun05g144200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19486297:19487589:1 gene:Vigun05g144200.v1.2 transcript:Vigun05g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTFFSLFLLCGFTSHLGSASADVVDTDGNPLENGGTYFIRPVIITGNGGGVEFAATGNETCPLSVRQNPSPLSNGLPVEISSPIKFPFIKESFILDIRFPFVAPCAPSPSKWTAVKFGGKGLVVKLTGYDNTVAGGFKIKSVPNDIGGYILLFCPENSSCGYVAIEIYPTTNKRLGVTPYEETAFWIRFQRVYTSSA >Vigun11g210766.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:40600399:40603004:1 gene:Vigun11g210766.v1.2 transcript:Vigun11g210766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSFVLDHLAQLAAREANLLYGVEDRVQSLQYELQMIKELLSSTRSKKGMEHTVLNQIRDVSHLAQDVIDTFVAKVSIYKRRTILGRMLLGFGQAKLLRDVAEKIDKIKATLNEIRDNKSKYDAFKETNNQSAAEEEEEEEKERAKSLHKLRRYVEEDDVVGFVHDSKDLIKRLLEGGSNRNAVSTIGMGGLGKTTLARKVYNSTQVKQHFKCRAWVYVSNECRVKELLLGLLKHLKPNFEQQRRGNKKGKKGTGDISSLSEEEMKKLVRECLERERYLVVVDDLWKRKDWDEVQDAFPDNNRGSRILITSRLKEVAFHTAHDVPHYLQFLNEEESWELFRRKVFRGEDYPSDLEPLGKQMVKSCRGLPLSIIVLAGLLANKEKSHREWSKVVGHVNWYLTRDETQVKDIVLKLSYDNLPRRLKPCFLYLGIFLEDCEIPVRPLLQKWVAEGFIQDTGNRDPEDVAEDYLYELVDRSLVQVARVDTNGDVKAIQVHDLLRDLCISESKEDKVFEVCIDNNILIPTKPRRLSIHNSMGHYISSSNNDHSCIRSLFFFGPDYSVRGREWKWLLDNFKLIRVLEFVPHSCGKIHSDIGNFIVRIIRRGFTGENKHQ >Vigun07g085800.1.v1.2 pep primary_assembly:ASM411807v1:7:12957374:12961304:1 gene:Vigun07g085800.v1.2 transcript:Vigun07g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRRLRDLQSQAANKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEVQIKKMEAGGNDKLNSFLAQYSIPKETDIVTKYNTNAAAVYRDRIQAIADGRPWRDPPVVKENLSAGKGKPPLTQTQTRRANGGGWDDWDNEGSSADIRSRSTGDFRSLNGAGAPARSRSTEDITRSQLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSTPPPQRRNDAQNDYLSVVSQGIGKLSLVAASAAQSAANVVQAGTKEITSKVKEGGYDHKVNETVTVVTQKTSEIGQRTWGIMKGVMAMASQKIEEYTKDDTNWKTDNWQRNESDRNGYYQDFNQQNQGSNSAPGREQSSSGQYKTHRSSSLDDWDHRGSRKEEPAKGSTPYSSGGQSSTYNSSSWDDWEQTDSRKQEPAKGSAPHNNDDWAGWDIAKDDGFDHFYQGASNKKGVGVGHNGKSDGTWTEGGFL >Vigun11g203900.2.v1.2 pep primary_assembly:ASM411807v1:11:40122125:40126451:1 gene:Vigun11g203900.v1.2 transcript:Vigun11g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPHEILLQPPVSSNEVHNDGASNHRDAEQKQDVSREEVLQTLQVIASTGKFWHHWDKLKIMLSFQLKQVLSEYPEAKLTSEQQYASLGESYIELVHKLDEALTCFIEGPPFTLQRLCEILLDAKSIYPNLSKLALALEKNLLVTSTLTICTDPYPQATEKVPVDQEKANEKQNQQSDTVQNGVEPQVPDKDEVMTEADVGDDMTIDMETFEGDKSSETNSEPNANNL >Vigun11g203900.1.v1.2 pep primary_assembly:ASM411807v1:11:40122125:40126451:1 gene:Vigun11g203900.v1.2 transcript:Vigun11g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQPHEILLQPPVSSNEVHNDGASNHSRDAEQKQDVSREEVLQTLQVIASTGKFWHHWDKLKIMLSFQLKQVLSEYPEAKLTSEQQYASLGESYIELVHKLDEALTCFIEGPPFTLQRLCEILLDAKSIYPNLSKLALALEKNLLVTSTLTICTDPYPQATEKVPVDQEKANEKQNQQSDTVQNGVEPQVPDKDEVMTEADVGDDMTIDMETFEGDKSSETNSEPNANNL >Vigun04g161100.2.v1.2 pep primary_assembly:ASM411807v1:4:38335911:38339117:1 gene:Vigun04g161100.v1.2 transcript:Vigun04g161100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSTSAAENDEVGTFSLSSPQATTFDDVPENCISSLMMSFDPQEICTLARVNKAFHRASSADFVWESKLPSNYQLLLKKVLGQHTDLSSITKKEIYAKLCTPNFFDHGTKIWLDRCSAQVCLFISSKAFKITGIDDRRYWNYVPTEESRFKSVAYLQQMWWVEVMGELEFEFPKGNYSVFFKLQLGKPTKRLGRRVCNLDQVHGWDIKPVRFQLSTSDGQSSLSQCYLRGPGEWAHYHVGDFAVEKPNEPTNIKFSLAQIDCTHTKGGLCIDGVVICPKDFSERLKQI >Vigun04g161100.1.v1.2 pep primary_assembly:ASM411807v1:4:38335911:38339117:1 gene:Vigun04g161100.v1.2 transcript:Vigun04g161100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSTSAAENDEVGTFSLSSPQATTFDDVPENCISSLMMSFDPQEICTLARVNKAFHRASSADFVWESKLPSNYQLLLKKVLGQHTDLSSITKKEIYAKLCTPNFFDHGTKEIWLDRCSAQVCLFISSKAFKITGIDDRRYWNYVPTEESRFKSVAYLQQMWWVEVMGELEFEFPKGNYSVFFKLQLGKPTKRLGRRVCNLDQVHGWDIKPVRFQLSTSDGQSSLSQCYLRGPGEWAHYHVGDFAVEKPNEPTNIKFSLAQIDCTHTKGGLCIDGVVICPKDFSERLKQI >Vigun07g178050.1.v1.2 pep primary_assembly:ASM411807v1:7:29424474:29427247:1 gene:Vigun07g178050.v1.2 transcript:Vigun07g178050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLSTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYCNLVDYARLCCTNVVGRSPNTIRSIFENEDRWGTCIKNYKCLKVLADLNAKADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun07g197100.1.v1.2 pep primary_assembly:ASM411807v1:7:31716942:31718412:1 gene:Vigun07g197100.v1.2 transcript:Vigun07g197100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGAYENQEAVNRGPWSAEEDQILINYVHLHGEGKWGQISRRTGLKRGGKSCRLRWLNYLKPDIKRGNISSDEEDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTYLRKKVEEKHNDNNINIPVELRMESPNSFGMAIHPAKSSEPVMCTEIMMATEAVNDSVNPKSLISTNYIENPFASVPGDHHAEGLGKQFDLTELLMPQENCDLSGYGCVGISPHFGEHSCRVNDAICETWYDDWKNEDYFPLEYDMDFPLF >Vigun04g094100.1.v1.2 pep primary_assembly:ASM411807v1:4:19019834:19035351:1 gene:Vigun04g094100.v1.2 transcript:Vigun04g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWADSKGGGGNGGKNPWSFLTRRKRVDSAVESNSHGQLARELTVPHLMAIGVGATIGAGVYVLVGTVAREHSGPALPLSFLVAGFAAALSALCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGITPNLAALIGGMENLPSFLSWHSIPGTNIVVDPCSAIVVFIITGLLCAGIKESTVVQSVVTTVNILALIFVIIAGGYLGFKSGWVGYELPTGYFPFGVDGMLAGSATVFFAYIGFDAVASTAEEVKNPQRDLPLGIGASLFLCCGLYMLVSIVIVGLVPYYAIDADTPISSAFADNGMQWAAYVINAGAFTALCASLLGGILPQPRILMAMARDGLLPPFFSDINRHSQVPVKSTIVTGLVAAVLAFSMEVSELAGMVSVGTLLAFTVVAISVLILRYIPPNEIPLAPSVMEPFVSASTGYSWSRLEANEDIKAHAGTSQTTIPLAVKEDVPNDPLIAKDSIIAKYVHEGNRRNVIGWAIAFTCLGVFILTFAASNKTIISSIRFALCGVGGTLLLSGFVFLNFMDQDDARHNFGHSGGFICPFVPLLPIACILINSYLLINLGGATWARVSIWLAIGVVVYVFYGRSHSSLKEAVYVPATQAPDT >Vigun10g046300.1.v1.2 pep primary_assembly:ASM411807v1:10:6847431:6852073:1 gene:Vigun10g046300.v1.2 transcript:Vigun10g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFVEGAMAFLLLVKIGPLRDLVIKTLDQIKMGKGPATVKTIAGTMSVILFSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFIIDRVHHYLQKLNKLRSNAGASKEEVESIEKEKVELKEKEEKASKEIIQLKEKISGLSESLKKTKVECEEKEKRIETAEAHVSSLQKQAADLLLEYDRLLEENQNLQAQALRHIKN >Vigun04g164500.2.v1.2 pep primary_assembly:ASM411807v1:4:38903836:38905423:-1 gene:Vigun04g164500.v1.2 transcript:Vigun04g164500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMDEDKKWMHKETERQRRQEMGKLCNNLRSLLPLEYVKGKRSTSDHVHEAMNYINHLQDKVKQLQEKRDALLKVSNLSSIVPENESSCATHLPPLVLVHPFPGGLEIICSHSFRKSVFPMSRLLDILHKEGLNVVNTTSIRRDGRFIHTIQSEDSNCSNMMDTDYSELQIKLVEALSSSSK >Vigun04g164500.1.v1.2 pep primary_assembly:ASM411807v1:4:38903836:38905423:-1 gene:Vigun04g164500.v1.2 transcript:Vigun04g164500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMDEDKKWMHKETERQRRQEMGKLCNNLRSLLPLEYVKGKRSTSDHVHEAMNYINHLQDKVKQLQEKRDALLKVSNLSSIVPENESSCATHLPPLVLVHPFPGGLEIICSHSFRKSVFPMSRLLDILHKEGLNVVNTTSIRRDGRFIHTIQSEDSNCSNMMDTDYSELQIKLVEALSSSSLQETLPEPENC >VigunL009702.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:20208:21790:1 gene:VigunL009702.v1.2 transcript:VigunL009702.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFRFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSTSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >Vigun04g099820.1.v1.2 pep primary_assembly:ASM411807v1:4:23350147:23352209:1 gene:Vigun04g099820.v1.2 transcript:Vigun04g099820.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDENDLFDNMDDWLRRDCFVFVGWSGLLLFPCAYFAFGGWFTCTSFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLTHSLLLLWSLEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIVVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVVGVLGVALLCTIHGATVENTLFEDDDGVNTFRAFNPTQAEETYSMVTANRFWSQIFGVSFSNKRWLHFYMLFVPVTGLWMSALGVVGLALNLCAYDFVSQEICA >Vigun07g122700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22712340:22713480:-1 gene:Vigun07g122700.v1.2 transcript:Vigun07g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITATVCKGVKEYWKRKGYRRLNGSGRRRRNRVELGSTRTGKGRFWRWRIRLAPKIRIKKIPSPKKVLLWVRDAYVRMMLGLANSRVMTAGASASGYGGPLSAVDTSFAGFGRAQPKEYDDKAIIQIYKSILMAHGALVPRDAAAEIACRR >Vigun03g247400.3.v1.2 pep primary_assembly:ASM411807v1:3:41133909:41144053:1 gene:Vigun03g247400.v1.2 transcript:Vigun03g247400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKENEEAGQNVPLAVEEVLAEAQVEPEVLAAPLSVGDTSNHAENGKVEAEAPVEDRIVKPEANSECIPQQDVLNSQNTIKSVPVMDNVPVLNKTEGENALDVKSKVDELPKSDKDIANDSNVCQNNADPAEMTVEVKPKAFEVAESKNCNNGDSNSAHPIHNEPKTPHAVQTDIRAEVKTGLDDENKYNERQAAVAHADNGNSISQNLYFLDPEYSYDGNESGTEDEQSAFMKELETFFRERSMEFKPPKFYKEGLNCLKLWRSVNRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRVFYEKALLDYERHKTKGGELNVPITSNSEPMNNENQCVQGLASSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRSSLSMQKREKQLRSINVLKRKKSSDMVNAVKVAHSKPSKPQLDTSVIDIGPPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHSDNPWGVTPFKKVVSLPSRIDTHQTSAVVTLHGQLFVRVPFEQSE >Vigun03g247400.5.v1.2 pep primary_assembly:ASM411807v1:3:41133903:41142625:1 gene:Vigun03g247400.v1.2 transcript:Vigun03g247400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKENEEAGQNVPLAVEEVLAEAQVEPEVLAAPLSVGDTSNHAENGKVEAEAPVEDRIVKPEANSECIPQQDVLNSQNTIKSVPVMDNVPVLNKTEGENALDVKSKVDELPKSDKDIANDSNVCQNNADPAEMTVEVKPKAFEVAESKNCNNGDSNSAHPIHNEPKTPHAVQTDIRAEVKTGLDDENKYNERQAAVAHADNGNSISQNLYFLDPEYSYDGNESGTEDEQSAFMKELETFFRERSMEFKPPKFYKEGLNCLKLWRSVNRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRVFYEKALLDYERHKTKGGELNVPITSNSEPMNNENQCVQGLASSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRSSLSMQKREKQLRSINVLKRKKSSDMVNAVKVAHSKPSKPQLDTSVIDIGPPADWVKINVQKTVSLSCVWIEYNLFNYVIYSSRESCVQKDCFEVYALVPGLLREEVTKRIFFSL >Vigun03g247400.1.v1.2 pep primary_assembly:ASM411807v1:3:41133872:41142677:1 gene:Vigun03g247400.v1.2 transcript:Vigun03g247400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKENEEAGQNVPLAVEEVLAEAQVEPEVLAAPLSVGDTSNHAENGKVEAEAPVEDRIVKPEANSECIPQQDVLNSQNTIKSVPVMDNVPVLNKTEGENALDVKSKVDELPKSDKDIANDSNVCQNNADPAEMTVEVKPKAFEVAESKNCNNGDSNSAHPIHNEPKTPHAVQTDIRAEVKTGLDDENKYNERQAAVAHADNGNSISQNLYFLDPEYSYDGNESGTEDEQSAFMKELETFFRERSMEFKPPKFYKEGLNCLKLWRSVNRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRVFYEKALLDYERHKTKGGELNVPITSNSEPMNNENQCVQGLASSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRSSLSMQKREKQLRSINVLKRKKSSDMVNAVKVAHSKPSKPQLDTSVIDIGPPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHSDNPWGVTPFKKVVSLPSRIDTHQTSAVVTLHGQLFVRVPFEQSE >Vigun03g247400.2.v1.2 pep primary_assembly:ASM411807v1:3:41134063:41142586:1 gene:Vigun03g247400.v1.2 transcript:Vigun03g247400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKENEEAGQNVPLAVEEVLAEAQVEPEVLAAPLSVGDTSNHAENGKVEAEAPVEDRIVKPEANSECIPQQDVLNSQNTIKSVPVMDNVPVLNKTEGENALDVKSKVDELPKSDKDIANDSNVCQNNADPAEMTVEVKPKAFEVAESKNCNNGDSNSAHPIHNEPKTPHAVQTDIRAEVKTGLDDENKYNERQAAVAHADNGNSISQNLYFLDPEYSYDGNESGTEDEQSAFMKELETFFRERSMEFKPPKFYKEGLNCLKLWRSVNRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRVFYEKALLDYERHKTKGGELNVPITSNSEPMNNENQCVQGLASSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRSSLSMQKREKQLRSINVLKRKKSSDMVNAVKVAHSKPSKPQLDTSVIDIGPPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHSDNPWGVTPFKKVVSLPSRIDTHQTSAVVTLHGQLFVRVPFEQSE >Vigun03g247400.4.v1.2 pep primary_assembly:ASM411807v1:3:41133872:41142677:1 gene:Vigun03g247400.v1.2 transcript:Vigun03g247400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKENEEAGQNVPLAVEEVLAEAQVEPEVLAAPLSVGDTSNHAENGKVEAEAPVEDRIVKPEANSECIPQQDVLNSQNTIKSVPVMDNVPVLNKTEGENALDVKSKVDELPKSDKDIANDSNVCQNNADPAEMTVEVKPKAFEVAESKNCNNGDSNSAHPIHNEPKTPHAVQTDIRAEVKTGLDDENKYNERQAAVAHADNGNSISQNLYFLDPEYSYDGNESGTEDEQSAFMKELETFFRERSMEFKPPKFYKEGLNCLKLWRSVNRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRVFYEKALLDYERHKTKGGELNVPITSNSEPMNNENQCVQGLASSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDRSSLSMQKREKQLRSINVLKRKKSSDMVNAVKVAHSKPSKPQLDTSVIDIGPPADWVKINVQKTVRVQSDPAGRLVISGEPEHSDNPWGVTPFKKVVSLPSRIDTHQTSAVVTLHGQLFVRVPFEQSE >Vigun05g266900.1.v1.2 pep primary_assembly:ASM411807v1:5:45915799:45919281:-1 gene:Vigun05g266900.v1.2 transcript:Vigun05g266900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHFILLLFLALALTLPSITFAFTASVVDVSSLNRTSFPTGFVFGTASAAYQYEGAANEDGRGPSMWDEYTHKHPEKIKDKSTGDVAVDQYHRYKEDIEIMKNMNLDAYRFSISWSRILPKGKLSGGVNHDGIEYYHNLIDQLLVNGIQPYVTLFHWDIPQALQEYGGFLSPLIVKDFQDYAELCFEEFGDRVKHWITLNEPWSFSRGGYAIGGYAPGRCSEWLNPECLGGDSGTEPYVVSHYQLLSHAAAVQVYKEKYQEHQNGKIGITHVSHWFEPFSNSTSDKEAAQRALDFMYGWYMEPLTTGKYPESMRSLVGERLPEFTEKESKLLISSYDFLGLNYYTTYYAADAPKTKPHYETDCNANLSSTRHGVPIGSPTGSSWLYVYPKGIRELLLYTKEKYNNPVIYITENGVSELQETAQSLEKALEDKHRVDYYYHHLYYINSAIRDGVNIKGYFAWSLLDNFEWNDGYVVRFGIHYVDYNDGLKRHEKLSAKWFKNFLQKSQNKVTFNI >Vigun01g011200.1.v1.2 pep primary_assembly:ASM411807v1:1:1262821:1264920:1 gene:Vigun01g011200.v1.2 transcript:Vigun01g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSHLLPIRSHISIVPSLCRCKSTTLCAGFHTIPSTMASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQNI >Vigun03g330500.1.v1.2 pep primary_assembly:ASM411807v1:3:52773622:52780340:1 gene:Vigun03g330500.v1.2 transcript:Vigun03g330500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSPPFSVSNPSPFSPTLLNPTTTLRQLFFATSPKRFFQFQSRATKFKELIPINPSLTVEKGKYSYDVETLINRLTALPPRGSIARCLDPFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQLWCKPNEHIYTIMITLLGRESLLDKCREVFDEMPSNGVARTVYAYTAIINAYGRNGQFQASLELLDTMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLCACANRGLGDEAEMVFRTMNESGIVPDINTYSYLVQTFGKLNRLEKVSDLLREMESGGNLPDITSYNVLLEAYAELGSIKEAMGVFRQMQAAGCVANAATYSILLNLYGKHGRYDDVRELFLEMKVSNTDPDVGTYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIFACGKGGLYEDAKKILLHMNEKGIVPTSKAYTGVIEAFGQAALYEESLVAFNTMKEVGSNPTLETYNSFVHAYARGGLYKEAEAILSRMNESGLKRDVHSFNGLIEAFRQAGQYEEAVKAHVEMEKANCEPNELTLEAVLSVYCTAGLVDESEEQFQEIKASGLLPSVMSYCMMLALYAKNDRLNDAYNLIDEMIKIRVSDIHQVIGQMIKGDFDDESNWQIVEYVFDKLSSEGCGLGVRFYNALLEALWWMFQRERAARVLNEASKRGLFPELFRRSKLVWSVDVHRMSEGAALTALSVWLNNMQEMFLNSEDLPVIATVVVVRGEMEKTTDAQDFPVAKAAMSFLQDNVPSSSFTFPEWNKGRIVCQQSQLRQILTGTESSSSKKKMDKLISLSNTPLTTAGAVASKPDGKANDVDSRTDSTRTELLTSAV >Vigun06g167100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28908829:28911513:1 gene:Vigun06g167100.v1.2 transcript:Vigun06g167100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFISASLRLKSFPRSLPFPLSIELPSLKPKQRSGWLFISTSTEAPPQVQDPQYFIRIFCNARLHQNHYECELALVSTLKCCSSSVHGRQLHSLALKLGLHSNTFIQNSLINMYAKRGSINDAQILFDSCPTLDPVSCNIMVHGYVKAGQLDNARKLFDRMPDKGCVSYTTMIMGLVQNACFREALAVFKDMRSDGVVPTDLTLANVIYACSHVGETLNCQMIHALAIKFFLEGLVLVSTNLMRAYCLCSGVGEARRLFDKMPEVNLVSWNVMLNGYAKEGLVDMARELFERIPDKDVISWGTMIDGYVLMNCLHEALVMHRAMLRTGLAPNEILVVNLVSACGRLNAIGDGLQLHGIVVKKGFDCYNFIQTTIIHFYAACGMMDLACLQFEVGVKDHLESWNALVSGFIKNGMMDPARKIFEEMPERDVFSWSTMISGYAQTDQSRMAFELFQKMVASGIKPNEVTMVSVFSAIASMGSLKEGRWAHEYMCNESIPLNDNLRAALIDMYSKCGSINSALQFFNQNRDKTFSVCPWNAIICGLASHGHASMCLEVFSDMQRYNIKPNAITFIGVLSACCHAGLVEPGRRIFKIMKSVYNVEPDIKHYGCMVDLLGRAGLLEEAEEMVRSMPMKADIVIWGTLLAACRTHGNVHIGERAAEGLAGLAPSHGGGKVLLSNIYADAGRWEDVSSVRRVMQNRRMERIPGCSGVVR >Vigun02g191900.1.v1.2 pep primary_assembly:ASM411807v1:2:32906406:32907865:1 gene:Vigun02g191900.v1.2 transcript:Vigun02g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVCLTVVLAMIMVIAAPGKAQVTTPCSASMINSVFNPCINFLTNSSANGTSPTSECCNSIKSLTSTGMDCVCLIVTGNVPFRIPINRTLAISLPRACNMPRLPVQCKTSGSPLPAPGPAAFGPSISPSLSPQASSILPSPSLPPVSDTNPPLTPSSTATGSGRSDLTPSSAVSSNRFLPSVLLIALGFAVL >Vigun07g201100.3.v1.2 pep primary_assembly:ASM411807v1:7:32202580:32204091:-1 gene:Vigun07g201100.v1.2 transcript:Vigun07g201100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun07g201100.4.v1.2 pep primary_assembly:ASM411807v1:7:32202580:32204051:-1 gene:Vigun07g201100.v1.2 transcript:Vigun07g201100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun07g201100.6.v1.2 pep primary_assembly:ASM411807v1:7:32203211:32203958:-1 gene:Vigun07g201100.v1.2 transcript:Vigun07g201100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun07g201100.5.v1.2 pep primary_assembly:ASM411807v1:7:32202580:32206582:-1 gene:Vigun07g201100.v1.2 transcript:Vigun07g201100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQSEGAAKGTAITEKAFKRWGRRSPFLRYGLPMISLTVLGSLGLAQLLQGSKDIARVKDDQEWEIIETRKALSRTGPVHAYNPKQISLDDELKALQQKVDINSYEYKKIPKPNEGNQD >Vigun04g074800.1.v1.2 pep primary_assembly:ASM411807v1:4:9086433:9089332:-1 gene:Vigun04g074800.v1.2 transcript:Vigun04g074800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPAVDFQKLSEGDDFQKLSEGDEWKKLREACEKCGCFRVINHPIPETLMREMKSVVKLVHDLPLEIKMRNKSIIPDSGYVPPFPTSPLYEGMGIYDMHESPQALEDFFSQLDLPPYHRQIVKTYSQAIHDLASTISQKMAKCLGVVGVDFKDWPFILRSIKYSFAPENIGQMGAQLHSDTGFITLLQDDETVTGLELLDDSGLFKAVPPKSGSFLCIIGDVGHVWSNGKFWNVRHRVICKETGTRYSFGAFMLAPRDGNVEAPTKLVEVHNGRRYRPFKYEDLREYRISTGKRNGEVLDQYRIA >Vigun01g155000.2.v1.2 pep primary_assembly:ASM411807v1:1:33765403:33777091:-1 gene:Vigun01g155000.v1.2 transcript:Vigun01g155000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSHPYSLLLLFSSILFFTDFAGLVVSADVTLSSIEIYTTHEWLKATPSVYFRCKGDNKTELPDVKKTNVSYTFKGEESWQPLTNFSGKKCKRCGFYEQDKILYDDVFDEWELCPSDFTAPDGRYIHFKEKEFNATFLCSDCLNISGVVVSVSAPPVKAQQDHTKKEMPVAVIVLLTILCSAIFILVTTLVYKYWQKRKREQDQARFLKLFEDGDDLEDELGLGTII >Vigun01g155000.1.v1.2 pep primary_assembly:ASM411807v1:1:33766029:33777213:-1 gene:Vigun01g155000.v1.2 transcript:Vigun01g155000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSHPYSLLLLFSSILFFTDFAGLVVSADVTLSSIEIYTTHEWLKATPSVYFRCKGDNKTELPDVKKTNVSYTFKGEESWQPLTNFSGKKCKRCGFYEQDKILYDDVFDEWELCPSDFTAPDGRYIHFKEKEFNATFLCSDCLNISGVVVSVSAPPVKAQQDHTKKEMPVAVIVLLTILCSAIFILVTTLVYKYWQKRKREQDQARFLKLFEDGDDLEDELGLGTII >Vigun07g046200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4685146:4686421:-1 gene:Vigun07g046200.v1.2 transcript:Vigun07g046200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTIFETNPLLHFLQSFPTFFTFIISSLPFSTHPIQILHLSNFTTPKLFSLFPSLQHTMQTFEPNNFTFFIPNITDYSLPQDLQFQAHMTNNNNNTPMNQVSSSSKPPRSSNLSNRKPSLKNITIPSISSGLVIPTTSSTTSITTLYNSNSQVSCSLDDANNSREDDKHYRGVRRRPWGKFAAEIRDPNRKGSRVWLGTFDTAIEAAKAYDKAAFKMRGSKAILNFPLEVGESEESVSIMKVGVKREREEESEGNEESNNNNKVVKKEECSPKGVCPLTPSCWKGFWDTDVMGTIFSVPPLSPLSPLMVV >Vigun10g017500.1.v1.2 pep primary_assembly:ASM411807v1:10:1955547:1960953:-1 gene:Vigun10g017500.v1.2 transcript:Vigun10g017500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWETWISSLEMAEEDKSTAQDNVLSGIFLEPLDFSFHNSSTTTMLSYDDNNDDNSNSNNVFSMGSSCYGDASFDERHGKMLKSNSSSSIISHDVANNNASSSSAAPSSSTFILSFENSTMEPSHHYYNRPNDHGGCPSYALCSSLLSSENDHVLSTPKPKQGAKKYRSSSEIQDHIMAERKRRQELTERFIALSATIPGLKKTDKAYVLREAMIYMKQLKERVVELENQNKRKRTDSRILIKKYYSQVCSREEQEQTKSHRSTPPLPQVEARVLEKEVLIGIHCHKQKDIVLKIMALLQNHHLSLASSSVLPFGTSTLKVTIVAQMDEKYCMTVNNLVKSLRQALLKIT >Vigun03g174700.1.v1.2 pep primary_assembly:ASM411807v1:3:21511583:21513800:1 gene:Vigun03g174700.v1.2 transcript:Vigun03g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVTVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLCYSLISKASYENISKKWIPELRHYAPNVPIVLVGTKLDLRDDKQFLIDHPGVTRITTAQGEELKKMIGAVTYIECSSKTQQNVKTVFDAAIKVALRPPKPKKKPRKKRTCFFL >Vigun08g015800.1.v1.2 pep primary_assembly:ASM411807v1:8:1330209:1335299:1 gene:Vigun08g015800.v1.2 transcript:Vigun08g015800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNSLSSVPARLTLLALFSATTFYCLYKSRRLRYLKLSLNPNPKPKPKIIFLSETGTSKTLALRLHRLLASSGVAFDVVDSRHYEPEDLPKETLLLLVASTWQDGAPPSASRFFATWLAEAAADFRAGSLLLSNCRVAVFGVGSRAYGESFNAVAKGLATHLRELGAKEVVPLCEGDVDGGDLDKVFDRWCEKVVAVLKGGGVAECDDDVGGGALEYGVYSSSEEESDVESEIVDLEDIAGKAPSRRKAVANGEESNGKLSGRKEMVTPVIRANLEKQGYKIIGSHSGVKICRWTKAQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWQMDDPMEIVNSAIDLHKNMVKQMKGVPGVTLERLNEGLSPRHCALSLVGEPIMYPEINALVDELHKRRISTFLVTNAQFPDKIKSLKPITQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLTALREKHQRTVYRLTLVKGWNTEDVDAYSKLFSIGDPDFVEIKGVTYCGSSTTSKLTMENVPWHTDVKAFSEALALKSQGDYEVACEHAHSCCVLLAKTNKFKIDGQWYTWIDYEKFHDLVASGKTFDSRDYMTATPSWAVYGSEEGGFDPGQVRYRKERHHKSSRNQSG >Vigun09g187900.1.v1.2 pep primary_assembly:ASM411807v1:9:36195718:36199814:1 gene:Vigun09g187900.v1.2 transcript:Vigun09g187900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHPFITLFSTILIVSTTTLSLSQVIITSGTTNNHSQFFFLMKLSLSGKYPMNWDAGKPVCSFTGVTCNTEGDVISLDLSGWSSLTGKFPADTCSYLPQLRVLRLGHTRFKFNVDTILNCSHLEELNMNHMSQTGTLPDFSSLKSLRILDLSYNSFTGQFPMSVFNLTNLEVLNFNENGGFNLWQLPADIDRLKNLKSMVLTTCMVHGQIPASIGNITSLIDLELSGNFLTGQIPKELGQLKNLRQLELYYNYHLVGNIPEELGNLTELVDLDMSVNKFTGSIPESVCRLPKLQVLQLYNNSLTGEIPGAIENSTALRMLSLYDNFLVGQVPKKLGQFSGMLLLDLSENKFSGPLPTEVCKGGTLEYFLVLDNMFSGEIPQSYANCMMLLRFRVSNNRLEGSIPAGLLGLPHVSIIDVSNNNLNGPIPEINGNSRNLSELFLQRNKISGVITPTISRAISLVKIDFSYNLLSGPIPSEIGNLRRLNLLMLQGNKLNSSIPGSLSSLGSLNLLDLSNNLLTGSIPESLSVLLPNSINFSHNMLSGPIPPKLVKGGLVESFAGNPGLCVLPVYANSSDRNFPICASAYKNKRINTIWIAGVSVVLIFIGSALFLKRRCSKDTAAVEHEETLSSSVFSYDVKSFHKISFDQREIVESLVDKNIMGHGGSGTVYKIELKSGDIVAVKRLWSRKSKDSTPEDRLFVDKALKAEVETLGSIRHKNIVKLYCCFSSFDCSLLVYEYMPNGNLWDSLHKGWTLLDWPTRYRIALGIAQGLSYLHHDLLLPIIHRDIKSTNILLDVDYQPRVADFGIAKVLQARGVKDSTTTVIAGTYGYLAPEFAYSSRATTKCDVYSFGVILMELLTGKKPVEAEFGENRNIVFWVSNKVEGKEGARPSEVFDPRLSCSFKDDMIKVLRVAIRCTYKAPTSRPTMKEVVQLLIEAEPRGSDSCKLSTKDVSNVKKPYEL >Vigun05g215100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40712513:40713445:1 gene:Vigun05g215100.v1.2 transcript:Vigun05g215100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKEQPTSPIKPSSSSSSYVILLLQIMSKRRTWVCVFVLVYGLLFTSSWNILKSMISWYKLQAESSSSSSSCWWPALSASLLLGAVFGVLSMVAAFAVVVPAVLVTWIAIVVLLAFFGKPKRTLVVEGRKITREIFSFVVKVLLKEGNIVAAVCAVLGYFVLGRTNGKGVDSIALD >Vigun03g047200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3765422:3765685:1 gene:Vigun03g047200.v1.2 transcript:Vigun03g047200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQYSRLSLLILMTILMLSQLSSCCKAGRWMSKEIKQKEKSTSSQRLSISWLFYRKTQQGPSTEKVHPIYGVSLRDVPGGPNPLHN >Vigun11g154400.2.v1.2 pep primary_assembly:ASM411807v1:11:36349210:36355055:-1 gene:Vigun11g154400.v1.2 transcript:Vigun11g154400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLISSPSFLGTPLPSLPRHHRTTTRLVATKVHVSLHQIPPIHSISHSIDFVGIATRAEGLLYTLADAAVAADPAAPATDAVVQKNGGWFGFISEAMEAILKVLKDGLSAVHVPYAYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPKIKAIQERYAGNQERIQLETSRLYRQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGAGISWLFPFVDGHPPLGWQDTAAYLILPVLLVVSQFVSMEIMKPPETDPSQKNQLLVLKFLPLMIGYFSLSVPSGLTIYWFINNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSDLQPAKGGERFKQLKEEEKKKKLSKALPVEDIQPLASVSASDDGSDIDSDSKEVEEGAYASKVGEEVQINSRERRSKRSKRKRAV >Vigun11g154400.1.v1.2 pep primary_assembly:ASM411807v1:11:36349210:36355072:-1 gene:Vigun11g154400.v1.2 transcript:Vigun11g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLISSPSFLGTPLPSLPRHHRTTTRLVATKVHVSLHQIPPIHSISHSIDFVGIATRAEGLLYTLADAAVAADPAAPATDAVVQKNGGWFGFISEAMEAILKVLKDGLSAVHVPYAYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPKIKAIQERYAGNQERIQLETSRLYRQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGAGISWLFPFVDGHPPLGWQDTAAYLILPVLLVVSQFVSMEIMKPPETDPSQKNQLLVLKFLPLMIGYFSLSVPSGLTIYWFINNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSDLQPAKGGERFKQLKEEEKKKKLSKALPVEDIQPLASVSASDDGSDIDSDSKEQEVEEGAYASKVGEEVQINSRERRSKRSKRKRAV >Vigun01g013200.1.v1.2 pep primary_assembly:ASM411807v1:1:1470759:1476331:-1 gene:Vigun01g013200.v1.2 transcript:Vigun01g013200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPACNVLISFNDGKNRKQVPFKKENGQMVTVPLFQSQENIAGKITVEPLQGKKIDHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYAFEFSTVEMPYETYNGVNVRLRYVLKVTINRGYAGSIIEYQDFVVRNYSPPPEINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTNTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYFLNLVLVDEEDRRYFKQQEITMYRLQEETS >Vigun07g095600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:15549655:15550293:1 gene:Vigun07g095600.v1.2 transcript:Vigun07g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEFPLLDQTTQNSVISTTLNDFSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPIDVYLSGCPPKPEAIIDAITKLRKKISREIYEDPMSFQRENRCFTTNHKFHVGYSTYTGNYGQEFFYQPPSTSEISSDTFF >Vigun10g157800.4.v1.2 pep primary_assembly:ASM411807v1:10:37722842:37725483:1 gene:Vigun10g157800.v1.2 transcript:Vigun10g157800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKTSAYYENRAVQFGVVSTEWLAQAQSATVHRSPSPPPPLPPPHNDADKPFSVIQEFDSWRKHPDLAEAVAAIRALAAVIRSSKATTMMQLEIQLKSASDSLKCWDTTSISLTAACDLFMRYVTRTSALEYEDFNSAKSRLLERADKFGEISFKAREIIAMLSQDFVFDGCTILVHGFSRVVLQILKLAAQNNKLFRVLCTEGRPDRTGLRLSNELAKLDVPVKLVIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIAMVAKSMNKPVYVAAESYKFARFYPLDQKDLAPALRPVDFGVPVPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Vigun10g157800.2.v1.2 pep primary_assembly:ASM411807v1:10:37722823:37725493:1 gene:Vigun10g157800.v1.2 transcript:Vigun10g157800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKTSAYYENRAVQFGVVSTEWLAQAQSATVHRSPSPPPPLPPPHNDADKPFSVIQEFDSWRKHPDLAEAVAAIRALAAVIRSSKATTMMQLEIQLKSASDSLKCWDTTSISLTAACDLFMRYVTRTSALEYEDFNSAKSRLLERADKFGEISFKAREIIAMLSQDFVFDGCTILVHGFSRVVLQILKLAAQNNKLFRVLCTEGRPDRTGLRLSNELAKLDVPVKLVIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIAMVAKSMNKPVYVAAESYKFARFYPLDQKDLAPALRPVDFGVPVPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Vigun10g157800.1.v1.2 pep primary_assembly:ASM411807v1:10:37722310:37725499:1 gene:Vigun10g157800.v1.2 transcript:Vigun10g157800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKTSAYYENRAVQFGVVSTEWLAQAQSATVHRSPSPPPPLPPPHNDADKPFSVIQEFDSWRKHPDLAEAVAAIRALAAVIRSSKATTMMQLEIQLKSASDSLKCWDTTSISLTAACDLFMRYVTRTSALEYEDFNSAKSRLLERADKFGEISFKAREIIAMLSQDFVFDGCTILVHGFSRVVLQILKLAAQNNKLFRVLCTEGRPDRTGLRLSNELAKLDVPVKLVIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIAMVAKSMNKPVYVAAESYKFARFYPLDQKDLAPALRPVDFGVPVPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Vigun10g157800.3.v1.2 pep primary_assembly:ASM411807v1:10:37723176:37725493:1 gene:Vigun10g157800.v1.2 transcript:Vigun10g157800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKTSAYYENRAVQFGVVSTEWLAQAQSATVHRSPSPPPPLPPPHNDADKPFSVIQEFDSWRKHPDLAEAVAAIRALAAVIRSSKATTMMQLEIQLKSASDSLKCWDTTSISLTAACDLFMRYVTRTSALEYEDFNSAKSRLLERADKFGEISFKAREIIAMLSQDFVFDGCTILVHGFSRVVLQILKLAAQNNKLFRVLCTEGRPDRTGLRLSNELAKLDVPVKLVIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIAMVAKSMNKPVYVAAESYKFARFYPLDQKDLAPALRPVDFGVPVPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Vigun10g140400.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35746089:35748826:1 gene:Vigun10g140400.v1.2 transcript:Vigun10g140400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDSTRLNEGIGAGLDEEDDGAGNDYIEFEDEDIDKI >Vigun10g140400.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35746089:35747011:1 gene:Vigun10g140400.v1.2 transcript:Vigun10g140400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDSTRLNEGIGAGLDEEDDGAGNDYIEFEDEDIDKI >Vigun10g140400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35746268:35746705:1 gene:Vigun10g140400.v1.2 transcript:Vigun10g140400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDSTRLNEGIGAGLDEEDDGAGNDYIEFEDEDIDKI >Vigun10g140400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35746089:35748907:1 gene:Vigun10g140400.v1.2 transcript:Vigun10g140400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPDSTRLNEGIGAGLDEEDDGAGNDYIEFEDEDIDKI >VigunL068501.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000480.1:29389:31306:1 gene:VigunL068501.v1.2 transcript:VigunL068501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKFSNYKWLVQSGLRVSQRSHISQMFEVVNLDEAGKYGWFSCFKHVFILYGVFSSVISVRIV >Vigun05g108800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11165422:11166551:-1 gene:Vigun05g108800.v1.2 transcript:Vigun05g108800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLDHNGVPINSVIPTPTRVATISVVDHCLPYDTHNLYDVTFHTHTIHTLLTSDPSLVHSWISNNIRSHQTGLMVGLDIEWRPNTQRNMQNPVATLQLCLGQHCLVFQILHSPSIPPSLVSFLADRNVTFVGVGIEEDAEKLLEDYNLHVVNIQDLRSLAAEKLRDRELNRAGIKSLGLRVLGLEVEKPKRITRSRWDNPWLTPQQVQYATVDAFLSYEIGRSLSV >Vigun11g195500.1.v1.2 pep primary_assembly:ASM411807v1:11:39474365:39474956:-1 gene:Vigun11g195500.v1.2 transcript:Vigun11g195500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSCNLFIFGMLFATLVLLSVVGETVFAEAVAVEDSPVPSCDGLKCSLGTAVCNICCIGQGYTSGTCVKQGYYYICQCKI >Vigun03g277000.1.v1.2 pep primary_assembly:ASM411807v1:3:45360527:45363519:-1 gene:Vigun03g277000.v1.2 transcript:Vigun03g277000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFQLSIPRRGIMAENHQDSSPLLSNYSDGGENKINAGLNNDSVTVSERSGSARIPEVVEEIKDLYTIAIPTIATGLLTYGKSAISMHFLGKLGKDTLAGGSLAIGMANITGYSVISGLATGMEGISSQACGARKWNLVGETLQCTIAILIMTCIPISALWLNFESLLLLFGQNPTISSVAATYLAYSIPDLLCQSLISPLKIYLRTQGVTLPLMFTATLTLMLHACMNYVVIHTFGLGIQGISLVGAFTNLSFIIVLVLYMWFSGVCSQSWQGWSWKCFKHWKPILGQGAPSCVSVCLEWWWYELLVLFSGLLENAAENVSAYGIIIQATSFMYNFPYALGLAVSTKVGNELGANRPNKAKTSSYTALLCACFTAIVAMLSMLSMSNVWGLMFTHDETILSLLSTTLPIVGLCELGNCPQTTICGMLSGSARPALGAKINFLSFYGVGLPVGLLLCFGYDFGLLGLFLGLLSAQIVCAIAMVVALTQTDWKEQANRAKKLTGGLSEINGENNEDVEGAAPLLV >Vigun03g325700.1.v1.2 pep primary_assembly:ASM411807v1:3:52219451:52223796:-1 gene:Vigun03g325700.v1.2 transcript:Vigun03g325700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKSKKVEDKTKKHKKSLTMAARRLSSWLLSRSLSSYSHSSGAASWLHSLGRNSGGWRNINRFSTAAAVEDLITPQVPIRYTQHLINGKFVDAASGKTFPAYDPRTGEVIANVAEGDVEDINRAVSAARKAFDEGPWPKMSAYERSRILLRFADLVEKHSDELAALETWNNGKPYEQAKTELPLFVRLFHYYAGWADKIHGLTVPADGDYHVQTLHEPVGVAGQIIPWNFPLVMFAWKVGPALACGNTIVLKTAEQTPLTALFAAKLFHEAGLPEGVLNIVSGYGPTAGAALASHMDVDKLAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFIVFDDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKSKKRALRRVVGDPFKKGVEQGPQIDGEQFEKVLRYIKSGIESNATLECGGDRLGSKGFFIQPTVFSNVQDDMLIAQDEIFGPVQSILKFKDTDEVIARANRTRYGLAAGVFTKNVETAHTMMRALKAGTVWINCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLNNPAWI >Vigun08g030400.1.v1.2 pep primary_assembly:ASM411807v1:8:2795411:2803679:1 gene:Vigun08g030400.v1.2 transcript:Vigun08g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSQRKNAAMLDSDDDSSSVSSSSTSRTDHFSVSGNEEVHFDQDALLDQALDALDEKRSSTRERALSVIVGAFSSNVQHQFVDKKFATLLHLCLASIKKGSKKASAKEIALASHVIGLLSLTVGCGDNRAREIFEETARPLDEFLTSKSDLTRIPSLLACLAIITFVGGNDQEETEKSMDILWRVIHPRLGSNVVAVKPSAPLITAVISAWSFLLSTMSEFKLNSKIWQNSISYLSSLLDKEDRPMRIAAGEALAVIFENGIIEKFSADSKGASEMIQEEINLQESYIHLQGLKGKVVNQVKNLSVEAGGKGSAKKDLNNQRNLFRDIVEFFEYGYSPEVSMKIGGDSLQTSSWSQMIQLNFLKHFLGGGFIKHMQENDFLQDVFNFTPKRRYLNDNEHRMSSGEKRMFKSPNSVQNKARTQLINKQRLLSEGRNLGHYTASMVDDEAF >Vigun08g030400.2.v1.2 pep primary_assembly:ASM411807v1:8:2795411:2803679:1 gene:Vigun08g030400.v1.2 transcript:Vigun08g030400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNEEVHFDQDALLDQALDALDEKRSSTRERALSVIVGAFSSNVQHQFVDKKFATLLHLCLASIKKGSKKASAKEIALASHVIGLLSLTVGCGDNRAREIFEETARPLDEFLTSKSDLTRIPSLLACLAIITFVGGNDQEETEKSMDILWRVIHPRLGSNVVAVKPSAPLITAVISAWSFLLSTMSEFKLNSKIWQNSISYLSSLLDKEDRPMRIAAGEALAVIFENGIIEKFSADSKGASEMIQEEINLQESYIHLQGLKGKVVNQVKNLSVEAGGKGSAKKDLNNQRNLFRDIVEFFEYGYSPEVSMKIGGDSLQTSSWSQMIQLNFLKHFLGGGFIKHMQENDFLQDVFNFTPKRRYLNDNEHRMSSGEKRMFKSPNSVQNKARTQLINKQRLLSEGRNLGHYTASMVDDEAF >Vigun02g037601.1.v1.2 pep primary_assembly:ASM411807v1:2:15729999:15730411:1 gene:Vigun02g037601.v1.2 transcript:Vigun02g037601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGVHKYVLFFAWLLLGKEVKSKKRKMFAKEVMNFVEPQGIWSRKCL >Vigun05g063601.1.v1.2 pep primary_assembly:ASM411807v1:5:5507842:5508146:1 gene:Vigun05g063601.v1.2 transcript:Vigun05g063601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLSFLILSYGFSFSVLSFGLVFFFCLLDFTFRSFLTLPFGLVFLVLSFILPFLVLSFSFVFLVLAFLVQLLSLTFRFYLLGLVLSTLFS >Vigun04g102725.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23735532:23735864:1 gene:Vigun04g102725.v1.2 transcript:Vigun04g102725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKFYEDNNKKSKSNMNLRDAYDQPLCRQHDNPKESLQKSSVTQGSSEASINLSHDYFDPFETKSSSDLMKKDENVMNRSSSQTTEPASQVAITLSQTMVSLVHPQE >Vigun01g207000.1.v1.2 pep primary_assembly:ASM411807v1:1:38277768:38282045:1 gene:Vigun01g207000.v1.2 transcript:Vigun01g207000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSAGLSKKTPIFGLKVWEIIGIVVGLSIIVILAVVSLCLTSRKKSRKEKDRIPLSQIPTVSKEIKEVRIEQVPTNAFAPRDGILLTIRDKSSGKESDNVMVHLGVGKMKNGNSNTNTHSDSFRYIEKDGSGSYSQSGEEGSSGTFTVYKQSSSHPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYKGQLVNGTPVAVKKILNNIGQAEKEFKVEVEAIGHVRHKNLVRLLGFCVEGTHRILVYEYVNNGNLEQWLHGAMRHHGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSYVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEGITGRDPVDYGRPANEVNLVDWLKMMVGNRRSEEVVDPNIEVKPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMMESEEYPLPREDRRHRRRNRGGVEK >Vigun10g181600.4.v1.2 pep primary_assembly:ASM411807v1:10:39913691:39916957:-1 gene:Vigun10g181600.v1.2 transcript:Vigun10g181600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCLVTFASHGHFGIKRLAETPYMEKSCDKISSSVSSNLSSEDENSSSLNFKETELRLGLPGCEFSENKPGAAGISLFGKDLQSSGYSASSIPSNKNLKRGFSDAISSSSSSSGKWIFSASDASGDDLRNGCNKEVGLVPHSEKPPQVSATIEHATAPAAKAQVVGWPPIRSFRKNTMAYNMAKSNTETEEKSRVGCLYVKVSMDGAPYLRKVDLKTHCNYIELSSALEKMFSCFTIGQCSSRGVSGKEGLSERAFRDLVDGSEYVLTYEDKDGDWMLVGDVPWDSKGNGKI >Vigun10g181600.2.v1.2 pep primary_assembly:ASM411807v1:10:39913665:39916025:-1 gene:Vigun10g181600.v1.2 transcript:Vigun10g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFEHDYIGLAETPYMEKSCDKISSSVSSNLSSEDENSSSLNFKETELRLGLPGCEFSENKPGAAGISLFGKDLQSSGYSASSIPSNKNLKRGFSDAISSSSSSSGKWIFSASDASGDDLRNGCNKEVGLVPHSEKPPQVSATIEHATAPAAKAQVVGWPPIRSFRKNTMAYNMAKSNTETEEKSRVGCLYVKVSMDGAPYLRKVDLKTHCNYIELSSALEKMFSCFTIGQCSSRGVSGKEGLSERAFRDLVDGSEYVLTYEDKDGDWMLVGDVPWDSKGNGKI >Vigun10g181600.1.v1.2 pep primary_assembly:ASM411807v1:10:39913582:39916187:-1 gene:Vigun10g181600.v1.2 transcript:Vigun10g181600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFEHDYIGLAETPYMEKSCDKISSSVSSNLSSEDENSSSLNFKETELRLGLPGCEFSENKPGAAGISLFGKDLQSSGYSASSIPSNKNLKRGFSDAISSSSSSSGKWIFSASDASGDDLRNGCNKEVGLVPHSEKPPQVSATIEHATAPAAKAQVVGWPPIRSFRKNTMAYNMAKSNTETEEKSRVGCLYVKVSMDGAPYLRKVDLKTHCNYIELSSALEKMFSCFTIGQCSSRGVSGKEGLSERAFRDLVDGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKKLRIMKGSEAIGLAPRAMEKSRSQY >Vigun10g181600.3.v1.2 pep primary_assembly:ASM411807v1:10:39913691:39916957:-1 gene:Vigun10g181600.v1.2 transcript:Vigun10g181600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCLVTFASHGHFGIKRLAETPYMEKSCDKISSSVSSNLSSEDENSSSLNFKETELRLGLPGCEFSENKPGAAGISLFGKDLQSSGYSASSIPSNKNLKRGFSDAISSSSSSSGKWIFSASDASGDDLRNGCNKEVGLVPHSEKPPQVSATIEHATAPAAKAQVVGWPPIRSFRKNTMAYNMAKSNTETEEKSRVGCLYVKVSMDGAPYLRKVDLKTHCNYIELSSALEKMFSCFTIGQCSSRGVSGKEGLSERAFRDLVDGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKKLRIMKGSEAIGLAPRAMEKSRSQY >Vigun09g152000.1.v1.2 pep primary_assembly:ASM411807v1:9:31625503:31632827:-1 gene:Vigun09g152000.v1.2 transcript:Vigun09g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQQEKFVRFRDWNSDKGSESSSPAIHIERPGGIKNTLNSVSEKFQRGLESSSEGMKRFRKSFKSLPYNRVLSGSFSSTKKILDPQGPFLQKWNKIFVLSCLIAVSLDPLFFYVPVIDDNNKCLSLDRKMEITATVLRSFSDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDAWVIAMRYLSSYFLIDILAVLPLPQVAILIIIPKLRGSESLNTKTLLKFIVFFQYIPRFLRLIPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVIGAFWYLFSIERETTCWQDACRGNSTCNTEAMYCDNHQVLGTISVFLNASCPIQDQNTALFNFGIFLDALQSGVVESRDFPQKFFYCFWWGLRNLSSLGQNLATSTYVWEICFAIFISIAGLVLFAFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDSLRERIRRHEQYKWQETRGVDEDNLIRDLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLQPVLYTEESCIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQTLSEVEAFALKADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALAKAGGSSPSLGATIYASRFAANALRLLRRNSTRKTRVPERISPMLLQKPAEPDFTSEER >Vigun07g034200.1.v1.2 pep primary_assembly:ASM411807v1:7:3274914:3279455:1 gene:Vigun07g034200.v1.2 transcript:Vigun07g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAFCSDCKKQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPNRVGGPSNPLLTDGGLSTVIAKPNGSGGEFLSSSLGRWQNRGSNPDRALILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLENGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISIATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLRSLCSP >Vigun04g022500.1.v1.2 pep primary_assembly:ASM411807v1:4:1717756:1723762:-1 gene:Vigun04g022500.v1.2 transcript:Vigun04g022500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNTCKLSEFSFSTLNPPPPHRSFHKFPFRTLTFASLPTPKPNVSAPWLTKSPSPKRVTEPLTADDPIHRTTPKQPQNAVERIVLRLRNLGLPSEEEEQEERQQEVPASTPAPATGDERLSELLRREWVRPDAGLVGEDGEEEMMLPWEREEEKVVVVGGGRDEEGLKKRRVTAPSLADLTLEDELLRRLRREGMRVRERVSVPKAGLTQEVMEKIHKRWMKEELVRLKFHEELAKDMRKAHEIVERRTGGLVTWRAGSVMMVYRGIDYQGPDSRKEVNDKKGNEFFVPDVSSGSLSKSEVSNAVSSLEKSEVVEREQEQSKNLTEAEAEYNALLDDLGPRFVGWWGTGILPVDADLLPRTVPGYKTPFRLLPTGMRSRLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLACAILKLWEKSLVAKIAVKPGIQNTNNELMSDELKMLTGGTLLLRNKYFIVIYRGKDFVPTSVATVLAEREEMTKQVQDVEDKFRCRAVDAIPTGQGEATAQAGTLAEFYEAQARWGREISPGEREKMVEEAAKAKTAKLVRKIEHKLFLAQTKKHRAEKLLAKIESSMVPAGPDYDQETITDEERVMFRKVGLRMKPYLPLGIRGVFDGVVENMHLHWKHRELVKLMTKQKTLAFVEDTARLLEYESGGILVAIEKVSKEFALIYYRGKNYKRPINLRPRNLLTKGKALKRHVAMQRHEALSQHITELENTIEQLKKELDKPQDLDDEDGASTEEEDHNQIDNISELTLSENEDSDGFDDEEEGDWLDDGEDSDWEDDEDPSFPNS >Vigun02g051100.3.v1.2 pep primary_assembly:ASM411807v1:2:19271907:19279408:-1 gene:Vigun02g051100.v1.2 transcript:Vigun02g051100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRATSDPAAVEFAGDTSWTFAADGSDAAYLFSGDGERSVLREFGWNLEPDQLNRIGTDDGLWMPVQSSLTCSIEPAAAASSRSNNQSVSSSSSEDQPEKSTVSDEKPPEIPIKGKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIISHEAAAFAGQLAPTMSQFYYPIHLPRETNNINTVSSISQPCQAQDDASGGSSAVMPADASSLQPPTDEGLLGDIVPPGMRTR >Vigun02g051100.4.v1.2 pep primary_assembly:ASM411807v1:2:19272139:19279275:-1 gene:Vigun02g051100.v1.2 transcript:Vigun02g051100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRATSDPAAVEFAGDTSWTFAADGSDAAYLFSGDGERSVLREFGWNLEPDQLNRIGTDDGLWMPVQSSLTCSIEPAAAASSRSNNQSVSSSSSEDQPEKSTVSDEKPPEIPDIFCRIKGKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIISHEAAAFAGQLAPTMSQFYYPIHLPRETNNINTVSSISQPCQAQDDASGGSSAVMPADASSLQPPTDEGLLGDIVPPGMRTR >Vigun02g051100.6.v1.2 pep primary_assembly:ASM411807v1:2:19272139:19279409:-1 gene:Vigun02g051100.v1.2 transcript:Vigun02g051100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRATSDPAAVEFAGDTSWTFAADGSDAAYLFSGDGERSVLREFGWNLEPDQLNRIGTDDGLWMPVQSSLTCSIEPAAAASSRSNNQSVSSSSSEDQPEKSTVSDEKPPEIPIKGKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIISHEAAAFAGQLAPTMSQFYYPIHLPRETNNINTVSSISQPCQAQDDASGGSSAVMPADASSLQPPTDEGLLGDIVPPGMRTR >Vigun02g051100.5.v1.2 pep primary_assembly:ASM411807v1:2:19272139:19279275:-1 gene:Vigun02g051100.v1.2 transcript:Vigun02g051100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRATSDPAAVEFAGDTSWTFAADGSDAAYLFSGDGERSVLREFGWNLEPDQLNRIGTDDGLWMPVQSSLTCSIEPAAAASSRSNNQSVSSSSSEDQPEKSTVSDEKPPEIPDIFCRIKGKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIISHEAAAFAGQLAPTMSQFYYPIHLPRETNNINTVSSISQPCQAQDDASGGSSAVMPADASSLQPPTDEGLLGDIVPPGMRTR >Vigun02g051100.2.v1.2 pep primary_assembly:ASM411807v1:2:19271907:19279408:-1 gene:Vigun02g051100.v1.2 transcript:Vigun02g051100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDRATSDPAAVEFAGDTSWTFAADGSDAAYLFSGDGERSVLREFGWNLEPDQLNRIGTDDGLWMPVQSSLTCSIEPAAAASSRSNNQSVSSSSSEDQPEKSTVSDEKPPEIPIKGKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIISHEAAAFAGQLAPTMSQFYYPIHLPRETNNINTVSSISQPCQAQDDASGGSSAVMPADASSLQPPTDEGLLGDIVPPGMRTR >Vigun06g038900.1.v1.2 pep primary_assembly:ASM411807v1:6:15767083:15767823:-1 gene:Vigun06g038900.v1.2 transcript:Vigun06g038900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLKVTFVFSLFFYGSVIGFDYWTIAQTWPAGFCTHNVCDATKPKPLKFTIHGLWPSNYTTHQPAFCLSSNLNPTLIHGIVLKLNQDWPSYNSNNSHFWNYEWKKHGTCSHMSELDYFTHTLDIYTRNDLQQILKHANILHGNTYDIQHFITAIHTSQVGAEPQLICTKGDLIEIRLCLNKNPVPEYIKCPPPPPKTACPANVSFV >Vigun07g078150.1.v1.2 pep primary_assembly:ASM411807v1:7:10648052:10650701:-1 gene:Vigun07g078150.v1.2 transcript:Vigun07g078150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGSRLSFVVAHVALAKAKCVLSTMFWHSSGSNMRGKSE >Vigun08g062400.1.v1.2 pep primary_assembly:ASM411807v1:8:8465501:8469762:-1 gene:Vigun08g062400.v1.2 transcript:Vigun08g062400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCKISSSLFLSLSLKPKLIYRTNAFLLSRSTATTSNHKIQNAKAGLAKEKRRTRSDKHFNPDAIIHHCNVNHSDIDTATKFSHIPVMLGEVMDVFSAASLTSFVDCTLGAAGHSTAVIKGHPEMKFFVGMDVDSAAHDIAQPRLDAVLSGDVKAFTVLRNFRDVKSVLREISDLHMGVDGILMDLGMSSMQVDNPARGFSVLSDGPLDMRMDPQASLKAEDILNSWPDSEVGRILREYGEESNWRTLQKKIVNARLHGGFHSTTDLLDLIKRVTPPMKGGRQGWIKTATRVFQALRIAVNDELKTLEDSLYSCFDCLAPRGRLAVISFHSLEDRIVKQTFLNIVKGGEDMEERESLNSDLRKTSDEIKEKEAWIKQVIHGSNGTILTKRPITPSGEEEKLNRRSRSAKLRVIQKH >Vigun07g028900.2.v1.2 pep primary_assembly:ASM411807v1:7:2626739:2631298:1 gene:Vigun07g028900.v1.2 transcript:Vigun07g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNLIFWLLICFPANIALLASTFYQVLILSDLESDYVNPFDASSRINYFVLPEFIGQGALCVLCLFTGHWFMFLLTVPVTVYHARLYMKREHLIDVTEVFRVVNAEKKFRIVKLALYLTVLIVTIFRLTLIAVYYLGIEDDDDLGHLW >Vigun07g028900.1.v1.2 pep primary_assembly:ASM411807v1:7:2626429:2631283:1 gene:Vigun07g028900.v1.2 transcript:Vigun07g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNLIFWLLICFPANIALLASTFYQVLILSDLESDYVNPFDASSRINYFVLPEFIGQGALCVLCLFTGHWFMFLLTVPVTVYHARLYMKREHLIDVTEVFRVVNAEKKFRIVKLALYLTVLIVTIFRLTLIAVYYLGIEDDDDLGHLW >Vigun03g173601.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:21287509:21287802:-1 gene:Vigun03g173601.v1.2 transcript:Vigun03g173601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSICFCTLLLLLLSFSMSESRPLRNDDPFSSSHYLYPGSEGRSLAMEESVKVSSYTNGFRNSNAARSHYYRPNRASPGGPDPHHHFKTGSNTLN >Vigun05g048300.1.v1.2 pep primary_assembly:ASM411807v1:5:4149005:4153238:-1 gene:Vigun05g048300.v1.2 transcript:Vigun05g048300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEDSFNNVKQWLSEIDRYASDSVNKLLVGNKCDLADNRAVSYDTAKAFADEIGIPFMETSAKDATNVEQAFMAMSASIKNRMASQPANNARPPTVQIRGQPVAQKGGCCSS >Vigun08g042400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4376233:4377130:1 gene:Vigun08g042400.v1.2 transcript:Vigun08g042400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDDNVLLVSGERKREEEKEGVKFLRMERRVGKFMRKFVLPENANTDAISAVCQDGVLSVTVQKLPPPQPTKPRTIEVKIA >Vigun07g247100.1.v1.2 pep primary_assembly:ASM411807v1:7:36724982:36728428:1 gene:Vigun07g247100.v1.2 transcript:Vigun07g247100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAMAALSLRLLSFLCCLFLIFISEASDWVCDYSKVGNYTVNSPYHKNLHTLLSSFSSRTEINYGFYNFSYGRDPDKVYAVGLCRGDQKQDECLNCLNHTRGVLAEHCPNQKEAIGWGAECMLRYSNRSIIGIVENEPFQVPFYTLNVTGRVNPFNAVLQSLMRNLTSIAASGDSRRKYATGSAPAPEFQKIYGYTQCTPDLTSEDCTNCLKEAMALILDCCSGRSGGIVLKPNCRIRFDPKIFYGPTVKLLPYAPAPAPPSPSTTNASSSQGKSHTSRTVITIAVPVACFVLVFPLLCIYLSGRKPRKKDEFKREEDSDDEITFIESLQFNFDTLRVATNEFAESNKLGHGGFGVVYRGKLSNGQMIAMKRLSRGSGQGHVEFKNEVVLVTKLQHRNLVRLLGFCFEGQERLLVYEYLPNRSLDNFIFYPTKHAHLDWKTRYKIIGGIAGGLLYLHRDSRQRIIHCDLKLSNVLLDAQMNPKISDFGLAKLFEIELTHASKIAGTLGYMAPEYARHGKLSMKLDVFSFGVILLEIVSGQKNGGFRNGENVEHLLSFAWKNWRKGTADSIIDPVLNNALRGEIVRCIHIGLLCVQEKADDRPTMAEVVLMLDSHSFSLPVPLQPAYFMINGCSSVIQFSEFGSVETGSNEQKIDFADASTNEVSISGLYPR >Vigun08g174800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34509065:34510537:-1 gene:Vigun08g174800.v1.2 transcript:Vigun08g174800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTASAISYYPVNNGSRGFGKSGNFSKGRCCIKAMKIEKSLEELYNVKVERKVSPERLTELGVSKWSVWKTGKSKLPWDWQVDQLVYIEEGEVRVVPQGSKRFMQFLAGDLVRYPKWFEADLWFNGPYQERYSFRAYGDD >Vigun05g274400.1.v1.2 pep primary_assembly:ASM411807v1:5:46446983:46450690:1 gene:Vigun05g274400.v1.2 transcript:Vigun05g274400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSNSLPHSGVPAPDDDRTDTTNDDSQLSFREFLRTFMDLLFPNVLKRVEYLKELQKQFDKLQAEYFVEQSLLRAKYEELYEEFYQKRYEIVNGIKDVENTDEADKFMEVKGIPKFWLAVMKSNEKLGELITKDDEGALEYLKDIKSCRIDHFKGFKLEFFFSRNPYFKNSVLEKTYTIDKAGPVFIKAKGTEIEWRPRKCLTSKVLRLQKMRRNRSKNDKPLTNTVKCKSFFNFFNPPLVHDEDDEDDNDENDNDEDDNDEDDADDNDEDDVPIEEIQKDYELGCVIRDQIIPQAVSWFAGSFTDTTLFVL >Vigun11g176700.1.v1.2 pep primary_assembly:ASM411807v1:11:38129314:38133945:1 gene:Vigun11g176700.v1.2 transcript:Vigun11g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDDTAAEACHDGGRGEAQAMNRNRSAWMAHWMKSGYKSASPACNRLGADCEMKEEKEENGAEQHVLLGGIYSSVHAGEAVRATSVTFNSVADNEKGKKAGYDSKSFPALKFTGKLDGKLPLRRERHDGEDGKSETEPCSGDGTVSLNRGGTSRAGLSSIPAHVPLNMETVVKECQVLSQEVLPTALVMRSPWDVEQQNLTVSSSLWDDFVKSASDAVPNGHGRGKAVMPQITHEPFEIYQSTYNLAARGRFASTKYHTFSSLLISEKKMSSLLNPPRSVFSRWMQGGITRLPHDSVAGSGDSLYFVGGKQHEIEKYVSNPNITCQTESSEADKLQKLSGLNSVVDQIPCSIHDMESMKIYTSIDSVEESSRGRPKISQATHHILMSKNTDVTFSDRGQFFRESISPIKFKGNAFNEILDFSPPTSDHALEALKLEGIGSSIKSGGKENVHDFKYPTCLKNESSAETDTMDIDALHKNDLLGDVSFHTNKCSKDSQNSLTSKVATISPRDKTLAKSMNTAIPDINEEPCDLLAEEGPVVDREASTSRTHSLELDHFLSHADEHVRSNSGNSSFGSDPSSRWVKRLKLCRLGSAHGTESTRIGEPFSHEKVNSIFGKITKDSKIRLEPKMIYHAEGQMVPHIPVTVSTNGKSTLTEAKKTVEITLSHPWIQRWSHNRAASSQKRHELGELREQKSSNAVPEESQKKQFPSIAAMALMGKAMNSLNPSELMKKGPVIVWNMKGF >Vigun08g176201.1.v1.2 pep primary_assembly:ASM411807v1:8:34668073:34668851:1 gene:Vigun08g176201.v1.2 transcript:Vigun08g176201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSNVWSVEIYHDMHFQETKNVVIPPRELVF >Vigun03g335300.2.v1.2 pep primary_assembly:ASM411807v1:3:53350701:53352699:-1 gene:Vigun03g335300.v1.2 transcript:Vigun03g335300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKTKKLKIAENLEDTIDEKLILSIEKLQEVQDELDKINEEASDKVLEVEQKFNEIRKPVYDKRNEIIVKSIPDFWFTAFMSHPALCELLNLEDQKIFKYLGSLEVEDNKDVKSGYSITFNFNPNPYFENTKLTKTFTFFEEGSTKITATPIKWKEGKGLPNGVNLDKNGKRGRIDIRPFLLEKEKEKLLLEAAVFWHSN >Vigun03g335300.1.v1.2 pep primary_assembly:ASM411807v1:3:53348843:53352699:-1 gene:Vigun03g335300.v1.2 transcript:Vigun03g335300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKTKKLKIAENLEDTIDEKLILSIEKLQEVQDELDKINEEASDKVLEVEQKFNEIRKPVYDKRNEIIVKSIPDFWFTAFMSHPALCELLNLEDQKIFKYLGSLEVEDNKDVKSGYSITFNFNPNPYFENTKLTKTFTFFEEGSTKITATPIKWKEGKGLPNGVNLDKNGKRGRIDISFFTWFSDSEQKEDADEIHDEVAELIKEDLWPNPLTYFNNEFDEEDFDDEGDDEEKDEDDQEDDDDEEESNDI >Vigun07g236300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35782446:35783444:-1 gene:Vigun07g236300.v1.2 transcript:Vigun07g236300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDAICAATTNIRDEGIDLTQISLRPISLDDVDDVMAWTTDEKVARYCTWEPYTSKEEGINFIQNIASKSLWFRAICLNDRVIGCIDLSSCEGQGRNRHKSAELGYALGTRYWGKGIATHAVKQVVKAAFTEFPHLERLQALVFLENVASQRVLHKAGFQREGVFRKYEFIKGKSRDMVCYSFLSDDCPN >Vigun03g214700.2.v1.2 pep primary_assembly:ASM411807v1:3:35652098:35668395:-1 gene:Vigun03g214700.v1.2 transcript:Vigun03g214700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEMPLDQIKQLRILLRKEANLSWYEPEKEENLALPKLPSVSETIAKLDPSPSYLRCKNCNGRLIRDMQSFVCVFCGTNSRKDLPPEPIKFKSTIGYRWLLESLQLDGSEMVAPVVDENEWNRGRNESKDEIPLSELLDLEIRWPSEAEITQLSTSDSAAFLGRSSLSLAGVDLDSYFDRKESDSDVFGQNLASGRQVGTVLDNTFQANENLSLFQNVQASEMASGSAENPSDDSFSNWEASFTSSSSGPIHELSKSVDHSKVDLDTAHGVWKGSVGGKENDDFHPSASTEHDYFQGDGWRTSNSMLHAQTGKSESTINHIGTKTTDSASGSSRNLEWMQDDLWQGSDNKTTDAVANAEDKYSFDEWNDFTGSASTQDPSSTVTSSNTTGQTRNIGFSVDFNDTKMVEDANSSSNKDLDWMQHQSQDSNNETTDTISADVAVVSFDSWNDFTGSVNTQHSSFGVSNSEVMNQIDTFELTQGRNDTRTAESASGNFDWMQDDQWQAVDTKGTGIVTTNKVGDSFDAWNDFTGSAISHNPASVVSDSLITAQTGISADLNDMKTEKGTNAFSHDSFDWTQDNQWQDSNNKTNDTRTTNDIDSFDDWNDFTSLATNQDHSSNVLNQTANQTSAERTSEANLLSLSIRSQNIEFSGLPQHDLFPGQFGSSPSSLQATYSNRVAEVDVERENPGDVSTAVGSKDDVEMLMSQMHDLSFMLESSLSIPRKCLRVIIGGLPSHGDS >Vigun03g214700.1.v1.2 pep primary_assembly:ASM411807v1:3:35654261:35668416:-1 gene:Vigun03g214700.v1.2 transcript:Vigun03g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEMPLDQIKQLRILLRKEANLSWYEPEKEENLALPKLPSVSETIAKLDPSPSYLRCKNCNGRLIRDMQSFVCVFCGTNSRKDLPPEPIKFKSTIGYRWLLESLQLDGSEMVAPVVDENEWNRGRNESKDEIPLSELLDLEIRWPSEAEITQLSTSDSAAFLGRSSLSLAGVDLDSYFDRKESDSDVFGQNLASGRQVGTVLDNTFQANENLSLFQNVQASEMASGSAENPSDDSFSNWEASFTSSSSGPIHELSKSVDHSKVDLDTAHGVWKGSVGGKENDDFHPSASTEHDYFQGDGWRTSNSMLHAQTGKSESTINHIGTKTTDSASGSSRNLEWMQDDLWQGSDNKTTDAVANAEDKYSFDEWNDFTGSASTQDPSSTVTSSNTTGQTRNIGFSVDFNDTKMVEDANSSSNKDLDWMQHQSQDSNNETTDTISADVAVVSFDSWNDFTGSVNTQHSSFGVSNSEVMNQIDTFELTQGRNDTRTAESASGNFDWMQDDQWQAVDTKGTGIVTTNKVGDSFDAWNDFTGSAISHNPASVVSDSLITAQTGISADLNDMKTEKGTNAFSHDSFDWTQDNQWQDSNNKTNDTRTTNDIDSFDDWNDFTSLATNQDHSSNVLNQTANQTSAERTSEANLLSLSIRSQNIEFSGLPQHDLFPGQFGSSPSSLQATYSNRVAEVDVERENPGDVSTAVGSKDDVEMLMSQMHDLSFMLESSLSIPRK >Vigun05g243200.1.v1.2 pep primary_assembly:ASM411807v1:5:43633805:43636463:1 gene:Vigun05g243200.v1.2 transcript:Vigun05g243200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLSNAKKPVKYFVVDAFAESAFKGNPAAVCLLEDEREEKWLQALAAEFNISETCFITPITPISDSSVPTFRLRWFTPVAEVKLCGHATLATAHVLFSSGSVDSDVIEFETLSGVLVAKKIPAIHTSSASNLQKDLTDFYIELDFPVDPVKEFNYDESASLISEALDGASIVDVKRTTIGDCLLVILSSGKAVSELQPQLDTIVKCPATGVIVSGVAPSDSEFDFMSRFFCPKLGISEDPVCGSAHCSLTPYWSKKLGKTNFKAYQASARGGVLNIQFDEGAQRVFLRGKAVTVMEGHVVV >Vigun01g230300.1.v1.2 pep primary_assembly:ASM411807v1:1:40257891:40260396:-1 gene:Vigun01g230300.v1.2 transcript:Vigun01g230300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLSIDLEDLYIHIAWPLYRKYGHAFEAFKIIVTDPDSVLNTLTREVKEVGPDGQEVTKVVPAVSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRRAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGILVLNNAITACTQSIEQHKGKLVVKEGARAVSERDDKLLAEHMAKLRQDNEEVSGDEDSEEEEDTGMGEVDVDNGSAITE >Vigun09g156600.1.v1.2 pep primary_assembly:ASM411807v1:9:32203071:32204316:-1 gene:Vigun09g156600.v1.2 transcript:Vigun09g156600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAAPGGAAPPPVENNDKVEKPLTPVSLPPKLERKNSIEAEPKTLLQEEMDNAREAALKIINSHTKEEALKIFLTGLVPVETTSKQVKKDVVGSDCDDYE >Vigun05g033800.2.v1.2 pep primary_assembly:ASM411807v1:5:2745020:2751387:1 gene:Vigun05g033800.v1.2 transcript:Vigun05g033800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATPPTAPSAQVVGNAFVEQYYHILHHSPDLVYRFYQDSSVISRPDSNGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSYKEGVTVLVTGCLTGKDNMRRKFAQSFFLAPQDNGYFVLNDVFRYVEDDEPSELPPVNGDEDAATVKITPEPESIHVADSPAPDSTNSPVIKGQVVAENAYAPPNHHERQIPAENEDNVESHFRSNGNDDSQATEVASLAQEDAPKQSYASIVKVQKGSSGPTKIYVPTNTLKSGPNKTENLVVESVESDEVPEAALDIVNDPESSDAHEEVEGHSIYIRNLPLNVTVGQLEAEFKKFGPIKPEGIQVRNNKQQGYCFGFVEFLSLNSMNSAIQASPIPIGGRQAVVEIKRTTTRVGSGISNAGRPRIPPGRGGLRNDSFRGRGNYGGGRGYGRSDYVSRGGDFSGRGRGHGEGYHQGRGRGGRSSGPKQDAAVLN >Vigun05g033800.1.v1.2 pep primary_assembly:ASM411807v1:5:2744711:2751395:1 gene:Vigun05g033800.v1.2 transcript:Vigun05g033800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATPPTAPSAQVVGNAFVEQYYHILHHSPDLVYRFYQDSSVISRPDSNGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSYKEGVTVLVTGCLTGKDNMRRKFAQSFFLAPQDNGYFVLNDVFRYVEDDEPSELPPVNGDEDAATVKITPEPESIHVADSPAPDSTNSPVIKGQVVAENAYAPPNHHERQIPAENEDNVESHFRSNGNDDSQATEVASLAQEDAPKQSYASIVKVQKGSSGPTKIYVPTNTLKSGPNKTENLVVESVESDEVPEAALDIVNDPESSDAHEEVEGHSIYIRNLPLNVTVGQLEAEFKKFGPIKPEGIQVRNNKQQGYCFGFVEFLSLNSMNSAIQASPIPIGGRQAVVEIKRTTTRVGSGISNAGRPRIPPGRGGLRNDSFRGRGNYGGGRGYGRSDYVSRGGDFSGRGRGHGEGYHQGRGRGGRSSGPKQDAAVLN >Vigun05g033800.3.v1.2 pep primary_assembly:ASM411807v1:5:2746644:2751387:1 gene:Vigun05g033800.v1.2 transcript:Vigun05g033800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKFAQSFFLAPQDNGYFVLNDVFRYVEDDEPSELPPVNGDEDAATVKITPEPESIHVADSPAPDSTNSPVIKGQVVAENAYAPPNHHERQIPAENEDNVESHFRSNGNDDSQATEVASLAQEDAPKQSYASIVKVQKGSSGPTKIYVPTNTLKSGPNKTENLVVESVESDEVPEAALDIVNDPESSDAHEEVEGHSIYIRNLPLNVTVGQLEAEFKKFGPIKPEGIQVRNNKQQGYCFGFVEFLSLNSMNSAIQASPIPIGGRQAVVEIKRTTTRVGSGISNAGRPRIPPGRGGLRNDSFRGRGNYGGGRGYGRSDYVSRGGDFSGRGRGHGEGYHQGRGRGGRSSGPKQDAAVLN >Vigun09g167700.1.v1.2 pep primary_assembly:ASM411807v1:9:33626469:33628653:-1 gene:Vigun09g167700.v1.2 transcript:Vigun09g167700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTHLSSRTPNPLLLVLCFTTLMLLRTEAVWLTIPSKGTKCMSEEIQSHVVVLADYYVVPDDGKDHQPQTISVKVTSPYGNNLHHNENVTHGQFAFTTSESGNYLACFWVDTKHQESGVETTISLEWKTGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIRENLIYLKNREEEMREVSETTNARVAWFSIVSLGICICVAVLQLWYLKRFFRKKKLI >Vigun09g111600.1.v1.2 pep primary_assembly:ASM411807v1:9:24257206:24263373:1 gene:Vigun09g111600.v1.2 transcript:Vigun09g111600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSSWFGTLPCSHVRSLPLEPCSTSTTWLSAASCTHSPSTNVLSTRPRPQPFTSLSFLVSQRKGFTLTSLAPIRRTKKRRRALRVSAVFERFTERAIKAIVLSQREAKALGSELVYTQHLLLGLVAEEDRSSDGFLSSGITVEKAHEVVRGLWHRNTSARGGASDDDKTSATQVPFSVNAKRVFEAAVEYSKSLGHKFVAPEHITVGLVKVDDGSVSRVLYRLGTNGHQLAAVAFSRLQKEIAKDGREPNTLSKGMPNKSISRKGSDGGASGTTGEESPLSQFCVDLTARASEGQIDPVVGREVEVQRIIQILCRKTKSNPILLGEAGVGKTAIAEGLALRIAKADVSPFLLTKRVMSLDIAMLMAGAKERGELEERVTKLIKEVIKSGDVILFIDEVHILVQAGTAGRGNKGSGLDMANLLKPALGRGQFQCIASTTIDEYRLYFEKDTALARRFQPVWVDEPSEDDAIKILTGIREKYEAYHKCRYTEDAIKAAVDLSARYIVGRYLPDKAIDLIDEAGSRARIVAFRKRKEQETGILSKLPADYWQEIRAVKSMHEMESKLKYYGASNIDENNELILDSYLSSTTTDDEPIVVGPEDIAAVASLWSGIPVQKLTADQRILLLDLDNQLRKRVIGQEEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELAKSLAACYFGSEAAMVRLDMSEYMERHTVSKLIGSPPGYVGYGEGGVLTEAIRRKPFTLLLLDEIEKAHPDIFNILLQILEDGQLTDSQGRKVSFKNALVVMTSNVGSSAIAKGQHNSIGFLVPDDKTTSYNGLKSMVIEELRTYFRPELLNRIDEVVVFQSLEKSQLLKILDLLLEDMKKRVLSLGIHVKVSEAVKNLVCQQGYNPTYGARPLRRAITSLIEDPLSEAFLFGECKPGDTVLVDLDANGYPFVTNQLDKIVNLSD >Vigun03g388900.3.v1.2 pep primary_assembly:ASM411807v1:3:59552875:59557198:-1 gene:Vigun03g388900.v1.2 transcript:Vigun03g388900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAFHNVCRHHASLLAYGSGKKSCFVCPYHGWTYGFNGALLKATRISGMKNFNVNDFGLLPIKVATWGPFILLNLEKENRSQKEVDCHNVSKEWLGSCSEVLSSSGIDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKGLASGLKMDSYSITMFEKVSIQSCEGSSEKSEENYDRLGRKAIYAFIYPNFMINRYGPWMDTNLVVPLGPNKCQVIFDYYLERSLKNDTDFVEKSLQDSEKVQIEDVVLCEGVQKGLQSPAYSVGRYAPTVELAMHHFHCLLYENLTK >Vigun03g388900.2.v1.2 pep primary_assembly:ASM411807v1:3:59552875:59557198:-1 gene:Vigun03g388900.v1.2 transcript:Vigun03g388900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAMSMQLIPFISTPRQGQLINLNFSNKSSILSRCLIHNSDIQTSQTQRLVHHFNPKIPIEEAVTPPTSWYTDPSFFHLELDRVFYRGWQVVGSTEQIKDPRDYFTGRLGDVEYVVCRDDSGMVRAFHNVCRHHASLLAYGSGKKSCFVCPYHGWTYGFNGALLKATRISGMKNFNDFGLLPIKVATWGPFILLNLEKENRSQKEVDCHNVSKEWLGSCSEVLSSSGIDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKGLASGLKMDSYSITMFEKVSIQSCEGSSEKSEENYDRLGRKAIYAFIYPNFMINRYGPWMDTNLVVPLGPNKCQVIFDYYLERSLKNDTDFVEKSLQDSEKVQIEDVVLCEGVQKGLQSPAYSVGRYAPTVELAMHHFHCLLYENLTK >Vigun03g388900.1.v1.2 pep primary_assembly:ASM411807v1:3:59552875:59557198:-1 gene:Vigun03g388900.v1.2 transcript:Vigun03g388900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAMSMQLIPFISTPRQGQLINLNFSNKSSILSRCLIHNSDIQTSQTQRLVHHFNPKIPIEEAVTPPTSWYTDPSFFHLELDRVFYRGWQVVGSTEQIKDPRDYFTGRLGDVEYVVCRDDSGMVRAFHNVCRHHASLLAYGSGKKSCFVCPYHGWTYGFNGALLKATRISGMKNFNVNDFGLLPIKVATWGPFILLNLEKENRSQKEVDCHNVSKEWLGSCSEVLSSSGIDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKGLASGLKMDSYSITMFEKVSIQSCEGSSEKSEENYDRLGRKAIYAFIYPNFMINRYGPWMDTNLVVPLGPNKCQVIFDYYLERSLKNDTDFVEKSLQDSEKVQIEDVVLCEGVQKGLQSPAYSVGRYAPTVELAMHHFHCLLYENLTK >Vigun04g030100.2.v1.2 pep primary_assembly:ASM411807v1:4:2364978:2372045:1 gene:Vigun04g030100.v1.2 transcript:Vigun04g030100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVDDFENDNLSSTDDDGDDGFKDDMAALSRACMIVVPGSDDNFAAEDDVPSLDPLTDAGTAIVPVATDSDSDDQDDLECLKRVQSVYQPLSVLPPLESALAVSDDDDDVDDLETVRAIMKRFGDYNEGGIKKLVAEDQISSMGCEGDIANGSTHFDSDGGATEELIENIEMQLSDFVESSEPDAWESSRLQQKRLSCPPVVQCFIDAIKTNRDLQRFIRGKLIELEAKIEENKKIRNKIKVLKDFQASCTRRTGNVLSLKKDPRVQLISAKRSPKKFKKRRKVPAMCYGPEENSRVANYRMVLERFPLSLDRKKWSKADRGNLFKGIKQQFQEMVLQLSLSLDRTSSEGLLGDADDMDNIMASVKDLEVTPERIRNFLPKVNWDLIASMYVGGHTGAECESRWLNYEDPLVNQGAWTKEEDRSLLFIVQDTGIKNWFNIASSLGTSRTPFQCLSRFQRSLNPAMLNSEWTEEEDAQLCSAVTYFGNSNWQCVASVLERRTGTQCSNRWKKSLCPEKKGSFTQEEDIRLRVAVMLFGRKWNQIAKYVPGRIQSQCRDRYLNSLDPSLKWGGWTEEEDLKLEDAIAKHGYCWSKIAEEVPPRTDSQCRKRWKVLCPEQVPLLQEARKKQRSIIGSNFVDRESERPTITLNDFLPLLALAPKSDVGSSNIRKKRKSSNVPKKKSSKKGAKRTKRTKEVRDTEVYHDDEFYSVSAHSNLPQKTMSKRRTKSSRNSPQKLVDISCSDKVTSIEVSENQSSCISNSENQDSDSITLACFLRNKSNEILSRFTKRLSQTSFARITDVPEQVESQNPSDDQGGFSHSRETVGTKNLLVQPNIASDRRVKNQDETNTWTSDDDDDDDDQMTLACFLKNNPKKEGDPAKLKTGSVLLNEEHCASKPSIISDDSGPSMSKHVEEMETVVHGCVAKPIHIIVEEKVDEHFPLPPLTQYRPRREQKRPCRYL >Vigun04g030100.1.v1.2 pep primary_assembly:ASM411807v1:4:2364978:2372045:1 gene:Vigun04g030100.v1.2 transcript:Vigun04g030100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVDDFENDNLSSTDDDGDDGFKDDMAALSRACMIVVPGSDDNFAAEDDVPSLDPLTDAGTAIVPVATDSDSDDQDDLECLKRVQSVYQPLSVLPPLESALAVSDDDDDVDDLETVRAIMKRFGDYNEGLHEGGIKKLVAEDQISSMGCEGDIANGSTHFDSDGGATEELIENIEMQLSDFVESSEPDAWESSRLQQKRLSCPPVVQCFIDAIKTNRDLQRFIRGKLIELEAKIEENKKIRNKIKVLKDFQASCTRRTGNVLSLKKDPRVQLISAKRSPKKFKKRRKVPAMCYGPEENSRVANYRMVLERFPLSLDRKKWSKADRGNLFKGIKQQFQEMVLQLSLSLDRTSSEGLLGDADDMDNIMASVKDLEVTPERIRNFLPKVNWDLIASMYVGGHTGAECESRWLNYEDPLVNQGAWTKEEDRSLLFIVQDTGIKNWFNIASSLGTSRTPFQCLSRFQRSLNPAMLNSEWTEEEDAQLCSAVTYFGNSNWQCVASVLERRTGTQCSNRWKKSLCPEKKGSFTQEEDIRLRVAVMLFGRKWNQIAKYVPGRIQSQCRDRYLNSLDPSLKWGGWTEEEDLKLEDAIAKHGYCWSKIAEEVPPRTDSQCRKRWKVLCPEQVPLLQEARKKQRSIIGSNFVDRESERPTITLNDFLPLLALAPKSDVGSSNIRKKRKSSNVPKKKSSKKGAKRTKRTKEVRDTEVYHDDEFYSVSAHSNLPQKTMSKRRTKSSRNSPQKLVDISCSDKVTSIEVSENQSSCISNSENQDSDSITLACFLRNKSNEILSRFTKRLSQTSFARITDVPEQVESQNPSDDQGGFSHSRETVGTKNLLVQPNIASDRRVKNQDETNTWTSDDDDDDDDQMTLACFLKNNPKKEGDPAKLKTGSVLLNEEHCASKPSIISDDSGPSMSKHVEEMETVVHGCVAKPIHIIVEEKVDEHFPLPPLTQYRPRREQKRPCRYL >Vigun05g232600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42651374:42655698:-1 gene:Vigun05g232600.v1.2 transcript:Vigun05g232600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKPRELVPLYATLLDACSSAKHLKNLKRIHAMTITLGISSNDFIRSKLVSSYACCAQLHEANIIFSFTIRQPTFLFNSLIRAHSSLGFFSQSLSIFRHMVLAHKPFDRHTLPVVLKSCAGLSALRLGQQVHGAVLVNGFALDLANSNALVNMYTKCGHLDSARKVFDRMWQRNEVTFSTMMKGYGMHGECEEVFELFDKLVEAGERPDGVIFTTVLSACSHGGLIDKGREYFEMMEVRFGVKPGLHHYTCMVDMLGRVGQVEEAEKLIWRMEVKPDGALWRALLAACKTHGKVEVAERVAERVYGRELSIASSI >Vigun05g302300.3.v1.2 pep primary_assembly:ASM411807v1:5:48510613:48517430:-1 gene:Vigun05g302300.v1.2 transcript:Vigun05g302300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEEKIERDPFDILHHDDDDHTPFASSTSATKVRPFNFETTVVDLRTSSAFNIRRISRSIYFVLIKAKINILLPFGPFAILLHYLTGHHGWVFFFTLLGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKSNMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYKKVQVFDKAAAVVNSGLLLMAVMGILFPAVLYFTHTEVHEGKSVLSLSRFSSCIMLVAYASYLFFQLRSQQNVYTPVNEEGDNGENSDEEEELELTQWEAITWLAILTAWVSILSGYLVDAIQGASESLNMSVAFISVILLPIVGNAAEHASAIMFAVKDKLDITIGVAIGSSTQISMFVIPFCVVVGWCMGKEMDLNFQLFETATLFITVLVVAFMMQEGTSNYFKGLMLVLCYLIVAASFFVHVDNNKSGD >Vigun01g191400.1.v1.2 pep primary_assembly:ASM411807v1:1:36974561:36976727:1 gene:Vigun01g191400.v1.2 transcript:Vigun01g191400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTESEKQVIVIGIDDSDFSTYALQWTLDHLLSPTLNHNFKLLLVYAKPTVSASVGFVGPGASEVLPIVEADLKRIAAKVIDNAKDLCHKKSVNDVSVDVMEGDPRIVLCDAVEKHHASMLVVGSHGYGALKRAVLGSVSDYCAHHAHCTVMIVKKPKHKH >Vigun02g019600.2.v1.2 pep primary_assembly:ASM411807v1:2:6955310:6966353:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEIEPMRNAGLIKGGSLENAIVCSGNKGWLNPPLHFSDEPCRHKILDLIGDLSMVAQSGNQGLPVAHIIAYKGGHALHADLARRLIGMS >Vigun02g019600.3.v1.2 pep primary_assembly:ASM411807v1:2:6955310:6966193:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEIEPMRNAGLIKGGSLENAIVCSGNKGWLNPPLHFSDEPCRHKILDLIGDLSMVAQSGNQGLPVAHIIAYKGGHALHADLARRLIGMS >Vigun02g019600.6.v1.2 pep primary_assembly:ASM411807v1:2:6962409:6966193:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEVPQKGSGTKSTI >Vigun02g019600.5.v1.2 pep primary_assembly:ASM411807v1:2:6962404:6966353:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEVPQKGSGTKSTI >Vigun02g019600.4.v1.2 pep primary_assembly:ASM411807v1:2:6962402:6966351:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNALRSTKLVSWKPTGRLQQTLAGCVERNGVGLHSGRVWSVRMWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEVPQKGSGTKSTI >Vigun02g019600.1.v1.2 pep primary_assembly:ASM411807v1:2:6955310:6966351:-1 gene:Vigun02g019600.v1.2 transcript:Vigun02g019600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNALRSTKLVSWKPTGRLQQTLAGCVERNGVGLHSGRVWSVRMWPELASRGRYFEFRCRSIPAAVEFAQVSPLCTTLSKDGFRIRTVEHLLSALEALGVDNCRIEIEGFDDKENDAEIPIFDGSAREWVEAVEEVGLAVATDLDGNSVEKMAPHVNEPVCAWSNDSFVAAFPSTAVQITYGINFPQAPAIGCQWFSTSPLDNLVYSTQIALSRTFCIYEEIEPMRNAGLIKGGSLENAIVCSGNKGWLNPPLHFSDEPCRHKILDLIGDLSMVAQSGNQGLPVAHIIAYKGGHALHADLARRLIGMS >Vigun03g155700.2.v1.2 pep primary_assembly:ASM411807v1:3:16627918:16633866:-1 gene:Vigun03g155700.v1.2 transcript:Vigun03g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGVPAMTLAAVAALDLTKSSHWWRDINESPLWQDRIFHLLAILYGIVAAVALVQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRCFVFVFRRDVQKLRPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFYTINAVVYVIQITLWLILWWKPISVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDRAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >Vigun03g155700.3.v1.2 pep primary_assembly:ASM411807v1:3:16627918:16634011:-1 gene:Vigun03g155700.v1.2 transcript:Vigun03g155700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIFWRKVNALFGFAVRCFVFVFRRDVQKLRPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFYTINAVVYVIQITLWLILWWKPISVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDRAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >Vigun03g155700.1.v1.2 pep primary_assembly:ASM411807v1:3:16627918:16633890:-1 gene:Vigun03g155700.v1.2 transcript:Vigun03g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGVPAMTLAAVAALDLTKSSHWWRDINESPLWQDRIFHLLAILYGIVAAVALVQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRCFVFVFRRDVQKLRPEIVQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFYTINAVVYVIQITLWLILWWKPISVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDRAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >Vigun07g208200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32979382:32980939:1 gene:Vigun07g208200.v1.2 transcript:Vigun07g208200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSGASVSEHAKVVPKQCDIFHAGKYSSSRRTHSSSSSSSFESFYFPEDPLLSPASPLRFSGVPFSWEHLPGIPKKQNSKKKLQESSLKLLPLPPPTTTRSSKNLTHEETRVRKKNSVQSVFQRDPFFAALVECSKDDDSGEETSRNLWKVPRSVSDRFGFINLYGSCKRTCAVSESLVYLPSSRRSTCEEDVSPRSL >Vigun02g015200.1.v1.2 pep primary_assembly:ASM411807v1:2:5539320:5547434:-1 gene:Vigun02g015200.v1.2 transcript:Vigun02g015200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSGNAVMPEKLQFPAGGGAASGGSEIQYRHQQWFVDERDGFIGWLRSEFAAANAIIDSLCHHLRVVGEPGEYDMVVGAIQQRRCNWTQVLLMQQYFSVSEVVYALQQVAWRRQQRFVDPAKTGSKEFRKFGSGFRQGQNRTEASKEGYNSRNEVAKEGYNSRNEAAKEGYSSNGGSFGREINAVAVAGGVEKGTRVIDKNGELNSGGKVGTMDNNSIASPEESKDAVTNDQLDGILNGSGNSQGSLSSSECEAAGVNEECTSNSEGNDSHSMQNQNQNASTMGKTFTGNEMFDGKMVNVVDGLKLYEDLIDGTEVSKLVSLVNDLRVAGKRGQFQGSQTFVVSKRPIKGRGREMIQLGVPIADAPPDVDNVTGISKDKKVESIPSLFQDIIERLAASQVMTVKPDACVVDFFNEGDHSQPNSCPPWFGRPVYTLFLTECDITFGRIIVSDHPGDYRGAVKLSLVPGSLLVMQGKSTDLAKHALPSIPKQRVLVTFTKSQPKSSLPSDSQRLGPPVTSHWTPPPSRTPNHMRHQLGPKHYPTVPATGVLPAPPSIRAPPNGMQTLFVPAAVAPPISFPTAVPIPPGSTGWASAPQRHPPPRMPVPGTGVFLPPPGSGTTSSQHLPDGNLNGETTSATPGKESWKSNHNTTNSSPKDKVDGNMVGKECNGNADGTEGEEDVVGKDDESNDTSDANH >Vigun02g015200.2.v1.2 pep primary_assembly:ASM411807v1:2:5539371:5547434:-1 gene:Vigun02g015200.v1.2 transcript:Vigun02g015200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSGNAVMPEKLQFPAGGGAASGGSEIQYRHQQWFVDERDGFIGWLRSEFAAANAIIDSLCHHLRVVGEPGEYDMVVGAIQQRRCNWTQVLLMQQYFSVSEVVYALQQVAWRRQQRFVDPAKTGSKEFRKFGSGFRQGQNRTEASKEGYNSRNEVAKEGYNSRNEAAKEGYSSNGGSFGREINAVAVAGGVEKGTRVIDKNGELNSGGKVGTMDNNSIASPEESKDAVTNDQLDGILNGSGNSQGSLSSSECEAAGVNEECTSNSEGNDSHSMQNQNQNASTMGKTFTGNEMFDGKMVNVVDGLKLYEDLIDGTEVSKLVSLVNDLRVAGKRGQFQGSQTFVVSKRPIKGRGREMIQLGVPIADAPPDVDNVTGISKDKKVESIPSLFQDIIERLAASQVMTVKPDACVVDFFNEGDHSQPNSCPPWFGRPVYTLFLTECDITFGRIIVSDHPGDYRGAVKLSLVPGSLLVMQGKSTDLAKHALPSIPKQRVLVTFTKSQPKSSLPSDSQRLGPPVTSHWTPPPSRTPNHMRHQLGPKHYPTVPATGVLPAPPSIRAPPNGMQTLFVPAAVAPPISFPTAVPIPPGSTGWASAPQRHPPPRMPVPGTGVFLPPPGSGTTSSQHLPDGNLNGETTSATPGKESWKSNHNTTNSSPKDKVDGNMVGKECNGNADGTEGEEDVVGKDDESNDTSDANH >VigunL017233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000193.1:22148:22456:1 gene:VigunL017233.v1.2 transcript:VigunL017233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDVLKNAKEGLKKVEKIETPQLTRTPAQRYKDLEARLDEMNRSGDGSGSDPQNSEAPVPSSEGQKPLVGEGPKPSIESSDSLLEILPPRISCFLLLRIGS >Vigun11g010801.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1333617:1337477:-1 gene:Vigun11g010801.v1.2 transcript:Vigun11g010801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELVGGALLSVFLDVAFNKLASSQILDFFRARKLDEKLLNNLKTKLNSIHSLADDAERKQFTDPHVRNWLLEVKDAVLDAEDLLDDIQMLSKRQADADSESQTSACCTFKVLNFFKSSPITSYNKEIESRMQEVLDKLEFLSSQRGDLGLKTARAVRSGLSSELPQKSQTTSLVVGTDIYGRDDDKKHIVDWLISDTNNSNQPSILSIVGMGGLGKTTLAQHVFNDPRINEAKFDVKVWVCVSDEFDVFKVSRAILEAVTKSTDDSRDLEMVHTRLKENLTKKKFLLVLDDVWNENQHNWEEVQKPLMFGVQGSRILVTARSKEVASTMRSEVHSLKQLQEDDCWNLFVKYAFKDIDTQQNPECTEIGKKIVEKCKGLPLALKTMGSLLYNKSSVSEWESVFQSEIWEFSQDRCDIIPALAMSYIHLPSHLKVCFAYCALFPKDYEFKKEHLMHLWMTENLLHCPSEKVCQQYFNDLLSRSFFQQSGKNEVFVMHDLLNDLAKYVGGGIYFRWEVGQKEKIQKATRHYSIKLGHNQYFDGFETSCNTKRLRTFIPTGRRIHSLARWGVNMSMHEFFSKFRHLRILSLSYCSKIRELPDSIANLEYLRSLDLSHTSIRILTEKICSLSHLQILKLNYCSDLEELPSNLHLLTNLCLLELMENIVKKLPPSLGKLKNLKVVMRSFHVGHGREFGIQQLGELNLDGSLSIMELQNIENSLDASEAYLKNKTLLVRLELRWEWNRDSIDSKKEEEVIKNLQPSENLKELEILFYGGKQFPNWLHSLPNLVALELFGCESCEHLPPLGLLPFLKDLSIDRLDGIVSIDADFYGSNSSSFKSLQSLEFSNMEQWKKWECKAGVFPRLQYLSIISCPKLKGELPEQLVPLNKIHIRDCQQLEASAPRALDLKLYDCGKLHLDWATMKSLLMEASLTEIVRSDTVKYLKITLETISDDCVSLRIFPLDFFPTLCNLYLSGFPNLQTISQDHIHHHLEHMTIKECPKFELLPANMHTLLPSLNALNIEDCPKLESFLKGVLPSNLKFMRLKSCSRLLVDSLKGAFRDNPSLERLSIEKVDAKCFPDEGLLPLSLTQLSISNSPNLEKLDYKGLYQLSSLQSLRLDNCPNLQCLPEEGLPKSISHLHIGRCPLLEQRCQKERGEDWEKISHIQDLYIH >Vigun09g225100.1.v1.2 pep primary_assembly:ASM411807v1:9:39779693:39780998:1 gene:Vigun09g225100.v1.2 transcript:Vigun09g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVALAQLHPNSWGFVRAFQIMCAGLDINPTAPMFLYFFELKQSSPKQFWVSLNSVSGRGLLTLFQSSYKGGKGAFLKILAPEHNTALLEGFPLYWTRLPTPQGARQMEELTLTERESCAKLEGLEVVFDIRKILDLEYRKVDLKLFIERQMALSQRELLRRIKQKESNKVGASSRTKDSSSKAIVLESEQETTQSDPKLKRKRVEPLISEASDSTDNIPLSALGFKRSFWDDKFAHLAHGRANNYFPVDDKLLSGRQLSSVQEGLLRNIHQVEASSLFFMDRLEASERKELKAASDLSAANKEIEQLRLDMEDFAKMKKALEDTEAELSILRAEMDELKPKAEGLSAQCQVLEGEKEELTDQLCSTLKEGFQLALDQVKILHPDVDISAADITKEIVDGQLVELS >Vigun10g072600.1.v1.2 pep primary_assembly:ASM411807v1:10:18281706:18284501:1 gene:Vigun10g072600.v1.2 transcript:Vigun10g072600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPWFEGPQYLWSICPKIPYLNPHTENMFPSQSSILIPPSEPMTFNPNHAFQHFEEQIVNPPFVMDDSNPIVTPMYGNGIPTPSVGDGSFVSINSHQPVMLAPKNNNKIETLYDHHKGKVIWDFSQKTTVHPFEPSSPKSPSPFCLSNHYGFGMSEFHRDTDIRMVEVEKNTNNATHNIIKGQWTPEEDSALVELVNRFGPKKWSEIAKLMCGRVGKQCRERWHNHLRPNIRKESWSLEEDMILIKAHQEFGNRWSEIAKRLPGRTENTIKNHWNGTKRRQSCKTYNGNKKNPYQGSMLRAYVKRVTATEESTKVFKKPSSKKNKNVPPPLLSFDQCTNQMFIGSSSNYAPLQGVSYAAIGKNAMNRGINPITTKY >Vigun03g347900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54792042:54792362:-1 gene:Vigun03g347900.v1.2 transcript:Vigun03g347900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIMDLLEESSQLNRRMNVAIDRQRVALQARNNLITEYNRLTRCQPEFKEDIFQMLSAMNIEDVNLFTRCYEYLCSHPLHTRCIMGMPIHFRMNRLLRYMNEGV >Vigun06g152000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27634795:27637643:1 gene:Vigun06g152000.v1.2 transcript:Vigun06g152000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSYPLSTSSSSSSSSPSSHALYYRKSIAITTSISFSHSSRHFKLLNFSYQLSPFLSKPKTLLQATNTLQDTKLDDPVAKSPSLSKNSIWVNPKSPRAKQLWKNSSHAISSPLAKLAKSLDSCNPTSEHVSEILSVLGDDVLERDAVIILNAMVNPYTALLAVDYFKQKIKPCSHVILYNVTLKLFREVKDFEGAEKLFDEMLQRGIHPNLITFSTMISCASMCSFPHKAVKWFEMMPSFGCEPDNNLSSSMIYVYARTGNADMALKLYDRAKAEKWHVDTVVFSGLIKMFGMSGNYDECLNVYNDMKVLGAKPNLVTYNALLYAMGRAKRAREAKAIYEEMIDNGLSPTWPTYAALLQAYCRARFSKNAMRVYKEMKEKGKDIDVVLYNMLFDMCANVGCVDEAVKIFEDMKSSGTCRPDKFTYASLINMYSCLSKISEMEAMFNEMMESGFQPDVIVLTSLVHCYGKAKRADDVVKVFNQLMDLGISPDGRFCDCVLYAMSQVPKEESGKLAGCIEKANPMLGSVLRYLMKKHEGGENFRKEALELFNSTEPEVKKSMCNCLIDLCVNLDVPDRARDLLDLGLTLRIYTDIQSRSQAKWSLHLKRLSVGAALTALSVWINDLSKALELGEELPPLLGINTGHGKHRLSDKALPTVFESYLKELKAPFHKAANMDGWFLATSEAATSWLQSRGSTVALPQ >Vigun07g012300.1.v1.2 pep primary_assembly:ASM411807v1:7:1061479:1083581:1 gene:Vigun07g012300.v1.2 transcript:Vigun07g012300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHSNRTKKKVSGDKPKIDNVSKKILKRKERKQSSAAVAAIKSETLPLQLEDEVPDFPRGGEVFLNPRNDYDEFGGGNHTRKTKKNKGKKPLRKYESVDDWGSLSGAGITGKLPRRVHKITLKNITPGMKLWGVVAEVNEKDLVVSLPGGLRGLVHASDAVDPIFDDKIEVGEIFLSGVFNVGQLVSCVVLKLDDDNKEKGRRKIWLSLRLSLVHKNYNLDAVQEGMVLAAYVKSIEDHGYILHFGVSSFTGFLPKDSSTEGFGGEVKIGNLLQGLVRSIDKVRKVVYLSSDPDTMSKSVSKDLKGLSIDLLVPGMMINARVKSILENGVMLSFLTYFTGTVDLFHLQNIYPGPNWKEKYIQSQKVVSRILFIDPSSRSVGLTLNPHLVQNRAPPSHVKIGDIYDNSKVVRVDKGSGLLLEIPSIPEPTPAFVNMSDIAEGEIQKVEKKFKEGNHVRVRILGLRYLEGIATGVLKASALEEEVFTHSDVKPGMVVKAKILSVDSFGAIVQIPGGVKALCPLRHMSELEISKPRKKFKVGAELVFRVLGCKSKRVTVTHKKTLVKSKLGIISSYTDATDGLITHGWITKIEVHGCFVRFYNGVHGYAPRFELGLEPGADPGTVYNVGQVVKCRVVSSIPASRRINLSFIIKPTRVSEDDVVTLGSLVSGVVDRITSTNVVVYVNASGFSRGTISTEHLADHHGQAILINELLKPGYNFDQLLVLDIKGNNLILSAKSSLIKHAQQIPSDINQIQPNSVVNGYICNIIESGCFVRFLGHLTGFAPRNKAADDQKANILEAYYIGQSVRSNISNVSSETGRITLSLKRTACSSIDASFIQDYFLMDEKIAKLQNLGSGASDLRWVEGFNIGAVAKGRVKDVTDVGLVLSFEKYNDVFGFITNYQLAGTILESGSEVEALVLDVAKTERLVDLTLKPEFFNSSNESSISRTNKKKRRREASKELVLHQTVKAVVEIVKENYMVVSIPENDHVIGYASISDYNTQRFPHKQYQNGQSVIATVMALPSPETLGRLLLLLNEVNETASSKRSKKSSYKVGTLVEAEITDIKMLELKLKFGIGLHGRIHITEVHNGNDLKNPFSSYKIGQTVTARIVAKPNETDGNRKGSQWELSVRPEMVTGSSDIDDVSGNLEFIIGQCVSGYVSKVESEWVWLTISRNVRAQIYILDSATEPRELEDFQNRFHVGQHVSGHVVSFNTEKKLLRLVLHPFSTLPCRTNEEPQINIMDKDLAAYVHEGKVLAGRVSKILPGVGGLLVQISPRSFGKVHFTEIADTWVDDPLSGYHEGQFVKCVVLEITHIEKGITHVDLSLRSSSVKPSQDSADFESVVDGNGKWVEKIEDLHLDMIINGYIKNVTPKGCFIMLSRKIDARILLSNLSDQYVKEPEKEFSVGKLVSGRVISVEPLSKRVEVSLRTSTGPKTSKSEIVDFSKFHVGDIISGRIKRVESFGLFIAIDNTNMVGLCHVSEISDIEIENIEANYKTGERVNARILKVDEERHRVSLGMKNSYMMDGTLLQIMSKEGSDELIEADGLKSITSMHSLLETSNLAADDEVNQFPILSGSLDRGDVPPLDVSLDDFDQIDVNNANSQSKEHGNEEVIIHEKNKRREKKKAKEEREKQIRAAEERLLEEDVPRTADEFEKLIRSSPNSSFIWINYMDFMISMADVEKARSIAERALMTINVREENEKLNIWKAYFNLENKYGNPSQEAVMKVFLRALQYNDPKKVYLALLGMYERTEQHNLADELLNKMTKKFKHSCKVWLRRIQSLLKQNQDGIQPLIDRASLSLPKHKHIKFFSQAAILEFKVGVPDRGRSLFEKILREYPKRTDLWSVYLDQEIHHKDEDIIRALFERAVSLSLPPKKMKFLFKKYLDYEKSQGDDERIESVKRKAMEYVESTMS >VigunL059207.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000646.1:5588:6843:-1 gene:VigunL059207.v1.2 transcript:VigunL059207.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVSARIDRPKSTLGPKRGAAPRLRFTE >Vigun01g039100.1.v1.2 pep primary_assembly:ASM411807v1:1:5338151:5341454:-1 gene:Vigun01g039100.v1.2 transcript:Vigun01g039100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEPVVVEKENETVVGAKKEEDLEKGEVGVEEERKVQNNDVVDDHEESHLAGFHRLNPTNPLRIVINSSTRVATPSAAQSQRPHTQTRSTPTPLQQPEVANLNSRKYTNKISLILFVLHMFIAVALVCFLVFKGVQGLIQDSESTKRKEKDVLKYFLPQVEASSFMSIILAFIWQGAIRKWPTLMLHFILWFTFVMSLAAGILLICFQKPATDGVGVCFIAFAIGNGLYGCWVSHRIKFCCKVLSLSLQPVSTFPDLNKPTYHVLGAGFLWISLWILAVIGALNFYFPPLTIILLVLSLQWTTEVMRNVVNITVSRAIALYYLRGMQSSTQFCFLRALTRNLGSACLGSLFVPAIEALRIVARGLNLLEGEDEFMFCCARCCLRVMDSVFRNGNGWAYVQIAAYGKGFVKASRDTWALFEKEDMVSIVDSDITSSICFLTGVCSGSICVIVVAAWTHKVHQSFTATLSLLTFLIGYLLTRIAMAVPHACVSCYYVCYAEKPDNRLFDKTIKDRQALLKTGRDVVPTPRGFRRYTRN >Vigun10g140650.1.v1.2 pep primary_assembly:ASM411807v1:10:35757049:35757801:1 gene:Vigun10g140650.v1.2 transcript:Vigun10g140650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRRRKLKISSKPKARLSSTNNVLKRCLRRMREPKGVC >VigunL075000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:216676:217128:-1 gene:VigunL075000.v1.2 transcript:VigunL075000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCFGSGVITSDRAKIGRTKERAMPTLNQLIRHGREEKRRTDRTRASDQCPQKQGVRPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNSQEHSMVLIRGGRVKDLPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSL >Vigun01g005700.2.v1.2 pep primary_assembly:ASM411807v1:1:703260:707139:1 gene:Vigun01g005700.v1.2 transcript:Vigun01g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIVMFPAAGIGHIIAMVELAKLIQTHRFSITVLLTTGFFDHPSIDDYIRRISAVHPSISFLRLPATTPATFETAVSVAAKGFSFLKRNAPNVATTLTEISKTATVKAFVIDLFCASTMESASSMGIPVYFFFTSGAAILSLYSYFPKLHQEWSVSFKNMVGVELRVPGNAPLKAVKLPEPILDRDDPAYWGMLDFCTLLPKARGILVNSFEELEPAAVNAVAEGACFPDATRVPRVHYIGPLIGEPQQSGRDSKECLRWLEEQPSRSVVYLCFGSRGSFSVAQLREIAKGLERSGHRFLWVVKKPVEEEGANQIHEAANSGDQLDLASVLPNGFLERTKDRGMVVEAWAPQVEVLSRESVGGFVSHCGWNSVLEAVVAGVPMVAWPLYAEQHANREVMVGEMKVAVGVNEREEDGFVSAEEVEKRVREVMETKEIRDRSLELKQMAMAAVAEFGSSTTALSNLVHSWTSFS >Vigun01g005700.1.v1.2 pep primary_assembly:ASM411807v1:1:703260:707139:1 gene:Vigun01g005700.v1.2 transcript:Vigun01g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIVMFPAAGIGHIIAMVELAKLIQTHRFSITVLLTTGFFDHPSIDDYIRRISAVHPSISFLRLPATTPATFETAVSVAAKGFSFLKRNAPNVATTLTEISKTATVKAFVIDLFCASTMESASSMGIPVYFFFTSGAAILSLYSYFPKLHQEWSVSFKNMVGVELRVPGNAPLKAVKLPEPILDRDDPAYWGMLDFCTLLPKARGILVNSFEELEPAAVNAVAEGACFPDATRVPRVHYIGPLIGEPQQSAGRDSKECLRWLEEQPSRSVVYLCFGSRGSFSVAQLREIAKGLERSGHRFLWVVKKPVEEEGANQIHEAANSGDQLDLASVLPNGFLERTKDRGMVVEAWAPQVEVLSRESVGGFVSHCGWNSVLEAVVAGVPMVAWPLYAEQHANREVMVGEMKVAVGVNEREEDGFVSAEEVEKRVREVMETKEIRDRSLELKQMAMAAVAEFGSSTTALSNLVHSWTSFS >Vigun02g200300.1.v1.2 pep primary_assembly:ASM411807v1:2:33521139:33523396:1 gene:Vigun02g200300.v1.2 transcript:Vigun02g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLQGTLIRCHSRSSKFIDQKERAASVVAQALVTHQTKLPQLSVTYVPPPTPSMDKNPFETAQKKELPISTSGKFGRFGGKFVPETIVACLSQLEAEFKKVMADDAFQAELAEALRDYAGRETPLYHAKRLSEYYKSRNNGTGPDIYLKREDLNHGGSHKMNNALAQAMIAKRMGCKSVVTATGSGHHGLATAAACAKLALECTVFMAAKDIERQYSNVRLMKLLGAQVEAVDGGFRDAASDAFRCWVGDLENKYHLTGSAVGPHPCPSMVREFQSVIGKETRTQALEKWGGKPDVLVACVGTGSNALGLFHEFVDDEDVRLIGVEGGGLGLESGKHSSTLSKGEVGVYHGAISYLLQDEHGQIIHPHSIAAGMEYPGVGPELSFLKESGRAEFCAATDEEALDAYQKLCKLEGIFPSLEAAHALGILEKLAPTLSDGTKVVVNCSGRGDKDAAIVFNRRLLDPQ >Vigun09g115650.1.v1.2 pep primary_assembly:ASM411807v1:9:25352553:25353662:-1 gene:Vigun09g115650.v1.2 transcript:Vigun09g115650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGCWKEIGVTEPIFSTFDKKVGTKKYLVFHIGEDPHVTETNWVMQEYHICSSGFDTASCRSSRRRRKHDQSWSKWVLCRVYEKMRSEEGVKFYSDDDESGSELSWLDEVYLSLDDDLEEIGMPN >Vigun06g070700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20112034:20112821:-1 gene:Vigun06g070700.v1.2 transcript:Vigun06g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKPYAGYAAFIAVCINLLAVKPYPITDLQIIFFLASTLFHTLAISIPQPSFIATITLHASGLLACQTLVFVVLPHSWRCYYYLLNAFLLLLLACFFFNHHFIHFLPTSIPHHVTDLEAQHQQLGEIQRTENFDNVELRT >VigunL005532.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000122.1:74514:74875:-1 gene:VigunL005532.v1.2 transcript:VigunL005532.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVKKSLFDKASSYTYEFHWTQK >Vigun01g113700.1.v1.2 pep primary_assembly:ASM411807v1:1:28863396:28866934:1 gene:Vigun01g113700.v1.2 transcript:Vigun01g113700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVHNSCFLSRNLCCVCHWLPHLFPLFSRLNLSEICSSIHHSMESVLGNWPSYDPHNFSQLRPSDPSSSSKIAPATYHPTHSRTLPPPDQVISTEAKNILLRHIYQHAEEKLKPKRAASDNLLPEHGCKQPRVSS >Vigun01g113700.2.v1.2 pep primary_assembly:ASM411807v1:1:28863396:28866934:1 gene:Vigun01g113700.v1.2 transcript:Vigun01g113700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVHNSCFLSRNLCCVCHWLPHLFPLFSRLNLSEICSSIHHSMESVLGNWPSYDPHNFSQLRPSDPSSSSKIAPATYHPTHSRTLPPPDQVISTEAKNILLRHIYQHAEEKLKPKRAASDNLLPEHGCKQPRVSS >Vigun05g275200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46543888:46545405:-1 gene:Vigun05g275200.v1.2 transcript:Vigun05g275200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPAAAATANPAFPATKAQLYGATRPTYRPQPHHRRRSKRRCCCTFFFWLILTVLVLLLLIGIAGTAFYLLYRPHRPTFTVTSLKLSYLNLTASSTLNSRFDVTVSATNPNKKILFAYDPTSIAILSGDVDVGDGTVPAFLHGKKNTTLIKTSIVSTGSALQSDDASRLKSSMKNKNGLPLTVNLETKVKAKTGKLKTPKVGIRVSCDGIRVNLPSGKKPATASTSHAKCNVDVRFKIWKWTI >Vigun02g107700.1.v1.2 pep primary_assembly:ASM411807v1:2:26166190:26168929:1 gene:Vigun02g107700.v1.2 transcript:Vigun02g107700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESPPKFKFTFIFFIIFSLSLGLVSFSLCVVSEIKRHKKEDLRWNGKLCHLPSSPAFGLGIASLVCLALVQSIGNSILFKNCCSAGKRNARFKIPIVARILLLISWLGFGIAVVLLIAATSMSKRQAYGEGWLNGECYLVKKGTYAGLAILILVTVGSLIGSGLLTMKTNKADQGHKIHAQTGETRE >Vigun11g147100.1.v1.2 pep primary_assembly:ASM411807v1:11:35590837:35593684:-1 gene:Vigun11g147100.v1.2 transcript:Vigun11g147100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTTLLLFFSVLLCHGAMAIPEGEEHNLFFMHNPKTVVKTDAGEMHVLKTQSGCRRFLDRHMHIGFITMQPKSLFVPHYLDSNLIIFIHKGEANLGFIYDDEVVEMRLKEGDVYVIPSGSAFYFVNKEEGERLHIIYSIDPSTSLGLDTFHSFYIGGGANSHSLLSEFAPAILETACNESRMEVESVFYKELDGAIMYMDDSDVPSLGTKFLQLKKEEKEQHQKKMLHDQEEEEEKEEEQQTSSAWGKLLDTVFGKVNEKRESKNSVDWSKATNLYDKKTDFRNDYGWSKTLDAVQYPPLTNPDIGLFYVYLKAGSMLGPHVNPRATEYSIVVKGCGEVHIVYPNGSKAISTEIKEGDVFVVPKSFPLCQIASNDGALELFGFSTSAREKKPLFLAGSVSILRTMQGPQLAAALGVSVDTLRRALDPQDDLLIFPSTWSATP >Vigun04g136800.2.v1.2 pep primary_assembly:ASM411807v1:4:34210381:34213650:1 gene:Vigun04g136800.v1.2 transcript:Vigun04g136800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFLEPFYALLLLLMHAAGPTLGFNNSTEIKCIERERQALLSFKLSLVDGYGMLSTWRDDENSRDCCKWKGIQCDHQTGHVIILHLRGSDTEYLRGALNISSLFPLQNIRYLDLSYNFFIGSHIPQLIGSLTNLRYLNLSCFLFSGSIPIQLGNLTHLLSLDLSQNFLLRGEIPYQLGSLTNLRLAGDFDVKPEDAKWLSNLSSLRHLAFNGLHNPHWLQMIHSPNLKYLRDGSFLISDISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTIDLYENVLEGPIPDGFGKVMNSLKVLDLYGNKLQGEIPSFFGNIYALQSLDLSHNKLSGKISSLFQNSSWGNTQVFQSLYLSDNQITGILPISIGLLSELEDLYLDGNCLEGDVTESHLSGFSKLRYLSLSDNSLSLKIVPSWVPPFQLYFLTLRSCMLGPTFPSWLQTQRSLFHLDISENRLNGSVPKWFWNNLHNVGYLDMSKNNLSGVIPNIISLRLFNRPSTFLNSNNFEGEIPQFLLQASDLTLSHNKFSDLFSFICDQHTYAMATLDLSNNQLKGQLPYCWKSVDMLLFIDLSNNKLSGKIPVSMGSLVKLEVLVLRDNNLMGELASTLKNCSNLIMLDVAENMFSGTIPSWIGESMQQLIILNMRGNHFSGHLPIQLCYLKHIQFLDLSRNMLSKGIPSCLNNLTAMSEKSINTSDTLNRIYSMDIITYIQIYGAYGHKSYTLNISLVWKGVEQGFKNPELLLKSIDISSNNLTGEIPKEIGYLVGLVSLNLSRNNLRGEIPCGIGSLRSLDSLDLSRNHITGKIPSSLHEIDGLAKLDLSHNYLSGRIPRGRHFETFDGSSFEENIDLCGVQLKKSCPGDQTIKKISKAEAINDVEDSGFYEALYMSMGIGYFTGFWSLVGPMLLWRSWRNAYMRFLNKLTKYI >Vigun03g152300.2.v1.2 pep primary_assembly:ASM411807v1:3:16077520:16082498:1 gene:Vigun03g152300.v1.2 transcript:Vigun03g152300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTIHVFFTLWIIVTLLNHNFGNVEGRYHHHSKQRRVSSVPTAPSDSSTEPENPNPSVPPPADSPTVPSDPYPNDNQTSSSDCVFDVRSFGAVGDACADDTPAFRAAWKAACAVDSGILLAPENYIFKITSTIFSGPCKPGLVFQVDGTLMAPDGPNSWPEKDSRNQWLVFYRLDQMTLNGTGTIEGNGDKWWDLPCKPHRGPNGKTLSGPCGSPAMIRFFMSSNLKVNGLKIQNSPQFHMKFDGCQGVLIDKLSISSPKLSPNTDGIHVENTKDVGIYNSMISNGDDCISVGPGSSNVDIAGLTCGPSHGISIGSLGVHNSQACVSNLTVRDSIIRESDNGLRIKTWQGGMGSVSGLRFDNIQMENVGNCIIIDQYYCLSKECLNQSSAVHVNDVSYTNIKGTYDVRTAPIHFACSDSVACTNITLSEVELLPFEGALLDDPFCWNAYGTQETLTIPPINCLREGDPETVGDLSEYQCT >Vigun03g152300.1.v1.2 pep primary_assembly:ASM411807v1:3:16077521:16082485:1 gene:Vigun03g152300.v1.2 transcript:Vigun03g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNNLAAFCGPSHIATYIHRLEPLMDLTIHVFFTLWIIVTLLNHNFGNVEGRYHHHSKQRRVSSVPTAPSDSSTEPENPNPSVPPPADSPTVPSDPYPNDNQTSSSDCVFDVRSFGAVGDACADDTPAFRAAWKAACAVDSGILLAPENYIFKITSTIFSGPCKPGLVFQVDGTLMAPDGPNSWPEKDSRNQWLVFYRLDQMTLNGTGTIEGNGDKWWDLPCKPHRGPNGKTLSGPCGSPAMIRFFMSSNLKVNGLKIQNSPQFHMKFDGCQGVLIDKLSISSPKLSPNTDGIHVENTKDVGIYNSMISNGDDCISVGPGSSNVDIAGLTCGPSHGISIGSLGVHNSQACVSNLTVRDSIIRESDNGLRIKTWQGGMGSVSGLRFDNIQMENVGNCIIIDQYYCLSKECLNQSSAVHVNDVSYTNIKGTYDVRTAPIHFACSDSVACTNITLSEVELLPFEGALLDDPFCWNAYGTQETLTIPPINCLREGDPETVGDLSEYQCT >Vigun03g152300.3.v1.2 pep primary_assembly:ASM411807v1:3:16077521:16082485:1 gene:Vigun03g152300.v1.2 transcript:Vigun03g152300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNNLAAFCGPSHIATYIHRLEPLMDLTIHVFFTLWIIVTLLNHNFGNVEGRYHHHSKQRRVSSVPTAPSDSSTEPENPNPSVPPPADSPTVPSDPYPNDNQTSSSDCVFDVRSFGAVGDACADDTPAFRAAWKAACAVDSGILLAPENYIFKITSTIFSGPCKPGLVFQVDGTLMAPDGPNSWPEKDSRNQWLVFYRLDQMTLNGTGTIEGNGDKWWDLPCKPHRMIRFFMSSNLKVNGLKIQNSPQFHMKFDGCQGVLIDKLSISSPKLSPNTDGIHVENTKDVGIYNSMISNGDDCISVGPGSSNVDIAGLTCGPSHGISIGSLGVHNSQACVSNLTVRDSIIRESDNGLRIKTWQGGMGSVSGLRFDNIQMENVGNCIIIDQYYCLSKECLNQSSAVHVNDVSYTNIKGTYDVRTAPIHFACSDSVACTNITLSEVELLPFEGALLDDPFCWNAYGTQETLTIPPINCLREGDPETVGDLSEYQCT >Vigun03g152300.4.v1.2 pep primary_assembly:ASM411807v1:3:16077520:16082485:1 gene:Vigun03g152300.v1.2 transcript:Vigun03g152300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTIHVFFTLWIIVTLLNHNFGNVEGRYHHHSKQRRVSSVPTAPSDSSTEPENPNPSVPPPADSPTVPSDPYPNDNQTSSSDCVFDVRSFGAVGDACADDTPAFRAAWKAACAVDSGILLAPENYIFKITSTIFSGPCKPGLVFQVDGTLMAPDGPNSWPEKDSRNQWLVFYRLDQMTLNGTGTIEGNGDKWWDLPCKPHRMIRFFMSSNLKVNGLKIQNSPQFHMKFDGCQGVLIDKLSISSPKLSPNTDGIHVENTKDVGIYNSMISNGDDCISVGPGSSNVDIAGLTCGPSHGISIGSLGVHNSQACVSNLTVRDSIIRESDNGLRIKTWQGGMGSVSGLRFDNIQMENVGNCIIIDQYYCLSKECLNQSSAVHVNDVSYTNIKGTYDVRTAPIHFACSDSVACTNITLSEVELLPFEGALLDDPFCWNAYGTQETLTIPPINCLREGDPETVGDLSEYQCT >Vigun01g025600.2.v1.2 pep primary_assembly:ASM411807v1:1:2930677:2934135:-1 gene:Vigun01g025600.v1.2 transcript:Vigun01g025600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKYYDLVTSFYEFGWGESFHFAPRWNGESLRESIKRHEHFLALQLRLQPGQKVLDVGCGIGGPLREISRFSSTSITGLNNNEYQITRGKELNRIAGVDKTCNFIKADFMKMPIPDNTFDAVYAIEATCHAPDAYGCYKEIFRVLKPGQYFAAYEWCMTDSFDPQNPEHQKVKAEIEIGDGLPDIRLTTKCIEALKQAGFEIIWEKDLAADSPLPWYLPLDKSHFSLSSFRLTAVGRLFTKNMVKVLEYVGLAPKGSLRVQDFLEKAAEGLVEGGKKEIFTPMYFFLARKPDSDSN >Vigun01g025600.1.v1.2 pep primary_assembly:ASM411807v1:1:2930677:2935976:-1 gene:Vigun01g025600.v1.2 transcript:Vigun01g025600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASNLGGKIEKEEVLSAVEKYEKYHVCYGGQEEERKANYSDMVNKYYDLVTSFYEFGWGESFHFAPRWNGESLRESIKRHEHFLALQLRLQPGQKVLDVGCGIGGPLREISRFSSTSITGLNNNEYQITRGKELNRIAGVDKTCNFIKADFMKMPIPDNTFDAVYAIEATCHAPDAYGCYKEIFRVLKPGQYFAAYEWCMTDSFDPQNPEHQKVKAEIEIGDGLPDIRLTTKCIEALKQAGFEIIWEKDLAADSPLPWYLPLDKSHFSLSSFRLTAVGRLFTKNMVKVLEYVGLAPKGSLRVQDFLEKAAEGLVEGGKKEIFTPMYFFLARKPDSDSN >Vigun03g289900.1.v1.2 pep primary_assembly:ASM411807v1:3:47201981:47207130:1 gene:Vigun03g289900.v1.2 transcript:Vigun03g289900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMENDDSVDLNNQNNWLGFSLSPQMNVGVASHPQPSSAADVVPTSFYHTAPLNNYGFYYGLEAENVGLYSALPIMPLKSDGSLYGMEALSRSQTQAMATTSTPKLENFLGGEAMGTPHYECSVTETIPLSLDSVFYNQSSRRDPNNQTFQNHVQHITTQQQHHQELQAQHLSYYSTLRNHDMMLEGSKQSQTSDSNLQVPNMGDDGVPSPGLKSWGVRNFQTSHAQDSKLIVPVEENGGESGSIGSMAYGDLQSLSLSMSPSSQSSCVTSSHRTSPAVIDSVAMDTKKRGPEKVDQKQIIHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQNELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASSNLLSSELARRNRETDSGTQYIDHNHKSSAYDDTEEAILMQKSCESQNDQWKMALYQSSQQLDQNPPGIESDRTKQSFSVALDNMFHQEVEESSKVRTHVSNPSSLATSLNSSREGSPDKTSLPMLSGMPSTASKLLSTNPNNVNSWDPSPHLRPALSLPQMPVFAAWTDA >Vigun08g223000.1.v1.2 pep primary_assembly:ASM411807v1:8:38077144:38083176:-1 gene:Vigun08g223000.v1.2 transcript:Vigun08g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRNGVVPANTLLPPPPPANKYQQRIELVDLGVMERKTHLTTRTDKSRIIGLLIASVLRRRLLHRTLISAISASLLLLFALFSLFIPSPTPPHTHLNAQVRSLLETHRDSVFGVPASGGSCKHDLWSSTQSRLFYGCSSAGDNFAKANVKTHPDRYLLIATSGGLNQQRTGIIDAVVAAYILNATLVVPELDHASFWKDTSNFSELFDTDWFITFLLNDVSVVKHLPNLEGKFVAPYTLRVPRKCTPKCYKDRVLPKLVRKRAVRLTKFDYRLANRLDEDLQKLRCRVNYHALKFTDSIQEMGHLLVERMKMKSKHFIALHLRFESDMLAFSGCYYGGGEKEKKELGEIRKRWKNLHASNPEKVRRHGRCPLTPEEVGLMLRALGFGSDVHLYVASGEIYGGEKTLAPLKALFPNFHSKETIATKEELAQFVSFSSRMAALDFVVCDDSDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLNKLFMKKNNMTWEEFASRVRTFQVGFMGEPNELRPGSGEFHENPSSCICQNSSSESGVKTGGISYPRNQTLDNYEIRNRKNWAEEQFTEEELEWSEGDCLHCKKRNRLNAIKLDSIPLLMSTGQSELQAFFSD >Vigun08g223000.2.v1.2 pep primary_assembly:ASM411807v1:8:38077144:38083176:-1 gene:Vigun08g223000.v1.2 transcript:Vigun08g223000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRNGVVPANTLLPPPPPANKYQQRIELVDLGVMERKTHLTTRTDKSRIIGLLIASVLRRRLLHRTLISAISASLLLLFALFSLFIPSPTPPHTHLNAQVRSLLETHRDSVFGVPASGGSCKHDLWSSTQSRLFYGCSSAGDNFAKANVKTHPDRYLLIATSGGLNQQRTGIIDAVVAAYILNATLVVPELDHASFWKDTSNFSELFDTDWFITFLLNDVSVVKHLPNLEGKFVAPYTLRVPRKCTPKCYKDRVLPKLVRKRAVRLTKFDYRLANRLDEDLQKLRCRVNYHALKFTDSIQEMGHLLVERMKMKSKHFIALHLRFESDMLAFSGCYYGGGEKEKKELGEIRKRWKNLHASNPEKVRRHGRCPLTPEEVGLMLRALGFGSDVHLYVASGEIYGGEKTLAPLKALFPNFHSKETIATKEELAQFVSFSSRMAALDFVVCDDSDVFVTNNNGNMAKILAGRS >Vigun08g223000.3.v1.2 pep primary_assembly:ASM411807v1:8:38077144:38081871:-1 gene:Vigun08g223000.v1.2 transcript:Vigun08g223000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRCCLLLQIIDAVVAAYILNATLVVPELDHASFWKDTSNFSELFDTDWFITFLLNDVSVVKHLPNLEGKFVAPYTLRVPRKCTPKCYKDRVLPKLVRKRAVRLTKFDYRLANRLDEDLQKLRCRVNYHALKFTDSIQEMGHLLVERMKMKSKHFIALHLRFESDMLAFSGCYYGGGEKEKKELGEIRKRWKNLHASNPEKVRRHGRCPLTPEEVGLMLRALGFGSDVHLYVASGEIYGGEKTLAPLKALFPNFHSKETIATKEELAQFVSFSSRMAALDFVVCDDSDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLNKLFMKKNNMTWEEFASRVRTFQVGFMGEPNELRPGSGEFHENPSSCICQNSSSESGVKTGGISYPRNQTLDNYEIRNRKNWAEEQFTEEELEWSEGDCLHCKKRNRLNAIKLDSIPLLMSTGQSELQAFFSD >Vigun03g338000.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53592980:53595689:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53592980:53595689:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53592980:53595985:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.5.v1.2 pep primary_assembly:ASM411807v1:3:53592980:53595689:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.10.v1.2 pep primary_assembly:ASM411807v1:3:53592980:53595985:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.6.v1.2 pep primary_assembly:ASM411807v1:3:53592980:53595689:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g338000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53592843:53595924:1 gene:Vigun03g338000.v1.2 transcript:Vigun03g338000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNHHKRSTSNALPTSNARGRATGLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGSSDADAVNQNLIEETNRILAEIRSDADPSDPDDQQPFNPNATFTLGPKINNWDQERQNWLTQNPEYPNFIRGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNLAHLDVELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYDAYNLVLHGYPDLLFDQKSWIAVNTGSFLFRNCQWSLDLLDEWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSFYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAYNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESKGLKS >Vigun03g404500.1.v1.2 pep primary_assembly:ASM411807v1:3:61155898:61160299:1 gene:Vigun03g404500.v1.2 transcript:Vigun03g404500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSKSCGGMKLLKQRMFLFVGLVILKWVEGMEETNVTDIDMLYVSGRGFYRPLMVGLTLINGAAAKGAVCLDGSLPGYHFHRGYGSGSNSWLIQLEGGGWCGTIKNCLYSKKTRHGSSFFMEKQIPFIGILSNKAEENPDFFNWNRIKIRYCDGASFSGDSQNAGAGLYFRGQRIWQAAMEDLMSKGMRYAKQALLSGCSAGGLATIIHCDEFRELFPRTTRVKCLSDAGLFLDSVDVSGRRSLRNLFGNVVTLQGVRNSLPRSCTSRLNPILCYFPQHLIAGVRTPLFLLNAAYDTWQIQASLAPPSADYRWNWYECRKDYARCSGPQIQYLQGFRNQMLRSTRAFSRSYKNGLFINSCFAHCQSERQDTWFARDSPRIGNRGIAESVGNWFFDRVSVQAIGCPYPCDKTCHNLVFK >Vigun03g294100.4.v1.2 pep primary_assembly:ASM411807v1:3:47992735:47997789:-1 gene:Vigun03g294100.v1.2 transcript:Vigun03g294100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKDSRMGVREENPLRTQSIFPSQTSDASCKGHKTRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPSKDLEIEDWLCTNEPADIYIIGFQEVVPLNAGNVLGAEDNTPIRNWEAIIRRTLNKSSEPETKPKSYSAPPSPVLRASASADVLADGIDVNPLDTMNEEYLGSCNNVEVEQEEVKSIIGIGRNLQLRKINDIDPHTILDWPERPLDAIPHTDSSAKLRRVWSSDRIGFNLTEKASKYGSVLKRTHHSSGNLGLLWKEQQVMPEEVIDTLDELSDMLSDEEDDAFLELPNDNEDNNGIGGVKPHRKYVRIVSKQMVGIYVSIWVQRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEMRRNSDVHEILRRTCFSSVFDTDQPQTIPSHD >Vigun03g294100.1.v1.2 pep primary_assembly:ASM411807v1:3:47992735:47997789:-1 gene:Vigun03g294100.v1.2 transcript:Vigun03g294100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKDSRMGVREENPLRTQSIFPSQTSDASCKGHKTRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPSKDLEIEDWLCTNEPADIYIIGFQEVVPLNAGNVLGAEDNTPIRNWEAIIRRTLNKSSEPETKPKSYSAPPSPVLRASASADVLADGIDVNPLDTMNEEYLGSCNNVEVEQEEVKSIIGIGRNLQLRKINDIDPHTILDWPERPLDAIPHTDSSAKLRRVWSSDRIGFNLTEKASKYGSVLKRTHHSSGNLGLLWKEQQVMPEEVIDTLDELSDMLSDEEDDAFLELPNDNEDNNGIGGVKPHRKYVRIVSKQMVGIYVSIWVQRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEMRRNSDVHEILRRTCFSSVFDTDQPQTIPSHDQIFWFGDLNYRINMTDEEVRKLVALRKWDELMNYDQLTRELRSGHVFDGWKEGVINFPPTYKYEFNSDIYIGENPKEGEKKRSPAWCDRILWLGKGIKQLEYKRTENKLSDHRPVSSIFSIDVEVFDQRKLQRALNYTTAVVHPEVFLTEDGQWSY >Vigun03g294100.5.v1.2 pep primary_assembly:ASM411807v1:3:47992735:47997789:-1 gene:Vigun03g294100.v1.2 transcript:Vigun03g294100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKDSRMGVREENPLRTQSIFPSQTSDASCKGHKTRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPSKDLEIEDWLCTNEPADIYIIGFQEVVPLNAGNVLGAEDNTPIRNWEAIIRRTLNKSSEPETKPKSYSAPPSPVLRASASADVLADGIDVNPLDTMNEEYLGSCNNVEVEQEEVKSIIGIGRNLQLRKINDIDPHTILDWPERPLDAIPHTDSSAKLRRVWSSDRIGFNLTEKASKYGSVLKRTHHSSGNLGLLWKEQQVMPEEVIDTLDELSDMLSDEEDDAFLELPNDNEDNNGIGGVKPHRKYVRIVSKQMVGIYVSIWVQRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEMRRNSDVHEILRRTCFSSVFDTDQPQTIPSHD >Vigun03g294100.3.v1.2 pep primary_assembly:ASM411807v1:3:47992735:47997789:-1 gene:Vigun03g294100.v1.2 transcript:Vigun03g294100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKDSRMGVREENPLRTQSIFPSQTSDASCKGHKTRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPSKDLEIEDWLCTNEPADIYIIGFQEVVPLNAGNVLGAEDNTPIRNWEAIIRRTLNKSSEPETKPKSYSAPPSPVLRASASADVLADGIDVNPLDTMNEEYLGSCNNVEVEQEEVKSIIGIGRNLQLRKINDIDPHTILDWPERPLDAIPHTDSSAKLRRVWSSDRIGFNLTEKASKYGSVLKRTHHSSGNLGLLWKEQQVMPEEVIDTLDELSDMLSDEEDDAFLELPNDNEDNNGIGGVKPHRKYVRIVSKQMVGIYVSIWVQRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEMRRNSDVHEILRRTCFSSVFDTDQPQTIPSHDQIFWFGDLNYRINMTDEEVRKLVALRKWDELMNYDQLTRELRSGHVFDGWKEGVINFPPTYKYEFNSDIYIGENPKEGEKKRSPA >Vigun03g294100.2.v1.2 pep primary_assembly:ASM411807v1:3:47992735:47997789:-1 gene:Vigun03g294100.v1.2 transcript:Vigun03g294100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGRRSEAFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDVCSLKDSRMGVREENPLRTQSIFPSQTSDASCKGHKTRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPSKDLEIEDWLCTNEPADIYIIGFQEVVPLNAGNVLGAEDNTPIRNWEAIIRRTLNKSSEPETKPKSYSAPPSPVLRASASADVLADGIDVNPLDTMNEEYLGSCNNVEVEQEEVKSIIGIGRNLQLRKINDIDPHTILDWPERPLDAIPHTDSSAKLRRVWSSDRIGFNLTEKASKYGSVLKRTHHSSGNLGLLWKEQQVMPEEVIDTLDELSDMLSDEEDDAFLELPNDNEDNNGIGGVKPHRKYVRIVSKQMVGIYVSIWVQRRLRRHVNNLKVSPVGVGLMGYMGNKKDGAEMRRNSDVHEILRRTCFSSVFDTDQPQTIPSHDQIFWFGDLNYRINMTDEEVRKLVALRKWDELMNYDQLTRELRSGHVFDGWKEGVINFPPTYKYEFNSDIYIGENPKEGEKKRSPAWCDRILWLGKGIKQLEYKRTENKLSDHRPVSSIFSIDVEVFDQRKLQRALNYTTAVVHPEVFLTEDGQWSY >Vigun09g223300.1.v1.2 pep primary_assembly:ASM411807v1:9:39624589:39632545:-1 gene:Vigun09g223300.v1.2 transcript:Vigun09g223300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGQPRLPKFAIPKLYDIRLKPDLVEHRFAGSVAVDLDIVAGTSFIVLNAAELSVTPDSISFTVGDSSTVFKPSRVELFESDEILVLEFPQEIPVGLGVLSILFEGILNDRMKGFYRSTYEHNGEKKTMAVTQFEPADARRCFPCWDEPVCKATFKITLDVPSELVALSNMPVVEEITNGDLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTPDGVKVRVYCQVGKANQGKFALDVAVKTLELYKNYFATPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWSQFLHESTEGLRLDGLAESHPIEVEINHACEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKYACSNAKTEDLWAALEEGSGEPVNKLMTSWTKQKGYPVVSVKVNDQKLEFDQSQFLSSGAQGEGEWIVPVTLCSGTYDVRKSFLLQSKSDAHDVKDFIGTADINDWIKLNVDQAGFYRVKYDEVLAAKLRYAVEKQLLSASDRFGVLDDSYALCMARQESLTSLINLMGSYREEVDYTVLSNLITITLKVERIVADAKPQLLDYFRQFFIGLLQHCAERLGWEPKPEESHLDAMLRGEILTALAVLGHDLTLEEGNKRFQAFLEDRNTPLLPPDTRKAAYVAVMQGASKSTRSGYDSLLKVYRETDLSQEKTRILGSLSSSRDPELILEALNFMLSSEVRSQDAVFGLAVNREGRDVAWLWLKDNWEHLTKTYGSGFLITRFVSAVVSPFASLEKAKEVEEFFASHGTPSIARTLKQSLERVNINANWVESIMIEESLTDAVRELAYRKY >Vigun05g102900.2.v1.2 pep primary_assembly:ASM411807v1:5:10275457:10278824:1 gene:Vigun05g102900.v1.2 transcript:Vigun05g102900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFLINLEGNFYSCKHCQTHLALDDDIISKSFHCRHGKAYLFDKVVNVTIGEKEERMMITGLHTVVDLFCVVCGSIVGWKYEAAYEKSQKYKEGKFILERYKVLGSDGSIYMEAQEVHHASSDADDI >Vigun05g102900.1.v1.2 pep primary_assembly:ASM411807v1:5:10275216:10278824:1 gene:Vigun05g102900.v1.2 transcript:Vigun05g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFLINLEGNFYSCKHCQTHLALDDDIISKSFHCRHGKAYLFDKVVNVTIGEKEERMMITGLHTVVDLFCVVCGSIVGWKYEAAYEKSQKYKEGKFILERYKVLGSDGSIYMEAQEVHHASSDADDI >Vigun05g102900.3.v1.2 pep primary_assembly:ASM411807v1:5:10275216:10281634:1 gene:Vigun05g102900.v1.2 transcript:Vigun05g102900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFLINLEGNFYSCKHCQTHLALDDDIISKSFHCRHGKAYLFDKVVNVTIGEKEERMMITGLHTVVDLFCVVCGSIVGWKYEAAYEKSQKYKEGKFILERVFRSEILFTNSEL >Vigun02g179100.1.v1.2 pep primary_assembly:ASM411807v1:2:32022505:32023891:-1 gene:Vigun02g179100.v1.2 transcript:Vigun02g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFPRFRSLSRAVHQEQEQEHEEEKNNAEKLSTSLTVWRKSLVMSCKGFTVIDCYGNLVYRVDNYIGRPNEVTLMDASGRSILTMFRRRRLGLLDEWFVYEGEVGNIRRRRSNLSKPSKSPICCVRKNVNILHGNSNVLAYVFSGVSHSDKRHVAFTVEGSYAHRTCKVLDECRRVVAEIKRKEANTKNVSFGIEIFQLVVHSGFDPAFAMALVLLLDQMFS >Vigun01g134200.1.v1.2 pep primary_assembly:ASM411807v1:1:31248246:31248696:-1 gene:Vigun01g134200.v1.2 transcript:Vigun01g134200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSSLCIFFVVAFMFIATTGQHIPCIMVQAPCAKNEDCNAPCKANMPPDLYCPDTNICDDTACCCRQNTHCPTRQF >Vigun01g172100.1.v1.2 pep primary_assembly:ASM411807v1:1:35439978:35443663:-1 gene:Vigun01g172100.v1.2 transcript:Vigun01g172100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAINMSQNLLLSLLLLLPFFCSLPVQGLNEQERKPYIVYMGDLPADRTYAVQAHHHNLLESVIGNVQLARQSRIHSYGKSFNGFVARLLPHEAERLKEDENVVSVFPNTVRQLHTTRSWDFLGLPLNVKRNSKIESHIIVGVLDTGIWLDSPSFNDTGYGPPPRRWKGKCVTGANFTGCNKKVIGATYFNLAMSNSSYDSVSPADDQGHGTHTASIAAGSVVKGASLFGVGKGTSRGGVPSARLAMYKVCWTSGCSDMDMLAGFDQAIADGVNFLSVSIGGPAHNFLRDPIAIGAFHAMTKGILTTCSAGNSGPRFMSVENVAPWILTVAASSTDRQFFTAAAFGNGNNVTGMSINTFSPKKKMYPLTSGIIAANGSDDGFGSPRGCDYGTMSKEMVKGRIVYCVGGTGTQDLTIKELQGAGTIVVVDEVIDASFSTVIPATFVEASSVDQTIDLYINSTKNPRAVIYKTASRQVPAPYVVSFSSRGPQTITPNIFKPDLTAPGVDILAAYSKLESVSGYREDDRYAIFNILSGTSMSCPHAAATAAYVKSFHPDWSPAAIKSALMTTATPIEVRDNFTVLGAGSGQINPVKALHPGLVYDTGVHSYISFLCKEGFNSTNIGVLIGNRNFNCSSIKIEPGTDGINYPSMHVQLPSPLDRISAVFYRTVTNVGYGNSTYKAKVTAPEGLSVKVIPDTLRFIGLHQKLSFKVVLKGPPMPVETFLKAASLEWNDSKHTVRSPILVYKPMSFY >Vigun07g163300.1.v1.2 pep primary_assembly:ASM411807v1:7:27490138:27500419:1 gene:Vigun07g163300.v1.2 transcript:Vigun07g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTELMRICVKEDNDDFPSVPPGFESYTSFSLKRVENNGKQDDKNMISCSASTSASESPSTLVENDAQVRETAKVPRSLRRRPWINYGQYENHSEEDSDCERLDQTLSSRACLPRGVIRGCPDCSNCQKVVARWRPEDARRPNIEDAPVFYPTEEEFQDTLKYISSIRSRAEPYGICRIVPPSSWKPPCPLKEKSKWEASKFSTRVQRIDKLQNRDSMRKMSRVQSNMKRKRRRCTRMGVDNGTRRGPNTGFCEVERFGFEPGPEFTLETFQRYAEDFKLQYFRKNENVSHLGANTTILNGTSEPSVESIEGEYWRMVERPTEEIEVLYGADLETGIFGSGFPSKSSQLGSASHEQYIKSGWNLNNFARLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDACKLEEAMRKHLPELFEEQPDLLHKLVTQLSPSILKSKGVPVYRCVQNPGDFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHIAIELYQEQGRKTSISHDKLLLGAAREAVRAQWELNLLKKNTLDNLRWKDVCGKDGFLAKALKMRVEMERARREFLCSSLQALKMESTFDATDERECNICFFDLHLSAAGCRCSPDRYACLDHAKQLCSCSWESRFFLFRYDVGELNILVEALEGKLSAIYRWAKSDLGLALSSYVSSGKETILKELKSHSSSLSHSSRATLNKEMALHPPNKYIDDSQLVDVPIENQANSKDQSYFQQRKSAEAISFSCSTKDLLTFNSSKPTSDIPNHKICVSKEESVICSTKMKTPGCQLSQEDTSYALSTLSQQGGEKRSLYRHNNVILLSDDDEDEKMSDSNRRKELSSMLVGPGDKSSPFNNIESTNLTISVTDTAVMGERDAITLPHENMSSNSIQSLHVKQECHEYTGTVLASTPLDLSCHIGLTSAQCTKNISAPSKVEASDHCLESLEISPLNLQLSGTKVKTEDNHEKFGGCSTSNVADNARAVNGNFSCGPNNFRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCSSQAIFPKGFRSRVRYINVSDPSSMCYYISEILDAGRGWPLFMVSLESCPSEVFIHMSAARCWELVREKVNQEIAKQHKLGRKGLPPLQPPGSLDGLEMFGFSSPAIVQAIEALDRSRVCSEYWDSRPYSRPLGQISQPCQSSVSGGIGQGVLLNKNIPVEVVAVLRSLCKKANAEELNSLYSILSESRPQADRSQIAQFLKEEIQKSQPP >Vigun07g163300.2.v1.2 pep primary_assembly:ASM411807v1:7:27490138:27500419:1 gene:Vigun07g163300.v1.2 transcript:Vigun07g163300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTELMRICVKEDNDDFPSVPPGFESYTSFSLKRVENNGKQDDKNMISCSASTSASESPSTLVENDAQVRETAKVPRSLRRRPWINYGQYENHSEEDSDCERLDQTLSSRACLPRGVIRGCPDCSNCQKVVARWRPEDARRPNIEDAPVFYPTEEEFQDTLKYISSIRSRAEPYGICRIVPPSSWKPPCPLKEKSKWEASKFSTRVQRIDKLQNRDSMRKMSRVQSNMKRKRRRCTRMGVDNGTRRGPNTGFCEVERFGFEPGPEFTLETFQRYAEDFKLQYFRKNENVSHLGANTTILNGTSEPSVESIEGEYWRMVERPTEEIEVLYGADLETGIFGSGFPSKSSQLGSASHEQYIKSGWNLNNFARLPGSLLSYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDACKLEEAMRKHLPELFEEQPDLLHKLVTQLSPSILKSKGVPVYRCVQNPGDFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHIAIELYQEQGRKTSISHDKLLLGAAREAVRAQWELNLLKKNTLDNLRWKDVCGKDGFLAKALKMRVEMERARREFLCSSLQALKMESTFDATDERECNICFFDLHLSAAGCRCSPDRYACLDHAKQLCSCSWESRFFLFRYDVGELNILVEALEGKLSAIYRWAKSDLGLALSSYVSSGKETILKELKSHSSSLSHSSRATLNKEMALHPPNKYIDDSQLVDVPIENQANSKDQSYFQQRKSAEAISFSCSTKDLLTFNSSKPTSDIPNHKICVSKEESVICSTKMKTPGCQLSQEDTSYALSTLSQQGGEKRSLYRHNNVILLSDDDEDEKMSDSNRRKELSSMLVGPGDKSSPFNNIESTNLTISVTDTAVMGERDAITLPHENMSSNSIQSLHVKQECHEYTGTVLASTPLDLSCHIGLTSAQCTKNISAPSKVEASDHCLESLEISPLNLQLSGTKVKTEDNHEKFGGCSTSNVADNARAVNGNFSCGPNNFRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCSSQAIFPKGFRSRVRYINVSDPSSMCYYISEILDAGRGWPLFMVSLESCPSEVFIHMSAARCWELVREKVNQEIAKQHKLGRKGLPPLQPPGSLDGLEMFGFSSPAIVQAIEALDRSRVCSEYWDSRPYSRPLGQISQPCQSSVSGGIGQGVLLNKNIPVEVVAVLRSLCKKANAEELNSLYSILSESRPQADRSQIAQFLKEEIQKSQPP >VigunL023771.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:40581:41173:-1 gene:VigunL023771.v1.2 transcript:VigunL023771.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding FYGRSATPGCRRRPWGDLVVPTGWRRWGRASLILFPRMIPNEETLGEPPTPTTVHVRSILDLTNCPSYLLYSFNGMFRSSFPLLRKSEPPVQVQDTIITAWTIRHPTRNRNDPIARAELYQLSYIPRAEWSMHEGVNWAILDLNQRPRP >Vigun03g425500.1.v1.2 pep primary_assembly:ASM411807v1:3:63114960:63117167:1 gene:Vigun03g425500.v1.2 transcript:Vigun03g425500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSCLHGLTTMWESQDNTDTRTRPESWSPNGIKRAKVFSFKDLATATKDFRSKLLLGRGGFGSVYKGQLKNGQDVAVRVLDKNTQQRDEDFVAEVEMLSPLHHQNLVTLIGYCCEPDHWLLVYEYMPLGSLENHLFDLHPSREPLDWNTRMKIASGVAKGLEYLHILGDRPAIFGDFKSSNILLGEGYHPKLSDIRMANFGRLCNSSDASTSVGTYGYCAPEYVVTGHLTPECNVYAFGMVLLELISGVKAIDKSRSPEPYHKWAQPIFKDSSELYRLVDPLLDGSYPVAWLHGVIGVADMCLHEDADRRPVIREVVSALTYLESEYNDPEKQCNRRGSKPPTFFYSSLRIKESGLEKEQKKQEFQGGICRYAADTGKRIVRIHNRMRPF >Vigun08g115900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28266855:28270893:-1 gene:Vigun08g115900.v1.2 transcript:Vigun08g115900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINFSGSIAKPSFLIGQSEMPILTFGHCKLSATLALRLSRDCIKRMELITKLQYSVSDRTFSSGSVYSPSPESESGRMNRRRGGSSSIYSRPSLSEMKKEKAALREKVYEFLKTIGIVPDELDGLELPVTVDVMRERIDFLHSLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKASITLFLQRYPQVLHASVVVDLMPVVNYLKGMDIKTEDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRQIGGVLTKYPEILGMRVGRIIKPFVEYLESLGIPKLAIARLIEQRPYILGFGLNEKVKPNVKSLEDFNVRRTSLPSIIAQYPDIIGTDLNSKLFNQRSILNSVLELDAKDFARVVEKMPQVVSLIRGPMLKHVDFLKDCGFSLPQIRKMIVACPQLLALNIDIMKLSFDYFQVKMKRPLEDLVTFPAFFTYALESTIKPRHVRVAKKGLKCSLSWMLNCSNEKFEQRMDYDTIDMEEMEMEMESSFDMDSLTQPRSDDESDFDYDSDEDDE >Vigun08g115900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28268658:28270893:-1 gene:Vigun08g115900.v1.2 transcript:Vigun08g115900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINFSGSIAKPSFLIGQSEMPILTFGHCKLSATLALRLSRDCIKRMELITKLQYSVSDRTFSSGSVYSPSPESESGRMNRRRGGSSSIYSRPSLSEMKKEKAALREKVYEFLKTIGIVPDELDGLELPVTVDVMRERIDFLHSLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKASITLFLQRYPQVLHASVVVDLMPVVNYLKGMDIKTEDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRQIGGVLTKYPEILGMRVGRIIKPFVEYLESLGIPKLAIARLIEQRPYILGFGLNEKVKPNVKSLEDFNVRRTSLPSIIAQYPDIIGTDLNSKLFNQRSILNSVLELDAKDFARVVEKMPQVVSLIRGPMLKHVDFLKDCGFSLPQIRKMIVACPQLLALNIDIMKLSFDYFQVKMKRPLEDLVTFPAFFTYALESTIKPRHVRVAKKGLKCSLSWMLNCSNEKFEQRMDYDTIDMEEMEMEMESSFDMDSLTQPRSDDESDFDYDSDEDDE >Vigun08g115900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28268657:28270893:-1 gene:Vigun08g115900.v1.2 transcript:Vigun08g115900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINFSGSIAKPSFLIGQSEMPILTFGHCKLSATLALRLSRDCIKRMELITKLQYSVSDRTFSSGSVYSPSPESESGRMNRRRGGSSSIYSRPSLSEMKKEKAALREKVYEFLKTIGIVPDELDGLELPVTVDVMRERIDFLHSLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKASITLFLQRYPQVLHASVVVDLMPVVNYLKGMDIKTEDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRQIGGVLTKYPEILGMRVGRIIKPFVEYLESLGIPKLAIARLIEQRPYILGFGLNEKVKPNVKSLEDFNVRRTSLPSIIAQYPDIIGTDLNSKLFNQRSILNSVLELDAKDFARVVEKMPQVVSLIRGPMLKHVDFLKDCGFSLPQIRKMIVACPQLLALNIDIMKLSFDYFQVKMKRPLEDLVTFPAFFTYALESTIKPRHVRVAKKGLKCSLSWMLNCSNEKFEQRMDYDTIDMEEMEMEMESSFDMDSLTQPRSDDESDFDYDSDEDDE >Vigun08g115900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28268658:28270893:-1 gene:Vigun08g115900.v1.2 transcript:Vigun08g115900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINFSGSIAKPSFLIGQSEMPILTFGHCKLSATLALRLSRDCIKRMELITKLQYSVSDRTFSSGSVYSPSPESESGRMNRRRGGSSSIYSRPSLSEMKKEKAALREKVYEFLKTIGIVPDELDGLELPVTVDVMRERIDFLHSLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKASITLFLQRYPQVLHASVVVDLMPVVNYLKGMDIKTEDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRQIGGVLTKYPEILGMRVGRIIKPFVEYLESLGIPKLAIARLIEQRPYILGFGLNEKVKPNVKSLEDFNVRRTSLPSIIAQYPDIIGTDLNSKLFNQRSILNSVLELDAKDFARVVEKMPQVVSLIRGPMLKHVDFLKDCGFSLPQIRKMIVACPQLLALNIDIMKLSFDYFQVKMKRPLEDLVTFPAFFTYALESTIKPRHVRVAKKGLKCSLSWMLNCSNEKFEQRMDYDTIDMEEMEMEMESSFDMDSLTQPRSDDESDFDYDSDEDDE >Vigun08g115900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:28266855:28270893:-1 gene:Vigun08g115900.v1.2 transcript:Vigun08g115900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAINFSGSIAKPSFLIGQSEMPILTFGHCKLSATLALRLSRDCIKRMELITKLQYSVSDRTFSSGSVYSPSPESESGRMNRRRGGSSSIYSRPSLSEMKKEKAALREKVYEFLKTIGIVPDELDGLELPVTVDVMRERIDFLHSLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKASITLFLQRYPQVLHASVVVDLMPVVNYLKGMDIKTEDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRQIGGVLTKYPEILGMRVGRIIKPFVEYLESLGIPKLAIARLIEQRPYILGFGLNEKVKPNVKSLEDFNVRRTSLPSIIAQYPDIIGTDLNSKLFNQRSILNSVLELDAKDFARVVEKMPQVVSLIRGPMLKHVDFLKDCGFSLPQIRKMIVACPQLLALNIDIMKLSFDYFQVKMKRPLEDLVTFPAFFTYALESTIKPRHVRVAKKGLKCSLSWMLNCSNEKFEQRMDYDTIDMEEMEMEMESSFDMDSLTQPRSDDESDFDYDSDEDDE >Vigun08g171500.1.v1.2 pep primary_assembly:ASM411807v1:8:34222095:34235127:1 gene:Vigun08g171500.v1.2 transcript:Vigun08g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAGPLVPAVVKPEASRAAAAADTGASAAASGDTFPASTSEPDKDFLCPICMQIIKDAFLTACGHSFCYMCIITHLRNKNDCPCCGHYLTNTNLFPNFLLDKLLKKTSARQISKTASPVEHFRQALQKGCDVTIKELDTLLSLLAEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELKEVQTDLQFIKEDINSVEKHRMDLYRARDRYSVKLRMLDDSGGRKSWHSSLDKSSSGLISSPLNLRGGLSSGSHTKKNDGKSQINSHGHGVQRRDAVTGSDSPHINQSGLALVRKKRVHTQFNDLQECYLQKRRHAADKPHSQQERDMSLISREGYSAGLEDFQSVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDDLFATAGVSRRIKVFDFSAVVNEPTDAHCPVVEMSTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRKSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTNQEASVLNIDMKANICCVKYNPGSGNYIAVGSADHHIHYYDLRNISRPVHVFSGHRKAVSYVKFLSNYELASASTDSTLRLWDVKENLPVRTFKGHANEKNFVGLTVSSEYIACGSETNEVFVYHKEISKPLTWHRFGSPDMDDAEDEAGSYFISAVCWKSDRPTILTANSQGTIKVLVLAA >Vigun08g171500.2.v1.2 pep primary_assembly:ASM411807v1:8:34223485:34235127:1 gene:Vigun08g171500.v1.2 transcript:Vigun08g171500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEAERNMQILLDFLHCLRKQKVDELKEVQTDLQFIKEDINSVEKHRMDLYRARDRYSVKLRMLDDSGGRKSWHSSLDKSSSGLISSPLNLRGGLSSGSHTKKNDGKSQINSHGHGVQRRDAVTGSDSPHINQSGLALVRKKRVHTQFNDLQECYLQKRRHAADKPHSQQERDMSLISREGYSAGLEDFQSVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDDLFATAGVSRRIKVFDFSAVVNEPTDAHCPVVEMSTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRKSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTNQEASVLNIDMKANICCVKYNPGSGNYIAVGSADHHIHYYDLRNISRPVHVFSGHRKAVSYVKFLSNYELASASTDSTLRLWDVKENLPVRTFKGHANEKNFVGLTVSSEYIACGSETNEVFVYHKEISKPLTWHRFGSPDMDDAEDEAGSYFISAVCWKSDRPTILTANSQGTIKVLVLAA >Vigun03g208900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34369002:34371287:1 gene:Vigun03g208900.v1.2 transcript:Vigun03g208900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSTANSTFHQLHTFNSENNNSHIYDATFSAYLNGNEGTIVGRLGEPSQKLNHFISSRKSPLQKLEKKEENGEIGVFGAEKYFNVGESETPRSASSIATSKYLHQRDEPVALATRKHTLQYGTPSIRSESTSNSQSAFLQTGMKNSLRSKKDKCQAKSVLAGLGFKCSCSGKDSVDAGEISFSRTSTYGAVHGKTTTRKLVDIAALDASHSIKLSKPNAELLKINNVYFQKEENFGVGVKSRRNSLAFSPLSSASGNQNHLMKMQAQQEEEEMKPRKSLEVFGSTVLKNKIKSLSFDRRMEMSSRTEEIDSKYMNDAASDASSDLFEIESIKSKTNPFLARQTSDAASGCVSPTNGYAPSEASIEWSVATASAAVMSDCEEQMSEVTIRSPIRTAFTLSSDGKTKAPREVQRRRPSMLLGCKSHKSVRVAGDAFITYEHPNSTPKIRNRTNTSQIARFPSETKLGNLGAKYGQQHHAYAASPHASKLLYI >Vigun04g065000.1.v1.2 pep primary_assembly:ASM411807v1:4:7068709:7071472:1 gene:Vigun04g065000.v1.2 transcript:Vigun04g065000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILVILFLSLTYGGVSEANHDKKLPSASVIGTVYCDTCFQLDFSRGSHFISGASVGIECKDGNSVPRFKKEVKTDEHGEFKVQLPFKVRKHVRKINGCTFKLINSSEPHCAVASVSTSSSMSLKTRNQREHIFSAGLFSFKPVEKPNFCNQKQSVQNSNPHVSVKPISGILAKVQTTLFKSKPTETSEKSQSNKDLAEDFFFPPNPFFPPPLIPNPFQPPPLIPNPFQPPPLIPNPFQPPSPPLIPNPFQPPSPPPLIPNPFQPPPSPRAPLIPNPFQPPPSPPPSLFPPFPPIVIPGLTPSPPPPPPPAPIFPIPPFPPLFPPLFPPPHSPGTPSASSKNNSP >Vigun01g029150.1.v1.2 pep primary_assembly:ASM411807v1:1:3503420:3507807:1 gene:Vigun01g029150.v1.2 transcript:Vigun01g029150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRGNLSRRGSNDVPESSTQGGARKRPTASARRNRTTGQDEANVVEHDIVENEVSDVPQEDEEGIDNDGGGFPGGPYDTSLLTRYEDHVARMIWDGQAWIYEHFPTLGRKQVRDTYVETEPRALRYVTGRAIFAIADVRVQLDGLTYDGMIWNPYVAHRAARQLVTHGMFSSFLRVGTIVHRHMPERVLRHEAAYSTAAQFASHDGL >Vigun05g161700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25668740:25669393:1 gene:Vigun05g161700.v1.2 transcript:Vigun05g161700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhE MIFEHTLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDRRQLKGNIFSIFVIAVAAAEAAIGPAIVSSIYRNRKSTRINQSNLLNK >Vigun10g050700.2.v1.2 pep primary_assembly:ASM411807v1:10:7875333:7878347:-1 gene:Vigun10g050700.v1.2 transcript:Vigun10g050700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVSFFELNTGAKIPSIGLGTYAVVPSTVITAIEVGYRHIDCAEAYCNQAEIGSALKKLLESGAVKREDLWITSKLWNADHDPEDVPKALERTLQQLQLDYVDLYLIHWPVKIKKGSVGAKPEDVIQHDIPSTWRAMEALYDSGKARAIGVSNFSSKKLQDLLDVARVPPAVNQVELHPQWQQPKLHAFCESKGIHLSGFSPLGSQEFRSSDYVLKNPVLNIVSEKLGKTPAQVALRWGIQTGHSVLPKTSNEARLKENIDIFNWSIPEDLMAKFSEIKQERLIKGTGLFVHESSEVYKTVEELWDGE >Vigun10g050700.3.v1.2 pep primary_assembly:ASM411807v1:10:7875420:7878211:-1 gene:Vigun10g050700.v1.2 transcript:Vigun10g050700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVSFFELNTGAKIPSIGLGTYAVVPSTVITAIEVGYRHIDCAEAYCNQAEIGSALKKLLESGAVKREDLWITSKLWNADHDPEDVPKALERTLQQLQLDYVDLYLIHWPVKIKKGSVGAKPEDVIQHDIPSTWRAMEALYDSGKARAIGVSNFSSKKLQDLLDVARVPPAVNQVELHPQWQQPKLHAFCESKGIHLSGFSPLGSQEFRSSDYVLKNPVLNIVSEKLGKTPAQVALRWGIQTGHSVLPKTSNEARLKENIDIFNWSIPEDLMAKFSEIKQERLIKGTGLFVHESSEVYKTVEELWDGE >Vigun10g050700.4.v1.2 pep primary_assembly:ASM411807v1:10:7875335:7878290:-1 gene:Vigun10g050700.v1.2 transcript:Vigun10g050700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVSFFELNTGAKIPSIGLGTYAVVPSTVITAIEVGYRHIDCAEAYCNQAEIGSALKKLLESGAVKREDLWITSKLWNADHDPEDVPKALERTLQQLQLDYVDLYLIHWPVKIKKGSVGAKPEDVIQHDIPSTWRAMEALYDSGKARAIGVSNFSSKKLQDLLDVARVPPAVNQVELHPQWQQPKLHAFCESKGIHLSFLRNWGKHQLKLPFGGAFKRGIVCYQRPLMRPGSRKILISSTGLFLRT >Vigun10g050700.5.v1.2 pep primary_assembly:ASM411807v1:10:7875333:7878347:-1 gene:Vigun10g050700.v1.2 transcript:Vigun10g050700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVSFFELNTGAKIPSIGLGTYAVVPSTVITAIEVGYRHIDCAEAYCNQAEIGSALKKLLESGAVKREDLWITSKLWNADHDPEDVPKALERTLQQLQLDYVDLYLIHWPVKIKKGSVGAKPEDVIQHDIPSTWRAMEALYDSGKARAIGVSNFSSKKLQDLLDVARVPPAVNQVELHPQWQQPKLHAFCESKGIHLSVCISHIFKLLYASFMQLDSIIFYIFVLENSSVSLNFT >Vigun04g053500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5017446:5020395:-1 gene:Vigun04g053500.v1.2 transcript:Vigun04g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYMKRAKMNLSHKFKELVNILKLSATSKSLRLGKTIHAQLLVCNQTSKDSDIIQINSLINLYSKCGQLEYAKKLFDRMPQRNLISWSVLMAGYLHKGDVLEVLGLFRNLVSLDSACPNEYIFTIVLSCCANSGRVQEGKQCHGYLFKSGLLLHQYVKNALVHVYSRCFHVDSALQILETVPGNDVFSYNSILSALVESGCREEVEKVLNMMVDECVSWDGVTHVNMLCLCSHIGDLQLGMQIHAQLMKTGLVFDVFVISALIDMYGKCCKVLNAKKHFDDLQDRNVVAWTAMLTAYLQNGYFEETLNLFPRMELEDTHPNEFTFAVLLNACANLVALTYGDTLHGRIMKLGFKNHLIVGNALVNMYSKSGNVDSSYSVFSNMIYKDVITWNAMICGYSHHGLGKQALLVFQDMMSAGECPNYVTFIGILSACAHLALVQEGFYYFDQLMKELNIEPGLEHYTCMVSLLCRDGQLYEAENFMKTKTQVKWDVVAWRTLLNACHVHRNYSLGKLIAETVILMDPHDVGTYTLLSNMYAKARKWDGVVNIRKLMRERNIKKEPGASWLGIRNDTHVFVSEGSNHPESTQIYEKIQELLAMIKPLGYVPDVGAVLHDVEEEQKEGYLSYHSEKLALAYGLMKIPPPGPIRIIKNLRMCDDCHIAVKLISKVTNRLIVVRDANRFHHFRDGLCTCNDHW >Vigun05g103400.1.v1.2 pep primary_assembly:ASM411807v1:5:10320087:10323217:-1 gene:Vigun05g103400.v1.2 transcript:Vigun05g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPCASSRSLLSQILNRYVLVCMILIKESAIAATAAGNETDLQALIHFKSRIVEDPFNIMSSWNDSIHHCNWIGITCNFSNGRVMDLSLEQLRLGGTLTPFIGNLTFLNTIKLLNNSFHGEFPQEVGRLLYLQNLNFSYNNFGGSIPSNLSHCTKLEVLAAGANNLTGVIPTWIGNLSSLSRISFGLNNLIGSIPQEVGLLSSLAYLVLYGNYLSGSVPSSIYNKSSLYYFTFTQNHLHGNLPADVGITLPNIQVFAGAVNNLTGSVPASLLNASKLEILDFSLNGLTGTLPKNLGVLNRLTRLSFEHNRLGTGKTDDLNFLDSLVNCTGLEVLRLGVNNFGGVLPKSIANFSSQMHTFALNSNGIHGNIPVGIGNLLTGSLPDELGRLKNLRELYLNVNKFSGRIPSSLGNLSVLIKIFLEENNFEGSIPSSLGNCQNLLVLSLYSNKLSGTIPPEVIGLSSLAIYLDVSNNALSGTLPAEVGKLQNLGELVLSENNFSGIIPSSLGRCISLEKLHLEGNSFDGNIPQTLKNLRGLLDIDLSRNNLSGKIPEFLGEFTELKHLNLSYNNFEGEIPKNGIFKNATSLSLYGNNKLCGGVPELNFPACTVKKASLARRLLAPKVVIPIVCALVSLLILSCFLKLLSIVKRSKKKTPTSTTERGLGLEMSYSEINKCTGGFSQDNLIGSGSFGSVYRGTLSGDESSVAVKVLNLQQRGASKSFIDECQVLRSVRHRNLLKIITAISGVDHQGNDFKALVFEYMPNGSLEDWLHPIRNLQFQKKALTFMQRLNIAIDVACALEYLHHFCETPIVHCDIKPSNVLLDSDMVAHVGDFGLATFLFEESRELSTQPVMSANLRGSIGYIPPEYGMGGKPSTVGDIYSYGILLLEIFTGKRPTDEAFEGGSGIQQFVAMALSNNVMDIVDPSLVCEQDFDGESEESECEEKAIRRKNEIEGTAKGLIEECFVSLMQIGMSCSANAPGERMPITVVINKLHTVKNIYTDKA >Vigun08g198100.3.v1.2 pep primary_assembly:ASM411807v1:8:36365654:36367826:1 gene:Vigun08g198100.v1.2 transcript:Vigun08g198100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCGVRSFQIPAMERGALHNRASMAAGVGCLSVAGTSFWRSSSSPKRSLPFTCLSISSDARVKESVETDGAPPALGPYSQAIKSDNLLFVSGVLGLVPETGEFVSDDVEGQTEQLLKNMGEILKAGGASYSSVVKTTIMYEFLVLCNWGLLHFGNVTSF >Vigun08g198100.4.v1.2 pep primary_assembly:ASM411807v1:8:36365654:36367826:1 gene:Vigun08g198100.v1.2 transcript:Vigun08g198100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCGVRSFQIPAMERGALHNRASMAAGVGCLSVAGTSFWRSSSSPKRSLPFTCLSISSDARVKESVETDGAPPALGPYSQAIKSDNLLFVSGVLGLVPETGEFVSDDVEGQTEQLLKNMGEILKAGGASYSSVVKTTIMLADLEDFTVVNQIYAKYFSLPFPARSTYQVAALPLNAKIEIECIATL >Vigun08g198100.2.v1.2 pep primary_assembly:ASM411807v1:8:36365651:36367826:1 gene:Vigun08g198100.v1.2 transcript:Vigun08g198100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCGVRSFQIPAMERGALHNRASMAAGVGCLSVAGTSFWRSSSSPKRSLPFTCLSISSDARVKESVETDGAPPALGPYSQAIKSDNLLFVSGVLGLVPETGEFVSDDVEGQTEQLLKNMGEILKAGGASYSSVVKTTIMLADLEDFTVVNQIYAKYFSLPFPARSTYQVAALPLNAKIEIECIATL >Vigun03g404700.2.v1.2 pep primary_assembly:ASM411807v1:3:61177747:61181203:1 gene:Vigun03g404700.v1.2 transcript:Vigun03g404700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPISGFYRNPVKFRMPTAENLVPIRLDIEIEGQRYKDAFTWNPSDPDSEVVVFAKRTVKDLKLPPAFVTQIAQSIQSQLLEFRSYEGQDMYAGEKIVPIKLDLRVNHTLVKDQFLWDLNNFESDPEEFARIFCKDMGIEDPEPAIAFAIREQLYEIAIQSVVSARESRMSKKGRRGAEYTPISRGGAVAVDLVKLFGPKSSVVRKRKEWDVYEPIVDLLSNEEVDALEAKEERNFR >Vigun03g404700.1.v1.2 pep primary_assembly:ASM411807v1:3:61177747:61181203:1 gene:Vigun03g404700.v1.2 transcript:Vigun03g404700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPISGFYRNPVKFRMPTAENLVPIRLDIEIEGQRYKDAFTWNPSDPDSEVVVFAKRTVKDLKLPPAFVTQIAQSIQSQLLEFRSYEGQDMYAGEKIVPIKLDLRVNHTLVKDQFLWDLNNFESDPEEFARIFCKDMGIEDPEVGPAIAFAIREQLYEIAIQSVVSARESRMSKKGRRGAEYTPISRGGAVAVDLVKLFGPKSSVVRKRKEWDVYEPIVDLLSNEEVDALEAKEERNFR >Vigun03g389600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59617701:59617988:1 gene:Vigun03g389600.v1.2 transcript:Vigun03g389600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIFDDFRPIMPLPIRTFTHRPELLPTWSKDDQDCRTPTSHPPTLVCPPPPKKPRPSVSVSTTTTFPSQSFFQVPHDLASVFMLRAKPEEPLC >Vigun10g086450.1.v1.2 pep primary_assembly:ASM411807v1:10:24783894:24790534:-1 gene:Vigun10g086450.v1.2 transcript:Vigun10g086450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIAEEFICYAFLIYTRPSIISSLVYFVLGRVGPLLCFMDMLGYKVLHIPNLRTIWILCMWRFIK >Vigun03g073900.1.v1.2 pep primary_assembly:ASM411807v1:3:6093231:6097413:-1 gene:Vigun03g073900.v1.2 transcript:Vigun03g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQSFKVESPNVKYTESEIESVYNYETTELVHENKNGTYQWVVKPKTVKYEFKTNTCVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIQQANYFGSLTQASAIRVGSFQGEEIYAPFKTLLPMVNPDDIVFGGWDISNLNLADAMARAKVFDIDLQKQLRPYMESMTPLPGIFDPDFIAANQGDRANNVIKGTKKEQVQQIIKDIKEFKEKSKVDKVVVLWTANTERYSNVVVGLNDTEENLLASLDRNEAEISPSTLYAIACVMENVPFINGSPQNTFVPGLIDLAIRRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKAENEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >Vigun03g120400.2.v1.2 pep primary_assembly:ASM411807v1:3:11241119:11243134:1 gene:Vigun03g120400.v1.2 transcript:Vigun03g120400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGEFPQGIRNCLTHPLGYINVNHNKLSGHIPQELGQLPVIKAVSFANNYLSGSVPLFFPSSTFVDYANNEELCGGSLPPCSLDSSNDFPQSFKRGLAVGYAFSVTSVIVIYISYCAPWEQSQHGRNKHRKKAKELSTIFWSIAGRKTPTPQAPAEQELQPLQLQEKIIKEISVVTERMKSTMRFNEVRDATDCFSIDKAIGMGKIGIMYEGRLPNGWNLAIKRLFDSKQYKKQFLLEIRILGKYRHRNIVPLLGFCVEGKERILVYQFMSNGRLSKWLSPLEGEVTLKWPQRIKIAIGVARGLSWLHHICNLHVVHLNISSECILLDKNFEPRLSNFGKAKFVNPNIEDSANTMFYVSDGKKDVYDFGSLLFELITGKTLKELSCSFSTTTTTTTSTNLSGNSNFINAIHESLMGKGFENDVYTLIKLACKCVPPFTDERPTMLQVYDTLRDIYGEGH >Vigun05g209900.1.v1.2 pep primary_assembly:ASM411807v1:5:40056797:40061054:-1 gene:Vigun05g209900.v1.2 transcript:Vigun05g209900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLKLEAMMVSLSASHLKPRCFSCSSSSSTSSSCGVKVWPWRGLDEWRESHLNENRRWGEHGPEPQPVFCDSTPLGKASSLAELGSIVLSTSDPLAKSQLSHMAYSMWRRHNLPLGISLPPSRPARPPNPQLVSPKEIPAPKDSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPYSEILGEGFFADFAHVADDESRHFSWCSQRLAELGFKYGDMPAHNILWRECEKSSDNVAARLAVIPLVQEARGLDAGPRLVKKLVGFGDNRTSKIVARIADEEIAHVAVGVYWFASVCQKMNCAPDSTFKELLKEYNVELKGPFNYSARDEAGIPRDWYDDASSMSNQDKKDKDGHKKQLSEVYERLASIIAMEKENSSLTKPPE >Vigun10g055266.1.v1.2 pep primary_assembly:ASM411807v1:10:9610449:9613392:1 gene:Vigun10g055266.v1.2 transcript:Vigun10g055266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVSFLFADMPRPKRIKNLLKSVLGTSKDQHITSSSQHSVLQATHEQPRQQPAQPRQQLAQSRQQPAQPRQQPAQVTSQPTQPNSETAEPRKQHAQPTSQASLPLTQFGQPRKKLPTQLRTQYTQPTEPTHPTSEPIQQTSESAHATSKSTQPTSESAQSTSQHVEPNSQSVQPTNESEEERVGPKRGRQSNHYWAVDVIDEDGGTQKLKLKVKDIHNLPNGLRVLVNYDDKYQPIGEASALLAGVCGQLAQNHIFFPISFERWTTMPNTYKDKVWESTLKAQFCFKVNEELAKRDVMCRIGKLWREYRCKLWNEFYDPLLSRNDLIKNVPEGHNMDQWAIFVDYRLKPSTMNMCNRNKDIRKRQTIPHTGGAMSLSRRRDNLKNETGKNIGRAEMWKITHKRKNGTYVNDEAMEIGEKIDDLMMQNSETASDISPYDPIGIIFGKEHAGRVPDNGSGVPSANDNVGSSGASKTN >Vigun06g230800.1.v1.2 pep primary_assembly:ASM411807v1:6:33734121:33734886:1 gene:Vigun06g230800.v1.2 transcript:Vigun06g230800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGRGFSAMFVLLSVLVLMSEMAHAATYVVGDKNGWNFNTNNWPNGKKFKAGDVLVFNYGPGAHDVTVVDANGYKSCDPSKASKKYSSGHDEITLASGTTYFICSFAGHCQQGMKIAINAA >Vigun11g109800.1.v1.2 pep primary_assembly:ASM411807v1:11:31115983:31117606:1 gene:Vigun11g109800.v1.2 transcript:Vigun11g109800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSSSSPRKVISVLLPPQKGNFTEPEQNLSASRVMMKYGKLQTTIIEIATLSLLLYSDRSSKVGELCALLDGEDLQ >Vigun09g184600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35822219:35822329:1 gene:Vigun09g184600.v1.2 transcript:Vigun09g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRMRELLALWSSLKTNRIALVGGNHTAARFCTR >Vigun08g083100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:17625514:17625663:1 gene:Vigun08g083100.v1.2 transcript:Vigun08g083100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLVCVIFQIVCLEGLEIDDCGGSEIWLLSSNSGSLLATIIKWHERC >Vigun06g160700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28306973:28310991:-1 gene:Vigun06g160700.v1.2 transcript:Vigun06g160700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILNFIRKTCFTLNLNHLSNIIAIIKHSLHHHTLTTNFSSSYFTRYISQANSLILWHVRRGDPVSAWILFHSLRRARADVDAYTFTSVLRACTLLHISQLGIQVHNQMVKTGADSGTVAKTSLVDMYSKCGSLDEAVKVFDEMDQRDVVAWNALLSGFLRCDLPVKAVGVLRAMGRENVELSEFTLCSALKSCASLKALELGRQVHGLVVCMGRDMVVLSTALIDFYSNVGCVDDALKVFCSLKGWKDDMMYNSLVSGCVRNRRYGEAFRVMGLVRPNVVALTSALVGCSENLDLWAGKQMHSVAVRQGFTRETQLCNALLDMYAKCGKVSLAQSLFDGICEKDVISWTCMIDAYGRNGRGREAVLMFQEMRKEGGKVLPNSVTFLSVLSACGHSGLVEEGKKCFKLLREKYGLEPDPEHYACYIDILGRAGNIEGVWSAYHNMVEQGTRPTAGIWVALLNACSLNQDVERGELAAKHLLQLEPNKSSYIVLVSNFYAAIGRWDRVDELRRIMRTKGLVKEAGNSWINVLGLNQHARSLSI >Vigun09g023400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1891150:1892574:-1 gene:Vigun09g023400.v1.2 transcript:Vigun09g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNWRGVMEEELESGKQEETQHPVCIYRVPHNMRRVEPKAYRPNNISIGPCHHGAPHLRNMEDLKTTFYRRLFNNHANQPKLDQAFKFLEEQQTNVRRCYNEEIKFSSDEFLQMMLVDGSFIVQLLRDLSACDFQNVAPCLSPWMLPIIRREMIMLENQLPMFVLSELFELTSVVSASSRPNLKDLALRFFYPLLQVDSDYTLDTKKAGELRGLHFLDLLRSAIRPDLEGEKPRNFQPHMIRSVTELIEAGVKIKVDESKQLLNISFGKKWGFLTRQLTVPPLYINDHRGTVFRNIVAFENCHKDCNPDVTTYLFFFNGLINSARDVCLLHYKGVLHHSLGNDKTVSELINNITKEIVLDKHKSYLHKVVNDANKYFASFYARKRASLVHHYLTSWVVGVSTIGAVFGLYCTFIQTVRSFADSLEAFKNKSFGSVILDIFCVPFCGIRWLWNERKSISRWVWKKAKEILTRSD >Vigun01g210900.1.v1.2 pep primary_assembly:ASM411807v1:1:38539313:38540732:1 gene:Vigun01g210900.v1.2 transcript:Vigun01g210900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSERPALNDEVWRLRNIGKAGELRKQLSQNRIKTVKDLLRLDTIGLLRKKFSKINTWDKVIEHAKECELDDYERYSYTYRAMEPEISVSLVFNCIYELVEVIINGQHRSLQSLDSKEEECVRIIKAEAYSNVEHLEPIAATPTHGIVSKLRCTQPVSYSAPYQGLPLLAHQGQLEAWQSTSTSIIDEPWPLFLDEVESYFTPNPNPNQWEQPNIFSFPCSNDVASCSKSNQSFSLNTAEYMASKGKAKMVWQKVRNAFKLLIHPHMSSRKN >Vigun06g158100.1.v1.2 pep primary_assembly:ASM411807v1:6:28152834:28159088:1 gene:Vigun06g158100.v1.2 transcript:Vigun06g158100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKAWSILMRARMKPNDRTNLSLSPIPLALGLCFLLICGSFDSMNTQQNATSQTQQQSSRQNGSPGEGNALPNDSGNSEREFKRVRSSMHSAISMNKTEVLDDVLNNFSEGYFSLSHENRRKLLLVLAREYDLNRSQVRDLIKQYLGLEPPADKTQVSGSEDEGLFSPFYRIERNLRHALQPVYEVLFERLNTHPGGLRILSILREDILTILAEENIASLRALDSYLKEKFVTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIPGEPLIFIEVALLKDVAQTIQEVLWDSPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVVTQVKREMPHISTFATLSPIPGFMSWLLSKLAASQTPLAEGGNLSQSQAEESSSTFYENILEPEEEESLMSLSKDIASGKNGMDLMFNLLTSTSSKWIHSPEIRSALKAPLMRLCARYLLKEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGLSQSGGIMVNYVYRLDHIEEYAHSYFSNGEIHASSDLHRYVDEADTA >Vigun06g158100.2.v1.2 pep primary_assembly:ASM411807v1:6:28152831:28159088:1 gene:Vigun06g158100.v1.2 transcript:Vigun06g158100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKAWSILMRARMKPNDRTNLSLSPIPLANATSQTQQQSSRQNGSPGEGNALPNDSGNSEREFKRVRSSMHSAISMNKTEVLDDVLNNFSEGYFSLSHENRRKLLLVLAREYDLNRSQVRDLIKQYLGLEPPADKTQVSGSEDEGLFSPFYRIERNLRHALQPVYEVLFERLNTHPGGLRILSILREDILTILAEENIASLRALDSYLKEKFVTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIPGEPLIFIEVALLKDVAQTIQEVLWDSPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVVTQVKREMPHISTFATLSPIPGFMSWLLSKLAASQTPLAEGGNLSQSQAEESSSTFYENILEPEEEESLMSLSKDIASGKNGMDLMFNLLTSTSSKWIHSPEIRSALKAPLMRLCARYLLKEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGLSQSGGIMVNYVYRLDHIEEYAHSYFSNGEIHASSDLHRYVDEADTA >Vigun06g158100.3.v1.2 pep primary_assembly:ASM411807v1:6:28152834:28159088:1 gene:Vigun06g158100.v1.2 transcript:Vigun06g158100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQQNATSQTQQQSSRQNGSPGEGNALPNDSGNSEREFKRVRSSMHSAISMNKTEVLDDVLNNFSEGYFSLSHENRRKLLLVLAREYDLNRSQVRDLIKQYLGLEPPADKTQVSGSEDEGLFSPFYRIERNLRHALQPVYEVLFERLNTHPGGLRILSILREDILTILAEENIASLRALDSYLKEKFVTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIPGEPLIFIEVALLKDVAQTIQEVLWDSPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVVTQVKREMPHISTFATLSPIPGFMSWLLSKLAASQTPLAEGGNLSQSQAEESSSTFYENILEPEEEESLMSLSKDIASGKNGMDLMFNLLTSTSSKWIHSPEIRSALKAPLMRLCARYLLKEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGLSQSGGIMVNYVYRLDHIEEYAHSYFSNGEIHASSDLHRYVDEADTA >Vigun01g233200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40507549:40510172:-1 gene:Vigun01g233200.v1.2 transcript:Vigun01g233200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGNVLMQKYEIGKLLGQGNFAKVYHARDLKTGESVAVKVIEKEKILKIGLVDQIKREISIMKRIKHPNVLQLYEVLATKTKIYFIMEYAKGGELFNRVAKGRFSEARTRKYFQQLVSAVDFCHSKGVYHRDLKPENLLLDENGVLKVADFGLSAFVESHRQDNMLHTVCGTPAYVAPEVVSRKGYDGAKSDVWSCGVILFVLLSGHLPFYDLNLMSLYRKIGRAEYKCPNWFSIEVRRLLGKILDPNPDTRISMAKVMENSWFRGFKPKSGEEKRQSANMDLVNSDQVFGLCENTSTASVEAVQELVAPAHLNAFDIISLSSGLDLSGLFANIGEQEDIKFTFMSSSSSIMSTVEDIARILRMLIIKKDGGLLKLERSEAGRKESLSIDVEIFEVAPSFHLIEMKSGGDTLEFQKILKEDLRPALKDIVGVWQDEHYLQ >Vigun01g233200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40507549:40509947:-1 gene:Vigun01g233200.v1.2 transcript:Vigun01g233200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGNVLMQKYEIGKLLGQGNFAKVYHARDLKTGESVAVKVIEKEKILKIGLVDQIKREISIMKRIKHPNVLQLYEVLATKTKIYFIMEYAKGGELFNRVAKGRFSEARTRKYFQQLVSAVDFCHSKGVYHRDLKPENLLLDENGVLKVADFGLSAFVESHRQDNMLHTVCGTPAYVAPEVVSRKGYDGAKSDVWSCGVILFVLLSGHLPFYDLNLMSLYRKIGRAEYKCPNWFSIEVRRLLGKILDPNPDTRISMAKVMENSWFRGFKPKSGEEKRQSANMDLVNSDQVFGLCENTSTASVEAVQELVAPAHLNAFDIISLSSGLDLSGLFANIGEQEDIKFTFMSSSSSIMSTVEDIARILRMLIIKKDGGLLKLERSEAGRKESLSIDVEIFEVAPSFHLIEMKSGGDTLEFQKILKEDLRPALKDIVGVWQDEHYLQ >Vigun01g233200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40507549:40510171:-1 gene:Vigun01g233200.v1.2 transcript:Vigun01g233200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGNVLMQKYEIGKLLGQGNFAKVYHARDLKTGESVAVKVIEKEKILKIGLVDQIKREISIMKRIKHPNVLQLYEVLATKTKIYFIMEYAKGGELFNRVAKGRFSEARTRKYFQQLVSAVDFCHSKGVYHRDLKPENLLLDENGVLKVADFGLSAFVESHRQDNMLHTVCGTPAYVAPEVVSRKGYDGAKSDVWSCGVILFVLLSGHLPFYDLNLMSLYRKIGRAEYKCPNWFSIEVRRLLGKILDPNPDTRISMAKVMENSWFRGFKPKSGEEKRQSANMDLVNSDQVFGLCENTSTASVEAVQELVAPAHLNAFDIISLSSGLDLSGLFANIGEQEDIKFTFMSSSSSIMSTVEDIARILRMLIIKKDGGLLKLERSEAGRKESLSIDVEIFEVAPSFHLIEMKSGGDTLEFQKILKEDLRPALKDIVGVWQDEHYLQ >Vigun01g233200.4.v1.2 pep primary_assembly:ASM411807v1:1:40507549:40509947:-1 gene:Vigun01g233200.v1.2 transcript:Vigun01g233200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSLTSHLPSNGRVFELSPFSEAIFGHVSRVFWWLLEEVEGLRVAKGRFSEARTRKYFQQLVSAVDFCHSKGVYHRDLKPENLLLDENGVLKVADFGLSAFVESHRQDNMLHTVCGTPAYVAPEVVSRKGYDGAKSDVWSCGVILFVLLSGHLPFYDLNLMSLYRKIGRAEYKCPNWFSIEVRRLLGKILDPNPDTRISMAKVMENSWFRGFKPKSGEEKRQSANMDLVNSDQVFGLCENTSTASVEAVQELVAPAHLNAFDIISLSSGLDLSGLFANIGEQEDIKFTFMSSSSSIMSTVEDIARILRMLIIKKDGGLLKLERSEAGRKESLSIDVEIFEVAPSFHLIEMKSGGDTLEFQKILKEDLRPALKDIVGVWQDEHYLQ >VigunL026800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:103412:103720:-1 gene:VigunL026800.v1.2 transcript:VigunL026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun09g074700.1.v1.2 pep primary_assembly:ASM411807v1:9:8417985:8420087:1 gene:Vigun09g074700.v1.2 transcript:Vigun09g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAPEVADPNAAVDMDVENAGDNGGETNQKRTREDEEPLAGEDSKKQKVDEEKSVEEQRLENSSDQEGKGKETEEDKMAEAEGKEENAASVSVKLGYKSFGSSSEMFHYFYNILHTWPQYVNVNKYEHVMLLELLKNGHAEPDKKIGGGVRSFQVRKHPTYKSRCFFLIREDDSADDFSFRKCVDHILPLPDEKYLKSGANKEFGGDRGYGGGGGKGGRGGRGGKGGRGGRGGKGGFGGRGGRR >Vigun01g244200.3.v1.2 pep primary_assembly:ASM411807v1:1:41299753:41310053:-1 gene:Vigun01g244200.v1.2 transcript:Vigun01g244200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESEGKREKMLKQKKGGSLLVFTGGAAVLAIAVNLAITALKHHKEKNAKKKGSKVRVNLSAPEILKLAEQIIANSKKVHNSVASVPLDKVTYANVIAPLAELQAQQFPLVQSCVFQKMVSTREDVRKASAEAERRIDDHFDACSKREDVYLVIKAFAVRGELMNAEARHFLQTLVRDFERNGLNLTASKREELQRIRAQIDELSIKYIQNLDDDTKFFLFSESELAGLPPEFLKDLDKSENGKFKISLRSHHVAAVLQFCKVGTTRQMVSGAYGKRCGETNISILESLVQQRHKYARLLGFSCYAEYVIDVRMAKTPKKVFEFLKDISASLTDMATKELNMLKDLKKKEEGELPFGIEDLLYYVKRIEEQSYDLDFGEIKQYFPISVVLSGIFKIVQDLFGLRFEEISGADVWHCDVRVFTVLDLGSSELLGYCYLDLFAREGKYGHTCVLALQNSASTISGAKQIPVALLISQCQKEADGSSGLLRFSEVVSLFHEFGHVVQQICNRASFARISGLCVDPDFVEIPSQLLENWCYESYSLKLISGFHQDITKPLKDDICNSIKRWRTSFSALKLKQDILCCLFDQIIHSADNIDVQELFKHLHPMEMLGLPIPEGTNPASYFPCTVVGYEAACYSRIWSEVFAADIFASKFCNDVSNQHAGMQFRNKVLAMAGMKDPNDVLSDFLGREPSIQAYLENKVKYVL >Vigun01g244200.1.v1.2 pep primary_assembly:ASM411807v1:1:41299753:41310053:-1 gene:Vigun01g244200.v1.2 transcript:Vigun01g244200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESEGKREKMLKQKKGGSLLVFTGGAAVLAIAVNLAITALKHHKEKNAKKKDLAGSKVRVNLSAPEILKLAEQIIANSKKVHNSVASVPLDKVTYANVIAPLAELQAQQFPLVQSCVFQKMVSTREDVRKASAEAERRIDDHFDACSKREDVYLVIKAFAVRGELMNAEARHFLQTLVRDFERNGLNLTASKREELQRIRAQIDELSIKYIQNLDDDTKFFLFSESELAGLPPEFLKDLDKSENGKFKISLRSHHVAAVLQFCKVGTTRQMVSGAYGKRCGETNISILESLVQQRHKYARLLGFSCYAEYVIDVRMAKTPKKVFEFLKDISASLTDMATKELNMLKDLKKKEEGELPFGIEDLLYYVKRIEEQSYDLDFGEIKQYFPISVVLSGIFKIVQDLFGLRFEEISGADVWHCDVRVFTVLDLGSSELLGYCYLDLFAREGKYGHTCVLALQNSASTISGAKQIPVALLISQCQKEADGSSGLLRFSEVVSLFHEFGHVVQQICNRASFARISGLCVDPDFVEIPSQLLENWCYESYSLKLISGFHQDITKPLKDDICNSIKRWRTSFSALKLKQDILCCLFDQIIHSADNIDVQELFKHLHPMEMLGLPIPEGTNPASYFPCTVVGYEAACYSRIWSEVFAADIFASKFCNDVSNQHAGMQFRNKVLAMAGMKDPNDVLSDFLGREPSIQAYLENKVKYVL >Vigun01g244200.2.v1.2 pep primary_assembly:ASM411807v1:1:41299753:41310053:-1 gene:Vigun01g244200.v1.2 transcript:Vigun01g244200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESEGKREKMLKQKKGGSLLVFTGGAAVLAIAVNLAITALKHHKEKNAKKKDLAGSKVRVNLSAPEILKLAEQIIANSKKVHNSVASVPLDKVTYANVIAPLAELQAQQFPLVQSCVFQKMVSTREDVRKASAEAERRIDDHFDACSKREDVYLVIKAFAVRGELMNAEARHFLQTLVRDFERNGLNLTASKREELQRIRAQIDELSIKYIQNLDDDTKFFLFSESELAGLPPEFLKDLDKSENGKFKISLRSHHVAAVLQFCKVGTTRQMVSGAYGKRCGETNISILESLVQQRHKYARLLGFSCYAEYVIDVRMAKTPKKVFEFLKDISASLTDMATKELNMLKDLKKEEGELPFGIEDLLYYVKRIEEQSYDLDFGEIKQYFPISVVLSGIFKIVQDLFGLRFEEISGADVWHCDVRVFTVLDLGSSELLGYCYLDLFAREGKYGHTCVLALQNSASTISGAKQIPVALLISQCQKEADGSSGLLRFSEVVSLFHEFGHVVQQICNRASFARISGLCVDPDFVEIPSQLLENWCYESYSLKLISGFHQDITKPLKDDICNSIKRWRTSFSALKLKQDILCCLFDQIIHSADNIDVQELFKHLHPMEMLGLPIPEGTNPASYFPCTVVGYEAACYSRIWSEVFAADIFASKFCNDVSNQHAGMQFRNKVLAMAGMKDPNDVLSDFLGREPSIQAYLENKVKYVL >Vigun02g168100.2.v1.2 pep primary_assembly:ASM411807v1:2:31171793:31176469:1 gene:Vigun02g168100.v1.2 transcript:Vigun02g168100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSIMSSTSPLLTSRHVAVIGAGATGLVAARELRREGHRVVVFERGDQVGGTWVYTPEVESDPVGLDPKRSIVHSSLYDSLRTNLPRESMGFRDYPFKKREEKGRDSRRFPGHREVLRYLQDFAARFEIDELVRFGTEVVFAGLDKGGKWRVTSASRNSDPVDEIYDAVIVCNGHYVQPRLPDIPGINVWPGKQLHSHNYRRPEPYQDKVVVLIGNSSSGVDISRDIATVAKEVHIAAWSLQEDKLGKVSGHQNMWLHSMVDSVHENGTVLFQDGNAVAADFIIHCTGYNFDFPFLETNGEVTVDDNRVGPLYKHVFPPALAPWLSFVGLPWKIVPFPMFELQSKWIAGVLSNRIALPSKEEMVEDIQAFYSSLEASGTPKRHTHNMALLQWEYNDWIADQCGFPYFEEWRKQMYLATGKSRALRPESYRDEWEDDDLVQQAEHDFANYLI >Vigun02g168100.1.v1.2 pep primary_assembly:ASM411807v1:2:31171793:31176469:1 gene:Vigun02g168100.v1.2 transcript:Vigun02g168100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSIMSSTSPLLTSRHVAVIGAGATGLVAARELRREGHRVVVFERGDQVGGTWVYTPEVESDPVGLDPKRSIVHSSLYDSLRTNLPRESMGFRDYPFKKREEKGRDSRRFPGHREVLRYLQDFAARFEIDELVRFGTEVVFAGLDKGGKWRVTSASRNSDPVDEIYDAVIVCNGHYVQPRLPDIPGINVWPGKQLHSHNYRRPEPYQDKVVVLIGNSSSGVDISRDIATVAKEVHIAAWSLQEDKLGKVSGHQNMWLHSMVDSVHENGTVLFQDGNAVAADFIIHCTGYNFDFPFLETNGEVTVDDNRVGPLYKHVFPPALAPWLSFVGLPWKIVPFPMFELQSKWIAGVLSNRIALPSKEEMVEDIQAFYSSLEASGTPKRHTHNMALLQWEYNDWIADQCGFPYFEEWRKQMYLATGKSRALRPESYRDEWEDDDLVQQAEHDFANYLI >Vigun05g235100.2.v1.2 pep primary_assembly:ASM411807v1:5:42861522:42864245:-1 gene:Vigun05g235100.v1.2 transcript:Vigun05g235100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTALNTVLCASITPVYDFVCFLPYWERRRERRRQQREATIANSTN >Vigun05g235100.3.v1.2 pep primary_assembly:ASM411807v1:5:42861522:42863604:-1 gene:Vigun05g235100.v1.2 transcript:Vigun05g235100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLLFTALNTVLCASITPVYDFVCFLPYWERRRERRRQQREATIANSTN >Vigun09g223200.3.v1.2 pep primary_assembly:ASM411807v1:9:39619241:39623604:1 gene:Vigun09g223200.v1.2 transcript:Vigun09g223200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSTTVTVPLRVGNSVCDKPTITTHMDVSRIKLMTDAGLLSNSIAKVSTDTFIADEDLDSDCLVEEVGSAAVTPPEQGRVEEIPMLGMVSQNINSLVVGEEVLTPEIEDDDLISLEGDPIIDSSLSVVSENSSFCGDEFISSEVSADLGTRSSIEIEKSITTVKIAARATDLGVSNVVADVAVSVEEETGVISGPEPTTGVLHQRTLERSVSGTVGRSVFELDCTPLWGFTSVCGKRPEMEDALATVPRFLKIPIQMLTGDRVPDGIDQCFREQTVHFFGVYDGHGGSQVANYCRERMHLALAEEIESVREGLLVESTKDDCPDLWKKAFSSCFLKVDSEVGGDVNSDPVAPETVGSTAVVAVICSSHIVVSNCGDSRAVLCRGKEPMALSVDHKPNRPDEYARIEAAGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRAKDDDCLILASDGLWDVMTNEEVCDIARRRILLWHKKNGMPLSSERGEGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAHRKFKSKT >Vigun09g223200.1.v1.2 pep primary_assembly:ASM411807v1:9:39618896:39623604:1 gene:Vigun09g223200.v1.2 transcript:Vigun09g223200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSTTVTVPLRVGNSVCDKPTITTHMDVSRIKLMTDAGLLSNSIAKVSTDTFIADEDLDSDCLVEEVGSAAVTPPEQGRVEEIPMLGMVSQNINSLVVGEEVLTPEIEDDDLISLEGDPIIDSSLSVVSENSSFCGDEFISSEVSADLGTRSSIEIEKSITTVKIAARATDLGVSNVVADVAVSVEEETGVISGPEPTTGVLHQRTLERSVSGTVGRSVFELDCTPLWGFTSVCGKRPEMEDALATVPRFLKIPIQMLTGDRVPDGIDQCFREQTVHFFGVYDGHGGSQVANYCRERMHLALAEEIESVREGLLVESTKDDCPDLWKKAFSSCFLKVDSEVGGDVNSDPVAPETVGSTAVVAVICSSHIVVSNCGDSRAVLCRGKEPMALSVDHKPNRPDEYARIEAAGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRAKDDDCLILASDGLWDVMTNEEVCDIARRRILLWHKKNGMPLSSERGEGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAHRKFKSKT >Vigun09g223200.2.v1.2 pep primary_assembly:ASM411807v1:9:39618908:39623604:1 gene:Vigun09g223200.v1.2 transcript:Vigun09g223200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSTTVTVPLRVGNSVCDKPTITTHMDVSRIKLMTDAGLLSNSIAKVSTDTFIADEDLDSDCLVEEVGSAAVTPPEQGRVEEIPMLGMVSQNINSLVVGEEVLTPEIEDDDLISLEGDPIIDSSLSVVSENSSFCGDEFISSEVSADLGTRSSIEIEKSITTVKIAARATDLGVSNVVADVAVSVEEETGVISGPEPTTGVLHQRTLERSVSGTVGRSVFELDCTPLWGFTSVCGKRPEMEDALATVPRFLKIPIQMLTGDRVPDGIDQCFREQTVHFFGVYDGHGGSQVANYCRERMHLALAEEIESVREGLLVESTKDDCPDLWKKAFSSCFLKVDSEVGGDVNSDPVAPETVGSTAVVAVICSSHIVVSNCGDSRAVLCRGKEPMALSVDHKPNRPDEYARIEAAGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRAKDDDCLILASDGLWDVMTNEEVCDIARRRILLWHKKNGMPLSSERGEGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAHRKFKSKT >Vigun05g261800.1.v1.2 pep primary_assembly:ASM411807v1:5:45434972:45440259:1 gene:Vigun05g261800.v1.2 transcript:Vigun05g261800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKINDKLKQEKTLSYADFHHEITKTEEDAHSLKPYRNQQKQSAYKMGSEEDELVRYMSNLPGYLEKGEKIPEKVLNVGVLDWASLKQWQYSHKQFPLSSRSSTSTSNRSSSVSTEGLSGNSSKGLSCSPSHQRISRPSLQSHFRTTTMKEYSVSDKNSGGSFGTCQNLKGGSINVDTHSEYARVGDHLSQNHSANMPKGCERRQQNPLIIKESDIFPNAGMCEAASRTKLEMSAEDDDPEKKVENFRQPNTDASEHVMHGKSKSIVLILPRDNPKNKHRKVPDIQSSLSQKLASPAKTRYSEKPKELPSRYPNSNISSTCPQPDKISGGHSQPKWSVSSSVEPEDNKIPASTLAVPELVRTGISPSRSKKAEEKKHNTGASSSSNGPLKGIDQKVTAEKSRSSSPFRRFSFSIGFTGKGPGCKEVAHVPHQGSVAALKSSSENARGYASSKNSGNDKPGVASKSRSSSPLRRLLDPLLKPKTGHRSMEFTDKDSVLTNKNCMPGDGEISTEKKEVVRGQRVGCTTISTVNLSKNKKNGPSTFQALLRIAVKNGQPLFTFAVDNNSNILAATVKNLAVSEEDECDRIYTFFTFREGKKKSGSWMNQASKTKGPDYIHHAVAQMKVSGSQHYASPRQNCVDSNSNKEFVLFSVKLKQGDAQVTDYEPNDELAAIVVKSPKAIDFINYAHQSSRQNDTQDLHVTVVLPTGVHSLPSNGGPSSLIERWRTGGSCDCGGWDMACKLKILANESETYREPRISKACFPHPFELFLQGNDQDQENQPAFSFSPFKAGVYSVTFDSSFSLLQAFSICIALVDGLISYELSGSRNYIEGKNPRETGLVQTDELKAFGKLEDVPATYVAYPPHSPVGRV >Vigun09g268900.1.v1.2 pep primary_assembly:ASM411807v1:9:43158018:43169956:-1 gene:Vigun09g268900.v1.2 transcript:Vigun09g268900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGRSSSPFSYRKPSTPYSSTSSSSSFTNGRLMPRSGSSSTSSFFNSGGRSMTPNRGRSESTYNGSRGYAGRSPVAFGEEDLIAEPVDSSKSGDSISVTIRFRPLSEREYQRGDEIAWYADGEKIVRNEYNLATAYAFDRVFGPHTNSDEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMLVGVNHEEILTLKQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNAIPGYLTDVPNHQRSHSVGEDDKVDASPDGVLIENESQKDISVVSSDLFHDVRHKRSSSRWNEEFSPASSTITESTQAGELISRTKLTMGGMTASDQKDLLVEQVKMLAGDVALSTSTLKRLMEQSVNDPEGSKIQIENLEREIQEKRKQMKVLEQRLIEIETGDSPVSNSSLVEMQQTVTRLMTQCNEKAFELELKSADNRVLQEQLNDKCSENRELQEKVKQLEQQLATAPSGTLLTSSEQCASGEHADELKKKIQSQEIENEKLKLEQVHLSEDNSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMATRDLVNSRGAVVQTVNGINRKFGDARSGRKGRISSRANEISGAIVDDFESWNLDADDLKLELQARKQREAALEAALAEKEFVEEQYRKKAEEAKKREEALENDLANMWILVAKLKKEGDAVPESNMDKENDGAQHLNGTKVNDIESNIFPKEQLFDAPKTDDEIPKEEPLVVRLKARMQEMKEKELKYHGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTNITDRIFAFT >Vigun07g140701.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:25089668:25090588:-1 gene:Vigun07g140701.v1.2 transcript:Vigun07g140701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSREIERKILRLLHGAKNPTQLTQIHAHFLRHGLHQSNQILAHFVTLCGTPYATRLFAHTPNPNILLFNAIIKAHSLQPPFHPSFSFFSIMKSRAISPDQHTFAPLIKSASNLRHHALGQSLHAHVLRLGFTRHSSVCVATVDLYAACGRMGDASKVFDEMRDPDVVVWNLMIRGFCKTGDLETGLKLFTQMKERTVVSWNLMMSCLAQGNKEREVLGLFNGMLEQGFEPDDASLVTVLPVCARLGLWMLVNGSILMRIPKGSSETLLTWGIRSLISIVNVGTCKPRGAFSMRWLIKTLFLGTR >Vigun11g173000.1.v1.2 pep primary_assembly:ASM411807v1:11:37834969:37836871:-1 gene:Vigun11g173000.v1.2 transcript:Vigun11g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKTKAPVSVSERDPVSVESPIPANDPISTNQEDPATATVTTVTTATATPEAVKKLKVFIVFYSTYGHVESLARSLKKGVDSIEGVEGVLYRVLETLPEEVLQLMKAPEKDETVPLISAENLVEADGLLFGFPTRYGSMAAQMKAFFDSTGQLWREQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLYVPIGYTFGAGMFEMDSIRGGSPYGAGVFAGDGSRQASQTEHDLAEYQGKHMATIVKKLSH >Vigun11g173000.2.v1.2 pep primary_assembly:ASM411807v1:11:37835027:37836798:-1 gene:Vigun11g173000.v1.2 transcript:Vigun11g173000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKTKAPVSVSERDPVSVESPIPANDPISTNQEDPATATVTTVTTATATPEAVKKLKVFIVFYSTYGHVESLARSLKKGVDSIEGVEGVLYRVLETLPEEVLQLMKAPEKDETVPLISAENLVEADGLLFGFPTRYGSMAAQMKAFFDSTGQLWREQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLYVPIGYTFGAGMFEMDSIRGGSPYGAGVFAGDGSRQASQTEHDLAEYQGKHMATIVKKLSH >Vigun05g259100.2.v1.2 pep primary_assembly:ASM411807v1:5:45274289:45280508:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKNDNKGFFATMTSGFSIFSNAMHRSVNGLLGYEGVEVINPEGGKEDAEEEAQRGRWKPEERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDLEGEPLPDTELKEVWHVADVPANDQFDYTYFAHKVNSFDTAPRKLLPSDSRLRPDRFALEMGDISKSGADKSSLEERQRAEKKIREAKEHKFTPRWFDLTEEVTSTPWGDLEIYQYNGKYTEHRTAIDNSDTIDHVDNKDIEFNPWQYGDLSKE >Vigun05g259100.1.v1.2 pep primary_assembly:ASM411807v1:5:45275368:45280508:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRENCRPMYLFVVPSSHTWTWYWKDLSSSVPSRICSAVQFSAVLYINISRMGATSNLLLGYEGVEVINPEGGKEDAEEEAQRGRWKPEERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDLEGEPLPDTELKEVWHVADVPANDQFDYTYFAHKVNSFDTAPRKLLPSDSRLRPDRFALEMGDISKSGADKSSLEERQRAEKKIREAKEHKFTPRWFDLTEEVTSTPWGDLEIYQYNGKYTEHRTAIDNSDTIDHVDNKDIEFNPWQYGDLSKE >Vigun05g259100.3.v1.2 pep primary_assembly:ASM411807v1:5:45276215:45280519:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIFLERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDLEGEPLPDTELKEVWHVADVPANDQFDYTYFAHKVNSFDTAPRKLLPSDSRLRPDRFALEMGDISKSGADKSSLEERQRAEKKIREAKEHKFTPRWFDLTEEVTSTPWGDLEIYQYNGKYTEHRTAIDNSDTIDHVDNKDIEFNPWQYGDLSKE >Vigun05g259100.6.v1.2 pep primary_assembly:ASM411807v1:5:45274289:45280508:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKNDNKGFFATMTSGFSIFSNAMHRSVNGLLGYEGVEVINPEGGKEDAEEEAQRGRWKPEERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGFLFLDILQGWSL >Vigun05g259100.5.v1.2 pep primary_assembly:ASM411807v1:5:45274289:45280508:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKNDNKGFFATMTSGFSIFSNAMHRSVNGRENCRPMYLFVVPSSHTWTWYWKDLSSSVPSRICSAVQFSAVLYINISRMGATSNLLLGYEGVEVINPEGGKEDAEEEAQRGRWKPEERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGFLFLDILQGWSL >Vigun05g259100.4.v1.2 pep primary_assembly:ASM411807v1:5:45274289:45280508:1 gene:Vigun05g259100.v1.2 transcript:Vigun05g259100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKNDNKGFFATMTSGFSIFSNAMHRSVNGRENCRPMYLFVVPSSHTWTWYWKDLSSSVPSRICSAVQFSAVLYINISRMGATSNLLLGYEGVEVINPEGGKEDAEEEAQRGRWKPEERDSYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADKCEDPYMRMVYASSWAISVYFAYQRTWKPFNPILGETYEMVNHGGVSFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKDGVVIDLIPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDLEGEPLPDTELKEVWHVADVPANDQFDYTYFAHKVNSFDTAPRKLLPSDSRLRPDRFALEMGDISKSGADKSSLEERQRAEKKIREAKEHKFTPRWFDLTEEVTSTPWGDLEIYQYNGKYTEHRTAIDNSDTIDHVDNKDIEFNPWQYGDLSKE >Vigun05g265900.3.v1.2 pep primary_assembly:ASM411807v1:5:45783519:45787473:-1 gene:Vigun05g265900.v1.2 transcript:Vigun05g265900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLRALTTPVKVSPKWELRTTKPNRIVCKAQNEDVEDSDATNLSLLSRRLALGTALIGGAAAAGTRASPARAADAQLTLQKPPVTTLPAISYEEYPASVVEALSLNRTSFPEGFVFGTASAAYQYEGAAFEGGRGPSLWDNFTHRYPEKIEDRSNGDVAVDEYHRYLEDVQIMKDMNLDAYRFSISWSRIIPNGKVGENEEGVNKEGIDYYNDLIDNLIANGLEPYVTIFHWDTPQALQEEYGGFLSHQIVDDFQDFAKVCFKYFGDRVKHWITLNEPRSYSNGGYAAGVFAPAAVDVYKNQFQESQKGVIGITLITHWFEPYSDAAEDVDAAKRALDFMFGWYMEPLASGRYPDNMRNLVGKRLPEFSAEEAKLVAGSYDFIGLNYYTTKYAANQPKVEPSPTSKPQLSYLTDANVKYSSERNGVPIGTQTGSSWLYVCPKGIKDLLQYTKETYKNPLIYITENGRANDVNDETQTLEEALLDIYRIDYHYRHLYYLLSAIGDGVNVKGYFAWSLFDNFEWKSGYLEGFGLNYVDRNDGLKRYAKLSAQWFKNFLQKPPNRKG >Vigun05g265900.4.v1.2 pep primary_assembly:ASM411807v1:5:45783519:45787473:-1 gene:Vigun05g265900.v1.2 transcript:Vigun05g265900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLRALTTPVKVSPKWELRTTKPNRIVCKAQNEDVEDSDATNLSLLSRRLALGTALIGGAAAAGTRASPARAADAQLTLQKPLTTLPAISYEEYPASVVEALSLNRTSFPEGFVFGTASAAYQYEGAAFEGGRGPSLWDNFTHRYPEKIEDRSNGDVAVDEYHRYLEDVQIMKDMNLDAYRFSISWSRIIPNGKVGENEEGVNKEGIDYYNDLIDNLIANGLEPYVTIFHWDTPQALQEEYGGFLSHQIVDDFQDFAKVCFKYFGDRVKHWITLNEPRSYSNGGYAAGVFAPAAVDVYKNQFQESQKGVIGITLITHWFEPYSDAAEDVDAAKRALDFMFGWYMEPLASGRYPDNMRNLVGKRLPEFSAEEAKLVAGSYDFIGLNYYTTKYAANQPKVEPSPTSKPQLSYLTDANVKYSSERNGVPIGTQTGSSWLYVCPKGIKDLLQYTKETYKNPLIYITENGRANDVNDETQTLEEALLDIYRIDYHYRHLYYLLSAIGDGVNVKGYFAWSLFDNFEWKSGYLEGFGLNYVDRNDGLKRYAKLSAQWFKNFLQKPPNRKG >Vigun05g265900.2.v1.2 pep primary_assembly:ASM411807v1:5:45783519:45787473:-1 gene:Vigun05g265900.v1.2 transcript:Vigun05g265900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLRALTTPVKVSPKWELRTTKPNRIVCKAQNEDVEDSDATNLSLLSRRLALGTALIGGAAAAGTRASPARAADAQLTLQKPPVTTLPAISYEEYPASVVEALSLNRTSFPEGFVFGTASAAYQYEGAAFEGGRGPSLWDNFTHRYPEKIEDRSNGDVAVDEYHRYLEDVQIMKDMNLDAYRFSISWSRIIPNGKVGENEEGVNKEGIDYYNDLIDNLIANGLEPYVTIFHWDTPQALQEEYGGFLSHQIVDDFQDFAKVCFKYFGDRVKHWITLNEPRSYSNGGYAAGVFAPGRCSDPTCLGGDSGTEPYIVTHNLLLSHAAAVDVYKNQFQESQKGVIGITLITHWFEPYSDAAEDVDAAKRALDFMFGWYMEPLASGRYPDNMRNLVGKRLPEFSAEEAKLVAGSYDFIGLNYYTTKYAANQPKVEPSPTSKPQLSYLTDANVKYSSERNGVPIGTQTGSSWLYVCPKGIKDLLQYTKETYKNPLIYITENGRANDVNDETQTLEEALLDIYRIDYHYRHLYYLLSAIGDGVNVKGYFAWSLFDNFEWKSGYLEGFGLNYVDRNDGLKRYAKLSAQWFKNFLQKPPNRKG >Vigun07g041600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:4082222:4084294:1 gene:Vigun07g041600.v1.2 transcript:Vigun07g041600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNALIGLKPSLSIPLSHSFQFSSSLSLTPLPFSLSTKPHTLLAFANSNKDLREQENSQQPQPQPPNGSKEQQKPQQENSNDGSNQRLPLLGFNWRNLLDPDPDNVLALGLTGILTWASVQVLWQLLFISFAILVAALKYSFIAALLVFILIALL >Vigun03g407800.2.v1.2 pep primary_assembly:ASM411807v1:3:61489846:61493802:1 gene:Vigun03g407800.v1.2 transcript:Vigun03g407800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYWQLRMASDLSSGLSKETSVFGLKAWELMGILVGLFIIIILVVVSICLTSRKKSRRVNGMLPLSHMLSVSDEIKEIRVDQVSSNNHPQNGAFMSLYDRFSDRDSEKVLIQPKNGENSSQSGSFVHLKKDDGGSQSGEESGAKSVSTYRSSSHPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKDNVIGEGGYGVVYQGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRQYGFLTWDARIKILLGTAKALSYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPAAEVNLVDWLKMMVGNRRAEEVVDPNIETRPSTSALKRALLTALRCVDPDSEKRPRMSQVVRMLESEEYPIPREDRRRRKSQPENMEVETQKEISDTEKSDTPDSKSNGRRNQKK >Vigun03g407800.1.v1.2 pep primary_assembly:ASM411807v1:3:61489765:61493983:1 gene:Vigun03g407800.v1.2 transcript:Vigun03g407800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSSGLSKETSVFGLKAWELMGILVGLFIIIILVVVSICLTSRKKSRRVNGMLPLSHMLSVSDEIKEIRVDQVSSNNHPQNGAFMSLYDRFSDRDSEKVLIQPKNGENSSQSGSFVHLKKDDGGSQSGEESGAKSVSTYRSSSHPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKDNVIGEGGYGVVYQGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRQYGFLTWDARIKILLGTAKALSYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPAAEVNLVDWLKMMVGNRRAEEVVDPNIETRPSTSALKRALLTALRCVDPDSEKRPRMSQVVRMLESEEYPIPREDRRRRKSQPENMEVETQKEISDTEKSDTPDSKSNGRRNQKK >Vigun05g245300.3.v1.2 pep primary_assembly:ASM411807v1:5:43840946:43842897:1 gene:Vigun05g245300.v1.2 transcript:Vigun05g245300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKKNDYGNKNEKAGKNEETTSSYPTTVVLKIDMHCDECASKIIKCLHAFRGVECLKPEIKTESVDPIKPKDKLSGKAKKNVDVASPPPNKDKENKPKNNEKKTKEKEAPATTAVLKVFNLCPCQGCSNKIRRAVLKFKGVKEVSTDREKGMVMVKGTMDVPALVQKLSEKFRRKVEVVPPKKEKEKEKEKVKEKEKAKEKGKEKENEKVKEKEKEKEKEKENEKEKKKKKENESEKEGDKGGSGSSKKKKKGGNEGDNDNDNEGEGNGQGKTEKNNNNYMVPVCGYGYGEYYYYVGQYYPASDMFSDENPHACHIM >Vigun05g245300.2.v1.2 pep primary_assembly:ASM411807v1:5:43840946:43842897:1 gene:Vigun05g245300.v1.2 transcript:Vigun05g245300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTQAKKNDYGNKNEKAGKNEETTSSYPTTVVLKIDMHCDECASKIIKCLHAFRGVECLKPEIKTESVDPIKPKDKLSGKAKKNVDVASPPPNKDKENKPKNNEKKTKEKEAPATTAVLKVFNLCPCQGCSNKIRRAVLKFKGVKEVSTDREKGMVMVKGTMDVPALVQKLSEKFRRKVEVVPPKKEKEKEKEKVKEKEKAKEKGKEKENEKVKEKEKEKEKEKENEKEKKKKKENESEKEGDKGGSGSSKKKKKGGNEGDNDNDNEGEGNGQGKTEKNNNNYMVPVCGYGYGEYYYYVGQYYPASDMFSDENPHACHIM >Vigun02g129300.1.v1.2 pep primary_assembly:ASM411807v1:2:28086809:28088524:1 gene:Vigun02g129300.v1.2 transcript:Vigun02g129300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKILVIGGTGYIGKFIIKASTEAGHPTFVLVREKTVSDPQKSILIETFKTSGATLLYGDLNDHESLVKALKEVDVVISTVGGQQIDDQVKLIAAIKEVGNIKRFLPSEFGLDVDRHNAVEPLISFFDKKVKIRRTIEAEEIPYTYVSSNAFAGYFLPTLGQQDVTAPPRDKVTILGDGNVAGVYVSEEDVGTYTIKAVDDQRTLNKSLYLRPPENVLTFNQLVSLWENKIKSTLEKVYIPEDQILKYIQESPFPTNFNLALGHSLLVKGDCTNFEIDPSFGVEGSQLYPEVKYTTVDDYLNAFV >Vigun05g102700.1.v1.2 pep primary_assembly:ASM411807v1:5:10266523:10267045:-1 gene:Vigun05g102700.v1.2 transcript:Vigun05g102700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSERCPLHISIHQCGLDSLLDIFYYGFWLNTTSVHGRRIMIGLVEERPYLWKIKENVCGVHCKWNLY >Vigun08g122600.1.v1.2 pep primary_assembly:ASM411807v1:8:29208611:29214604:-1 gene:Vigun08g122600.v1.2 transcript:Vigun08g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTPLKLLPAVLALFLALSHKQSLCSTLESEGLALLALKESVVTDPQGALSSWSGENGDVMNPCSWFGVECSSHGNVVTLNLKDLCLEGTLAPEVGMLAHIKSIILRNNSFFGEIPEEILYLQELEVLDLGHNNFSGSLPFDHGNMPSLTTLLLDNNYHLTNLTPELYKLKMNSEFHANEELTSATPTGEAYVGRCSLWHIGQHGDRADRRQLLKGANAASTPKIQRHFNHGILKQLASHFPFSFPPNFQPFSSPPSLSPSESPSYSPSPSPSDSFFTPSPVVLPTPDVSPPANTPLSVTTPPVNWVPAPSPSPFSTQRNTNNSNQIHHSTIIWSAVGGFSLLILMSATIFACFRSRKVVTVKPWSTGLSGQLQKAFVKGVPSLKRGEIEAACEYFSNIIGSLPDGTVYKGTLSSGVEIAVASSAVNTAQKWSKSMEAQFRNKIATLSRVNHKNFVNLIGYCEENKPFSRMMVFEYAPNGTLFEHIHIREAEELNWTMRMRIAMGIAYCLEYMHDLKPSISHRNLQSSFIYLTEDYAAKISDLSLWNDVCAANNGSATTQLLETSSADAKDNVYSFGIILFELITGKIPIAGNNELLADWAAEYLRWGKSLRDVVDPRLNSLQEEELEEWSEVMRNCVQPDREKRPTMKEVTSRLKEITAMGPDGANPKASPLWWAEMAITSTDSS >Vigun08g122600.2.v1.2 pep primary_assembly:ASM411807v1:8:29208611:29214604:-1 gene:Vigun08g122600.v1.2 transcript:Vigun08g122600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTPLKLLPAVLALFLALSHKQSLCSTLESEGLALLALKESVVTDPQGALSSWSGENGDVMNPCSWFGVECSSHGNVVTLNLKDLCLEGTLAPEVGMLAHIKSIILRNNSFFGEIPEEILYLQELEVLDLGHNNFSGSLPFDHGNMPSLTTLLLDNNYHLTNLTPELYKLKMNSEFHANEELTSATPTGEAYVGRCSLWHIGQHGDRADRRQLLKGANAASTPKIQRHFNHGILKQLASHFPFSFPPNFQPFSSPPSLSPSESPSYSPSPSPSDSFFTPSPVVLPTPDVSPPANTPLSVTTPPVNWVPAPSPSPFSTQRNTNNSNQIHHSTIIWSAVGGFSLLILMSATIFACFRSRKVVTVKPWSTGLSGQLQKAFVKGVPSLKRGEIEAACEYFSNIIGSLPDGTVYKGTLSSGVEIAVASSAVNTAQKWSKSMEAQFRNKIATLSRVNHKNFVNLIGYCEENKPFSRMMVFEYAPNGTLFEHIHIREAEELNWTMRMRIAMGIAYCLES >Vigun01g095800.1.v1.2 pep primary_assembly:ASM411807v1:1:25990414:25995049:1 gene:Vigun01g095800.v1.2 transcript:Vigun01g095800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRTPTFRPTPPPRAAKSPKLSRVAVVSFRQSTNCRRKPSLLSSLGFPAVPSLRFVRFVPFAFDGDTEAPQVQEPEVQVLDPLDGAVDVKDSASDNEGSDADEASASPFVLLLQSYKEALASNDEVKIAELELSLKSIEDEQIELERKITTLSEELSVEKDRILRISADFDNFRKRTERDRLSLVTNAQGEVVESLLPVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFNEILASLGVEPVETVGTPFDPLLHEAIMREDSAEFEDGIIIQEFRKGFKLGDRLLRPSMVKVSAGPGPAKPEQEAPQEQVNTEISEDSKENEGGTEAESA >Vigun01g095800.2.v1.2 pep primary_assembly:ASM411807v1:1:25990414:25995049:1 gene:Vigun01g095800.v1.2 transcript:Vigun01g095800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRTPTFRPTPPPRAAKSPKLSRVAVVSFRQSTNCRRKPSLLSSLGFPAVPSLRFVRFVPFAFDGDTEAPQVQEPEVQVLDPLDGAVDVKDSASDNEGSDADEASASPFVLLLQSYKEALASNDEVKIAELELSLKSIEDEQIELERKITTLSEELSVEKDRILRISADFDNFRKRTERDRLSLVTNAQGEVVESLLPVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFNEILASLGVEPVETVGTPFDPLARKPVGSKFNHVLDELFQTLYQACSGFMKTLTRF >Vigun08g019200.1.v1.2 pep primary_assembly:ASM411807v1:8:1621708:1624885:1 gene:Vigun08g019200.v1.2 transcript:Vigun08g019200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNKSVSISLSDEESDELGRMRVRARRKRKKLGNRRLLRRLFVRYWMLLVIVPAAGLFIFEATRIGRNLNGLNTNSRIEARTSRIDGSSPTLRKAPTNLNRLDPTTHVVAGIRERCLKLLPPEKLEQLDITVEQESSRSPVGEVLYMSESDRSFVGANVTLSQLRTEDTRFNLFTGNQTFEQRDRSFEVKETMTVHCGFFSVNGGFKISDQDKSYMQGCQVVVSTCAFGGGDDLYQPIGMSDTSLKKVCYVAFWDEITVKAQELVERRIGENGFIGNWRVVVVRDLPFADQRLNGKIPKMLSHRLFPQAKYSIWVDSKSQFRRDPLGVLEALLWRTKSLLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLNQYRKDGLPEDKRFNGKKALCEASVIIRKHTPLTNLLMCVWFNEVVRFTSRDQLSFPYVLWRLKAFQNINTFPVCTRKDLVNSMGHVRKAKPLQS >VigunL034000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:259013:259489:1 gene:VigunL034000.v1.2 transcript:VigunL034000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGRLSSWLVKHGLIHRSLGFDYLGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHFTRIEYGINQPEEVCIKIFVSRKNPRIPSIFWVWKSADFQEKESYDMLGISYDSHPRLRRILMPENWIGWPLRKDYIAPNFYEIQDAH >Vigun04g099380.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23240707:23240871:1 gene:Vigun04g099380.v1.2 transcript:Vigun04g099380.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFSVYQVGNVVTKNYHDYVGTFEYWLTHGLVSNCTFKMLKIAYNFGSTQHP >Vigun09g263500.1.v1.2 pep primary_assembly:ASM411807v1:9:42775440:42778525:-1 gene:Vigun09g263500.v1.2 transcript:Vigun09g263500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAASVPSSATEPPPSSAEAPPAPIPPKQPPPPPQPALAAVKPEAPLSDPKSSADANVIVVPSYSRWFSWDSIHESEVRHLPEFFESASKSPRVYKYYRNSIVKFFRYNPTRKITFTDVRKTLVGDVGSIRRVFDFLEAWGLINYHPSSSLTKPLKWDDKETKSDSASNSTEPSSAPAKETTKRLCSGCKAVCTIACFACDKYDLTLCARCYVRGNYRVGVSSSDFRRVEISEETKTDWSEKETTNLLEALTHYGDDWKRVSQHVAGRTEKECVAHFLKLPFADRFQNYQQQPAVNGTDDSSNQLKRVTNADAESELDNVASAESSKRMRLTPLADASNPILAQAAFLSALAGSEVAQAAAQAALATLSDVYKATRINYRSFPRNTLLQDAGVPFNGGNTSDSFQGYRLHAHIQLEKEELDVEKGISEIIEVQMKSIKDKLVHFEDLDLLMEKERQQLEQMKNMFFLDQLTLLFNKPSPPKAGECQEGNSVKTNHD >Vigun02g124300.2.v1.2 pep primary_assembly:ASM411807v1:2:27622873:27625483:-1 gene:Vigun02g124300.v1.2 transcript:Vigun02g124300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKKTTAQTKSIEGWDSSYFFEEGSGVSTVVDPIDLISRQSQSFLSQNFLCKQEIEADNLSCMLQDPFVQLPQLESPSLPLVKRPSTVSLVSDNNEDEDIIQNRLSNNNNNNNTKKVTDWRALDKFVASQLSQEDTLETNGLSGFEGHDSHDMALLLLQSSRDEGNRLSPFLNTSSDCDIGICVFEK >Vigun02g124300.3.v1.2 pep primary_assembly:ASM411807v1:2:27622873:27627157:-1 gene:Vigun02g124300.v1.2 transcript:Vigun02g124300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLQTFSIHFFFLFSQVSQTTTPVLNSNHVPVFAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKKTTAQTKSIEGWDSSYFFEEGSGVSTVVDPIDLISRQSQSFLSQNFLCKQEIEADNLSCMLQDPFVQLPQLESPSLPLVKRPSTVSLVSDNNEDEDIIQNRLSNNNNNNNTKKVTDWRALDKFVASQLSQEDTLETNGLSGFEGHDSHDMALLLLQSSRDEGNRLSPFLNTSSDCDIGICVFEK >Vigun02g124300.1.v1.2 pep primary_assembly:ASM411807v1:2:27622803:27627178:-1 gene:Vigun02g124300.v1.2 transcript:Vigun02g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKKTTAQTKSIEGWDSSYFFEEGSGVSTVVDPIDLISRQSQSFLSQNFLCKQEIEADNLSCMLQDPFVQLPQLESPSLPLVKRPSTVSLVSDNNEDEDIIQNRLSNNNNNNNTKKVTDWRALDKFVASQLSQEDTLETNGLSGFEGHDSHDMALLLLQSSRDEGNRLSPFLNTSSDCDIGICVFEK >Vigun05g221700.5.v1.2 pep primary_assembly:ASM411807v1:5:41385623:41388541:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILRYLVESCGELLLVCRYFSPNQHTVIETQKFEVFALDFCQLSWKKVEDLGDQMIFLGKCCSTSFSAKELGVGIKNSIYFCNDPTIPWWNEWDSDHLKGISSRLGFNQTNVNNWGIFTLGNEDGEPFCFHGDIINWAYTWFTVPSWWCYRNIPPIRSN >Vigun05g221700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41385623:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTGEPFEDAVFCNGSFYLLADGFNVWQIDVQSIYSNINKGNDDFGTLSEIETRFHEVKRPEMFELQEGGILHNRHTSQILRYLVESCGELLLVCRYFSPNQHTVIETQKFEVFALDFCQLSWKKVEDLGDQMIFLGKCCSTSFSAKELGVGIKNSIYFCNDPTIPWWNEWDSDHLKGISSRLGFNQTNVNNWGIFTLGNEDGEPFCFHGDIINWAYTWFTVPSWWCYRNIPPIRSN >Vigun05g221700.8.v1.2 pep primary_assembly:ASM411807v1:5:41385623:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTGNDDFGTLSEIETRFHEVKRPEMFELQEGGILHNRHTSQILSYHGRRSRIWEIK >Vigun05g221700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41385623:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTGEPFEDAVFCNGSFYLLADGFNVWQIDVQSIYSNINKGNDDFGTLSEIETRFHEVKRPEMFELQEGGILHNRHTSQILRYLVESCGELLLVCRYFSPNQHTVIETQKFEVFALDFCQLSWKKVEDLGDQMIFLGKCCSTSFSAKELGVGIKNSIYFCNDPTIPWWNEWDSDHLKGISSRLGFNQTNVNNWGIFTLGNEDGEPFCFHGDIINWAYTWFTVPSWWCYRNIPPIRSN >Vigun05g221700.10.v1.2 pep primary_assembly:ASM411807v1:5:41385621:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILSYHGRRSRIWEIK >Vigun05g221700.9.v1.2 pep primary_assembly:ASM411807v1:5:41385623:41388541:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILSYHGRRSRIWEIK >Vigun05g221700.11.v1.2 pep primary_assembly:ASM411807v1:5:41385621:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILSYHGRRSRIWEIK >Vigun05g221700.7.v1.2 pep primary_assembly:ASM411807v1:5:41385623:41388540:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILRYLVESCGELLLVCRYFSPNQHTVIETQKFEVFALDFCQLSWKKVEDLGDQMIFLGKCCSTSFSAKELGVGIKNSIYFCNDPTIPWWNEWDSDHLKGISSRLGFNQTNVNNWGIFTLGNEDGEPFCFHGDIINWAYTWFTVPSWWCYRNIPPIRSN >Vigun05g221700.6.v1.2 pep primary_assembly:ASM411807v1:5:41385623:41388541:-1 gene:Vigun05g221700.v1.2 transcript:Vigun05g221700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLEATITKIYAKRMKRRYKKRHLSKKCYEDLPSIPSLLLETIRRRYSAKCSKRIRKRKRELEKEYYADWSSLPRGILEMIAEKLTFIDCLSISKVCMSWNAILGEELPSWQRHGFPCLLVSGQQNKETRTCISILENRVWELELAEANGMYCWGSFRGWLIMVKNLDYFYLEINLLNPFSGSQISLPSVWNFYHKIVPSGLPSENNFVCMLLHSQCRELTFWVTGANSWRKYNKLTEIETRFHEVKRPEMFELQEGGILHNRHTSQILRYLVESCGELLLVCRYFSPNQHTVIETQKFEVFALDFCQLSWKKVEDLGDQMIFLGKCCSTSFSAKELGVGIKNSIYFCNDPTIPWWNEWDSDHLKGISSRLGFNQTNVNNWGIFTLGNEDGEPFCFHGDIINWAYTWFTVPSWWCYRNIPPIRSN >Vigun09g120466.1.v1.2 pep primary_assembly:ASM411807v1:9:26619367:26620634:1 gene:Vigun09g120466.v1.2 transcript:Vigun09g120466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNAASIPWNANVFGRESKLPLYIYNSDLVEIISGTEDLNVSIMQLWMMYLHGLSVQAGKDDICRFTNPHFMTPMGSKNPGTKKYIQNSIMQQNQMIFIASYIDSCHRQLFVVLVPEHIAIWFCCYHKPPLSI >VigunL024100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:46954:49128:-1 gene:VigunL024100.v1.2 transcript:VigunL024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTHQYSWIIPFIPFPVPMLIGVGLLLFPTATKNIRRIWAFPSILLLTIVMIFSLDLSIHQIKNSLFFQYVWSWTINNDISLEFGYLIDSLTSIMSILITTVGILVLIYSDNYMSHDQGYLRFFAYLTLFNISMLGLVTSSNLIQIYFFWELIGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGLYWITGSLEFRHLFQIINNLISKNEMNIFFFTLFALLLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLFPLFIVLPKIMNAIAFIGIITVILGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRGALFHLITHAYSKALLFLGSGSIIHSMEALVGYSPAKSQNMVFMGGLTKHVPITKTFFLVGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSAAGLTAFYMFRIYLLVFEGYLNVHFLNFNGKKNSSFYSISLWGKKQVKLKRKNENFFLVLLKIKKNEITSFFIRKRYLHRVNQNIKNIKHLVFGIMHFGTKKTACLYPNESNNTMRFSMLILALFTLFVGAVGISFSQDGIHLDILSKLLIPFIDLLHKDSENFMNYYEFFTNATFSLSFTFWGIFLASFFYKSVYSYLKNLNLLNLFEKSFLKKNVADHFQNIIYNWSYNHGYIDLFYEKSLIASIRRLVKLNSFFDKKRIDGITNGIGITSFFLGEAIKYVGGGE >Vigun04g200400.1.v1.2 pep primary_assembly:ASM411807v1:4:42402483:42407334:1 gene:Vigun04g200400.v1.2 transcript:Vigun04g200400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRFGFFFCHCHRLFPTLSSSFAFSRPRSLSTTPYPLQYELIINRPSYPHPPTVRPIDSPEPDDPTSETPPQLDKAQRKYYNKRRKRMYGSDSDQENAGRRDEGFVELKPEVVEFPTLHKREEELYFHDAFTYPWEKDKHYKMVYQLEKKYFPDQSLDKAFLQPGQSNINSANVDVNEDGRGGEGRKKVVGVDEKLVFFEGKEKGEEGERGSRELKEKKVEEFFKGLKKGPGSNKDVLVGEPFLSSRRTGLPPVWDSPHGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKRNALSFCGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDTERSLSDRQNLVFRVKCSKGTYIRSLCADFGKALDSCAHLTALRRDSIGQYSADDAWDFQELEEAITKSYL >Vigun04g200400.2.v1.2 pep primary_assembly:ASM411807v1:4:42402487:42407334:1 gene:Vigun04g200400.v1.2 transcript:Vigun04g200400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRFGFFFCHCHRLFPTLSSSFAFSRPRSLSTTPYPLQYELIINRPSYPHPPTVRPIDSPEPDDPTSETPPQLDKAQRKYYNKRRKRMYGSDSDQENAGRRDEGFVELKPEVVEFPTLHKREEELYFHDAFTYPWEKDKHYKMVYQLEKKYFPDQSLDKAFLQPGQSNINSANVDVNEDGRGGEGRKKVVGVDEKLVFFEGKEKGEEGERGSRELKEKKVEEFFKGLKKGPGSNKDVLVGEPFLSSRRTGLPPVWDSPHGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKRNALSFCGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDTERSLSDRQNLVFRVKCSKGTYIRSLCADFGKALDSCAHLTALRRDSIGQYSADDAWDFQELEEAITKSYL >Vigun09g015300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1120677:1124820:-1 gene:Vigun09g015300.v1.2 transcript:Vigun09g015300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLLENQPGSELKKKVLFDMAAGETISSYTVLEKKLKALGWERYYEDPDLLQFHKRSSIDLISLPKDFTNFTNIHMYDIVVKNPNWFRVMDM >Vigun02g201700.2.v1.2 pep primary_assembly:ASM411807v1:2:33635919:33638518:1 gene:Vigun02g201700.v1.2 transcript:Vigun02g201700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSRRHSDSKRRHSRFDPQPSPKRYRKDAYGKEEKERVENSANVHNGDHRRLNEPNHQRAPHPTQQEKRGSIAQVGQSSGQRKAERGWWKDTRKQFNERAETSHRREQRDDKSQAKPDDNTFQRRDDFSERKDDPLPTSRKRPAFREKRIPVESGDVNLVVGRNDRKKEERSNNPQHLGRPEKPFADDRAPNKVGGRRDGFPSRGRRYGGNDSYRGRDKFNGRHGYPLDKIQTEKWKHDLYQDVSKDPIPQNEDDKIAKLEALLAS >Vigun02g201700.1.v1.2 pep primary_assembly:ASM411807v1:2:33635919:33638518:1 gene:Vigun02g201700.v1.2 transcript:Vigun02g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSRRHSDSKRRHSRFDPQPSPKRYRKDAYGKEEKERVENSANVHNGDHRRLNEPNHQRAPHPTQQEKRGSIAQVGQSSGQRKAGERGWWKDTRKQFNERAETSHRREQRDDKSQAKPDDNTFQRRDDFSERKDDPLPTSRKRPAFREKRIPVESGDVNLVVGRNDRKKEERSNNPQHLGRPEKPFADDRAPNKVGGRRDGFPSRGRRYGGNDSYRGRDKFNGRHGYPLDKIQTEKWKHDLYQDVSKDPIPQNEDDKIAKLEALLAS >Vigun01g195700.1.v1.2 pep primary_assembly:ASM411807v1:1:37267821:37269232:1 gene:Vigun01g195700.v1.2 transcript:Vigun01g195700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVIGFTATQYIPDSERAQRPLFKDQNIAHSSPQHTFLCFIISSSQYTLSRNFQTLTKAPALSSLLPRLDMESYGSGHRPYSGDRRMEVVSGKSHGWSADSTQGSEKAWRFSDPEAKRKKRIAKYKVYGVEGKVKATVKKGLRWIKKKCSQIAHGY >Vigun01g195700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37267475:37269211:1 gene:Vigun01g195700.v1.2 transcript:Vigun01g195700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLMQKESSHMVTPPKWTKSLVLLQPRFLTLYHSEVVIFFFSLYLNKPKKQFLKKRLHFFFNHAKYIPDSERAQRPLFKDQNIAHSSPQHTFLCFIISSSQYTLSRNFQTLTKAPALSSLLPRLDMESYGSGHRPYSGDRRMEVVSGKSHGWSADSTQGSEKAWRFSDPEAKRKKRIAKYKVYGVEGKVKATVKKGLRWIKKKCSQIAHGY >Vigun10g072400.2.v1.2 pep primary_assembly:ASM411807v1:10:18099903:18103614:1 gene:Vigun10g072400.v1.2 transcript:Vigun10g072400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHETLAKHLFHWEAQPMKWVMRLRVAFYLAQALEYCTSKGRGLYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNVKSLVTSLMSLQKEIEVPSYVLMGLRQETASSTKQLSLTPFGEACLRRDLTAIHEILEKTGYKDDEGIANELSFQLWTSQMQETLNLKKHGDTAFRAKDFVTAIDCYTQFIEGGTMVSPTVYARRCLSYLMNEMAQEALGDAMQAQVVSPEWHTALYLQAACLFSLGMENDAQETLRDGTNMEARKNKNFKIV >Vigun10g072400.1.v1.2 pep primary_assembly:ASM411807v1:10:18099903:18103614:1 gene:Vigun10g072400.v1.2 transcript:Vigun10g072400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSFCWFHSHLKPSVLESSDQENGEKNERKLWPSFGEFSLEQLKTATNGFSSENIVSEHGEKAPNVVYKAKLDNGQWIAIKRFNKFAWPDSRQFLEEAKQVGSLRSERLANLIGYCYEGEERLLVAEFMPHETLAKHLFHWEAQPMKWVMRLRVAFYLAQALEYCTSKGRGLYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNVKSLVTSLMSLQKEIEVPSYVLMGLRQETASSTKQLSLTPFGEACLRRDLTAIHEILEKTGYKDDEGIANELSFQLWTSQMQETLNLKKHGDTAFRAKDFVTAIDCYTQFIEGGTMVSPTVYARRCLSYLMNEMAQEALGDAMQAQVVSPEWHTALYLQAACLFSLGMENDAQETLRDGTNMEARKNKNFKIV >Vigun01g212300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38640150:38642450:1 gene:Vigun01g212300.v1.2 transcript:Vigun01g212300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFMFQTNTACYDPHVGVPQIRTCKSMRELKQVHAFFVKTARTHDTTIAKEILRLSATSDFRDIGYALSVFDQMPVRNCFAWNTVIRALAETQDRLLDAFLVFCQMVTEATVEPNRFTFPSVLKACAVMARLEEGKQVHGLVLKFGLVGDEFVVTNLLRMYVMCGSMEDAHVLFYRNVEGVEDVRRLVREERRGEFNVVLCNVMVDGYVRVGNLKAARELFDRMAQRSVVSWNVMISGYAQNGFYREAIEVFRRMTQMGDMSPNRVTLVSVLPAISRLGALELGKWVHLYAEKNKIRIDDVLGSALVDMYSKCGSIEKAILVFEKLPQNNVITWNVVISGLAMHGKANDVFNYLSRMEKCGISPSDVTYIAILSACSHAGLVDKGRSFFNDMVNRVGLEPKIEHYGCMVDLLGRAGYLEEAEQLILNMPMKPDDVIWKALLGACKMHKNIEIGTRVAEVLMQLAPHDSGAYVALSNMYASTGDWGGVAEVRLMMKDMDIRKDPGCSWIEIDGVTHEFLVEDDSHPRAKDIHSKLKEISNKLNLEGHMPDTTQVLLKMDEKNKEIVLHYHSEKIAVAFGLISTPPKTSLCVVKNLRICEDCHSSMKLISKIYERKIVIRDRKRFHHFEHGSCSCMDYW >Vigun01g212300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:38640074:38642451:1 gene:Vigun01g212300.v1.2 transcript:Vigun01g212300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELKQVHAFFVKTARTHDTTIAKEILRLSATSDFRDIGYALSVFDQMPVRNCFAWNTVIRALAETQDRLLDAFLVFCQMVTEATVEPNRFTFPSVLKACAVMARLEEGKQVHGLVLKFGLVGDEFVVTNLLRMYVMCGSMEDAHVLFYRNVEGVEDVRRLVREERRGEFNVVLCNVMVDGYVRVGNLKAARELFDRMAQRSVVSWNVMISGYAQNGFYREAIEVFRRMTQMGDMSPNRVTLVSVLPAISRLGALELGKWVHLYAEKNKIRIDDVLGSALVDMYSKCGSIEKAILVFEKLPQNNVITWNVVISGLAMHGKANDVFNYLSRMEKCGISPSDVTYIAILSACSHAGLVDKGRSFFNDMVNRVGLEPKIEHYGCMVDLLGRAGYLEEAEQLILNMPMKPDDVIWKALLGACKMHKNIEIGTRVAEVLMQLAPHDSGAYVALSNMYASTGDWGGVAEVRLMMKDMDIRKDPGCSWIEIDGVTHEFLVEDDSHPRAKDIHSKLKEISNKLNLEGHMPDTTQVLLKMDEKNKEIVLHYHSEKIAVAFGLISTPPKTSLCVVKNLRICEDCHSSMKLISKIYERKIVIRDRKRFHHFEHGSCSCMDYW >Vigun07g143500.1.v1.2 pep primary_assembly:ASM411807v1:7:25434973:25436895:1 gene:Vigun07g143500.v1.2 transcript:Vigun07g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKHSMVEVNGIKMHVAEKGEGPVVLFLHGFPELWYSWRHQILALSSLGYRAVAPDLRGYGDTEAPVPESSYTCFHLVGDMVALIDSLGVEKVFLVGHDWGAIIGWYLCMFRPDRINAYVSLSVPFRPFLGRNPQQKTVDGFRALYGDDYYICRFQETGKTEAAMACVDITYLLNNIFTARKTDISGPPVFPKAGHETGFNPHTSPTLPSWLSQQDLDYYVTKFQRTGFTGGLKYYRNLNLNWELTASWTGMGIENVPVKFITGGDDLMYTSPGTKEYVHNGGFKKDVPTLDEVVVLEGVAHFSNQEAPKDVTNHIYHFLKKFGP >Vigun04g158500.1.v1.2 pep primary_assembly:ASM411807v1:4:38055142:38057286:1 gene:Vigun04g158500.v1.2 transcript:Vigun04g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHENSSIWFFLPIATLIILFLRTVINLLAKCNHNFSSSMARKTSPPSPPKLPIIGNLHQLGTLTHRTLHSFAQTYGPLMLLHFGKVPVLVVSTAEAAREVMKTHDLVFSNRPHRKMFDIFLYDSKDVASAPYGNYWRQIRSICVLHLLSAKKVQSFGAVREEEISIMMDKVKQCCSSEMPVNLTELFCTVTNDIVCRAALGRRYSGREGRKFWGPMNEMMKLLGAPFIGDYITWLDWVGRVNGMYGRAKRLAKQLDEFFDQVVDEHVNRRGHDVHGDDDDEEQNDLVDILLRIQKTNALGFQIDKTIIKAIILDMFVAGTETSSTILGWIMTELLRNPSVMQKLKDEIRDVVGGRSHITEEDLGSMHYLKAVIKESFRLHTPVPLLLPRESMEDAKVMNYEIAGGTQIIVNAWAIARDPCHWEKAEEFEAERFLKSSIDVKGHDFEVIPFGAGRRGCPGIMFAMNVIELVIANLVHQFNWEVPSGAVGDQTLDITESAGLTSQRKFPLIAIASHHA >Vigun03g140500.1.v1.2 pep primary_assembly:ASM411807v1:3:13998763:14000629:-1 gene:Vigun03g140500.v1.2 transcript:Vigun03g140500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLSFVLEIFIFLYVGIDVLDWTLKSGGLLTIGTLYVHYRASQINISRRASQKNSPLHILSLGKLMNDGIIPFCNVGVCVHGNQAHAETLF >Vigun03g140500.2.v1.2 pep primary_assembly:ASM411807v1:3:13998667:14002826:-1 gene:Vigun03g140500.v1.2 transcript:Vigun03g140500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMLLQLSFVLEIFIFLYVGIDVLDWTLKSGGLLTIGTLYVHYRASQINISRRASQKNSPLHILSLGKLMNDGIIPFCNVGVCVHGNQAHAETLF >Vigun03g140500.3.v1.2 pep primary_assembly:ASM411807v1:3:13998763:14001461:-1 gene:Vigun03g140500.v1.2 transcript:Vigun03g140500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMLLQLSFVLEIFIFLYVGIDVLDWTLKSGGLLTIGTLYVHYRASQINISRRASQKNSPLHILSLGKLMNDGIIPFCNVGVCVHGNQAHAETLF >Vigun02g181400.1.v1.2 pep primary_assembly:ASM411807v1:2:32207022:32210508:-1 gene:Vigun02g181400.v1.2 transcript:Vigun02g181400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFRERNRSTRKFSYRFCYTVYIPFSSHSLNRSLTKAMTSEAEPAGEAAKPSTPRLLNISKSLIAGGVAGGVSRTAVAPLERLKILQQVQNRQNIKYNGTVQGLKYIWKTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASTGILWLYKQQPGNEEAQLTPILLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEASPRQYRGIYHALSTVFREEGPRALYKGWLPSVIGVVPYVGLNFSVYESLKDGLIRSKPFGIAQDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAAPVVAGEGKNIMEYTGMVDAFRKTVHHEGVGALYKGLIPNSVKVVPSIAIAFVTYEMVKDILGVEMRISD >Vigun02g181400.3.v1.2 pep primary_assembly:ASM411807v1:2:32207022:32210508:-1 gene:Vigun02g181400.v1.2 transcript:Vigun02g181400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFRERNRSTRKFSYRFCYTVYIPFSSHSLNRSLTKAMTSEAEPAGEAAKPSTPRLLNISKSLIAGGVAGGVSRTAVAPLERLKILQQVQNRQNIKYNGTVQGLKYIWKTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASTGILWLYKQQPGNEAQLTPILLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEASPRQYRGIYHALSTVFREEGPRALYKGWLPSVIGVVPYVGLNFSVYESLKDGLIRSKPFGIAQDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAAPVVAGEGKNIMEYTGMVDAFRKTVHHEGVGALYKGLIPNSVKVVPSIAIAFVTYEMVKDILGVEMRISD >Vigun06g074100.1.v1.2 pep primary_assembly:ASM411807v1:6:20519848:20521280:1 gene:Vigun06g074100.v1.2 transcript:Vigun06g074100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKLFRDSKTGFHLLSSMNSKKYLKNIGLEREDYYFLKHVGKGLLCTYAVLGALWLYTEKNSSLGWGKLKPRLKEHEEALKDFIGKGRMIGDAVSPKGMSESDKDGNYNNQKETQNKNFDEEAQKLWLRLKHEVATELKQKGFDVE >Vigun11g042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6105777:6106089:-1 gene:Vigun11g042200.v1.2 transcript:Vigun11g042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDNSRSGPRRTVVGNLLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSILLDGISIN >Vigun11g176100.1.v1.2 pep primary_assembly:ASM411807v1:11:38095517:38097364:1 gene:Vigun11g176100.v1.2 transcript:Vigun11g176100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGNSKILIIGATGYMGKFIVEASAKAGHPTFALVRESTLSDPSKSPIIQTFKTLGVNLLLGDIHDYQSLVEAIKKVDVVISSVSHTQLEDQNNIISAIKEAGNIKRFFPSEFGNDVDRTNAISEGHEVYDRKVKIRRTVEAEGIPHTYVVANFLTEHFLPTLSELRWIKTPLDKVVIFGDGNTKAVLNTEEDVATYTIRSVDDPRALNKVLFVRPPGNTLSYNDLVSLWEKHHSETLKRVYISEEEILKLIKESSYPINMGLSISHAGYVKGDHINYEIKPSFGVEASTLYPDVNYTTLDEFFRKNDARTPFFLNQIVTVKDA >Vigun03g264800.2.v1.2 pep primary_assembly:ASM411807v1:3:43455564:43460687:-1 gene:Vigun03g264800.v1.2 transcript:Vigun03g264800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLASSTATVPTQHFLFQLQHSLFPVCAFATFHRSRTESLSHLSSRTRHRRFQAFHAKQDQLWVGEEELQLQLKEAAEEEQDSYLDDEDSSFLSLSDKPDRNMALLDDYETEELDFDTGPDHRSGYVALLGKPNVGKSTLANQMLGQKLSIVTDKPQTTRHRILCICSGTDYQMILYDTPGVLQKEMHMLDSMMMKNVRSAAVNADCVLVLVDARKAPEKIDGLLEEGVGDLKDKPPTLLIINKKDLVKPGELAKKLEWYEKFTDVDEVIPVSAKYGQGVEDVKDWILSKIPNGPAYYPKDIVSEHPERFFVAEIVREKIFIQYRNEIPYACQVNVVNYKARPNAKDYIQVEILVEKNSQKIIIIGKEGKALKLLAMAARLDVEDFLQKKVYLEIEVKVRENWRQDEGLLKHYGYGGQIRVI >Vigun03g264800.3.v1.2 pep primary_assembly:ASM411807v1:3:43455564:43460687:-1 gene:Vigun03g264800.v1.2 transcript:Vigun03g264800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLASSTATVPTQHFLFQLQHSLFPVCAFATFHRSRTESLSHLSSRTRHRRFQAFHAKQDQLWVGEEELQLQLKEAAEEEQDSYLDDEDSSFLSLSDKPDRNMALLDDYETEELDFDTGPDHRSGYVALLGKPNVGKSTLANQMLGQKLSIVTDKPQTTRHRILCICSGTDYQMILYDTPGVLQKEMHMLDSMMMKNVRSAAVNADCVLVLVDARKAPEKIDGLLEEGVGDLKDKPPTLLIINKKDLVKPGELAKKLEWYEKFTDVDEVIPVSAKYGQGVEDVKDWILSKIPNGPAYYPKDIVSEHPERFFVAEIVREKIFIQYRNEIPYACQVNVVNYKARPNAKDYIQVEILVEKNSQKIIIIGKVCHLYQNSVDYL >Vigun03g264800.1.v1.2 pep primary_assembly:ASM411807v1:3:43455564:43460687:-1 gene:Vigun03g264800.v1.2 transcript:Vigun03g264800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLASSTATVPTQHFLFQLQHSLFPVCAFATFHRSRTESLSHLSSRTRHRRFQAFHAKQDQLWVGEEELQLQLKEAAEEEQDSYLDDEDSSFLSLSDKPDRNMALLDDYETEELDFDTGPDHRSGYVALLGKPNVGKSTLANQMLGQKLSIVTDKPQTTRHRILCICSGTDYQMILYDTPGVLQKEMHMLDSMMMKNVRSAAVNADCVLVLVDARKAPEKIDGLLEEGVGDLKDKPPTLLIINKKDLVKPGELAKKLEWYEKFTDVDEVIPVSAKYGQGVEDVKDWILSKIPNGPAYYPKDIVSEHPERFFVAEIVREKIFIQYRNEIPYACQVNVVNYKARPNAKDYIQVEILVEKNSQKIIIIGKEGKALKLLAMAARLDVEDFLQKKVYLEIEVKVRENWRQDEGLLKHYGYGGQIRVI >Vigun03g444500.2.v1.2 pep primary_assembly:ASM411807v1:3:64668145:64673206:1 gene:Vigun03g444500.v1.2 transcript:Vigun03g444500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKNVISLCLFVTTLLFSAVSCAPNDGLRRIGLKKIKLDPNNRLAARIGSNDDSFRASIRKFHLQNNFAGTGETDIVALKNYLDAQYYGEISIGTSPQKFTVIFDTGSSNLWVPSSRCTFSLACYFHAKYRSGRSSTYRRNGTAAAIQYGTGAIAGFFSYDNVRVGDIVVKNQEFIEATREPGVVFLAAKFDGILGLGFQEISVGNAVPVWYNMVEQGLIKEPVFSFWLNRKTEEEEGGELVFGGVDPAHYKGEHTYVPVTRKGYWQFDMGDVLIGGKPTGYCAGGCAAIADSGTSLLAGPTAIITMINHAIGASGVMSQECKTVVAEYGQTILNLLLAETQPKKICSQIGLCTFDGTRGVDMGIESVVDENARKSSGGLHDAGCSACEMAVVWVQNQLSRNQTQDQILSYVNQLCDKMPSPMGESSVGCGDISSLPVVSFTIGGRTFDLRPEEYILKVGEGPVAQCISGFTAIDIAPPRGPLWILGDVFMGPYHTVFDFGNQRVGFAEAA >Vigun03g444500.1.v1.2 pep primary_assembly:ASM411807v1:3:64667824:64673320:1 gene:Vigun03g444500.v1.2 transcript:Vigun03g444500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKNVISLCLFVTTLLFSAVSCAPNDGLRRIGLKKIKLDPNNRLAARIGSNDDSFRASIRKFHLQNNFAGTGETDIVALKNYLDAQYYGEISIGTSPQKFTVIFDTGSSNLWVPSSRCTFSLACYFHAKYRSGRSSTYRRNGTAAAIQYGTGAIAGFFSYDNVRVGDIVVKNQEFIEATREPGVVFLAAKFDGILGLGFQEISVGNAVPVWYNMVEQGLIKEPVFSFWLNRKTEEEEGGELVFGGVDPAHYKGEHTYVPVTRKGYWQFDMGDVLIGGKPTGYCAGGCAAIADSGTSLLAGPTAIITMINHAIGASGVMSQECKTVVAEYGQTILNLLLAETQPKKICSQIGLCTFDGTRGVDMGIESVVDENARKSSGGLHDAGCSACEMAVVWVQNQLSRNQTQDQILSYVNQLCDKMPSPMGESSVGCGDISSLPVVSFTIGGRTFDLRPEEYILKVGEGPVAQCISGFTAIDIAPPRGPLWILGDVFMGPYHTVFDFGNQRVGFAEAA >Vigun11g087150.1.v1.2 pep primary_assembly:ASM411807v1:11:26070384:26070653:1 gene:Vigun11g087150.v1.2 transcript:Vigun11g087150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEKCLQYSLLEQSLDLSSQSVIAIACEHTYLWEDIVMRVVTDSSSARRASSFSLLMS >Vigun08g117700.1.v1.2 pep primary_assembly:ASM411807v1:8:28506571:28509524:-1 gene:Vigun08g117700.v1.2 transcript:Vigun08g117700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVYTKDGTVDYLGNPANRKETGTWRACPFILGNECSERLAYYGMSTNLVSYFKTQLNQHSATASKNVANWGGTCFITPMIGAFVADAYLGRYLTILYFSVVYVIGMTLLTLSASVPGMKPTCDAEGNCHATEGQSAICFVALYLIALGTGGIKPCVSSFGADQFDDADEAEKEHKSSFFNWFYLSINVGALVAASLLVWVQTNVSWGWGFGIPAVAMGIAVVSFFSGTKLYRNQKPGGSPLTRLCQVIVASIRKYHVRVPDDKSELYEIQEDSESVIQGSRKLEHSNGLRFFDKAAVVGNSDSVKDPVNPWRLCSVTQVEELKAIVRLLPVWATGIIFSTVYSQMGSYFILQGGAMDNHVGNNKKIQIAPATLSVFDTISVIFGSQCMTGSLCQ >Vigun04g110100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:27525824:27528156:1 gene:Vigun04g110100.v1.2 transcript:Vigun04g110100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNFSPDDRPPVRFMDTDELAYVAMRVREVRDFWHTLFDLPTNLIGETALKVIEFEQMGLPMYLLSVVGGTARFSGK >VigunL055200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:16318:18175:-1 gene:VigunL055200.v1.2 transcript:VigunL055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLIVGRILLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun02g084200.3.v1.2 pep primary_assembly:ASM411807v1:2:23814064:23818741:1 gene:Vigun02g084200.v1.2 transcript:Vigun02g084200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGCLNKGHPCRPTTLVTLPLLFFTLVFFSSFINASSSSVYPELNPIKPRHSRLLRSAVQRETPASQLSELWSPLESQGWKPYVEPNKPKKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWRDSSSFMDIFDVDHFIDVLKDDISIVKELPGEYSWSTREYYGLAIRETRIKAAPVHASAHWYLENVLPVLQSYGIAAISPFSHRLSFDNLPMDIQHLRCKVNFEALTFVPHIRTLGDALISRLRYPDGSAGEMGSNYLQEVTSAGASKSAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKRALLHLISVLR >Vigun02g084200.1.v1.2 pep primary_assembly:ASM411807v1:2:23814064:23818741:1 gene:Vigun02g084200.v1.2 transcript:Vigun02g084200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGCLNKGHPCRPTTLVTLPLLFFTLVFFSSFINASSSSVYPELNPIKPRHSRLLRSAVQRETPASQLSELWSPLESQGWKPYVEPNKPTLPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWRDSSSFMDIFDVDHFIDVLKDDISIVKELPGEYSWSTREYYGLAIRETRIKAAPVHASAHWYLENVLPVLQSYGIAAISPFSHRLSFDNLPMDIQHLRCKVNFEALTFVPHIRTLGDALISRLRYPDGSAGEMGSNYLQEVTSAGASKSAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKRALLHLISVLR >Vigun02g084200.2.v1.2 pep primary_assembly:ASM411807v1:2:23814064:23818741:1 gene:Vigun02g084200.v1.2 transcript:Vigun02g084200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGCLNKGHPCRPTTLVTLPLLFFTLVFFSSFINASSSSVYPELNPIKPRHSRLLRSAVQRETPASQLSELWSPLESQGWKPYVEPNKPTALPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWRDSSSFMDIFDVDHFIDVLKDDISIVKELPGEYSWSTREYYGLAIRETRIKAAPVHASAHWYLENVLPVLQSYGIAAISPFSHRLSFDNLPMDIQHLRCKVNFEALTFVPHIRTLGDALISRLRYPDGSAGEMGSNYLQEVTSAGASKSAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKRALLHLISVLR >Vigun01g096100.2.v1.2 pep primary_assembly:ASM411807v1:1:26046304:26049974:1 gene:Vigun01g096100.v1.2 transcript:Vigun01g096100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLREKLLSKEGRKDEEEEELSLRKRVWNESKLMWVVAAPAICTRFSTFGLNVISQSFVGHIGSRELAAFALVYTVLIRFANGVLLGMASALSTLCGQAFGAKEYSMMGVYLQRSWIVLFFTAVCLLPVFFFTNPLLTLLGQDESIAQVAGTISVWSIPIMFAFIISFTCQTFLQSQSKNVIIAFLAAFSIVIHVFLSWLLTMNFNFGLPGAMISTILAYWIPNVGQLIFVTCGWCSDTWKGFSFLAFKDLWPVVKMSLSAGAMLCLELWYNTILVLLTGNMKNAEVAIDALSICLNINGWEMMIALGFMAAASVRVANELGKGSAKAAKFSIVVSVLTSLAIGFFLFLFFLFFRERLAYIFTTDKDVAFAVGDLSPLLSVSILLNSVQPVLSGSFLHHFLTLIASIDLRFESLLLQNH >Vigun01g096100.1.v1.2 pep primary_assembly:ASM411807v1:1:26046304:26049974:1 gene:Vigun01g096100.v1.2 transcript:Vigun01g096100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLREKLLSKEGRKDEEEEELSLRKRVWNESKLMWVVAAPAICTRFSTFGLNVISQSFVGHIGSRELAAFALVYTVLIRFANGVLLGMASALSTLCGQAFGAKEYSMMGVYLQRSWIVLFFTAVCLLPVFFFTNPLLTLLGQDESIAQVAGTISVWSIPIMFAFIISFTCQTFLQSQSKNVIIAFLAAFSIVIHVFLSWLLTMNFNFGLPGAMISTILAYWIPNVGQLIFVTCGWCSDTWKGFSFLAFKDLWPVVKMSLSAGAMLCLELWYNTILVLLTGNMKNAEVAIDALSICLNINGWEMMIALGFMAAASVRVANELGKGSAKAAKFSIVVSVLTSLAIGFFLFLFFLFFRERLAYIFTTDKDVAFAVGDLSPLLSVSILLNSVQPVLSGVAIGAGWQSIVAYVNLGCYYIIGIPVGIVLGNFLDMQVKGIWIGMLFGTFIQTVVLTVITYKTDWEEQVTIAQKRISRWAKVDSADQENETERRYVS >Vigun04g120000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30744773:30745183:1 gene:Vigun04g120000.v1.2 transcript:Vigun04g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPLGFRLGTTQSHDSIWFAQPTKYSENIQEDKKIRDWIKNFIQKNRRISSGVEGIGEIKIQKRIDLIQVIIYMGFPKLLIEGKPQKIEELQTNMHKKLNCVNRKLNIAIVKVTNAYKHPNILAKINLQQLQH >Vigun08g103900.11.v1.2 pep primary_assembly:ASM411807v1:8:25823593:25833305:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.1.v1.2 pep primary_assembly:ASM411807v1:8:25823589:25845683:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.6.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845718:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.2.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845630:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSVLGSTDAV >Vigun08g103900.13.v1.2 pep primary_assembly:ASM411807v1:8:25823587:25827506:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIALVLMEHLKSQIEELIETRKN >Vigun08g103900.3.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845630:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSVLGSTDAV >Vigun08g103900.8.v1.2 pep primary_assembly:ASM411807v1:8:25823589:25845683:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.5.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845718:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.10.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845630:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.14.v1.2 pep primary_assembly:ASM411807v1:8:25823587:25827506:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIALVLMEHLKSQIEELIETRKN >Vigun08g103900.12.v1.2 pep primary_assembly:ASM411807v1:8:25823593:25833305:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.4.v1.2 pep primary_assembly:ASM411807v1:8:25823613:25845630:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSVLGSTDAV >Vigun08g103900.7.v1.2 pep primary_assembly:ASM411807v1:8:25823598:25845718:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun08g103900.9.v1.2 pep primary_assembly:ASM411807v1:8:25823597:25845630:1 gene:Vigun08g103900.v1.2 transcript:Vigun08g103900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRMKKRLKHMVEPDKNCQAGIEDVAWLCSLQEPEIDMLVGLKLLIIQRAKMIGCKKMADKFNLKMIRAIVHQHSNERSKNMMMRLALSGE >Vigun05g207800.1.v1.2 pep primary_assembly:ASM411807v1:5:39781770:39785753:1 gene:Vigun05g207800.v1.2 transcript:Vigun05g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERICISEEEKSESSRPSSASVRRKRSFFDLNEEAVDDGDGSTSDDPISNNEISSQEGNLSSNNNSSDEGKERANAVRQYVRSKMPRLRWTPDLHLAFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVLSQNRAMQGRHSIFDMYGRLNGPRQFVVDNRNYVPSSLLMEQSPYQISAHGSSRLHPTGFFNSHHMMIRSSSVWDKDLCNYQYQRSSCSPNLTGHAKFDAELRDVKNRMPEFLNQVQVWKQGEIKDEYEKKGPLSFLELNLSQDSRKVNDDQMKKDPAESEQGINTRLSLSLFTSSSSNSSQQAQCSENHKDDTNVESFCLQNYAPRSRLG >VigunL017000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000192.1:21835:23640:-1 gene:VigunL017000.v1.2 transcript:VigunL017000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRSEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPIDFLEGGSHWRASSRN >Vigun03g064000.1.v1.2 pep primary_assembly:ASM411807v1:3:5251443:5254535:-1 gene:Vigun03g064000.v1.2 transcript:Vigun03g064000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYINNIIRYSFQFFYLMREEHPLLAEKPVLVQPCLDQSHGQEKTDSDVVLSKTTTSDKSHDFCNHSESFKKLVEMGLPCNESVVEKLRWLRSQIIGYHAEFDSPFGKRKVLYADHTASGRSLHYNENFIINHVLPFYGNTHTCDSYVGSRTTKMVHEASEYIKKCLGGGEDDALILCGSGTTAAIKRLQEVMGITVPSVMRERVLKSVNTEERWVVFVGPHEHHSNLLSWRQSLAEVVEIDLDDQGLLDMDALRIQIEAYKDTNRPMLGSFSACSNVTGIYLDTRAVAQLLHQYKAFACFDFAASGPYVEIDMRSGESDGYDAVFLSPHKFLGGPDSPGVLLMNKALYLLGSSPPSTCGGGTVTYVNGFNEQETLYLESIEERESGGTPPIIQTVRAALAFWVKEYISCEEIEKSEQMYINKALKRLGSNPNIEVLGNLKAKRQAILSFLIYSTTYCSSAGEWSDGNQKNEGELDLWAETGNQRGKPLHGPFVATLLNDLFGIQARGGCACAGPYGHQLLHINRSQSLAIRSAVEKGYIGVKPGWTRVSFPYYMSEEDFEYILNAIEFLAVYGQRFFPLYSFNLINGSWRLKTEKVEALTSEGNCNFGVHSIWWNESNFEVAKCIATRLPNFPSQGIIKEELDPNIFCFRV >Vigun06g187500.1.v1.2 pep primary_assembly:ASM411807v1:6:30628787:30635155:-1 gene:Vigun06g187500.v1.2 transcript:Vigun06g187500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVRSRVLKDANGDISDHLRNHIHLTNCIHLKNHMHKNSPILADRSIMRDLVVLQRSRSLRDPSASPPSWHSPSVVDMLFKRVENDAASQGGRRSVGVERRKEGRRLSGTSPPLVSIASSRVAPGETGRGNDGITAPSERSSRSGVGDGRRVGREESGRRNEKPDFLDVNQEDPLNQAGKSLAEDVISRHSESKDRKSKQRGKNVRDSQVKTLSEQLNDVPLDSDDLASTNIHFRGRFPRQEKIIEEADSRVRSSGSGINRGKRRKFRSARRTRVATTSREIGAENELSVASNSIAQASAHQKYHFEEADEFADDNVTRAPKNGCGIPWNWSRIHHRGKTFLDMAGRSLSCGLSDTRLKKGTFGDNGRHISEMPVASEHSSSYTKSEAEALPLLVEASVSHASTENACWDHDYSGELGLFGDNLFKRDVDSDLASEARSGDQRKLRGNRHSRHQSLTQKYMPRTFRDMVGQNLVAQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCNSSEHPKPCGFCNYCIAHDMGKSRNIKEVGPVSNFDFESIMDLLDNMIVSQLPSHYRVFIFDDCDTLSTDCWNAISKVIDRAPRRVVFILVSSSLDVLPHIIISRCQKFFFPKLKDADIIHTLQWIATKEGLEIEKDALKLIASRSDGSMRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMETGVEPLALMSQLATVITDILAGTYDFTKERRRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYVLPTSSDNSFNHSPFALKDTDAREAARLNGNPVDIPNKTRRLSMDARNENFHAGSSADGMTRGLGSEKKRHSVSGFTPQHTHLQATDKIKMNERQILGKGRREIGEIWLEVLERIQVTGLKEFMFKEGKLISVSFGAAPTVQLMFSSHLTKSTAEKFTGQILQAFESVLGSSVTIEIRCESNKDAGSAVHQPPTLPATNNILSQIRDFNGVGSLPHPTLTDSVEKRRGEIVEEASSQVEHKRNEQQVDGHGTSYRKLEGTSIIGQTSVSQNKPIVKSQLEQRKLMEQNQSRSLVRSKVSLAHVIQQAEGQRSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKIRSRKPRALLNLVSCGKCLSTKSPR >Vigun06g239150.1.v1.2 pep primary_assembly:ASM411807v1:6:34381711:34382895:1 gene:Vigun06g239150.v1.2 transcript:Vigun06g239150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDLLTSLVNCLLLSIPPNQYLPYSSTLFLPRSPSLEEKLNIKKLGESNLRRDSIQCPRKRIESLFDQMLISRPKFSPNNQGSPLYI >Vigun11g169500.4.v1.2 pep primary_assembly:ASM411807v1:11:37572293:37576530:1 gene:Vigun11g169500.v1.2 transcript:Vigun11g169500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKYPHFFRIFFPEQHSHTMKVPKEFLKNLNEELLSNAVLSIPSSDEKWEVSVVKKGSEVYMQNGWPQFVTDNSLVLHELLLFEYHGDNCFHVQIFGTNGLERLCVKETTQEQPTTIPKKTIPTSAGSSLHKSKSCKKDLSQPKESPIEIESSEALKLAESFTSCKPHWKHVMTKCHVEGRCILPIASKFARKYIPEEVKQIHMGNSEGTLWKVQVSYFKIQSRSYANLSTGWAKFVRDNKLMRGDTCIFELEENKDLHMKVHIFRTRCAPS >Vigun11g169500.5.v1.2 pep primary_assembly:ASM411807v1:11:37572579:37576527:1 gene:Vigun11g169500.v1.2 transcript:Vigun11g169500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKYPHFFRIFFPEQHSHTMKVPKEFLKNLNEELLSNAVLSIPSSDEKWEVSVVKKGSEVYMQNGWPQFVTDNSLVLHELLLFEYHGDNCFHVQIFGTNGLERLCVKETTQEQPTTIPKKTIPTSAGSSLHKSKSCKKDLSQPKESPIEIESSEALKLAESFTSCKPHWKHVMTKCHVEGRCILPIASKFARKYIPEEVKQIHMGNSEGTLWKVQVSYFKIQSRSYANLSTGWAKFVRDNKLMRGDTCIFELEENKDLHMKVHIFRTRCAPS >Vigun11g169500.3.v1.2 pep primary_assembly:ASM411807v1:11:37572306:37576529:1 gene:Vigun11g169500.v1.2 transcript:Vigun11g169500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAKKYPHFFRIFFPEQHSHTMKVPKEFLKNLNEELLSNAVLSIPSSDEKWEVSVVKKGSEVYMQNGWPQFVTDNSLVLHELLLFEYHGDNCFHVQIFGTNGLERLCVKETTQEQPTTIPKKTIPTSAGSSLHKSKSCKKDLSQPKESPIEIESSEALKLAESFTSCKPHWKHVMTKCHVEGRCILPIASKFARKYIPEEVKQIHMGNSEGTLWKVQVSYFKIQSRSYANLSTGWAKFVRDNKLMRGDTCIFELEENKDLHMKVHIFRTRCAPS >Vigun09g236100.1.v1.2 pep primary_assembly:ASM411807v1:9:40669114:40672034:-1 gene:Vigun09g236100.v1.2 transcript:Vigun09g236100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWIHVAVAAAAVGCVSTLLLVFLFRWCRKRNGTNFVEPASLTRVESVQGGISVSRIHQQPFQVDQGSKNSNKGNYNYYVFRGGGVSGKRSFFNWGDHPSMASDAVENGWSRFAFTGYKSYTPSPSKRSGLLGACAAPAGSDFGRESEAEISWEVARGSAEFMQKVRLNPGIKKSHQSNNSNGSLGVASIIRGALPLPGPPLGNCAFPQEAYFEITILYSRGDDSESVGKSGEGEKTKLLVQGNSNGKGNSEALVHVTSGNGSNKINNVEGESGKGESVMFSLGLTAGGSVPLRVPGSYPGSIGFNSNGSLYLDGTKLVRESEKAEWVGTDKVIGCGFDPRQKKVFFTIDSDLMHVIHCQTEEFGTPLFPIMAANIDIMVLVNFGQSAFKYAPANAQRTPNPCFIAPLVNSPAATLGYDDSKELFSMGRIDSQWLNRSTTKGSHNNNNNNHNNNRTLDFDEESEADLFEIVIDGSGKYPNTAS >Vigun02g184200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:32408860:32409624:1 gene:Vigun02g184200.v1.2 transcript:Vigun02g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLHHITQILPLSPSPTPRAMAKPENRTNLASCFVATIFLIFLLIVVFLLYFTLFKPQDPKIAVSAVQIPSFSATNGTVNFTFSQYASVRNPNRGTFSHYDSSLQLLYHGAQVGFMFVPAGKIAAGRTQYMAATFTVQSFPLGPGPTLVNGPGNVGPTLEMESKIEMAGRVRVLHLFSHHVEAKAECRVVIALTDGSVLGFRC >Vigun06g213666.1.v1.2 pep primary_assembly:ASM411807v1:6:32541919:32543466:1 gene:Vigun06g213666.v1.2 transcript:Vigun06g213666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQYECCDVEFSKRVLIIVVLVMFAGLMSGLTLGLMSLSLVDLEVLAKSGTPHDRYNAARILPVVRNQHLLLCTLLICNAVAMEALPVFLHSLVVWWGAILISVTLILLFGEIIPQSICSRYGLAIGATVAPAVRVLVWICFPVAYPISKLLDFLLGTRHKALFHRAELKTLVNLHGHEAGKGGELTHHETTIIAGALELSEKIASDAMTLLTETFCVDINSKLDR >Vigun05g080500.2.v1.2 pep primary_assembly:ASM411807v1:5:7577030:7586820:1 gene:Vigun05g080500.v1.2 transcript:Vigun05g080500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLGAVPSYGVPAKRRWRGLVIAVLGLVILSMLVPLVFLLGLHNGFHSSGYVYEQKNSPSVEQSSHVKELMTQFEPTLPKNVVEQYAHEGKNDTIDEAASDNKQRGGSTDTIDRTASDDKQRVGSPDTVDRTASDDKQRVGSPDTIDRTASDDKQRGGSANTIDKTAGDGKQRGSKVPPNDVLQSPPTSNNPGSDHVEQATHPKTSSTDEDRNSCEVTFGSYCLWQQEHRQEMKETLIKKLKDQLFVTRAYYPSIAKLPAKDKLSRQLKQNIQEMEHMLSESTSDADLPPVAESYSKKMENTITRIKSVALDCNNVDKKLRQIFDLTEDEAKFHMKQSAFLYKLNVLTMPKSLHCLSLKLTVEYFKSPQYEEKANEEKFTDSSLQHYVIFSNNVLAASVVINSTVYHAKESVNQVFHVLTDRENYYAMKLWFLRNQFKKAAVQVLNVEQDSQMELPEEFWVSFRGYDNPSTNQIRTEYLSIFSDSHYLLPDLFSNLKKVVVLDDDVVIQQDLSALWNIDLGDKVNGAVQFCSVKLGQLRSYLGQKGFSHNSCAWMSGLNIIDLGRWRELGLTQTYKKLIKELTKQEGSAEGIAWRASLLAFENRIHPLDKWVVSGLGHNYTIESQSIKTAPVLHYNGKMKPWLDLGIPQYKSYWKKFLNKEDQLLSECNVNS >Vigun05g080500.1.v1.2 pep primary_assembly:ASM411807v1:5:7577030:7586820:1 gene:Vigun05g080500.v1.2 transcript:Vigun05g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGLGAVPSYGVPAKRRWRGLVIAVLGLVILSMLVPLVFLLGLHNGFHSSGYVYEQKNSPSNQKSLESYDRHDVVHNESEVEQSSHVKELMTQFEPTLPKNVVEQYAHEGKNDTIDEAASDNKQRGGSTDTIDRTASDDKQRVGSPDTVDRTASDDKQRVGSPDTIDRTASDDKQRGGSANTIDKTAGDGKQRGSKVPPNDVLQSPPTSNNPGSDHVEQATHPKTSSTDEDRNSCEVTFGSYCLWQQEHRQEMKETLIKKLKDQLFVTRAYYPSIAKLPAKDKLSRQLKQNIQEMEHMLSESTSDADLPPVAESYSKKMENTITRIKSVALDCNNVDKKLRQIFDLTEDEAKFHMKQSAFLYKLNVLTMPKSLHCLSLKLTVEYFKSPQYEEKANEEKFTDSSLQHYVIFSNNVLAASVVINSTVYHAKESVNQVFHVLTDRENYYAMKLWFLRNQFKKAAVQVLNVEQDSQMELPEEFWVSFRGYDNPSTNQIRTEYLSIFSDSHYLLPDLFSNLKKVVVLDDDVVIQQDLSALWNIDLGDKVNGAVQFCSVKLGQLRSYLGQKGFSHNSCAWMSGLNIIDLGRWRELGLTQTYKKLIKELTKQEGSAEGIAWRASLLAFENRIHPLDKWVVSGLGHNYTIESQSIKTAPVLHYNGKMKPWLDLGIPQYKSYWKKFLNKEDQLLSECNVNS >Vigun05g080500.3.v1.2 pep primary_assembly:ASM411807v1:5:7581352:7586820:1 gene:Vigun05g080500.v1.2 transcript:Vigun05g080500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVEQYAHEGKNDTIDEAASDNKQRGGSTDTIDRTASDDKQRVGSPDTVDRTASDDKQRVGSPDTIDRTASDDKQRGGSANTIDKTAGDGKQRGSKVPPNDVLQSPPTSNNPGSDHVEQATHPKTSSTDEDRNSCEVTFGSYCLWQQEHRQEMKETLIKKLKDQLFVTRAYYPSIAKLPAKDKLSRQLKQNIQEMEHMLSESTSDADLPPVAESYSKKMENTITRIKSVALDCNNVDKKLRQIFDLTEDEAKFHMKQSAFLYKLNVLTMPKSLHCLSLKLTVEYFKSPQYEEKANEEKFTDSSLQHYVIFSNNVLAASVVINSTVYHAKESVNQVFHVLTDRENYYAMKLWFLRNQFKKAAVQVLNVEQDSQMELPEEFWVSFRGYDNPSTNQIRTEYLSIFSDSHYLLPDLFSNLKKVVVLDDDVVIQQDLSALWNIDLGDKVNGAVQFCSVKLGQLRSYLGQKGFSHNSCAWMSGLNIIDLGRWRELGLTQTYKKLIKELTKQEGSAEGIAWRASLLAFENRIHPLDKWVVSGLGHNYTIESQSIKTAPVLHYNGKMKPWLDLGIPQYKSYWKKFLNKEDQLLSECNVNS >Vigun09g002900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:211048:211191:1 gene:Vigun09g002900.v1.2 transcript:Vigun09g002900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEELKRGNGFSNKCASLVKEQRARLYILRRCATMLLCWYIQGDD >Vigun08g150000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32254029:32256296:-1 gene:Vigun08g150000.v1.2 transcript:Vigun08g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSLFLPLPLLFLLLQIQTCLSSSQTQNPLLLSLKTQTQTQTPSRKLSFQHNVTLTVSLTVGSPPQNVTMVLDTGSELSWLHCKQLPNLNSTFNPLLSSSYTTAPCNSSICNTRTRDLILPASCDPDKLCHVIVSYADSSSVEGTLAAETFSIGGAAQPGTLFGCMDSAGYTSDADEDSKTTGLMGMNRGSLSLVTQMGLPKFSYCISGKDASGVLLLGGGAAAAPWLGPLKYTPLVTATTSLPYFDRVAYTVQLQGIKVREKLLQLPKSVFLPDHTGAGQTMVDSGTQFTFLLGSVYSALKDEFLEQTKGVLTRIEDPEFVFEGAMDLCYHAPASLAAVPAVTLVFDGAEMKVSGERLLYRARKGSDWVYCFTFGNSDLLGIEAYVIGHHHQQNVWMEFDLVKSRVGFTETTCDLASQRLGLSP >Vigun01g150700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33307878:33308504:1 gene:Vigun01g150700.v1.2 transcript:Vigun01g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun03g072900.2.v1.2 pep primary_assembly:ASM411807v1:3:6012525:6023540:1 gene:Vigun03g072900.v1.2 transcript:Vigun03g072900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAWRHAGNNANDLERNGDRNTQDSEPATPHSVLKMGFRERNSSMEDPDGTLASVAQCIEQLRQSSYSVQEKEYSLRQLLELIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLSILKSSSTEGQIAAAKTIYAVSQGGVKDHVGSKIFSTEGVVPVLWEQLKTGLKAGNVVEGLLTGALKNLSSNTEGFWNATIRAGGVDVLVKLLAKGQPNSLANVCNLLASVMMEDASVCSKVLTAEVTKQLLKLLGPGNDDSVRAEAAGALKSLSAQCKEARREIANANGIPSLINATIAPSKEFMQGECAQALQENAMSALANISGGLSYVISSLGQSLESCTSPTQIADTLGALASALMIYDTKAESTRASDPLVVEQTLLKQFEPRLPFLVKERTIEALASLYGNSVLSNKLANADAKHLLVGLITMAANEVQDELIKALLTVCESEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSFENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTLNHLIHKSDTTTISQLTALLTSDLPDSKVYVLDALRSMLSVAPLSDILREGSAASDAFDTMIILLGSAKEETQAKSASALAGVFETRKDVRESSIAVKTLLSAKKLLNAESESILIESSHCLAAIFLSIKENRDVAVIARDTLSPLVSLANSSVLEVAEMATCALANLILDGEIAEKAIAEEVILPATRILCEGTISGKTHAAAAIARLLHSRAVDCAVTDCVNRAGTVLALVSFLDSAVNGSVATSEALEALAILSRSVETGENIKSACAVLAEFPKSISPIVLCIVDSEPMLQDKTIEILSRLCKDQPVVLGETIVSAPGCISSIAKRIISSTNVKVKIGGAALLICTSKANHQRLVEDLSSSNLCVNLIQSLVDILVSAVPSSGYLDDDNQELISICRHATEEANSSESNTSTGIICGVDLALWLLSILACNDEKNKIAIMEAGAIDVLIDRISNCFSQYSQIDYKEDSVMWIHALLLAILFQNRDIIRAHPTMKSVPALTSLMKSEPSANKYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLELSEEFSLVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALVLLTQLGKDCPSNMSVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSPEIRRHESTFGAVAQLIAVLRLGGRGARYSAAKALESLFSADHIRNAEIARQAVQPLVEILSTGSEKEQHAAIAALVGLLSENPSRALAVADVEKNAVEVLCRILSSSCSMDLKGDAAELCCALFGNTRIRSTAAAASCVEPLVSLLLSQFSPAQLSVVRALDRLVDDEQLAELVAAHGAVVPLVGLLSGTNYILHEAISRALVKLGKDRPACKMEMVKAGVIESVLDVLHEAPDYLCAAFAELLRILTNNASIAKGPSAAKVVEPLFLLLTRQEFGPDGQHSALQVLVNILEHPKCRADHSLTSRQVIEPLIPLLDSSISAVQQLAAELLSHLLLEERLQKDPVTQQAIGPLIRVLGSGIHILQQRAVKALVSIALTWPNEIAKEGGVIEISKVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPIAVLVRLLRSGSESTVVGALNALLVLENDDGTSAEAMSESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARTSDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNRRAVAEAGGVQVVLDLIGSSNPETSVQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNDEYLKALNSLFSNFPRLRATEPATLSIPHLVTALKTGSEACQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTQVVSTGPNPEWDESFLWTFESPPKGQKLHISCKNKSKVGKSKFGKVTIQIDRVVMLGSVAGEYALLPQSKSGPPRNLEIEFQWSNK >Vigun03g072900.3.v1.2 pep primary_assembly:ASM411807v1:3:6012527:6023539:1 gene:Vigun03g072900.v1.2 transcript:Vigun03g072900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAWRHAGNNANDLERNGDRNTQDSEPATPHSVLKMGFRERNSSMEDPDGTLASVAQCIEQLRQSSYSVQEKEYSLRQLLELIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLSILKSSSTEGQIAAAKTIYAVSQGGVKDHVGSKIFSTEGVVPVLWEQLKTGLKAGNVVEGLLTGALKNLSSNTEGFWNATIRAGGVDVLVKLLAKGQPNSLANVCNLLASVMMEDASVCSKVLTAEVTKQLLKLLGPGNDDSVRAEAAGALKSLSAQCKEARREIANANGIPSLINATIAPSKEFMQGECAQALQENAMSALANISGGLSYVISSLGQSLESCTSPTQIADTLGALASALMIYDTKAESTRASDPLVVEQTLLKQFEPRLPFLVKERTIEALASLYGNSVLSNKLANADAKHLLVGLITMAANEVQDELIKALLTVCESEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSFENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTLNHLIHKSDTTTISQLTALLTSDLPDSKVYVLDALRSMLSVAPLSDILREGSAASDAFDTMIILLGSAKEETQAKSASALAGVFETRKDVRESSIAVKTLLSAKKLLNAESESILIESSHCLAAIFLSIKENRDVAVIARDTLSPLVSLANSSVLEVAEMATCALANLILDGEIAEKAIAEEVILPATRILCEGTISGKTHAAAAIARLLHSRAVDCAVTDCVNRAGTVLALVSFLDSAVNGSVATSEALEALAILSRSVETGENIKSACAVLAEFPKSISPIVLCIVDSEPMLQDKTIEILSRLCKDQPVVLGETIVSAPGCISSIAKRIISSTNVKVKIGGAALLICTSKANHQRLVEDLSSSNLCVNLIQSLVDILVSAVPSSGYLDDDNQELISICRHATEEANSSESNTSTGIICGVDLALWLLSILACNDEKNKIAIMEAGAIDVLIDRISNCFSQYSQIDYKEDSVMWIHALLLAILFQNRDIIRAHPTMKSVPALTSLMKSEPSANKYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLELSEEFSLVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALVLLTQLGKDCPSNMSVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSPEIRRHESTFGAVAQLIAVLRLGGRGARYSAAKALESLFSADHIRNAEIARQAVQPLVEILSTGSEKEQHAAIAALVGLLSENPSRALAVADVEKNAVEVLCRILSSSCSMDLKGDAAELCCALFGNTRIRSTAAAASCVEPLVSLLLSQFSPAQLSVVRALDRLVDDEQLAELVAAHGAVVPLVGLLSGTNYILHEAISRALVKLGKDRPACKMEMVKAGVIESVLDVLHEAPDYLCAAFAELLRILTNNASIAKGPSAAKVVEPLFLLLTRQEFGPDGQHSALQVLVNILEHPKCRADHSLTSRQVIEPLIPLLDSSISAVQQLAAELLSHLLLEERLQKDPVTQQAIGPLIRVLGSGIHILQQRAVKALVSIALTWPNEIAKEGGVIEISKVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPIAVLVRLLRSGSESTVVGALNALLVLENDDGTSAEAMSESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARTSDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNRRAVAEAGGVQVVLDLIGSSNPETSVQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNDEYLKALNSLFSNFPRLRATEPATLSIPHLVTALKTGSEACQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTQVVSTGPNPEWDESFLWTFESPPKGQKLHISCKNKSKVGKSKFGKVTIQIDRVVMLGSVAGEYALLPQSKSGPPRNLEIEFQWSNK >Vigun03g072900.1.v1.2 pep primary_assembly:ASM411807v1:3:6012468:6023566:1 gene:Vigun03g072900.v1.2 transcript:Vigun03g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAWRHAGNNANDLERNGDRNTQDSEPATPHSVLKMGFRERNSSMEDPDGTLASVAQCIEQLRQSSYSVQEKEYSLRQLLELIDMRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLSILKSSSTEGQIAAAKTIYAVSQGGVKDHVGSKIFSTEGVVPVLWEQLKTGLKAGNVVEGLLTGALKNLSSNTEGFWNATIRAGGVDVLVKLLAKGQPNSLANVCNLLASVMMEDASVCSKVLTAEVTKQLLKLLGPGNDDSVRAEAAGALKSLSAQCKEARREIANANGIPSLINATIAPSKEFMQGECAQALQENAMSALANISGGLSYVISSLGQSLESCTSPTQIADTLGALASALMIYDTKAESTRASDPLVVEQTLLKQFEPRLPFLVKERTIEALASLYGNSVLSNKLANADAKHLLVGLITMAANEVQDELIKALLTVCESEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSFENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTLNHLIHKSDTTTISQLTALLTSDLPDSKVYVLDALRSMLSVAPLSDILREGSAASDAFDTMIILLGSAKEETQAKSASALAGVFETRKDVRESSIAVKTLLSAKKLLNAESESILIESSHCLAAIFLSIKENRDVAVIARDTLSPLVSLANSSVLEVAEMATCALANLILDGEIAEKAIAEEVILPATRILCEGTISGKTHAAAAIARLLHSRAVDCAVTDCVNRAGTVLALVSFLDSAVNGSVATSEALEALAILSRSVETGENIKSACAVLAEFPKSISPIVLCIVDSEPMLQDKTIEILSRLCKDQPVVLGETIVSAPGCISSIAKRIISSTNVKVKIGGAALLICTSKANHQRLVEDLSSSNLCVNLIQSLVDILVSAVPSSGYLDDDNQELISICRHATEEANSSESNTSTGIICGVDLALWLLSILACNDEKNKIAIMEAGAIDVLIDRISNCFSQYSQIDYKEDSVMWIHALLLAILFQNRDIIRAHPTMKSVPALTSLMKSEPSANKYFAAQSIASLVCNGSRGTLLSVANSGAAGGLISLLGCADTDIQDLLELSEEFSLVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALVLLTQLGKDCPSNMSVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSPEIRRHESTFGAVAQLIAVLRLGGRGARYSAAKALESLFSADHIRNAEIARQAVQPLVEILSTGSEKEQHAAIAALVGLLSENPSRALAVADVEKNAVEVLCRILSSSCSMDLKGDAAELCCALFGNTRIRSTAAAASCVEPLVSLLLSQFSPAQLSVVRALDRLVDDEQLAELVAAHGAVVPLVGLLSGTNYILHEAISRALVKLGKDRPACKMEMVKAGVIESVLDVLHEAPDYLCAAFAELLRILTNNASIAKGPSAAKVVEPLFLLLTRQEFGPDGQHSALQVLVNILEHPKCRADHSLTSRQVIEPLIPLLDSSISAVQQLAAELLSHLLLEERLQKDPVTQQAIGPLIRVLGSGIHILQQRAVKALVSIALTWPNEIAKEGGVIEISKVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPIAVLVRLLRSGSESTVVGALNALLVLENDDGTSAEAMSESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARTSDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNRRAVAEAGGVQVVLDLIGSSNPETSVQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNDEYLKALNSLFSNFPRLRATEPATLSIPHLVTALKTGSEACQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTQVVSTGPNPEWDESFLWTFESPPKGQKLHISCKNKSKVGKSKFGKVTIQIDRVVMLGSVAGEYALLPQSKSGPPRNLEIEFQWSNK >Vigun05g143500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19237354:19238902:1 gene:Vigun05g143500.v1.2 transcript:Vigun05g143500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGDSRTSGSLASPVARLVAAAAAFTVAATDVASSEFEQRSVVALNGEPNGGGSREKEKEQRRRLQASPVRASGDDDVAAVSRFIFPGKWRRRRCRSISRERGVKGDGVAGEASGGGRWWRLRRTRVQAWGCVGGVEKKRCHGEGKKLGFC >Vigun03g054400.2.v1.2 pep primary_assembly:ASM411807v1:3:4439288:4444131:-1 gene:Vigun03g054400.v1.2 transcript:Vigun03g054400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLHEHKSTEEDLRWKLPWQILFADKVKEEERRKERGMWERWHSHLPLLNNCCILLSVLLLSILQFLSFAHSSQSSFCSKPNITPMGSKNQSQLSEMKCNISSLGHFFGLLDANFFDDEQIEEIAEGAEEFNIPIIEANRKLVAYENGGLHYPSPLVFNADWNYDPVDYENKKFNYPSISGVQRPESEDDIAFMSVLELGELIKTRQITSQELTQIFLRRLKKYNPTLEAVITCTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRLKSAGAVLVAKLVSGSLACHDIWFGGRTRNPWNIEEFSSGSSAGSAASTSAGMVPFAFGTETAGSITFPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFGRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFILDVDMLSHFDEWQRSGEDNVYEAQDWATELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALALAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPPRVLGIAPSST >Vigun03g054400.1.v1.2 pep primary_assembly:ASM411807v1:3:4439344:4444072:-1 gene:Vigun03g054400.v1.2 transcript:Vigun03g054400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWERWHSHLPLLNNCCILLSVLLLSILQFLSFAHSSQSSFCSKPNITPMIEEIAEGAEEFNIPIIEANRKLVAYENGGLHYPSPLVFNADWNYDPVDYENKKFNYPSISGVQRPESEDDIAFMSVLELGELIKTRQITSQELTQIFLRRLKKYNPTLEAVITCTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRLKSAGAVLVAKLVSGSLACHDIWFGGRTRNPWNIEEFSSGSSAGSAASTSAGMVPFAFGTETAGSITFPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFGRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFILDVDMLSHFDEWQRSGEDNVYEAQDWATELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALALAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPPRVLGIAPSST >VigunL030301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:167386:168171:1 gene:VigunL030301.v1.2 transcript:VigunL030301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding ANFFYEKIKHLVEVSTKNCSYTFFFFKDTFIHYVRYQGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVRIYLKKLSSEKLLQEFFTEEDLFSLIFPRTSFTLRRFYRGRIWYLDILLRNDFVNYL >Vigun10g198200.1.v1.2 pep primary_assembly:ASM411807v1:10:41098530:41103308:1 gene:Vigun10g198200.v1.2 transcript:Vigun10g198200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTMVQSKSGNGNSDTVHSPIVTYASMISLLTLCPPFVILLWYTMTGADGSVTETWNYLKQNGVQGFLQIWPRPTATACKIIVVYAVFEAALQLLLPAKTVYGPISPTGHQPVYKANGVAAYLVTLITYTALWWFGIFNPTVVYDHLGEIYSALIFGSFVFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLALTYCIKQYEENGKVSDSMLVNTALMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGIELAISILLAGILCIFINYDCDRQRQEFRRTNGKSTVWGKAPSKIEASYTTTTGETKRSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCDKVPYRIIPGIY >Vigun10g138400.1.v1.2 pep primary_assembly:ASM411807v1:10:35483378:35487495:1 gene:Vigun10g138400.v1.2 transcript:Vigun10g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRARNASRGTMASLLRSFSNVPENTVYSGPTAQTPNKRVTLRHLSEKHRSSQPITMVTAYDYPAAVHLDTAAVDICLVGDSAAMVVHGHDTTLPITLDEMLVHCRAVARGARTPLLVGDLPFGTYESDPNQAVDTAVRILKEGGMDAIKLEGGSPSRIVAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVSSAVKVVETALALQEAGCFSVVLECVPPPVAAAATAALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEDVTNGSFPDALHSPYKISKKDADVFSSELQRLGLDKAASAASEAVQKMDTA >Vigun11g166172.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37353459:37353611:-1 gene:Vigun11g166172.v1.2 transcript:Vigun11g166172.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAVREFLMGQPKIYPFRTCTMY >Vigun07g183400.1.v1.2 pep primary_assembly:ASM411807v1:7:29975878:29983924:1 gene:Vigun07g183400.v1.2 transcript:Vigun07g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPPPSDNSDAERRLREAEERLRDAIEELQRRQRRAAAHAQHHLRVESPPCDHGPDESCVAHAIGNLCQTFLLSYGVRVGIGILLRAFKLVRRQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPLNAILAGSVAGFSILALNDSNRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFAIACAQVMYAFVMRPESLPKSYQDFIQNTGPVAEPVYKAVRDSCRGHPVDVTSLHTYLSHVGRSDYVKLEGFPSIIPCSIIHPETNSCLAHQVKATSTTFKKTFPLYFSLTFVPFVVLHLQKFTDAPFRTFWLAINGGVRSTTFLSAFVGIFQAVICLHRKVFSRDHKLVYWIAGGISALSVLLEKKAKRGELALYVLPRAGDSLWYILVNRHLLPNIRNAEVFLFSLCMGGIMYYLEHEPETMAPFLRGLIRRFLASRISNPSPPFNRTASYAYLQAIDGMTPPTLQEKRNTESSEKYNLESIPGL >Vigun04g010850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:813685:814248:1 gene:Vigun04g010850.v1.2 transcript:Vigun04g010850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGVVLDNYIGSAPFPCHLQLGPSTSIDLSRDLYPLEGGPVLEIVCVTYKIDEKRCMVHLVRHNVDRYGNVDRVDFNIWDPLSGKTNITIGMQITDTNYVSINRHGSTFTNSTLWTKTNRSFDAWGGVIDTTVDFYGSAGTWGLLAVESKKNNTEEEAEVVTVAHYFVKSSGTSFYGSTGTIKV >Vigun01g182200.9.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294373:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIYVQKIAEWNHETVTLWFLHYCRLVDHVLNTAKSAKPNKDDDCHSATSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.8.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294373:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIYVQKIAEWNHETVTLWFLHYCRLVDHVLNTAKSAKPNKDDDCHSATSSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.6.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKTPFLLQLKPPKLQKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASLSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.3.v1.2 pep primary_assembly:ASM411807v1:1:36291503:36294385:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGLAVEEEKRVIGITTKVESIKKEDEKDCNGAEIQTMNEEAKDPISAAVEASKTSKGATKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASLSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.4.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGLAVEEEKRVIGITTKVESIKKEDEKDCNGAEIQTMNEEAKDPISAAVEASKTSKGATKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASLLVDHVLNTAKSAKPNKDDDCHSATSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.1.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGLAVEEEKRVIGITTKVESIKKEDEKDCNGAEIQTMNEEAKDPISAAVEASKTSKGATKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASLLVDHVLNTAKSAKPNKDDDCHSATSSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.2.v1.2 pep primary_assembly:ASM411807v1:1:36291502:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGLAVEEEKRVIGITTKVESIKKEDEKDCNGAEIQTMNEEAKDPISAAVEASKTSKGATKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASFATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.7.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKTPFLLQLKPPKLQKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASFATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun01g182200.5.v1.2 pep primary_assembly:ASM411807v1:1:36291584:36294365:1 gene:Vigun01g182200.v1.2 transcript:Vigun01g182200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKTPFLLQLKPPKLQKENGGRASVGSKSNKYGRDNLKGLSSMAQKQRPSLSQSVSFPPRSSGEDAMQKSIDGYIVKTKVKDVQGYAPIRHLNKSTNSGVKHSAFGRTTIVTLATKSQISEASLLVDHVLNTAKSAKPNKDDDCHSATSSATPRLRSSGIGFSLRLEERAEKRKEFFSKLEEKTLAKEAEKTNLQAKSKENQEAEIKLLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRPKSPKLGRHKEPKMNNNSGEDKCCSSPRGKQQQNDSNKGKGQKDVISKKQVRKTYSKVKSEENVSAENKEFQVPNDNNSECKNDMALQSEPGHASNTALLLNSTTTELVSYEVIVGV >Vigun07g000300.1.v1.2 pep primary_assembly:ASM411807v1:7:32217:38734:-1 gene:Vigun07g000300.v1.2 transcript:Vigun07g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIEKIRAAAADPNRVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGTTADLTLEIANRMQNIVCVETMMHLTCTNMPVEKIDHALQTIKSNGLQNVLALRGDPPHGQDKFVQVEGGFACARDLVKHIRAKYGDYFGITVAGYPEAHPDVIGSDGLATAEGYLKDLEYLKSKVDAGADVIVTQLFYDTDTFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPADIMSALEPIKDNEEAVKSYGVHLGTEMCKKILSHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPTNVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSCNPSYGALTDYQFMRPRARDKKLIEEWVVPLKSVEDIYERFRMYCIGKLRTNPWSELDGLQPETKIINEQLERINAKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYVEFFCSKEKLDTLVDKCKDRTFLTYMAVNKEGCWKSNVGQTDVNAVTWGVFPAKEIKQPTIVDPVSFNVWKDEAFEIWSRGWASLYPEGDASRKFVEEVGGSYFLVSLVDNDYINGDLFAAFADF >Vigun01g181200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36225153:36225995:1 gene:Vigun01g181200.v1.2 transcript:Vigun01g181200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKVTLKLIENFVARKARYRKIRENLLKKMEDITTLCDVNACAIIYGPGDNVPTVWPSHDIAKELLHKFENAPLPERVKKNVTPQVYIEQMNRKIERQVMKLKKKNDERDMSNFLYKIHEGKSLSDLDASDISRLLCYVEGKLKCGGVKVDISKQQLSTYKPPTPLVSFPLQNDIDSCANIDEQVFQQQSSLDSTKETGPMNSDSDNKGLSQVSVESLNLDDTDVVLPSGNFNDVIDDNDLAFGMILPQDNFIDLCDNGDLGLLHGSSSGEITGNDI >Vigun03g246450.1.v1.2 pep primary_assembly:ASM411807v1:3:41000017:41001391:-1 gene:Vigun03g246450.v1.2 transcript:Vigun03g246450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPNQGTEANMDTIDWRGGLQQESRQRIVNRIVGMRFSMSALHMLIDVLVGQFC >Vigun06g058800.1.v1.2 pep primary_assembly:ASM411807v1:6:18518449:18528499:1 gene:Vigun06g058800.v1.2 transcript:Vigun06g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSFASQHVLPKFLEAVKMLKDLPKEVADVTDELENFQDFIRDAYKVAEVEKDHNRRERIRKRLIRLREAAFRMEDVIDDYVTCDEKQPEDPRCAALLCEAVEFIKTQIHRLQIAYQIQDVKSLVRAERDGFQNHFPTGSRSDGTRGNENFTWQKLRMDPLFIKKDEVVGFEEDIDTLKKWLTEGREERTVISIVGMAGLGKTTLSKQVFDQVHTDFECHALITVSRSYTVEGLLRDMTNKLCKERREDPPRDVATMDQMSLIEEVRNRLHNKRYVVLFDDVWNETFWDDIELALIDNKNGSRILITTRDQKVVDFCKKCLFFEVHKLQPLSNSKSLELLCKKAFGNGFHGCCPKDYEEVGLDIVRKCGCLPLAIVAIGSLLYRKCKSPSDWGLFSQHLSLELESNSELDCVKKILSLSYDDLPQNLRSCLLYFGLYPEDHEVKCGRLFQQWIAEGFVKHERGRNLEEVAEQHLMELISRSLVLVSSFTPEDKVKACHVHDSIHEMIRGKMKTTGFCHYINDFESSGIFRRLTMATSSYDLSGTSEGSQYVRSILIFTNEVLSEEFTKYLLAKYMRLKVLDFEFAALYDVPENLGCLIHLKYLSFRNTSIRSLPKSIGKLKNLESLDVRTNRVIEVPKEITKLRKLRCLFGYRISTIAVKDSLGKLTSLEKMHELRIDEDGVVIRELGKLNQLRDLRLSNFMGHHSDILCSSVNKMQLLERLDIILQYLSEPIPIDLHITSSLSKLRKLHLVAFLKEFPSWIPRLQNLVKLSLKLSMLNNIPLKSLGNMPNLLILSFDSGSYEGETFHFENGEFQKLKELRFKSLHKLRSIFIDSGALQSLEKLHMFDIPKLKAVPSGIQHLRKLQVLDVFYMPTEFQKRIDPETGEDHWIIKHVPDVLVDLNFKNQQMYDLGKNIFMEVAADFLRFFEGMEVLA >Vigun07g035100.1.v1.2 pep primary_assembly:ASM411807v1:7:3366762:3372466:-1 gene:Vigun07g035100.v1.2 transcript:Vigun07g035100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKIVDLQLTLKPFYQRAAEAEERLSRLEATLNNKEDARNEENTKVINDLQSKLKAANAEIVSEKEKIRTLTEENAKLQYRIIQLVRSHKEANLKLEQATAHEQLQRMKL >Vigun03g283400.9.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.10.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.3.v1.2 pep primary_assembly:ASM411807v1:3:46392149:46395587:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.8.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.2.v1.2 pep primary_assembly:ASM411807v1:3:46392144:46395579:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.6.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.5.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.1.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395588:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun03g283400.4.v1.2 pep primary_assembly:ASM411807v1:3:46392168:46395548:1 gene:Vigun03g283400.v1.2 transcript:Vigun03g283400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEPGEEINIQSMIEQLAQAFLELEAQKGESENKIQWVEIKQHFYDLETELGKKLEELKAKEKEYEAKQLEVDTLLEERKAAVASEEQDLLDRLQELKDAAVASIVEAHANHRNAALESVYDGENKDNKVSSSLGDTHSSEDDFPHESAEKSEGVAVEVRPHPELTQFCEQMDAKGLLNYIVENKKKLSVIREEISVALANATDPARLVLDSLVGFCPTNETSQLKDKTGAAQQGMCKYYIIILEAMATLLARANPGADHFLNPETKQQAKAIADEWRPKLARADIDAANGNSLEAKAFLQLISTFRIASEFDEEELCKLVLAVAQLRQAPELCRSIGLIHKIPVVVETLINNGKQIAAVHFIHAFQLQESFPPVPLLKGYLKNRRRNSQVKTGNVRDIASAKNDANAQELAALRAVIKCIEEYKLESDYPLDTLKKRVLQLEKSKGDKKRSGEFSKRPQSKRPRTNERYFSLHSSGVTAASTVALGRQVPHVRAPYAGNPDRYPHAATITYDYQVPGQSIYSGPPNVPPSNYGRYMGTSLQSSHQPYM >Vigun01g014400.2.v1.2 pep primary_assembly:ASM411807v1:1:1606915:1609148:1 gene:Vigun01g014400.v1.2 transcript:Vigun01g014400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRCLFKTSGFTTEPFNIGYNQMQQLQQCRGIRVRVMDENLEMALASMQRKMQGSGIERMIKQEQRFHIKNSEKRVLAQKNLERRIRSEDFAKKLKTIMIKKVRGL >Vigun01g014400.1.v1.2 pep primary_assembly:ASM411807v1:1:1607555:1608835:1 gene:Vigun01g014400.v1.2 transcript:Vigun01g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVARRCLFKTSGFTTEPFNIGYNQMQQLQQCRGIRVRVMDENLEMALASMQRKMQGSGIERMIKQEQRFHIKNSEKRVLAQKNLERRIRSEDFAKKLKTIMIKKVRGL >Vigun08g201900.1.v1.2 pep primary_assembly:ASM411807v1:8:36608433:36611743:1 gene:Vigun08g201900.v1.2 transcript:Vigun08g201900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSLFSSSMHVGVSHPKLAAKPSVCCLLNHPWNHLKPKTSFGHSGISQKSKLSRKGDEILWRAGALQVESAPSFSVGQKFQLDDVIEAQQFDRETLSAIFEVARSMESIENNSSGSQMLKGYLMATLFYEPSTRTRLSFESAMKRLGGDVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAATASIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIRVGLVGDLANGRTVRSLAYLLAKYEDVKIYFVSPNVVKMKDDIKEYLTSKGVEWEESADLMEVASKCDVVYQTRIQKERFGEKIDLYEEARGKYIVNKDVLRVMQKHAVVMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKVLLLGW >Vigun03g175900.1.v1.2 pep primary_assembly:ASM411807v1:3:21774542:21776762:-1 gene:Vigun03g175900.v1.2 transcript:Vigun03g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEITIGLLVLALFLHLRPTPTAKSKALRHLPNPPSPKPRLPFIGHLHLLKDKLLHYALIDLSKTYGPLYSLYFGSMPTVVASSPELFKLFLQTHEAASFNTRFQTSAIRRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTVNKLRPLRTQQIRKFLKVMAQSAQAQQPLNVTEELLKWTNSTISMMMLGEAEEIRDIAREVLKIFGEYSLTDFIWPLKKLKFGQYEKRIDEIFNKFDPVIERVIKKRREIMRRRKNGEAVEEEQSGVFLDTLLQFAEDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWALAELINNPRVLQKAREEVYSVVGKDRLVDEVDTQNLPYIRAIVKETFRMHPPLPVVKRKCVEECEIEGYVIPEGALILFNVWAVGRDPKYWDRPLEFRPERFLETGAEGEAGPLDLRGQHFTLLPFGSGRRMCPGVNLATSGMATLLASVIQCFDLQVVGPQGQILKGNDAKVSMEERAGLTVPRAHNLECVPVARTSVAAKLLS >Vigun07g044300.1.v1.2 pep primary_assembly:ASM411807v1:7:4489347:4494261:-1 gene:Vigun07g044300.v1.2 transcript:Vigun07g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYIRVKRSKTTYFIRCKASDKIIDIKQKLQELVDQPTSNQRLILPGTGEVLEDSKTLADQKVENDAVVALTLRKDDNEFEEVNIVRPNDFYQARDADGASW >Vigun07g044300.2.v1.2 pep primary_assembly:ASM411807v1:7:4489347:4494261:-1 gene:Vigun07g044300.v1.2 transcript:Vigun07g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYIRVKRSKTTYFIRCKASDKIIDIKQKLQELVDQPTSNQRLILPGTGEVLEDSKTLADQKVENDAVVALTLRKDDNEFEEVNIVRPNDFYQARDADGASW >Vigun07g044300.3.v1.2 pep primary_assembly:ASM411807v1:7:4489347:4494263:-1 gene:Vigun07g044300.v1.2 transcript:Vigun07g044300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYIRVKRSKTTYFIRCKASDKIIDIKQKLQELVDQPTSNQRLILPGTGEVLEDSKTLADQKVENDAVVALTLRKDDNEFEEVNIVRPNDFYQARDADGASW >Vigun03g199400.1.v1.2 pep primary_assembly:ASM411807v1:3:28733279:28742426:-1 gene:Vigun03g199400.v1.2 transcript:Vigun03g199400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVPVELPNHGPSDGNSGKSSKDKQEESLGRWYMSRKEIEEHSPSRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLAFDLNVQHPYKPLVEAIKKFNVAKNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRLPPAQGSEVEGSAGGTRSATKAPAVNEEQASKQISSQAPQHSSVERTVVPQRGTENQSNDGSAEMGSDITDHKMELDNRESQNSEQLTQKDNKREMSNRSKSGSERIVAGDQERMVGTKEGAEVGRRDESALYNSGSNGGRNLERREGPISHSPKEAIKMIDKDKLKAAMEKRRKERGEMTLKKDVMDEDDLIERELEDGVELAVEDEKNKRERRQNWSKHDGEDHHHHHHHEESRDGRHTSMKGQFQKDMDEENAEEGEMIDDASSSLNNRKRKMGSPPGKQPEMKKRADSSSSYHNDGAE >Vigun03g199400.2.v1.2 pep primary_assembly:ASM411807v1:3:28733279:28742426:-1 gene:Vigun03g199400.v1.2 transcript:Vigun03g199400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVPVELPNHGPSDGNSGKSSKDKQEESLGRWYMSRKEIEEHSPSRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLAFDLNVQHPYKPLVEAIKKFNVAKNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRLPPAQGSEVEGSAGGTRSATKAPAVNEEQASKQISSQAPQHSSVERTVVPQRGTENQSNDGSAEMGSDITDHKMELDNRESQNSEQLTQKDNKREMSNRSKSGSERIVAGDQERMVGTKEGAEVGRRDESALYNSGSNGGRNLERREGPISHSPKEAIKMIDKDKLKAAMEKRRKERGEMTLKKDVMDEDDLIERELEDGVELAVEDEKNKRERRQNWSKHDGEDHHHHHHHEESRDGRHTSMKGQFQKDMDEENAEEGEMIDDASSSLNNRKRKMGSPPGKQPEMKKRADSSSSYHNDGAE >VigunL072000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000509.1:212215:216445:1 gene:VigunL072000.v1.2 transcript:VigunL072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTVRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINAAIDLGFNPVKVNCVVMRGFNDDEICDFVELTRDKPIDIRFIEFMPFDGNVWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun03g105800.1.v1.2 pep primary_assembly:ASM411807v1:3:9120675:9125800:-1 gene:Vigun03g105800.v1.2 transcript:Vigun03g105800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMINVSIAVLDPQMAAEKAVKVIGQGYDLCRDIRFSVCKSRLIEIDSSHTRDLVFPGGVVVDDVPNSIKCDKGERTRFHSDVLPFNQMSEHFNKQLSLSGKIPSGQFNAMFNMKKCWATDAASTENLAYDGWFITLYNVELDRTNITLSENVKKEVPCSWNPAALAEFIEKYGTHVIVGVQMGGKDVVHIKQTKNSDLTSTEVQKLLKQLADERFSEVSNQSSNVNPVDKSRKSKGNQGHKNKPNPTAGRPVVRSHSKNDDVVSISVRRGGIDNGQSYNKWLSTISKCPNVISMSLVPITSLLNSVPGNGFLSHAVNLYLRYKPEIEELHQFLEFQLPRQWAPMYGDLPLGFGHKYKKSMSPSLQFTLMGPKLYVNIMKVDSGNRPVTGIRLYLEGKKNDHLAIHLQHLSEVPGALEISDDHGYDPVDEADDRGYYEPVKWSMFSHVHTAPVKYSSSRMDESTAIVTKAWFEVKVMGMKKVLFLRLGFSTVASATIRRSEWDGPSTSSRKSGFFSALMSTRLSKELQSAEKPTKVEINSAIYHAGPPVPARAPKMLSLVDTKEMVRGPEDQPGYWVVTGAKLCVEGGRISIKAKYSLLTILSEESLL >VigunL014400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:251405:251650:-1 gene:VigunL014400.v1.2 transcript:VigunL014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPIDVLETIPWDGCKAKQIASAPRTEDCVGCKRCESACLTDFLSVRVYLWHETTRSMGLAY >Vigun10g051100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7988553:7990375:1 gene:Vigun10g051100.v1.2 transcript:Vigun10g051100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQCWTKRKYSLSSTLTSPTNPSYGDSWEEQAFAEDAANSLGGCVWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQQPSSPHNEILCHELKTQLNKPVQSPFSSSLDDCLYPSVCGLPYRKAKPNSYHDFVASPSSPSHSKPLLAPSVNSGNPREETMIPFYDSSVLHKISPVSNSSESWPSLAEDHRLYSCKFHPQADSKKPSKGIMDSRCRGDDIDGDMSLNSVVCSTHPSLQFESTKEADHISCKKRKTDASSSPFSPKSSSVDRHHVQTKMFEFSPSSMEELDLELRLGNRSKV >Vigun10g051100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:7988478:7990375:1 gene:Vigun10g051100.v1.2 transcript:Vigun10g051100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHRRDRARLKQQPSSPHNEILCHELKTQLNKPVQSPFSSSLDDCLYPSVCGLPYRKAKPNSYHDFVASPSSPSHSKPLLAPSVNSGNPREETMIPFYDSSVLHKISPVSNSSESWPSLAEDHRLYSCKFHPQADSKKPSKGIMDSRCRGDDIDGDMSLNSVVCSTHPSLQFESTKEADHISCKKRKTDASSSPFSPKSSSVDRHHVQTKMFEFSPSSMEELDLELRLGNRSKV >Vigun11g088000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:26310480:26311278:-1 gene:Vigun11g088000.v1.2 transcript:Vigun11g088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVVVVNGGDGNEVEEGLVLHSSPIFLFLVIIASLSIISIIIFACIKKNKSTKRNRGSTGCGGGGCGDGGGGCGGCGGCGG >Vigun03g008800.1.v1.2 pep primary_assembly:ASM411807v1:3:598110:625610:1 gene:Vigun03g008800.v1.2 transcript:Vigun03g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPIECVYVTEECVREWRSGNPVLKVSQAVPMLRFLYELCWTMVRGELPFPKCKVALDSVIFSEQASNDKIASNFADIVTQMAQDHTMPGDSRSRLIKLARWLVESEMVPVRLLQERCEEEFLGEAELIKIKAQELKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRDSEATTQKSSAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDDDVFIELIPIFPKSHASQILGFKFQYYQRMEVGNSVPFGLYRLTALLVKQDFIDLDSIYAHLLPRDDEAFEHYNTFSSKRLDEANKIGRINLAATGKDLMDDEKQGDVSIDLFAALDLETEATEERTTELQTSQTLGLLTGFLSVDDWYHAHLLFDRLSPLNAVEHIQICDSLFRLIEKSICSAYDVVRQTHLQNPGSSSGGTDVMDVDNSSGYNSFIDLPKELFQMLSCTGPYLYRDTVLLQKVCRVLRGYYLSALELVSRGNGALTPQLHVPGNPNLHLKEARLRVEDALGACVLPSLQLIPANPAVGQEIWELMSLLPYEVRYRLYGEWEKDDERIPMLLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLALGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQYTENLTEEQLDAMAGSDTLRYQATSFGVTRNNKALIKSTSRLRDALLPKDEPKLAIPLLLLLAQHRSLAVVNADAPYIKMVSEQFDRCHGTLLQYVEFLGSAVTPSSNYGILIPSLNDLVHLYHLDPEVAFLIYRPIMRLFKSMRDPDIYWPLDDKHAASDTSSNFESDPVDNSGSMVLNLGSAQNPISWSYLLDTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPKNRYESEIGKLHANLKSLEELSDNSSSAITKRKKEKERIQESLDRLISELHKHEENVASVHRRLSHEKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESSEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLELKPAPAVTKSSAGNSATVHSGMNLNVSQTESASGKHVDSGNTAKDQVIRTKTADGKSERTESMTVTKSDSGHTKVKSGSMINGLDAQTSSTLSIQSGVSKSMENSKQVEESINRPSDEHGTRTAESRASGKRSVPTGSLSKPSKQDPVKEDSRSGKPVGRTSGSLSSDKDLQTHALEGRHSGTTNVTSLVSANGNTITGSTKGSNPPVRISLDGPGNESKAEIGVAKSSDIRASVVKDEGNDTTDFTRGSSSRVVHSPRHENTGVTSKSNEKVQKRASSAEEPDRLGKRRKGDVEARDFESEVRFSERDKLMDPRFADDKLGPEEHGLYRAGDKSLERPKDKGNERYERDHRERLDRMDKSRGDDSVAEKPRDRSIERYGRERSVERMQERGSERSFNRLPEKAKDERSKDDRNKLRYSDASVEKSHADDRFHGQNLPPPPPLPPNMVPQSLGAGRRDEDADRRYGATRHSQRLSPRHEEKERRRSEETVVSQDDSKRRKEDDFRERKREEIKVEEREREREKANVLKEDLDLNAASKRRKLKREHLATGEPGEYSPVAPPPPPPGIGMSLGYDGRDRGDRKGPVIQHPNYIDEPNIRIHGKEVASKLNRRDSDPLYDREWDDEKRQRADQKRRHRK >Vigun09g147700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:31127578:31128838:1 gene:Vigun09g147700.v1.2 transcript:Vigun09g147700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLITNLPARDLIRTHPGPTKNLVLKAQRLAQQPAATKNKTPTLPRQLSSGTTSLTAWRHTQRCQAPGFRYQIRNNLTSPGGNKQPVRRHTSTQQYKHRISPGETNH >Vigun06g021400.1.v1.2 pep primary_assembly:ASM411807v1:6:9992924:9995843:1 gene:Vigun06g021400.v1.2 transcript:Vigun06g021400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATPEKRVSTSLNARSIGVGKETIVLCHGFGTDQSIWHKVIPLLAESYTLVLFDWPFSGTVTDKSLYEHGKYTSYEPYADDLMSVIEEMNLKCVTFVGHSMSAMIGCIASIKKPHLFNNLILVTASPRYINTDDYIGGFERSSIDEMVSTIELQYENWCSTYAPIAVDPNDGDSVHKVMRCLKSMGGEVAVSLAKSVFYSDYREMVEKVETPCTIIQSSNDMAVPVGIGQYLEKKMKGVATLEIIDMIGHFPQLTAHLKLVELVKAVVGI >Vigun05g236900.1.v1.2 pep primary_assembly:ASM411807v1:5:42996073:42997228:1 gene:Vigun05g236900.v1.2 transcript:Vigun05g236900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNMISTDPLVIGRVIGDVVDPFTPTVKITVSYNNKQVYNGHEFFPSSVTTKPKVQIRGGDMRSFFTLLMIDPDVPGPSDPYLREHLHWIVTDIPGTTDTTFGNEVVNYEIPRPNIGIHRFVFFLFKQKCRQAVMKIPSSRDLFNTRTFAEDNDLGLPVAAVFFNAQRETAARRR >Vigun03g106100.2.v1.2 pep primary_assembly:ASM411807v1:3:9429618:9435972:-1 gene:Vigun03g106100.v1.2 transcript:Vigun03g106100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFLRLWMMLCFASVSCSARQDPFAVRISCGARQNVQTRPTNTHWREDFGFTGGIVANATLPSYITPPLNTLRYFPLSEGPQNCYVINKIYSLKSGWTHQADQVFVEALVFLTDDSVSICFHSTGHGDPAILSIEILQIDDKAYYFVPRWSQGLILRTIKRLSCGFGQSKFDVDYEGDPWGGDRFWQRIKTFGEDSESVQPRSVETRIKHASHTPNFYPETLYQSALVSTNNQPDLTYTLEVDPNRNYSVWLHFAEIDYSVTTAGQRIFDIEINGVLAFQHVDIVELSGDIYTALVLNTTVSVDGRTLTIKLKPKEGNLAIINAIEIFEVIMAESKTVLEEVSALQALKKALRLPPRFGWNGDPCVPQQHPWSGVGCQLNKSRGSWVIDGLGLDNQGLKGFLPDDISKLPNLQILNLSRNDIHGAIPSSLGTINSLQVLDLSYNFFNGSIPESLGQLSSLQRLNLNSNLLSERVPATLGGRLLYRASFNFTDNAGLCGIPGLPTCGSHLSSGGKVGIGLGASFTFFLLTTCLVCWLKRRHNILRAQKIAARGAPYAKARTHFSRDIQLTRHHNNFGKTSTAAENGPILLS >Vigun03g106100.1.v1.2 pep primary_assembly:ASM411807v1:3:9429618:9435972:-1 gene:Vigun03g106100.v1.2 transcript:Vigun03g106100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFLRLWMMLCFASVSCSARQDPFAVRISCGARQNVQTRPTNTHWREDFGFTGGIVANATLPSYITPPLNTLRYFPLSEGPQNCYVINKVPKGHYSIRIFFGLVGQSRGTIVEPLFDISIEGTQIYSLKSGWTHQADQVFVEALVFLTDDSVSICFHSTGHGDPAILSIEILQIDDKAYYFVPRWSQGLILRTIKRLSCGFGQSKFDVDYEGDPWGGDRFWQRIKTFGEDSESVQPRSVETRIKHASHTPNFYPETLYQSALVSTNNQPDLTYTLEVDPNRNYSVWLHFAEIDYSVTTAGQRIFDIEINGVLAFQHVDIVELSGDIYTALVLNTTVSVDGRTLTIKLKPKEGNLAIINAIEIFEVIMAESKTVLEEVSALQALKKALRLPPRFGWNGDPCVPQQHPWSGVGCQLNKSRGSWVIDGLGLDNQGLKGFLPDDISKLPNLQILNLSRNDIHGAIPSSLGTINSLQVLDLSYNFFNGSIPESLGQLSSLQRLNLNSNLLSERVPATLGGRLLYRASFNFTDNAGLCGIPGLPTCGSHLSSGGKVGIGLGASFTFFLLTTCLVCWLKRRHNILRAQKIAARGAPYAKARTHFSRDIQLTRHHNNFGKTSTAAENGPILLS >Vigun03g371450.1.v1.2 pep primary_assembly:ASM411807v1:3:57425216:57427160:1 gene:Vigun03g371450.v1.2 transcript:Vigun03g371450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILTFANNPRNLSKFIRNVVVNKLKRNGFQGIGEFLVEETSNCSNVHSGGSTYSTFDQ >Vigun11g189000.1.v1.2 pep primary_assembly:ASM411807v1:11:38876555:38880070:-1 gene:Vigun11g189000.v1.2 transcript:Vigun11g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLLFLIILSLVALSFLSEVQSASFQIVNKCRHTIWPGLLSGATSPPLPTTGFTLQSGDSKTLKFPKSWSGRIWARTLCGQDADGKFSCGTADCGSGKVECVGGAKPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLIVAKDGTRGGCSATGCLVDLNGGCPAELRVARVNGSRRSVACRSACEAFGDPRFCCSDAYSTPDTCGPSPYSLFFKHACPRAYSYAYDDKTSTYTCASANYLIIFCPLPYTSQKVLGARKDGAQLPLVNKTMMYLSRLQSSGSSPFVVVHMQSIAYVASVLVTLFLFCPL >Vigun11g189000.2.v1.2 pep primary_assembly:ASM411807v1:11:38876551:38880093:-1 gene:Vigun11g189000.v1.2 transcript:Vigun11g189000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLLFLIILSLVALSFLSEVQSASFQIVNKCRHTIWPGLLSGATSPPLPTTGFTLQSGDSKTLKFPKSWSGRIWARTLCGQDADGKFSCGTADCGSGKVECVGGAKPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLIVAKDGTRGGCSATGCLVDLNGGCPAELRVARVNGSRRSVACRSACEAFGDPRFCCSDAYSTPDTCGPSPYSLFFKHACPRAYSYAYDDKTSTYTCASANYLIIFCPLPYTS >Vigun08g000350.1.v1.2 pep primary_assembly:ASM411807v1:8:64498:64958:-1 gene:Vigun08g000350.v1.2 transcript:Vigun08g000350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METIDHDAEHESGDEGGADASDEHDEDEYFAGEKESVLQVSSCFAKGAMVLIIVRSATSS >Vigun01g101800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26947853:26950416:-1 gene:Vigun01g101800.v1.2 transcript:Vigun01g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNVASIEPWMMFRPSVSDSLLAEFFNRDTDSITRALQKSLSAAAVFPEDNDFTPFVATPDTAAAAATPTVSSLSGSDQDSAPRRRGPQPTGKISKRKSRASKRSQTTFITADPANFRQMVQQVTGVRFGAAAPIAPSVLKPEPQRPAGNLARFSGGAGCLPTLDTSAFLLDHHQQVVGPTSAGSGPASGLSGPGQLPFAPPLAGLDSHAASFGSAGLDFDTFSSFPTLESWKVM >Vigun11g006300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:669162:671810:-1 gene:Vigun11g006300.v1.2 transcript:Vigun11g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEKTIKTLTQPFLSPFMSSSSMMAILLVILTILFSFFSSPSLAAESFQPKDNFLIDCGADTASTLPDGRHFKTDPQATSFLKANDEYKVNAPDAKLDYPIYKSARIFIQEAVYSFHLVQPGFHWVRLHFYPIKNSVFDLQKATFSVFTDTYVLLHSFNVNNTDKPILKEYLINATEPQFSLSFIPMKNSAAFINGIEVVSVPDELIFDTATSLFPVGEFSGITSYGLQPVFRVNNGGPLITSSNDTLARTWEPDEAFLTNKNLAKSISVATNAVKFPQHNPAISPLTAPQTVYASATEMADAGVNNPNFNVSWKFDVDPSFSYLVRLHFCDIVSKGLNQLYFNVYVNGKMAISNFDLSATTGALSTPYYKDIVVNATLMTEEGLSIQVGPASGGSASANAIVNGIEVIKMSNIVNSLDGEFGVDGRCVSGSSRGTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPLHAGDTSFMSSKNSMGKSNFFSSSMGLGRYFSFAELQEATKNFDSKNIIGVGGFGNVYLGVIDEGTQVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMPNGHFRDHLYGKNMPPLSWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKTTNILLDENLTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLLDKIIDPHLVGSINPESMKKFAEAAEKCLADHGVDRPSMGDVLWNLEYALQLQEAFTQGKAEDETKLSAAGAPPSPLPQAPATPTGDTPTQPPAPTSPAAVAVPRAEVNNPTPEVHSIDDHSGTAMFAQFNNLNGR >Vigun05g141100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:17486974:17487282:-1 gene:Vigun05g141100.v1.2 transcript:Vigun05g141100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRGGGCGSGGVSISMLPSSTMLVGLCRPRRRLRRRRGSSIRLGNKRRGFCLGSRPVVQWGVMAPLRMLKKIIMEITPKGHWIEAYCWSLPLLRPQLFPLC >Vigun06g069800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19961357:19962748:-1 gene:Vigun06g069800.v1.2 transcript:Vigun06g069800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRTTTAATSTRTASKTNTTTTTTTRRTRQQRRTVQLKKKKQQHTKSNKKQETELVPTVLPSSCSSISSQDSSKEVDMQGSSEVIDVSLSPCSTPKGQKFRIPEISTCPPAPKKPRVLSNCSLRRSPLSFFVPPDLEHFFLVALRDVSV >Vigun06g069800.2.v1.2 pep primary_assembly:ASM411807v1:6:19960245:19962996:-1 gene:Vigun06g069800.v1.2 transcript:Vigun06g069800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRTTTAATSTRTASKTNTTTTTTTRRTRQQRRTVQLKKKKQQHTKSNKKQETELVPTVLPSSCSSISSQDSSKEVDMQGSSEVIDVSLSPCSTPKGQKFRIPEISTCPPAPKKPRVLSNCSLRRGVAKW >Vigun04g066400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:7379430:7382853:1 gene:Vigun04g066400.v1.2 transcript:Vigun04g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRLNQLCVATILCIFLCHGSLHAELCAEADKQALLKLKEGFVHGMELLSSWNGHDCCKWKGVSCNNLTGHVTSLDLNFSNITLVEDLNYLLDDMPEMEFFNRSTELGRKIDSSICELQHLTFLDLTHNSLQGEIPKCIGSLGQLTHLKLAWNGLSSVPYTMSNLSNLEYLDLGKNYFVARDLDWLSHLSSLKYLDLSKNNFGALIDWPSSISKIPFLSELYLNDCGLHQVNPKSISRINSSTFLQILSLSGNHFDSSIMSWVLNVSKVLTVLDLSHNELDNGIIKSFNTLCHLKKLYLGFNKLSDQLSGYLPEFCSAKDLEVLNLDHNPFSNGTLPDFSLFSSLERLSLQNTSIVGPLLFGHSPRLKALDLSLNNLNGSFPVFEVTKFATLHFLDLSHNKLSGRLPYTIGKLSNLWFLSISSNELNGDISEEHLLNLSSLKILDVSKNSLSFNLSPDWVPPFQLVALYASFSILGPQFPLWLKHQRKLQVLQISNTYITDSFPEWLGDISSSLLYINVSHNKLNGVLPKSLSCIKTGLMSTWDFSFNNLSGLLPPFPPKVYEFFLSNNMFTGFVYSFCETSSLPVTYLDLSSNALTGPLPNCWQKFQNLEVLNLANNSLSGSVPESFGNLRSILSMHLNNNNFSGDIPSLALCKGSLRFIDFGDNNLEGTLQTWLEFEHLIVLRLRGNKIQGSIPQSLCNMLSLKVLDLSSNNITGEIPQCIGHISAMLDMEIRWESTFYGTSAPLVFHDSGIGLFFDKISLTLKGRNIELERISQNLIVIDISNNNLTGEIPESITSLMGLIILNLSRNNLIGLIPDNIGHLKNLESIDLSQNHLQGTIPITFLYIDFLGDINLSFNNLSGMIPVGKQFDTFDNSSYVGNIGLCGMPLVNQCLDVIPPRIRRVHKKEDQLLSFEFYLGLGLGFFVGFWSVCGTSIIKPSWRRAYFRWFINVTDWIYVTVVVFTIKMKRRF >Vigun11g196600.1.v1.2 pep primary_assembly:ASM411807v1:11:39584547:39588492:-1 gene:Vigun11g196600.v1.2 transcript:Vigun11g196600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLRQCYSLHRPTVPRLYRRFCSSPSETTAATISIDRSTLYNPPEHTHHPTSDSELVKHLKGIIKFRGGPISLGEYMSEVLTNPKAGYYINRDVFGAEGDFITSPEVSQMFGEMVGVWVMCLWQQMGQPQRVNLVELGPGRGTLMADLLRGASKFKNFIESLHVHLVECSPALQKLQHQNLKCVEEENASQDSDVRTARSLFGTPVSWHAMLEQIPSGLPTIIIAHEFFDALPVHQFQKASRGWCEKMVDVAEDSSFRFVLSPQPTPATLYLLKRLKWAAPEEFTELNQIEVCPQAMELTQTIVDRISSDGGGALIVDYGLNGVISDSLQAIRKHKFVNLLDNPGSADLSAYVDFASIRHSAQEASGEVCVHGPITQSQFLGSLGINFRVEALLQNCTEEQAEALRTGYWRLVGDGEAPFWEGPDEGVPIGMGTRYQAMAIVNKNHGVPVPFQ >Vigun03g122600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11490587:11492588:1 gene:Vigun03g122600.v1.2 transcript:Vigun03g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQYPSYPDSGDSSPRSREIDFENPPPWDDQQTQNYKAKFMCSYGGKIQPRTHDNQLSYVGGDTKILAVDRAIKFPAFLSKLAALCDATPQDLTFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRPNSKPVRMRLFLFTLSSNPNSSFSSDRDRFVDALNSAPIPPQPDPIKTPPVTPSNVDYLFGLDKAVAPPTLPPSFAAVKFHDPAPEPVAPQPEYQVRGGISVSDRVVGSDPSANSIEIQRQLQQEMQRLQIAENEYRRRSEDGFAGGYAAAGGDYYMQKMPEKVPPPNAPHPGGYWPEKQFSGEGFQTTVTTAPGGADQQVYVIPAPGTFYHAPVVRPPAAQGYYAVQRMGSEGFREQAVFGGVAQQKTPFSSAGPAQPVKASGYAEGYGVVRPTGVPDNAAYAQVAYDSASGRQVYYTAQGGVVHAPTQYQGVPPVVGSDIRPVGVSVGQDVKVVNKVNQGSV >Vigun01g205600.2.v1.2 pep primary_assembly:ASM411807v1:1:38130963:38132605:-1 gene:Vigun01g205600.v1.2 transcript:Vigun01g205600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIHTRHLFNLLLCIIIYILLFFSIYSLLVVINTPTTPTLPSNFHLIQTIPTYFSSPFLKLSAFFSFHSQLHFSHMKLAASLLLFTFALVSTTITASDPDPLQDLCVADLASGIKVNGFPCKDGAVNASDFFSDILAKPGATNNTYGSLVTGANVQKIPGLNTLGVSMSRVDYAPGGINPPHTHPRATEIVFVLEGTLDVGFITTANVLISKTITKGEIFVFPKGLVHFQKNNAKEPASALAAFNSQLPGTQSIALTLFTATPPVPDNVLTQAFQIGTKEVEKIKTRLAPKK >Vigun11g190201.1.v1.2 pep primary_assembly:ASM411807v1:11:38974135:38977808:1 gene:Vigun11g190201.v1.2 transcript:Vigun11g190201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEREFQIAVLLTFVIFWTSMFVLTHCPFQDFLDHSLSTLQFNHINAITITITSPLFLLHHPLSHTTTTTTFASTMVHSNPPLMHHYGELVRNSGEPKKAKTFNLQNHPACDLPLIDLAALTSSNETEKKACTAAICKAASEWGFFQVVNHGISHELLRKMRSEQVKLFEVPFEKKITCGLLNNPYRWGTPTATRSSHFSWSEAFHIPLTMISEAASWGEFTSLREAINEFAAAMLEVSRLLASILAQNLGYPKDAVEKLCDAGTCFLRLNHYPCCPKSKDEIFGLVPHTDSDFLTILYQDQVGGLQLMKDSKWVAVKPNPDALIVNIGDLFQAWSNDEYKSVEHKVVANDKMERYSVAYFLCPSNNTVINCCKGPSVYRKFTFGEYRHQIQEDVKKIGHKIGLSRFKL >Vigun05g215800.1.v1.2 pep primary_assembly:ASM411807v1:5:40765482:40768624:-1 gene:Vigun05g215800.v1.2 transcript:Vigun05g215800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRSRCKLVKNFSISVSGSLGICMHSTKSVLESLENRVFKVGDPRIPVVPILNQWVEEGREVTYSQFHDIVRRLSQFRRFTHALQVMEWMCNERNYDLSPGGIAIQIDLISKVHGLEQADRYFRSIPDAKIGFKIYAALLRCYVEHKSLEEAEAVMKKIKKFPPLDITECYNLMLKLYGQMGKYEKLDRLVREMKEKDLCNARTYMFQLNAYVAATDIEGMEKLLMQMEADPFATVDWYTYCNAANAYRKVHNIEKVTAMLKKSEHLAKGKNRRLAYESIQTIYAVIGNKDEVYRLWNVCKSLKNSCNNSSYICMLSSLVKLDDIEGAEKILEEWESQYANFDSRIPNLMISAYCKWGQFDKAEAYIKRLLDGGKPLDGRSWDRLACGYRADNDMEKAVQAMKKAVSANLAGRKPDHVTLVACVKYLKEKGDLDLALEILKSCIEKKHISVTSYDGLVSYVHNVNPDTEPLDLIKGDYQKYTSESTQVLDGEN >Vigun04g042000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3663744:3667202:1 gene:Vigun04g042000.v1.2 transcript:Vigun04g042000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDLFQKPSSLRKSNRFETAMWAAKLVLMSMGVVSTLVLFKVAVVPYTFHLLLSTLPQFWVSVRSWLTLPFLYIIVNFIIVIIAASSKTNTHPKHFSDSPALSDPKHSTTTISDTTSHPTEPESQTDEPKEEEKEVEEEQEQEQLEQKQEQEQKVVEETDLSYGKFMLHPLLENCTNDYLLPDSDGDDTLEATWKAIMEGQGKTMRPQLKKSDTWGARIAKAEPFRRNGEGDDDDDPVAWAQKELKKSDTFNDRASLRREKSMSLEELNRRAEAFIKKFNHQMKLQRLESIQRLKEMVNRRV >Vigun10g075400.2.v1.2 pep primary_assembly:ASM411807v1:10:20452165:20456649:-1 gene:Vigun10g075400.v1.2 transcript:Vigun10g075400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGISRSRRKSSSSSRKEKSNSRRHESPSPDRYRYRRSQERRHSPSPRRKSTERPSQSRRSSSQNRSGRRHSQEGGIRSYRAAVDRCIETTSVSVSDTINKERTKTASRSPSRSRSQSSTRSRRRVSKSPGKTPSSSDKAQDYYRSRRSQERRTSPCQRRKSTESGTSNLANATLLLPDEQTLEENEIETKSLSLSAAEELSKVPKIGEIYNEIEADVKNIEKRLKFLSQKLQMLSINEANEIADAAYLLRLLRKPNHEIEMAGKMAHKVHYALTQKVRMGLL >Vigun10g075400.1.v1.2 pep primary_assembly:ASM411807v1:10:20452165:20456649:-1 gene:Vigun10g075400.v1.2 transcript:Vigun10g075400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGISRSRRKSSSSSRKEKSNSRRHESPSPDRYRYRRSQERRHSPSPRRKSTERPSQSRRSSSQNRSGRRHSQEGGIRSYRAAVDRCIETTSVSVSDTINKERTKTASRSPSRSRSQSSTRSRRRVSKSPGKTPSSSDKAQDYYRSRRSQERRTSPCQRRKSTESGTSNLANATLLLPDEQTLEENEIETKSLSLSAAEELSKVPKIGEIYNEIEADVKNIEKRLKFLSQKLQMLSINEANEIADAAYLLRLLRKPNHEIEMAGKMAHKGALLMLQAEMLSNKGKELLEQSKNKLKFTML >Vigun10g075400.3.v1.2 pep primary_assembly:ASM411807v1:10:20448989:20456649:-1 gene:Vigun10g075400.v1.2 transcript:Vigun10g075400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGISRSRRKSSSSSRKEKSNSRRHESPSPDRYRYRRSQERRHSPSPRRKSTERPSQSRRSSSQNRSGRRHSQEGGIRSYRAAVDRCIETTSVSVSDTINKERTKTASRSPSRSRSQSSTRSRRRVSKSPGKTPSSSDKAQDYYRSRRSQERRTSPCQRRKSTESGTSNLANATLLLPDEQTLEENEIETKSLSLSAAEELSKVPKIGEIYNEIEADVKNIEKRLKFLSQKLQMLSINEANEIADAAYLLRLLRKPNHEIEMAGKMAHKVHYALTQKPKCTFKPHFKCKHT >Vigun11g009300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1081818:1082993:1 gene:Vigun11g009300.v1.2 transcript:Vigun11g009300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNVQQENEGENLTLSLQKENSWAQPYPLYLFQNFWCPKIHIEAIHRFQQHFQAKDNDVIVASFPKSGTIWMKALAFAISSHQSFSSFENHPLLTSNPHELVPFLEFTFGGVDHDIQNQILEPRIFGTHTPFPSLPVSIKDSNCKIIYICRNPFDNFVSAWTYFNKVRPISLPAVTKEEAFEMYCNGIVDYGPWWSHMLGFWNESVAKPNKVMFLKYEDLKDNTGFHVRKIGEFLGCPFTEEEEKNGKVESIIKLCSFEKMKDLEVNKSGVVGRGRIEKKNFFRKGEKGDWVNYFSPPMVEKLSKIVAEKLSDLGLSFKNIS >Vigun05g121200.1.v1.2 pep primary_assembly:ASM411807v1:5:13320675:13323387:-1 gene:Vigun05g121200.v1.2 transcript:Vigun05g121200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLVQIPVFLLWFVIFCMFEVSQGGSTRHYHFEIRHQNVTRLCHTKSMVTVNGQFPGPRIVAREGDRLLIKVTNHVPDNITIHWHGIRQLRSGWADGPAYVTQCPIQSGQSYVYNYTISGQRGTLFWHAHISWLRASLYGPLIILPKLNSQYPFPKPHKEVPILFGEWWNSDPEAVIAQALQTGGGPNVSDAYTINGLPGPLYNCSHKDTFKLKVKPGKTYLLRFINAALNDELFFSIANHTVTVVEADAVYVKPFTTNTLLIAPGQTTNVLLKTKSHYPNATFLMTARPYATGLGTFDNSTVAAILEYKTPPNTHHSSASLKNLPLLKPLLPALNDTSFATKFSNKLRSLASPQFPANVPQKVDKQFFFTVGLGTTPCQKNQTCQGPTNATKFSASVNNVSYIQPTTALLQAHFFGQSNGVYTPDFPTKPLLPFNYTGTPPNNTMVSNGTKVLVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPNKDPKSFNLVDPVERNTVGVPSGGWVAIRFLADNPGAWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPADLPKC >Vigun11g144300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35357989:35359223:1 gene:Vigun11g144300.v1.2 transcript:Vigun11g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQATLFTLSLFLLLCSTSLAISPAPAAAPKAPAAKTPHTPKASAPSPKPLVPTLPQSPDSPDSVPDDITRILKKAKMFSTLIRLLKTTEIMNNINSQLITAKSGGITILAPDDSAFSNLKAGFLNSLNEGQKIELVQFHILPEFVSSSNFDSLSNPVQTVAGKDPVRLPLNVNALGNSVNISTGVVNATVLGVVYSDNKLGIYHVDKVLLPLDFFATNKAPALAPTSLAKAPKAAKENSSDDDQEETNQDHNKSGALSLSSVSGTKLMSLGIALVAMATMWS >Vigun10g073301.1.v1.2 pep primary_assembly:ASM411807v1:10:18676757:18677858:1 gene:Vigun10g073301.v1.2 transcript:Vigun10g073301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERHDVNYQKDFKHISQKIKKLEDKLEKDSSKIEALMKKGEESTDLIPKLEDNILKLQKLLLDEEKILDEITKSSKGYQ >Vigun06g120000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:24780936:24782439:1 gene:Vigun06g120000.v1.2 transcript:Vigun06g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAKTHQVLEINLISAQGLKPPSSPRRSLQTYAVTWIHPDTKLRTRVDKLGGHNPTWNDKFLFRVSPEFLAGDTSSICIAIYAVGTFRDHLVGTLRFLISNILSRDVDMSTPCFSAFQLRRPSGRFHGVMNIGAMVMDGSGFPALEKISAIGYRDLMGENIQQRPRKTAEDKSKEEEALSSESCENSCTEEGEGESSTASSSSSPRTVTLRDWNGVRELTGNKGLTLSASGFLCCLVSQGSVDEQFSPSNQ >Vigun04g142950.1.v1.2 pep primary_assembly:ASM411807v1:4:35555860:35569538:-1 gene:Vigun04g142950.v1.2 transcript:Vigun04g142950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVCVVLQVVNGEHEMRCVPKEREALLQFKAAIDDRYGMLSSWTTPDCCRWEGIRCSNLTGHILSLDLHGEYWNFPPRYMSGEIHKSLMELPQLQYLNLSSNYFPHTPIPDFLASLTNLRYLDLSSSQFAGKIPSELASLSRLKYLNLSSNYYLEGSIPHQLGNLSQLQYLDLSGNSFEGYIPSQFGNLSNLQSIYNLDSSPSSLRMIAKLPKLRELILINCGLSDHFLISFNPSNFNFSISLSVLHLSQNSFTEPMIFHWVSNTTSNLVELDLSSNLLEDLKSFMNICTLHSLDMSGNNITEDLSLVLRDLSNGCAKYSLQELNLAVNQITGSVYDLSAFSSLKTLDLSRNQLSGKIPEGIRLPSQLQQLYSLRELYLRQNKFNGTLPDFSMFSKLEMLDLSHNQLKDGELHLMSNSLKGVITDSHFYNMSKLQTLKLSDNSLALEVSQDWHPPFQLYTIELRSCKLDIVPKWFWTKLALQEWIRVNISCNNLQGMIPNFPLENHYYFLSLASNQFGGPVSPFLLGSSNLNLSNNKFTDSLWFLCSDDSLAYLDMSHNKFVGKIPTSMGSLLEIQIPSSLKNCTKLVGSIPTWIGSKLSELQFLLIGSNHFYGTQMTYLRDYQGLWYSVHNSYSRGGIPSDIGKLASLEFLDLSRNQLVGLIPSSLAQIDRLSALDLSHNHLSGKISTGTQLQSFDASKYEDNANLCGSPLKKLCIDKVSTQEPFVKLQGGFWGIFGSILMIPSWRHAYFKFLSNLGDTLYVMTVKKSFKH >Vigun06g146900.1.v1.2 pep primary_assembly:ASM411807v1:6:27209842:27211789:-1 gene:Vigun06g146900.v1.2 transcript:Vigun06g146900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICFGAALSGLMAGYVLGMAGGVTLMDSFLKEFYPEVYQNHNIINDQYCKFDSPILTWFISSLYLAAMIGSLLASTFTRLYGRLETRFFGNLFFHFGIMVWCFPQQQSSMLMVGCVLLGFGIGWTFQSVPIYISEFAPYKYRGALNMLFQLTITIGIFVANVFNYIFSRMESGEEWFVNLLYYATFPAVMTTLSLMFPLIFRLDTPISLIVRGFNKRAKITLIRIRGTTNVKEEFEDLLVASESSKVVKHPWVSLLKRQYRPQLTFAIVIPFFQQLTGMNVIVYNAPVLFKTIGFEANASLLFAMITGCCNVIATLVSIFTVDKFGRRSLFLKGVIQMFICQIVIAIAIACKFGFDGNPGMLPKWYVIVVVCGICVYVTGIAWSWGPLGCLVPSEIFPLEVRSSAQSITVSMNMIFTFVVIQNFTNIFCHMKLGLFIFFACFIIVMSTFIDSLLPETKEVHIEKMHVVWQSHPYWKKFVKQTDVTTIRNEY >Vigun07g060100.2.v1.2 pep primary_assembly:ASM411807v1:7:6779140:6785041:1 gene:Vigun07g060100.v1.2 transcript:Vigun07g060100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSPTTLFVFGDSYADTGNLKLSEPCSWKDPYGVTFPEKPSGRFSNGRVLTDYIARYLGLRSPGPYKLWKLMKHQLHNGINFAYGGSGVFDTLVNRPNMTIQISYLEQLIKEGVYTTSSLNKSVAYLSICGNDYTTFMIKDGSTKNYPSFIASMVNQTAMNMRRIHSLGVRKVAVGGIPPLGCLPPFTGLYSYKQCNNTLNNFIAIHNHQLNQAVTKLNQQVKDQSTFLVFDIYESFMSVLNNPSKSNIKEKFKACCDGISIRYICGSVENNVKKYKICDHPESTFFWDGLHPTQAGWLAVYQQLQVTRTFQQIRH >Vigun07g060100.1.v1.2 pep primary_assembly:ASM411807v1:7:6778861:6785040:1 gene:Vigun07g060100.v1.2 transcript:Vigun07g060100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGTQKQLCSVLCLSLTLLLLSGEAQSKRIMQYLSPTTLFVFGDSYADTGNLKLSEPCSWKDPYGVTFPEKPSGRFSNGRVLTDYIARYLGLRSPGPYKLWKLMKHQLHNGINFAYGGSGVFDTLVNRPNMTIQISYLEQLIKEGVYTTSSLNKSVAYLSICGNDYTTFMIKDGSTKNYPSFIASMVNQTAMNMRRIHSLGVRKVAVGGIPPLGCLPPFTGLYSYKQCNNTLNNFIAIHNHQLNQAVTKLNQQVKDQSTFLVFDIYESFMSVLNNPSKSNIKEKFKACCDGISIRYICGSVENNVKKYKICDHPESTFFWDGLHPTQAGWLAVYQQLQVTRTFQQIRH >Vigun07g060100.4.v1.2 pep primary_assembly:ASM411807v1:7:6778861:6785040:1 gene:Vigun07g060100.v1.2 transcript:Vigun07g060100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSPTTLFVFGDSYADTGNLKLSEPCSWKDPYGVTFPEKPSGRFSNGRVLTDYIARYLGLRSPGPYKLWKLMKHQLHNGINFAYGGSGVFDTLVNRPNMTIQISYLEQLIKEGVYTTSSLNKSVAYLSICGNDYTTFMIKDGSTKNYPSFIASMVNQTAMNMRRIHSLGVRKVAVGGIPPLGCLPPFTGLYSYKQCNNTLNNFIAIHNHQLNQAVTKLNQQVKDQSTFLVFDIYESFMSVLNNPSKSNIKEKFKACCDGISIRYICGSVENNVKKYKICDHPESTFFWDGLHPTQAGWLAVYQQLQVTRTFQQIRH >Vigun07g060100.3.v1.2 pep primary_assembly:ASM411807v1:7:6779247:6785041:1 gene:Vigun07g060100.v1.2 transcript:Vigun07g060100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSPTTLFVFGDSYADTGNLKLSEPCSWKDPYGVTFPEKPSGRFSNGRVLTDYIARYLGLRSPGPYKLWKLMKHQLHNGINFAYGGSGVFDTLVNRPNMTIQISYLEQLIKEGVYTTSSLNKSVAYLSICGNDYTTFMIKDGSTKNYPSFIASMVNQTAMNMRRIHSLGVRKVAVGGIPPLGCLPPFTGLYSYKQCNNTLNNFIAIHNHQLNQAVTKLNQQVKDQSTFLVFDIYESFMSVLNNPSKSNIKEKFKACCDGISIRYICGSVENNVKKYKICDHPESTFFWDGLHPTQAGWLAVYQQLQVTRTFQQIRH >Vigun05g218100.2.v1.2 pep primary_assembly:ASM411807v1:5:41012011:41017817:1 gene:Vigun05g218100.v1.2 transcript:Vigun05g218100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYDTNPFAEDEVNPFSDGPANRKASGQSNIGGGLFFNTNSRSASTKLSPLSPEPYDRSATVDIPLDSSEELKAKEKELQAREAELKRREQELKRKEDAIARAGIVIEENNWPPIFPVIHHDIAKEIPIHLQSIQYVAFTTWLGLIVCLLWNIVAVTVAWIKGEGVSLWFLAIIYFISGVPASYVMWYRPLYRATRTDSALKFSWFFLTYSVHVLFCVFAAIAPPIIFKGKSLTGILPAFEVMSENTVLGCSITDILLHWVWIFRR >Vigun05g218100.1.v1.2 pep primary_assembly:ASM411807v1:5:41012011:41017817:1 gene:Vigun05g218100.v1.2 transcript:Vigun05g218100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYDTNPFAEDEVNPFSDGPANRKASGQSNIGGGLFFNTNSRSASTKLSPLSPEPYDRSATVDIPLDSSEELKAKEKELQAREAELKRREQELKRKEDAIARAGIVIEENNWPPIFPVIHHDIAKEIPIHLQSIQYVAFTTWLGLIVCLLWNIVAVTVAWIKGEGVSLWFLAIIYFISGVPASYVMWYRPLYRATRTDSALKFSWFFLTYSVHVLFCVFAAIAPPIIFKGKSLTGILPAFEVMSENTVLGIFYFIGFGFFAVESLLSIWVIQQVYMYFRGSGKAAEMRREAAKGAMRAAL >Vigun01g023200.3.v1.2 pep primary_assembly:ASM411807v1:1:2481525:2486739:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKGCATENVEMDDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun01g023200.4.v1.2 pep primary_assembly:ASM411807v1:1:2480114:2486719:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun01g023200.5.v1.2 pep primary_assembly:ASM411807v1:1:2481642:2486719:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKGCATENVEMDDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun01g023200.6.v1.2 pep primary_assembly:ASM411807v1:1:2480114:2486719:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun01g023200.1.v1.2 pep primary_assembly:ASM411807v1:1:2477138:2486740:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKGCATENVEMDDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun01g023200.2.v1.2 pep primary_assembly:ASM411807v1:1:2480114:2486719:1 gene:Vigun01g023200.v1.2 transcript:Vigun01g023200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSSSNEPKNNNRNLPVSVFFKDARHVFKMDSIAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEENTIEKINKEKNLTENIKAKSKEVRPEDQLVQDLEKGASKEHNETSTESSSSRSASSELVAKGCATENVEMDDCNTGVSKSTSASSSSNKSVSKAGRKKRHVASASTALLFGTILGLLQAATLIFAAKPLLAAMGLKPDSPMLNPAVKYLRLRSLGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVALDPILIFYCKMGIKGAAISHVLSQYVMALALLLILTRKVDLVPPSIKDLQIFRFLKNGGLLLARVVAVTFCQTLAASLAARFGPIPMAAFQTCLQVWLTSSLLADGLAVAVQAILACSFAEKDYEKVIAAATRTLQMSFVLGVGLSLAVGVGLYFGAGIFSKSVLVVHLIRIGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVTVSLASVASLFLLSKSKGFVGLWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGRSMMS >Vigun10g184250.1.v1.2 pep primary_assembly:ASM411807v1:10:40093124:40095226:-1 gene:Vigun10g184250.v1.2 transcript:Vigun10g184250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQGGKAKPLKKPKSDKKDYDEVDMANIQKKKEEEKALKELKAKAQQKGSFGGSGLKKSGKK >Vigun06g055200.1.v1.2 pep primary_assembly:ASM411807v1:6:18184067:18188874:1 gene:Vigun06g055200.v1.2 transcript:Vigun06g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVPKETIEVIAQSIGITNLSPDVALALAPDLEYRIREIMQESIKCMRHSMRTFLSTEDVDTALALRNLEPIYGFTSNDPPRFKRAAGQKDLFYIDDKDVDIKDLIEAPLPKAPLDTSITSHWLAIEGVQPAIPENAPVEGSPEIRKSEYKEDGLSVDVKLPVKHLITRELQLYYEKITDLTLNKSGSIPFRRALVSLATDSGLHPLVPYFTCFVADEVARNLHNLAVLFALMRLVRSLVQNSQIHIELYLHQLMPPIITCLVAKRMGSRLSDDHWELRNFSANLVASICQRFGHIYHNLQPRVTKTFLHSFLDPTKALPQHYGAIKGIEALGSRVIHLLILPNLEPYLHLLEPEMQPDKQKNEIKRHEAWQVYGALLGAVGKCVHEKVKNFSNLFSPPTRLTSRGSGKAIIPMSGKRKASADNLMQQQPPMKKLSTDGHGGVIPMNSMSVDMQGSTGGFSTMMGAPAMSMTRQISTDKAPGKEVVDQRRKVSATLAQAWKDDIDAGNLVSSVVELFGERVLPFVPNPEAFMFL >Vigun06g035400.1.v1.2 pep primary_assembly:ASM411807v1:6:14884569:14887013:-1 gene:Vigun06g035400.v1.2 transcript:Vigun06g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLINAAVGQTPSPHQPNQLSHHPSRLHQKMCSKNGDFSVTVTNEEVVAAMLPMQEHWLPLSNLDLLLPPLDVGVFFCYNNPILTPTTMEDCATNKITFGTMVGSLKKALAQTLISYYVLAGEVVLNNMGEPEVLCNNRGVDFVEAETDIELKNLNFHNPDQSIEGKFVPKKKNGVLAVQATSLKCGGIVVACSFDHRIADGYSANMFLTSWAEMAKPTKPTTMSPCFHRSLFTPRRPCSVPHSLHQMYTPISKLTPAQTATTPLFSRIYYVTAEKLDSMQALASGNGGKRTKLESVCALLWKMVARAASREGRGERTVAKVGVVVDGRRRLWKREKAKEAMMGSYFGNVLSIPFGEKVVEELMEKPLGFVADAVHELLAEATTEEHFLGLVDWVEGHRPEGGVARIYCDGSEGGKFVVSCGQRFLEGKMDFGWGKVVFGSFHFPWGGEAGYVMPMKSPLGNGDWVVYMHLTKEQLEVIECEASDVFRPITWDYLNPRPLS >Vigun03g445400.1.v1.2 pep primary_assembly:ASM411807v1:3:64723826:64726359:-1 gene:Vigun03g445400.v1.2 transcript:Vigun03g445400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMPLGLLFSSLASSSFIINPRIFGSFRISSPMSWACKKCTFLNPPSQKWECEICLSSASPRGPSSSSSSPPKWSCKACTFLNPYNNSSCEVCATRCPVLSLSNLVDLTDGTDHDSSVGSVFFPLRPCNKRKAIDEVHVVEDDDDDVVDTSKKVKPFNKDTRTTGDENAESGKAFSTFKVLSYNVWFREDLELQKRMKAIGDLVQLHSPDFICFQEVTPNIYDIFKQSTWWSVYRCSVSSEMAYSRPYFCMVLSKLPVKSFRNKPFSNSVMGRELCIAEVEAENGKSLVIATSHLESPCPGPPKWDQMFSKERVVQANEALDLLNNEANVVFGGDMNWDDKGDGQYPLQDGWIDAWAHLRPDESGWTYDTKTNQMLTGNRTLQKRLDRFICHLTDFKIISVDMIGMEVIPGLSYNKEKKVRNEIKQLVLPVLPSDHYGLLLTISSV >Vigun06g146800.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203593:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun06g146800.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203032:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun06g146800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203660:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun06g146800.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203660:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun06g146800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203660:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun06g146800.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27201084:27203032:-1 gene:Vigun06g146800.v1.2 transcript:Vigun06g146800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVIARSISLHEERKKRSQRTSNGIPLLEDLIISSSGSDQYLALVCAANTVSNKLHSGNLGSNTSSKLAIEPASSETIKKLKPVSARLVEGERKKIEREKKNRSKSWHQFPEHIVHSSGFDDKYDSSSKGSARSRSFHTVEEYDDIVDKIWSSKCHTDEQSEFNDEEDSCSGTNTTQHNEEKDCEIKRMQVPCLIKNHSLKERKIVKEINNLSAASESSTVAPTPIHRSSIIEKGNKRKAVAKRLESLKIPSSVEYPAIASLREWLPKGGIYSPGSYVTPKFGSYSVMDIGNENESSEDSIFSPELVSAFEQCMQKLEAEEENILKQIVEDVDEESETSSTKKEHHA >Vigun07g103200.2.v1.2 pep primary_assembly:ASM411807v1:7:18517675:18520517:1 gene:Vigun07g103200.v1.2 transcript:Vigun07g103200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQQKVPTIVKLTPRHCIMTVPWNARTQLFLGNMFFELILHIYEVSSKVGFSVDDKEIGYRAATSKHIYLLANKSALHFFSIKIDLNYARMVMAELVGTFILMFCVCGISASTEVQKGAVGLAEYAATAGLTVVVIIFSIGPISCAHVNPAITIAFATMGEFPWLKVPVYIIAQTVGSMSATYIGSLAYGIKSDTIMTMPLQGCTSAFWVELIATFIIMFLVAALTSQSQSVGHLSGFVAGIAIGLGVLITGPVSGGSMNPARSLGPAILSWKFKNIWIYMVAPSVGAVAGAAMFRFLRLRDQHSTTLFSPNISDAGRPIPFCSS >Vigun07g103200.3.v1.2 pep primary_assembly:ASM411807v1:7:18517675:18520517:1 gene:Vigun07g103200.v1.2 transcript:Vigun07g103200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQQKVPTIVKLTPRHCIMTVPWNARTQLFLGNMFFELILHIYEVSSKVGFSVDDKEIGYRAATSKHIYLLANKSALHFFSIKIDLNYARMVPVYIIAQTVGSMSATYIGSLAYGIKSDTIMTMPLQGCTSAFWVELIATFIIMFLVAALTSQSQSVGHLSGFVAGIAIGLGVLITGPVSGGSMNPARSLGPAILSWKFKNIWIYMVAPSVGAVAGAAMFRFLRLRDQHSTTLFSPNISDAGRPIPFCSS >Vigun03g274500.1.v1.2 pep primary_assembly:ASM411807v1:3:45033865:45034190:-1 gene:Vigun03g274500.v1.2 transcript:Vigun03g274500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKLQGCIGSVVVKKIEDEVKDERSSDSIQEDEKWELRNSDDGLLVTVWDGLVCDIWGNWSAAAEWRMGVLVC >Vigun07g118700.3.v1.2 pep primary_assembly:ASM411807v1:7:22034781:22036388:1 gene:Vigun07g118700.v1.2 transcript:Vigun07g118700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEKQVVVIGIDDSEQSTYALKWTLDHFFPSPIFKLVLVHSRPTATSAVGLAGPDSDLRKIAARVVDSAKQLCIEKSVEDVTAEVVEGDPRNVLCDAVEKYRAAILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKIKH >Vigun07g118700.2.v1.2 pep primary_assembly:ASM411807v1:7:22034781:22036388:1 gene:Vigun07g118700.v1.2 transcript:Vigun07g118700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEKQVVVIGIDDSEQSTYALKWTLDHFFPSPIFKLVLVHSRPTATSAVGLAGPGAAEILPIVDSDLRKIAARVVDSAKQLCIEKSVEDVTAEVVEGDPRNVLCDAVEKYRAAILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKIKH >Vigun07g118700.1.v1.2 pep primary_assembly:ASM411807v1:7:22034781:22036388:1 gene:Vigun07g118700.v1.2 transcript:Vigun07g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEKQVVVIGIDDSEQSTYALKWTLDHFFPSPIFKLVLVHSRPTATSAVGLAGPVYVGAAEILPIVDSDLRKIAARVVDSAKQLCIEKSVEDVTAEVVEGDPRNVLCDAVEKYRAAILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKIKH >Vigun11g092600.1.v1.2 pep primary_assembly:ASM411807v1:11:27460285:27462343:1 gene:Vigun11g092600.v1.2 transcript:Vigun11g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEFLWGGDIDGDVPKGNSDGFEGSSGVIGSGCGSSFSLVLDRERGELVEAPVKLERKGLSSERSTEALKNHSEAERRRRARINAHLDTLRSVIPGAMKMDKASLLGEVIRHLKELKRNAAQACEGLMIPKDNDEISVEEQEGGLNGFPYSIRASLCCEYKPGLLSDIRQALDSLHLMITRADIATLEGRMKNVFVIISCKEHNFEDASYRQFLAGSVHQALRSVLNRFSVSQDILGTRKRRRISIFSSSSLEDFL >Vigun01g198300.1.v1.2 pep primary_assembly:ASM411807v1:1:37475114:37490591:1 gene:Vigun01g198300.v1.2 transcript:Vigun01g198300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPSYIDVVVKSPLLNIEFSQALVNIHGAVSCKEKCGPFVYVTLVRQVEKHSGDRKTISLTTESGQFQFSNVIPGKYRLEVKHSSPESVTLEDNWCWEQNFIDVNVGAEDVEGILFVQKGYWVNVISTHNVDGYLTQPDGSIVNLKIQKGSQHICVEHPGVHEFSFVDSCIFFGSSSVKFNTSTQLPIRLTGEKYLLKGQINVQSGTLDALPEKIVVDIKHDGAGVIDQATATLKSHAKDQTDTAIFEYSVWANLGEKLTFVPWDSRNDGQKKLLFYPREHQVSVTDDNCQAYIPTFSCEVGAYIEGSVSPPLSGVHIRVFAAGDSSITTLKNGELVLETTTGTDGSYVAGPLHNDIGYNVEASKPGYHLKKVAPHSFTCQKLSQILVHIHHKDDAEEPIPSVLLSLSGDNGYRNNSVSGTGGTFQFDNLFPGMFYLRPVLKEYAFSPPAQAIELGAGESREVIFQATRVAYSATGVVTLLSGQPKGEVSVEARSESKGYFEETVTDSNGNYRLRGLQPDTVYVVKVARRDAVGSSNIERASPDSIAVKVGTEDIKGLDFIVFEEPEMTIISCHVEGNGTNELRKHLMVEIRSASDLNKIESVFPLPISNFFQVKGLSKGRHLLQLQSGLPQSSLKFESDIIEVDLEKNVQIHVGPLIYRIEDQLKQELTPAPVFPLIVGFLVVSLFISMPRLKDLYQATVDIPTPGLNAASRKDVKKPMLRKKTY >Vigun07g229800.1.v1.2 pep primary_assembly:ASM411807v1:7:35166395:35170311:-1 gene:Vigun07g229800.v1.2 transcript:Vigun07g229800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFPVFRSNPFSLSTTPLKPFKVSVKPPPPDFDYRREISEESRAAIAESHPELLDLADNGSLVLVQKKRFGPVPSWRTEFVEPEFIWLIGTSHVSKDSAMEVQRVVRALRPDNVVVELCRSRAGIMYVSDDEEPRKQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLAAFSSKISSDINRPFGDEFRAARKVSEEVGAQIVLGDRPIEITLQRAWKAMKWNEKLSLVLSIFRGIAFSSNISVNKLKEASSDDDKLQLYEQLSFSYPSLLSPLIHERDTYLAWSLKRSKAVNNSKRVVGVIGKGHMKGVIYALLCDTGNLRFRDLVGKDSSGDWISGLVKSLVRDTIIGIFLWALYEFINGGT >Vigun07g229800.2.v1.2 pep primary_assembly:ASM411807v1:7:35167201:35170311:-1 gene:Vigun07g229800.v1.2 transcript:Vigun07g229800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFPVFRSNPFSLSTTPLKPFKVSVKPPPPDFDYRREISEESRAAIAESHPELLDLADNGSLVLVQKKRFGPVPSWRTEFVEPEFIWLIGTSHVSKDSAMEVQRVVRALRPDNVVVELCRSRAGIMYVSDDEEPRKQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLAAFSSKISSDINRPFGDEFRAARKVSEEVGAQIVLGDRPIEITLQRAWKAMKWNEKLSLVLSIFRGIAFSSNISVNKLKVSLFDQDTTSLVYIIFFHAKSLASI >Vigun10g141500.1.v1.2 pep primary_assembly:ASM411807v1:10:35861794:35864120:1 gene:Vigun10g141500.v1.2 transcript:Vigun10g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMATLLRGGALADSSFRLCSLPSTSSLHVSQNVAIPTSSSSSSPILPLIASKHKTVSRSRITCSAVQESSPSTAATAETKEEVKEAPKAAPEKKAPAKAPVKPLPQMMEEDVIPSLKAIFEAQEDLSNIELVFKDNRLEGSLLKKGNPYSFWAFFPTGLIGPKGFSLSSYNGGASTVEPFLVDEKKVTAKHIIFWVEKRLAAQGILPIWKD >VigunL039700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:356784:358292:-1 gene:VigunL039700.v1.2 transcript:VigunL039700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun05g188300.3.v1.2 pep primary_assembly:ASM411807v1:5:36496360:36511861:1 gene:Vigun05g188300.v1.2 transcript:Vigun05g188300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGIMDSLQVLVPLLCLMSTALGKTTVDDGMHVKMKGTIGVITDNNSRNGKEEIVAVKMAMEDFYHYSNQSFGLQIRDSHADPLQAALAARDLIDTQKVEAIIGPETWKETTLVADICSQNMTPVISLADATPNWSTLKLPYLVQVSPNQFMQMKAVAAIVHSFEWYNVNIIYDDTDSSSTRMFSHLYRDFSVAGVLVSNVLTIPPLTSSSLSQELEKLRDGYCRVFIVNLSLPLAINLFQTAKELKMVEKGYVWIVTDPLTSLVHSLNSSIISSMQGIIGVKSYFPEIGLQYEDFYFKFRKKFSSENPHELNNEPGIFAARAYDAAWTLALSMIQANNKKDQTLLDKILLNNFTGLSGKIHFSDQKLDPSDTFQIINVMGKDCKEIGFWTNGLGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWTLPSSDNPLRIGVSVLGTLKQFITVIQDQTENTTTFQGFTIDLFNATMKLLPYYLPYKFYPFNDTYDNLVKQVYLKNFDAVIDVAIISYRYQYAEFTQPYTDPGVVMVVPLKSKVDHRAWLFFKPFTKSMWVLILAMVIYNGFILWMLERRHSPEITGSMLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVVWLFVALILTQTYTANLASMLTAEQLEPTIDDVDQLRNRNIKVGYSSGSFVKHYVQEVLQFHPENMRNYGELEEFAEALRRKEIGSAFLEVPTAKIFVSKHFQGGLHLFLM >Vigun05g188300.1.v1.2 pep primary_assembly:ASM411807v1:5:36496360:36511860:1 gene:Vigun05g188300.v1.2 transcript:Vigun05g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGIMDSLQVLVPLLCLMSTALGKTTVDDGMHVKMKGTIGVITDNNSRNGKEEIVAVKMAMEDFYHYSNQSFGLQIRDSHADPLQAALAARDLIDTQKVEAIIGPETWKETTLVADICSQNMTPVISLADATPNWSTLKLPYLVQVSPNQFMQMKAVAAIVHSFEWYNVNIIYDDTDSSSTRMFSHLYRDFSVAGVLVSNVLTIPPLTSSSLSQELEKLRDGYCRVFIVNLSLPLAINLFQTAKELKMVEKGYVWIVTDPLTSLVHSLNSSIISSMQGIIGVKSYFPEIGLQYEDFYFKFRKKFSSENPHELNNEPGIFAARAYDAAWTLALSMIQANNKKDQTLLDKILLNNFTGLSGKIHFSDQKLDPSDTFQIINVMGKDCKEIGFWTNGLGFSNIIGQNAAFNSSMKELGQVLWPGRPWGTPRGWTLPSSDNPLRIGVSVLGTLKQFITVIQDQTENTTTFQGFTIDLFNATMKLLPYYLPYKFYPFNDTYDNLVKQVYLKNFDAVIDVAIISYRYQYAEFTQPYTDPGVVMVVPLKSKVDHRAWLFFKPFTKSMWVLILAMVIYNGFILWMLERRHSPEITGSMLNQTGTMAWLALTPLIKLDGDKLHSNLSKMVMVVWLFVALILTQTYTANLASMLTAEQLEPTIDDVDQLRNRNIKVGYSSGSFVKHYVQEVLQFHPENMRNYGELEEFAEALRRKEIGSAFLEVPTAKIFVSKYCNEFIQARPSYKIGGFGFAFPRGSPFIPDVNKALLDLVETGKVRELENKMLALEECEDTDVNGETASLSPNSFWVLFIFTAGTSTFSLLVYIFRTNYANSEEKTIWRLTAMIIQQCNQAKRRISRKVSDVAESVVAPPTQV >Vigun05g241400.1.v1.2 pep primary_assembly:ASM411807v1:5:43464569:43467782:-1 gene:Vigun05g241400.v1.2 transcript:Vigun05g241400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLDLDGNPIKPITICMIGAGGFIGSHLCEKLMNETPHKVFALDVYNDKIKHLLEPDTLPWAGRIQFHRLNIKHDSRLEGLIKIADLTINLAAICTPADYNTRPLDTIYSNFIDALPVAKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSALRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMSQVYSKVSGEAPLEKPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAKPVAS >Vigun09g273500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43440458:43442119:1 gene:Vigun09g273500.v1.2 transcript:Vigun09g273500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVSKQMERRKTIHTEKKVLSDLKTSGEEYPGSEYRPQDRKNWMSGLNPEKLHINQIVWPGTHDSATNKIGIPLVTRPFAQCQSLSIYRQLVLGTRVLDIRVQENRRICHGILVTYSVDVVLNDVKKFLSETQSEVIILEIRTEFGHEDPPEFDKYLEEQLGEFLIPQDEGVFGKTIAEVLPKRVICVWKPRKSAQPKAGSPLWSAGFLKDNWVNTDLPSTKFDANLKHLSEHPAVTSRKYFYRVENTVTPVADNPVLCVKPVTRRIHGYARLFIAQCFAKGIADRLQVLSTDFIEEDFVDACVGLTHARVEGKA >Vigun04g140300.1.v1.2 pep primary_assembly:ASM411807v1:4:34780639:34786328:-1 gene:Vigun04g140300.v1.2 transcript:Vigun04g140300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFINGKVRKCLSNPMKTKVEMLESDYTNLFDLRRFLSHGALMGVGLEKEWKHVEVTYEGVFETSVIKATGVQVVKDKNIIMEDIRYDDPYTNIKVQHAHTIITLNFVPMAIQNSPAFTYDVFLSFRGEDTRHGFTGNLYKALCDRGIHTFIDDEDLQSGEEITPALAKAIEDSRIAIVVLSKNYASSSFCLDELVVILDCKNKGLLVIPVFYKVDPSDVRHQKESYGEALTKRQRRFEDVEKVQKWKMALRQVADLSGYHFKDCDGYEYKFIGSVVDQVCQKINPARLHADYTVGLGPQVLRLRKLLNVECGDGFHMIGIHGMGGVGKTTLALALYNLIAGCFDGSCFLGNVREKSNKDGLEHLQSILLSKILGEKDIKLASKHEGISMIQRRLQRKKVLLILDDVDTCEQLQALAGSPDWFGPGSRVVITTRDTQPLASHHVKETYEVNPLNKDDALQLLTRKAFKTEQVDASYVEVLNHAVTYASGLPLALEVIGSNLAGKSVEKWKSAINQYKRIPNNQILEILKVSFEGLEREEKSVFLDIACCFKGYALREVEDILGAIYDDCMKHHISVLVDKSLIKIGWWSTVEIHDLIEEMGRQIDQQESPEGLGKRRRISLPKVIIQVLKENTETSMIEILCLDISIYKEVERLDWNGNAFGNMKNLKALIIRNCKISGGPNCFPESLRVLEWHGYPSNCFPSNFDPNKLLICKLPDSDFTSFKFPGSSKKFENLTELNFDYCNLLTQIPNVSHLPNLEKLSFKECASLIAVDDSVGFLTKLKILIAEECAELKRFPPLNLPSLEELELSDCFSLENFPEILGKTGKIKKLRLVRLPMIKELPVSFQNLTGLRYLEMTGCHFLRLNSNILTSALTHFRVFGCKEWKWINSKDGEEVGSTVSSNLRSFGVMYCDLNDDIFSADFTQLTTVTSLNLSGTNITFLPECIKEFQHLDDLDVSYCKYLQEIRGIPPKLRKFRAKDCRSLTSSSSSMFLNQQQLHEARETDFIFPGGSIPRWFEKQSRGPSICFWFRNKFPAKVVCLLILSLQQPEYAELVKPMVLINGLLRGSYDYSYYLKREEGIVELDHVYLFDLRVLPFQDDLMEMPLEEEWKHVEVTYQGMFDTSLIKGMGIHVVKTERRSMEDIRYDYPL >Vigun02g081700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:23445477:23446178:1 gene:Vigun02g081700.v1.2 transcript:Vigun02g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVVHSLGLVENTDKNPTKNKARGTKTFLEVDLQTKDPYVRIVHEGGQQEIYHHAFPASVLMTKYPGMCVARAQVFTAPHHSVLRPEEHLLPGQKYVIISCRKVEKLKRKRHQQAETKEHNGVVTLEPNIIRKENDKNVVAGHENDEVKEANGQGGKKVDVEMNVSLCGGDVEEVHCFAKDFYVAKVKSTNYSRKKGIIMKKPFSPPLPKRRSQWSLGWQPSLSTVQELSP >Vigun01g010900.3.v1.2 pep primary_assembly:ASM411807v1:1:1236483:1242287:1 gene:Vigun01g010900.v1.2 transcript:Vigun01g010900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSEWWDSWVEEALTTLHSLKVLRSLRPICLRSSSLQFGLRDAAFQVFDEMQHWDRSSVEVEIAESTFSRWMHDTPSAGDEIVCSAGGGDDESGASYEKFKKLILFSGNDYLGLSSHPTIGEAVAKAAQEHGMGPRGSALICGYTDYHRLLESSLADLKKKEDCLLCPTGFAANMAVMTAIGSIGTLLAGNSIPSEDEKIAVFSDALNHASIIDGIRLVERQKSVNVYIYKHCDMSHLNMLLSNCRMRKKVVVTDSLFSMDGDFAPMVELAGLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKKLKLLIQSRGRSFIFSTSAPVPVAAAAHA >Vigun01g010900.5.v1.2 pep primary_assembly:ASM411807v1:1:1236483:1242287:1 gene:Vigun01g010900.v1.2 transcript:Vigun01g010900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTAIGSIGTLLAGNSIPSEDEKIAVFSDALNHASIIDGIRLVERQKSVNVYIYKHCDMSHLNMLLSNCRMRKKVVVTDSLFSMDGDFAPMVELAGLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKKLKLLIQSRGRSFIFSTSAPVPVAAAAHAAVKVAKLETWRREAIWNRVKDFHLLTGIPVTSHIISLVVGSEDKALQASRYLLQSGFHVTAIRPPTVPPNSCRLRVALSAVHTRQDLENLAAALSRCINFQDTRIYGCNGYARL >Vigun01g010900.1.v1.2 pep primary_assembly:ASM411807v1:1:1236483:1242287:1 gene:Vigun01g010900.v1.2 transcript:Vigun01g010900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSEWWDSWVEEALTTLHSLKVLRSLRPICLRSSSLQFGLRDAAFQVFDEMQHWDRSSVEVEIAESTFSRWMHDTPSAGDEIVCSAGGGDDESGASYEKFKKLILFSGNDYLGLSSHPTIGEAVAKAAQEHGMGPRGSALICGYTDYHRLLESSLADLKKKEDCLLCPTGFAANMAVMTAIGSIGTLLAGNSIPSEDEKIAVFSDALNHASIIDGIRLVERQKSVNVYIYKHCDMSHLNMLLSNCRMRKKVVVTDSLFSMDGDFAPMVELAGLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKKLKLLIQSRGRSFIFSTSAPVPVAAAAHAAVKVAKLETWRREAIWNRVKDFHLLTGIPVTSHIISLVVGSEDKALQASRYLLQSGFHVTAIRPPTVPPNSCRLRVALSAVHTRQDLENLAAALSRCINFQDTRIYGCNGYARL >Vigun01g010900.4.v1.2 pep primary_assembly:ASM411807v1:1:1236483:1242287:1 gene:Vigun01g010900.v1.2 transcript:Vigun01g010900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGSALICGYTDYHRLLESSLADLKKKEDCLLCPTGFAANMAVMTAIGSIGTLLAGNSIPSEDEKIAVFSDALNHASIIDGIRLVERQKSVNVYIYKHCDMSHLNMLLSNCRMRKKVVVTDSLFSMDGDFAPMVELAGLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSKKLKLLIQSRGRSFIFSTSAPVPVAAAAHAAVKVAKLETWRREAIWNRVKDFHLLTGIPVTSHIISLVVGSEDKALQASRYLLQSGFHVTAIRPPTVPPNSCRLRVALSAVHTRQDLENLAAALSRCINFQDTRIYGCNGYARL >Vigun01g010900.2.v1.2 pep primary_assembly:ASM411807v1:1:1236483:1242287:1 gene:Vigun01g010900.v1.2 transcript:Vigun01g010900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSEWWDSWVEEALTTLHSLKVLRSLRPICLRSSSLQFGLRDAAFQVFDEMQHWDRSSVEVEIAESTFSRWMHDTPSAGDEIVCSAGGGDDESGASYEKFKKLILFSGNDYLGLSSHPTIGEAVAKAAQEHGMGPRGSALICGYTDYHRLLESSLADLKKKEDCLLCPTGFAANMAVMTAIGSIGTLLAGNSIPSEDEKIAVFSDALNHASIIDGIRLVERQKSVNVYIYKHCDMSHLNMLLSNCRMRKKVVVTDSLFSMDGDFAPMVELAGLRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSRGVVHLYFQLLHQFLLLPLLMLRLKWQNLRHGVERLFGTG >Vigun07g054901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5962886:5963973:-1 gene:Vigun07g054901.v1.2 transcript:Vigun07g054901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGYAQNGLISNALALFRSMIREGPKPNSYTLAALLSVFSSLASLDHGKQIHAVAMRLEEASSISVGNALITTYSRSGSIFDARKVFNQICSYRDTLTWTSMILALAQHGLGNVAIELFEKMLKINLKPDHITYVSVLSACTHVGLVEQGKRYFNLMKNVHNIEPTSSHYACMIDLLGRAGLLEEAYHFITNMPIEPDVVAWGSLLSSCRVYKNVDLAKVAAKKLLLIDPNNSGAYSALANTLSACGKC >Vigun03g197600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:28189081:28190374:-1 gene:Vigun03g197600.v1.2 transcript:Vigun03g197600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISTDELHLFHRTHREVFCFLIFKLRRDLTQSLLVMALWIWLEHNGYPNISHKVMALSHASAIDDLVDEAASCLKCLERENCATPNNGGLPSTQNLTQKRISLKIFKEKRYTIIAGIKSVLKNICARIFADVLQIIIRSKNTSRARTSRGNNTSDSPVTIPGFPHPLFGSFNINPPDSYTNMDLFDLRIWLKGPCDDVTADDKSMFLTFSRGFPVSEGEVIKLFKRSFGDCVENLSMGNTGVQDQSLFAIMILKSVRTVDQILKGKHVAKLQINRKHIWARKYERRD >Vigun05g232900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42686995:42688317:1 gene:Vigun05g232900.v1.2 transcript:Vigun05g232900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSRPATGYPAPPFRHPNGAQPPPPATAYPYAAAPPPYPQPQYYNPNYPPQRSYASRSFFRAFFATMICLAVIFGVILIVTWLVLRPSLPNFTLHTVSLTNLSTTSQSLSATWHLSFLVRNGNKKMTITYNALRSFVFYRTVDVADSQLAPFKQDTKSETTLNATLVAAGTYLQPSLINGLNAERADKSVLFDVQVVASTSFRSGSWRFRTRVLKVLCRKVPVSVSSNSSSGELVGGDRECQVWT >Vigun07g077700.1.v1.2 pep primary_assembly:ASM411807v1:7:10516339:10517313:-1 gene:Vigun07g077700.v1.2 transcript:Vigun07g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVDVPESSNVAKGKAIAVARPGGWKKGLAIMDFILRLGGIAASLGAAATMGTSDQTLPFFTQFFQFEASYDSFTTFQFFVITMALVAGYLVLSLPFSVVAIIRPHAPGPRLFLIILDTVFLTLATASGASAAAIVYLAHNGNQDSNWLAICNQFGDFCAQTSGAVVASFVAVVILVLLVIMSALALRRH >Vigun10g102400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29514879:29516552:1 gene:Vigun10g102400.v1.2 transcript:Vigun10g102400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFERGRSYWIGSYLNFSFARPVVKKPTFLRLRGSFEYEIQSWKHSIPLFFTTRGFDIFRNREISSGAVAIREQLADLDLRIIMDYSLIEWKELGKEGSPDNENEWEDRKVGRRKNFLVRRIELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVICQEKLVQAAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIIVGPSLSLHRCGLPGEIAIELFQTFLIRDLIRKHFASNIGIAKSKIRQKEPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIADPISVPTQDMLIGLYILTNGNRRGISSNRYNPRNCRNLKNERIPQKNYKYTKKKEPFFWNSYDAIGAYQQKRITFDSPLWLRWRLDLRIISSREVPIEVHYESLGTYHEIYGHYLVVRSTKKQIRSIYIRTNVGHISFYREIEEAVQGFCRAYSYGI >Vigun04g176301.1.v1.2 pep primary_assembly:ASM411807v1:4:40004532:40005903:-1 gene:Vigun04g176301.v1.2 transcript:Vigun04g176301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFHSFFSFVSSNFSVGFLSLQISIAWRMKMRAKVVLGSMIERKRFYRENLR >Vigun02g143700.1.v1.2 pep primary_assembly:ASM411807v1:2:29188192:29193067:1 gene:Vigun02g143700.v1.2 transcript:Vigun02g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQLVGFRCRTYRDDALYQATQDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSHRRAPEKSEEEEELEEFVNFERYRDLVKHRRRGFTDEEALQHVNQEMEAKAAAPFTSDRSNLPQPSASKGSYSQVGFSYDGNGKEETHISDDDDNDNDNEEDEDDDDDEDFNSDDSNDEGMEIIAKEYGVKRYGWLVYMDKKAKEEEKRQKELIKGDPAIRKLSRKERRKASQIEREREREATRISGTRVLHHDPYRESRQSPTYEAYSRSRRSRSRSRSYSPSHSRRYSRSSHSDDIHRSKPRTPKIEYITEFGSSGAADEPRLEGFSPPRSPTSQVDMLNRPSSGCILEALHVDPASGVSIDKDKGTKVAKPSVSASSALAKLKAGGSGGPLKQQGEKKETPQERLKRIMNRQLNKQIKKDTAAELAKKREQERQRQEKLAETSRLSRYRRHSRSRSRSLSRSPPRRYRHSRSPSRSRGSRRYYSSSRSRSPSRTRSRSRSRSPYSRSPRIRNRSRH >Vigun09g262100.2.v1.2 pep primary_assembly:ASM411807v1:9:42697566:42701144:1 gene:Vigun09g262100.v1.2 transcript:Vigun09g262100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCKKVEASKNPDDSNGGRKLLYFEERRVLATASSGGDYCSQKGKVSLISQSGVHQLHIFIFVLAVFHIFYSVMTMVLARAKMKKWKAWEAETSSLEYQFTNDPSRFRFAHQTSFVRRHSGWSRMPGIRWIVAFFRQFFASVTKVDYMTMRHGFINAHFAPNSKFDFQKYIKRSMEDDFKVVVGISIPLWAFAIVFMLVNVYKWYTLTWLSLAPLVILLLVGTKLELIIMEMAQEIQDRTTIVRGVPIVEPNNKYFWFNRPQWITFLIHFTLFENAFQIAFFLWSWYEFKITSCFHENLPLILTRVFLGIALQIVCSYITFPLYSLVTQMGSHMKKAIFEEQTAKALKKWQKVAKDKRKLMRKAGVDVPSGTMSGEATPSQGTSPIHLLHKYKPNQTDTDSVLYSPRSYQSETDLSDTELSSHQLNEITQTRQAPRNGEPHNVDFSFVSFN >Vigun09g262100.1.v1.2 pep primary_assembly:ASM411807v1:9:42697566:42701144:1 gene:Vigun09g262100.v1.2 transcript:Vigun09g262100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASGGERTLQETPTWAVAAVCSVFVILSVLIEHGIHLLEKWFKKRHKKAMSEALEKIKAELMLLGFISLLLTFGTQYIAKICIPASAGDIMLPCKKVEASKNPDDSNGGRKLLYFEERRVLATASSGGDYCSQKGKVSLISQSGVHQLHIFIFVLAVFHIFYSVMTMVLARAKMKKWKAWEAETSSLEYQFTNDPSRFRFAHQTSFVRRHSGWSRMPGIRWIVAFFRQFFASVTKVDYMTMRHGFINAHFAPNSKFDFQKYIKRSMEDDFKVVVGISIPLWAFAIVFMLVNVYKWYTLTWLSLAPLVILLLVGTKLELIIMEMAQEIQDRTTIVRGVPIVEPNNKYFWFNRPQWITFLIHFTLFENAFQIAFFLWSWYEFKITSCFHENLPLILTRVFLGIALQIVCSYITFPLYSLVTQMGSHMKKAIFEEQTAKALKKWQKVAKDKRKLMRKAGVDVPSGTMSGEATPSQGTSPIHLLHKYKPNQTDTDSVLYSPRSYQSETDLSDTELSSHQLNEITQTRQAPRNGEPHNVDFSFVSFN >Vigun09g226600.1.v1.2 pep primary_assembly:ASM411807v1:9:39879100:39881019:1 gene:Vigun09g226600.v1.2 transcript:Vigun09g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISSESSAIHGAPEEARDENVLVFEATKLLRGLSSAYSKQGTKGLNQDAATLCQVYGTTNAAFCGVFDGHGRNGHVVSKIVNNRLCSLIVDQKKVHANIEKKNSISHVETVEDESSALLNKNFQEWKEAILDGFRVMDKEVKLQENLDCSCSGTTAVIVIRQGEDLVIANLGDSRAILGTISDGEIVPIQLTTDMKPGLPCEAERIKGCNGRVFALKEEPHIQRVWLPDENSPGLAMSRAFGDFMLKDYGIIAIPEISHRTLTSSDQFIVLASDGVWDVLSNKEVSSIVWAADTENEAARAVVEAAVLAWKNKYPSSKLDDCTAVCLFLQKKPHFLPVKSGNP >VigunL037101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:311278:311496:1 gene:VigunL037101.v1.2 transcript:VigunL037101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYNSRSLRFMINTDLCLRLDIILPFFLLFEQIKMIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Vigun07g206900.1.v1.2 pep primary_assembly:ASM411807v1:7:32824204:32831729:-1 gene:Vigun07g206900.v1.2 transcript:Vigun07g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQSGMERLGVRVGAHDLHHTNGAGDHVAVGIRSGTAHKQPRSRRSARSDRGTQLSVAAILVFLFLVLVVTVLVFSYISRDEISNNGDDGVDLKSDSDFLTNVPRIQKKKVLDFGHGSGGHGRDSRYWDKDDRRRDGDYDEDMMEQTSKDPGDEIAEDDDAVKKDHDTKSSHDGLKRRGDGLYNEAGRQELKRYEEEYEASLKNLRHSTEVDGKLLHETNLEKRSASDDIDDEYDDFFDFNDAQSENSSDSKNVRGEHSNANVLDNEVQKQKESNDSFAEENNDDVTSEDFEEASSLNKKNPHERKTNSKHASTFNGQSTRKSHPETKRKVRRRKFSGSCEMKLLNSTSQLVEPLESRKFARFNLQYTEMEEKPLGEEQWVPRFAGHQSLEERESSFLARDQKINCGFVKGPEGSQSTGFDLTEDDESYISRCHIAVISCIFGNSDHLRTPATKTVTRLSRKNVCFVMFTDEITVRTLSSEGHVPDRMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLPHRLFPFARYSIWLDSKLRLQLDPLLILEYFLWRKGYEFAISNHYDRHCVWEEVAQNKKLNKYNHTVIDQQFSFYRADGLQRFDASDPNKLLPSNVPEGSFIIRAHTPMSNLFSCLWFNEIDRFTPRDQLSFAYTYQKLRRMNPDKPFHLNMFKDCERRHIAKLFRHRLDEKRIHH >Vigun07g206900.2.v1.2 pep primary_assembly:ASM411807v1:7:32825965:32831729:-1 gene:Vigun07g206900.v1.2 transcript:Vigun07g206900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQSGMERLGVRVGAHDLHHTNGAGDHVAVGIRSGTAHKQPRSRRSARSDRGTQLSVAAILVFLFLVLVVTVLVFSYISRDEISNNGDDGVDLKSDSDFLTNVPRIQKKKVLDFGHGSGGHGRDSRYWDKDDRRRDGDYDEDMMEQTSKDPGDEIAEDDDAVKKDHDTKSSHDGLKRRGDGLYNEAGRQELKRYEEEYEASLKNLRHSTEVDGKLLHETNLEKRSASDDIDDEYDDFFDFNDAQSENSSDSKNVRGEHSNANVLDNEVQKQKESNDSFAEENNDDVTSEDFEEASSLNKKNPHERKTNSKHASTFNGQSTRKSHPETKRKVRRRKFSGSCEMKLLNSTSQLVEPLESRKFARFNLQYTEMEEKPLGEEQWVPRFAGHQSLEERESSFLARDQKINCGFVKGPEGSQSTGFDLTEDDESYISRCHIAVISCIFGNSDHLRTPATKTVTRLSRKNVCFVMFTDEITVRTLSSEGHVPDRMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLPHRLFPFARVL >Vigun01g055500.2.v1.2 pep primary_assembly:ASM411807v1:1:10823313:10825639:1 gene:Vigun01g055500.v1.2 transcript:Vigun01g055500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFQASSSTISNLLLVSCGFLLPTLVLGDCTCERDETKRSDSSAVLHYKLGSIASVLVAGALGAFAAGVILATGFVHILPDAYESLTSPCLKENPWGKFPFTGFVAMMSSIGTLMVDSFATGFYHRQHFNPSKQVPADEEIADEHAGHIHVHTHATHGHAHGAPLSSQDSETSEVIRQRIISQVLEIGIVVHSVIIGLSLGTAGSIDTIKPLLVALSFHQFFEGMGLGGCISQAEFESKSTAIMATFFSLTTPIGIAIGMGVSSAYKENSSTALTVEGVFNSASAGILIYMALVDLLAADFMNPRLQKNLKLQLGANMSLLLGSGCMSLLAKWA >Vigun01g055500.1.v1.2 pep primary_assembly:ASM411807v1:1:10823313:10825639:1 gene:Vigun01g055500.v1.2 transcript:Vigun01g055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITFQASSSTISNLLLVSCGFLLPTLVLGDCTCERDETKRSDSSAVLHYKLGSIASVLVAGALGVSLPLLSKRIPALNPKNDIFFMVKAFAAGVILATGFVHILPDAYESLTSPCLKENPWGKFPFTGFVAMMSSIGTLMVDSFATGFYHRQHFNPSKQVPADEEIADEHAGHIHVHTHATHGHAHGAPLSSQDSETSEVIRQRIISQVLEIGIVVHSVIIGLSLGTAGSIDTIKPLLVALSFHQFFEGMGLGGCISQAEFESKSTAIMATFFSLTTPIGIAIGMGVSSAYKENSSTALTVEGVFNSASAGILIYMALVDLLAADFMNPRLQKNLKLQLGANMSLLLGSGCMSLLAKWA >Vigun03g416600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62349925:62351882:-1 gene:Vigun03g416600.v1.2 transcript:Vigun03g416600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAFVALVAGVTGMAGLSLAQALKQPNCPGGPWKVYGAARRPPPSWFPPSTVDHFITFDAVDSSDTHAKLSPFASEVTHLFWTSLQIRADEEDNVRINETMLLNVLTALKSCASSKLAHVTVQTGTKHYMGPIFDPVLSTKLISHDPPFHESMARLPYPNFYYALEDLAASYAPSFTYSVHRSSIIVGASSRSIYNSLLTLATYAVICRHVGLAFLYPGTKYTWEHFCDMTDAGVLAQQHVWAAVTPEARNEAFNCTNGDVFTWKSIWKLLCEVFDVEFVPFDETQTFDVVELMRDKGSVWKEIVEKYELHNTILEEITCYEALQAVLRFKFQHVSSMNKSREYGFFGHVDTFKSIRFWVEKLREMKLIPSYQQ >Vigun09g048900.1.v1.2 pep primary_assembly:ASM411807v1:9:4756136:4761436:-1 gene:Vigun09g048900.v1.2 transcript:Vigun09g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADVSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRKTWLQEAIVSTAIAGAIIGASVGGWINDRFGRKKGIVLADTLFFAGSVIMAAANGPTVLIVGRVFVGIGVGMASMASPLYISEASPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAAAPALFQVVLMLTLPESPRWLYRKGREEEAKAILRKIYPPSEVEGEIQALKESVDMEIKETESSEKVSLIKLLRTPAVRRGLYAGMGLLIFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLFGVVFSLAMLTVSFRESEIHAPMVSAIGTSQFNNTCPDYKAAANPGEWSCMTCLKASPSCGFCAADDKLLPGACLISNDVTKKLCGSDHRAWYTRGCPSKYGWTALVGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTVWISNLIVSESFLSLTEAIGTAWTFMMFGIVAVVAIFFVVVYVPETKGVPMEEVEKMLEQRSLQFKFWQKRYSSSEKH >Vigun09g048900.2.v1.2 pep primary_assembly:ASM411807v1:9:4756136:4761436:-1 gene:Vigun09g048900.v1.2 transcript:Vigun09g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEADVSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRKTWLQEAIVSTAIAGAIIGASVGGWINDRFGRKKGIVLADTLFFAGSVIMAAANGPTVLIVGRVFVGIGVGMASMASPLYISEASPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAAAPALFQVVLMLTLPESPRWLYRKGREEEAKAILRKIYPPSEVEGEIQALKESVDMEIKETESSEKVSLIKLLRTPAVRRGLYAGMGLLIFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLFGVVFSLAMLTVSFRESEIHAPMVSAIGTSQFNNTCPDYKAAANPGEWSCMTCLKASPSCGFCAADDKLLPGACLISNDVTKKLCGSDHRAWYTRGCPSKYGWTALVGLALYIIFFSPGMGTVPWVVNSEIYPLRSYWDSVDIHDVWNCSRCGHFLCRCLCT >Vigun09g048900.3.v1.2 pep primary_assembly:ASM411807v1:9:4756136:4759418:-1 gene:Vigun09g048900.v1.2 transcript:Vigun09g048900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGPTVLIVGRVFVGIGVGMASMASPLYISEASPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAAAPALFQVVLMLTLPESPRWLYRKGREEEAKAILRKIYPPSEVEGEIQALKESVDMEIKETESSEKVSLIKLLRTPAVRRGLYAGMGLLIFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLFGVVFSLAMLTVSFRESEIHAPMVSAIGTSQFNNTCPDYKAAANPGEWSCMTCLKASPSCGFCAADDKLLPGACLISNDVTKKLCGSDHRAWYTRGCPSKYGWTALVGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTVWISNLIVSESFLSLTEAIGTAWTFMMFGIVAVVAIFFVVVYVPETKGVPMEEVEKMLEQRSLQFKFWQKRYSSSEKH >Vigun01g049600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:7744140:7744501:1 gene:Vigun01g049600.v1.2 transcript:Vigun01g049600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMMNVKIVLVGIMVALVLCSGNEIQKNENVPKELPICPFGSFSIDKSCVNDRDCLIKEQPSVVCKCLGNKQCCCQK >Vigun03g210100.1.v1.2 pep primary_assembly:ASM411807v1:3:34577047:34578522:1 gene:Vigun03g210100.v1.2 transcript:Vigun03g210100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLLKVNTIFRSCCSICPLLDHDRIDELSPCGHHCFVTITSTNNVFVAYNCFFIHLNLQNRCLQMETSLPLATDSFSYGWLSNCKPFANDLQESSTCNSPLMEEWKSFNFDISFTHSPSVLAHADELFSNGLIKPLFADPSKLDSCKTILASTHQSEPVSSFSSRLVSPRTVQIHHGFLTRWKTSTCRTLRNLSRYVNQLCHRVGSSRKNTKVDDFDKEEWLVNSWSSSHQASSKSNSISPIGALHDHENSIYEAVLHCKRSIET >Vigun06g043300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:16692345:16699360:1 gene:Vigun06g043300.v1.2 transcript:Vigun06g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLPTRYFRPISTVFPLQRWIHRIFSSATVSFQQVSHDRENKINVVFHSCTEIGTAKQLHALLTVLGKAQNVVLFTQLVTLYATLGDLSLSRNTFKHIQRKNIFTWNSMVAAYVRCGRYRDAMDCASKLLSNSGVRPDFYTFPPVLKACMSVVDGEKMHCWVLKMGFEHDVYVAASLIHLYSRFSAVDVAHKVFDDMLVRDIGSWNAMISGFLQNGKATRALGVLGRMKVEGVEMDTVTVASVLPVCAQVNDVVCGMLVHLYVIKHGLESDVFVCNALINMYSKFGRLQDAQRIFDGMKVRDVVSWNSIIAAYEQNDDPVTALGFFKEMQFVAMGPDLLTVVSLISIFVQLSDLRIGRAVHGFVVRRGWLEEDVVIGNALVNMYAKLGLIDCALAVFEQLPRRDVISWNTLITGYAQNGLASEAIDAYNMMGECRAIIPNQGTWVSILPAYSHIGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGECGKLEDAMSLFYEIPRETSVPWNAIISSLGIHGHGKEAVQLFKDMQAEGVKADHITFVSLLSACSHSGLVDEGQWCFDLMQKDCGVKPNLKHYGCMVDLFGRAGYLEKAYNLVNNMPIQADASIWGTLLAACRIHGNAELGTFALDRLLEVDSENVGYYVLLSNIYANVGKWEGAVKVRSLAKDRGLRKTPGWSSVVVGSVVEVFYAGNQTHPQCTEIYNEIRVLNAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSERLAIAFGLISTPPKSPIRIFKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGFCSCGDYW >Vigun06g043300.4.v1.2 pep primary_assembly:ASM411807v1:6:16692342:16696914:1 gene:Vigun06g043300.v1.2 transcript:Vigun06g043300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLPTRYFRPISTVFPLQRWIHRIFSSATVSFQQVSHDRENKINVVFHSCTEIGTAKQLHALLTVLGKAQNVVLFTQLVTLYATLGDLSLSRNTFKHIQRKNIFTWNSMVAAYVRCGRYRDAMDCASKLLSNSGVRPDFYTFPPVLKACMSVVDGEKMHCWVLKMGFEHDVYVAASLIHLYSRFSAVDVAHKVFDDMLVRDIGSWNAMISGFLQNGKATRALGVLGRMKVEGVEMDTVTVASVLPVCAQVNDVVCGMLVHLYVIKHGLESDVFVCNALINMYSKFGRLQDAQRIFDGMKVRDVVSWNSIIAAYEQNDDPVTALGFFKEMQFVAMGPDLLTVVSLISIFVQLSDLRIGRAVHGFVVRRGWLEEDVVIGNALVNMYAKLGLIDCALAVFEQLPRRDVISWNTLITGYAQNGLASEAIDAYNMMGECRAIIPNQGTWVSILPAYSHIGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGECGKLEDAMSLFYEIPRETSVPWNAIISSLGIHGHGKEAVQLFKDMQAEGKDCGVKPNLKHYGCMVDLFGRAGYLEKAYNLVNNMPIQADASIWGTLLAACRIHGNAELGTFALDRLLEVDSENVGYYVLLSNIYANVGKWEGAVKVRSLAKDRGLRKTPGWSSVVVGSVVEVFYAGNQTHPQCTEIYNEIRVLNAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSERVFAFRILVVDSVLPKFGGVKA >Vigun06g043300.5.v1.2 pep primary_assembly:ASM411807v1:6:16692341:16696857:1 gene:Vigun06g043300.v1.2 transcript:Vigun06g043300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLPTRYFRPISTVFPLQRWIHRIFSSATVSFQQVSHDRENKINVVFHSCTEIGTAKQLHALLTVLGKAQNVVLFTQLVTLYATLGDLSLSRNTFKHIQRKNIFTWNSMVAAYVRCGRYRDAMDCASKLLSNSGVRPDFYTFPPVLKACMSVVDGEKMHCWVLKMGFEHDVYVAASLIHLYSRFSAVDVAHKVFDDMLVRDIGSWNAMISGFLQNGKATRALGVLGRMKVEGVEMDTVTVASVLPVCAQVNDVVCGMLVHLYVIKHGLESDVFVCNALINMYSKFGRLQDAQRIFDGMKVRDVVSWNSIIAAYEQNDDPVTALGFFKEMQFVAMGPDLLTVVSLISIFVQLSDLRIGRAVHGFVVRRGWLEEDVVIGNALVNMYAKLGLIDCALAVFEQLPRRDVISWNTLITGYAQNGLASEAIDAYNMMGECRAIIPNQGTWVSILPAYSHIGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGECGKLEDAMSLFYEIPRETSVPWNAIISSLGIHGHGKEAVQLFKDMQAEGKDCGVKPNLKHYGCMVDLFGRAGYLEKAYNLVNNMPIQADASIWGTLLAACRIHGNAELGTFALDRLLEVDSENVGYYVLLSNIYANVGKWEGAVKVRSLAKDRGLRKTPGWSSVVVGSVVEVFYAGNQTHPQCTEIYNEIRVLNAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSERVFAFRILVVDSVLPKFGGVKA >Vigun06g043300.2.v1.2 pep primary_assembly:ASM411807v1:6:16692339:16696727:1 gene:Vigun06g043300.v1.2 transcript:Vigun06g043300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLPTRYFRPISTVFPLQRWIHRIFSSATVSFQQVSHDRENKINVVFHSCTEIGTAKQLHALLTVLGKAQNVVLFTQLVTLYATLGDLSLSRNTFKHIQRKNIFTWNSMVAAYVRCGRYRDAMDCASKLLSNSGVRPDFYTFPPVLKACMSVVDGEKMHCWVLKMGFEHDVYVAASLIHLYSRFSAVDVAHKVFDDMLVRDIGSWNAMISGFLQNGKATRALGVLGRMKVEGVEMDTVTVASVLPVCAQVNDVVCGMLVHLYVIKHGLESDVFVCNALINMYSKFGRLQDAQRIFDGMKVRDVVSWNSIIAAYEQNDDPVTALGFFKEMQFVAMGPDLLTVVSLISIFVQLSDLRIGRAVHGFVVRRGWLEEDVVIGNALVNMYAKLGLIDCALAVFEQLPRRDVISWNTLITGYAQNGLASEAIDAYNMMGECRAIIPNQGTWVSILPAYSHIGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGECGKLEDAMSLFYEIPRETSVPWNAIISSLGIHGHGKEAVQLFKDMQAEGKDCGVKPNLKHYGCMVDLFGRAGYLEKAYNLVNNMPIQADASIWGTLLAACRIHGNAELGTFALDRLLEVDSENVGYYVLLSNIYANVGKWEGAVKVRSLAKDRGLRKTPGWSSVVVGSVVEVFYAGNQTHPQCTEIYNEIRVLNAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSESFQYVSVLSQMLLLMVQWKLKMKFPVQSLQVDLSRQFLDLDGTTFMKPVLEEESSRRDLIHQHTGNIFFFFFCSFSH >Vigun06g043300.3.v1.2 pep primary_assembly:ASM411807v1:6:16692345:16696909:1 gene:Vigun06g043300.v1.2 transcript:Vigun06g043300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLPTRYFRPISTVFPLQRWIHRIFSSATVSFQQVSHDRENKINVVFHSCTEIGTAKQLHALLTVLGKAQNVVLFTQLVTLYATLGDLSLSRNTFKHIQRKNIFTWNSMVAAYVRCGRYRDAMDCASKLLSNSGVRPDFYTFPPVLKACMSVVDGEKMHCWVLKMGFEHDVYVAASLIHLYSRFSAVDVAHKVFDDMLVRDIGSWNAMISGFLQNGKATRALGVLGRMKVEGVEMDTVTVASVLPVCAQVNDVVCGMLVHLYVIKHGLESDVFVCNALINMYSKFGRLQDAQRIFDGMKVRDVVSWNSIIAAYEQNDDPVTALGFFKEMQFVAMGPDLLTVVSLISIFVQLSDLRIGRAVHGFVVRRGWLEEDVVIGNALVNMYAKLGLIDCALAVFEQLPRRDVISWNTLITGYAQNGLASEAIDAYNMMGECRAIIPNQGTWVSILPAYSHIGALQQGMKIHGRLIKNSLYLDVFVATCLIDMYGECGKLEDAMSLFYEIPRETSVPWNAIISSLGIHGHGKEAVQLFKDMQAEGVKADHITFVSLLSACSHSGLVDEGQWCFDLMQKDCGVKPNLKHYGCMVDLFGRAGYLEKAYNLVNNMPIQADASIWGTLLAACRIHGNAELGTFALDRLLEVDSENVGYYVLLSNIYANVGKWEGAVKVRSLAKDRGLRKTPGWSSVVVGSVVEVFYAGNQTHPQCTEIYNEIRVLNAKMKSLGYVPDYSFVLQDVEEDEKEQILTSHSERVFAFRILVVDSVLPKFGGVKA >VigunL073900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:122828:126046:1 gene:VigunL073900.v1.2 transcript:VigunL073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINRTGRGVSQKERPPKVTTTKIRIVIRSFDHPFLENHFGGLQPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKFLIIKTEKHELRKKFFRLKRRRIFGAQYEILFSCKTRSDKGKLQRLLRSKILALTLS >Vigun09g274900.1.v1.2 pep primary_assembly:ASM411807v1:9:43549407:43553693:-1 gene:Vigun09g274900.v1.2 transcript:Vigun09g274900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTNNMTRTLFLVALLSTIMVATHGHGRHMTAHNVTYDGKSLFINGRRELLFSGSIHYPRSTPDMWPILLDNARRGGINVIQTYVFWNAHEPQQGQFNFEGNYDLVKFIKLVQEHGMFVTLRVGPFIQAEWNHGGLPYWLKEVPDIIFRCDNEPYKQHMQAFVTKIVQMMKDEKLFAPQGGPIVLAQIENEYNHVQRAYEEKGDSYVQWAANMAVALNVGVPWIMCKQTDAPDPVINACNGRHCGDTFSGPNKPYKPVIWTENWTAQYRVHGDPPSQRSAEDIAFSVARFFAKGGNLVNYYMYHGGTNFGRTSSEFSTTRYYDEAPLDEYGLEREPKWSHLRDVHKAVLLCRKAILGGDPNVEKLNEFHEIRTFEKLGTNLCVAFITNNHTTDAATINFRGTNYFLPPYSISILPDCKTVVYNTQSIVSQHNSRNYERSTTANNFQWEMFNEAIPTTKKMDMYQNKPRELFTLLKDTSDYAWYTTSFELAPGDLPTKPGVLPIIHIESNGHTMVAFVNGDLIGTAHGTHDKKTFDFNRPVQLRVGTNYISILAGTVGLPDSGAYMEHRYAGPKLVSIIALNTGTLDITTNLWGHRVGLKGEGMKVFSDEGSIRAKWKPLSPVPRPLTWYRTRFVTPEGTGPVAIRMTGMGKGMMWINGKSIGRHWMSFLSPIGKPTQSEFHIPRSFLNPQDNLLAIFEEEPLAPRQIEILNVNRDTICSFIAENDPPNVNSWVSRRGNFHPIVPYLGPQALLECAPGKKITTVEFASFGNPSGSCGQYILGTCNAIATKQIVEQECLGKETCSIALNRAIFNQNGADPCPEILVKTLAVQVRCY >Vigun03g212900.1.v1.2 pep primary_assembly:ASM411807v1:3:35283511:35291587:-1 gene:Vigun03g212900.v1.2 transcript:Vigun03g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRTTTHVYSDREKPSSTAATTPTKAPAPTIPEPRADGVSSLESLIAEDPYLQVGRFDGVVDGENGALRFTSKNDASVLAKHLDVSEEEGWITIPYKELPEDWNHVSDMQFFRSLDRSFLFPGEQVQIIACLSACKQDMEIITPFKVAAVMSKSGMGHSHDKENGNVENRDDSVSREEQLNPTGEEQKDLEKVNTNHPGDAYAGESLLRMEVHKRQTALLLQKFENSHFFARIAEADEPLWSKRGSSEKSNFIELNGQRISSFHIKETAKKASSISAVIDRANFDATISSGVARNSVKCCALPNGDIVVLLQVNVGVDFLKDPCIEILQYEKYQEKILSSENQDNSIHTHQDPCGALLKWILPLDNSLPPASRPLSPQISSSSGISGATQRSNVSSSSSSQLFSFGGHFRSQSMSAIPQNTTPPTAPLKAASSKPSFEIEDWDQFSSHKFLKKKSGAEELLSFRGVSLERERFSVCCGLEGIYTPGRRWRRKFEIIQPVEIHSFAADCNSEDLLCVRIKNVAPAHVPEIVIFIDAITIVFEEATKGGPPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPATSMWKNVKIQEEGSQFSKLQSPNSESKSNLSSKSPDRTKIVSDNQYAIMVSCRCNYTTSRLFFKQATSWRPRTSRDIIISVASEISGESPGPYERNSQLPVQVLTLQASNLTSEDLTLTVLAPASFTSPPSVVSLSSPTSPNSPFIGFTEFLGRINGERHAGTTQGASITSPLKENDKQDDVRPQSVSMNDDVIPSSGLSCTHLWLHSRVPLGCIPSQSTATIKLQLLPLTDGIITLDSLQIDVMEKGVTYIPERSLKINATSSISKGII >Vigun03g212900.2.v1.2 pep primary_assembly:ASM411807v1:3:35283511:35291587:-1 gene:Vigun03g212900.v1.2 transcript:Vigun03g212900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRTTTHVYSDREKPSSTAATTPTKAPAPTIPEPRADGVSSLESLIAEDPYLQVGRFDGVVDGENGALRFTSKNDASVLAKHLDVSEEEGWITIPYKELPEDWNHVSDMQFFRSLDRSFLFPGEQVQIIACLSACKQDMEIITPFKVAAVMSKSGMGHSHDKENGNVENRDDSVSREEQLNPTGEEQKDLEKVNTNHPGDAYAGESLLRMEVHKRQTALLLQKFENSHFFARIAEADEPLWSKRGSSEKSNFIELNGQRISSFHIKETAKKASSISAVIDRANFDATISSGVARNSVKCCALPNGDIVVLLQVNVGVDFLKDPCIEILQYEKYQEKILSSENQDNSIHTHQDPCGALLKWILPLDNSLPPASRPLSPQISSSSGISGATQRSNVSSSSSSQLFSFGGHFRSQSMSAIPQNTTPPTAPLKAASSKPSFEIEDWDQFSSHKFLKKKSGAEELLSFRGVSLERERFSVCCGLEGIYTPGRRWRRKFEIIQPVEIHSFAADCNSEDLLCVRIKNVAPAHVPEIVIFIDAITIVFEEATKGGPPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPATSMWKNVKIQEEGSQFSKLQSPNSESKSNLSSKSPDRTKIVSDNQYAIMVSCRCNYTTSRLFFKQATSWRPRTSRDIIISVASEISGESPGPYERNSQLPVQVLTLQASNLTSEDLTLTVLAPASFTSPPSVVSLSSPTSPNSPFIGFTEFLGRINGERHAGTTQGASITSPLKENDKQDDVRPQSVSMNDDVIPSSGLSCTHLWLHSRVPLGCIPSQSTATIKLQLLPLTDGIITLDSLQIDVMEKGVTYIPERSLKINATSSISKGII >Vigun03g068600.6.v1.2 pep primary_assembly:ASM411807v1:3:5651204:5654838:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun03g068600.1.v1.2 pep primary_assembly:ASM411807v1:3:5651032:5654928:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun03g068600.4.v1.2 pep primary_assembly:ASM411807v1:3:5651564:5654858:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun03g068600.5.v1.2 pep primary_assembly:ASM411807v1:3:5651564:5654858:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun03g068600.2.v1.2 pep primary_assembly:ASM411807v1:3:5651032:5654928:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun03g068600.3.v1.2 pep primary_assembly:ASM411807v1:3:5651143:5654872:1 gene:Vigun03g068600.v1.2 transcript:Vigun03g068600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKGDRKTAIDVASWMFNIVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTLFLKWLGYIQTSHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVILDNVRYSRDTKLSISLVLFGVAVCTVTDVSVNAKGFIAAAVAVWSTSLQQYYVHFLQRKYSLGSFNLLGHTAPVQAASLLLVGPFVDFWLTNKRVDAYNYGLTSTLLIIISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLALGFVFFGKEGLNLQVILGMAIAIAGMIWYGNASSKPEGKERVSLPVNSTPKTQDYNVLSVSSETDH >Vigun06g104600.1.v1.2 pep primary_assembly:ASM411807v1:6:23406814:23409324:-1 gene:Vigun06g104600.v1.2 transcript:Vigun06g104600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTCTLRILTLIAICIPCAISFQLDFPAVFNFGDSNSDTGALIAAGFESLYPPNGQTYFQIPSGRYSDGRLIIDFLMDAMDLPFLNAYLDSLGLPNFRKGCNFAAAAATILPATSSSLCPFSFGVQVSQFLRFKARALELTAKGRKFDKYVPDENVFEKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILLELEKGIKNLYDQGARYFWIHNTGPLGCLPQNIAKFGTDASKLDELGCVSSHNQAAKTFNLQLHALCTKLQGQYPDSNVTYVDIFSIKSNLIANFSRYGFEQPIMACCGFGGPPLNYDSRVSCGETKTFNGTTITAQACNDSSEYISWDGIHYTETANQYVASQILTGKYSDPPFSDKMPFLLKLKF >Vigun07g180600.2.v1.2 pep primary_assembly:ASM411807v1:7:29749488:29753602:-1 gene:Vigun07g180600.v1.2 transcript:Vigun07g180600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWDTTEDKLKEHFGNYGDVLSTSVMREKNTGKPRGFGFVVFADPTILDRVLEDKHVIDGRTVDAKKAFSREDQQISVTSRGGNSNSGMNSGNGGNIRTKKIFVGGLPPTLTEEKFRQYFESYGHVTDVVVMYDQNTGRPRGFGFISFDTEEAVDRVLHKSFHDLIGKQVEVKRALPKDANPGASGRMMGGAGGGGSGIGGYQGYGASGGNQNAYDGRMDSSRYMQPQSAAGGFPPYGSSAYSAPGYGYGPANNGIGYGAYGSYGGATAGYGGPAGATYGNPNVPNAAYAGGPPGGPRSSWPAQAPSGYGSMGYGNTAPWGAPSGGAGSGGSGPGSAAAGQSPGGAAGYGNQGYGYGGYGGYGGSDSSYANSSAYGTVGGRTGSAPNNSASGPGGGELTSSGGSGSYMSGGYGDANGNSGYGNAAWRSEQTHASGNYGTPQGNGGQVGYGGGYGGAQSRQAQQQ >Vigun07g180600.1.v1.2 pep primary_assembly:ASM411807v1:7:29749413:29753602:-1 gene:Vigun07g180600.v1.2 transcript:Vigun07g180600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWDTTEDKLKEHFGNYGDVLSTSVMREKNTGKPRGFGFVVFADPTILDRVLEDKHVIDGRTVDAKKAFSREDQQISVTSRGGNSNSGMNSGNGGNIRTKKIFVGGLPPTLTEEKFRQYFESYGHVTDVVVMYDQNTGRPRGFGFISFDTEEAVDRVLHKSFHDLIGKQVEVKRALPKDANPGASGRMMGGAGGGGSGIGGYQGYGASGGNQNAYDGRMDSSRYMQPQSAAGGFPPYGSSAYSAPGYGYGPANNGIGYGAYGSYGGATAGYGGPAGATYGNPNVPNAAYAGGPPGGPRSSWPAQAPSGYGSMGYGNTAPWGAPSGGAGSGGSGPGSAAAGQSPGGAAGYGNQGYGYGGYGGYGGSDSSYANSSAYGTVGGRTGSAPNNSASGPGGGELTSSGGSGSYMSGGYGDANGNSGYGNAAWRSEQTHASGNYGTPQGNGGQVGYGGGYGGAQSRQAQQQ >Vigun08g116300.1.v1.2 pep primary_assembly:ASM411807v1:8:28329074:28331270:1 gene:Vigun08g116300.v1.2 transcript:Vigun08g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQIVPAYNNSVEAQYVEMMVPLYSYGCEKKIKKTFSNLKGIYSVNVDYYQQKVTVWGICNKYDVLETVKSKRKDARFWNQEHNVVLEKSESGFPQKHFKPSLALTKVRSLSLKAWKKVFTRSYSF >Vigun01g022850.1.v1.2 pep primary_assembly:ASM411807v1:1:2413192:2413641:-1 gene:Vigun01g022850.v1.2 transcript:Vigun01g022850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFHHHYTYEVTFPPSYTCEVPFPPCCTCEVSFLWALQSSPFFLYFHHWQSHEVLHSSGTCFIAFKTCFVLL >Vigun09g103800.1.v1.2 pep primary_assembly:ASM411807v1:9:18355151:18359312:1 gene:Vigun09g103800.v1.2 transcript:Vigun09g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESGTELNIKQGEPTRVQPAEETDNGPYFLSNLDQNIAVPVRTVYCFKSASRGNEDAAQVIKDSLSKILVPYYPMAGTLSISSEGKLIVRNPGEGALFVEAEANSDIDEIGDLTKPDPHTLGKLVYNVPGARNILEMPLMTVQVTKFKCGGFTLGLCMNHCMKDGLCAMEFVNAWSETARGLDLKIPPFLDRTIIKARDPPKVEFKHNEFAEIEDVSNTRKLYEEENMLYRSFFFDPEKIDLLKKKVTEDGVLSKCSTFEALSAFVWRARTAALRMQPEQQTKLLFAVDGRSRFVPPIPEGYFGNAIVLTNSLCEAGELLKNPLSYSVGLVRKAIDMVTDNYMRSAIDYFEVTRARPSLTATLLITTWTKLSFHTTDFGWGEPLCSGPVTLPEKEVILFLSHGQERKNVNVLLGLPKSAMEIFEDLVMQV >Vigun10g014500.1.v1.2 pep primary_assembly:ASM411807v1:10:1589366:1591380:1 gene:Vigun10g014500.v1.2 transcript:Vigun10g014500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTFIMIKPDGVQRGLVGEIIARFEKKGFYLKGLKLISVERAFAEKHYADLSAKPFFSGLVDYIISGPVVAMIWEGKNVVLTGRKIIGATNPAQSEPGTIRGDYAIDIGRNVIHGSDSVESARKEIALWFPDGSVNWQSSQHSWIYE >VigunL007100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000138.1:18255:19276:-1 gene:VigunL007100.v1.2 transcript:VigunL007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRLHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >Vigun11g182400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38574317:38575114:1 gene:Vigun11g182400.v1.2 transcript:Vigun11g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTTGNCSKIRRIVRVRQMLLRWRRKARLAASDVPAGHVAVCVGPSRRRFIVRATYLNHPIFKTLLAKAEEEYGFCNHGPLAIPCDESLFEELLRVVDRPVPGLCTLEDLRRRCHVGVSSGNSESWPLLRDELIC >Vigun08g111000.4.v1.2 pep primary_assembly:ASM411807v1:8:27544407:27556725:-1 gene:Vigun08g111000.v1.2 transcript:Vigun08g111000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTVGEGTFAKVKFAQNTETGESVAMKVLDRSTIFKHKMVDQIKREISIMKLVRHPYVVRLYEVLASRTKIYIILEFITGGELFDKIVSHGRLSEADSRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNIKISDFGLSAFPDQGVSMLRTTCGTPNYVAPEVLSHKGYNGAPADVWSCGVILYVLLAGYLPFDELDLTTLYSKIEKAQFSCPTWFPAGAKSLLHRILDPNPEHRITIQQIRDDEWFQKGYVPVSLLEYEDVNLDDVNAAFDNVEDQSTNQQCENDDMGPLTLNAFDMIILSQGLNLATLFDRGEDSIKYETRFISQKPPKVVLSSMEVVAQSMGFKTHIRNYKMRIEGIPANKASYFSVIIEVFEIAPTFFMVNIQKAAGDSSEYLKFYKNFSNNLEDIMWKPSHEKSKLRNSKTNCKE >Vigun08g111000.3.v1.2 pep primary_assembly:ASM411807v1:8:27544270:27556732:-1 gene:Vigun08g111000.v1.2 transcript:Vigun08g111000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTVGEGTFAKVKFAQNTETGESVAMKVLDRSTIFKHKMVDQIKREISIMKLVRHPYVVRLYEVLASRTKIYIILEFITGGELFDKIVSHGRLSEADSRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNIKISDFGLSAFPDQGVSMLRTTCGTPNYVAPEVLSHKGYNGAPADVWSCGVILYVLLAGYLPFDELDLTTLYSKIEKAQFSCPTWFPAGAKSLLHRILDPNPEHRITIQQIRDDEWFQKGYVPVSLLEYEDVNLDDVNAAFDNVEDQSTNQQCENDDMGPLTLNAFDMIILSQGLNLATLFDRGEDSIKYETRFISQKPPKVVLSSMEVVAQSMGFKTHIRNYKMRIEGIPANKASYFSVIIEVFEIAPTFFMVNIQKAAGDSSEYLKFYKNFSNNLEDIMWKPSHEKSKLRNSKTNCKE >Vigun08g111000.1.v1.2 pep primary_assembly:ASM411807v1:8:27544270:27556730:-1 gene:Vigun08g111000.v1.2 transcript:Vigun08g111000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKVGKYEIGRTVGEGTFAKVKFAQNTETGESVAMKVLDRSTIFKHKMVDQIKREISIMKLVRHPYVVRLYEVLASRTKIYIILEFITGGELFDKIVSHGRLSEADSRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNIKISDFGLSAFPDQGVSMLRTTCGTPNYVAPEVLSHKGYNGAPADVWSCGVILYVLLAGYLPFDELDLTTLYSKIEKAQFSCPTWFPAGAKSLLHRILDPNPEHRITIQQIRDDEWFQKGYVPVSLLEYEDVNLDDVNAAFDNVEDQSTNQQCENDDMGPLTLNAFDMIILSQGLNLATLFDRGEDSIKYETRFISQKPPKVVLSSMEVVAQSMGFKTHIRNYKMRIEGIPANKASYFSVIIEVFEIAPTFFMVNIQKAAGDSSEYLKFYKNFSNNLEDIMWKPSHEKSKLRNSKTNCKE >Vigun08g169500.1.v1.2 pep primary_assembly:ASM411807v1:8:34043572:34044412:1 gene:Vigun08g169500.v1.2 transcript:Vigun08g169500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVIKVQVESEKGRRKVLTVAAKSQGVRSLSLEGENRDQVVVTGDGVDAVKLTNQLRKNFYTTLISVEDMEEDEEEDEEEEEEDEEATPKENSSPPCPICTTYLQRCPLCTSFLPPSPMRSYVVYDSDTNSCTVV >Vigun08g169500.2.v1.2 pep primary_assembly:ASM411807v1:8:34043578:34044370:1 gene:Vigun08g169500.v1.2 transcript:Vigun08g169500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVIKVQVESEKGRRKVLTVAAKSQVHAGVRSLSLEGENRDQVVVTGDGVDAVKLTNQLRKNFYTTLISVEDMEEDEEEDEEEEEEDEEATPKENSSPPCPICTTYLQRCPLCTSFLPPSPMRSYVVYDSDTNSCTVV >Vigun03g036400.1.v1.2 pep primary_assembly:ASM411807v1:3:2797382:2804072:1 gene:Vigun03g036400.v1.2 transcript:Vigun03g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLIVEVEKAKEGTEEKPSMGPVYRSVFCNDPTPPAIQGLDTCWDIFRMSVEKYPTKTMLGVREIVDGKPGKYKWQTYQEVYDMVVKVGNSIRACGYGEGVKCGIYGANSPKWIMSMQACNAHGLYCVPLYDTLGSGAVEFIISHAEVSIAFVEEKKIPELLKAFPNAANFVKTIVSFGDVTPEQRQQVEQFGLTMYSWEDFLKVGHDQTFELPEKKKSDVCTIMYTSGTTGDPKGVLISNESIITLLAGIDKVLISCHEKLSDKDVYLSYLPLAHIFDRVIEEAMIMHGASIGFWRGDVKLLLEDIAELKPTIFVAVPRVLDRVYSGLNQKISTGGFMKQTVFNFAYSYKMHSLGKGRNHEEASPLFDKIVFNKVKQGLGGRVRIILSGAAPLSKHVEGYLRVVTCAHILQGYGLTETCAGTFVSLPNEKDMLGTVGPPVPYVDVCLESIPEMGYDALGDPPRGEICVRGSTVFKGYYKREDLTTEVMTNGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEHLENIYVQASVVDSIWIYGNSFESYLVAIVNTNKQAIEKWAEENRITADFDSLCEDSRTKTYVIGELAKIAKEKKLKGFEFIKSVHLDPVPFDMERGLMTPTFKKKRPELLKYYQNTIDEMYKALNASNKSSA >Vigun03g014700.2.v1.2 pep primary_assembly:ASM411807v1:3:1002216:1008811:1 gene:Vigun03g014700.v1.2 transcript:Vigun03g014700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSIEVAASRVQVLNSVNSKLPFLVTTADDAKDSLKEEIRLRYRCLDLRRQQMNSNILLRHKVVKLFRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRQPEFTQLDMEMAFTPYEDMLKLNEELIRKVFFEIKGVELPNPFPRLTYAEAMSRYGSDRPDTRFDLELKDVSDIFSGSSFKVFSDSLESGGVIKVLCVPSGTKKYSNSALKKGDIYSEVLKSGAKGLPFLKVLDDGNMEGISALVSSMDPTTRENLLRRCSARPSDLILFAVGHHASVNKTLDRLRVYVAHDLGLIDHDRHSILWITDFPMFEWNDPEQRLEALHHPFTAPNPEDMNDLASARALAYDMVYNGVEIGGGSLRIYKRDIQEKVLEIVGISMEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSIKT >Vigun03g014700.1.v1.2 pep primary_assembly:ASM411807v1:3:1002423:1008811:1 gene:Vigun03g014700.v1.2 transcript:Vigun03g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLKALPLMTSRTRPSLVFLCRVSNTVLLPLSRTRTVFSVSASATPSTQPLPSASETLTSNAKPPLALTESLEWINRTALCGELSSNDVGKRVQLCGWVALHRVHGGLTFLNLRDHSGIVQVTTLPDEFPDAHSAINDLRLEYVVAIEGVVRSRPSESINKKMKTGSIEVAASRVQVLNSVNSKLPFLVTTADDAKDSLKEEIRLRYRCLDLRRQQMNSNILLRHKVVKLFRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRQPEFTQLDMEMAFTPYEDMLKLNEELIRKVFFEIKGVELPNPFPRLTYAEAMSRYGSDRPDTRFDLELKDVSDIFSGSSFKVFSDSLESGGVIKVLCVPSGTKKYSNSALKKGDIYSEVLKSGAKGLPFLKVLDDGNMEGISALVSSMDPTTRENLLRRCSARPSDLILFAVGHHASVNKTLDRLRVYVAHDLGLIDHDRHSILWITDFPMFEWNDPEQRLEALHHPFTAPNPEDMNDLASARALAYDMVYNGVEIGGGSLRIYKRDIQEKVLEIVGISMEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSIKT >Vigun05g273000.2.v1.2 pep primary_assembly:ASM411807v1:5:46372694:46379559:-1 gene:Vigun05g273000.v1.2 transcript:Vigun05g273000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRARLRRRSQLYTFGCLKPTTTEELPYPLQGPGYSRTVFCNQPQLHEKNSLFYCKNDISTTKYNVITFLPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMVKEALEDSRRFFQDVKVNRRKVCLHIGNGTFGLRSWQKIVVGDVVKVEKDQFFPADLLLLASSYEDGICYVETMNLDGETNLKVKRSLEATLFLDNDEAFKDFSATIFCEDPNPNLYTFIGNFEHENQVYPLDPSQILLRDSKLRNTDHVYGVVIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISFISSIGFVAKTKYQAPTWWYLRPDNIEYQFDPGKIGLAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFIDQDIQMYDDETGTPAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMASDLEEPDMDMSNFSIPKESKVPWENITVDEESELGIVANSKNDEDQDQRTSIKGFGFEDDRLMNGNWMKEPNANVLLLFFRILAICHTAIPELNEETDICTYEAESPDEGAFLVAAREFGFEFYRRTQSSVVIRERFSASGQLVQREYKILNLLDFTSKRKRMSVIVRDEEGNIILFCKGADSIIFDRLSKNGKMYLDATTRHLNEYGEAGLRTLALAYRELDDQEYSDWNNKFQKAKTAVGADREAMLDQVSDVMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRQICITMNSETNDSKEVIKGNILNQITNASQMIKLEKDPHAAFALIIDGKTLTYALEDDVKLQFLGLAVGCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLVIFFLVIIIFYDQAFRANGQVADMAAVGTTMFTCIIWTVNCQIALTMSHFTWIQHLFVWGSITTWYLFLLLYGMLPPKYCKSAYKILVEVLAPAPIYWTTTLLVTITCVLPYLAHISLQRCSHPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRYFRSKLQKKQQQSSVGSSVGSLSPL >Vigun05g273000.1.v1.2 pep primary_assembly:ASM411807v1:5:46372662:46380135:-1 gene:Vigun05g273000.v1.2 transcript:Vigun05g273000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRARLRRRSQLYTFGCLKPTTTEELPYPLQGPGYSRTVFCNQPQLHEKNSLFYCKNDISTTKYNVITFLPKALFEQFRRVANIYFLLAACLSASPISPFSPLSMIAPLAFVVGLSMVKEALEDSRRFFQDVKVNRRKVCLHIGNGTFGLRSWQKIVVGDVVKVEKDQFFPADLLLLASSYEDGICYVETMNLDGETNLKVKRSLEATLFLDNDEAFKDFSATIFCEDPNPNLYTFIGNFEHENQVYPLDPSQILLRDSKLRNTDHVYGVVIFTGHDSKVMQNSTKSPSKRSTIEKKMDYIIYTLFTVLILISFISSIGFVAKTKYQAPTWWYLRPDNIEYQFDPGKIGLAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFIDQDIQMYDDETGTPAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMASDLEEPDMDMSNFSIPKESKVPWENITVDEESELGIVANSKNDEDQDQRTSIKGFGFEDDRLMNGNWMKEPNANVLLLFFRILAICHTAIPELNEETDICTYEAESPDEGAFLVAAREFGFEFYRRTQSSVVIRERFSASGQLVQREYKILNLLDFTSKRKRMSVIVRDEEGNIILFCKGADSIIFDRLSKNGKMYLDATTRHLNEYGEAGLRTLALAYRELDDQEYSDWNNKFQKAKTAVGADREAMLDQVSDVMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRQICITMNSETNDSKEVIKGNILNQITNASQMIKLEKDPHAAFALIIDGKTLTYALEDDVKLQFLGLAVGCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLVIFFLVIIIFYDQAFRANGQVADMAAVGTTMFTCIIWTVNCQIALTMSHFTWIQHLFVWGSITTWYLFLLLYGMLPPKYCKSAYKILVEVLAPAPIYWTTTLLVTITCVLPYLAHISLQRCSHPMDHHIIQEIKYYKKDIEDQHMWTRERSKARQETKIGFTARVEAKIRYFRSKLQKKQQQSSVGSSVGSLSPL >Vigun06g160800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28313508:28314389:-1 gene:Vigun06g160800.v1.2 transcript:Vigun06g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLVLVAFLLAIIGCQARKLGGSDEQKEAFHHLHAYGDGGGSAGGGGNGAYGSGGGVGGGNGHGGVGGGNGGFGGGGGGGGGGNGGYAGGSGGAAGGNGNGGFNGGNGGYGGSGGGVGNGGGGGVGTGGGVGNGGGGGVGSGGGGGNGGGGGVGTGGGGGVSNGGGGGLGTGGGVGNGGGGGVSNGGGGGVGNGGGGGNGGGGGGGNGGGGGNGGGGGNGGGGGVGNGGGGGGVGTGGGVGNGGGGGVGTGGGGGYGGGGGVGNGGGGGNGSGGGIGNGGYGGGIGGESMD >Vigun01g092600.2.v1.2 pep primary_assembly:ASM411807v1:1:25411046:25417900:1 gene:Vigun01g092600.v1.2 transcript:Vigun01g092600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRNENCGVGLGGGGKWFRGFGSLIRRKQVDSVHARRRAQLARKLSAVDLVGIGVGATIGAGVYILIGTVAREQAGPALVISLLIAGIAAGLSAFCYAELACRCPSAGSAYHYTYICIGEGVAWLVGWSLILEYTIGASAVARGITPNLENLPLFLARHTLPGLGIVVDPCAAALILLVTVLLCLGIKESSMAQSIVTTVNVGVMLFIILVGGYLGFKSGWVGYELSSGYFPYGVNGMFAGSAIVFFSYIGFDSVSSTAEEVKNPQRDLPIGISTALTICCLLYMLVAAVIVGLVPYYELNPDTPISSAFSSYGMEWAVYIITTGAVTALFSSLLGSVLPQPRVFMAMARDGLLPPFFSDIHKGTQIPLKSTIVTGVFAATLAFFMDVSQLAGMVSVGTLLAFTTVAVSVLIIRYVPPDEVPIPSSLLSSVDQLLGHSGGHIGEDMEISPVDPAVYCEDSHLHDKSEALLKHPLIIKEVTKDEQNEKSRRKLAAWTIAFLCIGILIVTSAASADWSSRILQITVCGMGGVVLLCSVIVLACIKQDDRSHSFGHSGGFACPFVPFLPSACILINTYLLIDLGVDTWLRVSVWLLIGVLIYLFYGRTHSSLLHAIYVPSAYADEIHRSQAIHIA >Vigun01g092600.1.v1.2 pep primary_assembly:ASM411807v1:1:25411046:25417900:1 gene:Vigun01g092600.v1.2 transcript:Vigun01g092600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRNENCGVGLGGGGKWFRGFGSLIRRKQVDSVHARRRAQLARKLSAVDLVGIGVGATIGAGVYILIGTVAREQAGPALVISLLIAGIAAGLSAFCYAELACRCPSAGSAYHYTYICIGEGVAWLVGWSLILEYTIGASAVARGITPNLALFFGGEENLPLFLARHTLPGLGIVVDPCAAALILLVTVLLCLGIKESSMAQSIVTTVNVGVMLFIILVGGYLGFKSGWVGYELSSGYFPYGVNGMFAGSAIVFFSYIGFDSVSSTAEEVKNPQRDLPIGISTALTICCLLYMLVAAVIVGLVPYYELNPDTPISSAFSSYGMEWAVYIITTGAVTALFSSLLGSVLPQPRVFMAMARDGLLPPFFSDIHKGTQIPLKSTIVTGVFAATLAFFMDVSQLAGMVSVGTLLAFTTVAVSVLIIRYVPPDEVPIPSSLLSSVDQLLGHSGGHIGEDMEISPVDPAVYCEDSHLHDKSEALLKHPLIIKEVTKDEQNEKSRRKLAAWTIAFLCIGILIVTSAASADWSSRILQITVCGMGGVVLLCSVIVLACIKQDDRSHSFGHSGGFACPFVPFLPSACILINTYLLIDLGVDTWLRVSVWLLIGVLIYLFYGRTHSSLLHAIYVPSAYADEIHRSQAIHIA >Vigun03g040600.1.v1.2 pep primary_assembly:ASM411807v1:3:3118556:3123773:-1 gene:Vigun03g040600.v1.2 transcript:Vigun03g040600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPIPLDLAPTPKLMGSEGFSIIQKDVANIKTASEFSSCNKFAETQLSKNSSQLPHLRGEEASKNSPSGNGKSSPVINSRLEGVSLQRKAAKSNRSNSSCSKRPRISQPEDSLSPIGIEESKDISDKLGSNNLSCTSPEKSQLPKQRSNASKRGDKRNFKVPSAKAKFESSSMKMGGSIFSSTSAGNNFFGLYGLKHDFHDVTKLMDEPPLDELLRGTFDCPNLSKDKGKKTSNLSVSFLNSVRKACSILQPPKSTQSQNMSEVDYSSNMKMSTCQLSSVCAAESVGNGDKEQSLDMSSCQKDHCSETESSTSPLDFPLHQPKDVLERLAVHPLQELESLLLDVSKPAVTTKNSNNDQRSGKQVSRRPSLPAFTWSHAFGGHSRTNSDAVKSSTSRSMCQGKWSRIGVITDSTSTDRSSFTNLDTFSYDESLVPSSGSTEKKNFSSLFANLPFHQLDSSSSVSCSENSQAKAELGGEVDTKENDERCPRILTAAQTLCEIATHSPRRSSDGILRWQRKTSQKAMKACHYKSNEKLEEMSSRSISTIGSDIVGRSVEQIVPSKKPRFSIVENKNSSHYNNDAKKGHFVWPISKSSRSLPSKQVRDSFVENKRTNASILKQHCMMPPPARDLNKVHDSQQQVGKLVVMDWKRGRDNTD >Vigun07g175200.1.v1.2 pep primary_assembly:ASM411807v1:7:29052359:29053345:-1 gene:Vigun07g175200.v1.2 transcript:Vigun07g175200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCQGKNSWPELVGVEGSVAVATIERENSYVNAHTVLKGSIVTDDFRCDRVRVWVTKEGIVYQIPTIG >Vigun09g040900.1.v1.2 pep primary_assembly:ASM411807v1:9:3756202:3763833:-1 gene:Vigun09g040900.v1.2 transcript:Vigun09g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKKLSQGVKNEGNGSNDNVFASCSFSSLGLDSNLCEQLHERLGFEVPTLVQAQAIPVILSGRHALVNAATGTGKTAAYLAPIVHLLQGYENRIQRSDGTFALVLVPTRELCLQVYEILQKLLHRFHWIVPGYVMGGENRSKEKARLRKGISILIATPGRLLDHLKNTTSFLHSSLRWIIFDEADRILELGFGKDIEEILDLLGSRKTAHDDQKNTVPRNSKIQRQNLLLSATLNEKVNHLAKISLDNPVMVGLDGKKIEQISTAVSNDHSESDEDNEDQYSSKMPTVGDYKVPVQLIQRYMKVPCGSRLPILLSIIKHLFEREPSQKVVVFFSTCDAVDFHYSLLSEFQFSSYPQTEGTRQKFLGCKIFQLHGSMVQEDRRTSFQTFKTEKSALLLSSDVSARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGESLLFLQPVEIDYLQDLEKHGVSLTEYPVLKVLDSFPLQKNYTKKSVFLDSHPWVLSLQKALEAFIMSKPEVDKLAKKAFCSWVRAYTAHRGELKRIFMIKKLHLGHVAKSFALKQQPSLVGQSFQKQAKKRKIFERKTGVSKKRKVGSVTERRP >Vigun09g040900.2.v1.2 pep primary_assembly:ASM411807v1:9:3756202:3763833:-1 gene:Vigun09g040900.v1.2 transcript:Vigun09g040900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRARGRLLHISLRLFISCRDTKIGFSALMEHLVYEILQKLLHRFHWIVPGYVMGGENRSKEKARLRKGISILIATPGRLLDHLKNTTSFLHSSLRWIIFDEADRILELGFGKDIEEILDLLGSRKTAHDDQKNTVPRNSKIQRQNLLLSATLNEKVNHLAKISLDNPVMVGLDGKKIEQISTAVSNDHSESDEDNEDQYSSKMPTVGDYKVPVQLIQRYMKVPCGSRLPILLSIIKHLFEREPSQKVVVFFSTCDAVDFHYSLLSEFQFSSYPQTEGTRQKFLGCKIFQLHGSMVQEDRRTSFQTFKTEKSALLLSSDVSARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGESLLFLQPVEIDYLQDLEKHGVSLTEYPVLKVLDSFPLQKNYTKKSVFLDSHPWVLSLQKALEAFIMSKPEVDKLAKKAFCSWVRAYTAHRGELKRIFMIKKLHLGHVAKSFALKQQPSLVGQSFQKQAKKRKIFERKTGVSKKRKVGSVTERRP >Vigun09g024100.1.v1.2 pep primary_assembly:ASM411807v1:9:1910956:1917830:-1 gene:Vigun09g024100.v1.2 transcript:Vigun09g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHQFKSWIFELREILREIKNSRYFLDSWTQFNSAGFFIHIFFHQESFIKLLDSRIWSILLSRNSQGSTSNRYFTIKYVVLFVVAVLIYRINNRKMVERKNPYLTRLLPIPMNSIGPKNDTLEESSESSNINRLIVPLLYLPKGKKISESSFLDPKESTRVLPITKKYIMPEFNWDSRWWRNWIGKKSDSSCKISNETIAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWEFFDRLSPRKRRNIINLNSGQLFEILVKDWIYYLMFAFREKIPKEVEGFFKQQGTGSIIQSNDIEHVSHLFLRNKRAISLQNCAQFHMWQFRQDLFVSWGKSPHESDFLRNMSRENWIWLDNVWLGNKDRFFSKVRNVSSNLQYDSTRSSFIQVTDSSQLKGSSDQSKDSFDSIRNEDSKYHTLINQREIQQLKERSILCWDPSFLQTERTEIESERFLKILSGYSSMCRLFMEREKQMNNHLLPEEIEEFFGNPARATRSFFSDRWSELHLGSNPTDRSTRDQKLLKKEQKKHLAFSRRLEKKEIVNLFKIIMYLQNTVSIHPISSYRGCDMVPKGELDSSNKISFLNKNPFWGLFHLFHDRNRGRYTLHHDFESEDIFQEMADLFTLSITEPDLVYHKEFDFSMDSSGLDQKHFLNELLNSRDESKKHSLLVLPPLFYEENESFYRRIIKKWVQTSCGNNVEDPKPKIVVFATNNIMEAVNQYRLIRNLIQIQYSTHGYIRNVLNRFNCNFEYGIQRYQIGNDTLNHRTRMKYTINQHLSNLKKSQKKWFDPLILISRTERSMNWDPNVYRYKWSNGSKNFQEHLDYFISEQNSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLCLFLSKLLLFLPKFLLFLSNSLPSFLFVSFGGIPIHRSEIHIYELKGPNDPLCNQLLESIGLQIFHLKKRKPLLLDDQDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSMISHDPDNWLNPVKPFHRSSLIYYFYKANRLRFLNNQYHFCFYCNKRFPFYVEKARINNYDFTYGQFLKILFIRNKIFSFCDGQKKHAFLKRDTISPIELQVSNILIPNDFPQSGDEGYNFYKSFHFPIRYDPFVRGAIYSIADISGTPLTEGQIVHFEKTYCQPLSDMNIPDSEGKNLYQYLNFNSNMGWIHTPCSEKYLPSEKRKKRSSCLQKCLEKGQMYRTFQQDSVFSTLSKWNLFQTYIPWFLTSTGYKYLNFIFLDTFSDLLPILSSSQKFVSIFHDIMHGSDILWRIRQIPLCLPQWNLISEIPGNCFHNLLLSEEMTHRNNELLLISTHLRSLNVQEFFYSILFLLLVAGYLVRTHLLFVSRVYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNIFLVALEQLGDSLEEIRSFAFGSNMLWGGGPAYGVKSIRSKNKYWNLIDLISIIPNPINRIAFSRNTRHLSHPSKAIYSLIRKIKNVNGDWIDDQIESWVSNTDSIDDKEKEFLVQFSTLTTEKRIDQILLSLTHSDLLSKNNSGYQISEQPGAIYLRYLVDIQKIERRIFLANYQTITYSHTLWGANSLHFPSHGKPFSLRLALPPPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDNSDYIDDSDDIDDSDDIDDSDDSDDIDRDLDMELELLTMMNTLTMDMMPEINRFYITFHFELAKAMSPCIIWIPNIHDLDVTESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKFNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMSHTNGFGSTTMGSNVRDLVALNNEALSISIIQKKSIIDTNIISSVLHRQTWDFRSQVRSVQDHGILFYQIGRAVSQNVLLSNCSIDPISIYMKKKSCDGGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVEKNYDLVHGLLEVEGALVGSSRTEKDCSQFDKDRVTLLLRSEPRNPLNRIQNGSYSIVDQRFLYEKYESEFEEGGGVLDPQQIEEDFFNHIVWAPRIWRPWGFLFDCIERPNNLGFPYWARSFRDKRIIYDEEDELQENDSEFLQGETMQYQTRDRSSKEQGFFRISQFIWDPVDPLFFLFKDQPFVSVFSHRQFFTDEEMSRELLTSQTNLPTSIYKHWFIKNTQEKHFEFLIHCQRWLRINSSSSKGFFPSNTLSESYQYLSNLFLSNEALLDQMTKTLLRKRWLFPDEIVVASCSNNESLV >Vigun07g183200.1.v1.2 pep primary_assembly:ASM411807v1:7:29952224:29955073:1 gene:Vigun07g183200.v1.2 transcript:Vigun07g183200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKAKPKGELALVESTTTNATTSYMGVRTRAKTLALQKSHPQPELAPSSDSYLQLRSRRLQKPPILLHSPRRNKQPNPKSPIPEPARLGLASEQDATLTARSHKEESTLHENAEVQEASFGENVLDFEGRERSTRESTPCSLIRDPDTVRTPGSTTRPTCSTDANRRTEHANRRQIPTSREMDEFFAEVEEAQQRKFIEKYNFDPVNEKPLPGRFEWEKLKP >Vigun03g046900.1.v1.2 pep primary_assembly:ASM411807v1:3:3753020:3756887:1 gene:Vigun03g046900.v1.2 transcript:Vigun03g046900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTSTFVTRWINFLTMLLAIVVIIFGVWMSTHHDGCRKSLTLPVIGLGAVIFLISVVGFLGALKDISILLWVYLISLFIVLMGILVFTVLVFIVTNNGSGHSVTGLRYKEYQLQDFSSWFLKELNNSHNWERLKVCLVKSEDCNNLSKKYKTLKQYKSAKLTPIEAGCCRPPSQCGYPAANASYYDLTFHPVSPNNDCKRYKNSRAIKCYDCDSCKAGVAQYMKTEWRVVAIFNVVLFVVLSIIYFVGCCARRNAARRHSKA >Vigun03g046900.2.v1.2 pep primary_assembly:ASM411807v1:3:3753020:3756887:1 gene:Vigun03g046900.v1.2 transcript:Vigun03g046900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHHDGCRKSLTLPVIGLGAVIFLISVVGFLGALKDISILLWVYLISLFIVLMGILVFTVLVFIVTNNGSGHSVTGLRYKEYQLQDFSSWFLKELNNSHNWERLKVCLVKSEDCNNLSKKYKTLKQYKSAKLTPIEAGCCRPPSQCGYPAANASYYDLTFHPVSPNNDCKRYKNSRAIKCYDCDSCKAGVAQYMKTEWRVVAIFNVVLFVVLSIIYFVGCCARRNAARRHSKA >Vigun04g191250.1.v1.2 pep primary_assembly:ASM411807v1:4:41548850:41552291:-1 gene:Vigun04g191250.v1.2 transcript:Vigun04g191250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGGALLSAFLQVAFDRLASPQVLDFFRGRKLDEKLLSKLHITLHSINSLADDAEQKQFRDPYVKAWLLAVNDAVFDSEVLLDSIDYELIKCNVEAESAPQSLTSKVSNFFDSTFRSFNKKINSGMREVTEKLEYLAKQKGALGLKKYSYSGDGPDSKVPQKLPSSSLVVESVIYGRDADKEIIFNWLTSETDNHNHLSILSIVDMGGLGKTTLAQHVYNDPKIDDAKFDIKAWVCVSDHFDVLTVTKTILESITDKKDDSGNLNMVHKNLKEKLSVKKFLLVLDDVWNEKREEWEVVQTPLNYGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHALKDDDLELNDEKKKIGRSIHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLCHSQHIENVEEVGEQYFDDLLTRSFFLRSSIKMRFVMHDLLNDLAKYVCGNFCFMFKFDKGDRIPETTRHFSFLIDNEVYDDGMGSLIDAKRLRSFTPITNKINDSFPCELILIDELFSKFKFLRVLSSSGFGDLITEVPDSVGALKHLRSLDLSYTKIQKLPDSLNCCSYLEELPSNIHKLTKLHCLEFEDTKVTKMPMHFGELKNLHLLNAFRVHSESSIKQLGGLNLHGSLSIYQANLKDKHLVELGLIWNSNHVPNDAREEKEVFENLQPSIHLEHLSIWSYHGIEFSSWLFDNSLSNLVFLRLNNCKNCLCLPSLGELSSLKNLEIEGLDGIVSIGVSDGFYGSNSSSFASMERLSFRNMKEWEEWECKTTSFPRLQYLFVDQCCKLKGLPEQLIHLKNIFIGGCDKLTISVNNMDTSSLQFLNINSCPRVNIPITAFNSLEVMRITHGCPSLIIFPLDFFPKLRTLLLFHCQNLQRISQEETHNHLKEVQILCCPQFESFPSEGLSARLDIN >Vigun01g072800.1.v1.2 pep primary_assembly:ASM411807v1:1:20189664:20193739:1 gene:Vigun01g072800.v1.2 transcript:Vigun01g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYAKLIRRMNPPRVVIDNNACENATVIQVDSVNKHGILLDVVQVISDMNLVITKAYISSDGVWFMDVFNVIDRNGNKIRDKEVIDYIQRRIENNPSFAPSLRESVGVVPTEEHTVIELTGTDRPGLLSEICAVLTDLHCNVATAEIWTHNTRAAAVVHVTDDSSGCAIKDPSRLSTIRDLLSNVLRGSNDPKTARTTLSPTGVTNRDRRLHQIMFADRDYERIEREGRGGLRDRDKRPLPHVTVVDCVEKDYTVVTMRAKDRPKLLFDIVCTLTDMQYVVFHGVVKTLRTEAFQEFYIRHVDGFPISLEAERERLVQCLEAAIERRASEGMELELCTKDRVGLLSDITRIFRENSLCIKRAEISTEEGMARDTFYVTDVTGNPVDPKIIDSIRRQIGDKVLNVKHSSSLSPKAPQPTTIGFLLGNFFKARSFQNFKLIRSYS >Vigun02g141600.1.v1.2 pep primary_assembly:ASM411807v1:2:29022096:29027615:1 gene:Vigun02g141600.v1.2 transcript:Vigun02g141600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSKPTLSSTQSATHSPTLPSQDVAVAVTHSHSAEPHLHSANGESENPKEVDNGKRSPFFPFYSPSPGRYVFPVSPRRFFKRSFLSPSPAKHIRAALARRHGSVKPNEAAIPEAEAVAGLDKNFGFSKHFGNKYEVGDEVGRGHFGYTCVAKVKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVNILRALTGHKNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDAKVVLRQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSILKTIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRAYSTEADVWSIGVIAYILLCGSRPFWARTESGIFHAVLKADPSFDEPPWPSLSDEATNFVMRLLNKDPRKRMSAAQALCHPWIKNKDVKVPLDILIFKLMKAYMRSSSLRKAALRALSKTLTVDELFYLREQFSLLEPSKKGSINLENIKAVLMVNATDAMNESRVPDFLTSLNALQFRRMDFDEFCAAALSVHQLETHDQWEQRARYAYELFEKDGNKAIVIDELASEFGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSLAKAQ >Vigun09g062100.1.v1.2 pep primary_assembly:ASM411807v1:9:6459596:6470374:1 gene:Vigun09g062100.v1.2 transcript:Vigun09g062100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYNKLVKLAARAFYDDLTSKGENQVKSGRSDNRGIAVVILDALTRRQWVREEDLAKDLKLHTKQLRRTLRFFEEEKIITREYRRETAKGAKLYSAATAATVDAQLTGKEGEEKVKLHTHSYCCLDYAQIYDVVRYRIHRMKKLLKDELDNKNTIEEYICTKCGKRYNALDALRLVSFEDDDFHCESCNGKLEVESDKFVVQEGADGDDNARRRRHEKLKDMLQKMEIQLKPLMDQLSRVKDLPVPEFGSLIAWEARASAMGRAANGDMAGDSKMSQLGYNGAPMPYSGDTKVVVDFNGTEGNRHDVKSETESSSLKVLPPWMIKSGMVLTKEQRGEVKEEIKMDGTSTSTSAQYSDDKKSTVDHDDKKNIQDEYIKAYYAALLKQQHEMEASKKQDSSDTFTENDPSSRASVRQVGIKSKHEEDDDDDGTDWEQAPVAGTGNENYKVNDLNVQADVAPADVDEDEDVDWEEG >Vigun02g094200.1.v1.2 pep primary_assembly:ASM411807v1:2:24916588:24924197:1 gene:Vigun02g094200.v1.2 transcript:Vigun02g094200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKASDRVNLKDRVNLKRKRATRYAAHFSGASQPILGHWPSYVSPTSRVAKRMRFGGCRNKLTNAGPHIDQSLVRRFSNYKKSGRLERLMFYRNGEWCDLPKDVVDLVKKDLEVKKVAVEIELNGYHLVFDFLHLRKVDLKTGLQQPIAWIDDAGHCFFPEIYTYSDEEPYNTSKQESGKSPDSYASNEIKLHLEVEINGVDQSRLSECSGESNALVKGIQIDTKQNYCQYDVEVEDSINKQDCRNVGEAIQHNQDIGLDAYTESIYGKLDLNSVQKMFLKGMSSFGSSDSDIVEIYHCSGASMQARWELFQKQAEITKKIHGEANIRYAWLAFSKRELSTMMEYGLGHCGLSGPKCTYGIGVHLAAASCPDASVRYCDVDENGVRHLALCRVIMGNMEILCPGSGQFQPSSCEYDSGVDDIQCPRYYVVWNMNMNTHIYPEFVVSFKVPSDAEGHFCGHEVNTASRGPHGLSESSAIDNGKAHSVVASTPKVPKSPWMPLPALFAAIKNQVPSKNMNLIKTHYEQFKSKQISRDDFVKMLRLIVGDALLRDTINDLQYKIPSNGGLDDSIKKEG >Vigun03g001200.2.v1.2 pep primary_assembly:ASM411807v1:3:105785:109341:-1 gene:Vigun03g001200.v1.2 transcript:Vigun03g001200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMACEGVSCRGNQASTLILTSGASGRIRALFSLRALKALLAFFNAVVLLLLFPFRKGTLLSSRLAWKSAAASTSSTARRALAIRRLMDDADADPTSLRDYRLIASSRGDTIFTQSWIPRSPNNTIRGVVFLMHGLNEHSGRYTDFAKQLNANGFKVYAMDWLGHGGSDGLHGYVHSLDDAVSDMALLDPKFEARIAGAVLTSPAVGVAPAHPVLLVLAPIASFVLPTYQCSSAYKKGSVVSRDPEALIAKYSDPLVCTGPLRVRTGYEILRITTYLQKNLKKLRVPFFVLHGTADSVTDPVASQKFYVEASSTDKSIKLYDGFLHDLLFEPERDAITQDIIQWLNSRV >Vigun03g001200.1.v1.2 pep primary_assembly:ASM411807v1:3:105785:109341:-1 gene:Vigun03g001200.v1.2 transcript:Vigun03g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMACEGVSCRGNQASTLILTSGASGRIRALFSLRALKALLAFFNAVVLLLLFPFRKGTLLSSRLAWKSAAASTSSTARRALAIRRLMDDADADPTSLRDYRLIASSRGDTIFTQSWIPRSPNNTIRGVVFLMHGLNEHSGRYTDFAKQLNANGFKVYAMDWLGHGGSDGLHGYVHSLDDAVSDMKTFLDKVLNENPGLPCFCFGHSTGAAITLKALLDPKFEARIAGAVLTSPAVGVAPAHPVLLVLAPIASFVLPTYQCSSAYKKGSVVSRDPEALIAKYSDPLVCTGPLRVRTGYEILRITTYLQKNLKKLRVPFFVLHGTADSVTDPVASQKFYVEASSTDKSIKLYDGFLHDLLFEPERDAITQDIIQWLNSRV >Vigun08g160100.1.v1.2 pep primary_assembly:ASM411807v1:8:33270031:33274741:1 gene:Vigun08g160100.v1.2 transcript:Vigun08g160100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFITPLILLFISAIFLFLHRRSRYRRLRLRLPPGTLGLPFAGETLQLISAYKTDNPEPFIDHRVHRYGPIFTTHVFGEPTVFSADPETNRFILLNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKNHLLVDIDRLIRLNLDSWSDRVFLMEEAKKITFELTVKQLMSFDPGEWTETLRKEYVLVIEGFFTLPLPLFSTTYRRAIKARTKVAEALTLIVRERRKESVKEEKKNDMLGALLASGNNFSDEEIVDFMLALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHDHIRAKKSHPEEPLEWTDYKSMAFTQCVVNETLRVANIIGGIFRRAMTDINIKGYTIPKGWRVFASFRAVHLNPDHYKDARTFNPWRWQSKSEATSPGNVYTPFGGGPRLCPGYELARVVLSVFLHRIVTRYSWFPAEEDKLVFFPTTRTQKRYPIIVKRREEWKRKAKEEE >Vigun01g086400.3.v1.2 pep primary_assembly:ASM411807v1:1:24346235:24349076:1 gene:Vigun01g086400.v1.2 transcript:Vigun01g086400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGRSLSPLVRQELANLDKDEESRKSAMKALKSYVKDLDFKAIPVFLAKVSESKENCSEEFTISIYEVLARVHGVKIVPLIDTIMKTIVKTLASSAGSFPLQQACSKVVPAIARYGIDPSTPEDQRRNIIQSLCMPLSDSLASSQEGLTSGAALCLKALVDSENWRYASDELVNRVCQNVAVALEGKSGQTNSHMGLVMSLAKRNALVIEAYARLLIQSGIRIVNAGLVEGNSQKRLSSIQMVNFLMRSLDSRSIFSEVEFIIEELEKCQSDKMAFVQGAALEALQTAKRIACDKKPRYAKSPSSVTGSNFSTREGEHTSSGEGENTSSTPSSISPESRTLDFFPGYESMESPISSNLNYERRSVTRKLWSNENGGVDVSLKDGLFSQVGKESALSEHSLIHEFSNGDGYYTEEFAGFMHRNPRHGVSKSTTTSPLRSRTQATIDSIKIFETPRKLIHSLQDSSDLTLSCSKKQNRRFKSLSSGNIEWSPASKYDEKGFSNDVKCDSEVIESCGEVEFQVSSESVSSSDDLPVDANKQMSTKVVSENRNVTPTALQKTKYKLVCGLSFVLLAVATPLLWINVQDEGHYLVPT >Vigun01g086400.2.v1.2 pep primary_assembly:ASM411807v1:1:24346235:24349076:1 gene:Vigun01g086400.v1.2 transcript:Vigun01g086400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGRSLSPLVRQELANLDKDEESRKSAMKALKSYVKDLDFKAIPVFLAKVSESKENCSEEFTISIYEVLARVHGVKIVPLIDTIMKTIVKTLASSAGSFPLQQACSKVVPAIARYGIDPSTPEDQRRNIIQSLCMPLSDSLASSQEGLTSGAALCLKALVDSENWRYASDELVNRVCQNVAVALEGKSGQTNSHMGLVMSLAKRNALVIEAYARLLIQSGIRIVNAGLVEGNSQKRLSSIQMVNFLMRSLDSRSIFSEVEFIIEELEKCQSDKMAFVQGAALEALQTAKRIACDKKPRYAKSPSSVTGSNFSTREGEHTSSGEGENTSSTPSSISPESRTLDFFPGYESMESPISSNLNYERRSVTRKLWSNENGGVDVSLKDGLFSQVGKESALSEHSLIHEFSNGDGYYTEEFAGFMHRNPRHGVSKSTTTSPLRSRTQATIDSIKIFETPRKLIHSLQDSSDLTLSCSKKQNRRFKSLSSGNIEWSPASKYDEKGFSNDVKCDSEVIESCGEVEFQVSSESVSSSDDLPVDANKQMSTKVVSENRNVTPTALQKTKYKLVCGLSFVLLAVATPLLWINVQDEGHYLVPT >Vigun01g086400.1.v1.2 pep primary_assembly:ASM411807v1:1:24346107:24349076:1 gene:Vigun01g086400.v1.2 transcript:Vigun01g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGRSLSPLVRQELANLDKDEESRKSAMKALKSYVKDLDFKAIPVFLAKVSESKENCSEEFTISIYEVLARVHGVKIVPLIDTIMKTIVKTLASSAGSFPLQQACSKVVPAIARYGIDPSTPEDQRRNIIQSLCMPLSDSLASSQEGLTSGAALCLKALVDSENWRYASDELVNRVCQNVAVALEGKSGQTNSHMGLVMSLAKRNALVIEAYARLLIQSGIRIVNAGLVEGNSQKRLSSIQMVNFLMRSLDSRSIFSEVEFIIEELEKCQSDKMAFVQGAALEALQTAKRIACDKKPRYAKSPSSVTGSNFSTREGEHTSSGEGENTSSTPSSISPESRTLDFFPGYESMESPISSNLNYERRSVTRKLWSNENGGVDVSLKDGLFSQVGKESALSEHSLIHEFSNGDGYYTEEFAGFMHRNPRHGVSKSTTTSPLRSRTQATIDSIKIFETPRKLIHSLQDSSDLTLSCSKKQNRRFKSLSSGNIEWSPASKYDEKGFSNDVKCDSEVIESCGEVEFQVSSESVSSSDDLPVDANKQMSTKVVSENRNVTPTALQKTKYKLVCGLSFVLLAVATPLLWINVQDEGHYLVPT >Vigun04g063933.1.v1.2 pep primary_assembly:ASM411807v1:4:6927110:6928370:-1 gene:Vigun04g063933.v1.2 transcript:Vigun04g063933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSLDSRGSLMIKYAKASQNLNELENLGCTIVNEVDARTMAQHPLLQHLKFDRVVYNFPHAGFRGRESDYFQIELHRNVVRGFLQNAKRMLTICGEIHTTHKTKHPYNMWNIKLLGSWEDLELVGEVEFKQNLYPGNKNKRGDGCKNVIKVFLLESAVPSSSH >Vigun05g185150.1.v1.2 pep primary_assembly:ASM411807v1:5:35718656:35719458:1 gene:Vigun05g185150.v1.2 transcript:Vigun05g185150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMREKISQRQGSQAQNFVFFQSKMTMMNERLENISQKQDSQAQNFDKDFIFFCLE >Vigun09g095400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:14153136:14153276:-1 gene:Vigun09g095400.v1.2 transcript:Vigun09g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPTLWGCPQRRGTCTRVYVRLVQLRY >Vigun09g008300.1.v1.2 pep primary_assembly:ASM411807v1:9:641231:646552:-1 gene:Vigun09g008300.v1.2 transcript:Vigun09g008300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEREGTKSGGYVGGFFQLFDWTSKSRKKLFAAKSDLPETLKQGRRVGYNVAMPMTQSSYLVDEDENGVGASLRGSCDHSYASSVTDDEAFGTRAPSVVARLMGLDSLPSSSFSDPYATPYFDTRSLQDAQYFKKNFGHQHDHQTPYSGKLVEKVEGSSRSFIEPKPQKAITRPIEKFQTEVLPPKSAKSIPVTHHKLLSPIKSPSFVPTNNAAYIMEAAARIIEPGSQASTRAKTPLVASSAPLRVRELKDKVEASQKGPLIGPSSMTSRARDLKEKRELSQRTARLSVSCQRSVESNAAKYLKGQSLNRSWNGSEDISIKSPTHEEEVSSLKNNKGKSISLAIQAKVNVQRREGLSMTGGRSMTTQKENPDLKSNQPMKTNVQKNLHKKSSTQNSSGALRQNNLKQNYSTDKDKLPSKPLTTNSHSRKVLTGDSPYGRHRSSSNKSIAKSKVGSRKSAMEVTDSEKEVLYTSTNNFPRKKRSTDKDWNDRVVDNLFIEKTQKPAKSNLVSNKQNSWAEDVKKKDMDVVSFTFTTPLTRSNPGFETSGQAAQTTNNGLSLDQRIKRVLLDPDNTRSPIGYNLIGGGDALGVLLEQKLRELTCMETTCHDSSKMRQPAITPTVSDDQVTGLNVVNLNPRLQQKKDQDVLFTDKLRNNYDSDISFRGLPELSLKQNSWIDEMEPQLLNCRHPSPISVLEPSFSIESCESSLSTDVTSTEGSKLWSSFQAQEVQGLNFSRKFYACETDAELSDSASSTSAGNMMKHTSTVTRFGSSSSTWELDYVKDILSTVELMYIDFSLGQASEVINPHLFKQLEGRKGGFKHDEEARMRRKVTFDCVSECLDLRCRRYVGGGFKMWTKGLEMVKRKEWLAEDVYKDISCWRGMGDSMVDELVGKDMSSQFGRWLDYEVDASELGSEVVDQIFNSLVDGVVTEILQL >Vigun05g074700.12.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQCFPCSSSGRLIVTTVQCGNS >Vigun05g074700.8.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYIWCVLFFHLVSTCQTCFVVEDL >Vigun05g074700.9.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQCFPCSSSGRLIVTTVQCGNS >Vigun05g074700.2.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYICVSHVQVLGGSLSPPFNVEILEPSGMFVLKRDQLANHQPVLTSGNESEDASEEPRIMRDIQRIVTILRAQGVGVLEDEWDDEEYDIDDFDPEFAL >Vigun05g074700.7.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYIWCVLFFHLVSTCQTCFVVEDL >Vigun05g074700.6.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYICVSHVQVLGGSLSPPFNVEILEPSGMFVLKRDQLANHQPVLTSGNESEDASEEPRIMRDIQRIVTILRAQGVGVLEDEWDDEEYDIDDFDPEFAL >Vigun05g074700.11.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQCFPCSSSGRLIVTTVQCGNS >Vigun05g074700.1.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6638952:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYICVSHVQVLGGSLSPPFNVEILEPSGMFVLKRDQLANHQPVLTSGNESEDASEEPRIMRDIQRIVTILRAQGVGVLEDEWDDEEYDIDDFDPEFAL >Vigun05g074700.10.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQCFPCSSSGRLIVTTVQCGNS >Vigun05g074700.3.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYICVSHVQVLGGSLSPPFNVEILEPSGMFVLKRDQLANHQPVLTSGNESEDASEEPRIMRDIQRIVTILRAQGVGVLEDEWDDEEYDIDDFDPEFAL >Vigun05g074700.4.v1.2 pep primary_assembly:ASM411807v1:5:6634324:6639218:-1 gene:Vigun05g074700.v1.2 transcript:Vigun05g074700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEYHEDFISYLDSDTSLKILMCLDDPADLVRVTCVSRSWRQSVIANGLCKQLCLRMFPQLSRVAFVVELNQHGGKENAEVGSSNSMEWLVLQREHRVFSYLGKALMSSVAMNCIAKTIGASSTDNFPQESIDNTLEPRDVIGGRYSYWSSNGQSNPNVPETLTYELVSQICLITEINIQPFQAYFQLGSPIYSASSVRFKMGHPKASLDAVDDELFVWTYTSPEFPMSQENELQKFKLPEPVLCIGGILQIELLGRVQRQEMDGLLYICVSHVQVLGGSLSPPFNVEILEPSGMFVLKRDQLANHQPVLTSGNESEDASEEPRIMRDIQRIVTILRAQGVGVLEDEWDDEEYDIDDFDPEFAL >Vigun03g424900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63058854:63059939:-1 gene:Vigun03g424900.v1.2 transcript:Vigun03g424900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRTSDTPFKGYDPNQTQMCLSLLQRNTSPCGEKRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFVYSDNINFHTTLSPEDVQLQLQLQLQPLLPPSQFLTNTTHTKQPNNHQNTLVHTSNCGNPSPLNNDVACVEIETSDSFFFSSDSNSGYLECIVPDNCFRPSASASGSSRSNSSNSRKSDVSDQKAHTNYSIESTNHHHHDQQQQQQKQYPHADMSSFSQEMAARGSNFSEFCYPSEVSQGSWDDQQSWDWNCSELSAIFKNPLRVENGCMDAMYHHPIMSDDDQSGSYGVMNNEGASSTTCSPSLPPFGDVDLGYPLF >Vigun01g138000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31830068:31833450:-1 gene:Vigun01g138000.v1.2 transcript:Vigun01g138000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIAKKRWRLAYTAIYSRRVMLALAKEVMSKTNTNTHPSLVPDVDKVRITSMVKDKNLSAFTEFGGVEGVANILGTIPEKGITGSHDEVAKRVKVFGSNTYQRPPPKSFLSFVVEAFTDTNILILVCAGLSLGFGMKEHGPGEGWYEGGSIFVAVFLVVVVTALSNLRQERQFHKLSKVSNDIKVEVVRNGRRQNISIFDVVVGDIASLKIGDQIPADGLFLSGLCLLVDESSMTGESDPVEIEPSRSPFLLSGAKVEDGCGRMLVTSVGINTAWGEIMSSLSTDTEVRTPLQTRLDKLTFSVGRVGLTVAFLVLRVLLFRYFTGNTQDDNGNTEFQGSKTDVNDIFNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDHVMVREISACETMGSVTVICTDKTGTLTLNQMRVTKFWLGPQNVEEKSSNAMAPEVLELFQQGVGLNTTGSICKPSPISEPEISGSPTEKAILLWAVSDLGMDMDELKRAHEVLHVEAFNSEKKRSGIAIRKKTTNTVHAHWKGAAEIILGMCSNYIDNNGIEKPLDEERSKLQNIIEGMAASSLRCIAFAHTQIPEDIDYNDKEKADEILRKDGLTLLGIVGLKDPCRPDAKEAVEACKHAGVSVKMITGDNIFTAKAIAAECGILDFDGHVSTEEVVEGVEFRNYSEEERMERVEKIRVMARSSPFDKSLMVQCLKRKGHVVAVTGDGTNDAPALIEADIGLAMGIQGTEVAKESSDIVILDDNFSSIVTVVRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSRDVPLTTVQLLWVNLIIGTLGALALAAERPTKELMEKKSVGRTEPLITNIMWRNLFAQALYQIVILLVLQLKGELIFNVREEVKDTLIFNTFVLCQVFNEFNSRSMEKLNVFQGLHRNHLFLGLVGITLVLQVAMVELLRMFAGTERLTWEQWGICIGIAAVSWPIAWVTKLIPVSDKPFFNHVKCVKLLVSKIKDCV >Vigun07g110466.1.v1.2 pep primary_assembly:ASM411807v1:7:20484937:20487544:-1 gene:Vigun07g110466.v1.2 transcript:Vigun07g110466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRVSWNARGQPIEHGGTNFSSYLGSIVHSNVPITCDNWKDLALSSYKDIIWNDIQLTFNVDTCRKNYVLKEVGKLLRTFRTNLANTYIKDENGNYIENPPTEPPKKYASMISSRKGYARLEQEMVSQYINK >Vigun03g187000.1.v1.2 pep primary_assembly:ASM411807v1:3:24889096:24892283:-1 gene:Vigun03g187000.v1.2 transcript:Vigun03g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAVRFLAPPCCPTSRSKTLLCATPTAAPAAPEAVEASRLEPRVEERDGYWVLKEEHRGGISPQEKVKLEKDPMKLFMEGGIEDLAKMSLEEIESSKHTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLPDVPEILKGLADVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYNNLLSQFITANSLGNPAMSNLPRKWNVCVVGSHDLFEHPLINDLAYMPANNKDGRFGFNLLVGGFFSAKRCAEAIPLDAWVSADDVIPVCKAILETYRDLGFRGNRQKTRMMWLIDELGIEVFRSEVEKRMAGKQLERAQEDLVKKQWERRDYLGVHPQKQEGLSYVGIHIPVGRIQADEMDELARLADEYGSGELRLTVEQNIIIPNVDNSKLEALLKEALLKDRFSPEPSLLMKTLVACTGNQFCGQAIIETKERALKVTEEVERQVAVTRPVRMHWTGCPNTCGQVQVADIGFMGCMARDENGKATEGVDIFLGGRIGSDSHLAELYQKGVPCKNLVPIVVDILVKHFGAVQRNREEGED >Vigun03g120600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11247149:11247634:-1 gene:Vigun03g120600.v1.2 transcript:Vigun03g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNLVFILTIISMCSICLAQNSPQDFLDEHNKARKEVGVKPLVWDETLVAYGKNYVESKKKTCAFEHSNGPYGENLAQGSGDLSGVDSVKTWVAEKEFYDEKTNECVKEECLHYVQVVWGDTESVGCSRTKCDNNWMYVICNYNPPGNYVGVRPYPISK >Vigun09g027800.1.v1.2 pep primary_assembly:ASM411807v1:9:2290117:2290893:-1 gene:Vigun09g027800.v1.2 transcript:Vigun09g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHIFFHFLLFTIFVMLVTGQKEVKEDSNDDDPIRQCNGSQGLCKEECGEECCNSKCAAKYKDGVGTCKLYAKGYNFCICKYACQPN >Vigun03g223800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37155891:37159004:-1 gene:Vigun03g223800.v1.2 transcript:Vigun03g223800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKHVVKLDEEQIAELREIFRTFDRNNDGSLTQLELSSLLRSLGLKPSPDQLEVFIQRADTNNNGLVEFSEFVALVAPELLPAKSPYTEEQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGMINFQEFSHAITSAAFDNSWP >Vigun03g223800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:37155891:37159004:-1 gene:Vigun03g223800.v1.2 transcript:Vigun03g223800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKHVVKLDEEQIAELREIFRTFDRNNDGSLTQLELSSLLRSLGLKPSPDQLEVFIQRADTNNNGLVEFSEFVALVAPELLPAKSPYTEEQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGMINFQEFSHAITSAAFDNSWP >Vigun02g128100.1.v1.2 pep primary_assembly:ASM411807v1:2:28007864:28010710:-1 gene:Vigun02g128100.v1.2 transcript:Vigun02g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFAFVFLLAILVPQECFHATVEARDGFVRTRGTHFMLNGYPYYANGFNAYWLMYTASDPSQRFKVSNAFREAASHGLTVARTWAFSDGGYRPLQYSPGFYNEQMFTGLDFVISEARKHGIKLILSLVNNYENFGGKKQYVNWARSHGQYLTSDDDFFRSPVVKGYYMNHVRTVLNRYNRFTGTHYKDDPTIMAWELMNEPRCTSDPSGRTIQAWITEMAWFVKSIDRNHLLEAGLEGFYGQSTPQRKRLNPGFDIGTDFIANNRIPAIDFATVHCYPDQWVSSSNVQYQLSFLNNWLSAHFTDAQYAIRKPILVAEFGKSFRGSGYEAYERDEVFNAVYYKVYASAKRGGAAGGALFWQLLTGGMESFQDGYGIMLGQSSSTADLIARQSRKLYLIRKIFAKVANMRRWRRARSRAGRGFSGGSGGGNGGSHVGN >Vigun03g166200.1.v1.2 pep primary_assembly:ASM411807v1:3:19101971:19103497:1 gene:Vigun03g166200.v1.2 transcript:Vigun03g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSEIRQAQRAESPATILAIGTATPPNCVDQSTYPDYYFRITNSEHMTDLKEKFQRMCDKSMIKKRYMHLTEDILKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSETHLDSLVGQALFGDGAAAVIVGSDPIPQIEKPFFELVWTAQTIAPNSDGAIDGHLREVGLTFHLLKDVPGIVSKNIGKALSEAFNPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKADKMKATRDVLSEYGNMSSACVLFILDEMRRKSVENGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVTI >VigunL071600.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000507.1:34645:35253:1 gene:VigunL071600.v1.2 transcript:VigunL071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDPLTWEQAHRYVLFNSEAIKPFLKQHEEFVFSHNRQGSTRKWNKAKDQCLTFHEWFEDRVRN >Vigun06g209200.1.v1.2 pep primary_assembly:ASM411807v1:6:32252384:32258624:-1 gene:Vigun06g209200.v1.2 transcript:Vigun06g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDYGGAPNKPKGKYEAMTICFILGIGSLVSWNSMLTIGDYYYTLFPKYHPARVLTLVYQPFAIGTMIILAYYESKINTRRRNLAGFTLFFFSAFFVLVVDLATSGKGGIGPYIGICVLAACFGIADALVEGGMVGDLCFMCPEFIQSYLAGLAASGALTSILRMLTKAAFEKSNHGLRKGAILFLAVSSFIELVCIFLYAIYFTKLPIVKYYRSKAALEGSKTVAADLAAAGISTKTKDQGGYDDKQQERLSNKQLFHENLDYAVDLFFIYAVTLSIFPGFLYENTGTHRLGTWYPIVLIAMYNIVDLISRYIPLVPWLKLESRKALLIAVFSRFLLIPAFYFTAKYGDQGWMILLTSFLGLTNGYLTVCVLTVAPRGYKGPEQNALGNLLVLCLLSGICAGAVLDWLWIIGNGTF >Vigun09g022100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1741690:1742349:1 gene:Vigun09g022100.v1.2 transcript:Vigun09g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRKEENEKGGEEGRYRGVRRRPWGKFGAEIRDPTKPTGRQWLGTFDTAEAAARAYDRAAIELRGALAILNFPDEYHSHLPFLSSSSSSSSSSSSTNVIGNSSTAQGEVIEFEYLDDKVLEDLLELEEKRKKKE >Vigun11g141700.1.v1.2 pep primary_assembly:ASM411807v1:11:35147053:35151971:-1 gene:Vigun11g141700.v1.2 transcript:Vigun11g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSKLVMIILLIFLSFSVAYSSTTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGEEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQASTLFSQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFKSFNPNLLMSTAYYRMDLYQRHLEEVPVTNFFGSVMKTIHTDSAYKSLSNKKVGTDKTRISLERSISDNDRMIFRNSDAEDQFNNLTAEEHFRDGVGQIWRAILGNTFESIDTFVCYGLILMLPLLIFSTWLSK >Vigun11g141700.2.v1.2 pep primary_assembly:ASM411807v1:11:35147053:35151971:-1 gene:Vigun11g141700.v1.2 transcript:Vigun11g141700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSKLVMIILLIFLSFSVAYSSTTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGEEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQASTLFSQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFKSFNPNLLMSTAYYRMDLYQRHLEEVPVTNFFGSVMKTIHTDSAYKSLSNKKVGTDKTRISLERSISDNDRMIFRNSDAEDQFNNLTAEEHFRDGVGQIWRAILGNTFESIDTFVCYGLILMLPLLIFSTWLSK >Vigun08g105500.1.v1.2 pep primary_assembly:ASM411807v1:8:26216583:26236981:-1 gene:Vigun08g105500.v1.2 transcript:Vigun08g105500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFMRLMPYRRHLLSSAASESLMDKGESSFSKFLSTGQSFLLARALVSCGFVTKTEESLIPRIPFRYRYFHATALSSSADRDYYRVLGVPENASQDEIKKAFHLLAKKYHPDANKNNPSAKRKFQDIREAYEILRDSKKRAQYDEMRTRGSEDTEYNHGDAERFRNAYRSHFSDSFHKVFSEIFEEATTQFSSNIEVELSLTFSEAARGCTKHVSFDAYVPCDYCNGQGHPLDAVPIVCPTCRGLGRVTIPPFTSTCITCKGSGRIAKDFCISCRGSGVVEGIKEVKVTIPAGVDSGDTIHVPEGGNAAGSVGRPGSLYIKIKVAEDSIFTRNGADIYVESNISFTQAILGGEVEVPTLSGKTQLKIPKGVQHGQLLVLRGKGLPKHGFLVHHGDQYVRFRVNLPIAINERQRAILEELAKEEINEGNSSSFGGNWWQQILEHTTAPKFMLELSVLILFLVFINKVLT >Vigun02g054000.2.v1.2 pep primary_assembly:ASM411807v1:2:19686344:19688148:1 gene:Vigun02g054000.v1.2 transcript:Vigun02g054000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILQLQPHLDIKSISYLSPKPIIFLHQNFLSLTRSRVTMCSSSSPTPSFSIGHSGKKRMHESKSLSLDKIRNSLIHREDTIIFYSEAYDNDMLFKGSLVEYMVRQNEKLHAQVGRYKSEEENAFFPQYLPKPMFPHMQYPQVLHDYAHSININNEIWSMYFNEIVPELVKAGNNDECGSIAALSKTIHYGKFVVEAMFQDAPSQYEAAIKAKDRKLLLEFLTYESVEAIMKKRVELKAKTFGQVVMIDEADNVAKPTYKIKPSLIANLFENRIMPLTKEVQVEYLLKRFG >Vigun05g098600.1.v1.2 pep primary_assembly:ASM411807v1:5:9647479:9653437:-1 gene:Vigun05g098600.v1.2 transcript:Vigun05g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNMVSVPPRISPPSLARTPSLQARPVLPPFSLSFSRRRLSIRATDTDTNEVKSEAPSTAPSKDGSSLNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNFEDVAKAIVCMMMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVITQIWILLLGGISLAGILDIWAGHDFPIVFYLAVGGALLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAIDITQLSVAGYLLGADKPLYALALVGLIIPQVIFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >Vigun01g181600.3.v1.2 pep primary_assembly:ASM411807v1:1:36246470:36251003:-1 gene:Vigun01g181600.v1.2 transcript:Vigun01g181600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKTKKWWPWLSPVSKKFIVRLIVRRLQGCDLLPNAAREGSRLVVEIRWKGSKMTLGSLRRNSAARNLTKEAVVDGATVVDWDEEFETSCNLNSDKDNFFKPWEIAFTLFKGSDRKSKSKVAVGTKLLNIAEFASSTDQKVFDLNIPLTLTGGSAESSPLLCISISLTEQRVSQEILDSVQRSTVSVPLRSAKSAETNLAEKNEFSTIGCMKVKILTELVSKAKKGYPEEEGSEGRSSRSDGGEYNYPLETDWFGDSDDECETDEGKENSSVSKSFRYGTLASANAGESFNSEMRENYEDWVYYSQPKFDDGCSQMEDPSSSSSSSEPYLRSSKRNILPWRKRKLSFRSPKTCKGEPLLKKAYAEEGGDDIDFDRRQLSSDESLSLNWYKTEEDTSVRSSISEFGGDSFVVGSWKQKEIMSRDGYMKLQTHVFFASIDQRSERAAGESVCTVLVAVIADWFQNNRDHMPIESQFDSLIREGSLEWRKLCDNDTYKERFPDKHFDLETIIQAKIRSLTVDFEKSFVGFFHPEGMDDEGKFDFLHGAMSFDSIWDEISCVGQECPSSGEPHVYIVSWNDHFFILKVESDCYYIIDTLGERLYEGCNQAYILKFDSNTTIYNMPDVPRISDGKTSDDQQEVSDSNMKKTHEVNKEINSVEGNDVQLSSEQEEVICCRGKEACKEYIKSFLAAVPIRELEADAKKGIVSSESLHHRLLQVEFHYTQLLQSCYATPEVE >Vigun01g181600.2.v1.2 pep primary_assembly:ASM411807v1:1:36246454:36249101:-1 gene:Vigun01g181600.v1.2 transcript:Vigun01g181600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILTELVSKAKKGYPEEEGSEGRSSRSDGGEYNYPLETDWFGDSDDECETDEGKENSSVSKSFRYGTLASANAGESFNSEMRENYEDWVYYSQPKFDDGCSQMEDPSSSSSSSEPYLRSSKRNILPWRKRKLSFRSPKTCKGEPLLKKAYAEEGGDDIDFDRRQLSSDESLSLNWYKTEEDTSVRSSISEFGGDSFVVGSWKQKEIMSRDGYMKLQTHVFFASIDQRSERAAGESVCTVLVAVIADWFQNNRDHMPIESQFDSLIREGSLEWRKLCDNDTYKERFPDKHFDLETIIQAKIRSLTVDFEKSFVGFFHPEGMDDEGKFDFLHGAMSFDSIWDEISCVGQECPSSGEPHVYIVSWNDHFFILKVESDCYYIIDTLGERLYEGCNQAYILKFDSNTTIYNMPDVPRISDGKTSDDQQEVSDSNMKKTHEVNKEINSVEGNDVQLSSEQEEVICCRGKEACKEYIKSFLAAVPIRELEADAKKGIVSSESLHHRLLQVEFHYTQLLQSCYATPEVE >Vigun01g243600.1.v1.2 pep primary_assembly:ASM411807v1:1:41248827:41252170:1 gene:Vigun01g243600.v1.2 transcript:Vigun01g243600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNQPNHSSYTDSVKTLEDDIQHANSLALSLPGDCDGNYFQMKLSYSPFAPLFLHLIQWLDLSCTDTLPLYLGLLHILIFNVYADGIPSISSNERKATIKEFYAVIYPSLRLLQGEFNNDRRHSYAEVNRKRLEKVLNKDLEGDEECGICMENNMKMVLPNCGHSFCISCFHDWYMRSESCPFCRGSMRRISSADLWIVIGNNDVVDSFTIAKDNLRRLYLFIQTLPLIIPEPHLLYTFNYML >Vigun05g097400.1.v1.2 pep primary_assembly:ASM411807v1:5:9477807:9481184:-1 gene:Vigun05g097400.v1.2 transcript:Vigun05g097400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEMRPGGMFVQRRAAAEDSGAIFKNINVMMMTITVTHSSSHHDLSLPINSTFWDVKKLLANKTGLLPEEQQLFFRGEEKYNEENLYAEGVMDKSKLLLLDNTASEEWKLEEIRKHNDMLKAFEAIAEVRADVDELGERVFVLKVAADAGTRVSDKEFSTCTELLMRQLLKLDGIQAEGEAKLQRKAEVRRVQKLVDTLDALKERNSNPNPLPTTVKTVSVGTQWEHFDSGMGSLNAPTMSSSANFTQDWERYD >Vigun08g071200.1.v1.2 pep primary_assembly:ASM411807v1:8:11494266:11521701:-1 gene:Vigun08g071200.v1.2 transcript:Vigun08g071200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEDGTSNGDKWAGLGRRSDSEDGDYGGRILGGNNIAGGEEGQGLKDEALKDGVVVEGEEVRGLKKEAYDSRVTSKGGNGIAEGEEVWVLKREAINNGVTHEGGNCVANRKEVWGLKNGTVNTGLTVEGGNGVAEGEEKVQDLRNETVNNGMVLEGGNGVAEGEVWDLKNDATNIRVVVADGNGVAEDEEVLGSKKEVIYNGVAIADGNIIAYSGEDLCSKNKAVNNQVAIADGSGVTPVEDDRLKNETVDHVEVLTNGFGVVEGKSGAVECFRTYKRRKHVKRASEFKVQENSRKHLEVETFKIDNNGQERSSDLECLLYRLQSEANGQENAVHNGFSTEPDGHGATERCQRLFCDILASEKFSSLCKILLENFQEMKPETVVDFSLINSRMKEQAYDESPTLFLSDLQQVWQKLQNTGHQIVAIARSLSNLSKASFRERVVISAHRSFEDGKQVESISHMKPERRLECVTFKIGSCRHCGDKAEGVDCLVCDSCEEVYHLSCIEPAVEEIPRKSWFCANCTARGIGCQHENCVVCERLNVPKKLDDIVGREIIPAKEENPNELEENSNCTCDGIPVSTGPRHLSVCKICKMVVHHGKIKICGHLFCPSKYYHVRCLSSKQLRTYGHCWYCPSCICQVCFTDKDDDKIVLCDGCDHAYHMYCLKPPLNLIPVGKWFCIKCDAGILAIRKARKAHESKKGKIGQKDLKPNEDIDKKWSRKRERESDKVGGMEMLITAANTLNSEEKMNAIQIESERTLT >Vigun09g274100.1.v1.2 pep primary_assembly:ASM411807v1:9:43479999:43485476:-1 gene:Vigun09g274100.v1.2 transcript:Vigun09g274100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSWSRSKWIGLVAAVWIQAISGNNYTFSNYSDALKSLMHLTQVELNNLSVAKDVGKAFGLLAGLASDKFPTWIILLIGSVEGLVGYGVQWLVVSQRIQPLPYYQMCIFLCVGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTNLCYALFADDPASFLLMLALIPFVVCLSGIFFLREIPPDAVIQDDEEPTYFGVFNAVAVVVAVYLLAFSFVPNPSLLASRAFSIGLLVLLAAPLGIPVHSYLKARGLDMFKPDLERQGEEPLLRGNDKGNECEVERGNVLAEEEEAVAVEKRRPAVGEEHTVCEALRTVDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYSDVSLFVSLTSIWGFFGRIVSGSLSEYFIKKGGTPRPLWNAGSQILMGVGYILLAMAVPGSLYIGSIMVGICYGVRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTTGGGNTCVGPHCYRLVFIVMAAACLMGFFLDLLLSIRTKMVYNRIYLSKNSKKNPVTSSRR >Vigun09g274100.2.v1.2 pep primary_assembly:ASM411807v1:9:43483452:43485477:-1 gene:Vigun09g274100.v1.2 transcript:Vigun09g274100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSWSRSKWIGLVAAVWIQAISGNNYTFSNYSDALKSLMHLTQVELNNLSVAKDVGKAFGLLAGLASDKFPTWIILLIGSVEGLVGYGVQWLVVSQRIQPLPYYQMCIFLCVGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTNLCYALFADDPASFLLMLALIPFVVCLSGIFFLREIPPDAVIQDDEEPTYFGVFNAVAVVVAVYLLAFSFVPNPSLLASRAFSIGLLVLLAAPLGIPVHSYLKARGLDMFKPDLERQGEEPLLRGNDKGNECEVERGNVLAEEEEAVAVEKRRPAVGEEHTVCEALRTVDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYSDVSLFVSLTSIWGFFGRIVSGSLSEYFIKTHTMWGSMCK >Vigun04g143200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35598947:35604519:-1 gene:Vigun04g143200.v1.2 transcript:Vigun04g143200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWTSPDCCHWEGIRCSNLTAHIVSLDLHGEFHIKSLTLGYYYEVSRRYISGEIHKSLTELRQLQYLNLSLNSFPDINIPGFIGSLTNLRYLDLSSCDFGGRIPSELGSLSHLKYLNLAHNYYLEGSIPHELGNLSRLLYLDFSDNYLNGSIPFQLGNLFRLEYLDLRWNSFEGYIPSQLGNLSNLHKLYLGGYHGALKIVTTHQWLSNLTSLTHLYMDSISNFNTSLSRLQTIAKLPKLRELSLIECGLSDHFLLSFNPSNFNFSSSLSVLRLSENSFMQPMIFRWLSNTTSNLVELDLSSNLLEGVMPYRFDLAMNLLERLDLSNNLFKGEDLKSFMNICTLRSLYMFENNITEDLSSILRYFSSGCVRYSLQELSLAHNQIRGSVPDLSAFSNLKMLDLSHNQLSEKIPEGTRLPSHLEQLSISFNSLEGGVPKSFGTTCTLELLNFSSNKLSEDLTMIFNHLSGCSRYSLQEVYLDHNKFNGILPDFSIFSKLEALDLSGNQIKDGVPKLLHNDSVLLSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPCNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLSLMSNSLKGVLTDSHFNNMTKLKTLMLSDNSLTLKVSQNWASSFQLDDIELRSCKLGPFFPKWLEKQNKFEYLDISNGGISDTVPKWFWTKFGLTNWMSINISCNNLQGMIPNLSIENHYYSLSLASNQFEGHVPPFLQGSIFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKSLAYLNMSQNKFSGKIPTSMGSLLQLQVLLLRSNNLSGNIPSILKNCTQLVMVDIAENRLSGFIPNWIGNELSQLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPTCIQNFSSMAQMISLRYYQSHHYLINTSYTKGNYSYNLNAFLMWKGSEQMFTNIGLSLLKSIDLSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELISLEFLDLSRNQLVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASKYEDNVDLCGPPLKKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISITIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKRFSRA >Vigun04g143200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35598946:35604544:-1 gene:Vigun04g143200.v1.2 transcript:Vigun04g143200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISNFNTSLSRLQTIAKLPKLRELSLIECGLSDHFLLSFNPSNFNFSSSLSVLRLSENSFMQPMIFRWLSNTTSNLVELDLSSNLLEGVMPYRFDLAMNLLERLDLSNNLFKGEDLKSFMNICTLRSLYMFENNITEDLSSILRYFSSGCVRYSLQELSLAHNQIRGSVPDLSAFSNLKMLDLSHNQLSEKIPEGTRLPSHLEQLSISFNSLEGGVPKSFGTTCTLELLNFSSNKLSEDLTMIFNHLSGCSRYSLQEVYLDHNKFNGILPDFSIFSKLEALDLSGNQIKDGVPKLLHNDSVLLSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPCNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLSLMSNSLKGVLTDSHFNNMTKLKTLMLSDNSLTLKVSQNWASSFQLDDIELRSCKLGPFFPKWLEKQNKFEYLDISNGGISDTVPKWFWTKFGLTNWMSINISCNNLQGMIPNLSIENHYYSLSLASNQFEGHVPPFLQGSIFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKSLAYLNMSQNKFSGKIPTSMGSLLQLQVLLLRSNNLSGNIPSILKNCTQLVMVDIAENRLSGFIPNWIGNELSQLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPTCIQNFSSMAQMISLRYYQSHHYLINTSYTKGNYSYNLNAFLMWKGSEQMFTNIGLSLLKSIDLSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELISLEFLDLSRNQLVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASKYEDNVDLCGPPLKKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISITIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKRFSRA >Vigun04g143200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35598953:35604519:-1 gene:Vigun04g143200.v1.2 transcript:Vigun04g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMKAMMFVVCVVLQVSHGEHEIRCLPKEREALLQFKAAIVDPYDMLSSWTSPDCCHWEGIRCSNLTAHIVSLDLHGEFHIKSLTLGYYYEVSRRYISGEIHKSLTELRQLQYLNLSLNSFPDINIPGFIGSLTNLRYLDLSSCDFGGRIPSELGSLSHLKYLNLAHNYYLEGSIPHELGNLSRLLYLDFSDNYLNGSIPFQLGNLFRLEYLDLRWNSFEGYIPSQLGNLSNLHKLYLGGYHGALKIVTTHQWLSNLTSLTHLYMDSISNFNTSLSRLQTIAKLPKLRELSLIECGLSDHFLLSFNPSNFNFSSSLSVLRLSENSFMQPMIFRWLSNTTSNLVELDLSSNLLEGVMPYRFDLAMNLLERLDLSNNLFKGEDLKSFMNICTLRSLYMFENNITEDLSSILRYFSSGCVRYSLQELSLAHNQIRGSVPDLSAFSNLKMLDLSHNQLSEKIPEGTRLPSHLEQLSISFNSLEGGVPKSFGTTCTLELLNFSSNKLSEDLTMIFNHLSGCSRYSLQEVYLDHNKFNGILPDFSIFSKLEALDLSGNQIKDGVPKLLHNDSVLLSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPCNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLSLMSNSLKGVLTDSHFNNMTKLKTLMLSDNSLTLKVSQNWASSFQLDDIELRSCKLGPFFPKWLEKQNKFEYLDISNGGISDTVPKWFWTKFGLTNWMSINISCNNLQGMIPNLSIENHYYSLSLASNQFEGHVPPFLQGSIFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKSLAYLNMSQNKFSGKIPTSMGSLLQLQVLLLRSNNLSGNIPSILKNCTQLVMVDIAENRLSGFIPNWIGNELSQLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPTCIQNFSSMAQMISLRYYQSHHYLINTSYTKGNYSYNLNAFLMWKGSEQMFTNIGLSLLKSIDLSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELISLEFLDLSRNQLVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASKYEDNVDLCGPPLKKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISITIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKRFSRA >Vigun04g143200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:35598948:35604519:-1 gene:Vigun04g143200.v1.2 transcript:Vigun04g143200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISNFNTSLSRLQTIAKLPKLRELSLIECGLSDHFLLSFNPSNFNFSSSLSVLRLSENSFMQPMIFRWLSNTTSNLVELDLSSNLLEGVMPYRFDLAMNLLERLDLSNNLFKGEDLKSFMNICTLRSLYMFENNITEDLSSILRYFSSGCVRYSLQELSLAHNQIRGSVPDLSAFSNLKMLDLSHNQLSEKIPEGTRLPSHLEQLSISFNSLEGGVPKSFGTTCTLELLNFSSNKLSEDLTMIFNHLSGCSRYSLQEVYLDHNKFNGILPDFSIFSKLEALDLSGNQIKDGVPKLLHNDSVLLSLNLFNNSLSENLSTIIHHLSPSLQHLNLGMNQISGTLPCNLATMFPSLKELYLDGNKLNGTISKDLRFPTELEVLSLMSNSLKGVLTDSHFNNMTKLKTLMLSDNSLTLKVSQNWASSFQLDDIELRSCKLGPFFPKWLEKQNKFEYLDISNGGISDTVPKWFWTKFGLTNWMSINISCNNLQGMIPNLSIENHYYSLSLASNQFEGHVPPFLQGSIFLDLSNNKFTNSFSFLCSGGVAETLYQLDLSNNKFSGQIPDCWTHFKSLAYLNMSQNKFSGKIPTSMGSLLQLQVLLLRSNNLSGNIPSILKNCTQLVMVDIAENRLSGFIPNWIGNELSQLQFLSLRSNYFYGSLPLQICYLKRIQLLDLSLNNLSGQIPTCIQNFSSMAQMISLRYYQSHHYLINTSYTKGNYSYNLNAFLMWKGSEQMFTNIGLSLLKSIDLSNNQLSGEIPKEIEGLFGLVSLNLSRNQLTGKIPSNVGELISLEFLDLSRNQLVGSIPLSLAQIDRLASLDLSHNYLSGKIPTGTQLQSFDASKYEDNVDLCGPPLKKLCIDRVPRQKSIVEFQEDDNLIFNRGFYISITIGFVISFWGVFGSILLIRSWRHAYFKFLSNLANTLYVMAAVKVFKRFSRA >Vigun02g048400.1.v1.2 pep primary_assembly:ASM411807v1:2:18832214:18850763:1 gene:Vigun02g048400.v1.2 transcript:Vigun02g048400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQSSTEIFTIRIVSIDYYMAPPIPDADICYSSFHGGEVNEVPVIRVYGSTPAGQKTCLHMHRALPYLYVPCSDIPLQLDQGDAFTYKVAASLEKALKLKGSAGSTRQHVHGCSIVRAKKFYGYHSLEELFVKIYLYYPQDVSRAASLLLAGAVLDKSLQPYESHIPFILQFLVDYNLYGMGQLHLSKMKFRHPVPDTLKKLNIDGQHTKADLPAHACLESKYWMSSTIPSEWIWLPTSKFSASSIDEGHCPKRQSICELEGDASVNEILNQQFKMYSSLSQTCSDINMVQSLVPIWEEQKRTGIHEATMPSDPGKPLPEDVMKLFSVGLDFEKKFMELCTEVGTSLFCTPSGKESRETDIIGSASPPATLCKNATLQTEGIDANLEMLTMDEIQSTEIIGSVDIKAAVDKEAKNLLKWLATSQAVEDINSDDDLAYETILTPLLPAATIDKVLEEANNAYESESQKECQDILDSTDDMLELELPKEKPSLSFGYNCPNGTSSNWKLPQVDGSNDDEFSGQYDSLAGTSSLADINSEFKSASEYHVLHSTGTSTISKDRRNKKWGSLPLSVIDQVNNDGERANLLVTHPVESAIGDSACSDHLAINEVRNSACILRNKDKNASDSKEVNRSVTGSLRDLMRRKRSYRLEQSEYDSGTTKKLLLDRHEEQNACFWQKQLDLKTMQIDEEEMENQKICELEVSNHANLLHGKLLLSPGSDGPLQGSSPKDECFGQHEMEGVEESTVLRNCTKGGSALMHDGPGLHKPEKLCLDDSIDQFVVCRDENLKGGLTFRKHVSSDAYIQSPVLDTQLITAAVHEVRAPEGSPQTDSSASTSVQNSFIIDRVSGKYNYVHQSSHQSLSFVQHDQMTFCENSVKKSDANDMQGLLSEKLDNHKVGENLLHEIIDSEPTDLKGNHMKLTEITTSKNPMADKNLESTTTCNSYLHLDEDSSDVMTGDDLNVFLPISARNSKKGMETCNEYITNKTLTSNGTKVANTHYQNDGSHLYLLTPNILPPSVDTVQRWLLCNERGHSVEHIHQETDAENKDVPKCASETEAPLRPKLHEDAAEQSPPCNGEGQTERVETHLDDSQDTSQISAPDGKSTFTPLSQIGFRDPASVGCGQQLTLLSIEILAESRADLLPDPQFDAINIIALGIQNDSDSVAEVLVLLHSKFVPCQRNFDGLSGSKVLVFTDEKVLLKEFIKIVSSSDPDILMGWDIQGSSLGFLAERSSHLGLGLLNNLSRTPSESLISSDNMKTSEKDILELDIDDTPSLDCCVPENSIIEDEWGRTHASGVHVGGRIVLNVWRLIRGEVKLNLYSVESVAESVLRRKVPSFHHKVLTKWFSSGPGRARYRCIKYVIERAKLNLEILNQLDMVNRTSELARVFGIEFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVGSKANTLGVSPFSPEQHVLQELKDQILLTPNGVMFVPSKVRRGILPRLLEEILTTRIMVKQAIKKLAPAEKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLETAISFVNQHEKWNARVIYGDTDSMFVLLRGRTLKESFQIGNEIASAISAMNPNPVSLKMEKVYHPCFLLTKKRYVGYSYESPHQMDPVFDAKGIETVRRDTCGAVAKIMEQSLRLFFEQQDLLEVKTYLQRQWKRILSGKFCLKDFIFAKEVRLGTYSARMSSLPPAAIVATKAMTVDRRAEPRYAERIPYVVIHGEPGARLVDMVVNPLEVLAIDSPYRINDLYYINKQIIPALQRVFGLVAADLNHWFSEMPRPTREASAKHKLTSNSHRTRIDYYYLSKHCVLCGRLVQASTHLCNQCSENEVAAATAVIGRTSKLEKAMQHLVAICHHCGGGDRLLENGVKCTSISCLVFFERRKVQKELLAATHVAADKDLYPRCTVEWF >Vigun02g048400.4.v1.2 pep primary_assembly:ASM411807v1:2:18834174:18850763:1 gene:Vigun02g048400.v1.2 transcript:Vigun02g048400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLSQTCSDINMVQSLVPIWEEQKRTGIHEATMPSDPGKPLPEDVMKLFSVGLDFEKKFMELCTEVGTSLFCTPSGKESRETDIIGSASPPATLCKNATLQTEGIDANLEMLTMDEIQSTEIIGSVDIKAAVDKEAKNLLKWLATSQAVEDINSDDDLAYETILTPLLPAATIDKVLEEANNAYESESQKECQDILDSTDDMLELELPKEKPSLSFGYNCPNGTSSNWKLPQVDGSNDDEFSGQYDSLAGTSSLADINSEFKSASEYHVLHSTGTSTISKDRRNKKWGSLPLSVIDQVNNDGERANLLVTHPVESAIGDSACSDHLAINEVRNSACILRNKDKNASDSKEVNRSVTGSLRDLMRRKRSYRLEQSEYDSGTTKKLLLDRHEEQNACFWQKQLDLKTMQIDEEEMENQKICELEVSNHANLLHGKLLLSPGSDGPLQGSSPKDECFGQHEMEGVEESTVLRNCTKGGSALMHDGPGLHKPEKLCLDDSIDQFVVCRDENLKGGLTFRKHVSSDAYIQSPVLDTQLITAAVHEVRAPEGSPQTDSSASTSVQNSFIIDRVSGKYNYVHQSSHQSLSFVQHDQMTFCENSVKKSDANDMQGLLSEKLDNHKVGENLLHEIIDSEPTDLKGNHMKLTEITTSKNPMADKNLESTTTCNSYLHLDEDSSDVMTGDDLNVFLPISARNSKKGMETCNEYITNKTLTSNGTKVANTHYQNDGSHLYLLTPNILPPSVDTVQRWLLCNERGHSVEHIHQETDAENKDVPKCASETEAPLRPKLHEDAAEQSPPCNGEGQTERVETHLDDSQDTSQISAPDGKSTFTPLSQIGFRDPASVGCGQQLTLLSIEILAESRADLLPDPQFDAINIIALGIQNDSDSVAEVLVLLHSKFVPCQRNFDGLSGSKVLVFTDEKVLLKEFIKIVSSSDPDILMGWDIQGSSLGFLAERSSHLGLGLLNNLSRTPSESLISSDNMKTSEKDILELDIDDTPSLDCCVPENSIIEDEWGRTHASGVHVGGRIVLNVWRLIRGEVKLNLYSVESVAESVLRRKVPSFHHKVLTKWFSSGPGRARYRCIKYVIERAKLNLEILNQLDMVNRTSELARVFGIEFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVGSKANTLGVSPFSPEQHVLQELKDQILLTPNGVMFVPSKVRRGILPRLLEEILTTRIMVKQAIKKLAPAEKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLETAISFVNQHEKWNARVIYGDTDSMFVLLRGRTLKESFQIGNEIASAISAMNPNPVSLKMEKVYHPCFLLTKKRYVGYSYESPHQMDPVFDAKGIETVRRDTCGAVAKIMEQSLRLFFEQQDLLEVKTYLQRQWKRILSGKFCLKDFIFAKEVRLGTYSARMSSLPPAAIVATKAMTVDRRAEPRYAERIPYVVIHGEPGARLVDMVVNPLEVLAIDSPYRINDLYYINKQIIPALQRVFGLVAADLNHWFSEMPRPTREASAKHKLTSNSHRTRIDYYYLSKHCVLCGRLVQASTHLCNQCSENEVAAATAVIGRTSKLEKAMQHLVAICHHCGGGDRLLENGVKCTSISCLVFFERRKVQKELLAATHVAADKDLYPRCTVEWF >Vigun02g048400.3.v1.2 pep primary_assembly:ASM411807v1:2:18834174:18850763:1 gene:Vigun02g048400.v1.2 transcript:Vigun02g048400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHLSKMKFRHPVPDTLKKLNIDGQHTKADLPAHACLESKYWMSSTIPSEWIWLPTSKFSASSIDEGHCPKRQSICELEGDASVNEILNQQFKMYSSLSQTCSDINMVQSLVPIWEEQKRTGIHEATMPSDPGKPLPEDVMKLFSVGLDFEKKFMELCTEVGTSLFCTPSGKESRETDIIGSASPPATLCKNATLQTEGIDANLEMLTMDEIQSTEIIGSVDIKAAVDKEAKNLLKWLATSQAVEDINSDDDLAYETILTPLLPAATIDKVLEEANNAYESESQKECQDILDSTDDMLELELPKEKPSLSFGYNCPNGTSSNWKLPQVDGSNDDEFSGQYDSLAGTSSLADINSEFKSASEYHVLHSTGTSTISKDRRNKKWGSLPLSVIDQVNNDGERANLLVTHPVESAIGDSACSDHLAINEVRNSACILRNKDKNASDSKEVNRSVTGSLRDLMRRKRSYRLEQSEYDSGTTKKLLLDRHEEQNACFWQKQLDLKTMQIDEEEMENQKICELEVSNHANLLHGKLLLSPGSDGPLQGSSPKDECFGQHEMEGVEESTVLRNCTKGGSALMHDGPGLHKPEKLCLDDSIDQFVVCRDENLKGGLTFRKHVSSDAYIQSPVLDTQLITAAVHEVRAPEGSPQTDSSASTSVQNSFIIDRVSGKYNYVHQSSHQSLSFVQHDQMTFCENSVKKSDANDMQGLLSEKLDNHKVGENLLHEIIDSEPTDLKGNHMKLTEITTSKNPMADKNLESTTTCNSYLHLDEDSSDVMTGDDLNVFLPISARNSKKGMETCNEYITNKTLTSNGTKVANTHYQNDGSHLYLLTPNILPPSVDTVQRWLLCNERGHSVEHIHQETDAENKDVPKCASETEAPLRPKLHEDAAEQSPPCNGEGQTERVETHLDDSQDTSQISAPDGKSTFTPLSQIGFRDPASVGCGQQLTLLSIEILAESRADLLPDPQFDAINIIALGIQNDSDSVAEVLVLLHSKFVPCQRNFDGLSGSKVLVFTDEKVLLKEFIKIVSSSDPDILMGWDIQGSSLGFLAERSSHLGLGLLNNLSRTPSESLISSDNMKTSEKDILELDIDDTPSLDCCVPENSIIEDEWGRTHASGVHVGGRIVLNVWRLIRGEVKLNLYSVESVAESVLRRKVPSFHHKVLTKWFSSGPGRARYRCIKYVIERAKLNLEILNQLDMVNRTSELARVFGIEFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVGSKANTLGVSPFSPEQHVLQELKDQILLTPNGVMFVPSKVRRGILPRLLEEILTTRIMVKQAIKKLAPAEKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLETAISFVNQHEKWNARVIYGDTDSMFVLLRGRTLKESFQIGNEIASAISAMNPNPVSLKMEKVYHPCFLLTKKRYVGYSYESPHQMDPVFDAKGIETVRRDTCGAVAKIMEQSLRLFFEQQDLLEVKTYLQRQWKRILSGKFCLKDFIFAKEVRLGTYSARMSSLPPAAIVATKAMTVDRRAEPRYAERIPYVVIHGEPGARLVDMVVNPLEVLAIDSPYRINDLYYINKQIIPALQRVFGLVAADLNHWFSEMPRPTREASAKHKLTSNSHRTRIDYYYLSKHCVLCGRLVQASTHLCNQCSENEVAAATAVIGRTSKLEKAMQHLVAICHHCGGGDRLLENGVKCTSISCLVFFERRKVQKELLAATHVAADKDLYPRCTVEWF >Vigun02g048400.2.v1.2 pep primary_assembly:ASM411807v1:2:18832214:18850763:1 gene:Vigun02g048400.v1.2 transcript:Vigun02g048400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQSSTEIFTIRIVSIDYYMAPPIPDADICYSSFHGGEVNEVPVIRVYGSTPAGQKTCLHMHRALPYLYVPCSDIPLQLDQGDAFTYKVAASLEKALKLKGSAGSTRQHVHGCSIVRAKKFYGYHSLEELFVKIYLYYPQDVSRAASLLLAGAVLDKSLQPYESHIPFILQFLVDYNLYGMGQLHLSKMKFRHPVPDTLKKLNIDGQHTKADLPAHACLESKYWMSSTIPSEWIWLPTSKFSASSIDEGHCPKRQSICELEGDASVNEILNQQFKMYSSLSQTCSDINMVQSLVPIWEEQKRTGIHEATMPSDPGKPLPEDVMKLFSVGLDFEKKFMELCTEVGTSLFCTPSGKESRETDIIGSASPPATLCKNATLQTEGIDANLEMLTMDEIQSTEIIGSVDIKAVDKEAKNLLKWLATSQAVEDINSDDDLAYETILTPLLPAATIDKVLEEANNAYESESQKECQDILDSTDDMLELELPKEKPSLSFGYNCPNGTSSNWKLPQVDGSNDDEFSGQYDSLAGTSSLADINSEFKSASEYHVLHSTGTSTISKDRRNKKWGSLPLSVIDQVNNDGERANLLVTHPVESAIGDSACSDHLAINEVRNSACILRNKDKNASDSKEVNRSVTGSLRDLMRRKRSYRLEQSEYDSGTTKKLLLDRHEEQNACFWQKQLDLKTMQIDEEEMENQKICELEVSNHANLLHGKLLLSPGSDGPLQGSSPKDECFGQHEMEGVEESTVLRNCTKGGSALMHDGPGLHKPEKLCLDDSIDQFVVCRDENLKGGLTFRKHVSSDAYIQSPVLDTQLITAAVHEVRAPEGSPQTDSSASTSVQNSFIIDRVSGKYNYVHQSSHQSLSFVQHDQMTFCENSVKKSDANDMQGLLSEKLDNHKVGENLLHEIIDSEPTDLKGNHMKLTEITTSKNPMADKNLESTTTCNSYLHLDEDSSDVMTGDDLNVFLPISARNSKKGMETCNEYITNKTLTSNGTKVANTHYQNDGSHLYLLTPNILPPSVDTVQRWLLCNERGHSVEHIHQETDAENKDVPKCASETEAPLRPKLHEDAAEQSPPCNGEGQTERVETHLDDSQDTSQISAPDGKSTFTPLSQIGFRDPASVGCGQQLTLLSIEILAESRADLLPDPQFDAINIIALGIQNDSDSVAEVLVLLHSKFVPCQRNFDGLSGSKVLVFTDEKVLLKEFIKIVSSSDPDILMGWDIQGSSLGFLAERSSHLGLGLLNNLSRTPSESLISSDNMKTSEKDILELDIDDTPSLDCCVPENSIIEDEWGRTHASGVHVGGRIVLNVWRLIRGEVKLNLYSVESVAESVLRRKVPSFHHKVLTKWFSSGPGRARYRCIKYVIERAKLNLEILNQLDMVNRTSELARVFGIEFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVGSKANTLGVSPFSPEQHVLQELKDQILLTPNGVMFVPSKVRRGILPRLLEEILTTRIMVKQAIKKLAPAEKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLETAISFVNQHEKWNARVIYGDTDSMFVLLRGRTLKESFQIGNEIASAISAMNPNPVSLKMEKVYHPCFLLTKKRYVGYSYESPHQMDPVFDAKGIETVRRDTCGAVAKIMEQSLRLFFEQQDLLEVKTYLQRQWKRILSGKFCLKDFIFAKEVRLGTYSARMSSLPPAAIVATKAMTVDRRAEPRYAERIPYVVIHGEPGARLVDMVVNPLEVLAIDSPYRINDLYYINKQIIPALQRVFGLVAADLNHWFSEMPRPTREASAKHKLTSNSHRTRIDYYYLSKHCVLCGRLVQASTHLCNQCSENEVAAATAVIGRTSKLEKAMQHLVAICHHCGGGDRLLENGVKCTSISCLVFFERRKVQKELLAATHVAADKDLYPRCTVEWF >Vigun11g142100.1.v1.2 pep primary_assembly:ASM411807v1:11:35179376:35187128:-1 gene:Vigun11g142100.v1.2 transcript:Vigun11g142100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDETESEGEIRASVEPASEDAPSSPGSSGYVGEGGSTSATTGSQFEIEHEEIEEDTTIDMDRISISDSHASWIPGKRHFDEDDGSISWRKRKKHFFVLSHSGKPIYSRYGDEHRLAGFSATLQAIISFVENGGDSVKLVRAGRHQVIFLVKGPIYLVCISSTEEPYASLRGQLELIYGQMLVILTKSINRCFEKNPKFDMTPLLGGTEIVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCRHKVISLVGAQKDSLHPDDILLLANFVMSSESFRTSESFSPICLPRYNPSAFLYAYIHYFDDDTYLVLLTTNSDAFYHLKDCRIRVENVLLKSCVLSEVQRSLLNGGMHVEDLPPISHSGSSHLGQHMLPSDSPDRFREANSGIGGPAGLWHFIYRSIYLNQYVSSEFPSSINTPQLQKKLYRAYEKLFASMHDKGIGPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKALAIKTCNHVCQWIKDVENEIFLLGASPFSW >Vigun10g040400.1.v1.2 pep primary_assembly:ASM411807v1:10:5527685:5531323:1 gene:Vigun10g040400.v1.2 transcript:Vigun10g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFFMNSGVHFEPAPPPPLPPSSSSVPSWQSLSPAMGIQPTLLNCASDQTQDCFYNPNWEKSTTDHALHFDSSALSSMVSSPAASSYPTSSSNMSNDNFIIRELVGRLGAIGSSDEIPHPLVVASTYINNGSNSPNASCDTTPLSSPPKVKTVQSLVNERLANLGGKSVALNSSVAEFSADPGFAERAAKFSCFGSRSFNGRNVQLGVNNGELAQRSASVVENGGKLSRISSSPLLKTLGSQMGTAENKNSAIQDQEKVEVANSQEESTISEQTPNGEIGMKNCQDMTNSRKRKASSKGKTRETIKGMEVSEDSNSKRNKPNEGEGNENGPVKVEEESKAVEEKQNKSNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRMDLSIDSLVSKDVFQSNNSLATHPNPTFPLDSSTQAFYGHQHQQNPVIHNNIPNRTVTHCSVDPLDTSLCQNLAMQLPSLNGFNEVASQFPLTFCEEDLHTIVQMGFGQTANWKTPIQSPSFNGSNNVTQMKVEL >Vigun07g126900.1.v1.2 pep primary_assembly:ASM411807v1:7:23358906:23369579:-1 gene:Vigun07g126900.v1.2 transcript:Vigun07g126900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLLLLFLLGLGARVESHEESGPWSCNSESEIRVEANFKPGVITLDGHADDWKDIDGSYFPLLPALDPDAENEFKGGKMSVKSVHDGRDIFFLLQVDGDYAYSKGESKKCPSVALMFQIGDGASYHNMGGCEEHSTSCTNKTCKGHEVDIMHFSIGSAIPGRLYGGNPLDNRDGNGGDRFGHLVDLYAWNPHCRYLDGTGPPGSANDSSAQNDWKGAWWHSSFTVHSGFVEDESPYTDNGKKGTYFFEFSRPLRTMDHLQQDVQFNIGGSSKMSVGFWYPVDGQPWHGSGHYSVNCDWVPVDISVGGSLSGNSVDAASSSSWNVASAFSVILSVAALCVSVFVSYRVFNPKSVVSFTPLESNNL >VigunL083900.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000629.1:19292:25781:-1 gene:VigunL083900.v1.2 transcript:VigunL083900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNGQIKNFTSNFGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLQCERPAGAHPTRGTEKLIEYKTYLQALPYSDRLDYVSTMAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHLLALTTHAMDVGASTPSLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSFTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGPGVCWDSRRAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQSMRIIWQCPNKIPSGMIKADDRKLCPPSRCRMKLSMESSIHHFELYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >Vigun02g154000.1.v1.2 pep primary_assembly:ASM411807v1:2:30065609:30067441:1 gene:Vigun02g154000.v1.2 transcript:Vigun02g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDHDATTSGLHLVLGLSLTATTTPSTKPQDHHHHHHHHFCVVKPTPTKPNSPNEPSLTLGLSGESCHLAKQVPTNSKVYCEDPLDMSRQTSPHSVVSSFSTGRVVKRERDLSFEEVEAEAEAEERVSSRVSDEEEDGTNARKKLRLSKEQSALLEESFKQHSTLNPKQKQALARELNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLKKELQELKALKLAQPLYMPMPAATLTMCPSCERLGGVSDNASNKSPFSMAPKTHFYNPFANPSAAC >Vigun04g159700.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38205594:38209952:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209983:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209952:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209952:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209983:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209952:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g159700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38204864:38209952:-1 gene:Vigun04g159700.v1.2 transcript:Vigun04g159700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFACRASNFFKRNLDNFPYNPNFNFFREFAQSTAIPKKQLRVRDHGYDNYMEVEKKTRKVLKFQSIILPEPTQSLPISRLETLVRRFGFTRHEAGAFVLKFPHVFEIFEHPVQRILFCRLTRKAILQIQQERQALAEQIPRAVTRLRKLLLMTNEGRLRLEHVRIARSAFGLPDDFENSVVLRYPQFFRLIDAKETRMKYIEVVDRDPRLATCAIEEVREREYRQRGGDAEDIRFSFIIDFPPGFKIGKYFRIAMWKWQRLPYWSPYENVCGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKRLKDFLLQHQGIFYVSTRGNQGKLHTVFLREAYRKGELIEPNELYLARRKLAELVLLSPRKAKVDKELVGYRRSRLEDEMGQVTRTYVEDACEDFKGEDGVGLDKDAEDDLTSDIGSDVDLGDEGNDFVDSASLQRTS >Vigun04g038400.1.v1.2 pep primary_assembly:ASM411807v1:4:3216043:3219599:1 gene:Vigun04g038400.v1.2 transcript:Vigun04g038400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSESSLISKLQSSDSSGIHALVSDYLRPLADLKATKKSKPDPTLIRSLAKRFLSFLNSSLSVLPKRLPELSKSNDAILLLELLRVYRLCLDCLDTVASQLASKPFSVEFQRLRFMHCLESCALFAEAEVEGLGVLERLRQPKRKGKLLPEIDKGGGGSKDLCSLIVDIAVSLVRCASAGLAKEDGDFKKIGGRNAILYSEHDLSILVRVLPPSLA >Vigun02g196701.2.v1.2 pep primary_assembly:ASM411807v1:2:33197818:33198617:-1 gene:Vigun02g196701.v1.2 transcript:Vigun02g196701.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNSATVISTVTVLIFFNNTDLIEFPLLTVSKKVEEERIKVVIMGSETFIEVILAILIPPLGVFLRYGY >Vigun02g196701.1.v1.2 pep primary_assembly:ASM411807v1:2:33197819:33198616:-1 gene:Vigun02g196701.v1.2 transcript:Vigun02g196701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNSATVISTVTVLIFFNNTDLIEFPLLTVSKKVEEERIKVVIMGSETFIEVILAILIPPLGVFLRYGCGIEFWIDLVLTLLGYLPGMIYAIYVLVA >Vigun08g100500.1.v1.2 pep primary_assembly:ASM411807v1:8:24717817:24723486:1 gene:Vigun08g100500.v1.2 transcript:Vigun08g100500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCLFSFTETRNRCYRSAFTGSGLRSTITDLKDGTIMHCWVPKARTEAKPNLLLIHGLGANAMWQWGDFIRHLAPLFNVYVPDLVFFGGSYTTRPERSERFQAECVMRVMEAQGVRRVSVAGLSYGGFVAYCMAAMERETVVVEKVVVCGSGVCMEERDVKEGLFPVTDLDEAASILVPQTPNKLKELVGYTFFKPTLFSWLPSCFLHDFIETMCRDYEQEKRELIKALVKDRKLFDIPKISQVLNPTLIIWGEHDQVFPLELGHRLKRHLGHNAQLVVIKKAGHAFCAEKPNEFFNIFNSFLLNFQLPPEVSPSNVQNNNNPIL >Vigun08g100500.2.v1.2 pep primary_assembly:ASM411807v1:8:24717786:24723487:1 gene:Vigun08g100500.v1.2 transcript:Vigun08g100500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCLFSFTETRNRCYRSAFTGSGLRSTITDLKDGTIMHCWVPKARTEAKPNLLLIHGLGANAMWQWGDFIRHLAPLFNVYVPDLVFFGGSYTTRPERSERFQAECVMRVMEAQGVRRVSVAGLSYGGFVAYCMAAMERETVVVEKVVVCGSGVCMEERDVKEGLFPVTDLDEAASILVPQTPNKLKELVGYTFFKPTLFSWLPSCFLHDFIETMCRDYEQEKRELIKALVKDRKLFDIPKISQPTLIIWGEHDQVFPLELGHRLKRHLGHNAQLVVIKKAGHAFCAEKPNEFFNIFNSFLLNFQLPPEVSPSNVQNNNNPIL >Vigun05g299500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48360409:48363784:-1 gene:Vigun05g299500.v1.2 transcript:Vigun05g299500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVGDSDPWLGIDKLYHLLMSFFLTFLFYSFASLTPYRRHAISIGSLFSLLAGAAKEAADHLGYFHSSGASVRDALANILGVCIASFALSLFPFSLRSPPPHHTRALSLV >Vigun05g299500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48361346:48363873:-1 gene:Vigun05g299500.v1.2 transcript:Vigun05g299500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVGDSDPWLGIDKLYHLLMSFFLTFLFYSFASLTPYRRHAISIGSLFSLLAGAAKEAADHLGYFHSSGASVRDALANILGVCIASFALSLFPFSLRSPPPHHTRALSLV >Vigun05g141366.1.v1.2 pep primary_assembly:ASM411807v1:5:17610319:17614888:1 gene:Vigun05g141366.v1.2 transcript:Vigun05g141366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGVSRKVVVRNRCKTDYIVKVNGLLRSSHRSRIGRTPFRWCVDMVKPLDINGVLLKHTLSRWVPEHESICIRQHLVWLSVLDVCVCLGLNAVGVDVEFNSVVCGVIKSLFEHEPITIDDIVNRIYFYLQSGDDNDIDNVDNVCRLYLLLCFALLYFPRTSRTVTNMPFRLLDNLDNLYQYNWSRSVHSFLVESFNRAYHTLRQDQNTSAITVAGSVAIFQLLVCRLLNVGSYEGDVIFPRILSWPSLVIRTHGIKSAFESNMVVLEWELTEDEKNIDVVRQALNVGAHGIPKKGVDDMSFTKFKQWCKRKLKRNYRVVQQLKDQLSNMEEEYGCGGQEPDPSSFEEPEPSPFHEGDRFDVEEPQCRPFDHPSSSHQPQPSSFDQGHAFDVEEPHSRDFEDPASFHQAKPSCHDIGDGCDVEEAHARHCNKHDQGTPEYNPHGMEIIPYVEPGKCSLDVDLSELYRILVSQDGRQTVVDINQQILTTVECCGFRPRGKLSNMAILFACNNFMYRQRKLNGVIKRVVFGTLYTTVVVEDSRKVKAKRREWVLGDYNNFLRRGLVSVHDILSADFVFAPIIHEQHWWCYAINCRTRQFFVLDSLGSKRQGRKRIDNAIARNMGILFDLLENRYDADKLKFEVLTQDLPLQPNLYDCGMLVLRYIESWDGETKFGDKYFPAYTSDELQELRQQYICDWFMDDDNIHRNY >Vigun05g141366.2.v1.2 pep primary_assembly:ASM411807v1:5:17611770:17614888:1 gene:Vigun05g141366.v1.2 transcript:Vigun05g141366.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLDINGVLLKHTLSRWVPEHESICIRQHLVWLSVLDVCVCLGLNAVGVDVEFNSVVCGVIKSLFEHEPITIDDIVNRIYFYLQSGDDNDIDNVDNVCRLYLLLCFALLYFPRTSRTVTNMPFRLLDNLDNLYQYNWSRSVHSFLVESFNRAYHTLRQDQNTSAITVAGSVAIFQLLVCRLLNVGSYEGDVIFPRILSWPSLVIRTHGIKSAFESNMVVLEWELTEDEKNIDVVRQALNVGAHGIPKKGVDDMSFTKFKQWCKRKLKRNYRVVQQLKDQLSNMEEEYGCGGQEPDPSSFEEPEPSPFHEGDRFDVEEPQCRPFDHPSSSHQPQPSSFDQGHAFDVEEPHSRDFEDPASFHQAKPSCHDIGDGCDVEEAHARHCNKHDQGTPEYNPHGMEIIPYVEPGKCSLDVDLSELYRILVSQDGRQTVVDINQQILTTVECCGFRPRGKLSNMAILFACNNFMYRQRKLNGVIKRVVFGTLYTTVVVEDSRKVKAKRREWVLGDYNNFLRRGLVSVHDILSADFVFAPIIHEQHWWCYAINCRTRQFFVLDSLGSKRQGRKRIDNAIARNMGILFDLLENRYDADKLKFEVLTQDLPLQPNLYDCGMLVLRYIESWDGETKFGDKYFPAYTSDELQELRQQYICDWFMDDDNIHRNY >Vigun04g095700.1.v1.2 pep primary_assembly:ASM411807v1:4:20077180:20079211:1 gene:Vigun04g095700.v1.2 transcript:Vigun04g095700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Vigun03g136400.1.v1.2 pep primary_assembly:ASM411807v1:3:13361471:13363972:1 gene:Vigun03g136400.v1.2 transcript:Vigun03g136400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTVKVVQELKPPLLMMLVQVAYAGNTVIASLAITDGMSIKVFSAYRHIWGAAITVILAFIFERKNRPKLTWRVLVMTFFCGLFGGSLFQNLFFTAVALVSATYANAILNMIPVVTFILSFLCGYEKLNLHTAAGKAKVMGTIIGVSGSMMLSFLKGVEINIWKNIDINLMHKKHNTQIIASDGKEWIGVLCGIGCCFSFSLWLIIQAKLSKEYPRHHSSTSLMNSMAALQATLFALCFEKDWNQWKLPSAIRILSALYSGIAVTVFVNIVTSWCVRKRGPLYASVFNPLALVIVAPTASLLLQNKLYLGTVVGAALIVCGLYMVLWGKSKEMKPPTDLVSSETELRRTEVVVVSANDKNEHVSNNQVNSIKATL >Vigun05g240550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43367071:43367310:-1 gene:Vigun05g240550.v1.2 transcript:Vigun05g240550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPKALALLQALQMCSSCLQNAKDEFPSAKTEEKGSLDTSAKTGAWRKGLTARRKPPNREQVFPLPPGGDQVPPGGD >Vigun01g135201.1.v1.2 pep primary_assembly:ASM411807v1:1:31402228:31404264:1 gene:Vigun01g135201.v1.2 transcript:Vigun01g135201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIFLHLSGTNSAVQAMVQILAEFASTDPMQFIPRWKGVLSRILPILGNVREMHRPIFANAFKCWCQASWQYSIDFPSHFPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALG >Vigun01g092550.1.v1.2 pep primary_assembly:ASM411807v1:1:25378778:25379036:1 gene:Vigun01g092550.v1.2 transcript:Vigun01g092550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDWERSSTVEDEVLSTNKAHHPTVNHALITGPSFIHQTAVPVSLELKDTDVRAYRTDPVQVRSWPKLFGFAL >Vigun11g172300.1.v1.2 pep primary_assembly:ASM411807v1:11:37791962:37795210:1 gene:Vigun11g172300.v1.2 transcript:Vigun11g172300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVMAFSAHLCRLPASRADIALPESLTRIRCRKPFSIRCSGDSPSASVDSEFNPKLFRKNLTRSKNYNRKGFGYKEETLQLMNREYTSDIIKTLKENGFEYTWGNVTVKLAEAFGFCWGVERAVQIAYEARKQFPTETIWLTNEIIHNPTVSKRLEDMKVQNVPLEDGSKQFDVIDNGDVVILPAFGAAVEEMLTLSEKNVRIVDTTCPWVAKVWNSVEKHKQGDYTSVIHGKYAHEETVATASFAGKYIIVKNMSEAEYVCDYVLGGELNGSSSTKEALLEKFKFAVSKGFDPDKDLIKLGIANQTTMLKGETEEIGKLLERTMMRKYGVENINEHFMSFNTICDATQERQDAMYKLVEEHVDLILVVGGWNSSNTSHLQEIAEEYGIPSYWIDSDQRIGPGNKIAHKLNHGELVEKENWLPEGPITIGVTSGASTPDKAVEDALIKVFDLKRKEAMQLV >Vigun11g094300.1.v1.2 pep primary_assembly:ASM411807v1:11:27698637:27700013:1 gene:Vigun11g094300.v1.2 transcript:Vigun11g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSISINTQLTQFFIINQIETISYLKMGNKLLFVLVAVALVTVPKNVSAQNCGCAEGLCCSQYGYCGTGEEYCGTGCQQGPCTSSSSPSNNVNVADIVTDAFFNGIIDQADSDCVGKSFYTRDAFLSALDSYTDFGRVGSEDDSKREIAAAFAHFTHETGHFCYIEEIDGASKDYCDEDSIAQYPCSSSRGYHGRGPIQLSWNFNYGPAGEANNFDGLGAPETVSNDVVVSFKTALWYWMQHVRPVINQGFGATIRAINGQLECDGANPSTVQARVNYYTEYCRQLDVDTGDNLTC >Vigun01g067500.1.v1.2 pep primary_assembly:ASM411807v1:1:18160747:18161692:-1 gene:Vigun01g067500.v1.2 transcript:Vigun01g067500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKNTIFLALIIVLITNEGMAEQHAVGGSQGWDASTDFKSWVSGQTFNVGDQLVFKYSSGLHSVVELGSESEYKNCDVGNAVNSMSSGNDVVKLNKPGTRYFACGTLGHCGQGMKVKITTVSGTSSSSSSSSSSPTSSSASHCFTSLLLIVASFIASLLTQF >Vigun07g040900.1.v1.2 pep primary_assembly:ASM411807v1:7:4005160:4007002:-1 gene:Vigun07g040900.v1.2 transcript:Vigun07g040900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSKKVSIEERFEQLQNTSVISEIGSKAKIQRVAPHLVGDGRMHYEKHFTPKLMSLGPIHYGAPKLQLGEEYKKMWAAMFFRSTDQTPQFLFGKIAENVEALKELFAPDLFTGNRLFLKYSEQGFSSMEEMICWTLLVDACALLQILEHFNLSRPEEMNVKFERLMLVFQDVMLLENQLPYPLLKLLWGETNEVRLIQTMIQFVGRAAWFVQSRYGSLEAPTHLLHLHRSLILYNKHGIRLRNTEYDTTNEDLCLITHRNITELKAAGVEVKARKTYDVKDVSFSLGRFRSKLTLPEIIVDETTAGFTLNLIAYEMCPDFENDYAIRDYISFLKSLVDTPDDVKALRSARVLLNTLGSDQDVMNLFTTISSNLVPNNMDKYGGVMVQIEKHFRHKSLPTWIALAYNTYFSTPWAILAFLAALLGLLLTFIQTWSTLHPPK >Vigun08g186100.1.v1.2 pep primary_assembly:ASM411807v1:8:35529145:35534416:-1 gene:Vigun08g186100.v1.2 transcript:Vigun08g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKENLKGLILALVSSGFIGASFIIKKQGLRRAAAASGVRAGFGGYYYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLADIILKEKLHHLGILGCVMCIAGSIIIVIHAPRESPINSVLQIWDMATQPAFLAYVGSVIVLVFILVFHFAPKCGHTNVLVFTGICSLMGSLSVMSVKALGTSLKLTFEGKNQLIYPETWFFMLVVAICVIMQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDGQSGGTIVSEICGFIVVLSGTVLLHTTKDFERCPSFRGAGPSSPTLSTRLYTGNADFLLKQDEESGSLDSNLCSRRQESY >VigunL073200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:100296:100916:1 gene:VigunL073200.v1.2 transcript:VigunL073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFLELYNKKIFPSTPITSFSSFLSYIVVTPLILGFEKDFSCHSHLGPIRIPSLFSFPPAPFPRNEKEDGTLELYYLSAYCLPKILLLQLVGHRVIQISCVFCGFPMLQLPYQFGRSGMDRLNIPSGSLVLTLLCGIHSRLALGITSGSGWNSSQNPTTSPTSLPPTVSRTSIETEWFHVISSIGYSSPFVSLFPIAVSMSLQD >Vigun01g193300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:37092507:37093880:1 gene:Vigun01g193300.v1.2 transcript:Vigun01g193300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAKFFSVLVLCVAIMAHQVVALNLLSGDLIHGKETLPRGNTLINKVCAKSSTRDLCIEVLSSDPLTSPIADLTDLAIISLRVAAKNASGILTDTKVLIDDASLDPDVQQGLADCKANILDAASQLEDTIASLLTGLQDDAQRWLKAALATIDTCDVSIPGDDDILFVESTVFRKLCNIAIIVTKLLANPDRL >Vigun03g284000.1.v1.2 pep primary_assembly:ASM411807v1:3:46478901:46481075:-1 gene:Vigun03g284000.v1.2 transcript:Vigun03g284000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKVLGSGTRYIVLAHGFGTDQSVWKHLVPHLLDDFRVVLYDNMGAGTTNPDYFDFDRYSTLQGYAFDLLAILEELRVHSCIFLGHSVSAMIGTVASISRPDLFAKIIMLSASPRYLNDMEYFGGFEQEDLDELFDAMAANYKAWCSGFAPMAVGGDMESVAVQEFSRTLFNMRPDIALSVLQTIFQSDMRQILNLVTVPCHIIQSKKDLAVPIVVAEYLHQNIGGDSVVEVMSTEGHLPQLSSPDIVIPVLLKHIHLDIATKQ >Vigun06g010000.1.v1.2 pep primary_assembly:ASM411807v1:6:4640864:4644373:1 gene:Vigun06g010000.v1.2 transcript:Vigun06g010000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGESERGKGSVSLEGEKVILVPYMEAHVPKYHQWMKDPSLLQATASDPLTLQQEYQMQLSWIQDPFKETFIVLDKDLVVGQFSHGEPHLEAMVGDVNLFMNDLDDPHVAEVEIMIAEPKSRRKGLGKESVLMMMTFAIEKLGINTFRTKIGDSNGASLDMFKKLGFVQTSYSSIFKEVTLELQMTQPKKEDMLGLMKMVIKHT >Vigun05g143425.1.v1.2 pep primary_assembly:ASM411807v1:5:19178776:19187963:1 gene:Vigun05g143425.v1.2 transcript:Vigun05g143425.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILWSPFKKVKSKTPPHLARINVAERIIQTKKSGFQKLGTHHKWKQVYYIGFSEKMSLRR >Vigun05g143425.2.v1.2 pep primary_assembly:ASM411807v1:5:19178776:19187963:1 gene:Vigun05g143425.v1.2 transcript:Vigun05g143425.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILWSPFKKVKSKTPPHLARINVAERIIQTKKSGFQKLGTHHKWKQVYYIGFSEKMSLRR >Vigun06g092700.1.v1.2 pep primary_assembly:ASM411807v1:6:22497938:22500984:1 gene:Vigun06g092700.v1.2 transcript:Vigun06g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSKKKGSSTSTSSPIAAPKSASAVAELKKPSSVVGVNVSKPKLETDPEVKPKKENPKKVEEKHEAVAEVEGHVKKEIFIIKHRKSHDDRERNSKSPPCTTQQNVTAESMGDITAQPAATNIGVVGVRTSSCTKEEVDAILIQCGRLSRSSSGNAVAASGEHRRRYSGSKRSYDFDHCDNDTISNDEDSKKGNANESNSDLCEDERHQHRLRHRQSPSPRPSSQERRRRTPSREREQQQRSSSRERRVSRSPGRRSSENAPAIARNNSNTSSRPGKMVSVPATVSSLVMDKSNNNGGGGGESAATTGIKRITVKRNVGAASPRSQSPARANANNNKPFNENQQPPSLSRSSSRKAEQSPYKRNPLSEIEPNSLSFPHSAANNNSNRVQNRPKKEFETEAIQRTNSSRTALDKGMTVNYKTKVQQEGDIKVQSSMTDNVVVKTMVPAGLDNPKPHTLTRSRSSRRSRDLDLNPEALLNPPQSYASLLLEDIQNFHQKSTQPVSLPACVTKACSILEAVADLNANTSLNLCGAEDRRSAPAFQCSRNDYNVPLSANDYGKREPDAEDPVVESMLVFNDDDDEMESSLHKYVTVNRGGSLGGVDMEDQESSGSNSFTVGNSQQHWGISSSWEPSSVESRDCRTSRLNYSREEGSVSSETGCDHQHGSGIGRGRLGASKVLHNVPIVTASAPS >Vigun09g241700.2.v1.2 pep primary_assembly:ASM411807v1:9:41130858:41135678:1 gene:Vigun09g241700.v1.2 transcript:Vigun09g241700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTPHAVMRTFAPLPEPDLSLNISPPFTSDPDAKQVEATCNGLTLTTKTLYSDLCSTSDSGSSGSDLSHEHGFRHAVYNLGHREPTLSLGSGRENLNFYPIQGAVSRNFNHLHNYQPQTNTVDFKRNARGIQGVRRSSRAPRMRWTTTLHAHFVHVVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSSDKSSAGYGQTGIGLSQKPGIVDLHGAVACERPNLPHPLLKSHRAPWQSSMETNSINYIQSPIINSMYPHARENQTMVGGQHYGGLSNCMEEKLESCSLSRSDMTLDLEFTLGRPTTCKEITQKQQN >Vigun09g241700.1.v1.2 pep primary_assembly:ASM411807v1:9:41130858:41135678:1 gene:Vigun09g241700.v1.2 transcript:Vigun09g241700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYVMSSYSKPLLNWNNGTPISTIPMCTTPHAVMRTFAPLPEPDLSLNISPPFTSDPDAKQVEATCNGLTLTTKTLYSDLCSTSDSGSSGSDLSHEHGFRHAVYNLGHREPTLSLGSGRENLNFYPIQGAVSRNFNHLHNYQPQTNTVDFKRNARGIQGVRRSSRAPRMRWTTTLHAHFVHVVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSSDKSSAGYGQTGIGLSQKPGIVDLHGAVACERPNLPHPLLKSHRAPWQSSMETNSINYIQSPIINSMYPHARENQTMVGGQHYGGLSNCMEEKLESCSLSRSDMTLDLEFTLGRPTTCKEITQKQQN >Vigun07g195600.2.v1.2 pep primary_assembly:ASM411807v1:7:31509095:31511753:1 gene:Vigun07g195600.v1.2 transcript:Vigun07g195600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPTIPNATTTKGRVLIIGATGFIGRFVAEASLLTAHPTYLLLRPPPLVPSKDAIVKTFQEKGAIVIHGVINNKDFVEKILKEYEIDIVISAIGAKSLLDQLILVEAMKSVKTIKRFLASEFGHDVDRADPVEPGLTMYNEKRLVRRMVEKSGVPYTNICCNSIASWPYYDNCHPSQLPPPLDQLQIYGHAYFVDGIDIGKFTMKVIDDVRTVNKNVHFRPSNNCYSINELASLWEMKIGRTIPRVTVTEDDLLAAAAENCIPRSIVASFTHDIFIKGCQVNFKIDGVDDVEISTLYPDEAFRSLEDCYEDFAHMIDDKIHKEEHKIKGTKSVVEAVPITASCG >Vigun07g195600.1.v1.2 pep primary_assembly:ASM411807v1:7:31509095:31511753:1 gene:Vigun07g195600.v1.2 transcript:Vigun07g195600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPTIPNATTTKGRVLIIGATGFIGRFVAEASLLTAHPTYLLLRPPPLVPSKDAIVKTFQEKGAIVIHGVINNKDFVEKILKEYEIDIVISAIGAKSLLDQLILVEAMKSVKTIKRFLASEFGHDVDRADPVEPGLTMYNEKRLVRRMVEKSGVPYTNICCNSIASWPYYDNCHPSQLPPPLDQLQIYGHGNVKAYFVDGIDIGKFTMKVIDDVRTVNKNVHFRPSNNCYSINELASLWEMKIGRTIPRVTVTEDDLLAAAAENCIPRSIVASFTHDIFIKGCQVNFKIDGVDDVEISTLYPDEAFRSLEDCYEDFAHMIDDKIHKEEHKIKGTKSVVEAVPITASCG >Vigun03g088001.1.v1.2 pep primary_assembly:ASM411807v1:3:7365958:7370720:-1 gene:Vigun03g088001.v1.2 transcript:Vigun03g088001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun03g088001.2.v1.2 pep primary_assembly:ASM411807v1:3:7365965:7370720:-1 gene:Vigun03g088001.v1.2 transcript:Vigun03g088001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLARSSTPFALRFPESFCMDCCCFSNFGAYNLGILVDCDIGSRSDWVGCNNGWYCPSIGFLFNYAVVENSVAKLKKLPANYVYEVM >Vigun04g071100.1.v1.2 pep primary_assembly:ASM411807v1:4:8225650:8226369:-1 gene:Vigun04g071100.v1.2 transcript:Vigun04g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNASYNAGQAKGQAQEKASNMMDKASDAAHSAQDSMQQAGQQMQEKAQGAADSIKSALNSKNQ >Vigun03g003000.1.v1.2 pep primary_assembly:ASM411807v1:3:212091:213892:-1 gene:Vigun03g003000.v1.2 transcript:Vigun03g003000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transport system permease protein 1 domain containing protein [Source: Projected from Oryza sativa (Os06g0695800)] MSFLLDDCREHLLEVDSNAKPKFKITNLTRVSHDGVSILKGINLDIPKGVVVGVIGPSGSGKSTLLRALNRLWEPPSASVFLDGQDISHLDVLSLRRKVGMLFQLPALFQGTAADNVRYGPGLRGKKLTDDEVRKLLAMADLDASFMDKSGAELSVGQAQRVALARTLANSPEVLLLDEPTSALDPISTENIEDALMKLNKNRGMTVIMVSHSIKQIQRIADIVCLLVDGEIVEVLKPDKLSEAKHPMARRFLELSS >Vigun03g421200.1.v1.2 pep primary_assembly:ASM411807v1:3:62688172:62695608:1 gene:Vigun03g421200.v1.2 transcript:Vigun03g421200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGHTLMEVGPDGVAVITIVNPPVNSLSYDVLRSFKQNFDEALSRDDVKAFVVTGAKGKFSGGFDISAFGDEGPIERPKTGWLSVDIITDTIEAARKPLVAAIDGLALGGGLEIAMACNARLSTPTAQLGLPELQLGIIPGLGGTQRLPRLVGLAKALEMMLTSKPVKGKEAFSLGLVDGLASPDDLVKAARQWALDIVGHRRPWIASLYKTDKLEPLGEALEILKFARAQARKRAPNLHHPLVCIDVIEAGVVDGPRAGLWKEAEAAEILAKSDTCKSLVHIFFSLRGTSKIPGVTDLGLVPRNVKKVAVLGGGLMGSGIATALILSSYPVILKEVNEKFLDAGINRIKANLQSRVRKGKLTQENFEKAVSLVQGTLDYGSFGDVDMVIEAVVENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGEKTKSKDRIVGAHFFSPAHVMPLLEIVRTNNTSPQVIVDVLYISKKIKKIPVVVGNCTGFAVNRMFFPYTQAGLLLVERGADVYQIDKVISKFGMPMGPFRLVDLVGFGVAIATGMQFIENFPERTYKSMLIPLLQEEKRTGETSRKGFYLYDDKRKASPDTELKNYIEKARSITGVSVDPKLVNIQEKDIIEMIFFPVVNEACRVLGEGIAVKAADLDISSVMGMGFPPYRGGIIFWADTLGSKYICSKLEKWSELYGEFFKPCAYLAARAARGIPLSGSVEQANSRL >Vigun05g125100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13975963:13977259:1 gene:Vigun05g125100.v1.2 transcript:Vigun05g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITDWMVLGKVYGPHASDRFAFWSRDLGFTGTFFCGQNTISGSKSAFMVDGVAAFPGDGFGWNVLSSVIFCLRRVSHNRVVTFLFFLIGLGNMFYRVFTVGVKYFDKKIDIFYRKQNFKILRTFLI >Vigun02g106800.1.v1.2 pep primary_assembly:ASM411807v1:2:26070965:26074277:1 gene:Vigun02g106800.v1.2 transcript:Vigun02g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSCGAISVRRSEAQVVAPARATPSEVKYLSDIDDQEGLRMRFSFIMFYERDDAMKEFDPAMFIKRGISEALVHYYPLAGRVAEGPNKKLVVECSGEGVMFVEAEADVALEELGDSIGPPCLHRKHFVYEVPASQGILGSPLLLIQVTRLSCGGFVFAVCMNHVVCDSFGLVQFLNVVATMARDPHAAIQPPVWRRDIFSARNPPRVTCTHHEYDNVVQHSTTTTSSHSTENTENLSHESFFFGQKEIQTLRNHLPHNLRKCSTFELLTACLWKCRTMALDLDPNEVVGVSSFVTTHGKVNVPKGYYGNAFVFPIALSKAGLLCEKSLGYGLGLIREAKGRMSEEYVRSVVDLMVLKGRPMHRTHGNFFVGDMSRVGFGEVDFGWGKPVYGGPVGAIPLVSYLARFKDGIMVPILLPEFPMKRFLREVTKMTSEEEFNLSPDMKTRARSMI >Vigun02g106800.2.v1.2 pep primary_assembly:ASM411807v1:2:26070988:26074246:1 gene:Vigun02g106800.v1.2 transcript:Vigun02g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSCGAISVRRSEAQVVAPARATPSEVKYLSDIDDQEGLRMRFSFIMFYERDDAMKEFDPAMFIKRGISEALVHYYPLAGRVAEGPNKKLVVECSGEGVMFVEAEADVALEELGDSIGPPCLHRKHFVYEVPASQGILGSPLLLIQVTRLSCGGFVFAVCMNHVVCDSFGLVQFLNVVATMARDPHAAIQPPVWRRDIFSARNPPRVTCTHHEYDNVVQHSTTTTSSHSTENTENLSHESFFFGQKEIQTLRNHLPHNLRKCSTFELLTACLWKCRTMALDLDPNEVVGVSSFVTTHGKVNVPKGYYGNAFVFPIALSKAGLLCEKSLGYGLGLIREAKGRMSEEYVRSVVDLMVLKGRPMHRTHGNFFVGDMSRVGFGEVDFGWGKPVYGGPVGAIPLVSYLARFKDGIMVPILLPEFPMKRFLREVTKMTSEEEFNLSPDMKTRARSMI >VigunL020200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000256.1:40344:41470:1 gene:VigunL020200.v1.2 transcript:VigunL020200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKSHHAVSSSLFNQFSYQSMTFFLSFSTKSVAYTSTKPFIIDYLNSDLQFSRTQSLYVSKRVSGCRFPQNPLSPDSLLIRQRPQILFTNVEKILRPKIQLFQMSGFQGYELCSFISKNPSILTHSLKKTLVPSVEAIRKIVYDQKDFILVLHRCGWILPKYKRIMENVVFLESCGILGTHLSLLLKLHPRLFVAQRSTIENNVSRAVDLGFRENSRMLVHAIHTLSCLSDKTFERKLKLINCFGFSKDEGLQMFKRTPTLFRTSEKKLKVGMKFFLHTVMLPKSDRVLPRYKVFQLLKSKNLCKKVPSYIHVLCLSEEMFLDKYISQFRENAEELLVAYKGHYLEA >Vigun03g246901.1.v1.2 pep primary_assembly:ASM411807v1:3:41028449:41041554:1 gene:Vigun03g246901.v1.2 transcript:Vigun03g246901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNALHLFHIHQQLPEWKEESMEKVSNGIEKSYESSCNMFKTVPALLLYLGLIHFNIIFILFATFFLSLSKALLVFALLLVLVMIPVDDNSMFGRKLSKYICKHICSYFPIKLHLEEPQAFRPNHAYVFGYEPHSAIGIGVVALSENAGLMPLAKTKLLASSVVFYIPFLRHVWTWLGFSPVTKKNLISSLGAGYSCVLVPGGIRETLFWSPILRREEDLFG >Vigun05g035400.1.v1.2 pep primary_assembly:ASM411807v1:5:2888932:2890779:1 gene:Vigun05g035400.v1.2 transcript:Vigun05g035400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPHFLVIPYPVLGHVNPLIHLSQILAKHGCSITFLNTEFSHERLNATGAGLDNLKGSGIKFVTLPDGLGPEDDRSDQKKVVRSIKTNMPSMLPKLIQDVNALDANNKITCMVVTLSMTWALKVAHTLGIKGTLLWPASATSLVLCDCIPSLIHDGVIDSYGIPGRRQKIELSPNMPLMDTENFPWRGHDKLHFDHLVQEMQTMKLGEWWLCNTTYNLEPAVFSMSPRLLPIGPLMASDSNKSSFWEEDTSCLEWLEQQQPQSVVYVSFGSMAVMDPKQFNELALGIDLLEKPFIWVVRPNDKKVNFNAYPRDFHGKRGRTVGWAPQKKILNHPALACFISHCGWNSTVEGVCGGTPFLCWPFAKDQLVNKSYICDVWKVGVGLDKDENGLISKEEIKNKVEQLLGDQNMKARCLRMKELTMNNISKGGQSSKNLEKFIKWAQ >Vigun05g035400.2.v1.2 pep primary_assembly:ASM411807v1:5:2888932:2890779:1 gene:Vigun05g035400.v1.2 transcript:Vigun05g035400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPHFLVIPYPVLGHVNPLIHLSQILAKHGCSITFLNTEFSHERLNATGAGLDNLKGSGIKFVTLPDGLGPEDDRSDQKKVVRSIKTNMPSMLPKLIQDVNALDANNKITCMVVTLSMTWALKVAHTLGIKGTLLWPASATSLVLCDCIPSLIHDGVIDSYGIPGRRQKIELSPNMPLMDTENFPWRGHDKLHFDHLVQEMQTMKLGEWWLCNTTYNLEPAVFSMSPRLLPIGPLMASDSNKSSFWEEDTSCLEWLEQQQPQSVVYVSFGSMAVMDPKQFNELALGIDLLEKPFIWVVRPNDKKSLWLEFYCRRCMWWHTLLVLAICQGPTC >Vigun01g073950.1.v1.2 pep primary_assembly:ASM411807v1:1:20522879:20523753:-1 gene:Vigun01g073950.v1.2 transcript:Vigun01g073950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMVQIFFSFFRVPFMKFQFREFLSLFNGMFEQIMDVVSTSRLAPDATNKSISFPTYFLSTFHNQNK >Vigun02g053700.1.v1.2 pep primary_assembly:ASM411807v1:2:19585196:19592278:-1 gene:Vigun02g053700.v1.2 transcript:Vigun02g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQNLPGYYSMRDLNEESSSCGWPLFYGDKSLSNGQYYNSYLPSSATDACSGYDRDAVKRMMLEHEAIFKNQVYELHRLYRIQRDLMSEVKKKELDRNQIPIEASCSTGQMASQLTNDVGQKWHIPLGNSSCAKTSVSGVEGIHSPLDSMKGIGKQTSPFPSPNGCSSKDVEVLESRPSKVRRKMFDLHLPADEYIDTEESEKLSDEKTSDPSFFLPDRNCKNGKEGDGEHFCGNGEKTGNQDTSRSEQSLKRRNGLADLNEPLQVEETYNSPYVHVLDCNPCQGEAECSDLSAAPKPKSEFFGLSREQLLNSSHGTDSWAQNNGYLENNRGGKGWYQSVPGAGKANNSTQSGPHVLRLEKSPLSSQTIQDAFSKFHEPASDYINGRNKADIWREKTVSDLHISERNHEFSINKHPESVIPLLRPGLFGAAPSSDLSKSWSHSASSWEMANSSLSQRLMSIQTPPCHASGALTRISQSQSNGILEECWPLNMNSKPNTGSRCDAPLQNGFFPGSSSGSKEPSMNISSISYDYLNHKNDFKIIPDHFINNVPSKSCKGSDSNCNNMKSGKDIDLNVLLPNGSSNNFVPQSSIVGMMDGEQKNEECHVALPWLRGKTTCKNGVQNSAGESGLFHAASLSKKDDIEVRMTEVYESSRDKKILGVPIFEMPRISAKELSSITSQSVSNHNPSEVKAVENKKKQIFDINLPCDAAAVELDKEAFTETAASKTRSPTKADSRNQIDLNLSMSEDEGSFTTIPSDNVKIKADIDLEAPVVLETEEDVLSAEKPLETSLQSSQVLKHSVEQSKDNELITNAAEAIVVLSTLSFDEVDGVTSESLSEGPKVDMLNWFADIVSSCKDNIEGNCDVSREKDAEDNEQRSSGGLDYFEAMTLNLPYTKEEDYMPKPLVPENFKVEETTTLLPTRTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGIMRGTGYSWNSGLTRRSSSRNGGGRGRRRTQVAPSPPTPVATNETSTPLIQQLNNIEIGLEDRNLTGWGKTTRRPRRQRCPAGNPPLIQLT >Vigun02g053700.2.v1.2 pep primary_assembly:ASM411807v1:2:19584927:19592224:-1 gene:Vigun02g053700.v1.2 transcript:Vigun02g053700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQNLPGYYSMRDLNEESSSCGWPLFYGDKSLSNGQYYNSYLPSSATDACSGYDRDAVKRMMLEHEAIFKNQVYELHRLYRIQRDLMSEVKKKELDRNQIPIEASCSTGQMASQLTNDVGQKWHIPLGNSSCAKTSVSGVEGIHSPLDSMKGIGKQTSPFPSPNGCSSKDVEVLESRPSKVRRKMFDLHLPADEYIDTEESEKLSDEKTSDPSFFLPDRNCKNGKEGDGEHFCGNGEKTGNQDTSRSEQSLKRRNGLADLNEPLQVEETYNSPYVHVLDCNPCQGEAECSDLSAAPKPKSEFFGLSREQLLNSSHGTDSWAQNNGYLENNRGGKGWYQSVPGAGKANNSTQSGPHVLRLEKSPLSSQTIQDAFSKFHEPASDYINGRNKADIWREKTVSDLHISERNHEFSINKHPESVIPLLRPGLFGAAPSSDLSKSWSHSASSWEMANSSLSQRLMSIQTPPCHASGALTRISQSQSNGILEECWPLNMNSKPNTGSRCDAPLQNGFFPGSSSGSKEPSMNISSISYDYLNHKNDFKIIPDHFINNVPSKSCKGSDSNCNNMKSGKDIDLNVLLPNGSSNNFVPQSSIVGMMDGEQKNEECHVALPWLRGKTTCKNGVQNSAGESGLFHAASLSKKDDIEVRMTEVYESSRDKKILGVPIFEMPRISAKELSSITSQSVSNHNPSEVKAVENKKKQIFDINLPCDAAAVELDKEAFTETAASKTRSPTKADSRNQIDLNLSMSEDEGSFTTIPSDNVKIKADIDLEAPVVLETEEDVLSAEKPLETSLQSSQVLKHSVEQSKDNELITNAAEAIVVLSTLSFDEVDGVTSESLSEGPKVDMLNWFADIVSSCKDNIEGNCDVSREKDAEDNEQRSSGGLDYFEAMTLNLPYTKEEDYMPKPLVPENFKVEETTTLLPTRTRKGPARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGIMRGTGYSWNSGLTRRSSSRNGGGRGRRRTQVAPSPPTPVATNETSTPLIQQLNNIEIGLEDRNLTGWGKTTRRPRRQRCPAGNPPLIQLT >Vigun09g113900.1.v1.2 pep primary_assembly:ASM411807v1:9:25012378:25016054:-1 gene:Vigun09g113900.v1.2 transcript:Vigun09g113900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASKTSTAQEEAVVADVAPAERSDTNVVVSVEEEEKASPEKENIPETETEVSKDNIPESGSFKEESTIVSDLPETEKKALQELKQLIQEALQKHEFSPKDEKPEDATDNKEEEKPVEEEKEHVVHAAAAAAGTDVAEATEKEAAEVKETEAETKTETEVGTEKAAVSDGGSVAEDGAKTVEAIEESVVPVSVSEEEAKVEGGSASPEEVSIWGVPLLADERSDVILLKFLRARDFKVKEAFAMIKGTIRWRKEFGMEELLEEDLGDDLEKAVYMHGFDKEGHPVCYNIYGEFQNKELYKKSFSDEEKRHRFLRWRIQFLEKSIRKLDFTPGGISTIVQVNDLKNSPGPAKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSAETLLRYIAAEQLPVKYGGLSKDGEFGISDAVTEITVRPAAKHTVEFPVTENSLLSWELRVIGWDVSYGAEFVPSSEGSYTVIIQKARKVGSSEEPVLCSNYKIGEPGKVVLTIDNQSSKKKKLLYRLKVKPSSSD >Vigun05g277500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46710044:46711213:1 gene:Vigun05g277500.v1.2 transcript:Vigun05g277500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQSRKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMATISKSMGNIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPTKEADKVDEDDLSRRLAELKARG >Vigun10g115500.1.v1.2 pep primary_assembly:ASM411807v1:10:31961966:31965417:1 gene:Vigun10g115500.v1.2 transcript:Vigun10g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKPASGEGETMVVDAAPRRPRILLAASGSVAAVKFANLCHCFSEWADVRAVATSASLHFIDRASMPKDVTLYTDDNEWSSWMKLGDNVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPFFVAPAMNTLMWNNPFTERHLIAIDELGISLIPPVTKRLACGDYGNGAMAEPSTIYSTVRLFYESKAQQGNGDI >Vigun02g079500.1.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23224873:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSDDGGVSSDEDLSTGDGDGQDGQSKGEDRELKDRLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNVHYKWPYPTEADKIELAKSTGLDQKQINNWFINQRKRHWKPSENMQFSMMENLNGRFLGDE >Vigun02g079500.6.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23218149:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSGIRFSSYGS >Vigun02g079500.2.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23224873:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSDDGGVSSDEDLSTGDGDGQDGQSKGEDRELKDRLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNVHYKWPYPTEADKIELAKSTGLDQKQINNWFINQRKRHWKPSENMQFSMMENLNGRFLGDE >Vigun02g079500.3.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23224873:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSDDGGVSSDEDLSTGDGDGQDGQSKGEDRELKDRLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNVHYKWPYPTEADKIELAKSTGLDQKQINNWFINQRKRHWKPSENMQFSMMENLNGRFLGDE >Vigun02g079500.5.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23222664:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSVYSHAMVKSLSD >Vigun02g079500.4.v1.2 pep primary_assembly:ASM411807v1:2:23215707:23218149:1 gene:Vigun02g079500.v1.2 transcript:Vigun02g079500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYGVPDYADKPLMTPENLIFPPDYPAFLTAARIPIFGSDDIFSGEPSAASASPAGIPRHQDDDVATTVMKAKIASHPHYPRLLQAYIDCQKVGAPPEIARLLEEIRRENDLCKSDVVSTCFGADPELDEFMETYCDMLVKYKSDLARPFDEATTFLNKIEMQLSHLCTGASVSTVSVIGPLAGTSIAQDQLRRNYFCLTVILNEFRYTLLELWVLSSNSTLSWHIDGG >Vigun03g178700.1.v1.2 pep primary_assembly:ASM411807v1:3:22373835:22374529:1 gene:Vigun03g178700.v1.2 transcript:Vigun03g178700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAASFCRRLNVKELVTNVPVYRSTSDVSGEGLSMVFRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVILGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGLVKFERNKLTGRKWVHVEPKEGHVLHPLYANASVSEVKVAV >Vigun09g204200.1.v1.2 pep primary_assembly:ASM411807v1:9:37872142:37874212:1 gene:Vigun09g204200.v1.2 transcript:Vigun09g204200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYDVLKVNRNATEDDLKKSYRKLAMKWHPDKNPNNKKEAEANFKQISEAYEVLSDPQRRLVYDQYGEEGLKDKPPDEPSNNGFNPRNAEDIFAEFFGSSPFGFGSSGANKSKRFPSDGGTTPFGGFSAKDNNFRSYSTGRGNVLKKPLPVESKLPCSLEELYTGSTRKMKISRKTVDANGWTVPETEILTIDVKPGWKKGTKITFPEKGNQEPNQLPADLVFVIDEKPHDVFKRDGNDLIVNKRVSLAEAIGGTTINLTTLDGRSLSVQVTDIVSPGYEMSIPKEGMPITKEPGHRGDLKIKFDVSFPTKLTPEQRAGLKRTLTT >Vigun02g008800.7.v1.2 pep primary_assembly:ASM411807v1:2:3681728:3684633:-1 gene:Vigun02g008800.v1.2 transcript:Vigun02g008800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEIEMEIGRVPVVEFLIQSAEHLHVSPIVKYSAFSFLADRFFHSLPTFIQAAGASSSSWLLRPVTESTLQLFALISLWISTKIHNSRPLSVASFKSLAHTFIKDQHFTTRNFLEAEVLFMQVLNFEIGTSNIAFFFLEDLWVQFKGVARVGELISIEVCMDIMDLLYEKEEMSVHFRSPKSLAASILVTSYVITVPRQKWEFPLLAWVNFVTSCKEDDITRLVTKILKHVLGPT >Vigun02g008800.8.v1.2 pep primary_assembly:ASM411807v1:2:3681728:3684633:-1 gene:Vigun02g008800.v1.2 transcript:Vigun02g008800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEIEMEIGRVPVVEFLIQSAEHLHVSPIVKYSAFSFLADRFFHSLPTFIQAAGASSSSWLLRPVTESTLQLFALISLWISTKIHNSRPLSVASFKSLAHTFIKDQHFTTRNFLEAEVLFMQVLNFEIGTSNIAFFFLEDLWVQFKGVARVGELISIEVCMDIMDLLYEKEEMSVHFRSPKSLAASILVTSYVITVPRQKWEFPLLAWVNFVTSCKEDDITRLVTKILKHVLGPT >Vigun02g008800.10.v1.2 pep primary_assembly:ASM411807v1:2:3681728:3684633:-1 gene:Vigun02g008800.v1.2 transcript:Vigun02g008800.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEIEMEIGRVPVVEFLIQSAEHLHVSPIVKYSAFSFLADRFFHSLPTFIQAAGASSSSWLLRPVTESTLQLFALISLWISTKIHNSRPLSVASFKSLAHTFIKDQHFTTRNFLEAEVLFMQVLNFEIGTSNIAFFFLEDLWVQFKGVARVGELISIEVCMDIMDLLYEKEEMSVHFRSPKSLAASILKWEFPLLAWVNFVTSCKEDDITRLVTKILKHVLGPT >Vigun02g008800.9.v1.2 pep primary_assembly:ASM411807v1:2:3681728:3684633:-1 gene:Vigun02g008800.v1.2 transcript:Vigun02g008800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEIEMEIGRVPVVEFLIQSAEHLHVSPIVKYSAFSFLADRFFHSLPTFIQAAGASSSSWLLRPVTESTLQLFALISLWISTKIHNSRPLSVASFKSLAHTFIKDQHFTTRNFLEAEVLFMQVLNFEIGTSNIAFFFLEDLWVQFKGVARVGELISIEVCMDIMDLLYEKEEMSVHFRSPKSLAASILKWEFPLLAWVNFVTSCKEDDITRLVTKILKHVLGPT >Vigun11g007200.1.v1.2 pep primary_assembly:ASM411807v1:11:791284:792474:-1 gene:Vigun11g007200.v1.2 transcript:Vigun11g007200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSTLNSALLCFWVPLLLLVTFSYATSVLATTQISGNEVNKDGKVVNEDLGKVNPEGDDEESKFKGLFPKPIPFAKPIPKPVPLIKPIPIPFYKKPTPKPIPSEEAKFKGIFPKPIPIVKPVPKIIPVVKPIPILKPVPKIIPVVKPIPIIKPVPKIVPIVKPIPIIKPVPKVVPIVKPIPIVKPVPKPFVKPIPVLKPIPEPFVKPIPKPFVVKKPIPTIESEEFLKPKPFFKKSIPKLPFYPKFKKPFPPIPTP >Vigun08g014700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1262644:1264901:-1 gene:Vigun08g014700.v1.2 transcript:Vigun08g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSKSFRFRIPWLSVPSESFARRVRDTPKSPPHSDTSVPIHRPSKPSLVPPSEPSPPSPTKTEKPSRAEPQNLSPPSSHADSPSKSHIAPSSPKTSLASNNGALKVPKPVAEASSTPPASSSSEEEKKKMIFSEPTPQEAEPKVEAPLRTISKSPETSFQPQRISTQSADTEQQVSSVPYSSPLASKDVKTEETTPQEEKEKMEEPVPEQEKPKIKSPLKTITKSLETSSQPENLSTQLPAIEQRSTTSNSKSLKPEEKEKVVPETSKARGKGKDTTATTTTTAKAKDSFGKTFRGDKKHHGVRETVERKLMFATTNPIEKDTRVVNSTDEGTRNVSSSSIFSEKTVSSSAEKAPPLQKGIKDDITKFVHKLTATVHPSQHTDDKQFSVITLVGDNRGATMHLGSETAKKEDSIHIHRAYKSDPEETNEVTTDGEENTNEDEEEEEEEELGMAYVNSNIQSINNSLMFHGSISERDPGVQIALPQKPSEPIRPHDKPKPGLETQRTQFNINRAEKSTYQPTVGRSIRGPSLESHDKRRRQSCKFSCDKDIEDIEIM >Vigun04g093550.1.v1.2 pep primary_assembly:ASM411807v1:4:18621212:18622225:1 gene:Vigun04g093550.v1.2 transcript:Vigun04g093550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSSSLSTSSSSQSTESDRSRDERLRGEGVSAGVGTSGMPMEVVREVREDPPEELEESNWPARGGYVWVASDVRDQSSLFRWSRLLNSWLNYIVSLERVSAIDRVCHGQEGATEKFFYMYMCHFSQLHIRLPFDDFTMGVLRALNVAPTQLHPNSWAYLQAFRILCESLYLEPSPYAFLYFYDTRPRRPATWLSLISRPSISRLDAFSQSFKHFKDGYFKVVVKEGGEPYFLNADGSTKFPFSWTNNPSRYKDMGVEELSSGDKEVVGMLLRFVDKLPTKGLVRVYNSVHPIIDIEGICLLLKLC >Vigun05g162625.1.v1.2 pep primary_assembly:ASM411807v1:5:25968351:25971472:1 gene:Vigun05g162625.v1.2 transcript:Vigun05g162625.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQLRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFAKK >Vigun10g135100.1.v1.2 pep primary_assembly:ASM411807v1:10:34635555:34640629:1 gene:Vigun10g135100.v1.2 transcript:Vigun10g135100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSENKIRFVEADGDFVDFLVSFLTTPLGSILNLKNKKLSCSPIPLLASILNLKNGRLSLGSIRNLYKSVKNLDPSWFIESSKKSLLNLKVAPHFGCERNPLLNASQDETTKYWYGLGEMKNEKGRTICEMKMISKKKDKLQQPKDIELLDPRSSYRARKDVVGFMKRPCLFIVWDNLKLSPLTTTSLPCSFSDSENPLSTDLEEHLLKIRKSQAINLLRVSLTSDKGAFTRSLSFLLWTWRFQRLIPLWGFLRRKRIKREEKRKRRKSEKDIKLNDKKEKKKDEKKAV >Vigun03g113600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10429798:10430281:1 gene:Vigun03g113600.v1.2 transcript:Vigun03g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAGSMINGRSIPRRFSGRPIPKRGQVKVGIVLGLASSVVSIFSRNRTRASATLSHLAH >Vigun03g212000.1.v1.2 pep primary_assembly:ASM411807v1:3:35165025:35169268:-1 gene:Vigun03g212000.v1.2 transcript:Vigun03g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNEEINGEKDHKLEREMPEVGELSLVRLVKDSTSDEESELVSLSLGISSTGKHEKKNRTEKVRENEDLKEGLSLGLDIRFEPSAIKNHSTESRCDGEMKEEELREIWPPSKVLKTMRTWDKSEASQHAEVKKARVCVRARCDNLTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPSCPVKKQVQRCAEDMSILISTYEGTHNHPLPSSATTIAYTTSAAASMLQSPSLTTQLANSDTVPLINSSVLYNLNALNFTSSYHHVSKSPTLFFHRSSISTSNSHPTVTLDLATPQTSPHIGNFTPSLSFIPQYSSTNNVDFSSSTFSPLQSSVLHSPCYGDFFNYEGLITPNRNHNGSLMNTGKQPFLGHLCRSNSNNITNHAISKQSLPDSIVAASKAITATPKYQSAILAAALTAYAGNGVRENHEEAQSAGLDLNLGGDVPYTTNTVYPNSNASRYKRMSLSAPTAPKRNSVLFQPSHNASKSNLFLDQ >Vigun11g021100.2.v1.2 pep primary_assembly:ASM411807v1:11:2599121:2602000:1 gene:Vigun11g021100.v1.2 transcript:Vigun11g021100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCTAVEISQMKRADSVLEALLAQIRICCERKIGWFIWSGTCVIRYDDQMFYRLSNQTSSLAPSNDETGESDKQRSYRRSNILIISISVMGSIILCFSVYRFWYRRRVRKDGFRLSSFHKIQTEELWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGILEDGTQIAVKRLSKFSDDEKRKQFDWKLRLRVINGIARGILYLHEDSRVTVIHRDLKASNVLLDHDMNPKISDFGLARAFEIGQKQANTKRVMGTYGYMAPEYVMKGVFSVKSDVFSFGVLVLEIIYGRKSSGLHMSEHGQNLLSYAWRTWGAGKCLEMIDPILEKSFIGSEVERCIHIGLLCVQEAAKDRPTMSHVVLMLASDTMILPKPKHPAFSIEIMASEEVYTSKSCKNVSNNDLTVTTSLPR >Vigun11g021100.3.v1.2 pep primary_assembly:ASM411807v1:11:2599121:2602000:1 gene:Vigun11g021100.v1.2 transcript:Vigun11g021100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCTAVEISQMKRADSVLEALLAQIRICCERKIGWFIWSGTCVIRYDDQMFYRLSNQTSSLAPSNDETGESDKQRSYRRSNILIISISVMGSIILCFSVYRFWYRRRVRKDGFRLSSFHKIQTEELWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGILEDGTQIAVKRLSKFSGQGSEEFNNEILFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFDDEKRKQFDWKLRLRVINGIARGILYLHEDSRVTVIHRDLKASNVLLDHDMNPKISDFGLARAFEIGQKQANTKRVMGT >Vigun11g021100.1.v1.2 pep primary_assembly:ASM411807v1:11:2599121:2602000:1 gene:Vigun11g021100.v1.2 transcript:Vigun11g021100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCTAVEISQMKRADSVLEALLAQIRICCERKIGWFIWSGTCVIRYDDQMFYRLSNQTSSLAPSNDETGESDKQRSYRRSNILIISISVMGSIILCFSVYRFWYRRRVRKDGFRLSSFHKIQTEELWTTDLPIIPLTTILQSTDNFSEACKLGEGGFGTVYKGILEDGTQIAVKRLSKFSGQGSEEFNNEILFIANLQHRNLVRLLACCLDENENILVYEYLPNKSLDFHLFDDEKRKQFDWKLRLRVINGIARGILYLHEDSRVTVIHRDLKASNVLLDHDMNPKISDFGLARAFEIGQKQANTKRVMGTYGYMAPEYVMKGVFSVKSDVFSFGVLVLEIIYGRKSSGLHMSEHGQNLLSYAWRTWGAGKCLEMIDPILEKSFIGSEVERCIHIGLLCVQEAAKDRPTMSHVVLMLASDTMILPKPKHPAFSIEIMASEEVYTSKSCKNVSNNDLTVTTSLPR >Vigun05g293700.2.v1.2 pep primary_assembly:ASM411807v1:5:47964103:47967048:1 gene:Vigun05g293700.v1.2 transcript:Vigun05g293700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPTLSGCAMRFNSSLCSMPRRKFSTFATLSQPRSDTTNWVEATSSFFDQDKRPIMLFDGVCNLCNGGVKFVRDNDRNKTIRYEALQSEAGKMLLRRSGRAPDDISSVVLVENDRSYIKSEAVLKIMEYIDLPFPQIAILLQFVPLFIRDFVYDNVANNRYTIFGRSESCEI >Vigun05g293700.1.v1.2 pep primary_assembly:ASM411807v1:5:47965348:47967604:1 gene:Vigun05g293700.v1.2 transcript:Vigun05g293700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPTLSGCAMRFNSSLCSMPRRKFSTFATLSQPRSDTTNWVEATSSFFDQDKRPIMLFDGVCNLCNGGVKFVRDNDRNKTIRYEALQSEAGKMLLRRSGRAPDDISSVVLVENDRSYIKSEAVLKIMEYIDLPFPQIAILLQFVPLFIRDFVYDNVANNRYTIFGRSESCEI >Vigun07g165600.2.v1.2 pep primary_assembly:ASM411807v1:7:27772409:27776908:-1 gene:Vigun07g165600.v1.2 transcript:Vigun07g165600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFENGDTMQYHVPYLSAIQIYSSKAVAAASRLGREDSEGAESDSWSEDSGSDKLSRTLSNNSSKAWDVASLDSNSDQVGSWTARDNELYLQYNETSPYWQRVPFSEKIAELARSHPALMTLKSADISPTSWMAVAWYPLYSVPCQKSKKDLSSFLTFHTLSSFQDLARTYDEVDTGSSTCFSGGWRRIIREKCKKQEGGCISLFPFGLATYKMQKNIWSSTSNKVVSDLYNAADSRLKLLNADHHDFNFFTCQPTCT >Vigun07g165600.1.v1.2 pep primary_assembly:ASM411807v1:7:27772409:27776908:-1 gene:Vigun07g165600.v1.2 transcript:Vigun07g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSLAFPGKALTNLERFLICVTPDVPSLSLQGCCSDPNGQCVPPGKDTVEYFNLKDLWDCYYDWSAYGVGTPMMFENGDTMQYHVPYLSAIQIYSSKAVAAASRLGREDSEGAESDSWSEDSGSDKLSRTLSNNSSKAWDVASLDSNSDQVGSWTARDNELYLQYNETSPYWQRVPFSEKIAELARSHPALMTLKSADISPTSWMAVAWYPLYSVPCQKSKKDLSSFLTFHTLSSFQDLARTYDEVDTGSSTCFSGGWRRIIREKCKKQEGGCISLFPFGLATYKMQKNIWSSTSNKVVSDLYNAADSRLKLLNADHHDFNFFTCQPTCT >Vigun05g163700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26402400:26405726:1 gene:Vigun05g163700.v1.2 transcript:Vigun05g163700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPLPFQEFRGNGVLDFASGAVAVSDSLLLPQQEQFLQRWNPHRENYCYVGIEPTSGLDLKRKTSPPTSSSTLSSSRGSSGCGGGCGSTDSTTGAGAPKVSTEKENNPPQAGLEVAQGRCGLGMEDWESVLSESSGQDNSILKLIMGDIEDPSLGLTKLLQGGSASQDVEFNGVGVGFSLVDQSSVLDPITSVNFVTNIDPSGHGNCSDFPFNSHTNVNSNISRVGSGVNPPNPGFPDSATNLSPVSLPQGVFQPQQQHPAMEPLDEKLQVLYPQFILNQNQTQFMANPGSVLPLNYAQLQEHHQLLPQPPAKRLNCGPNYQVPKAPFLDSGQELLLRRQQQQLQLLPHHLLQRSSMVVAPKQKMASPSGEDLATHQLQQAITEQLFKAAELIDAGNLELAHGILARLNHQLSPFGRPFQRAAFYFKEALQLGLHSNSNNSSMTFSPTGLLLKIGAYKSFSEISPVLQFANFTCNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPELRITAFVSPSHHDEIELSFTQENLKQYAGEINMSFELEILSFESLNSASWPQPLRDCEAVVVNMPIGSFSSNPSYLPLALRFVKQLMPKIVVTLDRSCDRTDAPFPQHLVFALQSYSGLLESLDAVNVHPDVLQMIEKYYLQPAMEKLVLGRHGFQERTLSWKNLLLSSGFSPLTFSNFTESQAECLVQRTPGKGFHVEKRQSSLVLCWQRKDLISVSTWRC >Vigun05g163700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26402412:26405550:1 gene:Vigun05g163700.v1.2 transcript:Vigun05g163700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPLPFQEFRGNGVLDFASGAVAVSDSLLLPQQEQFLQRWNPHRENYCYVGIEPTSGLDLKRKTSPPTSSSTLSSSRGSSGCGGGCGSTDSTTGAGAPKVSTEKENNPPQAGLEVAQGRCGLGMEDWESVLSESSGQDNSILKLIMGDIEDPSLGLTKLLQGGSASQDVEFNGVGVGFSLVDQSSVLDPITSVNFVTNIDPSGHGNCSDFPFNSHTNVNSNISRVGSGVNPPNPGFPDSATNLSPVSLPQGVFQPQQQHPAMEPLDEKLQVLYPQFILNQNQTQFMANPGSVLPLNYAQLQEHHQLLPQPPAKRLNCGPNYQVPKAPFLDSGQELLLRRQQQQLQLLPHHLLQRSSMVVAPKQKMASPSGEDLATHQLQQAITEQLFKAAELIDAGNLELAHGILARLNHQLSPFGRPFQRAAFYFKEALQLGLHSNSNNSSMTFSPTGLLLKIGAYKSFSEISPVLQFANFTCNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPELRITAFVSPSHHDEIELSFTQENLKQYAGEINMSFELEILSFESLNSASWPQPLRDCEAVVVNMPIGSFSSNPSYLPLALRFVKQLMPKIVVTLDRSCDRTDAPFPQHLVFALQSYSGLLESLDAVNVHPDVLQMIEKYYLQPAMEKLVLGRHGFQERTLSWKNLLLSSGFSPLTFSNFTESQAECLVQRTPGKGFHVEKRQSSLVLCWQRKDLISVSTWRC >Vigun05g163700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26402412:26405550:1 gene:Vigun05g163700.v1.2 transcript:Vigun05g163700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPLPFQEFRGNGVLDFASGAVAVSDSLLLPQQEQFLQRWNPHRENYCYVGIEPTSGLDLKRKTSPPTSSSTLSSSRGSSGCGGGCGSTDSTTGAGAPKVSTEKENNPPQAGLEVAQGRCGLGMEDWESVLSESSGQDNSILKLIMGDIEDPSLGLTKLLQGGSASQDVEFNGVGVGFSLVDQSSVLDPITSVNFVTNIDPSGHGNCSDFPFNSHTNVNSNISRVGSGVNPPNPGFPDSATNLSPVSLPQGVFQPQQQHPAMEPLDEKLQVLYPQFILNQNQTQFMANPGSVLPLNYAQLQEHHQLLPQPPAKRLNCGPNYQVPKAPFLDSGQELLLRRQQQQLQLLPHHLLQRSSMVVAPKQKMASPSGEDLATHQLQQAITEQLFKAAELIDAGNLELAHGILARLNHQLSPFGRPFQRAAFYFKEALQLGLHSNSNNSSMTFSPTGLLLKIGAYKSFSEISPVLQFANFTCNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPELRITAFVSPSHHDEIELSFTQENLKQYAGEINMSFELEILSFESLNSASWPQPLRDCEAVVVNMPIGSFSSNPSYLPLALRFVKQLMPKIVVTLDRSCDRTDAPFPQHLVFALQSYSGLLESLDAVNVHPDVLQMIEKYYLQPAMEKLVLGRHGFQERTLSWKNLLLSSGFSPLTFSNFTESQAECLVQRTPGKGFHVEKRQSSLVLCWQRKDLISVSTWRC >Vigun05g163700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26402400:26405726:1 gene:Vigun05g163700.v1.2 transcript:Vigun05g163700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPLPFQEFRGNGVLDFASGAVAVSDSLLLPQQEQFLQRWNPHRENYCYVGIEPTSGLDLKRKTSPPTSSSTLSSSRGSSGCGGGCGSTDSTTGAGAPKVSTEKENNPPQAGLEVAQGRCGLGMEDWESVLSESSGQDNSILKLIMGDIEDPSLGLTKLLQGGSASQDVEFNGVGVGFSLVDQSSVLDPITSVNFVTNIDPSGHGNCSDFPFNSHTNVNSNISRVGSGVNPPNPGFPDSATNLSPVSLPQGVFQPQQQHPAMEPLDEKLQVLYPQFILNQNQTQFMANPGSVLPLNYAQLQEHHQLLPQPPAKRLNCGPNYQVPKAPFLDSGQELLLRRQQQQLQLLPHHLLQRSSMVVAPKQKMASPSGEDLATHQLQQAITEQLFKAAELIDAGNLELAHGILARLNHQLSPFGRPFQRAAFYFKEALQLGLHSNSNNSSMTFSPTGLLLKIGAYKSFSEISPVLQFANFTCNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPELRITAFVSPSHHDEIELSFTQENLKQYAGEINMSFELEILSFESLNSASWPQPLRDCEAVVVNMPIGSFSSNPSYLPLALRFVKQLMPKIVVTLDRSCDRTDAPFPQHLVFALQSYSGLLESLDAVNVHPDVLQMIEKYYLQPAMEKLVLGRHGFQERTLSWKNLLLSSGFSPLTFSNFTESQAECLVQRTPGKGFHVEKRQSSLVLCWQRKDLISVSTWRC >Vigun05g163700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:26402412:26405619:1 gene:Vigun05g163700.v1.2 transcript:Vigun05g163700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPLPFQEFRGNGVLDFASGAVAVSDSLLLPQQEQFLQRWNPHRENYCYVGIEPTSGLDLKRKTSPPTSSSTLSSSRGSSGCGGGCGSTDSTTGAGAPKVSTEKENNPPQAGLEVAQGRCGLGMEDWESVLSESSGQDNSILKLIMGDIEDPSLGLTKLLQGGSASQDVEFNGVGVGFSLVDQSSVLDPITSVNFVTNIDPSGHGNCSDFPFNSHTNVNSNISRVGSGVNPPNPGFPDSATNLSPVSLPQGVFQPQQQHPAMEPLDEKLQVLYPQFILNQNQTQFMANPGSVLPLNYAQLQEHHQLLPQPPAKRLNCGPNYQVPKAPFLDSGQELLLRRQQQQLQLLPHHLLQRSSMVVAPKQKMASPSGEDLATHQLQQAITEQLFKAAELIDAGNLELAHGILARLNHQLSPFGRPFQRAAFYFKEALQLGLHSNSNNSSMTFSPTGLLLKIGAYKSFSEISPVLQFANFTCNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPELRITAFVSPSHHDEIELSFTQENLKQYAGEINMSFELEILSFESLNSASWPQPLRDCEAVVVNMPIGSFSSNPSYLPLALRFVKQLMPKIVVTLDRSCDRTDAPFPQHLVFALQSYSGLLESLDAVNVHPDVLQMIEKYYLQPAMEKLVLGRHGFQERTLSWKNLLLSSGFSPLTFSNFTESQAECLVQRTPGKGFHVEKRQSSLVLCWQRKDLISVSTWRC >Vigun11g047400.1.v1.2 pep primary_assembly:ASM411807v1:11:7325401:7329623:-1 gene:Vigun11g047400.v1.2 transcript:Vigun11g047400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFNLVMICFSSMLYAVNALNNDGVALLSLQNHWTFVPPSINLSWNASDSTPCSWLGVQCDNNNSAIYLNLSGNSISGLLGPEIGHLSHLQTLDLSLNNISGNIPSELGNCSLLQNLDLSYNSITGNIPDSLKNLQNLVSFSVPYNSLTGQIPEFLFQLQHLEDLLLNQNSFTGSIPMNVGNGSEIVILYLDRNQLSGTIPPSIGNCSKLEELYLDYNQLHGVLPESVNNLQSVVKLSFSNNRLEGTVPLLGSSTCKSLQYLDLSVNKFSGGMPSTFGNCSGLREIYAFNTSFGGSIPSSLGQLTQLSILRLETNQFSGQIPSEIGDCRSLQMLMLNNNELEGEIPSELGKLSQLQDLRLHYNHLTGGIPVSIWKISSLQQVHVYYNNLSGEVPSELTELKQLKKLGLFRNQFSGVIPQSLGINSSLEELDFSYNHFTGNIPPNLCFGQQLSKLILASNQLQGGIPPDIGTCTTLTRLRLDGNNLTGALPDFTSNSSLTYMDFSGNNINGTIPSSLGNCINLTTVSFSHNNLSGIIPFGLGNLVNLQKLNLSQNKLEGPLPHELSNCKKMQYFDAGFNSLNGSFPSSLRTWTGLTTLNLRDNHFTGGIPTFLSEFEGLSDLHLGGNDFGGQIPRSIGEMQNLLYELNLSANGLTGEIPPEIGKLKLLQSLDISLNNLTGKIEVLEDLSSLIQLNVSYNSFSGPLPDTLVNLLHSSPSSFLGVPGLCVRCFSSNCSKNNSLKPCDENNTTNKKGLSKVKVMLIAVGSSLLISILLLGLVYLLYYKQDVKIFPEQRSSTLFKKVMKVTENLNDRHVIGRGAHGVIYKVEFSVDEVFVIKKFPFAENRKLITSMSTEIETTGKIRHKNLVRIKNFWLRKDFGLILYKYMENGSLHDVLHEKFPPPPLEWNVRYEIAVGIAHGLAYLHHDCDPFIVHRDIKPKNILLNSDMVPHIADFGIARLLDHSSYSLQSFSVPGTVGYIAPENAYSPVMSKKSDVYSYGVLLLELICRKKVLDDPSFMEGTDLVGWVTCTWEKTKGIDGIVDSGLEEELLDSNLREQVEKVLLMALRCTDKHPGERPTMREVVNELMDTCKDPDTKKSSQMLTRTFTL >Vigun01g110600.1.v1.2 pep primary_assembly:ASM411807v1:1:28390357:28394474:-1 gene:Vigun01g110600.v1.2 transcript:Vigun01g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSTIVVPSTKLVIFNNSTQERALPSFRHFSGTSKHFEKVSSLIAFAVKGDSTHAKAPRRDSRNPLLSEGRDEDEARGPICPGCGVFMQDKDPDLLGYYQPRKVKVEEFSEEDDDVFDDDDDDVGDYAEEGDDGEVGFLNESEIKLGEEIKGLRDEIDWDSDDWEANVLDEDDEKLELNGFAPAGVGYGNITEEYLEKVKRKKVSKAEKKRMAKEANRQKEEVTVCARCHSLRNYGQVKNQTAENLIPDFDFNRLISTRLMNPSGSGSATVVVMVVDCVDFDGSFPRIAVKSLFQALERMQDNSKRAKKLPKLVLVATKVDLLPSQVSPTRLDRWVRNRSRSGGAPKLNGVYLVSSRKDLGVRNLLSFVKDLAGPRGNVWVIGAQNAGKSTLINAFAKKQGAKVTKLTEAPIPGTTLGILRIAGVLPAKTKMFDTPGLLHPYLMSMRLNRDEQKMVEIRKELRPRSYRIKVGQAILIGGLTRLDLVGASVETIYVTVWASSNVSLHMGKIENADEVWRKHVGIRLQPPIGNDRAAELGTWQEREVKVSGTSWDVNSIDIAIAGLGWFSLGLKGEATTKLWVFDGVEVTLREPLVLDRAPFLEKPGFWLPKAISDAIGNQTKLEAQRRKQLHNEDSEYVGAGAGAGAELSA >Vigun11g067400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:19014854:19015398:1 gene:Vigun11g067400.v1.2 transcript:Vigun11g067400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGFLLFVLFFKCTCAYDVCWSSFEMIWLFLRGLSRCMIFIKSSHLKW >Vigun03g238300.1.v1.2 pep primary_assembly:ASM411807v1:3:39743998:39787532:1 gene:Vigun03g238300.v1.2 transcript:Vigun03g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSSPARLRDMIRAIRACKTAAEERAVVRKECAAIRTSINGNDQHYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRMGYLGLMLLLDEKQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAKEVERLLDFRDPNIRKKAALCSARIIKKVPDLAENFVGPATALLREKHHGVLITGVQLCTDLCKISSEALEHVRKKCTDGLVRVLKDLANNPYSPEYDVAGFMDPFLHIRLLRLLRVLGEGDADASDSMNDILAQVATKIESNKTIGNAILYECVQTIMSIQDSGGLRVLAINILGKFLSHRDNNIRYVGLNMLIKVVIADVQAVQRHRATILECIKDSDASIRRRALELVCILVNETNVKSLTKELVDYLEVSDQDFRVDLTEKICSIVSKYSSEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYKAFQRSAEQETLVRVAVWCIGEYGDFLINNVGMLDVEDPIKVTESDVVHVVEMALKRHSLDITTKAMTLVALLKLSSRFPSCSERIREIVIQYKGNFVLELQQRSIEFNLIIAKHQNIRPTLVERMPVLDEATFIARRAGSLPDAASTPTNRVAKAVAPIVDLLDINSDASPVRVPSSSGGDLLHDLLGVNISFAAQQSDATPPSENGTDVLLDLLSIGSPSAPTKSPAQSNSSTIDIWSPQPSKKSPLSPLDDLSSLSPSPRTTSNAGAAPLVDSLDGFVPSPPTEDNNELVYPSITAFESSSLRLVFNFSKQPGNLQTTNIRATFTNLTSNVYTDFVFQAAVPKFLQLHLNPASGNILTASGKESITQNMRLTNSQHGKKSLVMRIKVSYKINGKETMEEGQINNFPREL >Vigun03g238300.5.v1.2 pep primary_assembly:ASM411807v1:3:39760876:39787532:1 gene:Vigun03g238300.v1.2 transcript:Vigun03g238300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLHIRLLRLLRVLGEGDADASDSMNDILAQVATKIESNKTIGNAILYECVQTIMSIQDSGGLRVLAINILGKFLSHRDNNIRYVGLNMLIKVVIADVQAVQRHRATILECIKDSDASIRRRALELVCILVNETNVKSLTKELVDYLEVSDQDFRVDLTEKICSIVSKYSSEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYKAFQRSAEQETLVRVAVWCIGEYGDFLINNVGMLDVEDPIKVTESDVVHVVEMALKRHSLDITTKAMTLVALLKLSSRFPSCSERIREIVIQYKGNFVLELQQRSIEFNLIIAKHQNIRPTLVERMPVLDEATFIARRAGSLPDAASTPTNRVAKAVAPIVDLLDINSDASPVRVPSSSGGDLLHDLLGVNISFAAQQSDATPPSENGTDVLLDLLSIGSPSAPTKSPAQSNSSTIDIWSPQPSKKSPLSPLDDLSSLSPSPRTTSNAGAAPLVDSLDGFVPSPPTEDNNELVYPSITAFESSSLRLVFNFSKQPGNLQTTNIRATFTNLTSNVYTDFVFQAAVPKFLQLHLNPASGNILTASGKESITQNMRLTNSQHGKKSLVMRIKVSYKINGKETMEEGQINNFPREL >Vigun03g238300.4.v1.2 pep primary_assembly:ASM411807v1:3:39744059:39787532:1 gene:Vigun03g238300.v1.2 transcript:Vigun03g238300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSSPARLSYVVTPTQILYFLSISRDCVLRCHSVFVNEVFIWDMIRAIRACKTAAEERAVVRKECAAIRTSINGNDQHYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRMGYLGLMLLLDEKQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAKEVERLLDFRDPNIRKKAALCSARIIKKVPDLAENFVGPATALLREKHHGVLITGVQLCTDLCKISSEALEHVRKKCTDGLVRVLKDLANNPYSPEYDVAGFMDPFLHIRLLRLLRVLGEGDADASDSMNDILAQVATKIESNKTIGNAILYECVQTIMSIQDSGGLRVLAINILGKFLSHRDNNIRYVGLNMLIKVVIADVQAVQRHRATILECIKDSDASIRRRALELVCILVNETNVKSLTKELVDYLEVSDQDFRVDLTEKICSIVSKYSSEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYKAFQRSAEQETLVRVAVWCIGEYGDFLINNVGMLDVEDPIKVTESDVVHVVEMALKRHSLDITTKAMTLVALLKLSSRFPSCSERIREIVIQYKGNFVLELQQRSIEFNLIIAKHQNIRPTLVERMPVLDEATFIARRAGSLPDAASTPTNRVAKAVAPIVDLLDINSDASPVRVPSSSGGDLLHDLLGVNISFAAQQSDATPPSENGTDVLLDLLSIGSPSAPTKSPAQSNSSTIDIWSPQPSKKSPLSPLDDLSSLSPSPRTTSNAGAAPLVDSLDGFVPSPPTEDNNELVYPSITAFESSSLRLVFNFSKQPGNLQTTNIRATFTNLTSNVYTDFVFQAAVPKFLQLHLNPASGNILTASGKESITQNMRLTNSQHGKKSLVMRIKVSYKINGKETMEEGQINNFPREL >Vigun03g238300.6.v1.2 pep primary_assembly:ASM411807v1:3:39761061:39787532:1 gene:Vigun03g238300.v1.2 transcript:Vigun03g238300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLHIRLLRLLRVLGEGDADASDSMNDILAQVATKIESNKTIGNAILYECVQTIMSIQDSGGLRVLAINILGKFLSHRDNNIRYVGLNMLIKVVIADVQAVQRHRATILECIKDSDASIRRRALELVCILVNETNVKSLTKELVDYLEVSDQDFRVDLTEKICSIVSKYSSEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYKAFQRSAEQETLVRVAVWCIGEYGDFLINNVGMLDVEDPIKVTESDVVHVVEMALKRHSLDITTKAMTLVALLKLSSRFPSCSERIREIVIQYKGNFVLELQQRSIEFNLIIAKHQNIRPTLVERMPVLDEATFIARRAGSLPDAASTPTNRVAKAVAPIVDLLDINSDASPVRVPSSSGGDLLHDLLGVNISFAAQQSDATPPSENGTDVLLDLLSIGSPSAPTKSPAQSNSSTIDIWSPQPSKKSPLSPLDDLSSLSPSPRTTSNAGAAPLVDSLDGFVPSPPTEDNNELVYPSITAFESSSLRLVFNFSKQPGNLQTTNIRATFTNLTSNVYTDFVFQAAVPKFLQLHLNPASGNILTASGKESITQNMRLTNSQHGKKSLVMRIKVSYKINGKETMEEGQINNFPREL >Vigun03g238300.2.v1.2 pep primary_assembly:ASM411807v1:3:39760876:39787532:1 gene:Vigun03g238300.v1.2 transcript:Vigun03g238300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLHIRLLRLLRVLGEGDADASDSMNDILAQVATKIESNKTIGNAILYECVQTIMSIQDSGGLRVLAINILGKFLSHRDNNIRYVGLNMLIKVVIADVQAVQRHRATILECIKDSDASIRRRALELVCILVNETNVKSLTKELVDYLEVSDQDFRVDLTEKICSIVSKYSSEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASELHGYTVRALYKAFQRSAEQETLVRVAVWCIGEYGDFLINNVGMLDVEDPIKVTESDVVHVVEMALKRHSLDITTKAMTLVALLKLSSRFPSCSERIREIVIQYKGNFVLELQQRSIEFNLIIAKHQNIRPTLVERMPVLDEATFIARRAGSLPDAASTPTNRVAKAVAPIVDLLDINSDASPVRVPSSSGGDLLHDLLGVNISFAAQQSDATPPSENGTDVLLDLLSIGSPSAPTKSPAQSNSSTIDIWSPQPSKKSPLSPLDDLSSLSPSPRTTSNAGAAPLVDSLDGFVPSPPTEDNNELVYPSITAFESSSLRLVFNFSKQPGNLQTTNIRATFTNLTSNVYTDFVFQAAVPKFLQLHLNPASGNILTASGKESITQNMRLTNSQHGKKSLVMRIKVSYKINGKETMEEGQINNFPREL >Vigun06g034200.1.v1.2 pep primary_assembly:ASM411807v1:6:14523637:14527214:1 gene:Vigun06g034200.v1.2 transcript:Vigun06g034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKASYIVVPNEPTPEGFQWLSEPDQVARQSHTPTIYVYNAKHNHDALVERIRNSLSKILCYYYPVAGRLRKLEEGGRLELNCNAKGAVLIDAESTKTVHDYGDFMGDSAKDLVPKVEYTNTPIEELPLLVVQVTSFLGDEAFSIGVAISHTLSDGVASIQFINSWAKLARGETLEPHEMPFLDRTVLKFTEPPQSPRFEHQEFKPLPLILGRSDTTIEKSKRVEAMTLKLTAEQVEKLKNKANADKSREGSRPYSRFEAIGAHVWRCASKARGLDENQPTLLRFNADIRSRVIPPLPRNYFGNALVLKTASSRAGEILSNSLGHAAQKIREAVEELTYEYIKSQIDLIRSQDDMDEARALSFGINEGKDAVFVGNPNLRITSWLSMSMNEADFGLGKPIYLGLAGGTVQERALITHSPDADASIFLFLHFQMEHIQLFKNYFYDEI >Vigun05g173000.1.v1.2 pep primary_assembly:ASM411807v1:5:31492600:31493014:-1 gene:Vigun05g173000.v1.2 transcript:Vigun05g173000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAINNTKGVYEFVQARQLWYGKCFKTKLFPNMHAFISSTESTKVILNNEEKFSKKYMKSIVELVGRDSLLCAAHQHHKLICSSLFSLFSTFLC >Vigun11g121500.1.v1.2 pep primary_assembly:ASM411807v1:11:32797039:32799162:-1 gene:Vigun11g121500.v1.2 transcript:Vigun11g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATFISALLLAALASKIVRHWLMRKSLSSHMTNGLPPGPPRWPIVGNLLQLGQLPHRDLASFCEKYGPLVYLKLGNIDAITTNDPKIIHEILVSQDDVFASRPQTLAAVHLAYGCGDVALAPLGPHWKRMRRICMEHLLTTKRLESFSKHRSEEAQHLVKDVLGRAQGGRPINLREVLGAFSMNNVTRMLLGKQYFGSKSSGPQEAMEFMHITHELFWLLGVIYLGDYLPMWRWFDPYGCEKKMREVEKRVDDFHSKIIEEHRKAREYKVRREESDGDMDFVDVLLSLPGEDGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPHILQKVQQELDSVVGPNRMVLESDLPHLNYLRCVVRETFRMHPAGPFLIPHESLRATTINGYHIPAKTRVFINTHGLGRNTEIWDNVGEFRPERHWPSNGGRVEISHGVDFKILPFSAGKRKCPGAPLGVNLVLMALATLFHCFEWTPPEGLNPQDIDTTEVYGMTMPKANPLFAVAVPRLSKDLYG >Vigun11g218400.1.v1.2 pep primary_assembly:ASM411807v1:11:41135355:41139301:1 gene:Vigun11g218400.v1.2 transcript:Vigun11g218400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINGYLYGRRVIKCNYSKTSKEFLINITMNNHTCYALAIPAMVVLLLALCEATSGLTQLEALFMWKQSLPNQPILESWVMNSTATTQTPCSWRGITCDSRGSVTVINLAYTGLAGTLQNLNLSVFPNLLRLDLKVNNLTGLIPQNIGLLSNLQFLDFSDNYLNGTLPLSIANLTHVFELDVSRNDISGVLDPTLFPDGSDTPKTGLIGIRNLLFQDTLLGGRIPNEIGNIRNLTLLALDGNSFNGPIPPSLGNCTHLSILRMPQNQLSGPIPPSIGKLTNLTDVRFFDNNLNGTVPQEFGNLSSLVVLHLAVNNFVGELPPEVCKSGKLVNFSAAFNSFTGPIPRSLRNCSSLFRVRLEYNQLTGFADQDFGVYQNLTYMDFSYNKVEGGISANWGACKSLQFLNMAGNRVSGHIPAEIFQLDQLQELDLSSNQLLAQIPPQIGNSSNLYNLNLSHNNLSGVLPPEIGKLSSLRSLDLSMNVLLGSIPKQIGDISNLQNLNLSNNKFNGTIPYQIGNLADLQYFLDLSYNSLSGVIPGDLGRLSNLISLNISHNNLSGSIPHSLSQVLSLSEINLSNNNLEGPVPEGGIFNSSYSLDLSNNKDLCGHIQGLSPCIVSLSKPEGGSSKRNKAVIPIAASLGGALFISLVLVGIFFFSCKRKSRAPRQRNSFSRLNPFSIWYFNGRVVYRDIIEATENFDSRYCIGEGALGRVYKAEMSGGEVFAVKKLKCDSDNLDFERIKSFENEVKAMTETRHRNIVKLYGFCSEGVHTFLIYEYMDSGNLSDMLRDEKGALKLNWHKRVEIITSVANALSYMHHDCASPLIHRDISSKNVLLSFDLSAHVSDFGTARFLKPDSPLWTSFAGTYGYAAPELAYTMAVTEKCDVFSFGVLALEILTGKHPGDLVSYMQSCSEQKVNMKEILDPRLMPPSKNNILKQVDLIVNMALSCLKTNPQSRPTMRSIAQLLEMETAEI >Vigun03g044900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:3609821:3612352:1 gene:Vigun03g044900.v1.2 transcript:Vigun03g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESIAATSLLGHRPIFGGILARDVSVKRKSLNSCRLPTTEFLGGGRIVVSLTLPKSDKQDRVMFSSIKALAVELTREAHAYREEKLPNRDNSRIDSGFDQRPDSWPPANRADNPSLRNPLLRHERMGCGWLGAIFEWEGVLVEDNTDIEKQAWLALSQEEGKPSPPAFTLKRIEGMKNEQAISEVLCWSRDPAQLRRMANRKEEIYQALQGGIYRFMPGSKEFVSVLMHYKIPMALVSTRPRKAIESAIGEIGIEDNFSVVVAAEDVHRGKPDPEMFVYAAQLLNLIPERCIVFGNSNLTVEAAHEARMKCVAVASKHPVYELGAADLVVRRLDELSVVDLKNLADIEMNEFGSPEPEVEMEMEEDYDPSSVDDNFW >Vigun07g269600.2.v1.2 pep primary_assembly:ASM411807v1:7:38508703:38509838:-1 gene:Vigun07g269600.v1.2 transcript:Vigun07g269600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSILQPQPQRCHHPIKRWPRMDASFHRRKDKVVVIMGATGSGKSRLSIDLATLFPVSEIINSDKMQVYRGLDITTNKIPLAQRRDVPHHLLGDVDPSLPEFSPVDFRRRAAHIIDDITSRDCLPIVVGGSNSFVHALLVENFQPDSNVFQHGEGLISSELRYRCCFLWVDIAFPVLSQYLCHRVDDMLQSGMVDELAQFFDPEASRRTGSGLRKAIGVPEFDRFFNMYPPWAGPAGPGEDPLRKRAYEEAVRAIKDNTCELAERQMEKIERLKRAGWDLRRIDATEAFRVVLTSGSNNGSDVWERQVLEPSVKIVKRFLMEWRRKNWGNGKCTKQKWEWELRI >Vigun07g269600.1.v1.2 pep primary_assembly:ASM411807v1:7:38508685:38509838:-1 gene:Vigun07g269600.v1.2 transcript:Vigun07g269600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSILQPQPQRCHHPIKRWPRMDASFHRRKDKVVVIMGATGSGKSRLSIDLATLFPVSEIINSDKMQVYRGLDITTNKIPLAQRRDVPHHLLGDVDPSLPEFSPVDFRRRAAHIIDDITSRDCLPIVVGGSNSFVHALLVENFQPDSNVFQHGEGLISSELRYRCCFLWVDIAFPVLSQYLCHRVDDMLQSGMVDELAQFFDPEASRRTGSGLRKAIGVPEFDRFFNMYPPWAGPAGPGEDPLRKRAYEEAVRAIKDNTCELAERQMEKIERLKRAGWDLRRIDATEAFRVVLTSGSNNGSDVWERQVLEPSVKIVKRFLMERKNWGNGKCTKQKWEWELRIVLWEE >Vigun04g111300.2.v1.2 pep primary_assembly:ASM411807v1:4:27915716:27918768:-1 gene:Vigun04g111300.v1.2 transcript:Vigun04g111300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVCRNVSVSGECQQELPTLDDLFSHQNMEEDFGMEWLSVFVEDCFSSKPSCLLAPPGVESRSTSSSSKPSSTMQRPQQSYCPLQNFSVPGKARSKRKRLSAPRTKHTLSTWSHNFSTQVLSSDPPLLKQAYWLADSELIVPKKKDEEEVKVEIVVRKEKLGECCDEDEGEVNNSSNNNNGQHPIPRRCTHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKRVMEMRMSVPSTQK >Vigun04g111300.1.v1.2 pep primary_assembly:ASM411807v1:4:27916470:27918768:-1 gene:Vigun04g111300.v1.2 transcript:Vigun04g111300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVCRNVSVSGECQQELPTLDDLFSHQNMEEDFGMEWLSVFVEDCFSSKPSCLLAPPGVESRSTSSSSKPSSTMQRPQQSYCPLQNFSVPGKARSKRKRLSAPRTKHTLSTWSHNFSTQVLSSDPPLLKQAYWLADSELIVPKKKDEEEVKVEIVVRKEKLGECCDEDEGEVNNSSNNNNGQHPIPRRCTHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKRVMEMRMSVPSTQK >VigunL059129.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000244.1:23943:24406:-1 gene:VigunL059129.v1.2 transcript:VigunL059129.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEKSELGRTAPKATWGDDAGKEFLGSWNRLKRILNSKYDRPFGCWLPGKRCETPNTTDSWVVGNNGRPRENSEYYGLLGCWKQGEAPLELRILWTLGLLEARGGPARTPNTTDSWVVGSKGRPR >Vigun06g138500.1.v1.2 pep primary_assembly:ASM411807v1:6:26416899:26421976:1 gene:Vigun06g138500.v1.2 transcript:Vigun06g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVSVILSVFLIVSLFSPQIRGEASGSLTFVDSSSHQFLRARSSDDERPSLLLQDVSAAVSVLLGFAPPSTLSAASSSKLNNVLSPNPFKRPRAVFLLEVNGINGLGKMAQDSPIFSNSFWSINSDKVDIQLPDESHVSVVSLDDQRENWTDKEIRDFSSLIDGSYVPDAFVPLNGVLSIPLANGASVNFRMSEKAERMFVFGLMSLMHDVQRAIQWHGDLSQSTKSPAELLMGCFNGIKVLQEQYETESIAEHGIEVLYATLSKIVSSLQEAYKGQIVGIIYCHVATTEELEKKFDVIFTPHHTARWLAETSAPNATLPEVVLVRRTLAWVTGILLLVSTLMGICYLLNMPLTRDTLLYSNVKLD >Vigun11g019700.2.v1.2 pep primary_assembly:ASM411807v1:11:2490615:2497260:1 gene:Vigun11g019700.v1.2 transcript:Vigun11g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVIYGRDEDKEIIFNWLTSDTNDNRLSILSIVGMGGMGKTTLAQHVYDDPKTKEAKFDEKAWVCVSDAFDALRVSKAIVGAFTESKDDSQGLEMVHGKLKKRLSGRKFLMVLDDVWNEDRNQWKALQTPFTCGAKGSKILVTTRSHKVASIMQSTYTHQLKQLDEDHSWQTFAKHAFQDENSKLNSELKEIGMKIVKKCQGLPLALETIGCLLQSKSSVSEWEGVLTSEIWDFPIEDSKIVPTLLLSYYHLPSHLKRCFAYCALFPKDDRLDKESLILLWIAQNFVHCSQQSKSPEEVGEQYFNDLVSRSFFKQITWYNKTYFVMHDLLNDLAKYVSGEICCRIGVDGEKRVSRKTRHLSYVSGPIQYYTSLGDAKGLRTFITFRRHCEMSMEELISNFKFLRVLSWRWCFEVPDTIGDLIHLRSLDLSATYIERLPDSTCSLYNLQELKLNDCAYLKELPVTLHELSNLRRLELMGTTLTKAPLCLEKLKNLHVWMDKFEVGKSSEFSIQQIGELDLHGELSITNLENIANPYEANLKKTHIEGLSLQWNLERNNDDSIKEREVFENLQPSRHLKQLSIDGYGGTQFPHWLSNNYLSNVVSLTLKNCKHCLWLPSLGLLTFLKHLTIDGLDWIGRIDGDFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFPSLQSLSLRNCPKLKGQLPDKLCHLKKLTIKECGQLEASIPKTVEIKCVNMKPSSFDITGPPHISDTLEYLVIYSCLGMNIPINYCYHTLVELVIRHGCDSLTTFPLDLFPKLDNLRLRECRNLQMISQGHPHNHLKSLSIVHCSEFESFPNEGLFAPQLKTLEKLKSMPKCMSTLLPSLNFLSIRICPEVELSEGCLPSNIKEMHLWNCSKLVASLKGAWGTNPSLKALYIFCVDVECFPGEGLLPLSLANLELYDCPNLKKLDYRGLCHLSSLQKLCLCHCPFLECLPDEGMPESISQLTIKGCPLLKQRCKKQEGLGCEVTKSFGVSIDN >Vigun11g019700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2490593:2497260:1 gene:Vigun11g019700.v1.2 transcript:Vigun11g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVIYGRDEDKEIIFNWLTSDTNDNRLSILSIVGMGGMGKTTLAQHVYDDPKTKEAKFDEKAWVCVSDAFDALRVSKAIVGAFTESKDDSQGLEMVHGKLKKRLSGRKFLMVLDDVWNEDRNQWKALQTPFTCGAKGSKILVTTRSHKVASIMQSTYTHQLKQLDEDHSWQTFAKHAFQDENSKLNSELKEIGMKIVKKCQGLPLALETIGCLLQSKSSVSEWEGVLTSEIWDFPIEDSKIVPTLLLSYYHLPSHLKRCFAYCALFPKDDRLDKESLILLWIAQNFVHCSQQSKSPEEVGEQYFNDLVSRSFFKQITWYNKTYFVMHDLLNDLAKYVSGEICCRIGVDGEKRVSRKTRHLSYVSGPIQYYTSLGDAKGLRTFITFRRHCEMSMEELISNFKFLRVLSWRWCFEVPDTIGDLIHLRSLDLSATYIERLPDSTCSLYNLQELKLNDCAYLKELPVTLHELSNLRRLELMGTTLTKAPLCLEKLKNLHVWMDKFEVGKSSEFSIQQIGELDLHGELSITNLENIANPYEANLKKTHIEGLSLQWNLERNNDDSIKEREVFENLQPSRHLKQLSIDGYGGTQFPHWLSNNYLSNVVSLTLKNCKHCLWLPSLGLLTFLKHLTIDGLDWIGRIDGDFYANSSSAFASLETLSFTDMKEWEEWQCMTGAFPSLQSLSLRNCPKLKGQLPDKLCHLKKLTIKECGQLEASIPKTVEIKCVNMKPSSFDITGPPHISDTLEYLVIYSCLGMNIPINYCYHTLVELVIRHGCDSLTTFPLDLFPKLDNLRLRECRNLQMISQGHPHNHLKSLSIVHCSEFESFPNEGLFAPQLKTLEKLKSMPKCMSTLLPSLNFLSIRICPEVELSEGCLPSNIKEMHLWNCSKLVASLKGAWGTNPSLKALYIFCVDVECFPGEGLLPLSLANLELYDCPNLKKLDYRGLCHLSSLQKLCLCHCPFLECLPDEGMPESISQLTIKGCPLLKQRCKKQEGEDWEKIAHIKCVQVD >Vigun09g053100.1.v1.2 pep primary_assembly:ASM411807v1:9:5291890:5294378:-1 gene:Vigun09g053100.v1.2 transcript:Vigun09g053100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAIIGAGTSGLVACKYLLEFGFHPTVFEADDGVGGLWRHTMDSTKLQSNKQAYQFMDFPWPSSVKEDNPNHNQMVDYLNSYAQHFSLIPHIRFNSKVIHIDYVGGESAEQMKSWELWGGNGTPFASKGTWHISVQHTKNLSLEVHKAEFVVLCIGKYSGLPNIPEYPQGQGPEVFNGKVMHSMDYSNLDNDTAAELIKGKRVTIIGSQKSAMDLAAECANANGVMHPCTIIQRTAYWFFPDFNSWGLIVGFLFFNRFAELLVHKPGEPFLLGLLATLLSPLRWGIGKVLENILKWKLPLKKYGLVPSHSFLHDLSSCLLGVFPDNFFDKLNEGSIVIKNSQSFSFCREGLMIAGEAKPLETDIVIFATGFKGDEKIKNIFKSPIFQNHILDPATSTVPLYRHMIHPRIPELAIIGYADSASNIFASEMKSLWVANFLDGNVEVPSIREMEKEVKLWEDNMKRYEGTYFLKSCFAHFSIWYHDQLCKDMKHNPKRKNGFFAELFEPYGQADYVGLTRK >Vigun09g053100.2.v1.2 pep primary_assembly:ASM411807v1:9:5291889:5294378:-1 gene:Vigun09g053100.v1.2 transcript:Vigun09g053100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWELWGGNGTPFASKGTWHISVQHTKNLSLEVHKAEFVVLCIGKYSGLPNIPEYPQGQGPEVFNGKVMHSMDYSNLDNDTAAELIKGKRVTIIGSQKSAMDLAAECANANGVMHPCTIIQRTAYWFFPDFNSWGLIVGFLFFNRFAELLVHKPGEPFLLGLLATLLSPLRWGIGKVLENILKWKLPLKKYGLVPSHSFLHDLSSCLLGVFPDNFFDKLNEGSIVIKNSQSFSFCREGLMIAGEAKPLETDIVIFATGFKGDEKIKNIFKSPIFQNHILDPATSTVPLYRHMIHPRIPELAIIGYADSASNIFASEMKSLWVANFLDGNVEVPSIREMEKEVKLWEDNMKRYEGTYFLKSCFAHFSIWYHDQLCKDMKHNPKRKNGFFAELFEPYGQADYVGLTRK >Vigun08g012900.1.v1.2 pep primary_assembly:ASM411807v1:8:1122731:1127427:1 gene:Vigun08g012900.v1.2 transcript:Vigun08g012900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGHDERRERRSDFENSEDDRRTRIGSLKKKAINASSKFRHSLRKKSSRRKSASRSNSVSIEDVRDVKELQAVDAFRQALMSENLLPSRHDDYHMLLRFLKARKFDIEKAKHMWANMIQWRKEYGTDTIMEDFEFSELTEVLQYYPHGYHGVDKDGRPVYIERLGKVDPCKLMQVTTIERYLRYHVQGFEQTFAVKFPACSIAAKRHIDSSTTILDVHGVGFKNLTKSARELIMRLQKIDGDYYPETLCQMYIINAGPGFKLLWNTVKSFLDPKTTSKINVLGNKFQNKLLEIIDASELPEFLGGSCTCTDQGGCMRSDKGPWQDPNILKMVLSGEVQCSRQIVTVSNDEGTIIECDKACFPMPIRSSDTSTAESGSEVEDITSPKASGNYTNPRLTPVHEEARLIGKANLSGGFSEFDEFIPMVDKAVDLGWKEKQVTTQNSKSSTENFLLRTGKSGGKCAHIWIVIVGFFVAIFTLVRSLAFQVTKRIKDTESDSTKNTPNMTVDSIAKEESRPPSPVPRLTKTELVSSALKRLGELEEKVDMLQSKPNVMPYEKEELLNAAVYRVDALEAELIATKKALYEALIRQEELLAYIDRQERSKFAKKKFCW >Vigun08g012900.2.v1.2 pep primary_assembly:ASM411807v1:8:1122731:1127427:1 gene:Vigun08g012900.v1.2 transcript:Vigun08g012900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTTIERYLRYHVQGFEQTFAVKFPACSIAAKRHIDSSTTILDVHGVGFKNLTKSARELIMRLQKIDGDYYPETLCQMYIINAGPGFKLLWNTVKSFLDPKTTSKINVLGNKFQNKLLEIIDASELPEFLGGSCTCTDQGGCMRSDKGPWQDPNILKMVLSGEVQCSRQIVTVSNDEGTIIECDKACFPMPIRSSDTSTAESGSEVEDITSPKASGNYTNPRLTPVHEEARLIGKANLSGGFSEFDEFIPMVDKAVDLGWKEKQVTTQNSKSSTENFLLRTGKSGGKCAHIWIVIVGFFVAIFTLVRSLAFQVTKRIKDTESDSTKNTPNMTVDSIAKEESRPPSPVPRLTKTELVSSALKRLGELEEKVDMLQSKPNVMPYEKEELLNAAVYRVDALEAELIATKKALYEALIRQEELLAYIDRQERSKFAKKKFCW >Vigun10g029400.1.v1.2 pep primary_assembly:ASM411807v1:10:3706505:3710257:-1 gene:Vigun10g029400.v1.2 transcript:Vigun10g029400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFWDKRISQEVSGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGESDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKAGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKRRSKISSVAKQPAAA >Vigun09g209100.1.v1.2 pep primary_assembly:ASM411807v1:9:38369730:38370692:1 gene:Vigun09g209100.v1.2 transcript:Vigun09g209100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHGTLDVTIYEVDALPTLNDCDFNLCCKGTNRSVRKRFLSQLKSCLFCQCQGQPGSTQTGLYATVDLDKARVGRTKVVNDEPSNPTWNDTFRIYCAHLISHVIFTVKQKDPIDATLIGRAYVPVEQVVKGK >Vigun05g165400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:27067666:27070674:-1 gene:Vigun05g165400.v1.2 transcript:Vigun05g165400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLAFAIVFLLTATFAVLTSCGHAALGCNEQERQALLRIKGSFKDSSWFSSWEGSSCCQWKGVDCNNLTGHVVKLDLRNPCYPLSHEEKLQLNCKFYDYVLKAQQVHPSILELKYLTYLDLSGNKFHNSSIPASIQTLQHLQALYLSDSHFSGRIPYNLGNLTKLLILDLSFNALYADDFYWISQLSSLQYLYMSDVYLGKAQNLLQSLDMLPSLIQLELINCGLDKLHTHKHVSTTNLSRLEYLYLTENGLQTPFVDAFQNMTSIAVIDLSHNNLNSTPFWLGTSANLVCLFLDSNALYGSLPSALQNLTSLVYLGLSQNKFHSVPGWLGELKGLQYPSLSGNDVNHIEGSLASLLGNCCHLQQLDMSRNKVQSDALGNHIQSKCIRHDLMYLDLSHNECNGHLPPWLGQQKNLSSLIMTDSKLVGSLPCGIITKLVDLKILVLSNNNFTGSLPDCIGELVSLNILVLSSNHFDGVIPRSLVQLVSLTDLDLSQNSLNGTIPHNIGQLQNLSTLYLSENKLQGNIPYSLSLLLNLRNLDISLNHLENLVSDIRWPSQLVYLNLTNNHISGSLPQDISDSLPNVTHLLLGNNLISGLIPDSLCRIDSLYSLDLSGNMLVGEIPNCWSVTQRPGVINLASNKLSGVIPNSLGNLPTLAWLHLNNNTLHGGSPSSLRNLNQLLILDVGDNHLSGIIPLWMGNIFSSMQILRLRQNRFNGTIPLQLCQLSALQMLDLSNNNLMGQIPHCIENLTGMVSTKNTSFNQPSRYVEWYEQDVRVVMKGRELEYTRNLKLVVNLDLSNNNLIGSIPDGITSLGALLGLNLSHNHLSGHIPKRIGDMKSLESLDLSHDQLSGAISESITSLTWLNYLNLSYNNFSGPIPKGTQLSTLDDPFIYAGNPFLCGPPLPNKCFYDHFNGGNEDGEKDDKEDKVEKLWFYSVIALGYFIGFWAVIGSLLLHRSWRLVYFQYVDESTQRINVSLAIHLANFKESLTGILMLRETLW >Vigun09g017300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1286554:1288054:-1 gene:Vigun09g017300.v1.2 transcript:Vigun09g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVNNHTTEIEFTHHRQGEEEEVPHLHADSALPMPNNTTMQVHADQKSITNKRYMPLLVINYLCLFVGSLSASLLSKYYFIHKGSSIWVSTWVQTAGFPLLIIPISVPYLFNLTKRVPFTDFTPRMLVLSVSIGVMLGFNNLFFSWGNSYLPISTSALLLSSQLVFNLLFSVIIVKQKLTFSNVNCVILLTLSSILLALDSSHETPKGITRKHYFFGFFCTIAAGLMFAFYLPLMEKIYKRVNCYEMVMEMQLVMELAATALATIGMACGGGFAKMRVESRMVFDKGSVVYWVTVMGNVVTWQLCFMGTAGMVFLTCSLTGGICMTALLSMNVLGGVVVYKDAFGGFKAISTVLCIWGFLSYVYGIYKLNQKDQHKALTGNKNKNDVVNIVAY >Vigun07g254900.1.v1.2 pep primary_assembly:ASM411807v1:7:37316697:37318537:1 gene:Vigun07g254900.v1.2 transcript:Vigun07g254900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKIQEVIEQQVLTVARAVEDKIDDEIAALERLDADDIEALRERRLQQMKKMAENRSRWISLGHSEYTEIPSEKDFFSVVKASERVVCHFYRENWPCKVMDKHLNLLAKQHIETRFVKINAEKSPFLAEKLKIIVLPTLALIKNAKVDDYVVGFDELGGTDEFSTEELEERLAKAQVISYEGESSLRPSAKTTRSVRQSSRADSSDSE >Vigun09g068000.1.v1.2 pep primary_assembly:ASM411807v1:9:7245160:7249841:1 gene:Vigun09g068000.v1.2 transcript:Vigun09g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVEDLLAMVKREFSQIYDPKRTAYPDFDETFRQLKMEALARAEDLKRSNPNPVAGNVKKQVQGNNKSGLEGGNKKKSGGVAKEGGGKDGDGGNGRRSENGHSNGNFVVAKDANLSSDVNGRQNGSPNVGAFDVHKLQKLRTKGGKKVDNAAAKASKAEPNKKVIKKNRVWDDAATTETKLDFTDHSAEDGDRNIDYVVADQGESMMDKEEIVSSESEEEEEEEENVGKKRKPDAKNKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVHAAMEEALIRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASHNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLATSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Vigun09g068000.2.v1.2 pep primary_assembly:ASM411807v1:9:7245072:7249841:1 gene:Vigun09g068000.v1.2 transcript:Vigun09g068000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVEDLLAMVKREFSQIYDPKRTAYPDFDETFRQLKMEALARAEDLKRSNPNPVAGNVKKQVQGNNKSGLEGGNKKKSGGVAKEGGGKDGDGGNGRRSENGHSNGNFVVAKDANLSSDVNGRQNGSPNVGAFDVHKLQKLRTKGGKKVDNAAAKASKAEPNKKVIKKNRVWDDAATTETKLDFTDHSAEDGDRNIDYVVADQGESMMDKEEIVSSESEEEEEEEENVGKKRKPDAKNKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVHAAMEEALIRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASHNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLATSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >VigunL009901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000015.1:127051:129645:-1 gene:VigunL009901.v1.2 transcript:VigunL009901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIWGHLEAFPTLTSQKPRISFFHKTPTKPFSYAVVHRQPCPCVKPNQLKTFVHQDFHGIHLGAFGGHSNLHISKTTNFTFSQNSPPSKMKGRDESKRQGLNLSGSWQQGHSAPYCLQYPDRNVDELAATSQLSLWTPALLTDVPPQPNSPPDNVFRPDRPTEVDLAPTYPTPLKSFHKVGLESSSTGSSFPADSAKPVPLAVVSLDSRQGHRIPLVRTSSESTVRRPGKRPRRARSQSVPRPARDDPLSPRKQLEQSTDSRRVRDWDPVPSPQSQSFSRGYGSILPTSLAYIVPSTRGCSPWRPDAVMSTTRRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGQADRLTHVQVPFTWNLSPLRPSKFSFEYLLLPPRSAPTTAPPGLTPWVLQRPPRPPTHRGLVLAPTAGYRSRALAPSIFGLVSAQFGTVTQLPVHPASPVLLTKNGPLGALDSVARLNRAANSPAGSSYPEGNFGGNQLLDGSISLSPLYPSQTNDLHVSIAAGLHQSFLWLRPAQA >Vigun04g035000.1.v1.2 pep primary_assembly:ASM411807v1:4:2903283:2905677:-1 gene:Vigun04g035000.v1.2 transcript:Vigun04g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPNTTIHTYKQLQQQDHDDEEEAEEQNSEILKRRISSHPLYGLLLEAHLDCLKVGEISKLEREVKIDEMKAREKQKLDMLSQPELDLFMEAYCLSLGKLKEAMVEPQQKSMAFINNMHSQLREITAPSSEPAPPSASSSHCNFTTN >Vigun04g035000.2.v1.2 pep primary_assembly:ASM411807v1:4:2903282:2905678:-1 gene:Vigun04g035000.v1.2 transcript:Vigun04g035000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPNTTIHTYKQLQQQDHDDEEEAEEQNSEILKRRISSHPLYGLLLEAHLDCLKEAYCLSLGKLKEAMVEPQQKSMAFINNMHSQLREITAPSSEPAPPSASSSHCNFTTN >Vigun03g316800.1.v1.2 pep primary_assembly:ASM411807v1:3:51078343:51083321:-1 gene:Vigun03g316800.v1.2 transcript:Vigun03g316800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGFLTDGQRELLKIATQNAENLTSSPKSPSSLLSDHHVKAPAGGKAQTSGIAVRHVRRSHSGKYGRVKKDGAGGKGTWGKLIDTDVVSHIDRNDPNYDSGEEPYQLVGSTVTDPLDEFKKAVVSIIDEYFSNGDVELAASDLRELGSSEYYPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPAQIRDGFFILLESADDLAVDILDAVDILALFLARAVVDDILPPAFLARAMKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVDSGDTLEACRCIRELGVSFFHHEVVKRALILAMEIRSAEPLMLKLLKEAAEEGLVSSSQMVKGFSRLAESLDDLALDIPSAKALFQSFVPKAISEGWLDASLTKPAMEDGEIQVEDEEVRKYKKECVTMIHEYFLSDDIPELIRSLEDLGAPEYNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLETAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSRLPPKCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALIMAMEKKNDRMLDLLQECYSEGLITINQMTKGFTRIKDGLDDLALDIPNAKEKFGFYVEHAQSKGWLLPSFDSPTTDA >Vigun01g034700.1.v1.2 pep primary_assembly:ASM411807v1:1:4506310:4507061:-1 gene:Vigun01g034700.v1.2 transcript:Vigun01g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPKSLGVKILWLWTFGTAAILVTNAMRTRMRDMETLMNAEQQHQHDAATTDSSLVEMDKD >Vigun02g102100.1.v1.2 pep primary_assembly:ASM411807v1:2:25669073:25672850:-1 gene:Vigun02g102100.v1.2 transcript:Vigun02g102100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSISPSHPSPSLRTLSQNPKPHISSFAPKPSFSLSRFSKPIKTPPRRVVSASPIPSKSLNIGSESPNPSLSFQLSAPQTPATAARGADGDVMGLLLRERIVFLGSSIDDFVADAVMSQLLLLDAQDPTKDIKLFINSTGGSLSATMAIYDAVQLVRADVSTIALGISASTASVILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNITRIISGFTGRSFEQVQKDIDRDKYMSPIEAVEYGIIDGVIDRDRIIPLMPVPERVKSTLNYEEISKDPRKFLNPEIPDDEIY >Vigun02g096600.1.v1.2 pep primary_assembly:ASM411807v1:2:25233898:25236290:-1 gene:Vigun02g096600.v1.2 transcript:Vigun02g096600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNGNNCVRVERRVLEAPKNLMEVILILAEAIMLLCYTERRYIFNLPRAIAHAVLDKSKKTIGSECRERSDCAEVKGREILKDLYELKRLLRRAMLFSSGKRFLAFLFAAGFDEEDVLFRKRTSRILKPAFTVIRDKESKCLLVFIRGTRSIKDTLTDALCAPVSFDHNMVSGHAHRGMVAAADWIRKRCIPVLLEALHQYPNFKIKIVGHSLGGGTAALLTYKLREIQPLSSATCVTFGPAACMTLELAEFGKPFITSIVNGSDIVPTLSASSVHDFIAEGRNTDKNILSAVGTRISFAKAIAEHAVNCCTEVVKKHKHSLLLRSHRENIHTSSNNFVGASGLSETSLDPLLCEDQLFVDDDDEYNSCSEGSDNDDSDDDDNDNEDQLLNQMGNLGLGNDVNIPDKTDETSARRRLYPPGRIMHMVPSHVSENSNSNHTDSDEKHVSLYQTPAQLYGKLRFSRGMILDHSTKKYLKKLQQLINELEKE >Vigun05g105200.4.v1.2 pep primary_assembly:ASM411807v1:5:10582043:10588186:1 gene:Vigun05g105200.v1.2 transcript:Vigun05g105200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGIYTQDGTITINRKPANKKKTGNWKACYFILGNECCERLAYYGMSTNLVNYLQERFNQGNVTAANNVTTWSGTCYITPLIGAFLADSYLGRYWTIASFSVIYALGMILLTLSASAPGIKPSCDANGCNPTSAQTSACFIALYLIALGTGGIKPCVSAFGADQFDDSDKKETIRKSSFFNWFYFSINIGALVASSVLVWIQMNVGWGWGFGVPAVAMVIAIIFFFGGSRLYRLQIPGGSPLTRICQVIVAVLRKINIQVPEDESLLHETVDVESVIKGSRKLDHTNRFKCLDKAAVETQSDISRGLPNPWRLCTVTQVEELKSVISLLPVWASLIAFATVYGQMSTMFVLQGNTMDQHVGPHFKIPSASLTIFDTLSVIFWAPFYDRIIVPYASRFTGHKQGFTQLQRMGIGLVISIIAMVVAGILEVVRLDIIRKNNYYDVETIPLSIFWQVPQYFIVGCAEVFTNIGSLEFFYGEAPDAMRSFGMALYLTTNALGSYTSTLLLTVVTKITTRHGSLGWIPDNLNRGHLDYFYWLLTTLSVLNFLVFLWIAKRNCPPSLTLVSRKEVLLGQDQVPLLENRKTVPTHLCVSGAAVFCGCGPLSFASVQFKMGLILVVDLMGAAALFSWTRFFWLLGEMSMP >Vigun05g105200.3.v1.2 pep primary_assembly:ASM411807v1:5:10581954:10585854:1 gene:Vigun05g105200.v1.2 transcript:Vigun05g105200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGIYTQDGTITINRKPANKKKTGNWKACYFILGNECCERLAYYGMSTNLVNYLQERFNQGNVTAANNVTTWSGTCYITPLIGAFLADSYLGRYWTIASFSVIYALGMILLTLSASAPGIKPSCDANGCNPTSAQTSACFIALYLIALGTGGIKPCVSAFGADQFDDSDKKETIRKSSFFNWFYFSINIGALVASSVLVWIQMNVGWGWGFGVPAVAMVIAIIFFFGGSRLYRLQIPGGSPLTRICQVIVAVLRKINIQVPEDESLLHETVDVESVIKGSRKLDHTNRFKCLDKAAVETQSDISRGLPNPWRLCTVTQVEELKSVISLLPVWASLIAFATVYGQMSTMFVLQGNTMDQHVGPHFKIPSASLTIFDTLSVIFWAPFYDRIIVPYASRFTGHKQGFTQLQRMGIGLVISIIAMVVAGILEVVRLDIIRKNNYYDVETIPLSIFWQVPQYFIVGCAEVFTNIGSLEFFYGEAPDAMRSFGMALYLTTNALGSYTSTLLLTVVTKITTRHGSLGWIPDNLNRGHLDYFYWLLTTLSVLNFLVFLWIAKRYRYKKVAAK >Vigun02g024400.1.v1.2 pep primary_assembly:ASM411807v1:2:8145962:8148456:1 gene:Vigun02g024400.v1.2 transcript:Vigun02g024400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKLQLVYVGSLTSLSKQKISQENTMRLLKEFYTIKDWLWCTKLCVIEEHAEEIMEGNDENRRYSEAITLESFESLSKYKKALLETPWRLVDRVTGRSMEEVELVEMKRRSHHDMKKTLTWWDLIWFGMGSVIGSGIFVLTGYEVRNHVGPAVVLSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAYIASGNILLEYVVGGAAVARSWTSYFATLCNQDSDKFLIHIHHLSADYSHLDPIAVAVLFIIGLFAVSSTKGSSRFNYLASILHLLVLLFIIVAGLTQARAANYSSFLPFGPRGIFQAAAVLFFAYVGFDAVSTMAEETKNPGRDIPLGLIGSMTCTTLVYCMLSVTLCLMQRFSEVDENAAFSVAFEAVGMSWAKYIVAFGALKGMTSVLLVGAVGQARYLTHIARTQLLPPWLAKVNERTGTPINATVVMLGATAIVAFFTSLDVLANLLSISTLFLFSLVALALLVRRYCARGVATQPNIVKFVLCIALILGSSVASAVYWANTTKWVGYTVMVPLWLLGTVGIWVLVPMTKKPKVWGVPLVPFLPSASIGINVFLLGSLDKASFRRFGLWTAILLVYYLFVGLHSSYDMAQMLKKERVESLSESKLDEENVVPSQTESGTKNQDHNNLNN >Vigun02g024400.3.v1.2 pep primary_assembly:ASM411807v1:2:8143274:8148456:1 gene:Vigun02g024400.v1.2 transcript:Vigun02g024400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDENRRYSEAITLESFESLSKYKKALLETPWRLVDRVTGRSMEEVELVEMKRRSHHDMKKTLTWWDLIWFGMGSVIGSGIFVLTGYEVRNHVGPAVVLSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAYIASGNILLEYVVGGAAVARSWTSYFATLCNQDSDKFLIHIHHLSADYSHLDPIAVAVLFIIGLFAVSSTKGSSRFNYLASILHLLVLLFIIVAGLTQARAANYSSFLPFGPRGIFQAAAVLFFAYVGFDAVSTMAEETKNPGRDIPLGLIGSMTCTTLVYCMLSVTLCLMQRFSEVDENAAFSVAFEAVGMSWAKYIVAFGALKGMTSVLLVGAVGQARYLTHIARTQLLPPWLAKVNERTGTPINATVVMLGATAIVAFFTSLDVLANLLSISTLFLFSLVALALLVRRYCARGVATQPNIVKFVLCIALILGSSVASAVYWANTTKWVGYTVMVPLWLLGTVGIWVLVPMTKKPKVWGVPLVPFLPSASIGINVFLLGSLDKASFRRFGLWTAILLVYYLFVGLHSSYDMAQMLKKERVESLSESKLDEENVVPSQTESGTKNQDHNNLNN >Vigun02g024400.2.v1.2 pep primary_assembly:ASM411807v1:2:8131367:8148456:1 gene:Vigun02g024400.v1.2 transcript:Vigun02g024400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDENRRYSEAITLESFESLSKYKKALLETPWRLVDRVTGRSMEEVELVEMKRRSHHDMKKTLTWWDLIWFGMGSVIGSGIFVLTGYEVRNHVGPAVVLSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAYIASGNILLEYVVGGAAVARSWTSYFATLCNQDSDKFLIHIHHLSADYSHLDPIAVAVLFIIGLFAVSSTKGSSRFNYLASILHLLVLLFIIVAGLTQARAANYSSFLPFGPRGIFQAAAVLFFAYVGFDAVSTMAEETKNPGRDIPLGLIGSMTCTTLVYCMLSVTLCLMQRFSEVDENAAFSVAFEAVGMSWAKYIVAFGALKGMTSVLLVGAVGQARYLTHIARTQLLPPWLAKVNERTGTPINATVVMLGATAIVAFFTSLDVLANLLSISTLFLFSLVALALLVRRYCARGVATQPNIVKFVLCIALILGSSVASAVYWANTTKWVGYTVMVPLWLLGTVGIWVLVPMTKKPKVWGVPLVPFLPSASIGINVFLLGSLDKASFRRFGLWTAILLVYYLFVGLHSSYDMAQMLKKERVESLSESKLDEENVVPSQTESGTKNQDHNNLNN >Vigun05g174700.2.v1.2 pep primary_assembly:ASM411807v1:5:32015213:32018909:1 gene:Vigun05g174700.v1.2 transcript:Vigun05g174700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFATQNDEKSKGALADENGVVGEDIISKLHDSILGHILSFLPSMEAIHTSLLSKRWIHVWTSITGLQFNDSFQCVGKKKMHKQNFECFVNRVLLHLANSTIQSFSLCLTSYQYDSTLVNAWISSIFERGIKNLHIQYSDEVLFPSHSLFSCSSLVQLVLQMKCTLCVPFFASLPNLKILNISGIKLVGESSTYSEELILNLPVLRVLEARGCEWQTMQNIRIQAPLLERFCITIWRSLSDEAYKSSINVFAPCLTDFSYEGDLEQDIILLNSPSIRSASVVIVVDEDRKDRIKTLGYQAHKLLAQILEVQQLKLLFYKVLVHARDIFTHLPAFGRLTYLQLNEVTGEALLNILHRSPILNTLVLQNGVSELNKDVTSASVPQCFLSSLKVFQFKEFNVHEHELLLVKFVMANAKVLDQMTICTAFWLRYSNIDTEKAKQQILYFPKCSNLAVIQCISVQKLH >Vigun05g174700.1.v1.2 pep primary_assembly:ASM411807v1:5:32015213:32018909:1 gene:Vigun05g174700.v1.2 transcript:Vigun05g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFATQNDEKSKGALADENGVVGEDIISKLHDSILGHILSFLPSMEAIHTSLLSKRWIHVWTSITGLQFNDSFQCVGKKKMHKQNFECFVNRVLLHLANSTIQSFSLCLTSYQYDSTLVNAWISSIFERGIKNLHIQYSDEVLFPSHSLFSCSSLVQLVLQMKCTLCVPFFASLPNLKILNISGIKLVGESSTYSEELILNLPVLRVLEARGCEWQTMQNIRIQAPLLERFCITIWRSLSDEAYKSSINVFAPCLTDFSYEGDLEQDIILLNSPSIRSASVVIVVDEDRKDRIKTLGYQAHKLLAQILEVQQLKLLFYKVLVHARDIFTHLPAFGRLTYLQLNEVTGEALLNILHRSPILNTLVLQNGVSELNKDVTSASVPQCFLSSLKVFQFKEFNVHEHELLLVKFVMANAKVLDQMTICTAFWLRYSNIDTEKAKQQILYFPKCSNLAVIQCISVQKLH >Vigun05g080700.1.v1.2 pep primary_assembly:ASM411807v1:5:7605268:7614536:1 gene:Vigun05g080700.v1.2 transcript:Vigun05g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYEAVTEKPATATKWVVTCMVGGVIVGVSLLGAYSSQLGMFWKSRRRNKKPVRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVSDAEIIANKGPPVTPLHERLMMVNAVKWVDEVIPEAPYAITEEFMKKLFDEYKIDFIIHGDDPCVLPDGTDAYAHAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSISDSHNHSSLQRQFSNGHSPKFEAGSPAATASGTRISHFLPTSRRIVQFSNGRGPGPDSRIVYIDGAFDLFHAGHVEILRLARDLGDFLLVGIHTDQTVSATRGSHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAENNDFEKEQCNPYAVPISMGIFKVLESPLDITTTTIIKRIVSNHEAYQKRNEKKGESEKRYYEGKGHVSGD >Vigun09g035200.1.v1.2 pep primary_assembly:ASM411807v1:9:3094368:3102080:1 gene:Vigun09g035200.v1.2 transcript:Vigun09g035200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSARNLAAMAFCVSPSPRLRLRKKKLWRRRTASGGHGVRWRSRWLKVEAKAGGESCVATKEDFADEDDYVKAGGSELVFVQMQQNKAMEMQSKLVDKLAPIGDDILDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFRGLGLEGCIEHVWKDTVVYLDNKDPVFIGRSYGRVSRHLLHEELLSRCVESGVTYLSSRVENIIEASNGHSHVVCEYDIIVPSRLVTVASGAASGKLLQYEVGGPKVSVQTAYGVEVEVENNPYDPNVMVFMDYRDYVKQNVQGLEANYPTFLYAMPMSRTRVFFEETCLASKDAMPFDLLKKKLFLRLNSMGIRITKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVIANILKDGHAKDNITQERRKENLSMQAWNTLWPQERKRQRAFFLFGLALILQLDIGGIRTFFRTFFCLPDWMWQGFLGSSLSSTDLVLFAFYMFIIAPNNLRMSLVRHLLSDPTGAIMIKAYLAV >Vigun10g050600.2.v1.2 pep primary_assembly:ASM411807v1:10:7862374:7864683:1 gene:Vigun10g050600.v1.2 transcript:Vigun10g050600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIVGYRHIDCAEAYKNQAEIGSALKKLFDDGVLKREDIWITSKLWCNDHAPEDVPKALDKTLNDLQLDYIDLYLIHWPVRMKSGSVGFKKEYLDHPDIPSTWRAMEALYDSGKARAIGVSNFSSKKIQDLLDVARVPPAVNQVELHPGWQQPKLHAFCESKGIHISGYSPLGSPGNLKSDILKNPVVVEVAEKLGKTPAQVALRWGLQSGQSVLPKSTTESRIKENFDVFDWSIPEELFAKFSEIKQDRLIKATFFVDETYGAYKTVEELWDGEV >Vigun10g050600.1.v1.2 pep primary_assembly:ASM411807v1:10:7861915:7864683:1 gene:Vigun10g050600.v1.2 transcript:Vigun10g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIQFFELNTGAKIPSVGLGTWQAEPGVVAKAVTTAIEVGYRHIDCAEAYKNQAEIGSALKKLFDDGVLKREDIWITSKLWCNDHAPEDVPKALDKTLNDLQLDYIDLYLIHWPVRMKSGSVGFKKEYLDHPDIPSTWRAMEALYDSGKARAIGVSNFSSKKIQDLLDVARVPPAVNQVELHPGWQQPKLHAFCESKGIHISGYSPLGSPGNLKSDILKNPVVVEVAEKLGKTPAQVALRWGLQSGQSVLPKSTTESRIKENFDVFDWSIPEELFAKFSEIKQDRLIKATFFVDETYGAYKTVEELWDGEV >VigunL060158.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000411.1:42470:43231:1 gene:VigunL060158.v1.2 transcript:VigunL060158.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWRFGIRVSSFGFGFWVWGFEFRVTGFESHFSECRISGFGLWISGLRFRVTDYELQVTGFGSHFSGVGFQVSGFGFSILGYGLRVSCYGFSVWSFGFRVSVSHLGFRISGFVFGFSGFGDFRLSISDFGFRLSGFEFRVSSFGFLVLGFGFGVSCFGFRLSGFEFRVWGFEFRVTGFGFGVWDLRFQVSGFGFRISGFGFRFSGLGFRDLVFRVSTLGFRISGFGLRVSNFEFRGSSLGFQVWGFGFRVSG >Vigun10g173400.4.v1.2 pep primary_assembly:ASM411807v1:10:39190186:39196297:-1 gene:Vigun10g173400.v1.2 transcript:Vigun10g173400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGALKFLLFQVLLLCNFLPSSQGNKQWHEKSYPFIRNASSFSSSSISTTTSKNVYDYIIVGGGTAGCPLAATLSQKFSVLMLERGGVPFTNPNVSFLENFHMTLADVSPTSASQFFISKDGVYNSRARILGGGSSINAGFYTRANPRFIKKVGWDIKLVNRSYPWVENQIVHRPKFSPYQRAVRDSLLDAGVSPFNGFTYDHLYGTKVGGTIFDTYGRRHTAAELLASGNHEKLSVLVYATVQKIVFDTKGKKPKAVGVIFQDENGKQHEAILANDRHSEVIMSSGAIGTPQLLMLSGIGPKEELQKLNIPVIFDNHFVGKGMVDNPMNTMFVPSKRQVHQSLIETVGITKMGVYIEASSGFSQSNDSIHCHHGIMSAEIGQLSTIPPKQRSAEAIQEFMNNKKDLPIEAFKGGFILSKVANPWSVGELKLINTNANDNPSVAFNYFSHPYDLQRCVKGIRLAIKVVQSKHFTNYTLCDRKTAEELLNLTVKANVNFIPKHATDTKSLKQFCKDTVITIWHYHGGCHVGKVVNRDYMVLGVDRLRVVDGSTFDESPGTNPQATVMMMGRYMGLKILRGRLGKLAGI >Vigun10g173400.3.v1.2 pep primary_assembly:ASM411807v1:10:39190186:39195093:-1 gene:Vigun10g173400.v1.2 transcript:Vigun10g173400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGGVPFTNPNVSFLENFHMTLADVSPTSASQFFISKDGVYNSRARILGGGSSINAGFYTRANPRFIKKVGWDIKLVNRSYPWVENQIVHRPKFSPYQRAVRDSLLDAGVSPFNGFTYDHLYGTKVGGTIFDTYGRRHTAAELLASGNHEKLSVLVYATVQKIVFDTKGKKPKAVGVIFQDENGKQHEAILANDRHSEVIMSSGAIGTPQLLMLSGIGPKEELQKLNIPVIFDNHFVGKGMVDNPMNTMFVPSKRQVHQSLIETVGITKMGVYIEASSGFSQSNDSIHCHHGIMSAEIGQLSTIPPKQRSAEAIQEFMNNKKDLPIEAFKGGFILSKVANPWSVGELKLINTNANDNPSVAFNYFSHPYDLQRCVKGIRLAIKVVQSKHFTNYTLCDRKTAEELLNLTVKANVNFIPKHATDTKSLKQFCKDTVITIWHYHGGCHVGKVVNRDYMVLGVDRLRVVDGSTFDESPGTNPQATVMMMGRYMGLKILRGRLGKLAGI >Vigun10g173400.2.v1.2 pep primary_assembly:ASM411807v1:10:39190186:39196432:-1 gene:Vigun10g173400.v1.2 transcript:Vigun10g173400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGALKFLLFQVLLLCNFLPSSQGNKQWHEKSYPFIRNASSFSSSSISTTTSKNVYDYIIVGGGTAGCPLAATLSQKFSVLMLERGGVPFTNPNVSFLENFHMTLADVSPTSASQFFISKDGVYNSRARILGGGSSINAGFYTRANPRFIKKVGWDIKLVNRSYPWVENQIVHRPKFSPYQRAVRDSLLDAGVSPFNGFTYDHLYGTKVGGTIFDTYGRRHTAAELLASGNHEKLSVLVYATVQKIVFDTKGKKPKAVGVIFQDENGKQHEAILANDRHSEVIMSSGAIGTPQLLMLSGIGPKEELQKLNIPVIFDNHFVGKGMVDNPMNTMFVPSKRQVHQSLIETVGITKMGVYIEASSGFSQSNDSIHCHHGIMSAEIGQLSTIPPKQRSAEAIQEFMNNKKDLPIEAFKGGFILSKVANPWSVGELKLINTNANDNPSVAFNYFSHPYDLQRCVKGIRLAIKVVQSKHFTNYTLCDRKTAEELLNLTVKANVNFIPKHATDTKSLKQFCKDTVITIWHYHGGCHVGKVVNRDYMVLGVDRLRVVDGSTFDESPGTNPQATVMMMGRYMGLKILRGRLGKLAGI >Vigun10g173400.1.v1.2 pep primary_assembly:ASM411807v1:10:39189189:39196112:-1 gene:Vigun10g173400.v1.2 transcript:Vigun10g173400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGALKFLLFQVLLLCNFLPSSQGNKQWHEKSYPFIRNASSFSSSSISTTTSKNVYDYIIVGGGTAGCPLAATLSQKFSVLMLERGGVPFTNPNVSFLENFHMTLADVSPTSASQFFISKDGVYNSRARILGGGSSINAGFYTRANPRFIKKVGWDIKLVNRSYPWVENQIVHRPKFSPYQRAVRDSLLDAGVSPFNGFTYDHLYGTKVGGTIFDTYGRRHTAAELLASGNHEKLSVLVYATVQKIVFDTKGKKPKAVGVIFQDENGKQHEAILANDRHSEVIMSSGAIGTPQLLMLSGIGPKEELQKLNIPVIFDNHFVGKGMVDNPMNTMFVPSKRQVHQSLIETVGITKMGVYIEASSGFSQSNDSIHCHHGIMSAEIGQLSTIPPKQRSAEAIQEFMNNKKDLPIEAFKGGFILSKVANPWSVGELKLINTNANDNPSVAFNYFSHPYDLQRCVKGIRLAIKVVQSKHFTNYTLCDRKTAEELLNLTVKANVNFIPKHATDTKSLKQFCKDTVITIWHYHGGCHVGKVVNRDYMVLGVDRLRVVDGSTFDESPGTNPQATVMMMGRYMGLKILRGRLGKLAGI >Vigun03g253400.1.v1.2 pep primary_assembly:ASM411807v1:3:41954746:41955702:1 gene:Vigun03g253400.v1.2 transcript:Vigun03g253400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQKWFRILRGRFIRSSNKDIILPGTSVCANECEEPILRNEDFSFPTPSPTPTPKPKPISAITKEDVAAIKIQAFFRGHLARRAYKALKGLVKVQALVRGVWVRKQSRIAMQCMHALVRLQVRVRSRQLLGSFHKKQTIS >Vigun01g098600.1.v1.2 pep primary_assembly:ASM411807v1:1:26537084:26539300:-1 gene:Vigun01g098600.v1.2 transcript:Vigun01g098600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRRTSFINSQTTTSQQIRPSVITWFLQRPHSIPFLLAIFLLLAWISLRVQRDYHTPPYSSHRDALVNLVRFHSLHVATDNRGWLFDPIALALDSGLSGGAVTCSSIHVGEIRPGKRRGNHRHHYCNETFLIWGATTRFRLENSEQDDGYAEVIIDRDEIAVATSPVDKAHALVNIDSTRSIFFIGCQDSVINTNASNTDFNVWKDL >Vigun06g228000.1.v1.2 pep primary_assembly:ASM411807v1:6:33548854:33553432:-1 gene:Vigun06g228000.v1.2 transcript:Vigun06g228000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHTLNEDSRINGAVEPLPEDFDATALIMDPVPSSLVDNGSVNEEVQINKGKEKRLIVLGRNIHTMCLEVTEPDADDDVTGDREAYMAGVLARYKRALTERTKHHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPHGILYASRESHYSVFKAARMYRMECVKVDTLWSGEIDCDDFKDKLLQNQDKPAIVNVNIGTTVKGAVDDLDMVIKKLEEAGFSQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLNYVNALSRDVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYFKDRLVEAGIGAMLNELSSTVVFERPHDEEFVRKWQLACKGNIAHVVVMPNITIEKLNDFLNELLEKRATWFQDGKDQPYCISSDVGEKNCLCALHK >Vigun06g197200.3.v1.2 pep primary_assembly:ASM411807v1:6:31262580:31265971:-1 gene:Vigun06g197200.v1.2 transcript:Vigun06g197200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEEKRIESITPTMTFTQKTNVTDVKLSEQIRTIRCPSCAHNIEFRDQGGIHDLPGLPAGVKFDPNDQEILEHLEAKVASDACKLHPLIDEFIPTLEGVGKDGQIRHFFHRPSKAYTTGTRKRRKVESDEEGSETRWHKTGKTRAVFAGGGGAVKGFKKILVLYTNYGRKKKPEKTNWVMHQYHLGSTEEEKDGELVVSKIFYQKQPRQCGNNPNIIEDPYAKMLNVDGSGHNNNTSTPKTTTIVDCYNAPYVNYDNVHLSYNRESSKQIIIPSSVVAADDSYIRYAMNAKRARFETNTGNNF >Vigun06g197200.1.v1.2 pep primary_assembly:ASM411807v1:6:31262580:31265971:-1 gene:Vigun06g197200.v1.2 transcript:Vigun06g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEEKRIESITPTMTFTQKTNVTDVKLSEQIRTIRCPSCAHNIEFRDQGGIHDLPGLPAGVKFDPNDQEILEHLEAKVASDACKLHPLIDEFIPTLEGENGICSTHPEKLPGVGKDGQIRHFFHRPSKAYTTGTRKRRKVESDEEGSETRWHKTGKTRAVFAGGGGAVKGFKKILVLYTNYGRKKKPEKTNWVMHQYHLGSTEEEKDGELVVSKIFYQKQPRQCGNNPNIIEDPYAKMLNVDGSGHNNNTSTPKTTTIVDCYNAPYVNYDNVHLSYNRESSKQIIIPSSVVAADDSYIRYAMNAKRARFETNTGNNF >Vigun06g197200.4.v1.2 pep primary_assembly:ASM411807v1:6:31262580:31265754:-1 gene:Vigun06g197200.v1.2 transcript:Vigun06g197200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEEKRIESITPTMTFTQKTNVTDVKLSEQIRTIRCPSCAHNIEFRDQGGIHDLPGLPAGVKFDPNDQEILEHLEAKVASDACKLHPLIDEFIPTLEGVGKDGQIRHFFHRPSKAYTTGTRKRRKVESDEEGSETRWHKTGKTRAVFAGGGGAVKGFKKILVLYTNYGRKKKPEKTNWVMHQYHLGSTEEEKDGELVVSKIFYQKQPRQCGNNPNIIEDPYAKMLNVDGSGHNNNTSTPKTTTIVDCYNAPYVNYDNVHLSYNRESSKQIIIPSSVVAADDSYIRYAMNAKRARFETNTGNNF >Vigun06g197200.2.v1.2 pep primary_assembly:ASM411807v1:6:31262580:31265754:-1 gene:Vigun06g197200.v1.2 transcript:Vigun06g197200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSDEEKRIESITPTMTFTQKTNVTDVKLSEQIRTIRCPSCAHNIEFRDQGGIHDLPGLPAGVKFDPNDQEILEHLEAKVASDACKLHPLIDEFIPTLEGENGICSTHPEKLPGVGKDGQIRHFFHRPSKAYTTGTRKRRKVESDEEGSETRWHKTGKTRAVFAGGGGAVKGFKKILVLYTNYGRKKKPEKTNWVMHQYHLGSTEEEKDGELVVSKIFYQKQPRQCGNNPNIIEDPYAKMLNVDGSGHNNNTSTPKTTTIVDCYNAPYVNYDNVHLSYNRESSKQIIIPSSVVAADDSYIRYAMNAKRARFETNTGNNF >Vigun11g071300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20579218:20579829:1 gene:Vigun11g071300.v1.2 transcript:Vigun11g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIANIITYIRNVDMNKKGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYFLVLTLRYRRNRKESYKSFLNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGEVLCYIW >Vigun05g275050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:46529314:46530700:1 gene:Vigun05g275050.v1.2 transcript:Vigun05g275050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVPNISNSKEGVFKFHFTNARQLDSGARANIEEDARLRMSDDAYRSVFFCFPGSAVPHWFPFRGKGRSLTINKDLSFCSDDRLVGFALCVVFGLSDTNDIEGRSGSFRYSLKFKSDDDGTHIIPNNDVLNNYFKWNGKDRVVDKNHTFMWKFNLESLKASGMSLRICDARSFTFEISPYDHDFTWRDIKSIMRELKCFVKIKECGMCPLYGSGSNLAESSIEGKIGR >Vigun09g163900.1.v1.2 pep primary_assembly:ASM411807v1:9:33190756:33193464:1 gene:Vigun09g163900.v1.2 transcript:Vigun09g163900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDTRKLQLQQQQQHLQPQEQHFHVLAVDDSVIDRKLLERLLRGSSCKVTCVDSGDKALKYLGLVEDLHDTSSSTSLESPSSPPPQPLQQEGIKVNLIMTDYCMPGMSGYDLLKRVKGSSWKDVPVVIMSSENVPSRISMCLEGGAEEFLLKPLQLSDLDKLQSYFVKSLENSCEEESDHNSCIDSENENVIINISSSSNSNHNNNSNNSNSKRKAISAESHQERSRPKMKELAVV >Vigun08g102250.1.v1.2 pep primary_assembly:ASM411807v1:8:25354428:25355069:-1 gene:Vigun08g102250.v1.2 transcript:Vigun08g102250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGNFPKEFEELVNKSLLFKVESRNDQNFKFEQSFRVKKVCLDDDIIQKFNDSSFKSVDVYAGNGEFSREKQRVVNESTVDIAEDLLVRFTKETIECASQSPDLIKDTATNEDGNTSLKRENAKKTLSLESIKEDIVPLKLLKRNIKKEKLVKQ >Vigun04g004800.1.v1.2 pep primary_assembly:ASM411807v1:4:315347:317478:-1 gene:Vigun04g004800.v1.2 transcript:Vigun04g004800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDDDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETNKSNILTPIQLMDKTS >Vigun08g083350.1.v1.2 pep primary_assembly:ASM411807v1:8:17830378:17833433:1 gene:Vigun08g083350.v1.2 transcript:Vigun08g083350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLINVRSQFDCDNFLFCKGVVAWFLSQDFLPLSRFVCSWNLGGGFSLLPILTFRVIYARIQALLILRQQRQRGFQYYIFGVI >Vigun08g083350.2.v1.2 pep primary_assembly:ASM411807v1:8:17830378:17833433:1 gene:Vigun08g083350.v1.2 transcript:Vigun08g083350.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLINVRSQFDCDNFLFCKGVVAWFLSQDFLPLSRFVCSWNLGGGFSLLPILTFRVIYARIQVSILYIRCHLSV >Vigun09g097100.1.v1.2 pep primary_assembly:ASM411807v1:9:14785273:14789004:-1 gene:Vigun09g097100.v1.2 transcript:Vigun09g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPILLLPQPDLYLQTPAGNRIPAHATILASASPVLQNVIKSSNRIVKIHGVPDAAVTAFVAFLYSARCTEEDMDKYAMHLLALSHVYMVKQLKQRCIKGLGDRVGTENVVDVLQLARLCDAPDLYLKCARHLTNRFKAVKETEGWRFLQNNDPCLELEILCFMEEHEKRKRKARKRREDGMLYTELSEAMVCLEHICTEGCTEVWPYDVEVRREREPCSKFGTCQGLQNLIRHFATCERVKGRCLRCKRMWQLFRLHSSICLRHDACKVPLCSQIRLKMEQEKKKGDGRWEVLVRKVASAKAMSSLALPKRKRVEEIRRELP >Vigun09g097100.3.v1.2 pep primary_assembly:ASM411807v1:9:14785273:14789004:-1 gene:Vigun09g097100.v1.2 transcript:Vigun09g097100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAMHLLALSHVYMVKQLKQRCIKGLGDRVGTENVVDVLQLARLCDAPDLYLKCARHLTNRFKAVKETEGWRFLQNNDPCLELEILCFMEEHEKRKRKARKRREDGMLYTELSEAMVCLEHICTEGCTEVWPYDVEVRREREPCSKFGTCQGLQNLIRHFATCERVKGRCLRCKRMWQLFRLHSSICLRHDACKVPLCSQIRLKMEQEKKKGDGRWEVLVRKVASAKAMSSLALPKRKRVEEIRRELP >Vigun09g097100.2.v1.2 pep primary_assembly:ASM411807v1:9:14785273:14789004:-1 gene:Vigun09g097100.v1.2 transcript:Vigun09g097100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPILLLPQPDLYLQTPAGNRIPAHATILASASPVLQNVIKSSNRIVKIHGVPDAAVTAFVAFLYSARCTEEDMDKYAMHLLALSHVYMVKQLKQRCIKGLGDRVGTENVVDVLQLARLCDAPDLYLKCARHLTNRFKAVKETEGWRFLQNNDPCLELEILCFMEEHEKRKRKARKRREDGMLYTELSEAMVCLEHICTEGCTEVWPYDVEVRREREPCSKFGTCQGLQNLIRHFATCERVKGRCLRCKRMWQLFRLHSSICLRHDACKVPLCRSGLTLLF >Vigun03g436200.6.v1.2 pep primary_assembly:ASM411807v1:3:64008041:64017754:1 gene:Vigun03g436200.v1.2 transcript:Vigun03g436200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWEKEFTLASRTYQRQDLELKNARGYTLKCSHYLPSPFPEDTSLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGEYVSLGWHEKDDLKMVVSYLRSNKQISHIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYNLMMELVDVYKIRLPKFTVKMAVQYMRRVIEKKAKFDIMNLNCLLVAPKTFIPVLFGHASDDKFIQPHHSDLISESYAGDKNVIKFDGDHNSSRPQFFYDSVSIFFYNVLRPPNVPRAHNKLEKYYDLGNLKLGSGVEESLLYEILSSLRSASTNAASSSSVLPAISTTKSVTELLSDVTPLTDVESFFREDSVGNGETGRDEPTDVQDKLNGEGEDCCSYTSSNRESWGRCSSLGGSDEECCADLRADDTLSQNNVKVFATPMRGTREKPSYPKEDEKKQKKNKSKKKKDESVVKKPKSERFEKLEALSRRLRHCLMKGSNHRRHKSS >Vigun10g055300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9625344:9636782:1 gene:Vigun10g055300.v1.2 transcript:Vigun10g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTLLCFFLLPFLCINHNANVFPTNGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWNGVTCKEGRVVALDLSEESISGRLLNSTVLFGLQYLQSLTLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGITISAKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLNLSNNSMSSSVPDSLKNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSETSFTGKLPGAISNLKQIDISYCQFNGTLPSSMSELTQLVYLDLSSNNFSGTLPSFNMSKNLTYLSLSHNSLMGVLPSNHFEGLKNLVNIDLGFNFFKGTLPSSLLKLPYLRELKLPFNQLTGLLDESVMTSPTLEMLDLGSNNLEGPIPLSIFNLRTLRVIQLNLNKFNGTLQLDMIRRLSNLTTLCLSHNNLSVDIYSRNDHDPSPFPALRNIMLASCKLRGIPSFVKNQSTLLYLDLADNEIQGTIPYWIWQLEYLLHLDLSKNFLTKLEGNVWNLSSNLMLLDLSSNQLQGPLPFLPRLVNYMDYSNNRFNSVIPADIGNRLPFISVLSLSNNSFQGQIPESFVHASNLSLMDLSHNNFVGTIPKCFAKLSSTLRVLNFGGNKLQGYIPDTLSTSCSLQLLDLNDNLLEGTIPTSLAYCQKLQVVNLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSIGCSKSRGEWEMLHMVDVAFNNFSGAIPGALLNNWKAMMRDNDNVGPEFGHLFIDIIDNYDPKNFKDLLSHLDKTIVAKLAKIVANVPRSILDQGSSDTYQIDLSQYQNSILITNKGHQIKLEKIQRAFTYVDMSSNNFQGPIPIEIMQFKAMMALNLSNNALSGHIPSSIENLKNLESLDLSNNSLCGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:9625344:9629015:1 gene:Vigun10g055300.v1.2 transcript:Vigun10g055300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTLLCFFLLPFLCINHNANVFPTNGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWNGVTCKEGRVVALDLSEESISGRLLNSTVLFGLQYLQSLTLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGITISAKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLNLSNNSMSSSVPDSLKNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSETSFTGKLPGAISNLKQIDISYCQFNGTLPSSMSELTQLVYLDLSSNNFSGTLPSFNMSKNLTYLSLSHNSLMGVLPSNHFEGLKNLVNIDLGFNFFKGTLPSSLLKLPYLRELKLPFNQLTGLLDESVMTSPTLEMLDLGSNNLEGPIPLSIFNLRTLRVIQLNLNKFNGTLQLDMIRRLSNLTTLCLSHNNLSVDIYSRNDHDPSPFPALRNIMLASCKLRGIPSFVKNQSTLLYLDLADNEIQGTIPYWIWQLEYLLHLDLSKNFLTKLEGNVWNLSSNLMLLDLSSNQLQGPLPFLPRLVNYMDYSNNRFNSVIPADIGNRLPFISVLSLSNNSFQGQIPESFVHASNLSLMDLSHNNFVGTIPKCFAKLSSTLRVLNFGGNKLQGYIPDTLSTSCSLQLLDLNDNLLEGTIPTSLAYCQKLQVVNLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSIGCSKSRGEWEMLHMVDVAFNNFSGAIPGALLNNWKAMMRDNDNVGPEFGHLFIDIIDNYDPKNFKDLLSHLDKTIVAKLAKIVANVPRSILDQGSSDTYQIDLSQYQNSILITNKGHQIKLEKIQRAFTYVDMSSNNFQGPIPIEIMQFKAMMALNLSNNALSGHIPSSIENLKNLESLDLSNNSLCGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRRY >Vigun10g055300.2.v1.2 pep primary_assembly:ASM411807v1:10:9625344:9629015:1 gene:Vigun10g055300.v1.2 transcript:Vigun10g055300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTLLCFFLLPFLCINHNANVFPTNGYLLGNECSMLLLLKNNLIFNPTISKKLTLWNQNEDCCQWNGVTCKEGRVVALDLSEESISGRLLNSTVLFGLQYLQSLTLAFNNFSSVIPSELCKLNNLRYLNFSNAGFEGQIPNEMFHLRRLVILDLSSSISSPHSLKLDKPNIAMLLQNLTEITELYLDGITISAKGQEWFHALSSLHNLRVLSMSSCNMSGPIHASLAKLVSLTVLNLSNNSMSSSVPDSLKNLSNLVILQLRSCGLNGSFPKDIFLMPSLEVLDISDNQDLMGSLPNFQPRGSLRDLNLSETSFTGKLPGAISNLKQIDISYCQFNGTLPSSMSELTQLVYLDLSSNNFSGTLPSFNMSKNLTYLSLSHNSLMGVLPSNHFEGLKNLVNIDLGFNFFKGTLPSSLLKLPYLRELKLPFNQLTGLLDESVMTSPTLEMLDLGSNNLEGPIPLSIFNLRTLRVIQLNLNKFNGTLQLDMIRRLSNLTTLCLSHNNLSVDIYSRNDHDPSPFPALRNIMLASCKLRGIPSFVKNQSTLLYLDLADNEIQGTIPYWIWQLEYLLHLDLSKNFLTKLEGNVWNLSSNLMLLDLSSNQLQGPLPFLPRLVNYMDYSNNRFNSVIPADIGNRLPFISVLSLSNNSFQGQIPESFVHASNLSLMDLSHNNFVGTIPKCFAKLSSTLRVLNFGGNKLQGYIPDTLSTSCSLQLLDLNDNLLEGTIPTSLAYCQKLQVVNLGRNLLTDKFPCFLSKISTLRIMDLRLNKLHGSIGCSKSRGEWEMLHMVDVAFNNFSGAIPGALLNNWKAMMRDNDNVGPEFGHLFIDIIDNYDPKNFKDLLSHLDKTIVAKLAKIVANVPRSILDQGSSDTYQIDLSQYQNSILITNKGHQIKLEKIQRAFTYVDMSSNNFQGPIPIEIMQFKAMMALNLSNNALSGHIPSSIENLKNLESLDLSNNSLCGEIPRELASLNFLAYLNLSNNDLVGEIPKGTQIQTFDGDSFEGNEKLCGPPLTRNCSNIGMPTPETPQSHSKSSIDWSLLSAELGFIFGFGVFILPLLFWKRWSFWYSKHVDEMLHKIIPQLDFSYEHRRGQTRRTLRRSFQIRMLSVIHGGPNDEVVSNFNMNLVDVS >Vigun09g000600.2.v1.2 pep primary_assembly:ASM411807v1:9:84470:88971:-1 gene:Vigun09g000600.v1.2 transcript:Vigun09g000600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELENCVIEYMISTYTTIWVIQMKELSLPDRLLVEPKTIHTQDAVVLVGPPLIQPMYVPRDEQFDEAKMNTFVMKRLKAVLHNLIPGLKASLSADNQDFNRFSDFDDLYNDGQPLQDEIIKKIPLSQVIIKIQQCSQGLLKYDTPKIISKDKFAWLRDDEFARQAIAGVNPVNIERLKVFPPVSKLDPEMYGHQESALKEEHILAQLNGMTVQQAIEANKLFMINYHDVYVPFVDRINALDGRKSYATRTIFFLTPLGTLKPIAIELSLGPSSGSKRVVTPPVDATTYWKWQLAKAHVCANDAGVHQLVNHWLRTHACMEPFILSAHRQLSAMHPVFKLLDPHMRYTLDINALARQKLINADGIIESSFTPGRYCTEISSAAYKHLWRFDMEGLPADLIRRGMAVPDATQPNGVKLVIEDYPYAADGLMVWSAIENWVRTYVQHYYGHPGQVCNDRELQAWYSESINVGHADLRHERWWPTLNDSEDLVSILTTLIWTVSAQHAAINFGQYPYGGYVPNRPPLMRRLIPEDEEEKKSEKHANFMADPEKYFLNALPSLLQATKYMAIVDTLSTHSPDEEYLGERQQSSIWSGEAEMIEAFYSFSAEMKGIEKEIERRNCDPTLRNRCGPGVLPYELLAPTSPPGVTCRGIPNSVST >Vigun09g000600.1.v1.2 pep primary_assembly:ASM411807v1:9:84470:88971:-1 gene:Vigun09g000600.v1.2 transcript:Vigun09g000600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALHNELMGFTSFASASSKLLLQNNTFRHNQPLFGLNPISLPFQNTTRGTRLRREARFPVAAISQDFMKTTLRVHAEKPVQFKVRAVVTVRNKIREDFKETMLKHLDAITDRIGTRNVVLELISTDIDPKTKSPKKSNKAALKDWSKKSSVKAERVNYTAEFIVDSNFGVPGAIAVTNKHQREFFLESIVIEGFVSGAVHFPCNSWVQGERIFFSNKAYLPGDTPGGLRVLREKELINLRGDGKGVRKLCDRIYDFDIYNDLGNPDEGIELTRPTLGGTQNHPYPRRCRTGRAPTDTDMHAESRVDLPQPMYVPRDEQFDEAKMNTFVMKRLKAVLHNLIPGLKASLSADNQDFNRFSDFDDLYNDGQPLQDEIIKKIPLSQVIIKIQQCSQGLLKYDTPKIISKDKFAWLRDDEFARQAIAGVNPVNIERLKVFPPVSKLDPEMYGHQESALKEEHILAQLNGMTVQQAIEANKLFMINYHDVYVPFVDRINALDGRKSYATRTIFFLTPLGTLKPIAIELSLGPSSGSKRVVTPPVDATTYWKWQLAKAHVCANDAGVHQLVNHWLRTHACMEPFILSAHRQLSAMHPVFKLLDPHMRYTLDINALARQKLINADGIIESSFTPGRYCTEISSAAYKHLWRFDMEGLPADLIRRGMAVPDATQPNGVKLVIEDYPYAADGLMVWSAIENWVRTYVQHYYGHPGQVCNDRELQAWYSESINVGHADLRHERWWPTLNDSEDLVSILTTLIWTVSAQHAAINFGQYPYGGYVPNRPPLMRRLIPEDEEEKKSEKHANFMADPEKYFLNALPSLLQATKYMAIVDTLSTHSPDEEYLGERQQSSIWSGEAEMIEAFYSFSAEMKGIEKEIERRNCDPTLRNRCGPGVLPYELLAPTSPPGVTCRGIPNSVST >Vigun02g016800.1.v1.2 pep primary_assembly:ASM411807v1:2:6051360:6054934:1 gene:Vigun02g016800.v1.2 transcript:Vigun02g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGWCFSVCILFVLVGLRLEEANAQFLREVGTKKLAYWSIVVDQSGHGNFTTIQSAIDSVPSQNMYWVSIKVKAGIYREKVKIPYDKPYIILKGEGKRRTFVEWDDHHNTSQSPTFVAMADNLVVKSISFRNSYNNPTNRKLIKPAVAAMVSGDKSYFFRVGFFGLQDTLWDDTGRHYYKLCTIQGAIDFIFGAGQSLFERCSISVIGGALDAGLPGFITAQGRTNSEDGNGFVFNYCHVFGNGTTYLGRPWRSHARVLFYKTNFSNVVQPAGWDAWRYHPNEGNITFAEYGNFGPGSDTSQRVSWMKKLDLETIESMTSTKFIDTDGWLQKQPF >Vigun02g016800.2.v1.2 pep primary_assembly:ASM411807v1:2:6051360:6054934:1 gene:Vigun02g016800.v1.2 transcript:Vigun02g016800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGWCFSVCILFVLVGLRLEEANAQFLREVGTKKLAYWSIVVDQSGHGNFTTIQSAIDSVPSQNMYWVSIKVKAGIYREKVKIPYDKPYIILKGEGKRRTFVEWDDHHNTSQSPTFVAMADNLVVKSISFRNSYNNPTNRKLIKPAVAAMVSGDKSYFFRVGFFGLQDTLWDDTGRHYYKLCTIQGAIDFIFGAGQSLFERCSISVIGGALDAGLPGFITAQGRTNSEDGNGFVFNYCHVFGNGTTYLGRPWRSHARVLFYKTNFSNVVQPAGWDAWRYHPNEYG >Vigun02g016800.3.v1.2 pep primary_assembly:ASM411807v1:2:6051359:6054934:1 gene:Vigun02g016800.v1.2 transcript:Vigun02g016800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGWCFSVCILFVLVGLRLEEANAQFLREVGTKKLAYWSIVVDQSGHGNFTTIQSAIDSVPSQNMYWVSIKVKAGIYREKVKIPYDKPYIILKGEGKRRTFVEWDDHHNTSQSPTFVAMADNLVVKSISFRNSYNNPTNRKLIKPAVAAMVSGDKSYFFRVGFFGLQDTLWDDTGRHYYKLCTIQGAIDFIFGAGQSLFEVFHIRDRWGTRCWVTGVHHSTRENKFRRWKWVCFQLLPCVWKWNNLFGETMEKSC >Vigun05g132400.1.v1.2 pep primary_assembly:ASM411807v1:5:15472250:15474230:-1 gene:Vigun05g132400.v1.2 transcript:Vigun05g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGRGSQEEEKKTSDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFSSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGGYKFL >VigunL059075.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000481.1:223524:223813:-1 gene:VigunL059075.v1.2 transcript:VigunL059075.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFIFWDVVDICVCTGTTVKKMTLDFSYLTSIAYIIFCLSGEPKSYQLNDICLGKVRKE >Vigun01g072900.2.v1.2 pep primary_assembly:ASM411807v1:1:20237955:20240487:1 gene:Vigun01g072900.v1.2 transcript:Vigun01g072900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGATTFDLATGLSKAVISASKRKGRQCLWGSQVEETLHRLGWRQCLTPSLVANVIDPFLLKHHSLALGFFNWASQHPGFAHTPFTYHSLLKSLSHNNHFTAIHSLLKQAKALNFSIHPSLLSSIIASHVAHNRAREAFSLLGSVAPLCAEIGEPTCNSLLAALASDGCLENACQLFEGMTDRGIGFSTLGFGVFIWRVCGEGDLERVVRLLDEVKECGSGINGSVVAVLIVHGLCRASKVSEALWMLDDLRSRGWKPDFMAYWVVAAGFRSMGNVADEVKVLKMKRKLGVAPRSSDYRDLILGLVTERRMCEAKEVGEVIVGGNFPVDDDVLNTLIGSVSSVDPIAAIMFFNFMVEKERAGKVREGYTVLQEMKKKGFQPNVLSYNYIMEACCKEDLLRPARKLWDEMFSNGCCGNLKTYNILIRKFSEVGQAEEAQMLFYHMLDKGVEPDVTTYNFLLEGLCQEDKLEAAFELYNKSLKHDIINAREILSSFTSSLCRKGHLMAASKLLCSLNPDTGCAESHIILLKSLSDAQEIPISIEHLKWVQEKSPLILRDICIALLDSLSSATCPEPMLQFLQRIQNVFHLPYFEGYV >Vigun01g072900.1.v1.2 pep primary_assembly:ASM411807v1:1:20237955:20240487:1 gene:Vigun01g072900.v1.2 transcript:Vigun01g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGATTFDLATGLSKAVISASKRKGRQCLWGSQVEETLHRLGWRQCLTPSLVANVIDPFLLKHHSLALGFFNWASQHPGFAHTPFTYHSLLKSLSHNNHFTAIHSLLKQAKALNFSIHPSLLSSIIASHVAHNRAREAFSLLGSVAPLCAEIGEPTCNSLLAALASDGCLENACQLFEGMTDRGIGFSTLGFGVFIWRVCGEGDLERVVRLLDEVKECGSGINGSVVAVLIVHGLCRASKVSEALWMLDDLRSRGWKPDFMAYWVVAAGFRSMGNVADEVKVLKMKRKLGVAPRSSDYRDLILGLVTERRMCEAKEVGEVIVGGNFPVDDDVLNTLIGSVSSVDPIAAIMFFNFMVEKERFPTILAVSDLSRNLCRHGKVDELLEVFHVLNSQNYFKDVEGFNVMISFLCRAGKVREGYTVLQEMKKKGFQPNVLSYNYIMEACCKEDLLRPARKLWDEMFSNGCCGNLKTYNILIRKFSEVGQAEEAQMLFYHMLDKGVEPDVTTYNFLLEGLCQEDKLEAAFELYNKSLKHDIINAREILSSFTSSLCRKGHLMAASKLLCSLNPDTGCAESHIILLKSLSDAQEIPISIEHLKWVQEKSPLILRDICIALLDSLSSATCPEPMLQFLQRIQNVFHLPYFEGYV >Vigun06g162900.1.v1.2 pep primary_assembly:ASM411807v1:6:28534709:28536027:1 gene:Vigun06g162900.v1.2 transcript:Vigun06g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTSHSLPWQYCIPSHLLFPYTFTPQNYVHWTETPESHVFSADIPGVRKEELRVEVEDSRYLIIRTEAVDESTEPARKFERKFRLPGRVDLDGISAGYEDGVLTVTVPRSIRRGFYIDPADVSENLEVLARAA >Vigun07g127350.1.v1.2 pep primary_assembly:ASM411807v1:7:23442641:23443826:-1 gene:Vigun07g127350.v1.2 transcript:Vigun07g127350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENEFDVNGKRITTYVTRSKEGCRFGYRKKFPNWPEYTFVGVGIRGKMEMLETQFGIRCRNAVELGPLAADVLGMAHLRSCGVDKLALEVIGFDFGRRRLVSGLYEDSDANAFSMQQAEFATVNVCSCYHIGRKLLEKRAAISAKKGRVIPSKNWLVRFGILFVP >Vigun07g169900.1.v1.2 pep primary_assembly:ASM411807v1:7:28406912:28409736:1 gene:Vigun07g169900.v1.2 transcript:Vigun07g169900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWLLDIGTSFPKISIFLRFSSSVLSFMPPMKIQPIPVDSQKLAVVRNDPVKPVLKSRLKRLFVFDRQFPNVLKTSSSSDKPAAGEPPQSNGRDTAAEFEPSSICLDKMVQSFIEESNEKPAPTTAKCGRNRCNCFNGNSNDSSDEELDIFGESISSGSFGEASDTLKSLIPCASVVERNLLADTSKIVDNNSKVYKRKDDLRKIVTESLSSLGYDSSICISKWDKTPTFPAGEYEYIDVIVEGERLIIDIDFRSEFDIARSTGTYKAILQSLPFIFVGKSDRLFQIVAAVSEAAKQSLKKKGMHVPPWRKTDYMLAKWLSNSCTRTNPPSSSNVNGSTENLSVGDSAAKSDCGELELIFGEKISPELETCCSGERNLPPVATPTWQPPALKVRSVERGTKVVTGLASLLKDKP >Vigun04g078400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:10799789:10801429:-1 gene:Vigun04g078400.v1.2 transcript:Vigun04g078400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIHTNLNPKSPTSKPRNNESFPSLHHLSSHKPNKSEPHHNEVATLHHDPTSELRTFGAHKYFNHDNFQKVTINSNSRVSPLLNIDTEHKHNLPQQTSFSDSASSSVLAGHGNCNNNNIINNNINNYKAHSFHVATPTTPSPSSSKPTLNNKAGLLFHHPQKNPISVLNPPQTSSNLTHKLRTSLSKPIWLFRRKCPCNDKKSVQVKANTKKNSAKPKTSPPQPQTTLSPNQIHKGSFNHKASPPTSSSNKDLKSQRLFQIQPAMNQSKSPSKESFTFRSAMATIPAKPLNVVHEEEDTAPRESLHVFQPLSPSKSHAMVDDDAASDASSDLFEIESFCTQPTATLPCPIVTPSVAECHETTDHEFFFAVDAGSSLWRRLRDTEVLPPL >Vigun07g187700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:30445728:30450285:1 gene:Vigun07g187700.v1.2 transcript:Vigun07g187700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSESDHHVLPNPESHSFTSKCRKFSLHSFSLLVLLTSIYWGFTTTNYYKVHHLKYSLTSPSIMRAIESLFLPSKPVSVPSSNHCVLWMAPFLSGGGYSSEGWSYILALHGHRKMQSLRLSIDHHGDLESLAFWKGLPIHMKDLARELYQTRCRMNETIVICHSEPGAWFPPLFETIPCPPSFYHNFKSVVGRTMFETDRVNDQHVQRCNTMNYVWVPTEFHMSTFVQSGVDPSKMVKIVQPVDVEFFDPGRYKPFRLASSSRAKLVLGSHVTKSFVFLSIFKWEYRKGWDVLLKSYLKEFSKDDGVALYLLTNPYHTDKNFGNKILDFVESSGMVKPVSGWAPVYVIDNHIPLSDLPRVYKASDAFVLPSRGEGWGRPVVEAMSMALPVIATNWSGPTEYLTDDNSYPLPVDRMSEVTEGPFKGHLWAEPSVDKLQVLMRQVMNNLTEATAIGRKAREDMITRFSPEIVADIVADHILDILRQ >Vigun02g148600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29604252:29606441:1 gene:Vigun02g148600.v1.2 transcript:Vigun02g148600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCMSLSHNTKPSSILKLHFFPLTMDYKLSQNAPTNAKNHNLRPNIMPTYTNNCSCFFCIMSETIPPLRRAKIARYFKQMPLRDHQEHILALSGLWKIAIINPNDPEFPSLGIFRCMAKLIQKGVTHKDWLLRCQNIYIPYYAAHVIGSYTMNKPKFAHKAVKSNVVRPLIELLRGKISWVEKRVALRALGHLASHEATFEAVAEHEAEVVEAAIDIASTGLTEVYEKFVGLKESERLQYHRNLMTRGHGDLELENRKAEEWASQLQCWSLYLLDCFACRERSLRLICKKRFLKNLCGMWGGSVNPTSPSGIGLLKTLCKTQMGRESVADLEEVVVNLCNVSRSSDDRQHMAIDSLLQLLRDPITRYKVIDTTAPVLADLVELRSMGGKPKVGQEIMQTLLLDYHKIKFCELNLKSERTKRTLTELWEMKVERVKRESLMNEEEIREKEALTGILKREGNREFGSGNIEKAMVKYTEALDLCPLQTKKERIVLHSNRARCHLLLRDPEAALSDTTRALCLSDAASACVHSKSLWRRSQAYDMKGLAKESLMDCLTFISDRFGSRKRRGFKIPHYVAQMVNKQMNATWLFASAKSCWNETRPELNEYVFQNKIDALMVRKKTRMRRW >Vigun05g051500.1.v1.2 pep primary_assembly:ASM411807v1:5:4409484:4412744:1 gene:Vigun05g051500.v1.2 transcript:Vigun05g051500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCLVLAYPAQGHINPMLHFSNILHQQGVKVTFVTTISFCNNNFHNLPSSLSLQTISDGFDNGGIHEAGSYRTYLQRFWQVGPNTLADLLHKLHREGDPVHCVIYDSLFPWPLEVAKRFQIAGASFLTQNMFVNTIYYHVQHGNLQLPITQNQISLPPLPELQRHDMPSFFFPTDADNPALLDLLVGQFSTVDEADWILCNSFYDAEKEVIDWAKKIWSNFRTIGPCITSMLLNKPVVADDEDEECMEWLNGKPKESVVYVSFGSMAELEEEQVKEIAYGLRDSERYFVWTMRASVENKLPKDFEKRSEKGIVVGWCSQIKVLGHEAVGCFVTHCGWNSTLEGLSIGVPMVAVPCWSEQYTNAKLVADMWKIGIRAKADEKKIVRREVLKDCIMEVMKSERGKEVKSNVMELKALAEHAVSEKGTSHENTVEFLNSLAASTQKMRA >Vigun05g051500.2.v1.2 pep primary_assembly:ASM411807v1:5:4409484:4412744:1 gene:Vigun05g051500.v1.2 transcript:Vigun05g051500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCLVLAYPAQGHINPMLHFSNILHQQGVKVTFVTTISFCNNNFHNLPSSLSLQTISDGFDNGGIHEAGSYRTYLQRFWQVGPNTLADLLHKLHREGDPVHCVIYDSLFPWPLEVAKRFQIAGASFLTQNMFVNTIYYHVQHGNLQLPITQNQISLPPLPELQRHDMPSFFFPTDADNPALLDLLVGQFSTVDEADWILCNSFYDAEKETWTIPNNLPSMVIDWAKKIWSNFRTIGPCITSMLLNKPVVADDEDEECMEWLNGKPKESVVYVSFGSMAELEEEQVKEIAYGLRDSERYFVWTMRASVENKLPKDFEKRSEKGIVVGWCSQIKVLGHEAVGCFVTHCGWNSTLEGLSIGVPMVAVPCWSEQYTNAKLVADMWKIGIRAKADEKKIVRREVLKDCIMEVMKSERGKEVKSNVMELKALAEHAVSEKGTSHENTVEFLNSLAASTQKMRA >Vigun11g095600.1.v1.2 pep primary_assembly:ASM411807v1:11:27853425:27857040:1 gene:Vigun11g095600.v1.2 transcript:Vigun11g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVATDDAKAFAERENTFFMETSALESLNVDNAFTEVLTQIYRVVSRKTLEIGDDPAALPKGQTINVGSKDDVSAVKKAGCCSA >Vigun09g025000.1.v1.2 pep primary_assembly:ASM411807v1:9:1965320:1967354:1 gene:Vigun09g025000.v1.2 transcript:Vigun09g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSSTTTDNSNHSGYSAIVIVIVIVVFIVKISVCFWVLHVCLKRNQVNGGSGLFKQTGTPDKQFMTLTMDKFLNDMEREKPIRFTDQQLRIATDNYSYHLGSGGFGSVYKGSFSNGTLIAVKVLLGSSDKRVDEQFMAEVGTIGKVHHFNLVRLYGFCFERHLRALVYEYMVNGPLEKYLFHESTTLSFEKLHEIAIGTARGIAYLHEECQQRIIHYDIKPGNILLDRNFCPKVADFGLAKLCNRDHTHITMTGGRGTPGYAAPELWLPFPVTHKCDVYSFGMLLFEIIGRRKNHNINLPESQVWFPMLVWEKFAAEEVEELISSCGVEDKDREIAERIVKVALSCVQYRPEARPIMSVVVKMLEGSVEVLKPQNPFQYLMGWVPPSPHTDTNVSSDSSALVSKSVPVLPTHGMTHEIELASA >Vigun07g070900.4.v1.2 pep primary_assembly:ASM411807v1:7:8802633:8805337:-1 gene:Vigun07g070900.v1.2 transcript:Vigun07g070900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVSVFFLLVKSFYVQHLFPLQLTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTSKELVKKLEDYVPCHESVASKLSWQMEQQLTYPEEYDISR >Vigun07g070900.5.v1.2 pep primary_assembly:ASM411807v1:7:8802633:8805936:-1 gene:Vigun07g070900.v1.2 transcript:Vigun07g070900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTSKELVKKLEDYVPCHESVASKLSWQMEQQLTYPEEYDISR >Vigun07g070900.1.v1.2 pep primary_assembly:ASM411807v1:7:8801283:8806893:-1 gene:Vigun07g070900.v1.2 transcript:Vigun07g070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHAEIRVSKFKRVCVFCGSSPGKKRSYQDAAIELGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTSKELVKKLEDYVPCHESVASKLSWQMEQQLTYPEEYDISSAGFGKHPHLWTTKLCVKHLSSTIFMLGNMVCILWFLYIFLKLKGLVAVE >Vigun07g070900.2.v1.2 pep primary_assembly:ASM411807v1:7:8802633:8807016:-1 gene:Vigun07g070900.v1.2 transcript:Vigun07g070900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHAEIRVSKFKRVCVFCGSSPGKKRSYQDAAIELGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTSKELVKKLEDYVPCHESVASKLSWQMEQQLTYPEEYDISR >Vigun10g087600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25215025:25220641:-1 gene:Vigun10g087600.v1.2 transcript:Vigun10g087600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFIDVLFDRLASPELVNFIRGKKPDKLLQKMKSQLLVVKVVLADAEKKQITNSNVKEWLDLLSDVVYQADDLLDEVSTKAATQKGVSHSFSHLFQRNKLVNVSKLEGIVERLDDILKQKESLDLKEIAVENNQQWNAQTTSLEGRYGMYGRDKDKDAIMKLVLEDSSDGEEVSVIPIVGMGGVGKTTLIRSVYNDGKLNGTFKLKAWICVSDIFDIVKVTKTMLEEITQKPCKLNDLNLIQLDLLEKLKGKKFLIVLDDVWIEDCDSWSSLTKPFLSGIRGSKVLVTTRNESVAAVVPLHTVEVYHLNKLSDEDCWLVFASHAFPLSEGSHNRESLEKIGKEIVKKCNGLPLAAQSLGGMLKRKHAIRDWNNVLESDIWELPESQCKIIPALRISYNYLPPQLKRCFVYCSLFPKDYEFRKDKLILLWMAEDLVKPSRKRKTLEEVGQEYFDDLVSRSFFQCSGSWNWGNHFVMHDLMHDLATFLGGDFYFRADEHGKETKIDRKTRHLSFTRLSDPVSDTEVLDRVKFSRTFLPTYFHYSPFKNRKTPCIIVSMLKYLRVLSFSDLECQLVLPDSIGELIHLRYLDLTSTGIEKLPESLCNLYNLQTLRLSNCSRLTKLPSAMQNLVNLRHLEIFESSIEEMPKGMGKLNQLQRLDLYIVGKHKENSIKELGGFPNLHGRFSIEKLENVTNGEEALEAGILNKKYISTLDLRWSLSNDSSIDFQIELDVLGKLQPHPDLKSLRIKGYNGTRFPKWMDNFSYRYMKSLFLQNCNNCCMLPSLGQLPSLKHILISEMNSVKTIDAGFYKKEDCSSMAPFPSLESLYIFNMPCWEMWSSDSKAFPVLEELYIKNCPKLKGDLPNHLPTLQTLKIKSCQLLASSFPRTPALRTLRICESNKVRLHAFPQSVESIKVIGSPMVESMMEAITEIQPTCLNDLSLNDCSSAISFPGDRLPSSLKILYISGLNKLNLPVLHKHELLESLSINNSCDSLTSFPPAIFPNLTGLKIQNCENLESLLVLGSESMKSLNYFVIGDCPNFVSFPGEGFSAPNLTRLSVYACAKLKSLPHQMGTLLPKMEYLGISNCQQIECFPGGGMPPNLTTVRIENCEKLVMSLGGISIDMVTSLDVYGPCDGINAFPKEGLLPPSLTSLFLYDLSSLDTLLCKGLLHLTSLKTLVIRNCKKLENIAGERLPVSLVKLIIKDCPLLQKRCHVKDRQIWPKICHVRGIEVDGRWI >VigunL055500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000397.1:14105:14454:1 gene:VigunL055500.v1.2 transcript:VigunL055500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMSNKLAIIMVFTILVVLAMEPHHVECKFITPMNPCKPFRCFTLCHEVKQEYYNGFYCMENSEGSFCVCN >Vigun01g176200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35744264:35745751:-1 gene:Vigun01g176200.v1.2 transcript:Vigun01g176200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEVNFSMQSHTEAKQGVHTYVAQWPISSLAWSMRRDKSPRLAIGSYLEDYTNKVELVHFNCDTFNFSTDPRLVFDHPYAPTNIMFFPSEDDTNPDLIATSGDNLRLWEIHEDHIQLKSPLIGNKVSDHSAITSFDWAVFDTRLIATSSVDTTCTIWDIEREVMKAQLVAHDKEVYDISWGGFNVFASVSGDGSVRVFDLRDKEKSTIIYENPVQDCPLLRLEWNKSDPRFMATVGLDSNKVVILDIRLPTTPFMELSKHRTCVNAMSWSPDFGRHLCSVGDDARAFIWDVMDSRFPSRNGCEVEPVMWYGSPAEINQVRWSPMQVDWIALAFFNNLQLLKV >Vigun01g095600.1.v1.2 pep primary_assembly:ASM411807v1:1:25980720:25985290:-1 gene:Vigun01g095600.v1.2 transcript:Vigun01g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNIIQYISQLRSYFLFPTPYPFSSSLTLLKLDQNCCYKNMKMKSWSRLMTLLFVISVELCFNTRNSVSASKQCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESFFHHPAGRYCDGRLIVDFIAKKLGLPYLNAFLDSVGSNYSHGANFATAGSTIRPQNTTLHQTGGFSPFSLDVQFNQFSDFQRRTQFFRNKGGVYKTLLPKAEYFSQALYTFDIGQNDLASGYFHNMSTDQVKAYVPDVLAQFKNVIKYVYNHGGRSFWVHNTGPVGCLPYIMDLHPVKRSLVDEAGCATPYNDVAKFFNRELKKVVVQLRKELPLAAITYVDVYAAKYSLISHPKKHGFEEPLRACCGHGGKYNYNLHIGCGAKIKHGGKEILVGKPCKDPSVWVNWDGVHYTEAANKRVLEQIVDGAFSDPPIPLNMACHRNL >Vigun07g097350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:16716347:16716664:-1 gene:Vigun07g097350.v1.2 transcript:Vigun07g097350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFQQRNIESQHKFDEEANCFIPLLKFLYVLQDEILYKFVSASKLILRASHLIIKYSYTVTSLRTMFYLSGCLVLIVANNYIVMEKYFNYILEIIVVEKIYTNV >Vigun05g033100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2665605:2667065:-1 gene:Vigun05g033100.v1.2 transcript:Vigun05g033100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNLKIHEQCNVAPPSAPQTSLPLVFFDLFWLRFHPVERIFFYSLPVTHSNPSIFFTQVVPNLKTSLSHTLQHFSPLAGNVLWPNGSSKPVVQYTPGDAVSVVLAESEADFDHALDNTPKEASESRCLVPHLESSVSHASVMALQITLFPNRGFAIGISTHHAVLDGKSSTLFIKAWASLCKTNDDDSESSPLSTPSLAPELEPFFDRTVIKSPSELGFNLSIDLAEVLTKLFPSENSDGRCLKLLPFPPRLEDHVRGTFVLTGADLERLKNRVLSKWDSVDIVEAESNSNSSVSSKPTKLSTFVVTCAYTFVCIAKAWHGVEKEKNKFACGFTVDCRARLEPPIPENYFGNCVWGHLVESKPSAFIEEEGFVIIAKSIHSKIKQMIDEGVFHGVGDAAPRYAALAKEGVEILGIAGSNRFGVYGYDFGWGKPSKVEIASVDRALTMGLAEKRDEKGGVEVGVVLKRPVMELFGSLFRRGLSDE >Vigun04g101800.1.v1.2 pep primary_assembly:ASM411807v1:4:23504312:23505262:1 gene:Vigun04g101800.v1.2 transcript:Vigun04g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNAFSCIKEGITRSISISGYENPREATGRIVCANCHLANKPVDIEVPQAVLLDTVFEAVVRIPYDMQVKQVLANGKKGTLNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQNYRPTKKNILVVGPVPGQKYNEITFPILSPDPASKRDIHFLKYPIYVGGNRGRGQIYLDGSKSNNATAAGIVKKIIRKEKAGYEITIVDALDEREVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLFEMNF >Vigun04g129600.1.v1.2 pep primary_assembly:ASM411807v1:4:32479712:32488297:-1 gene:Vigun04g129600.v1.2 transcript:Vigun04g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLIAGSHNRNEFVLINADETARVTAVTELSGQICQICGDELEVTVNGEPFVACNECAFPVCRPCYEYERREGNQVCPQCKTRYKRIKGSARVLGDEEEDDTDDLESEFDIGGNLRRDHSQMSEAMFSTRLNYGSVNGSVHTPSEFDAASVASEIPLLTYGQEDVGISADKHALILPPFMARGKRIYPMPFPDSSVPVQPRPMDPKKDIAVYGYGSVAWKERMEDWKKKQGEKLQVVRHEGGKDSDELDDPDLPKMDEGRQPLWRKLPISSSRINPYRIIIVLRIAILGLFFHYRILHPVNDAYALWLTSVICEIWFAVSWIFDQFPKWSPIMRETYLDRLSLRYEKEGKPSQLADIDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAAFIRERRAIKREYEEFKVRINALVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGVRDIDGNELPRLVYVSREKRPGYEHHKKAGAMNALVRVSAIITNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRNDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQAFYGYDAPATKKPPRKTCNCWPKWCCCLCCGSRKKKIKSKSSMKKMTKNKDDTKQMPALENIEEGIEGIDNEKSLLMSQQKFEKKYGQSSVFIASTLMEDGGIPKAASSATLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKSLERFSYINSVVYPLTSIPLIAYCALPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFAELYIFKWTSLLIPPLTLLIINIIGVIVGVSDAINNGYDSWGPLFGRLFFALWVIVHLYPFLKGVMGKQEGVPTIILVWAILLASILTLLWVRINPFLAKNDIVLEICGLKCD >Vigun09g219800.2.v1.2 pep primary_assembly:ASM411807v1:9:39353319:39356507:1 gene:Vigun09g219800.v1.2 transcript:Vigun09g219800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSRTREEPTKFINMPSSFNFACVYNKYIRRCFTGAGLWSQALVLDDQTTMHFWGPRHKTAQKPSLVLIHGFGPVATWQWRRQVKSLAPHFNLYVPDLVFFGGSTTISSERSELFQAKSVGALLDKLEVETLNVVGTSYGGLVAYNLAKMLGEGRVKKVVIASSGVNMTASSNLEMLRSSQLSNIDDLMFPSSPHHMRQLMSLSIYKPPHVPDFILNVFIHELYGENKERKLEILRGISVGREEISNVSPLKQCGWIDVGDIMFSGSSYSVGGRRPDFSGAIGA >Vigun09g219800.1.v1.2 pep primary_assembly:ASM411807v1:9:39353322:39356507:1 gene:Vigun09g219800.v1.2 transcript:Vigun09g219800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSRTREEPTKFINMPSSFNFACVYNKYIRRCFTGAGLWSQALVLDDQTTMHFWGPRHKTAQKPSLVLIHGFGPVATWQWRRQVKSLAPHFNLYVPDLVFFGGSTTISSERSELFQAKSVGALLDKLEVETLNVVGTSYGGLVAYNLAKMLGEGRVKKVVIASSGVNMTASSNLEMLRSSQLSNIDDLMFPSSPHHMRQLMSLSIYKPPHVPDFILNVFIHELYGENKERKLEILRGISVGREEISNVSPLKQEVLIVWGEEDRIFPVRLAHELKEVIGEDVKLELIKEAAHVPQIEKPVEFNRIIIKFLQGTP >Vigun05g105100.1.v1.2 pep primary_assembly:ASM411807v1:5:10564737:10567674:-1 gene:Vigun05g105100.v1.2 transcript:Vigun05g105100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWVRMLFFIACILPPLVECKVRHYKFNVVLKNTTRLCSSKSIVTVNGKFPGPTLYAREDDTVLVNVRNLVNHNVTIHWHGVRQIRTGWADGPAYITQCPILPGQSYVYNFTLTGQRGTLLWHAHVNWLRSTLHGAIVILPKRGVPYPFPKPDKELVVILGEWWKSDTEDVINEALKSGLAPNVSDAHTINGLPGTVSVAICSTQDVYKLPVESGKTYLLRIINAALNEELFFKIAGHLFTVVEVDASYVKPFKTDTLLVAPGQTTNALLTTDQNSGKYTIVASTFMDSPVVAVDNFTATATLHYTGTLATTPTILTTPPPRNATQVANNFTKALKSLNSKKYPANVPSTVDHSLLFTVGLGINPCPSCKAGNGSRVVAAVNNVTFVMPTTALLQAHYFNTPGVFTTDFPGNPPQVYNYTATPAAASSQTTNGTKVYRLPFNSTVQVVLQDTGVIAPESHPIHLHGFNFFVVGSGVGNYDPRTDASSFNLVDPVERNTIGVPTGGWVAFRFRADNPGVWFLHCHFEVHTTWGLKMAFLVDNGKGPNESLIPPPNDLPKC >Vigun03g092400.2.v1.2 pep primary_assembly:ASM411807v1:3:7809773:7812110:1 gene:Vigun03g092400.v1.2 transcript:Vigun03g092400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDASQTAKIYRHLLKAVKKHIGNEENKSHFLRFVTSEFRNNKNLSDDVAVQQKIKLARDYTFLLNSVHHQKDLLFSYNIAVDRSDEVKRTLGKSASSVGLQLPEVYQP >Vigun03g092400.1.v1.2 pep primary_assembly:ASM411807v1:3:7809603:7812484:1 gene:Vigun03g092400.v1.2 transcript:Vigun03g092400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDASQTAKIYRHLLKAVKKHIGNEENKSHFLRFVTSEFRNNKNLSDDVAVQQKIKLARDYTFLLNSVHHQKDLLFSYNIAVDRSDEVKRTLGKSASSVGLQLPEVYQP >Vigun01g015500.1.v1.2 pep primary_assembly:ASM411807v1:1:1676849:1681796:1 gene:Vigun01g015500.v1.2 transcript:Vigun01g015500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVSFVVEQLYQLLREEGNLLKGLGNDFADIKHELESIKAFLKDADRRAGDEGDTINEGIKTWVKQLREISFCIEDVIDEYIMDVAYRGNHHPPCIASLQKIAHQIKTLKSRHRIASNIQDIKLAVQGIKERSERYKFQSAFEDGSLSSSRGAKDFKWDDPRMASHFIEETEVVGFELPRDELIGSSIKGNDQLSLISVVGMGGLGKTTIAKHVFNNQQVKTHFYCRSFVTVSQSYTVRELLTEMIQKFCKDANEPTPKGLHNMDDETLVTELRQYLQSKRYLVVFDDVWKENFSDEIEHALPNNNKGSRIIITTRNMQVAEYFKKSVVVHVHRLQHLSPDKAWELFCKKAFRFEPSEQCPTELEEMSKEIVQKCGGLPLAIVCIGGLLATKEKNILEWRKVCQRMELERNTHLNSLKWILSLSYDDLPHNLKSCMLYFGVYPEDYSISRKRLTRQWMAEGFIKNEERRPTEDVAEEYLTQLISRSLVQVSRVGFDGKVKNCQVHDLLRDIIIRKMNELSFCHIMREDDELDTVGITRRFSIASCSNNVLRKTSNSGIRAIYVFKKSELPEDFAGSLSAKFKLLKVLDFESTMLSSVPNNLGNLFHLRYLNLSHTKIKSIPRSVGNLLNLETLDLRQTNVQVLPREIKNLTKLRLLPVYYRKYEGHYSMLNFTTGVKMQKGIGCLKSLQKLYFLEADHGGLELMQELKMLKQLRKLGIRLVQTEHANALSSAIGEMRHLESLNVGAKDQDEIIDLNFVSTPTSLLVLNLKARVTKFPDWIPRLKYLVKLRLGLSNLEGDPLDSLKDLPSLLRLNMWDNAYVGESLHFKRGGFPRLKELDLTRLSRLNSISIDEGALLGLEHFRFKDNPQMKVVPHGLKHLKNLQFLGFADMPAELVESIDPAKDGQDYSVIKHIPLVLIRQNVGPKFHDYELRPIPTLAAV >VigunL059018.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000190.1:33368:36407:1 gene:VigunL059018.v1.2 transcript:VigunL059018.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLLAGAAPVQQKEILGEHLYMLVHELKPSIAAKITGMLWRWKIENCWFSSNRRRLFQQRWKKLWKCLRTLRPKYMDRTYFVQITFQLKLQFAKK >Vigun07g261000.2.v1.2 pep primary_assembly:ASM411807v1:7:37728796:37732311:1 gene:Vigun07g261000.v1.2 transcript:Vigun07g261000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVYLSPNHSISQSCYKPREGTLIGRSRGIQIRGRSVVSACLNADVAAPNTGKTKLEKIKEVIEMEGKYLVGTYVRSPVVVERGEGCKLYDVEGKEYLDLSGGIAVNALGHGDVDWLKAVVEQAATLTHTSNIFYTIPQVEYAKRLVDSSFADRVFFSNSGTESNEAAIKFARKHQRQITTNGKEPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPIMPGVTFLEYGNAQAATELIKQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGALLVFDEVQCGLGRTGFLWAHEAYGVFPDMMTLAKPLAGGLPIGAVLVTERIASSINYGDHGSTFAGSPLVCSAALAVFDKISKPDFLSSVSKKGLYFKELLKQKLGGNRHVKEIRGVGLIIGIDFDVPATPFVAACQNSGLLVLTAGKGNVVRIVPPLIITEKEIEQAVDILFQTIEVLDK >Vigun07g261000.1.v1.2 pep primary_assembly:ASM411807v1:7:37728583:37732311:1 gene:Vigun07g261000.v1.2 transcript:Vigun07g261000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVYLSPNHSISQSCYKPREGTLIGRSRGIQIRGRSVVSACLNADVAAPNTGKTKLEKIKEVIEMEGKYLVGTYVRSPVVVERGEGCKLYDVEGKEYLDLSGGIAVNALGHGDVDWLKAVVEQAATLTHTSNIFYTIPQVEYAKRLVDSSFADRVFFSNSGTESNEAAIKFARKHQRQITTNGKEPATEFIAFSNCFHGRTLGALALTSKVQYRTPFEPIMPGVTFLEYGNAQAATELIKQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGALLVFDEVQCGLGRTGFLWAHEAYGVFPDMMTLAKPLAGGLPIGAVLVTERIASSINYGDHGSTFAGSPLVCSAALAVFDKISKPDFLSSVSKKGLYFKELLKQKLGGNRHVKEIRGVGLIIGIDFDVPATPFVAACQNSGLLVLTAGKGNVVRIVPPLIITEKEIEQAVDILFQTIEVLDK >Vigun05g230700.1.v1.2 pep primary_assembly:ASM411807v1:5:42422787:42425190:-1 gene:Vigun05g230700.v1.2 transcript:Vigun05g230700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDSTRYYEILGVAKNASQEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDTYGEDALKEGMGGGGGGHDPFDIFSSFFGGNPFAGGGSGRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCNGKGSKSGASMTCAGCQGTGMKLSIRHLGPSMIQQMQHPCNECKGTGETISDRDRCTQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKAEDLFVEHTLSLTEALCGFQFVLAHLDGRQLLIKSNPGEVVKPDSYKAINDEGMPMYQRPFMKGRLYIHFTVEFPDSLSLDQVKAIEAVLPAKTSSQKLTDMELDECEETTLHDVNMEEESRRRQQSSQEAYEEDEDIHGGAQRVQCAQQ >Vigun08g005700.2.v1.2 pep primary_assembly:ASM411807v1:8:485648:490649:1 gene:Vigun08g005700.v1.2 transcript:Vigun08g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKRYESNTEVEKKKKKKQKKRSQPQTTGLLVVDEDPMWQKPVELAEENNDTHLDEEKPLVDEDIDVKRMKRLEQLRARRPYNAISEDGSGWVSLSSKPENSLDSNNDVSPPRKRKGVDLSPGQWSKRNDTPSPDYRTSILQDISPPRRGHDSPPQDALHGSVASDLSPPRKILKNAARTGLPDISRGRSTEDFSPPRRGHRGFESSDLQDISPPRRSRHDSPSQDVLRGSVALDLSPPRKIKKNVARPGFSDSQRHSPQDLSPPRRSRHDSPSQDALHGSEVSDLSPPRKIQKNVGRQGSSSISVKVGSHSSLDLELAPPRRNTQESSNPASKTGLISGKDISDEIEKKKRDDMLRFNQMEPSISGRGAEPVYRDKIRGVRISKEEYLKSKQKVEEKPKEIEIEWGKGLAQKREAEARLKELELEKEKPFARTRDDPELDKMFKERLRWGDPMAHLVKKKYPEPVLPNLGENEKMKESGFVVPQDIPNHNWLKRGLDAAPNRYGIRPGRHWDGVDRSNGFEKDMFKRTNERQARDREAYLWSVSDM >Vigun11g092000.1.v1.2 pep primary_assembly:ASM411807v1:11:27399104:27400028:-1 gene:Vigun11g092000.v1.2 transcript:Vigun11g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSEGCEGSEGCEVGDCGDISQLCAFDHCLFVKRKSVAMKSKFPRLLRWIDYKVGDVSIRSSFKNNVVL >Vigun06g180500.1.v1.2 pep primary_assembly:ASM411807v1:6:30032811:30037715:-1 gene:Vigun06g180500.v1.2 transcript:Vigun06g180500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSFLTSLGTSFLIFLVLMIVFAFLSSKPGNNVVYFPNRILKGLEPSEGGPKSRNPFSWIKEAMSSSERDIVTMSGVDTAVYFVFLTTVLSILVLSGVILLPVLLPLSATDNAVKTQGAKSQTTSKGTFNELDKLSMANITAKSSRLWGFLIACYWVSIVTFVLLWRAYKHVSWLRAEALKSPDVRPQQFAIVVRDIPNATQGQTKKEQVDAYFKAIYPEAFYRSMIVTDNKVVNKTWESLEGFKKKLARAEAVYERSKTTAKPEGTRPTNKTGFLGLVGKKVDSIDYYNDKINELVTKLESDQKVTLREKQQDAALVFFSNRVVAASAAQSLHAQMVDTWSVFDAPEPNQLIWPNLKIKYFQRELRQYLVYVIVALTIFFYMIPITFISAFTTLDNLVKYLPFLKPVVRIKALRTVLEAYLPQLALIIFLALLPKLLLFLSKFEGIPTESHAVRAASGKYYYFIVLNVFIGVTIGGTLFKAFHRIQENPNINEIASLLAESLPGNATFFLTYVALKFFVGYGLELSRIVPLIIYHLKRKYLCKTEAELKEAWQPGDLGYATRVPGDMLIVTIVFCYSVIAPVIIPFGVLYFGLGWLVLRNQALKVYVPSYESYGRMWPHIHKRVLASLILYQITMFGYFGAQKFYYTPLVLPLPFLSLIFGFICGKKFYPAFEHPALEVAANPLKEPPNMELIFRSFIPPSLSSEKIEDERFEDALSSVSRTTPV >Vigun01g011700.1.v1.2 pep primary_assembly:ASM411807v1:1:1300977:1310597:1 gene:Vigun01g011700.v1.2 transcript:Vigun01g011700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINMPPESLDQSPSASAPPPPPPPATSAAEDDPPPPRRRDRRDDRELDRHPNRSRDYYDRDRDFKRRRSPSPGYRDRRYSPQPPSRRSPPPYKRSRRGSPRGYGPEDRFGYEYFGGYERGVGGRTGYADEKSYGRLAHRSGAGYHNGMSDVGGYADLSSGGAQREGLMSYKQFIQELEDDVLPAEAERRYQEYKSEYISTQKRAYFNAHKDEEWLKDKYHPTNLLTVIERRNENARRLAKDFLLDLQSGTLDLNPGLNSSSSNKSGHVSEPNSEEETDGGIKRRRHGRGPNKDNDFSAAPKAHPISSEPRRIQADILKAQAVVRKLDMEKGIEDNILCTSDHNRNDDKSHSGSVGPIIIIRGLTSVKGLEGVELLDTLITYLWRIHGIDYYGMVEINEAKGFRHVRPEGTGHEETSKSGSEWENKLDSFWQDRLNGQDPLELMTAKEKIDAAAADVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHPELVMELTSKLREDLYFQNYMNDPDAPGGTPVMQQPQKDRSIKRRLGGLEGRLKDDRGNRRDQDRNDKMNGDNSSPSNERQMGNHDEAMYEYGGPGVPPFTSDMPPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPSYDGSGRKMRSGPHMGGPAGPIIAVPPSFRPDPRRMRSYQDLDAPEDEVTVIDYRSL >Vigun01g011700.2.v1.2 pep primary_assembly:ASM411807v1:1:1302915:1310615:1 gene:Vigun01g011700.v1.2 transcript:Vigun01g011700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGYADLSSGGAQREGLMSYKQFIQELEDDVLPAEAERRYQEYKSEYISTQKRAYFNAHKDEEWLKDKYHPTNLLTVIERRNENARRLAKDFLLDLQSGTLDLNPGLNSSSSNKSGHVSEPNSEEETDGGIKRRRHGRGPNKDNDFSAAPKAHPISSEPRRIQADILKAQAVVRKLDMEKGIEDNILCTSDHNRNDDKSHSGSVGPIIIIRGLTSVKGLEGVELLDTLITYLWRIHGIDYYGMVEINEAKGFRHVRPEGTGHEETSKSGSEWENKLDSFWQDRLNGQDPLELMTAKEKIDAAAADVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHPELVMELTSKLREDLYFQNYMNDPDAPGGTPVMQQPQKDRSIKRRLGGLEGRLKDDRGNRRDQDRNDKMNGDNSSPSNERQMGNHDEAMYEYGGPGVPPFTSDMPPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPSYDGSGRKMRSGPHMGGPAGPIIAVPPSFRPDPRRMRSYQDLDAPEDEVTVIDYRSL >Vigun05g050100.1.v1.2 pep primary_assembly:ASM411807v1:5:4302479:4307277:-1 gene:Vigun05g050100.v1.2 transcript:Vigun05g050100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAKGQSSGWTAFDLKQRKNNNFESEVDKDNFPAIGTSDSTVKKNHVPAKPFSSVLLPTKNFPPLKECLNNKKVISGSDSDEKYCGAPAQEDVNLAIKKLREQNLWAEHSLIDDILAAVNNNVDKASALLETMASAVNFEDYKVSSAVIATTDDTPYKNKTNESLTLEKIKDGIPFDKHQDNDKGLENRNVSSIQNLLNSVPVEPEWEDDDIYISNRKDALRTMRSASRHSRAASFAFLRGDHLSAQHHSMKAQVEWHTAEELNSDAARKILSIRNNENDIWRLDLHGLHATEAIQALQEHLYRIESQGFSKSSANGVKQNGLGQSALINSAKLDIQAPLRLRPLALHVITGVGNHSRGQASLPTAVRSFLNENRYRFEEMRPGVITVWPKFRQN >Vigun03g327600.3.v1.2 pep primary_assembly:ASM411807v1:3:52348805:52353895:-1 gene:Vigun03g327600.v1.2 transcript:Vigun03g327600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQSLGHLLEPILEPLVRKVVKEEVEAALKRHLTSMKQTCGKELHTTELRNLQLQFENSISLPVFTGGRIEGEDGSNLRISLVDGLTGEVVCTGPESMAKVEIVVLEGDFEEESDIWMPEEFKSNIVREREGKKPLLTGDVILYLKDGVGMVGEISYTDNSSWTRSRRFRLGARVLDNFDGVRIREAKTESFIVRDHRGELYKKHHPPSMSDEVWRLEKIGKDGAFHKRLSREKILTVREFLTLLNLDPAKLRSILGTGMSAKMWEVTVEHARTCVLDTTRHVYFPSNSQQHGVVFNAVGQVTGLLSECEYVTVDKLSETEKVEAQNTVATALRQGEKYATFEDEDSLMEGSSHLTNVLHSHSPSSPKTEGSSANKLLGPQKTGGFNYPQTNASSPDIMSSIYSVGGTSSLDDYCLPNFDNMGLRYDQTLSFPVQVSNSLICDTDSMAHAFSEEDHLQFFDTDLQSHVEADLQSAVDSFMLARSTANGGAQRRWRKVCNVLKWFMVRKRGNQIQVRL >Vigun03g327600.2.v1.2 pep primary_assembly:ASM411807v1:3:52348805:52353815:-1 gene:Vigun03g327600.v1.2 transcript:Vigun03g327600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRRPDDGKTPDDKRRKPPPFSSVVRDVMRLQSLGHLLEPILEPLVRKVVKEEVEAALKRHLTSMKQTCGKELHTTELRNLQLQFENSISLPVFTGGPESMAKVEIVVLEGDFEEESDIWMPEEFKSNIVREREGKKPLLTGDVILYLKDGVGMVGEISYTDNSSWTRSRRFRLGARVLDNFDGVRIREAKTESFIVRDHRGELYKKHHPPSMSDEVWRLEKIGKDGAFHKRLSREKILTVREFLTLLNLDPAKLRSILGTGMSAKMWEVTVEHARTCVLDTTRHVYFPSNSQQHGVVFNAVGQVTGLLSECEYVTVDKLSETEKVEAQNTVATALRQGEKYATFEDEDSLMEGSSHLTNVLHSHSPSSPKTEGSSANKLLGPQKTGGFNYPQTNASSPDIMSSIYSVGGTSSLDDYCLPNFDNMGLRYDQTLSFPVQVSNSLICDTDSMAHAFSEEDHLQFFDTDLQSHVEADLQSAVDSFMLARSTANGGAQRRWRKVCNVLKWFMVRKRGNQIQVRL >Vigun03g327600.1.v1.2 pep primary_assembly:ASM411807v1:3:52348788:52353895:-1 gene:Vigun03g327600.v1.2 transcript:Vigun03g327600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRRPDDGKTPDDKRRKPPPFSSVVRDVMRLQSLGHLLEPILEPLVRKVVKEEVEAALKRHLTSMKQTCGKELHTTELRNLQLQFENSISLPVFTGGRIEGEDGSNLRISLVDGLTGEVVCTGPESMAKVEIVVLEGDFEEESDIWMPEEFKSNIVREREGKKPLLTGDVILYLKDGVGMVGEISYTDNSSWTRSRRFRLGARVLDNFDGVRIREAKTESFIVRDHRGELYKKHHPPSMSDEVWRLEKIGKDGAFHKRLSREKILTVREFLTLLNLDPAKLRSILGTGMSAKMWEVTVEHARTCVLDTTRHVYFPSNSQQHGVVFNAVGQVTGLLSECEYVTVDKLSETEKVEAQNTVATALRQGEKYATFEDEDSLMEGSSHLTNVLHSHSPSSPKTEGSSANKLLGPQKTGGFNYPQTNASSPDIMSSIYSVGGTSSLDDYCLPNFDNMGLRYDQTLSFPVQVSNSLICDTDSMAHAFSEEDHLQFFDTDLQSHVEADLQSAVDSFMLARSTANGGAQRRWRKVCNVLKWFMVRKRGNQIQVRL >Vigun08g136000.2.v1.2 pep primary_assembly:ASM411807v1:8:30765669:30769508:-1 gene:Vigun08g136000.v1.2 transcript:Vigun08g136000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEESDLTRIDLTNIPGGQEAFEKVAKFCYGVNFEITVHNVAPLHCAAVFLEMTDEYCNGNLAGRTEDFLSQVGVSTLHSAVAVLKSCRQLLPFAAEVNLVERCVDVISSKACSEANFPSQSPPNWWTEELAVLEVDSFAKVISAMKQRGAKYLTIAGALITYTERTLRELVRDHSGGGRGIMSSNSGDSDSESRRSEQREILQSIVPLFPKEKAAFPINFLCCLLRCAIYLRASSSCKRELEKRVTEILEHVTVDDLLVLTFSYDGERLLDLDSVRRIVSGFVEKEKSTTVFNAGVNFNEHFSAAMQRVAKTVDAYLAEIAAYGELSISKFNGISILIPGGARKSDDDLYRAVDVYLKVHPDLDEIEKEKVCSVMDPLKLSYEARVHASKNKRLPLQIVLHALYYDQLHLRSGMAEDKEAVAVAAAVEKKQLQADVSLVRENEELRSELMKMKMYITDLQKNVQGTSSSGKETTGPVKRPTFFSSMSKKLSKLNPFKNGSKDTSHIDDAPVDLTKPRRRRFSIS >Vigun08g136000.1.v1.2 pep primary_assembly:ASM411807v1:8:30765669:30769508:-1 gene:Vigun08g136000.v1.2 transcript:Vigun08g136000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSPTRLSLAMERTGQWIFSPEIPTDVIVTVGEADFSLHKFILAAKSNYIRKVIMESEESDLTRIDLTNIPGGQEAFEKVAKFCYGVNFEITVHNVAPLHCAAVFLEMTDEYCNGNLAGRTEDFLSQVGVSTLHSAVAVLKSCRQLLPFAAEVNLVERCVDVISSKACSEANFPSQSPPNWWTEELAVLEVDSFAKVISAMKQRGAKYLTIAGALITYTERTLRELVRDHSGGGRGIMSSNSGDSDSESRRSEQREILQSIVPLFPKEKAAFPINFLCCLLRCAIYLRASSSCKRELEKRVTEILEHVTVDDLLVLTFSYDGERLLDLDSVRRIVSGFVEKEKSTTVFNAGVNFNEHFSAAMQRVAKTVDAYLAEIAAYGELSISKFNGISILIPGGARKSDDDLYRAVDVYLKVHPDLDEIEKEKVCSVMDPLKLSYEARVHASKNKRLPLQIVLHALYYDQLHLRSGMAEDKEAVAVAAAVEKKQLQADVSLVRENEELRSELMKMKMYITDLQKNVQGTSSSGKETTGPVKRPTFFSSMSKKLSKLNPFKNGSKDTSHIDDAPVDLTKPRRRRFSIS >Vigun07g025000.2.v1.2 pep primary_assembly:ASM411807v1:7:2251724:2256935:-1 gene:Vigun07g025000.v1.2 transcript:Vigun07g025000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTLSSVNGVVVQRSLEYLKEKKDSEPYVPAVGRLHIRIQKWTLPAGYLEMGESAREGAIRETREEANAEVEVISPFAQLDIPLIGQTYIIFLARLKNPHFSPGPESSECQLFPLNEIPFNSLSFSSMVVTLNLYVEDKKAGGKPKFHYGTINKRPGTSASDIHAYTLDHHMQS >Vigun07g025000.1.v1.2 pep primary_assembly:ASM411807v1:7:2251724:2256935:-1 gene:Vigun07g025000.v1.2 transcript:Vigun07g025000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDHSPSSSLHSTGDVQNVKFCQWCGGPTKPGIPEGEERFRAICTSCGKIAYQNPKMVVGCLIEHDNKVLLCKRKIEPSYGLWTLPAGYLEMGESAREGAIRETREEANAEVEVISPFAQLDIPLIGQTYIIFLARLKNPHFSPGPESSECQLFPLNEIPFNSLSFSSMVVTLNLYVEDKKAGGKPKFHYGTINKRPGTSASDIHAYTLDHHMQS >Vigun09g141300.1.v1.2 pep primary_assembly:ASM411807v1:9:30322090:30333394:1 gene:Vigun09g141300.v1.2 transcript:Vigun09g141300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTKIKWVVLSVVTLSVASIIIHLSLAKLWSVNLVQYKALPILPEDFGFVLGRQVIKNKKLWGSIESLETLQPNANARSNYSVPKEHSNGFIYAKVFGGFAKIRSSIPDLVAISRLLNATLVIPEIQESTHSKGISLKFKSFSYLYNEEQFIAYLKNDVVIAKSLPESLMERRKRNEIPTFKPTSSSSLNFYLKEILPKLKKSKVIGLVIANGGALQSILPTGMAEIQRLRCRVAFHALQFRPEIQTLGRRMVHKLRALGQPFLAFHPGLLRETLAYNGCAELFQDVHTELIQHQRSRMIKEGILKDELNVDSRLRREKGLCPLMPEEVGILLRVMGYPSKTVIYLAGSETFGGQRVLIPLRSMFMNTLDRTSLCSEKELSDLVGPETPLPLNRFEPPPVKSEKELKEAWKKAGPRPRPLPPPPDRPIYQHEKEGWYAWITETPTEPDPSPMDLRMKAHRLLWDALDYIVSLEADAFFPGFNNDGSRWPDFSSLVMGHRLYETASFRTYRPDRKVVAKLFNMTRENLYHPKHNWTTLVQQHLNKSLTEEGLIRQSLWSKPAIFLSHPLPECSCRIDSTKTTNRVKGEDGQVLYGGEDVCPKWMKHANEAVSSEKEGVKSEDDGVADYESNDFVDESESDKNSTKSNQTPLWDQDEEMDPND >Vigun09g152400.1.v1.2 pep primary_assembly:ASM411807v1:9:31700349:31705953:1 gene:Vigun09g152400.v1.2 transcript:Vigun09g152400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIFLLLVTFKIIKKKLMQLKEIMGFQTAHSHGAKWIPQPIFKGKEVPIDVQENSLFQKTTLNFIEHSQSNSNYILSGYDSWMVRHPSALNSFERLMKNAIGKRIILFLDYDGTLSPIVNDPDRAFMSEEMRATVHEVATYFPTAIISGRSRDKVQDFVKLNNLYYAGSHGMDIMAPSMPITSSAGEHIEIAINKSCTEVPFQPAKKYLPAIREILRILKNEVEEIKGAMVEDNGFCVSVHFRQVQEKDYGFLEEKVKCVLENHPQFCLTEGKKVMEIRPSIKWNKGNAVEYFLDTLGLSSCNDILPVYIGDDRTDEDAFKVIQSREQGYPIIVSSVPRETRALYSLRDPSEVLMFLSSLAKWRKNAY >Vigun09g255700.1.v1.2 pep primary_assembly:ASM411807v1:9:42195545:42197753:-1 gene:Vigun09g255700.v1.2 transcript:Vigun09g255700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSACNCCRRNHASNSPSPPPTPTSSLPQILSSSSSSSTRSITLHQFLPLHPSLFKTEQILKTKTCTSHSANSEERFKRLIKSRDCAARSRAKQQAYQNQLETKLALLMEENSTLRTQVDELKIRLKRGAAPPKKRSLNRTSTSPF >Vigun01g211600.1.v1.2 pep primary_assembly:ASM411807v1:1:38589797:38592086:1 gene:Vigun01g211600.v1.2 transcript:Vigun01g211600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTELSRTKSSPVPLGTLIGREIRNGKVEKPFVKYGQAGLAKKGEDYFLIKTDCLRVPGDASTAFSVFAIFDGHNGISAAIFAKENLLNNALPRALVVGFVKTDIEFQQKGETSGTTATFVLVDGWTITVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAQSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDLPVLPPTPRKKHNMLTSLLFFGKKSENTVSKATNKLSAVGVVEELFEEGSAMLTERLGKDFPLNKNSGIFRCAVCQVDQPPGDGLSVNSGPFFSPASNPWEGPFLCTNCRKKKDAMEGKRPSRTTVIA >Vigun06g152500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27665555:27666529:-1 gene:Vigun06g152500.v1.2 transcript:Vigun06g152500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLFLRGTQVYKMALRWLLHSACHLVGYPTKEEECKENEGYPNPSNNLKEPCSGFEMPLHYPRYTREEYERMEEWKVELLLKQYGLSLEGSVEEKRDFAMGAFLWP >Vigun11g016066.1.v1.2 pep primary_assembly:ASM411807v1:11:2017967:2019508:-1 gene:Vigun11g016066.v1.2 transcript:Vigun11g016066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSCRTTYLSLLNKNLSEEQKLCIQRTPFWWFTLLNDSVKISRNVLGVLCKLWVERRGGFLLNSTIVPFKLLDVCLGLGLRIVGDVVDLDDVVIESVCRNIFSEKKVTVGVIYNYLLNHSECVAVDDFCRLYILIGISEFLLPNRNATVFPILFKIVDDLKSLCQYNWGRLWCFDHFVFVRRKGARIGNEFPRLLRRMNIKVGDGALKSSLEKNVIVADLCVSKEELLHAEVREAYELFGHDVSQSKRPTGGVDFRRRKVEVEVLIEKQEREIGELRQCLSLLEGVVHDRKTERTKDCNPVTPSTNVEKDRGKTFEDQFYSQGGLQSHEAWSPLHSTVKRSVGVKVGEVNMDTPHGSNEELSKNVSHVQEPNPPHSNMYDRMKHHGRVRIKSRALRTPYTGNAPKKHGSQKFLLL >Vigun03g436400.1.v1.2 pep primary_assembly:ASM411807v1:3:64032117:64037634:-1 gene:Vigun03g436400.v1.2 transcript:Vigun03g436400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRNIVLLLLSITVVAPIVLYTERLGTFNYPFAEQEFIEAVTAFAVNAADSGHLNLLPQEFSAALEEPSEVVHIEDTTNTKNLPRGFLFSKPGEHASARVLSATNEEGQTIGENPIKLVTDGIKRGNQSSNLEKYDTTGDSVNGEDAIDVDDNEGKLAKSFDASDQASETMDTKQEQQHTESSGQITKKGPSSLETDKHNDLKPADARLRQLKDQLIQAKVFLSLQVIRNIPHITRELRLRVKEVSRTLGDATKDSDLPRNANERMKAMEQTLLKGRQVQNDCASAVKKLRAMLHSTEEQLRVHKKQTMFLSQLIAKTLPKGLHCLPLRLTSEYYSLNTSQQQFPNQQKLEDPQLYHYAIFSDNILATAVVVNSTVSHAKDTSKHVFHIVTDRLNYAAMRMWFLVNPPRKATIQVQNIEDFTWLNSSYSPVLKQLGSPTMIDFYFKTQRANSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSIDLKGNVNGAVETCQQSFHRFDRYLNFSNPLIAKNFDPRACGWAYGMNIFDLVQWKKQNITEVYHNWQKLNEDRQLWKLGTLPPGLITFWKRTFPLHRSWHVLGLGYNPNTNQKEIERAAVIHYNGNMKPWLEITIPKFRGYWTKYVDHDLVYLRECNINA >Vigun03g195000.1.v1.2 pep primary_assembly:ASM411807v1:3:27357868:27361038:1 gene:Vigun03g195000.v1.2 transcript:Vigun03g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLTLDLEELHHLHSIAKRPRTLSLLSSEIRNLEKISSDANAARAPQIPTPISTGAKVSPSPALNYSTLASFSWDQDGDKVKIYVLMEGIDENKIESEFKSMSFDVKFHDVQGKNYRCAISKLHKEIVPEKCKVLVKPKRAIITLVKASKGNWLDLHFKEDKLKPNLDKEKDPMAGIMDMMKNMYEEGDDEMKKTIAKAWTDARSGKTADPLGSYR >VigunL056200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000408.1:21278:21979:1 gene:VigunL056200.v1.2 transcript:VigunL056200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLQMKFLQGFSADAKVKYEEASQVANDAVGSIRTIASFCAESKVMDMYRKKCLEPEKQGVRLGLVSGAGFGFSFLALYCTNAFCFYIGSVLVEHGKATFPEVFKVFFCLTITAIGISQSSALAQDTTKAKDSAASIFNILDSTPTIDSSSNEGRTLEAISGDIDFQHVSFSYPN >Vigun05g288800.1.v1.2 pep primary_assembly:ASM411807v1:5:47567154:47570806:-1 gene:Vigun05g288800.v1.2 transcript:Vigun05g288800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFEPHSITFDEIVYSVDMPQEMKDQGVQEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPSSVDSKTRKMFIDEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSSHLIKYFESIDGVSKIKDGYNPATWMLEVTTTAQELSLGVDFTDLYKNSDLYRRNKQLIQELSQPAPGSKDLHFPSRYSQSFLVQCQACLWKQRWSYWRNPPYTSVRFFFTTFIALMFGTMFWDLGGNHSTRGDLMNAIGSMYTAVLFLGVQNSSSVQPVVAVERSVFYREKAAGMYSALPYAFSQILVELPYIFAQAVTYGVIVYAMIGFEWTAEKFFWYLFFMYFTLLYFTFYGMMAVGVTPNHHVASIVAAAFYAVWNLFSGFVVARPSIPIWWRWYYWACPVAWTLYGLVGSQFGDLTEFMSEERMTVKDFIETSYGIEHDFIGVAAVVVAGIAVLFAFIFAVAIKTFNFQKR >Vigun03g378900.1.v1.2 pep primary_assembly:ASM411807v1:3:58293575:58294645:-1 gene:Vigun03g378900.v1.2 transcript:Vigun03g378900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLLLAFVLMQSYTANLTSILTLDRLQPSFLNVNDLRKGGYYVGYQTGSFVHDVLIKQFKFEPSKLRPYSSSSEYHDALKLGSKNGGVAAIFDEVPYLKLYLQEYGSNYIMSGPKYRNAGFGFALPLNSNLTAYFSRAILDVTESDLMNEIEEKYFGKNEIGEQNSSEEASSAPLSLSFHSFAGLFLITGISTLFALLISESVIWQKPILMAKALSRRYLSFVAPTTEIRVHPTNDSTHGIEVV >Vigun03g371000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57358223:57359557:-1 gene:Vigun03g371000.v1.2 transcript:Vigun03g371000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQKDRVKFNVGGRVMETTATTLANAGRNSMFGAMFDDNWNLILSSNREGERFLDRNPDCFEILLDLLRTGELYIPPNIPEKLLYREALYYGLLDHVRAAKWGPFDGNRLRLSRSVQGQAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMLDEHPPLNLDYQRVNDVGWVDSDNIVIGVSERLGRGDGGMGLFNSHNGELRYKFQVCHENQVKSYTAGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQIDFFYEPLGWSLGDADKLQWLEGNNCLLVATMFPRKDNCYISVLDFREKKMVWCWSDVGAPFAVDEKRVRDAIAMEDNSSICVVNEFEDLGFMDLRSSAATSIRWSSRSRLMKGKMPEEPCYPKLALHGGQLFSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPLV >Vigun07g051900.1.v1.2 pep primary_assembly:ASM411807v1:7:5431736:5436593:-1 gene:Vigun07g051900.v1.2 transcript:Vigun07g051900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDATKYGHSPVHKAIVSKDYAELRKILAGLPRLRNTAEIHTEAVSIAEEEKADAISALIDRRDVPNRDTPLHLAVKFGDEVATEMLMVAGADWSLQNEQGWSALQEAICNREEGIAKIIIKHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSIIFLGDGSEDGKVPPGSLCMISHKEKEVLNALDDAGFAANEEEVQQEVAAMSKTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRGVPGAMTDDELFSSCNENETESEEFNDILTEEERRQLEDALRLDSADMANESDEVVIGHRHSCYEHRDIPIEDGNCNKSGENKQEKKGWFGGWRKKESKPETPKKIAAPRSSLCVEEKVSDLLGDSPSRNQTKPGRHSVEIAVKGDESRRKKDAKASSANSDGRSRHKDGNRENEYKKGLRPILWLSPNFPLKTEELLPLLDIVANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPVDEFATPPSSPSATGQESPAVQHFSASSWFQWIKAPYRSSTSAPGSSSRIENIQDPFAIPSDYTWISAEAKKKKMQEKNKSKKGKSHNS >Vigun04g170000.1.v1.2 pep primary_assembly:ASM411807v1:4:39423794:39429158:1 gene:Vigun04g170000.v1.2 transcript:Vigun04g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYSHPAIATAPVLANDIEVPVA >VigunL059535.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000262.1:32772:33131:-1 gene:VigunL059535.v1.2 transcript:VigunL059535.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun08g081800.1.v1.2 pep primary_assembly:ASM411807v1:8:17150336:17154212:1 gene:Vigun08g081800.v1.2 transcript:Vigun08g081800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRQVRPDRPDRSAHPGRPARSCRRAYLGRKAHVVIGHPSQCGDPRYELDCVNNATLLLTLFSAKYHVRDIDYKRYKIVVSDPGQEEEGNCSFIPRNFLTDSNFRPTGLVGPDDFGSEPFTWEHWYTLRIGYFNCANPVSDDPRYVKVERGECGRGGHVYAVLEPSLYEIRVKDIKVGCDLMVATVVDWSPNGNVSYDEIRGVISEGIQLSWLPVICENRCGRGTECKVINGEVQCEKHYCHYAYHTTDKCAYLRGVIFGIGSRITFSTKQLDNPVGLQYFYGGIFIGRQIIPIYLAARYLFGDGLILVLLIYKWRRRHSCFGVVYKGKLGSGLDVTVKMLSKSSDNGQDFMNEVVTIGRIHHVNVVRLLGYCVYRKKRALVYEFMSNGSLDKYIFSKEENNHLSYEKIYEISLGVAGGIAYLHQGCDIQILHFDIKPHNILLDDNFVPKVSDFGLAKLHVTNDGVINMTAARGTLGYMAPELFYKNMGGVSYKADVYSFSMLLKEMASRRRNSNPHAEHSSQHYFPFWIYDQFHEEKNIDIEDASEEENIIAKRMFLVALWCIQLNPSDRPSMNKVIDMLEEMVEGLELPPRPSFYKNETYRHENINSRLDDISSDFSKSTYSD >Vigun01g110900.1.v1.2 pep primary_assembly:ASM411807v1:1:28445960:28449606:-1 gene:Vigun01g110900.v1.2 transcript:Vigun01g110900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRKQQGESHHQVAHWRSYSNSRKPPLDNYHSNMPAWEKKFCSSIGSVPWRKVVETKQYMHLFDSVVNWDDSAGKEAFENAKMRYWAEINGIPCSISLPDPDIYIDNVDWNAIVDSELILDLEREALRVPSKGVVRNDQDVVIIGGALYLNDQKLPCTGWGDDEEEQPKPSAPTSDITGWGTNLHENNEVEFRQQHQHQDHADPTKELEWQGWKNDSWGWNHREHYGCGGGDMNKMGRGRNGGGYGNWGTCDGHYRRRENNAWSKTPHAYNGNNNENNVNRGRRNYRGGGGRKGNLIYVPKEVPPTPAAW >Vigun11g012500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1490508:1491254:1 gene:Vigun11g012500.v1.2 transcript:Vigun11g012500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRASRRDKKEIKVTYISSPVKVKTSASNFRALVQELTGQYSNVAETSMPMEEENEHLQRLVHNKTLQREAQQWRVDECTNLTMRPEYSEFLSRSFMEPFNQQQLQYDLMSFDMS >Vigun07g121500.1.v1.2 pep primary_assembly:ASM411807v1:7:22413075:22415306:-1 gene:Vigun07g121500.v1.2 transcript:Vigun07g121500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNISVLILSLSYMLSFSVADTSSSSIVPPETICGSTVDPTYCSKTVLANQNGNVFDYGRISFQKSLSQTRKFFYLVDSYLQGSLSLSQYTIGALEDCRYLAEQNFEYLSNIYATTSQASGVLPTSQAEDFETYLSAVLTNQQTCLEGLQSTTSDARVKNELLSSLSDDLKLHSVTLALFIKGWVPEKKIMTSWPQNGRHLNFKNGQLPLVMSDRVRAIYDSARHHGRKLLTTDNGGSVVVSDIVVVSQDGSGNFITINDAIAAAPNNTVAGNGYFVVLITEGVYQEYVSIAKSKKYLMLVGDGINRTIITGNHSVGDNFTTFNSATVAVVAEGFVAVNITFRNSAGPSKGQAVALRSGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNIYSRLPLKGQFNTITAQGRTDPSQNTGTCIQNATIKAADDLAPVVSTVQSYLGRPWKEYSRTVIMQSFMDSLINPAGWHEWNGDFALSTLYYAEYNNTGPASNTTNRVTWPGYHVINATDASNFTVSNFLGGDNWIPQTGVPYLSGLI >Vigun07g057700.1.v1.2 pep primary_assembly:ASM411807v1:7:6363597:6368955:-1 gene:Vigun07g057700.v1.2 transcript:Vigun07g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGTRSEKVRRIFNQFDANRDGGLNREEMASLVGAVNPRVKFSDEQISAILDEVFRTYGEFIDGDKGLTYEGLLRTYDDGAGDVDRDFDALGLDLVADAVKEPLAASEASSSSIVDERMAVETQKKQRTAAWAVSPNHGIVFDETWKIVDDLELLVKRLKTKQSKEGGKLKNDNFDAYSDAGWSRELGPSSEITEKRVFWEESGHDYAVFLKELGGLRGRADGARSREEAFDGHMAIGRVLYEHQLFKESLVSFKRACELQPVDVRPHFRAGNCLYVLGRYKEAKEEFLLALESAEAGGNQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHAMGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLGVWPNHWRAQLNKAVSLLGAGETEEAKRALKEALKMTNRVELHDAISHLKQLQKKKTKASNGGVPGEASFVIVEPSKFKVVGDRTTGRQELATALQIRALQRVTRLSRCSVELLKKEMSERDVSVSYSGSGVPEKSIRKPNLEEILRRLLSFLKPETFQGAVKAINERILSVLDENGSGRLDLGMFYAILAPICGGPPDRRKRVAFDALLWRPMNEDGANIRKVDATLYIKLLRAVYLPSQAVSELMEVRGESDTSMVSFSEFLVMFDDPDWGFGIMPTLAKLETGDRNRHGNSVCSVCRYPVIGSRFKEIKSHFSVCNQCYSEGKVPSSFKQEEYRFKEYGSEGEAMKDKFLARRSI >Vigun04g075550.1.v1.2 pep primary_assembly:ASM411807v1:4:9362185:9362516:1 gene:Vigun04g075550.v1.2 transcript:Vigun04g075550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCKEEFKEFERQDVNYRKDFKHISQKIKKLEDKLEKDSSKIEALMKEGEESTDLIPKLEDNIPKLQKLLLDEEKILDEITKSSKGG >Vigun01g089300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24840551:24844010:-1 gene:Vigun01g089300.v1.2 transcript:Vigun01g089300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILQFSVLLLSLFGAFTFQKIVCNNLSQVRCNEKDRETLEMFKEGVIDPSNNLLTWSTQQDCCQWKGVHCDNTTSRVTQLDLSQQFLRGEIKLSLLELQFLYHLNLSYNYFNVISNPPIHNDLIFGSNLHYLDLSNNYISTDNLDWLSQLSSLRYLNLGYTNLRYATNWFRLFIMLPSLSELRLSYCALNITSSLKHVNFTSLVTLDLSGNYFTSKSLRCLFNLSIAISHLDLSGIYLLGNFDLQWLSQLHSLKYLDLNGIDLREEPNWLLAMPPSLSDLHLSNCQLTNICPTLKHVNLTSLVTLDLSFNHFNSQLPHWLLNFSRHLSHLDLSYNSLYGEIPLSLFNYQNLEYLDISGNMFSGSIPFTLVNLTYLEYLDLSGNMFSGSIPFTLGNLTYLVSLSLSSNSFSGIISEMHFFRLHNLQMLDLSYSSFKFHFNPEWIPQFQLKWLYLDDTNQGPQFPPWIYTQKSFSGLSMSSSKVSFIEEEKFRDLIAGVDTDLNLSNNSISGDISNVLLSSNFILLNNNNFTGTLPHLSPEVEYVDLSHNSFIGSIPQGWKNLKYLYFISLWNNNLFGEVLEKLSWSTYLEVINLEKNDFFGTIPNNMSRYLSLVILRSNKFEGSIPPQLFLLSRLIHLDLAHNKLSGSIPQIAYNTTQMITDNYSTFIFVQDDNIDFYAKGQVYEYKIDSRRRTIDLSANKISGEIPSELFRLIQVQTLNLSYNHLTGTMPETIGGMKNLESLDLSNNKLFGEIPENMATLSFLSYLNLSCNNFSGQIPIGTQLQSFSVSSYNGNPKLCGAPLTKCSMEKNPVNIIQHGINEEGEFDEESLYLVMGIGFVVGFCGLFGSLVIFRKWRHKYDLLLNGVYDKLYVTYMLKFNNLRNREAL >Vigun01g089300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:24840551:24844010:-1 gene:Vigun01g089300.v1.2 transcript:Vigun01g089300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILQFSVLLLSLFGAFTFQKIVCNNLSQVRCNEKDRETLEMFKEGVIDPSNNLLTWSTQQDCCQWKGVHCDNTTSRVTQLDLSQQFLRGEIKLSLLELQFLYHLNLSYNYFNVISNPPIHNDLIFGSNLHYLDLSNNYISTDNLDWLSQLSSLRYLNLGYTNLRYATNWFRLFIMLPSLSELRLSYCALNITSSLKHVNFTSLVTLDLSGNYFTSKSLRCLFNLSIAISHLDLSGIYLLGNFDLQWLSQLHSLKYLDLNGIDLREEPNWLLAMPPSLSDLHLSNCQLTNICPTLKHVNLTSLVTLDLSFNHFNSQLPHWLLNFSRHLSHLDLSYNSLYGEIPLSLFNYQNLEYLDISGNMFSGSIPFTLVNLTYLEYLDLSGNMFSGSIPFTLGNLTYLVSLSLSSNSFSGIISEMHFFRLHNLQMLDLSYSSFKFHFNPEWIPQFQLKWLYLDDTNQGPQFPPWIYTQKSFSGLSMSSSKVSFIEEEKFRDLIAGVDTDLNLSNNSISGDISNVLLSSNFILLNNNNFTGTLPHLSPEVEYVDLSHNSFIGSIPQGWKNLKYLYFISLWNNNLFGEVLEKLSWSTYLEVINLEKNDFFGTIPNNMSRYLSLVILRSNKFEGSIPPQLFLLSRLIHLDLAHNKLSGSIPQIAYNTTQMITDNYSTFIFVQDDNIDFYAKGQVYEYKIDSRRRTIDLSANKISGEIPSELFRLIQVQTLNLSYNHLTGTMPETIGGMKNLESLDLSNNKLFGEIPENMATLSFLSYLNLSCNNFSGQIPIGTQLQSFSVSSYNGNPKLCGAPLTKCSMEKNPVNIIQHGINEEGEFDEESLYLVMGIGFVVGFCGLFGSLVIFRKWRHKYDLLLNGVYDKLYVTYMLKFNNLRNREAL >Vigun03g448700.1.v1.2 pep primary_assembly:ASM411807v1:3:64967817:64972476:-1 gene:Vigun03g448700.v1.2 transcript:Vigun03g448700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLVKYSAWPLTNPASLSHAPRPQLCTFPSIHNHTVQPSLSSLKPLHVSSAHNFALSPKLRTRVTQCQAYEADRSRPLELNIELNHEETGIEATQRLKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLVSTLSLAAGSLLMLISWATRVAEVPNVDLEFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPVQVYLSLLPIIGGCALAAVTELNFNMIGFLGAMISNLAFVFRNIFSKKGMKGMRVSGMNYYACLSMLSLFILTPLAIAVEGPELWAAGWQKALSQIGPNFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSILIFHTPIHPVNALGAAIAILGTFLYSQAKQ >Vigun04g201300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:42466211:42466842:1 gene:Vigun04g201300.v1.2 transcript:Vigun04g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIAKIMLLLSLLLTTLFPQQLKGSKDKVVSSEVEDGFFPPSKVYVEIANFISLKNLTVHCKDKHNDLGIHKLEYGEIFEFSFRPNFFWKVSLYFCQFTWEGGSHYFDIYKQSRDRCIVCVWNIFENGPCKVYRKYSDCYVWNKNVLLEEGGRL >Vigun09g066400.1.v1.2 pep primary_assembly:ASM411807v1:9:7009270:7011454:1 gene:Vigun09g066400.v1.2 transcript:Vigun09g066400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDERTNAQAAAEPVHEDFIPPSDWDRQQNSDTLILMLPGFRKEQLRVQVSSNRVLRVSGERKISENKWRRFRKEEPLSDSHDTSGISAKFEAGMLYVKLPKVMKPPSSSPPPSSPPPPPPPSPTQQKPSKPHQPTSTITDDNHKPDATQQQHHDEKDSKEDTTEESKIEEQQPLPPPRPPQEHEDSQVMLQREMSKAETGDKSRSYEEMHETTQTTVKEQKEGDEDSEKKVAQSQIKTDDDEKDMREVIGSNKAEGTGLEGLSRIGVAKMNIRLEKISDMVLEVKKQNKVANLVVLVFLVLLIGLYVKSVVKSSFAGPRNQEL >Vigun02g068100.1.v1.2 pep primary_assembly:ASM411807v1:2:21799353:21800939:1 gene:Vigun02g068100.v1.2 transcript:Vigun02g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHHFLQLLFSLSISKTMDFTHPSVFLLSLFLFLFPSLISSQICEKNCGKETLKYPFGSGPGCGDPRFQPHVTCSNQKLTFSTHTGSYPVTSIDYANEVIYISDPTMSTCSCTVPSKGFGLNWDAPFTFADRTIFALVDCAMNSSSICQNGYDDGSNSKLLCDQGTPICSLLYSCRPISTINLPISTCCVYTPVNLGPSFEMDLQKLQCPSYTGFYNFNDQQSDPEKWNYGIALKYKFSVTNDYPGSCDACERSHGVCGYNVAFNSFICNCPNGINTTTDCYFISSFNNGFRNGVSWLIYAVVWSLVWFFL >Vigun02g051050.1.v1.2 pep primary_assembly:ASM411807v1:2:19268081:19268641:-1 gene:Vigun02g051050.v1.2 transcript:Vigun02g051050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPPWCSLLLHRGPSFPQISKLLHRILIRCSTDELLRFAFSKVMRRMYGLKDDVDSLSPMLVDGDTWSKM >Vigun03g420300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62609350:62610018:-1 gene:Vigun03g420300.v1.2 transcript:Vigun03g420300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDGKEIEAKDYALSGKIMLIAIVVLFVIIIIMLCLHVYVRCTLLRARRRQQLRRRINRPRFVFYIDPAARIALTSRGLHPSVISTLPVFTFSDSTQKSECAVCLSEFENGETGRVLPKCNHSFHTECIDIWFQSHTTCPLCRAPVEAISDQETRSEEAVVVCEPETVGEEVGRSIPVGSSSSPVSRIVSLKRILSREKKGSSTTTELDAELEVCDSVSC >Vigun05g174000.3.v1.2 pep primary_assembly:ASM411807v1:5:31752710:31755388:-1 gene:Vigun05g174000.v1.2 transcript:Vigun05g174000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQLRLVAPGLVSHEGEKWSKHRKIINPAFNLEKLKNMLPLFIKSCDDLISKWEERLSLDGSSEMDVWPFLQALASDAISRTAFGSSYEEGRRIFQLLKEQGELTMQLMLKVYIPGWRFVPTATHRRMKAIDKEIKASLMDIINNREKALKAGEATKNDLLDILLESNHKEIQEQGNNKNVGMNIEDVIGECKLFYFAGQETTSSLLVWTMILLSMYPNWQTRAREEVLQVFGNQKPDFDGLNHLKTVTMILNEVFRLYPPVVALARKACRDLKLGNLSLAAGVQVSLPMILVHHDCELWGDDANEFKPERFAEGVLKATKGRASLIPFGWGPRICIGQNFSLFEAKIALSMILQRFSFELSPTYTHAPTTVLTLQPQYGAQLILRKVEI >Vigun05g174000.4.v1.2 pep primary_assembly:ASM411807v1:5:31752710:31756339:-1 gene:Vigun05g174000.v1.2 transcript:Vigun05g174000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWPFLQALASDAISRTAFGSSYEEGRRIFQLLKEQGELTMQLMLKVYIPGWRFVPTATHRRMKAIDKEIKASLMDIINNREKALKAGEATKNDLLDILLESNHKEIQEQGNNKNVGMNIEDVIGECKLFYFAGQETTSSLLVWTMILLSMYPNWQTRAREEVLQVFGNQKPDFDGLNHLKTVTMILNEVFRLYPPVVALARKACRDLKLGNLSLAAGVQVSLPMILVHHDCELWGDDANEFKPERFAEGVLKATKGRASLIPFGWGPRICIGQNFSLFEAKIALSMILQRFSFELSPTYTHAPTTVLTLQPQYGAQLILRKVEI >Vigun05g174000.2.v1.2 pep primary_assembly:ASM411807v1:5:31752710:31755389:-1 gene:Vigun05g174000.v1.2 transcript:Vigun05g174000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQLRLVAPGLVSHEGEKWSKHRKIINPAFNLEKLKNMLPLFIKSCDDLISKWEERLSLDGSSEMDVWPFLQALASDAISRTAFGSSYEEGRRIFQLLKEQGELTMQLMLKVYIPGWRFVPTATHRRMKAIDKEIKASLMDIINNREKALKAGEATKNDLLDILLESNHKEIQEQGNNKNVGMNIEDVIGECKLFYFAGQETTSSLLVWTMILLSMYPNWQTRAREEVLQVFGNQKPDFDGLNHLKTVTMILNEVFRLYPPVVALARKACRDLKLGNLSLAAGVQVSLPMILVHHDCELWGDDANEFKPERFAEGVLKATKGRASLIPFGWGPRICIGQNFSLFEAKIALSMILQRFSFELSPTYTHAPTTVLTLQPQYGAQLILRKVEI >Vigun05g174000.1.v1.2 pep primary_assembly:ASM411807v1:5:31752710:31756647:-1 gene:Vigun05g174000.v1.2 transcript:Vigun05g174000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVWTRILMLILILVLILAWRVLNWLWFTPKRLERLLREQGLQGNPYTLLVGDTNEFMKMRKEAYSKPMNLFSHDIVPRVFSFFHHSINTHGKNCFIWFGPAPRVTLTDPELIKDVFNKPHDFGKIKMNPQLRLVAPGLVSHEGEKWSKHRKIINPAFNLEKLKNMLPLFIKSCDDLISKWEERLSLDGSSEMDVWPFLQALASDAISRTAFGSSYEEGRRIFQLLKEQGELTMQLMLKVYIPGWRFVPTATHRRMKAIDKEIKASLMDIINNREKALKAGEATKNDLLDILLESNHKEIQEQGNNKNVGMNIEDVIGECKLFYFAGQETTSSLLVWTMILLSMYPNWQTRAREEVLQVFGNQKPDFDGLNHLKTVTMILNEVFRLYPPVVALARKACRDLKLGNLSLAAGVQVSLPMILVHHDCELWGDDANEFKPERFAEGVLKATKGRASLIPFGWGPRICIGQNFSLFEAKIALSMILQRFSFELSPTYTHAPTTVLTLQPQYGAQLILRKVEI >Vigun03g247100.3.v1.2 pep primary_assembly:ASM411807v1:3:41059919:41063198:-1 gene:Vigun03g247100.v1.2 transcript:Vigun03g247100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIPAICKEKDSPFGEPDLCHQYGMSYAVLSMAIGAVFLWAYVYNIMRICSSRIQKEDTTSNDLLKVSMEISESDPNNLSETLNPTEDTMDDAYTILLSDEEPEKKVSFPSKIMHHLRVISSNLNLKSIFAPSTIGAIVGFFIGVIPQIRNLTIGSNAPLRVVEDSASMLGDAAIPTVTLIMGANLLQGLKGSSTPVWTIVGIIVVRYIFLPVLGVAVVKTAIHLSLVHSDALYQFVLLLQYALPPAMNIGTIAQLFGSGESECSVIMLWTYGLASIAVTLWSTYFMFIVS >Vigun03g247100.1.v1.2 pep primary_assembly:ASM411807v1:3:41059919:41064342:-1 gene:Vigun03g247100.v1.2 transcript:Vigun03g247100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLFLVATFPVIKVLLITALGLFLALDGISILGNDARKIVNQLGFYVFNPSLVGSNLAKTITFESVVKLWFMPVNILATFILGSALGWILIKITRPPKHMEGLILGCCSAGNLGNLPMIIIPAICKEKDSPFGEPDLCHQYGMSYAVLSMAIGAVFLWAYVYNIMRICSSRIQKEDTTSNDLLKVSMEISESDPNNLSETLNPTEDTMDDAYTILLSDEEPEKKVSFPSKIMHHLRVISSNLNLKSIFAPSTIGAIVGFFIGVIPQIRNLTIGSNAPLRVVEDSASMLGDAAIPTVTLIMGANLLQGLKGSSTPVWTIVGIIVVRYIFLPVLGVAVVKTAIHLSLVHSDALYQFVLLLQYALPPAMNIGTIAQLFGSGESECSVIMLWTYGLASIAVTLWSTYFMFIVS >Vigun03g247100.4.v1.2 pep primary_assembly:ASM411807v1:3:41059919:41064380:-1 gene:Vigun03g247100.v1.2 transcript:Vigun03g247100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLFLVATFPVIKVLLITALGLFLALDGISILGNDARKIVNQLGFYVFNPSLVGSNLAKTITFESVVKLWFMPVNILATFILGSALGWILIKITRPPKHMEGLILGCCSAGNLGNLPMIIIPAICKEKDSPFGEPDLCHQYGMSYAVLSMAIGAVFLWAYVYNIMRICSSRIQKEDTTSNDLLKVSMEISESDPNNLSETLNPTEDTMDDAYTILLSDEEPEKKVSFPSKIMHHLRVISSNLNLKSIFAPSTIGAIVGFFIGVIPQIRNLTIGSNAPLRVVEDSASMLGDAAIPTVTLIMGANLLQGLKGSSTPVWTIVGIIVVRYIFLPVLGVAVVKTAIHLSLVHSDALYQFVLLLQYALPPAMNIGTIAQLFGSGESECSVIMLWTYGLASIAVTLWSTYFMFIVS >Vigun03g247100.2.v1.2 pep primary_assembly:ASM411807v1:3:41059919:41063266:-1 gene:Vigun03g247100.v1.2 transcript:Vigun03g247100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIPAICKEKDSPFGEPDLCHQYGMSYAVLSMAIGAVFLWAYVYNIMRICSSRIQKEDTTSNDLLKVSMEISESDPNNLSETLNPTEDTMDDAYTILLSDEEPEKKVSFPSKIMHHLRVISSNLNLKSIFAPSTIGAIVGFFIGVIPQIRNLTIGSNAPLRVVEDSASMLGDAAIPTVTLIMGANLLQGLKGSSTPVWTIVGIIVVRYIFLPVLGVAVVKTAIHLSLVHSDALYQFVLLLQYALPPAMNIGTIAQLFGSGESECSVIMLWTYGLASIAVTLWSTYFMFIVS >Vigun09g120600.3.v1.2 pep primary_assembly:ASM411807v1:9:26713714:26717038:-1 gene:Vigun09g120600.v1.2 transcript:Vigun09g120600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLTSTQRAKWIFTSQTLVKKYEEANQRARQILGEYGATLMEVDGNGSLTYPEPRNTAKDGAEKHSRTKPLSIEQEKCVRVYYESNVHNVCKKFPLPRKVQATALIFFKRFYLRWSVMEHQPKYIMLACIYAACKIEEYYVSAEELSKSVVLQDHQMILNYEMIVYQSLEFDLIVYAPYRAVEGFINNMEEFCHCYEGDDQLPRLKTLQEKADLEVDKMMFTDASLLFPPGQLALAALRNANAIHKVIDFDSYLRSIFGRQSSMHKMSELSESLNAIDSWMQVSKYEIPSKKVKNHIDRKLTSCWGFSSHDEGKKQEKKSKHKSEKSSKEAQSSMPSPV >Vigun09g120600.2.v1.2 pep primary_assembly:ASM411807v1:9:26713638:26717081:-1 gene:Vigun09g120600.v1.2 transcript:Vigun09g120600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLTSTQRAKWIFTSQTLVKKYEEANQRARQILGEYGATLMEVDGNGSLTYPEPRNTAKDGAEKHSRTKPLSIEQEKCVRVYYESNVHNVCKKFPLPRKVQATALIFFKRFYLRWSVMEHQPKYIMLACIYAACKIEEYYVSAEELSKSVVLQDHQMILNYEMIVYQSLEFDLIVYAPYRAVEGFINNMEEFCHCYEGDDQLPRLKLALAALRNANAIHKVIDFDSYLRSIFGRQSSMHKMSELSESLNAIDSWMQVSKYEIPSKKVKNHIDRKLTSCWGFSSHDEGKKQEKKSKHKSEKSSKEAQSSMPSPV >Vigun09g120600.4.v1.2 pep primary_assembly:ASM411807v1:9:26713714:26717077:-1 gene:Vigun09g120600.v1.2 transcript:Vigun09g120600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLTSTQRAKWIFTSQTLVKKYEEANQRARQILGEYGATLMEVDGNGSLTYPEPRNTAKDGAEKHSRTKPLSIEQEKCVRVYYESNVHNVCKKFPLPRKVQATALIFFKRFYLRWSVMEHQPKYIMLACIYAACKIEEYYVSAEELSKSVVLQDHQMILNYEMIVYQSLEFDLIVYAPYRAVEGFINNMEEFCHCYEGDDQLPRLKTLQEKADLEVDKMMFTDASLLFPPGQLALAALRNANAIHKVIDFDSYLRSIFGRQSSMHKMSELSESLNAIDSWKTDVLLGF >Vigun09g120600.1.v1.2 pep primary_assembly:ASM411807v1:9:26713614:26717081:-1 gene:Vigun09g120600.v1.2 transcript:Vigun09g120600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLTSTQRAKWIFTSQTLVKKYEEANQRARQILGEYGATLMEVDGNGSLTYPEPRNTAKDGAEKHSRTKPLSIEQEKCVRVYYESNVHNVCKKFPLPRKVQATALIFFKRFYLRWSVMEHQPKYIMLACIYAACKIEEYYVSAEELSKSVVLQDHQMILNYEMIVYQSLEFDLIVYAPYRAVEGFINNMEEFCHCYEGDDQLPRLKTLQEKADLEVDKMMFTDASLLFPPGQLALAALRNANAIHKVIDFDSYLRSIFGRQSSMHKMSELSESLNAIDSWMQVSKYEIPSKKVKNHIDRKLTSCWGFSSHDEGKKQEKKSKHKSEKSSKEAQSSMPSPV >Vigun09g148500.7.v1.2 pep primary_assembly:ASM411807v1:9:31191291:31195830:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.8.v1.2 pep primary_assembly:ASM411807v1:9:31191291:31195830:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.6.v1.2 pep primary_assembly:ASM411807v1:9:31191289:31195932:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.2.v1.2 pep primary_assembly:ASM411807v1:9:31191274:31195370:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.9.v1.2 pep primary_assembly:ASM411807v1:9:31191291:31195830:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.10.v1.2 pep primary_assembly:ASM411807v1:9:31191291:31195830:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun09g148500.1.v1.2 pep primary_assembly:ASM411807v1:9:31191270:31195931:-1 gene:Vigun09g148500.v1.2 transcript:Vigun09g148500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEISVLDRVGCDNKSDTESMYPMYFGVSCAFFALQVLAEVPQVEVQRWCKIRDTMLQGSAQLLGLVVWRLQKGMPEGGECRLKRAEREIENLKRMRHEDAKANEKVVGIFAAQEQSWLSERRRLRQQIGALLTELRVFERNKDAAICELSQKLKNMEGLVESRDKEMEQEEQRRKELEEKLNKVERDAEEMRDSARREAQEHSSDLRKHKTAFIELVSNQRQLEAELGRAVKQVEATRQELASVVEKKEESDLMVQKLSLDIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKQAEQETQRWKAVSEGKHERHSLKSMLVNLSSRMDVFPSARGMQHSSTGSSHIANEPDQPPPFPDHYAQQRIGDLSIPANAKRLEDWMRAEAERYATLIKQRHHLELDAFAEQMQLKEEKVEAFRWQLLRTELEMKQMQAHVEELVKDVTQLRHDKMRLETLLLEREDELTSLQEKFVSKLRPLKSNSSFPPQSSELAQDAVWSKVKVVKRKPGEKVLEMMEASVEEDCEKEVHCLPNDQLNSGSLLVQSPENEIEEEEKNVSREDSGTPTQNLSPNKVEADASEKIASSSQTPSSTKQSLWKMDLHALGVSYKIKRLKQQLLLVERLTGKQANEEQAETSDDSKVGMKAYLSLTTLLNKQVARYQSLQEKTDDLCKRMHGNELYASRGDLNGARAKEKTSTLEQFLEETFQLQRYIVATGQKWMEIQSKIVCGFVGVAEEMQKSSGIDMNRFADSIKNLFHEVQRGLEVRTARIIGDLEGTLAREGMTCLRR >Vigun01g006200.2.v1.2 pep primary_assembly:ASM411807v1:1:759239:764300:-1 gene:Vigun01g006200.v1.2 transcript:Vigun01g006200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDDNVALSGDWGLASPSPRTFFSRMLEEENVTRSISEHSGSGRTGEFFSGPHEPSETGKGNMQDAAQDGDSVAQLSFQTEQKPNSRGGLVERMAARAGFNAPRLNTEGIRSTDLSLNSDIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFLFMSNGNMRHSELSSDAPEKCKNNGFDDIYTSSFAFKPATDSGSSFYHGAGRKINPTTLPQQSHPGIEVSAQSENSFHSQSVDAVKVQTENKNGLHLQADFAESPPEKDIKMFRADQRAFDAVGGGIEHSTPVEEHGDEEGDQRGNGDSVTGGVGGAPSDDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPPPSRRSGIGSVNPHTDMQVDNPEHVELHNGGDGDIGWGNVQKGNIVGAANWKHENLETTSSASIGPEYCNQSTNLQTQNGGTLNDSGEAVDASSTFSNEEDEDDQGTHGSVSLGYDGEGDESESKRRKLESYADLSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARASSHVNANASNANQASGVLHSHVHRPEPSQVHNGMGRLERPSLGSFNLPGRQQLAHSHGFSFGMNQPMLSNLAMSGLGHMQAKLPVMPVHPFLAQQQQQNPSNDYGFMMPKGEPNVEAIPEHVGGLNLPNGSSVYQEIMSRMPLGPHM >Vigun01g006200.3.v1.2 pep primary_assembly:ASM411807v1:1:759244:763698:-1 gene:Vigun01g006200.v1.2 transcript:Vigun01g006200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDDNVALSGDWGLASPSPRTFFSRMLEEENVTRSISEHSGSGRTGEFFSGPHEPSETGKGNMQDAAQDGDSVAQLSFQTEQKPNSRGGLVERMAARAGFNAPRLNTEGIRSTDLSLNSDIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFLFMSNGNMRHSELSSDAPEKCKNNGFDDIYTSSFAFKPATDSGSSFYHGAGRKINPTTLPQQSHPGIEVSAQSENSFHSQSVDAVKVQTENKNGLHLQADFAESPPEKDIKMFRADQRAFDAVGGGIEHSTPVEEHGDEEGDQRGNGDSVTGGVGGAPSDDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPPPSRRSGIGSVNPHTDMQVDNPEHVELHNGGDGDIGWGNVQKGNIVGAANWKHENLETTSSASIGPEYCNQSTNLQTQNGGTLNDSGEAVDASSTFSNEEDEDDQGTHGSVSLGYDGEGDESESKRRKLESYADLSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARASSHVNANASNANQASGVLHSHVHRPEPSQVHNGMGRLERPSLGSFNLPGRQQLAHSHGFSFGMNQPMLSNLAMSGLGHMQAKLPVMPVHPFLAQQQQQNPSNDYGFMMPKGEPNVEAIPEHVGGLNLPNGSSVYQEIMSRMPLGPHM >Vigun01g006200.1.v1.2 pep primary_assembly:ASM411807v1:1:759239:764300:-1 gene:Vigun01g006200.v1.2 transcript:Vigun01g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDDNVALSGDWGLASPSPRTFFSRMLEEENVTRSISEHSGSGRTGEFFSGPHEPSETGKGNMQDAAQDGDSVAQLSFQTEQKPNSRGGLVERMAARAGFNAPRLNTEGIRSTDLSLNSDIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFLFMSNGNMRHSELSSDAPEKCKNNGFDDIYTSSFAFKPATDSGSSFYHGAGRKINPTTLPQQSHPGIEVSAQSENSFHSQSVDAVKVQTENKNGLHLQADFAESPPEKDIKMFRADQRAFDAVGGGIEHSTPVEEHGDEEGDQRGNGDSVTGGVGGAPSDDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGTHNHPKPPPSRRSGIGSVNPHTDMQVDNPEHVELHNGGDGDIGWGNVQKGNIVGAANWKHENLETTSSASIGPEYCNQSTNLQTQNGGTLNDSGEAVDASSTFSNEEDEDDQGTHGSVSLGYDGEGDESESKRRKLESYADLSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARASSHVNANASNANQASGVLHSHVHRPEPSQVHNGMGRLERPSLGSFNLPGRQQLAHSHGFSFGMNQPMLSNLAMSGLGHMQAKLPVMPVHPFLAQQQQQNPSNDYGFMMPKGEPNVEAIPEHVGGLNLPNGSSVYQEIMSRMPLGPHM >Vigun08g094300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:22290285:22292438:1 gene:Vigun08g094300.v1.2 transcript:Vigun08g094300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLLLVHDDDIAQVVSCNMGSVIKNVIHSHPLIFALHPFHHPSPNRTRNPRFPSIRPTPNLSSSFFPSPSDSHAHTGLQLIRICVHSKQNSTTLQIHPSTYTLIFIAHLHKYTRTPILPIITTFLPPSFVEPPPQHHNVIPTCK >Vigun07g153700.1.v1.2 pep primary_assembly:ASM411807v1:7:26478557:26482678:-1 gene:Vigun07g153700.v1.2 transcript:Vigun07g153700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTHLEPDDSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILSGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYRVKDPEVRQFVEKCLATVSLRLSARELLDDPFLRIDDYEYDMRAVENAELDEFGSLIRQPFFDLHRSYSNFSTEYSNGFGYEGDWCPHPAEIEPSGIELFEYHDDEPSEDVDISIKGKRKDDGGIFLRLRIADKEGRIRNIYFPFDIETDTAISVATEMVAELDITDQDVTRIADMIDGEIASLVPEWRPGPGIDETPRFANQGFCHNCVSNHTSSGSFLDFLSHNPGDKNLQLGCCRHGCASMHGRFEEITFQSEEYDNHGRGDLNISSQLDSLQYQELWNQHESRELSPVESDQSHSDEQCEQLDKPLPAKDKEHDVWENKVSFNAANSLRNLSGSHDFSTIQSAYGDLGEDYEKEIQKELRWLRAKYQMELRDHKDKQFEQSSHSTNKEQKTENGFLSPSLTETSLKEGNNGTQMNPLTNKWHCGSSNHPHVHESSPNSDTQRAQNCEATESPGKGMVTAKSFYSGSLLPHSLHRTVSLPVDAVDI >Vigun09g214100.9.v1.2 pep primary_assembly:ASM411807v1:9:38819804:38838667:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARNSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.11.v1.2 pep primary_assembly:ASM411807v1:9:38819804:38838667:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARNSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.12.v1.2 pep primary_assembly:ASM411807v1:9:38819804:38838667:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARTDSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.8.v1.2 pep primary_assembly:ASM411807v1:9:38819827:38838880:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARTDSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.10.v1.2 pep primary_assembly:ASM411807v1:9:38819804:38838667:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARTDSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.1.v1.2 pep primary_assembly:ASM411807v1:9:38819827:38838880:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARTDSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.7.v1.2 pep primary_assembly:ASM411807v1:9:38819827:38838880:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARNSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun09g214100.6.v1.2 pep primary_assembly:ASM411807v1:9:38819827:38838880:-1 gene:Vigun09g214100.v1.2 transcript:Vigun09g214100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDRRPIYNLDESDDDADFLPRKSGTTQEKLERIVRTDAKEDLCQACGENENLVSCETCTYAYHPRCLLPPLKGPLPDNWRCPECVSPLNDIDKILDCEMRPTTAADNDATKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKTHPRLKTKVNNFHQKMASINTSDDDFVAIRPEWTTVDRILSCRGDDDEREYLVKWKELPYDECYWEFESDISAFQPEIERFNRFRSRSSKFSSSKHKQSVKDDTELKKQQKEFQHYEHSPEFLSGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVYPHLVVAPLSTLRNWEREFATWAPHMNVLMYVGSAQARSVIREYEFYFPKKQKKIKKKKSGQLISENKQERIKFDVLLTSYEMINFDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFRDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIDDAKEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVGDEEATLDDEEEDGFLKAFKVANFEYVDEAEAAAEEAAAQKRALENVNSSERTHYWEELLRDKYQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGDSNSTGTGTTTARRPYKKKARNSTEPLPLMEGEGKAFRVLGFNQNQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHISEDITESSTFTDGVPKDGLRIQDVLVRIAVLLLIRDKVKFASQHPQTPLFSDDILLRYPGLKGAKIWKEEHDLVLLRSVLKHGYGRWQAIVDDKDLKIQEVICQELNLPFINLPVPGQVGSQPQNGANLTNAEVPNNQSRENGGSDIPADGAQGSGDARNQAQLYQDSSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFGDPKSNDELKSEPKAPKLVETDTQMIDQLPQVETIATEEISAACDSDPNRLELVRLYNEMCKIVEENPMDVQVQTSLAREPAELHVGKNFSPLETICKDINRILTPTEEQSAADIPMSNSENKSEVMSQSEILGAKSLPTPQDSANNESKDMIIESEPIKESCSSLVKDTNEIQTLPDKEKSNTIMDETMTDAQ >Vigun11g088200.4.v1.2 pep primary_assembly:ASM411807v1:11:26357273:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSFSHSEPNIGTAFGRRSRRKVIADQRTASSSPEHPSLRAHGLSKLSGDRTAFRDFADDQSTPRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERLAD >Vigun11g088200.1.v1.2 pep primary_assembly:ASM411807v1:11:26357273:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSFSHSEPNIGTAFGRRSRRKVIADQRTASSSPEHPSLRAHGLSKLSGDRTAFRDFADDQSTPRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYTVANEGSRLDIPEGPLGRLISECWAEPHERPSCEEILSRLVDIQYSLF >Vigun11g088200.3.v1.2 pep primary_assembly:ASM411807v1:11:26357273:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSEPNIGTAFGRRSRRKVIADQRTASSSPEHPSLRAHGLSKLSGDRTAFRDFADDQSTPRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERLAD >Vigun11g088200.6.v1.2 pep primary_assembly:ASM411807v1:11:26357273:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSEPNIGTAFGRRSRRKVIADQRTASSRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYTVANEGSRLDIPEGPLGRLISECWAEPHERPSCEEILSRLVDIQYSLF >Vigun11g088200.2.v1.2 pep primary_assembly:ASM411807v1:11:26357259:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSFSHSEPNIGTAFGRRSRRKVIADQRTASSSPEHPSLRAHGLSKLSGDRTAFRDFADDQSTPRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYTVANEGSRLDIPEGPLGRLISECWAEPHERPSCEEILSRLVDIQYSLF >Vigun11g088200.5.v1.2 pep primary_assembly:ASM411807v1:11:26357259:26368536:1 gene:Vigun11g088200.v1.2 transcript:Vigun11g088200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREDAAPAEQRPSNMSWWPSDFVENFASVSLSSQDETLNNKELAGHANQDVMSPQKASQILWRTGMLSEPIPNGFYSVIPEKRLKKLFDSIPTLDELQALGGEAFRADVIVVDSERDRRLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPNVESLAKAALEESSHMFENHGVQMLGQIRHGSCRPRAILFKVLADTVGLESRLMMGFPNDGAADCVDSYKHMSVIVVLNSVELLVDLMRFPGQLLPRSTKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSDSVEKEENLQFHRRFEVSSNVSGLPLRNRMLRSYTSLERNLSEPNIGTAFGRRSRRKVIADQRTASSSPEHPSLRAHGLSKLSGDRTAFRDFADDQSTPRSSYKSDGASSSEARRIRRRSISMTPEIGDDIARAVRAMNESLKQKRLLREKETGDSSLSHSPNDRAGSMDLLKQKSNFNIDGHQERSPLYSLHHDPVTSQKAMSLPSSPHDYRGQASERSGVSEYPMNDELESTWNKVLQSPMFNDRTLLPYEEWNIDFTELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLIHIHRMKIIHRDVKSANCLVDKHWTVKICDFGLSRIITESPMRDSLSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYTVANEGSRLDIPEGPLGRLISECWAEPHERPSCEEILSRLVDIQYSLF >Vigun07g038200.1.v1.2 pep primary_assembly:ASM411807v1:7:3672746:3679450:1 gene:Vigun07g038200.v1.2 transcript:Vigun07g038200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLALGSAFDSKSGQIIMAALLLMIVSFYTGTLFGNNAPLYVSQLDSNSPSSFPNNVSSNGTTKFSNKVALTYRKTPLVIPETGVDVCPLTFNEYIPCHDVSYVATLAPSFDFSRKEELERHCPPLEKRLFCLVPPPKDYKIPIEWPLSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGASEYIERLGHMITNETGDLRSAGVVQVLDVGCGVASFSAYLLPLDIRTMSFAPKDGHENQIQFALERGIGAMIAAMSTKQLPYPSESFEMIHCSRCRIDFHENDGILLNELNRLLRFNGYFVYSAPPAYKKDKEYPVIWDKLMNLTTAMCWRLIARKVQTAIWIKENNESCLLHNAEQKLINICDPADDFKPSWNIQLKNCVQVRNSKTDSYKLPPSHERHSVFSNNLNMIGISQNEFGSDTLFWQEQIGHYWRLMNVKETEIRNVMDMNAYCGGFAVALNSFPVWVMNVVPASMKNTLSGIYDRGLIGTFHDWCEPFSSYPRTYDLLHANYLFSHYKTKGEGCLLEDIMLEMDRLIRPLGFVIIRDEEDITSRIQEVAPKFLWDVESKLLENKEKKMEAVLICRKKFWAII >Vigun05g220300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41162390:41164177:1 gene:Vigun05g220300.v1.2 transcript:Vigun05g220300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQINHHEPVKVLEECQVAPPPSLSSITLPLTFFDIPWLGSRSVHRIFFFEFPHPTNHFIQTTLPILKHSLSLSLQHFFPFASNLIVPPQLHLSHIRYLHGDSLSFTVAESTADFTLLASHSPQDVRNWHPLIPTLPPPHVDQDGTRVFPLMSIQVTIFPNSGFAICLTFNHIVSDGKSLHHFIKFWASLCKARGDLDPFETSLSLPSYERDRLEDPNRLNLLYSQVFPISEQKRTAFNGFPYRFTVVLSREQTEKLKNWVTLKFGIYASEAFHISTFVVTCSLIWVCLVGSEESKQDCDELRYLFFVADSRDRPEFSLTSTYFGNCLTSCMVALKRSEIVGENGIVAAAKAIEREIRDFKSDALRKAEKLMSDLRELVKIRKSVLVIAGSPKLDVYQTDFGWGKPKKCEAAHVESPGLISLSDCRDDKGGIEVGLALDMTQMKKFGNILEMKLLNIDKF >Vigun09g083200.1.v1.2 pep primary_assembly:ASM411807v1:9:10144368:10151674:1 gene:Vigun09g083200.v1.2 transcript:Vigun09g083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGGEKGTRHMWKSPTRDSTLNADVSSSSSSTVKSFFKDRRKISVGECALFKPSEDRPPYIGIIRYLTIGKDKKLKFGVSWLYRSIEVKLSKGVPLEAAPNEVFYTFHKDEIDAEALLHPCKVAFLPKGAELQPGISSFLCRRVYDIANKCLWWLNDQDYINDCQEEVDKLLYRNCVEMHATVQPGGRSPKPMSSPTSTSQLKSASDSVQNSTSSFPSHIKGRKRERADQGSESAKRERSIKTEDGDSGNFRHDNFFKTEIAKITEKGGLVDGEGVEKLVQLMVPDRNEKKIDLASRSLLAAVVAATDKLDCLSQFVQQRGLPVFDEWLQEVHKGKIGDGDKSAEEFLLVLLRALDKLPVNLQALQTCNIGKSVNHLRSHKNAEIQRKARGLVDTWKKRVEAEMNINDAKSGSGPNVHWPAKSRPSDVGQGGNRHSGASSDVAMKSSVTQLSASKTTSVKVVQGENITRSASTSAFPGPAKSVPSPAAVTANLKDGQPRIVAVNGGSDLPMANVRDEKSCSSSQSHNNSQSCSSDHAKTGGHSVKEDARSSTAMSANKISGGSSRHRKSINGFSGSSPSGGQRETGSSRNSSSHKNITSEKISQPGLMDKAVDGTSLEGNIPKLIVKIPNQGRSPAQSVSAGSFDDPTIMNSRASSPVLPEKHDQSDNSPKEKNDLYRVNIGSDINTESWQSNDFKEVLTGSDEGDGSPAAVTDEEHCRTGNDCKKALEVSKAASSSSGNENKAGNLQDASYSSINALIEGVKYSEADDGGMNLLASVAAGEILKSELLTPAGSPERNTPTVEQSCTDNGVKCSEENLVRDECHSNNGLDVEHKNLASVTGDLGANDESDSDFQASGGKAARELNKRVNACTMDLQQVAETMESKGKLNEKSGPTSLGGFAESSVQEAGNGDGSKQLQEVVQGVNSGEIHDKINCVADVEAEVSKKLSNTAVEVDVQSDNCAEGSSGSGQTVKRPPAILVQSDLARGKDDNGLHSSGSGVDKVPKDCPERESDKTDDVDAENHVNQSRSKRNESESDSLTMPENRGTCSIVTGLVAEHVEENLETKIVRDQPSREDLPEDSPGVVSQEINKHHDSKRLKLTSSEAEEAEECTSTTADASSMSAAAVSDADAKVEFDLNEGLNADDGRCEFNSIANSGCAPSGRLISPVPFPASSMSCGILAPVTLASAAKGHFVPPEDLLRSKGEIGWKGSAATSAFRPAEPRKVMEMPFGTSATPIPDAPAGKQSRAPLDIDLNVADERILDDISCARHTNSISLATDSHDPVCSKIPSPVRSSGGLGLDLNQVDDASDMGICLNINHKIDVPTMQGKSSLGGPPIREVNVHRDFDLNNGPSVEEITTESSLFSPHARSNVTSQLPVSGLRVATAEPGNFSWLPSSGNTYSAVTISSIMPDRGDQPFSIVAPNGPQRLLTPAAGGNPFGPDIYRTPVLSSSPAVSYPSAPFEYPVFPFNSSFPLPSASFSAGSTTYVYPTSANRLCFPAVNSQLMGPAGTVSSHYPRPYVVGLPEGNNSGSAETSRKWTRQGLDLNAGPGCSEMEGRDESSPLPSRQLSVASSQALAEEQARIQLAGSVRKRKEPDGGWDGYNQSSWQ >Vigun09g083200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:10144368:10151674:1 gene:Vigun09g083200.v1.2 transcript:Vigun09g083200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHATVQPGGRSPKPMSSPTSTSQLKSASDSVQNSTSSFPSHIKGRKRERADQGSESAKRERSIKTEDGDSGNFRHDNFFKTEIAKITEKGGLVDGEGVEKLVQLMVPDRNEKKIDLASRSLLAAVVAATDKLDCLSQFVQQRGLPVFDEWLQEVHKGKIGDGDKSAEEFLLVLLRALDKLPVNLQALQTCNIGKSVNHLRSHKNAEIQRKARGLVDTWKKRVEAEMNINDAKSGSGPNVHWPAKSRPSDVGQGGNRHSGASSDVAMKSSVTQLSASKTTSVKVVQGENITRSASTSAFPGPAKSVPSPAAVTANLKDGQPRIVAVNGGSDLPMANVRDEKSCSSSQSHNNSQSCSSDHAKTGGHSVKEDARSSTAMSANKISGGSSRHRKSINGFSGSSPSGGQRETGSSRNSSSHKNITSEKISQPGLMDKAVDGTSLEGNIPKLIVKIPNQGRSPAQSVSAGSFDDPTIMNSRASSPVLPEKHDQSDNSPKEKNDLYRVNIGSDINTESWQSNDFKEVLTGSDEGDGSPAAVTDEEHCRTGNDCKKALEVSKAASSSSGNENKAGNLQDASYSSINALIEGVKYSEADDGGMNLLASVAAGEILKSELLTPAGSPERNTPTVEQSCTDNGVKCSEENLVRDECHSNNGLDVEHKNLASVTGDLGANDESDSDFQASGGKAARELNKRVNACTMDLQQVAETMESKGKLNEKSGPTSLGGFAESSVQEAGNGDGSKQLQEVVQGVNSGEIHDKINCVADVEAEVSKKLSNTAVEVDVQSDNCAEGSSGSGQTVKRPPAILVQSDLARGKDDNGLHSSGSGVDKVPKDCPERESDKTDDVDAENHVNQSRSKRNESESDSLTMPENRGTCSIVTGLVAEHVEENLETKIVRDQPSREDLPEDSPGVVSQEINKHHDSKRLKLTSSEAEEAEECTSTTADASSMSAAAVSDADAKVEFDLNEGLNADDGRCEFNSIANSGCAPSGRLISPVPFPASSMSCGILAPVTLASAAKGHFVPPEDLLRSKGEIGWKGSAATSAFRPAEPRKVMEMPFGTSATPIPDAPAGKQSRAPLDIDLNVADERILDDISCARHTNSISLATDSHDPVCSKIPSPVRSSGGLGLDLNQVDDASDMGICLNINHKIDVPTMQGKSSLGGPPIREVNVHRDFDLNNGPSVEEITTESSLFSPHARSNVTSQLPVSGLRVATAEPGNFSWLPSSGNTYSAVTISSIMPDRGDQPFSIVAPNGPQRLLTPAAGGNPFGPDIYRTPVLSSSPAVSYPSAPFEYPVFPFNSSFPLPSASFSAGSTTYVYPTSANRLCFPAVNSQLMGPAGTVSSHYPRPYVVGLPEGNNSGSAETSRKWTRQGLDLNAGPGCSEMEGRDESSPLPSRQLSVASSQALAEEQARIQLAGSVRKRKEPDGGWDGYNQSSWQ >Vigun03g064500.1.v1.2 pep primary_assembly:ASM411807v1:3:5330252:5333180:1 gene:Vigun03g064500.v1.2 transcript:Vigun03g064500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLFLFSLFLLLTLSAAHRKTPPVTAVKSVTPEIQQACAATRFPQQCETSLSQYPKLPPNPTSLQLIQSAIAIYSENLATAQSMVNSLLDGASGSRNLTVAASTCVEVLDNSKHRTSLASDALPLGKTKDARAWLGAALAYQYDCWNSLKYANVSAAVGKAMAFIDSLESLSSNALSMAFSLDAFGNDAASWKPPATERNGFWGSLASGDSGTVGGVPPNLKPDVTVCKDGADGCYKTIQEAVNAAPDNGDGKKRFVILIKEGVYKETVRVPLAKRNVVFLGDGIGKTVITGDANVGQPTMTTYNSATVAVLGDGFMAKDLTIENTAGPDAHQAVAFRSDSDLSVIENCEFLGNQDTLYAHSLRQFYKSCRIEGNVDFIFGNAAAVFQDCLVLVRPRQVRPEKGENNALTAHGRTDPAQPTGFVFQNCVINGTEEYMALYHRNPKVHKNYLGRPWKEYSRTVFVNSELEVIVTPQGWMPWTGDFALKTLYYGEFGNKGPGSDLSQRVSWSSKIPEDHVVAYSVQNFIQGNDWIPSSSS >Vigun01g224300.2.v1.2 pep primary_assembly:ASM411807v1:1:39738638:39742008:-1 gene:Vigun01g224300.v1.2 transcript:Vigun01g224300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSKATWTPVFHGIFVDLCLGEMLKNEPGSTRITKVGWRNIVGSFYAKTGVRYDKKQFKNHYDSTRKLWKVWVKLIDDSNMKWDPETRTFGASEEDWQNYIKATPEAAQFQSKEIQFTDKLDIIFDGGNRVEEMKRWASLKWQNDASATSPLRGKERERKRKYVSRDYESDTTIMVGCSPTPKASWTPAYHKMFVDLCIEETLKGNKSATHFTKEGWRNIVGSFNAKTGMKYDKKQIKNHYDSTRKLWKIWAKLIGDDKMKWDPHTNTFGASEEDWHNCIKAFPEAAQFRFKELQFSDKLRVVFDGAMPTEEMVVMLKRQNDASATLRGKEREKKRRNAGRDCGLKSAIMVNAIPISTVPSEQSMSSSSHPKVKAAWTPTVHKIFVDLCLQETLQGNKPGTHFTKEGWKNIMESFYAKTGLNYGRLQLKNHWDSTKEQWKIWCKLIGTSYMKWDPSNLRFEASEEDWSNYLQENPEASQFRLKELQFTDILEGIFNGTTVTGETEPTAQQRKSDDSVITFPFHTKEPDTVNFDEKTECHCDAVASRNGASIQKNGSAVSSTEGKCNYSIGECIECLDRMEEIEQGSELYMFALDVFLKQEYREIFLQLKTPNLRISWLQRLQSSGPPPI >Vigun01g224300.3.v1.2 pep primary_assembly:ASM411807v1:1:39738638:39741929:-1 gene:Vigun01g224300.v1.2 transcript:Vigun01g224300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSKATWTPVFHGIFVDLCLGEMLKNEPGSTRITKVGWRNIVGSFYAKTGVRYDKKQFKNHYDSTRKLWKVWVKLIDDSNMKWDPETRTFGASEEDWQNYIKINNNEAKFSQATPEAAQFQSKEIQFTDKLDIIFDGGNRVEEMKRWASLKWQNDASATSPLRGKERERKRKYVSRDYESDTTIMVGCSPTPKASWTPAYHKMFVDLCIEETLKGNKSATHFTKEGWRNIVGSFNAKTGMKYDKKQIKNHYDSTRKLWKIWAKLIGDDKMKWDPHTNTFGASEEDWHNCIKAFPEAAQFRFKELQFSDKLRVVFDGAMPTEEMVVMLKRQNDASATLRGKEREKKRRNAGRDCGLKSAIMVNAIPISTVPSEQSMSSSSHPKVKAAWTPTVHKIFVDLCLQETLQGNKPGTHFTKEGWKNIMESFYAKTGLNYGRLQLKNHWDSTKEQWKIWCKLIGTSYMKWDPSNLRFEASEEDWSNYLQENPEASQFRLKELQFTDILEGIFNGTTVTGETEPTAQQRKSDDSVITFPFHTKEPDTVNFDEKTECHCDAVASRNGASIQKNGSAVSSTEGKCNYSIGECIECLDRMEEIEQGSELYMFALDVFLKQEYREIFLQLKTPNLRISWLQRLQSSGPPPI >Vigun01g224300.1.v1.2 pep primary_assembly:ASM411807v1:1:39738617:39742007:-1 gene:Vigun01g224300.v1.2 transcript:Vigun01g224300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSKATWTPVFHGIFVDLCLGEMLKNEPGSTRITKVGWRNIVGSFYAKTGVRYDKKQFKNHYDSTRKLWKVWVKLIDDSNMKWDPETRTFGASEEDWQNYIKATPEAAQFQSKEIQFTDKLDIIFDGGNRVEEMKRWASLKWQNDASATSPLRGKERERKRKYVSRDYESDTTIMVGCSPTPKASWTPAYHKMFVDLCIEETLKGNKSATHFTKEGWRNIVGSFNAKTGMKYDKKQIKNHYDSTRKLWKIWAKLIGDDKMKWDPHTNTFGASEEDWHNCIKAFPEAAQFRFKELQFSDKLRVVFDGAMPTEEMVVMLKRQNDASATLRGKEREKKRRNAGRDCGLKSAIMVNAIPISTVPSEQSMSSSSHPKVKAAWTPTVHKIFVDLCLQETLQGNKPGTHFTKEGWKNIMESFYAKTGLNYGRLQLKNHWDSTKEQWKIWCKLIGTSYMKWDPSNLRFEASEEDWSNYLQENPEASQFRLKELQFTDILEGIFNGTTVTGETEPTAQQRKSDDSVITFPFHTKEPDTVNFDEKTECHCDAVASRNGASIQKNGSAVSSTEGKCNYSIGECIECLDRMEEIEQGSELYMFALDVFLKQEYREIFLQLKTPNLRISWLQRLQSSGPPPI >Vigun07g073200.4.v1.2 pep primary_assembly:ASM411807v1:7:9324894:9325402:1 gene:Vigun07g073200.v1.2 transcript:Vigun07g073200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVTLIPPRFTLFTYPRNNASRIFHFRSPLRRSISAMTTQQGSSSTKTERVVIKGRVQGVFYRNWTIENATQLGLKGWVRNRKDGSVEALFSGNVDAVQEMEQRCRRGPPDALVTGLQVFPSDDDPGTGFNRKPTL >Vigun01g014200.2.v1.2 pep primary_assembly:ASM411807v1:1:1591210:1594870:-1 gene:Vigun01g014200.v1.2 transcript:Vigun01g014200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLSFNEVRTLVLVGRTGNGKSATGNSIIGRRAFKSRMSSSGVTRVCELQRTKDEPTINVIDTPGFFDGTHSVGREIVKCIDMAKDGIHAILMIFSVKTRFSEEEQATFLALQALFGHKIVDYMIVVFTGGDELEENEVTLDDYIGNKCPQPLKDILVLCGNRKVLFDNKTKDKEKQSGQVQELLHLVNKVISHNSGQPFTNELFMQLKEKAKIRDDQQKALDSLKGYSKGEMMEIKMQMQQQYMDELKRMTNVVESKLKEETAYLWKKLEEERVARVKAEEHYKLIQIQSNNEIERLKAQLNNKPPRGLPFPFNILLPFPLGPKPRPPPPCAFL >Vigun01g014200.1.v1.2 pep primary_assembly:ASM411807v1:1:1591210:1594870:-1 gene:Vigun01g014200.v1.2 transcript:Vigun01g014200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLSFNEVRTLVLVGRTGNGKSATGNSIIGRRAFKSRMSSSGVTRVCELQRTKDEPTINVIDTPGFFDGTHSVGREIVKCIDMAKDGIHAILMIFSVKTRFSEEEQATFLALQALFGHKIVDYMIVVFTGGDELEENEVTLDDYIGNKCPQPLKDILVLCGNRKVLFDNKTKDKEKQSGQVQELLHLVNKVISHNSGQPFTNELFMQLKEKAKIRDDQQKALDSLKGYSKGEMMEIKMQMQQQYMDELKRMTNVVESKLKEETAYLWKKLEEERVARVKAEEHYKLIQIQSNNEIERLKAQLNNKPPRGLPFPFNILLPFPLGPKPRPPPPCAFL >Vigun01g014200.3.v1.2 pep primary_assembly:ASM411807v1:1:1591210:1594870:-1 gene:Vigun01g014200.v1.2 transcript:Vigun01g014200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLSFNEVRTLVLVGRTGNGKSATGNSIIGRRAFKSRMSSSGVTRVCELQRTKDEPTINVIDTPGFFDGTHSVGREIVKCIDMAKDGIHAILMIFSVKTRFSEEEQATFLALQALFGHKIVDYMIVVFTGGDELEENEVTLDDYIGNKCPQPLKDILVLCGNRKVLFDNKTKDKEKQSGQVQELLHLVNKVISHNSGQPFTNELFMQLKEKAKIRDDQQKALDSLKGYSKGEMMEIKMQMQQQYMDELKRMTNVVCSLKNLILIYRWNQS >Vigun04g183800.1.v1.2 pep primary_assembly:ASM411807v1:4:40853083:40857355:-1 gene:Vigun04g183800.v1.2 transcript:Vigun04g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSVLLFAFVLVLCLFSPTSATPPPAKIVTGVVSNVVSALLKWLWSLSVKSTTKPGRVQHSRSMVKFESDYNVETIFDGSKLGIEPHSVQMSPNGEFLVLDSENSNIYKISGSMSRHSRPKLLAGSSEGYIGHIDGRPREARMNHPKGLAVDDRGNIYIADTLNMAIRKISDEGVTTIAGGKWGHAGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVVDRGNHAIREIQLHQDDCTTYEEHEYYSFNLGIAVLVAAGFFGYMLALLQWRVRAMFSSPADPRAPSRSKGAPFSAQQMQRPPPSATTKSFRPPLIPNEDEFEKQDEGFFVSLGRLFLNSGSCMSEILGGLFTGSKRKPLQYNQYQQQYQYGNRHPSTWPMQESFVIPDEDEPPSIETRTPTTRETYPIITKELEKPQHLKPSRGYLNNNRWEGGDDYEEHHHHQQHQQLQHQQQLHQPHQHLQHHQQQHHPKLQQQQQQQQQQQVKLKHQHQVHTRYSSTPQGYYEQNCETNEIVFGAVQEHDGRREAMVIKAVDYGDPKFSHHNIRPRLNYVGYSHGY >Vigun04g183800.2.v1.2 pep primary_assembly:ASM411807v1:4:40853083:40857355:-1 gene:Vigun04g183800.v1.2 transcript:Vigun04g183800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSVLLFAFVLVLCLFSPTSATPPPAKIVTGVVSNVVSALLKWLWSLSVKSTTKPGRVQHSRSMVKFESDYNVETIFDGSKLGIEPHSVQMSPNGEFLVLDSENSNIYKISGSMSRHSRPKLLAGSSEGYIGHIDGRPREARMNHPKGLAVDDRGNIYIADTLNMAIRKISDEGVTTIAGGKWGHAGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVVDRGNHAIREIQLHQDDCTTYEEHEYYSFNLGIAVLVAAGFFGYMLALLQWRVRAMFSSPADPRAPSRSKGAPFSAQQMQRPPPSATTKSFRPPLIPNEDEFEKQDEGFFVSLGRLFLNSGSCMSEILGGLFTGSKRKPLQYNQYQQQYQYGNRHPSTWPMQESFVIPDEDEPPSIETRTPTTRETYPIITKELEKPQHLKPSRGYLNNNRWEGGDDYEEHHHHQQHQQLQHQQQLHQPHQHLQHHQQQHHPKLQQQQQQQQQQQFKSMMEGVKPW >Vigun07g053500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5700493:5701562:1 gene:Vigun07g053500.v1.2 transcript:Vigun07g053500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAREQDQYMPIANVLRIMRRILPPHAKISDEAKETIQECVSEYISFITAEANDRCQREQRKTVTAEDVLWAMGKLGFDDYAQSLSVYLNRYRQSEGERMSGGRRGSTSVPPMELNPPFHPYPPHGFGMFDYDPSHSIASSSRSGGFVQNFDPYANPNPNPNPYAHPNPNPNLHEFDPFGNPRPADENNNNNNNHRM >Vigun07g053500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:5700579:5701562:1 gene:Vigun07g053500.v1.2 transcript:Vigun07g053500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAREQDQYMPIANVLRIMRRILPPHAKISDEAKETIQECVSEYISFITAEANDRCQREQRKTVTAEDVLWAMGKLGFDDYAQSLSVYLNRYRQSEGERMSGGRRGSTSVPPMELNPPFHPYPPHGFGMFDYDPSHSIASSSRSGGFVQNFDPYANPNPNPNPYAHPNPNPNLHEFDPFGNPRPADENNNNNNNHRM >Vigun08g189000.1.v1.2 pep primary_assembly:ASM411807v1:8:35712699:35717192:-1 gene:Vigun08g189000.v1.2 transcript:Vigun08g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFKSNHQLRRDLCCANVHKQQGRSPSVIVIGGGMAGIAAARALHDASFQVVLLESRERLGGRIHTDYSFGFPVDLGASWLHGVCKENPLAPLIGKLGLPLYRTSEDNSVLYDHDLESYALFDMDGNQVPQELVTKIGETFEVILQEANKVRDEFSEDMSILRALKIVFERKPELRLEGLSHKVLQWYLCRLEGWFAADADAISLKCWDQEVLLPGGHGLMVRGYQPVINTLAKGLDIRLGHRVTKIVRQYNEVKVTVENGKTFVADAAIIAVPLGVLKSKGIQFEPKLPDWKEAAISDIGVGIENKIILHFKNVFWPNVEFLGVVAETSYGCSYFLNLHKATGRHVLVYMPAGQLAKDIEKMSDEAAANFAFMQLRKILPDASSPIQYLVSRWGTDINTLGSYSYDAVGKPHDLYERLRVPVDNLFFAGEATSMLYTGSVHGAFSTGMMAAEDCRMRVLERYGELDLFQPVMGEDASVIPLLISRL >Vigun04g186600.1.v1.2 pep primary_assembly:ASM411807v1:4:41154558:41155864:1 gene:Vigun04g186600.v1.2 transcript:Vigun04g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIISSIPDEILLYILSFLPTKQVVATSILCKRWNLLWRSVPSFDFSYNHFLHSVYSFMHLRDLDQPLRRFSLNCDARLYDPASTDKWVRAAISGRVEHLHFKLLWNVLPSVVFSCKTLVVLKLEFLKVEDIHFFELPLLKILHLYHVYSSEGIHLSQLFAGSPNLEDLEAENTYICHENKFNRLPKLIRANIQAEFVPMEIVKNVEVLFIDWIFQGDLDSCFHNLVQLELKTLRHTEEWPLEVLEILKHCPKLQTLALYIYNKGHIYSSDESHEGEVWPYSQPVPQCISLYLKTCRLVNYKGSIDEFEFARSIMQNAKYLRTMKICADIDANDEEKLDMIRDLSSCVKSSDTCKLSLE >Vigun03g147800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:15169612:15169824:-1 gene:Vigun03g147800.v1.2 transcript:Vigun03g147800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKKSFLKRLKGESLRWKYLAAAAFKWKRLAFPTSFFNDVAFKILSLFEAVFLVLTACFFYLMCGCRF >Vigun09g258400.1.v1.2 pep primary_assembly:ASM411807v1:9:42393834:42396679:1 gene:Vigun09g258400.v1.2 transcript:Vigun09g258400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSSNITTSRVDVVVFVIWELIILAFLVFSVVSLYFKHIQLAFILVCITILLLLCMKITKQVRLARKKKRRMLLPLSM >Vigun10g131100.2.v1.2 pep primary_assembly:ASM411807v1:10:33967087:33970085:-1 gene:Vigun10g131100.v1.2 transcript:Vigun10g131100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYKVEMINDGMQEFYVHFHGPNESPYHGGVWKVRVELPDAYPYKSPSIGFINRIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALLMRDRASYEQKVKEYCEKYAKPEDIGAATEEQSSDEELTEDEDDDSSDEQVAGKADP >Vigun10g131100.3.v1.2 pep primary_assembly:ASM411807v1:10:33967087:33970085:-1 gene:Vigun10g131100.v1.2 transcript:Vigun10g131100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPNESPYHGGVWKVRVELPDAYPYKSPSIGFINRIYHPNVDEMSGSVCLDVINQTWSPMFDPLNGEAAALLMRDRASYEQKVKEYCEKYAKPEDIGAATEEQSSDEELTEDEDDDSSDEQVAGKADP >Vigun10g131100.1.v1.2 pep primary_assembly:ASM411807v1:10:33967087:33970085:-1 gene:Vigun10g131100.v1.2 transcript:Vigun10g131100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPNESPYHGGVWKVRVELPDAYPYKSPSIGFINRIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALLMRDRASYEQKVKEYCEKYAKPEDIGAATEEQSSDEELTEDEDDDSSDEQVAGKADP >Vigun02g138500.1.v1.2 pep primary_assembly:ASM411807v1:2:28799699:28804245:-1 gene:Vigun02g138500.v1.2 transcript:Vigun02g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHHTNLVIVLTILIACTTRAHNLTCNRQCGKQSVQFPFGFSEGCIIKLNCSDNQVQLHDFLVQNITNSNIFVNLPAKCNRSMESIEPLFDNNFSPTVNNSFLVQHCSEALGGCVIPASNFVETCDKNSGNISCFLKQDAADVLTYKDLKETKCDYLFSAISFGQSKEITLQFQVVELAWWLQGQCECSENATCSKVQRGFRCQCFHGFTGDGFRNGTGCRKTSASSCSASTVASGGCGKATKIGVAVGASIAGALVVAGLFLLCYCARRRSLWLGKHTRVKRQLREAAGNSSIPFYPYKEVEKATNSFSEKHRLGTGAFGTVYAGKLHNDEWVAIKKLRQRDTNSADQVMNEIKLLSSVSHPNLVRLLGCCIEKGEQILVYEYMQNGTLSQHLQRERSEGLPWTVRLTIATETANAIAYLHSAIHPPIYHRDIKSSNILLDYHFKSKIADFGLSRLGMTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRPRSEVNLAALAVDKIRRGAVDEIIDPFLEPHRDAWTLYSIHKVAELAFRCLAFHSDMRPTMMEVAEELEHIKRSGWATMEDTAMASSDGSTCSSPRNGSEKSLSVIKKAGQGSARLIVPHKIERFFHSVEVKDSSPVSVQDPIHWSSGHSSPSSNSLLVNVIP >Vigun02g138500.2.v1.2 pep primary_assembly:ASM411807v1:2:28799699:28804244:-1 gene:Vigun02g138500.v1.2 transcript:Vigun02g138500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHHTNLVIVLTILIACTTRAHNLTCNRQCGKQSVQFPFGFSEGCIIKLNCSDNQVQLHDFLVQNITNSNIFVNLPAKCNRSMESIEPLFDNNFSPTVNNSFLVQHCSEALGGCVIPASNFVETCDKNSGNISCFLKQDAADVLTYKDLKETKCDYLFSAISFGQSKEITLQFQVVELAWWLQGQCECSENATCSKVQRGFRCQCFHGFTGDGFRNGTGCRKTSASSCSASTVASGGCGKATKIGVAVGASIAGALVVAGLFLLCYCARRRSLWLGKHTRVKRQLREAAGNSSIPFYPYKEVEKATNSFSEKHRLGTGAFGTVYAGKLHNDEWVAIKKLRQRDTNSADQVMNEIKLLSSVSHPNLVRLLGCCIEKGEQILVYEYMQNGTLSQHLQRERSEGLPWTVRLTIATETANAIAYLHSAIHPPIYHRDIKSSNILLDYHFKSKIADFGLSRLGMTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRPRSEVNLAALAVDKIRRGAVDEIIDPFLEPHRDAWTLYSIHKVAELAFRCLAFHSDMRPTMMEVAEELEHIKRSGWATMEDTAMASSDGSTCSSPRNGSEKSLSVIKKAGQGSARLIVPHKIERFFHSVEVKDSSPVSVQDPIHWSSGHSSPSSNSLLVNVIP >Vigun01g183800.1.v1.2 pep primary_assembly:ASM411807v1:1:36428184:36432869:1 gene:Vigun01g183800.v1.2 transcript:Vigun01g183800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKPVNVLATKPSPLSTMVVVLILCILGFYALHFPHSSTPSSSSSSQNLSQVEKLFLSASSNSTISSYLRALTLHPHLAGTKPGSDVASYVLNHFTSLGLKTHTATYTTLLSFPVHSSLSAHFSEGGPSVSLRLTEPAAAGVVQAYHAYSPSGAVQARAVFANYGRERDYRALGAMGVNVSGCVVVVRKGGEMGRGTVVERAEAHGAAAVLVYGEGDTWRKGFERGHVMRGGIGDPLTPGWAGVEGGETLGLEDREVLKRFPKIPSMPLSAEVADSILSSLGGAPVPLQWRGTLRSKVRHVGPGPTILNFTYQGEKKVANIENVFAVIKGSEEPDRYVLLGNHRDAWTYGAVDPSSGTAALLDIARRFSVLLGLGWKPRRTIILCSWDAEEFGMIGSTEWVEQNLLNLASKAVAYLNVDCAVQGPGFFVGSTPQLDSLILEVTKKVKDPDSEGVSLYENWVAASAGSNNIQRLSGVDSDFAPFVQHAGVPSIDMYYGRDFPVYHTAFDSYNWMAEYADPFFHRHVAVTGVWGLLALHLAGDPILPFNYVSYANELQLYKNMLSNLVDQKISLHPLTLSIEEFASAAKEADDESKKLRLQETEGCVLDIKKRALNDRLMLAEKGFLDADGLQGKQWFKHLVYGPSSNNERLNFFPGISDSITGSTGVTETERLASIQHEIWRVARAIRRAASALTGEFT >Vigun07g159800.2.v1.2 pep primary_assembly:ASM411807v1:7:27167890:27170696:1 gene:Vigun07g159800.v1.2 transcript:Vigun07g159800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAVMVTPGEVLGRSSDVKAGRGAYAAPHNNTVYASLTGFRRTIPPPPDSPDKRPTVEVTGHKAHGPVPQPGSIVIVTKVMARSASADIMCVGSKCVREKFTGIIRQQDVRATEIDKVDMHLSFHPGDIVKALVLSLGDARAYFLSTAKNELGVVSAESIAGATMVPVSWTEMQCPVTGQIEQRKVAKAAS >Vigun07g159800.1.v1.2 pep primary_assembly:ASM411807v1:7:27167890:27170696:1 gene:Vigun07g159800.v1.2 transcript:Vigun07g159800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAVMVTPGEVLGRSSDVKAGRGAYAAPHNNTVYASLTGFRRTIPPPPDSPDKRPTVEVTGHKAHGPVPQPGSIVIVRVTKVMARSASADIMCVGSKCVREKFTGIIRQQDVRATEIDKVDMHLSFHPGDIVKALVLSLGDARAYFLSTAKNELGVVSAESIAGATMVPVSWTEMQCPVTGQIEQRKVAKAAS >Vigun06g152333.1.v1.2 pep primary_assembly:ASM411807v1:6:27654943:27655233:-1 gene:Vigun06g152333.v1.2 transcript:Vigun06g152333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVYMKSMWVLGLSHPIQSGQTQATINSVTKGKENKEFREVDPNVDMFLVLTHIISRSLLLLLRQI >Vigun06g228200.5.v1.2 pep primary_assembly:ASM411807v1:6:33571036:33576774:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGKTRKKMTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.7.v1.2 pep primary_assembly:ASM411807v1:6:33572756:33574762:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.4.v1.2 pep primary_assembly:ASM411807v1:6:33572737:33576774:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGKTRKKMTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.1.v1.2 pep primary_assembly:ASM411807v1:6:33572713:33576843:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWNVVHALKPVLLMVLVQVANAWVNVLYKLALNDGMNLSIIVAYRYVFATAFISPLAFLIERKTRKKMTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.3.v1.2 pep primary_assembly:ASM411807v1:6:33572713:33576854:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICGKTRKKMTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.2.v1.2 pep primary_assembly:ASM411807v1:6:33571036:33576774:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWNVVHALKPVLLMVLVQVANAWVNVLYKLALNDGMNLSIIVAYRYVFATAFISPLAFLIERKTRKKMTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.6.v1.2 pep primary_assembly:ASM411807v1:6:33572737:33576774:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTILFQAFVCGLIGGALPQNLNMEAIALTSVTFTTAISNLIPALTFIISLSFGLERLNLRTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun06g228200.8.v1.2 pep primary_assembly:ASM411807v1:6:33572756:33574762:-1 gene:Vigun06g228200.v1.2 transcript:Vigun06g228200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIALTSVTFTTAISNLIPALTFIISLSFGTGAGKAKIIGTITGISGAMILTFVKGPEVQMLSFHINLFNHPNGNVVHPHATSGVMTIFGALASVASNVSYALWLIIQAKMSERYPCPYSSTALMSLMGALLSVSYAFCVERDLNEWRLGWNIRLFTVAYAGIVVSGVMVAVISWCVRARGPLFVSIFSPLMLVVVAFAGSTILDEKLYLGSIIGSVMIICGLYVVLWGKSKEMMKKAVSSESTHKLDTVEIMVKPAVEKKSNNSNSQANEVIAVGVNGEK >Vigun01g158500.1.v1.2 pep primary_assembly:ASM411807v1:1:34039202:34040801:-1 gene:Vigun01g158500.v1.2 transcript:Vigun01g158500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGNSEIQLPPGFRFHPSDEELIIHYLRNKVTSSPLPASFIAEIDLYKYNPWELQSKALFGEDEWYFFTPRDRKYPNGVRPNRAAASGYWKATGTDRPIFSSCGMKNIGVKKALVFYKGRAPKGSKTDWIMHEYRLHNSMNFIPQQRGSMRLDEWVLCRVRQKTSSTRSIWEDSIYEAPTHFEEMNENSNPEPAKNCVHNEYPMLPYILASQSSVSDSIGVASGSGCGCNDDGKAYASLHNKNSNVIIGAPFMTPQGLFNPLKRKNIEEKELDLYASLNKKLSEELDVGKEKLERDLNKDYNFNNLEHWSSIIQPQELNIVAFSKYA >Vigun05g194050.1.v1.2 pep primary_assembly:ASM411807v1:5:37608028:37608357:-1 gene:Vigun05g194050.v1.2 transcript:Vigun05g194050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHNILFSQHNIFFTPTLLRQCFFCTSFFFSFFFYTMVKIVLIYNFCFFMINELLNKDQRFKSSEKSQSSLSTTKL >Vigun08g213200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37429838:37431437:-1 gene:Vigun08g213200.v1.2 transcript:Vigun08g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVDELGSPKQPRIQHSLRSESSNSLASTRPILGQPRLQRTKPIIWCAAILCLIFSLLLIFFGIATLILYLAMKPKNPTFDIPNASLNVVYFDSPQYLNGEFTLVANFSNPNRRIGVRFESLNIELFYSERLVSSQKVKPFTQKPRETRLQSVNLISSLVFLPQDVGVKLQRQVENNRINYNARGTFKVRFNIGLIHLSYWLNTICQIEMTSPPTGILVARQCITSRSR >Vigun03g174600.2.v1.2 pep primary_assembly:ASM411807v1:3:21503519:21507192:-1 gene:Vigun03g174600.v1.2 transcript:Vigun03g174600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKLFLLLLLSCFVATIEGSKKQVSAMYVFGDSTVDPGNNNFIDSAFRSNFPPYGRDFVNQVPTGRFTNGKLGTDFIASYLGLKDLLPPYLDPNLTDKEIVTGVSFASAGSGFDPLTPTLGNVISIPKQLEYFKEYKKRLEGMLGNRKTEYHMNNAFFFISAGTNDYVINYFSVPIRRNYTPLTYGQFLLQHVKDFIQNLWKEGARKIALVGVPPMGCLPIVITLNSHNLFLERGCIDKYSAVARDYNIMLQHELFLMQLNFSNGAKISYIDIYEPLNNMIQSHENLVCCMCVLCVVLVGFDEVDHGCCGSGYIETTFMCNGFSLVCSDPSKFVFWDSIHPTEKAYFRLFMLARPKIDALINA >Vigun03g174600.1.v1.2 pep primary_assembly:ASM411807v1:3:21503519:21507192:-1 gene:Vigun03g174600.v1.2 transcript:Vigun03g174600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKLFLLLLLSCFVATIEGSKKQVSAMYVFGDSTVDPGNNNFIDSAFRSNFPPYGRDFVNQVPTGRFTNGKLGTDFIASYLGLKDLLPPYLDPNLTDKEIVTGVSFASAGSGFDPLTPTLGNVISIPKQLEYFKEYKKRLEGMLGNRKTEYHMNNAFFFISAGTNDYVINYFSVPIRRNYTPLTYGQFLLQHVKDFIQNLWKEGARKIALVGVPPMGCLPIVITLNSHNLFLERGCIDKYSAVARDYNIMLQHELFLMQLNFSNGAKISYIDIYEPLNNMIQSHENLGFDEVDHGCCGSGYIETTFMCNGFSLVCSDPSKFVFWDSIHPTEKAYFRLFMLARPKIDALINA >Vigun01g027401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:3267087:3268003:1 gene:Vigun01g027401.v1.2 transcript:Vigun01g027401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRLLYIYTHTQNRDTALCNSICFASPNVSVSEKHIHSKRFRERTVSEATLLPSRGVGEEHDRRENNNNIIVNSNNNNMVRCFSLGSVLILVALAASMVVLPLMLPPLPPPPLVLLFFPVGIMAALMLLAFSPSDGNGVVYAT >Vigun05g097700.1.v1.2 pep primary_assembly:ASM411807v1:5:9517942:9521163:1 gene:Vigun05g097700.v1.2 transcript:Vigun05g097700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPKLISDKNEMRNWSRSMRAQGKLIGFVPTMGFLHAGHLSLVSQARSLCDVVAVSIYVNPGQFAPSEDLSTYPSDFQGDLRKLAALPGGVDVVFHPRNLYDYVNNSGDVAGKGGGAAASCVDGDGSGHETWVRAEKLEVGLCGKSRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQLRVIQRMVRDLDFSIKVIGAEITRESDGLAMSSRNVHLSPGEGKAKSAAEDGEVHCEKLRNLVIQCISEAGGRVDYAEIVDQNNLEKVEKIKGPVVFCVAAWFGKVRLIDNMEINLSIGV >Vigun05g097700.2.v1.2 pep primary_assembly:ASM411807v1:5:9517942:9521163:1 gene:Vigun05g097700.v1.2 transcript:Vigun05g097700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPKLISDKNEMRNWSRSMRAQGKLIGFVPTMGFLHAGHLSLVSQARSLCDVVAVSIYVNPGQFAPSEDLSTYPSDFQGDLRKLAALPGGVDVVFHPRNLYDYVNNSGDVAGKGGGAAASCVDGDGSGHETWVRAEKLEVGLCGKSRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQLRVIQRMVRDLDFSIKVIGAEITRESDGLAMSSRNVHLSPGEGKAKSAAEDGEVHCEKLRNLVIQCISEAGGRVDYAEIVDQNNLEKVEKIKGPVVFCVAAWFGKVRLIDNMEINLSIGV >Vigun05g097700.3.v1.2 pep primary_assembly:ASM411807v1:5:9517942:9521163:1 gene:Vigun05g097700.v1.2 transcript:Vigun05g097700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPKLISDKNEMRNWSRSMRAQGKLIGFVPTMGFLHAGHLSLVSQARSLCDVVAVSIYVNPGQFAPSEDLSTYPSDFQGDLRKLAALPGGVDVVFHPRNLYDYVNNSGDVAGKGGGAAASCVDGDGSGHETWVRAEKLEVGLCGKSRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQLRVIQRMVRDLDFSIKVIGAEITRESDGLAMSSRNVHLSPGEGKGTINK >Vigun07g297900.2.v1.2 pep primary_assembly:ASM411807v1:7:40799804:40809472:1 gene:Vigun07g297900.v1.2 transcript:Vigun07g297900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKWSSESGNVMSSPSHSRNGHSRSSSLTLTATGISTVKRTQNVAAKAAAQRLAQVMASQTADDDDDDVDDLGFRYTAPPPLSLSRNSAKSTAALRSPSPAAVPRNLQEESTYLRAAAAAAPTPPATNRPPLSLKIPAPVPSLDSPIHNNKPKDKRFPFDTGLVLPKDSGHQREASVLRDEVDMLQEENESILDKLRLEEERCKESEARVRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKNAKGSKDGVDKEITSLQAEIENAKVETEAVMRQLNGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICADVAVSKYELWSSLAPLPFEVVVSAGQKAKEECWEKDDDAIEKRSKLVPDLNDLTGEGNIESMLSVEMGLKELASLKVFRNILNDLNILLWEIQSSK >Vigun07g297900.1.v1.2 pep primary_assembly:ASM411807v1:7:40799804:40811034:1 gene:Vigun07g297900.v1.2 transcript:Vigun07g297900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKWSSESGNVMSSPSHSRNGHSRSSSLTLTATGISTVKRTQNVAAKAAAQRLAQVMASQTADDDDDDVDDLGFRYTAPPPLSLSRNSAKSTAALRSPSPAAVPRNLQEESTYLRAAAAAAPTPPATNRPPLSLKIPAPVPSLDSPIHNNKPKDKRFPFDTGLVLPKDSGHQREASVLRDEVDMLQEENESILDKLRLEEERCKESEARVRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKNAKGSKDGVDKEITSLQAEIENAKVETEAVMRQLNGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICADVAVSKYELWSSLAPLPFEVVVSAGQKAKEECWEKDDDAIEKRSKLVPDLNDLTGEGNIESMLSVEMGLKELASLKVEDAIVQALAQQRRPNSARQLVSDIKSPGDPKFIEAFELSPEESEDVLFKEAWLTYFWRRAKVHGIEEDIAKERLQFWIGRSGHSPTSHDAVDVEQGLSELRKLGIEHRLWEASRKEVDQDLTIARKWT >Vigun01g040566.1.v1.2 pep primary_assembly:ASM411807v1:1:5651358:5652004:-1 gene:Vigun01g040566.v1.2 transcript:Vigun01g040566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGYKAKQIASAPRTEDCVGCKRCESACPMDFLSVRVYLWHETTRSMDLLLITYVFCYHFELDDPLIQLTKNYKWIHFFDINCLNRSYGPFFGRSDSFL >Vigun05g303400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48585557:48590091:-1 gene:Vigun05g303400.v1.2 transcript:Vigun05g303400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSWMWRRKGTGIGWRLRLMQCHSSSAWCCGQRQKQKQRVNSQSLKLTVSRCPSDLIALSIFLWTAQRRRNDLPAIDHIVTVLRRLTHRYNTVSATLSELKSIGCASLTNPKSQLVLLRVYWRGGMYDMVVEAYEHMQGSYGFVPDTFARNLLMDVLFRTGHSHVAVSLSLFNQTHPPNFFTFNIALFHLSNINLKRQCRNTLPYISHIFRLMFRAGYSPSPLTFRMLLHSFCNINALPQAFQLLALMTVLGISFSVNIWTILIHNYCRLGRLHLAANLFNNMLQTGCYPNVVTYTILFKAFMQYNMLTHAFRLFNDMLSTGQIPDLILSNVLIDSLSKAGRCRDALRVFLSLSDQNLKPDSYTLTSLLSTICRSRMFYLLPKVVIASRHIDTDLVFCNALLSSLTKADLPSIAVGFYDYMIDVGFVPDKYSFAGLLSALCAAGRVDEAVNVYRVVVMSSHDTDAYLHTAITGELLKVQKYRKAVSVTSLAVMNRYPLDSVAYSIGLYALFRDGRIQEACTLYYRMKDNGLKPNAHTYNLMFFTFCKERDLPMMKQILREMIESGIHLSDRNFFNLCKYACRSDIYLSVLNLLPEMRDLRLLSAKALHALNYVWHEEGVQAKHKHQAEVNTECNPILDSSSSEDLSDVAASVG >Vigun05g216500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40841669:40843768:-1 gene:Vigun05g216500.v1.2 transcript:Vigun05g216500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSGKFDVGDETHNCSEKGSESVDWEIEKRNGVGSSSQRRQWKPVFDDTSISHNRPLKKIRSPDRHETNQSSSSSSSSVPFQPSPLSAPPSSSSRIVFPFAFNGSQHPMQFPHQFGAANSPFPHPSFQNHQQQMISFGSQQQNLPFPPIMARDSSLPHHHHHHQQHPQQLLQHWRDALSLSPRGMFTHLGPDGRPLFRPPTQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPELFLNKDKNEQVQEEASSPVQDQHEQKPVPLGRDMPREESNENDSGIGSSDATVSDEVHAAAPSAEGGEGVSQELVWGEMSAWFNAIPAAWGPGSPVWDDLDPTNNLLYQSHVPFSNSNQQQINDASDDAQRQEHNTESGYLWKDQD >Vigun07g122600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:22623642:22625551:-1 gene:Vigun07g122600.v1.2 transcript:Vigun07g122600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLMRFSGFFSAAMLLILLSPSLQSFHPAEAIRSSHLDAVLRLPLQTPPLSFRRAAPFRNADKCASNRTSIPENTSVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSQCPENIFFHFLVSETNLQSLVKSTFPQLNFKVYYFDPEIVRNLISTSVRQALEQPLNYARNYLADLLESCVGRVIYLDSDLVVVDDIAKLWSTSLGSRTIGAPEYCHANFTKYFTAGFWSDARFAGAFVGRRPCYFNTGVMVIDLVRWRKHGYSRRIERWMEIQKSDRIYELGSLPPFLLVFAGHVWPIEHRWNQHGLGGDNVKGSCRDLHAGPVSLLHWSGSGKPWLRLDSRRPCPLDALWAPYDLYGHSQ >Vigun02g199000.1.v1.2 pep primary_assembly:ASM411807v1:2:33385203:33388038:-1 gene:Vigun02g199000.v1.2 transcript:Vigun02g199000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCSGRCPVRSQVIRAMTMAGRRLLAFACSSSTPFSPSQSKSKLPTYFRSSSTFLRFFCSSRLEDASFDDKFLKWHNGGGIFHESACIDSTALVEVGAVVHSESVVGPNVRIGSGTIVGPSVTIAHSTKIGYNVALSNCCIGDSCVVHNGVCIGQDGFGFYVDDDGNMRKKPQTLNVIIGNDVEIGSNTCIDRGSWRDTVVGDNSKIDNLVQIGHNVVIGKNCLLCGQVGIAGSATIGDYVTMGGRVAVRDHVSIISKVRLAAASCVTKDIKEPGDYGGFPAVPINQWRRQVASRCQTRVMSP >Vigun10g137800.1.v1.2 pep primary_assembly:ASM411807v1:10:35431436:35439737:-1 gene:Vigun10g137800.v1.2 transcript:Vigun10g137800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSTFCVKELDDKDALMLFQKLAGIRNEMSDSKQEIVKKYCAGLPMAIVTVARALRSKGEPVWEATLEKLKRQELVEVQTSMDISVKMSYDHLENEEIKSIFLLCAQMGHQPLIMDLVKYCFGLGILEGVSSIWEARDRIKTSIQKLKDSGLLLDGSSNNHFNMHDLVRDAALSIANNDRNVFTLRNGKLDDWPELESCTSMSICSCDIVDGLPKEINCSQLKFFQIDTNDPSLIIPENFFEGMKNLKVLILIGFRLSRLPSSIKCLLKLRMLCLERCTLDDNLHIGDLKKLRILSFSGSQLKTLPTELGCLDKLQLLDISDCFIVDTNIPPHLLSSLTHLEELYIRKSVIRMLGEGETNQGQNLFLSEIKNLYQLKVVDLSIPCVSVLPDHLFFDRLKDYKIVVGDLEMFSVGDFRMPDKYETFRVLALQLNDASDIHSYEGIKMLFKTVQCLLLGNVDGVQNVVNELNVDGFPYLKHLHIVNNNNIKYLNSTTLSNCVDIFPNLESLCLYNLVNLEVICYGLLTAASFAKLKAIKVEVCNRLKNLHSFYALKVLTSSKTCEISECNSFMDKFPTSVEIIEVCECGSLKEILQIPVDYGQLEFHKLQSLTLQSLPSFTSFYTIVDGSYGSHVIELQTTNGGSREIVGEDVQNDKTPLFGELVATPNLESLNISSLNIHKIWSDKHSACFGGQNLIKIVVKHCDKLTYLCSLSMANSLKKLKSLVISECRIMEKIFETEENSADKVCVFPMLEEIHLSKMNRLTNIWQTKVSVDSFSNLASVKIEECNKLNKIFPSHMKGWVECLDNLTVCSCLSVEEIFEINDYKEIDEFGGIDTNLQAILLENLPKLKQLWSTDPNGILNFKKLRTIDIYNCNELRNLFPSSVAKDVPKLERISTLHCEKMVEIVASQVASGVNNDPLVFPELVCVRLQWLPNIKHFYKGKHLIKCPKLKELSIGTRVTLKTFLKETSETTKKEEECVFSAEEVLPNLEYMEIDFDEAQELLPKYQMQRLKELTLMSVESVDLLWQFPYCMSNLEKLKMVYPSFLQEVEPRANFARQERFGITLQLKELVLVHSKIRDLGLGRVPVLRRLELLSLKNCDLLNNLAPPSVSLTYLTYLELKHCSRLRNLMAFSTAQSMVQLKTMKVINCGNVKEIVSNEGSENGKEMKIVFSKLISIELVGLNYMRSFCSSRECEFDFPSLEILIVRECPNMEKFSERRPITPKLKNVFGVEGDEKTRWQWEHNLNATIQKVFNDKVTFRYTEDLLYNYGTEFIIKCKIR >Vigun03g254500.1.v1.2 pep primary_assembly:ASM411807v1:3:42095930:42102096:-1 gene:Vigun03g254500.v1.2 transcript:Vigun03g254500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLMDFLTACWRRRSSDGKGSEVTGRKDGLLWYKDAGQHLFGEYSMAVVQANNLLEDQSQIESGPLSLLETGPYGTFVGVYDGHGGPETSRFVCDHLFQHLKRFASEQKSMSVEVIRKAYQATEEGFLSVVTKQWPMNPQIAAVGSCCLVGVICGGILYIANLGDSRAVLGRVVRATGEVLAIQLSSEHNVGIESVRQEMHSLHPDDSKIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNKEPLYAKFRVRDGFKRPILSSDPSISVHELQSHDQFLIFASDGLWEHLSNQDAVDIVQNNPHNGIARRLIKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLVSRASSVRGPPLSVRGGGVPLPSRTLAPCAAPMET >Vigun11g024800.6.v1.2 pep primary_assembly:ASM411807v1:11:3206105:3210859:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSV >Vigun11g024800.8.v1.2 pep primary_assembly:ASM411807v1:11:3206060:3211695:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun11g024800.1.v1.2 pep primary_assembly:ASM411807v1:11:3205774:3211664:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHHIAERDTLDLLHSRSSFVSQKLVRTTPRRVFLSVLCFSKEGHLRNMEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun11g024800.7.v1.2 pep primary_assembly:ASM411807v1:11:3205510:3211900:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun11g024800.4.v1.2 pep primary_assembly:ASM411807v1:11:3205774:3211664:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun11g024800.3.v1.2 pep primary_assembly:ASM411807v1:11:3205774:3211694:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun11g024800.9.v1.2 pep primary_assembly:ASM411807v1:11:3205759:3211695:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSD >Vigun11g024800.5.v1.2 pep primary_assembly:ASM411807v1:11:3206060:3211900:1 gene:Vigun11g024800.v1.2 transcript:Vigun11g024800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGEQPLLERKKYYENCPGCKVDKAKELSAGQGVSYTKLFVLWMVALSAALPISSLFPFLYFMVRDFNIAETEADISSYAGYVGSVFMFGRCLTSFLWGTIADRFGRKPAILIGVTAVVVFNTLFGLSTSFWMAIITRFLLGSFNGYLGPVRAYGSELFREEHQALGLSTVSSAWGTGLIIGPSIGGYLAQPVEKYPNIFPKDSFWDKFPYFLPSFVISAFAFVVAIGSIWIPETLHNHKCSDESTEDDEALENGSGDTDNEKITKENENLFLNWPLMSSVLTYCIFSLHDMAYTEIFSLWAVSPKRLGGLNFTTSDVGNILSVSGLALIVFQLALYPFVERKIGGIGCARLSAMLSIPLLQSYPFIALFSGAALYIGLSTASILKNIFAISISTGLFLQQNRLVEQHQRGAANGISMTGMSLFKAIGPAIGGSVLAWSEKRMDSSFLPGTHMVFFLLNIVEAFGLSMIMMLKTSRGEKKKPDQLQ >Vigun10g062500.1.v1.2 pep primary_assembly:ASM411807v1:10:13222112:13233279:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLLKISRTIGHLNKVLHINLQDCISLRKLPRSIYKLKSLKTLILSGCLMIDKLQEDIEQMESLTTLVADKTAITKIPFSIARSKSIAYISLCGYEGFLRDVFPSIIWSWMSPTNSLSSHMQRFAGISSLASLDVPNNSSHHLASISKDLPKLQSLWVECGSKLQLSQDTKIIFDALHDTNSGESETTATTSQMSNINAFTLIECNSQVHLSGSNRSLLIQMGVSSEVSYNLKENILKNNQDCLVSDNCYPHWLAFDSNGSSVTFEIPEVNGYKMKTIMCHVHYSSPANITSDGLKNLLVINHTKGTIQLHKRNALAVFEDEDWERVISNIEPGNKVEVVVIFGNSLIVNHTKIYVTYEPNDNKSEVPCTT >Vigun10g062500.3.v1.2 pep primary_assembly:ASM411807v1:10:13222112:13233279:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLLKISRTIGHLNKVLHINLQDCISLRKLPRSIYKLKSLKTLILSGCLMIDKLQEDIEQMESLTTLVADKTAITKIPFSIARSKSIAYISLCGYEGFLRDVFPSIIWSWMSPTNSLSSHMQRFAGISSLASLDVPNNSSHHLASISKDLPKLQSLWVECGSKLQLSQDTKIIFDALHDTNSGESETTATTSQMSNINAFTLIECNSQVHLSGSNRSLLIQMGVSSENNQDCLVSDNCYPHWLAFDSNGSSVTFEIPEVNGYKMKTIMCHVHYSSPANITSDGLKNLLVINHTKGTIQLHKRNALAVFEDEDWERVISNIEPGNKVEVVVIFGNSLIVNHTKIYVTYEPNDNKSEVPCTT >Vigun10g062500.9.v1.2 pep primary_assembly:ASM411807v1:10:13222141:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.11.v1.2 pep primary_assembly:ASM411807v1:10:13222147:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.6.v1.2 pep primary_assembly:ASM411807v1:10:13222109:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.10.v1.2 pep primary_assembly:ASM411807v1:10:13222147:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.8.v1.2 pep primary_assembly:ASM411807v1:10:13222120:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.2.v1.2 pep primary_assembly:ASM411807v1:10:13222112:13233279:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLLKISRTIGHLNKVLHINLQDCISLRKLPRSIYKLKSLKTLILSGCLMIDKLQEDIEQMESLTTLVADKTAITKIPFSIARSKSIAYISLCGYEGFLRDVFPSIIWSWMSPTNSLSSHMQRFAGISSLASLDVPNNSSHHLASISKDLPKLQSLWVECGSKLQLSQDTKIIFDALHDTNSGESETTATTSQMSNINAFTLIECNSQVHLSGSNRSLLIQMGVSSEVSYNLKENILKNNQDCLVSDNCYPHWLAFDSNGSSVTFEIPEVNGYKMKTIMCHVHYSSPANITSDGLKNLLVINHTKGTIQLHKRNALAVFEDEDWERVISNIEPGNKVEVVVIFGNSLIVNHTKIYVTYEPNDNKSEVPCTT >Vigun10g062500.7.v1.2 pep primary_assembly:ASM411807v1:10:13222119:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.12.v1.2 pep primary_assembly:ASM411807v1:10:13222147:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.4.v1.2 pep primary_assembly:ASM411807v1:10:13222147:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLLKISRTIGHLNKVLHINLQDCISLRKLPRSIYKLKSLKTLILSGCLMIDKLQEDIEQMESLTTLVADKTAITKIPFSIARSKSIAYISLCGYEGFLRDVFPSIIWSWMSPTNSLSSHMQRFAGISSLASLDVPNNSSHHLASISKDLPKLQSLWVECGSKLQLSQDTKIIFDALHDTNSGESETTATTSQMSNINAFTLIECNSQVHLSGSNRSLLIQMGVSSEVSYNLKENILKVFLFLSCIILYF >Vigun10g062500.5.v1.2 pep primary_assembly:ASM411807v1:10:13222109:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun10g062500.13.v1.2 pep primary_assembly:ASM411807v1:10:13222147:13233344:1 gene:Vigun10g062500.v1.2 transcript:Vigun10g062500.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERETSSGSSTIQKSGSEEDAEAQMDERKRKRMTSNQESARKYRMRKQKHLEDLVSTVAQLKNENQHILQSINLTSQNYMNFEAENSILRAEMSELSSRLSNLRFYDVFLSFRGEDTRASFVSHLHASLQNAGIIVFKDDESLPRGDQISYSLFQAIEQSRISIVVFSRNYSQSTWCLNELVRIMQCHSTIGQVVLPVFYDVDPSEVRHQTGEFGRAFQSLSSRTFTEVSKKRRWMKTLREAASISGIVVLNSRNESEAIKSIIENVTRLLDKTELFIADNPVGVESRVQDTIQLLDLKRSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLAQIRDVWGKDGGQVCLQEQLLFDINKETKTKIHNVEFGKNILMQRLHRKRVLLILDDVNNLHQLNALCGNRGWFGSGSRIIITTRDMHILRGSRVDKLYMMERMDESESVELFSWHAFKQASPREDFAELSRSVIAYSGGLPLALEVLGSYLFDMEVTEWKSVLEKLQKIPNDEVQEKLKISYDGLSDNTEKEIFLDIACFFIGMDRNDVIHILNGCGLCAENGIRVLTERSLVTIDHNNTLGMHDLLRDMGREIIRGKSPKEPEERSRLWFLEDVLDVLSKETGTKAIEGLALKLPRTNTKSLNTKAFKKMKKLRLLQLAGVDLIGDYKYLSKDLRWLCWREFPLTSFPANFYQGSLVSVELENSNVTHVWKEAQVMENLKILNLSHSHCLTHSPDFSNMPNLEKLVLVDCPRLSND >Vigun01g041800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5870822:5876923:1 gene:Vigun01g041800.v1.2 transcript:Vigun01g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLKLDSKFVAFSYNPCHRFRSMSFLRSIHTSITDSYQSILHSNQLLNGLSKSGRIDDARKVFDKMTKRDEYTWNTMISGYVNVGRLVEARELLNGFSSRSSITWSSLISGYCRFGCEAEAFGLFRSMRLEGQKPSQYTLGSILRVCSALCLIQNGKMIHGYVVKNGFESNVYVVTGLVDMYAKCRHVSEAEILFKGLAFDKGNHVLWTAMVTGYAQNGDGLKAIEFFCYMHAEGVASNQFTFPSILTACSAVSAHCFGEQVHGCIVRNGFGCNVYVQSALVDMYAKCGDLSSAKRVLENMDDDDVVSWNSMIVGCVRHGFEEEALLLFKKMHARNMKIDDYTFPSILNCCIIGRIDAKSVHCLVIKTGFGNYKLVSNAIVDMYAKTGELNCAYAVFEKMLEKDVISWTSLVTGYAQNGSHEESLKIFRDMRIAGINPDQFVVASILSACAELTLLEFGKQVHSDFIKSGLRSSLSVDNSLVTMYAKCGCLDDADAIFVSMHVRDVITWTALIVGYAQNGKGRHSLKFYDAMVSCGTKPDFITFIGLLFACSHAGLVDEGRAYFEQMKRIYGIDPGPEHYACMIDLFGRSGKLDEAKEILNQMEVKPDATVWKALLAACRVHGNLELGERAATNLFQLEPMNAMPYVMLSNMYSAARKWDAAAKIRRLMKSKGIVKEPGCSWIEMNSRVHTFSSEDRGHPREAEIYSKIDEIIVRIKEAGYVPDMNFSLHDMDREGMEVGLAYHSEKLAVAFGLLASPPGAAIRIFKNLRVCGDCHSAMKYISGIFARHIILRDSNCFHHFREGKCSCGDYW >Vigun09g002150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:172624:172959:1 gene:Vigun09g002150.v1.2 transcript:Vigun09g002150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLYFKFVLASIGEINRSHVVSLPLHIILKKIISNKRKRVILLYCIARIRNLYYIQQRHFKQLLSSAIICTRIDNSYHIYESSDLSLKNKNRKFHSQLPTSKLNMHIKEK >Vigun06g188600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30692382:30692906:-1 gene:Vigun06g188600.v1.2 transcript:Vigun06g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLTHTSVVKPAMPSESAQSPPAQGDKHKSLDTEIRKMVSAITHRVTDLNNSGSTHHLEKEDENDTRIVTLAGTNDGATLRSELDEKSGKSSVGESEALTTFINSNFQAVNNSIMYGGSYHANDPGVHFDISDFMDRPQPLQSHHHKVEKPAEKKGKKKDKEASKSDHQSRF >Vigun02g170300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31340678:31342297:1 gene:Vigun02g170300.v1.2 transcript:Vigun02g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAHSLPTPFHAGRDLHLHHQHQFHSLQQNNEDEQSGSSGGLNLAHKREREENNTTNSNNSEGKEGGGAGSGETEISRRPRGRPAGSKNKPKPPIIITRDSANALKTHVMEVADGCDIVDSVSTFARRRQRGVCIMSGTGTVTNVTLRQPASSGAVVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGALIASGPVVIMSASFSNAAYERLPLEDEDPSMPLQGGSIGSPGGGGGVGQAQTPQQLLGDSTAPLFHGLNPNLLNSVQIPTETFWATGPGRSPY >Vigun01g225300.1.v1.2 pep primary_assembly:ASM411807v1:1:39825108:39826171:-1 gene:Vigun01g225300.v1.2 transcript:Vigun01g225300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMSDFCFRGENVGGNRVSATGTKCGRWNPTTEQAKVLTQLFSSGLRTPSTDQIQKISNQLSFYGKIESKNVFYWFQNHKARERQKRRKVDKDVIRTENSISMNFFTQTDFTQLYDVSEAEKVTETLQLFPLNSFGESESKSTKVHASDCRDNTMFSYTEEEQMNHPPLDLRLSFM >Vigun01g225300.2.v1.2 pep primary_assembly:ASM411807v1:1:39825086:39826171:-1 gene:Vigun01g225300.v1.2 transcript:Vigun01g225300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMSDFCFRGENVGGNRVSATGTKCGRWNPTTEQAKVLTQLFSSGLRTPSTDQIQKISNQLSFYGKIESKNVFYWFQNHKARERQKRRKVDKDVIRTENSISMNFFTQNFTQLYDVSEAEKVTETLQLFPLNSFGESESKSTKVHASDCRDNTMFSYTEEEQMNHPPLDLRLSFM >Vigun02g127000.1.v1.2 pep primary_assembly:ASM411807v1:2:27924161:27928169:1 gene:Vigun02g127000.v1.2 transcript:Vigun02g127000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVSTVATKLAELLMEQAAVAVSQLAGVRGQVENLKNELGWMQSFLRDADAKQEGSDRVRLWVSEIRDVAFEAEELIETYVYNTTMQRQLDKVFRPWHLYKVRTRIDKIMSKIKSISNKRETYGVVMTGDDGNNSNERLRQWRQPSPSSEEEYLIELEDDMGLFLTQLLALEPNPYVVSIVGMGGLGKTTLAKKLYNHNKITNHFECRAWVYVSKEYRRRDVLQGILRDVDGAPRNEMERIPEEEFINKLRNVLSEKRYLVVLDDIWGMEVWDGLKSVFPRGKMGSKILLTTRNWEVALHADACSNPHQLRPLTEDESLRLLCNKAFPGTNGIPSELKDLATEIVVKCGGLPLAVVVVGGLLSRKLKSSGEWKRVLQNISWYLLEEQEKIARILALSYNDLPSHLKSCFLYLGLFPEGVNIQTKKLIRLWIAEGFLPQEGEETAEGVAQKYLNELIGRCMIQVGTVSSLGRVKTIRIHHLLRDLSLSKGKEEYFLKIFQGDVAGPSTKARRQSMHSCDERYDFLKHNAHHSRSLLFFNREYNADIARKPWLRLNFQQEKKLNFIYRKFKLLRVLELDGVRVVSLPSTIGDLIQLRYLGLRKTNLEEELPLSIGNLLNLQTLDLRYCCFLKKIPNVIWKLVNLRHLLLYTPFDSPDSGHLRLDTLTNLQTLPHIEAGNWIVDGGLANMANLRQLGICELSGQLVNSVLSTAQGLRNLYSLSLSLQSEEDEFPIFMQLSQCTHLQKLSLNGKIKKLPDPHEFPPNLLKLTLHNSHLQKESIAKLERLPKLKMLVLGERAYNWPELTFNSEGFSQLLILRLVLLKELEDWTVEQSSIPRLEYMVIDRCEKLKTIPEGLKAITSLKKLRIIGMPVEFEHKLRTKDISEFTNTPVIESTTDILAID >Vigun11g135200.1.v1.2 pep primary_assembly:ASM411807v1:11:34453998:34458126:-1 gene:Vigun11g135200.v1.2 transcript:Vigun11g135200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRMKKYNRQVSPERAKVWTEKSPKYHQSLKVPVIYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDRLNALRGRGMASLYSWSCKRSYKHGFVWHDLCEDDIILPAHGNEYVLKGSELFDESNSDRFSPVNNVQIQSVKLLPGPASSRSHDEASSSSSMNGKETRISQDDELSQEQQSGSSDVSPEARAEKGDALSLALTEYKIYKTDGLADASTQTEENVSRSRGQKTCTRGVSTEDGSLESECHEICQVEEDPQVKDTPQICRDTVSPPSTSSSSSFVGKAETLESLIRADASKVNSFRILEEESMREPTNTRLKASNLLMQLISCGSISVKNHSFGLIPSYKPRFSSSKFPSPLFSTSFVLGELDCLAENPKLMSLRLEDKEYFSGSLVETKLKEGNGLNVLKRSSSYSDERTFKEQKPQEDKEESSSSSGHSKCIPRSIKASLSKHPRSESMRSPVSDGPRHSSDRIDGSGISSVTSNGSSKRITEPLSLKKQSKRIDSFKEEEEVIKIEESLLQELGL >VigunL059149.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:2163:2471:-1 gene:VigunL059149.v1.2 transcript:VigunL059149.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun07g155700.1.v1.2 pep primary_assembly:ASM411807v1:7:26680299:26685676:1 gene:Vigun07g155700.v1.2 transcript:Vigun07g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKHTHGTRSVGLLVVCVCVWRVYCFASSSLSLHALFSLSRSNTNNTQTQQRQQHWTVPGSSLRSFSAENRTPSGDLAPKSRHLNSLPRSISVPRRIQQGQNHRGVVWFTWQDWNAKLINLFVIVAMVSSQVVKVKRDTLRPCMTCPLCHNFYKDATTISLCLHTFCRKCIYDKLSDEEMDCCPVCNIDLGCLPVEKLRPDHNLQDIRSKVFPFKRKKIKAQEVLSSISLPTKRKERSLSSLVVSAPKVSMQPGFTGKRTKTSTRKAAAALRGCSFLLEESIKKEETNGEDNMDPSMAEPSKKHKPNENKENSVEHAEGKVDLWTPLNCLVEAANRTKSSRSNSQAIPPAKLESPVTPHAGQNIPEITTKTDQPVSVHSELNIPKSKNKDTGHKTIFVDDKDANSLPSGPVKRRRLRPAGQKRVAASEMSASSPAPLNATGSKCNRKNSPIWFSLVASEDQKGDVPLPQISACYLRIKDGNVPVSFIQKYLVKKLNLSSEAEVEIMCRGQAVLPSLQLHTLVDLWFRTASTSKRIPASVGSSAKDFVMVLSYCRKTLPP >Vigun07g147400.2.v1.2 pep primary_assembly:ASM411807v1:7:25839227:25844141:-1 gene:Vigun07g147400.v1.2 transcript:Vigun07g147400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFAPVEIVDEVIDLDKEWRKRQFELENLRKEFNKINKEVSKLKRAGENASKFISESEETKKLIAEKEVEVRETLNRLNSKLETIGNLVHDSVPVSNDEANNAVVRSWGEKRVEPKLKNHVDLVELLGIADTKKGADIAGGRGFYLKGDGVRLNQALINFGLDFLDKREYTLLHTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLEDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNDSWNMHEEMLKNSEEFYKALNLPYQVVSIVSGALNDAAAKKYDLEAWFPASQAYRELVSCSNCTDYQARRLEIRYGQKKSNEQMKQYVHLLNSTLTATERTICCILENYQKEDGVEIPEVLRPFMGGKTFLPFKNQPSNEAKGKKSKA >Vigun07g147400.3.v1.2 pep primary_assembly:ASM411807v1:7:25839227:25844141:-1 gene:Vigun07g147400.v1.2 transcript:Vigun07g147400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFAPVEIVDEVIDLDKEWRKRQFELENLRKEFNKINKEVSKLKRAGENASKFISESEETKKLIAEKEVEVRETLNRLNSKLETIGNLVHDSVPVSNDEANNAVVRSWGEKRVEPKLKNHVDLVELLGIADTKKGADIAGGRGFYLKGDGVRLNQALINFGLDFLDKREYTLLHTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLEDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNDSWNMHEEMLKNSEEFYKALNLPYQVVSIVSGALNDAAAKKYDLEAWFPASQAYRELVSCSNCTDYQARRLEIRYGQKKSNEQMKQYVHLLNSTLTATERTICCILENYQKEDGVEIPEVLRPFMGGKTFLPFKNQPSNEAKGVLKQKHVQFNRAAHILNSFTNFVGKRNAVWKMGARVFNLVL >Vigun07g147400.1.v1.2 pep primary_assembly:ASM411807v1:7:25839226:25844218:-1 gene:Vigun07g147400.v1.2 transcript:Vigun07g147400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFAPVEIVDEVIDLDKEWRKRQFELENLRKEFNKINKEVSKLKRAGENASKFISESEETKKLIAEKEVEVRETLNRLNSKLETIGNLVHDSVPVSNDEANNAVVRSWGEKRVEPKLKNHVDLVELLGIADTKKGADIAGGRGFYLKGDGVRLNQALINFGLDFLDKREYTLLHTPFFMRKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLEDWIHPSQLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNDNDSWNMHEEMLKNSEEFYKALNLPYQVVSIVSGALNDAAAKKYDLEAWFPASQAYRELVSCSNCTDYQARRLEIRYGQKKSNEQMKQYVHLLNSTLTATERTICCILENYQKEDGVEIPEVLRPFMGGKTFLPFKNQPSNEAKGKKSKA >Vigun08g108500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26979947:26982541:1 gene:Vigun08g108500.v1.2 transcript:Vigun08g108500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHARDYCFLFIHLFTNLKFLYSLKSVCCICRNRWQIYSRILFNFMGNLITFDLFRLVGFLLCNDGIKGGQYSWHACFSFGDDSTRGIHKVVHTLAVSVS >Vigun08g108500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26980403:26980705:1 gene:Vigun08g108500.v1.2 transcript:Vigun08g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHARDYCFLFIHLFTNLKFLYSLKSVCCICRNRWQIYSRILFNFMGNLITFDLFRLVGFLLCNDGIKGGQYSWHACFSFGDDSTRGIHKVVHTLAVSVS >Vigun05g069000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5955574:5957367:1 gene:Vigun05g069000.v1.2 transcript:Vigun05g069000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTNKMKAVPVLLKQLMIARRKFHERGRDESLDKKLEKLRLDLNRIKDVFVRVKKKEEELLDTLAEVYGHLRKLDRGKLDEDMDGICKRIRDSARKLLPDDGFDESYKKEDEKGGKISHTSQELVQPHENKSWTAEDFYLLDDRLKVFLGSLQIFPENAVIRKRIAINLWIGEGLLENTESKTTEELGEDVISDLFKLNLIVRYAKGKSVLLANKFQILPSVRRQMGSYLLKKNVEHRGQYFIASIYLQKGPWRLQLEQNKVTLGDDLHLIRGIIIGSIFNIGASYLNFRLSWVTEFKNLEVFQLGRWQDSALHHIEVGSEEFLKELRYLKKLKYVSLRGISRIFELPSSIAELEKLLILDVKACHNLERLPDDISSMKSLTHLIMSDCCLLEGMPKGIEKLTNLEVLKGFLISTSEKTPCKISDLVKLGKLRRLSIRIGSEAEIRDGEFEGLKDFSALEHLKISWSVSDPKYANINVLLPSSLKKLHIECFPGKSLEECFMPGEYFPRKSLEECFMPGVHGRFRFILTELNITGGKLESMEVDFEWWRVKIVRLKYLKQLNVDIDDLKAMFPLLKYVEIKQVSNISYIQHEWDI >Vigun05g034700.10.v1.2 pep primary_assembly:ASM411807v1:5:2833532:2843274:-1 gene:Vigun05g034700.v1.2 transcript:Vigun05g034700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNETGATVILRGHGSGNNECLNAEDGQQPLHLLLSSNNAKSLEDAKLLAENLLDTICTECGASRVSSCKVYSAVPPPQQVYTAVPPPQQVYSAVPPPQQVYDGLSLSKQIPTVISPPQVYSAVPPPQKLTGVQSPAVEQEAVTSVTSSSMSAAVVLKPVPPASSVGVISDTAALTLGTTLQLTGHLSSGHQANVIGYTPPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPLASTVDPTILASNGESKPTSCFDLQKEKRPPQKRKFQELPVGSKGTAKLNQGLQPPKPNEQLDGLVVRNISTMPAPKKLVRPSSNGMPAPLPITMPPPPPPKFSGPSEVKVQDKNKNLFKTNSDAVPDTLVKLMEYGEEDDDDVDDSKEESFPHGSRANGGQKPFWAL >Vigun05g034700.6.v1.2 pep primary_assembly:ASM411807v1:5:2833532:2843274:-1 gene:Vigun05g034700.v1.2 transcript:Vigun05g034700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSVRVSFSNETSVSNDASQTRRRKKRKWDQPADSLMPVGMAVPGALPLSNAISLGGIPFPGMVPVISGALLTNPLAASAQVQQQSAAAAVAAQKMNQQKIQDELIIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAIVITRGKYRPPTAPLDGEKPLYLHISAGAHIKETAERILAVDRAAAMIEEMLKLGQNSQSISSASPNGPKVLTTCVFLGFDADPSLNIVARIRGPNDQYINHIMNETGATVILRGHGSGNNECLNAEDGQQPLHLLLSSNNAKSLEDAKLLAENLLDTICTECGASRVSSCKVYSAVPPPQQIPTVISPPQVYSAVPPPQKLTGVQSPAVEQEAVTSVTSSSMSAAVVLKPVPPASSVGVISDTAALTLGTTLQLTGHLSSGHQANVIGYTPPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPLASTVDPTILASNGESKPTSCFDLQKEKRPPQKRKFQELPVGSKGTAKLNQVFSLTFYEAKSLTLDLSVGPLVCMFDRVFNFGGVGACLCLHSIRPS >Vigun05g034700.1.v1.2 pep primary_assembly:ASM411807v1:5:2833532:2843258:-1 gene:Vigun05g034700.v1.2 transcript:Vigun05g034700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSVRVSFSNETSVSNDASQTRRRKKRKWDQPADSLMPVGMAVPGALPLSNAISLGGIPFPGMVPVISGALLTNPLAASAQVQQQSAAAAVAAQKMNQQKIQDELIIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAIVITRGKYRPPTAPLDGEKPLYLHISAGAHIKETAERILAVDRAAAMIEEMLKLGQNSQSISSASPNGPKVLTTCVFLGFDADPSLNIVARIRGPNDQYINHIMNETGATVILRGHGSGNNECLNAEDGQQPLHLLLSSNNAKSLEDAKLLAENLLDTICTECGASRVSSCKVYSAVPPPQQVYTAVPPPQQVYSAVPPPQQVYDGLSLSKQIPTVISPPQVYSAVPPPQKLTGVQSPAVEQEAVTSVTSSSMSAAVVLKPVPPASSVGVISDTAALTLGTTLQLTGHLSSGHQANVIGYTPPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPLASTVDPTILASNGESKPTSCFDLQKEKRPPQKRKFQELPVGSKGTAKLNQGLQPPKPNEQLDGLVVRNISTMPAPKKLVRPSSNGMPAPLPITMPPPPPPKFSGPSEVKVQDKNKNLFKTNSDAVPDTLVKLMEYGEEDDDDVDDSKEESFPHGSRANGGQKPFWAL >Vigun05g034700.2.v1.2 pep primary_assembly:ASM411807v1:5:2833532:2843274:-1 gene:Vigun05g034700.v1.2 transcript:Vigun05g034700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSVRVSFSNETSVSNDASQTRRRKKRKWDQPADSLMPVGMAVPGALPLSNAISLGGIPFPGMVPVISGALLTNPLAASAQVQQQSAAAAVAAQKMNQQKIQDELIIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAIVITRGKYRPPTAPLDGEKPLYLHISAGAHIKETAERILAVDRAAAMIEEMLKLGQNSQSISSASPNGPKVLTTCVFLGFDADPSLNIVARIRGPNDQYINHIMNETGATVILRGHGSGNNECLNAEDGQQPLHLLLSSNNAKSLEDAKLLAENLLDTICTECGASRVSSCKVYSAVPPPQQIPTVISPPQVYSAVPPPQKLTGVQSPAVEQEAVTSVTSSSMSAAVVLKPVPPASSVGVISDTAALTLGTTLQLTGHLSSGHQANVIGYTPPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPLASTVDPTILASNGESKPTSCFDLQKEKRPPQKRKFQELPVGSKGTAKLNQGLQPPKPNEQLDGLVVRNISTMPAPKKLVRPSSNGMPAPLPITMPPPPPPKFSGPSEVKVQDKNKNLFKTNSDAVPDTLVKLMEYGEEDDDDVDDSKEESFPHGSRANGGQKPFWAL >Vigun05g034700.5.v1.2 pep primary_assembly:ASM411807v1:5:2833532:2843258:-1 gene:Vigun05g034700.v1.2 transcript:Vigun05g034700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSVRVSFSNETSVSNDASQTRRRKKRKWDQPADSLMPVGMAVPGALPLSNAISLGGIPFPGMVPVISGALLTNPLAASAQVQQQSAAAAVAAQKMNQQKIQDELIIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAIVITRGKYRPPTAPLDGEKPLYLHISAGAHIKETAERILAVDRAAAMIEEMLKLGQNSQSISSASPNGPKVLTTCVFLGFDADPSLNIVARIRGPNDQYINHIMNETGATVILRGHGSGNNECLNAEDGQQPLHLLLSSNNAKSLEDAKLLAENLLDTICTECGASRVSSCKVYSAVPPPQQVYTAVPPPQQVYSAVPPPQQVYDGLSLSKQIPTVISPPQVYSAVPPPQKLTGVQSPAVEQEAVTSVTSSSMSAAVVLKPVPPASSVGVISDTAALTLGTTLQLTGHLSSGHQANVIGYTPPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPLASTVDPTILASNGESKPTSCFDLQKEKRPPQKRKFQELPVGSKGTAKLNQVFSLTFYEAKSLTLDLSVGPLVCMFDRVFNFGGVGACLCLHSIRPS >Vigun02g173200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31585397:31589196:1 gene:Vigun02g173200.v1.2 transcript:Vigun02g173200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSSSSTSTLGESNEGHPHMHTTKIQDPDMLSLLIPKTPTTFEKNQKPQESTHFSLALNEAKSIANTALPMVLTGLLLYSRSVISMLFLGRAGELALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRFKLLGFAMQRTIVLLLLTSIFISFLWLNMKKILLLCGQQEDIATQAQSFILYSLPDLVAQSLLHPLRIYLRSQSITLPLTYTASLSILLHVPINYLLVSVFKLGIKGIALGAVWTNFNLVFSLILYIWVSQIYKKTWTPISLKGIFCGWKALLNLAIPSCISVCLEWWWYEIMILLCGLLINPQATVASMGVLIQTTALIYIFPSSLSFAVSTRVGNEVGAENPKRAKLAALVGLCFSYVLGLSALFFAVSVRHVWASMFTRDREIIALTSTVLPIIGLCELGNCPQTTVCGVLRGTARPKLGANINLGCFYIVGMPVAVWLGFFAGFDFEGLWLGLLAAQASCMVTMLFVLARTNWEGQVQRAKQLTSYDATEEEHEHEHKHEHEHEQQDKSSTEECSDSNV >Vigun02g095200.4.v1.2 pep primary_assembly:ASM411807v1:2:25076726:25081090:1 gene:Vigun02g095200.v1.2 transcript:Vigun02g095200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFKHGSSMVRKITQLSNDLDQEGENGSVGLATYTVHIPPTPDNQPMEISLERSSSRRAEDQYASSSMFTGGFNQLTRAHLKDKVIESESSHPQMAGAKGSSCAVPGCDGKVMTDERGLDVVPCECGYKICRDCYRDALRAGEGICPGCKEPYNEPEVNLPPGSGVNKMERRLSVMKSGALTRSQTNEFDHAQWLFESKGSYGYGNAMWPKESEPDASPASEWMGGDPNVFKEKQWKPLTRKLSISAAILSPYRLIIFVRLVILVFFLKWRVQNPNDDAIWLWGMSVVCEIWFAFSWVLDQFPKLFPVNRVADLDVLKEKFETPNPSNPTGKSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVRDRRRVKREYDEFKVRINGLPDSIRRRADAYNASQELKAMRKRRENENEEPIENLKIPKATWMADGTHWPGTWTNAVPEHSRGDHASIIQVMLKPPSDEPLTGTASDSTGLDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPRIKEETGWFGKKNKKSSTVASVSEAGAAEEQSLSLRNNEIEDEEMFTALVPKKFGNSSLLVDSIRVAEFQGLPLADHSAIKYGRPQGALTLPRDPLDAATVAEAINVISCWYEDKTEWGIRIGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTDQFIVQSLQVNFLVYLLGITLTLVILAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVMAGIEISFTLTSKSAGDDENDEYADLYVLKWTSLMIPPITIMMVNLIAIAVAVSRTIYSEDRQWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWVAIDPPSGSTQIGGSFQFP >Vigun02g095200.3.v1.2 pep primary_assembly:ASM411807v1:2:25076196:25081090:1 gene:Vigun02g095200.v1.2 transcript:Vigun02g095200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFKHGSSMVRKITQLSNDLDQEGENGSVGLATYTVHIPPTPDNQPMEISLERSSSRRAEDQYASSSMFTGGFNQLTRAHLKDKVIESESSHPQMAGAKGSSCAVPGCDGKVMTDERGLDVVPCECGYKICRDCYRDALRAGEGICPGCKEPYNEPEVNLPPGSGVNKMERRLSVMKSGALTRSQTNEFDHAQWLFESKGSYGYGNAMWPKESEPDASPASEWMGGDPNVFKEKQWKPLTRKLSISAAILSPYRLIIFVRLVILVFFLKWRVQNPNDDAIWLWGMSVVCEIWFAFSWVLDQFPKLFPVNRVADLDVLKEKFETPNPSNPTGKSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVRDRRRVKREYDEFKVRINGLPDSIRRRADAYNASQELKAMRKRRENENEEPIENLKIPKATWMADGTHWPGTWTNAVPEHSRGDHASIIQVMLKPPSDEPLTGTASDSTGLDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPRIKEETGWFGKKNKKSSTVASVSEAGAAEEQSLSLRNNEIEDEEMFTALVPKKFGNSSLLVDSIRVAEFQGLPLADHSAIKYGRPQGALTLPRDPLDAATVAEAINVISCWYEDKTEWGIRIGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTDQFIVQSLQVNFLVYLLGITLTLVILAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVMAGIEISFTLTSKSAGDDENDEYADLYVLKWTSLMIPPITIMMVNLIAIAVAVSRTIYSEDRQWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWVAIDPPSGSTQIGGSFQFP >Vigun02g095200.1.v1.2 pep primary_assembly:ASM411807v1:2:25076080:25081090:1 gene:Vigun02g095200.v1.2 transcript:Vigun02g095200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFKHGSSMVRKITQLSNDLDQEGENGSVGLATYTVHIPPTPDNQPMEISLERSSSRRAEDQYASSSMFTGGFNQLTRAHLKDKVIESESSHPQMAGAKGSSCAVPGCDGKVMTDERGLDVVPCECGYKICRDCYRDALRAGEGICPGCKEPYNEPEVNLPPGSGVNKMERRLSVMKSGALTRSQTNEFDHAQWLFESKGSYGYGNAMWPKESEPDASPASEWMGGDPNVFKEKQWKPLTRKLSISAAILSPYRLIIFVRLVILVFFLKWRVQNPNDDAIWLWGMSVVCEIWFAFSWVLDQFPKLFPVNRVADLDVLKEKFETPNPSNPTGKSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVRDRRRVKREYDEFKVRINGLPDSIRRRADAYNASQELKAMRKRRENENEEPIENLKIPKATWMADGTHWPGTWTNAVPEHSRGDHASIIQVMLKPPSDEPLTGTASDSTGLDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPRIKEETGWFGKKNKKSSTVASVSEAGAAEEQSLSLRNNEIEDEEMFTALVPKKFGNSSLLVDSIRVAEFQGLPLADHSAIKYGRPQGALTLPRDPLDAATVAEAINVISCWYEDKTEWGIRIGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTDQFIVQSLQVNFLVYLLGITLTLVILAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVMAGIEISFTLTSKSAGDDENDEYADLYVLKWTSLMIPPITIMMVNLIAIAVAVSRTIYSEDRQWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWVAIDPPSGSTQIGGSFQFP >Vigun02g095200.2.v1.2 pep primary_assembly:ASM411807v1:2:25076700:25081090:1 gene:Vigun02g095200.v1.2 transcript:Vigun02g095200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFKHGSSMVRKITQLSNDLDQEGENGSVGLATYTVHIPPTPDNQPMEISLERSSSRRAEDQYASSSMFTGGFNQLTRAHLKDKVIESESSHPQMAGAKGSSCAVPGCDGKVMTDERGLDVVPCECGYKICRDCYRDALRAGEGICPGCKEPYNEPEVNLPPGSGVNKMERRLSVMKSGALTRSQTNEFDHAQWLFESKGSYGYGNAMWPKESEPDASPASEWMGGDPNVFKEKQWKPLTRKLSISAAILSPYRLIIFVRLVILVFFLKWRVQNPNDDAIWLWGMSVVCEIWFAFSWVLDQFPKLFPVNRVADLDVLKEKFETPNPSNPTGKSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVRDRRRVKREYDEFKVRINGLPDSIRRRADAYNASQELKAMRKRRENENEEPIENLKIPKATWMADGTHWPGTWTNAVPEHSRGDHASIIQVMLKPPSDEPLTGTASDSTGLDLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPRIKEETGWFGKKNKKSSTVASVSEAGAAEEQSLSLRNNEIEDEEMFTALVPKKFGNSSLLVDSIRVAEFQGLPLADHSAIKYGRPQGALTLPRDPLDAATVAEAINVISCWYEDKTEWGIRIGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTDQFIVQSLQVNFLVYLLGITLTLVILAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVMAGIEISFTLTSKSAGDDENDEYADLYVLKWTSLMIPPITIMMVNLIAIAVAVSRTIYSEDRQWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWVAIDPPSGSTQIGGSFQFP >Vigun08g209200.1.v1.2 pep primary_assembly:ASM411807v1:8:37190546:37195309:-1 gene:Vigun08g209200.v1.2 transcript:Vigun08g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGILRSRAISFASKRFLCTNTASAPLPPPPPPSPNAASAPLPPPPSPRRWNFLKYAIVGAITGATGLAGYASYAYSLEEIEQKTKSFRESAKHTAADGGTALDKFQGLLYSTAMAVPTKALEFYLDSRRLIEELVQSYTEPYTDKLLPDLLPQEQHVFTLVLDLNETLIHYIWTRDTGWQTFKRPGVDAFLEHLAQFYEIVVYTDEQNMFVDPVIERLDTKHCIRYRLSRPATKYQDGKHFRDLSKLNRNPAKVLYLSGHALESCLQPENCVPIKAWQQQDIDDTALLDFIPFLEFVARSSPSDIRPVLASYEGCDIPSEFIRRSKEHQRRMQEQKHRGRFWK >Vigun07g150300.1.v1.2 pep primary_assembly:ASM411807v1:7:26069429:26071601:1 gene:Vigun07g150300.v1.2 transcript:Vigun07g150300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVQQLQKQLVDYTASLFHEGFLDEQFNQLQQLQDESNPDFVVEVVTLFFEDAERLLNELTKTLAQPTIDFKKLDAHVHQLKGSSSSIGAQRVHRVCISFRNSCEEQNVEGCLKNLQQVTHEYSLVKNKLETLFRMEQQILAAGG >Vigun01g248300.1.v1.2 pep primary_assembly:ASM411807v1:1:41569277:41570880:1 gene:Vigun01g248300.v1.2 transcript:Vigun01g248300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSTFDSNRNNLANLYQEGSMLSFEGQKIQGAQNIVAKLTSLPFHQCQHSITTVDCQPSGGNGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSYYVLNDIFRLNYA >Vigun10g155500.3.v1.2 pep primary_assembly:ASM411807v1:10:37532042:37536251:-1 gene:Vigun10g155500.v1.2 transcript:Vigun10g155500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLMKKVLNEQHHLQQHVSEEEEEEENDSEPITRSSINPFDLLNDQDSEPENQGNELASSHETMASYDEKEESSSSLKPTAEVSTSNPKSKKKKKKKTKDNAAAANKTADDKELDLILEDLSLNVNSSAEQPVSAKDKIKSVKQQATSVLKVDPKYLNAENELRRIFGSKVMKSFESSNQASSSRQMRGVRGRVHYNLRKSVLVTPSDNWLRCDDSLSMEFLEMKNGYNYFRYVHSPSYSQSQRAFEAAKAINDINGIASILQHRPYHIDSLLTMAEYFAVLGEQPMSADAIARCLYALECAWHPMFNPLQGSCQLKFKHDTNKPIFTALFTHMKNLDRRGCHRSALEVCKLLLSLDSDDPMGAIFCIDYFALRAEEYAWLEKFSEEYKSDNSIWLFPNFSYSLAICRFYLECEACKDDNMNDEKSSSSDLMKQALMLHPSVIKKLVAKVPLKDRTWTDILKHAFFRSDQTGIPSVDHLINIYVERNYLIWRLPDLQKLLSGAAKTVIETLESNKSEVKDWACVRKEAFSSEKNE >Vigun10g155500.1.v1.2 pep primary_assembly:ASM411807v1:10:37531921:37536251:-1 gene:Vigun10g155500.v1.2 transcript:Vigun10g155500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLMKKVLNEQHHLQQHVSEEEEEEENDSEPITRSSINPFDLLNDQDSEPENQGNELASSHETMASYDEKEESSSSLKPTAEVSTSNPKSKKKKKKKTKDNAAAANKTADDKELDLILEDLSLNVNSSAEQPVSAKDKIKSVKQQATSVLKVDPKYLNAENELRRIFGSKVMKSFESSNQASSSRQMRGVRGRVHYNLRKSVLVTPSDNWLRCDDSLSMEFLEMKNGYNYFRYVHSPSYSQSQRAFEAAKAINDINGIASILQHRPYHIDSLLTMAEYFAVLGEQPMSADAIARCLYALECAWHPMFNPLQGSCQLKFKHDTNKPIFTALFTHMKNLDRRGCHRSALEVCKLLLSLDSDDPMGAIFCIDYFALRAEEYAWLEKFSEEYKSDNSIWLFPNFSYSLAICRFYLECEACKDDNMNDEKSSSSDLMKQALMLHPSVIKKLVAKVPLKDRTWTDILKHAFFRSDQTGIPSVDHLINIYVERNYLIWRLPDLQKLLSGAAKTVIETLESNKSEVKDWACVRKEAFSSEKNEYGHLLVSDFSDSLSSIPRENLQQFMGVPRMMEDGMQDENQFANLPGNGHAPRGVANRNALAVLFESMLPWVTYEDREDGEPDDNQHDDHMQDHQ >Vigun10g155500.2.v1.2 pep primary_assembly:ASM411807v1:10:37532042:37536251:-1 gene:Vigun10g155500.v1.2 transcript:Vigun10g155500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLMKKVLNEQHHLQQHVSEEEEEEENDSEPITRSSINPFDLLNDQDSEPENQGNELASSHETMASYDEKEESSSSLKPTAEVSTSNPKSKKKKKKKTKDNAAAANKTADDKELDLILEDLSLNVNSSAEQPVSAKDKIKSVKQQATSVLKVDPKYLNAENELRRIFGSKVMKSFESSNQASSSRQMRGVRGRVHYNLRKSVLVTPSDNWLRCDDSLSMEFLEMKNGYNYFRYVHSPSYSQSQRAFEAAKAINDINGIASILQHRPYHIDSLLTMAEYFAVLGEQPMSADAIARCLYALECAWHPMFNPLQGSCQLKFKHDTNKPIFTALFTHMKNLDRRGCHRSALEVCKLLLSLDSDDPMGAIFCIDYFALRAEEYAWLEKFSEEYKSDNSIWLFPNFSYSLAICRFYLECEACKDDNMNDEKSSSSDLMKQALMLHPSVIKKLVAKVPLKDRTWTDILKHAFFRSDQTGIPSVDHLINIYVERNYLIWRLPDLQKLLSGAAKTVIETLESNKSEVKDWACVRKEAFSSEKNEYGHLLVSDFSDSLSSIPRENLQQFMGVPRMMEDGMQDENQFANLPGNGHAPRGVANRNALAVLFESMLPWVTYEDREDGEPDDNQHDDHMQDHQ >Vigun06g208700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32199084:32199908:-1 gene:Vigun06g208700.v1.2 transcript:Vigun06g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVIYQGGMRVFQGMKEQASKCDSTIKSLRDSKKACFFSTSSSSPSFKTPNNDKLKQAEDSLRTVMYLSCWGPN >Vigun06g228100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33555900:33557539:1 gene:Vigun06g228100.v1.2 transcript:Vigun06g228100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLESKKRTEVQPESSENLSDSVPTHKKTRDLPNLTECHACGFKVDVCSGKNRLRTLYSEWRVVLLCKNCFSSVESSQMCSYCFYGMSLESYRCNQCQRSVHKTCFLKYKNAPPWSYASVGSEFSVCVDCWIPKHLELSRRRKRRVMGGENGGIILEKGSSRVFPDENLERSMEDLVEDAKRVVGEKVEAAARAREGAMKKALVAKRAVEIANNALGLVANKEECGLNPLLKRDAFEVLDGSELTFELHPQFNCLPRISKSCCLLNTSSLDIPMMLSSSVDSSCKTSNSRNTDYRYKHEVSCDDKLLADSCKSLCEPLVSVGSLDSGSSTGLNVLCIGRSGMETGSKVGEPTAESDGEGIGEELQKEGEGSCSDRIINVSEDSGMELDRKQDDSALHREKLCIGQPDRYFLKYSRRNCSLKSN >Vigun06g148300.1.v1.2 pep primary_assembly:ASM411807v1:6:27323262:27326049:-1 gene:Vigun06g148300.v1.2 transcript:Vigun06g148300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRASSGLDRFKKAQTLEPFSVSVNSSSSRNGAQPPSKVVGHSSAWPPQSHVSGHQSQHQQASQKTVGVEAAPLLGQNQQATQIGGGQSTWQPPDWAIEPRPGVFYLEVLKDGQVLDRINLDRRRNIFGRQIQTCDFVLDHQSVSRQHAAVIPHKNGSVYVIDLGSAHGTFVANERLTKDSPVELEVGQSLRFAASTRTYILRKNDAALFPRPPPPAEINFPPPPDPSDEEAVVAYNTLLNRYGINKADLVSKSGESGSSASGRTKDYQSERPAKRVRKTRVAFRDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGKEQTSAKEADSSPKGVTDKLQEVLKKIKTPVKTGIYDDLYGESLSTKVGSSWAYSPALSTGERVPDKEDREGSGKSDSNPNTVDGDEDDDDDLFG >Vigun08g104000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:25838524:25858496:-1 gene:Vigun08g104000.v1.2 transcript:Vigun08g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQETPSATATATAAKVKKLTLIPLIFLIYFEVAGGPYGEEPAVKAAGPLLALLGFLIFPIVWGVPEALITAELTTAYPGNGGFVLWAERAFGPFWGSVMGTWKFLSVCINIASFPVLCIEYVQKYFPVLRSGWPRQVAVIASTLALSFLNYTGLTIVGNVAVLLAVVSLCPFVLMSLIAIPRIKPHRWVSLGQKGVKKDWNTYFNTLFWNLNFWDSVSTLAGEVDKPNKTFPLALFVAIIFICASYLIPLFAVIGAVSVDQRQWETGFLAQAAEMIAGKWLKIWIEVGAVLSTIGLYEAQLSSSAYQLLGMAEIGILPKFFGVRSKWFRTPWLGIMSSTLISIGVSYMNFTDIISSANFLYGLGMLLEFASFLWLRWKSPSIERPYRVPLRLPLLAVMCFIPSGFLVFIMVIATKTVYLVSGVMTVAGIGFFLFINLCKTMKWVEFSVEEQKQIPSERLAL >Vigun11g012800.1.v1.2 pep primary_assembly:ASM411807v1:11:1521604:1524386:-1 gene:Vigun11g012800.v1.2 transcript:Vigun11g012800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLRNVKVLPNSASVEEARQRVFEFFRVACRSLPSVMEIYNLYDVTSVAQLRSTIASEIRKNINLSDPKVIDMLLFKGMEELKNVVNHSKQRHHIIGQYVVGQQGQVQDSASKDPGTSPFLKNFYSSNYF >Vigun11g110900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31214452:31223817:-1 gene:Vigun11g110900.v1.2 transcript:Vigun11g110900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSLNLANLVQLCVTKKDLLAGKVLHAQLFRLRLFSDTFLSNHFIELYSKCDEIASAHIVFNNIPHKNIFSWNAILAAYCKTRNLQHACRLFLEMPQRNTVSLNTLISTMVRCGYERQALDTYNSMMLDGIKPSHITFATVFSACGTLLDVDCGRRNHGFVVKVGLESNIYVVNALLCMYAKCGLNVDALCVFRDIPEPNEVTFTTMIRGLAQTDQFKEALELFRLMLRKGVPVDSVSLSSILGVCAKGERDIGICHGLLCNAQGKQMHTLSIKLGFERDLHLCNSLLDMYAKIGDMDSAETVFVNLNEHSNVSWNIMIAGYGNRCNSEKAAEYLQRMQCDGYEPDDVTYINMLTACVKSGDVRTGRLIFDCMPCPNLASWNAILSVYNQIADHREAIELFRKMQFQCQHPDRTTLAIILSSCAELGLLAVGKEVHAASQKFGFYDDVYVASSLINLYSKCGKMELCEHVFSKLPELDIVCWNSMLAGFSINALEQDALSFFKQMRQLGFFPSEFSFATIVSSCAKLSSLFQGQLFHAQIIKDGFLDDIFVGSSLIEMYCKCGDVHGAKYFFDVMPSKNTVTWNEMIHGYAQNGNGRSALCLYNDMISSGEKPDDITFVAVLTACSHSSLVDEGLEIFNVMLPKFGVVPKLDHYTCIIDCLSRAGRFHEVEVFLDTMPCKDDAVVWEVVLSSCRIHANLTLAKRAAEELYRLDPQNSASYVLLANTYSSLGRWDDARVVRDMMSDKKVLKDPGYSRSVSKNDTQIILGNEQ >Vigun11g086200.3.v1.2 pep primary_assembly:ASM411807v1:11:25853993:25859285:1 gene:Vigun11g086200.v1.2 transcript:Vigun11g086200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPAMGRETRERRRMPRVMSVPGFLTEVDDDQAVSVSSDNPSTITTDRMIIVANQLPLKAKRREDNKGWSFSWNEDSLLLQLKDGLPDDMEVLYVGSLRVDIDPAEQDDVSQNLLDKFKCVPTFLPADVLAKFYDGFCKRQLWPLFHYMLPFSTDKSHRFDRTLWEAYVLANKLFFQKVVEIINPEDDYIWIHDYHLMVLPTFIRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIDSVMRMAVEETTVKELKHKFQGKTILLGVDDMDIFKGINLKILAMEQMLRQHPKWQGRAVLVQIVNPARGKGIHLEEIHAEIQESCTRINRVFGRPGYEPIVFIDRAVSIAEKIAYYSIAECVIVTAVRDGMNLTPYEYIACRQGISDSESCSNASDPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATSEAMNEAISMSDGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDAMVSAYKRSKSRAILLDYDGTVMPQNSINKSPSKEVLSIMESLSADPKNIVFIVSGRGRESLSEWFTPCKKLGIAAEHGYFLRWGHNGEWEICGKSSDFGWMQIAEPVMKLYTEATDGSSIERKESALVWQYRDADLGFGSSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQDVSKGLVAERIFSSMDGKGKQADFVLCVGDDRSDEDMFEIVSSAISRNILAPNASVFACTVGQKPSKAKYYLDDTTEVTSMLESLAEESDSSPCIQETVDSSRRQVQVSLI >Vigun11g086200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:25854871:25859286:1 gene:Vigun11g086200.v1.2 transcript:Vigun11g086200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPAMGRETRERRRMPRVMSVPGFLTEVDDDQAVSVSSDNPSTITTDRMIIVANQLPLKAKRREDNKGWSFSWNEDSLLLQLKDGLPDDMEVLYVGSLRVDIDPAEQDDVSQNLLDKFKCVPTFLPADVLAKFYDGFCKRQLWPLFHYMLPFSTDKSHRFDRTLWEAYVLANKLFFQKVVEIINPEDDYIWIHDYHLMVLPTFIRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIDSVMRMAVEETTVKELKHKFQGKTILLGVDDMDIFKGINLKILAMEQMLRQHPKWQGRAVLVQIVNPARGKGIHLEEIHAEIQESCTRINRVFGRPGYEPIVFIDRAVSIAEKIAYYSIAECVIVTAVRDGMNLTPYEYIACRQGISDSESCSNASDPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATSEAMNEAISMSDGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDAMVSAYKRSKSRAILLDYDGTVMPQNSINKSPSKEVLSIMESLSADPKNIVFIVSGRGRESLSEWFTPCKKLGIAAEHGYFLRSALSLSLSLCLKCQLFLCFASY >Vigun11g086200.1.v1.2 pep primary_assembly:ASM411807v1:11:25853993:25859285:1 gene:Vigun11g086200.v1.2 transcript:Vigun11g086200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPAMGRETRERRRMPRVMSVPGFLTEVDDDQAVSVSSDNPSTITTDRMIIVANQLPLKAKRREDNKGWSFSWNEDSLLLQLKDGLPDDMEVLYVGSLRVDIDPAEQDDVSQNLLDKFKCVPTFLPADVLAKFYDGFCKRQLWPLFHYMLPFSTDKSHRFDRTLWEAYVLANKLFFQKVVEIINPEDDYIWIHDYHLMVLPTFIRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIDSVMRMAVEETTVKELKHKFQGKTILLGVDDMDIFKGINLKILAMEQMLRQHPKWQGRAVLVQIVNPARGKGIHLEEIHAEIQESCTRINRVFGRPGYEPIVFIDRAVSIAEKIAYYSIAECVIVTAVRDGMNLTPYEYIACRQGISDSESCSNASDPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATSEAMNEAISMSDGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDAMVSAYKRSKSRAILLDYDGTVMPQNSINKSPSKEVLSIMESLSADPKNIVFIVSGRGRESLSEWFTPCKKLGIAAEHGYFLRWGHNGEWEICGKSSDFGWMQIAEPVMKLYTEATDGSSIERKESALVWQYRDADLGFGSSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQDVSKGLVAERIFSSMDGKGKQADFVLCVGDDRSDEDMFEIVSSAISRNILAPNASVFACTVGQKPSKAKYYLDDTTEVTSMLESLAEESDSSPCIQETVDSSRRQVQVSLI >Vigun05g213150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40392339:40392961:1 gene:Vigun05g213150.v1.2 transcript:Vigun05g213150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVLTLFLCSVLVSSVFVHDAEATEISNGAMNRNTISCSKKDSHSCQLPSANPYNRGCEASNSCRSPGSPS >Vigun06g087300.1.v1.2 pep primary_assembly:ASM411807v1:6:21923548:21926768:1 gene:Vigun06g087300.v1.2 transcript:Vigun06g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGISFFVLFSSTLSLAFLLSKWFSKGQTKNVPKGSLGYPIIGETLSFLKAQRQDKGGSDWLEERISKYGPVFKTCLMGSPTVFAIGQAGNKFILASPDDVLSAKKPLTMKKIFGRQSLVELTGHRYKLVKGEMLKFLKPECLQNYVKKMDELVNATLVREVTEKETMGVVVFMKKLSYDLSCNILFDIKDEQSREALFVDFTLAFKAIHSLPINFPGTTFWRGQKARARIVDRMIPIMNKRREEVSKGVVSSTNDMLSGLLALRDEHHQPLAHDLITDNFIFLLVASHDTSATLMSLMIWKLSRDPEVYKRVLEEQMEIIKHREGTEERLTWEEIQKMKYTWRVAQELMRMIPPLFGSFRKALKDTSFQGYDIPKGWQVYWAAYGTHMNDDVFENPRKFEPSRFENPTKPIPPYSYLPFGAGIHYCIGNEFARIETLTTIHNFVKLFEWSQVNPEEPITRQPMPYPSMGLPIKIKPRSNMS >Vigun08g185900.1.v1.2 pep primary_assembly:ASM411807v1:8:35522551:35526134:1 gene:Vigun08g185900.v1.2 transcript:Vigun08g185900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVAPGNLMIKDENLGIHSKKIVNSKNSKTGGKKGGSGIASRKALNDITNKASFHPETSIKKNNLHKEDINVKEEMFLHDHKKCIEAQKAAMRNFDLETVLPKDDSMFILEQIKVPESPRCYPEPVELPMSDFSDWLDYSMQWSSPPSSPLAWDSPPSPLAWQNKAFEFVLKEEIDACV >Vigun06g036900.1.v1.2 pep primary_assembly:ASM411807v1:6:15225110:15227627:1 gene:Vigun06g036900.v1.2 transcript:Vigun06g036900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFRFHQYQVVGRALPTETDVHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATIPAKLCKRESTKQFLNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >Vigun01g125600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30262374:30264739:1 gene:Vigun01g125600.v1.2 transcript:Vigun01g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSDAMNLDLNLGPGPEPETDPISNEAVNLDDWIGEPLQRISEAVRFRARQRWRWRHLPLPHPELHVHIPPEAARHFHIPPEAHNISMELNQFLVNSGNGTALQAGEGSVAAEERMEEVPKACENVNGVAEDEASQKKDDVEKGSGTDGDFFDCNICLDLSRDPVVTCCGHLFCWPCLYRWLHLHSDAKECPVCKGEVTLKSVTPIYGRGNNVRGHEEDSALKIPPRPQARRVESLRQTIQRNAFALPVEEMIRRLGSRIDLTRDYVQPHEVDGGRENAERTTSLLSRFLTARGMRREQNLGPLPEDVMAFAQNNANNTAEAGDNRSRVQQSHLLRRTQSHRATLSTLSALTSAERLLEAYFRSNAIGRNQEQPSPPVDDRDSFSSIGAVINSESQVDTAVEIDSMVSLSTSSSRRRNDASRLSDVDSGDSRAPRRRRLN >Vigun01g061800.1.v1.2 pep primary_assembly:ASM411807v1:1:13264358:13269309:-1 gene:Vigun01g061800.v1.2 transcript:Vigun01g061800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIREALQLGDEAKFEKSHVDIKLASTKVLLQRLRTHRTRLRMMKDEMTLMREEISLRCHGGIDKGVQHGEGNDSYEVEVEVGERDTDEQLPADDGNVVEGSSRVDVGKGLDVNRASHGGIFGEVEPLQAIVPYVPQQPRMTQFKVDYMKLYSSITVFGVPNRVVCNINGQILGTNECWGFGPGKKVDNMAVLFAASTMMFFERRRYSHVKRIHFNPLYTTHVLTDSRRMIVKRRQWTLRDYGAYFRAGLIVLDDILSADFLFAPIVNDDHWWCYVVNCKEKKLYVLDSIGHSNKSRRKIDNAVAHNMGLLFGMLMKCSEHDCPKFEVHCDITPIQPNLYDCGIIVLQMMELWDGQKQFDGNSMPNYTNEQLQLIRQQYIWRWILDVDNIYRQEVLQYYNALL >Vigun05g197200.1.v1.2 pep primary_assembly:ASM411807v1:5:38122440:38124368:1 gene:Vigun05g197200.v1.2 transcript:Vigun05g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEKDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLPIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLDSTRKTTGTKLDDWVLCRIYKKNSSAQKAVQNGIVSSREHTQYSNGSSSSSSSHLDDVLESLPTIDERCFAMPRVSTVQPQHEEKMSVHQNLGAGGLVDWANPAVLNSVGDFVSGNNQVVQEHTQGMVNYGGCNDLYVPTLCHVDSALPQKVEEEVQSGVRSQNNNAWFHQNDFTQGYQNTVDTYGFKFPVQPVGFGFRQ >Vigun03g009600.1.v1.2 pep primary_assembly:ASM411807v1:3:661433:664396:-1 gene:Vigun03g009600.v1.2 transcript:Vigun03g009600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSRHSHLLSGLLPARSKPTSGPTRVRMSLRDDGPSIAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASQRSAGRCITFEDRDYVVEELTADSFDGVDIALFSAGGSISKKFGPAAVDRGTVVVDNSSAFRMDEKVPLVIPEVNPEAMQHIKAGTGKGALIANPNCSTIICLMAATPLHRRAKVLRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCKIFNRQYAFNLFSHNASVLSNGYNEEEMKMVKETRKIWNDKEVKVTATCIRVPVMRAHAESVNLQFENPLDENTARDILKNAPGVVVIDDRESNHFPTPLEVSNKDDVAVGRIRQDLSQDGNQGLDIFVCGDQIRKGAALNAIQIAEMLL >Vigun09g144100.1.v1.2 pep primary_assembly:ASM411807v1:9:30684079:30688539:1 gene:Vigun09g144100.v1.2 transcript:Vigun09g144100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIFSTLSSNTLRFALSSFPNTNLQPPSSHIFFSRPLTFKGLSVNPKNLPAPLKASMAGTNNVVIEVFEQEDLAVSLAKYVADLSNKFTSERGAFTVCLSGGSLIKYLGKLLEPPYVDSLEWSKWHVFWLDERVVSKTHEDSNYKLALDGLLCKVPIPLDNVHAINDTLSAEGAADDYETRLRELVNKNVITLSPNSGFPKFDLVLLGMGPDGHVASLFPEHPLVQENKRWVAFIKDSPKPPPERITFTFPVINASAYAALVVTGKGKADAVHSVLGKSQNSVKLPAGLVSPEGELKWFLDKDAASKL >Vigun06g146600.1.v1.2 pep primary_assembly:ASM411807v1:6:27189757:27194665:-1 gene:Vigun06g146600.v1.2 transcript:Vigun06g146600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGLCEMHSVICSTAVAPNLSGRRSKIGNPNQLRAKSSLLIQKQDTQLAEPLSDSVSVSRRLILLRHAKSSWANRSLRDHDRPLSKSGKEDAVRVSRKLQELGWIPELILSSDAARTKETLKIMQEQVQELVEAEVHFVSSFYSIAAMDGQTAEHLQKVICRYSKDEILTVMCMGHNRGWEEAASMFSGASVELKTCNAALLETAGKSWDEAFATAGFGGWKLQGIVKPSS >Vigun04g131900.1.v1.2 pep primary_assembly:ASM411807v1:4:33178025:33183012:-1 gene:Vigun04g131900.v1.2 transcript:Vigun04g131900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSAVLGTGGGGGSGDAVVAAGGGAAHDGAVDVAGGGGGGGGGGSNSGDDERGRVEEGDRSFGGNRWPRQETLALLKIRSDMDVAFRDASVKGPLWEEVSRKLADLGYHRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLQALENNPAIHAIQSPTPVTTTALPATPVSIVVTTTPSSIMSLPPTTTLPLPPVSNNTTVPSPTTLPVPQAILALTTTPSFPSSNPTTYFPTQTPNPTTNNNNNKNPLSTITPPSFPNIPTDLLSNSSSSSTSSEETTTEGGRRKRKRKWKDFFERLMKEVIEKQEELQRRFLEAIERREQERVAREEAWRMQEMQRINREREILAQERSIAAAKDAAVMAFLQKMAEHQQEENNLQPALNTNNNNNSITIAPQQPVPQATPTPTPAPQQKQTTTVAEAPPVQSLVPQQQQHQVQQQQQLVLTNVESNKADNNGENLMMGASSSRWPKVEVQALIDLRTNLETKYQENGPKGPLWEEISALMRKMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYKEKNKGEGQMKPESMMAPLMVQPEQQWPPQQVVPPEVTMEDAQNDPMDARHHEDAEEEEEEEDKDIGEEDDDEDDEGGNYEIVASKPAPTPASAGDASAE >Vigun04g131900.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:33178025:33182097:-1 gene:Vigun04g131900.v1.2 transcript:Vigun04g131900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTTTLPLPPVSNNTTVPSPTTLPVPQAILALTTTPSFPSSNPTTYFPTQTPNPTTNNNNNKNPLSTITPPSFPNIPTDLLSNSSSSSTSSEETTTEGGRRKRKRKWKDFFERLMKEVIEKQEELQRRFLEAIERREQERVAREEAWRMQEMQRINREREILAQERSIAAAKDAAVMAFLQKMAEHQQEENNLQPALNTNNNNNSITIAPQQPVPQATPTPTPAPQQKQTTTVAEAPPVQSLVPQQQQHQVQQQQQLVLTNVESNKADNNGENLMMGASSSRWPKVEVQALIDLRTNLETKYQENGPKGPLWEEISALMRKMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYKEKNKGEGQMKPESMMAPLMVQPEQQWPPQQVVPPEVTMEDAQNDPMDARHHEDAEEEEEEEDKDIGEEDDDEDDEGGNYEIVASKPAPTPASAGDASAE >Vigun04g131900.3.v1.2 pep primary_assembly:ASM411807v1:4:33179106:33183035:-1 gene:Vigun04g131900.v1.2 transcript:Vigun04g131900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSAVLGTGGGGGSGDAVVAAGGGAAHDGAVDVAGGGGGGGGGGSNSGDDERGRVEEGDRSFGGNRWPRQETLALLKIRSDMDVAFRDASVKGPLWEEVSRKLADLGYHRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLQALENNPAIHAIQSPTPVTTTALPATPVSIVVTTTPSSIMSLPPTTTLPLPPVSNNTTVPSPTTLPVPQAILALTTTPSFPSSNPTTYFPTQTPNPTTNNNNNKNPLSTITPPSFPNIPTDLLSNSSSSSTSSEETTTEGGRRKRKRKWKDFFERLMKEVIEKQEELQRRFLEAIERREQERVAREEAWRMQEMQRINREREILAQERSIAAAKDAAVMAFLQKMAEHQQEENNLQPALNTNNNNNSITIAPQQPVPQATPTPTPAPQQKQTTTVAEAPPVQSLVPQQQQHQVQQQQQLVLTNVESNKADNNGENLMMGASSSRWPKVEVQALIDLRTNLETKYQENGPKGPLWEEISALMRKMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYKEKNKGEGQMKPESMMAPLMVQPEQQWPPQQVVPPEVTMEDAQNDPMDARHHEDAEEEEEEEDKDIGEEDDDEDDEGGNYEIVASKPAPTPASAGDASAE >Vigun08g010100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:873544:875651:-1 gene:Vigun08g010100.v1.2 transcript:Vigun08g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVILRPYYLTISLLILTSPNSFFTNPHNKMRQTFSIPFTTLLLATVTLPPPSAVVSAASGQWQLLQKSIGIVAMHMQLLHTDTVLIFDRTDFGLSNLSLPGGRCRHDPNEKVVKTDCTAHSVEYNVANNTFRALFLQTNIWCSSASVAADGTLVQTGGFNDGERTVRTFFPCPTCDWKEIPGGLAARRWYATNQKLPDGRQIIIGGRREFNYEFYPKSHATAKNIYSLPFLVQTRDPYEELNLYPFVFLNVDGTLFIFANNKAILLDYTKNAVVKTFPNIPGNDPRCYPSTGSAVLLPLRSKNPHFSFAEAEVVICGGAPRGAYNQAKKNRKFIRALTTCARIKITDPNPTWAVETMPGGKGRVMGDMILLPNGNVLIINGAGSGSAGWEFGRDPVLAPLLYYPDRKPGSRFEILNASNIPRMYHSSAILVRDGRVLVAGSNPHVGYNFSHVTFPTELSVEAFSPPYLEAAFQNVRPRIVGPVSGTRVAYGQIVKVRVAVAGNPLVRSLVRVTVLAPPFNTHSFSMNQRMLVLEPRNVTNVDGPTTFEIEVTTPGSPVLAPPGFYLLFVVHQEIPSEGIWIQIL >Vigun08g122000.1.v1.2 pep primary_assembly:ASM411807v1:8:29142912:29145634:-1 gene:Vigun08g122000.v1.2 transcript:Vigun08g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACVTDLARTAACRDGVAAAHLKVVSIFVIFLTSVAGMSSPVLLAGIFRGKPLYDKAIVVIKCFAAGVILSTSLVHVLPDAYAALADCQVASRHPWKDFPFAGLVTLVGALLALLVDLAASSHVEHAQYAPVVAQEKELELGGGCHGSGGDGERGEELVRLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGMGLGGCVAQAGFSFGTTAYMCMMFAVTTPMGIMLGMALFSLTGYDDSNPNALILEGLLGSVSSGILIYMALVDLIAVDFFHNKLMNSTPRLKKISFLALTLGSAAMSILALWA >VigunL059349.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000335.1:22703:23062:1 gene:VigunL059349.v1.2 transcript:VigunL059349.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun01g183400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36403575:36405916:1 gene:Vigun01g183400.v1.2 transcript:Vigun01g183400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKQKRTGKVPSKKSGTSSHDPVDSRDRDKEHEDSDWVIVKKQRVTILVPAVPLSERSLIANQGPNHTQLMPPELASNHVQLPMETSTLHPSDNEHEKTFLLAAQKETRTENRAPPILPRPTVVNPSSSDQRTESENPRQMSGLKSHKVLGISNTSKVIKQPRTLLAPRRPSNLETLNKNLRASNLVRKLERAGGLSKWLISLGLGQFVRIFQGKGLSKYQLVNLTMKKLKDMGANAVGPRRKLIHAMDCVCQPYCFEAL >Vigun01g183400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36403575:36405916:1 gene:Vigun01g183400.v1.2 transcript:Vigun01g183400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKQKRTGKVPSKKSGTSSHDPVDSRDRDKEHEDSDWVIVKKQRVTILVPAVPLSERSLIANQGPNHTQLMPPELASNHVQLPMETSTLHPSDNEHEKTFLLAAQKETRTENRAPPILPRPTVVNPSSSDQRTESENPRQMSGLKSHKVLGISNTSKVIKQPRTLLAPRRPSNLETLNKNLRASNLVRKLERAGGLSKWLISLGLGQFVRIFQGKGLSKYQLVNLTMKKLKDMGANAVGPRRKLIHAMDCVCQPYCFEAL >Vigun07g081500.2.v1.2 pep primary_assembly:ASM411807v1:7:11577224:11579703:1 gene:Vigun07g081500.v1.2 transcript:Vigun07g081500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAITTLTQPLSLAVTSAARPPRKAATVKTDKRKGQTSLGFGGDKKETKWHCVEGCGACCKLQKGPSFPSPEEYFDDPSDVQLYKSLIGADGWCIHYEKSTRKCSIYPDRPYFCRAEPEVFKSLFGVKEKNFHKEACRSTILTF >Vigun07g081500.3.v1.2 pep primary_assembly:ASM411807v1:7:11577224:11579703:1 gene:Vigun07g081500.v1.2 transcript:Vigun07g081500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAITTLTQPLSLAVTSAARPPRKAATVKTDKRKGQTSLGFGGDKKETKWHCVEGCGACCKLQKGPSFPSPEEYFDDPSDVQLYKSLIGADGWCIHYEKSTRKCSIYPGCS >Vigun07g081500.1.v1.2 pep primary_assembly:ASM411807v1:7:11577224:11579703:1 gene:Vigun07g081500.v1.2 transcript:Vigun07g081500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAITTLTQPLSLAVTSAARPPRKAATVKTDKRKGQTSLGFGGDKKETKWHCVEGCGACCKLQKGPSFPSPEEYFDDPSDVQLYKSLIGADGWCIHYEKSTRKCSIYPDRPYFCRAEPEVFKSLFGVKEKNFHKEACSFCRDTIKAIYGSNSEELHNFNCSIRKSSS >Vigun07g081500.4.v1.2 pep primary_assembly:ASM411807v1:7:11577224:11578411:1 gene:Vigun07g081500.v1.2 transcript:Vigun07g081500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAITTLTQPLSLAVTSAARPPRKAATVKTDKRKGQTSLGFGGDKKETKWHCVEGCGACCKLQKGPSFPSPEEYFDDPSDVQLYKSLIGADGWCIHYEKSTRKCSIYPDRPYFCRAEPEVFKSLFGVKEKNFHKEACRSTILTF >Vigun03g205400.1.v1.2 pep primary_assembly:ASM411807v1:3:33242119:33245593:-1 gene:Vigun03g205400.v1.2 transcript:Vigun03g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKRCLEANELEDLSLNKAKRFESNNEPVSLDDIVTPNKAFAKTVITGGGEDDFYNIQWYDPLEINAAKGSPYAGDKNVQTSGHFSSCSGEDDTGSGATSLSSASSDCLEFDIPQKAFVPLDDDYLGFDCSPRKSVPIGPNHQATIPVWAGKYNYDSPSSGLVSANTVDEDEERLDGISVLSMHDSGSYSSPNECGQGRKECNCLDRGSIRCVRQHVREARENLLKTFGKEKFVNLGFCDMGEDVAQHWTEEEEDMFHEVVYTNPASLGRNFWKHLSVTFSSRTSMEIVSYYFNVFMLQRRATQNRSRFLDIDSDDDECNTRNPGIICFENSDDSAIESLGDEDVNVENQDNYSDEDDNSDDGTDDNVLGLTGRDMGNTTEIESEIDQRSSNCKVNSQVESLSNHDDYVDGCARILNYDIAVQDDSCMSFECDANMASCHSHGFGDASSALQAKGFKCDQSPRVQGKLDLSCNGMEHGYLLDPCVTKDWYHDYTCPAPSTDLDFLPTSNLIEEFFGTLDKNTVSD >Vigun03g380200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58485045:58486285:-1 gene:Vigun03g380200.v1.2 transcript:Vigun03g380200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCFSTLALFLLLTTTTHAIVVPTQNQPRSFANQFLIPQNAARASVRQRPLVWDYKLVRYAQWYANQRRSDCALEHSNGPYGENIFWGSGTGWKPAQAVTAWVEERQWYNYWHNSCADGQMCGHYTQIVWNTTRKVGCASVVCSGGKGTFMTCNYDPPGNYYGEKPY >Vigun01g134500.1.v1.2 pep primary_assembly:ASM411807v1:1:31294613:31302738:1 gene:Vigun01g134500.v1.2 transcript:Vigun01g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAGISWETICLLASPLPFKLCLSLHSQTEIILNQLTHTMASPFSTHCHLPSFSSSNHFPLPSPSSPSSSSASFIFLHHFSKSSLGSVLSDTSDKQEIRCRAISKPRTQEYSEILQGSLAMLKLREINVEDDIEEEQDNGVLVAKEIKKRVDTIKSILSSLEDGEITVSAYDTAWVALVKDVNGSGAPQFPASLEWIAKNQLQDGSWGDNELFSAHDRIINTLACVIALKSWNMHPEKCEKGMTFFRENLNKLENENVEHMPIGFEVAFPSLLDLARGLEIEVPENSPILNKIFAMRNVKLTRIPRKMMHKVATSLLHSLEGMSDLDWNSLLKLQSQDGSFLFSPSSTAFALMQTKDQNCHAYLNQIVQRFNGGVPNVYPVDLFEHIWVVDRLERLGISRYFQQEIKDCMSYVNRYWTEKGICWARNSEVQDIDDTAMGFRLLRLHGHEVSADVFKHFERNGEFFCFKGQSTQAVTGMFNLLRASQVMFPGEKILEDGKKFSSKFLGEKRGANELVDKWIIMKNLAEEVAYALDLPWYASLPRVETRFYIDQYGGESDVWIGKTLYRMPYVNNNNYLDLAKVDYNNCQAMHLMEWGRIQKWYSESRLAEFGMNRRTLLLAYFLAAASIFEPEKSHVRLAWAKTTILLETITSYVSDAEMRKTFMKNFSDCISRRDYSIGWRLNRNRTGHGLVETLVTTIDQISWDILVSQGHEIGYDMHRSWEKWLSSWHKEGDKCEGQGELLAQIINLCGGHWISEDQMFDPQYETLLQLTNSLCHTLYSHQKDKESESKNFPEVESKMQELVQLVFQKSPSGIDFNIKKTFLGVVKSYYYAAFCDSRTINFHIAKVLFDKVI >Vigun04g108400.1.v1.2 pep primary_assembly:ASM411807v1:4:26406818:26408414:1 gene:Vigun04g108400.v1.2 transcript:Vigun04g108400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEFIRSLHLNGTALGSFVRPAVLITDAYNALNNQPYRWQKDKEFGGHCIKVTIG >Vigun11g087300.1.v1.2 pep primary_assembly:ASM411807v1:11:26090979:26099544:-1 gene:Vigun11g087300.v1.2 transcript:Vigun11g087300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDVIKSCIDSIRQISKHIQDATVYLDAGSTESFQFLGAYPVLLELGARAICSLENMCALDVVVDWNSNSDPARKLVVITSSLLSDAHRYILRCLSTHQVVRHCIIFTSISETAHSAFPDSPLGPDAYPEYESLLVQDYEELVKKSRTKPGQGKLNIEDGGRSEFPSIGEDVLNLETSPSGRDFYENNNSLDYVEESVMKLVVSVYHFPMILCPISPRVFVLPSEGLVAEARLSTGHEDSISPGLPPFSTGILSDADDVPPGATLTAHFLYHLAAKMDLKMEIFSLGDISKTVGKVLTDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLVDRIFSSLPRRNRTISGKGSGSQLKLGSLYLQRAPLDVQIPLARILNEEDSKIDSFRLLESVEAFMSGWNSGNSDSQLAGLINLGQKIHDKPSHTDAEILTGSFVSSENFLGMPLLEAILDRRTKDGALLVKKWLQETLRRENVAVNVKSRPGVATKPEIQAMIKALSRNQSSLLRNKGIIQLAAATLFALEESNYTLWDAFSSGEKILSVSSGETSQSLAIQIGDLINKSALLGSHVNKGKREISKGLLSLQDALLLMIIGYIFAGENFPTSGADGPFSWQEERLLKDAVVDALFENPSVANLKFLDGLREELETNVDSKLKSQGTAEESSELDIDDDQWGKWGDEDGDDDNKNEQVYGDVQLKLELRDRVDNLFKFLHKLSNLKRKNIPLRDGSLTMESNFDEDRKGLLYKILTRVLGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNVILVFIIGGINGLEVREAHEALAESGRPDIELLVGGTTLLTSNDMLDLLLGNSSYF >Vigun11g011000.1.v1.2 pep primary_assembly:ASM411807v1:11:1366050:1371408:1 gene:Vigun11g011000.v1.2 transcript:Vigun11g011000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASTFLIIFIVLGSSFATYNLVTMLRHYGSSEGESVADGGLYFDPILEMPDHVKNRKTSKAPFHVALTATDAPYNKWQCRVMYYWYKQQKKLPGSEMGGFTRILHSGKSDNLMDEIPTVVVDPLAAGLDRGYIVLNRPWAFVQWLEKAKIEEEYVLMAEPDHIFLRPLPNLAYGGNPAAFPFFYIKPDQNEKIIRKFYPEEYGPVTNVDPIGNSPVIIRKDLIAKIAPTWMNISLKMKEDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLETGKKYILHYTYGCDYNMKGELTYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVVTLVKMVNEASANIPNWET >Vigun06g176800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29692314:29694948:1 gene:Vigun06g176800.v1.2 transcript:Vigun06g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLSSFSLTDIASYLVCFILLLILLEQISYLAKKGSIPGPSFVVPFLGNAIPLVRNPTSFWDLQSSLAKSTPLGFSANYIIGNFIVFIRDTELSHKVFANVRPDAFHLVGHPFGKKLFGEHNLIYMMGQEHKNLRRRIAPNFTPKALSTYTALQQIIILDHLKSWVAKARAHTDSIPLRILARDMNLDTSQTVFVGPYLGLKARERFERDYFLFNVGLMKLPFDFPGTAFRNARLAVDRLIETLATCTEMSKARMQKGEEPSCLIDYWMQETLREIQEAKLTGEPAAPFSTDAEIGGYLFDFLFAAQDASTSSLLWAVALLDSHPEVLAKVRAEVAGVWSPESDELITAEMLREMKYTQAVAREVVRFRPPATLVPHIAAERFALTESYTIPKGTIVFPSAFESSFQGFSEPERFDPERFSEERQEDQVFKRNFLAFGAGPHQCVGQRYALNHLVLFIALFATLIDFKRDRTDGCDEIAYVPTICPRDDCRVFLSQRCTRYPSFPAVEDLVK >Vigun04g103100.1.v1.2 pep primary_assembly:ASM411807v1:4:24054058:24055584:-1 gene:Vigun04g103100.v1.2 transcript:Vigun04g103100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLLIFLFLGILNCEAQYFNTNPYDYLELALRWPNSYCLTHDGGCREIVPQYFTISYLRPRQREGPDKQYCPSPFTMPNSTMEVNKNDLLKFWPDLRTDNFIESKSLWRDQWRRFASCYNMLPNDYIVYALNSRKRNDLKKILTSAGIVASGNPYPTRRILQAFRKALGVNVDIICEPDRSGNVYLAEVHQCVDVSGTTLIDCDNKARGCDDDPIFPYMGFQPDKDPN >Vigun10g130800.1.v1.2 pep primary_assembly:ASM411807v1:10:33920882:33928040:1 gene:Vigun10g130800.v1.2 transcript:Vigun10g130800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGLISNRNFGSFVASGNVYRSGTDISVQRGGVSISGVSVAQDPFSRWQHKMHLPSEERIRKPMRKSHYKGELCSLVSGQSSPCLNFKLQASGRNGCSFVSSAPYRSNNVEQREVYGLGLSRRKHAQPEVAKANKFRVSYKSEEYNISETKIDPLQSTEGTGEAILLEGNLQQVSPWWQQFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKIGGKLVLGFGVVWWSIATVLTPIAAKLGLPFLLIMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPVLIQKFGWPSVFYSFGSLGSIWFVLWLSKAYSSPKEDPDLGVEEKKLILGGNVSKEPVSVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAIFANIGGWIADTLVSKGLSITSVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKILD >Vigun11g065400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15696688:15697970:1 gene:Vigun11g065400.v1.2 transcript:Vigun11g065400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQSIIALVHYNGRIINDELLSSRFVSQVSRYLEVNNSMSVTALKQTILDLFIASNGKSYTVDLCYRCPLKTNELKISYRTVMIENDDDVKFVIGYAKKYEPHVQFEVMAFIREYIETSSDVIWEHMEKQLNDSLNIE >Vigun02g080700.1.v1.2 pep primary_assembly:ASM411807v1:2:23332226:23335122:1 gene:Vigun02g080700.v1.2 transcript:Vigun02g080700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVRMKRELTSVIKMQIERRWCNGLRCFSSVSSNWKGVIPTLLQPRVLLYDAVSLFCHRGVKWVIRADKDKRIKFCCVQSDAAEPYLRACGLDREDVIWRMLFVEGLNEYSQGSTAALRVLSYLPPPYSALSSLWVIPTPLRDALYDYAAKRRYEWLGRAEDYLVLREKHLLERFIDREELMRRAQDL >Vigun02g080700.2.v1.2 pep primary_assembly:ASM411807v1:2:23332248:23335111:1 gene:Vigun02g080700.v1.2 transcript:Vigun02g080700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVRMKRELTSVIKMQIERRWCNGLRCFSSVSSNWKGVIPTLLQPRVLLYDAVSLFCHRGVKWVIRADKDKRIKFCCVQSDAAEPYLRACGLDREDVIWRMLFVEGLNEYSQGSTAALRVLSYLPPPYSALSSLWVIPTPLRDALYDYAAKRRYEWLGRAEDYLVLREKHLLERFIDREELMRRAQDL >Vigun08g052533.1.v1.2 pep primary_assembly:ASM411807v1:8:6264826:6274358:-1 gene:Vigun08g052533.v1.2 transcript:Vigun08g052533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQTEVKHHAEDLRRLSLTVEKLKAALAVQSKSQDTKMDRIQLVLHQVLENVSQPLGSPSNSGSVLKHIPFNVAPLVKDISLGFPHFDGSTPVLEWIFKVDKFFSYHNTPDFDRFEIASMHFKKEVVLWFQMLHKLEAVTTWNALTHALESQFGPSPFDYPMSNLFKLQQDGCW >Vigun03g129500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:12557464:12559527:-1 gene:Vigun03g129500.v1.2 transcript:Vigun03g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYKTALTFSAVLALLLLPLLTVGELVQEQPLVLKYHNGQLLKGRITVNLIWYGTFTPIQRSIIVDFINSLSSAPNAAPPSTATWWKTTENYKGGSSALVVGNQILHPAYTLGKSLKGQHLLALASKFNQLNSITVVLTAKDVAVEGFCMSRCGTHGSTRNVKNAARTAYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLAGTVTNPFNNGYFQGPPTAPLEAVSACPGVFGSGSYPGYPGKVLVDKVTGASYNAHGVNGRRYLVPAMWDPQSLTCKTLV >Vigun07g046600.3.v1.2 pep primary_assembly:ASM411807v1:7:4793428:4798140:-1 gene:Vigun07g046600.v1.2 transcript:Vigun07g046600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKSFGKRHNDGFRSPSSGKELSEVFLKRRKVNRALVTFRNLFDKLMHQYKMYEPGNPKVKNKALLDAAMTMKSEHQWENTQKRVGHVLGIEVGDVFQYWVELNVIGLHKQFWHGIDYKIMDNGSLATSIVVTDRYDNARKSNGTLVYEGHGGNPAVGKNVSLRDQKLEGGNLALKNSMQARSPVRVILKVCGKFDGAFSSSSSNSHYSYVYDGLYLVDTMNIERGRYGKLVFKFGLNRILGQPPTCVSLKDHDENSSQLASFSARKKLKPKDCDAEKEVVRVEDLSNGREKFAIRVVAPSDCVQLPTSFVYVVSNIYSKKFEQATLSGCDCADGCVDKENCVCLRKKKGSKMPNGCNKRRLASGMESSVIYECGPSCKCFSSCINRVTQHGIQFQLEIFMTEQKGWGVRTRSFIPCGSFVCEYIGEINDYMEARWRLDSDYIFHMGVGKVFINASKFGNVGRFINHSCSPNLRIKNVQYDHSDKNLPHKMLFAVKDIPAGKELSYDYNSFNGKFIKCRSNSCYCGTPECNGRIYI >Vigun07g046600.5.v1.2 pep primary_assembly:ASM411807v1:7:4794925:4798154:-1 gene:Vigun07g046600.v1.2 transcript:Vigun07g046600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKSFGKRHNDGFRSPSSGKELSEVFLKRRKVNRALVTFRNLFDKLMHQYKMYEPGNPKVKNKALLDAAMTMKSEHQWENTQKRVGHVLGIEVGDVFQYWVELNVIGLHKQFWHGIDYKIMDNGSLATSIVVTDRYDNARKSNGTLVYEGHGGNPAVGKNVSLRDQKLEGGNLALKNSMQARSPVRVILKVCGKFDGAFSSSSSNSHYSYVYDGLYLVDTMNIERGRYGKLVFKFGLNRILGQPPTCVSLKDHDENSSQLASFSARKKLKPKDCDAEKEVVRVEDLSNGREKFAIRVVAPSDCVQLPTSFVYVVSNIYSKKFEQATLSGCDCADGCVDKENCVCLRKKKGSKMPNGCNKRRLASGMESSVIYECGPSCKCFSSCINRVTQHGIQFQLEIFMTEQKGWGVRTRSFIPCGSFVCEYIGEINDYMEARWRLDSDYIFHMGVGKVFINASKFGNVGRFINHSCSPNLRIKNVQYDHSDKNLPHKMLFAVKDIPAGKELSYDYNSFNGKFIKCRSNSCYCGTPECNGRIYI >Vigun07g046600.4.v1.2 pep primary_assembly:ASM411807v1:7:4793428:4798154:-1 gene:Vigun07g046600.v1.2 transcript:Vigun07g046600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKSFGKRHNDGFRSPSSGKELSEVFLKRRKVNRALVTFRNLFDKLMHQYKMYEPGNPKVKNKALLDAAMTMKSEHQWENTQKRVGHVLGIEVGDVFQYWVELNVIGLHKQFWHGIDYKIMDNGSLATSIVVTDRYDNARKSNGTLVYEGHGGNPAVGKNVSLRDQKLEGGNLALKNSMQARSPVRVILKVCGKFDGAFSSSSSNSHYSYVYDGLYLVDTMNIERGRYGKLVFKFGLNRILGQPPTCVSLKDHDENSSQLASFSARKKLKPKDCDAEKEVVRVEDLSNGREKFAIRVVAPSDCVQLPTSFVYVVSNIYSKKFEQATLSGCDCADGCVDKENCVCLRKKKGSKMPNGCNKRRLASGMESSVIYECGPSCKCFSSCINRVTQHGIQFQLEIFMTEQKGWGVRTRSFIPCGSFVCEYIGEINDYMEARWRLDSDYIFHMGVGKVFINASKFGNVGRFINHSCSPNLRIKNVQYDHSDKNLPHKMLFAVKDIPAGKELSYDYNSFNGKFIKCRSNSCYCGTPECNGRIYI >Vigun07g046600.7.v1.2 pep primary_assembly:ASM411807v1:7:4794950:4798142:-1 gene:Vigun07g046600.v1.2 transcript:Vigun07g046600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKSFGKRHNDGFRSPSSGKELSEVFLKRRKVNRALVTFRNLFDKLMHQYKMYEPGNPKVKNKALLDAAMTMKSEHQWENTQKRVGHVLGIEVGDVFQYWVELNVIGLHKQFWHGIDYKIMDNGSLATSIVVTDRYDNARKSNGTLVYEGHGGNPAVGKNVSLRDQKLEGGNLALKNSMQARSPVRVILKVCGKFDGAFSSSSSNSHYSYVYDGLYLVDTMNIERGRYGKLVFKFGLNRILGQPPTCVSLKDHDENSSQLASFSARKKLKPKDCDAEKEVVRVEDLSNGREKFAIRVVAPSDCVQLPTSFVYVVSNIYSKKFEQATLSGCDCADGCVDKENCVCLRKKKGSKMPNGCNKRRLASGMESSVIYECGPSCKCFSSCINRVTQHGIQFQLEIFMTEQKGWGVRTRSFIPCGSFVCEYIGEINDYMEARWRLDSDYIFHMGVGKVFINASKFGNVGRFINHSCSPNLRIKNVQYDHSDKNLPHKMLFAVKDIPAGKELSYDYNSFNGKFIKCRSNSCYCGTPECNGRIYI >Vigun07g046600.6.v1.2 pep primary_assembly:ASM411807v1:7:4794926:4798153:-1 gene:Vigun07g046600.v1.2 transcript:Vigun07g046600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKSFGKRHNDGFRSPSSGKELSEVFLKRRKVNRALVTFRNLFDKLMHQYKMYEPGNPKVKNKALLDAAMTMKSEHQWENTQKRVGHVLGIEVGDVFQYWVELNVIGLHKQFWHGIDYKIMDNGSLATSIVVTDRYDNARKSNGTLVYEGHGGNPAVGKNVSLRDQKLEGGNLALKNSMQARSPVRVILKVCGKFDGAFSSSSSNSHYSYVYDGLYLVDTMNIERGRYGKLVFKFGLNRILGQPPTCVSLKDHDENSSQLASFSARKKLKPKDCDAEKEVVRVEDLSNGREKFAIRVVAPSDCVQLPTSFVYVVSNIYSKKFEQATLSGCDCADGCVDKENCVCLRKKKGSKMPNGCNKRRLASGMESSVIYECGPSCKCFSSCINRVTQHGIQFQLEIFMTEQKGWGVRTRSFIPCGSFVCEYIGEINDYMEARWRLDSDYIFHMGVGKVFINASKFGNVGRFINHSCSPNLRIKNVQYDHSDKNLPHKMLFAVKDIPAGKELSYDYNSFNGKFIKCRSNSCYCGTPECNGRIYI >Vigun02g107600.1.v1.2 pep primary_assembly:ASM411807v1:2:26158749:26165517:1 gene:Vigun02g107600.v1.2 transcript:Vigun02g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTIGRNGSSRKGSFRFGIGVVDGKKDHTVVELGSIDTHRLLLSGGEREKKHQPLTNSLSSRQMKSLSALCDTILPAVDNFVHTSDESVNTFYRISASMAGTPQLLGGVICEKLKHPLTGLLKLVLWVLSTWFGTLMLCGMGCVSTKFPFIHSYPDLSLQKRQEIMRSWSLSYLRLFRLFFRTIKLLTLLVFFTQTDESEENLSWKAIGYCGPDPKFKAQLKNHFLDGKSKGAEEDKEDEDAEEVIGPLYKGLVHLNNPRDITADALRRLGFPVSVIRRKHKAAPNSSSPSLVIQCDAVVVGSGSGGGVIAGVLAKAGYKVLVLEKGGYSARNNLSLLEGQTMDQMYLNGGLVASDDMGMFILSGSTVGGGSAINWSACIKTPQHVCKEWCEKHGLELFESELYREALDAVCEKMGVQTEIEDEGFNNAVLRKGCQEMGYPVNTIPRNSSSDHYCGWCCMGCKDGKKKGTLETWLVDLVKSGNGAILPGCEALKVLHKKMEGRDRKVARGVAFEFEYKGIKDICVAESKVTIVACGALCTPALLKRSGLRNQNIGRNLHLHPVTMAWGYFPDEPASEVWPEAYKKSYEGGIMTAMSSVVGEFEQSGYGAVIQTPSLHPGMFSIVTPWTSGVDIRDRMRKFSRTAHIFALARDKGSGTVQSPHRISYTLADVDEENLQKGIDKVLRILAAAGAEEIGTHHNKGRTLKVKKVSYHEFEKFVKEESSRPLADLSTPLCSAHQMGSCRMGSNPKQSVVNQTGETWEMEGLYLADTSVFPTALGVNPMVTVQAIAYCVAQSVVEVLRRKRSK >Vigun03g183900.1.v1.2 pep primary_assembly:ASM411807v1:3:23884712:23887776:1 gene:Vigun03g183900.v1.2 transcript:Vigun03g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPPELSLDLRPSFVPKTITDFLRHLSSATDRLATLHDFLARLEDELRKIHAFKRELPISMLLLNDAISLLKTESQKCRPRDCPPVLEEFIPLKKERDQNEGNNYNHNDMDNECRDKKNWLSSVQLWNNSTTNNNASDRKQHHQQQLHKLENKKIEEGQSVGEDPFQSCSNRNGGRRAFMPFSRYSSSSSSVPVATAGLGAATKEEKEESVMNRLSLQTPSVKEGCGSRGSRSSSNRAVSSSPPTVHPSLRASSLQQTARKQRRCWSPELHRRFVNALQKLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPAGSGNQPVVVLGGLWMSQDQYNDSSKVSSSGSGSPQSPLHLTAGSRGGTSPTEGDSMEDDEDARSESYSWKSHMHKPGKVDV >Vigun03g183900.2.v1.2 pep primary_assembly:ASM411807v1:3:23884712:23887776:1 gene:Vigun03g183900.v1.2 transcript:Vigun03g183900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPPELSLDLRPSFVPKTITDFLRHLSSATDRLATLHDFLARLEDELRKIHAFKRELPISMLLLNDAISLLKTESQKCRPRDCPPVLEEFIPLKKERDQNEGNNYNHNDMDNECRDKKNWLSSVQLWNNSTTNNNASDRKQHHQQQLHKLENKKIEEGQSVGEDPFQSCSNRNGGRRAFMPFSRYSSSSSSVPVATAGLGAATKEEKEESVMNRLSLQTPSVKEGCGSRGSRSSSNRAVSSSPPTVHPSLRASSLQQTARKQRRCWSPELHRRFVNALQKLGGSQATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPAGSGNQPVVVLGGLWMSQDQYNDSSKVSSSGSGSPQSPLHLTAGSRGGTSPTEGDSMEDDEDARSESYSWKSHMHKPGKVDV >Vigun10g072800.1.v1.2 pep primary_assembly:ASM411807v1:10:18413680:18416723:1 gene:Vigun10g072800.v1.2 transcript:Vigun10g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVFLVFALVLASSLAHAAIVEHTFNVEDISVQRLCRQQVITAVNGTLPGPTINAREGDTVVVHVFNKSPYNLTIHWHGILQFLTPWSDGPEFVTQCPIHSGSSYTYKFNLTGQEGTLWWHAHSSFLRSTVYGALFIRPRQGHSYPFPKVYQEVPILLGEWWNANVVEVEHNATESQDAPIPSDAYTINGLPSDVYNCSQDGTYQVKVKQGKTYMLRVINAALNAQHFFQIANHTLTVVAIDAIYTNHYDTDVLVLAPGQTVDVLLRTNQRVASYYMAFTPYHSAPLITINANITRGLIIYEGDTSAKPVMPDLPAQTDTEKAHRFYTNITGLAGGPHWVPVPRDVDEHMFITFGIGFTVCSNFSGGCTGSTRPLSANMNNESFVLPRGRGASMLEAFYGNNVDGVYTRDFPDEPPVEFDYTNPNISNEMPFAFQIAPKSTKVKTLKFNSTVEIVLQNTAIITTENHPIHIHGFNFHVLAQGFGNYNATRDEPKFNFRNPQIRNTISVPVGGWSVIRFQANNPGVWLVHCHLETHLPWGLAMAFEVENGPTPSSSVPAPPADLPKC >Vigun04g108475.1.v1.2 pep primary_assembly:ASM411807v1:4:26513222:26516841:-1 gene:Vigun04g108475.v1.2 transcript:Vigun04g108475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWPRDQECLTFPSHLKLGTYATINKTTRVSCRLDDGSYVEWVEVLYTVERSKWNLLLTRLVHSDAYFNIVTFTINDSIIWPQFKSLSLHITKTKTGLLQTSDIKADSPIAWTKTRKNVDERGSAMNTKVYFYGTANRCGLLVLECEKIDYHGCAKVVTMAHYFVSSNGSVAVGICDEKFDITVEGPEQHFVSTLLYMFDQVNKSGIWKPTMCPHCHNIQREYSRTPPNAVAIDNSGKFIGHGCEFFFFGFV >Vigun10g100000.1.v1.2 pep primary_assembly:ASM411807v1:10:29214072:29231525:1 gene:Vigun10g100000.v1.2 transcript:Vigun10g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVEPNTCIRGCCTNPSIPLHLPPASYTLLSPIARGAESVVYEGTLDGTRVAVKKPILSTSEDINKFHKELQLLCKLDHPGIATLIAAHAKPPNYMFFFKLYESRNLAQKLHVEEWIPTLNDALMMAMQLAKALQYLHNLGIVHRDVKPANILLDKSLCPHLTDFGLAEYKNDLKGVSVQNWKSSGKPTGGFHKKNMVGTLIYMAPEILRKELHTEKSDVYSFGISINELLTGVVPYTDLRTEAQAHTVLEMNYTEQQLTAAVVSYGLRPVLATEDLGIPSRLLSMINNCWEGNPNNRPTFDEIVKELDLIMEHCKLKKAEDIYVRPVNLHVDQPVDKTEHLQAYQESFSWSTRGELLTASSANNSGLITRCEFYDKASVYHPILSWGSYATCGRRETMEDTHFILPRICDEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTSGFMGSPANALVEAFIRTDAAFRKELDSYRKSNRCIQKDWHPGCTAIAALIVRNKLFVANVGDCRAIICRAGNPISLSKDHVASCPQERERVIRQGGHVHWQVDTWRVGLPALQVTRSIGDDDLKPAVTAEPEITENTLCPDDEFLVMASDGLWDVISSTEVINIIKDTVKEAGMCSKRLATEAVERGSKDNITVIVVFLRPVSTAERIY >Vigun04g105000.1.v1.2 pep primary_assembly:ASM411807v1:4:25070735:25079428:1 gene:Vigun04g105000.v1.2 transcript:Vigun04g105000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALQFQGVQGSLAVRRSIHHAKSQIGFAAFGAPACSRRVWGDKVAFSITCKASASEVIEKSVTECEKVSSEGETSFTCVMKFGGSSVASAERMKEVASLILTFPEERPIVVLSAMGKTTNKLLLAGEKAVSCGVTNVSTIEELSFIKDLHQRTVDQLGVDISVIAKHLEELEQLLKGIAMMKELTKRTQDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNGDWLSDPAIAIVTGFLGKARKSCAVTTLGRGGSDLTATAIGKALGLPEIQVWKDVDGVLTCDPNIYPKAEPVPFLTFDEAAELAYFGAQVLHPQSMRPARESDIPVRVKNSYNPKAPGTLITKARDMSKAILTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDVVATSEVSVSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQNRSIISLIGNVQRSSLILEKAFRVLRTLGVTVQMISQGASKVNISLVVNDSEAEQCVRALHSVFFESELSELES >Vigun04g105000.2.v1.2 pep primary_assembly:ASM411807v1:4:25070735:25079430:1 gene:Vigun04g105000.v1.2 transcript:Vigun04g105000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALQFQGVQGSLAVRRSIHHAKSQIGFAAFGAPACSRRVWGDKVAFSITCKASASEVIEKSVTECEKVSSEGETSFTCVMKFGGSSVASAERMKEVASLILTFPEERPIVVLSAMGKTTNKLLLAGEKAVSCGVTNVSTIEELSFIKDLHQRTVDQLGVDISVIAKHLEELEQLLKGIAMMKELTKRTQDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNGDWLSDPAIAIVTGFLGKARKSCAVTTLGRGGSDLTATAIGKALGLPEIQVWKDVDGVLTCDPNIYPKAEPVPFLTFDEAAELAYFGAQVLHPQSMRPARESDIPVRVKNSYNPKAPGTLITKARDMSKAILTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDVVATSEVSVSLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQNRSIISLIGNVQRSSLILEKAFRVLRTLGVTVQMISQGASKVNISLVVNDSEAEQCVRALHSVFFESELSELES >Vigun06g055100.3.v1.2 pep primary_assembly:ASM411807v1:6:18176399:18181768:1 gene:Vigun06g055100.v1.2 transcript:Vigun06g055100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIMAFALLLFLCLIGYSNAEEAFDVRKHLSTVSRYGAVKDIADDNFVPSKIPEGCVPIHLNLVARHGTRSPTKKRIRELDNLSAHLEDLVRDAKERHLPLERFPSWLNGWKSPWQGRRRGGELTSRGEEELYDLGIRIRAKFPNLFDEEYHPDVYPIKASQIHRASASAVAFGMGLFRGNGSLGPGRHRAFAVTSESRASDIHLRFHDCCHNYKAYRKNQEPAVSKLKEPILDEITSALIGRHGLNFTRQDTSSIWFLCKQEASLLDITNQACGLFNPSEIELLEWTDDLEMFILKGYGKSLNYRMGVPLLEDVFQSMEQAIIAKEERHPSGSFEKARLRFAHAETLVPFSCLLGLFLEGSDIKKIQKEQPLQQPPMPPQKRKWRGSTVAPFAGNNILVLYSCPAPDKAISKHFVQVLHNEHPIPLPGCDGSDLCPFELFKEKIVAPHQKHDYHTVCNPKLEHEPSGSKFFQIFQWLFSSAKGDNYSKDEF >Vigun06g055100.1.v1.2 pep primary_assembly:ASM411807v1:6:18176119:18181768:1 gene:Vigun06g055100.v1.2 transcript:Vigun06g055100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIMAFALLLFLCLIGYSNAEEAFDVRKHLSTVSRYGAVKDIADDNFVPSKIPEGCVPIHLNLVARHGTRSPTKKRIRELDNLSAHLEDLVRDAKERHLPLERFPSWLNGWKSPWQGRRRGGELTSRGEEELYDLGIRIRAKFPNLFDEEYHPDVYPIKASQIHRASASAVAFGMGLFRGNGSLGPGRHRAFAVTSESRASDIHLRFHDCCHNYKAYRKNQEPAVSKLKEPILDEITSALIGRHGLNFTRQDTSSIWFLCKQEASLLDITNQACGLFNPSEIELLEWTDDLEMFILKGYGKSLNYRMGVPLLEDVFQSMEQAIIAKEERHPSGSFEKARLRFAHAETLVPFSCLLGLFLEGSDIKKIQKEQPLQQPPMPPQKRKWRGSTVAPFAGNNILVLYSCPAPDKAISKHFVQVLHNEHPIPLPGCDGSDLCPFELFKEKIVAPHQKHDYHTVCNPKLEHEPSGSKFFQIFQWLFSSAKGDNYSKDEF >Vigun06g055100.2.v1.2 pep primary_assembly:ASM411807v1:6:18176117:18181768:1 gene:Vigun06g055100.v1.2 transcript:Vigun06g055100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIMAFALLLFLCLIGYSNAEEAFDVRKHLSTVSRYGAVKDIADDNFVPSKIPEGCVPIHLNLVARHGTRSPTKKRIRELDNLSAHLEDLVRDAKERHLPLERFPSWLNGWKSPWQGRRRGGELTSRGEEELYDLGIRIRAKFPNLFDEEYHPDVYPIKASQIHRASASAVAFGMGLFRGNGSLGPGRHRAFAVTSESRASDIHLRFHDCCHNYKAYRKNQEPAVSKLKEPILDEITSALIGRHGLNFTRQDTSSIWFLCKQEASLLDITNQACGLFNPSEIELLEWTDDLEMFILKGYGKSLNYRMGVPLLEDVFQSMEQAIIAKEERHPSGSFEKARLRFAHAETLVPFSCLLGLFLEGSDIKKIQKEQPLQQPPMPPQKRKWRGSTVAPFAGNNILVLYSCPAPDKAISKHFVQVLHNEHPIPLPGCDGSDLCPFELFKEKIVAPHQKHDYHTVCNPKLEHEPSGSKFFQIFQWLFSSAKGDNYSKDEF >Vigun08g004100.2.v1.2 pep primary_assembly:ASM411807v1:8:361021:363101:-1 gene:Vigun08g004100.v1.2 transcript:Vigun08g004100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVSIVLVFFGIRFPRALAANPAVPALFSFGDSILDTGNNNHLATMTKCNYPPYGRDFPGGVPTGRFCNGKNPTDLIASALGVKDTVPAYLSTTLTPQDLATGVSFASGGSGLDDLTSQIQGVITQPTQLAMFKEYIGKLTAAVGQQRASEILANSIFLVSAGNNDIAITYSLLLATTRPFPLYVAYLVSNAKNFFKSIYDLGVRKVWALSTLPLGCLPGGRTTAGGPLRFCAELANIEAQTFNGQLSAAVDSLRATLPNYDIRFVDVYTPFLSIIHNPQASGFTDAGEGCCGTAPFGVSGLCSLMAVCPNPSTYVFWDYGHPTERAYQLVVNSILQKMKMNVQSSFANTSITS >Vigun07g022400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1899372:1901396:-1 gene:Vigun07g022400.v1.2 transcript:Vigun07g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKVETGHQDTVHDVAMDYYGKRLATASSDHTIKIIGVSNTASQHLATLTGHQGPVWQVVWAHPKFGSLLASCSYDGRVIVWKEGNPNEWTQAHVFDEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDTAAIDQAHPVGVTSVSWAPSTAPGALVGAGLLDPVQKLCSGGCDNTVKVWKLNNGLWKMDCFPALHMHSDWVRDVAWAPNLGLPKSTIASASQDGKVVIWTVAKEGDQWEGKVLNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVEP >Vigun06g179700.3.v1.2 pep primary_assembly:ASM411807v1:6:29969359:29987240:1 gene:Vigun06g179700.v1.2 transcript:Vigun06g179700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPLDWYCRPVKNGVWTKAVENSFGAYTPCAVDSLVISISYLILLGLCIYRIWLINKDFKVKRFRLRSNIYNYVLGLLALYCVAEPLYRLIMGVSVLNLDGQTQFAPFEIVSLIIEALTWCSMLILIGVETKVYIRESRWFVRFSVIYAIVADAVMFNLIISLKDFYSSSVLYLYISEVVAQVLFGILLLVYLPTLDPYPGYTPIGSDMIVEVAYDELPGGDMICPERSANIFSRIVFSWLNPLMKLGYERPLNERDIWKLDTWERTDTLINKFQKCWAVESRKPKPWLLRALNASLGGRFWWGGFCKIGNDISQFLGPLILNQLLQAMQNGDPSWTGYVYAFSIFVGVVFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKQFATGKITNLMTTDCEALQQICQSLHSLWSAPLRIIVALVLLYQQLGVASLLGALLLVLMFPLQTFIISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKYYAWESSFQSKVQIVRNDELSWFRKASLLGACNGFILNSIPVFVTVITFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNTITQVVNANVSLKRLEDLLLAEERILLPNPPLDPILPAISIKNGYFSWDAKAERPTLSNINLEIPVGCLVAVVGSTGEGKTSLVSAMLGEIPAIGDSTIVLRGAVAYVPQVSWIFNATVRDNVLFGSVFDATRYQRAINVTELQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAAYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGTVKEEGTFEELSNHGPLFQKLMENAGKMEEYEEELVDTETTDQKASSKSVANGEVDGFAKSGSKPKEGKSILIKQEERETGVVSLGVLVRYKNALGGFWVVMILFGCYIATETLRISSSTWLSHWTDQSATEGYNPAFYNMIYAALSFGQVLVTLTNSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRVINRFAKDLGDLDRNVAPFVNMFLGQVSQLLSTFILIGIVSTMSLWAILPLLVLFYVAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKAMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRAENQQVFASTMGLLLSYALNITTLLTSVLRLASLAENSLNAVERIGTYIDLPSEAPSIIDDNRPPPGWPSSGSIRFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDYDVAKFGLADLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAQVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLSNEGSSFSKMVQSTGAANAQYLRSLALGGDKSEREENRHLDGQRKWLASSRWAAAAQYALAVSLTSSHNDLQRLEVEDENSILKKTKDALITLQGVLERKHDKEIEESLEQRQISPEGWWSSLFKMIEGIAMMSRLARNRLHQSDFGFEDRSINFDEIDM >Vigun06g179700.2.v1.2 pep primary_assembly:ASM411807v1:6:29968179:29987240:1 gene:Vigun06g179700.v1.2 transcript:Vigun06g179700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPLDWYCRPVKNGVWTKAVENSFGAYTPCAVDSLVISISYLILLGLCIYRIWLINKDFKVKRFRLRSNIYNYVLGLLALYCVAEPLYRLIMGVSVLNLDGQTQFAPFEIVSLIIEALTWCSMLILIGVETKVYIRESRWFVRFSVIYAIVADAVMFNLIISLKDFYSSSVLYLYISEVVAQVLFGILLLVYLPTLDPYPGYTPIGSDMIVEVAYDELPGGDMICPERSANIFSRIVFSWLNPLMKLGYERPLNERDIWKLDTWERTDTLINKFQKCWAVESRKPKPWLLRALNASLGGRFWWGGFCKIGNDISQFLGPLILNQLLQAMQNGDPSWTGYVYAFSIFVGVVFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKQFATGKITNLMTTDCEALQQICQSLHSLWSAPLRIIVALVLLYQQLGVASLLGALLLVLMFPLQTFIISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKYYAWESSFQSKVQIVRNDELSWFRKASLLGACNGFILNSIPVFVTVITFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNTITQVVNANVSLKRLEDLLLAEERILLPNPPLDPILPAISIKNGYFSWDAKAERPTLSNINLEIPVGCLVAVVGSTGEGKTSLVSAMLGEIPAIGDSTIVLRGAVAYVPQVSWIFNATVRDNVLFGSVFDATRYQRAINVTELQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAAYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGTVKEEGTFEELSNHGPLFQKLMENAGKMEEYEEELVDTETTDQKASSKSVANGEVDGFAKSGSKPKEGKSILIKQEERETGVVSLGVLVRYKNALGGFWVVMILFGCYIATETLRISSSTWLSHWTDQSATEGYNPAFYNMIYAALSFGQVLVTLTNSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRVINRFAKDLGDLDRNVAPFVNMFLGQVSQLLSTFILIGIVSTMSLWAILPLLVLFYVAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKAMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRAENQQVFASTMGLLLSYALNITTLLTSVLRLASLAENSLNAVERIGTYIDLPSEAPSIIDDNRPPPGWPSSGSIRFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDYDVAKFGLADLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAQVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLSNEGSSFSKMVQSTGAANAQYLRSLALGGDKSEREENRHLDGQRKWLASSRWAAAAQYALAVSLTSSHNDLQRLEVEDENSILKKTKDALITLQGVLERKHDKEIEESLEQRQISPEGWWSSLFKMIEGIAMMSRLARNRLHQSDFGFEDRSINFDEIDM >Vigun06g179700.1.v1.2 pep primary_assembly:ASM411807v1:6:29968179:29987133:1 gene:Vigun06g179700.v1.2 transcript:Vigun06g179700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPLDWYCRPVKNGVWTKAVENSFGAYTPCAVDSLVISISYLILLGLCIYRIWLINKDFKVKRFRLRSNIYNYVLGLLALYCVAEPLYRLIMGVSVLNLDGQTQFAPFEIVSLIIEALTWCSMLILIGVETKVYIRESRWFVRFSVIYAIVADAVMFNLIISLKDFYSSSVLYLYISEVVAQVLFGILLLVYLPTLDPYPGYTPIGSDMIVEVAYDELPGGDMICPERSANIFSRIVFSWLNPLMKLGYERPLNERDIWKLDTWERTDTLINKFQKCWAVESRKPKPWLLRALNASLGGRFWWGGFCKIGNDISQFLGPLILNQLLQAMQNGDPSWTGYVYAFSIFVGVVFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKQFATGKITNLMTTDCEALQQICQSLHSLWSAPLRIIVALVLLYQQLGVASLLGALLLVLMFPLQTFIISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKYYAWESSFQSKVQIVRNDELSWFRKASLLGACNGFILNSIPVFVTVITFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNTITQVVNANVSLKRLEDLLLAEERILLPNPPLDPILPAISIKNGYFSWDAKAERPTLSNINLEIPVGCLVAVVGSTGEGKTSLVSAMLGEIPAIGDSTIVLRGAVAYVPQVSWIFNATVRDNVLFGSVFDATRYQRAINVTELQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAAYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGTVKEEGTFEELSNHGPLFQKLMENAGKMEEYEEELVDTETTDQKASSKSVANGEVDGFAKSGSKPKEGKSILIKQEERETGVVSLGVLVRYKNALGGFWVVMILFGCYIATETLRISSSTWLSHWTDQSATEGYNPAFYNMIYAALSFGQVLVTLTNSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRVINRFAKDLGDLDRNVAPFVNMFLGQVSQLLSTFILIGIVSTMSLWAILPLLVLFYVAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKAMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRAENQQVFASTMGLLLSYALNITTLLTSVLRLASLAENSLNAVERIGTYIDLPSEAPSIIDDNRPPPGWPSSGSIRFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDYDVAKFGLADLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAQVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLSNEGSSFSKMVQSTGAANAQYLRSLALGGDKSEREENRHLDGQRKWLASSRWAAAAQYALAVSLTSSHNDLQRLEVEDENSILKKTKDALITLQGVLERKHDKEIEESLEQRQISPEGWWSSLFKMIEGIAMMSRLARNRLHQSDFGFEDRSINFDEIDM >Vigun06g179700.4.v1.2 pep primary_assembly:ASM411807v1:6:29970792:29987241:1 gene:Vigun06g179700.v1.2 transcript:Vigun06g179700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEVAYDELPGGDMICPERSANIFSRIVFSWLNPLMKLGYERPLNERDIWKLDTWERTDTLINKFQKCWAVESRKPKPWLLRALNASLGGRFWWGGFCKIGNDISQFLGPLILNQLLQAMQNGDPSWTGYVYAFSIFVGVVFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKQFATGKITNLMTTDCEALQQICQSLHSLWSAPLRIIVALVLLYQQLGVASLLGALLLVLMFPLQTFIISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKYYAWESSFQSKVQIVRNDELSWFRKASLLGACNGFILNSIPVFVTVITFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNTITQVVNANVSLKRLEDLLLAEERILLPNPPLDPILPAISIKNGYFSWDAKAERPTLSNINLEIPVGCLVAVVGSTGEGKTSLVSAMLGEIPAIGDSTIVLRGAVAYVPQVSWIFNATVRDNVLFGSVFDATRYQRAINVTELQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAAYSNSDVYIFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGTVKEEGTFEELSNHGPLFQKLMENAGKMEEYEEELVDTETTDQKASSKSVANGEVDGFAKSGSKPKEGKSILIKQEERETGVVSLGVLVRYKNALGGFWVVMILFGCYIATETLRISSSTWLSHWTDQSATEGYNPAFYNMIYAALSFGQVLVTLTNSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRVINRFAKDLGDLDRNVAPFVNMFLGQVSQLLSTFILIGIVSTMSLWAILPLLVLFYVAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKAMDNNIRFTLVNISGNRWLAIRLETLGGLMIWFTATFAVMQNGRAENQQVFASTMGLLLSYALNITTLLTSVLRLASLAENSLNAVERIGTYIDLPSEAPSIIDDNRPPPGWPSSGSIRFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDYDVAKFGLADLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAQVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDGGKVLEYDTPEELLSNEGSSFSKMVQSTGAANAQYLRSLALGGDKSEREENRHLDGQRKWLASSRWAAAAQYALAVSLTSSHNDLQRLEVEDENSILKKTKDALITLQGVLERKHDKEIEESLEQRQISPEGWWSSLFKMIEGIAMMSRLARNRLHQSDFGFEDRSINFDEIDM >Vigun02g184400.7.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420311:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNNFDNVPAGVEARF >Vigun02g184400.2.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420311:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIILL >Vigun02g184400.6.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420303:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIIL >Vigun02g184400.1.v1.2 pep primary_assembly:ASM411807v1:2:32414237:32420435:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIILGVEQPVSSSEIKKAYHKAALRHHPDKAVQSLAKSDSGDDQIWKDIVEEVCKDADRLFKIIGEAYAVLSDPAKRSQFDSEEETRNSQKKPQGNSMTRNNTMDQTENRRHRKGVWRSYGNTSFKDSEAGRSSRQQ >Vigun02g184400.5.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420303:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIILL >Vigun02g184400.3.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420303:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIILGVEQPVSSSEIKKAYHKAALRHHPDKAVQSLAKSDSGDDQIWKDIVEEVCKDADRLFKIIGEAYAVLSDPAKRSQFDSEEETRNSQKKPQGNSMTRNNTMDQTENRRHRKGVWRSYGNTSFKDSEAGRSSRQQ >Vigun02g184400.4.v1.2 pep primary_assembly:ASM411807v1:2:32414249:32420303:-1 gene:Vigun02g184400.v1.2 transcript:Vigun02g184400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNLASEGIANLKIEESKDQRDYGFVFTSKQRNSFSGSSLPEFRAPPIKTNLFGDANDKFKFSAKKEQSGTPRMNKSRAKQKFSTPLQGHDFLWKTKMGMSPKSYVTSRESSGLGLDRIGNNTRIHQLLNKNESEAVGTETETKEDVSECKDLDSNCGDDDPNDKIESESLKSANDEEGITNNGDGSVLLDCDSGSRNTSGIGFTFSAEVQSPSQKRPPKKMNWAKAGQSYLDSYSSSPISLSSVTGSPFSGTPSPFTPEQGQKTKASSPHYKTRGSEVNKEQGIKEVLATISASTIADEEACEKWRLRGNQAYKNGNLSGAEDCYTQGVNCASNEASQKCRRALMLCYSNRAATRMSLGRMRDAIEDCMLAAEIDPNFLRVQLRAANCFLALGEVGDASEYSKMCMQSDTDVGVDKKIVVEASDLLQKTQKVSELINHSEELMQRRTAVDAEKALEHINEALAISSYFEKLLEMKAEALFMLCRYEEVIQLCDETFGSAEKNSYPLDADCIVTDLDSAQLSKGFYFRFWRCSMMLKSYFHLGKLEEGLSLLEEQEDKVSAMNKSGSKVLESLMPLAVTVRELLHHKTAGNEAFQTGKHEEAVEHYTAALSSNVESRSFTAVCFGNRAAAYKALGQITDAIADCNLAIALDGRYLKALSRRATLYEMIRDYDQAASDIRRVISLLMKDNGDNSNQHGISDRSVKYTNDLKHNQIWLSEIEEEAKKGIQLDVYIILGVEQPVSSSEIKKAYHKAALRHHPDKAVQSLAKSDSGDDQIWKDIVEEVCKDADRLFKIIGEAYAVLSDPAKVFSAFSSDWCNSTNF >Vigun02g064700.1.v1.2 pep primary_assembly:ASM411807v1:2:21340954:21348249:-1 gene:Vigun02g064700.v1.2 transcript:Vigun02g064700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVALEPLFHLCSRKPSFHSHKPIPLRPFFLPTCSSNLNDASFIFHHQPRRTLSPLHAASVTDTPTLHPLQCPQTITYTFAIDRTQMAKGKVFVRLDHGKDLKDWELTVGCSLPGKWILHWGVTLVQDVGREWDQPPLDMIPPGSVPIKDYAVETPLKNSSLSAEGDTLHEVRIDLKAKFGISAIHFVLKDEETGDWYKNESRDFVVSLVDCIKEDSDTSIIEPKRGFDFWPGNLGQLSRMFSGLKADENKRSESRVPEGENNQPEGFYEEVYVTKKVLVSNSISVSTKKCFESGAVKDILYFETDLPGDVVLHWGVCRDDSRRWEVPPPPHPPSTVPFKDRALRTQLWPRHDGKGSSVQITLDEEFSGFVFVIKQNENTWFKYLGNDFYVPLSSSSNLPNIGNKEDLSEGVQIEKPSQQNSFSAFTDTVAYEIRNLATDNSSEKKQEKKSKKVRQSIFEEIERLAAEAYNIFRNSIPGFSKPTIAEPEVTKVEPENTVAVPEKTFVEPEKTVVEATNVAETLSLEPKICSATGTGYEILCQGFNWESHASGRWYMKLKEVASELASIGFTVIWLPPPTESVSPQGYMPKDLYNLNSRYGNMDELKYLVKKFHEVGIKVLGDAVLNHRCAHYQNKNGVWNIFGGYLDWDDSAVVSDDPHFQGRGNTSSGDSFHAAPNIDHSQEFVRKDLKEWLCWLRKEIGYDGWRLDFVRGFWGGYVKDYIEASEPYFSVGEYWDSLSYTNGETDHNQDAHRQRIIDWINATNGTSSAFDVTTKGILHTVLEKCEYWRLSDEKGKPPGVIGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMTGYAYILTHPGTPSVFYDHIFSHNKTEIASLISLRKRNGIHCRSTIQISKAERDVYAAIIDEKVVVKIGPGHFEPPRGSLKWSLAIKGKDYEIWEASQP >Vigun08g002400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:265058:267117:-1 gene:Vigun08g002400.v1.2 transcript:Vigun08g002400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLLWTVPLLAAGLYWFICILGPAEQKGKHATAISGGSISAEKVQDKYKQYWSFFLRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHAEATRLHEEMAADLIQARSGHRILDVGCGVGGPMRAIAAHSGANVVGITINEYQVQRARFHNKKARLESLCEVVCGNFLHMPFPDDSFDGAYSIEATCHAPKLDEVYAQVFRVLKPGALYVSYEWVTTDKFRASEAQHVETIEGIERGDALPGLRSYADIAESARRVGFEVVEERDLALPPSHPWWTRLKMGRVAYWRNHLLISVLSAVGIAPKGTLDVHQMLFQTADHLTRGGDTGIFSPMHMILCRKPISS >Vigun03g080500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:6714407:6716950:-1 gene:Vigun03g080500.v1.2 transcript:Vigun03g080500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEESNNADTGVHWRAHSRSVSWTDQTPVIRKPPRSLQPLCIRKDSVKEWPSAGSDDLEDWLPPPTPRGSTKGSSNLGSGSGSGQFFQLRRDKLAFYDKECSKIAEHVYLGSDTVAKNEEVLRRHGITHVLNCVGFVCPEYFKSDFVYKTLWLRDSPSEDITSILYDVFDYFEDVRQQGGRVLAHCCQGVSRSTSLVIAYLMWRENQSFEDAFHFVKNARGITNPNMGFACQLLQCQKRVHATPPSPSSVLRMYRMAPHSPYDPLHLVPKMVNNPCAHALDSRGAFIVHVPSTLYVWVGKECGSVMRCKARHAAAQVVRYERATGEIVTVVEDEEAEEFWVALSHRHEEVKNVETTMEVDVGIDHPRRVEEYDLDFGIFHKALAGGVVPPFSLSNAGSENCLPSKENGWGRFTRKLSGGTMKGFTSSIEEENQHMNVDPLPPSSKPFYDEDASDSKTCPLLQKGVSSSPEPFLAFKRSNPSIAERRGTNLPPRTMLLSTGKSSGNSKIRVRSKSISLPALDDTLLSDVSCEQFHRENSGK >Vigun05g252200.1.v1.2 pep primary_assembly:ASM411807v1:5:44689113:44693538:-1 gene:Vigun05g252200.v1.2 transcript:Vigun05g252200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLINQMGLPKSIANIFTARNIITAKDALSHTEFELMELLDVGKEEVTSAMAHVSEVVCPPCQTALLLLEQRVRNETLAGHLPTRLKGLDEALCGGLPFGVLTELVGPAGIGKTQFCLKLSLLASLPASCGGLDGRVIYIDIESKFRSKRLIEIGINSFPEIFLKKGMAQEMAGRILILRPTSLSEFAESLYQIKVSLLQQQVKLLIIDSMAALVLGEHECGTSRQQALGWHVSFIKSLAEFSRIPVVLTNQVRSQSGDESRIYSFQAQSRSIIKDNPATYDSHLVAALGINWAHAVTIRLVLEARSGQRFIKLAKSPISPPLAFPFKITSSGVVLLDDDGIEMKGPEINTIQCQGLSLSSLAVNSTEYVLCTKNT >Vigun11g114100.1.v1.2 pep primary_assembly:ASM411807v1:11:31762130:31768141:1 gene:Vigun11g114100.v1.2 transcript:Vigun11g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNLPFVSSSFLPHLTFPKPLKPVVPNLLQRRIIFPRFNATTTNVNVSERKSANFQPNIWTYDFLQSLKHVYADTRYEVRAKMLQEEVRRMIKDESSDIWHKLELINDVKRLGLSYHYDKEIAEALLWFHSSARFNATIVNRSLHETAMCFRLLREYGYDVNADIFERFKEENGNFKASLVSDVKGMLSLYEASFLGYGGDKILDEAMVFSRFHLRVALNKGESSNMVLEEVNHALELPLHHRTQRLEARWYIESYAKRTDSNRFLLEAAKLDFNIVQSTMQNDLQQMSMWWRRMGLASKLSFSRDRLMECFFWSVGMGFEPQFNELRKGITKVISLLTTIDDVYDVYGTLDELELFTTSVESWDVKAIEVLPDYMKICFLALYNTVNEFAYDALKEHGQNILPYLTKAWCDLLKAFLQEAKWSHDKHLPTLEDYLNNAWVSSSGVVILTHAFFLLNQNITKEALESLNIFHSLLLKPSIILRLCNDLGTSKAELERGEAASSIVCYMRESGASEESAYKHVHSLLNETWKEMNIDRVSQSPFPKVFIERTMNLGRISQCMYQYGDGHGAPNSTANNLIRSLIIEPIALYEMETFPQVAY >Vigun10g197200.1.v1.2 pep primary_assembly:ASM411807v1:10:41025782:41026997:-1 gene:Vigun10g197200.v1.2 transcript:Vigun10g197200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRFLVFSLLISGIHAISISEFNTSFSSEGKGLIQSNGQAQQLLGKLLYGSNEDVTELKEKVDETENGYKEEVVSTRRTSHGGSSGGGGKKGKAGTGGSADVNRRPRQSSAPSKPHFWISKFILCVSLALVTLFSFHWV >Vigun05g023300.1.v1.2 pep primary_assembly:ASM411807v1:5:1951833:1956147:-1 gene:Vigun05g023300.v1.2 transcript:Vigun05g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQVPKKRVAFVLIDGLADVSLPRLGLKTPLEAAKVPNLDGIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDGLKLPSFPQYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSHEARNTAAVVNELSKEITKILVSHPVNAKRVAEGKNIANVVLLRGCGIRIEVTPFLDKHGLWPCMVAPTKIIAGLGLSLGIDILDAPGATGDYRTLLTSKASAIAKALSAPLQSCPKVFVPGEDEFKAGRSDGYDFGFLHIKAIDDAGHDKASVLKVKALEAVDTAVGQLARLLWEAESSGENQFYICVTGDHSTPVEYGDHSFESVPFAMCRLKDFVGAIGESTVLKTSLDPFPIPSVKSGEDLLNDLDTKEGRDKCCEAHSGDSVYELNEVAAARGCLGRFPGGEMMGIIKKFISLDEKTA >Vigun09g273600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43446963:43449684:1 gene:Vigun09g273600.v1.2 transcript:Vigun09g273600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVKEILASPIQLADQVSKMAEEAQNFRQECLELKSKTEKLAGLLRQAARNSSDLYERPTRRIIDDTEQVLDKALVLVTKCRANSVIKRLFTIIPATAFRKTSMQLENSVGDVQWLLRVSASADERDDEYLGLPPIAANEPILCLIWEQVAILLSGASLDERSDAAASLVSLARDNDRYGRLIIEEGGVPPLLKLLKEGHLEGQENAARAIGLLGKDPESVEHIVNAGVCSVFAKILKDGHMKVQAVVAWAISELAANHPKCQDHFSQNNAIRLLVSHLAFETIQEHSKYAIANKHKSLHTLVLESNNTQEEEDDKNVVGRPTGANTSNQMHNVVSNTMAMKGITPKNNATPTGNHNNTGGGTNTKKPHGGTQHVSIAGTSIKGREYEDPITKIEMKAMAARALWQLARGNLSVCRSITESRALLCFAVLLEKGPEDVQSYSAMALMEITAVSEQHAELRRSAFKPTAPAAKAVLDQLLRVIENEQIDLLVPCIRSVGNLARTFRATETRLIGPLVRLLDEREAHVSTEAAIALNKFACTDNYLHENHCNAIIEAGGAKHLIQLVYFGEQMVQIPSVTLLCYIALHVPKSETLAQEEVLIVLEWCTKQAHLVEEHGIIQLLPEAKSRLELYQSRGRGFR >Vigun07g215200.1.v1.2 pep primary_assembly:ASM411807v1:7:33642007:33642904:-1 gene:Vigun07g215200.v1.2 transcript:Vigun07g215200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMASLFPSKKLSPFVNFSVFDIRQAGGCKVTNSFNNLGNGTQNFANAKIMLTGCCCCCCTEFELHGTVSPNRTSTKSPPLLNHRTPTTFLDSP >Vigun07g222600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34479839:34480740:1 gene:Vigun07g222600.v1.2 transcript:Vigun07g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCMDSMACNKGQQHVGKAKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALQVLLGEDSDDRCKVGINESGCKSWNNIEKLEVFMGGARACFGDADGGGTWSVSSENGEWSFRCEKNEHEEVGWGSAGFSVDYIAAQAVRSLQIAEDANEATILF >Vigun03g112900.2.v1.2 pep primary_assembly:ASM411807v1:3:10343434:10348600:1 gene:Vigun03g112900.v1.2 transcript:Vigun03g112900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNNLSGQLPPSMGNLSSLTTLHLQNNQLSGTLFVLQDLALQDLNIENNIFSGPIPPELLSIPNLRKDGNPFNTTIIPSPPAASPAPLAMAPSPAISPWKVANNPSATTIKAPMPAIAERSFRTKKLVWIVGAGFLIFIALGVCLLMLWFFKRKPENKSYKKHNMNVYKRSLHKRTSSDSPFEATDDEEKGWSSKLPPLQPAPPHQLPIIPGENLIINRAIFGEATKRQIVTNSIKVYTVASLQQYTNSFSLENYIGEGMLGPVYRAELPDGKLLAVRKLNITASIGLNHEQFLQLASSVSKIQHANIVKLMGYCAEHSQHLLAYEYCSNGTLHDALHSDAKLQINLSWDDRIQVSLGAARALEYLHEYFQPPIVHGNFTSANVLLNDKLEVQVSDCGLVSLLSSGSSSRLSGHQLTGNGYSAPEYENGSYTLQSDVFSFGVVMLELLTGRKSYDSSLPRGEQFLVRWAIPQLHDIDALSKMVDPSLNGEYPKKSLSRFADIISSCIQHEPEFRPAMSEIVQDLLRMM >Vigun03g112900.1.v1.2 pep primary_assembly:ASM411807v1:3:10341139:10348600:1 gene:Vigun03g112900.v1.2 transcript:Vigun03g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWNSDMNLYIFFFSMLIFGATFCVADTDPLDVAAINSLYVALGSPPLEGWKAIGGDPCLEQWEGVRCVFSNITALLLGGMSLSGQLGSNLDFPSIIELDLSNNQIGGAIPSTLSPTLRNLSLSANQLNGSIPDALSSLTQLSDLSLKDNHLKGEIPDAFLHFTDLVNMDLSGNNLSGQLPPSMGNLSSLTTLHLQNNQLSGTLFVLQDLALQDLNIENNIFSGPIPPELLSIPNLRKDGNPFNTTIIPSPPAASPAPLAMAPSPAISPWKVANNPSATTIKAPMPAIAERSFRTKKLVWIVGAGFLIFIALGVCLLMLWFFKRKPENKSYKKHNMNVYKRSLHKRTSSDSPFEATDDEEKGWSSKLPPLQPAPPHQLPIIPGENLIINRAIFGEATKRQIVTNSIKVYTVASLQQYTNSFSLENYIGEGMLGPVYRAELPDGKLLAVRKLNITASIGLNHEQFLQLASSVSKIQHANIVKLMGYCAEHSQHLLAYEYCSNGTLHDALHSDAKLQINLSWDDRIQVSLGAARALEYLHEYFQPPIVHGNFTSANVLLNDKLEVQVSDCGLVSLLSSGSSSRLSGHQLTGNGYSAPEYENGSYTLQSDVFSFGVVMLELLTGRKSYDSSLPRGEQFLVRWAIPQLHDIDALSKMVDPSLNGEYPKKSLSRFADIISSCIQHEPEFRPAMSEIVQDLLRMM >Vigun11g026700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3459955:3461233:-1 gene:Vigun11g026700.v1.2 transcript:Vigun11g026700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSFANLFLMLFFVAFSNIKICLGDCDNLQDSCPAVPPNKQTIFINGLQCKNPVNVSAQDFRTTELSTAGSTDIFGASMKIVSAAEFGGLNTLGLSIGRTDLDGNGLVNFHYHPRATEMIFVTKGVLLAGFVDTKNQYFQKLIKVGDVFVFPKALFHFYLNTGFDEATILSVYNSQNPGFVSLSPTASDTTLESLDKIKRKLISLSASETHDVNSFISPQMESIYS >Vigun01g135050.1.v1.2 pep primary_assembly:ASM411807v1:1:31370723:31371589:-1 gene:Vigun01g135050.v1.2 transcript:Vigun01g135050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGESSAYIRRNSGTKSVGASSSFSGLRGKLCGCGEKVVLLQANTTKNKGRFFWRCRNWRSDDNCKYFEWVHDGEESVMEEKPEIEEEDDELVAVNDKYVIQLIRKNTKLKLKLEAEMTAGKIQFYIFVVAWILTLMFGVIMCLKSNCSVN >Vigun09g075500.1.v1.2 pep primary_assembly:ASM411807v1:9:8581560:8583068:-1 gene:Vigun09g075500.v1.2 transcript:Vigun09g075500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVMGFTLFKSHNLGSSLQTRRFQMNHSSRLHSNSTLSNRPWQVSEGKSKRASLCKVNGLPDWPLMAIIVEHMEGQRDLITSKSVSHLSDQAIKNVYTWYIMFTVWGGLFFGSMKDPYYDSEAYRGDGGDGTGNWIYEKQETMEAEAREALWREELIEEIEEKVEGLRELEEGVKKEKLVK >Vigun03g263900.1.v1.2 pep primary_assembly:ASM411807v1:3:43299335:43303269:-1 gene:Vigun03g263900.v1.2 transcript:Vigun03g263900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLSPKTSLEKCDRVGSLKKKLRNSLTKSRRRSSSKVMSIEIEDIRDAGESKAVDEFRQALLLDELLPDKHDDYHMMLRFLKARKFDLEKSKQMWSDMLQWRKEFGADTITEDFEFKELAEVLQYYPQGHHGVDKDGRPVYIERLGQVDATKLMQVTTMERYIKYHVKEFERTFDVKFAACSIAAKKHIDQSTTILDVQGVGLKNFNKHARDLITCLQKIDGDNYPETLNRMFIINAGSGFRMLWGTVKSFLDPKTTSKIHVLGNKYQSKLLEIIDESELPEFLGGKCTCADQGGCMRSDKGPWKDEEIMRMVQNGEHKCSRKCEAPVMEDKTTSEEHETAKSEAKLTPQLSSAFSEVATSKSFKYEDYVPKAEKSAWKKVADNDRYAVSKGGADLYAMDSFKIHERVNSQIFTGVMAFVMGILTMVRMTRNMPKKLTDANFYSNFGEYKGQASNDQMTVPAISAQEFMTVMKRMAELEEKMSNMNNLAICMPPEKEEMLNAAITRADALEQELMATKKALEESLTKQDQLSAYVEKKKKKKKLLYIW >Vigun05g041200.4.v1.2 pep primary_assembly:ASM411807v1:5:3334427:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTHDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.5.v1.2 pep primary_assembly:ASM411807v1:5:3335802:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVENMTEFETVGFGIHWVIKNNYLCVPLSSARCLVQELQRAKFTMDASRIDIFEIYRRFSDIKSGHACIAGEEGYRQDSDLHKAKVSREALTQLSKLVESRVSTGVSIFDEIFVLMSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.6.v1.2 pep primary_assembly:ASM411807v1:5:3334427:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.7.v1.2 pep primary_assembly:ASM411807v1:5:3338117:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.3.v1.2 pep primary_assembly:ASM411807v1:5:3337141:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.2.v1.2 pep primary_assembly:ASM411807v1:5:3334392:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun05g041200.1.v1.2 pep primary_assembly:ASM411807v1:5:3334427:3344812:1 gene:Vigun05g041200.v1.2 transcript:Vigun05g041200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRIDIFEIYRRFSDIKSGHACIAGEEGYRQDSDLHKAKVSREALTQLSKLVESRVSTGVSIFDEIFVLMSHLGLRVDFAEFSRFYDFVFFMCREDGQKNITVSRAVTAWKLVLAGRFSLLHPWCDFVEKNQRYNISEDTWQQVLAFSWCTRENLEAYDPEGAWPVLIDDFVEHMYRMQESYYDNSNFHCQCGDPESQSCVLEDSLPGLSNFSGVKRKSYEDFNKNDHMDANDVVFSHCKKSRPKDAADFEEYPSGNTAEDFMETSTQNSPLCSSMSPCAVEGVLSKGFAGLLSTRSYVQFSEERRASF >Vigun09g001100.3.v1.2 pep primary_assembly:ASM411807v1:9:126389:129335:-1 gene:Vigun09g001100.v1.2 transcript:Vigun09g001100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGEKCNEELYEVLGIEKECTPSELKNAYKKLALKWHPDRCSGSHEEEGKKKFQAIQHAYSVLSDANTRLLYDVGVYDSDDDQTGMGDFLNEMATMMSQSKPIDNGEESFEEFQQLFGEMFQATAPASPTYIMTNGEGSRSNRRNSTEINFGKTENTSELNPSDQNFCLGGGGAAPRYEEGSSMRRS >Vigun07g036633.1.v1.2 pep primary_assembly:ASM411807v1:7:3515434:3515863:1 gene:Vigun07g036633.v1.2 transcript:Vigun07g036633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNRDSGNAANSKVPVAAPDFEKVLSNYDVRASSLYLDSKFAKECLIKGRKSYTLTNDQSQFWDCKIRWTGRSSYECYLTCGWKKFCKENGLAAGDRIRFVVEDEEKGVIHILKN >Vigun11g118700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32485786:32486485:1 gene:Vigun11g118700.v1.2 transcript:Vigun11g118700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVSTAFSIPMLMILFITLVYEAHAEFPQPTGRQTTYVFYLQDTTTGPNATVAAVAGIKGRNWNFNTFGSMFVVDDPVTVGPSPSSAIVGRAQGMLVVSSRDGTNVNAVLSVVFSSWQYNGSTLELQGINRQNENVRTLSVVSGTGQFRFARGYAALQTVAYDPVSARSTVRFTITLQI >Vigun09g242100.1.v1.2 pep primary_assembly:ASM411807v1:9:41150695:41153328:1 gene:Vigun09g242100.v1.2 transcript:Vigun09g242100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVQYLVAAVIAVLFISFFIKRDTPGSARNPPEAAGGWPLIGHLHLLGGSTPYVTLASLADKYGPIFSIRIGVHPAVVVSSWELAKECFTTLDVVVSSRPKFTAAKLLGYDYANFGFSPYGEFWREMRKITASELLSTTRFELLKDIRHSEVKSSFKELYRSWAEKGGGSHYLSVEMKQWFGDVNLNVILRMISGKQYSAKSEDEQQVRRIRKVFREFFRLTGLFVVGDAIPFLGWLDLGGEVKEMKKTAMEMDIIVSEWLEEHRQKRVTDESKTEQDFVDVLLSVLKGVDLAGYDVDTVIKATCTMLIAGATDTTAVTMTWALSLLLNNRDALKKVKDELDEHVGKERLVNESDINKLVYLQAVVKETLRLYPSGPFSGPREFTEDCTLGGFNIKAGTRFILNIWKLHRDPRIWSNPLEFEPERFLTSHRSVDLKGQHFELLPFGGGRRLCPGISFGLQMTHLALASLLQAFEITTPSNAPVDMSATFGLTNMKTTPLQVLLRPALSHHLLFGDDV >Vigun08g047500.1.v1.2 pep primary_assembly:ASM411807v1:8:5134599:5138186:1 gene:Vigun08g047500.v1.2 transcript:Vigun08g047500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENLVKQELEELLKQLGKKQRFEASVLALKSLFQSSYPSASPSLRQSFYSVVCRVATVLKTRYTAPGFWNAGLGLFELAYLLVSEPSEKEHLKACIAQAREHLHGEDNPLLQASQLADNQAPRGYLFEGHLTVDPEPPQPQWLVQSNLLTTAATLFSAESSQASAANETTQEDAASILQDLINRLEEVVPLMVDEGTVAPRAPPASKEVVAKLPVITLTEEILATLGKDAECAICRENLVLNDKMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHAYESWKEREKEAEEERKGAENAIRGGEYMYV >Vigun08g166300.1.v1.2 pep primary_assembly:ASM411807v1:8:33822152:33824571:1 gene:Vigun08g166300.v1.2 transcript:Vigun08g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTAAGDSDSLGPHGVSSATVGVSALAHDLFHFDITSQVPEGLSKHVVSSKKAQANWYRKLVDAWKEAKPPPKTPEEAATLVIQTLKRHQKADVEGLLAFYGLPPPHTLVQGTAQAPSSLPDGVQFEMHTLPVDARAVADGDTVTVYVSTTDPRESAFVPGNVHAAAVKRSEARARRNFQDADAFHKQIIDAGYRVIPGENEEILAKKYRIRLRGIDAPESAMPYGKEAKAELVKLVQGKPLRVLVYGDDRYGRCVGDIYCNGIFVQEIMLKKGLAWHYTAYDKRQELETWEKQARAKRVGLWASKNPEKPWDWRRERREGA >Vigun03g289000.1.v1.2 pep primary_assembly:ASM411807v1:3:47105712:47106676:-1 gene:Vigun03g289000.v1.2 transcript:Vigun03g289000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSRFLSFPMVIGAVIIGVVSGKAIFGPPLDEYWKKKHQEETSAAATKENDSTPT >Vigun02g053600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19568123:19570839:1 gene:Vigun02g053600.v1.2 transcript:Vigun02g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMPLFRSCFTSRSLTQLHAHLVVTGLHTDPLASTKLLESYAQMGSLPSSRLVFETHPSPDSFMFGVLIKCYLWHQLFHQVVSLYHHHIQKGSHLTQNCTFLFPSVLKAISGVGDLFMGRKVHGRIVRSGFGTDHVIGTSLFNMYGELSCLNDARKVFDEMHVRDLVSWSSVIACYVENGRPQEGLEMLHGMVSEGIELDSVTMLSVAEACGKVGCLKLAKSVHGYGIRKEMVGDASLRNSLMVMYSQCSYLCGAKGVFEGVGDRSTSCWTSMISSCNQNGCFEEAIDAFRKMQESGVEVNEVTMISVLSCCARLGWLKEGMSVHSFILRREMNGADLDIGPALMDFYAAFWKISSCEKLLHLIGNSSLISWNTLISIYAQEGLNKEAMVLFARMIEKGLMPDPFSLASSTSASADAGSILFGQQIHGHVMKRGFRDEFVQNSLMDMYSKCGFVDFAYAIFDKIREKSIVTWNCMISGFSQNGISVEALKLFDEMYFNCIDINEVTFLSAIQACSNSGYLEKGKWIHHKLIVSGMQRDLYIDTALVDMYAKSGDLLTAKGVFDNMAEKSVVSWSTMISAYGIHGQLTSATTLFTKMVDSHIKPNEITFLNILSACRHAGSVEEGKFYLKLMKDYGIVPNAEHFASIVDLLSRSGDINGAYEIIKSTCVPVDASIWGALLNGCRIHGRMDLINNIQKELREIRTDDTGHYTLLSNIYAEGGNWYESRKVRSRMEGLGLKKVPGFSSIEIDKKIYRFGAGDTSSEWQMKEIYMFLENFQSLAQEQGCDVECHGTMFGTSGFFEDYRPRNLQRETRKFHFEQINPILE >Vigun04g143700.1.v1.2 pep primary_assembly:ASM411807v1:4:35696962:35700053:1 gene:Vigun04g143700.v1.2 transcript:Vigun04g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKHLDLSNNVFKGRDLKSFMNICTLRSLDMSRNNITEDLPSIIHNLSSGCARYSLQELRLSSNQIMGSITDLSVFPFLKSLDLSSNQLSGKIPEGIILSSQLEQLSIGSNSIEGGVPKSIGSICTLKSLDMSDNKLSEDLRVIFNHLSICSRYSLQHLDLSINQISGTLPNTLSMFPSLKRLYLYGNKLNGTISKDLRFPNELEELYLMSNSLKGVIDDYHFHNMSMLKILVQNWAPTFQLENIELSSCKLGPLFPKWLQTQNLFNNLDISNSGISYIVPKWFWTKLALQEWIQINMSCNYLQAETLYQLDLSNNKLFGQILDCWTHFRSLAFLNESKQKFSGKIPTLMGSLLELQVLLLRNNKLTGEISSSLRNCTKLVMLDIAENRLSGSIPDWIGSKWLQLQVLSMGNNYFYGCLPLQICYLQSIHILDLSLNNLSGQIPKCIKNFSSMAQKASLRDQGHWYFVNTSYSIGTTSYNLNAFLMWKGLKQIIDLSSNQFLGEISKEMEDLFDLISLNLSRNYLTGEIPFNIGKNQLVDLIPSSLVQIDRLTMLNLSHNYLSEKIPTSTQLQSFDASKYEDNFDLCGLPLKKLCIDRVPRQEPLVKLHEDNNLIFNRDFYLMFGSIVIIRFWRHAYFKFLSNLSNTLFVIIGVKAFK >Vigun07g029100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:2658934:2661676:1 gene:Vigun07g029100.v1.2 transcript:Vigun07g029100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLIMRSHVDLGLFHSALSVYKKMRQKGVPHDCFTFPLLNRALSSMRADVVYGKMIHCVATQMGLDGDLYFCNTMIDVYVKCGCIASARRMFDEMSLRDVVSWTLMIAGYVSERLFSVAFHLFNKMRMELEPNSVTLIVMLQAPCASMKLSEGTQVHGYALKSGLLTDWSVKNSVLRMYGSKGSTREVELLFGEVNMKDVVSWNILISFYSSEGDAIRVAGLLKEMQSLEVHVWNIETLTLVTSAFAKSGSLSEGEGVHCLVIKTGFSDDVLLTSLLDFYAKCGKLETSVLLFSEIDSKSKITWGAMMSGFIQNGSFMEAIVLFQQMQAEYFNVVPEIWRNLLDAYANLGALKLGKVVHGYLIKNLFNGPIENSVHLETSILNMYLRGGSMSSAKTCFDMMSVKDVVVWTTMIEGLGSHGFGFDALKYFNLMIEQRVQPNSVTFLSLLSACSHSGLVSEGCNIYHSMKWQFGTEPALDHQTCIVDLFGRCGMLKEALAIIFKMVILPDSRIWSALLAASRVHGNKKFGEYAAQRLLELEPDNAGYYTLLSNVKASVGRWEEVEKLRRDMSERDLKKKPGWSCIEVTGFIHGFVSGDKSHPEAEEIYEALGTLSTTTQDLGWHA >Vigun08g033600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3133531:3136031:1 gene:Vigun08g033600.v1.2 transcript:Vigun08g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCSLSNVIFSFVFLMLFSASSHTMVAGARVLLEPTLSKPEVSQLPKPQFSASNIPAFPKLELPKVPELPNIAEIPPLKIPELPKLEFPKVTELSKPELSKVPELSKPEWPKVPELSKPELPKVPELPKPELPKVPEMPKIPELPKPELSKVSEFSKLESPKVLEVPKSELPKVPELPKFELPKPELPKIPEFPKPELPKVPELPKPELPKVPELSKSELPKVPELPKPELLKVPELPKPELPKVPELPKPELPKVPELPKPELPKVPELSKPELPKVPEMPKIPELPKPELSKVSELSKLESPKVPEVPKSELPKVPELPKSELPKPELPKIPEFPKPELPKVPELPKPELPKVPELSKSELPKVPELPKPESPKVPELPKPELPKVPELPKVPELSKPELPKVPELPKPELPKVPEMPKIPKLPKPELSKVSELSKLESPKVPEVPKSELPKVPELPKFELPKPELPKIPEFPKPELPKVPELPKPELPKVSELPKPELPKVPELPKPELPKVPELPKPELPKVPEMPKPELSKVSELSNLESPKVPEVPKSKLPQIPELPKPELPKVSELPKPELPKVPEMPKTPELPKPELSGVPELSKVPELPKFELPKPELPKIPKLSKPELPKVFELPKSELPTIPKLPKPEFPKIPESMKPKLPKVSELPKQEIP >Vigun01g056266.1.v1.2 pep primary_assembly:ASM411807v1:1:11048694:11050094:1 gene:Vigun01g056266.v1.2 transcript:Vigun01g056266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLDRVQTHDVFSGEQWLCTRGSVCCWFNGCCGAKRPWMLFIFALVEREAQDKMVRVSSISGCCHRCCGWRDLCWRCTCVDWRCKRWFWLASCVELLLCDAIVGQRFTAWLLLRGALFWFVLVDSMVVVRKASRRERKKLCDGYRCTNGASISCSPQYVVQRWRGRRRSRTKMVVGAQMRL >Vigun08g184900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:35414011:35414769:-1 gene:Vigun08g184900.v1.2 transcript:Vigun08g184900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETAKSSEGSSLNSENESNNPTMDFPLPFTDDDDSEYPKPIIMIVPAGEDIVSTILDYARDQDVSILVHHASGSISEVSITNPLCPSSESSFRGNLHMFFLSGVYTKCLSPTPPKNVPFSFFNVQFSVEHAPEIYGGLVGNTLVAAHPVPVTATLFKEHEYYEYHGRVSDSTAPNVEPSILGNDPNATATATTTITAVTTDITDVITDDNDNNDGNTVYLHNGFFCGGSVSSPTDFSKLHGNNPTNPGDDN >Vigun03g341000.1.v1.2 pep primary_assembly:ASM411807v1:3:53987806:53995169:1 gene:Vigun03g341000.v1.2 transcript:Vigun03g341000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENESKKGKESSASGQRRVGLLYDGRMGKHYAPNETNHVENPNRIRSIWNRLESAGVPQRCVLLEAKKAEDRHVQLVHSHHHVNLIKNISSKAFKSRRSQIASKLNSIYFNEGSSEAAFLAVGSAIEVVEKVASGELDSAVAIVRPPGHHAEHNEAMGFCLFNNVAVAARYLLEERPDLGVKKILIVDWDVHHGNGTQKMFWNDSQVLFFSVHRHEFGTFYPANHDGFYTKVGEEEGAGYNINVPWENGKCGDADYFAVWDHILLPVAKEFNPDIIIVSAGFDAAVGDPLGGCRVTAAGYSVLLEKLMNFAEGRIVLILEGGYNLDSIAKSMHACLEVLLTGKSVTESLKAYPFQSTWHVIQAVREMLSPFWPTLASKLPPELVSQIGPPPHTLISSSDSEDEDDKGVRSSENHGELIEDILKPLSNLKVDADEEIHVSSTWRSALSNVYIWYASYGSNMWKTRFNCYLEGGQVDGMVKQCSGSVDRTLPKEIMRKTFPCDIFFGRDSSHSWGLGGVAFLNPEKKFEGKTYMCLYKISLEQFNDILFQENILSLDAASPLFDITTLNAISDKEFNSLEVVKDAWYGNVVYLGKEQDIPVITMTSSFLDIERFKSGKLPLHAPNKAYANSLIKGLVEGEQLSEQEAIAYIEGAAKSL >Vigun03g020100.1.v1.2 pep primary_assembly:ASM411807v1:3:1465191:1470074:-1 gene:Vigun03g020100.v1.2 transcript:Vigun03g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLNNGDAASVKKLPSVNFTKLFINGDFVDSVSGKTFESIDPRSGEVIARISEGDREDIDIAVKAARHAFDFGPWPRMSGAKRAKILTKWAELIDEHAEELATLDAIDAGKLYHMCKNVEVPATANTLRYYAGAADKIHGEVLKMSGDFHAYTLLEPVGVVGHITPWNFPNTMFYIKVAPSLAAGCTMVIKPAEQTPLSALYNAHLSKLAGIPDGVINVVTGFGPTAGAALSSHMDVDKVSFTGSTQTGREIMQAAAKSNLKQVSLELGGKSPLIIFDDADVEKAAELALIGILYNKGEVCVASSRVFVQEGIYDEFEKKLVEKAKAWVVGDPFDPKVQQGPQVDKEQFEKILSYIEHGKREGATLLTGGKTVGNKGYFIEPTIFSDIKEDMLIAQDEIFGPVLALKKFKTIDEAIKSANNTKYGLAAGIVTKNLDTANTVSRSIRAGTVWINCYFAFGDDVPFGGYKMSGFGKDHGLEALHKYLQVKSVVTPLYNSPWL >Vigun06g182400.1.v1.2 pep primary_assembly:ASM411807v1:6:30200953:30204747:-1 gene:Vigun06g182400.v1.2 transcript:Vigun06g182400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSELVRTFEEVLSIQELIKKPLTSVPECYVQQQCHNQPALFPDETLSQELPTISLRKLIHGEDTELELQKLDSACRDWGFFQLVEHGISPAVLKTLKDEVEGFFMLPLEEKMKYKVLPGDVEGYGAVIRSDDTKLDWGDRLFFKTNPRSIRKPHLLPQLPSSFRSVLELYINEVQDLGMRIMGLLGEALKIEKRELEVFEDGIQNLRMTYYPPCPEPELVMGLSAHSDASGITILNQMNGVNGLQIKKDGAWIPVNVISDALVVNIGDILEIMSNGAYKSVEHRATVNSEKERISIAMFYLPKLESEIGPAVSLTNPENPPLFKTTGVDKYVEDYFTRKLDGKSYLERMRISDQNLSI >Vigun02g149700.1.v1.2 pep primary_assembly:ASM411807v1:2:29688227:29690562:1 gene:Vigun02g149700.v1.2 transcript:Vigun02g149700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMAREKNLEKQRAAGKGSQLDSNKKAMTIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >Vigun05g143200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:18861403:18862179:1 gene:Vigun05g143200.v1.2 transcript:Vigun05g143200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMILALFLLSVLTFNPPSTTAQPVTDLHGKIVTNGGVFHILPLFTTAGGIRLTKTGNETVPLSVVQSPSELDKGLPLQISSPYFSLFIPNGSPVSIRFILNGASPLEWTAVAAQPEGTLVKVGYQNSIKGLFSIHRVRDNIFKLLFCTLGSNLCGNVALVRDEAGNTLLAVNQKQPYMFVLEEVPSPSAATK >Vigun04g185300.1.v1.2 pep primary_assembly:ASM411807v1:4:41032491:41033818:1 gene:Vigun04g185300.v1.2 transcript:Vigun04g185300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCISSLPDSILCDILSSLPTKEVVATSVLSKRWILLWRSVPSFHFDYDGDHFDYDKDKEACFHFLQSVDSFLLRRDRDEPLHRFRLKSNSLFDHTVSINKWIRAAVSGSGRVQHLALCCDWNVVMPSVVFTCRTLVVLKLTLVTLEDVSFVDLPLLEILHLNSVRLSEGLNLSQFLSGCPNLKDLKVYETYVQNIEKFIRLPKLARASVHEKLLPLEVLKDVEVLFLVLRYQRNLVFDFQNLVQLELILQHKKDWLRVLEVLQHCPKLQTLVIWTRFYLVGREGVVPPYPQPDPVCISFHLKTCCLKEYHGSIDEFQFARYILEKANYLQTMKICTDSDADYTLGKKLYMRRQLSSCRKGSDTCTLSFE >VigunL020500.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000270.1:27897:29168:-1 gene:VigunL020500.v1.2 transcript:VigunL020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLSVNSSCSKVHGKATRVASSEVGYDPRMRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >Vigun01g212000.1.v1.2 pep primary_assembly:ASM411807v1:1:38615084:38618142:-1 gene:Vigun01g212000.v1.2 transcript:Vigun01g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFNGLARSFSMKKGRKNEKCGGREAAEAMAKEAKKNEMMLCSSGIVHVDGSNNFASVFSRRGQKGVNQDCCIVWEEFGCQEDMIFCGIFDGHGPWGHFVAKRVRESMPSSLLCNWQETLAQTSVDPGVDVDEGKRQLYRFNVWKHSYLKTCAAIDQELKQHRKIDSFYSGTTALSIVRQGELIVIANVGDSRAVLATKSDEGSLVAVQLTVDFKPNLPQEEERITQCQGRVFCLEDEPGVHRVWLPDAESPGLAMSRAFGDYCIKGHGLISVPEVTHRSISSRDQFVVLATDGVWDVISNKEAVEIVSSTADKAKAAKRLVECAEHAWKRKRRGIAVDDISAICLFFHSSL >Vigun06g200300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31547223:31550711:-1 gene:Vigun06g200300.v1.2 transcript:Vigun06g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAFDVGAVPFNSDGWGPPETAAAGGSSNLPLNVPFAPFSRSDKLGRIADWTRNFNNPTRSKNPADSVFDFTNDDSFPASADDDASFRLVDGKPPPRPKFGPKWRFQQQRQLPQRRDEEVEARKREQEKERARRDRLYHMNRSNPNAPRREAAVFKSSVDIQPEWNMHDQIPFSTFSKLSFTVPEPEDLLLCGALEYYDRSYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAHSLSVEAAYINQNFSQQVLIRDGNKVTFDEANPFANEGEEVASVAYRYRRWKLDDDMYLVARCEVHSVVDVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRIHPRDHFNHVILAVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPAEGTEGAEAATTNDVEDKPIDVQA >Vigun02g028256.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10340132:10340902:1 gene:Vigun02g028256.v1.2 transcript:Vigun02g028256.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSTTTTTTTTTTTTMANTTTTTSTTITTTTTTTMATTITTAITSTSTSTSNSSITTTTTINPTTIAMTLMLANITTTSTTKTTSTSTATNITTTTTTTTTITTTTTTTTTIPSTTTTTTTTNTTITTATTTTTTTTTANTTTTTTITNATTTTIIAIDTTTMTTTTTATTTTTITTITTSTTATTLNNHRHPYHTLTTITTTTTTTTPTITTTTATTTTTTSSTTASTRNATTKATKATTTTTTTTNTTKAT >Vigun05g079000.1.v1.2 pep primary_assembly:ASM411807v1:5:7369999:7373603:-1 gene:Vigun05g079000.v1.2 transcript:Vigun05g079000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTLCFRAATFPDCPSNVTNNKSTRSSNWKPPQAAVKSSLHLPMRSFELKNRTSPEDIKCLRLITAIKTPYLPDGRFDLEAYDDLVNMQIGEGVEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFGGKIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLDGMVAHFQSVLPMGPTIIYNVPSRTGQDIPPHVIETLAESSNLAGVKECVGNDRIKQYTDNEIVVWSGNDDQCHDARWDYGATGVISVASNLVPGLMRELMYGVNPRLNSKLLPLIDWLFHMPNPIGLNTALAQLGVVRPVFRLPFVPLSVEKRIEFADIVKAIGREHFVGDKDVEVLDDEDFFLVSRY >Vigun05g079000.2.v1.2 pep primary_assembly:ASM411807v1:5:7369999:7373603:-1 gene:Vigun05g079000.v1.2 transcript:Vigun05g079000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEDIKCLRLITAIKTPYLPDGRFDLEAYDDLVNMQIGEGVEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFGGKIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLDGMVAHFQSVLPMGPTIIYNVPSRTGQDIPPHVIETLAESSNLAGVKECVGNDRIKQYTDNEIVVWSGNDDQCHDARWDYGATGVISVASNLVPGLMRELMYGVNPRLNSKLLPLIDWLFHMPNPIGLNTALAQLGVVRPVFRLPFVPLSVEKRIEFADIVKAIGREHFVGDKDVEVLDDEDFFLVSRY >Vigun10g160200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:37918746:37920329:1 gene:Vigun10g160200.v1.2 transcript:Vigun10g160200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGKLTKLKSAIKRWPSLTKLSRSNSCVSSSKGATGASSSSKEHAHEQELHAVYVGKSRRRYLVNSEVIDHPVFQELVDKSCSSRDDGVVVSCEVVLFEHLLWMLESEETQLGSMDELVEFYSCAC >Vigun06g006800.3.v1.2 pep primary_assembly:ASM411807v1:6:3573507:3575762:1 gene:Vigun06g006800.v1.2 transcript:Vigun06g006800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSRRHSRTLLCERCNSQAAFVRCVEEKISVCQNCDWLGDGVSTSWTHKRQGISCYSGCPSAAELSSIWSFVLDIPSIRECTTCEQELGLMSINENSESVGVATNEKCPALCCEDFDMDEVDLNIEKYEELFGSEELFENGGIDSLFGTKDMSAEVNACSNAASADSILSTKTEPIVCFSGITGDYQDCGASSSMVLMGEHPCPDNSLHSANRTNAVLRYKEKKKARKFDKQVRYASRKARADVRRRVKGRFVKADYDPLTTTRSC >Vigun06g006800.1.v1.2 pep primary_assembly:ASM411807v1:6:3573588:3575638:1 gene:Vigun06g006800.v1.2 transcript:Vigun06g006800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSRRHSRTLLCERCNSQAAFVRCVEEKISVCQNCDWLGDGVSTSWTHKRQGISCYSGCPSAAELSSIWSFVLDIPSIRECTTCEQELGLMSINENSESVGVATNEKCPALCCEDFDMDEVDLNIEKYEELFGSEELFENGGIDSLFGTKDMSAEVNACSNAASADSILSTKTEPIVCFSGITGDYQDCGASSSMVLMGEHPCPDNSLHSANRTNAVLRYKEKKKARKFDKQVRYASRKARADVRRRVKGRFVKADYDPLTTTRSC >Vigun06g006800.2.v1.2 pep primary_assembly:ASM411807v1:6:3573506:3575762:1 gene:Vigun06g006800.v1.2 transcript:Vigun06g006800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSRRHSRTLLCERCNSQAAFVRCVEEKISVCQNCDWLGDGVSTSWTHKRQGISCYSGCPSAAELSSIWSFVLDIPSIRECTTCEQELGLMSINENSESVGVATNEKCPALCCEDFDMDEVDLNIEKYEELFGSEELFENGGIDSLFGTKDMSAEVNACSNAASADSILSTKTEPIVCFSGITGDYQDCGASSSMVLMGEHPCPDNSLHSANRTNAVLRYKEKKKARKFDKQVRYASRKARADVRRRVKGRFVKADYDPLTTTRSC >Vigun10g102500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29516640:29519019:1 gene:Vigun10g102500.v1.2 transcript:Vigun10g102500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTEWNREVLMAERTNLMFHNKVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLRQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVIRRTDCGTIRGISVNTQNETMPESSWTQTLIGRVLADDIYRGSRCIAIRNQDIGIGLFNRLKTFQTQPISIRTPFTCRNTSWICRLCYGQSPTQGHLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEQVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLYVSIENGDIIHNVTIPPKSFLLVQNNQYVKSEQVIAEILAGTYTFNLKEKVRKHVYSDLEGEMHWSTDVYHASEFKYSNVHILPKTSHLWILSGKSDRSASVSFSTRKDQDQLNIHYLSTGERDICNHLASNNKVRHNLFRFTPSEKKERRISDYSKNNQILCKDHCHFTHPAIFHDTTDLLAKRRRNRFIIPFQFQSIQERDKALMLASSISIEIPIHGIFRRNSIFAYFDDPQYRTQSSGITKYRTIDINYIFKKEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVRNNSIIEVDTPITVNIRSQVSGLVRLEKKKKRFN >Vigun09g108601.1.v1.2 pep primary_assembly:ASM411807v1:9:22788516:22788687:-1 gene:Vigun09g108601.v1.2 transcript:Vigun09g108601.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQARFEFIKSAFIFSSPSKKGPSSNWRFESSF >Vigun07g014300.1.v1.2 pep primary_assembly:ASM411807v1:7:1297015:1299787:1 gene:Vigun07g014300.v1.2 transcript:Vigun07g014300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIERHRRDYGYRLDHFERKRKKEARQVHKRSAMAQKALGIKGKMIAKKNYAEKAQLKKTLAMHEESKSRRKTDDNVQDGAVPAYLLDRDNTTRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Vigun11g160300.1.v1.2 pep primary_assembly:ASM411807v1:11:36776652:36780271:-1 gene:Vigun11g160300.v1.2 transcript:Vigun11g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALTTTLPSLRLRRNTTAAAALKPNRLTSFPPSSLKPNLSTSISSFPNFSLKKPNLVLTRKHSSFTVRATVASVTPAPAPAPAPIQPWQGAAIKPLLASIATGVILWFTPVPAGVNRNAWQLLAIFLGTIVGIITQPLPLGAVAILGLGVSVLTKTLTFAAAFSGFGDPIPWLIALAFFFAKGFIKTGLGNRVAYQFVKLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKALCVACGSNAGDGTEKKLGAWLMLTCFQTSVITSAMFLTAMAANPLCATLTQSSINQTIGWLDWAKAAIVPGLASLVLVPLILYVIYPPTLKSSPDAPKLAREKLEKMGPMTTNEKIMTATLFLTVGLWVFGGLLNIDAVSAAILGLSVLLVTGVVTWKECLGEGVAWDTLTWFAALIAMAGYLNKYGLIAWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVSTALGTPPFFGAIVLSFLSNLMGGLTHYGIGSAPVFFGANYVPLAQWWGYGFLISIVNIIIWLGLGGVWWKFIGLW >Vigun04g008800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:640899:642118:-1 gene:Vigun04g008800.v1.2 transcript:Vigun04g008800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQASPSPSPSPSPSPKLVAARKLWNVVRIVFLMLRKGIAKSKHAVDFNFNFNRGKLAAAKAILHLQRHHHDAVYPHRDYEFSCSNSPAVTKRGKHRTRFPQYQYDDASSVRKVLEILNSNNQMEGSPPPLKSPIGKKVRITDSPFPLKEEEEDNQVDVAAEEFIKRFYKDLNLQQKMAAIESPYHSNFWGR >Vigun01g027100.1.v1.2 pep primary_assembly:ASM411807v1:1:3200679:3218356:1 gene:Vigun01g027100.v1.2 transcript:Vigun01g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPCCSVCQTRYNEEERVPLLLQCGHGFCRECLSRMFAPSSDATLACPRCRHVSTVGNSVQALRKNYAVLALLHSATTVANGGVGRGGGGGANFDCDYTDDEEEDGGGEEDEDDEKRRRNSRESQASSSGGCEPVIEVGGGAHQDLKLVRRIGEGRRAGVEMWMAVISGGVEGGRRCRHSVAVKKVTVVEGMDLDWVQGKLEDLRRASMWCRNVCTFHGTMRVEDSLCLVMDKCYGSVQSEMQRNEGRLTLEQVLRYGADIARGVVELHAAGVVCMNLKPSNLLLDANGHAVVSDYGLATILKKPSCWKARPECDSVKIHSCMECIMLSPHYTAPEAWEPVKKSLNLFWDDGIGISSESDAWSFGCTLVEMCTGSIPWAGLSAEEIYRAVVKAKKLPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFSAMLAIFLRHLQEIPRSPPASPDNGLDNKGSVSTVMEPSPIPELEVPQENPNHLHRLVSEGDTAGVRDLLAKAVLENGSNYLTSLLEAQNAHGQTALHLACRRGSAELVETILEYREANVDVLDKDGDPPLVFALAAGSLECVRSLVKRNANVQSRLRDGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAISKKYTDCALVILENGGCKSMSIVNSKNLTPLHLCVATWNVAVVKRWAEVATSDEIAEAIDIPSPIGTALCMAAASKKDHEKEGRELVQILLAAGADPSAQDSQNGRTALHTAAMTNDVDLVQVILAAGVDVNIRNVHNSIPLHLALARGAKACVGLLLAAGANFNLQDDDGDNAFHIAAETAKMVRENLNWLVIMLMHPDADIEVRNHSGKTLRDILEALPREWLSEDLVEALSNRGVHLSPTSFEVGDWVKFKKSVTTPTHGWQGASAQSVGFVQSVPDKDNLVVSFCSGEVRLLANEVIKVIPLDRGQHVQLKEDVKEPRFGWRGQSRDSIGTVLCVDEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTSAKHGLGAVTPGSIGIVYCIRPDSSLLVELSYLPNPWHCEPEEVEHVAPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPADMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHGLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFEVGQEIHVIASVTQPRLGWSNESPATVGKVVRIDMDGALNVRVTGRQSLWKVSPGDAERLPGFEVGDWVRSKPSLGTRPSYDWNSVGRESLAVVHSVQDSGYLELACCFRKGKWITHYTDVEKVPSFKVGQYVRFRTGLVEPRWGWRGARPESQGVITSIHADGEVRIAFFGLPELWRGDPSDLEIEQIFEVGEWVRLTENANNWKSIGPGSVGVVQGIGYEGDELDRSIFVGFCGEQEKWVGPTSHLERFDKLFVGQKVRVKQYVKQPRFGWSGHSHASLGTIQAIDADGKLRIYTPAGSRTWMLDPSEVEVVEEKELCIGDWVRVKASVSTPTHHWGEVSHSSIGVVHRMEDEDLWVAFCFMERLWLCKGWEMERVRPFKVGDKVRIRDGLVNPRWGWGMETHASKGEVVGVDANGKLRIKFRWREGRPWIGDPADLALDED >Vigun01g170900.1.v1.2 pep primary_assembly:ASM411807v1:1:35284976:35287217:-1 gene:Vigun01g170900.v1.2 transcript:Vigun01g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEQQSNNNNQLVVQNSGSLSFSSHLSKEDEEMSRSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLATIREELEALADPMRKEVALVRKKIDSVNKELKPLGHACQKKEKEYKDALEAFNDKNREKVQLITKLMELVSESERLRMKKLEELSKNVDSMQ >Vigun03g051700.1.v1.2 pep primary_assembly:ASM411807v1:3:4185800:4191576:-1 gene:Vigun03g051700.v1.2 transcript:Vigun03g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAQSIGMPGLLSGQRQGQHKASGKSRRSVKMMATIRMPASRMSSFSGLRTLNTLDLLSRPRQNFPSKMLTSTSSRRERAKRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPNNIRAQVIRMVGEGADSVGATVGPGGGNNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLQYTDEALEAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEARELDKELRQIVKEKDEAVRNQDFEKAGELRDKEMDLKTQISSLIEKGKEMSKAESEAGDGGPMVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKAKEIDLSVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSSGAPESLTEALPV >Vigun11g050442.1.v1.2 pep primary_assembly:ASM411807v1:11:8336194:8336985:1 gene:Vigun11g050442.v1.2 transcript:Vigun11g050442.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLSSEAFFQDYVICHSLYFCYFMEVPSMIDPYSDMRLDIDHMSYEVNGLCKVDKVLLEDIITRQLQTKTYLLPNELEGSSSEEQEYNIFIIYEYKNKEEFGILQCEHEYHANCVRRWLHEKNVCLMYRSKALTIA >Vigun02g116000.2.v1.2 pep primary_assembly:ASM411807v1:2:26952499:26953410:1 gene:Vigun02g116000.v1.2 transcript:Vigun02g116000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDIAKEFHYHVRSISLPCRIHSSLPKIEKVLKRMKTWEASSQSQSEAMKEGVKGLVELYNCVEELVGCPLTHQALLRRHVEKPLDMTVHLLDTCGSARELLTLTREHVLDLQSALRRKGVDSSVKNQICAYICFRKRARKDITKRLKALKTMENDFKSYSYCLVDFDHHLLMVVNVLREISKNTITFFKKLLVFMCAPVLKRNIGGWLVLTRIVSTSFDKENMVMNEMRDIDVALCSFAKRCKKTDGKTEVEIVKRGLGEVEGSIRELEAGLDCLFRCMVQQRVSLLNLLT >Vigun02g116000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26952499:26953416:1 gene:Vigun02g116000.v1.2 transcript:Vigun02g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDIAKEFHYHVRSISLPCRIHSSLPKIEKVLKRMKTWEASSQSQSEAMKEGVKGLVELYNCVEELVGCPLTHQALLRRHVEKPLDMTVHLLDTCGSARELLTLTREHVLDLQSALRRKGVDSSVKNQICAYICFRKRARKDITKRLKALKTMENDFKSYSYCLLDFDHRLPMMVDFDHHLLMVVNVLREISKNTITFFKKLLVFMCAPVLKRNIGGWLVLTRIVSTSFDKENMVMNEMRDIDVALCSFAKRCKKTDGKTEVEIVKRGLGEVEGSIRELEAGLDCLFRCMVQQRVSLLNLLTP >Vigun05g209700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:40046431:40048071:-1 gene:Vigun05g209700.v1.2 transcript:Vigun05g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTEIKIARFSSCRGVAFEINPNRSNPFAIASPTKQEQVRTWLWLPWTRTDSFRVLPQPQTISPTRSRGSSHFCDIDIDASDVEVEFIAELQDIEKPDKANGAKVQKLLSRTDPPKRSRLSIILLDQGFTFYKGLFMVCMALNLVALALSAAGYFPYAKTRATLFSIGNILALTLCRSEAVLRVVFWLAVKTIGRPCVSLAIKTATTSFLQSVGGIHSGCGVSSIAWIVYSLVLTLKNKDKTSPEIIGVAFTIFSLILLSSLAAFPLVRHLHHNVFERIHRFAGWMALVLLWVFVFLSTSYESSSQTYDLTMSEVVKMQEFWFTSVITILIIIPWLSVRKVEVCVSAPSSHASIMRFEGGVKAGLLGRVSPSPLSEWHAFGIISDGKKEHMMLAGAVGDFTKSLVSDPPKHLWVRTMHFAGLPYLVNLYQRVLLVATGSGICVFLSFLLQPSKTDVCLIWVAKDIELNFGKEIRELVNKYPKEKVMVHDTAISGRPNVAEMSVNAAVRWNVEVVIVTSNPEGSRDVLRACKRANIPAFGPIWDS >Vigun06g052600.1.v1.2 pep primary_assembly:ASM411807v1:6:17821730:17834476:-1 gene:Vigun06g052600.v1.2 transcript:Vigun06g052600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSFAYSASSSSLLSLRKTPPSSLRLSRFSNTKHRNFSVRASSSGDSVVTLLDYGAGNVRSVRNAIRFLGFEIKDVQTPQDILNASRLVFPGVGAFAAAMEVLSKTGMDEALCSYIEKDRPFLGICLGLQLLFESSEENGPVKGLGLIPGTVGRFDSSNGFRVPHIGWNALQITKDSGILDDVGNHHVYFVHSYRAMPSDDNNEWISSTCDYGDKFIASIRRGNVHAVQFHPEKSGDVGLSILRRFLNPKSNMTKKPGEGKASKLAKRVIACLDVRANDKGDLVVTKGDQYDVREHTNENEVRNLGKPVDLAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDSTGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYLRTGVKTGKTSLEQISRVYGNQAVVVSIDPRRVYVKDPNDVQLKTVRVSNPGPNGEEYAWYQCTVNGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDVDLIKLISDSVSIPVIASSGAGAPAHFSEVFNKTNASAALAAGIFHRKEVPIQSVKEHLLKAGIEVRL >Vigun11g121000.1.v1.2 pep primary_assembly:ASM411807v1:11:32724711:32741778:1 gene:Vigun11g121000.v1.2 transcript:Vigun11g121000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPEADHDSAVQNHAERDQLKESQPPPSPPPLSTAGGSPAQSPSQQQPSSPVTAGQMQHSSVVGPRLAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATELEGNSAASGTPSSAGNAGIRLSGATADVHCYDVITNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLFAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMVIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSAPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVFGGALGGGQMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAIGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAASNVQAGRLPGRYGGFVDDRTRQTMIEAAPDGAVVLGNPVAPPVNGDIHTDISTENALVPGTRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQIYSLIKPDSSASNSIPPGGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVVAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVIQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETISLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHLEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >Vigun10g043400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:6078540:6081597:1 gene:Vigun10g043400.v1.2 transcript:Vigun10g043400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKLLPLLRACKNSKSLKQGKLIHQKIVTLGLQNDICLRKNLINLYLSCHLYDHAKCVLDTMKNPCEISLWNGLMAGYTKNYMYVEALDLFEKFLHYPYLKPDSYTYPSVIKACGGLCRFLLGKMIHTCLIKTGLMMDIVVGSSLVGMYGKCNAFEKAISLFNEMPEKDVACWNTIISCYYQSGNFKEALRYFSLMRKFEFEPDSVTITTAISSCGRLLDLNRGMEIHEELINSGSLLDSFISSALVDMYGRCGHLEKALEVFEEMPKKTVVAWNSLISGYGLRGDSIPCIRLLKRMYNEGVKPTLTTLCSLIMVCSRSAQLLDGKFVHGYIIRNRIQPDVFISSSLMDLYFKCGRVGLAENIFKSIPKSKVVYWNVMISGYVAEGKLFEALALFSEMRKSYVEPDAITCTSVLVACSQLAALEQGKEIHNLIMEKKLDNNEVVMGALLDMYAKCGAVDEAFIVFKWLPERDLVLWTSMITAYGSHGQGYEALELFTEMLQSDVKPDRVSFLAILSACGHSGLVDEGCYYFSQMMNVYGIKPGVEHYSCLIDLLGRAGRLYEAYKILQRNPETRDDVGLLSTLFSACRLHRNIDLGVELAKILIDKDPDDSSTYILLSNMYASAHKWDEVRKVRSKMKELGLKKNPGCSWIEINQKIVPFFVEDNSHLHLELVNKCLSYLTGHMDDESKPFIYHSDVETLRFC >Vigun11g093700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27622790:27624325:-1 gene:Vigun11g093700.v1.2 transcript:Vigun11g093700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERCESLFKLCSMVHFSSSFAYGFRHKLFGKPCSKPASICGAIESLSTVNGPTRKPVKYALCTALSSCAKTRNWRLGIQIHAFMIRSGYEDNLFLCSALIDFYAKCFAILDAKKVFSGIRTHDQVSWTSLITGLSINGQGINAFLLFKEMLCTQIKPNCLTFVSVINACVGQNGGLQHCSALHTHIIKQGCDTNNFVVSSLIDCYANQGQIDDAVLLFVETSEKDIVVYNSMISGYSKNLCSNDALKLFVEMRGRNLGITDHTLCTILNACSSLALLLQGRQVHSLVIKMGSERNVFVTSALIDMYSKGGDIDEAQRVLDQTSEKNNVLWTSMIMGYAQCGRSSEALELFDCLLTKQELVPDHICFTTVLTACNHAGLLDKGVEYFNKMTTNYGLSPDIDQYACLLDLYARKGSLSKARDLMQEMPYDPNYVIWSSFLSSCNIYGNVELGKEAADQLIKMEPCNAAPYLTLAHVYARKSLWNEVAEVRRVMQQKRMRKRAGWSWVEVDKL >Vigun02g101600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:25636495:25641136:1 gene:Vigun02g101600.v1.2 transcript:Vigun02g101600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGIVIQNLQSLGRDQLSSCWGVDQQTQKLSSNLTAIRAVLRDAERKQITSHAVKDWLQKLTDAAYVLDDILDECSIHSTKMHSLDGHTSCLSRLHPKDILFRFHIGKRMKDITQRFDVIHEERRSLGLCVGVTEKQVVDDDDDWRQTTSVITEPIFCGRDRDREEIVKFLLEEASNSEDLNIYPIVGMGGLGKTTLAKQVFNDHRVCKHFDLTIWVYVSVDFNMKEILQSIIEYVTGQNPNFQSLETMRKKIEEVLCNKRYLLVLDDVWNEDQEQWKHLKGMLQCARGAKGATVLVTTRLEECASTMETHHAHHLKELSGEDSWSLFKSFAFGPNREEREELVAIGKEIMKKCVGSPLAIKTLGSILRHQNEVTQWENVKESEIWDIREESSLMTGEENAIMRVLKLSYFNLELSLRRCFSFCAIFPKGFQIVKEELIHFWMANEFIKCEGSVGVEDVGNYVWRKLYSRSFFQEAKFDEFGMIISFKMHDLFHDLAQFVMGEECVVIETGRFTELSARVHHLRLLNYDVPVDMSAFKKIESMRTILGYGNFSQLPSNHGVRALCTKSFPRIPLNDLVHLRYLSMRGRLGASVLNSICGLPKLQILKLKSCTDVELPKNLTQLQDLRHVLIDDCASIAEMPPNISKLRHLRTLSIFVVGSKPGCGLAELHSLKLGGALRIRGLNNVPSEWDAKQANLIGKKELNILHLSWDGSANSKSSNVSVERVLEALEPPSTLKSFEMNGYEGKQLSSWMRSSIVLRDLVKVKLWNCGNCEELPPFGKLPHLKRLELSGMKNVKCIDGETYDGAEEMAFPSLEELSVYYLPNLERLLRDEGVEMLPRLSQLTIKKVSNFKFPRLPSVEKLYVESIDDVERVVGNTPCLKTLNIVSIKGVKTLPDKLGMLNALEVLYIDDWYDLKYFPEHVLEGLTSLRILRIYSCEKLKSLSEGVRHLACLQRLIICGCPELVALPNNMSQLTALQHVSIIFSTLPDGLQRVPSLRSLYISDCKSTSLPDWLGDITSLQELDIWYCKELRSLPSSIQRLTNLSSLSISNCPYLKKRCNRETGKDWQYINHIPNIKCE >Vigun08g125400.1.v1.2 pep primary_assembly:ASM411807v1:8:29561501:29562766:-1 gene:Vigun08g125400.v1.2 transcript:Vigun08g125400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEWPDAKPNSEMAEETKDDKVKDKPDAYGIISCAAFAIMSLGMSNLTQFGFQIDLLYFFCGGLLVQLMKIKLWLVMVGAGFSYSLLQLRDYPRDTPEENLQLLQLQEYPGDTQGENLQLPDQNHYCWWCFRSKLFWTTKFIVIRR >Vigun05g248800.1.v1.2 pep primary_assembly:ASM411807v1:5:44252621:44255356:1 gene:Vigun05g248800.v1.2 transcript:Vigun05g248800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMRLLWGLRLPLLMILIHLLFPNRATYKFYAEALITFKEGLVGGPPQLRCSIVKYACQKQWPCLHCYKLKDSGGVTTKIDGNNDIPTLTPLPETQDRKPGGRRSSVIIGGVIAAIVVVVVLVTVYICLMRVKKSLRQTSEAASSMPSPTVEMGRVNTQHVIAFSPQNTRELTLLELEQATQNFSHNNIIGEGGFGVVYKGLLQDGSIVAIKRCLFALTKDFVLNVKQIAHVHHIHLVKLIGYYEDSHQQLLVYEYLPNGNVGSHLYDSEGLPVGRLNLWRRLSIALGASKGMEHLHSLVPPLVHTNFRTSNVLLDETYTAKVSDYGFCKLQTQVDHAGSSSNVDCFLDPELSFPQNYSEKSDVYSFGVFLLELICGCEARNKNMLHPDENIAFQAKNRVDLDKFVDMTLGYREKHGARRILMLALQCVDATSRRPSMAQIVLQLEQIQREIAPMYSQFNDEIGAVTLGSELFQ >Vigun05g248800.2.v1.2 pep primary_assembly:ASM411807v1:5:44252621:44255356:1 gene:Vigun05g248800.v1.2 transcript:Vigun05g248800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMRLLWGLRLPLLMILIHLLFPNRATYKFYAEALITFKEGLVGGPPQLRCSIVKYACQKQWPCLHCYKLKDSETQDRKPGGRRSSVIIGGVIAAIVVVVVLVTVYICLMRVKKSLRQTSEAASSMPSPTVEMGRVNTQHVIAFSPQNTRELTLLELEQATQNFSHNNIIGEGGFGVVYKGLLQDGSIVAIKRCLFALTKDFVLNVKQIAHVHHIHLVKLIGYYEDSHQQLLVYEYLPNGNVGSHLYDSEGLPVGRLNLWRRLSIALGASKGMEHLHSLVPPLVHTNFRTSNVLLDETYTAKVSDYGFCKLQTQVDHAGSSSNVDCFLDPELSFPQNYSEKSDVYSFGVFLLELICGCEARNKNMLHPDENIAFQAKNRVDLDKFVDMTLGYREKHGARRILMLALQCVDATSRRPSMAQIVLQLEQIQREIAPMYSQFNDEIGAVTLGSELFQ >Vigun06g129200.1.v1.2 pep primary_assembly:ASM411807v1:6:25568754:25570912:1 gene:Vigun06g129200.v1.2 transcript:Vigun06g129200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISIPTSSLASFSQREEMVDRTRSKILIREYNEDKDVKMVRKLERNSEIGAKKVVSIFTNMMGDPLSRIRFFPLRVMLVAELLESKELVGVVRGVIKNVGTLSGSLLKMGYILGLRVSPSYRRKGVALRLIAAVEEWMVRNGAEYAFLATEKDNDASKNLFTIKCNYVNLSSLVIFVQPISSITKHISRDIKIEKVDIDLAISLYRRTLKTKDLYPLDMDVILKEKLSVGTWVSYYKREGWLNLRSKVDNEEDLISSETSNSSWVIFSIWNTCEAYKLQIRRSQLVRFLLTTLNHARERVFPCLKMSVSNSLCRSFGFLFLYGIYGQGENLGELMESMWRFTSRVGEGMRDCKVFITELGFGDPLANHVPQTDSISCIDDLWYTKRLSTHVDENIDEILMRQVGNVFVDPRDF >Vigun10g154400.1.v1.2 pep primary_assembly:ASM411807v1:10:37469264:37471945:-1 gene:Vigun10g154400.v1.2 transcript:Vigun10g154400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYKNEERPKSQQNINSPLSLRSISSSVLIFFLWMFHSNYKHRVQYSPKSMGKIVGVGFRPTEQELVDFYLKHKLLGDDSRVDVIPVIDLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDISSWNTNTLIATKKNLVYYTGIVSCGVKSYWVIHEYHAVTFHESERCFVLCRLMRKSGKTTEGGTDPPICGEEEPSIIKVLTMKIRQQKKEFHMEVLFQEWKQSFWLILRQRHTSPKYNRLHEKKHLFQIIH >Vigun01g136800.1.v1.2 pep primary_assembly:ASM411807v1:1:31697613:31702250:1 gene:Vigun01g136800.v1.2 transcript:Vigun01g136800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCTINCRRSEFVPTPLPESPRVPMEFLSRSWSASALEVSKALSHHHSSLSSSCMPNSNNTSIPEETVPNHTNTNAFLSEDFSTMSKNQFSFASSATSQLVLERIMSQSTREEVSPLTSGRLSHSSEPLNGGGSLTGTDSPPISPSDEFDDVVKFFRANNSIHPLFNGGRASATIGNGTASSGPKTVGRWLKDRREKKKEENRTHTAQLHATISVAAVAAAVAAIAAATAGSSAPSKDEKMAKTDMAVASAATLVAAQCVEAAEAMGADRDHLASVISSAVNVRSHDDITTLTAAAATALRGAATLKARALKEVWNIATVTPLERGIGGIGLCGKSINSNTSNTSTSDSGEVFNGENFLGACSQELIAKGSELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTITKKKKNVVLDICTDLPAWPGRHLFDDGEKRRYFGLKTDQRGIVEFECRNQREYDIWTQGVSRLLSIVAQRQNRYGN >Vigun05g053600.1.v1.2 pep primary_assembly:ASM411807v1:5:4600858:4607499:-1 gene:Vigun05g053600.v1.2 transcript:Vigun05g053600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCPCFGSDEVRAIADRDPLLLVSGMGGSIVNSKPKTFGFTTRVWVRLLLADMEFRKKIWSLYNPKTGYCETLDKKSKLVVPDDDHGLYAIDILDPSWFTKCVHLTEVYHFHDMIEMLVGCGYEKGTTLFGFGYDFRQSNRIDKSMEGLKHKLETAYKASGGRKVNLISHSMGGIMILCFMSLHRDVFTKYVNKWICLACPFQGAPGCINDSLLTGLEFVDGFQSYFFVKRWTMHQLLVECPSVYEMLANPSYGWKKQPEILVWRKHTKDGDNNTNLESYGPTQSISLFEEALRNNELSYNGKTIRLPFNFDILDWAIKTRQLIANAKLPDGVSFYNIYGTSLDTPFDVCYGSEDSPIEDLSEICHTMPLYSYVDGDGTVPTESAKADGLKATERVGVGASHRGILRDETVFQHIQKWLGVEPMVSKQSKTSKVADAGRIVPMVL >Vigun08g024800.2.v1.2 pep primary_assembly:ASM411807v1:8:2139993:2145240:-1 gene:Vigun08g024800.v1.2 transcript:Vigun08g024800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQLLLVLAVLLLCVWSEAVANANDDEIKRSNIDECSMLKFKIVVNIGAAIELNSRVGKEQKIAMEVAIEDVNRQSCYELALNYSYNIHRNPSSPTMFAADLANSKQVQVVVGTKLDVATMFLSMDENSKDVPIISLTSTATPEIASIPLPHFIQMGHDVTLHMHCTASIIHQFHWRKVNAEIDHHVAFPSMALLSNPTEIIEQELTRLKSKSNRVFLLIQSSLEFATLLFEKAKEMGMMEKGSVWIIADDVANHLDSLDSSVTSNMQGVMGCKTNFMEESERFKRFKFMFRRKFALEYPEEERLHPSVFSLRAYDAVWTIAKALESSQGNFSLSESILHSDHEGLSGKIRFKGRMLSEPPTFKIVNVVGKGYKELAYWSMESGLSESLVEHSAGSARMLLSSVDWPGGLKTVPKGWVYNSSEGKPLKIGVPAVDPCPQFVNVSHDKRLNETQFSGFSINVFESVVQRLPYHLPFVFVPFYGSYDQIVEQVNNKYLDAAVGDIQVVEHRYAFAEFSHPYVESGIAMVVKVKPDRSKETWMFMDAFTKEMWMLMAAMHLFIAFVIWCIEGENNSELKSLGAILWFSVTTLFFIHREPVNSSLARVVLAPWLFAILIVTQSFTASLSSMMTVSHLEPAVPDIQTLLKTNAIIGCNKNTFLVHYLVDELKFQPQNIRVFDSIHDFPRAFENKEIVASFTIAPHADVFLATYCKGYIKAGPTLKLGGLGFAFPKGSSLAIDISRATLKAIESGEVQKLEEKMLSTTNCGSTNNNIQNEQLGPQPFFGLFGICGTIAVIGLLATIVHFVKRKAQTFMNYILLSQLQRGVQIIKLFGQKHPYKYRRSAPSQDANSRDNELATPSIKLTINCHTTHQL >Vigun08g024800.1.v1.2 pep primary_assembly:ASM411807v1:8:2139993:2145240:-1 gene:Vigun08g024800.v1.2 transcript:Vigun08g024800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQLLLVLAVLLLCVWSEAVANANDDEIKRSNIDECSMLKFKIVVNIGAAIELNSRVGKEQKIAMEVAIEDVNRQSCYELALNYSYNIHRNPSSPTMFAADLANSKQVQVVVGTKLDVATMFLSMDENSKDVPIISLTSTATPEIASIPLPHFIQMGHDVTLHMHCTASIIHQFHWRKVTAIYEHNNLFASHSEILTTLSYSLRQVNAEIDHHVAFPSMALLSNPTEIIEQELTRLKSKSNRVFLLIQSSLEFATLLFEKAKEMGMMEKGSVWIIADDVANHLDSLDSSVTSNMQGVMGCKTNFMEESERFKRFKFMFRRKFALEYPEEERLHPSVFSLRAYDAVWTIAKALESSQGNFSLSESILHSDHEGLSGKIRFKGRMLSEPPTFKIVNVVGKGYKELAYWSMESGLSESLVEHSAGSARMLLSSVDWPGGLKTVPKGWVYNSSEGKPLKIGVPAVDPCPQFVNVSHDKRLNETQFSGFSINVFESVVQRLPYHLPFVFVPFYGSYDQIVEQVNNKYLDAAVGDIQVVEHRYAFAEFSHPYVESGIAMVVKVKPDRSKETWMFMDAFTKEMWMLMAAMHLFIAFVIWCIEGENNSELKSLGAILWFSVTTLFFIHREPVNSSLARVVLAPWLFAILIVTQSFTASLSSMMTVSHLEPAVPDIQTLLKTNAIIGCNKNTFLVHYLVDELKFQPQNIRVFDSIHDFPRAFENKEIVASFTIAPHADVFLATYCKGYIKAGPTLKLGGLGFAFPKGSSLAIDISRATLKAIESGEVQKLEEKMLSTTNCGSTNNNIQNEQLGPQPFFGLFGICGTIAVIGLLATIVHFVKRKAQTFMNYILLSQLQRGVQIIKLFGQKHPYKYRRSAPSQDANSRDNELATPSIKLTINCHTTHQL >Vigun11g206300.1.v1.2 pep primary_assembly:ASM411807v1:11:40291944:40294266:-1 gene:Vigun11g206300.v1.2 transcript:Vigun11g206300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKQKELILSNSKVCKRCKQSYDPNSNTSSSCRFHTSFFVCRRHDDQKRYYELGPDDPPYAAKFYDCCGAEDPEASGCTTSFHVSYDED >Vigun01g039700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5444510:5445089:-1 gene:Vigun01g039700.v1.2 transcript:Vigun01g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLMFMSLTTILLLLTVFSATVSAQSSPTPAPDAGAAGSVSGSAVTVGAAVVLSMLAIFKQ >VigunL070900.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000491.1:11261:13323:-1 gene:VigunL070900.v1.2 transcript:VigunL070900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKILVLAGEKSEMLSLVKKTFYSNKGIFLRELIINASNAHEKNILNNDELIVRLILHKVNKTLSIIDNGIGITKVDLVDNLGVGFYSTYLVAHKVILTSKHNDDDQYIWDSQPGSSFFLTKDIDDQRLPRGTKITLFLKDDQLEYLEETTIKNLISKDCQQITHPIYLWSENTKDHWKLINNWLHDQEMRNKFVAQNLGKHLPDHLEFNVLFKLSLKSLKRFGCIRKSWALLLENPNFTNLLRFNLIWNQNSCFDDTSLLLCLGPVNNQIIPKSSLYSLCGNKFQNLEILNWPNPHIEGHAYGCYILGSSSINGIICLYLESTGIVYLWNPSTNECKVTPPSPTEDIPYYVDIMIKYEGFGYDWARDDYKVIRNVCYFEDGHLDGINYGEWDFRDLWEIYSLRSNSWRKLNIELLNCQGINDTFYLKGMCHWLCNNDKEGYLVSFDISNEVCYTTLTPLDIPIEIYNDFNLYLVRRKLFLLNGFIALMSNYNDTTIFYISILVEVGKKETWTKIFTIGPLPSLSFPIGTSNMGNILFQTNDGDLAWFDLRTNLIEKLGVNVHERNCQIILYKKSLSDRRINT >Vigun03g270000.1.v1.2 pep primary_assembly:ASM411807v1:3:44309919:44317686:1 gene:Vigun03g270000.v1.2 transcript:Vigun03g270000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATPSSSLPSIISHPKTHQQHHSNSMSQDYGHHQGIFSFPNGFQRSATTMSHQDPHQQQQQHQQIRRDKVRVQGFEPQQTLVPIEEDEPGSLPVYETAGMLSEMFTFPPGAATELLEQQQQQAQQQQSMTTTFRSSARAVGSGGSEWYGNRQGMLTGLGPLGDSKNHHHHGSVNSRDSSSSSIVQHQHHHHHHNHHHQHQMSSINADSAAAMQLFLMNPQSTRSPSPPPPPSSTLHMLLPTFPPGSGGSFGQFTWLPDTAQDGGGPSTVVEGPGHGQGLSLSLSSSLEAAKAEELRMGDSGFLYYNQASGGPSSYKSALGGHHHQALLGQTHQGHVGFAPSSSTSSLGVVNALRNSKYIKAAQELLEEFCSVGRGQFKKNKFNRQLSNPSSNLGASGGGGGGASSSLSKDVPPLSAADRIEHQRRKVKLLTMLDEVDRRYSHYCEQMHMVVNSFDMVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGAGNSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGAEEERERNQSSSNNSGHQLAQTPTPSTTASTATAPPPPPTTSTATTPPTAKRSDTESDPSLAPINNNRPQGAFSETQPNSSTTATASEVAPPSELPRSMGADDSCRHGSLVATEFGTGPGASDIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKTPFSVRDFGGI >Vigun03g270000.2.v1.2 pep primary_assembly:ASM411807v1:3:44309994:44317623:1 gene:Vigun03g270000.v1.2 transcript:Vigun03g270000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATPSSSLPSIISHPKTHQQHHSNSMSQDYGHHQGIFSFPNGFQRSATTMSHQDPHQQQQQHQQIRRDKVRVQGFEPQQTLVPIEEDEPGSLPVYETAGMLSEMFTFPPGAATELLEQQQQQAQQQQSMTTTFRSSARAVGSGGSEWYGNRQGMLTGLGPLGDSKNHHHHGSVNSRDSSSSSIVQHQHHHHHHNHHHQHQMSSINADSAAAMQLFLMNPQSTRSPSPPPPPSSTLHMLLPTFPPGSGGSFGQFTWLPDTAQDGGGPSTVVEGPGHGQGLSLSLSSSLEAAKAEELRMGDSGFLYYNQASGGPSSYKSALGGHHHQALLGQTHQGHVGFAPSSSTSSLGVVNALRNSKYIKAAQELLEEFCSVGRGQFKKNKFNRQLSNPSSNLGASGGGGGGASSSLSKDVPPLSAADRIEHQRRKVKLLTMLDEVDRRYSHYCEQMHMVVNSFDMVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGAGNSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGAEEERERNQSSSNNSGHQLAQTPTPSTTASTATAPPPPPTTSTATTPPTAKRSDTESDPSLAPINNNRPQGAFSETQPNSSTTATASEVAPPSELPRSMGADDSCRHGSLVATEFGTGPGASDIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKTPFSVRDFGGI >Vigun11g008100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:880279:881559:-1 gene:Vigun11g008100.v1.2 transcript:Vigun11g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSTLVLLLLLSLHSITFSEALKGGFSVEIIHRDSSKSPFYSSTETQFQRVANAVRRSINRANHFNKNTPKTNLTQNDGEYLLSYSIGTPPFHVYGIADTGSDMIWLQCKPCKTCYNQTTPMFDPSKSSTYTTLPYSSKTCKSVQDTSAGGDNCEYTISYGDGSLSQGDLSVETLTLDSTNGSSIQFPRTVIGCGRNNTVSFEGKGSGVVGLGRGPVSFISQLGSSIGGKFSYCLAPMTNASSRLNFGDAAVVSGKGTVSTPILSLGPVFYYLTLEAFSVGNKRIGFGRSESESESDGEGNIIIDSGTTLTLLPENVYSKLESAVADAIELDRVKDPTKQLSLCYESSFGDLNAPVIMAHFRGADVKLNAVNTFVEVEEGVVCLAFMSSKLGAIFGNLAQINLLVGYDLEKKIVSFKPKDCTNQ >Vigun02g014800.1.v1.2 pep primary_assembly:ASM411807v1:2:5447077:5448066:-1 gene:Vigun02g014800.v1.2 transcript:Vigun02g014800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFVVVVLFVSVLCFSSALARKISKKFYVEGKIYCDPCHFTFESRLSFPLPGVNVTLECINEYNNTMTYMKNSTTDAIGLYRISVHGDHEDNICVVVANSPNEGRCKEAMPNKSDRIILTNNMGAASRARYVNPLGFMTQTIDSECNVIVHELGLDNLFD >Vigun08g167500.1.v1.2 pep primary_assembly:ASM411807v1:8:33893005:33895695:1 gene:Vigun08g167500.v1.2 transcript:Vigun08g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRKLAAAGFPATATSRVKPEPGVVPGSGQNPGIIRFGLRAEIDTSPPFASVKEAVTRFEGTGPWTPFYKFGEPRNSAEEFDIKRVEEEAAKLEKDLIVKELETLDVLEELGATKAILEELKLQLQSEALNCLASRGENSCEQAGAATRNCVNGISNEEQTSQSSSPSAPLPDLFLVELRQAKVSLGKTINDLGVIQSSVEDLNKKMKKERLFVERAREKLASKFAAASTQEVTKTEAGFNPPEATVGTGCTCHHPLNGGRSFGFDTGQCNGKSETRSSEVLRSLPEFGENGFSIKTAEMRWFAAKKMEEAAMAAEAVALAEIEALCCHEISSEFSLPEHQKVAFALGECSPLNPAVQFPQESTLKKETESKFQIDKIGISKLGILKKFEEATEEVLRSKQVLNEALNSVESANIKQLAAEEALRRWIPENDLKGQTLIKNSMFNQAGICQDSPLPDVIRSITNNNDPKHALRSSVSMRDVLSKKQVLEDCTTTKDMQEHSEKTVALSQMLRALKEDQTLPTIPEKDVKSNQKQKQFIASRKKFSFIQISLPLGKRSKKKT >Vigun04g203650.1.v1.2 pep primary_assembly:ASM411807v1:4:42621790:42629276:-1 gene:Vigun04g203650.v1.2 transcript:Vigun04g203650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDMTGVIVSKINPLSDAYKILKKDDIILSFDGVLLANDGTVPFRNRERITFDHLVSMKKPNEKAVVRVLRDGQEHDLSIILQPIQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNSSPRRLCERALRELPKKANQQLVILSQVLMDDINAGYERLAELQVLKVNGTEIDNLKHLRQLVQSCNAEFLRIDLDDDRVIVLNYEMAKAATSSILKRHRIPSSVSIDHLDTE >Vigun09g163600.4.v1.2 pep primary_assembly:ASM411807v1:9:33107507:33112628:-1 gene:Vigun09g163600.v1.2 transcript:Vigun09g163600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDLALAVSSELESVLRLKTVDYFITRRPWLDLYGVNVRPVAPFGSASRRPYVDPALIHRSLPDELLFEVFTRMTPYDLGRAACVCRKWKYTIRNPVFWRNACLKAWQLSGIVENYKILQSKYYGSWRKMWLLRPRLRTDGLYVSRNTYIRAGVAEWKITNPVHVVCYFRYMRFFPSGRFLYKNSSMKIKDVVKCMNFRSSKADCVFGGHYTLSDDKVEAAVLYPGMRPTVLRIRLR >Vigun09g163600.2.v1.2 pep primary_assembly:ASM411807v1:9:33105429:33112643:-1 gene:Vigun09g163600.v1.2 transcript:Vigun09g163600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDLALAVSSELESVLRLKTVDYFITRRPWLDLYGVNVRPVAPFGSASRRPYVDPALIHRSLPDELLFEVFTRMTPYDLGRAACVCRKWKYTIRNPVFWRNACLKAWQLSGIVENYKILQSKYYGSWRKMWLLRPRLRTDGLYVSRNTYIRAGVAEWKITNPVHVVCYFRYMRFFPSGRFLYKNSSMKIKDVVKCMNFRSSKADCVFGGHYTLSDDKVEAAVLYPGMRPTVLRIRLRIRGTAAGANNRMDLMSLVTSGVNSSEASVPEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFEEVETSVLNLPVEKMDYFVPG >Vigun09g163600.3.v1.2 pep primary_assembly:ASM411807v1:9:33104791:33112577:-1 gene:Vigun09g163600.v1.2 transcript:Vigun09g163600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDLALAVSSELESVLRLKTVDYFITRRPWLDLYGVNVRPVAPFGSASRRPYVDPALIHRSLPDELLFEVFTRMTPYDLGRAACVCRKWKYTIRNPVFWRNACLKAWQLSGIVENYKILQSKYYGSWRKMWLLRPRLRTDGLYVSRNTYIRAGVAEWKITNPVHVVCYFRYMRFFPSGRFLYKNSSMKIKDVVKCMNFRSSKADCVFGGHYTLSDDKVEAAVLYPGMRPTVLRIRLRIRGTAAGANNRMDLMSLVTSGVNSSEASVPEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFEEVETSVLNLPVEKMDYFVPG >Vigun09g163600.1.v1.2 pep primary_assembly:ASM411807v1:9:33104776:33112577:-1 gene:Vigun09g163600.v1.2 transcript:Vigun09g163600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDLALAVSSELESVLRLKTVDYFITRRPWLDLYGVNVRPVAPFGSASRRPYVDPALIHRSLPDELLFEVFTRMTPYDLGRAACVCRKWKYTIRNPVFWRNACLKAWQLSGIVENYKILQSKYYGSWRKMWLLRPRLRTDGLYVSRNTYIRAGVAEWKITNPVHVVCYFRYMRFFPSGRFLYKNSSMKIKDVVKCMNFRSSKADCVFGGHYTLSDDKVEAAVLYPGMRPTVLRIRLRIRGTAAGANNRMDLMSLVTSGVNSSEASVPEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFEEVETSVLNLPVEKMDYFVPG >Vigun11g040400.1.v1.2 pep primary_assembly:ASM411807v1:11:5739052:5740431:1 gene:Vigun11g040400.v1.2 transcript:Vigun11g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFPPSFDLAPRKHNHPFLYLLYDAHFSSCNTHLKTRGASIYWAESSAQRESRMRLTTSVCIRGLHGEAEEATTLVGLDVHE >Vigun07g147300.2.v1.2 pep primary_assembly:ASM411807v1:7:25836173:25838237:1 gene:Vigun07g147300.v1.2 transcript:Vigun07g147300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGHYTSIENQKVSGSVPAVTDPPSFKFSDPNLQTFPPSAAQGNIGGSGGSRPPPIVDDVLDRIKDSLYPFNGAFKEKTSSNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKHQEWNYDINVVTWSAGLFYGYAIFVPLCLYLILKYFSVPSGLVQLLCLYGYSLFVFIPALCLSVVPWEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWVLIVAGIFLLQLALSVALKIYLFKITV >Vigun07g147300.1.v1.2 pep primary_assembly:ASM411807v1:7:25836173:25838237:1 gene:Vigun07g147300.v1.2 transcript:Vigun07g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGHYTSIENQKVSGSVPAVTDPPSFKFSDPNLQTFPPSAAQGNIGGSGGSRPPPIVDDTFSKPVSGSDESQQLSWFQVFSLSTYKPYFDIDTIDVLDRIKDSLYPFNGAFKEKTSSNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKHQEWNYDINVVTWSAGLFYGYAIFVPLCLYLILKYFSVPSGLVQLLCLYGYSLFVFIPALCLSVVPWEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWVLIVAGIFLLQLALSVALKIYLFKITV >Vigun07g147300.3.v1.2 pep primary_assembly:ASM411807v1:7:25836173:25838237:1 gene:Vigun07g147300.v1.2 transcript:Vigun07g147300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFQNQYLGLMNPSNLVGFRYGPFWICTTLIFVAASIGTFVTYIAHKLKHQEWNYDINVVTWSAGLFYGYAIFVPLCLYLILKYFSVPSGLVQLLCLYGYSLFVFIPALCLSVVPWEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWVLIVAGIFLLQLALSVALKIYLFKITV >Vigun09g039500.2.v1.2 pep primary_assembly:ASM411807v1:9:3529200:3533582:1 gene:Vigun09g039500.v1.2 transcript:Vigun09g039500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLPVFWFLVHLSRLLPKPTWMKVGFYGLKGKEISLISIILVSTTFIIWSWERAPGRASFVSSQTLMQFSSEILSSVLDEYDGSGEVGISLDNATENRVCNLAKGKWVPDNRRPLYSGFECKQWLSEMWACRLTQRTDFAYERLRWQPKDCQVEEFEGSKFLRRMQHKTLAFVGDSLGRQQFQSLMCMITAGKETRDVEDVGKEYGLILSEGAARPDGWAYRFLSTNTTILYYWSAILCDIEPINVDDPATEYAIHLDRPPAFLHQFLHKFNVLVLNTGHHWNRGKINANRWIMHVGGVPNTDKKIAVVAGAKNLTVHNVVRWVNSQLLEYPSLKVFFRTISPRHFVGGDWNTGGSCNSTTPMSVGKEILGEESTDKVVANAVKGTRVKILDITALSQLRDEGHISHFSISAKPGVQDCLHWCLPGIPDTWNEILFTQI >Vigun09g039500.1.v1.2 pep primary_assembly:ASM411807v1:9:3529156:3533606:1 gene:Vigun09g039500.v1.2 transcript:Vigun09g039500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFYGLKGKEISLISIILVSTTFIIWSWERAPGRASFVSSQTLMQFSSEILSSVLDEYDGSGEVGISLDNATENRVCNLAKGKWVPDNRRPLYSGFECKQWLSEMWACRLTQRTDFAYERLRWQPKDCQVEEFEGSKFLRRMQHKTLAFVGDSLGRQQFQSLMCMITAGKETRDVEDVGKEYGLILSEGAARPDGWAYRFLSTNTTILYYWSAILCDIEPINVDDPATEYAIHLDRPPAFLHQFLHKFNVLVLNTGHHWNRGKINANRWIMHVGGVPNTDKKIAVVAGAKNLTVHNVVRWVNSQLLEYPSLKVFFRTISPRHFVGGDWNTGGSCNSTTPMSVGKEILGEESTDKVVANAVKGTRVKILDITALSQLRDEGHISHFSISAKPGVQDCLHWCLPGIPDTWNEILFTQI >Vigun09g002800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:205906:208483:1 gene:Vigun09g002800.v1.2 transcript:Vigun09g002800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNLSASHFFGLLVPSPIFHSNNHPFLTLSLPSSRRFSPSIQCAVQFRPCIDIHKGKVKQIVGSTLQDLKGGDGSDPVTNFESDKSAAEFATLYRRDGLTGGHVIMLGADPLSKAAALEALHAYPGGLQVGGGINSDNCLSYIEEGASHVIVTSYVFNDGQMDIGRLKDLVQIVGKNRLVLDLSCRKRDGKYAIVTDRWQKFSDVFVDPGVMEFLASFADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTGMADLDRIKGAGMERVDVTVGSALDIFGGNMSYEEVVAWHAQQRAYAV >Vigun07g027700.4.v1.2 pep primary_assembly:ASM411807v1:7:2509199:2516721:-1 gene:Vigun07g027700.v1.2 transcript:Vigun07g027700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDDVRNLPIDITFSRLGEWLVDRKRVPADWRKRVGAIRVRISKEFSSLPKDSDPFFQTLDSEGIGYLEAKQIYDILLKSTSESRNIFGRLSGAPGVWEAIVRSFEKDHVFLGEAAQIIIQNVSYEIPYQRKQVQKIQQQLLELDRKEADIKRSAALSVAKYAEACQELGLQGKNVRVELLETAQLLPSTFRKILDVVNNDNMSRAIEYYTNFVRDAHTEKDRSLGDVIQNLKNMRENPPSLNVAVDSDIISDVNVHESKNELNPATSNAAIAVPDIDWDISVESSQIDWDIGTVEETEDNGNGLGPYEIINASDIGSDPTVSNQELGSHADISWDISVDTPQVDVIDDDSAPTVVLETQTSLPDALSQLTENKEERSQLLDTEFRNKILDDLYEVKSFLNQRLAELRNEETLSLQNQVQAVAPFVLQQYTADAIETMQSDISLAISLLTNRKTRDLIMILNSKRFLDRLVNSIEEKKHHEVKLKEGLKDLAAKRMELQNTLSSSWSKQDAAVAKTKELKKLCESTLSSMFDGRPVNIIGEINAILSSGLGA >Vigun07g027700.3.v1.2 pep primary_assembly:ASM411807v1:7:2509199:2516721:-1 gene:Vigun07g027700.v1.2 transcript:Vigun07g027700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDDVRNLPIDITFSRLGEWLVDRKRVPADWRKRVGAIRVRISKEFSSLPKDSDPFFQTLDSEGIGYLEAKQIYDILLKSTSESRNIFGRLSGAPGVWEAIVRSFEKDHVFLGEAAQIIIQNVSYEIPYQRKQVQKIQQQLLELDRKEADIKRSAALSVAKYAEACQELGLQGKNVRVELLETAQLLPSTFRKILDVVNNDNMSRAIEYYTNFVRDAHTEKDRSLGDVIQNLKNMRENPPSLNVAVDSDIISDVNVHESKNELNPATSNAAIAVPDIDWDISVESSQIDWDIGTVEETEDNGNGLGPYEIINASDIGSDPTVSNQELGSHADISWDISVDTPQVDVIDDDSAPTVVLETQTSLPDALSQLTENKEERSQLLDTEFRNKILDDLYEVKSFLNQRLAELRNEETLSLQNQVQAVAPFVLQQYTADAIETMQSDISLAISLLTNRKTRDLIMILNSKRFLDRLVNSIEEKKHHEVKLKEGLKDLAAKRMELQNTLSSSWSKQDAAVAKTKELKKLCESTLSSMFDGRPVNIIGEINAILSSGLGA >VigunL046101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:480017:480298:-1 gene:VigunL046101.v1.2 transcript:VigunL046101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKYAVFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFDVKVIAMNSHRLPVKGRRVRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Vigun11g186850.1.v1.2 pep primary_assembly:ASM411807v1:11:38715589:38716756:1 gene:Vigun11g186850.v1.2 transcript:Vigun11g186850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCVRHPQSTFGWYLCKCDQGLSPPTHFFFINIYIHFYGVKINNNILLSFAFSCNMLTPFLVFDAKSLGVLGDIKDLTWMG >VigunL010933.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:11867:12981:1 gene:VigunL010933.v1.2 transcript:VigunL010933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDATDLIGLSFGMETYQVIIFKFRETLEFTMGNPEPNPVSETNKNSETSRMVGIAQLVEQRTENPRVTSSNLVPDTRFICMSIYPHIH >Vigun08g161400.1.v1.2 pep primary_assembly:ASM411807v1:8:33392408:33394627:1 gene:Vigun08g161400.v1.2 transcript:Vigun08g161400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYEQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKREPPQRFIRRRDDAPRPGQPGQAPRPPVAGAPVRT >Vigun05g262200.1.v1.2 pep primary_assembly:ASM411807v1:5:45465775:45475159:1 gene:Vigun05g262200.v1.2 transcript:Vigun05g262200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSMESLGRDLKNVRNYFRSGKTKESSWRESQLKGLQSFLVEREEEILKALKHDLGKHYVEAFRDEVGTLMKTLNLATKNLKKWMAGEEAKLPKIALLSSAEIVPEPLGLVLIISSWNFPFGLSLEPLIGAVAAGNTAVLKPSEISPTCSSLLATVLPTYLDNNAIKIIEGGAEVGELLLQQRWDKIFFTGSARVGRIVMSAAAVHLTPVTLELGGKCPALVDSLTSSWDREVAVKRVLVAKFGACGGQACIAIDYVLVEKSFSSILVTLMKDWIKKMFGENPKESNSVARIVNEKHFMRLKNLLTDPRVKESVVFGGSMNENDLFIEPTILLDPPLDSAIMAEEIFGPVLPIITLEKIEDSVEFISSRPKPLAIYAFTKNQTLQRRMVSETSSGSLVFNDAILQYIADTLPFGGVGECGFGKYHGKFSFDAFSHHKAVARRSYFTDFWYRFPPWNLNKLQLLEVSYNLDYLGILLVLLGLKNSKRSLFYASN >Vigun09g018400.1.v1.2 pep primary_assembly:ASM411807v1:9:1394423:1396805:1 gene:Vigun09g018400.v1.2 transcript:Vigun09g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLPLFTYSLFFLLSLLIIFKLFFQTKHKNLPPGPPPRPIIGNLNLLERPLHRFLQRMSQTHGNVFSLWFGSRLAVVVSSPSAFQECFTRNDVALANRPRSLSGKHIFYNYTTVGSCSYGELWRNLRRITSMDVLSTQRIHSFAGIRKDETDRVIHALARASRTEYAHVEMSSMFHDMTYNSMMRMLSGKRYYGKEIQAKDLEEAKEFRETVEELLQLAGVSNKADYLPFLRWFDFQNLEKKLKSINKRFDTFLDKLIREQRNKKERENTMIDHLLTLQETQPQYYSDHIIKGLVLAMMFAGTDSSAVTLEWSLSNLLNHPEMLKKARDELDFHVGKDRLINESDLPKLTYLKKIILETLRLHPPAPLAIPHVSSEDVTIEGFNVPRDTLVMINIWAMHRDPTLWNEATSFIPERFDEEGLEKKVVAFGMGRRACPGEGLALQNVGLTLGLLIQCFDWKRVNEDEIDMREANWFTLSRLTPLNAMCKARPFVNDLSFN >Vigun08g107200.3.v1.2 pep primary_assembly:ASM411807v1:8:26663791:26674634:1 gene:Vigun08g107200.v1.2 transcript:Vigun08g107200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRNALRWVREEVPISNTGLRSRNDPISGMKSGAGRGFGLPPPAKFRSGHLPANAIPVSTVVPGETGDSASNSDNDDSIESEEEVYGGRYSLDSSPQDRRIPNGAARRLGNFNQRGPRYGSDYTYSEVSSSRETLVGRPGTVRDPVMRGVANVRQSGFTEDDSSDSAASSEFSTTQVGGSINGALPRSRTYLSEGYASSVPSRMNVKSAVEKHGRISDDEDDDIPSAPPFSGSTQDIRQTHKEIPTSRAHISPNKAESSTLKSVSGDKIENHVQSGSPDQFVRTATGSEAATSSNSHPPRLPTFHASALGPWHGVIAYDACVRLCLHAWAMQCMEAPMFLENECALLRDSFGLRQILLQSEDELMVKSNAEPSSEGIAPKPKKLIGKMKVQVRKVKMGLDPPTGCSMSSIMTNKVKMDSVRYHFSNLQSSLSAGWQALRRIRFVPRVPANGSLARQSLAYVHASTRYIQQVSGLLKVGVTTLRNNSSSYEVVQETYSCFLRLKSIVEDDAVRLQPGSSEVHMFFPDSLGDDLLVEVQDSKGKHFGRVLVQVATIADDPADKLRWWPIYREPDHELVGKLQLYINYSTSADDNSHLKYGSVAETVAYDLVMEVAMKIQGFQQRNLMLHGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVCNLLAPVIMKGNGKTSLSHQENRILGETKDQIEHILTLVFENYKSLDESSFSGIIEVFKSATGHAAPALEPAVKLYKLLHDILSPEAQTAFCHYFQVAAKKRSKRHLSETDEYIAQNNESSLMDGIAMSTAYQKMKTLCINLRNEIYTDIQIHNQNILPSFVDLPNLSASIYSTELCNRLRAFLISCPPSGPSSPVAELVIATSDFQRDLVSWGIGSIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKETLTDYEVIICRWPEYTLVLENAIADIEKAIVEALDKQYADVLSPLKESMAPKKFGLKYVQKLAKRNTCAYVVPDELGVLLNSLKRMLDILRPRVESQFKTWGSCLPNVGNTTPGERLSEVTVMLRAKFRNYVQAIVEKLAENTKLQNTTKLKKILQESKETVVESDLRSRMQPLKDQLASTISHLHSVFETHVFIAICRGFWDRMGQEILSFLENRKENRSWYKGSRVAVSILDDTFASHIQQLLGNALHEKDLEPPRSIMEVRSMLCKDAPNHKDNTFYY >Vigun08g107200.1.v1.2 pep primary_assembly:ASM411807v1:8:26663791:26674634:1 gene:Vigun08g107200.v1.2 transcript:Vigun08g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRNALRWVREKEVPISNTGLRSRNDPISGMKSGAGRGFGLPPPAKFRSGHLPANAIPVSTVVPGETGDSASNSDNDDSIESEEEVYGGRYSLDSSPQDRRIPNGAARRLGNFNQRGPRYGSDYTYSEVSSSRETLVGRPGTVRDPVMRGVANVRQSGFTEDDSSDSAASSEFSTTQVGGSINGALPRSRTYLSEGYASSVPSRMNVKSAVEKHGRISDDEDDDIPSAPPFSGSTQDIRQTHKEIPTSRAHISPNKAESSTLKSVSGDKIENHVQSGSPDQFVRTATGSEAATSSNSHPPRLPTFHASALGPWHGVIAYDACVRLCLHAWAMQCMEAPMFLENECALLRDSFGLRQILLQSEDELMVKSNAEPSSEGIAPKPKKLIGKMKVQVRKVKMGLDPPTGCSMSSIMTNKVKMDSVRYHFSNLQSSLSAGWQALRRIRFVPRVPANGSLARQSLAYVHASTRYIQQVSGLLKVGVTTLRNNSSSYEVVQETYSCFLRLKSIVEDDAVRLQPGSSEVHMFFPDSLGDDLLVEVQDSKGKHFGRVLVQVATIADDPADKLRWWPIYREPDHELVGKLQLYINYSTSADDNSHLKYGSVAETVAYDLVMEVAMKIQGFQQRNLMLHGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVCNLLAPVIMKGNGKTSLSHQENRILGETKDQIEHILTLVFENYKSLDESSFSGIIEVFKSATGHAAPALEPAVKLYKLLHDILSPEAQTAFCHYFQVAAKKRSKRHLSETDEYIAQNNESSLMDGIAMSTAYQKMKTLCINLRNEIYTDIQIHNQNILPSFVDLPNLSASIYSTELCNRLRAFLISCPPSGPSSPVAELVIATSDFQRDLVSWGIGSIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKETLTDYEVIICRWPEYTLVLENAIADIEKAIVEALDKQYADVLSPLKESMAPKKFGLKYVQKLAKRNTCAYVVPDELGVLLNSLKRMLDILRPRVESQFKTWGSCLPNVGNTTPGERLSEVTVMLRAKFRNYVQAIVEKLAENTKLQNTTKLKKILQESKETVVESDLRSRMQPLKDQLASTISHLHSVFETHVFIAICRGFWDRMGQEILSFLENRKENRSWYKGSRVAVSILDDTFASHIQQLLGNALHEKDLEPPRSIMEVRSMLCKDAPNHKDNTFYY >Vigun08g107200.2.v1.2 pep primary_assembly:ASM411807v1:8:26663791:26674634:1 gene:Vigun08g107200.v1.2 transcript:Vigun08g107200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRNALRWVREKEVPISNTGLRSRNDPISGMKSGAGRGFGLPPPAKFRSGHLPANAIPVSTVVPGETGDSASNSDNDDSIESEEEVYGGRYSLDSSPQDRRIPNGAARRLGNFNQRGPRYGSDYTYSEVSSSRETLVGRPGTVRDPVMRGVANVRQSGFTEDDSSDSAASSEFSTTQVGGSINGALPRSRTYLSEGYASSVPSRMNVKSAVEKHGRISDDEDDDIPSAPPFSGSTQDIRQTHKEIPTSRAHISPNKAESSTLKSVSGDKIENHVQSGSPDQFVRTATGSEAATSSNSHPPRLPTFHASALGPWHGVIAYDACVRLCLHAWAMQCMEAPMFLENECALLRDSFGLRQILLQSEDELMVKSNAEPSSEGIAPKPKKLIGKMKVQVRKVKMGLDPPTGCSMSSIMTNKVKMDSVRYHFSNLQSSLSAGWQALRRIRFVPRVPANGSLARQSLAYVHASTRYIQQVSGLLKVGVTTLRNNSSSYEVVQETYSCFLRLKSIVEDDAVRLQPGSSEVHMFFPDSLGDDLLVEVQDSKGKHFGRVLVQVATIADDPADKLRWWPIYREPDHELVGKLQLYINYSTSADDNSHLKYGSVAETVAYDLVMEVAMKIQGFQQRNLMLHGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVCNLLAPVIMKGNGKTSLSHQENRILGETKDQIEHILTLVFENYKSLDESSFSGIIEVFKSATGHAAPALEPAVKLYKLLHDILSPEAQTAFCHYFQVAAKKRSKRHLSETDEYIAQNNESSLMDGIAMSTAYQKMKTLCINLRNEIYTDIQIHNQNILPSFVDLPNLSASIYSTELCNRLRAFLISCPPSGPSSPVAELVIATSDFQRDLVSWGIGSIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKETLTDYEVIICRWPEYTLVLENAIADIEKAIVEALDKQYADVLSPLKESMAPKKFGLKYVQKLAKRNTCAYVVPDELGVLLNSLKRMLDILRPRVESQFKTWGSCLPNVGNTTPGERLSEVTVMLRAKFRNYVQAIVEKLAENTKLQNTTKLKKILQESKETVVESDLRSRMQPLKDQLASTISHLHSVFETHVFIAICRGFWDRMGQEILSFLENRKENRSWYKGSRVAVSILDDTFASHIQQLLGNALHEKDLEPPRSIMEVRSMLCKDAPNHKDNTFYY >Vigun08g201300.1.v1.2 pep primary_assembly:ASM411807v1:8:36557635:36559379:-1 gene:Vigun08g201300.v1.2 transcript:Vigun08g201300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTFSHHKKKKKMKTQQQNMPSSPLLPLTILTLTLLPLTLGANAQPCSEAFLKVAEQKNISDCKRLRTLGAEFAWKLHGNGSENSTVVDVLFGTALNTAQGWIGWGVNPGRRPEMVGTKAIIAVKRSDEKWRVNTYNITKEIRNGCNLLPSEIGIVSNMSVEHDGDNLHTMMYVRLNLSSEAYNVTKLNHVWQVGYDIEGWHPLGHPKTLRNVDSTEVIDLTHNGRGTGQYRSYLRSIHGVLNIIGWGTLLPIGIIIARYFRVFPFKCDPLWFNLHIGFQLTGFLVGTTGWAIGLSLGHSSRYYTFRDHRTYGILIFTFSTIQMLAFRLKPKLTDDYRKYWNMYHHFLGYGLLAIIFINIFKGIRILEGGDAWKWGYVADLAFLGAVAFGLEVYTWIHFFMLKQQQKQKNETKNSQDQQPPKQNMFA >Vigun03g427101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63236678:63239121:1 gene:Vigun03g427101.v1.2 transcript:Vigun03g427101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMRFYLFRTTSKIVELARSGKIGRARKLFDEIPHKDLVAWNAMLTAYSNLGLYQQSLSLFGSMRVSHLKLDNFTFSAALNACAGASHLRFGATLHALVIVSGYLSCLPVANSLIDMYGKCLRPDDARKVHDEMSDSNEVTLCSLLFAYANSYQFGTATELFHSMPERVVIAWNIMIAGHARCGEVEACLHLFKEMCGSLCQPDQWTFSALVNACAESMEMLYGCMVHGFVIKSGWSSAMEVKNSILSFYAKLECHDDAIRVFNSFGCFNQVSWNAIIDAHMKSGDTHNAFLAFQQAPEKNIVSWTSMIAGYTRNGNGELALSMFLDMTQNSVQLDDLVAGAVLHACASLAILVHGRMIHGCIIRHGLDKYLYVGNSLVNMYAKCGDIEGSRLSFHALLEKDLVSWNSMLFAFGLHGKANEAIGLYREMVASGVKPDEVTFTGLLMTCSHLGLINEGFELFQSMSLEFGLSHGMDHVACMLDMLGRGGYVAEARSLAKKYSKSSKSRSNSWEVLLGACYAHGDLGTGSRVGEYLKNLEPEKEIGYVLLSNLYSASGQWKNAEIVRKAMVDQGVKKVVGSSWIEIRNEMTSFVSGNNAYPFMAEISKVLHFLQLEMRHAS >Vigun10g085300.2.v1.2 pep primary_assembly:ASM411807v1:10:24393840:24396083:-1 gene:Vigun10g085300.v1.2 transcript:Vigun10g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRVSQCSKTSPSNIDEEECESHANEDQSKQNNNGGSSSNSTVEESEKKIRPYVRSKLPRLRWTPDLHLRFVHAVQRLGGQERATPKLVLQLMNMKGLSIAHVKSHLQMFRSKKIDDRNQVFSDHTSLVEIGDKNIYNLSQLSMLQGYNPSQSSSYTYTNNNYPSYGFGNGSFGSYEKLVHRPFDWSNGMSRASSSISGEQSTSKTREPKYELFSFGAYETLCTRFSHVDHTPSNSIIQQRAQNLMPINPTTELKTLKRKAQDSSLDLDLSLKLNTKMDDEGTKFEDHDVRSNLSLSLCSQSSSSNLSIRLKEAQDRCEEHGKRASTLDLTI >Vigun10g085300.1.v1.2 pep primary_assembly:ASM411807v1:10:24393775:24396083:-1 gene:Vigun10g085300.v1.2 transcript:Vigun10g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRVSQCSKTSPSNIDEEECESHANEDQSKQNNNGGSSSNSTVEESEKKIRPYVRSKLPRLRWTPDLHLRFVHAVQRLGGQERATPKLVLQLMNMKGLSIAHVKSHLQMFRSKKIDDRNQVFSDHTSLVEIGDKNIYNLSQLSMLQGYNPSQSSSYTYTNNNYPSYGFGNGSFGSYEKLVHRPFDWSNGMSRASSSISGEQSTSKTREPKYELFSFGAYETLCTRFSHVDHTPSNSIIQQRAQNLMPINPTTELKTLKRKAQDSSLDLDLSLKLNTKMDDEGTKFEDHDVRSNLSLSLCSQSSSSNLSIRLKEAQDRCEEHGKRASTLDLTI >Vigun05g095400.1.v1.2 pep primary_assembly:ASM411807v1:5:9252861:9257484:1 gene:Vigun05g095400.v1.2 transcript:Vigun05g095400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSQTINLMALFQTACSSPYFVVSGNQFSGSIPASITNASGMQILDIGDNQLVGQVPSLGKWKNISTLQLSINNLGSNSSNDLQFLKSLMNCSQLDILDIGQNNFGGTFSRYVSNFTTHLSQLFAGGNNIFGEIPMELGNLVNLITLALKKNSLTGIIPSTFGKLQKMQLLSLGENKLFGEIPPSIGNLSQLYYLELSSNMFVGNIPSTIGNCQQLQFLHLSNNSITGTIPSQLFGIPTLSTALDLSYNSLSGSLPAEVGMLKNIDFMDVSENYISGLIPETIGECITLEYLHLEGNSFHGTMPPSLASLKGLREVDLSRNNLSGSIPETLQNLSFLEYFNASFNMLEGKVPTNGVFQNASSISVAGNCKLCGGISELKLSPCPLEAKKRRTHHNLKLVLAISCVVFFLSTLSCILGMYLIRKRHKKSSSTNSTIDQLRQVSYQNLLHATDGFSSWNLIGIGTHGSVYKGILDPMEGFVAIKVLNLQEKGAKKSFMVECIALRNVRHRNLVKVVTCCSSVDYNGNDFKALVFEYMPNRSLEEWLHPQNGREEQTRTLNLETRLEIVVGVAYALHYLHHECEEPIIHCDLKPSNILLDDDMVAHVSDFGLARLVTTINNFHNLSTSGIKGTIGYSPPEYGASFQVSTQGDMYSFGILILEMLTGRRPTEEMFKDGHNLHNYAKISFPNNLLEIVDATLVSVETESPTITVSEQHNISEIADNLHLNTKKCLFSLFKIGLACSVESPGERMDMMEVTRELNVIRNAFYGR >Vigun05g095400.2.v1.2 pep primary_assembly:ASM411807v1:5:9252861:9257484:1 gene:Vigun05g095400.v1.2 transcript:Vigun05g095400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSQTINLMALFQTACSSPYFVVSGNQFSGSIPASITNASGMQILDIGDNQLVGQVPSLGKWKNISTLQLSINNLGSNSSNDLQFLKSLMNCSQLDILDIGQNNFGGTFSRYVSNFTTHLSQLFAGGNNIFGEIPMELGNLVNLITLALKKNSLTGIIPSTFGKLQKMQLLSLGENKLFGEIPPSIGNLSQLYYLELSSNMFVGNIPSTIGNCQQLQFLHLSNNSITGTIPSQLFGIPTLSTALDLSYNSLSGSLPAEVGMLKNIDFMDVSENYISGLIPETIGECITLEYLHLEGNSFHGTMPPSLASLKGLREVDLSRNNLSGSIPETLQNLSFLEYFNASFNMLEGKVPTNGVFQNASSISVAGNCKLCGGISELKLSPCPLEAKKRRTHHNLKLVLAISCVVFFLSTLSCILGMYLIRKRHKKSSSTNSTIDQLRQVSYQNLLHATDGFSSWNLIGIGTHGSVYKGILDPMEGFVAIKVLNLQEKGAKKSFMVECIALRNVRHRNLVKVVTCCSSVDYNGNDFKALVFEYMPNRSLEEWLHPQNGREEQTRTLNLETRLEIVVGVAYALHYLHHECEEPIIHCDLKPSNILLDDDMVAHVSDFGLARLVTTINNFHNLSTSGIKGTIGYSPPEYGASFQVSTQGDMYSFGILILEMLTGRRPTEEMFKDGHNLHNYAKISFPNNLLEIVDATLVSVETESPTITVSEQHNISEIADNLHLNTKKCLFSLFKIGLACSVESPGERMDMMEVTRELNVIRNAFYGR >Vigun05g031400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:2500373:2501224:1 gene:Vigun05g031400.v1.2 transcript:Vigun05g031400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKRGSTIPLVMWILVIYHCVAAVSVMSMSNVTTSLCDGSLEDCLNVIHLDSQLPTISSSHFRRILAQADDPVTKNTPNPNNPAVRCSYPNGHYKKCGAQANPGGTDSHCPYTSYKRDCVNINPNPDS >Vigun06g030900.2.v1.2 pep primary_assembly:ASM411807v1:6:13489627:13493197:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESGYQPLLLGLHSHSHIPDLSSDTIEEFLKERSIELRWWPQLVAWESRLIWLLSWASIVVSIFNYMLSFVTLMFTGHLGSLELAGASMAIVGIQGLAYGIMLGMASAVQTVCGEAYGARKYSAMGIILQRAIILHLGAAVILTFLYWFSGSFLKAIGQSESIAEQSQVFARGIILQLYAFAVSCPMQRFLQAQNIVNPLAFMSLGVFLLHLLLTWLVLFVFNCGLLGAALTLSFSWWFLVLLNGLYIILSPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEALK >Vigun06g030900.1.v1.2 pep primary_assembly:ASM411807v1:6:13489627:13493197:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESGYQPLLLGLHSHSHIPDLSSDTIEEFLKERSIELRWWPQLVAWESRLIWLLSWASIVVSIFNYMLSFVTLMFTGHLGSLELAGASMAIVGIQGLAYGIMLGMASAVQTVCGEAYGARKYSAMGIILQRAIILHLGAAVILTFLYWFSGSFLKAIGQSESIAEQSQVFARGIILQLYAFAVSCPMQRFLQAQNIVNPLAFMSLGVFLLHLLLTWLVLFVFNCGLLGAALTLSFSWWFLVLLNGLYIILSPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEVEKALARIKRSAEDETLDLLITNI >Vigun06g030900.3.v1.2 pep primary_assembly:ASM411807v1:6:13489627:13493197:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESGYQPLLLGLHSHSHIPDLSSDTIEEFLKERSIELRWWPQLVAWESRLIWLLSWASIVVSIFNYMLSFVTLMFTGHLGSLELAGASMAIVGIQGLAYGIMLGMASAVQTVCGEAYGARKYSAMGIILQRAIILHLGAAVILTFLYWFSGSFLKAIGQSESIAEQSQVFARGIILQLYAFAVSCPMQRFLQAQNIVNPLAFMSLGVFLLHLLLTCPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEVTKIKRILNVTELACYMQSEAIYYAIVG >Vigun06g030900.5.v1.2 pep primary_assembly:ASM411807v1:6:13489627:13493197:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESGYQPLLLGLHSHSHIPDLSSDTIEEFLKERSIELRWWPQLVAWESRLIWLLSWASIVVSIFNYMLSFVTLMFTGHLGSLELAGASMAIVGIQGLAYGIMLGMASAVQTVCGEAYGARKYSAMGIILQRAIILHLGAAVILTFLYWFSGSFLKAIGQSESIAEQSQVFARGIILQLYAFAVSCPMQRFLQAQNIVNPLAFMSLGVFLLHLLLTCPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEALK >Vigun06g030900.4.v1.2 pep primary_assembly:ASM411807v1:6:13489626:13493198:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESGYQPLLLGLHSHSHIPDLSSDTIEEFLKERSIELRWWPQLVAWESRLIWLLSWASIVVSIFNYMLSFVTLMFTGHLGSLELAGASMAIVGIQGLAYGIMLGMASAVQTVCGEAYGARKYSAMGIILQRAIILHLGAAVILTFLYWFSGSFLKAIGQSESIAEQSQVFARGIILQLYAFAVSCPMQRFLQAQNIVNPLAFMSLGVFLLHLLLTCPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEVEKALARIKRSAEDETLDLLITNI >Vigun06g030900.6.v1.2 pep primary_assembly:ASM411807v1:6:13489627:13493197:1 gene:Vigun06g030900.v1.2 transcript:Vigun06g030900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLQAQNIVNPLAFMSLGVFLLHLLLTWLVLFVFNCGLLGAALTLSFSWWFLVLLNGLYIILSPRCNQTWGGFTVKAFKGIWPYFKLTAAYAWMLCLETWYNQGLILLSGLISNPTVSVDSISICMNYLNWDLQFMLGLSAATSVRISNELEAAHPRVARFSVIVVNGISIVISVVFSAIILTCQKPLSRLFTSDSEVIEEVSSLTPLLAISVFLNFIQPILSGVAIGSGWQAVVAYVNLASFYVIGLTVGCVLGFQTTLGVAGIWWGMIFAVLVQAATLTILTARTNWDAEVEKALARIKRSAEDETLDLLITNI >Vigun11g080100.2.v1.2 pep primary_assembly:ASM411807v1:11:23682707:23686930:1 gene:Vigun11g080100.v1.2 transcript:Vigun11g080100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGTNENVTLVSTNGTFEAGFFSPANSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDSDQGILSIKSGTGAKIWSSNASHTPSKPVAVELLESGNMVVKDGHNNLLWQSFDYPDDTLLPGMKLGMNLKTGHHRALRSWKSFNDPTPGEFSFGVDTRGLPQLVITKDSNDDIVYRPGSWNGVGVTGVPGQRTTHPLTKSLFVMNEDEIFYEIQLLNSSTKLRSRLLPEGYQMRLLWSDESKSWDPLYTGSFDECEKYGMCGANTICNVSGAHQHCECLSGFRSNVADSTCDRIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLEECQKLCLSNCSCTAYAQLDMSANGSGCLQWFNDIVDFRILAQDGQDFYLRIAASKLQDHAFNRKKIGGIILGCTIFIIALLVFGSIFFLKRNKLKQTAFHRAWSLE >Vigun11g080100.1.v1.2 pep primary_assembly:ASM411807v1:11:23682707:23684647:1 gene:Vigun11g080100.v1.2 transcript:Vigun11g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGTNENVTLVSTNGTFEAGFFSPANSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDSDQGILSIKSGTGAKIWSSNASHTPSKPVAVELLESGNMVVKDGHNNLLWQSFDYPDDTLLPGMKLGMNLKTGHHRALRSWKSFNDPTPGEFSFGVDTRGLPQLVITKDSNDDIVYRPGSWNGVGVTGVPGQRTTHPLTKSLFVMNEDEIFYEIQLLNSSTKLRSRLLPEGYQMRLLWSDESKSWDPLYTGSFDECEKYGMCGANTICNVSGAHQHCECLSGFRSNVADSTCDRIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLEECQKLCLSNCSCTAYAQLDMSANGSGCLQWFNDIVDFRILAQDGQDFYLRIAASKLQDHAFNRKKIGGIILGCTIFIIALLVFGSIFFLKRNKLKQTGELIMIKYCGRNVFLSA >Vigun07g204100.2.v1.2 pep primary_assembly:ASM411807v1:7:32577274:32579865:-1 gene:Vigun07g204100.v1.2 transcript:Vigun07g204100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPNKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKGK >Vigun07g204100.1.v1.2 pep primary_assembly:ASM411807v1:7:32577274:32579865:-1 gene:Vigun07g204100.v1.2 transcript:Vigun07g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPNKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKGK >Vigun04g108700.1.v1.2 pep primary_assembly:ASM411807v1:4:26579680:26583441:-1 gene:Vigun04g108700.v1.2 transcript:Vigun04g108700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGSTSSPPRPVTVNGADEDAALAKSRFLTRKEVLQRRLRRVRQLTRCYRTHYWALMEELRSKYRNYSWTYGKSPFKEDHNNEGDNNNPNGVVTGVGGGDDIVRCRFSGCKTKAMAMTIYCHAHILSDSKQKLYQGCKAVAKNLPAGPSFCNKPVLKSVVPAACPTHLQFGERCLARALRRAGLGNAIPNNRKPTVKFHLVVSEFVHQIQKKRKLALKETALKVETE >Vigun05g137500.1.v1.2 pep primary_assembly:ASM411807v1:5:16675647:16678937:1 gene:Vigun05g137500.v1.2 transcript:Vigun05g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNIKKKSRKTLGRILLKGDNITLMMSTGK >Vigun05g137500.2.v1.2 pep primary_assembly:ASM411807v1:5:16675636:16677261:1 gene:Vigun05g137500.v1.2 transcript:Vigun05g137500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNIKKKSRKTLGRILLKGDNITLMMSTGK >Vigun03g140100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13915976:13917589:1 gene:Vigun03g140100.v1.2 transcript:Vigun03g140100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSYFTFTTAIALLFSFEPPSLHTPENFVQCLYNYPHITDSISNVVFTQTNSSYSSVLDAPIQNYRFFNLSRKPQVIVTPLDVSHIQATIICSQRHGLQIRTRSGGHDYEGLSYVAEVPFVVLDLINLRQITVDVENRTAWVQAGATLGELYYTISQQSKTLGFPAGVCATVGTGGHFSGGGYGSLMRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIQKWQLVANKMDERIFIRVDVKKVNSSEHGKQTIQANFVSMFQGGVEELIPLMQKSLPELGLDRKDCTETSWIGSVVFANVVLGGSSVNEAHEVLLNRTRFRERIIAKAKSDYVMKPIPVEGLQGLWRLLYEVPDGELEFAPYGGRMYDISESELPFPHRSGYIFHIHYAVVWREEGDEAAQRHINWIRRVYKYMEPYVSNSPRAAYLNYRDLDIGVNNNGYTSYDQASIWGVKYFGNNFRRLAIVKTKVDPHNFFRDEQSIPTLSDEEN >Vigun05g057400.1.v1.2 pep primary_assembly:ASM411807v1:5:4921765:4925150:1 gene:Vigun05g057400.v1.2 transcript:Vigun05g057400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMGGIGVPSFSICCLFQTRPTRRLCLKFRLKPSSSHSITTMALTNNTNERKLPVLLFDIMDTLVRDPFYQDVPAFFGMSLKELIDIKHPTAWIEFEKGLIDEMELARKFFKDGRDFDLEGLKTCMRSGYSYIEGIEPLLLSLKKNNYEMHAFTNYPIWYQLIEDKLELSKYLSWTFCSCTFGKRKPDTEFYNEVVRHLNVDPTNCIFVDDRQTNVEAATEVGIRGLHFKNVNLLCEKLSLMGIDISTDEE >Vigun10g188300.1.v1.2 pep primary_assembly:ASM411807v1:10:40400197:40400623:-1 gene:Vigun10g188300.v1.2 transcript:Vigun10g188300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDETSAQTQEKKNQAAKEKAQEENDESKERASEMGRCMKEWAQYGKENTGTGGFLQQTGKKVKEMAHGVSESVKNSFGMAPQNDDEDEEYYYYPTQHRRE >Vigun03g223700.1.v1.2 pep primary_assembly:ASM411807v1:3:37150007:37154124:1 gene:Vigun03g223700.v1.2 transcript:Vigun03g223700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSVTSLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVTLLCFLSIFVGVVMLKSKRKKA >Vigun03g223700.2.v1.2 pep primary_assembly:ASM411807v1:3:37150085:37154094:1 gene:Vigun03g223700.v1.2 transcript:Vigun03g223700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSVTSLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVTLLCFLSIFVGVVMLKSKRKKA >Vigun03g223700.3.v1.2 pep primary_assembly:ASM411807v1:3:37150085:37154079:1 gene:Vigun03g223700.v1.2 transcript:Vigun03g223700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSVTSLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVTLLCFLSIFVGVVMLKSKRKKA >Vigun09g189200.3.v1.2 pep primary_assembly:ASM411807v1:9:36394739:36398955:-1 gene:Vigun09g189200.v1.2 transcript:Vigun09g189200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAAPQLEEIKQEGVGKGTKKKKKSKKSSFFPRFGCFRIEHDVSGGGFDIEVVDETGQRPTPTHLIIMVNGLVGSAQNWKFAAKQFLKRFPEDAVVHCSERNSSMLTFDGIDVMGDRLAEEKISFVGHSLGGLVARYAIARLYGRDISLELSQGNGHCESHVSDQENHDRKYEGKIAGLEPINFITSATPHLGSRGHKQVPMFCGFYSLEKAVSRVAGVFGKTGKHLFLTDSDNGKPPLLLQMVRDSEDIKFLSALRSFKRRVAYANVRYDQLVGWSTSSIRRRMELPKRRHLSRHEKYPHIVNVETAKSSCVTEDVPAESKLSNGSSKLDSEEEMIRSLTAMSWDRIDVSFSGSRQKYLAHGTIQVNTYCINSDGADVIQHMVDSFQI >Vigun09g189200.1.v1.2 pep primary_assembly:ASM411807v1:9:36394739:36399122:-1 gene:Vigun09g189200.v1.2 transcript:Vigun09g189200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAAPQLEEIKQEGVGKGTKKKKKSKKSSFFPRFGCFRIEHDVSGGGFDIEVVDETGQRPTPTHLIIMVNGLVGSAQNWKFAAKQFLKRFPEDAVVHCSERNSSMLTFDGIDVMGDRLAEEVISVIKRHPSVQKISFVGHSLGGLVARYAIARLYGRDISLELSQGNGHCESHVSDQENHDRKYEGKIAGLEPINFITSATPHLGSRGHKQVPMFCGFYSLEKAVSRVAGVFGKTGKHLFLTDSDNGKPPLLLQMVRDSEDIKFLSALRSFKRRVAYANVRYDQLVGWSTSSIRRRMELPKRRHLSRHEKYPHIVNVETAKSSCVTEDVPAESKLSNGSSKLDSEEEMIRSLTAMSWDRIDVSFSGSRQKYLAHGTIQVNTYCINSDGADVIQHMVDSFQI >Vigun10g028700.1.v1.2 pep primary_assembly:ASM411807v1:10:3538590:3541486:1 gene:Vigun10g028700.v1.2 transcript:Vigun10g028700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSQIEHKLPLPPPRLVLPIREAIHMELEKLFLDKVIANLGLCISVYDIRSIDGGFIFPGDGAPTYTVVFNLIMFRPFVGEIITAKLISSDSNGLQLSLGFFDDIYVPAHHMPYPNHFVEDEQGKRVFSSEESTTNSVRKGVWFWDFNEQEYPIQETDVIKFRVQNVSYPQIPVEQPKESKPFAPMLVTGSLDHDGLGPVSWWCAEEIEVDDE >Vigun01g066600.9.v1.2 pep primary_assembly:ASM411807v1:1:17823721:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.7.v1.2 pep primary_assembly:ASM411807v1:1:17819246:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIVGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.2.v1.2 pep primary_assembly:ASM411807v1:1:17819141:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.4.v1.2 pep primary_assembly:ASM411807v1:1:17819141:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIVGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.10.v1.2 pep primary_assembly:ASM411807v1:1:17822754:17832816:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIVGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.1.v1.2 pep primary_assembly:ASM411807v1:1:17819141:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIVGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.3.v1.2 pep primary_assembly:ASM411807v1:1:17819141:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.8.v1.2 pep primary_assembly:ASM411807v1:1:17823721:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIVGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.6.v1.2 pep primary_assembly:ASM411807v1:1:17819246:17832814:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKFLLRFGSNPVRIAAFCFKNLRKIQVLDTDISSAVDKILQDEMDVVSYRVLGYLLLGIVRIYSRKVEYVLDDCNEMLIKFNKFVDNREDFAHVETLRMSISIPERLQLDAFELDVLEDTGKDHTALPEEITIKDKEVVCKTGEFGLFSQEKFEEFDDSENTCSSDRDKVGNAHLTQLLSMMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g066600.11.v1.2 pep primary_assembly:ASM411807v1:1:17822754:17832816:1 gene:Vigun01g066600.v1.2 transcript:Vigun01g066600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIKVDPLSPVGLREGSMFSLKEPMNLESVLMVEGRLEKEFAGQDQQIVEDQTSKDVVSSEDEMHEDSSRISQDEYTDISIFCGREEEHVSSMEEYIKSCQVDAVQSSVKETLSSFCNMSEEIIEVLEARSLEESTEKTEDNIHQEKECVPHVNSSVTNDIHEELIEGSAEKCDNKGKLGFQEKVSVEDERLSFTLSKTKNLDVTHVSKFQDDSVGRPKRGATTPESMLISTPAVRDRSHFSKKRRAVIDQKRTVLPNKVITKILCTAESIVRKRRKYRRTSLTWKRESLISFHPDRFFEPLLPCCSSKLQLLFSRKKMKLPDSLKIVEASGNLHLQESPTIATPLSPPHNDIPIVPETLSLSTNENEFPSLLDEEINSFGTDNAKIGWSDRTRYVASYLHQSFLPARNQKEETVLNFSKVFGGLARKEGARLFYEVLVLKTTGYVDVEQNEAYGDIGITKLPKLDQTF >Vigun01g131200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30917468:30920632:-1 gene:Vigun01g131200.v1.2 transcript:Vigun01g131200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVSLFSFSLIPFNQHKFQAKSFCFYKPLIQRKHHQLGSENLFPPFFRNPSSKLCATSRLSIDETEQQVGTLTRPEDLKGALAYLFRTETGGGLVKVYVTKKKDRYFVYIEISSLDANHYGESETLVLCWGVYRGDSSCFVDMDSTGLSEIAAKRMNVSPLVQTSVGKFGVELEFDAKYVPLYLSFFLMSSLDAGLEIRSHRRTNFCVPIGLLPGYPGPLGLSYSPDGSVNFAIFSRHAESVVLCLYDEKGVEKPALEVDLDPYVNRSGVMWHVSFESVKSFVSYGFRFRGGVHKQNRGDSSVEHVVLDPYAKIVANSCPGGLGLVQNLGWLRKEPGFDWGGDFHPDLAMEELVVYRLNVKRFTQHESSQLPSGSAGTFTGLAEKVQHFKDLGVNAVLLEPVFTFDGKKGPYFPCHFFSLMHIYGPSGDPESTMASMKEMVKIMHANGIEVLMEVVFSNTAEVGALQGIDDSSYYLPNGVGDLKIHSALNCNYPIVHNLILDSLRHWVTEFHIDGFSFINASHLLRGFHGECLSRPPLVEAIAFDPVLSKTKIIADCWDPHAMVAKEIHFPHWMRWAEMNARFCNDVRNFLRGESLLSDLATRLCGSGDMFSGGRGPAFSFNYIAKNLGFSLVDLVSFNSDDELSWNCGEEGATNNTSVLETRLKQIRNFLFVLFVSLGVPVLNMGDECAHSSAASLAHDDIKPITWSAVTTGFGKQISQFIFFMSSLRKRRSDVLQRRSFLKEENIEWYGSDGAPPRWEDPSCKFLAMNLKTEAAKFPDSSVSSDISGDLFIAFNAADQPETTVLPLPPQGMSWFRLVDTTLPFPGFFSSSGEIVPEMKYKMKSHSCVLFEASNYTI >Vigun10g163000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38204855:38206515:1 gene:Vigun10g163000.v1.2 transcript:Vigun10g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMIIDPVVISAPESQSAASILVAQSEVEFAVCDCCGLTEECTPAYIERIRERYHGKWVCGLCAEAVKDEIVRSERLVCTEEAMAKHMNFCKKFKASGPPPNPTVHLISAMRQILRRSLDSPRVRSTPNSPTKTITEIHGSVLARSESCFSTLTEGLG >Vigun02g077800.2.v1.2 pep primary_assembly:ASM411807v1:2:23004185:23008748:1 gene:Vigun02g077800.v1.2 transcript:Vigun02g077800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTVQELCLHLISSAYQRCRLSEQICRLAVILTRSSSSHPSLRISISDTGIGNCLEEFQDLRFSSTDFADNWDGMLSLKTTSIRDTEIHSYQINLKERGSSRITRLPSNTKNGAKFSGSEAYLSCFVSRDLILSDIHTFIQKMQILKIPNIAIQLVAEDCDVPESRYEKIFLANECKQLPASASNLELLKSGIEDYVVKHGNNLSNMCNSCFPSWEQLKVGIGVACCTDNRLNTELVMEAVILISNISVQNITCFREYDNRTEVLYFKDFSPCTISRSSMKALQSIDWKTYGLNLRGTVEQDGVTLLEWENLPTDTHIDIVLHVYHKQYPALDRSFLSLSETVKSVLHSFFLYIYFILLSDVSLDFVYIIYLWVK >Vigun01g135900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31575968:31580305:-1 gene:Vigun01g135900.v1.2 transcript:Vigun01g135900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIEGLPDAVAIRCLARVPFYLHPVLERVSRSWQAAIRSSELFRARQEVGSTEDLLCVCAYDPENLWQLYDPLRDLWIRLPVLPSKIRHLSHFGAVSTAGKLFVIGGGSDAVDPLTGDQDGCFATDEVWSYDPVVRQWAPRAPMLVPRSMFACCVLDGKIVVAGGFTSCRKSISQAEMYDPEKDVWIPMPDLHRTHNSACSGVVIGGKVHVLHKDMSTVQVLDNAGPGWIVEECGWLQGPMAVVRDALYVMTNGFILKQDKEVRKVVGSASEFRKRIGFAMAGLGDELYVIGGFIGPDRWNWDIKPLSEVDVLTLGNERPTWRQAAPMTWCHGTILGCTLLRI >Vigun06g041250.1.v1.2 pep primary_assembly:ASM411807v1:6:16215636:16217344:1 gene:Vigun06g041250.v1.2 transcript:Vigun06g041250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMNKTFYSNKEIFLCELINNAFKYSLGVGFYSAYLVAHKVIVTSKHNDHDQYIWESQCSGSFIVTKDTNAQRLSRGTNITLFLKENQLEYLEKTTIKNIVVKNCQHISHPIYLWNTKGHWQLINIWLHNQERDKQFVAQRLMNHLPDDLIFFILSKLHLKSLKRFGCLQRSWTLLFENSHFMNLFHNSFICNNHSSYGDTSLLLSVTIDSTTLYSVSNEWFQNKEKICWPNPL >Vigun11g187200.1.v1.2 pep primary_assembly:ASM411807v1:11:38732087:38736686:-1 gene:Vigun11g187200.v1.2 transcript:Vigun11g187200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRFVLVGIRIDGHSRQLLDWALVKVAEPGDSVVAVHVVKNPDHVWKSNNLIDDYLEVYEGLCDVKKVDLTGQIFCGCSVRNILVREAKKHDALALVVGGRTGLAKYCAKRVPPTTNVLAIQGSRIVYRSCTNEQQPGALTLDPRPSLTTIGKNSSDRVNQSTFGDSTLEIEKSATKNSLELKEEAFKGLGKCKTRSISMFAGDSAKQKLGWPLLRGANSGIPQTHHARNMSVVQWVMTLPDRSPCKSPCSSSSEDNPFERSISDIDDESSKFSSVPSIELPNGLEEMQCVNSIGCKWFSLELLQSCTRQFSSENLVGKGGSNRVYKGVLPDGKPVAVKVMQSSKEAWKEFALEMEITSSLRHKNIAPLLGICTENNTLISVYDYLPQGSLEENLHGKRKLSWEVRFNVAVRIAEALDYLHRETLKPVIHRDVKSSNILLSQGFEPQLSDFGLALWGPTNSSFLTQDVVGTFGYLAPEYFMYGKVSDKIDAYAFGVVLLELISGREPISSAACKGQESVVVWAKPMIDSGDIKGLLDPDLEGKFDEIQLQRMVMAASLCIQRAARLRPKLNQILKILKGDEKVEYLLNSHGNNEDDSENGENIDDEVYPSSSAELHLSLALLGVDDEQASQTSTELSYSEHLKEQWSRSSSFN >Vigun11g187200.4.v1.2 pep primary_assembly:ASM411807v1:11:38732130:38736518:-1 gene:Vigun11g187200.v1.2 transcript:Vigun11g187200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRFVLVGIRIDGHSRQLLDWALVKVAEPGDSVVAVHVVKNPDHVWKSNNLIDDYLEVYEGLCDVKKVDLTGQIFCGCSVRNILVREAKKHDALALVVGGRTGLAKYCAKRVPPTTNVLAIQGSRIVYRSCTNEQQPGALTLDPRPSLTTIGKNSSDRVNQSTFGDSTLEIEKSATKNSLELKEEAFKGLGKCKTRSISMFAGDSAKQKLGWPLLRGANSGIPQTHHARNMSVVQWVMTLPDRSPCKSPCSSSSEDNPFERSISDIDDESSKFSSVPSIELPNGLEEMQCVNSIGCKWFSLELLQSCTRQFSSENLVGKGGSNRVYKGVLPDGKPVAVKVMQSSKEAWKEFALEMEITSSLRHKNIAPLLGICTENNTLISVYDYLPQGSLEENLHGKRKLSWEVRFNVAVRIAEALDYLHRETLKPVIHRDVKSSNILLSQGFEPQLSDFGLALWGPTNSSFLTQDVVGTFGYLAPEYFMYGKVSDKIDAYAFGVVLLELISGREPISSAACKGQESVVVWAKPMIDSGDIKGLLDPDLEGKFDEIQLQRMVMAASLCIQRAARLRPKLNQILKILKGDEKVEYLLNSHGNNEDDSENGENIDDEVYPSSSAELHLSLALLGVDDEQASQTSTELSYSEHLKEQWSRSSSFN >Vigun11g187200.3.v1.2 pep primary_assembly:ASM411807v1:11:38732130:38735995:-1 gene:Vigun11g187200.v1.2 transcript:Vigun11g187200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRFVLVGIRIDGHSRQLLDWALVKVAEPGDSVVAVHVVKNPDHVWKSNNLIDDYLEVYEGLCDVKKVDLTGQIFCGCSVRNILVREAKKHDALALVVGGRTGLAKYCAKRVPPTTNVLAIQGSRIVYRSCTNEQQPGALTLDPRPSLTTIGKNSSDRVNQSTFGDSTLEIEKSATKNSLELKEEAFKGLGKCKTRSISMFAGDSAKQKLGWPLLRGANSGIPQTHHARNMSVVQWVMTLPDRSPCKSPCSSSSEDNPFERSISDIDDESSKFSSVPSIELPNGLEEMQCVNSIGCKWFSLELLQSCTRQFSSENLVGKGGSNRVYKGVLPDGKPVAVKVMQSSKEAWKEFALEMEITSSLRHKNIAPLLGICTENNTLISVYDYLPQGSLEENLHGKRKLSWEVRFNVAVRIAEALDYLHRETLKPVIHRDVKSSNILLSQGFEPQLSDFGLALWGPTNSSFLTQDVVGTFGYLAPEYFMYGKVSDKIDAYAFGVVLLELISGREPISSAACKGQESVVVWAKPMIDSGDIKGLLDPDLEGKFDEIQLQRMVMAASLCIQRAARLRPKLNQILKILKGDEKVEYLLNSHGNNEDDSENGENIDDEVYPSSSAELHLSLALLGVDDEQASQTSTELSYSEHLKEQWSRSSSFN >Vigun11g187200.2.v1.2 pep primary_assembly:ASM411807v1:11:38732087:38736518:-1 gene:Vigun11g187200.v1.2 transcript:Vigun11g187200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRFVLVGIRIDGHSRQLLDWALVKVAEPGDSVVAVHVVKNPDHVWKSNNLIDDYLEVYEGLCDVKKVDLTGQIFCGCSVRNILVREAKKHDALALVVGGRTGLAKYCAKRVPPTTNVLAIQGSRIVYRSCTNEQQPGALTLDPRPSLTTIGKNSSDRVNQSTFGDSTLEIEKSATKNSLELKEEAFKGLGKCKTRSISMFAGDSAKQKLGWPLLRGANSGIPQTHHARNMSVVQWVMTLPDRSPCKSPCSSSSEDNPFERSISDIDDESSKFSSVPSIELPNGLEEMQCVNSIGCKWFSLELLQSCTRQFSSENLVGKGGSNRVYKGVLPDGKPVAVKVMQSSKEAWKEFALEMEITSSLRHKNIAPLLGICTENNTLISVYDYLPQGSLEENLHGKRKLSWEVRFNVAVRIAEALDYLHRETLKPVIHRDVKSSNILLSQGFEPQLSDFGLALWGPTNSSFLTQDVVGTFGYLAPEYFMYGKVSDKIDAYAFGVVLLELISGREPISSAACKGQESVVVWAKPMIDSGDIKGLLDPDLEGKFDEIQLQRMVMAASLCIQRAARLRPKLNQILKILKGDEKVEYLLNSHGNNEDDSENGENIDDEVYPSSSAELHLSLALLGVDDEQASQTSTELSYSEHLKEQWSRSSSFN >Vigun06g025050.1.v1.2 pep primary_assembly:ASM411807v1:6:11569652:11570128:1 gene:Vigun06g025050.v1.2 transcript:Vigun06g025050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVGKESKTMFWDDKWISERPPRIIYPRLYANSLNKNGMVSKFGRRNNQEWVWEIKWKRLMDELSRTILSMERDDIMKWKDDGCETYTVRCAYHKLLGITKGDQNEVFTNFLKSKSLPSAQHFTWKVILHRVPTKYNLGKRGITT >Vigun07g020500.1.v1.2 pep primary_assembly:ASM411807v1:7:1715828:1718386:-1 gene:Vigun07g020500.v1.2 transcript:Vigun07g020500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPHIVEDCMGLLKLFSDGTVFRSNIQFQEQPSITQQHNNLVLFKDYIFHKKFNLHLRIYKPKLDNDDNGDESKEKLPVLMFLHGGGFCFGSCAWPHIHACCARLAVDLRAVVVAPDYRLAPEHRLPAAVEDCVEAVRWLKRQGHGGDAWVTGRVDFERVFVFGDSSGGNIAHHLAVQLGSGSREMDPVRSEVGPPEQMLTLDLLDRFWRLSIPIGESRDHPLANPFGPNSPNLGGVKLDPILVIVGGNELLKDRAKDYAARLKELGKNIEYIEFKGKEHGFLTHDSSSQVVQDLLQIIKHFMLQNSN >VigunL070101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:94007:94408:-1 gene:VigunL070101.v1.2 transcript:VigunL070101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNWYTTKTRLQKFRDLRMQQKTGRFHSFPKKDAAILKRQLAQLETYLGGIKYMKGLPDIVIIVDQQEEYTALRECITLEIPTICLIDTNSDPDLADISIPANDDAIASIRLILNKLVFAICEGRSRYIRNS >Vigun04g044400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3825022:3833338:1 gene:Vigun04g044400.v1.2 transcript:Vigun04g044400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGDGTSGESRQYFCHQCNRTVSVTPSPSSDLVCPNCNGGFLEELEIPNPNPIPIPSNPFFPDFPLAGATAIPLIFPGGAGQPFDDFSTLSGTRSDAATADTALAPFVLLQNYLQTLRAAGGGGNLQLVIESGDPGGAFRFPGITHGDYFFGTGLEELIQHLAENDPNRYGTPPASKKAVEGLPDVSVTDELLASDSSQCAVCKDTFELGETAKQMPCKHIYHADCILPWLELHNSCPVCRYELPTDDPDYEQRAHRGSGGGSGGGSGGTGSGVAPQVNWNLAVGPGGSADSAGGDNSQRRRFRVSLPWPFRQSFSSGAETSNVGGANNDDNSNINSGENNSGQSNSGNRGNQNFESETRQEDLD >Vigun10g150100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36900074:36901972:1 gene:Vigun10g150100.v1.2 transcript:Vigun10g150100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEKSPRSFQLHHRDGKKQDFRGVPKGCMAIKVGQGEEQQRFVVPVMYINHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDRDKSLHHHHHNHVGCFGF >Vigun10g150100.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36900074:36901972:1 gene:Vigun10g150100.v1.2 transcript:Vigun10g150100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEKSPRSFQLHHRDGKKQDFRGVPKGCMAIKVGQGEEQQRFVVPVMYINHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDRDKSLHHHHHNHVGCFGF >Vigun10g150100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36900074:36901972:1 gene:Vigun10g150100.v1.2 transcript:Vigun10g150100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEKSPRSFQLHHRDGKKQDFRGVPKGCMAIKVGQGEEQQRFVVPVMYINHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDRDKSLHHHHHNHVGCFGF >Vigun01g250666.1.v1.2 pep primary_assembly:ASM411807v1:1:41716507:41717572:-1 gene:Vigun01g250666.v1.2 transcript:Vigun01g250666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLFVIFSLLLWLIVFLVDMDNQDERGTPTSSPGHNDDDDGVHACDDSSCGAVKGSNPSDNELLSDSDPHSEGSNPFDTDNEDQEIVGAPENLTGDGLFEDANSNFSESLIPMEDGSSRNESDQSSANEGVTVEVGNSRTVANSENDRESGGFNWASCLRCCFCGDR >Vigun04g176500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:40012537:40013142:-1 gene:Vigun04g176500.v1.2 transcript:Vigun04g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLVTLVLVVALSTKALLGEAGPAPEQIVDTSGKKVRAGENYYIVPASSDVGGLALSTTGQDCPLDVVAVDGYQGQQLSFLPVNDKKGVIRVSTDLNIFFSTYTSCSQSTVWKLKDYDYSTSQWFLTTGGSLGNPGSQTITNWFKIEKYEDAYKMVYCPSVCNYCNYPCSDIGIYQDQYGKRLALTSEPYKVQFQKVQY >Vigun09g150400.3.v1.2 pep primary_assembly:ASM411807v1:9:31442083:31448390:-1 gene:Vigun09g150400.v1.2 transcript:Vigun09g150400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCGTAPNPVSGSSSLAYSLQNLSTKLILPVPLHFTGQFSNLVRKKSRGACCSSLRSAASASSMESQEDAPSTFSVCLEEELDHVIRFKMSDFRILDSVSIGLGGRSDEIVFECMVKNSCSSLYGKRVVLRKLSSAQAKRRGKRAIEVLKKLVRRKLLYHSYSMQVHGYIYLPASDDSDSFILVHGYHGSFSLRHWLERSDWLPTLEATLALDEESVRKVGEDRTGGPAVSRQLTLIRILMRDLLIGVNYLHSHGLAHTELTLENVHISPVDRHIKVGILGNAADFYKDGSKDSSLDNLDRREMMIAFDMRCVGFIMAKMVMRELMDPLVFAKFKSFLIKGYDPSCLRELMLEILGRSSPYGNTGLQILDRNWGAGWHLLSLLLATKPSQRISCLDALRHPFLCGPRWRVVSSMDIIRWGLGSTAVRITEEYIYRKPQQACPFN >Vigun09g150400.1.v1.2 pep primary_assembly:ASM411807v1:9:31442083:31448390:-1 gene:Vigun09g150400.v1.2 transcript:Vigun09g150400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCGTAPNPVSGSSSLAYSLQNLSTKLILPVPLHFTGQFSNLVRKKSRGACCSSLRSAASASSMESQEDAPSTFSVCLEEELDHVIRFKMSDFRILDSVSIGLGGRSDEIVFECMVKNSCSSLYGKRVVLRKLSSAQAKRRGKRAIEVLKKLVRRKLLYHSYSMQVHGYIYLPASDDSDSFILVHGYHGSFSLRHWLERSDWLPTLEATLALDEESVRKVGEDRTGGPAVSRQLTLIRILMRDLLIGVNYLHSHGLAHTELTLENVHISPVDRHIKVGILGNAADFYKDGSKDSSLDNLDRREMMIAFDMRCVGFIMAKMVMRELMDPLVFAKFKSFLIKGYDPSCLRELMLEILGRSSPYGNTGLQILDRNWGAGWHLLSLLLATKPSQRISCLDALRHPFLCGPRWRVVSSMDIIRWGLGSTAVRITEEYIYRKPQRSRLAHLIDLMEMLNPHPKPKNWLDLLPGKWRLLYCTGKHIGLTLRQPPLRVLVGDVHLTVTRESKLKANLSFDSDIGFSVMIGQDWPLDKAGKSGRLRVNSSFTLRAGRRLYLNHDKTTEKFFFGPSSNVEALAQKFKGKKWSKIIPFKEFPSSLPAAKLASGDIDVTMNLDDPLDQNIDTAKSVLQELRTQIPPEVFDLSKLVCGTYVDSRLLVLRGVNGSALLFTRSFCGQKQ >Vigun09g150400.4.v1.2 pep primary_assembly:ASM411807v1:9:31442083:31448390:-1 gene:Vigun09g150400.v1.2 transcript:Vigun09g150400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHGYIYLPASDDSDSFILVHGYHGSFSLRHWLERSDWLPTLEATLALDEESVRKVGEDRTGGPAVSRQLTLIRILMRDLLIGVNYLHSHGLAHTELTLENVHISPVDRHIKVGILGNAADFYKDGSKDSSLDNLDRREMMIAFDMRCVGFIMAKMVMRELMDPLVFAKFKSFLIKGYDPSCLRELMLEILGRSSPYGNTGLQILDRNWGAGWHLLSLLLATKPSQRISCLDALRHPFLCGPRWRVVSSMDIIRWGLGSTAVRITEEYIYRKPQRSRLAHLIDLMEMLNPHPKPKNWLDLLPGKWRLLYCTGKHIGLTLRQPPLRVLVGDVHLTVTRESKLKANLSFDSDIGFSVMIGQDWPLDKAGKSGRLRVNSSFTLRAGRRLYLNHDKTTEKFFFGPSSNVEALAQKFKGKKWSKIIPFKEFPSSLPAAKLASGDIDVTMNLDDPLDQNIDTAKSVLQELRTQIPPEVFDLSKLVCGTYVDSRLLVLRGVNGSALLFTRSFCGQKQ >Vigun09g150400.2.v1.2 pep primary_assembly:ASM411807v1:9:31442083:31448390:-1 gene:Vigun09g150400.v1.2 transcript:Vigun09g150400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSCSSLYGKRVVLRKLSSAQAKRRGKRAIEVLKKLVRRKLLYHSYSMQVHGYIYLPASDDSDSFILVHGYHGSFSLRHWLERSDWLPTLEATLALDEESVRKVGEDRTGGPAVSRQLTLIRILMRDLLIGVNYLHSHGLAHTELTLENVHISPVDRHIKVGILGNAADFYKDGSKDSSLDNLDRREMMIAFDMRCVGFIMAKMVMRELMDPLVFAKFKSFLIKGYDPSCLRELMLEILGRSSPYGNTGLQILDRNWGAGWHLLSLLLATKPSQRISCLDALRHPFLCGPRWRVVSSMDIIRWGLGSTAVRITEEYIYRKPQRSRLAHLIDLMEMLNPHPKPKNWLDLLPGKWRLLYCTGKHIGLTLRQPPLRVLVGDVHLTVTRESKLKANLSFDSDIGFSVMIGQDWPLDKAGKSGRLRVNSSFTLRAGRRLYLNHDKTTEKFFFGPSSNVEALAQKFKGKKWSKIIPFKEFPSSLPAAKLASGDIDVTMNLDDPLDQNIDTAKSVLQELRTQIPPEVFDLSKLVCGTYVDSRLLVLRGVNGSALLFTRSFCGQKQ >Vigun04g142056.1.v1.2 pep primary_assembly:ASM411807v1:4:35302436:35303144:1 gene:Vigun04g142056.v1.2 transcript:Vigun04g142056.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEKDEEEEDENIKFSKRVMILISKSNQGSIPKSSVIDAERESSREFGPVLSSWKWNSLVQMWLQTVPKNETLV >Vigun09g090832.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12564699:12565510:1 gene:Vigun09g090832.v1.2 transcript:Vigun09g090832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLFDGSFIFPECILIFGLILLLVIDSTSDQKDISWFYFISSTSLVMSITALLFRWREEPMIAFSRNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFILTTTLGGMFFCGANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGIFIVLLFITVGIGFKLSPAPSHQWTPDIYEGVRFVR >Vigun05g078100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7236968:7237528:1 gene:Vigun05g078100.v1.2 transcript:Vigun05g078100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSKISHYYHRVRIDDEVNVKVSDILRINVTITIRYIHSTNNIERSTLLKRSMLISSQNFIKNNQNFLRSFMFDPCCSKYFIPEIVDVMSKEIVNKSMNILEFCCDPMSIDSEDPISLNLDIMLDIIPTLFLRMEKNCSICMEDFRYEESERLSSLSCDHVFHRQCIVKWLKIRHTCPLCRCPV >Vigun09g166900.1.v1.2 pep primary_assembly:ASM411807v1:9:33481372:33484924:-1 gene:Vigun09g166900.v1.2 transcript:Vigun09g166900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNGGGKMSQGLRKLKPYLAILSLQFGYSGMYIITMVSFKHGMSHWILSVYRHVVAAIIIVPFALVLERKIRPKMTLPIFLRIVALGFLEPVLDQNLYNMGMKMTSTTFASATVNVLPAITFIMALIFRLEKVNLRKFHSLAKVIGTVITVSGAMVMTLYKGPAFQIIKGGGAIGNHHNSSSSTTEPSDQHWVVGTVMLISSCASWAGFFILQSFTLKKYPAELSLTAWICVMGIIEGSIASLIFERDFSVWVIGWDSRLLACVYSGVICSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSLVLAEQVHLGSIFGAILIVCGLYTVVWGKSKDRVNATETGKEGSQELPMKDSTKSASDKFYGLEINVSDEVLRKGVPPTTLS >Vigun10g025900.3.v1.2 pep primary_assembly:ASM411807v1:10:3104309:3108489:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun10g025900.1.v1.2 pep primary_assembly:ASM411807v1:10:3104309:3108489:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun10g025900.5.v1.2 pep primary_assembly:ASM411807v1:10:3104347:3108390:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun10g025900.4.v1.2 pep primary_assembly:ASM411807v1:10:3104347:3108390:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun10g025900.2.v1.2 pep primary_assembly:ASM411807v1:10:3104309:3108489:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun10g025900.6.v1.2 pep primary_assembly:ASM411807v1:10:3104347:3108390:1 gene:Vigun10g025900.v1.2 transcript:Vigun10g025900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRIFSHHVVSFLLLISCGMTYGTDTDIFCLKTIKDALEDPNNYLKFSWDFNNRTEGFICKFIGVECWHPDENKVLNLRLSNMGLKGQFPRTIQNCSSLTGLDLSINKLSGTIPGDISTLVPFVTSLDLSSNEFSGAIPVTLSNCTFLNSLKLDQNRLTGQIPLQFGILSRLKIFSVSNNLLTGQVPSFGSQVSVNYANNQGLCGGNGLGSCQAKSSKSNMAVIAGAAAGGVTLAALGLAVGMFFFVRRVSFKKEEDPEGNKWARSLKGTKQIKVSMFEKSISKMKLIDLMKATNNFSNTNIIGSGRTGTVYKAVLGDGTTLMVKRLQESQYTEKEFMSEMGTLGTVKHRNLVPLLGFCMAKKERLLVYKNMPNGNLHDQLHPADGVSTLDWTTRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARMMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTNVAKAPETFKGNLVEWITELTNNAKLHDAIDESLVNKDVDSELFQFLKIACNCVLPTPKERPTMFEVYQFLRAIGARYNFTTEDEILVPTDNGEADNMQELIVARE >Vigun11g170400.1.v1.2 pep primary_assembly:ASM411807v1:11:37650661:37655678:1 gene:Vigun11g170400.v1.2 transcript:Vigun11g170400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPEEGKHLNEDCSTLILPALSIGNVGQLVADLLISSMGSERVGYLDDPNVLPCVGNDAYAPFPQGDLALPLEAYDSPSNALTIIQQRSPVIKGMMVEFAKNMADFLAGSGKKHIIVLSSLDFGKWQKVDMSSGLQIYYLSSANSNGTDESCEQLGWKKLQEYDPSQKHWKYLSDLAEGNVTLEDIISVEDELEDENYYASLPFAALFAFLKAKGLKVTCLLCYCSEGDNVSDAFQLADATCKLLQITRPPTGIEGGKWRVPLSWMSVYGPPPDVSIF >Vigun06g206000.1.v1.2 pep primary_assembly:ASM411807v1:6:32003328:32013288:1 gene:Vigun06g206000.v1.2 transcript:Vigun06g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKIQEFVAHSASVNCLNIGKKACRLFITGGDDHKVNLWTIGKPTSLMSLSGHTSPVESVAFDSAEVLVLGGASTGVIKLWDLEEAKMVRTVAGHRSNCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHSQGISTIKFTPDGRWVVSGGLDNVVKVWDLTAGKLLHDFKFHEGPIRSIDFHPLEFLLATGSADRTVKFWDLETFELIGSARREASGVRSIAFHPDGRTLFTGHEDGLKVYSWEPVICHDTVDMGWTTLGDLCIHDGKLLGCSFYRNSVGIWVADISLIEPYGAGLDPKKNEVTEQKHDIQNSKIEKVEVNERPTSGLRSMSPDESKEIKNIYIDSSGGKPFTLPRSGSLSSEKVDLPEEFKEMCNLGTMKQSPLTGIRVKSNEQAIRKSFIAPNIVPRDVTEGKVSSKSEKETITFSKTKPGMLLKPAHVRRASTGRFDVDKFSEDMKSGTFSDPAIKLDSTKELKFQTNLGPQKEVKESCEDKHPIKSVTDKFDKIMSPSRFSDQKKCDESLPHKEESSPVKYVNGVAVVRGRTRSLVERFERREKIQIDEDQTNVFLPTITETREKVHHEDQIKASPTVTIVCERRERTPLIEDRNNLPSVPNLPSVPKTTKLETDKSPDTLKVEPQISIRDSNSSNEEEIIEGLMQTHDVTLSNLRSRLTKLQVVRHFWERNDIKGATSALRKLPDQSVQADVISVLVEKMEIFTMDLFSCLLPVLTGLLDSKIERHTKVSLDMLLKLVAVFGPTIRATVSAPPSVGVDLHQEERRECCNQCFMELQKIQMILPILIRRGGLLARSALELNLVLQQS >Vigun02g045000.1.v1.2 pep primary_assembly:ASM411807v1:2:18025981:18030356:-1 gene:Vigun02g045000.v1.2 transcript:Vigun02g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRGGLLKKAHEISVLCDAEVALIVFSHKGKLFEYATDSCMEKILERHERYAYAERQLVANDSETQGNWTIEYTRLKAKIDLLQRNYRHYMGEDLASMSLKELQSLEQQLDTSLKNIRNRRNDLMYESISELQKKEKRIQEQNSILAKKIKEKEQAVAQHAVQWEQPNYRVDTSFLPQQQPLPSLNIGGNNFNEDAAPELGRNGLDLTLEPLYSCHLGCF >Vigun10g073966.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:19461881:19463024:1 gene:Vigun10g073966.v1.2 transcript:Vigun10g073966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTAVRPKLVCSLHCSGSTAPPAHSTAAAQRPPPPSPHCAPPRNLSSRFSCGKRGRKELREEREEGVAGRERGRSCGKRERKELREEREEGVADSRRGEVEGLAKLKP >Vigun05g068100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5884539:5885142:1 gene:Vigun05g068100.v1.2 transcript:Vigun05g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKVMMKVSLMVFLIVFSAVDARFDPTSFITQVLPKSDENYVKSTITACCDNCVCTRSIPPQCRCLDIVENYCHSACDSCVCTLSEPPMCQCMDQNSFCYPPCSSL >Vigun09g013500.1.v1.2 pep primary_assembly:ASM411807v1:9:993430:1001795:-1 gene:Vigun09g013500.v1.2 transcript:Vigun09g013500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLKNIWVLVSINRFIQDTLEDEKTRNGLKLRCTSKLRIQKQEFFEFSEQSVLSNLYWGIDSIEAAIEAQRPEDRSFRLMNSEQMLQVPAMLDEEEVTATIPNRYLVCCSYFYLSVVRKLQGDEWQAALHFLQAVLVSPRLVWTEFASQLCESLFPQSSIRMVQGNCSSRSLESVSSEDEMDEAIREVARRYKECLVYYQVMLYGETPWWRNYCSKQSPRSVDVPNTSCVSSTSVQHESRLKSCNMYKKVHPLDSQDVMHTMEHESSQFMDIAEYEGDKKALKQLKSVEYHDKEIQTISSIKGFKDMMIEAHSKTPVSVDAFYKDFRARKNMENVDDRKIYIQTTITKADDLPPEIHNWKLQQHSGLPQAHQHPIQEQLDKKNIIKLDSSRFNRSIEDITLSISQYRDKTGNTPLNCLGEDELDEEASKPKKLFDHVTFTPVCKHRPSQKNQENSEIQRVYSLRKFDELCSSSRKYSLQDLSELTERRIRELHYSEVLGKCDEEYTVDIESIYESLINSSGATYASLKDVILDELLIAISTSKEERKIRASVSILTTIISRNKSIIEDVKKKGLRLCDLASALKQNVHEAAILIYLINPSPIDIKTLELLPILVEIVCTSNSYKNRPESLHLTPHAASLMIIEELVTSFDYATNNMHLATISSPHVLSGFLEVARNDNLEEFFSLTTILIKCMQYDAQCRKYVSQFTPLAPFIHLLQSENTRAKCTALEFFHEILCTPRSSAISLLQRVQQERSINIMQILMHCAHQLQPDHQLLAANIMLQLDILNSPDKGVFREEAVQILLRAMTSEESSEQILSASILSNLAGTYAWTGEPYTTAWLLRKTGLTSPYHQNMIRNFNWLDPTLQDTSTDLWCSKIAKCIISLGDSVFHTLDRVLRSKIKRVSRDCLVAIAWLGCHISKSPDSISYSASEIIVSGVEQFLHPGMELEERLLACMCMFNYASGKGKQKLMHFSEGVKESLRRLSNVIWMAEELHRVADFLLPNISRISCVHTQILEAGCSFSLAVCSLIYFKGLLFSGYSDGTIKVWDIRGHSASLVWDIKEHKKSVTCFSLYEPSDCLISGSTDKTIRVWKMIQRKLECVEVIALKEPIHHLRAHGETIFAIAESQGLKLVNESRVSRDILKGKHVKCMTVAQGKLYIGCTDSSIQEYSTTHNRELEIKPPTRSWRKKSKPINAVVAYRDWLYSANKQVQGTTFKEWKRTRKPKLSILTDKGDNVVAMEVVEDFLYLISSSSPNNIQIWLRGAPKKLGRISAGSKITSILAANDIIFCGTETGLIKSCCDANCKTTL >Vigun09g013500.2.v1.2 pep primary_assembly:ASM411807v1:9:993430:1001795:-1 gene:Vigun09g013500.v1.2 transcript:Vigun09g013500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLKNIWVLVSINRFIQDTLEDEKTRNGLKLRCTSKLRIQKQEFFEFSEQSVLSNLYWGIDSIEAAIEAQRPEDRSFRLMNSEQMLQVPAMLDEEEVTATIPNRYLVCCSYFYLSVVRKLQGDEWQAALHFLQAVLVSPRLVWTEFASQLCESLFPQSSIRMVQGNCSSRSLESVSSEDEMDEAIREVARRYKECLVYYQVMLYGETPWWRNYCSKQSPRSVDVPNTSCVSSTSVQHESRLKSCNMYKKVHPLDSQDVMHTMEHESSQFMDIAEYEGDKKALKQLKSVEYHDKEIQTISSIKGFKDMMIEAHSKTPVSVDAFYKDFRARKNMENVDDRKIYIQTTITKADDLPPEIHNWKLQQHSGLPQAHQHPIQEQLDKKNIIKLDSSRFNRSIEDITLSISQYRDKTGNTPLNCLGEDELDEEASKPKKLFDHVTFTPVCKHRPSQKNQENSEIQRVYSLRKFDELCSSSRKYSLQDLSELTERRIRELHYSEVLGKCDEEYTVDIESIYESLINSSGATYASLKDVILDELLIAISTSKEERKIRASVSILTTIISRNKSIIEDVKKKGLRLCDLASALKQNVHEAAILIYLINPSPIDIKTLELLPILVEIVCTSNSYKNRPESLHLTPHAASLMIIEELVTSFDYATNNMHLATISSPHVLSGFLEVARNDNLEEFFSLTTILIKCMQYDAQCRKYVSQFTPLAPFIHLLQSENTRAKCTALEFFHEILCTPRSSAISLLQRVQQERSINIMQILMHCAHQLQPDHQLLAANIMLQLDILNSPDKGVFREEAVQILLRAMTSEESSEQILSASILSNLAGTYAWTGEPYTTAWLLRKTGLTSPYHQNMIRNFNWLDPTLQDTSTDLWCSKIAKCIISLGDSVFHTLDRVLRSKIKRVSRDCLVAIAWLGCHISKSPDSISYSASEIIVSGVEQFLHPGMELEERLLACMCMFNYASGKGKQKLMHFSEGVKESLRRLSNVIWMAEELHRVADFLLPNISRISCVHTQILEAGCSFSLAVCSLIYFKGLLFSGYSDGTIKVWDIRGHSASLVWDIKEHKKSVTCFSLYEPSDCLISGSTDKTIRVWKMIQRKLECVEVIALKEPIHHLRAHGETIFAIAESQGLKLVNESRVSRDILKGKHVKCMTVAQGKLYIGCTDSSIQEYSTTHNRELEIKPPTRSWRKKSKPINAVVAYRDWLYSANKQVQGTTFKEWKRTRKPKLSILTDKGDNVVAMEVVEDFLYLISSSSPNNIQIWLRGAPKKLGRISAGSKITSILAANDIIFCGTETGLIKGWIPL >Vigun03g442500.2.v1.2 pep primary_assembly:ASM411807v1:3:64497457:64501169:-1 gene:Vigun03g442500.v1.2 transcript:Vigun03g442500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFARTSMGGSCFHALRLRRFKSKPLPEPSSSSRARLDSDLENMERRRFDSLESWSMILDSENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQDEERRGLLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWNANPSKRPDFSDIVCTLEKYDECVKEGLPLTHHSGLVSKNIIIERLKGCVSMTSSIPVQA >Vigun03g442500.1.v1.2 pep primary_assembly:ASM411807v1:3:64497323:64501397:-1 gene:Vigun03g442500.v1.2 transcript:Vigun03g442500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFARTSMGGSCFHALRLRRFKSKPLPEPSSSSRARLDSDLENMERRRFDSLESWSMILDSENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQDEERRGLLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWNANPSKRPDFSDIVCTLEKYDECVKEGLPLTHHSGLVSKNIIIERLKGCVSMTSSIPVQA >Vigun03g052500.2.v1.2 pep primary_assembly:ASM411807v1:3:4293107:4295016:-1 gene:Vigun03g052500.v1.2 transcript:Vigun03g052500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEESAEKICEGVSESPTTTPVGGETPPEGDSKTRRIKATRHPRWTRQETLVLIESKKMVESGEQVCRLRSGSGFIQTDPKWEMVSSFCQQRGVKRGAVQCRKRWGNLLTDFRKIKKWESGVKEESESFWIMRNDVRKEKKLPGFFDSVVYNVLDGGVCTTVAFPLTLVKMVPKVENGFAEVVGPEQCKENEEEDDEAIVDSEKMSWSTEEENVETSVAANMANGVLKVPPGFKEKGITGSLRNTTLLSLPTQGFQREPAFKHGYKRKLSSDSSEDNTDFDNSVTKLLRRNSEILKAHLGTQNINYELARNQQKQQTDILVAALGKLTDALTKIADKL >Vigun03g052500.1.v1.2 pep primary_assembly:ASM411807v1:3:4293107:4295016:-1 gene:Vigun03g052500.v1.2 transcript:Vigun03g052500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEESAEKICEGVSESPTTTPVGGETPPEGDSKTRRIKATRHPRWTRQETLVLIESKKMVESGEQVCRLRSGSGFIQTDPKWEMVSSFCQQRGVKRGAVQCRKRWGNLLTDFRKIKKWESGVKEESESFWIMRNDVRKEKKLPGFFDSVVYNVLDGGVCTTVAFPLTLVKMVPKVENGFAEVVGPEQCKENEEEDDEAIVDSEKMSWSTEEENVETSVAANMANGVLKVPPGFKEKGITGSLRNTTLLSLPTQEKKKQQQQQPPSCQGNYDPCFQREPAFKHGYKRKLSSDSSEDNTDFDNSVTKLLRRNSEILKAHLGTQNINYELARNQQKQQTDILVAALGKLTDALTKIADKL >Vigun07g226600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34883278:34884499:1 gene:Vigun07g226600.v1.2 transcript:Vigun07g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTNYSVLIPMFVFVTTLFMFPSKVKSAQSLSFSFSRFGSDQKDLIFQGDAISTNNVLQLTKLDSAGNPVSGSVGRVLYSAPVPIWENSAVVYSFESSFTYEISTPYTSPPADGLTFFIAPYDTVIPPNSGGRLLGLFTDSNAFRNSSISKNQTTVDFKAESNKVVAVEMDTYSNDNVGDPSYKHIGIDVNSIRSKTTARWDWQNGKTATAHISYNSASKRLTVATFYPGSSAVTLSYDLELHTVLSKWARVGFSASTGEQKQRNTILSWSFTSSLKNNEVKEEKQDILITTVV >Vigun03g418400.1.v1.2 pep primary_assembly:ASM411807v1:3:62496388:62497308:1 gene:Vigun03g418400.v1.2 transcript:Vigun03g418400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFEDQTTSPVAPATLYNALAKDADNIIPKAVDSFKSVENVEGNGGPGTIKKISFVEDGETKFVLHKIETIDEANFGYSYSIVGGVALPESAEKITIDTKLSDGPDGGSLIKLSISYHSKGDAPPNEDELKAGKAKSDALFKAVEAYLLANA >Vigun07g144600.1.v1.2 pep primary_assembly:ASM411807v1:7:25532313:25535843:-1 gene:Vigun07g144600.v1.2 transcript:Vigun07g144600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVEDATFEDDQLANMTTDDVVRASRLLDNEIRILKEELQRTNLELESYKDKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Vigun08g168550.1.v1.2 pep primary_assembly:ASM411807v1:8:33966319:33968857:1 gene:Vigun08g168550.v1.2 transcript:Vigun08g168550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKLYTNFSYTSKINKEESPPCNHFSLRGFVAEMRQKDLNICLGFPVNGSETRPSLPPLVVQRFRSWSCNICLGEHEFNMDDIQSNHEGTKETLTHKSDHTRKEIDVNKIIDLTGDSDDSESDRMSINEEQRNEAEVSINEITGNSQKNGENEDEIQNLHQKKSSMKFKRMRLLREILTEDDEPVTEHIRRERPAPQNPSNKSANSQRQQTVMDKVVGEGDTKKLEKHGPKGRKRKLVVDEDEVPLTDFIERVGNQVSNEERLVEEQHLISSNSPPQELDEAATREHNELEALDALLQISQINIVNVEPEPDPEINQLKGMDFDLNLPHHCHIVSENVGLGISIDLNVAAEGIDPDDCSKTKMEKSHAPAIFGGWLDEEEESPTQHCGGIRATDIVLNNNISERFCGTNRNPADFSPIAAWNPYMREKNDEGSKEVTAKNAVFHTRQNKKP >Vigun02g114000.4.v1.2 pep primary_assembly:ASM411807v1:2:26773060:26776235:1 gene:Vigun02g114000.v1.2 transcript:Vigun02g114000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSSPAGHRSLNSTFPQGSARGSRDLTVSTGSVEGKREVEVEVSEESGVVGSSSDSNSNGNNNKSDGSIVFRSLEMRKLKEFSFAELKAATRSFKSDALLGEGGFGKVYKGWLHEKTLTPTKAGSGIMVAIKKLNPESMQGLQEWQSEITFLGTVSHPNLVKLLGYCCDDVEFLLVYEFMPKGSLETHLFWSMNFRQQFKYVCHGFCLSLPLFFFFFPK >Vigun02g114000.1.v1.2 pep primary_assembly:ASM411807v1:2:26773060:26776235:1 gene:Vigun02g114000.v1.2 transcript:Vigun02g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSSPAGHRSLNSTFPQGSARGSRDLTVSTGSVEGKREVEVEVSEESGVVGSSSDSNSNGNNNKSDGSIVFRSLEMRKLKEFSFAELKAATRSFKSDALLGEGGFGKVYKGWLHEKTLTPTKAGSGIMVAIKKLNPESMQGLQEWQSEITFLGTVSHPNLVKLLGYCCDDVEFLLVYEFMPKGSLETHLFWRNNNTEPLSWDTRLKIAIGAARGLAYLHTSDKQIIYRDFKASNILLDEEFNAKISDFGLAKLGPPAGDSHVTTRIIGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLKGMRALDQNRPSGQQNLVEWIKPYLSDKTKLKTDIMDEKIEGQYSSNAALKAAQLTLKCLETDPKQRPSMQQVLHTLETIQAIKLRRRKRTKHCSNKFATINNFH >Vigun02g114000.3.v1.2 pep primary_assembly:ASM411807v1:2:26773060:26776235:1 gene:Vigun02g114000.v1.2 transcript:Vigun02g114000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSSPAGHRSLNSTFPQGSARGSRDLTVSTGSVEGKREVEVEVSEESGVVGSSSDSNSNGNNNKSDGSIVFRSLEMRKLKEFSFAELKAATRSFKSDALLGEGGFGKVYKGWLHEKTLTPTKAGSGIMVAIKKLNPESMQGLQEWQEFNAKISDFGLAKLGPPAGDSHVTTRIIGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLKGMRALDQNRPSGQQNLVEWIKPYLSDKTKLKTDIMDEKIEGQYSSNAALKAAQLTLKCLETDPKQRPSMQQVLHTLETIQAIKLRRRKRTKHCSNKFATINNFH >Vigun02g052400.1.v1.2 pep primary_assembly:ASM411807v1:2:19456252:19458285:-1 gene:Vigun02g052400.v1.2 transcript:Vigun02g052400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFQHIHHPTLCICNTLIKAFLLKAKFYDTLHVFTKMLQSGLYPDNYTIPYVLKACAALHSCSLGQMVHGYSSKSGLLFDIFVGNSLMAMYSVCGDVVAARYVFDEIPRLSAVSWSVMISGYAKVGDVDSARLFFDEAPEKDRGIWGAMISGYVQNSCFKEGLYLFRLLQLTEVVPDESICVSILSACAHLGALDIGIWIHRYLNRAAVPLSIRLSTSLLDMYAKCGNLDLAKRLFDLMPERDIVCWNAMISAMALHGDGANALKLFSDMEKAGMKPDDITFIAVFTACSYSGMSHEGLQLLYKMCSVHKMEPKSEHYSCLVDLLSRAGRFEEAMVMMRRISNSGNASEETLAWRAFLSACCNHGQAQLAERAAERLLRLQNHSGVYVLLSNIYSASGKHSDARRVRDMMRNKGVDKVPGSSSVEIGGVVSEFIAGEETHPMMKEIHSVLEKMHLQLD >Vigun03g073400.1.v1.2 pep primary_assembly:ASM411807v1:3:6055295:6059227:1 gene:Vigun03g073400.v1.2 transcript:Vigun03g073400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLHNAAKCRIPLRNQSLPFSRHVSRSLSFLPKTRAGKQSNTLSVKASQADFPVDPSISPRVNAVKPSKTVVISDLATSLVQAGIPVIRLAAGEPDFDTPAPIAEAGINAIREGYTRYTPNAGTMELRQAICRKLKEENGITYTPDQVVVSNGAKQSLAQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISDNFLLDPKLLESKITERSRLLILCSPSNPTGSVYPKALLEKIAQIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWDRSLTVNGFSKAFAMTGWRLGYIAGPKHFVAAIAKIQSQFTSGPSSIGQKAAVAALGLGYAGGEAVSTMVKAFRERRDFLVKSFREIDGVKISEPQGAFYLFIDFSFYYGREAEGFGKIEDSESLCRYLLDVGQVALVPGSAFGDDTCIRISYAESLTTLQAAVERIKKALVPLSSAALV >Vigun09g116300.1.v1.2 pep primary_assembly:ASM411807v1:9:25440778:25446749:1 gene:Vigun09g116300.v1.2 transcript:Vigun09g116300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKYTRVDGRRSSSWCSTVTVVVFVALCLVGVWMMTSSSVVPVHNGDEAHETKNEVKEQTDIKEESANEVGNSNTRQFEDNQGDLPEDATKGDINVSSEDNSNLSEKQDEKVEENPVERSSEDTKTEDKSSEDTTTENEDKKTDDEGSNTENESNSDSAENNKDSDETSTKDSDSNESENKFESDDNKKSDTDENEKQSDNSDETTDSRIEEKVEESDNKESDENSSVKNTNDNTKQQSSNEVFPSGAQSELQDESTTETGSWKTQAAESKNEKESQESSKPTGYNWKLCNVSAGPDFIPCLDNWKAIRSLRSTKHYEHRERHCPEEPPTCVVAVPEGYKRPIEWPRSREKIWYHNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQETVPDIAWGKRTRVILDVGCGVASFGGFLFDRDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPIYQKLPEDVEIWNAMKALTKAICWELVSISKDQVNGVGVAVYKKPSSNECYEQRSKNEPPLCSDSDDANAAWNVKLKACIHKAPVSSTERGSKLPAKWPARLTKVPYWLLSSQVGVYGKPAPEDFTADYEHWKRVVSKSYLNGLGIQWSNIRNVMDMRSVYGGFAAALRDLNVWVMNVVSIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSSLKKRCNLAAVVAEADRILRPEGKLIVRDSVEIIEELESLVRSLQWKVRMTYSKDKEGLLCVQKSMWRPKEQEKLEYAII >Vigun05g214300.1.v1.2 pep primary_assembly:ASM411807v1:5:40541951:40543282:-1 gene:Vigun05g214300.v1.2 transcript:Vigun05g214300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSNLRNSRVNDEQQAKRKKPCSEKVERKIVERNRRKTMNDFYAQLNSLLPSHNPKEAIPRRAQIGEAMKYIKSLETKLKMAQEKKERLFEKKKNLSGCTLNASEAQPKMEVHETGSAIQVILTCGIDNNFIFCEILRILSELNVEVVTVNSTMVGDSMIHAVQGEVAQSMFQFGDSTVSEKLKWFVNGSFSDMEIDLGLWDSGIGLPLITPGCCFLDPTLDTGLPSYPC >Vigun03g023600.1.v1.2 pep primary_assembly:ASM411807v1:3:1741795:1746909:-1 gene:Vigun03g023600.v1.2 transcript:Vigun03g023600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVPMASSVRPTLFSLTFSPPSSLPLFNPSPRLSLSGAVSQFHNFGLKASRLLRQDGYPVRAMASGDVSQSVAAASPENVLEWVKQDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTGFGHFGIAVDDVVKVVELIRAKGGKITREPGPVKGGRSVIAFVEDPDGYKFELIERGPTPEPLCQVMLRVGDLNRSIEFYEKAFGMELLRTRDNPEYKYTVAMVGYGPEDKSTVLELTYNYGVTEYDKGNAYAQIAVGTDDVYKTAEAIKLAGGNVTREPGPLPGINTKITACLDPDGWKSVFVDNVDFLKELE >Vigun07g227800.1.v1.2 pep primary_assembly:ASM411807v1:7:34973918:34978213:1 gene:Vigun07g227800.v1.2 transcript:Vigun07g227800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDSNIRRWEDLDIDILVKIFQLLDIFELTSGISRVCSAWRMACCDPLLWKTLDLSMLRSNFIKIPLEPYVYVDERSDRTLTRLLKISLGLSRQSIMTLIFHFNLYVSDEQLTYTAERCPRLKRLVLPAWNRIKKTGMCKAIRCWKELESLTMPSIANPPYILEEISTHCKNFSELKIMGPCDVFFASSLAAFLPNLRVLSLRCSMLFTDVLVLILDSLQNLEVLNISHCVLMEALPAPQHKRIVKEIDVTIRQKASRLREFLTCMDDSCVMCQRTRTDEGLVRWYKYEEGLWKTDEVRSLTL >Vigun01g073800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:20511321:20513787:-1 gene:Vigun01g073800.v1.2 transcript:Vigun01g073800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNAGDTLNPPGGAAAQANTLSPTRHGQAEEEHKKEEAAASTPPSLEKLAQEIDQFARRLRTDGNANNFEIPDFTERYLDLVEEKIMKYDAGEGKPRWGEVSEEDSWLLNNANRFSKLMTLVTGNYRDHEPEKISGAQVSMMNRISSIHQRLMTYLEEDFRFLMEETRIPTELDPGGYNQNSFDTKGKQHMAAFEQQEVAQEQDGFEQDTNFPGYSEETMAGLSKIASEMLFGGYESECCQVYIISRRSAFEEINQKLGLEKISIDEMVTKMQWETMARDMIPAWINTLKQYAAVYFPGERKLTETVFTNNHAVAVGLFSSLSRGVVIQLLNFAEGAAMTKRAGEKLFKLLDMYEGLYEVIPSVARLFPEESSEEIKTEMNHARSRLGEASIHIFCDLENQIKSETAKSAVPGGAVHPLTRYIMNYLNIAGDYKKTLEQVFMEHTKRDRAGSTSKNAEQKNEETPSPFAKQALRVMDLLDSSLEGKARLYKEVALSNFFMMNNGRYMLQKIKSSSEMSKVMGDNWIRKKSSELRNYHKNYQRETWNRVLQCLSHEGLAVNGKVHKPVLKERFKSFNSLFDDILKTQSSWVVKDEQLQSELRVSISAVIIPAYRSFVARFAQTFDPGRQTEKYIKYQAEDIETYIDELFEGKPHQSIGKRRT >Vigun01g073800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:20511321:20513988:-1 gene:Vigun01g073800.v1.2 transcript:Vigun01g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNAGDTLNPPGGAAAQANTLSPTRHGQAEEEHKKEEAAASTPPSLEKLAQEIDQFARRLRTDGNANNFEIPDFTERYLDLVEEKIMKYDAGEGKPRWGEVSEEDSWLLNNANRFSKLMTLVTGNYRDHEPEKISGAQVSMMNRISSIHQRLMTYLEEDFRFLMEETRIPTELDPGGYNQNSFDTKGKQHMAAFEQQEVAQEQDGFEQDTNFPGYSEETMAGLSKIASEMLFGGYESECCQVYIISRRSAFEEINQKLGLEKISIDEMVTKMQWETMARDMIPAWINTLKQYAAVYFPGERKLTETVFTNNHAVAVGLFSSLSRGVVIQLLNFAEGAAMTKRAGEKLFKLLDMYEGLYEVIPSVARLFPEESSEEIKTEMNHARSRLGEASIHIFCDLENQIKSETAKSAVPGGAVHPLTRYIMNYLNIAGDYKKTLEQVFMEHTKRDRAGSTSKNAEQKNEETPSPFAKQALRVMDLLDSSLEGKARLYKEVALSNFFMMNNGRYMLQKIKSSSEMSKVMGDNWIRKKSSELRNYHKNYQRETWNRVLQCLSHEGLAVNGKVHKPVLKERFKSFNSLFDDILKTQSSWVVKDEQLQSELRVSISAVIIPAYRSFVARFAQTFDPGRQTEKYIKYQAEDIETYIDELFEGKPHQSIGKRRT >Vigun07g188500.2.v1.2 pep primary_assembly:ASM411807v1:7:30516175:30520485:-1 gene:Vigun07g188500.v1.2 transcript:Vigun07g188500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKGIGMTGRCCPFQQHVMELNFMEQMEHINNQFGYALSSRRTFEDGGKIVTFGDGWKPVMEDIIGSRSEPLTSTCFQLFESLWRCLDHNLNTVRRYLAIIKVFLNDIDGAAEDVNQRQKVWVEQLRMVAREGHSIVDAYPDKDGLFFFLRRIIFAKNIDRLLKEIINISKRKEIYGIANIHIRERQSVLEGDNLGLKLVAQPDSCSHRHITEFEKEFQLIKGEKQLMNALFDDLQEIGYEKLDERYKIWVDQMKEIVPELDLVIKECACELKHVTLLNNILGFKARIHIMDKIKKIRKKIEDAGRGRKACSLVQRQSQAESLCRKTQPLLIAKESRMVGFDEDVEILMAQLLSDEKHRCICSIVGTKGTGKTELASFIYRSEAVLSHFDCVIWVTPSSTVEPLKNQIAKKAAEIIMGGEHDMWTTQVVLTTLATKKYLIVVDGFQTAHALDNLREAIPDRLTASRFLLTTNNAMEALRACSKSFFVHPLRLLDDKNSWILLTRELNVKMNLEPKLHENEIEEIGKKIMAKCGGLPLEIQKKSKLLFHKDVTAEEWAKVLEQPNEDQNTWSETFDTVNNLPSYLRRCLFYFELFPADFEIPVRRLVALWVAEGFVPLGEDQEWPPELVAERYLIELIDLNMVQIAKRKRNGKVKTCRFPNGLRQIFKSTESRIPQVGGTSTDLEAVPKNFRIRRVADHLEVKDIWHKHIHGKRFTYASDCDSLRTYYQSVSSFLSFDTRLATASACFRRRVQAQLAQRHCKTFSIEVSWPKMDLSRVTFTIYK >Vigun07g188500.1.v1.2 pep primary_assembly:ASM411807v1:7:30516175:30520485:-1 gene:Vigun07g188500.v1.2 transcript:Vigun07g188500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKGIGMTGRCCPFQQHVMELNFMEQMEHINNQFGYALSSRRTFEDGGKIVTFGDGWKPVMEDIIGSRSEPLTSTCFQLFESLWRCLDHNLNTVRRYLAIIKVFLNDIDGAAEDVNQRQKVWVEQLRMVAREGHSIVDAYPDKDGLFFFLRRIIFAKNIDRLLKEIINISKRKEIYGIANIHIRERQSVLEGDNLGLKLVAQPDSCSHRHITEFEKEFQLIKGEKQLMNALFDDLQEIGYEKLDERYKIWVDQMKEIVPELDLVIKECACELKHVTLLNNILGFKARIHIMDKIKKIRKKIEDAGRGRKACSLVQRQSQAESLCRKTQPLLIAKESRMVGFDEDVEILMAQLLSDEKHRCICSIVGTKGTGKTELASFIYRSEAVLSHFDCVIWVTPSSTVEPLKNQIAKKAAEIIMGGEHDMWTTQVVLTTLATKKYLIVVDGFQTAHALDNLREAIPDRLTASRFLLTTNNAMEALRACSKSFFVHPLRLLDDKNSWILLTRELNVKMNLEPKLHENEIEEIGKKIMAKCGGLPLEIQKKSKLLFHKDVTAEEWAKVLEQPNEDQNTWSETFDTVNNLPSYLRRCLFYFELFPADFEIPVRRLVALWVAEGFVPLGEDQEWPPELVAERYLIELIDLNMVQIAKRKRNGKVKTCRFPNGLRQIFKSTESRIPQVGGTSTDLEAVPKNFRIRRVADHLEVKDIWHKHIHGKRFTYASDCDSLRTYYQSVSSFLSFDTRLASKSGHDIRNFLQHCILNNCLLQLRVLVLEGVYKPNLPKDIAKLSRLRYLGLRWTYLELLSPYINSLLQLQTLDLKHTYIQTLPRSIWKMKLRHLSLSESNRITFPPKPIGIDIGRSLHDLQTLWGVFVDEETPVKGGLDKLVNITKLGITCHSQVSAVADWIAKLQYLQSLRLRSRDKQGRPSKIHLKFLQHHTNLTDLYLFGCLNTPLNQLFLPSTLVVLTLSHSKLEDDPMQILKDAPNLRSLSLFADSYLGQRIVCESDSFSQLRVLRVWKLEQLEEWKIEEKALPSLRQLEIRLCPRMKVLPDGLNHVNSLLELKLTNMPMEIHAEKYNIPPNCEVHRDDSPLFQVRFAYTALSEEMSEK >Vigun06g234800.1.v1.2 pep primary_assembly:ASM411807v1:6:34029893:34034717:-1 gene:Vigun06g234800.v1.2 transcript:Vigun06g234800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEVLHQGRSLAETPTYSVASVVAVMVFVCLLVERSIYRFGEWLKKTRRKALFASLEKLKEELMLLGLISLLLAQSARWISEICVNSSLFSSRFYICSEQDLDINENIMLENSSSIPGETGIPKGLSTGAFHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRRWENQARMPSDGNQQAKKIKLMKRQTTFIFHRTSHPWSRSPILNWMLCFLRQFRSSIRKSDYLALRLGFITEHKLPLSYNFHQYMVRSMEDEFQGILGISWMLWIYAIVCIFVNIHGLNIYFWLSFIPAILVMLIGAKLQHVVSTLALEIMEQTGPFPRTQVKPRDDLFWFKKPDILLWLIQFVIFQNAFEMATFIWTLWGFQEHSCFMRNHYMIIIRLASGAIVQFWCSYMTVPLNIIVCQMGSRCKKALVAESVRESLHSWCKRVKHKSKHDSLHSHTARSVCSLESTIDERDEITVASGTLTRSSSLASLNQITVSSVDQLNFETSNKAKDSTKEAEYLSESVHTNPPQPSHNVEDRVGNVEEAKAITLLDLFQKT >Vigun10g176700.1.v1.2 pep primary_assembly:ASM411807v1:10:39504347:39509531:-1 gene:Vigun10g176700.v1.2 transcript:Vigun10g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDENEERVFEMGVVIPRRVIQEEDKSCDCAHVLVKEFEKVGFEVERVIGIADEFIKLAAPLETLGRAAAELQIKKRTHIGMDLQFEVEEVEAFVKQPDGSIFSWCERFQCYCHLIYGIVNDSKSAKALKFDGKEINWEIGENLILKLESENIVKQVFPLHDEKKRKKLLRSWALHWWHFTSQPIDEIYTYYGAKIAIYFAFLGMYTRWLLVPAAFGLTLQLIDFGPLKLVLLPVFFIMVILWAIMFSQFWKRKNNALLARWPISSVDTTDGGYKITGRKESTWQPPMELMKVLESDRIKAKEAFQKSEWLERFLRFRNDTFIIVTIICLQLPFELAYAHLYEILDSDVIKFALTAVYLFAIQYITKMGGKLSVKLIMKENNENTEKRADSLVYKVFGLYFMQTYIGIFYHALLHRNFSTLRQVLIQRLLLSEVLENLLENSLPYLKYTYKKYRVRHKKRARGEAREKIQITSRVEKEYLKPPYSASIGDELEDGLFDDYLELALQFGMILMFACAFPPAFVFAAVNNIMEIRTDALKLLAILRRPVPRAAATVGAWLNIFQFLILMSICTNCALLAWLYDEKGKWKIEPGLAAILIMEHVLLLIKFGFSRFVPEEPAWVRATRAKRTTQAQDMCSKKLLRTISGVDKKFGMKKLN >Vigun02g205201.1.v1.2 pep primary_assembly:ASM411807v1:2:33890557:33904443:1 gene:Vigun02g205201.v1.2 transcript:Vigun02g205201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHTHSHTHAHTQKHTLTHTHTHTHTHTHTHIHTHTRMHTPTHPHTHTPTHTRTHTRTHTRTHTCTHSHTHTHTDTHTHSHTHTHTHAHTHAHTHTHTHTHAHTHAHTHTHTTTHTHTHERTDTHTHTHTHTHTHAHTHTHTRTHTLTHTHTHTHTHTHTHAHTHA >Vigun08g204000.1.v1.2 pep primary_assembly:ASM411807v1:8:36782326:36787490:1 gene:Vigun08g204000.v1.2 transcript:Vigun08g204000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTRTFTSSLLSNSFFSSNKSLLSANANTSIFKFNSLKFSSLAPHVHFFQPFIVRYNSFSSPFTVSAAASSSSVEVGTEQQDRLPAELKVTETEKIKSTVKLHVEVPPLVIEDCYKRVIAEFMKQAKIPGFRPGKKVPEDILIGYVGRQNVQKATIESILRRTLSHAMISVSGKALQDSVRIVTKFPEMEETFSLQEFFRYDVVFDIAPEIKWIPDNNAYKNLKIVVEIDSDIDAQIASEQEFRRRYKSIGALKVVTDRGLQVGDIAVLDISATTIDQDESNVKSIPSAESKGFNFDTEYGDKVLPGFLDSIIGIHRGESKSFPLVFPETWNQENLRGVHAQFNVECKELFYRDLPELDDSIADKLLPGCSTVEQVKDLLLQRCLEVEQTAREQATDNAILDQISKMVEVDIPQSLFEEQGRQLYGANLLEIQAKMKLNEQQLASLSSPKAVNQYLEHQKENITNLIKQNLAVGDIYRRENLQFATEDLVKEVENSIAEFKRQNQEYDEERVKNQVQEILEGAKVLEWLREHAEIQYITR >Vigun03g248500.1.v1.2 pep primary_assembly:ASM411807v1:3:41328928:41336964:-1 gene:Vigun03g248500.v1.2 transcript:Vigun03g248500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKYKILSLCLVSWLWCGYVAVASSESSIGSKDLDQTPTWAVACVCTVFILISLTLEKSLHKVGTWLHEKHKKALLEALEKVKAELMILGFLSLLLTFGQSYIVRICIPTDIADKLLPCPLAGTEEESSSEEEHHRRLLSYDRRYLSDHAAPYQCKKEGQQPLISVNGLHQLHILIFFLAVLHVFYSAVTMLLGRLKIRGWKAWEEETSSHGYEFANDPSRFRLTHETSFVRAHASFWTRYSIFFYIGCFFRQFYRSVGKADYLALRNGFITVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPILWASFVVFLLLNVNGWHAMFWASLIPVVIILAVGTKLQVTLAKMAIEITERHAVVQGIPLVQGSDRYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYSFGLKNCFHADYKLAIVKVALGLAALCLCSYITLPLYALVTQMGSRMKKSIFDEQTSKALKKWHMAVKKKQGVKLGNSKVRAMDGSTTDSTVHSSGPKLHRYKTTGHSTRTMSAYDDDHSDIELSPVSPTANLIVRVDHDEHEAEEAEHHPTSNNQELSRLSTLERSMK >Vigun08g131000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30133398:30134151:-1 gene:Vigun08g131000.v1.2 transcript:Vigun08g131000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDTNTMHRLLAPDLEWWFHGPPSHRHHLVPHLTATTTTYTKPVLVPDLIVGFGSVTIAEGFDETNLVWWVHAWTTTADGVITEIREYVNTSVTVTRLGFHGSDDVVASAMCQSVWQSKLSDESVPGLILAI >VigunL059066.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000448.1:37810:39521:1 gene:VigunL059066.v1.2 transcript:VigunL059066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFGMLALTSKGSSSFFQQGLRTMPASLFGYGSLPSEWYLIQDSLLPGRFPNLFPRPEAFSFFLFTLVARADLAQRGLDPYIIEKGTVPGKDVSRLPFSIDTDMEPKTDSIMLYLLNGDSPLSIEVGGPPSHHSGLLLRGILPMLKVACLPSHREASRQKEAARTRSCLRSFPPPVVEVLGATLIQKVPDFIRSGSFPPPLFLLPPSVIKVPFPFLKCPIGLPQQMYKVDRCLADPLLPIWVGLTQKSNEVKRISPVVSLFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPFSGYVEVHYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDE >Vigun06g045500.1.v1.2 pep primary_assembly:ASM411807v1:6:17018437:17022822:-1 gene:Vigun06g045500.v1.2 transcript:Vigun06g045500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCITHHPVTSKLKNFFPSPHVSASDLASRFLGTKKSVGWLSLTSRIGPSNGSRATCWFRFGKNGVDAEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVDGTYDKMEALLNLKLHPVDILLLLAASEGDKPKIEELLRAGAKYDVKDGEGRTALDRANEEIKEFILNFSVQRA >Vigun09g241100.1.v1.2 pep primary_assembly:ASM411807v1:9:41095678:41098838:-1 gene:Vigun09g241100.v1.2 transcript:Vigun09g241100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVNLMFKVLKYPKIGILKLASLRASVNSRIEITMDLRESISNQTDVALSMTKLLLSKEARDKNFVYSPLSLHVVLSIVAAGSKGPTLDQLLSFLRSKSTDHLNSFASQLVTVVLSDAYPAGGPRLSFADGVWVQQTLPLLPSFKHLVNTDYKATLASVDFQTKAVEVANEVNSWAEKETNGLVKELLPAGSVDSTTRLIFANALYFKGAWNEKFDASITKDHDFHLLDGNSVKVPFMTSKKKQFIRPFDGFKVLGLPYKQGEDKRQFTMYFFLPDAKDGLPALAEKLASESGFLERKLPNHRLEVGDFRIPRFKISFGFEASDVLKELGVVLPFTVGGLTEMVESPVGQNLCVSDIFHKSFIEVNEEGTEAAAATAATIMLRSALSSSKIDFVADHPFLFLIREDLTGTVLFMGQVLDPRAG >Vigun06g170900.1.v1.2 pep primary_assembly:ASM411807v1:6:29242775:29245031:1 gene:Vigun06g170900.v1.2 transcript:Vigun06g170900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFETQQMYARAKTEEFDIESGETLYPGLSLGENQLRWGFIRKVYGILSAQIVLTTLVSLVTVFYSPINDLLKGNSTLLLVLLFLPFAFLIPLLKYQQKHPHNYIFLGLFTVSISSTVGVTCANTDGKIVLEALILTSAVVSSLTGYAFWASKKGKDFSFLGPILFTSLFTLFLTGMMQMFFPLGPTAHAIYGAIGAMIFSGYIVYDTDNLIKRFTYDEYIGASVTLYLDILNLFLSILRVLREANN >Vigun07g267720.1.v1.2 pep primary_assembly:ASM411807v1:7:38320185:38322933:1 gene:Vigun07g267720.v1.2 transcript:Vigun07g267720.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVIVLKLLIVYLSQLVLIVSAQKGKCPPSFDCGFLGHFQFPFTTTEQQHCGLLAIHGCQQLSPSAPKTIQLGTSPSTSYSVLKVEPRTIIIADDKQQRYLSNRSCKAFSKNVTLPQTSPLASFSIKYNITIFRCNHSIKPSLSKDFYKYSNCSEYEIIYGPPNALTLPGFQWPSSLAPCSTTQLPVEGIPTDDPFQFLTSSIAIEVQLSNQCESCLRHGKGRCLLDTQGKFYCATGSGSWVPKMVLGLALAVTVVLLLAMVKMYRTRRKKQNPTNQRIEVFLKKHGALQTKRYGYSEIKKVTNCFRNKLGQGGFGSVYKGKLQDGRYVAVKILNELKDDGEEFINEVASISRTSHVNIVTLLGFCCDGSKRALVYEFMSNGSLEKLIYQENSLITHHQLDYQMLYHIVIGVARGLQYLHKGCNTRILHFDIKPHNILLDENFNPKISDFGLAKICSRKESMVSIFGARGTAGYIAPEVFSRNFGVVSHKSDVYSYGMMVLEMIGGRQNTVTGLDPSSEIYFPDWIYSRLESKQELGLQNMRNISDEKLVRKMAIVGLWCIQTHPSARPPISKVLEMLESKVELLQIPPKPLLSSPSTSPIHLSSETL >Vigun03g061100.1.v1.2 pep primary_assembly:ASM411807v1:3:5004849:5008599:-1 gene:Vigun03g061100.v1.2 transcript:Vigun03g061100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDPSLLLCLPDDLFGMVSRFLLPRDVCNLSLCCKSLHDVASSEKVWLTQCDKVGVVPHVDLVEWREGVSSYKALCRFLLRVKPLLGIWVHQNPELGNLVYVMPGFVSVVGCRIIPQELGHLGIQDGPIQWSSVFEVIGDFDGSTMFFLHGREEETDYVHPGSVKYIDESCNVLLLEIEAREQDYGGSSSSSTNCEMISGKACRSNSEISRLQRDCGNAEATVPFSKLGFSDRRKLLEVTIGLIRQEVPGMAAGPLFPVVRDDCDNFQKDLVLLKERRAILCQMCSHGSGRIENEESSQGAESALQLEQDDIRKSCNWSKDLSDLLNKENGHIQCTKKKSLGGYFWGGFKQILRKSSSVNESHAIFDKFTSRREMKHARLEDFLRSSNAIGLTLKASTVKLSSYRAWPNMPDSWFALFKMPLQVPSADQIYAGLWGGTFGWPPGKPSEDKPGKALFFVLLSYEESQEQKLLIATKILEGTHYVLHPNGSAMFIVDINDPSSDSFPWDSNRDTLSVDIKHAFKGEGISNGYGFRYPGSKPGSLFVFENGVLAFVWKDTRAVFTLQRLDLQELLKKGERVPSLPPINNFSYLTKSYSNVFTGFPSASTSSPSPR >Vigun03g421300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62706568:62709325:1 gene:Vigun03g421300.v1.2 transcript:Vigun03g421300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPMKGGGGGRVGVGEDHDISDGMQCTDHPFRNNPGAICAFCLQEKLGKLVSSSFPLPIHAPSSSSSSPSFRSDRPPSSSATRPSLPPTATSPPAPSSSSSSSSLPHSHYHHYYARRTRLPFLLSKNKKKKPSPNASSHLVLKRSKSTATPRRNHSFLDADHDQDFSPRKRHGFWSFLYLSSKSSKKLNSKSFTESSTNTNINISHPPRISTINSAPGTASVNPKENTSSASSLRTDIVVQQDANNNTGNSPTAHATSSMERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVAGGGAAAVSRGGGDHHHHHCMKERVRCGGLFSGFMMTSSSSSSSSSSYWVSSSADDAANGKSATVALSHNRGRSWGWAFASPMRAFSGKPSSKESNRRDIIRDANDGKNAAPNLSAIPSLLAVKS >Vigun11g009900.1.v1.2 pep primary_assembly:ASM411807v1:11:1196736:1200700:1 gene:Vigun11g009900.v1.2 transcript:Vigun11g009900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVTAFTGNMKRAAAGLRRINLEGLRWRVFDAKGQVLGRLASQIATVVQGKDKPTYTPNRDDGDMCIVLNAKDVCVTGRKLTEKVYYWHTGYIGHLKQRTLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFPGSEHPFGERPLEPYVMPPRSVREMRPRARRAMIRAQKKAELQQQNADGKKGKNREAKEETA >Vigun05g064300.20.v1.2 pep primary_assembly:ASM411807v1:5:5558193:5563558:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.9.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLESCLVSAMPILYSKVEAVQLRAQLVIDQPFPKIWL >Vigun05g064300.25.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVTSMSPPNPPLNSLVLLFM >Vigun05g064300.14.v1.2 pep primary_assembly:ASM411807v1:5:5558377:5563557:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.19.v1.2 pep primary_assembly:ASM411807v1:5:5558037:5563558:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.22.v1.2 pep primary_assembly:ASM411807v1:5:5560723:5563557:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.18.v1.2 pep primary_assembly:ASM411807v1:5:5558379:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.6.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLESCLVSAMPILYSKVEAVQLRAQLVIDQPFPKIWL >Vigun05g064300.24.v1.2 pep primary_assembly:ASM411807v1:5:5558195:5563549:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.26.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVTSMSPPNPPLNSLVLLFM >Vigun05g064300.10.v1.2 pep primary_assembly:ASM411807v1:5:5558379:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.8.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563560:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLESCLVSAMPILYSKVEAVQLRAQLVIDQPFPKIWL >Vigun05g064300.12.v1.2 pep primary_assembly:ASM411807v1:5:5558193:5563558:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.13.v1.2 pep primary_assembly:ASM411807v1:5:5558024:5563557:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.16.v1.2 pep primary_assembly:ASM411807v1:5:5558195:5563549:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.15.v1.2 pep primary_assembly:ASM411807v1:5:5560723:5563557:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.17.v1.2 pep primary_assembly:ASM411807v1:5:5558195:5563549:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.11.v1.2 pep primary_assembly:ASM411807v1:5:5558037:5563558:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKQVARSLKFPLSKYLYRS >Vigun05g064300.1.v1.2 pep primary_assembly:ASM411807v1:5:5558016:5563561:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.21.v1.2 pep primary_assembly:ASM411807v1:5:5558024:5563557:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun05g064300.23.v1.2 pep primary_assembly:ASM411807v1:5:5558195:5563549:1 gene:Vigun05g064300.v1.2 transcript:Vigun05g064300.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVSQTPKRMTRSSASSPTSTSNNAIAAKVNSLEPLTINDLLVGGDPISLDDIISNFPGRRNQILEIVRLLGPLNSPMLPLFVYGGPCTGKTSIILRLFRHLKRPLVYSSCRTCYNQGILFESILNQLFLHRKNSANGYANAKRCDRPSDFVNFLREALTNVINNLKEKSEKLVSNKMTQEKTGNMIYLVFDNFHLVKEWDKNSTILPLLFNLYDMLKMHEVGLIFISSTSADTFYSNMGYVEPIPVYFPDYTEGDIRQILLRNQANQKLYSSFLDVVLKSFYGITKQVGDLSAALKPLYEKYCEPLSDKGKGVAPDQQMRRRLLAHINPHIGPSLNEIFKVSSLSSTEVETQKEEKRKGNPRRLEKSEELGSLDFHMSTSSKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKPSEKAMERKETLEEELLMKGPGTFPLERLLAIFQCLVSVGEDDEEPNNDGFGVEGGSGGLMSDVLLQLSSLCNANLIFKGRSCPIEGSTRYRSTISEDLALKVARSLKFPLSKYLYRS >Vigun03g168499.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:19775866:19776045:-1 gene:Vigun03g168499.v1.2 transcript:Vigun03g168499.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFIYLFFQFFCAMNTYHINKESGGETHNTTHKDMSSMYHQEQTVQPCQLSSSIHYGG >Vigun09g001200.1.v1.2 pep primary_assembly:ASM411807v1:9:129363:131530:-1 gene:Vigun09g001200.v1.2 transcript:Vigun09g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFGFCFLVFLASYGISCCLSLGDVCEPKEHAALFVFGDSLFDVGNNNYINTTADNQANYSPYGETFFKYPTGRFSDGRVLPDFIAEYAKLPLIPPYLFPGNHRYVDGVNFASAGARALVQTHQGLVIDLKTQLSYFRKVSKELRQQLGNAETTALLAKAVYLINIGINDYEISLSENSSNTRTAEKHAEMVVGNITSVIKGIHEAGGRKFGIFNLPAAGCVPFVKALVNGSKGSCVEEASALPKLHNSVLYLELVKLKKELEGFKYSYVDYFTITFDVINNPSKYGLKEGDVACCGSGPHRGYYSCGGRREVKDYELCENPKQYVFFDSLHPTQSAHQIVSQLVWSGNQSIAAPYNLRSLFQP >Vigun03g317500.5.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182381:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.3.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.2.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.1.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.6.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182381:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.7.v1.2 pep primary_assembly:ASM411807v1:3:51179491:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.4.v1.2 pep primary_assembly:ASM411807v1:3:51178647:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g317500.8.v1.2 pep primary_assembly:ASM411807v1:3:51179491:51182989:-1 gene:Vigun03g317500.v1.2 transcript:Vigun03g317500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCKSQEEIACGAIKHALKALRKRHLLEEAAHGPAVLALCRPIVSQGSEWKEKAENLQVELQQCYKAQSRLSEQLVVEVAESRASKALVQEKENAVADLQKELTELREECSQLKEDLEEKIKSLEVIVSENTELKAQLQQMTAKANKAEAENKMLIDRWMLEKMKDAERLNEANALYEDMVEKLKASGLEQLARQQVDGIVRQSEEGAEFFLESNIPSTCKYRLRAHEGGCASMLFEYNSGKLITGGHDRLVKMWDTNTGSLSSTLQGCLGSVLDLTITHDNRSVIAASSSNNLYVWDVNSGRVRHTLTGHTDKVCAVDVSKISSRYVVSAAYDRTIKVWDLMKGYCTNTIIFHSNCNALSFSMDGQTIFSGHVDGNLRLWDIQSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNMHNLFDVRSLEVCGTLKAAGNRVASNWSRSCISPDDNHVAAGSADGSVYIWSVSKGDIVGTLKEHTSSVLCCTWSGIGKPLASADKNGIVCVWT >Vigun03g233801.1.v1.2 pep primary_assembly:ASM411807v1:3:38941147:38942762:1 gene:Vigun03g233801.v1.2 transcript:Vigun03g233801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYQWEVMEGEIRVRASGLESCPRSLTKRGDLDNNRIHTSEEDRKKRTKIHKLTGEILKKFHYRGKSTQREANTRGEPPNIKQHKHKEATRVKHHSILECLDELFENIIHDPTPMLSPSKPQANPHSHKFPPSIPAIFILHLASQNLHILSSRHLHDKKGIEHKHNKYHHIPFIQHLSPSSLTLAFLNFLQNKNREPTFPPRTFITPRTFILLIPTKTPQHYPLIHATDSLPPQSLHHPLFHFGLQIILLCAPHCFNLCTSFFCVGFVCIYFFCLFIFNCSPHFTAHTSH >VigunL008100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000147.1:22291:22920:-1 gene:VigunL008100.v1.2 transcript:VigunL008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKLNHANVMEVPGSCEIRVVPKAPYDFIIKNGKLAMEIPRGQKFIQTQRGSTGKSFRSNPFLGSNKEKGYVSDLARQSTLRGHGMSNFSVRISTVMSLLDSPVEIRENSIQFSMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTQDETLPPWSGFLQKDEGETKLSQRCRRSEIYEITNADCSRLNMN >Vigun10g073501.1.v1.2 pep primary_assembly:ASM411807v1:10:18840133:18840408:1 gene:Vigun10g073501.v1.2 transcript:Vigun10g073501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSKLSNIKWTPIKCPKQSNGHDCGYYICRYMKEIVTYCEGGTIPIDVSYLNCMYYSFVVGF >Vigun07g234400.1.v1.2 pep primary_assembly:ASM411807v1:7:35621772:35624777:-1 gene:Vigun07g234400.v1.2 transcript:Vigun07g234400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDKSVAKSPEGLQSPQSNSVSAVKDGFLAQHFSSVHPGSVTVNLGTSGLLAYSLHKQNPLLPRLFAVVDDIFCLFQGHLENVANLKQQYGLNKTATEVTIIIEAYRTLRDRGPYPAAQVVRDFQGKFAFILYDSSASTAFIAADADGNVPFAWGTDADGNLVLSDEAEIVTKSCGNSFAPFPKGFFFTTSGGLSSFEHPLNEVKPVPRVDSSGQVCGANFKVDAETKKETTGMPRVGSAANWSNNI >Vigun09g216600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39075040:39075797:-1 gene:Vigun09g216600.v1.2 transcript:Vigun09g216600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPNQLSHYNGTDPSKPIYVAVKGRVYDVTTGKSFYGPGGAYAMFAGKDASRALAKMSKNDEDISPSLDGLSEKEIGVLNDWENKFQAKYPVVGRVIN >Vigun03g216700.1.v1.2 pep primary_assembly:ASM411807v1:3:36091048:36096902:1 gene:Vigun03g216700.v1.2 transcript:Vigun03g216700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRVASPSPWNLLKPLSSCLSLRRRSVSSLSTEPLTVETSLPFTTHRCDEPSRSVETSAAELFSFFRDMTLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRKDCIITAYRDHCTFLSRGGTLIEIFAELMGRRDGCSKGKGGSMHFYRKEGGFYGGHGIVGAQVPLGCGLAFAQKYCKDENVTFSLYGDGAANQGQLFEALNIAALWELPSIFVCENNHYGMGTAEWRAAKSPAYYKRGDYAPGLKVDGMDVLAVKQACKYAKEFALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQVRDPIERVRKLLLVHEISTEKELKDIEKEARKEVDQVIAKAKESQMPEPSDLFKNVYVKGLGVEAWGPDRKELKATLP >Vigun09g262500.1.v1.2 pep primary_assembly:ASM411807v1:9:42717827:42722206:1 gene:Vigun09g262500.v1.2 transcript:Vigun09g262500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDMMRSNLFPLLFAFAFLFSPVFSASDLILAKVDRRIDLTSQIVRIATSLKVENTGSDVVSEILLSFPENHAKHLAYLKAIVSEGKGKAKTSSGVGLPVKAVQPNDVPPALTIYSVSLPKGLGKEHSLTLDVLAVFTHILQPFPEKINQGDVQLLLFQESAHYLSPYAVKAQSLTLKLPDARIESYSKLENAKLQGSELKYGPYENIPPFSYLPIVIHYENNLPFAVAKELVREIEISHWGNVQITEHYDIIHAGAQSKGEFSRLDYQTRPYLRGASAFRRLVAKLPPRAHSVYYRDEIGNISTSSLWGDSKKTELEIEPRYPMFGGWKTTFTIGYGLPLQDFLFGLDGKRFLNISFGAPIYELVIDTLFVKVVLPEGSKDISVSAPFPVKEWQETKISHLDIVGRPVVVLEKNNVVPEHNEHFQVYYKFNSLSMLREPFMLISGFFFLFIACIVYSHADISISKSSASYLAKLQWDEVQATTQLIHGIISRCLTAHDKLEASLHDLSRTGDVQACKATRKSVDSSLKELSKELKQPLTFLQSCPQATQILPKVEELVTKERELQEKLVVKHTTVVDGYEKKSSGREIENRIASYQQKITALKREVDDLMDFIDEI >Vigun03g331400.1.v1.2 pep primary_assembly:ASM411807v1:3:52882250:52889846:-1 gene:Vigun03g331400.v1.2 transcript:Vigun03g331400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPPPVTGERPLPPEANPSNHVSDSKEETAVAPPITTRSTRPSRACTMRAASRLLSSPAAAKKEVSPPPPPQCSKIVTPLVEPPSPSQLPRWNLRTMWEFASVLNFLHLFMPLLNISLEFSAEELETALLTPNDTLFHIHMPLLKAIPPITRMALTRDTWITVLCRKLRDWWHWVADGDLPVVASHGAEIEVYKSLDPGIRVVILKAICDIRVEQEDIRSYIDNSIKHGVRLSTFRKERIGGDSNGVSYWYEDDPIIGHRLYREIRKTEVIQMKKGKARGSQVVSSTSYQWEAVATNFDEFQDVSEKLFSSKNRTETSVGKKLKIDMLPEVEKAHKKKEKLLKKQHRQALLLDNYLVVDGLGPGRSLRDRKPVTYTFDDFDRSINEAIKVTKQKQPSPERMPRRESVAKPEALTNGKYGPLHATQDANFGIPSSESADSYDDKEDHENDNLDRSNRQRRRPNRYSEREFVEAVSYNEADFDSDDDIVGEAVYDEEYLEKRKHKRKSSSSSEGEEEYEWDEYNVEDDEEEEEDDDDDSLSMSEDSDKPRKVKRLPGRTRRETKLRSVGEIQSSLRRSKRATRNSINYRQYEASESETEFIKSDKSDTSADHSEPSENGEYMMESEDSGGSDDEVQEMKVDEPVAYPAVEENEQNQAPEKLSSPGQEEVESTGKRRFLDLNELAPTTGFDDGPNTIMKDEDNDY >Vigun06g220800.1.v1.2 pep primary_assembly:ASM411807v1:6:33048458:33052823:-1 gene:Vigun06g220800.v1.2 transcript:Vigun06g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWSKVVSPISKFGSQSHLNFLKNVTRQSYAGVAPAPTIEDKPQPPAVNPHKMFWSKPCSLALPPDSPLRVEEPNYQGIKRFMLKLMLFYSKQSRSIRGANVVYHRIISQVDKPPIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKQEGNEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDTALLPEAKPGDFSNAIWRNIFSEDGSSTEDDAASQSVQALARYARREESCMTLTDKEALYSGNFMFTSLKHENRRGKGPQ >Vigun06g220800.2.v1.2 pep primary_assembly:ASM411807v1:6:33048458:33052823:-1 gene:Vigun06g220800.v1.2 transcript:Vigun06g220800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWSKVVSPISKFGSQSHLNFLKNVTRQSYAGVAPAPTIEDKPQPPAVNPHKMFWSKPCSLALPPDSPLRVEEPNYQGIKRFMLKLMLFYSKQSRSIRGANVVYHRIISQVDKPPIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKQEGNEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDTALLPEAKPGDFSNAIWRNIFSEDGSSTEDDAASQSVQALARYARREESCMTLTDKEALYSGNFMFTSLKHENRRGKGPQ >Vigun06g220800.4.v1.2 pep primary_assembly:ASM411807v1:6:33048458:33052823:-1 gene:Vigun06g220800.v1.2 transcript:Vigun06g220800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWSKVVSPISKFGSQSHLNFLKNVTRQSYAGVAPAPTIEDKPQPPAVNPHKMFWSKPCSLALPPDSPLRVEEPNYQGIKRFMLKLMLFYSKQSRSIRGANVVYHRIISQVDKPPIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKQEGNEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDTALLPEAKPGDFSNAIWRNIFSEDGSSTEDDAASQSVQALARYARREESCMTLTDKEALYSGNFMFTSLKHENRRGKGPQ >Vigun03g270600.2.v1.2 pep primary_assembly:ASM411807v1:3:44457780:44460530:-1 gene:Vigun03g270600.v1.2 transcript:Vigun03g270600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACLGLNYHGRMEELPGAFGSSASLALRLGQTVFSTASLLFMCLDVDFYGYTAFCYLVTVMGLVIPWSITLLVVDAYSVFIKCLPLQRRLVMIVFLGDMFLYTPDFVVSVISCSMFNSQCHRSAA >Vigun03g270600.1.v1.2 pep primary_assembly:ASM411807v1:3:44457780:44460530:-1 gene:Vigun03g270600.v1.2 transcript:Vigun03g270600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACLGLNYHGRMEELPGAFGSSASLALRLGQTVFSTASLLFMCLDVDFYGYTAFCYLVTVMGLVIPWSITLLVVDAYSVFIKCLPLQRRLVMIVFLGDMILSYLSLAAACSTASVTDLLLDADRSYCPAKLCGRYQLSAAMAFLSWFLSSASCLFNFWLFPSL >Vigun05g135580.1.v1.2 pep primary_assembly:ASM411807v1:5:16199406:16201648:1 gene:Vigun05g135580.v1.2 transcript:Vigun05g135580.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRISSLPDEVLGYILSFLSSKLSVSTSIFSKSDAAFSGTVQHLDLHLDFSICIDMSYDLFYCKTLRVLKLHSVTLWVFSSADLPLLKVLHLCSIKIFDGNHVHQILSACLNVEDLKIEDVYIPVFGGYEDINQFKRLPNLLRAVIDKNVVPLEVVGNVQFLSLNIKAYEKINEPIPTFHHLTQLEVRSNFYNHLNPTIHWHDVFEVVKHCLKLRNLSIDVGSFQSPPSIDDCAFLRCVPRSISMNLKTCILNKYTGAHWELEFAKYIMENAKFLKDMVICSDTNKEVNKLDTIKELSLCSKLSPACNLSFTTFEDVYLFMFFNF >Vigun11g069600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20418632:20420201:1 gene:Vigun11g069600.v1.2 transcript:Vigun11g069600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPYGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSHGIYFTQDWISLPGVLPVASRGIHVWHMPALTEIFGDDFVLQFGGGTLGHPWVNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun07g096650.1.v1.2 pep primary_assembly:ASM411807v1:7:15937644:15937978:1 gene:Vigun07g096650.v1.2 transcript:Vigun07g096650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTSKPILDTNLLMTTNHKNTTFFPTPHGQITTSKFINTQDPSQATNQGTLDYHHFSFYYHQ >Vigun08g170700.1.v1.2 pep primary_assembly:ASM411807v1:8:34124293:34128192:-1 gene:Vigun08g170700.v1.2 transcript:Vigun08g170700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIRTPFFVSFPLLLIFFNLAPSYALYGASSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPIWEKAATVLKGVVTVAALDADAHSSLAQEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKERLSGKATGGSNEKTETSSSVELNSGNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKASNNLKGKVKLGHVDCDAEKSLMSRFKVQGFPTILVFGADKDSPIPYEGARTAAAIESFALEQLETNVAPPEVTEIHSPDVLEEKCGTAAICFVAFLPDILDSKAEGRNRYIQQLLSVAEKFKRSPYSYVWVAAGKQLDLEKQVGVGGYGYPALVALNIKKAVYAPLKSAFELDQIIQFVKDAGRGGKGNLPLQSTPTIVKTEPWDGKDGEIIEEDEFSLEELMGEDASSKDEL >Vigun04g025100.1.v1.2 pep primary_assembly:ASM411807v1:4:1935399:1946698:-1 gene:Vigun04g025100.v1.2 transcript:Vigun04g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPLVPPLSSLINTLFFFSLLFPTYASSDAEEYTACEPFICGKINNISYPFWGKEQPGYCGHPKFKLDCQQGNVTIDIMSQTFQVIDMNQTSKVLKIARLDLLADPCTNDYVNVKLDPDFFKYTSNDDEYTLLYNCGSLGSLGYTSSVNIGGAIAFNCPVDGGLPAFFVPSTEVVNFNSLGLGCKNSINISVLREAVKVDSVVGNVLASGFEVGWSGVNENQCDGCTRSGGRCGYNASMSGFKCLCPNHQSDAEFCKQIPARSPESTPRVTPAKSQLPPYSPESPESPHAPNKLNWHRKIIIGVCSGVVGLILGICVYICLRRRKNSYVMSYIQSRSLSSDPSSKDTEKGSQSFTQSIIPGVHLFSYEELEEATNYFDPSKELGEGGFGTVYFGKLRDGRSVAVKRLYENNYRRVAQFMNEIKILTRIDHPNLVKLYGCTSRHSRELLLVYEYIPNGTVADHLHGQRSKPGTLPWHIRMNIAVETASALKFLHLRDIIHRDVKTNNILLDSNFRVKVADFGLSRLFPHHVTHVSTAPQGTPGYVDPEYHECYQLTNKSDVYSFGVVLVELISSLPAVDITRHRHEINLANMAINKIHNQTLQELVDPNIGFESDFKARKMISAVAELAFQCLQSSKEMRPSMEEVAETLKDIQSDGKSKSQPEVMDISSTSDVVVLLKDDPPPPSPDSNAMSKTTTPNTSG >Vigun11g039066.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:5462902:5463585:-1 gene:Vigun11g039066.v1.2 transcript:Vigun11g039066.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDVILRDLLFRRDVVAAAFLCVEGSISVADCRWFMCDNSFVNGARMVTQRCYHSVFVHLGFFILEKKMGTWHNLVEQLVSVRNVRYLKSYVNFNVPDVGVRANAKSLE >VigunL021902.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000322.1:45910:47751:-1 gene:VigunL021902.v1.2 transcript:VigunL021902.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSAAFDRRRFLQSLAVLGGGATLASLLPSWARAAGDPGRAPAALSGEDIKLTIGHTPITVDGKAGHAVTINGTVPGPLIRLKEGQHVRLSVTNTLDEDTSIHWHGLLVPFQMDGVPGVSFPGVKPGETFTYEFQVRQAGTYWYHSHSGLQEQMGHYGPIIIDPVGPDPVAFDREHVVVLSDFSRLHPHQIFKKLKQQSGAFNYQRQTLAGLLAGKDQTLAERLDWAKMLMDPTDISDVTGAAYTFLVNGHGPADNWTALFAPGERVRLRFINAAAQSVFNVRIPGLRMTVVAADGQHVRPVEVDEFQIGNAETYDVIVQPAEDKAFTIVSESVDRSGLGRATLAPRLGMSAEVPPPRERPLTTMRDMGMDMEGMDMGGMDHGSTDMSGMDHAAMGAKAATPAPGMAPPRQRGSDVMGKMDKGGMDMSMRNPDNAPQVRLGPGVQSIAPMPMDRTGEPGQGLESVGHRVLVYRDLVALEPNPDPRAPERSLEIHLTGNMERFMWGFDGRKFSDRPPPYAFRHGERVRVTLVNDTMMAHPIHLHGHFFELAFGPAGHMPRKHTVIVLPGGRVSFDFTAETGDWAFHCHMLYHMHAGMFQVFSVRDTPAEGAR >Vigun08g180400.1.v1.2 pep primary_assembly:ASM411807v1:8:35014211:35015913:-1 gene:Vigun08g180400.v1.2 transcript:Vigun08g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSVVVIHDASREINVRILEWSLRSLSLLPGDVLTLIAVMHQVVTPMGYKSSVDCRLMIGANQKMVEAQVARKKEQYMNNEDIARIFQQYKSEKVGFKIEMALGSSLKAVALKSALKLKATWLILDRKMKNDEEYFLKKLSCGILRVRRFNKITRLRGPLHLPHESRPSSSTNETNTESIPLPDISAEHVYLFSTETSPHSTVTDYSMNQKQPQGQKSPHDEVWYSTPDQSTERKSSEQRVNQTHEEQKASRNHGNREKDLKPELKLKEENCDITCGGSNRERKQKEERSSSLGGAKNFFLGKAEEYTVCEQYFSLSNFHVRN >Vigun03g395600.2.v1.2 pep primary_assembly:ASM411807v1:3:60191812:60198772:-1 gene:Vigun03g395600.v1.2 transcript:Vigun03g395600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRRRKAPPNPVRNEEEKEKEKKVKPRSIKGEKIRKWSCMDGCCWFIGLICSIWWFLLLLYNAMPASFPQYVTEAITGPLPDPPGVKLSKEGLTAKHPVVFVPGIVTGGLELWEGRQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDRSLSRIKSNIELMVATNGGTKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVAGLFSIEARDIAVARTFAPGFLEKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGNTIWGSLDWSPDVSHDCSVKKRKNNDTRNAFQNDKESLGFMKKTSYGRLISFGKDIAELHSSKLERVLPRVGTLQTHLTVMCGQNTMIWVLKESKLLQITKFTQLIQFWIFFILSPPR >Vigun03g395600.1.v1.2 pep primary_assembly:ASM411807v1:3:60191812:60198772:-1 gene:Vigun03g395600.v1.2 transcript:Vigun03g395600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRRRKAPPNPVRNEEEKEKEKKVKPRSIKGEKIRKWSCMDGCCWFIGLICSIWWFLLLLYNAMPASFPQYVTEAITGPLPDPPGVKLSKEGLTAKHPVVFVPGIVTGGLELWEGRQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDRSLSRIKSNIELMVATNGGTKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVAGLFSIEARDIAVARTFAPGFLEKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGNTIWGSLDWSPDVSHDCSVKKRKNNDTRNAFQNDKESLGFMKKTSYGRLISFGKDIAELHSSKLERLDFRGAPKGRNLANTSNCDVWTEYHDMGVEGIKAVTDYKVYTADSVLDLLHFVAPKMMKRGDAHFSHGIADDLDDEKYKHYKYWSNPLETTLPNAPDMEIYSMYGVGIPTERAYVYKLTPQSECHIPFQIDTSANGGNEYSCLRDGVYSSDGDETVPVLSAGFMCAKGWRGRTRFNPSGIRTFIREYDHAPPANLLEGRGTQSGAHVDILGNFALLEDIIRVAAGASGEDLGGDRVHSEIFKWSEKIILDL >Vigun03g395600.4.v1.2 pep primary_assembly:ASM411807v1:3:60193709:60198772:-1 gene:Vigun03g395600.v1.2 transcript:Vigun03g395600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRRRKAPPNPVRNEEEKEKEKKVKPRSIKGEKIRKWSCMDGCCWFIGLICSIWWFLLLLYNAMPASFPQYVTEAITGPLPDPPGVKLSKEGLTAKHPVVFVPGIVTGGLELWEGRQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDRSLSRIKSNIELMVATNGGTKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVAGLFSIEARDIAVARTFAPGFLEKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGNTIWGSLDWSPDVSHDCSVKKRKNNDTRNAFQNDKESLGFMKKTSYGRLISFGKDIAELHSSKLERLDFRGAPKGRNLANTSNCDVWTEYHDMGVEGIKAVTDYKVYTADSVLDLLHFVAPKMMKRGDAHFSHGIADDLDDEKYKHYKYWSNPLETTLPNALDIFLIMSCTLLIFFPAACDLCKIILPLHSSSALCN >Vigun03g395600.3.v1.2 pep primary_assembly:ASM411807v1:3:60193709:60198772:-1 gene:Vigun03g395600.v1.2 transcript:Vigun03g395600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLRRRKAPPNPVRNEEEKEKEKKVKPRSIKGEKIRKWSCMDGCCWFIGLICSIWWFLLLLYNAMPASFPQYVTEAITGPLPDPPGVKLSKEGLTAKHPVVFVPGIVTGGLELWEGRQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDRPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDRSLSRIKSNIELMVATNGGTKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKSVAGLFSIEARDIAVARTFAPGFLEKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGNTIWGSLDWSPDVSHDCSVKKRKNNDTRNAFQNDKESLGFMKKTSYGRLISFGKDIAELHSSKLERVLPRVGTLQTHLTVMCGQNTMIWVLKESKLLQITKFTQLIQFWIFFILSPPR >Vigun03g050700.1.v1.2 pep primary_assembly:ASM411807v1:3:4088888:4093272:1 gene:Vigun03g050700.v1.2 transcript:Vigun03g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCALRKPAGTVDSRRHKTSTAGGGNNAVKIQEKQETLHVGELSGVILAPERRRRRLDSFVTSHQGWPPWLMVVAGDALGDWTPRRANTFEKLAKIGQGTYSNVYKARDLVTGKIVALKKVRFDNLEAESVKFMAREILVLRRLDHPNVVKLEGLVTSRISSSLYLVFEYMEHDLAGLAAGVGVKFSEPQIKCYMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPKQKHPMTSRVVTLWYRPPELLLGATSYGIGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKYRLPNATLFKPQQPYKRNILETFKDFPSSSLPLIETLLAIDPNDRGTASSALNSEFFTTEPYTCEPSSLPKYPPTKELDIKLRDEEARRQKAVSGKTNAVDGAKRVRVRERSLAIPAPEANAEIQTNLDRWRVVTHANAKSKSEKFPPPHQDGAVGYPLDASNKGAVSFGATETSTASTMFHSKSAGSVKTHDPAIDKVRRTNTAHTQMTSPWKIFRSFKLSTIGHSFDSLFRSN >Vigun11g222600.1.v1.2 pep primary_assembly:ASM411807v1:11:41407750:41409486:1 gene:Vigun11g222600.v1.2 transcript:Vigun11g222600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMDVIREVDLNKMEPWDLQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEEANDPQGSANEDGWVVCRVFKKKNLFKIGNEGGSTHNPDQQMNNSSSSNGRSFIHRENHYLLHQNHRNSPGLELDKPELALHYPHLQNPQYSSLFQSQTLLQTQKPMVFDYSYASSLPSETPVMAKQLMGNPRECESGSEGLRYQVSESGIEVGSCEPNQEMGGGRGEGINEWGVLDRLVTSHLGNEESNKGLRFEEPNPQSVHQINQLSLRGEMDFWAYGGKQ >Vigun05g042900.4.v1.2 pep primary_assembly:ASM411807v1:5:3541086:3545060:1 gene:Vigun05g042900.v1.2 transcript:Vigun05g042900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAYSSQFSCDNEQAESIHSESENFGSLADALSRENDQNYSDGCQGGTKSSEKRCSETLRDSENFGSEEDETTKLVFKFEYQKWNCNYDEEFRGGYDESSDFVKGDDAVSASTTNKYEFMSGKSFSHLLDEPQAANFTVKECFVHSNEAFKLEKQVRYDFGLLSGRNFMPENCEEGGVREENLDNFTEKKLKAEESIEHPDEPIVRNFVSEDDFICSSPNSDSINSSLGEGFLSDTDFGNTLEFETVESNADENAVLTEEDLDLGDEKRYENLDVGYEPDDFTEEDEDIMDELGKLEEECRLEKSSGKSFEDKNINSKLQQSMKPHSQTLTTIDLEDSNRFDTLWEHQDLIEQLKMELKKVRATGLPTILEDSESPRIMEDLKPWKIDEKLQHGSTTNDLPKFYRSYRERMRKFDILNYQKMYAIGVLQSKDPLQSFSTRKNPSPAFTNILPFRLCRRKQIEADPMKNFVRELYSDLEMVYVGQLCLSWEFLQWEYEKALKLWESDQYGLLRFNEVAGEFQQFQVLLQRFIENEPFLHGPRVENYARNRCAMRNLLQVPVIREDSAKDKRKFGKREEEKDAISSDMLVEILEESIRTIWRFIRADKDASSLTLKGVKESQVQLQDPSNSELLVEIRTDLQKKEKRLREVLRSGSCILKKFQKHEEDEADQVLYFFSQVDMKLVVRVLNMSRITTDQLAWCRSKLNKITFINRRIRVEPSFLLFPT >Vigun05g042900.3.v1.2 pep primary_assembly:ASM411807v1:5:3541086:3545060:1 gene:Vigun05g042900.v1.2 transcript:Vigun05g042900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAEYFRKFLSRLLNTLFWVFTKVFMRYYCSDSSRAMKPAYSSQFSCDNEQAESIHSESENFGSLADALSRENDQNYSDGCQGGTKSSEKRCSETLRDSENFGSEEDETTKLVFKFEYQKWNCNYDEEFRGGYDESSDFVKGDDAVSASTTNKYEFMSGKSFSHLLDEPQAANFTVKECFVHSNEAFKLEKQVRYDFGLLSGRNFMPENCEEGGVREENLDNFTEKKLKAEESIEHPDEPIVRNFVSEDDFICSSPNSDSINSSLGEGFLSDTDFGNTLEFETVESNADENAVLTEEDLDLGDEKRYENLDVGYEPDDFTEEDEDIMDELGKLEEECRLEKSSGKSFEDKNINSKLQQSMKPHSQTLTTIDLEDSNRFDTLWEHQDLIEQLKMELKKVRATGLPTILEDSESPRIMEDLKPWKIDEKLQHGSTTNDLPKFYRSYRERMRKFDILNYQKMYAIGVLQSKDPLQSFSTRKNPSPAFTNILPFRLCRRKQIEADPMKNFVRELYSDLEMVYVGQLCLSWEFLQWEYEKALKLWESDQYGLLRFNEVAGEFQQFQVLLQRFIENEPFLHGPRVENYARNRCAMRNLLQVPVIREDSAKDKRKFGKREEEKDAISSDMLVEILEESIRTIWRFIRADKDASSLTLKGVKESQVQLQDPSNSELLVEIRTDLQKKEKRLREVLRSGSCILKKFQKHEEDEADQVLYFFSQVDMKLVVRVLNMSRITTDQLAWCRSKLNKITFINRRIRVEPSFLLFPT >Vigun05g042900.1.v1.2 pep primary_assembly:ASM411807v1:5:3541065:3545069:1 gene:Vigun05g042900.v1.2 transcript:Vigun05g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAEYFRKFLSRLLNTLFWVFTKVFMRYYCSDSSRAMKPAYSSQFSCDNEQAESIHSESENFGSLADALSRENDQNYSDGCQGGTKSSEKRCSETLRDSENFGSEEDETTKLVFKFEYQKWNCNYDEEFRGGYDESSDFVKGDDAVSASTTNKYEFMSGKSFSHLLDEPQAANFTVKECFVHSNEAFKLEKQVRYDFGLLSGRNFMPENCEEGGVREENLDNFTEKKLKAEESIEHPDEPIVRNFVSEDDFICSSPNSDSINSSLGEGFLSDTDFGNTLEFETVESNADENAVLTEEDLDLGDEKRYENLDVGYEPDDFTEEDEDIMDELGKLEEECRLEKSSGKSFEDKNINSKLQQSMKPHSQTLTTIDLEDSNRFDTLWEHQDLIEQLKMELKKVRATGLPTILEDSESPRIMEDLKPWKIDEKLQHGSTTNDLPKFYRSYRERMRKFDILNYQKMYAIGVLQSKDPLQSFSTRKNPSPAFTNILPFRLCRRKQIEADPMKNFVRELYSDLEMVYVGQLCLSWEFLQWEYEKALKLWESDQYGLLRFNEVAGEFQQFQVLLQRFIENEPFLHGPRVENYARNRCAMRNLLQVPVIREDSAKDKRKFGKREEEKDAISSDMLVEILEESIRTIWRFIRADKDASSLTLKGVKESQVQLQDPSNSELLVEIRTDLQKKEKRLREVLRSGSCILKKFQKHEEDEADQVLYFFSQVDMKLVVRVLNMSRITTDQLAWCRSKLNKITFINRRIRVEPSFLLFPT >Vigun05g042900.2.v1.2 pep primary_assembly:ASM411807v1:5:3541086:3545060:1 gene:Vigun05g042900.v1.2 transcript:Vigun05g042900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAEYFRKFLSRLLNTLFWVFTKVFMRYYCSDSSRAMKPAYSSQFSCDNEQAESIHSESENFGSLADALSRENDQNYSDGCQGGTKSSEKRCSETLRDSENFGSEEDETTKLVFKFEYQKWNCNYDEEFRGGYDESSDFVKGDDAVSASTTNKYEFMSGKSFSHLLDEPQAANFTVKECFVHSNEAFKLEKQVRYDFGLLSGRNFMPENCEEGGVREENLDNFTEKKLKAEESIEHPDEPIVRNFVSEDDFICSSPNSDSINSSLGEGFLSDTDFGNTLEFETVESNADENAVLTEEDLDLGDEKRYENLDVGYEPDDFTEEDEDIMDELGKLEEECRLEKSSGKSFEDKNINSKLQQSMKPHSQTLTTIDLEDSNRFDTLWEHQDLIEQLKMELKKVRATGLPTILEDSESPRIMEDLKPWKIDEKLQHGSTTNDLPKFYRSYRERMRKFDILNYQKMYAIGVLQSKDPLQSFSTRKNPSPAFTNILPFRLCRRKQIEADPMKNFVRELYSDLEMVYVGQLCLSWEFLQWEYEKALKLWESDQYGLLRFNEVAGEFQQFQVLLQRFIENEPFLHGPRVENYARNRCAMRNLLQVPVIREDSAKDKRKFGKREEEKDAISSDMLVEILEESIRTIWRFIRADKDASSLTLKGVKESQVQLQDPSNSELLVEIRTDLQKKEKRLREVLRSGSCILKKFQKHEEDEADQVLYFFSQVDMKLVVRVLNMSRITTDQLAWCRSKLNKITFINRRIRVEPSFLLFPT >Vigun05g042900.5.v1.2 pep primary_assembly:ASM411807v1:5:3541086:3545070:1 gene:Vigun05g042900.v1.2 transcript:Vigun05g042900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAEYFRKFLSRLLNTLFWVFTKVFMRYYCSDSSRAMKPAYSSQFSCDNEQAESIHSESENFGSLADALSRENDQNYSDGCQGGTKSSEKRCSETLRDSENFGSEEDETTKLVFKFEYQKWNCNYDEEFRGGYDESSDFVKGDDAVSASTTNKYEFMSGKSFSHLLDEPQAANFTVKECFVHSNEAFKLEKQVRYDFGLLSGRNFMPENCEEGGVREENLDNFTEKKLKAEESIEHPDEPIVRNFVSEDDFICSSPNSDSINSSLGEGFLSDTDFGNTLEFETVESNADENAVLTEEDLDLGDEKRYENLDVGYEPDDFTEEDEDIMDELGKLEEECRLEKSSGKSFEDKNINSKLQQSMKPHSQTLTTIDLEDSNRFDTLWEHQDLIEQLKMELKKVRATGLPTILEDSESPRIMEDLKPWKIDEKLQHGSTTNDLPKFYRSYRERMRKFDILNYQKMYAIGQLCLSWEFLQWEYEKALKLWESDQYGLLRFNEVAGEFQQFQVLLQRFIENEPFLHGPRVENYARNRCAMRNLLQVPVIREDSAKDKRKFGKREEEKDAISSDMLVEILEESIRTIWRFIRADKDASSLTLKGVKESQVQLQDPSNSELLVEIRTDLQKKEKRLREVLRSGSCILKKFQKHEEDEADQVLYFFSQVDMKLVVRVLNMSRITTDQLAWCRSKLNKITFINRRIRVEPSFLLFPT >Vigun04g165600.1.v1.2 pep primary_assembly:ASM411807v1:4:39017770:39020714:1 gene:Vigun04g165600.v1.2 transcript:Vigun04g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein S11 (Nuclear encoded) [Source: Projected from Oryza sativa (Os03g0385900)] MYRFFSCIRHGRGSSLVSLLAPKPALPFDAAVRPRHLPLNDGHVRGFSSSNFDWRIQTSQNVNEEGNARPNFMQNLENRNPRNWNNVNQNVNAEGNARPNFMQNLENRNPRNWNNVNQNVNANANAYPNFMQNIGNRNPRMWNNLGQNVKANEDAPPDFMQEKENINLESENDAGTGLNSRPMDFVRGILDGDNFTRGSSFEYQYEQDADHVHIKMLRNNTFVTVTDSKGNVKLSGSAGSLKDLKSGQKLSRYAAEATAEVVGRRSRGLGLKSVVMKVNGFTHFRRKRQAILSWKEGFTADSRGGRNPIVFIEDTTRKPHNGCRLPKKRRI >Vigun09g178800.1.v1.2 pep primary_assembly:ASM411807v1:9:35117148:35119961:1 gene:Vigun09g178800.v1.2 transcript:Vigun09g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKISHLIISGTAQGMELEQQQSTTRSSIMEWKHMHPLHQIAETPTHKLLLKQWLKEEELINTRIALKETQIDSTRKEITTLYIFFFLFHSTTLMLLFNASQSSPSSSCHRSWFPSLCSLLFSLGIIWALRYKSDVEAHMEKMLLREKEDKGLLGKCVEELKKKGLEFDLLKEVDALRRAKSLRVENKDVRKWSSRDFVSLFFFSMACFSLALTRVILCS >Vigun04g089700.1.v1.2 pep primary_assembly:ASM411807v1:4:16055155:16061956:-1 gene:Vigun04g089700.v1.2 transcript:Vigun04g089700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEEDRRREAAIASTPCLRPNFKPKGVTQHQLEKFRELHKKRLQVKSKSKFKIKSKDGANKKSHGDDLCSQDSVAQGSKVDHKESGYWNDCEEIDSRNEDEKDDIPVISAPNKRKLHWGLDTKNRWERKSNM >Vigun09g119900.1.v1.2 pep primary_assembly:ASM411807v1:9:26463840:26465577:1 gene:Vigun09g119900.v1.2 transcript:Vigun09g119900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGELELPPGFRFHPTDDELVNHYLCRKCAGQPIAVPIIREVDLYKFDPWQLPEIGFYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKALGIKKALVFYSGKAPKGEKTNWIMHEYRLANVDRSASKKNNNLRLDDWVLCRIYNKKGKIEKYNTGAPKSETGVVYNFEHETKPVIEKLRNEQLSTESSDSMQRLQTESSGSGQVVSPDVRWEREVQSHQKWNDIGLQLENAFDFEYNYFDNNNNLSVDDPFGSVEYQMQDVLMEALLM >Vigun01g231100.1.v1.2 pep primary_assembly:ASM411807v1:1:40343718:40348150:-1 gene:Vigun01g231100.v1.2 transcript:Vigun01g231100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRHSRQSKNPFHAYAFETLLSGSWQAVELIKIEAGITSLQSIDNHHRTIEEGPFSELRIRSRKATLSDCSEFLRGGIDICVLSASQQSGDTNSDGFSDNKVWVDAKINSIQRKPHSSGCSCLYYVNLYVNQGSLGRKIRTLSKEVKVIGINEIAILQKLDRSVCEDQHYRWELSEDCSNLSHTKLINGKFLSDLSWLVVISSLKKVSFCVRSVQNKIVYQILDRDVGSSSLNMKPRISVFNFEVSHDGTLKPIVTQVDASDTDANGHADDSRDDVQGLRRSGRRNIPPERYFSCDNVSEIKVGDVRTWPYKTKKGKEDGDDMCSSREIKVYCRRNRKNEIKSGEDGQNEHQTQLASVSLLEEGDSLVPEHHALNDNVTRGNANYSNTERKRKRPLDLKGDEGCDPGCGDLNSNLNFNRRNDRSLNASAYEEMIDSYFKDIYRTPTKEEPTVMEVWNEINRSEKKKEAETPQTEEDEQISEIDMLWREMEMAMASYHIEEDSNAANFVENSEEPNHTCPHDYRLNEQIGICCFKCGSVKTEIKYVTPPFLEKHYRARHQEERQCSGEEGDKDDVFHQLSTRDELTSMEHDNVWKLIPELREKMHVHQKKAFEFLWQNIGGSTDPTLMDAESERRGGCIISHSPGSGKTFLIIAFLISYSKLFPGMKPLVLAPTTTLHTWHKEFIKWDISMPVYLIHGSRRPQRDDMQNSIALPPFLHPNRDVKHILNCLDKIKKWQANPGVLIMSYASFLALMREGSGFTPRKYLAKALRESPGILILDEGHNPRSTKSKLRKVLMKVQTDIRILLSGTLFQNNFCEYFNTLCLARPKFIYEVLKELDPNDRRKRKRAEKERHLLESQARKLFLDNIAGKVDSSDEHERMQGLTMLKKITSGFVDIYESTSFDSVPGLQMYTLLMNTGGMQSEILEKLHRKMADCAGFPLELELLIILGSIHPWLVKTASYAEKFIPLEQLQQLEKCRYDLKVGSKVKFVMSLVLRVMEKEKVLIFCHNHAPVKLLVELFEVVLKWQKGREILLLNGEQELFERGKVIDKFEEAKGPSKVLLASITACAEGISLTAASRVIFLDSEWNPSKTKQAIARAFRPGQKKMVYVYQLLATGTLEEDKYKRTTWKEWLSSMIFSEAFHENTSHSQVEMIEDDILREIVEEDKSKSIHMILKNHKASTNSTELNRSI >Vigun09g249500.1.v1.2 pep primary_assembly:ASM411807v1:9:41664753:41668253:1 gene:Vigun09g249500.v1.2 transcript:Vigun09g249500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPRQYENVAINDNDIHSIVLSYLIHNCYKESVESFITSTGTKQPADYLEDMDKRKRIFHFALEGNALKAIELTEQLAQDILEKNKDLQFDLLSLHFVELVCSRKCTEALEFAQTKLGPFGKEPKYMEKLEDFMALLAYKEPEKSPMFHLLSLEYRQQVADSLNRAILAHLNLPRYTAMERLIQQTTVVRQCLSQEASKDGPPPFSLRDFLKS >Vigun10g088500.1.v1.2 pep primary_assembly:ASM411807v1:10:25454615:25456681:-1 gene:Vigun10g088500.v1.2 transcript:Vigun10g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVTTNVSLDGVDTSSILSEATATVANLIGKPEAYVMIVLKGSVPMSFGGTEQPTAYGELISIGGINPTTNKQLSAAISSILETKLSVPKSRFYLKFYDSEGSNLGWNGTTF >Vigun03g140700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14027146:14029024:1 gene:Vigun03g140700.v1.2 transcript:Vigun03g140700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYGLAADNIIDAHIIDVNGNLLDRKAMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVSRTLEEYETDIIQKWQLVANKLDKRIFLRMDLARANSSEHGKQTIQANFVSVFLGGVEEFIPLMRKSFPELGLDKKDCTETSWIGSVVFMNAGFSGSRDLEATEVLVNRTRTRVKNFKGKSDYVSKPIPLHGLRGLWRLLYDDGIEYGQLQFAPYGGIMDEISEPQTPFSHRSGYIFHIHYIATWLEEGDETAQRHMNWTRRVYKYMEPYVSKFPRAAYLNYRDLDIGVNNNGYTSYDQASIWGLKYFRNNFRRLVEVKTKVDPHNFFRNEQSIPTPSVHVP >Vigun09g118400.2.v1.2 pep primary_assembly:ASM411807v1:9:26108108:26116709:-1 gene:Vigun09g118400.v1.2 transcript:Vigun09g118400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHSIDGVSSQTDSKFYDDDGHVKRTGTVWTTSSHIITAVVGSGVLSLAWAMAQMGWVVGPAVMIFFSVVTLYTSALLADCYRSGDPVSGKRNYTFMDAVQTILGRRHDLFCGIVQYANLYGTAVGYTIAASISMMAIKRSNCFHYTDRKDKCLVSSNPFMIGFGIIQIVFSQIPDFHKTWWLSIVAAIMSFAYSIIGLALGIAKVAETGTFKGSLTGIRIGAVSETDKVWGVLQGLGDIAFAYSYSQILIEIQDTIKSPPSEAKTMKKAAKISIGVTTTFYMLCGFMGYAAFGDDAPGNLLTGFGFYDPYWLVDIANAAIVIHLVGAYQVYAQPLFAFVEKWASKRWPKVDKEYKVPIPGFAHYNLSPFRLVWRTVFVIITTIVAMLLPFFNDILGLLGALGFWPLSVFFPVEMSIKQKKIPKWSQRWIGMQILSFVCLVVSVAAAIGSIASIVVDLKKYKPFHVDY >Vigun09g118400.1.v1.2 pep primary_assembly:ASM411807v1:9:26108108:26114768:-1 gene:Vigun09g118400.v1.2 transcript:Vigun09g118400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYASRNNLSHHHQGFGMEAHSIDGVSSQTDSKFYDDDGHVKRTGTVWTTSSHIITAVVGSGVLSLAWAMAQMGWVVGPAVMIFFSVVTLYTSALLADCYRSGDPVSGKRNYTFMDAVQTILGRRHDLFCGIVQYANLYGTAVGYTIAASISMMAIKRSNCFHYTDRKDKCLVSSNPFMIGFGIIQIVFSQIPDFHKTWWLSIVAAIMSFAYSIIGLALGIAKVAETGTFKGSLTGIRIGAVSETDKVWGVLQGLGDIAFAYSYSQILIEIQDTIKSPPSEAKTMKKAAKISIGVTTTFYMLCGFMGYAAFGDDAPGNLLTGFGFYDPYWLVDIANAAIVIHLVGAYQVYAQPLFAFVEKWASKRWPKVDKEYKVPIPGFAHYNLSPFRLVWRTVFVIITTIVAMLLPFFNDILGLLGALGFWPLSVFFPVEMSIKQKKIPKWSQRWIGMQILSFVCLVVSVAAAIGSIASIVVDLKKYKPFHVDY >Vigun02g071500.1.v1.2 pep primary_assembly:ASM411807v1:2:22291176:22296060:1 gene:Vigun02g071500.v1.2 transcript:Vigun02g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGHRSKSEKHDGGEKQLRRDPYEVLGVSRNSTDQEIKTAYRKMALKYHPDKNANDPKAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVESDNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSASVLEEALNGLVTIRPLPLGHYVSKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTMNSIAAAKDPDTSFFRKLDGFQPCELNELKAGTHVFAVYGDNFFKSANYTIEALCAAPFSEEKENLRSIEAQILSKRAEISKFEAEYREVLAQFSEMTSRYAHEIQSIDELLKHRNEIHASYTITPFKRSTSKSRSKSSSKENKEDGQTREKRSTRDRPKKKKWYNLHLRVDKRKAC >Vigun02g071500.2.v1.2 pep primary_assembly:ASM411807v1:2:22292495:22296060:1 gene:Vigun02g071500.v1.2 transcript:Vigun02g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEVTFSYNILSDPDKRRQYDSAGFEAVESDNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSASVLEEALNGLVTIRPLPLGHYVSKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEDNGGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTMNSIAAAKDPDTSFFRKLDGFQPCELNELKAGTHVFAVYGDNFFKSANYTIEALCAAPFSEEKENLRSIEAQILSKRAEISKFEAEYREVLAQFSEMTSRYAHEIQSIDELLKHRNEIHASYTITPFKRSTSKSRSKSSSKENKEDGQTREKRSTRDRPKKKKWYNLHLRVDKRKAC >Vigun11g091600.1.v1.2 pep primary_assembly:ASM411807v1:11:27252918:27254032:-1 gene:Vigun11g091600.v1.2 transcript:Vigun11g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNQFYHISLALLFCMGFLAFQVSCRTLQDATMYERHEEWMARYGKGYKDPQEREKRFRIFKENVNYIEAFNSAANKPYKLAINQFADLTNEEFIAPRNRFKGHMCSSIIRTTTFKYENVTAVPSTVDWRQKGAVTPIKNQGQCGCCWAFSAVAATEGIHKLSTGKLISLSEQELVDCDINGEDQGCGGGLMDDAFKFIIQNHGLNTEANYPYQGVDGKCNANAAGSHAATITGYEDVPANNEKALQKAVANQPVSVAIDASGSDFQFYESGVFTGSCGTDLDHGVTAVGYGVSDDGTEYWLVKNSWGTEWGEEGYIRMQRGVAAQEGLCGIAMMASYPTA >Vigun09g094650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:13855310:13855840:1 gene:Vigun09g094650.v1.2 transcript:Vigun09g094650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVDGAAGTRATTSTSFSRTTEPVDYGVFRGSDDQPTSLPPPPFHTGAVAAVVMIELSPAATRPSLEQPPLPSTLHLAAPLELAAAHLRTTGVVANAMLLIETFSLVTVARKPLEPVAKMLLCSSSDPPAASSSRVKGAGVWCAVKVRDELNLCGCFVKVFKMRVMIEGMCVWS >Vigun03g123500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:11624091:11626533:1 gene:Vigun03g123500.v1.2 transcript:Vigun03g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMNSPAMAASQYCKNIYVRVSFHVTLTLLLFVIHHAASLEFNYQQLGDHGDFFNTSGDVKPQEGVLKLTNNETFSYGRVIYHKLLNLWDKNSGKVTDFTTRFSFRINAANQTLADGIAFFLAHPNLPESQRDGAGFGLASRDQLSTLNYPKDFPFVAVEFDTYVNGWDPNYPHVGIDVNLIASSDTAQWFTGLDERGYDADISFDSSSNKLSVTFSGYKDGVVVKGNLSSVVNLKDVLPEWVEFGFSAATGIYFNEYALSSWSFNSSLDTEPQKGGSKRRLVIGLSLGLGAAILIVIVGVTFLVRWMLRTTGMEDTSLFDHAMDHDFEKVSLPKRFSYEELATATNNFATENKIGQGGFGAVYMGFMRELNTYVAIKKVSRGSRQGVKEYMSEVKIFGQLRHKNLVQLFGWCHQHEDLLLIYEFMENGSLDSYLFKGKGLLTWKVRYNIARGLASALLYLHEEWEECVLHRDIKSSNVMLDSNFNTKLGDFGLARLMDHGTESKTTGLAGTIGYLPPEATTRGKASRESDVFSFGVVALEIACGRKAIESNMKEEEIYLVDWVWKLHGMGDVLKASDPSLYGHFDEKEMEILVKVGLWCTHTDYLLRPTMRQAVQVLSFEVAVPTLTPRVPFFANNTSFSSMDSITPAFANNQPLSSQSATTLELGSPGAAHLHTY >Vigun04g106500.1.v1.2 pep primary_assembly:ASM411807v1:4:25611250:25614639:1 gene:Vigun04g106500.v1.2 transcript:Vigun04g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSNTVIGFLNFFTLLASIPIIGAGLWMARSNTSCENFFQTPLLVIGFVVLVISLAGFVGACFHVAWALWVYLLIMVLLISALFGLTIFGFVVTEQGGGVEVPGRAYKEYHLQRYSPWFRNRIQDPQYWSTIRSCILGSKTCSKLALWTPLDYMQRDMSPIQSGCCKPPTSCSYNEESVTVTQDPDCYRWNNAPTLLCYDCESCMGGVLENMRRDWHNISVLNVVVLVFLIGIYSIACCAFRNARRAQTDYPHGHNRMTKVKPRWDYYCWRWWYNKKEELF >Vigun03g109300.1.v1.2 pep primary_assembly:ASM411807v1:3:9797855:9800109:1 gene:Vigun03g109300.v1.2 transcript:Vigun03g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKSVVELNLGLGKNNDNVVGGGHAHAGQSPRQRCPAPFLLKTYDLLEEGESEDITKIVSWNEEGTSFVVWSPAEFSEHTLPRYFKHNNFSSFIRQLNTYGFKKMSSKRWEFKHEKFQRGCRHMLGEITRKKCEPSVFPAYLKSSSEENATSSTEENNEQVLMEENKNLKKERLELQMQIDECKELEMKLLACLSQFMDTQQSKIRRLC >Vigun10g133500.1.v1.2 pep primary_assembly:ASM411807v1:10:34326257:34328456:-1 gene:Vigun10g133500.v1.2 transcript:Vigun10g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRIRLKTWQQAAVAMGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMKSCPEGRAVLLERPRVVSANVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVTMRAREVHDFWHTLFDLPTNLIGETALKVIEFEQMGLPMCLLSVVGGTARFSGKQRKLFYQHYFPWAIRAGMQSTDLMCVYYERHFHEDLEDVRRKLQIVPTPIVP >Vigun10g133500.3.v1.2 pep primary_assembly:ASM411807v1:10:34326257:34328453:-1 gene:Vigun10g133500.v1.2 transcript:Vigun10g133500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRIRLKTWQQAAVAMGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMKSCPEGRAVLLERPRVVSANVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVTMRAREVHDFWHTLFDLPTNLIGETALKVIEFEQMGLPMCLLSVVGGTARFSGKQRKLFYQHYFPWAIRAGMQSTDLMCVYYERHFHEDLEDVRRKLQIVPTPIVP >Vigun10g133500.4.v1.2 pep primary_assembly:ASM411807v1:10:34326257:34328453:-1 gene:Vigun10g133500.v1.2 transcript:Vigun10g133500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRIRLKTWQQAAVAMGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMKSCPEGRAVLLERPRVVSANVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVTMRAREVHDFWHTLFDLPTNLIGETALKVIEFEQMGLPMCLLSVVGGTARFSGKQRKLFYQHYFPWAIRAGMQSTDLMCVYYERHFHEDLEDVRRKLQIVPTPIVP >Vigun10g133500.2.v1.2 pep primary_assembly:ASM411807v1:10:34326257:34328453:-1 gene:Vigun10g133500.v1.2 transcript:Vigun10g133500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRIRLKTWQQAAVAMGSAVGALLDPRRADLIAALGETTGKPAFEKVLQRMKSCPEGRAVLLERPRVVSANVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVTMRAREVHDFWHTLFDLPTNLIGETALKVIEFEQMGLPMCLLSVVGGTARFSGKQRKLFYQHYFPWAIRAGMQSTDLMCVYYERHFHEDLEDVRRKLQIVPTPIVP >Vigun05g133866.1.v1.2 pep primary_assembly:ASM411807v1:5:15767130:15767906:1 gene:Vigun05g133866.v1.2 transcript:Vigun05g133866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGQCSSCNGGGNQNLSALFCGGSGSTDLGVSVMCYCGEKAALRTARTLKNKGKKFWGCPKYKSGSDQCGGCNYFKWFTDNEIEEKGWSSQKIEGMGGGKLKIEEMGCDGKLSIKNVEEMGCRGKKNAEKAAAVRSVVAEEMEKCMKSIENRLTVLTVVVGVLCVLNIIVVYVLVTKA >Vigun01g018600.2.v1.2 pep primary_assembly:ASM411807v1:1:1982657:1983120:-1 gene:Vigun01g018600.v1.2 transcript:Vigun01g018600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNKMDTTKRCSVALLAFSLAFLIIASDMSMKSEARGPVVQTPCDNDQDCARRPCASCGCKCIKNCCHCHSKPYFSDFWC >Vigun03g320800.2.v1.2 pep primary_assembly:ASM411807v1:3:51548005:51553489:1 gene:Vigun03g320800.v1.2 transcript:Vigun03g320800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDNRYSDPASFHARRSDIVRSIPPPSLGGRGDAVPYGAFRSNGFGSAPVAPIPPFVPPPGGFSVGRGSGRVGNGHLSDRKHDIGRSGGGRGRGGGRGGVHSFRGSRRGGRHDGGSSRDDLNNITLPKQSFKNLVPFEKNFYVECPAVRAMSEQEIMHYRASREITVQGHDVPKPVRMFHEANFPDYCLEVIANLGFAEPTPIQAQGWPMAMKGRDLIGIAETGSGKTLAYLLPALVHVNAQPRLAHGDGPIVLVLAPTRELAIQIQEEALKFGSRTSIRSTCIYGGAPKGPQIRELRRGVEIVIATPGRLIDMLEAQHTNLQRVTYLVLDEADRMLDMGFEPQIRKIVGQIRPDRQTLLWSATWPREVETLARQFLRNPYKVIIGSPNLKANQSINQVVEVVTDMEKYNRLIRLLKEVMDGSRILIFMETKKGCDQVTRQMRIDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRIIVFRSLGFFI >Vigun03g320800.1.v1.2 pep primary_assembly:ASM411807v1:3:51548005:51553489:1 gene:Vigun03g320800.v1.2 transcript:Vigun03g320800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDNRYSDPASFHARRSDIVRSIPPPSLGGRGDAVPYGAFRSNGFGSAPVAPIPPFVPPPGGFSVGRGSGRVGNGHLSDRKHDIGRSGGGRGRGGGRGGVHSFRGSRRGGRHDGGSSRDDLNNITLPKQSFKNLVPFEKNFYVECPAVRAMSEQEIMHYRASREITVQGHDVPKPVRMFHEANFPDYCLEVIANLGFAEPTPIQAQGWPMAMKGRDLIGIAETGSGKTLAYLLPALVHVNAQPRLAHGDGPIVLVLAPTRELAIQIQEEALKFGSRTSIRSTCIYGGAPKGPQIRELRRGVEIVIATPGRLIDMLEAQHTNLQRVTYLVLDEADRMLDMGFEPQIRKIVGQIRPDRQTLLWSATWPREVETLARQFLRNPYKVIIGSPNLKANQSINQVVEVVTDMEKYNRLIRLLKEVMDGSRILIFMETKKGCDQVTRQMRIDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGTAYTFFTHANAKFARDLIKILQDAGQDVSPALSAMVRSAGSGQFGSGGSFRTRGRGGYGNRGMTSGSNAIPLGSKRPWHYL >Vigun06g113800.1.v1.2 pep primary_assembly:ASM411807v1:6:24204154:24209061:-1 gene:Vigun06g113800.v1.2 transcript:Vigun06g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSTVKSLNVLPLASWRHSTRVCGGSGGTVTMEGQKRPSPKTRRKSYGTSRRSVLKKSFSQEQVTFTAPVSDDPVVAVIGGGISGLICALFLEARGVRSTVFDTGIHGLGGRLGTRVVDPHPLKFDHAAQFFTVNDSRFAEIVNGWMEKGLVREWHGTVGELHNGGGFVPFVPSPPRYVATNGMRFLADSLLSQTRLVNVVRPCWISKLEPFNGSWHLSENGKPCGKFDAIVIAHNGKCANRLLMTSGLPLIAKQMKRLELSSIWALLAAFEDPLPFSESTEVPFEGAFVRGVDSVSWMANNTKKLLISQSGGPHCWTFLSTAAYGKQNKVPQENIPSATAAKVKAGMLEGVESALGLSKGSLPKPSYTRLQLWGAALPTNTPGVPCIFDPFGRAGICGDWLLGSNIEAAVLSGIALANHIADYFQSPGTNAEEFAVGLNHEFQPLEGHDIGQFPGLGSEEKMNEGQAYELTK >Vigun05g165600.1.v1.2 pep primary_assembly:ASM411807v1:5:27348683:27353644:-1 gene:Vigun05g165600.v1.2 transcript:Vigun05g165600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDVDDNPPPPRLSLRSNSTSTAPDVPMLDYEVAELTWENGQLSMHGLGLPRVPVKAPTSAANNKYTWEKPRAGGTLESIVNQATSLPHRGKSTLNGDGGVYGNFLVPWLDAHAATANTVTMDALVPCSKREQSKQGMESVPSTCMVGCSTRVGSCCGNHGAKGQEMSGRDQSVSGSATFGRDSKHVTLDTCDRELGAAFTSTSINSLDNTSSAKHCTKTTTVDDHDSVSHSKPVGENGDDEKKKRGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRINMSSMMLPLTMQQQLQMSMMSPMGMGLGMGMGMGMGMGIDINSMNRANIPAIPPVLHPSAFMPMAASWDAAAAAAGGGDRFQGNPATVMPDPLSTLFGCQSQPMNMDAYSKLAAMYQQLHQPPVSGSKN >Vigun01g188600.1.v1.2 pep primary_assembly:ASM411807v1:1:36792957:36797251:1 gene:Vigun01g188600.v1.2 transcript:Vigun01g188600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSKRDLGSLQVSVAATSSSSKVSGSHKTKASFQEVKGSPVESVSSSPIRVLNADKLSNKEIIGKDDSRDVAAMDSPRRCSNRDDDGGSDRSGTAKKDKSFTIANRPDFQDKGVNYVSDTKLKAETASYCTNGGVDTIVPDGTYAGKEHKHPGEDKTDVYHANMSHTRKNGIESGLEDNNDGCKSESHADKVKNASSSSQLKNQSPLGEAKHKDGKNKLQEKFGIKPDQSENIHAVKKDYTEKNEARKKENHLNRGHDFQDVSVDALCKQDAFHAPPQTQLPDSDRSTKRSLLERTDQEVHGKGKLLSSLPSEGSQVETLGRFPRPVGLHKGNGDVEADPSKVDDVSKLPKRQSKKTDHQNGNQQTGSRNPVLNGHKSKELDAPSPVRRDSYSHAANNAVKEAKDLKHLADRLKHSGSTGESTSLYFQAALKFLHGASLLESGNSDNSKHSEMIQSKQMYSSTAKLCEFCAHEYEKSKDMASAALAYKCMEVAYMRVVYSSHSSASRDRHELHNTLQMIPLGESPSSSASDVDNVNNSTAADKVVTISKSVNSPQVAGNHVIAARHRPNFVRLLGFAQDVTFAMEASRKSRNAFAAANSSPGVGKNTDGISSIKKALDFSFQDVEGLLRLVRVAVEAINR >Vigun03g386300.4.v1.2 pep primary_assembly:ASM411807v1:3:59193922:59198889:-1 gene:Vigun03g386300.v1.2 transcript:Vigun03g386300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSRLDDEEAVKLCKDRKHFIKQAVEQRAQFATEHVAYIQCLKRVSAALLDYFESDESHHLPLDSFISPPSTPVKKTSPLGFIPISSKSFSPTKNEFDPKTTLKVNYLRPGGTPAISVEERPQMPEVVRVETYYPMHQFGNDGFFPMQSSPMNSSIFAYSPNNRPNIPPASPQSSLWESFWNPFSSLDYYGYPNQSSFDQTGMRQVREEEGIPDLEEDGTEQEDFVEKGNVAEVSAKIDVNSSKEEVTVEDVDEPKEEVEGKVAETGTVNEVTEQANGGECFQVSKAQTAGQEMATGNQEAKEDTPGFTVYVNRRPTSMAEVIRDLEAQFTVICDAANDVSALLEAKKAQNLSASNELSASKLLNPIALFRSASLRSSSSRILVNSSDTTDEDFEGTDDSSEEDCLFSVSHQSTLDRLYAWEKKLYEEVRSGERVRIAYDKKCQQLRNHDVNGEEPSSLDKTRAAIRDLHTQITVSIHSVESISRRIETLRDEELHPQLFELVQGLAKMWKVMAECHQAQQRTLDEAKILLVDTDARKQCATSLTDPQRLARSVSSLETELRHWRNTFASWISSQRSYIHGLTGWLLRCVRCEHDPSKLACSPRRSSGTHPLFGLCVQWSRRLDVLQETAVLDGIDSFAAGVGSFYVQQSREETRRNQVGSKEHDENMKMVEVGHVEEVMSTEKLAEVAMEVLCAGMSTAMSSMAEFAVDYAEGYNEIVKKWENVNLQQISCGTDT >Vigun03g386300.5.v1.2 pep primary_assembly:ASM411807v1:3:59193922:59198093:-1 gene:Vigun03g386300.v1.2 transcript:Vigun03g386300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSRLDDEEAVKLCKDRKHFIKQAVEQRAQFATEHVAYIQCLKRVSAALLDYFESDESHHLPLDSFISPPSTPVKKTSPLGFIPISSKSFSPTKNEFDPKTTLKVNYLRPGGTPAISVEERPQMPEVVRVETYYPMHQFGNDGFFPMQSSPMNSSIFAYSPNNRPNIPPASPQSSLWESFWNPFSSLDYYGYPNQSSFDQTGMRQVREEEGIPDLEEDGTEQEDFVEKGNVAEVSAKIDVNSSKEEVTVEDVDEPKEEVEGKVAETGTVNEVTEQANGGECFQVSKAQTAGQEMATGNQEAKEDTPGFTVYVNRRPTSMAEVIRDLEAQFTVICDAANDVSALLEAKKAQNLSASNELSASKLLNPIALFRSASLRSSSSRILVNSSDTTDEDFEGTDDSSEEDCLFSVSHQSTLDRLYAWEKKLYEEVRSGERVRIAYDKKCQQLRNHDVNGEEPSSLDKTRAAIRDLHTQITVSIHSVESISRRIETLRDEELHPQLFELVQGLAKMWKVMAECHQAQQRTLDEAKILLVDTDARKQCATSLTDPQRLARSVSSLETELRHWRNTFASWISSQRSYIHGLTGWLLRCVRCEHDPSKLACSPRRSSGTHPLFGLCVQWSRRLDVLQETAVLDGIDSFAAGVGSFYVQQSREETRRNQVGSKEHDENMKMVEVGHVEEVMSTEKLAEVAMEVLCAGMSTAMSSMAEFAVDYAEGYNEIVKKWENVNLQQISCGTDT >Vigun03g386300.2.v1.2 pep primary_assembly:ASM411807v1:3:59193922:59198889:-1 gene:Vigun03g386300.v1.2 transcript:Vigun03g386300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSRLDDEEAVKLCKDRKHFIKQAVEQRAQFATEHVAYIQCLKRVSAALLDYFESDESHHLPLDSFISPPSTPVKKTSPLGFIPISSKSFSPTKNEFDPKTTLKVNYLRPGGTPAISVEERPQMPEVVRVETYYPMHQFGNDGFFPMQSSPMNSSIFAYSPNNRPNIPPASPQSSLWESFWNPFSSLDYYGYPNQSSFDQTGMRQVREEEGIPDLEEDGTEQEDFVEKGNVAEVSAKIDVNSSKEEVTVEDVDEPKEEVEGKVAETGTVNEVTEQANGGECFQVSKAQTAGQEMATGNQEAKEDTPGFTVYVNRRPTSMAEVIRDLEAQFTVICDAANDVSALLEAKKAQNLSASNELSASKLLNPIALFRSASLRSSSSRILVNSSDTTDEDFEGTDDSSEEDCLFSVSHQSTLDRLYAWEKKLYEEVRSGERVRIAYDKKCQQLRNHDVNGEEPSSLDKTRAAIRDLHTQITVSIHSVESISRRIETLRDEELHPQLFELVQGLAKMWKVMAECHQAQQRTLDEAKILLVDTDARKQCATSLTDPQRLARSVSSLETELRHWRNTFASWISSQRSYIHGLTGWLLRCVRCEHDPSKLACSPRRSSGTHPLFGLCVQWSRRLDVLQETAVLDGIDSFAAGVGSFYVQQSREETRRNQVGSKEHDENMKMVEVGHVEEVMSTEKLAEVAMEVLCAGMSTAMSSMAEFAVDYAEGYNEIVKKWENVNLQQISCGTDT >Vigun03g386300.1.v1.2 pep primary_assembly:ASM411807v1:3:59193922:59198890:-1 gene:Vigun03g386300.v1.2 transcript:Vigun03g386300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSRLDDEEAVKLCKDRKHFIKQAVEQRAQFATEHVAYIQCLKRVSAALLDYFESDESHHLPLDSFISPPSTPVKKTSPLGFIPISSKSFSPTKNEFDPKTTLKVNYLRPGGTPAISVEERPQMPEVVRVETYYPMHQFGNDGFFPMQSSPMNSSIFAYSPNNRPNIPPASPQSSLWESFWNPFSSLDYYGYPNQSSFDQTGMRQVREEEGIPDLEEDGTEQEDFVEKGNVAEVSAKIDVNSSKEEVTVEDVDEPKEEVEGKVAETGTVNEVTEQANGGECFQVSKAQTAGQEMATGNQEAKEDTPGFTVYVNRRPTSMAEVIRDLEAQFTVICDAANDVSALLEAKKAQNLSASNELSASKLLNPIALFRSASLRSSSSRILVNSSDTTDEDFEGTDDSSEEDCLFSVSHQSTLDRLYAWEKKLYEEVRSGERVRIAYDKKCQQLRNHDVNGEEPSSLDKTRAAIRDLHTQITVSIHSVESISRRIETLRDEELHPQLFELVQGLAKMWKVMAECHQAQQRTLDEAKILLVDTDARKQCATSLTDPQRLARSVSSLETELRHWRNTFASWISSQRSYIHGLTGWLLRCVRCEHDPSKLACSPRRSSGTHPLFGLCVQWSRRLDVLQETAVLDGIDSFAAGVGSFYVQQSREETRRNQVGSKEHDENMKMVEVGHVEEVMSTEKLAEVAMEVLCAGMSTAMSSMAEFAVDYAEGYNEIVKKWENVNLQQISCGTDT >Vigun03g386300.3.v1.2 pep primary_assembly:ASM411807v1:3:59193922:59198889:-1 gene:Vigun03g386300.v1.2 transcript:Vigun03g386300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSRLDDEEAVKLCKDRKHFIKQAVEQRAQFATEHVAYIQCLKRVSAALLDYFESDESHHLPLDSFISPPSTPVKKTSPLGFIPISSKSFSPTKNEFDPKTTLKVNYLRPGGTPAISVEERPQMPEVVRVETYYPMHQFGNDGFFPMQSSPMNSSIFAYSPNNRPNIPPASPQSSLWESFWNPFSSLDYYGYPNQSSFDQTGMRQVREEEGIPDLEEDGTEQEDFVEKGNVAEVSAKIDVNSSKEEVTVEDVDEPKEEVEGKVAETGTVNEVTEQANGGECFQVSKAQTAGQEMATGNQEAKEDTPGFTVYVNRRPTSMAEVIRDLEAQFTVICDAANDVSALLEAKKAQNLSASNELSASKLLNPIALFRSASLRSSSSRILVNSSDTTDEDFEGTDDSSEEDCLFSVSHQSTLDRLYAWEKKLYEEVRSGERVRIAYDKKCQQLRNHDVNGEEPSSLDKTRAAIRDLHTQITVSIHSVESISRRIETLRDEELHPQLFELVQGLAKMWKVMAECHQAQQRTLDEAKILLVDTDARKQCATSLTDPQRLARSVSSLETELRHWRNTFASWISSQRSYIHGLTGWLLRCVRCEHDPSKLACSPRRSSGTHPLFGLCVQWSRRLDVLQETAVLDGIDSFAAGVGSFYVQQSREETRRNQVGSKEHDENMKMVEVGHVEEVMSTEKLAEVAMEVLCAGMSTAMSSMAEFAVDYAEGYNEIVKKWENVNLQQISCGTDT >VigunL055401.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000396.1:24398:26254:-1 gene:VigunL055401.v1.2 transcript:VigunL055401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTRLTGRRAIMFCGGLLFLAGALLNGFAQHVWMLILCGQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANNGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLAFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFGRRTLFLEGGAQMLICQWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMNLDSSWVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun03g116000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:10673643:10677003:1 gene:Vigun03g116000.v1.2 transcript:Vigun03g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEFAGALGLRRAVELILAVLTLSHSIRVFAGKWQLIRNKLEELHGGLVAAENCDSGESPSLSRLVAAVASTATECHDLCRRCVDVSYSGKLLMQSDLDVVFAKLDGHARKLSEIYKKGILTNGFALVVSKPSLGASKEDMRFYVRDLTTRMKIGDLGMKRQALRNLLEVVVEDEKYVKVVVDVGDVVHLLVGFLGSNEVEIQEESAKVVSVVAGFDSYKGALVGAGVIAPLVKVLDCGSDLGKVAAARCLVKLTENSDNAWCVSAHGGVSVLLKICGGGDCGGELVAPACGVLRNLVGVEEIKRFMVDEGAVSTFIKLVRSKEEAIQVNSIGFILSIASGDELVRQMVIREGGIRALLRVLHPKWSYSCKTREVTMRAVEDLCFSSPDSVGVLMSYGFVDQLTYYIRNGEVSIQELALKVAFRLSGTSEEAKKAMGDAGFMPEFVKFLNAKSFEAREMAAEALSGMVTVPRNRKRFVQDDHNITLLLQLLDPEEGNSGNKKFLISILMSLSSCTSGRKKIVSSEYAKNIEKLAEVSSDAKKLVKKLSTNRFRSMLNGIWHS >Vigun03g191000.3.v1.2 pep primary_assembly:ASM411807v1:3:26334094:26343273:1 gene:Vigun03g191000.v1.2 transcript:Vigun03g191000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYFHLRDTFAKTRIHCRCRCICNSAPFPTLVSLHYAPFNQPLSIFYSLLHQFSNTFIHVKSIHAQIIKNWISTENYLAAKLIKVYSDLGFLCPARKVFDQCSLRGTAVCNAMMAGFLRNQQHVEVPKLFKMMVSGDLEINSYTCMFALKACTSLLDHEIGMEIVMTVFRRGFHLHPYVGSSIVNFFVKCGYLDDARKVFDGMPEKDIVCWNSIIGGYVQEGFFKEAIKLFLAMIGGGLRPSPVTMVSLLKACGESGLKKLGTSAHGVLIALGMGNDVFVLTSLVDMYSNLGDTDNAALVFNGMFNRSLISWNTMISGYVQNGLIPESFALFRRLIQSGSGFDSGTLVSLIRGCSQTSDMENGRILHACIIRKGIESNIVLSTSIVDMYSKCGAIKLATIVFGRMEKRNVITWTAMLVGLSQNGYAEDALKLFCQMQEEKVPANSVTLVSLVHCCAHLGSLKKGRSVHAYLIRHGYAFDAVNMSALLDMYAKCGKIRSAEKLFNNGFPLKDVILCNTMIMGYGMHGLGHYALAVYDRMIEERLKPNQTTFISLLTACSHSGLVEEGKALFNCIERDHNIKPQDKHYACLVDLLSRAGRLKEADTLVKQMPFQPSTDVFEALLSGCRTHKNINMGIQIADRLISLDYLHSGIYVMLSNIYAEAGRWESVNYIRGLMRMHGLKKVTWELVNFTIVSIS >Vigun03g191000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:26334089:26336764:1 gene:Vigun03g191000.v1.2 transcript:Vigun03g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYFHLRDTFAKTRIHCRCRCICNSAPFPTLVSLHYAPFNQPLSIFYSLLHQFSNTFIHVKSIHAQIIKNWISTENYLAAKLIKVYSDLGFLCPARKVFDQCSLRGTAVCNAMMAGFLRNQQHVEVPKLFKMMVSGDLEINSYTCMFALKACTSLLDHEIGMEIVMTVFRRGFHLHPYVGSSIVNFFVKCGYLDDARKVFDGMPEKDIVCWNSIIGGYVQEGFFKEAIKLFLAMIGGGLRPSPVTMVSLLKACGESGLKKLGTSAHGVLIALGMGNDVFVLTSLVDMYSNLGDTDNAALVFNGMFNRSLISWNTMISGYVQNGLIPESFALFRRLIQSGSGFDSGTLVSLIRGCSQTSDMENGRILHACIIRKGIESNIVLSTSIVDMYSKCGAIKLATIVFGRMEKRNVITWTAMLVGLSQNGYAEDALKLFCQMQEEKVPANSVTLVSLVHCCAHLGSLKKGRSVHAYLIRHGYAFDAVNMSALLDMYAKCGKIRSAEKLFNNGFPLKDVILCNTMIMGYGMHGLGHYALAVYDRMIEERLKPNQTTFISLLTACSHSGLVEEGKALFNCIERDHNIKPQDKHYACLVDLLSRAGRLKEADTLVKQMPFQPSTDVFEALLSGCRTHKNINMGIQIADRLISLDYLHSGIYVMLSNIYAEAGRWESVNYIRGLMRMHGLKKVPGYSLIEIGNRLYTFFASDDSHPCWSDIYQLLENLRLEVEAEGYIPDTSCVLRDVNEPMKVKLLWGHSERLAIAFGLLSTPYGSLIRITKNLRVCVDCHTVTKYISKVVQREIIVRDANRFHHFVNGKCSCNDYW >Vigun03g191000.2.v1.2 pep primary_assembly:ASM411807v1:3:26334094:26345223:1 gene:Vigun03g191000.v1.2 transcript:Vigun03g191000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYFHLRDTFAKTRIHCRCRCICNSAPFPTLVSLHYAPFNQPLSIFYSLLHQFSNTFIHVKSIHAQIIKNWISTENYLAAKLIKVYSDLGFLCPARKVFDQCSLRGTAVCNAMMAGFLRNQQHVEVPKLFKMMVSGDLEINSYTCMFALKACTSLLDHEIGMEIVMTVFRRGFHLHPYVGSSIVNFFVKCGYLDDARKVFDGMPEKDIVCWNSIIGGYVQEGFFKEAIKLFLAMIGGGLRPSPVTMVSLLKACGESGLKKLGTSAHGVLIALGMGNDVFVLTSLVDMYSNLGDTDNAALVFNGMFNRSLISWNTMISGYVQNGLIPESFALFRRLIQSGSGFDSGTLVSLIRGCSQTSDMENGRILHACIIRKGIESNIVLSTSIVDMYSKCGAIKLATIVFGRMEKRNVITWTAMLVGLSQNGYAEDALKLFCQMQEEKVPANSVTLVSLVHCCAHLGSLKKGRSVHAYLIRHGYAFDAVNMSALLDMYAKCGKIRSAEKLFNNGFPLKDVILCNTMIMGYGMHGLGHYALAVYDRMIEERLKPNQTTFISLLTACSHSGLVEEGKALFNCIERDHNIKPQDKHYACLVDLLSRAGRLKEADTLVKQMPFQPSTDVFEALLSGCRTHKNINMGIQIADRLISLDYLHSGIYVMLSNIYAEAGRWESVNYIRGLMRMHGLKKVTWELVNFTIVSIS >Vigun10g135875.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:34808574:34808762:-1 gene:Vigun10g135875.v1.2 transcript:Vigun10g135875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTPKSPSSTRSIKELYKDGEQKFRIFSLKELVAAANAFIGMLKIEEGGFKKVYMGTFSP >Vigun10g167900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38671159:38672294:-1 gene:Vigun10g167900.v1.2 transcript:Vigun10g167900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSLPTSMVSRKGRPNWMEERRGSLMVVATVLATMSFQIAINPPGGVWQSTSYAEQGCEPGTTCHAGTSVLAFGDSNQRTKFEIFMLLCTISFSASLSTIILLICVVSLRNNLIMWFLTILMFISLVCTAGAYAICIWMILFPLGDAVQVVTKYYAWFWVGFTVLVCVGFFCRLVFWFLKKFFRFLCCR >Vigun11g041100.1.v1.2 pep primary_assembly:ASM411807v1:11:5903699:5924472:1 gene:Vigun11g041100.v1.2 transcript:Vigun11g041100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTAGSVSNAVDFDLPDEILAVIPTDPYQQLDLARKITSMAIASRVSALEADTSRLRSKLQEKDRIIHDLEERLSSLTRACNQSDSTLNNALNDNIKLTKERDQLAATVKKLSRDFAKLETFKKQLMLSLTDDHAPHAETIDIGTCDQSVPKAYPDKDDDGYAAHQSYNGPADVGKTNEASRYSGQRFSLTPYITPRLTPTGTPKVISTAGSPRGYSAAGSPKKTSGVTSPTKLPYDGRASLSSWYPSSQQSSAANSPPRGRAVPGRTPKIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKADEIFGSDNKDLYLSFQGLLNRNARQY >Vigun03g106500.1.v1.2 pep primary_assembly:ASM411807v1:3:9468672:9475387:-1 gene:Vigun03g106500.v1.2 transcript:Vigun03g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQNPQHEQPQDEVQHTAQAEQNGSLSNQDKDEAEHVVIDMSILQCAICRGVIQKTITLMECFHKFCKECIEKSMSHGVNECPVCHVHVAGQMSLKEDPQYDALVSAIVHKKKDQEVALHLHERTNAQEANFQQSNTSISREQARRNRLAKLVDLLRNSELNAEELDTHLVLVSLDEQKIPSLEEPHLLCRPTCSVKTLCKHVALKSELLENQVELLLVEESAGNSLSGNILIEESGGNIVISDIKVDPNKHKLRVLRGEETLGQLYTPNLTNCGHLFIAYKLK >Vigun05g142800.2.v1.2 pep primary_assembly:ASM411807v1:5:18605482:18608277:1 gene:Vigun05g142800.v1.2 transcript:Vigun05g142800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAESGSSVTVDHREGALNLQGLLTSPNFTGRQFSVYPFKVLFIWVMGKKCLSLSWQDIKSTCWSLRCSILGSSDTFKVLNSFPTCSAGSWMNHFNR >Vigun05g142800.1.v1.2 pep primary_assembly:ASM411807v1:5:18605482:18607300:1 gene:Vigun05g142800.v1.2 transcript:Vigun05g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAESGSSVTVDHREGALNLQGLLTSPNFTGRQFSVYPFKVLFIWVMGKKCLSLSWQDFEWYRAQKNKCYLLLFQIYLHYPLPQWELPMSTFHKAFDCISQMKS >Vigun05g146640.1.v1.2 pep primary_assembly:ASM411807v1:5:20383868:20386091:-1 gene:Vigun05g146640.v1.2 transcript:Vigun05g146640.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVINLRHSCRTQYIGLLNKKLSVEQKQCIQQTPFWWFVLLHERVKISRNLLCELSNVWVESRGGFMINSKFVAFKLLDVCVGLGLRVYGDRIDLQEVDVDSVCRKKFSEKKVTISMVYNYFLTECECLDVEDYCRLYILLGLSEFLLPNRNGTVFVSLFRIVDDLTSLLWAFDHFLFVKRKSAIDYKVGDVSIRSSLKNNVIVHDLCLSKEEISELVVKEALSKGDGECDKSKRKECVSGGTKKKEQLMGVIEQQEHDIRELGGAIVKLKSTLAERKNRSKDQFVSPINLNEVHVPSEAAEDKDVTNNDMYVRMRNDPRIWFKSRVIQTLFAVYSRKKKRIPK >Vigun08g002700.1.v1.2 pep primary_assembly:ASM411807v1:8:283590:285845:-1 gene:Vigun08g002700.v1.2 transcript:Vigun08g002700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDFLLRARVLRLYRQALRISGRAPSSARAELRQTIRQEMENNRNCNDKQRIRFLISEGLERLNRLDEMLDMQGHR >Vigun03g377100.1.v1.2 pep primary_assembly:ASM411807v1:3:58024935:58028303:1 gene:Vigun03g377100.v1.2 transcript:Vigun03g377100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFNLSPSDAHPETMDFLSLAWCNFAVQAIQPEPQHGSVVLLDNNSMKPLEPGSPNANPSMEKSARMDGTDFGPLPGWKSNNVKSWIWMQQAMHPELNYNSCFRKKWVPWKQIIPLKSVSIKKWFKEIKMKKKEDQRLQRAEVHAAISIAGVAAALAAIAAENSKKESNQDRDAAVASAAALVAAQCAKVAEAMGAKKEQLSSVIGSAMSGTSASDILTLTAAAATSLKGAATLKVRSGCKNRLNGGVPVMPLEDSNDLDFDFEKGRSILAQGAELYVETPEGKYMPRSVSIILSSEAKVVLMMRKHNLFKSKKEGIVMTLHAQLYKGSEAEDADTCYLIVLTTRGGVFKLDMADDYRRYKTWSTTINHMLKISASFAKYELQFY >Vigun03g377100.2.v1.2 pep primary_assembly:ASM411807v1:3:58024935:58028303:1 gene:Vigun03g377100.v1.2 transcript:Vigun03g377100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFNLSPSDAHPETMDFLSLAWCNFAVQAIQPEPQHGSVVLLDNNSMKPLEPGSPNANPSSARMDGTDFGPLPGWKSNNVKSWIWMQQAMHPELNYNSCFRKKWVPWKQIIPLKSVSIKKWFKEIKMKKKEDQRLQRAEVHAAISIAGVAAALAAIAAENSKKESNQDRDAAVASAAALVAAQCAKVAEAMGAKKEQLSSVIGSAMSGTSASDILTLTAAAATSLKGAATLKVRSGCKNRLNGGVPVMPLEDSNDLDFDFEKGRSILAQGAELYVETPEGKYMPRSVSIILSSEAKVVLMMRKHNLFKSKKEGIVMTLHAQLYKGSEAEDADTCYLIVLTTRGGVFKLDMADDYRRYKTWSTTINHMLKISASFAKYELQFY >Vigun07g129500.1.v1.2 pep primary_assembly:ASM411807v1:7:23829372:23836958:-1 gene:Vigun07g129500.v1.2 transcript:Vigun07g129500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGAEAYHFFGVGASSDLRGVGKRSSEWDLNDWRWDGDLFIASRLNPVPADGVGVGQQFFPLGSGIPVVGGPSNSSSCSEELDPRDPKGNKEGDKKRRVIVLEDDGLNEEAGPLSLKLGGHASAGVDREVASWDGMNGKKSRVSGSTSNRAVCQVEDCSADLSKAKDYHRRHKVCEMHSKASRALVGNAMQRFCQQCSRFHMLQEFDEGKRSCRRRLAGHNKRRRKTNHEPVPSGSSLNDDQTSSYLLISLLKILSNMHSDRSNQTTDQDLLTHILRSLASQNGEQGGKSISNLLQEPENLLREGGSSKKSEMVSTLFSNGSQGSPTVTRQHEAVSMAKLQQQVMQAHDARASDQQITSSIKPSMSNSPPTYSEARDSTAGQIKMNNFDLNDIYIDSDDGMEDLERLPVSTNLVTSSLDYPWAQQDSHQSSPPQTSGNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDMESYIRPGCIVLTIYLRQAEASWEELCYDLTSSLNRLLDVSDDTFWRNGWVHIRVQHQMAFIFNGQVVIDTSLPFRSNNYSKILTVSPIAVPASKKAQFSVKGVNLIRPATRLMCALEGKYLVCEDAHMSMDQGSNEPDELQCVQFSCSVPVMNGRGFIEIEDQGLSSSFFPFIVVEEDVCSEICTLEPLLEISETDPDIEGTGKVKAKNQAMDFIHEMGWLLHRSRLKLRMVHLNSTVDLFPLKRFKWLIEFSMDHDWCAAVKKLLNLLLDGTVNTGDHPSLYLALSDMGLLHKAVRRNSKQLVELLLRYVPENISDELGPEVKALVDGENKTFLFRPDVVGPAGLTPLHIAAGKDGSEDVLDALTNDPCMVGIEAWKNARDSTGSTPEDYARLRGHYAYIHLVQKKINKRHGAAHVVVEIPSNTTESNTNQKQNESSTSFEIGKPAVRFSQGHCKLCDSKISCRTAVGRSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVICMFRPFRWETLDFGTS >Vigun01g123500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30039091:30040283:1 gene:Vigun01g123500.v1.2 transcript:Vigun01g123500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSHLKTLHPLQVFSLKQPSSSTISSMKLKRIVHTLIISHLCRIIRALSKVKAVVVEILKDNSSIHKKHFSNRRKKIILGSFRLHYNWCSSKSSHVLPVPEPVFEGLGSGEAQGEDCPYLRWLEEKKVEEKKGAGAGGAKDEHEMNEIDVLAEMFIANCHEKFRLEKQESDRRFQEMLARSM >Vigun01g030400.5.v1.2 pep primary_assembly:ASM411807v1:1:3776088:3779946:-1 gene:Vigun01g030400.v1.2 transcript:Vigun01g030400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVLPSRYSNSQGDINDRKSLQIFGFPKSMLFVQIGAGELPDDTTLVCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRKGRLTIDGCILQCESNPLDYLSCPIVSTASSSSEVLPSQTKSNSDGVFVSQTRIEGGAKAVLTSGDLALQRVRVIYARTSLLFWFDVEQMCDQIDHDKPL >Vigun01g030400.1.v1.2 pep primary_assembly:ASM411807v1:1:3776088:3779946:-1 gene:Vigun01g030400.v1.2 transcript:Vigun01g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKSQTEPKEEEGHGVGSQKNTRAMMDDPPLKQHLKRSQRTKSSSSSSSSHITNLDDGCLMHIFSFLLPIPDRFNTALVCHRWKYLACHPRLWLRVDRSVKDSSEPGVFPNIETAVAASRPGDTILIAAGGSHHVANIQIRKPLCLIGAGELPDDTTLVCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRKGRLTIDGCILQCESNPLDYLSCPIVSTASSSSEVLPSQTKSNSDGVFVSQTRIEGGAKAVLTSGDLALQRVRVIYARTSLLFWFDVEQMCDQIDHDKPL >Vigun01g030400.3.v1.2 pep primary_assembly:ASM411807v1:1:3776088:3779946:-1 gene:Vigun01g030400.v1.2 transcript:Vigun01g030400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHFQLPSPYSRWKYLACHPRLWLRVDRSVKDSSEPGVFPNIETAVAASRPGDTILIAAGGSHHVANIQIRKPLCLIGAGELPDDTTLVCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRKGRLTIDGCILQCESNPLDYLSCPIVSTASSSSEVLPSQTKSNSDGVFVSQTRIEGGAKAVLTSGDLALQRVRVIYARTSLLFWFDVEQMCDQIDHDKPL >Vigun01g030400.2.v1.2 pep primary_assembly:ASM411807v1:1:3776088:3779946:-1 gene:Vigun01g030400.v1.2 transcript:Vigun01g030400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKSQTEPKEEEGHGVGSQKNTRAMMDDPPLKQHLKRSQRTKSSSSSSSSHITNLDDGCLMHIFSFLLPIPDRFNTALVCHRWKYLACHPRLWLRVDRSVKDSSEPGVFPNIETAVAASRPGDTILIAAGGSHHVANIQIRKPLCLIGAGELPDDTTLVCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRKGRLTIDGCILQCESNPLDYLSCPITRIEGGAKAVLTSGDLALQRVRVIYARTSLLFWFDVEQMCDQIDHDKPL >Vigun01g030400.4.v1.2 pep primary_assembly:ASM411807v1:1:3776088:3779946:-1 gene:Vigun01g030400.v1.2 transcript:Vigun01g030400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHFQLPSPYSRWKYLACHPRLWLRVDRSVKDSSEPGVFPNIETAVAASRPGDTILIAAGGSHHVANIQIRKPLCLIGAGELPDDTTLVCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRKGRLTIDGCILQCESNPLDYLSCPITRIEGGAKAVLTSGDLALQRVRVIYARTSLLFWFDVEQMCDQIDHDKPL >Vigun07g173900.1.v1.2 pep primary_assembly:ASM411807v1:7:28900741:28910287:-1 gene:Vigun07g173900.v1.2 transcript:Vigun07g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKQIKRIKLSKLPNQSHHHVKPHFGLSIFDSFRFVLQKLAHSLSDSDHHDLNIIQVRKKTTNGGEEEIYETELAQLFSEEDEVRVFFMMLDEELNKVNQFYRKQESEFLERGETLNKQLEILLDLKQIISDRRRKNSPSKPYSTGTSPQYSPTRDSDFSVCAENLGDSDETTSEVSQTEEVMTTLEKKGVSFVNSATRAKTKNGKPKMAMRIDVPATNPTRAITAITSMLWEDLVNNPTGDFVHKRKLQCAEKMIRSAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSCQKASANYLKEVKKSHFVSSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQHKDSHMVTFLVGLSTGCFVSLFSVYAILAHLCAIFSPGNEPAYMETVYPVFSVFALLSLHLFLYGCNLFMWKNTRINYNFIFEFSPTTALKHRDAFLMSTTLMTTVVGAMVLHLLLRAADFSPTQIDAIPGILLLFFIALLICPFDIFYRPTRYCFIRVIRNIVCSPFYKVLLVDFFMADQLTSQIPLLRHLEIAGCHIFARVFKTYHPEACHSGRMYMEITYIISFLPYYWRALQCVRRWFDDGDVNHLANMGKYVSAMVAAGARVTYSRKNDHLWFGIVLITSVVATVYQLYWDFVKDWGFLTPNSINPWLRDELILKNKSVYYMSIVLNFVLRVTWVETILHFKVGRVQSRLLEFLLAALEVIRRGHWNFYRLENEHLNNVGHYRAVKTVPLPFRETDSD >Vigun01g022100.1.v1.2 pep primary_assembly:ASM411807v1:1:2341968:2344728:1 gene:Vigun01g022100.v1.2 transcript:Vigun01g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRLEWKFEEDELFEHINAPKWVDFLSLDHSVNDHADEAWFCKPDCRHPKTAEDFLRSITPPSKKGFSPDYVSENLPSSDKNRRDVKIKRRMPAVSSASPQADKFKFNQDSENQDPNVFITPPTSKTNPMKEAIKSSEEKQNLVDDTLEDHKVPSLRSTLSAKNLFAGRPILNQITEFCNELKKLAIRATERENAENSIPKESEEVVEKTPCSVQPLAQSHKKETERKPLLEVSVKGKQQKKKRTDEAENMPVSIDLENIKHKREERFQQIRTNPPSPQCFSEARGFNKPTPSKAYNKSRLMERGILGEIEVNKENVKESPAEKMRSTTIVDGRETKALDMFWFLKPCTL >Vigun01g022100.2.v1.2 pep primary_assembly:ASM411807v1:1:2341968:2344728:1 gene:Vigun01g022100.v1.2 transcript:Vigun01g022100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRLEWKFEEDELFEHINAPKWVDFLSLDHSVNDHADEAWFCKPGIVLPLLFSFFQRNLHLGGPNFLPFPYCRHPKTAEDFLRSITPPSKKGFSPDYVSENLPSSDKNRRDVKIKRRMPAVSSASPQADKFKFNQDSENQDPNVFITPPTSKTNPMKEAIKSSEEKQNLVDDTLEDHKVPSLRSTLSAKNLFAGRPILNQITEFCNELKKLAIRATERENAENSIPKESEEVVEKTPCSVQPLAQSHKKETERKPLLEVSVKGKQQKKKRTDEAENMPVSIDLENIKHKREERFQQIRTNPPSPQCFSEARGFNKPTPSKAYNKSRLMERGILGEIEVNKENVKESPAEKMRSTTIVDGRETKALDMFWFLKPCTL >Vigun04g032500.2.v1.2 pep primary_assembly:ASM411807v1:4:2666249:2670603:1 gene:Vigun04g032500.v1.2 transcript:Vigun04g032500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEARLKSLGVGKTVSELRKQVKEQQPPRRSYQKKVYGLTPLRRSLRISNNLTPPQSNSTPLPTPKQDKVTLSGEKKEKQRPANAPLVNLSDADLLLSSENSGRRCNSKGRGSIYNPVLGICCHFCSSICLRKRKMPPTGIAVFKAREMGYKSVAHLLMEELKLGKCK >Vigun04g032500.1.v1.2 pep primary_assembly:ASM411807v1:4:2666249:2670603:1 gene:Vigun04g032500.v1.2 transcript:Vigun04g032500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIEEPKFDYEALRQARMLENQARLKSLGVGKTVSELRKQVKEQQPPRRSYQKKVYGLTPLRRSLRISNNLTPPQSNSTPLPTPKQDKVTLSGEKKEKQRPANAPLVNLSDADLLLSSENSGRRCNSKGRGSIYNPVLGICCHFCSSICLRKRKMPPTGIAVFKAREMGYKSVAHLLMEELKLGKCK >Vigun02g085800.1.v1.2 pep primary_assembly:ASM411807v1:2:24021047:24026100:-1 gene:Vigun02g085800.v1.2 transcript:Vigun02g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRSNVKEFISDGEASPRAILETPVSETESETDTSIESGKDGYMLPWKSMVDVFKFKSVRKLTSIPLLSVSHDISRKGLTKKLARIRSAEDGIDIGDIPAKPSWRNFDYEELAAATKDFSAENLVGKGGHAEVYKGCLPDGQIIAVKRLMKNEKDAADRAGDFLTELGIIAHINHTNATHLVGFGVDGGLFFVLQLAPHGSLSSLLFGSEGMDWEKRFKVAVGVADGLHYLHKECPRRIIHRDIKASNILLNDNYEAEISDFGLAKWLPNKWANHVVFPIEGTFGYLAPEYFMHGIVDERTDVFAFGVLLLELITGRRAVDSDSRQSLVTWAKPLLDTRNVKDIADPRLGEAYDRTEMMRVMVTASMCVHHASSKRPFMNKVVQLLKGEEAIIDIKQTIEPKSQLLEACDLEDYTCSSYLKDMSRHMQLIME >Vigun03g040700.1.v1.2 pep primary_assembly:ASM411807v1:3:3129438:3133941:1 gene:Vigun03g040700.v1.2 transcript:Vigun03g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLLDDIIRRLVAAKNGRATKQVQLTEAEIRQLCASSKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKVKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKTFTECFNCLPVAALIDEKILCMHGGLSPDLKHLDQIRNIARPIDVPDHGLLCDLLWADPDKDLDGWGENDRGVSFTFGADKVVEFLEHHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSSEKKVKGGFGNNTSRPGTPPHKGGKN >Vigun05g236700.2.v1.2 pep primary_assembly:ASM411807v1:5:42979363:42981609:-1 gene:Vigun05g236700.v1.2 transcript:Vigun05g236700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIAVALVVILSGLVYQAIKPPAPKTCGSIGGPQVTSPRVKLSDGRHLAYREFGVPKGEARYKIIVIHGYNSFKDTTLPFSQELIEELGIYFLHFDRAGYGESDPYSSRSVKSEAYDIQELADKLEIGDKFYIIGMSMGGYSVWSCLKYIPHRLSGAALVAPFISYWWPSFPDNLLREAFQMLPRSDQWTFRVAHYAPWLFHWWMTQKWFPSLTLTNMLSPDDVEIVKTLSELPNTAQERIAQQGEYESLHRDIMSAFGEWEFGPTEIENPFPDNNGSVHIWQGFEDRIIPYTLNRYISQKLPWILYHELPHAGHLFLFKKNECESIIRALVLSPDISE >Vigun05g236700.3.v1.2 pep primary_assembly:ASM411807v1:5:42976866:42982488:-1 gene:Vigun05g236700.v1.2 transcript:Vigun05g236700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIAVALVVILSGLVYQAIKPPAPKTCGSIGGPQVTSPRVKLSDGRHLAYREFGVPKGEARYKIIVIHGYNSFKDTTLPFSQELIEELGIYFLHFDRAGYGESDPYSSRSVKSEAYDIQELADKLEIGDKFYIIGMSMGGYSVWSCLKYIPHRLSGAALVAPFISYWWPSFPDNLLREAFQMLPRSDQWTFRVAHYAPWLFHWWMTQKWFPSLTLTNMLSPDDVEIVKTLSELPNTAQERIAQQGEYESLHRDIMSAFGEWEFGPTEIENPFPDNNGSVHIWQGFEDRIIPYTLNRYISQKLPWILYHELPHAGHLFLFKKNE >Vigun05g236700.1.v1.2 pep primary_assembly:ASM411807v1:5:42979264:42982510:-1 gene:Vigun05g236700.v1.2 transcript:Vigun05g236700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIAVALVVILSGLVYQAIKPPAPKTCGSIGGPQVTSPRVKLSDGRHLAYREFGVPKGEARYKIIVIHGYNSFKDTTLPFSQELIEELGIYFLHFDRAGYGESDPYSSRSVKSEAYDIQELADKLEIGDKFYIIGMSMGGYSVWSCLKYIPHRLSGAALVAPFISYWWPSFPDNLLREAFQMLPRSDQWTFRVAHYAPWLFHWWMTQKWFPSLTLTNMLSPDDVEIVKTLSELPNTAQERIAQQGEYESLHRDIMSAFGEWEFGPTEIENPFPDNNGSVHIWQGFEDRIIPYTLNRYISQKLPWILYHELPHAGHLFLFKKNECESIIRALVLSPDISE >Vigun03g095000.6.v1.2 pep primary_assembly:ASM411807v1:3:8039369:8040703:1 gene:Vigun03g095000.v1.2 transcript:Vigun03g095000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALGSSCSLPPFLVKTYEMVDDPSTNSVVSWSASSKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLMKNIHRRKPVHSHSLQNLQAQGPLGESERQSFTNEIEKLKHDKERLLAELQKFQHEWQTYEIQVHCSNDRLEKLEQKQHRMVSSVSHVLQKPVIAVNILPLTETMDRKRRLPRSGHYYEEASIEDAIETAQMLPRENADNSTVLTLNVERLDQLESSVAFWEAIAHDIGDNFAQIQSNMDVDESTSCADSPSISCAQLDVEIRAKSSGIDMNSEPTVAAVPDPVASKDQPAGITVAATGVNDVFWEQFLTEDPGASETQEVQSERKDSDGRKNEGKPNDLSKFWWNIRNANNHSEPMGHVGQAEKT >Vigun03g296500.2.v1.2 pep primary_assembly:ASM411807v1:3:48301005:48307714:-1 gene:Vigun03g296500.v1.2 transcript:Vigun03g296500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSAISEDLAEIEGHIADNLRALQNGFQKLEKIKDSNRQSRQLEELTEKMRECKRLIKEFDKEVKALENNFDRETNKMLNEKKQSMIKELNSYVALKKKYSTNIEQKRIELFEGPSEGFAEENGLLASSMTNDQLMDHGNRMMDETDQAIERGKKVVLETINVGTETAAALKAQTEQMSRVVNELDSIHFSLKKASQLVKEIGCY >Vigun03g296500.1.v1.2 pep primary_assembly:ASM411807v1:3:48301005:48307714:-1 gene:Vigun03g296500.v1.2 transcript:Vigun03g296500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSAISEDLAEIEGHIADNLRALQNGFQKLEKIKDSNRQSRQLEELTEKMRECKRLIKEFDKEVKALENNFDRETNKMLNEKKQSMIKELNSYVALKKKYSTNIEQKRIELFEGPSEGFAEENGLLASSMTNDQLMDHGNRMMDETDQAIERGKKVVLETINVGTETAAALKAQTEQMSRVVNELDSIHFSLKKASQLVKEIGRQVATDKCIMVLLFLVVMGVIAIIIVKLVHPENKDIRDIPGLAPPVQNRRLLWSHS >Vigun01g245700.3.v1.2 pep primary_assembly:ASM411807v1:1:41416671:41418644:-1 gene:Vigun01g245700.v1.2 transcript:Vigun01g245700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVCLGLWCMVHASDQVVDEFIKRRADTEWFLEGDFETYTVQMRKPHIWGGEPELLMSSHVLQMPITVVMQDKNSSNLKVIAEYGEEYGKENPIGVIYHGYGHYDALLKCSSTYVQS >Vigun01g245700.2.v1.2 pep primary_assembly:ASM411807v1:1:41416671:41418644:-1 gene:Vigun01g245700.v1.2 transcript:Vigun01g245700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTEKSLGIPGDGRCLFRAVVYGACLRSGEPSPSLSRQKELADELRAKVVDEFIKRRADTEWFLEGDFETYTVQMRKPHIWGGEPELLMSSHVLQMPITVVMQDKNSSNLKVIAEYGEEYGKENPIGVIYHGYGHYDALLKCSSTYVQS >Vigun01g245700.4.v1.2 pep primary_assembly:ASM411807v1:1:41416671:41418644:-1 gene:Vigun01g245700.v1.2 transcript:Vigun01g245700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVCLGLWCMVHASDQVVDEFIKRRADTEWFLEGDFETYTVQMRKPHIWGGEPELLMSSHVLQMPITVVMQDKNSSNLKVIAEYGEEYGKENPIGVIYHGYGHYDALLKCSSTYVQS >Vigun01g245700.1.v1.2 pep primary_assembly:ASM411807v1:1:41416671:41418644:-1 gene:Vigun01g245700.v1.2 transcript:Vigun01g245700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTEKSLGNNLPIIRIPGDGRCLFRAVVYGACLRSGEPSPSLSRQKELADELRAKVVDEFIKRRADTEWFLEGDFETYTVQMRKPHIWGGEPELLMSSHVLQMPITVVMQDKNSSNLKVIAEYGEEYGKENPIGVIYHGYGHYDALLKCSSTYVQS >Vigun05g011600.1.v1.2 pep primary_assembly:ASM411807v1:5:936006:938480:1 gene:Vigun05g011600.v1.2 transcript:Vigun05g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISFLSFLVVLLHLAVVAVHGIGINYGTLGDNLPPPATVANFLKTRTTIDRVKIYDVNPQILQAFANTGIPVTVTAPNGDIAVLKQIESARQWVVTHIKPFHPQTKIKYILVGSELLHWGDAAMIRGLNPAMRTLHAALLAEGITDIKVTTAHSLGIMRSSIPPSAGRFRPGYAKHVLGPMLKFLKETKAPFMVNPYPYFGYNGKNVNFLLFRPNRGLYDRYTKLSYTNQFDALLDAVYSAMKDLGHGDVDIAIGETGWPSVCDGWDACSLSNAQSFNGQLVKHLASGKGTPLMPNQTFETYIFALFNENQKPGPIAERNWGLFKPDFTPVYDCGIMRNGQNIAPANPGPVAPTKPGLAPAGGSGKWCVPKAEANDAALQANINYVCSQGVDCKPIQPGGVCYAPNNVKALATYAMNAYYQANGRHDFNCDFSNTGVITTTNPSHDNCKI >Vigun09g043000.1.v1.2 pep primary_assembly:ASM411807v1:9:4004958:4007417:-1 gene:Vigun09g043000.v1.2 transcript:Vigun09g043000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFELISERRRNENKLRLRKKILISVVSAVLLACVVAAATFVVVQRTGGSSTKTGTPIEEASERPHVDQNSRLVEMICSSAEYKEKCQKTLGEALEKDPKLTEPKDLLMVSFIIAENEVNKAFNQTTRMDIASEDEKGAYSDCKEMFDDAKEELKRAIDEVGENDAKVLSTKAAEINNWLSAVMGYQQTCIDGIPEGKMKQDFDKLFKESRELVSNCLAVMAQFASFFSIFEGAGNIHLPWETDNNDAPAPASGSSASAPGVAPAGSALAPGSAPRPAPVPVPSIDDPLPTLPIPTWAGPSEFKGSNEKPTPNVTVAQDGSGDFKTISEALAAIQTPYEGRYVVYVKAGIYDETVTVTKKLTNLTMYGDGGDKSIITGNKNFVDGVRTFQTASFVVLGDGFLGKEMQFRNTAGAEKHQAVAARVQADQAMFFNCVFDGYQDTLYAQTHRQFYRDCTITGTIDFIFGDASAVFQNCTMMVKKPLQNQQNIVTAQGRLDKQENTGFVLQKCVIKAADDLVPLKGTVRNYLGRPWKEYSRTIIMETQIDDLIHPDGWLPWEGDFALKTLYYGEFNNNGGGASTSARVNWPNRKDIDRDEATRYTVEAFLQGTWINGTGVPAQLGLYS >Vigun06g050900.1.v1.2 pep primary_assembly:ASM411807v1:6:17671680:17675915:1 gene:Vigun06g050900.v1.2 transcript:Vigun06g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKSEIQDSIDKLQSTFHQWESTSNAAEQGRLTKEVRAGCESIEWQVDELDKAIAVASREPSWYGIDEIELESRRKWTSNARSQVVTMKKALEAGKGSSSTSHSSVNGMRQELMRLPSSHKNDTYDQYPARDNDDFIQSESDRQMLLIKKQDEELDELSESVRRIGGVGLTIHDELTAQDRILEELGSEMESTTNRLDFVQKKVGMVMKKASTKGQIMMICALLALFIFLFILVFFT >Vigun04g092750.1.v1.2 pep primary_assembly:ASM411807v1:4:18146387:18147194:1 gene:Vigun04g092750.v1.2 transcript:Vigun04g092750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGFSASCAAAVAAERECRGARKVSCIVVASKLVQPWLLREGCTAEKVSLVRVLLQRWCFAVATTVVICRFELLRLVCGAAARWCSLLRSSWWR >Vigun11g169600.8.v1.2 pep primary_assembly:ASM411807v1:11:37580132:37582683:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNSDLTRLKKVDNKYWFRDGWQEFIQHYSIGVGYLLVFRYEGKSCFNVHVFNLATSEINYQSAIRCSNEGPHFANLKFFEEMDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.4.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582684:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.5.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582673:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.2.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582673:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSFHKLLLPSTLQPKQLRLPDDFMRKYGGELSPIVTLSVPDGSVWRVGLKKVDNKYWFRDGWQEFIQHYSIGVGYLLVFRYEGKSCFNVHVFNLATSEINYQSAIRCSNEGPHFANLKFFEEMDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.3.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582684:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYGGELSPIVTLSVPDGSVWRVGLKKVDNKYWFRDGWQEFIQHYSIGVGYLLVFRYEGKSCFNVHVFNLATSEINYQSAIRCSNEGPHFANLKFFEEMDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.7.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582674:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYGGELSPIVTLSVPDGSVWRVGLKKVDNKYWFRDGWQEFIQHYSIGVGYLLVFRYEGKSCFNVHVFNLATSEINYQSAIRCSNEGPHFANLKFFEEMDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun11g169600.1.v1.2 pep primary_assembly:ASM411807v1:11:37578955:37582684:1 gene:Vigun11g169600.v1.2 transcript:Vigun11g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSFHKLLLPSTLQPKQLRLPDDFMRKYGGELSPIVTLSVPDGSVWRVGLKKVDNKYWFRDGWQEFIQHYSIGVGYLLVFRYEGKSCFNVHVFNLATSEINYQSAIRCSNEGPHFANLKFFEEMDDEDSIEILDSQPSHLAPSSLQNRVLAGSVDKMLPAKSYTPPALQNLFNGSKLNSINWGEGGDTHCSKSANSLDNRFTRDIGVQFNAVEFKKSTEELKLRASMEERMKKTARKKRKTDGHEASAEQEEEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPPNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLNGVSGFIKLQISNGRQWPVRCLYRVGRAKLSQGWFEFSLENNLGEGDVCVFELLRVKEVVLQVTIFRITEEVALLNPPPLQQNQNLSSVKLLNTPLQQHLSSTKMVRN >Vigun03g178600.1.v1.2 pep primary_assembly:ASM411807v1:3:22367229:22368228:1 gene:Vigun03g178600.v1.2 transcript:Vigun03g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEESSKF >Vigun01g026950.1.v1.2 pep primary_assembly:ASM411807v1:1:3160747:3161076:1 gene:Vigun01g026950.v1.2 transcript:Vigun01g026950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRASPARFDPARFWHDLYGPGRAFFHMLHFQSKIRFQQNYCNKNNPAVYNITDHKTNNPTIYINDILLSASHQHTVATSITSLN >Vigun11g225400.2.v1.2 pep primary_assembly:ASM411807v1:11:41573176:41574149:-1 gene:Vigun11g225400.v1.2 transcript:Vigun11g225400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVVVLWQGVGIFRKVVAPPPVPHRIIAERRTSAVVSASKSLNWLQKSCKSCGGKGAVECPGCKGTGKNKKNGNMFERWNLNLQMF >Vigun11g225400.1.v1.2 pep primary_assembly:ASM411807v1:11:41573176:41574149:-1 gene:Vigun11g225400.v1.2 transcript:Vigun11g225400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVVVLWQGVGIFRKVVAPPPVPHRIIAERRTSAVVSASKSLNWLQKSCKSCGGKGAVECPGCKGTGKNKKNGNMFERWKCFDCQGFGLKSCPSCGKGRGLTPEQRGER >Vigun11g003200.1.v1.2 pep primary_assembly:ASM411807v1:11:295630:299640:-1 gene:Vigun11g003200.v1.2 transcript:Vigun11g003200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVIDLSPCLSGKWDPHLCAEVSRTLRETGALLVQDPRCTVQDNDRFIDMMERYFSQPHHFKLLHERPHLHYQVGVTPERVEVPRSLVDEEMQEKLKAMPKEHQPHTPVGPDLKWRYFWRIGPRPSITRFQELNAEPVIPEGFSEWKETMDSWGYKMIAAIEVVAEMAAIGFGLPKDAFTSLMKLGPHLLAPTGSDLEKYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPIGCLLIQTGKQIEWLTGGDCIAGMHEVVATKRTVDAITLAQEQNRSLWRVSSTLFAHIASDAVLKPLGHFAELPLASKYPPMCAGEYVEQELAVINLKGNN >Vigun03g053700.2.v1.2 pep primary_assembly:ASM411807v1:3:4396640:4400763:1 gene:Vigun03g053700.v1.2 transcript:Vigun03g053700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARKRAPFRWITCFLPCVRPKTRGIFEFGVSSISSILPIMGTWTMLTLRLVCLHCRFPLSISMPRSFSRCVMLIGMAGLITMISGVTWMTRSWSFIAYFRPLMWSTMAAFSLKSFGMHLSGLVGVEIDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATMENIYQHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQVQTGRASIMPAVMKIWQRDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDAQQGKSDIGTAGRLFAGGMAGAVAQIAIYPMDLVKTRLQTCASDGGRVPKLGTLTKDIWVHEGPRAFYRGLVPSLLGMIPYAGIDLTVYDTLKDISKRYILRESDPGPLVQLGCGTISGALGATCVYPLQVIRTRLQAQPINNTGAYKGMSDVFWKTLRDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKSLDLE >Vigun03g053700.3.v1.2 pep primary_assembly:ASM411807v1:3:4396640:4400763:1 gene:Vigun03g053700.v1.2 transcript:Vigun03g053700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARKRAPFRWITCFLPCIPPEYKYAKELFKVCDADRDGRIDYHDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVRAGVEIDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATMENIYQHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQVQTGRASIMPAVMKIWQRDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDAQQGKSDIGTAGRLFAGGMAGAVAQIAIYPMDLVKTRLQTCASDGGRVPKLGTLTKDIWVHEGPRAFYRGLVPSLLGMIPYAGIDLTVYDTLKDISKRYILRESDPGPLVQLGCGTISGALGATCVYPLQVIRTRLQAQPINNTGAYKGMSDVFWKTLRDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKSLDLE >Vigun03g053700.1.v1.2 pep primary_assembly:ASM411807v1:3:4396640:4400763:1 gene:Vigun03g053700.v1.2 transcript:Vigun03g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQAIDMENVGFSKAKADHGRGKKTGPVSMDHVLLALRETKDERDLRIRSLFNFFDSANNGYLDYAHIEAGLSALQIPPEYKYAKELFKVCDADRDGRIDYHDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVRAGVEIDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATMENIYQHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQVQTGRASIMPAVMKIWQRDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDAQQGKSDIGTAGRLFAGGMAGAVAQIAIYPMDLVKTRLQTCASDGGRVPKLGTLTKDIWVHEGPRAFYRGLVPSLLGMIPYAGIDLTVYDTLKDISKRYILRESDPGPLVQLGCGTISGALGATCVYPLQVIRTRLQAQPINNTGAYKGMSDVFWKTLRDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKSLDLE >Vigun04g169000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39340085:39343500:1 gene:Vigun04g169000.v1.2 transcript:Vigun04g169000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDNSTKRNSNVQLLEELEALSESLYQSHTSNTTRRTASLALPRASSPPLVSSAEDDNDTAKIDNKQSNKTRSRRMSLSIWRSKPKPEDAKATLAQPEAKKFNDTENSDEKKGFWSWKPVRAISHIGMHKLSCLFSVEVVTAQGLPSSMNGLRLSVCVRKKETKDGSVQAMPSRVDQGAADFEETLFIRCHVYCSHGSGKQLKFEPRPFWIYLVAVDAKELGFGKNCVDLSQLIQESIEKSQQGTRVRQWDTSFGLSGKAKGGELILKLGFQIMEKDGGVQIYNPEENFKSSRFKNLTTFARKQSNSSFSMPSPRITSRRDAWTPSQRRLAEDIQGVDDFNLDDPPSTQKLDGGKEKVEDFDLLDFEVVDKGVEVQEKKKYEGEGSEKSIKVKSATSEVVKEIVHDQFRQTRLTELDSIAKQIKELVSMMEDNNTSIKGDETESLRLDSDEEIVTKEFLHMLEDENIRGFKTNQSEKSPSEVAEVESEVYLPDLGKGLGCVVQTRDGGYLTSMNPLDKVVARNETPKLVMQMSKPYVLPSNQSLNGLELFQKLAGIGLDELSSQVFSMMPLDELIGKTAEQIAFEGIASAIIQGRNKEGASSSAARIVSALKGMANAMSSGRQERISTGLWNVDETPLTAEKILAFTMQKIEFMVVEGLKIQADMAEKEAPYDASPLKMEGNKDNDLLGSAVSLEDWIRDQSFTSTGRSSDGEPSNITLIFVVQLRDPIRRFEAVGGPVMVLIHASSEETRGSDNHQDDEEKRFKVTSMHVGGLKVRSATKNNNEWDSEKQRLTAMHWLIENGLGKAKKKGKHALVKEQGFLWSISSSIVADMWLKTMRNPDVDLFQKE >Vigun04g100250.1.v1.2 pep primary_assembly:ASM411807v1:4:23413050:23413805:-1 gene:Vigun04g100250.v1.2 transcript:Vigun04g100250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTIAGDGEINSSAPLTVACLNLVSLTFMDVFPVSSSCRGSIYFAMKLLVLLVLFRTFISGGVLRVELQKTAMVFCHGFFL >Vigun11g126700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:33374731:33377458:-1 gene:Vigun11g126700.v1.2 transcript:Vigun11g126700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSVKVSSHCMIQTPSKNQFTVGTTVKCPSSLGSAKSVSRSFGLKSSSSFRVTAMASYKIKLIGPDGQENEFEASDDTYILDAAEDAGVELPYSCRAGACSTCAGKIVSGSVDQSDGSFLDDTQMGEGFLLTCVSYPTADCVIETHKEGELY >Vigun05g240400.1.v1.2 pep primary_assembly:ASM411807v1:5:43352997:43354431:1 gene:Vigun05g240400.v1.2 transcript:Vigun05g240400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKSSRNGQSENHRRRRSSSFHAQAGVSVVQLRRRSTVSDLQSLSSIAGSAPDCPPRQPPKMLLKVAVPRSLSPVQVLMTPESTVGDLIEAALRQYVKESRRPILPAKTASDFDLHYSQFSLESLDREENLMELGSRNFFLCPRKPWTTLEGVTTPFVSCAKEAEKLRESRGGGGGGFTWFKLMQFMM >Vigun08g164300.1.v1.2 pep primary_assembly:ASM411807v1:8:33649031:33652862:1 gene:Vigun08g164300.v1.2 transcript:Vigun08g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVEDFFKERKYPFIFTLLILFICVAFFLFSFSNTTSNPVAFYSVIQHQAPPHHASKPKEQELPLKVTNVTADEDQGLPPEEDAVTIDWKLCEDPQNVDFIPCLDNFVAIKALKSRRHMEHRERHCPETSLHCLLPLPKGYKAPVPWPKSRDKIWYDNVPHPKLVEYKKDQHWVVKSGEYLVFPGGGTQFKDGVDHYIEFIQKTLPAIKWGKHTRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLYELNRILRPGGFFAWSATPVYRDDERDQKVWNAMVDITKAMCWKVVAKAHDSSGIGLVIYQKPTSSSCYEKRKENNPPLCENGDGKNSSWYARLNNCLTPLPVDDKGKLQSWPKSWPQRLTSKPPSLPTDSVSKDKFFKDSKRWSELVSDVYVKALPINWSSVRNVMDMNAGYAGFAAALVDLPVWVMNVVPIDVPDTLSIIMDRGFIGMYHDWCESFSTYPRTYDLLHSSFLFKYLEPRCDILDVAVEIDRILRPNGYLVVHDSMEILNKLTPIFSSLHWSVTLHQDQFLVARKGFWRPTSSSD >Vigun04g022900.1.v1.2 pep primary_assembly:ASM411807v1:4:1742713:1749468:-1 gene:Vigun04g022900.v1.2 transcript:Vigun04g022900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSKTRNMFEGLVKEGSFKWLLGKKSYFDEELEEMENSPSAGRNFIRELSPVANLVVRRCSKILKTSSIDLQESFNQEASDSVKHPSRYARNLLEYCCFKALFLTTQMTGHLFDKTFRRLTFDMMLAWEVPAADSPPLTNKVDEEVSVGLEAFCRIAPSIPIIADVIISENLFEALSSSTDGRLQFPIYDKYLSGLERAIRKMKSNSESSLLAAVRSSREEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALHFEALRVVSYDKPKRYDLSEDLKQVVKPELTGPWGTRLFDKAVFYSSSSLSEPVVLEFPELKGHARRDYWLAIIQEILYVHRFVSKYGIKGVARDEVLWKAVLGILRLQAIQDISSTIPIQNDALLMFNLCDQLPGGDLILETLANMPNRRESDRENDFKDGGGMRSISALDMVSNLGFVFGASSNNSNESKIAVGEISVGEMTELEIAIKESKNNHKKVISAQATVDGVKVDGIDTNLAVMKELLFPINELWKSLQALAYWDDLRKSSGFCLFFSYIIYRNWLGYAVSVVLMLLGVFMIITRLFSQGRSVPEVKVIAPPPMNTMEQLLAVQNAVSQAEQLIQDGNVILLKLRGLLLSIFPQATEKLAFCLLSAGLILAFVPYKYIVMLLFLETFTRYSPLRKTSTERLTRRLKEWWCSVPAAPVTIERDKEEKKKK >Vigun09g040400.1.v1.2 pep primary_assembly:ASM411807v1:9:3660990:3663264:1 gene:Vigun09g040400.v1.2 transcript:Vigun09g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKKTTKVQFFRLPYKGRIFEEHQKGWFFRNKKDLVRYFRNSGLSTIVFHGPLDQVQCNFVITTTSVKIGRG >Vigun10g014350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:1555395:1557198:1 gene:Vigun10g014350.v1.2 transcript:Vigun10g014350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQKDQKTKKKSNFFKTIFSLPKLSKSGKKKKTASNAPKEDVSEKYASHTPKHYGEFSNTINNHEKEEEISNTFNNHVKEQEFSNYFNNHVMNDSPLRSSIIEASQYHGRSGEEFLKATYYFNDHYSEGCSIM >Vigun06g151400.2.v1.2 pep primary_assembly:ASM411807v1:6:27594087:27595898:-1 gene:Vigun06g151400.v1.2 transcript:Vigun06g151400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSILNQVNLRRSAERWFLAWDEGLNMHLRSWCSATAASSDQILDRVIALAKKYDKINASKVTETADFQKDLNMDSLDRVELIMALEEEFSIEIPDEQADKLACCADVAKYIAEVDQKNVEKP >Vigun06g151400.1.v1.2 pep primary_assembly:ASM411807v1:6:27593115:27595952:-1 gene:Vigun06g151400.v1.2 transcript:Vigun06g151400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRKSILNQVNLRRSAERWFLAWDEGLNMHLRSWCSATAASSDQILDRVIALAKKYDKINASKVTETADFQKDLNMDSLDRVELIMALEEEFSIEIPDEQADKLACCADVAKYIAEVDQKNVEKP >Vigun02g176000.1.v1.2 pep primary_assembly:ASM411807v1:2:31798169:31801486:-1 gene:Vigun02g176000.v1.2 transcript:Vigun02g176000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVKPLQLFQDLTNSTQNQRGRLLGLDVGEKYVGLALSDFDNKIASPFSVLVRKKSNISLMASDFQSLISKYSLKGFVVGVPFDRHRVAAEAFPVKGLIDHLCRTKMLEGVKYTYWNECFTSKNVELLLKPLNLNKPVLSKTILDKFAAVGILQGYLDFVNRKMKLTAVE >Vigun02g176000.4.v1.2 pep primary_assembly:ASM411807v1:2:31797888:31801486:-1 gene:Vigun02g176000.v1.2 transcript:Vigun02g176000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVKPLQLFQDLTNSTQNQRGRLLGLDVGEKYVGLALSDFDNKIASPFSVLVRKKSNISLMASDFQSLISKYSLKGFVVGVPFDRHRVAAEAFPVKGLIDHLCRTKMLEGVKYTYWNECFTSKNVELLLKPLNLNKPVLSKTILDKFAAVGILQGYLDFVNRKMKLTAVE >Vigun02g176000.3.v1.2 pep primary_assembly:ASM411807v1:2:31797816:31801497:-1 gene:Vigun02g176000.v1.2 transcript:Vigun02g176000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVKPLQLFQDLTNSTQNQRGRLLGLDVGEKYVGLALSDFDNKIASPFSVLVRKKSNISLMASDFQSLISKYSLKGFVVGVPFDRHRVAAEAFPVKGLIDHLCRTKMLEGVKYTYWNECFTSKNVELLLKPLNLNKPVLSKTILDKFAAVGILQGYLDFVNRKMKLTAVE >Vigun01g083600.2.v1.2 pep primary_assembly:ASM411807v1:1:23663248:23680414:-1 gene:Vigun01g083600.v1.2 transcript:Vigun01g083600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMKVAEAVHVLNHDTQSCNRVAANQWLVQFQQTHAAWDVATAILTADRHLPFASNFEVEFFAAQILKRKIQNEGYLLQLGVKDALLNALLLAVKRFSTGPPQLLTQICLALSALVLQVAAYGNPIEQLFYSLQNLQSQDDGNIAVLEMLTVLPEEVVDNQRIDSKISSLHKSHYTQELLSHTPMVLEFLLQQSEINFDGSVQQHERNRKILRCLLSWVKAGCFSEISPGTLPAHPLLNFVFNSLQVSLSFDLAIEVLVELVTKHEGVPQILLCRVQYLKEVLLFPALTRGDMKVIGGLACLLSEIGQAAPSLIVEASAEALALTDALLSCVAFSSEDWEIADSTLQFWSTLASYILGIEDGAKSRKHMEDIFSPVFSTLVDSLLLRSQVDDCTYNDDGRVVDLPDGLIHFRMNLVELLVDICHLLGSATFMQKLFIGGWASQNLSIPWKEVESKLFALNAVADVIIQDGQSYDFSVVMQLVTMLSIKPSDGLKGFICIVYRSLADVVGSYSKWISAFKENFRSLLLFLAIGISEPLSSNACASALRKVCEDASVVIYEPSNLEILMWIGEGLEKLNLSLEDEEEVMHAISLVLGSVSNGELKNNLLARLLSSSYEAIGKLVDPEISLSLKQSPASYTQVLNAAARGLHRIGTVFSHLSISVATEPAADDSILSLLRVFWPILEKIFGSEHMENGNLSVAACRALSLAVQSSGQHFVTLLPKVMDWLSTNFVLFQSHECYIRTASIVIEEFGHLEEYGPLFVTLFERFTHAASVMALTSSYICDQEPDLVEAYTNFASTFIRSCNKDALSACASLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLVSLLECMNCITEGSFNITAIHVISHSGEGLVSNVVYALLGVSAMSRVHKCATILQQLAAICTLSERTTWKAILCWQTLHGWLQYAVQALPAEYLNHGEAEAIVPLWSKALADAASDYLESKNSDGLKSDFGHMQGKGGRVLKRLVREFADAHRNIPNLT >Vigun01g083600.3.v1.2 pep primary_assembly:ASM411807v1:1:23663248:23680414:-1 gene:Vigun01g083600.v1.2 transcript:Vigun01g083600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMKVAEAVHVLNHDTQSCNRVAANQWLVQFQQTHAAWDVATAILTADRHLPFASNFEVEFFAAQILKRKIQNEGYLLQLGVKDALLNALLLAVKRFSTGPPQLLTQICLALSALVLQVAAYGNPIEQLFYSLQNLQSQDDGNIAVLEMLTVLPEEVVDNQRIDSKISSLHKSHYTQELLSHTPMVLEFLLQQSEINFDGSVQQHERNRKILRCLLSWVKAGCFSEISPGTLPAHPLLNFVFNSLQVSLSFDLAIEVLVELVTKHEGVPQILLCRVQYLKEVLLFPALTRGDMKVIGGLACLLSEIGQAAPSLIVEASAEALALTDALLSCVAFSSEDWEIADSTLQFWSTLASYILGIEDGAKSRKHMEDIFSPVFSTLVDSLLLRSQVDDCTYNDDGRVVDLPDGLIHFRMNLVELLVDICHLLGSATFMQKVLWKLFIGGWASQNLSIPWKEVESKLFALNAVADVIIQDGQSYDFSVVMQLVTMLSIKPSDGLKGFICIVYRSLADVVGSYSKWISAFKENFRSLLLFLAIGISEPLSSNACASALRKVCEDASVVIYEPSNLEILMWIGEGLEKLNLSLEDEEEVMHAISLVLGSVSNGELKNNLLARLLSSSYEAIGKLVDPEISLSLKQSPASYTQVLNAAARGLHRIGTVFSHLSISVATEPAADDSILSLLRVFWPILEKIFGSEHMENGNLSVAACRALSLAVQSSGQHFVTLLPKVMDWLSTNFVLFQSHECYIRTASIVIEEFGHLEEYGPLFVTLFERFTHAASVMALTSSYICDQEPDLVEAYTNFASTFIRSCNKDALSACASLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLVSLLECMNCITEGSFNITAIHVISHSGEGLVSNVVYALLGVSAMSRVHKCATILQQLAAICTLSERTTWKAILCWQTLHGWLQFRLFLLNT >Vigun01g083600.1.v1.2 pep primary_assembly:ASM411807v1:1:23663248:23680414:-1 gene:Vigun01g083600.v1.2 transcript:Vigun01g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMKVAEAVHVLNHDTQSCNRVAANQWLVQFQQTHAAWDVATAILTADRHLPFASNFEVEFFAAQILKRKIQNEGYLLQLGVKDALLNALLLAVKRFSTGPPQLLTQICLALSALVLQVAAYGNPIEQLFYSLQNLQSQDDGNIAVLEMLTVLPEEVVDNQRIDSKISSLHKSHYTQELLSHTPMVLEFLLQQSEINFDGSVQQHERNRKILRCLLSWVKAGCFSEISPGTLPAHPLLNFVFNSLQVSLSFDLAIEVLVELVTKHEGVPQILLCRVQYLKEVLLFPALTRGDMKVIGGLACLLSEIGQAAPSLIVEASAEALALTDALLSCVAFSSEDWEIADSTLQFWSTLASYILGIEDGAKSRKHMEDIFSPVFSTLVDSLLLRSQVDDCTYNDDGRVVDLPDGLIHFRMNLVELLVDICHLLGSATFMQKVLWKLFIGGWASQNLSIPWKEVESKLFALNAVADVIIQDGQSYDFSVVMQLVTMLSIKPSDGLKGFICIVYRSLADVVGSYSKWISAFKENFRSLLLFLAIGISEPLSSNACASALRKVCEDASVVIYEPSNLEILMWIGEGLEKLNLSLEDEEEVMHAISLVLGSVSNGELKNNLLARLLSSSYEAIGKLVDPEISLSLKQSPASYTQVLNAAARGLHRIGTVFSHLSISVATEPAADDSILSLLRVFWPILEKIFGSEHMENGNLSVAACRALSLAVQSSGQHFVTLLPKVMDWLSTNFVLFQSHECYIRTASIVIEEFGHLEEYGPLFVTLFERFTHAASVMALTSSYICDQEPDLVEAYTNFASTFIRSCNKDALSACASLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLVSLLECMNCITEGSFNITAIHVISHSGEGLVSNVVYALLGVSAMSRVHKCATILQQLAAICTLSERTTWKAILCWQTLHGWLQYAVQALPAEYLNHGEAEAIVPLWSKALADAASDYLESKNSDGLKSDFGHMQGKGGRVLKRLVREFADAHRNIPNLT >Vigun11g030600.2.v1.2 pep primary_assembly:ASM411807v1:11:3952968:3954209:-1 gene:Vigun11g030600.v1.2 transcript:Vigun11g030600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLTPSPHYHPVHFLYYLFLEFFVMSLSGKISTELPVQATAEKWFHTVRNQLHHIQHVSGDVHGAGLDQGDDWRANDSVKHWTYTVDGRVETCHERIESVDEQKKRIAFKIFGEAIDAKYKVYKLIFEAIEKDDGSGAIKWSVEYEKVSEDVQPPYMYLEFYDHLTEDVDAHLLKADEKNARK >Vigun11g012700.1.v1.2 pep primary_assembly:ASM411807v1:11:1515181:1516936:1 gene:Vigun11g012700.v1.2 transcript:Vigun11g012700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRKDKVLEQERVSILGMLLNCESDKKPSFEVQCVDTPIQPNGHDCGVLVLKFIEMWDGVSQFNGKALPNYTTEELQLIRQKFVCDWVLNEDNVLRDEVIQHYDLLLKK >Vigun11g012700.3.v1.2 pep primary_assembly:ASM411807v1:11:1515181:1516856:1 gene:Vigun11g012700.v1.2 transcript:Vigun11g012700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRKDKVLEQERVSILGMLLNCESDKKPSFEVQCVDTPIQPNGHDCGVLVLKFIEMWDGVSQFNGKALPNYTTEELQLIRQKFVCDWVLNEDNVLRDEVIQHYDLLLKK >Vigun11g012700.2.v1.2 pep primary_assembly:ASM411807v1:11:1515181:1516856:1 gene:Vigun11g012700.v1.2 transcript:Vigun11g012700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRKDKVLEQERVSILGMLLNCESDKKPSFEVQCVDTPIQPNGHDCGVLVLKFIEMWDGVSQFNGKALPNYTTEELQLIRQKFVCDWVLNEDNVLRDEVIQHYDLLLKK >Vigun11g100566.1.v1.2 pep primary_assembly:ASM411807v1:11:29491449:29496283:-1 gene:Vigun11g100566.v1.2 transcript:Vigun11g100566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMFSLFNDFIVLSKVSVPLTVLTANRVSNFCDKNEVLSAISSSPEGFHVAILVVSISNQEVLAGEGKKKPPPSSCYQRLIMEPPSSPLHMSSPQLLFLTCCHVHLVKLIHVAMSVKDAKVSHFPIAGFQGDSKEYIDPIN >Vigun11g100566.2.v1.2 pep primary_assembly:ASM411807v1:11:29491449:29496266:-1 gene:Vigun11g100566.v1.2 transcript:Vigun11g100566.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMFSLFNDFIVLSKVSVPLTVLTANRVSNFCDKNEVLSAISSSPEGFHVAILVQVSISNQEVLAGEGKKKPPPSSCYQRLIMEPPSSPLHMSSPQLLFLTCFQGDSKEYIDPIN >Vigun02g136700.1.v1.2 pep primary_assembly:ASM411807v1:2:28623554:28625490:-1 gene:Vigun02g136700.v1.2 transcript:Vigun02g136700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKAMEAETYFLVIIISLFFLWHWLAKYQKLKPNQSHKLPPGPKKLPFIGNLHQLSGAGSLPHRAFYNLAHKYGPLMHLQLGEISAVVASSPDLAKEILKTNDTAFVQRPQFVFGDILSYGGMNIVFAPYGDYWRQIKKISVLELLSAKRVKSFSFIREEETAKFIDSIRKSAGSPINLTAKIYSLISDFVFRAAFGRKYKDQEYVVPLMRRVIEEATGFGLVDFFPSLKFIHFITGKRAKLEKLQKQVDEVLDNIVKEHEEKRREAKEDGVEVEDEDIVDVLLTIQQNDNPNLKMTTTQIKALILDIFVAGTDTSASVLEWIMAEIVKNPRVMEKAQSEIREAFREKERIDESDVEELNYLKLVMKETLRLHPPVPLLLPRECSELSEVGGYEIPEKTRVMINAWSLGRDPEYWSDGEKFVPERFEGNGVDFKGNNFEYVPFGAGRRMCPGMTFGVASIMLPLALLLFHFNWELPNQMKAEDMDMSEHFGVVISRENELRLIPSLYHP >Vigun01g104200.1.v1.2 pep primary_assembly:ASM411807v1:1:27454550:27459211:-1 gene:Vigun01g104200.v1.2 transcript:Vigun01g104200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRSQKENIMDSGDDETGSASSSSGKFLLGFIEANIVGVQHHHGTIRGRAVVVLDREPDNIHDANAIKVSNIHGDPVGYIERAVAAVLTPLIDDELITVAAIVPDARKTYRILCQIHIFARLQDFDVVKCAVSRGPCRFITESEAAFTLSDSAAVKATKAQKRFMTVDAIFNLVNSNLADKNRAIDILEPPKSIIRAELLPHQKEGLAWLVRRENTDDLPPFWEENHSKFVNILTDYQTDKRPDPLRGGIVADEMGLGKTLIMLSLIAFDKSQMGVSRNGRTDRKWVSVGKRRRNEVEGSHGTGVRTNGTLVVCPPSVMSAWITQLEDHTVHGGLKTYMYYGEKRTKDIEELKKYDLVLTTYTTLSNEPDEMPAKQMEWRRIILDEAHTIKNFTANQSKTVFGLQGQYRWAVTGTPIQSGCIDLYSFMVFLRFQPFSERRYWNLLVQRPLAQCLETGLTRLQVLMEAIALRRTKDMALVCLPPKTVEICYVELSVEERQLYDREKEKTKALLRRSYIRVNSPLSEYSEVLNSILILRQICADSKLCKFQSHSSITDSEGEAIVSYNPELLQTLLGQLEEGEDFECPICLSPPTDIVITRCAHIFCRQCILRSLEKKNSGDCPLCRRKLSSESDIFSPPPKPETDSAELSSASDKPLSSKVSTLIRFLNESRDKNPTVKSVVFSQFRKLLLLLEEPLNAAGFKTMRLDGTMNAKHRTNVIEQFQARRSDGYPTVLLASLRASSAGINLTAASRLYFMEPWWNHAVEEQAMDRVHRIGQDQPVKIVRFIAQNSIEEKMLVLQERRKQLSTEEPSERVRKGIGILDMKFLLDS >Vigun09g172205.1.v1.2 pep primary_assembly:ASM411807v1:9:34208439:34214235:-1 gene:Vigun09g172205.v1.2 transcript:Vigun09g172205.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding SKPESRNLKPEIGNPKSPKPEIPKTNPEIRNPKPQTQNPKPETRKPNPETLNPKPETRNPKSITQIPKPENSKSKPEPETCNPKLKPPNSKPQTRNPKLNPKPETQPESRNPKPEIGNPKSPKPKNPKTNPEIRNPKPETGKPETQPEIPKTNPEIRNPKPQTQNPKPETRKPNPETLNPKPETRNPKSITQIPKPENSKSKP >Vigun06g033900.1.v1.2 pep primary_assembly:ASM411807v1:6:14292339:14294327:1 gene:Vigun06g033900.v1.2 transcript:Vigun06g033900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKGSYTVVPNEPTPEGFQWLSEPDQVAPNSHTPTLYVYNAKHNHDALVEHIRNSLSKILCYYYPVAGRLRKLKERGRLELNCNAKGAVLIDAESTKTVHDYGDFMGDSAKDLVPKVDNRNTPIEELPLLVVQVTSFLGDEAFSIGVALLHTLSDGVASIQFINSWAKLARGETLEPHEMPFLDRTVLKFTEPPSPPRFEHQEFKPLPLILGRSDTTIEKSKRVEAITLKLTAEQVGKLKNKANADKSREGSRPYSRFEVISGHVWRCASKARGLDENQPILLRFIASIRSRVIPPLPRNYFGNALVLKTASSRVGDILSNSLGHAAQKIREAVEELTYDYIRSQIDIVRGEEDIDKARALYYGPKEGKDVFFFGNPNLRITSWLSMPMNETDFGWGKPLYSGLAGGVAQERALITQSPGGDGSVILFLHFQAEHMELFKNYFYEEI >Vigun08g223100.2.v1.2 pep primary_assembly:ASM411807v1:8:38086766:38093405:1 gene:Vigun08g223100.v1.2 transcript:Vigun08g223100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNFTSSLFVSQYHGSARRRPFIFKRNNHICYGYCPRFLRQAQSLSSPLVTLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQHVDVKRELLLLSLPALAGQAIDPLSQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMAKAASAQHSDSADQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGAFLNLIGVSTQNPTFAPARQFLSIRAVGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKQTELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAASGQALVASSVSRHEYKVVKEITSFVLRIGLVMGICLTAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIFDGLHYGVSDFRYAAFSMMLVGAVSSAFLLFAAPVFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun08g223100.4.v1.2 pep primary_assembly:ASM411807v1:8:38086827:38092960:1 gene:Vigun08g223100.v1.2 transcript:Vigun08g223100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNFTSSLFVSQYHGSARRRPFIFKRNNHICYGYCPRFLRQAQSLSSPLVTLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQHVDVKRELLLLSLPALAGQAIDPLSQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMAKAASAQHSDSADQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGAFLNLIGVSTQNPTFAPARQFLSIRAVGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKQTELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAASGQALVASSVSRHEYKVVKEITSFVLRIGLVMGICLTAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIFDGLHYGVSDFRYAAFSMMLVGAVSSAFLLFAAPVFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun08g223100.5.v1.2 pep primary_assembly:ASM411807v1:8:38086756:38093406:1 gene:Vigun08g223100.v1.2 transcript:Vigun08g223100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNFTSSLFVSQYHGSARRRPFIFKRNNHICYGYCPRFLRQAQSLSSPLVTLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQHVDVKRELLLLSLPALAGQAIDPLSQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMAKAASAQHSDSDQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGAFLNLIGVSTQNPTFAPARQFLSIRAVGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKQTELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAASGQALVASSVSRHEYKVVKEITSFVLRIGLVMGICLTAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIFDGLHYGVSDFRYAAFSMMLVGAVSSAFLLFAAPVFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun08g223100.1.v1.2 pep primary_assembly:ASM411807v1:8:38086756:38093406:1 gene:Vigun08g223100.v1.2 transcript:Vigun08g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNFTSSLFVSQYHGSARRRPFIFKRNNHICYGYCPRFLRQAQSLSSPLVTLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQHVDVKRELLLLSLPALAGQAIDPLSQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMAKAASAQHSDSDQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGAFLNLIGVSTQNPTFAPARQFLSIRAVGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKQTELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAASGQALVASSVSRHEYKVVKEITSFVLRIGLVMGICLTAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIFDGLHYGVSDFRYAAFSMMLVGAVSSAFLLFAAPVFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun08g223100.3.v1.2 pep primary_assembly:ASM411807v1:8:38086766:38093405:1 gene:Vigun08g223100.v1.2 transcript:Vigun08g223100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNFTSSLFVSQYHGSARRRPFIFKRNNHICYGYCPRFLRQAQSLSSPLVTLRAKPSSLPPNHLTAEQQHADEDHQLHPNPAVKLPLDQNEKLQHVDVKRELLLLSLPALAGQAIDPLSQLMETAYIGRLGTVELASAGVSVSIFNIISKLFNIPLLSVATSFVAEDMAKAASAQHSDSADQGATENTGNGKPFKTVPHRKQLPSVSTALLLALGLGIFEALALSLGSGAFLNLIGVSTQNPTFAPARQFLSIRAVGAPAVVLSLALQGIFRGFKDTKTPVLCLGIGNFSSVFLFPLLMYYFRLGVTGAAISTVISQYIGTMLMIWCLNKQTELLPPRMGNLQFGSYIKSGGFLLGRTLAVLSTITLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAASGQALVASSVSRHEYKVVKEITSFVLRIGLVMGICLTAILGASFGPLATIFTQDSEVLLVVRSLVLFVSVSQPFNALAYIFDGLHYGVSDFRYAAFSMMLVGAVSSAFLLFAAPVFGLQGVWLGLVLFMALRAVAGAVRCLSKNGPWWFLHRDLQIV >Vigun08g176500.2.v1.2 pep primary_assembly:ASM411807v1:8:34687353:34694690:-1 gene:Vigun08g176500.v1.2 transcript:Vigun08g176500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKTPTSLVFGVNGERFELSHVDPSTTLLQFLRTRTRFKSVKLGCGEGGCGACVVLISRYDPVLEQVEDFTASSCLTLLCSIHGCSITTSEGIGNSKEGFHPIHERFAGFHATQCGFCTPGMCVSLFGTLVNAEKTDRAEPPDGFSKVTVSEAEKAIAGNLCRCTGYRPIADVCKSFAADVDMEDLGFNSFWRKGDNNDLKVSMLPRYDRNQLSNKFPTFLKEIKHDVFLASEKHSWHRPISLTELQSLLKSNNSNGTRIKIVVSNTGMGYYKDKEDYDKYIDLRGISELSKIRKDRTGIEIGAAVAISKAIEVLREYIRGEILSDYAMILEKIADHMSKVASGFIRNTASVGGNLVMAQKNNFPSDIAVILLAADAMVHIMTGTQFEWLTLEEFLERPALGLESVLLSIKIPSLELNQSESSEPRSRFLFETYRASPRPLGNALPYLNAAFLVKVSPCKDSGGTVIDTCRLSFGAYGSKHAIRAKKVEELLAGKLLSASVLYDAVNLTTATIVSQDDNALTAYRSSLAAGFIFQFFNPLIDSPERISNGYLNGNGKNSSAEEFELKVSQKKVPHDKVPTLLISGKQVLEAGHEYHPVGEPIVKSGAALQASGEAVFVDDIPSPSNCLHGAYIYSAKPLARIKSIKLTPELQLDGVRDIISYKDIPNGGENIGSKSIFGIAPLFAEEKARCVGNRLAFVVADTQKVADIAANSAVVDYDTENLEPPILSVEDAVERSSFFQVPPYLDPKHVGDISKGMAEAEHKILSAEMKLGSQYYFYMETQTALAVPDEDNCITVYYSSQLPESVHSTIATCLGIPENNVRVITRRVGGGFGGKALKSIPIATSCALAAHKLQRPVRMYLNRKTDMIMAGGRHPMKITYSVGFRNDVSALEKYNWGALAFDIKVCRTNHPSRSAMRGPGDVQGSHIAEAILENVAATLSMDVDSVRSINLHTHKSLQLFYENYSGEPYEYTLPSIWSKLAVSSNYDQRTKMVKEFNRINSWKKRGIARVPVVMKVILRPTPGKVSIFSDGSVVVEVGGVEIGQGLWTKVKQMAAYALSAVECEGTEDLFDKVRVVQADTVSMIQGGFTAGSTTSESSCEAVRLSCNILVERLKPLKEKLLEKMGSIKWETLVLQAYMQAVNLSASSLYTPGNDSHGYLNYGAAVSEVEIDLLNGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFIQGLGFFMLEEYETNLDGLVLQDGTWNYKIPTVDTIPMQFNVEILNSGHHQHRVLSSKASGEPPLILASSIHCATRGAVKEARKQLLSWSNQDGADSTFELGVPATMPVVKELCGLHIVQTYLKWKMTKQVKG >Vigun08g176500.1.v1.2 pep primary_assembly:ASM411807v1:8:34687353:34694690:-1 gene:Vigun08g176500.v1.2 transcript:Vigun08g176500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKTPTSLVFGVNGERFELSHVDPSTTLLQFLRTRTRFKSVKLGCGEGGCGACVVLISRYDPVLEQVEDFTASSCLTLLCSIHGCSITTSEGIGNSKEGFHPIHERFAGFHATQCGFCTPGMCVSLFGTLVNAEKTDRAEPPDGFSKVTVSEAEKAIAGNLCRCTGYRPIADVCKSFAADVDMEDLGFNSFWRKGDNNDLKVSMLPRYDRNQLSNKFPTFLKEIKHDVFLASEKHSWHRPISLTELQSLLKSNNSNGTRIKIVVSNTGMGYYKDKEDYDKYIDLRGISELSKIRKDRTGIEIGAAVAISKAIEVLREYIRGEILSDYAMILEKIADHMSKVASGFIRNTASVGGNLVMAQKNNFPSDIAVILLAADAMVHIMTGTQFEWLTLEEFLERPALGLESVLLSIKIPSLELNQSESSEPRSRFLFETYRASPRPLGNALPYLNAAFLVKVSPCKDSGGTVIDTCRLSFGAYGSKHAIRAKKVEELLAGKLLSASVLYDAVNLTTATIVSQDDNALTAYRSSLAAGFIFQFFNPLIDSPERISNGYLNGNGKNSSAEEFELKVSQKKVPHDKVPTLLISGKQVLEAGHEYHPVGEPIVKSGAALQASGEAVFVDDIPSPSNCLHGAYIYSAKPLARIKSIKLTPELQLDGVRDIISYKDIPNGGENIGSKSIFGIAPLFAEEKARCVGNRLAFVVADTQKVADIAANSAVVDYDTENLEPPILSVEDAVERSSFFQVPPYLDPKHVGDISKGMAEAEHKILSAEMKLGSQYYFYMETQTALAVPDEDNCITVYYSSQLPESVHSTIATCLGIPENNVRVITRRVGGGFGGKALKSIPIATSCALAAHKLQRPVRMYLNRKTDMIMAGGRHPMKITYSVGFRNDGKITALELQILINAGIDVDVSAVLPHNTVSALEKYNWGALAFDIKVCRTNHPSRSAMRGPGDVQGSHIAEAILENVAATLSMDVDSVRSINLHTHKSLQLFYENYSGEPYEYTLPSIWSKLAVSSNYDQRTKMVKEFNRINSWKKRGIARVPVVMKVILRPTPGKVSIFSDGSVVVEVGGVEIGQGLWTKVKQMAAYALSAVECEGTEDLFDKVRVVQADTVSMIQGGFTAGSTTSESSCEAVRLSCNILVERLKPLKEKLLEKMGSIKWETLVLQAYMQAVNLSASSLYTPGNDSHGYLNYGAAVSEVEIDLLNGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFIQGLGFFMLEEYETNLDGLVLQDGTWNYKIPTVDTIPMQFNVEILNSGHHQHRVLSSKASGEPPLILASSIHCATRGAVKEARKQLLSWSNQDGADSTFELGVPATMPVVKELCGLHIVQTYLKWKMTKQVKG >Vigun09g008100.1.v1.2 pep primary_assembly:ASM411807v1:9:629732:632257:1 gene:Vigun09g008100.v1.2 transcript:Vigun09g008100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCDKEDYFTAQDAETTSGGKYGTLVPKKKPLISKDNERAFFDSADWALCKQGAGVNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >Vigun09g008100.3.v1.2 pep primary_assembly:ASM411807v1:9:629923:632257:1 gene:Vigun09g008100.v1.2 transcript:Vigun09g008100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCDKEDYFTAQDAETTSGGKYGTLVPKKKPLISKDNERAFFDSADWALCKQGAGVNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >Vigun09g008100.5.v1.2 pep primary_assembly:ASM411807v1:9:629601:632341:1 gene:Vigun09g008100.v1.2 transcript:Vigun09g008100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCDKEDYFTAQDAETTSGGKYGTLVPKKKPLISKDNERAFFDSADWALCKQGAGVNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >Vigun09g008100.4.v1.2 pep primary_assembly:ASM411807v1:9:629923:632257:1 gene:Vigun09g008100.v1.2 transcript:Vigun09g008100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCDKEDYFTAQDAETTSGGKYGTLVPKKKPLISKDNERAFFDSADWALCKQGAGVNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >Vigun09g008100.6.v1.2 pep primary_assembly:ASM411807v1:9:631105:632257:1 gene:Vigun09g008100.v1.2 transcript:Vigun09g008100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVEDNSIWWLTTSGGKYGTLVPKKKPLISKDNERAFFDSADWALCKQGAGVNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >Vigun07g073800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:9411047:9412235:1 gene:Vigun07g073800.v1.2 transcript:Vigun07g073800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSFVGKIEKGVSLLVHRRATSVVSDDVREGYFAVLATKGGESKRFIVGLNFLNDPAFLGLLDQAQEEFGFRQKGALEIPCQPQELQKILDRSRV >Vigun03g399200.1.v1.2 pep primary_assembly:ASM411807v1:3:60570056:60573385:-1 gene:Vigun03g399200.v1.2 transcript:Vigun03g399200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAAKRLLGGARYYYSTNFGLRCQPPQKLVLSSRFFTSEPQPFPDPHSINRGIPVVTTTEAPISSDSASSSSSTEDGAANPKTNYEDQQARVLQASLPYVIKLGWTEAALIAGARDVGLSPSIVGSLSRKEAALVEYFMDDCLQRLVDKIESDESLKNLTPSDCISKLIRFRLEMQAPYISTWPQALSIQAQPANVPTSFKQRAVLVDEIWHAAGDKASDIDWYAKRTILGGIYSTTEIYMLTDSSPDFRDTWAFLDARVKDAFNIKKTIQEAQSLAEAVSVGLGNSFQGFFGKGFQR >Vigun05g247000.1.v1.2 pep primary_assembly:ASM411807v1:5:44041144:44045742:1 gene:Vigun05g247000.v1.2 transcript:Vigun05g247000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKWRKVKLAIGINTCVQRPKTIDNPTSSDRLSPSSSEHHRSAASTTSTSGLRLSRSKSKSFKGTCAICLNSMKPGQGHAIFTAECSHSFHFHCITSNVKHGNKICPVCRAKWKEVPFQSRSSKVSHEINRVNNSSGNDEWATLIGRFASHQTVTGPQHAYSNNVSEPAIFDDDDEVLVQQTSVTDDLNEAHHNVIDPMEIRTYPELSAVAKSDSHDNFAVLINLKAPPRSGRQRNNTESSSSSLSYLSALNSRAPIDLVTVLDVSGSMSGTKIALLKRAMGFVIENLSSSDRLSVVTFSSTARRIFPLRRMTVAGKQEALQAVNSLVPNGVTSIAEGLRKGAKVFADRRWKNPVGSIILLSDGQDSSINSSSLNLGTDDYRSLVPNTIHRSSSMGQHIPVHAFGFGVDHDATAMHTISEISGGTFSFIEDEDVIQDAFAQCIGGLLSVVVQELQVEVECVHPRLQLGSVKAGSYQTSTIGSGKMATIKVGDMYAEEERDFLVTVNVPVEKSSDEMPLLVVRGVYKDPITKAMKGMGVKNEVKIERPDVARDVVVSLEVDKQRNRLRAAEVMAEARVIAERGDLSLAASVLERCHEALSETISAKAGDQLCMALCAELKEMQERMANQRVYEHSGRAYVLSGLCAHSGQRATARGDSTDSTSFVNAYRTPSMVDMVSRSQTLIMRPEPVNLLKPARSSSSRHQRRK >VigunL034901.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:273263:275691:-1 gene:VigunL034901.v1.2 transcript:VigunL034901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGDVRKITNLTLSPSILFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Vigun03g427200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63243788:63248409:1 gene:Vigun03g427200.v1.2 transcript:Vigun03g427200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEALRAKDIAEKKMENRDFAGARKIALKAQQLYPDLENIAQMLVVCDVHCCAEKKLYGSEIDWYEILQVEQTAVDALIKKQYRKFALQLHPDKNKFAGAEAAFKLIGEAQRVLLDREKRNVFDMKRRVPMNKPATSHYNTTAWRNAKSNFTSSTSQQQQQQNGARATFWTVCPFCSVKYQYYKEILDKSLRCQHCKRPFVAYEVGKRGTPSPATNSTQQASDPQKGGLNHGAFKVGAESQSNSHAEKSNIRSSPATNSTQQRGGLNHGAFKVGVGSQSNSHAEKSNIGSSVKMAPASVSRKRKRKQVAESSESSDPLIHSDSEEDRVAGKDGYSSVENNSTTREGHLRRSTRKRHQVSYKEHLNNIDDGFSERCGDGDGHGEKSKMNDHNGLAAAHKEVNQKQHAAAHKEVNQKQHATAPKEVNAAAHKEVNQKKHLYSGRNEETNTFKGKDKGKDVVGGAKQVDETSEHPPGSTSKVSNQPNVYAFPDAEFSDFEKDKRKECFAAGQIWAVYDTAEGMPRFYALIRKVLSPGFKLQLTWFEPHPGWKDEINWVNEELPVSCGKYKLGDTDVTEDHLMFSHLVLCEKISRTSFKVYPRKGETWALFKNWDINWYIDAKSHQPYEYEFVEILTDYVEDEGVYVVFLTKLKGFVSIFLQNIKESKSSFQIPPLELFRFSHRVPSFKMTGVERAGVPSGSYELDPGALPEHFAEMVGHGSSVCENTGTSDRSESLMSEGGRSTPDVNGNTDCCAPLAPETIEIPDTHFFNFDAGRSLEKFQIGQIWAFYSDEDGLPKYYGRINKIVTSPDLELHVSWLTCYRLPENATEWEDKDMGVLISCGRYKVKKTDEFLSIFSTTSSVSHQVHAESVGKSTRYAIFPRKGEVWALYRKWTNKMKSSELKNWEYDIVEVIEETGLFINVLVLEFVSGFSSVFKGKSNEGSSVKLRIPRKELLRFSHQIPAFKLTEEHGHLRDFWELDPGALPTHYYGSR >Vigun03g427200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63243788:63248409:1 gene:Vigun03g427200.v1.2 transcript:Vigun03g427200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEALRAKDIAEKKMENRDFAGARKIALKAQQLYPDLENIAQMLVVCDVHCCAEKKLYGSEIDWYEILQVEQTAVDALIKKQYRKFALQLHPDKNKFAGAEAAFKLIGEAQRVLLDREKRNVFDMKRRVPMNKPATSHYNTTAWRNAKSNFTSSTSQQQQQQNGARATFWTVCPFCSVKYQYYKEILDKSLRCQHCKRPFVAYEVGKRGTPSPATNSTQQASDPQKGGLNHGAFKVGAESQSNSHAEKSNIRSSPATNSTQQRGGLNHGAFKVGVGSQSNSHAEKSNIGSSVKMAPASVSRKRKRKQVAESSESSDPLIHSDSEEDRVAGKDGYSSVENNSTTREGHLRRSTRKRHQVSYKEHLNNIDDGFSERCGDGDGHGEKSKMNDHNGLAAAHKEVNQKQHAAAHKEVNQKQHATAPKEVNAAAHKEVNQKKHLYSGRNEETNTFKGKDKGKDVVGGAKQVDETSEHPPGSTSKVSNQPNVYAFPDAEFSDFEKDKRKECFAAGQIWAVYDTAEGMPRFYALIRKVLSPGFKLQLTWFEPHPGWKDEINWVNEELPVSCGKYKLGDTDVTEDHLMFSHLVLCEKISRTSFKVYPRKGETWALFKNWDINWYIDAKSHQPYEYEFVEILTDYVEDEGVYVVFLTKLKGFVSIFLQNIKESKSSFQIPPLELFRFSHRVPSFKMTGVERAGVPSGSYELDPGALPEHFAEMVGHGSSVCENTGTSDRSESLMSEGGRSTPDVNGNTDCCAPLAPETIEIPDTHFFNFDAGRSLEKFQIGQIWAFYSDEDGLPKYYGRINKIVTSPDLELHVSWLTCYRLPENATEWEDKDMGVLISCGRYKVKKTDEFLSIFSTTSSVSHQVHAESVGKSTRYAIFPRKGEVWALYRKWTNKMKSSELKNWEYDIVEVIEETGLFINVLVLEFVSGFSSVFKGKSNEGSSVKLRIPRKELLRFSHQIPAFKLTEEHGHLRDFWELDPGALPTHYYGSR >Vigun08g056666.1.v1.2 pep primary_assembly:ASM411807v1:8:7062213:7063505:-1 gene:Vigun08g056666.v1.2 transcript:Vigun08g056666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKFTKFMKSKGRNQFKSNKKENQGSSSNFKCYGCGETGHVKADCPNSKRSDEKKSKKYFKKKAYIAWEDNASSSSNSEGSDEEEANFCLMANGDHSDSEWMLKTHDG >Vigun06g179800.1.v1.2 pep primary_assembly:ASM411807v1:6:29993737:29996514:1 gene:Vigun06g179800.v1.2 transcript:Vigun06g179800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIETTCARDSGMSSETVNSTQRTSMSNESVCSTSFSRLSFDLPPSSSSPESLFVKPHRSSDFAYSAILRRKSALTFRDFHLLRRIGAGDIGTVYLCRLRHDEDECFYAMKVVDKEAVALKKKAQRAEMERKILKMVDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHNHPNKRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSHAIPAVESPDSSLDPAFTRTLPYTRQYSTPFSCLSNRVFRSRKVQTLQPNRLFVAEPVGARSCSFVGTHEYVSPEVASGNSHGNAVDWWSFGIFIFEMVYGRTPFAGPSNEATLRNIIKNPLAFPTATPSTTLEMHARDLISGLLNKDPNRRLGSKRGAADVKKHPFFAGLNLALIRMVTPPEVPSLRRQKTTLFYPANDNGNLNNSRHELTAFDYF >Vigun11g045800.1.v1.2 pep primary_assembly:ASM411807v1:11:6919332:6923296:1 gene:Vigun11g045800.v1.2 transcript:Vigun11g045800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLYSDTHRVVLLIDVSSLHDPRHTKRLVSFLETLNYFPPLSSSLFAFKLFFSSLSPLLSFSKLQPFFPNPSLSFDLPSSALPHLSDTLSSLPSSLHHPPSAANADHLAHSLSQLLYDHSWDHPSPQTVPPNLFLLFSPSFTSFTSLASFLNTDSSLLADSTSFCDTVSSFFANVARSFTVRGIHCTWISVGEEDVEANPSDEARKVSSLLRTAVGRLGWGFCSLDSLLLGSALVPFGLVYPVIGVSLSCAYREVSVGGQLKLSILDVNGSPIEYNCCDLEFVDVKGCWDLPGGGVERRERFWKLCLGGGVKLEVKVVRRCDAFVETDDWLSDSVIVREDFRGMKKKDKVGLDEVFADRVLELVATEFGCEWRRKQVPVWELLLSFLYKEDCWALVAVSNGKGESCLGILKPFTVLAGILSVLGDPHGASGFGEANVGQYVKMVDHDVCKPDSKFNEEPSSRSNKSKKRINLNTLRELKWSSFCKSVFEQLETDLLDVYCATEVNKCKKLKFFKCWMKQMKKSGCSDPALLEKLKPNPIVAELGGSKLNDLTQNDEPPMSSFASAEINSEPEALRIQEDAALDFRSETSETFFSNLADRIKWGIESKVVDMGALAERLVNSCIYWLCQKVDKETVSQSQSPLKDHNTCGGMIASELVKLLLREPKEIAAEHKSQNPFSQSQALDSGSATPIAEHVVREYELQILFRMEILQSEVGNEVEDSCKQKFVKQICLLLENIQCHMEVGFFGDWTLENYVTKIIKNRYSLTLEDLVQKIYYKMDLLLFAEEDEAPNSLLNSEDSNKSFSRKVYRDVVFENDVSSNQPFSAENEPVHLQNDVSGRLQRIVDDRDKKLIEAKEKRERALRFSSFTSSMPVLRRVRAPTQKSVKPKTDLQRVRKRKERERAYGTVCETPMTVNKHSNSRARGSDDDHDWADGRKGSVSKALFKDDL >Vigun11g045800.2.v1.2 pep primary_assembly:ASM411807v1:11:6919332:6923296:1 gene:Vigun11g045800.v1.2 transcript:Vigun11g045800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLYSDTHRVVLLIDVSSLHDPRHTKRLVSFLETLNYFPPLSSSLFAFKLFFSSLSPLLSFSKLQPFFPNPSLSFDLPSSALPHLSDTLSSLPSSLHHPPSAANADHLAHSLSQLLYDHSWDHPSPQTVPPNLFLLFSPSFTSFTSLASFLNTDSSLLADSTSFCDTVSSFFANVARSFTVRGIHCTWISVGEEDVEANPSDEARKVSSLLRTAVGRLGWGFCSLDSLLLGSALVPFGLVYPVIGVSLSCAYREVSVGGQLKLSILDVNGSPIEYNCCDLEFVDVKGCWDLPGGGVERRERFWKLCLGGGVKLEVKVVRRCDAFVETDDWLSDSVIVREDFRGMKKKDKVGLDEVFADRVLELVATEFGCEWRRKQVPVWELLLSFLYKEDCWALVAVSNGKGESCLGILKPFTVLAGILSVLGDPHGASGFGEANVGQYVKMVDHDVCKPDSKFNEEPSSRSNKSKKRINLNTLRELKWSSFCKSVFEQLETDLLDVYCATEVNKCKKLKFFKCWMKQMKKSGCSDPALLEKLKPNPIVAELGGSKLNDLTQNDEPPMSSFASAEINSEPEALRIQEDAALDFRSETSETFFSNLADRIKWGIESKVVDMGALAERLVNSCIYWLCQKVDKETVSQSQSPLKDHNTCGGMIASELVKLLLREPKEIAAEHKSQNPFSQSQALDSGSATPIAEHVVREYPSIKFPLIYVMQFFIVLISCNCSNFCSTILVFSLVNSRYELQILFRMEILQSEVGNEVEDSCKQKFVKQICLLLENIQCHMEVGFFGDWTLENYVTKIIKNRYSLTLEDLVQKIYYKMDLLLFAEEDEAPNSLLNSEDSNKSFSRKVYRDVVFENDVSSNQPFSAENEPVHLQNDVSGRLQRIVDDRDKKLIEAKEKRERALRFSSFTSSMPVLRRVRAPTQKSVKPKTDLQRVRKRKERERAYGTVCETPMTVNKHSNSRARGSDDDHDWADGRKGSVSKALFKDDL >Vigun08g146700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:31953619:31954801:1 gene:Vigun08g146700.v1.2 transcript:Vigun08g146700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPQDVADRERIFKRFDANGDGQISAAELGEALKALGSVTPEEVQRMMEEIDTDGDGYISHEEFTEFARANRGLVRDVAKIF >Vigun04g174700.1.v1.2 pep primary_assembly:ASM411807v1:4:39889761:39893510:1 gene:Vigun04g174700.v1.2 transcript:Vigun04g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLSDPKDKKWGKGKDRIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKRAASLADSTPASVPLAFRVEPKPKSGIRQQDLLKKLLRLNLRGQDLMATSQPQLLKMPLSQLVSLIMILQKRSNL >Vigun04g174700.2.v1.2 pep primary_assembly:ASM411807v1:4:39889761:39893510:1 gene:Vigun04g174700.v1.2 transcript:Vigun04g174700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKRAASLADSTPASVPLAFRVEPKPKSGIRQQDLLKKLLRLNLRGQDLMATSQPQLLKMPLSQLVSLIMILQKRSNL >Vigun05g107150.2.v1.2 pep primary_assembly:ASM411807v1:5:10911217:10912237:1 gene:Vigun05g107150.v1.2 transcript:Vigun05g107150.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYEEENSGFSCVTTVPPPCLRVCASIMPSPFLFEVQGNELHIAKTYESSNFLFPFFSFFEAAPKDQILLRKLGALDILRVSLTIGLICFEQGCRGV >Vigun05g107150.1.v1.2 pep primary_assembly:ASM411807v1:5:10911090:10914601:1 gene:Vigun05g107150.v1.2 transcript:Vigun05g107150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYEEENSGFSCVTTVPPPCLRVCASIMPSPFLFEVQGNELHIAKTYESSNFLFPFFSFFEAAPKDQILLRKLGALDILRVSLTIGLICFEQGCRGV >Vigun01g220800.2.v1.2 pep primary_assembly:ASM411807v1:1:39439030:39447291:-1 gene:Vigun01g220800.v1.2 transcript:Vigun01g220800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSMLDTALNDILQVVTPLQEDWQIRFSIINDLRSIVESVESLRGATVEPFGSFVSNLFTRWGDLDISIELSNGLHISSAGKKQKQNLLGEVLKALRMKGVGSHLQFIPNARVPILKFKSNRQGISCDISINNLPGQMKSKILLWMNKIDGRFHDMVLLVKEWAKAHKINNSKTGTFNSYSLSLLVIFHFQTCVPAILPPLKYIYPGNMADDLKETCNASINEHISNKARSINKKSVPDLFVEFLRKYAQMDSWASNLGICPYTGQWEQIENNTIWLPKTYSIFVEDPFEQPQNTARSVSAGQLKKISEAFSKSYSFLSSNNHNLNSLLTMLAPPHVVKSITGIQNYNGSYFHPTQPKVQRVMRPPPQSQHHFQYGSPGTSSNSSASNGHMQMPRGTNFSIPSSNGYTQMPRGTSFNIPSSYGHIPMPRGTSFNIPPSNGHIQMPRGASMSSSSSNGHIQNPRGTKKPRGTNFNIASSNGHVPVNKGQQMWRPKS >Vigun01g220800.1.v1.2 pep primary_assembly:ASM411807v1:1:39439030:39447291:-1 gene:Vigun01g220800.v1.2 transcript:Vigun01g220800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSMLDTALNDILQVVTPLQEDWQIRFSIINDLRSIVESVESLRGATVEPFGSFVSNLFTRWGDLDISIELSNGLHISSAGKKQKQNLLGEVLKALRMKGVGSHLQFIPNARVPILKFKSNRQGISCDISINNLPGQMKSKILLWMNKIDGRFHDMVLLVKEWAKAHKINNSKTGTFNSYSLSLLVIFHFQTCVPAILPPLKYIYPGNMADDLKGVRADAENHIAETCNASINEHISNKARSINKKSVPDLFVEFLRKYAQMDSWASNLGICPYTGQWEQIENNTIWLPKTYSIFVEDPFEQPQNTARSVSAGQLKKISEAFSKSYSFLSSNNHNLNSLLTMLAPPHVVKSITGIQNYNGSYFHPTQPKVQRVMRPPPQSQHHFQYGSPGTSSNSSASNGHMQMPRGTNFSIPSSNGYTQMPRGTSFNIPSSYGHIPMPRGTSFNIPPSNGHIQMPRGASMSSSSSNGHIQNPRGTKKPRGTNFNIASSNGHVPVNKGQQMWRPKS >Vigun04g055800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5451705:5453615:1 gene:Vigun04g055800.v1.2 transcript:Vigun04g055800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKGGEVVEERNMCEGSMAWDEMMKQAAALGGVQRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSQSSTSPALSSKITNLLLQRLKERNNNNNNNNNDNNTFSSSSSSSSSSLLINHQHMQQEVDASTKFSIDQFTDFLNDPDEDYSTSNNEFINNSAQIEYITSSFESCLTEDTKVDVQYKIVTPQTPSNNDDSNSGVEDSEEEGTDFRFLDNIAPPGYYSPFEMAEEIEEPVEAENYGGDEPSMLRAMMKRMTYERKFSASLYAFNGIPECLKLKLESANMKGRGIITDQLTSLQMACSKNRLQKNEEEKEYMATMDGKQEEEEHQQQTTPDMDSSGVDGELLLWNSLDLPPICFVNLLENGSFN >Vigun08g048100.1.v1.2 pep primary_assembly:ASM411807v1:8:5282392:5287664:-1 gene:Vigun08g048100.v1.2 transcript:Vigun08g048100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKVYQTDAKLSTPNKATSLNPNAAEFVPFSLRSSPSGSTSSVDATARFTTTAGSLGKAVLDRSESSISNNSDDEAHQYWRCQLPDDITPDFKVMGEDESQGVNNLSLAGLSINNDNESSMFPSSKGSRYVLNELQELSQQHLNGNTFPDKLRFSNSTYREEPSSPSILNTSAKPWDRQIGKTDLHVSNGQEALVYDDNSGHRFLNDGFSGNSLSNDTDLNPLEFLASLFPGFASESLAEVFFANGCDLHLTIEMLTQLEIQVDGSNFNQNLSPKTVSAPNLSAMEFPALTSSNGQSTAKYAADSVQSGNPYLSSDKDMLMFKSSSSIPSRGAVDFASAVRKLASQDSGIWKFDKNGSGDASTGSSRSLNVLASAYNGGQGRANFGDRLQNSGSARAAPVWLETGDAVGNMYSELREEARDHARLRNAYFEQARQAYLVGNKALAKELSVKGQLHNMHMKAAHGKAQESIYRQRNPVAPEMQGNGRGHQRMIDLHGLHVSEAIHVLKHELSVLRSTARAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRYLLEEEGLDFTEPQPGLIRVVVY >Vigun05g223400.1.v1.2 pep primary_assembly:ASM411807v1:5:41548310:41550610:-1 gene:Vigun05g223400.v1.2 transcript:Vigun05g223400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMLGLFVGLLLLGLAASVKFDELFQPGWAMDHFIHEGELLKLKLDNYSGAGFGSKSKYMFGKVAIQLKLVEGDSAGTVTAFYMSSDGPTHNEFDFEFLGNTSGEPYSVQTNVYVNGVGNREQRLNLWFDPTKDFHTYSIFWNQRQVVFEVDGTPIRVHTNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFFATYKDFEIDACECPVPVTSAGSANKCNSSEDKKYWWDEPTMSELNLHQSHQLMWVRANHMVYDYCTDTARFPVTPAECLHHRHH >Vigun11g070700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:20564947:20565468:1 gene:Vigun11g070700.v1.2 transcript:Vigun11g070700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILALIKVLKKRIPPFTLMSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLRQAIRGVTPDIAVKARRVGGSTHQVPVEIGSAQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Vigun11g111800.1.v1.2 pep primary_assembly:ASM411807v1:11:31371653:31373114:-1 gene:Vigun11g111800.v1.2 transcript:Vigun11g111800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSCDKSGLRKGTWTPEEDMKLVAYVTRYGCWNWRQLPKFAGLARCGKSCRLRWMNYLRPNLKRGNFTPQEEECIIRMLKKLGNRWSAIAAELPGRTDNEIKNHWHTTLKKRCQQSTVTNEEARASKSKNKESRAGTNSVPNKNGVTVSVPATSQISDNSSDSFSPVSSSSSEFSSITSDRSSMGNLVFEDDFGFLDSYVNESFWKELNLDGDTSCTEMVDSCALSPNQSSSESVVVENDFGSFLDAYGEVTFDNFWSEPYVADVSHVPSELLVPSVVESEYFTPMYDDLWGQSHFVQ >Vigun05g251500.1.v1.2 pep primary_assembly:ASM411807v1:5:44564338:44566280:-1 gene:Vigun05g251500.v1.2 transcript:Vigun05g251500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCEQPQQMSVEKKPRPNPEQALKCPRCDSTSTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKKRSSSSSSSSFKRDHDQSFTPNLNPLTTFPQLCYGSNDFTLALARLQKQASGQLGYNDHEISGVGNPTGSFSDMNPYSEHPSFLDAIRSGFLETQNHLQNLYYMYGNGDIGEVDNGNSGGVGISEEMMLPYNQVMNNAATQSVSALLKQEQCGGSEQFKQWQLNADTNNGEIDSGRAIQSWNSFSSSWHGLLQSPLM >Vigun03g079900.2.v1.2 pep primary_assembly:ASM411807v1:3:6666902:6672841:-1 gene:Vigun03g079900.v1.2 transcript:Vigun03g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCAASAGVTHTPPHSSFSFCSSNAKSHRIFSNSVSLPITHNDNPTSDSDDALRLTVPSAAAVASAIRKASTSPVQFTQTLQSDRQTGLVLPSTDFHRLCLHQLHLFRRIVPEALLSVYVRPAGSYVMDRLELRRVALYPGDAESEGIVILVGHFTIPAGLRAAEVTLSNSQVNVVPECKAVVFPMVKHPFVVGFLVAELPLVEQEQCQEPQSEGPDNHMSVEEAYSLPPFLDLGKRSREIQTLRVKDEAVGMRDFTSEQRSNAVNISQSLAMAYVMDQKAMLLQQSTWQNNVRMGNLVEQIRGPLSSIQTLSKILSAQTKRTQISYDIVEDLLVQGNRLRDVLQQLQDAVFLTKNNIVRYNEEAIKKMNGSTHIFAESARSQLLDSYPGDDVSSNKMKNSSESLSLTAAVHDIEMPLPPLALAPLQHGIRKIKQCF >Vigun03g079900.1.v1.2 pep primary_assembly:ASM411807v1:3:6666902:6672841:-1 gene:Vigun03g079900.v1.2 transcript:Vigun03g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCAASAGVTHTPPHSSFSFCSSNAKSHRIFSNSVSLPITHNDNPTSDSDDALRLTVPSAAAVASAIRKASTSPVQFTQTLQSDRQTGLVLPSTDFHRLCLHQLHLFRRIVPEALLSVYVRPAGSYVMDRLELRRVALYPGDAESEGIVILVGHFTIPAGLRAAEVTLSNSQVNVVPECKAVVFPMVKHPFVVGFLVAELPLVEQEQCQEPQSEGPDNHMSVEEAYSLPPFLDLGKRSREIQTLRVKDEAVGMRDFTSEQRSNAVNISQSLAMAYVMDQKAMLLQQSTWQNNVRMGNLVEQIRGPLSSIQTLSKILSAQTKRTQISYDIVEDLLVQGNRLRDVLQQLQDAVFLTKNNIVRYNEEAIKKMNGSTHIFAESARSQLLDSYPGDDVSSNKMKNSSESLSLTAAVHDIEMPLPPLALAPLQHGIRSSNVSEVLTDLVDSVKPLAQSQKRLVELSELSSSPLLAAVEEPALRQAFSNLIEGALLRTHVGGKVEILSTAAPAGGTLVLIDDDGPDMHYMTQMHSLTPYGQELLSDGMIEDNMTWNFVAGMTVAREILESYGCVVRVVSPRIKDAPLGAGGTRIELWLPSIAKSDLSSHTQEGIA >Vigun07g101500.1.v1.2 pep primary_assembly:ASM411807v1:7:18089775:18094508:-1 gene:Vigun07g101500.v1.2 transcript:Vigun07g101500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGASLPPGFRFYPSDEELVLHYLYKKVTNEEILKGTLMEIDLHTCEPWQLPEVAKLNANEWYFFSFRDRKYATGYRTNRATTSGYWKATGKDRTVFDPSTREVVGMRKTLVFYRNRAPNGIKTCWIMHEFRLETPHMPPKEDWVLCRVFHKGKSDNSAKLNSQLMYENTVPSLTLASSSPTNQTIGYNQLPHFSSSITPHHNHHHHQNQNQSQTQNNNSFMGLLHFSRETNANSSTITQISPKCDDGYGFLWDMEIEENSFHDGVASNMVDGMRFEVDNNSVVLL >Vigun03g169600.1.v1.2 pep primary_assembly:ASM411807v1:3:20158873:20165318:1 gene:Vigun03g169600.v1.2 transcript:Vigun03g169600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKPLHSNFSLRPSPPQVALNRLRSIQFPPSARRSVQVQATVGGGDQSQTMTTTSLDSKEMREKKGPMEPSSGVDEGLKVRAVVTIKKKMKEKIGEKLGDQWEYLVNGVGQGIKIQLISHDIDPVTNSGKSVQSYVKGWIPKPSNVSYIVEYTADFSVPSEFGCPGAVLITNLHGKEFYLVEIIVHGFRGGPVFFPANTWIHSRNDNPESRIIFNNQAYLPSQTPAGIKDLRREDLLSVRGNQQGLRKQHERIYDYDTYNDLGNPDKDEELARPVLGGHERPYPRRCRTGRPPTLSDPLSESRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALFHNLLPSLAATLSSSDIPFKCFSDIDKLYIEGVLLRDEESKGVVENLLVGKMMKRVLSAGERLLKYEIPSVIKGDKFSWLRDNEFARQTLAGVNPVNIELLKEFPIRSNLDPALYGPPESAITKELLEQELSGMSLEQAIEEKRLFILDYHDMLLPFIKKMNALPGRKAYASRTILFYTKAGILRPIAIELSLPKTHSSPENKRVYTEGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQNLINGGGIIEASFSPGKYAMELSSAAYKNLWRFDMESLPADLIRRGMAVEDPSMPCGVKLVIEDYPYAADGLLIWSAIKEWVESYVGHFYSESNSVTSDVELQAWWSEIKLKGHCDKKDEPWWPKLDTQQDLSGILTTMIWVASGQHAAINFGQYPFGGYVPNRPTLVRKLIPQENDPEFDKFIQNPQLVFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQLNPLHNHWINDHQILQLFNKFSAKLEEIEEIINARNKDTRLKNRSGAGVPPYELLLRSSGPGVTGRGIPNSISI >Vigun11g201700.1.v1.2 pep primary_assembly:ASM411807v1:11:39968643:39971951:-1 gene:Vigun11g201700.v1.2 transcript:Vigun11g201700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVRPIFRGPSLWALHSSHACFSASAAAVHAERTIKEGPRNDWSRDEVKSIYDSPILDLLFHGAQVHRHAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSKYNTGIKGQRLMNKDAVLESAKRAKEAGSTRFCMGAAWRDTLGRKTNFNQILEYVKDIREMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLQTLEFVRDAGINVCSGGIIGLGEAEEDRVGLLHTLSTLPTHPESVPINALVAVKGTPLENQKPVEIWEMIRMIGTARIIMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKVLGLLPKAPSFQEGETSETEDYKEAASSS >Vigun11g008400.8.v1.2 pep primary_assembly:ASM411807v1:11:915506:915821:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRNFTQ >Vigun11g008400.7.v1.2 pep primary_assembly:ASM411807v1:11:913309:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRNFTQ >Vigun11g008400.6.v1.2 pep primary_assembly:ASM411807v1:11:914852:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun11g008400.5.v1.2 pep primary_assembly:ASM411807v1:11:913309:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun11g008400.3.v1.2 pep primary_assembly:ASM411807v1:11:913233:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun11g008400.2.v1.2 pep primary_assembly:ASM411807v1:11:913233:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun11g008400.4.v1.2 pep primary_assembly:ASM411807v1:11:915061:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun11g008400.1.v1.2 pep primary_assembly:ASM411807v1:11:913233:916122:1 gene:Vigun11g008400.v1.2 transcript:Vigun11g008400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGEITFFSFFPPKNAFFSVLCYITIANSMFHIYFECIKNQRMMLLIKNTISSFSVETLQFIRYSAIYKSNLNR >Vigun09g010100.1.v1.2 pep primary_assembly:ASM411807v1:9:756074:757776:1 gene:Vigun09g010100.v1.2 transcript:Vigun09g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTFTYDYSSPVAPSRMFKALITDSRTLLPKLLPQFIKEVNVIQGDGEAGSIEQVNFAEASPFKYVKHRIDEVDKEKLVCKYTMIEGGPLGEKLESIAYEVKFEATSDGGCLCKMTTKYNVIGDFEVKEEEIKEGKESSLGVCKVVEAYLLQNPQIYA >Vigun01g146800.2.v1.2 pep primary_assembly:ASM411807v1:1:32853533:32857295:1 gene:Vigun01g146800.v1.2 transcript:Vigun01g146800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAPKVIGCKIFSKAMEKAVNNQGLIDAIGEPIVKGPWYNAALAVAHKRHSVSCSFPVSGPKGTGILQLKAVRNRDDTWSSFFLHRDWDILIMDALLHVPGNEERHRTLRINLAENPLSCTACTETTPHPSENSKANLSSNQ >Vigun01g146800.1.v1.2 pep primary_assembly:ASM411807v1:1:32853533:32857295:1 gene:Vigun01g146800.v1.2 transcript:Vigun01g146800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRLSSFLKLSPKPQISSATKNVDEETGKYYGRKAVSFILITITGGVALSALDDLAIYHGCSSKAMEKAVNNQGLIDAIGEPIVKGPWYNAALAVAHKRHSVSCSFPVSGPKGTGILQLKAVRNRDDTWSSFFLHRDWDILIMDALLHVPGNEERHRTLRINLAENPLSCTACTETTPHPSENSKANLSSNQ >Vigun01g240300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:41051716:41052726:1 gene:Vigun01g240300.v1.2 transcript:Vigun01g240300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLHLERNSGLCGIVEPAQDGTTSYVSVLFSQNHVGGCLGPSVACPTLNQFHCRLLFSHSCSPFTLPCVPFLKQHNHHKFLLFLLFSFFFNQMDPFFLHNVPHSKSHPHRCKTVTSLHSPKNLTHKHIKYKPLHSQNIVSFVFM >Vigun03g202500.1.v1.2 pep primary_assembly:ASM411807v1:3:32177890:32180023:1 gene:Vigun03g202500.v1.2 transcript:Vigun03g202500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTRISVMLVCLLAGVVFPTRAEDPYLYFTWNITYGTLAPAGVPQQVILINGEFPGPNINSTTNNNVVINVFNNLDEPFLFHWAGIQQRKNSWQDGVAGTNCPIQPGANFTYKFQVKDQIGSYFYYPSLGMHRAAGGFGGLRINSRLLIPVPYADPEDDYTVLAGDWYTKSHSTLAKLLDSGRALGRPQALLVNGQNAKGDGTDQPLFTMLPGKTYKYRLCNVGLKNSVNFRFQNHQMKLVEMEGSHTVQNVYDSLDVHLGQCYGVLVTADQEPKDYYIVASSRFTKTILTGKGIIRYVNGKGPAAPEIPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTLKFVNTVSRDNGKLRYAINGVSHVESETPLKLAEYFGIGDKVFKYDTIPDEPPANLGTAVTLAPNVVKFEYRTFIEIIFENHEKSVQSYNLNGYSFFAVAIEPGTWTPEKRKNYNLLDGVSRHTIQVFPKSWAAIMLTFDNVGMWNLRSEIAENRYLGQQLYISVPTPERSLRDEYNMPDNGLLCGAVAGLPRPPSYV >Vigun03g159000.1.v1.2 pep primary_assembly:ASM411807v1:3:17468423:17472775:-1 gene:Vigun03g159000.v1.2 transcript:Vigun03g159000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHENPQNSGMKKRKPEQDDALISNHGNSMSVGESSGSGDLCKSSTSGSLNSNNQNGSNSDISCQEDEDMIDDDDADMIDDDDADEDADYAFSYNDDIYEDNYSSMQDHFDNVDLPPGVEASLPWLKDISSSDVKQAVTFPRAESSSKGKVDEIDDMVVQKFQQFKQFDTVDSFPEHHYDKEGTSESQKPKNWAKKILEEWKILEENLPDTIFVRVSESKMELLRVVIIGPQGTPYHDGLFFFDCLFPSNYPGVPPKVYYHSGGLRLNPNLYECGKVCLSLLGTWHGKNSENWIPEKSTMLQVLVSIQALILNEKPFFNEPGYLSSFPGPEGQRRSKEYNEKTFIYSLKTMMYTLRKPPKPFEDFVAGHFRTRAYFILTACKSYVEGAPVGSVVHNLVPSIISTTPANGQKEFESAVSRMMNTLIAFFTKNGSRDCDEFRSPEIYNLSPVATANLEVYKIESSGTTTLTQV >Vigun06g186900.2.v1.2 pep primary_assembly:ASM411807v1:6:30595396:30599097:-1 gene:Vigun06g186900.v1.2 transcript:Vigun06g186900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTQLLFFHFYKICCSLFPVAGIRMMKVSPQISNSDAITQPHSMHDGRELSIVIHHTEDSQLLPLERSLPEPDKTQKRQMYITAASGNWREASSYYKIHPYWWRIPLNGVGITALHVAVSMEQTSFVEKLMHCMDKEDLENYKTEGKTAFCLAAMSGNVEIAKILFCKNPWLLWIRDDQNHMLPIQIASSEGHIPMTKFLFEKTSEDPHHKLPFPDIVKLFFLTINNKIYTVTSELLNMESKLVTVENEEGLTALQMLAQFSLCEETIRYGDIVDSVFDAMEKQRDSIKHAQLSKAMFDAAKSGNIDILELLLEYHPDLLFEVNSRNQSILHIAILHRQKSVYKLILSKRTAKNILIKLVDSEDNTVLHLAGKMGQPQTKPGFSANHVLMSKEEKWFQDVEKIVPPAMKTMRNKVGLTPKELFYETHESLHKESISGLQATANTLLVVATLIISLGITGGMTIPIENIHSRNTPFFQGRHGIRFCLCRLHLEHVYVFRLCSSTLRLFFPYVGQKQRKSLSGCGKQS >Vigun06g186900.3.v1.2 pep primary_assembly:ASM411807v1:6:30595396:30599097:-1 gene:Vigun06g186900.v1.2 transcript:Vigun06g186900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTQLLFFHFYKICCSLFPVAGIRMMKVSPQISNSDAITQPHNGRELSIVIHHTEDSQLLPLERSLPEPDKTQKRQMYITAASGNWREASSYYKIHPYWWRIPLNGVGITALHVAVSMEQTSFVEKLMHCMDKEDLENYKTEGKTAFCLAAMSGNVEIAKILFCKNPWLLWIRDDQNHMLPIQIASSEGHIPMTKFLFEKTSEDPHHKLPFPDIVKLFFLTINNKIYTVTSELLNMESKLVTVENEEGLTALQMLAQFSLCEETIRYGDIVDSVFDAMEKQRDSIKHAQLSKAMFDAAKSGNIDILELLLEYHPDLLFEVNSRNQSILHIAILHRQKSVYKLILSKRTAKNILIKLVDSEDNTVLHLAGKMGQPQTKPGFSANHVLMSKEEKWFQDVEKIVPPAMKTMRNKVGLTPKELFYETHESLHKESISGLQATANTLLVVATLIISLGITGGMTIPIENIHSRNTPFFQGRHGIRFCLCRLHLEHVYVFRLCSSTLRLFFPYVGQKQRKSLSGCGKQS >Vigun11g186700.1.v1.2 pep primary_assembly:ASM411807v1:11:38701327:38705905:-1 gene:Vigun11g186700.v1.2 transcript:Vigun11g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPGQRSSKLSVYLYIPNIIGYIRVLLNCFAFSQCLTNKILFSFLYFLSFVCDAVDGWCARKFNQVSTFGAVLDMVTDRISTACLLVVLSQLYKPGLIFLSLLALDIASHWLQMYSTFLTGKASHKDVKDSSNWLFRAYYGNRMFMAYCCVSCEVIYLVLFYLAENQTEKLVDVISNNLQKMSLLSLLIGTSLFGWAVKQIINVIQMKTAADACVLYDIDKKHKN >Vigun07g243300.1.v1.2 pep primary_assembly:ASM411807v1:7:36474036:36482965:-1 gene:Vigun07g243300.v1.2 transcript:Vigun07g243300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFLGSIRRSLVFRPGGDDAPFAGIADKLGSVIRKSRIAFKPPPPPIRWRKGELIGSGAFGHVYMGMNLDSGELIAIKQVLIAPGSAYKENTQANIRELEEEVKLLKNLKHPNIVRYLGTAREENSVNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHNNGIIHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPHWMSPEVILQTGHTISTDIWSVACTVIEMATGKPPWSQQYPQEVSAIFYIGTTKSHPPIPEHLSAEAEDFLLKCFHKEPDLRPSASELLQHPFITSEYHGSHSILRSSIRDSCNKMATYGMNSRTFLDSVQGSTCTGLKDVCQIDSIKFSTVYHKADSYQRADNNDDDMCLIDEDDFLIGSPVKSESLLASDDTKSCNPSSKPADDHLCNFNETQYLEKNRPYLSFSSEPLGTEDDEEVTECKIRDFLDTKALELKKLQTPLYEEFFSISNAAVAPTSFAKSKVISGVPNVTSKLRSPSQAWRRFSMVGSATVASPGSHTKYRSKHNGAHCQPLQEIQPSELNELKETLHDAELESSSASSNFSERQRKWKEELAEELEWKREMMRRAGTGGKITSPKDR >Vigun07g243300.2.v1.2 pep primary_assembly:ASM411807v1:7:36474036:36482965:-1 gene:Vigun07g243300.v1.2 transcript:Vigun07g243300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFLGSIRRSLVFRPGGDDAPFAGIADKLGSVIRKSRIAFKPPPPPIRWRKGELIGSGAFGHVYMGMNLDSGELIAIKQVLIAPGSAYKENTQANIRELEEEVKLLKNLKHPNIVRYLGTAREENSVNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHNNGIIHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPHWMSPEVILQTGHTISTDIWSVACTVIEMATGKPPWSQQYPQEVSAIFYIGTTKSHPPIPEHLSAEAEDFLLKCFHKEPDLRPSASELLQHPFITSEYHGSHSILRSSIRDSCNKMATYGMNSRTFLDSVQGSTCTGLKDVCQIDSIKFSTVYHKADSYQRADNNDDDMCLIDEDDFLIGSPVKSESLLASDDTKALELKKLQTPLYEEFFSISNAAVAPTSFAKSKVISGVPNVTSKLRSPSQAWRRFSMVGSATVASPGSHTKYRSKHNGAHCQPLQEIQPSELNELKETLHDAELESSSASSNFSERQRKWKEELAEELEWKREMMRRAGTGGKITSPKDR >Vigun03g266650.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43692775:43692903:1 gene:Vigun03g266650.v1.2 transcript:Vigun03g266650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFFFFSFEASFFLSLFLFHGIEQHGYIIDSIKPILLASR >Vigun03g288600.2.v1.2 pep primary_assembly:ASM411807v1:3:47085454:47087846:1 gene:Vigun03g288600.v1.2 transcript:Vigun03g288600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGAVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKEMKDTTESKNQYCKFDNELLTLFTSSLYLAALIASFFASTITRMLGRKVSMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFQMMITIGILVANLINYGTAKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGNYEKAKKMLKKIRGTEKIDEEYQDLVEASEEAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNEASLMSAVITGVVNVVATLVSIFTVDKFGRRVLFLEGGVQMFICQDLWLS >Vigun03g288600.1.v1.2 pep primary_assembly:ASM411807v1:3:47085454:47087846:1 gene:Vigun03g288600.v1.2 transcript:Vigun03g288600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGAVRHYEGNVTTFVLITCFVAAMGGLLFGYDLGITGGVTSMEPFLIKFFPGVHKEMKDTTESKNQYCKFDNELLTLFTSSLYLAALIASFFASTITRMLGRKVSMLIGGLFFLVGSLLNGFAMNIAMLIIGRMFLGIGVGFCNQSVPVYLSEVAPPKIRGALNIGFQMMITIGILVANLINYGTAKHENGWRISLGIAAVPAILLCIGSLCVVETPNSLIERGNYEKAKKMLKKIRGTEKIDEEYQDLVEASEEAKNVEHPWKNITRPKYKPQLTFCIFIPAFQQLTGINVIMFYAPVLFQILGFGNEASLMSAVITGVVNVVATLVSIFTVDKFGRRVLFLEGGVQMFICQVVVGIMIGLKFGLNGQGAFSKSEADILLFFICAYVAAYAWSWGPLGWLVPSEICSLEIRPAGQATNVAINMLFTFAIAQVFLTMLCHLKFGLFFFFAGFVVIMTIFIALLLPETKNVPIEEMNLLWTSHWFWNKIVPKDVDSNSKNNKSVV >Vigun08g110300.1.v1.2 pep primary_assembly:ASM411807v1:8:27379706:27387373:-1 gene:Vigun08g110300.v1.2 transcript:Vigun08g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPDQDTSICTHCDRAVPVANIDLHYAHCSRKLEKCKICGDMVPRKNVEDHYLNTHAPVSCSLCNETMERDILDIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHICNKYVRLRERFSHEARCNGIIQDTSVGSSRNVRETEGDQGARRRPQNDFSTKRLLFTIAITGIAVILGSFFLQRKAEPSDVH >Vigun07g197400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:31757491:31758624:1 gene:Vigun07g197400.v1.2 transcript:Vigun07g197400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTVFKGKQLKFRKMLRAIKWGSQHNPITKTKRKIGFLMASASLILALAIIFFSLPFQISGNQYVSPPPPHKKPYHHPNPPPPSQIVHHHPPPPHPLHHSPPPPNKPYKSTPPPPPPPPKKKPYKYPSPPPPVPKKPYPVYHSPPPPPPPHKKPYKYPSPPPPPHNKPPSPHPVYHSPPPPPKPYKYPSPPPPHK >Vigun07g152200.1.v1.2 pep primary_assembly:ASM411807v1:7:26276298:26277994:1 gene:Vigun07g152200.v1.2 transcript:Vigun07g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPKREDHQEEAITYGDVFNVQGGLKTKPIASVDAATMQKVESHLLGKNPKGGVAAAMQSAAMKNERDGVVGLNDINNIAAGDGVSVKETDSPGRQVISESVGEQVAQKSVEEKEKRVNKLVEMVEQFSKKAPLTSSLVQEMGIGGGGITIGEALEATVLTAGKKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARITKEEDKTKLADILADATSKLPSDRAATRRDAEGVTGAEMRNDPNLTTHPGGVSAAVAAAARLNQITN >Vigun11g116100.1.v1.2 pep primary_assembly:ASM411807v1:11:32099476:32101375:-1 gene:Vigun11g116100.v1.2 transcript:Vigun11g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLKLVDAILFLFFLVIAVAAPLIDAQTCLPLSYFPEILVQLKEHYTHDYGDYLVAEKPHFFVGLVWLELLFQWPLALLNLFAILTSKPWFNTTCLMYGVSTSTSMVAILSEMMNSNRASDKLLTLYASFMGLGALALLRGLLTCSSKSTSALGKRPALMRKKRA >Vigun10g006300.1.v1.2 pep primary_assembly:ASM411807v1:10:622683:625633:1 gene:Vigun10g006300.v1.2 transcript:Vigun10g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSRFLSTGLLLVATILVAKLISAFIVPKSRKRVPPVVKGWPLVGGLVRFLKGPIFMLREEYPKLGGVFTLKLFHKNITFLIGPEVSAHFFKAPETDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKSYVNQMVAEAEDYFSKWGPSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHKRRDQARKKLAQIFATIIASRKSASKSEDDMLQCFIDSKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLCNNKYLSAVQEEQKQLMEKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTREGKEYDIPKGHIIATSPAFANRLGHIFKDPERYDPDRFSAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRKVLSVDQ >Vigun10g006300.2.v1.2 pep primary_assembly:ASM411807v1:10:622683:625633:1 gene:Vigun10g006300.v1.2 transcript:Vigun10g006300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSRFLSTGLLLVATILVAKLISAFIVPKSRKRVPPVVKGWPLVGGLVRFLKGPIFMLREEYPKLGGVFTLKLFHKNITFLIGPEVSAHFFKAPETDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKSYVNQMVAEAEDYFSKWGPSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHKRRDQARKKLAQIFATIIASRKSASKSEDDMLQCFIDSKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLCNNKYLSAVQEEQKQLMEKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTREGKEYDIPKGGRSILIHFVWRW >Vigun08g170500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:34112532:34114274:-1 gene:Vigun08g170500.v1.2 transcript:Vigun08g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFISNGFFLSHGAMPPKPLPTKTPSFISLKVRCFRASEPNPVTDFPPPSSVLLPRSIKRVSRLLRTELQRALMDYLHFTRGYTFSDAEYISKNSPRFVESLVSMIDDKYDVPRSLRKFFRYNPINEFEPFFESLGIGPSELHLFLPHDMIFLADDHVLLHNFHVLCNYGVPRNRMGRFYKEAKEIFGYAGGLLESKFQGYENLGLSRSTVVKLVVCCPLLLVGDVNCELVAVLEWLKRIGIESDSMVNYLSCSRTYSWKRMLDTLQFLHKVGYSEERIHNLFRGNPKLFLEGIGKKVYLFFGRLVKIGVEINVIYSYFVEYPNILSYKCAKNMLKVIGFLCAIGMGKDDIAHIFSKHVHLLSTCSLKGHKTVCQELKVGKADLYQIIKDDPLKLISFSSKQQQKSDGKVDSHDPRNYLEKRTFLLKLGYTENSEEMARALRMFRGRGDQLQERFDCLVEAGLDYNSVIEMIKRAPMILSQNKTVIKRKIDFLKNVLDYPLECLVGFPTYFCHDLDKIVERLSMYAWLKERNAINPMLTLSTIVASNDKRFEKYFVNVHPQGPAVWKSLKRLSIKDKN >Vigun09g150700.1.v1.2 pep primary_assembly:ASM411807v1:9:31491365:31498881:-1 gene:Vigun09g150700.v1.2 transcript:Vigun09g150700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVLEVTRAAHEEVERLERLIVKELQNEPGTNKERLYQSHRVRNMIDTITSTTEKLIGIYEDNDNARKDEIAALGGQTASGINVFSAFYDRLKEIREYHRKHPVARVVDANDDYETVLKEEPQIEFSGEEALGRYLDLHELYYQYVNSKFGEPLEYSAYLDVFSDTNKIPRKMKMTRQYREYMVNLLEYLIYFFQRTEPLQDLDRIFSKVTTEFEENWAAGKVRGWENDNQENGHVPAEHATIDLDYYSTVEELMEVGPERLKEALAALGLKTGGTIQQRAERLFLTKHTPLEKLERKHFAKGTRSVEKNRVAAVPQEDENSKEIALMEAKMEKLCDLLEETIARTKDNVVKKQALTYEEMEAEREEEETQEDTESEDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKDLWKKIQQRQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Vigun11g077600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:22978035:22978415:1 gene:Vigun11g077600.v1.2 transcript:Vigun11g077600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSIPLLYLTSIVFLPWCISFTFKKSLESWFINWWNTSQSEIFLNNIKEKSILNKFIELEELFFLDDMLKECPKTYIQNLRTGIYKETIQLIKTHNEDHMNTILHFSTNIICFFILSGYSILGN >Vigun05g162475.1.v1.2 pep primary_assembly:ASM411807v1:5:25930068:25933475:1 gene:Vigun05g162475.v1.2 transcript:Vigun05g162475.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLGKERGSCSSLKGELGATTILVVSIAACKVGATKKKVPLYKHITDLSGKTNPTLLIPAFTVISGGKHVGSTMAIQKIMVLPIGASKFEEGLRRNCGLTMD >Vigun05g162475.2.v1.2 pep primary_assembly:ASM411807v1:5:25930084:25931618:1 gene:Vigun05g162475.v1.2 transcript:Vigun05g162475.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLGKERGSCSSLKGELGATTILVVSIAACKVGATKKKVPLYKHITDLSGKTNPTLLIPAFTVISGGKHVGSTMAIQKIMVLPIGASKFEEGLRRY >Vigun08g222000.1.v1.2 pep primary_assembly:ASM411807v1:8:38014368:38016507:-1 gene:Vigun08g222000.v1.2 transcript:Vigun08g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVTTMEKAARLVFIPGPGVGHLVSTIQFANLLLERHHHISITLLVIKLPSDTTTDAYTHSLINSQRFQLINLPETPSTSPPTPMIEIFQLQKPHVREAVSNLSPTPPLAAFVVDMFCTAMIDVAKEFHVPSLVFFTSGLAFLGLMLHLHTLTEEENAEFNTESDVEWVIPSFANPVPTRTFPSIALRKEWEEVFVNYRRGLKKADGFIVNSFEELEPHAAQSFLHGPQPVYAVGPILNPKPKPHANAHAHNADIFDWLDQQPPSSVVFLCFGSMGSFGEDQVREIARALENSGARFLWSLRKPAPKFMTPPSDYAPSELPSILPAGFLDRTAGIGKVIGWAPQAQILAHRATGGFVSHCGWNSSLESIHFGVPIATWPLYAEQQTNAFLLVRELEIALEISLDYRVDFMGEAPSVLSAEKIENGIRNLVEIDDERRKRVVEASEKSRKTLLEGGCSHSSLGRFIDYIMNQLLHMQD >Vigun07g039400.3.v1.2 pep primary_assembly:ASM411807v1:7:3846217:3854032:1 gene:Vigun07g039400.v1.2 transcript:Vigun07g039400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSGRCLGVGTRYDMLEELSRREIAAGIKPDPEIDAFMKATAMEGQQTTLVTDYVLKILGLEICADILVGDEMKRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVRFMRQMVHIMDVTMIIALLQPAPETYELFDDIILLSEGEIVYQGPRESVLHFFETVGFKCPERKGVADFLQEVTSKKDQEQYWFRRDIPYQYVTVPEFVAHFKNHSIGQQLHEKIKVPYDPNESHRAALVKEKYGISKWELFKACFSREWLLIKRNYFVYIFKTFQITMMALIAMSVFFRTDMKHGQLEGAGKYYGALFFSLINIMFNGVAELSMTVIKLPVFYKQRDFLFFPAWAFALPIWVLRIPLSLLESGLWIILTYYTIGFAPAASRFFRQLLAFFWVNQMALSLFRFIAAVGRTKVVAHTLGSFTILVVFILSGFTVSRHDIGPWMIWCYYSSPMMYGQNAITINEFLDKRWSAKNNDPRIPEPTVGKAFLNARGIFTEDYWYWISVGALIGFSLLFNICFILALTYLNPFGNSKSIIVEDEDQKKTTFASSSVEKMATGTTEHSSASVGNSFEGIDMEVRKRAHSSISKAPENTKSKKGMVLPFRPLSLAFQHVNYYIDMPLEMKKQGIEENKLQLLRDISGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTGGFIEGSISISGYPKNQATFARISGYCEQNDIHSPNVTVYESLVFSAWLRLSKEVNKETRKMFIEEILELVELHSVRHFIVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFENFDELLLMKIGGQVIYGGPLGRNSQNLIEYFEGITGVPKIKDGYNPATWMLEITAPIFESQLNVDFAELYAKSDLYQKNQELVKELCTPVPGTKDLYFPTKYSQSFVTQCKACFWKQNCSYWRNPEYNAIRFFVTIVIGIIFGLIYWDKGKKTQKEQDLLNLLGAMYASVFFLGASNTNSVQPVVAIERTVLYRERAAGMYSELPYAIGQVAIEVIYVATQSLAYSIILYWMIGFEARFENFLWFYYFIFMSFMYFTLYGMMTVALTPNYQIAAIVMSFFINFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKNSPIEVPGFRVMTVKEYLDRKLGFQHDFLGVVALTHVAFCLLFVLVFAYGIKFLNFQKR >Vigun07g039400.1.v1.2 pep primary_assembly:ASM411807v1:7:3842653:3854032:1 gene:Vigun07g039400.v1.2 transcript:Vigun07g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGRICSSSASRDLASARFDEEVSGESDVFHRGGREIEEEEELKWEALKRLPTYDRMRRVLLKQVLDNGGVNYEEVDITKLGLQEKKHLLENIVGTAEENNESFLHRMRERIDRVSIEIPKIEVRYEHLSVEGDAYVGTRALPTLFNSTLNAIEVLVNYLVSLVFGVLGFLHLLPKNTKGVKILQEKVVKILKDISGIVKPSRMTLLLGPPGSGKTTLLKALAGKMDKDLRVSGRVTYCGHELSEFVPQRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYDMLEELSRREIAAGIKPDPEIDAFMKATAMEGQQTTLVTDYVLKILGLEICADILVGDEMKRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVRFMRQMVHIMDVTMIIALLQPAPETYELFDDIILLSEGEIVYQGPRESVLHFFETVGFKCPERKGVADFLQEVTSKKDQEQYWFRRDIPYQYVTVPEFVAHFKNHSIGQQLHEKIKVPYDPNESHRAALVKEKYGISKWELFKACFSREWLLIKRNYFVYIFKTFQITMMALIAMSVFFRTDMKHGQLEGAGKYYGALFFSLINIMFNGVAELSMTVIKLPVFYKQRDFLFFPAWAFALPIWVLRIPLSLLESGLWIILTYYTIGFAPAASRFFRQLLAFFWVNQMALSLFRFIAAVGRTKVVAHTLGSFTILVVFILSGFTVSRHDIGPWMIWCYYSSPMMYGQNAITINEFLDKRWSAKNNDPRIPEPTVGKAFLNARGIFTEDYWYWISVGALIGFSLLFNICFILALTYLNPFGNSKSIIVEDEDQKKTTFASSSVEKMATGTTEHSSASVGNSFEGIDMEVRKRAHSSISKAPENTKSKKGMVLPFRPLSLAFQHVNYYIDMPLEMKKQGIEENKLQLLRDISGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTGGFIEGSISISGYPKNQATFARISGYCEQNDIHSPNVTVYESLVFSAWLRLSKEVNKETRKMFIEEILELVELHSVRHFIVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFENFDELLLMKIGGQVIYGGPLGRNSQNLIEYFEGITGVPKIKDGYNPATWMLEITAPIFESQLNVDFAELYAKSDLYQKNQELVKELCTPVPGTKDLYFPTKYSQSFVTQCKACFWKQNCSYWRNPEYNAIRFFVTIVIGIIFGLIYWDKGKKTQKEQDLLNLLGAMYASVFFLGASNTNSVQPVVAIERTVLYRERAAGMYSELPYAIGQVAIEVIYVATQSLAYSIILYWMIGFEARFENFLWFYYFIFMSFMYFTLYGMMTVALTPNYQIAAIVMSFFINFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKNSPIEVPGFRVMTVKEYLDRKLGFQHDFLGVVALTHVAFCLLFVLVFAYGIKFLNFQKR >Vigun07g039400.2.v1.2 pep primary_assembly:ASM411807v1:7:3845972:3854032:1 gene:Vigun07g039400.v1.2 transcript:Vigun07g039400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSGRCLGVGTRYDMLEELSRREIAAGIKPDPEIDAFMKATAMEGQQTTLVTDYVLKILGLEICADILVGDEMKRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVRFMRQMVHIMDVTMIIALLQPAPETYELFDDIILLSEGEIVYQGPRESVLHFFETVGFKCPERKGVADFLQEVTSKKDQEQYWFRRDIPYQYVTVPEFVAHFKNHSIGQQLHEKIKVPYDPNESHRAALVKEKYGISKWELFKACFSREWLLIKRNYFVYIFKTFQITMMALIAMSVFFRTDMKHGQLEGAGKYYGALFFSLINIMFNGVAELSMTVIKLPVFYKQRDFLFFPAWAFALPIWVLRIPLSLLESGLWIILTYYTIGFAPAASRFFRQLLAFFWVNQMALSLFRFIAAVGRTKVVAHTLGSFTILVVFILSGFTVSRHDIGPWMIWCYYSSPMMYGQNAITINEFLDKRWSAKNNDPRIPEPTVGKAFLNARGIFTEDYWYWISVGALIGFSLLFNICFILALTYLNPFGNSKSIIVEDEDQKKTTFASSSVEKMATGTTEHSSASVGNSFEGIDMEVRKRAHSSISKAPENTKSKKGMVLPFRPLSLAFQHVNYYIDMPLEMKKQGIEENKLQLLRDISGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTGGFIEGSISISGYPKNQATFARISGYCEQNDIHSPNVTVYESLVFSAWLRLSKEVNKETRKMFIEEILELVELHSVRHFIVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFENFDELLLMKIGGQVIYGGPLGRNSQNLIEYFEGITGVPKIKDGYNPATWMLEITAPIFESQLNVDFAELYAKSDLYQKNQELVKELCTPVPGTKDLYFPTKYSQSFVTQCKACFWKQNCSYWRNPEYNAIRFFVTIVIGIIFGLIYWDKGKKTQKEQDLLNLLGAMYASVFFLGASNTNSVQPVVAIERTVLYRERAAGMYSELPYAIGQVAIEVIYVATQSLAYSIILYWMIGFEARFENFLWFYYFIFMSFMYFTLYGMMTVALTPNYQIAAIVMSFFINFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKNSPIEVPGFRVMTVKEYLDRKLGFQHDFLGVVALTHVAFCLLFVLVFAYGIKFLNFQKR >Vigun03g141500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14197568:14199502:1 gene:Vigun03g141500.v1.2 transcript:Vigun03g141500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYGLASDHVIDAKIVDVNGNLLDKETMGEDLFWAIRGGGGASFGVIVAWKIKLVPVPSTVTVFNVARTLEENATEIIHKWQRVAIKFNENITMKANMERVNSSKSGNPKVEARFESLYLGRVDELIHMMQKSFPELGLVREECTEMSWIESILYKAGFPSGQSTSVLLNRTQLSGLMLLKAKSDYVRDPIPDVGLERLWHFLYEDAAKYGYIQFTPYGGRMNEISESETPFAHRSGYIFHIQYRVYWEEKGDEAAQRYMNWIRRVYKYMKPYVSKSPRAAYMNYRDLDIGVNNNGYTSFSQASIWGFKYFGNNFNRLAQVKTRVDPRNFFRNEQSIPPLISKGDTNRPSWVPL >Vigun07g187800.1.v1.2 pep primary_assembly:ASM411807v1:7:30450753:30454520:-1 gene:Vigun07g187800.v1.2 transcript:Vigun07g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRFAYCSPASSASTIIRFPKSKHVKFSLLSFSFATMEAPPEGYRRNVGICLMNAHKKIFAASRLDIPNAWQMPQGGIDEGEDPRNAAIRELREETGVNSAEVIAEVPYWLTYDFPPKVREKLNIQWGSDWKGQAQKWFLLRFTGQDQEINLLGDGTEKAEFGEWSWISPEQVIDLAVDFKKPVYKEVLAEFAPYFQ >Vigun08g174200.3.v1.2 pep primary_assembly:ASM411807v1:8:34463152:34464757:-1 gene:Vigun08g174200.v1.2 transcript:Vigun08g174200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAYKACVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQKEEAHRALRPPLVISHKPPSLTDAL >Vigun08g174200.1.v1.2 pep primary_assembly:ASM411807v1:8:34463152:34464767:-1 gene:Vigun08g174200.v1.2 transcript:Vigun08g174200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAYKACVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQKEEAHRALRPPLVISHKPPSLTDAL >Vigun08g174200.2.v1.2 pep primary_assembly:ASM411807v1:8:34463151:34464773:-1 gene:Vigun08g174200.v1.2 transcript:Vigun08g174200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAYKACVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQKEEAHRALRPPLVISHKPPSLTDAL >Vigun07g273316.1.v1.2 pep primary_assembly:ASM411807v1:7:38867178:38867917:-1 gene:Vigun07g273316.v1.2 transcript:Vigun07g273316.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITIKTLMSFFPTFCIVLLLTSGVRCNYTPTPAGDVCQIGGYCPSEEKCKQYCTFFGYKKGGFCIPQGGERCCCVK >VigunL042200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:414387:414992:1 gene:VigunL042200.v1.2 transcript:VigunL042200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGYLPGLTSKRPTVKNEFRNQLRFSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRISGKAKGSTGQVLLQLLEMRLDNILFRLGMAATIPQARQFINHRHVLVNGRIVDIPSYRCKPQDIITAKDEQKSKTLIQNYFDSAPHEKLPNHLTVHPFQYKGLINQIIDNKWVGLKINELLVVEYYSRQT >Vigun09g041350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3813627:3813818:1 gene:Vigun09g041350.v1.2 transcript:Vigun09g041350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYHSLFLHRYYASIPAKFEFMTRRRGHEKVMNSINAGINLGFNPVKVFLGYISLLFASVSH >VigunL060415.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000660.1:87850:88209:1 gene:VigunL060415.v1.2 transcript:VigunL060415.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun01g157000.4.v1.2 pep primary_assembly:ASM411807v1:1:33931874:33940009:-1 gene:Vigun01g157000.v1.2 transcript:Vigun01g157000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTPEEETVRRIGYESMDQSRLMEVLDIYFPEQLLTVENTWFNLASSLFSFFSSPVGQNLVSQVKSGYGNHILSLDFQQFQQICHVEEFYKMLEEKPKIALACMSAAVHKVLLSKSENDDLVLGAKVDVRLHNCPKTMIALKNLKAAYIDKLVSVRGTAVKVSTVRPLVVQMFFECCKCRQPISRKFPDGKYSPPSTCNLNGCKSKIFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTQDLVDACIPGDVVTVTGIIRGINTYMDIGGGKSKNRNQGFYYLYLEAVSIKNSKSQSTSQDLQDSNPKTRPTELFDLFSFSSKDLEFVAKFKQEHGPDLFRQILQSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMSSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPAGGHYK >Vigun01g157000.2.v1.2 pep primary_assembly:ASM411807v1:1:33931874:33940009:-1 gene:Vigun01g157000.v1.2 transcript:Vigun01g157000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTPEEETVRRIGYESMDQSRLMEVLDIYFPEQLLTVENTWFNLASSLFSFFSSPVGQNLVSQVKSGYGNHILSLDFQQFQQICHVEEFYKMLEEKPKIALACMSAAVHKVLLSKSENDDLVLGAKVDVRLHNCPKTMIALKNLKAAYIDKLVSVRGTAVKVSTVRPLVVQMFFECCKCRQPISRKFPDGKYSPPSTCNLNGCKSKIFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTQDLVDACIPGDVVTVTGIIRGINTYMDIGGGKSKNRNQGFYYLYLEAVSIKNSKSQSTSQDLQDSNPKTRPTELFDLFSFSSKDLEFVAKFKQEHGPDLFRQILQSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMSSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPAGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRLSDHIMALHGGNGQLSPALKKRRGDTSDSRATVSQNTGPLISRLRLDPRDGDFIPLPGQLLRKYIAYARSYVSPR >Vigun01g157000.3.v1.2 pep primary_assembly:ASM411807v1:1:33931874:33940009:-1 gene:Vigun01g157000.v1.2 transcript:Vigun01g157000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTPEEETVRRIGYESMDQSRLMEVLDIYFPEQLLTVENTWFNLASSLFSFFSSPVGQNLVSQVKSGYGNHILSLDFQQFQQICHVEEFYKMLEEKPKIALACMSAAVHKVLLSKSENDDLVLGAKVDVRLHNCPKTMIALKNLKAAYIDKLVSVRGTAVKVSTVRPLVVQMFFECCKCRQPISRKFPDGKYSPPSTCNLNGCKSKIFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTQDLVDACIPGDVVTVTGIIRGINTYMDIGGGKSKNRNQGFYYLYLEAVSIKNSKSQSTSQDLQDSNPKTRPTELFDLFSFSSKDLEFVAKFKQEHGPDLFRQILQSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMSSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPAGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRLSDHIMALHGGNGQLSPALKKRRGDTSDSRATVSQNTGPLISRLRLDPRDGDFIPLPGQLLRKYIAYARSYVSPR >Vigun01g157000.1.v1.2 pep primary_assembly:ASM411807v1:1:33928535:33939913:-1 gene:Vigun01g157000.v1.2 transcript:Vigun01g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTPEEETVRRIGYESMDQSRLMEVLDIYFPEQLLTVENTWFNLASSLFSFFSSPVGQNLVSQVKSGYGNHILSLDFQQFQQICHVEEFYKMLEEKPKIALACMSAAVHKVLLSKSENDDLVLGAKVDVRLHNCPKTMIALKNLKAAYIDKLVSVRGTAVKVSTVRPLVVQMFFECCKCRQPISRKFPDGKYSPPSTCNLNGCKSKIFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTQDLVDACIPGDVVTVTGIIRGINTYMDIGGGKSKNRNQGFYYLYLEAVSIKNSKSQSTSQDLQDSNPKTRPTELFDLFSFSSKDLEFVAKFKQEHGPDLFRQILQSICPSIYGHELVKAGITLSLFGGVRKHSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMSSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPAGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRLSDHIMALHGGNGQLSPALKKRRGDTSDSRATVSQNTGPLISRLRLDPRDGDFIPLPGQLLRKYIAYARSYVSPRMTKPAAEILQKFYLKLRDHNTSADGTPITARQLESLVRLAEARARLDLRVEITDQDATDVVEIMKESLFDKYVDEHGIVDFGRSSGMSQQKEAKRFLNALNKQSELEQKDCFSVSELYSLADRISLKVPDIDALIENLNSVNCLLKKGPKTYQVLSSSYARSQAFKTRG >Vigun06g002875.1.v1.2 pep primary_assembly:ASM411807v1:6:1321892:1322753:1 gene:Vigun06g002875.v1.2 transcript:Vigun06g002875.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLQTWMVESNREIYFAPYIDSYHWQLCLIIPRQCLVVWFCSCHRKIPPAFQNMLQEIVGKPRGQQLKVIYPKCNKQEDSWECGYYVMS >Vigun06g002875.2.v1.2 pep primary_assembly:ASM411807v1:6:1321892:1322753:1 gene:Vigun06g002875.v1.2 transcript:Vigun06g002875.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLQTWMVESNREIYFAPYIDSYHWQLCLIIPRQCLVVWFCSCHRKIPPAFQNMLQDVTSKKIHGNVDIMSCLR >Vigun02g053000.2.v1.2 pep primary_assembly:ASM411807v1:2:19521858:19523844:-1 gene:Vigun02g053000.v1.2 transcript:Vigun02g053000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRDGTSTSASVAKDDAVSKKKVENEDLSDEDLALKQQLDLYVERVQDADAGLQKVVAVEEEAEYSEAMATVLRRSWRRRERSRAKAMQSSLLLEMRLTPLSVTKESERERDRARESNKERNPMKRWREEEEEGFRNFKP >Vigun02g053000.1.v1.2 pep primary_assembly:ASM411807v1:2:19522640:19523183:-1 gene:Vigun02g053000.v1.2 transcript:Vigun02g053000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRDGTSTSASVAKDDAVSKKKVENEDLSDEDLALKQQLDLYVERVQDADAGLQKVVAVEEEAEYSEAMATVLRRSWRRRERSRAKAMQSSLLLEMRLTPLSVTKESERERDRARESNKERNPMKRWREEEEEGFRNFKP >Vigun01g130410.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30833709:30834035:-1 gene:Vigun01g130410.v1.2 transcript:Vigun01g130410.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRSTSSMRKHGSFNRR >VigunL013100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000016.1:95483:95887:1 gene:VigunL013100.v1.2 transcript:VigunL013100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIANIITYIRNANMNKEGMVQLPFTNITEKTVKILLREGFVENIRKHRENDKYSLILTLRYRRNRKESYKSFLNLKRISTPGLRIYYNYQQIPRILGGMGIVILSTSRGIMTDREARLEKIGGKVLCYIW >Vigun11g207600.1.v1.2 pep primary_assembly:ASM411807v1:11:40374913:40378525:-1 gene:Vigun11g207600.v1.2 transcript:Vigun11g207600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSGGGTLMGLSNGYYGRSPFTVSQWEELEHQALIFKYMLAGLPVPQNLVLPIQKSFDSIPHAFFHHPTLSYCSFYGKKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTTLDVTGGSGSSRTVNFQNLPANAVGNLQGTDSGTDHTNYHLDSIPYAIPSKEYRYLQGLKSEGGEHIFFSEASGSSKVLQMESQQLEDTWPLMSNKVASFSTSKSSNDSLLHSDYPQNSFLSGEFASEEHLKEEGQCLRPFFNEWPKNRDSWSGLEDERSNQAAFSTTQLSISIPMSSDISATSSQSPHGENEIQFR >Vigun05g038400.2.v1.2 pep primary_assembly:ASM411807v1:5:3086857:3094046:1 gene:Vigun05g038400.v1.2 transcript:Vigun05g038400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGRGGAGLDMFLPNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPGMLVVDPMRRMTIPEIRQHPWFQARLPRYLAVPPPDTLQQAKKIDEEILQEVVKMGFDRNQLIESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQESMDSSFNQMHSNEVASSVVGQRFPGYMDYPGVGSRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVAAIPGHHEGMVNNNVHGNNHYFGDDSNIIENDAISTANVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Vigun05g038400.3.v1.2 pep primary_assembly:ASM411807v1:5:3086857:3094046:1 gene:Vigun05g038400.v1.2 transcript:Vigun05g038400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGRGGAGLDMFLPNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPGMLVVDPMRRMTIPEIRQHPWFQARLPRYLAVPPPDTLQQAKKIDEEILQEVVKMGFDRNQLIESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQESMDSSFNQMHSNEVASSVVGQRFPGYMDYPGVGSRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVAAIPGHHEGMVNNNVHGNNHYFGDDSNIIENDAISTANVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Vigun05g038400.4.v1.2 pep primary_assembly:ASM411807v1:5:3086861:3094046:1 gene:Vigun05g038400.v1.2 transcript:Vigun05g038400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGRGGAGLDMFLPNYKLGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPGMLVVDPMRRMTIPEIRQHPWFQARLPRYLAVPPPDTLQQAKKIDEEILQEVVKMGFDRNQLIESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQESMDSSFNQMHSNEVASSVVGQRFPGYMDYPGVGSRPQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWVAAIPGHHEGMVNNNVHGNNHYFGDDSNIIENDAISTANVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Vigun06g179500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:29926285:29929715:1 gene:Vigun06g179500.v1.2 transcript:Vigun06g179500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRADLACRIFPEPDASQIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSSSSSAASDKSRGPFWNLLRLVFGGIVKPIQTLGQFLGPKRPSSSSPTPSSSLAVGTDDDSDAGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVFHPKDLTDSAATATNCTDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHGTLDNLVLTDADGQGVLYMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQSPNAAKKEASDLSWVSTAFEEPYRTAATMLVKRRTYCLEMNSF >Vigun01g220200.1.v1.2 pep primary_assembly:ASM411807v1:1:39398682:39401447:-1 gene:Vigun01g220200.v1.2 transcript:Vigun01g220200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLNVSLCSTSKPNLSLSNSNFKPTTLLHLPLLGFNAKQTRQPLQKLAFSLGESVSGATLVALLSASFIFVDPALAFKGGGPYGQEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLIGASFFDADLTGADLSDADLRNADFSLANVTKANLSNANLEGALATGNTSFKGSNITGADFTDVPLREDQREYLCKVADGVNPTTGNATRDTLFCN >Vigun01g220200.2.v1.2 pep primary_assembly:ASM411807v1:1:39398682:39401447:-1 gene:Vigun01g220200.v1.2 transcript:Vigun01g220200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLNVSLCSTSKPNLSLSNSNFKPTTLLHLPLLGFNAKQTRQPLQKLAFSLGESVSGATLVALLSASFIFVDPALAFKGGGPYGQEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLIGASFFDADLTGADLSDADLRNADFSLANVTKEIHLLRDQILQALTSRMYH >Vigun03g303400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:49335668:49336416:-1 gene:Vigun03g303400.v1.2 transcript:Vigun03g303400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYAAAALGHGLRPAVVGFVCPLVLKFLMGFRFFRDNALHQSRLFLFQLGHIAFSTEPQISHLVRMEHALRLIWRTLSPSPSSSQAQNSHLVQQSLHDLSMLSL >Vigun03g324400.3.v1.2 pep primary_assembly:ASM411807v1:3:52020320:52024164:1 gene:Vigun03g324400.v1.2 transcript:Vigun03g324400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTEPPSSPSFGAHSSDSFPHIATRVVHELRSDIDDTLCKQNDDVDLVDDFEFSFGHTDSSPVSADDIFCNGQIRPFYAPLHRDAASHSSVTSIPVKTTSRPRVPLKTLMLEERETVGGNNDLEGVAEGTYCVWTPPCKKTTASAKRWRFRDLVRRSHTGGQKDSLLFVGPSKRTSKVVPKHASTQNNGGAAAKLVGFFTNANGPCRNNFQPFSNVETPGDHYDYEDDVV >Vigun03g324400.2.v1.2 pep primary_assembly:ASM411807v1:3:52020320:52022210:1 gene:Vigun03g324400.v1.2 transcript:Vigun03g324400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTEPPSSPSFGAHSSDSFPHIATRVVHELRSDIDDTLCKQNDDVDLVDDFEFSFGHTDSSPVSADDIFCNGQIRPFYAPLHRDAASHSSVTSIPVKTTSRPRVPLKTLMLEERETVGGNNDLEGVAEGTYCVWTPPCKKTTASAKRWRFRDLVRRSHTGGQKDSLLFVGPSKRTSKVVPKHASTQNNGGAAAKLVGFFTNANGPCRNNFQPFSRKGRLEHEGGGSERVCSFMVS >Vigun03g324400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52020320:52022210:1 gene:Vigun03g324400.v1.2 transcript:Vigun03g324400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTEPPSSPSFGAHSSDSFPHIATRVVHELRSDIDDTLCKQNDDVDLVDDFEFSFGHTDSSPVSADDIFCNGQIRPFYAPLHRDAASHSSVTSIPVKTTSRPRVPLKTLMLEERETVGGNNDLEGVAEGTYCVWTPPCKKTTASAKRWRFRDLVRRSHTGGQKDSLLFVGPSKRTSKVVPKHASTQNNGGAAAKLVGFFTNANGPCRNNFQPFSS >Vigun03g385700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59137698:59139083:-1 gene:Vigun03g385700.v1.2 transcript:Vigun03g385700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVKASCTVRPMEATWCGRLALSELNQTGTVGHQALVYFYSLPQNCLSQYNTIASTLKDSLSRVLVPFYPLVGRLHWTNNGRLELDCNATGVPFIEAESSSTVQHLSHFSSSSEYHYLAPTVDYYSLPIHELPLLVVQLTKFKCGGISVGITFSHAVVDGSSAFHFIREWARFARGESLHTVPFLDRKVLRAGEPPLMPLTKCHVLEKLNDPPLLLGRTDNREEKEKKTTMVFLKISKTQIETLQKRANESSPKPRNDGGYSRYESVTGHIWRCASKARKHKENQPTELNVTVDLRGRMKPPLPKAYFGNAILDSVTCCLAGDLVSEPLGYTASRIREAIERVSDEYVRSEIEFLKNQKNLRRFHRDFHEEGREREPFYGNPNLSVVSWLRLPIYGIDFGWGKEVRMSRATHDFDGDFVLLPDPDEDGSVLVCMGLQVLHIDAFKKHFYQDIQGYKSNL >Vigun03g171200.2.v1.2 pep primary_assembly:ASM411807v1:3:20608987:20615174:-1 gene:Vigun03g171200.v1.2 transcript:Vigun03g171200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEGSSSNNTNRTICMERYEVGKVLGKGKFSVVKLACNVVTGDKVAIKIFDKEQLLKGRSGRIKSLVKKKIKQEISIQSMVKHPNVLRVIEVMATKKNIYIVMEHLAQGKLFDKISQRSLTGMNELQAKKYFHQLICALDHCHSKGVYHRDIKPENLLVGDNGVLKLSDFGLSALLHQADKKGLFHSPYGTPQYAAPEVIESYGYEGPKADIWACGVTLFFLVAGYLPFTADNSSSLYKKIRDADYTLPHFFSRDLKRLVGRMLDPNPVRRITIDDMYQDLWFITNYQPLRFSKESVSFDYDSPDLPAEKEERGSSSEAPIMNAFEISSTYLGFNLIGNLFSKVHVKLETSFISKSPILDIVGEIEYRTARLGFEVQRKNYQININSKEELARRKGHLSIVTKVYEMVSPYYMVVVRNASGDNLQFHEFCEQLYAELRNIIWKTEPLQNG >Vigun03g171200.3.v1.2 pep primary_assembly:ASM411807v1:3:20607152:20612363:-1 gene:Vigun03g171200.v1.2 transcript:Vigun03g171200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPNVLRVIEVMATKKNIYIVMEHLAQGKLFDKISQRSLTGMNELQAKKYFHQLICALDHCHSKGVYHRDIKPENLLVGDNGVLKLSDFGLSALLHQADKKGLFHSPYGTPQYAAPEVIESYGYEGPKADIWACGVTLFFLVAGYLPFTADNSSSLYKKIRDADYTLPHFFSRDLKRLVGRMLDPNPVRRITIDDMYQDLWFITNYQPLRFSKESVSFDYDSPDLPAEKEERGSSSEAPIMNAFEISSTYLGFNLIGNLFSKVHVKLETSFISKSPILDIVGEIEYRTARLGFEVQRKNYQININSKEELARRKGHLSIVTKVYEMVSPYYMVVVRNASGDNLQFHEFCEQLYAELRNIIWKTEPLQNG >Vigun03g171200.1.v1.2 pep primary_assembly:ASM411807v1:3:20607152:20615174:-1 gene:Vigun03g171200.v1.2 transcript:Vigun03g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEGSSSNNTNRTICMERYEVGKVLGKGKFSVVKLACNVVTGDKVAIKIFDKEQLLKGRSGRIKSLVKKKIKQEISIQSMVKHPNVLRVIEVMATKKNIYIVMEHLAQGKLFDKISQRSLTGMNELQAKKYFHQLICALDHCHSKGVYHRDIKPENLLVGDNGVLKLSDFGLSALLHQADKKGLFHSPYGTPQYAAPEVIESYGYEGPKADIWACGVTLFFLVAGYLPFTADNSSSLYKKIRDADYTLPHFFSRDLKRLVGRMLDPNPVRRITIDDMYQDLWFITNYQPLRFSKESVSFDYDSPDLPAEKEERGSSSEAPIMNAFEISSTYLGFNLIGNLFSKVHVKLETSFISKSPILDIVGEIEYRTARLGFEVQRKNYQININSKEELARRKGHLSIVTKVYEMVSPYYMVVVRNASGDNLQFHEFCEQLYAELRNIIWKTEPLQNG >Vigun09g255600.2.v1.2 pep primary_assembly:ASM411807v1:9:42188925:42193029:-1 gene:Vigun09g255600.v1.2 transcript:Vigun09g255600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVFLCQVPTPEINYILFIGRNQNALVRNYRHGFSGFAARLTKEEANSIAHRPGVISVFRDPILKLHTTRSWGFLKDQSPLPKTHGPNRTSNSAPSSDVVIGILDSGIWPESASFSDKGMGPVPSRWKGTCMNSYNFNSSNCNRKIIGARYYRDPNGDKEFETPRDIDGHGTHVAAIAVGVTVPGVSYYGVAAGTARGGSPESRLAIYQVCFKYECPGSAILAAFDDCIHDGVDVISVSIGGIPGLRSDLKHDPIAIGSFHAVERGILVAASAGNSGPTLNTVVNDAPWVFSVAASSIDRDFKSNLVFGDSKVIKGEALNFSPLSNLPKYPLVYGESAKAKNARSADARQCFPGAFAKRLVKGKIVICDGEDHSYRTSLKVQAVGEVSGVGVIHVSDPVGGAEREDFGDFPVTEISSKDAHIIFQYVNSTSNPVATILPTVSTLDFKPAPIIPSFSGRGPSDFSKNILKPDIAAPGVNIVAAWIGNRTGGVPKHKKPSQFNILSGTSMACPHVSALAANIKSQNPTWSASAIKSAIMTTATQKNNLKGPIRTETGSTATPYDYGAGQMTLYEPFHPGLVYETNTVDYLNYLCYIGYNTTVVKIISRFVPNNFSCPKDSSSYHISNINYPSIAISDLQGKKVVEVTRTVTNIGEENETIYSPVIDAPSGIKVNLIPNKLQFTKNSRKLSYRVIFSFTSTMSMDQLFGSITWSNGKYAVRSPFVLTKS >Vigun09g255600.3.v1.2 pep primary_assembly:ASM411807v1:9:42188925:42193029:-1 gene:Vigun09g255600.v1.2 transcript:Vigun09g255600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPSRWKGTCMNSYNFNSSNCNRKIIGARYYRDPNGDKEFETPRDIDGHGTHVAAIAVGVTVPGVSYYGVAAGTARGGSPESRLAIYQVCFKYECPGSAILAAFDDCIHDGVDVISVSIGGIPGLRSDLKHDPIAIGSFHAVERGILVAASAGNSGPTLNTVVNDAPWVFSVAASSIDRDFKSNLVFGDSKVIKGEALNFSPLSNLPKYPLVYGESAKAKNARSADARQCFPGAFAKRLVKGKIVICDGEDHSYRTSLKVQAVGEVSGVGVIHVSDPVGGAEREDFGDFPVTEISSKDAHIIFQYVNSTSNPVATILPTVSTLDFKPAPIIPSFSGRGPSDFSKNILKPDIAAPGVNIVAAWIGNRTGGVPKHKKPSQFNILSGTSMACPHVSALAANIKSQNPTWSASAIKSAIMTTATQKNNLKGPIRTETGSTATPYDYGAGQMTLYEPFHPGLVYETNTVDYLNYLCYIGYNTTVVKIISRFVPNNFSCPKDSSSYHISNINYPSIAISDLQGKKVVEVTRTVTNIGEENETIYSPVIDAPSGIKVNLIPNKLQFTKNSRKLSYRVIFSFTSTMSMDQLFGSITWSNGKYAVRSPFVLTKS >Vigun09g255600.4.v1.2 pep primary_assembly:ASM411807v1:9:42188925:42193029:-1 gene:Vigun09g255600.v1.2 transcript:Vigun09g255600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANTIFFLLFYLHLLFLAESSSSSSSSAEAGNGSANHTNRDVYIVYMGAAKDSPRDDHAYLLNSVLERNQNALVRNYRHGFSGFAARLTKEEANSIAHRPGVISVFRDPILKLHTTRSWGFLKDQSPLPKTHGPNRTSNSAPSSDVVIGILDSGIWPESASFSDKGMGPVPSRWKGTCMNSYNFNSSNCNRKIIGARYYRDPNGDKEFETPRDIDGHGTHVAAIAVGVTVPGVSYYGVAAGTARGGSPESRLAIYQVCFKYECPGSAILAAFDDCIHDGVDVISVSIGGIPGLRSDLKHDPIAIGSFHAVERGILVAASAGNSGPTLNTVVNDAPWVFSVAASSIDRDFKSNLVFGDSKVIKGEALNFSPLSNLPKYPLVYGESAKAKNARSADARQCFPGAFAKRLVKGKIVICDGEDHSYRTSLKVQAVGEVSGVGVIHVSDPVGGAEREDFGDFPVTEISSKDAHIIFQYVNSTSNPVATILPTVSTLDFKPAPIIPSFSGRGPSDFSKNILKNLVSL >Vigun09g255600.1.v1.2 pep primary_assembly:ASM411807v1:9:42188925:42193029:-1 gene:Vigun09g255600.v1.2 transcript:Vigun09g255600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANTIFFLLFYLHLLFLAESSSSSSSSAEAGNGSANHTNRDVYIVYMGAAKDSPRDDHAYLLNSVLERNQNALVRNYRHGFSGFAARLTKEEANSIAHRPGVISVFRDPILKLHTTRSWGFLKDQSPLPKTHGPNRTSNSAPSSDVVIGILDSGIWPESASFSDKGMGPVPSRWKGTCMNSYNFNSSNCNRKIIGARYYRDPNGDKEFETPRDIDGHGTHVAAIAVGVTVPGVSYYGVAAGTARGGSPESRLAIYQVCFKYECPGSAILAAFDDCIHDGVDVISVSIGGIPGLRSDLKHDPIAIGSFHAVERGILVAASAGNSGPTLNTVVNDAPWVFSVAASSIDRDFKSNLVFGDSKVIKGEALNFSPLSNLPKYPLVYGESAKAKNARSADARQCFPGAFAKRLVKGKIVICDGEDHSYRTSLKVQAVGEVSGVGVIHVSDPVGGAEREDFGDFPVTEISSKDAHIIFQYVNSTSNPVATILPTVSTLDFKPAPIIPSFSGRGPSDFSKNILKPDIAAPGVNIVAAWIGNRTGGVPKHKKPSQFNILSGTSMACPHVSALAANIKSQNPTWSASAIKSAIMTTATQKNNLKGPIRTETGSTATPYDYGAGQMTLYEPFHPGLVYETNTVDYLNYLCYIGYNTTVVKIISRFVPNNFSCPKDSSSYHISNINYPSIAISDLQGKKVVEVTRTVTNIGEENETIYSPVIDAPSGIKVNLIPNKLQFTKNSRKLSYRVIFSFTSTMSMDQLFGSITWSNGKYAVRSPFVLTKS >Vigun11g081700.1.v1.2 pep primary_assembly:ASM411807v1:11:24199715:24206673:-1 gene:Vigun11g081700.v1.2 transcript:Vigun11g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLACRNVRRFTRAIHKTTCFEVFHPTGSPYPKYNFFSHYRHVHSRQTVFMLFESKVNFFMSSNARNLYTLPANNAKYHHCQVVWNRMCFHKGPALPPRGQIARVVSLAMVKSNFVVHGVIAFIIGELAWTQGKWAEAEFPTRDLFYVHAQDGRVYLTTALFAAIEVFILFLRAVYLVILFSPCIAMAPFVDSFGTQFRKTWIHVVRVTLEKAGSAFIKWGQWAATRPDLFPRDLCDELAEFQTKAPSHKFSYSRKCIENAFGRKLYQIFEKFEEEPVASGSIAQVHRATLRYKFPGQQIKPVDVAVKVRHPGVSEAIRRDFVLINLVAKISSFVPNLKWLRLDESIQQFAVFMMSQVDLSREAAHLSRFIYNFRRWKDVSFPLPLYPLVHPSVLVETFEQGESVLNYVDHLEGHEHFKSALAHIGTHALLKMLLMDNFIHADMHPGNILVREGKSKLSPVSLLKSRPHVIFLDVGMITELSKRERDYLLEFFKAVALQDGRAAAECTLRLSKQQNCPDPKSFVEEVDKSFKLWRSAEGESIRTADRMEQLLEHVRRCKVNIDGNVCAVIVTTLVLEGWQRRLDPEYDVLNALQTLLFKADLAESLSDAIEGLVAP >Vigun11g081700.2.v1.2 pep primary_assembly:ASM411807v1:11:24199715:24206673:-1 gene:Vigun11g081700.v1.2 transcript:Vigun11g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLACRNVRRFTRAIHKTTCFEVFHPTGSPYPKYNFFSHYRHVHSRQTVFMLFESKVNFFMSSNARNLYTLPANNAKYHHCQVVWNRMCFHKGPALPPRGQIARVVSLAMVKSNFVVHGVIAFIIGELAWTQGKWAEAEFPTRDLFYVHAQDGRVYLTTALFAAIEVFILFLRAVYLVILFSPCIAMAPFVDSFGTQFRKTWIHVVRVTLEKAGSAFIKWGQWAATRPDLFPRDLCDELAEFQTKAPSHKFSYSRKCIENAFGRKLYQIFEKFEEEPVASGSIAQVHRATLRYKFPGQQIKPVDVAVKVRHPGVSEAIRRDFVLINLVAKISSFVPNLKWLRLDESIQQFAVFMMSQVDLSREAAHLSRFIYNFRRWKDVSFPLPLYPLVHPSVLVETFEQGESVLNYVDHLEGHEHFKSALAHIGTHALLKMLLMDNFIHADMHPGNILVREGKSKLSPVSLLKSRPHVIFLDVGMITELSKRERDYLLEFFKAVALQDGRAAAECTLRLSKQQNCPDPKSFVENLTGGG >Vigun05g275100.1.v1.2 pep primary_assembly:ASM411807v1:5:46533826:46540674:1 gene:Vigun05g275100.v1.2 transcript:Vigun05g275100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLYCSLYSLLLVRHFSLFAVPLSSHSPPSSQTLAYNFPWFLSIFSTELSFKSLLKGELSETLAILLKLTFVMAASTTSQVYIDVIEDVMVKVRDEFVNNGGPGEEVLKELQAMWESKMMQAGAVLGPIERSTAAKPTPGGPITPVHDLNMPYEGTEEYETPTAEMLFPPTPLQTPIQTPLPGTGDNSNYNIPTGPSDYSTPGNDTGGNADVKGGRPATYMQPPSPWMNPRPSLDVNVAYVEGREEADRGTSNQPLTQDFFTMSSGKRKRNDLTSQYNAGGYIPQQDGAGDAALGVFEIEVNGGDISINSHHTISKGKMQADVERLTTRIPQLDGPIPYDDELSTPNIYNYEVFNDDYNISNTPAPPEVPVSTPALLAQNEVGNDEDDDDDEPPLNEEDDDELDDMEQGDDQNTHHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFEF >Vigun01g221200.1.v1.2 pep primary_assembly:ASM411807v1:1:39486055:39489666:1 gene:Vigun01g221200.v1.2 transcript:Vigun01g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFINRNFLLAILLLMLSRAPHVSPATPCPACGNTTVPFPLSTTSTCGDPLYKIRCSSGTLIFDTLNNSYPIESIDAKAQRLVIRPAALVQNTCVSTDKVHQGIQLNSTLPFNITSSNTIVYLNCTLTLLQSPLNCSAASACNAYIKATASASACQSGPLCCTYRTGGSSNSYMIRVRDSGCSAYSSFVNLNTAQPVSRWPEPGLEIQWLSPRETVCASQEDCDSATSTCGPDASSTSGIRRCFCHDGLVWNPIKGVCAKKITCQNPDGCGDSTNKKIIIAGSVCGAGAAIILAIIIFLFYKRHKRIKEAQARLAKEREGILNASNGGRAAKLFSGKEIKKATNDFSNDRLLGVGGYGEVYKGFLQDGTAVAVKCAKLGNPKGTDQVLNEVRILCQVNHRNLVGLLGCCVELEQPILVYEFIENGTVLDHLQGQIPKGRGLLSWPHRLEIARQTAVGLAYLHFMAVPPIYHRDVKSSNILLDINLNAKVSDFGLSRLAQTDMSHISTCAQGTLGYLDPEYYRNFQLTEKSDVYSFGVVLLELLTAQKAIDFNRAPDDVNLAIYVHRMMEEEQLMDVIDPVLKNVATTIELETMKAVAFLALGCLEEKRQNRPSMKEVAEEIEYIISIASAKVVE >Vigun02g013700.1.v1.2 pep primary_assembly:ASM411807v1:2:5101551:5104851:-1 gene:Vigun02g013700.v1.2 transcript:Vigun02g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETAEIGSHPCVKELAKEALTKVPERYVLPNIDPPILSNTHSLSDQIPVIDLANLFLPQELKAPELENLHLACKQWGFFQVINHGIGMEVVEDVKRGAEELFNLSMEDKKKFWQREGDMEGFGEIISKPKDEPSDWVDGFYILTLPSHHRKPHLFPNLPLPFRENLEVYCKEMRDLAMKLYGVIGESLDIGAMEIKESVGEAGQAIRMNYYPPCPQPENVLGLKPHTDASALTILVQGNEVEGLQVKKDGTWFPVHPLPNAFMVFLGDVLEVVTNGIYKSCEHRAVVNSWKERFTIATFSGPEWNGSIGPAPSLVTPQTPALFKTIGVVDFYKGYLSHEHRASSFINNVLRIHNENIKG >Vigun03g182600.1.v1.2 pep primary_assembly:ASM411807v1:3:23508865:23510880:-1 gene:Vigun03g182600.v1.2 transcript:Vigun03g182600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGRSYQKKEVKNSKTGSQYKRDAYSSDDMDDEIDTFHKQRDIVPLNINDGTEESDEDEELPIFDVKDVDEDDDEDDDEDDDDDDGEYDDDDDDEEEEDGNDDLAKMIRQRKYLRSKFGGGDDTIDDGDEDEDEDEEGYKLTLGGKKFSHGAENRNFEIQSSDDEAPKKRKNWHYKYKGRKQNP >Vigun11g011200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1389817:1393467:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVLSSDNCSSFGSSRNHDSD >Vigun11g011200.6.v1.2 pep primary_assembly:ASM411807v1:11:1389789:1393466:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVPEMDSLYLHILHAFVNWNQ >Vigun11g011200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1389818:1393466:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVLSSDNCSSFGSSRNHDSD >Vigun11g011200.1.v1.2 pep primary_assembly:ASM411807v1:11:1389789:1393466:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVPEMDSLYLHILHAFVNWNQ >Vigun11g011200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1389817:1393467:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVLSSDNCSSFGSSRNHDSD >Vigun11g011200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1389817:1393467:1 gene:Vigun11g011200.v1.2 transcript:Vigun11g011200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRRTVRTLVSKLSSVSEAARVDALCQLRLMSKQDPQTRPVIAEAGAIPYIAETLYSSSHTSQENAAATLLNLSITEKEPLMSTRGVLDAIAHVISHHATTSSPAAVQSAAATIHSLLSSVDSYRPVVGAKREIVYSLVDILRCHVSSPPRTIKDALKALFAIALHPLNRTTMINLGVVPALFSLVVKDGRVGIVEDATAVVAQVAGCEDAAEAFRKASGGLGVLADLLDLATAASMRTKENAVSALLNLVRCGGEKVAADVRDAVAFGALDGIRDVRDGGSGKGRTKAAELLKVLLGESNGTVVDVVLSSDNCSSFGSSRNHDSD >Vigun01g079901.1.v1.2 pep primary_assembly:ASM411807v1:1:22546099:22546479:-1 gene:Vigun01g079901.v1.2 transcript:Vigun01g079901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERAEYAEVGFLFICENVWSTEYCSMKIGFAPVLVRVIGLCVQLHWCVGELLLLFYRLSLWLQLVVGFACACGGGRGTPYNTT >Vigun02g112400.1.v1.2 pep primary_assembly:ASM411807v1:2:26657827:26664661:1 gene:Vigun02g112400.v1.2 transcript:Vigun02g112400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASFSSSALSLFLLLFLFFSFSTSQTQLLLSPSLPSVKPATALVAALDGTVYLVDSDSGRVFWSFSTGSPIYYSYHVPINNPSHNFTAFVECGDDWELIVHDAHLGKQRLSKSIEEYVASTPIKTEDGASVFGHKRTTMYVVNAKTGGLFRSYSEHDHASNAVSGYDDRLHVASTKDNVLVDPAQLNPPEFLLEITRTDYTLQSVVPGSGIVLWTMKVAEFKAALVCSHTENSSGRISLDAEDGYVFDGGLDFAMPYACWDMKLHEVYRQRKKFFIEPADPERLSEVYKKVPFHSSELMLPSQPGTDKSVLGREGNLMLSLPMPNPLPSLPDKTGFYEGNDNVPMLPQSLMETTTGEVDPGRVNNWSKTIPLVLFTIFLGSFIIYNSLVFTNKDQNRETNSKSPPPKKKKARKSIKNNIIAVTQNKQSLLAQEGGTFTLNETNSSTQVQLDGRRIGKLFVSNKEIAKGSNGTVVREGTYEGRTVAVKRLVQSHHDVAHKEIQNLIASDRHPNIVRWYGVECDHDFVYLALERCTCNLDDLIHLHADISENPAVRTSQMRTEENDMHYLWKTNGFPSPLLLKLMRDIVSGLVHLHELGIIHRDLKPQNVLIIKERLLVAKLSDMGISKRLLEDMSSLGESVTGCGSSGWQAPEQLVQGRQTRAVDLFSLGCVLFFCMTAGRHPFGERLERDVNIVKNQKDLFLVEFIPEAEDLISCLLNPNPDRRPMAIEVLQHPLFWSSEMKLSFLRDVSDRVELEDRESVSDLLKSLESIAPRALGAKWDEKLDPDFITNIGRYRRYKFDSVRDLLRVMRNKLNHYRELPQEIQELIGPVPEGFNDYFASRFPRLLIEVYKVIYRNCKNDECFQRYFRYIN >Vigun01g148100.1.v1.2 pep primary_assembly:ASM411807v1:1:32981469:32985633:1 gene:Vigun01g148100.v1.2 transcript:Vigun01g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDIYDGVRAQFPLSFGKQSKPQTPLEAIHNATRRSTSTSNPNSKTTDQLPSLSSSSREWLNSLRPSKNPIPPPPPDHDDAPLVGPPPPPPAASDDEDGDGDMIGPPPPPRNASESEDDSDEDEVGTRFRIPLSNEIVLKGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNARLESFRQLEPFEGHQVRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDRDGKCIAGGIGDGSIQIWNIKPGWGSRPDIHIEKGHEDDISGLKFSSDGRILLSRSFDGSLKVWDLRKTKEPLKVFEDLPNHYGQTNIAFSPDEQLFLTGTSVERESTTGGLLCFFDRLNLELVSRVGISSTCSVVQCYWHPKLNQILATTGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSIDDFEAKPVIHNPHALPLFRDQPSRKRQREKVLKDPLKSHKPELPITGPGFGGRVGTSQGSLLTQYLLKKGGMIKETWMEEDPREAILKYADAAAKDPKFIAPAYAETQPEPVFAKSDSEDEEK >VigunL027300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:107165:107287:1 gene:VigunL027300.v1.2 transcript:VigunL027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGITVIGLIGIFFYGSYSGLGSSL >Vigun11g144750.1.v1.2 pep primary_assembly:ASM411807v1:11:35408845:35409397:1 gene:Vigun11g144750.v1.2 transcript:Vigun11g144750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERKGNMLNESSVCRNVFFLFLFCSLMFSSRAAFSKDSEVGESLVSSRSEVKRVLKGLPHPPRCIRKCERCLPCTPVLVALPPPTAQRSVIASGGPGDYVPQVWKCTCGGRYYNPYD >Vigun03g083800.1.v1.2 pep primary_assembly:ASM411807v1:3:6961859:6967991:1 gene:Vigun03g083800.v1.2 transcript:Vigun03g083800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLVSGPISYSIGLILHFAWNETVEIGCRISRYRVNIFGVLTCDTLQDNLLRHKPEMEITFESSASPQHKKISARWDPVEACRPIIDEAPVFYPTIEEFEDTLGYLAKIRPQAEPYGICRIVPPVCWAPPCPLKEKDLWEIAKFPTRIQQIDLLQNREPMRKKIRGRKRKRRRQSKMGAGKRTAKSGSEANVASEPEEKFGFQSGSDFTLKDFQQYAKVFKDCYFGLNDANEYGKVSDYNHWLKREPSVEDIEGEYWRIIEQPTDEVEVYYGADLETGSLGSGFPKTSSLTNNDSDRYAVSGWNLNNFPRLPGSALCFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGTHAPGLEAAMRKHLPDLFEEQPNLLNELVTQLSPSILKSEGVPVHRTVQNSGEFVVTFPRAYHCGFNCGFNCAEAVNVAPVDWLVHGQNAVELYSLQCRKTSLSHDKLLFGCAQEAVCALTEATRHEKENLKYIKWSSACGKDGVLTKAIKTRISMEKERLGCLPTHLKKLRMNSEFDLFEERECFSCFYDLHLSAVGCKCSPILILDKSFVLFRYTMNELSTLVEALEGEAHAIEVWANRNTGLVSANAEDACIYKQDAESYKGWKSSTYCARTNYKSNSNVPNSSYSHISAELVHSEFHRETYSAPYGTKDCQKDIVNEEKLVIYNEDKVREGSLDLNIDVMFVERESRFPHAAEYHHNKSVPYVGKVCYSEVRKKQDNMEPGFGCIASLEKEFSSCSRDVQNSCTLDGYKLFGVDLQMHSDSKEQLNGVFKIGDVETSNASVSLINQNFLMHKISVSVEPVNLGIAMCGKLWCSKHAIYPKGFKSRVKFLSILDPPRICNYVSEVYDAGFLGPLFKVTMEEHPSEIFTNTSADKCWESVLLRLNHETEKLRNQGERELPPLELLKTINGHKMFGFLSPSIMQAIEALDPNHQCIEYWNHKEVVSESSESGIDDCKLSHGSSNSLSDVKTRLLGPGLRNLEQDSRRNCDSFEEMKLVVEGLLKKASAEELSAMHKLFSSDAQFTKWRVAFVTLIEEIQKACA >Vigun03g433600.1.v1.2 pep primary_assembly:ASM411807v1:3:63753890:63759532:-1 gene:Vigun03g433600.v1.2 transcript:Vigun03g433600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKDGMNSRLLLDCAKSCILSSSPVFRRQQFLIRCVEGSIDHRPHSRDMQALRSIEVDSESVIRAITPALDPTRHKGQAGNIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVGDENKRSIASKVLSEVDKWMERFNCLVVGPGLGRDPFLLDCVSELMRHARQSNIPIVIDGDGLFLVTNNLDLVRGYPLAVLTPNVNEYKRLVQKVLSCEVNDVDAPQQLLSLAKQIGGVTILRKGNSDLVSDGDTVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARQHILAAGSNSNLSCKNPTVLGCIAGSAILRKAASLAFLKKKRSTVTGDIIECLGQSLEDISPAS >Vigun03g433600.2.v1.2 pep primary_assembly:ASM411807v1:3:63753911:63767099:-1 gene:Vigun03g433600.v1.2 transcript:Vigun03g433600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHEGTTKEGKEKGAVTPLNQRPDGAATRSDRNCDVDERSYGVEETAQEGKILLFLFCRGFHSWEDFWIGNIDLLCILPRDCCLLMLMKDGMNSRLLLDCAKSCILSSSPVFRRQQFLIRCVEGSIDHRPHSRDMQALRSIEVDSESVIRAITPALDPTRHKGQAGNIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVGDENKRSIASKVLSEVDKWMERFNCLVVGPGLGRDPFLLDCVSELMRHARQSNIPIVIDGDGLFLVTNNLDLVRGYPLAVLTPNVNEYKRLVQKVLSCEVNDVDAPQQLLSLAKQIGGVTILRKGNSDLVSDGDTVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARQHILAAGSNSNLSCKNPTVLGCIAGSAILRKAASLAFLKKKRSTVTGDIIECLGQSLEDISPAS >Vigun09g233250.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40427521:40428297:1 gene:Vigun09g233250.v1.2 transcript:Vigun09g233250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNKTDTLLFEQPNAALHLCSHESPLVCSCWLHNLFFVYTAQNTKTNQHKKLHNKDIKYENSIADQVSTKNPKCDTVAKQLKFANTNALTIAQHINAKNELKKGSVEKMNSETQ >Vigun01g126400.1.v1.2 pep primary_assembly:ASM411807v1:1:30340489:30343309:1 gene:Vigun01g126400.v1.2 transcript:Vigun01g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGAEEESSGPPASQYTAPPRGSTYGGGGSARGEPRGIAKSAPQKVLPIEIPSIPLEELNRLTDNFGAKAFIGEGSYGRVYYAKLSDGTDAAIKKLDNSSSPEPDSDFGTQLSVVSRLKHDNFVELIGYCLEAENRILVYQHAGLGSLHDVLHGRKGVQGAEPGPVLNWNQRAKIAFGAAKGLEFLHEKVQPSIVHRDVRSSNVLLFNDYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPPGPEPHA >Vigun06g044700.1.v1.2 pep primary_assembly:ASM411807v1:6:16920280:16929053:1 gene:Vigun06g044700.v1.2 transcript:Vigun06g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSVDEFTRERSQDLQRVFRNYDPGLRPQEKAVEYVRALNAVKLDKIFARPFIGALDGHIDAISCMAKNPSQLKGIFSGSMDGDIRLWDLASRQTVCQFPGHQGAVRGLAASTDGRILVSCGTDACIRLWNVPITSLMESDDSTKSTVEPASVYVWKNAFWGVDHQWDGELFATVGAQVDIWNHNRSQPINSFEWGTDTVISVRFNPGEPNLLATSASDRSINLYDLRMASPVRKMIMMTKTNSICWNPMEPINFTAANEDGNCYSYDTRKLDEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRLFQYNGGHSKEIYHTKRMQRVFCVKFSGDGSYVISGSDDTNLRIWKAKASEQLGVILPRERKKHDYHEAVKKRYKHLPEVNRITRHRHLPRPIFKASALMRVMADAKRRKEDKRKAHSAPGSITTQPLRRRRIIKEVE >Vigun06g146300.1.v1.2 pep primary_assembly:ASM411807v1:6:27161077:27166744:1 gene:Vigun06g146300.v1.2 transcript:Vigun06g146300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRLIHPHDGIVQQEDMQAAASNLSHSHKGDPCLVLTADPKPRLRWTQDLHERFVDAVTQLGGASKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDVGEGCKDGSYLLESPGTENTSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYIAMLERACKMLADQFIGATVIDTDSQKFQAIGSKTPRGTLVDPLGFYSLPSTEVAGVNVPDEEIAPSLPPQRADCSTESCLTSHESSGGLTLEGSPGGGKRRMLGMDSMAAPLIWSEAKMRTQAINVAQGNHPQGITRYGM >Vigun06g146300.2.v1.2 pep primary_assembly:ASM411807v1:6:27161091:27166733:1 gene:Vigun06g146300.v1.2 transcript:Vigun06g146300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRLIHPHDGIVQQEDMQAAASNLSHSHKGDPCLVLTADPKPRLRWTQDLHERFVDAVTQLGGASKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDVGEGCKDGISGSYLLESPGTENTSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYIAMLERACKMLADQFIGATVIDTDSQKFQAIGSKTPRGTLVDPLGFYSLPSTEVAGVNVPDEEIAPSLPPQRADCSTESCLTSHESSGGLTLEGSPGGGKRRMLGMDSMAAPLIWSEAKMRTQAINVAQGNHPQGITRYGM >Vigun01g082700.1.v1.2 pep primary_assembly:ASM411807v1:1:23384020:23385612:1 gene:Vigun01g082700.v1.2 transcript:Vigun01g082700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTLCLLLVSLTSSALSATPRRPVDVPFGRNYVPTWAFDHIKYLNGGSEIQLLLDKYTGTGFQTKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHRYSVLWNMYQVVFLVDNIPIRVFKNQKELGVKFPFDQPMKVYNSLWNADDWATRGGLEKTDWSKAPFVAAYKGFHVDGCEASVNSRFCATQGKRWWDQTEFRDLDSFQWRRLKWVRQKFTIYNYCTDRTRYPQLPPECRRNRDI >Vigun09g274000.4.v1.2 pep primary_assembly:ASM411807v1:9:43472545:43475792:-1 gene:Vigun09g274000.v1.2 transcript:Vigun09g274000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATLETEKETYDCIGTVSAKLGCWSFLKGGFVLNWPSNLSIIFFQNADGKDINIEVASPSLQPFTKQQWRINQQYMINTKRKRAVTIHVSDSNGRRLQGASISIEQISKDFPFGSAIAKTILGNVPYQNWFVKRFNAAVFENELKWYATEPDEGKVNYTISDQMLQFVRTNNIVARGHNIFWEDPKYTPPWVLNLTGIQLQSAVNSRIQSLMSQYKDEFIHWDVSNEMLHFDFYEQRLGSDATLHFFETAHESDPLSTLFMNDFNVVETCSDVNSTVDAYISRVRELQKNGIFMDGIGLEGHFTIPNPPLIRAILDKLATLGLPIWLTEVDISKTIDRDSQGSYLEEVLREGFSHPSVNGIMLWTAYHPNGCYQMCLTDNNFKNLAAGDVVDKLLEEWKTGRVEGVADVHGSYSFYGFLGEYTVTVNYGNRTTHSTFSLSRGEETRHFTVTL >Vigun09g274000.1.v1.2 pep primary_assembly:ASM411807v1:9:43472545:43475792:-1 gene:Vigun09g274000.v1.2 transcript:Vigun09g274000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIPFYVLILFFLLIPTSLVSPLYDGPLYDFTAYTECKEKPGEPLYGGGVLKSDQQSPIASSYVVNNSYVPSLLLYNLTQATIYTFSAWVRVKGSSSAMIRATLETEKETYDCIGTVSAKLGCWSFLKGGFVLNWPSNLSIIFFQNADGKDINIEVASPSLQPFTKQQWRINQQYMINTKRKRAVTIHVSDSNGRRLQGASISIEQISKDFPFGSAIAKTILGNVPYQNWFVKRFNAAVFENELKWYATEPDEGKVNYTISDQMLQFVRTNNIVARGHNIFWEDPKYTPPWVLNLTGIQLQSAVNSRIQSLMSQYKDEFIHWDVSNEMLHFDFYEQRLGSDATLHFFETAHESDPLSTLFMNDFNVVETCSDVNSTVDAYISRVRELQKNGIFMDGIGLEGHFTIPNPPLIRAILDKLATLGLPIWLTEVDISKTIDRDSQGSYLEEVLREGFSHPSVNGIMLWTAYHPNGCYQMCLTDNNFKNLAAGDVVDKLLEEWKTGRVEGVADVHGSYSFYGFLGEYTVTVNYGNRTTHSTFSLSRGEETRHFTVTL >Vigun09g274000.3.v1.2 pep primary_assembly:ASM411807v1:9:43472545:43475792:-1 gene:Vigun09g274000.v1.2 transcript:Vigun09g274000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRATLETEKETYDCIGTVSAKLGCWSFLKGGFVLNWPSNLSIIFFQNADGKDINIEVASPSLQPFTKQQWRINQQYMINTKRKRAVTIHVSDSNGRRLQGASISIEQISKDFPFGSAIAKTILGNVPYQNWFVKRFNAAVFENELKWYATEPDEGKVNYTISDQMLQFVRTNNIVARGHNIFWEDPKYTPPWVLNLTGIQLQSAVNSRIQSLMSQYKDEFIHWDVSNEMLHFDFYEQRLGSDATLHFFETAHESDPLSTLFMNDFNVVETCSDVNSTVDAYISRVRELQKNGIFMDGIGLEGHFTIPNPPLIRAILDKLATLGLPIWLTEVDISKTIDRDSQGSYLEEVLREGFSHPSVNGIMLWTAYHPNGCYQMCLTDNNFKNLAAGDVVDKLLEEWKTGRVEGVADVHGSYSFYGFLGEYTVTVNYGNRTTHSTFSLSRGEETRHFTVTL >Vigun09g274000.2.v1.2 pep primary_assembly:ASM411807v1:9:43472545:43475792:-1 gene:Vigun09g274000.v1.2 transcript:Vigun09g274000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIPFYVLILFFLLIPTSLVSPLYDGPLYDFTAYTEPGEPLYGGGVLKSDQQSPIASSYVVNNSYVPSLLLYNLTQATIYTFSAWVRVKGSSSAMIRATLETEKETYDCIGTVSAKLGCWSFLKGGFVLNWPSNLSIIFFQNADGKDINIEVASPSLQPFTKQQWRINQQYMINTKRKRAVTIHVSDSNGRRLQGASISIEQISKDFPFGSAIAKTILGNVPYQNWFVKRFNAAVFENELKWYATEPDEGKVNYTISDQMLQFVRTNNIVARGHNIFWEDPKYTPPWVLNLTGIQLQSAVNSRIQSLMSQYKDEFIHWDVSNEMLHFDFYEQRLGSDATLHFFETAHESDPLSTLFMNDFNVVETCSDVNSTVDAYISRVRELQKNGIFMDGIGLEGHFTIPNPPLIRAILDKLATLGLPIWLTEVDISKTIDRDSQGSYLEEVLREGFSHPSVNGIMLWTAYHPNGCYQMCLTDNNFKNLAAGDVVDKLLEEWKTGRVEGVADVHGSYSFYGFLGEYTVTVNYGNRTTHSTFSLSRGEETRHFTVTL >Vigun07g170000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:28426011:28427991:1 gene:Vigun07g170000.v1.2 transcript:Vigun07g170000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPNFLLSLFLLIGVCNCRTTTKNEESHVQMQTQTLNTNPTLFNPQVPPLRTLSSSKRFEGSSEFVKLKYHMGPVLSSPINIYLIWYGKWPQSQKLLIKDFLLSISASDRRVAPSPSVSDWWQTVSLYTDQTGANISRSVSIAGEYSDLLYSHGTQLTRLSVQDVIATAVRAKPFPVDHRNGIYLILTAEDVTMEDFCRAVCGFHYFTFPSKVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGGGPGHLTPPNGDVGVDGMVSVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGSVLKDGEGRTFNLNGRNGRKFLVQWIWSPVLKACAGPNALD >Vigun03g203900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:32849981:32852193:1 gene:Vigun03g203900.v1.2 transcript:Vigun03g203900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPKVVVTVVDISSQRINGWNSEHLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTHGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNNKGINFTILSNPEFLAEGTAIEDLFKPDRVLIGGRETPDGQKAIQTLKEVYANWVPVEKILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAQVSHSIGTDSRVGPKFLNASVGFGGSCFQKDIMNLVYICECNGLPEVANYWKQVVKVNDYQKTRFVNRVVSSMFNTISNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVTEDQITKDLSMRKFDKDHPAHLQPPSPTSIKQVSVVWDAYEAVKDAHGVCILTEWDEFKKLDYKKVYDSMQKPAFIFDGRNVVDVKKAREIGFIVFSIGKPLDPWLKDMPAVVA >Vigun03g203900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:32849797:32852193:1 gene:Vigun03g203900.v1.2 transcript:Vigun03g203900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPKVVVTVVDISSQRINGWNSEHLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTHGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNNKGINFTILSNPEFLAEGTAIEDLFKPDRVLIGGRETPDGQKAIQTLKEVYANWVPVEKILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAQVSHSIGTDSRVGPKFLNASVGFGGSCFQKDIMNLVYICECNGLPEVANYWKQVVKVNDYQKTRFVNRVVSSMFNTISNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVTEDQITKDLSMRKFDKDHPAHLQPPSPTSIKQVSVVWDAYEAVKDAHGVCILTEWDEFKKLDYKKVYDSMQKPAFIFDGRNVVDVKKAREIGFIVFSIGKPLDPWLKDMPAVVA >Vigun06g121800.4.v1.2 pep primary_assembly:ASM411807v1:6:24908075:24911913:1 gene:Vigun06g121800.v1.2 transcript:Vigun06g121800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVEEFNMDKVIEEFELLSKDAERVQRETLKKILEDNASAEYLQSLGLNGRTDPESFKACVPMVTHKELEPYIYRIIDGDASPILTGKPITTMSLSSGTTQGKPKYVPWNDELYETTMQIYQTSFAFRNREFPIKNGKALSFIYGSKQFKTKGGLAARTATSNVFSNAGYKCAMRAMQSQCCSPDEVIFGPDFFQSLYCHLLCGLIFRDEVQFVSSTFAHSIVHAFRTFELVWEELCNDIREGVLSSRVTVPSIRTAMSKLLKPNPKLANLIHKKCTGLSNWYGLIPEVFPNAKYVYGIMTGSMEPYLKKLRHYAGELPLLTADYGSSEGWIAANVNPQHPPECATYAVLPHIGYFEFIPLSELDNTSGEPDFLCIDPQPVSLTQVKVGEEYEVVMTNPAGLYRYRLGDVVKVMGFHNSTPELKFIRRSSLLLNINIDKNTEKDLQVAVEEAAKLLAEEKLEVVDFSSHVDVSKEPGHYVIFWEISGEASEELLHECCNCLDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILDHYLGLGTAVSQYKTPRCVGPTNAMVLQILSENVVNNYLSTAF >Vigun06g121800.2.v1.2 pep primary_assembly:ASM411807v1:6:24907321:24911913:1 gene:Vigun06g121800.v1.2 transcript:Vigun06g121800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVEEFNMDKVIEEFELLSKDAERVQRETLKKILEDNASAEYLQSLGLNGRTDPESFKACVPMVTHKELEPYIYRIIDGDASPILTGKPITTMSLSSGTTQGKPKYVPWNDELYETTMQIYQTSFAFRNREFPIKNGKALSFIYGSKQFKTKGGLAARTATSNVFSNAGYKCAMRAMQSQCCSPDEVIFGPDFFQSLYCHLLCGLIFRDEVQFVSSTFAHSIVHAFRTFELVWEELCNDIREGVLSSRVTVPSIRTAMSKLLKPNPKLANLIHKKCTGLSNWYGLIPEVFPNAKYVYGIMTGSMEPYLKKLRHYAGELPLLTADYGSSEGWIAANVNPQHPPECATYAVLPHIGYFEFIPLSELDNTSGEPDFLCIDPQPVSLTQVKVGEEYEVVMTNPAGLYRYRLGDVVKVMGFHNSTPELKFIRRSSLLLNINIDKNTEKDLQVAVEEAAKLLAEEKLEVVDFSSHVDVSKEPGHYVIFWEISGEASEELLHECCNCLDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILDHYLGLGTAVSQYKTPRCVGPTNAMVLQILSENVVNNYLSTAF >Vigun06g121800.3.v1.2 pep primary_assembly:ASM411807v1:6:24907354:24911913:1 gene:Vigun06g121800.v1.2 transcript:Vigun06g121800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVEEFNMDKVIEEFELLSKDAERVQRETLKKILEDNASAEYLQSLGLNGRTDPESFKACVPMVTHKELEPYIYRIIDGDASPILTGKPITTMSLSSGTTQGKPKYVPWNDELYETTMQIYQTSFAFRNREFPIKNGKALSFIYGSKQFKTKGGLAARTATSNVFSNAGYKCAMRAMQSQCCSPDEVIFGPDFFQSLYCHLLCGLIFRDEVQFVSSTFAHSIVHAFRTFELVWEELCNDIREGVLSSRVTVPSIRTAMSKLLKPNPKLANLIHKKCTGLSNWYGLIPEVFPNAKYVYGIMTGSMEPYLKKLRHYAGELPLLTADYGSSEGWIAANVNPQHPPECATYAVLPHIGYFEFIPLSELDNTSGEPDFLCIDPQPVSLTQVKVGEEYEVVMTNPAGLYRYRLGDVVKVMGFHNSTPELKFIRRSSLLLNINIDKNTEKDLQVAVEEAAKLLAEEKLEVVDFSSHVDVSKEPGHYVIFWEISGEASEELLHECCNCLDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILDHYLGLGTAVSQYKTPRCVGPTNAMVLQILSENVVNNYLSTAF >Vigun06g121800.1.v1.2 pep primary_assembly:ASM411807v1:6:24907354:24911913:1 gene:Vigun06g121800.v1.2 transcript:Vigun06g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVEEFNMDKVIEEFELLSKDAERVQRETLKKILEDNASAEYLQSLGLNGRTDPESFKACVPMVTHKELEPYIYRIIDGDASPILTGKPITTMSLSSGTTQGKPKYVPWNDELYETTMQIYQTSFAFRNREFPIKNGKALSFIYGSKQFKTKGGLAARTATSNVFSNAGYKCAMRAMQSQCCSPDEVIFGPDFFQSLYCHLLCGLIFRDEVQFVSSTFAHSIVHAFRTFELVWEELCNDIREGVLSSRVTVPSIRTAMSKLLKPNPKLANLIHKKCTGLSNWYGLIPEVFPNAKYVYGIMTGSMEPYLKKLRHYAGELPLLTADYGSSEGWIAANVNPQHPPECATYAVLPHIGYFEFIPLSELDNTSGEPDFLCIDPQPVSLTQVKVGEEYEVVMTNPAGLYRYRLGDVVKVMGFHNSTPELKFIRRSSLLLNINIDKNTEKDLQVAVEEAAKLLAEEKLEVVDFSSHVDVSKEPGHYVIFWEISGEASEELLHECCNCLDKSFVDAGYTSSRKVNCIGALELRVVRRGTFQKILDHYLGLGTAVSQYKTPRCVGPTNAMVLQILSENVVNNYLSTAF >Vigun03g301800.3.v1.2 pep primary_assembly:ASM411807v1:3:49134581:49138104:1 gene:Vigun03g301800.v1.2 transcript:Vigun03g301800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYFPPCKIGSWHGLELEDVQENDELKLVPSLPSIPQPPTPHEPMEFLSRSWSLSAAEISKALLEKQKHTFHDQNQPTLPEAFSAPQLVTGKIIASPRKMGTIGKWFHQRHHGNTSVAVKKKDRARLENARLHSAVSIAGLASALAAVAAAENTNGSHSKLNLALASATQLMASHCIEMAEQAGAEHDHVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARMPNEAKRNASISPYDRVLLPQPQWFHAFDGQMCDHHLPCEGDLLQLSRKGVLRWKRVSVYINKKCQVKIKIKSKHVGGAFSKKNKCVVYGMCDKDGAWPYRKERRNSEEFYFGLKTAQGLLEFKCDGKLHKKKWVDGIGCLLRRVNSVETTKLSLDLLSINSDT >Vigun03g301800.6.v1.2 pep primary_assembly:ASM411807v1:3:49134581:49138041:1 gene:Vigun03g301800.v1.2 transcript:Vigun03g301800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYFPPCKIGSWHGLELEDVQENDELKLVPSLPSIPQPPTPHEPMEFLSRSWSLSAAEISKALLEKQKHTFHDQNQPTLPEAFSAPQLVTGKIIASPRKMGTIGKWFHQRHHGNTSVAVKKKDRARLENARLHSAVSIAGLASALAAVAAAENTNGSHSKLNLALASATQLMASHCIEMAEQAGAEHDHVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARMPNEAKRNASISPYDRVLLPQPQWFHAFDGQMCDHHLPCEGDLLQLSRKGVLRWKRVSVYINKKCQTGFLILLLRSKSRSRASTLEEPSPKRINVWFMECVTKMGRGLTEKKGETQKSSTLASKLHKVF >Vigun03g301800.5.v1.2 pep primary_assembly:ASM411807v1:3:49134581:49138041:1 gene:Vigun03g301800.v1.2 transcript:Vigun03g301800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYFPPCKIGSWHGLELEDVQENDELKLVPSLPSIPQPPTPHEPMEFLSRSWSLSAAEISKALLEKQKHTFHDQNQPTLPEAFSAPQLVTGKIIASPRKMGTIGKWFHQRHHGNTSVAVKKKDRARLENARLHSAVSIAGLASALAAVAAAENTNGSHSKLNLALASATQLMASHCIEMAEQAGAEHDHVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARMPNEAKRNASISPYDRVLLPQPQWFHAFDGQMCDHHLPCEGDLLQLSRKGVLRWKRVSVYINKKCQTGFLILLLRSKSRSRASTLEEPSPKRINVWFMECVTKMGRGLTEKKGETQKSSTLASKLHKVF >Vigun03g301800.4.v1.2 pep primary_assembly:ASM411807v1:3:49134581:49138041:1 gene:Vigun03g301800.v1.2 transcript:Vigun03g301800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYFPPCKIGSWHGLELEDVQENDELKLVPSLPSIPQPPTPHEPMEFLSRSWSLSAAEISKALLEKQKHTFHDQNQPTLPEAFSAPQLVTGKIIASPRKMGTIGKWFHQRHHGNTSVAVKKKDRARLENARLHSAVSIAGLASALAAVAAAENTNGSHSKLNLALASATQLMASHCIEMAEQAGAEHDHVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARMPNEAKRNASISPYDRVLLPQPQWFHAFDGQMCDHHLPCEGDLLQLSRKGVLRWKRVSVYINKKCQVKIKIKSKHVGGAFSKKNKCVVYGMCDKDGAWPYRKERRNSEEFYFGLKTAQGLLEFKCDGKLHKKKWVDGIGCLLRRVNSVETTKLSLDLLSINSDT >Vigun11g223000.1.v1.2 pep primary_assembly:ASM411807v1:11:41422059:41424048:1 gene:Vigun11g223000.v1.2 transcript:Vigun11g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDYQFIATATTLYIVHNNLSVPSLFTHLLLRIVFSNMGVWEHATASATMLLLLLCLNEIAHVNSQRVPALFVFGDSLVEVGNNNFLNTMAKANFFPYGIDFPRAATGRFSNGRSLIDFFGEMLGVSSPPPFADPSTTGSRILNGVNYASAASGILDESGRNYGDRFPMNRQIQNFESTLNQYRTMMNPAALSQFLAKSIAVVVTGNNDYINNYLRSGIYSPGFNYSAQQFGNLLVNNLGRQILALHSLGLRKFFLAGVGPLGCIPNIRATGLAPPGRCVDQVNQIVGFFNVGLRSMVEQLNRDHPDSIFVYGNTYHVLGDILNNPARYQFDIVDRACCGVGRDRGQISCLPLIYPCVNRRQTVFWDAFHPSESAIYVFAWRAVNGPQDDCYPVNIKQMALI >Vigun03g365800.1.v1.2 pep primary_assembly:ASM411807v1:3:56909289:56910357:-1 gene:Vigun03g365800.v1.2 transcript:Vigun03g365800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMLPGVECARRRRLHNNTSSRDFITRSSLCLYATRNLQSPPPSSSSSSLLERNTVNQTDPDENLGVAALEAKRRLDQRFAAYLTTENTPQSKSFFRCFASASQSKLR >Vigun01g179350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36005836:36006195:1 gene:Vigun01g179350.v1.2 transcript:Vigun01g179350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPETVETLTPATSGIDPLPPCMATSSTEHSKGRSDVWGHFTKQKPYSEKKAKCNYCGDLIKYLAGTSGMRNHLIRCKENPNREAFKRQKLSSSTTEGASVGPSPTISKFDQMHLE >Vigun01g024300.1.v1.2 pep primary_assembly:ASM411807v1:1:2631461:2639648:-1 gene:Vigun01g024300.v1.2 transcript:Vigun01g024300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVDPGSSLLKAGFAIPDQTPAMIIPTQMKRMLDDGSVTDNPTVDDVAVDPVYRGYVRDWDAMEDLLHYVLYTGLGWEIGNEGQILFTDPLCTPKANKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVNHIASRRFEFGGTDLTSFLAQELGKSNPRVNLNISDVEKIKQLYSCCAEDELAYQQTLDSCPVETHTLPDGQVIKIGRERYTIGEALFQPCLLGLEAHGIVDQLVRTISNVSSDNHRQLLENTVVCGGTSSMTGFEERFQKESSLSSSAIRPTLVKPPEYMPENLTVYSAWVGGAILAKVVFPQNQHVTKADYDETGPSIVHRKCF >Vigun05g257500.1.v1.2 pep primary_assembly:ASM411807v1:5:45154559:45158157:1 gene:Vigun05g257500.v1.2 transcript:Vigun05g257500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLSCTPNHDHGLFTAVQQGDLQIVTTLLEADPSLFHQTTLYDRHSPLHIAAANAQIEILSRLLDGSLNPDVLNRHKQTPLMLAAMHGNIACVEKLLQAGANVLMFDTISGRTCLHYAAYYGHSSCLKAILSAAQSSPVAASWGFARFVNIRDGKGATPLHLAARQRRSECVHILLDSGALVCASTGGYGCPGSTPLHLAARGGSLDCIRELLAWGADRLHRDASGRIPYMVALKHKHGACASLLNPTSAEPLVWPSPLKFISELNPEAKALLEQALMDANREREKNILKGSAYSLPSPSHSDGVTDDVSEVSESELCCICFEQACTIEVQSCGHQMCAQCTLALCCHNKPNPATACLTPPVCPFCRSAITRLVVVKTEYHDETDLDGVDTNCSKLNKTSKKFRNLNDSGSSSFKGLSSVSSFGKLGSRSSGRIAAEWVDKQ >Vigun10g061500.1.v1.2 pep primary_assembly:ASM411807v1:10:12788632:12791717:1 gene:Vigun10g061500.v1.2 transcript:Vigun10g061500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIPWATIGVVTGWYSSNIGVLLLNKYLLSNYGFRFPVFLTTCHMLLSSLLSYVISVTDMVPLQSLRSQAQFWKIVALSVVFSFSVVCGNASLRFIPVSFNQAIGSTTPFFTAVFAYAVTSKREAWVTYATLLPVVSGVIIASGGEPSFHLFGFVICVSSTAARAFKSVLQDILLSSEGEKLNSMNLLLYMAPIAMMVLLPTTLFMEGNVIGITIDLAKKDIRIFWYLLLSSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPISVMGMFGYALTVSGVILYSEAKKRYSKS >Vigun05g235300.1.v1.2 pep primary_assembly:ASM411807v1:5:42869259:42870913:-1 gene:Vigun05g235300.v1.2 transcript:Vigun05g235300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEGVASAPTSPESRRTRSNGKGKTIAEAAPPSVTVVSTKVTPSPRGGWRKGAAILDFILRLGAISSAIGAAAVMGNNEQILPFFTQFFQFHVQWDDFPMFQFFVFANGAAVVFLILSLPFSIVCIVRPFAVGPRLLLVIVDIFAMALVIAAASAAAAVVYLAHNGSQDANWIAICQQYTDFCQVTSQAVVASFVAAVFLICLIVLSSVALKRG >Vigun02g204100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33756812:33758488:1 gene:Vigun02g204100.v1.2 transcript:Vigun02g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFASYICIYLTTPSRHKLEMPTMNFFLSALLLHSFVVTGLGKEASHIIMHNGGAYPYASPPPPMCPPPPPPPCQTRLQRGRETLVGFTGAVDRNKYIGNWRGSDPCNFKGIRCAQYPDAEKQRAIAGIDLNGAGLSGKNRTPLLLSGILDRIPELTFFHSNSNNFTGAIPTEITKYEYFFELDLSNNKLKGEFPKEVLQSSQLVFLDLRFNGLYGPIPPQLFNLKYLDVIFINNNQFSGTLPDAFGSTPARYLTFANNQLTGPIPASVGASKNLVEVLFLGNHFEGCLPYQIGLLRKATVFDVSQNWLTGPIPRSFGCLESIRYLNLEKNHFYGEVPETVCELPGLRDRGNFSLSNNYFTQVGPACRRLIDANVLDVTNNCILGLPNQRPHGECSHFFSNLKPCPNPKSLNYVPCKKYIPSSHTQSTTSTPPPPPLSYNSLDPHLHR >Vigun03g120000.1.v1.2 pep primary_assembly:ASM411807v1:3:11161534:11162768:1 gene:Vigun03g120000.v1.2 transcript:Vigun03g120000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNYGFLVCILVLVLDVVAGILGIQAEIAQNKVKDLKMWALECRDPSYGAFKLGVAASILLAFAHAFPHLLGGCICMRSKEECQRSTANRQLAVSFLLLSWIVLAIAFSLLILGTLANSRSRESCGISNRRFLSIGGILCFVHGLFTIIYYVSVTATRREEKKQGNPIVSHP >Vigun01g229900.1.v1.2 pep primary_assembly:ASM411807v1:1:40232831:40236963:-1 gene:Vigun01g229900.v1.2 transcript:Vigun01g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNWKSSCFRDHLFLLALCLLFQNFSSCCSLNEEGKALLKFKQGIVRDPFGALSNWVNDEVAINHCNWFGVECSAGRVVVLNLKDLCLEGNLVPELANLVHIKSIILRNNSFYGIIPEGIAHLNELEVLDLGYNNFSGHLPTDLGNNISMTILLLDNNEHLCGFSPEINKLKLISEYQVDERLLSMAEKVPACTRRFVKRHTGKNKKGLRRLLQSPRHSPFNRAASFPDSPPPSSSAPSPAPATPPPVEEPAFTDRNDSASNSLSPSPLPEPPSTSGSSKNNLVVILVGVIGGVVFLVISSIGIYLCKTNKVATVKPWATGLSGQLQKAFITGVPKLKRSELEAACEDFSNVIGTSSIGTVYKGTLSTGVEIAVASVAVTSSKDWSKTLEAQFRKKIDTLSKVNHKNFVNLLGHCEEDEPFTRMVVFEYAPNGTLFEHLHIKEAEHLDWETRLRVAMGMAYCLQHVHQLEPPLVLGDLNSSAVQLTDDCAAKVSDLSFLTEIASAVMKSSVRQHPDMTPASNIYSFGVILFEMVTGRLPYSVDNDGSLDDWASQYLHGNQPLKEMVDPSLASFQEEQLEQVDALIKSCVHPDPKQRPSIKEVCLRLREITKITPEAAVPKLSPLWWAELEIASVNGN >Vigun08g112200.1.v1.2 pep primary_assembly:ASM411807v1:8:27819078:27820991:-1 gene:Vigun08g112200.v1.2 transcript:Vigun08g112200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMFYSESQSVSEKKKREIMEEIIKGEKAAIELKILLQKPSESEPLLSYHQLVASVLSSFTQSLSIIYSSSSSEPSSADGVPHRNLLNPTGYISPETGSGNDPTSGHCSEKRSQKGGRGRYIRRKGAPTKTTLSCTTDDKYAWRKYGQKGIQNYKYPRSYFRCSYKHDQGCNATKQVQLEQENPRMYRITYIDLHTCNDIPKVTHKTVDSSTGESSLLHSDRGSNEMDHLISSPNLAMKPEFPRETHTSSDLHDHRLLESSMWLEYWKESEPFNSTIMPLTKASDNSAENAYSCADIQNLDMDFGGVASVLLDSHFHFDEDLFF >Vigun07g114700.2.v1.2 pep primary_assembly:ASM411807v1:7:21237469:21243706:-1 gene:Vigun07g114700.v1.2 transcript:Vigun07g114700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESNYSGRGRFSSADRCHLLSRYLPVNGPWLIDQMSSRAYVSQFSADGSLFIAGFQGSHIRIYNVDRGWKVQKNILAKSLRWTITDTCLSPDQRYLAYASMSPIVHIVNAGSSETESLANVTEIHDGLDFSSNDYGGYSFGIFCVKFSKDGREIVAGSSDNSIYVYDLEANKISLRILAHTSDVNTVCFADETSHLIYSGSDDSFCKVWDRRCLNAKAKPAGVLMGHLEGITFIDTRGDGRYFISNGKDQTIKLWDIRKMSSNVTSNPGYRSYEWDYRWMDYPPQAKDLTHPCDQSVATYRGHSVLRTLIRCYFSPAFSTGQKYIYTGSHNACVYIYDLVSGAQVATLKHHKSPVRDCSWHPFNTMLVSSSWDGDVVKWEFAGSGDRPASLNKRVWTRHFYDEYM >Vigun07g114700.1.v1.2 pep primary_assembly:ASM411807v1:7:21237469:21243706:-1 gene:Vigun07g114700.v1.2 transcript:Vigun07g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYATASALYIDEMGYAMSRLEIDSDHCEDGNAIHEESSSGQSKRPSAFLDNEIAQITKLKSNPHQQLVQVGTGRPQLPVSPVKMLAGRESNYSGRGRFSSADRCHLLSRYLPVNGPWLIDQMSSRAYVSQFSADGSLFIAGFQGSHIRIYNVDRGWKVQKNILAKSLRWTITDTCLSPDQRYLAYASMSPIVHIVNAGSSETESLANVTEIHDGLDFSSNDYGGYSFGIFCVKFSKDGREIVAGSSDNSIYVYDLEANKISLRILAHTSDVNTVCFADETSHLIYSGSDDSFCKVWDRRCLNAKAKPAGVLMGHLEGITFIDTRGDGRYFISNGKDQTIKLWDIRKMSSNVTSNPGYRSYEWDYRWMDYPPQAKDLTHPCDQSVATYRGHSVLRTLIRCYFSPAFSTGQKYIYTGSHNACVYIYDLVSGAQVATLKHHKSPVRDCSWHPFNTMLVSSSWDGDVVKWEFAGSGDRPASLNKRVWTRHFYDEYM >Vigun04g075000.1.v1.2 pep primary_assembly:ASM411807v1:4:9159695:9161226:-1 gene:Vigun04g075000.v1.2 transcript:Vigun04g075000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPVVDFQKLSEGEEWKKLKEACEKCGIFRVINHPISETLMKEMKSAVKFWHDLPLEIKMRNKSIIPDSGYIPPFPSSPLYEAMVYDFHKSPQALDDFFSQLDLPPYYRKIVKTYGQAIHDLASTIAQKMAKCLGIVDVDFKDWPFLLRTIKYNFSPENIGEMGILLHSDTGFITLLQDDETVTGLELLDDSGILKVVTPKSGSFLCIVGDVGHVWSNEKFWNARHRVICKETCTRYSFGVFMLAARDGIVEAHPKLAELDNGPRYQPFKYEDLREFRIVTGKRNAEVLDQYRIA >Vigun07g214700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588991:33590962:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588967:33592078:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588967:33592078:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588991:33591437:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588991:33591468:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588967:33592078:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g214700.9.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33588991:33591988:-1 gene:Vigun07g214700.v1.2 transcript:Vigun07g214700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMHAPSPGLSSSPGPPLLGSGSGSGSVGQKRAREDDTGAAASGGEGASSQSASREESGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVTAVRPPHLPDFPATSLAGSGDVPAVTGYSPTVMQGAPFQSSPDLLRDYWGYSQLLRSTGEFHGLDQWFYDSQMAALQSSSSSSLLPLPPAYAPSSASFPLFSSQQMGVFRPPGQQHHGGGDSGSGSEFPPSSWSDTSSYPPPPPPPG >Vigun07g092900.3.v1.2 pep primary_assembly:ASM411807v1:7:14876291:14881197:1 gene:Vigun07g092900.v1.2 transcript:Vigun07g092900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAASPTGSHSRNGFRFSDQENGDYNQHNYGQAFSVAGSEDFEGQKAFYRNEEKRKDKRSLMSNNQEDDNLLGEARQPLWRKIPIASSKINPYRIVIILRVVILVFFFHLRITTPVHDALALWIISVVCEIWLALSWMVDQLPKWFPITRETYLERLSLRFEREGEPNLLAPVDIFVTTADPFKEPPILTANTVLSVLSVDYPVEKVSCYVSDDSASMLLFDTLSETAEFARIWVPFCNKYNIETRAPEFYFSEKLDYLKDKVHPTFVKDRRAMKREYEEFKVKINVLVAKAQKKPEEGWVMKDGNPWPGSNMDDHPGMIQVCLGSTGSLDKEGKELPRLVYVSREKRPGYQHHWKAGAMNALVRVSAVLSNAPFALNLDCDQYINNSKVLRESMCFLMDPQLGKKFCYVQFPKRFNGIDCNDRYANHNTVFFDINMKCLDGIQGPLYVGTGCVFNRQALYGFDPLSDKRPKMKSCCWLSSCSCSYSDSESSSDDETDQELVDFDDEEEEELSFMSMKSLEKRFGQSPVFIASALMEDGGLPKGTNTRVLIKEAIHVISCDYEEKTEWGKEIGWLYGSVTEDILTGFIMHCRGWKSVYCMPKKAAFKGSAPINLSDRLHQVLKWASGSTQIFFSSYCPLWYGYSGKLKWLQRLAYTNSIVYPFTSIPLLIYCTIPAVCLLTGKFIIPTLSNLASIWLMALFISIILTCMLELRWSGVSIHDWWRNEQFWVIGGVSSHLFAVFQGLLKVGGVNSSFTVRAKSAHDTSFGQFHLFKWTSLLIPPTSLVILNMVGIVAGISDAINNGYDSWGPFFGKLFFSLWVIVHLYPFLKGLMGKQNRTPTIVVLWSILVAIIFSMIWVRIDIFLPKHTGPALRQCGIRC >Vigun07g092900.1.v1.2 pep primary_assembly:ASM411807v1:7:14867379:14881197:1 gene:Vigun07g092900.v1.2 transcript:Vigun07g092900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAASPTGSHSRNGFRFSDQENGDYNQHNYGQAFSVAGSEDFEGQKAFYRNEEKRKDKRSLMSNNQEDDNLLGEARQPLWRKIPIASSKINPYRIVIILRVVILVFFFHLRITTPVHDALALWIISVVCEIWLALSWMVDQLPKWFPITRETYLERLSLRFEREGEPNLLAPVDIFVTTADPFKEPPILTANTVLSVLSVDYPVEKVSCYVSDDSASMLLFDTLSETAEFARIWVPFCNKYNIETRAPEFYFSEKLDYLKDKVHPTFVKDRRAMKREYEEFKVKINVLVAKAQKKPEEGWVMKDGNPWPGSNMDDHPGMIQVCLGSTGSLDKEGKELPRLVYVSREKRPGYQHHWKAGAMNALVRVSAVLSNAPFALNLDCDQYINNSKVLRESMCFLMDPQLGKKFCYVQFPKRFNGIDCNDRYANHNTVFFDINMKCLDGIQGPLYVGTGCVFNRQALYGFDPLSDKRPKMKSCCWLSSCSCSYSDSESSSDDETDQELVDFDDEEEEELSFMSMKSLEKRFGQSPVFIASALMEDGGLPKGTNTRVLIKEAIHVISCDYEEKTEWGKEIGWLYGSVTEDILTGFIMHCRGWKSVYCMPKKAAFKGSAPINLSDRLHQVLKWASGSTQIFFSSYCPLWYGYSGKLKWLQRLAYTNSIVYPFTSIPLLIYCTIPAVCLLTGKFIIPTLSNLASIWLMALFISIILTCMLELRWSGVSIHDWWRNEQFWVIGGVSSHLFAVFQGLLKVGGVNSSFTVRAKSAHDTSFGQFHLFKWTSLLIPPTSLVILNMVGIVAGISDAINNGYDSWGPFFGKLFFSLWVIVHLYPFLKGLMGKQNRTPTIVVLWSILVAIIFSMIWVRIDIFLPKHTGPALRQCGIRC >Vigun07g092900.2.v1.2 pep primary_assembly:ASM411807v1:7:14868083:14881197:1 gene:Vigun07g092900.v1.2 transcript:Vigun07g092900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNCINQDNCKVDSQYYKGTHATTTSRSSSFFRVLSSSYLSSVIPFCSLRNPRLLLAMAITAASPTGSHSRNGFRFSDQENGDYNQHNYGQAFSVAGSEDFEGQKAFYRNEEKRKDKRSLMSNNQEDDNLLGEARQPLWRKIPIASSKINPYRIVIILRVVILVFFFHLRITTPVHDALALWIISVVCEIWLALSWMVDQLPKWFPITRETYLERLSLRFEREGEPNLLAPVDIFVTTADPFKEPPILTANTVLSVLSVDYPVEKVSCYVSDDSASMLLFDTLSETAEFARIWVPFCNKYNIETRAPEFYFSEKLDYLKDKVHPTFVKDRRAMKREYEEFKVKINVLVAKAQKKPEEGWVMKDGNPWPGSNMDDHPGMIQVCLGSTGSLDKEGKELPRLVYVSREKRPGYQHHWKAGAMNALVRVSAVLSNAPFALNLDCDQYINNSKVLRESMCFLMDPQLGKKFCYVQFPKRFNGIDCNDRYANHNTVFFDINMKCLDGIQGPLYVGTGCVFNRQALYGFDPLSDKRPKMKSCCWLSSCSCSYSDSESSSDDETDQELVDFDDEEEEELSFMSMKSLEKRFGQSPVFIASALMEDGGLPKGTNTRVLIKEAIHVISCDYEEKTEWGKEIGWLYGSVTEDILTGFIMHCRGWKSVYCMPKKAAFKGSAPINLSDRLHQVLKWASGSTQIFFSSYCPLWYGYSGKLKWLQRLAYTNSIVYPFTSIPLLIYCTIPAVCLLTGKFIIPTLSNLASIWLMALFISIILTCMLELRWSGVSIHDWWRNEQFWVIGGVSSHLFAVFQGLLKVGGVNSSFTVRAKSAHDTSFGQFHLFKWTSLLIPPTSLVILNMVGIVAGISDAINNGYDSWGPFFGKLFFSLWVIVHLYPFLKGLMGKQNRTPTIVVLWSILVAIIFSMIWVRIDIFLPKHTGPALRQCGIRC >Vigun07g086300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13073178:13076205:-1 gene:Vigun07g086300.v1.2 transcript:Vigun07g086300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLSMENHHPSTLLSMDSSASSHEELDLEMNRQIILSCPPDINLPLSAERSPPPQPWNSEPCDILDVGLGTQGYETESFLNLPKAGRKCAKRVDSIWGAWFFFSFYFKPALNDKSKAKIVRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGECPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVSAPNLMTLDEDDRKRWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPPPPIKSGPNAHSKKLLNGSGLNLSTHLSNHSNGDGLDLSPVSSKKRKDFFPHGNEEECYLAVNTPYDRLLEMHPSEPHWLNDFSGVIKSVHGPVTAAKTIYEDEQGYLIIISLPFVDLPNVKVSWRNTLTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPPGEFVREIPLSTRIPEDANLEAYYDGQGSVLEILVPKHRVGPEEHEVRVCLRPNLGGSDLNLR >Vigun07g086300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:13073677:13076205:-1 gene:Vigun07g086300.v1.2 transcript:Vigun07g086300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLSMENHHPSTLLSMDSSASSHEELDLEMNRQIILSCPPDINLPLSAERSPPPQPWNSEPCDILDVGLGTQGYETESFLNLPKAGRKCAKRVDSIWGAWFFFSFYFKPALNDKSKAKIVRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGECPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVSAPNLMTLDEDDRKRWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPPPPIKSGPNAHSKKLLNGSGLNLSTHLSNHSNGDGLDLSPVSSKKRKDFFPHGNEEECYLAVNTPYDRLLEMHPSEPHWLNDFSGVIKSVHGPVTAAKTIYEDEQGYLIIISLPFVDLPNVKVSWRNTLTHGIIKVSCMSTSRKPFIKRHDRTFKLTDPSSEHCPPGEFVREIPLSTRIPEDANLEAYYDGQGSVLEILVPKHRVGPEEHEVRVCLRPNLGGSDLNLR >Vigun06g109300.1.v1.2 pep primary_assembly:ASM411807v1:6:23841610:23846042:1 gene:Vigun06g109300.v1.2 transcript:Vigun06g109300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSSSSSLKFTVRRCEPQLVRPAIPTPHEVKLLSDIDDQEGLRFHLPMIQIYRKQTSMAKKDPVRVIRQALSQTLVFYYPFAGRLREGPRRKLMVDCTGEGAMFIEADADVTLDQFGDSLLPPFPCFHQLLYHVPGSQQITDTPLLLVQVTRLSCGGFILAFSFNHTMCDGDGLSQFMNTWAEMARGATKPSIAPVWRRELLMTRDPPRITCNHREYMQIPHNMTPTTFIPYENHMVLRSFFFGPSRVAAIRGLVPPHLQHCSTFDLITACFWRCRTKALQIEAEEEVRMMVIVNARAKFNPPLPVGYYGNAFAYPAAVTTAGKLRENPFGYAVNLINKVKGEVTEEYMHSVADLMVNKGQYIPVTERSFMVSDLRYFGSRETDFGWGDAAYGGVAKGGLGPFPAVTFVVPFKNAKGEEGVVLPIWLPPEAMNRFTKELGTCVL >Vigun03g004500.2.v1.2 pep primary_assembly:ASM411807v1:3:303164:309647:1 gene:Vigun03g004500.v1.2 transcript:Vigun03g004500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWISSKLKAAESILHQLDQQAAESLGKNEGFRSEEPSIDAPSKSGIGVSLKDQLKKKPLESSDYGGKFRSDPNFNGLKATASAPKLSTKSGPTLTNDDWTELLSAPTVTQSIASASGSNQGNGGPSPRVLSKNSARKLKGLSSASSVSDVRRNARSGNSGPRSFQKSDYVKEVKLSGKAPDDGKESTSSTSTRRNSAVESETDGKWGKEPTYSDKSSSEKPVIEENAKEENEHRFNYRDTSPSESLQEGDQTLAAETIPALGIDKVQEPKIVVDFDGSQLRSAIKERHELNSISGNSISDDLKRGSPMATYGSSDSDTDSGSTSDSESEHEREERRKRRERILAEKAAAKAINAIKERENMVAKLEGEKETLEKILEERAKEQAQEASQLQSTAMETMEAVELEKQKHNNTRMEFLARLAKLETANADLARSLAAVQWNLEIEVKQVAELKQQISSKELLHEELRRRMKNPPQTGASQNQLASKGVEFEREILETAWIITSTTRSYICDWCTFSEKERKCKMVGFDVSCLSSFLGVLYSFITY >Vigun03g004500.1.v1.2 pep primary_assembly:ASM411807v1:3:303164:309647:1 gene:Vigun03g004500.v1.2 transcript:Vigun03g004500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWISSKLKAAESILHQLDQQAAESLGKNEGFRSEEPSIDAPSKSGIGVSLKDQLKKKPLESSDYGGKFRSDPNFNGLKATASAPKLSTKSGPTLTNDDWTELLSAPTVTQSIASASGSNQGNGGPSPRVLSKNSARKLKGLSSASSVSDVRRNARSGNSGPRSFQKSDYVKEVKLSGKAPDDGKESTSSTSTRRNSAVESETDGKWGKEPTYSDKSSSEKPVIEENAKEENEHRFNYRDTSPSESLQEGDQTLAAETIPALGIDKVQEPKIVVDFDGSQLRSAIKERHELNSISGNSISDDLKRGSPMATYGSSDSDTDSGSTSDSESEHEREERRKRRERILAEKAAAKAINAIKERENMVAKLEGEKETLEKILEERAKEQAQEASQLQSTAMETMEAVELEKQKHNNTRMEFLARLAKLETANADLARSLAAVQWNLEIEVKQVAELKQQISSKELLHEELRRRMKNPPQTGASQNQLASKGVEFEREILEAEHSLINDKVTQLEEKARKLEADIEITRKEMEEPTEVEVELKQRLQQMTDHLIQKQAKVESLSSEKASLMFRMEAVSRLLDENTSASGATNMNHASSSSDLESGLWELSNSKLKPMLKARIHSGKRQLGSLLQQLDHIFVTGALFLKRNASAKWWALMYLVCLHFWVFYILSSHTSPSNVGRSGAQISLENINNTGGV >Vigun11g217600.1.v1.2 pep primary_assembly:ASM411807v1:11:41101306:41102271:-1 gene:Vigun11g217600.v1.2 transcript:Vigun11g217600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFDFFLSLKFGKMAKRLVRWTGNDKSQALPQPSKSQVRILTRDQPGGFNY >Vigun02g103500.1.v1.2 pep primary_assembly:ASM411807v1:2:25794623:25799446:-1 gene:Vigun02g103500.v1.2 transcript:Vigun02g103500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKQQQQQEEEDYHKMLRSYLGLSFSMFLATLANNSVPALQGKVRMLSLRAVEAAEELRQMKSRRQEDSKANARVVEIFASHRNAWQTEEKRLVQQIEAAAEEIARLRGRVAELEECVARAEKEVGERDEMIGFMSRRIEEEGLGGREHYGKKSGEWFPKEVEEEELVGSTRSLEEEVEVIYEQHSQHFGNNGFDSEFMASASKFWAEKASLWQDVQYESLESMYNTKHFVARRESPWKVDGDSAGVSSKLKLLEQDLLNLEKIGKNDPSKVSSLVKKQAKRYQGLAEKIDDLCRRIANDPCEPSLSSEFRTQTQTEFLLEAFRLQQGVSETGQNLMALQTEIGKNSYREEMRNETTLTTRRSLDSMRNNFKEIQRNLEIWLARIIGDLEGILSREGASRVREYYISRYPFVQ >Vigun08g188201.1.v1.2 pep primary_assembly:ASM411807v1:8:35662956:35664563:1 gene:Vigun08g188201.v1.2 transcript:Vigun08g188201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVFASFFAIVVVLAVTVVAAQPLTCEQGKTAFLLWGQGLHKIIKSSPKKEEKNAACKCLKDAASQIPNLDKDRANNLSKTCKTHDDSPSNDLDCEKFV >Vigun09g059400.1.v1.2 pep primary_assembly:ASM411807v1:9:6061435:6064825:1 gene:Vigun09g059400.v1.2 transcript:Vigun09g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIAIHGGAGDIPLSLPPEQRQPREEALHHCLQIGVQALQAKMHPLDVVELVVRELENIPQFNAGKGSVLTNKGTIEMEASIMDGRTKKCGAVSGLKTVVNAISLARLVMEKTPHIYLGFDGAEEFAKEQGVETVDPTHFITAENVERLHHAQKANIVQIDYSTHPLQNGTGKETPNVVNGDSQLGTVGCVAVDSDGKLASATSTGGLVNKMVGRIGDSPIIGGGTYANELCAVSATGKGEAILRSTVARDVAALMEFKGVSLKEAANCVVHERTPKATVGLVAVSAAGEVAMPYNTTGMFRACATEDGYSEVAIWSHS >Vigun06g075900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20633830:20635008:-1 gene:Vigun06g075900.v1.2 transcript:Vigun06g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIWEEGSASRVPHMAMATTEDTNHVAIIFGVTGLVGRELAKRLLLLEPSWKVYGIARKPETPQTLISPCYHFISCNLLNPLETQKKLSALQDVTHVFWVTWASQFQLETQESWDQNKAMMSNALNSMLSIPNNLKHVSLQTGTKHYVSLHPPFDEEKLHCYCYHEEFPRMSRSLNFYYALEDLLIEKLSGKVCWSVHRPGLLLGSSVRSTYNFMGSLCVYGAICKHLRLPFVFGGTRKCWEEAYIDGSDARLVADQHIWAATNSDIVSTNGQAFNSINGSTFTWKEVWPIVGKKLGVQVPQDMLVENFWFSKAMAGKQKVWEEIVEENGLVHTTVENLANWEFLDALFRLPFKLLGSRDKVDRLGFGERYKTVDSIMYWIDCMKDEKLIP >Vigun01g098800.4.v1.2 pep primary_assembly:ASM411807v1:1:26546155:26550407:1 gene:Vigun01g098800.v1.2 transcript:Vigun01g098800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLWMPLHNLVDQIVGATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGKDSKDEKRNSGDSFSGADSSPGMPINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGNTLTTSETLPSSHDKQTHPHSEASGSSDALASTLSPRKKQRIDDGSKDGFTASHVRKTEQKNECNVGQLDPNLYDDAGFGFDLETNKHKESGQ >Vigun01g098800.2.v1.2 pep primary_assembly:ASM411807v1:1:26546155:26550407:1 gene:Vigun01g098800.v1.2 transcript:Vigun01g098800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAKKFSSPGMVPYKSQGGAEQLANVEVLGGSAAKNATPAGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADDSKDEKRNSGDSFSGADSSPGMPINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGNTLTTSETLPSSHDKQTHPHSEASGSSDALASTLSPRKKQRIDDGSKDGFTASHVRKTEQKNECNVGQLDPNLYDDAGFGFDLETNKHKESGQ >Vigun01g098800.1.v1.2 pep primary_assembly:ASM411807v1:1:26546155:26550407:1 gene:Vigun01g098800.v1.2 transcript:Vigun01g098800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAKKFSSPGMVPYKSQGGAEQLANVEVLGGSAAKNATPAGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGKDSKDEKRNSGDSFSGADSSPGMPINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGNTLTTSETLPSSHDKQTHPHSEASGSSDALASTLSPRKKQRIDDGSKDGFTASHVRKTEQKNECNVGQLDPNLYDDAGFGFDLETNKHKESGQ >Vigun01g098800.3.v1.2 pep primary_assembly:ASM411807v1:1:26546155:26550407:1 gene:Vigun01g098800.v1.2 transcript:Vigun01g098800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLWMPLHNLVDQIVGATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADDSKDEKRNSGDSFSGADSSPGMPINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGNTLTTSETLPSSHDKQTHPHSEASGSSDALASTLSPRKKQRIDDGSKDGFTASHVRKTEQKNECNVGQLDPNLYDDAGFGFDLETNKHKESGQ >VigunL059538.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000275.1:22914:23117:1 gene:VigunL059538.v1.2 transcript:VigunL059538.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRRPLRPGSRPGFCSDRRALLLIEAWYLPRRPGIGRAL >Vigun06g214150.1.v1.2 pep primary_assembly:ASM411807v1:6:32561941:32562646:1 gene:Vigun06g214150.v1.2 transcript:Vigun06g214150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDLSVLAQLGWPDMRLQILYTLSWPDRVYYSEITWPRLYLCKAYSASLTDFGGVGDGKTSNTKAFKSEISHLSEYASKGGSQLYVPTGKWLTGSFSVISHFTLYLHKDAVLLASQVSLSFWFIL >Vigun10g044040.1.v1.2 pep primary_assembly:ASM411807v1:10:6238285:6238869:1 gene:Vigun10g044040.v1.2 transcript:Vigun10g044040.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGSKCSTTSSSSSQIRSSGFVPRICRCGETLLLFKATTQKNNGRFFWRCKNWVNDKNCRFFEWADEVEPEKEVTLEKNEEDSVCVNERMLVDVLQKNAKLKKLMEERKMGSVYKDVMKYWSFAVKLRCLQAL >Vigun06g077600.1.v1.2 pep primary_assembly:ASM411807v1:6:20863238:20868530:1 gene:Vigun06g077600.v1.2 transcript:Vigun06g077600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFQHLFSLIFLLSLHHHTGSCALLLSLRHHHNTLRQQRPMIHANQTNCALFVGTWIQDDSYPLYQSPNCPIIDPQFNCKMFGRPDSEYLRYRWRPLNCDLPRFNGEEFLLQMKGKTVMFVGDSLGRNQWQSLICMIYTAVPQTQTQLVRGEPLSTFRFLDYGVTISFYRAPYLVEIDVVQGKRILRLEEVDGNGDLWRNADVLSFNTGHWWEHQGSLQGWDYIELGSKYYQDMDRLAALERGMRTWANWVDSNVDRSRTKVFFLGISPSHTNPNEWNSGVTAGLTTKNCYGETAPIMSSGTAYPGVYPEQMRVVDMVIREMKNPAYLLDITMLSAFRKDAHPSIYSGDLNPQQRAKPDYSADCSHWCLPGLPDTWNELFYTALFY >Vigun06g077600.3.v1.2 pep primary_assembly:ASM411807v1:6:20863238:20866060:1 gene:Vigun06g077600.v1.2 transcript:Vigun06g077600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFQHLFSLIFLLSLHHHTGSCALLLSLRHHHNTLRQQRPMIHANQTNCALFVGTWIQDDSYPLYQSPNCPIIDPQFNCKMFGRPDSEYLRYRWRPLNCDLPRFNGEEFLLQMKGKTVMFVGDSLGRNQWQSLICMIYTAVPQTQTQLVRGEPLSTFRFLDYGVTISFYRAPYLVEIDVVQGKRILRLEEVDGNGDLWRNADVLSFNTGHWWEHQGSLQGWDYIELGSKYYQDMDRLAALERGMRTWANWVDSNVDRSRTKVFFLGISPSHTKYEL >Vigun06g077600.2.v1.2 pep primary_assembly:ASM411807v1:6:20863238:20868530:1 gene:Vigun06g077600.v1.2 transcript:Vigun06g077600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKTVMFVGDSLGRNQWQSLICMIYTAVPQTQTQLVRGEPLSTFRFLDYGVTISFYRAPYLVEIDVVQGKRILRLEEVDGNGDLWRNADVLSFNTGHWWEHQGSLQGWDYIELGSKYYQDMDRLAALERGMRTWANWVDSNVDRSRTKVFFLGISPSHTNPNEWNSGVTAGLTTKNCYGETAPIMSSGTAYPGVYPEQMRVVDMVIREMKNPAYLLDITMLSAFRKDAHPSIYSGDLNPQQRAKPDYSADCSHWCLPGLPDTWNELFYTALFY >Vigun03g260200.3.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYVKLFLSDDSPSKVGQHSQDHLQVKTSMLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGWRELFSLSQVVAIRGVLWRMAPSQK >Vigun03g260200.5.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYVKLFLSDDSPSKVGQHSQDHLQVKTSMLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGIRHSNLQDLKPVHNFKQGEVKHKIQKPCIYFKSSKGCRNGSNCPYQHDVSAQWGAGNILGAQSAKRLKLGP >Vigun03g260200.7.v1.2 pep primary_assembly:ASM411807v1:3:42662861:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGIRHSNLQDLKPVHNFKQGEVKHKIQKPCIYFKSSKGCRNGSNCPYQHDVSAQWGAGNILGAQSAKRLKLGP >Vigun03g260200.4.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGWRELFSLSQVVAIRGVLWRMAPSQK >Vigun03g260200.2.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARKSNRVSWATGGNLCQVKLFLSDDSPSKVGQHSQDHLQVKTSMLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGWRELFSLSQVVAIRGVLWRMAPSQK >Vigun03g260200.1.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670341:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARKSNRVSWATGGNLCQVKLFLSDDSPSKVGQHSQDHLQVKTSMLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGIRHSNLQDLKPVHNFKQGEVKHKIQKPCIYFKSSKGCRNGSNCPYQHDVSAQWGAGNILGAQSAKRLKLGP >Vigun03g260200.6.v1.2 pep primary_assembly:ASM411807v1:3:42661393:42670335:-1 gene:Vigun03g260200.v1.2 transcript:Vigun03g260200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNSTINDPNDLPPGFESSHFLKQTRVDFSCISQIKWECPLPFVVNPDWRVVAGEESREKENQKARENRVLEAVYPRLSAIPPSPSVSLDVEEEDYDDDFTPLIPIIPIEDEESVDIFPEEAVAGNPFPNVQPNNSLQYISAETSIRSPSNAFYTDSSDPCTGIPLGGVSFGMEADLAASVVATIMRSSEQGSPIDMDLLVKIFNDPKMLDKLINEHSAATTTSAYTSTGDSTPGVKPAATPVMLSTSTDVKASGATPTSGVGSLPFGLKTAYPSVSVLSPTPDKPATLPVPAAPSVSPFSPAHDNPVTAPVPLSRPLSGKPGIPSVSLLTTTHASHIPRSVSKQIHHVSSGISPTLNTQPQQDSALASGPKRAAAMAGELSTGPVPSLTRNLHAVVNPVQSPASTLPYKLSTGSSAFAVKDANYYKNLIRQHGADKQDMQDSHIGIRHSNLQDLKPVHNFKQGEVKHKIQKPCIYFKSSKGCRNGSNCPYQHDVSAQWGAGNILGAQSAKRLKLGP >Vigun03g038500.2.v1.2 pep primary_assembly:ASM411807v1:3:2937305:2941527:-1 gene:Vigun03g038500.v1.2 transcript:Vigun03g038500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLPIFCSISLLCLVLWQANGEPVEDKEALLEFVNKFPPSRPLNWNESSPMCASWTGVTCNEDKSRVIAIRLPGVGFHGTIPPDTISRLTALQTLSLRSNVISGHFPSDFSNLKNLSFLYLQFNNLSGPLPDFSAWKNLTVVNFSNNHFNGSIPVSLNILPQLSGLNLANNSLSGEIPDLNLSRLQGLNLSNNNLHGAVPKSLLRFPESAFSGNNISFGTFPPVSPAPQPASEPALKARRRRRLSEAALLGVVVAAGVLGLAAFVSLTFVCCSRRGDEDEETFSGKLHKGEMSPEKAVSRNQDANNKLVFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATTVVVKRLKEVAVGKKDFEQHMEIVGSLKHENVVELKAYYYSKDEKLMVYDYHSQGSIASILHAKRGEERVALDWDTRLKIALGAARGIARIHVENGGKLVHGNIKSSNIFLNTKHYGCVSDLGLATISSSLALPISRAAGYRAPEVTDTRKAAQPSDVYSFGVVVLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMSEVVKMIENVRQIDADQAEQLKLSQRDSGNSPSTTTSYFPRGSE >Vigun03g038500.1.v1.2 pep primary_assembly:ASM411807v1:3:2937305:2941527:-1 gene:Vigun03g038500.v1.2 transcript:Vigun03g038500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLPIFCSISLLCLVLWQANGEPVEDKEALLEFVNKFPPSRPLNWNESSPMCASWTGVTCNEDKSRVIAIRLPGVGFHGTIPPDTISRLTALQTLSLRSNVISGHFPSDFSNLKNLSFLYLQFNNLSGPLPDFSAWKNLTVVNFSNNHFNGSIPVSLNILPQLSGLNLANNSLSGEIPDLNLSRLQGLNLSNNNLHGAVPKSLLRFPESAFSGNNISFGTFPPVSPAPQPASEPALKARRRRRLSEAALLGVVVAAGVLGLAAFVSLTFVCCSRRGDEDEETFSGKLHKGEMSPEKAVSRNQDANNKLVFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATTVVVKRLKEVAVGKKDFEQHMEIVGSLKHENVVELKAYYYSKDEKLMVYDYHSQGSIASILHAKRGEERVALDWDTRLKIALGAARGIARIHVENGGKLVHGNIKSSNIFLNTKHYGCVSDLGLATISSSLALPISRAAGYRAPEVTDTRKAAQPSDVYSFGVVVLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMSEVVKMIENVRQIDADQAEQLKLSQRDSGNSPSTTTSYFPRGSE >Vigun03g157100.2.v1.2 pep primary_assembly:ASM411807v1:3:16897789:16901109:1 gene:Vigun03g157100.v1.2 transcript:Vigun03g157100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTPSLSPQHSDFSTGYLEDALIESCERSKRRRLLPCTTTDEHTMTFIDDLEQSFWNFNPLWHQPVENFNFYCMNQIERFCGFSDELISTSRSEEANILLADSKTPEETISASESLNSSSSSYKQPVTCKTTDPTEAPTGSDEMGNKKVVTRVVYPFAMVKPGGREGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAEGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Vigun03g157100.1.v1.2 pep primary_assembly:ASM411807v1:3:16898220:16901109:1 gene:Vigun03g157100.v1.2 transcript:Vigun03g157100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLHSLAYTATTTSLCGSSLGWDYHNLGVLNADKMSLMEAMEGSTPSLSPQHSDFSTGYLEDALIESCERSKRRRLLPCTTTDEHTMTFIDDLEQSFWNFNPLWHQPVENFNFYCMNQIERFCGFSDELISTSRSEEANILLADSKTPEETISASESLNSSSSSYKQPVTCKTTDPTEAPTGSDEMGNKKVVTRVVYPFAMVKPGGREGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAEGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Vigun05g023400.1.v1.2 pep primary_assembly:ASM411807v1:5:1958088:1959188:-1 gene:Vigun05g023400.v1.2 transcript:Vigun05g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQDMSHQAGQAVGQAKEKASNMMDSASNAAQSAKESCQEAGQQMQARAQGAADAAKDAAGANK >Vigun08g001500.2.v1.2 pep primary_assembly:ASM411807v1:8:174793:181770:1 gene:Vigun08g001500.v1.2 transcript:Vigun08g001500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDKWGLKNFLESWDLSDVLFIVGEEQKPVPSHKAILAASGNFPVCSSSFVINIPTVPYPLFHALLHYIYVGWTQIPQEQLCSLRALSVQFEVMPLVKQCEETMERLKLDKKLLDTGKNVELTYPSIRPHCSTLPSLPINIHQLRQLKLTGQFSDVSIYIESYGLIAQAHKIVLSLWSIPFARMFTNGMSESVSSEVTLRDVPPEAFRAMLDCLYDGQLHDKVIDSGALLLQLLLLADQFGVTFLQQECCKMLLECLSEDSVCPLVQVVSSIPSCRLIKESLQRRISMNFDYYTSASTDFVLLDETTLINIIKHPDLTVTSEEKVLNAILMFGMNSKELFGWEEVAELIVNSKPELLFGERLQLVHDLLPFVRFPLLQYSLLEKLQNSNIGQHIPVFQNLVNEAINFVNCGLAGLENEENVRFQHRRSSYKELQYICDGDDHGVLYFAGTSYGEHPWVNPLLAEPRKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGQNCTWWMVDLGQDHQLMCNYYTLRQDGSKAFPRCWNIQGSQEGKSWTNLRVHENDRSVCKPGQFASWPIVGPNALLPFRYFRVVLTGPTTDATNPWNFCICYLELYGYFL >Vigun08g001500.3.v1.2 pep primary_assembly:ASM411807v1:8:174786:181769:1 gene:Vigun08g001500.v1.2 transcript:Vigun08g001500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLTVAPFECAWREDLKFREAGRGCVAFEAFACNDVTLVFRENVGSQGYHYKRDSSPHYTIILGSHRNRRLRIEVNGKAVVDVAGVGLCCSSSFQSYWISIYDGLISIGNGKYPFQDVVFQWLDSCPNCNVQYIGLSSWDKHVKYRNVNVLSLTHTHMPLSKHVVFGDYQVEDELDAADSFNYKHMDYDKWGLKNFLESWDLSDVLFIVGEEQKPVPSHKAILAASGNFPVCSSSFVINIPTVPYPLFHALLHYIYVGWTQIPQEQLCSLRALSVQFEVMPLVKQCEETMERLKLDKKLLDTGKNVELTYPSIRPHCSTLPSLPINIHQLRQLKLTGQFSDVSIYIESYGLIAQAHKIVLSLWSIPFARMFTNGMSESVSSEVTLRDVPPEAFRAMLDCLYDGQLHDKVIDSGALLLQLLLLADQFGVTFLQQECCKMLLECLSEDSVCPLVQVVSSIPSCRLIKESLQRRISMNFDYYTSASTDFVLLDETTLINIIKHPDLTVTSEEKVLNAILMFGMNSKELFGWEEVAELIVNSKPELLFGERLQLVHDLLPFVRFPLLQYSLLEKLQNSNIGQHIPVFQNLVNEAINFVNCGLAGLENEENVRFQHRRSSYKELQYICDGDDHGVLYFAGTSYGEHPWVNPLLAEPRKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGQNCTWWMVDLGQDHQLMCNYYTLRQDGSKAFPRCWNIQGSQEGKSWTNLRVHENDRSVCKPGQFASWPIVGPNALLPFRYFRVVLTGPTTDATNPWNFCICYLELYGYFL >Vigun08g001500.1.v1.2 pep primary_assembly:ASM411807v1:8:175145:181646:1 gene:Vigun08g001500.v1.2 transcript:Vigun08g001500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLTVAPFECAWREDLKFREAGRGCVAFEAFACNDVTLVFRENVGSQGYHYKRDSSPHYTIILGSHRNRRLRIEVNGKAVVDVAGVGLCCSSSFQSYWISIYDGLISIGNGKYPFQDVVFQWLDSCPNCNVQYIGLSSWDKHVKYRNVNVLSLTHTHMPLSKHVVFGDYQVEDELDAADSFNYKHMDYDKWGLKNFLESWDLSDVLFIVGEEQKPVPSHKAILAASGNFPVCSSSFVINIPTVPYPLFHALLHYIYVGWTQIPQEQLCSLRALSVQFEVMPLVKQCEETMERLKLDKKLLDTGKNVELTYPSIRPHCSTLPSLPINIHQLRQLKLTGQFSDVSIYIESYGLIAQAHKIVLSLWSIPFARMFTNGMSESVSSEVTLRDVPPEAFRAMLDCLYDGQLHDKVIDSGALLLQLLLLADQFGVTFLQQECCKMLLECLSEDSVCPLVQVVSSIPSCRLIKESLQRRISMNFDYYTSASTDFVLLDETTLINIIKHPDLTVTSEEKVLNAILMFGMNSKELFGWEEVAELIVNSKPELLFGERLQLVHDLLPFVRFPLLQYSLLEKLQNSNIGQHIPVFQNLVNEAINFVNCGLAGLENEENVRFQHRRSSYKELQYICDGDDHGVLYFAGTSYGEHPWVNPLLAEPRKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGQNCTWWMVDLGQDHQLMCNYYTLRQDGSKAFPRCWNIQGSQEGKSWTNLRVHENDRSVCKPGQFASWPIVGPNALLPFRYFRVVLTGPTTDATNPWNFCICYLELYGYFL >Vigun08g001500.4.v1.2 pep primary_assembly:ASM411807v1:8:174795:181568:1 gene:Vigun08g001500.v1.2 transcript:Vigun08g001500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLTVAPFECAWREDLKFREAGRGCVAFEAFACNDVTLVFRENVGSQGYHYKRDSSPHYTIILGSHRNRRLRIEVNGKAVVDVAGVGLCCSSSFQSYWISIYDGLISIGNGKYPFQDVVFQWLDSCPNCNVQYIGLSSWDKHVKYRNVNVLSLTHTHMPLSKHVVFGDYQVEDELDAADSFNYKHMDYDKWGLKNFLESWDLSDVLFIVGEEQKPVPSHKAILAASGNFPVCSSSFVINIPTVPYPLFHALLHYIYVGWTQIPQEQLCSLRALSVQFEVMPLVKQCEETMERLKLDKKLLDTGKNVELTYPSIRPHCSTLPSLPINIHQLRQLKLTGQFSDVSIYIESYGLIAQAHKIVLSLWSIPFARMFTNGMSESVSSEVTLRDVPPEAFRAMLDCLYDGQLHDKVIDSGALLLQLLLLADQFGVTFLQQECCKMLLECLSEDSVCPLVQVVSSIPSCRLIKESLQRRISMNFDYYTSASTDFVLLDETTLINIIKHPDLTVTSEEKVLNAILMFGMNSKELFGWEEVAELIVNSKPELLFGERLQLVHDLLPFVRFPLLQYSLLEKLQNSNIGQHIPVFQNLVNEAINFVNCGLAGLENEENVRFQHRRSSYKELQYICDGDDHGVLYFAGTSYGEHPWVNPLLAEPRKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGQNCTWWMVDLGQDHQLMCNYYTLRQDGSKAFPRCWNIQGSQEGKSWTNLRVHENDRSVCKPGQFASWPIVGPNALLPFRYFRVVLTGPTTDATNPWNFCICYLELYGYFL >Vigun03g412800.2.v1.2 pep primary_assembly:ASM411807v1:3:62003675:62008283:-1 gene:Vigun03g412800.v1.2 transcript:Vigun03g412800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALMNLFSLCWKPFGRDADRYDSIGVIGSNFAGREGREGLLWFRDVGKYASGDFSMAVVQANQVLEDQSQIESGPLGTFVGIYDGHGGPDASRYVCDHLFRHFQASSAESRGLVTAQTIERAFRQTEDGYTALVSSSWNARPQIASAGTCCLVGVIFQQTLFVANAGDSRVVLGKKVGNTGGMAAIQLSTEHNANLEAVRQELKESHPHDPQIVVLKHGVWRVKGIIQVSRSIGDVYLKHPQFNREPLNPKFRLPQPMHMPILSANPSILSHPLQPNDSFLIFASDGLWEHLSNEKAVDIVNSNPHACEETYQGRPPRSSKKTRNAVFGSA >Vigun03g412800.1.v1.2 pep primary_assembly:ASM411807v1:3:62003675:62008283:-1 gene:Vigun03g412800.v1.2 transcript:Vigun03g412800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALMNLFSLCWKPFGRDADRYDSIGVIGSNFAGREGREGLLWFRDVGKYASGDFSMAVVQANQVLEDQSQIESGPLGTFVGIYDGHGGPDASRYVCDHLFRHFQASSAESRGLVTAQTIERAFRQTEDGYTALVSSSWNARPQIASAGTCCLVGVIFQQTLFVANAGDSRVVLGKKVGNTGGMAAIQLSTEHNANLEAVRQELKESHPHDPQIVVLKHGVWRVKGIIQVSRSIGDVYLKHPQFNREPLNPKFRLPQPMHMPILSANPSILSHPLQPNDSFLIFASDGLWEHLSNEKAVDIVNSNPHAGSAKRLIKAALHEAARKREMRYSDLRKIDKKVRRHFHDDISVIVLFLNHDLISRGTVLDSALSLRSALDH >Vigun01g036400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:4697434:4700791:-1 gene:Vigun01g036400.v1.2 transcript:Vigun01g036400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIPQPNKPYFFYGHRKPSQNRPTVRGGLFSNRQTLAPSSSPKPKPKPFSIKDWDPHFLSHPSPPSSSPSPSLRLSPIARFIVDAFRKNDNKWGPNVVAELKKLRRVPPNLVAEVLKVQTDHALASKFFNWANSQKGYHHNFASFNALAYCLNRSHKFRAADQLPEVMVSQGRPPSEKQFEILIRMHSDANRGLRVYHVYDKMRNKFGVKPRVFLYNRVMDALFRTGHLDLGLSVYDDFKEDGLVEESVTFMVLVKGLCKGGRIDEMFEVLGRMRERLCKPDVFAYTALVRILVRVGDLDACLRVWEEMKRDGVVVDPKAYATMIVGLAKGGKVQEGYELFKEMKSNGILVDRVIYGKLVEAFVAAGKVGLAFDLLKDLVSSGYTADLEIYNCLIEGLCNLKKLQKAYKLFQVTVREGLEPDFLTVKPLLVAYAEVNRMEEFCKLLEQMEKLGFPVFSDLSKFFSLLVEKNGPIMAVDAFAHLKEKGYVSVEIYNILMDSLYKIGEVKKALSLFDEMKGLSMEPDSITYSIVILCLIDLGEIQEAGICHNKIIEMSCIPSVAAYRSLTKGLCKIGEIEEAMMLVRDCLGSVSDGPMEFKYSLTVIHACKSNDAEKVIDVLNEMMEQGCSLDNVIYSAVISGMCKHGTIEEARKVFSNLRERNYLTESDTIVYDELLIDHMKRITADLVLSSLKFFGLESKLKAKGCKLLP >Vigun05g123900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13794974:13797045:1 gene:Vigun05g123900.v1.2 transcript:Vigun05g123900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNVAVEEICSGIEDGITLAALWVKLQGSPTLSSSNLHLNRTVKRAIWRNLLCIPGLRFEPQPSSSELEDAEKVNIKIFPQLSLVDKGLSLKLLNSTPQKRRRRRMQMEPHFKQMF >Vigun03g431500.1.v1.2 pep primary_assembly:ASM411807v1:3:63567576:63569502:-1 gene:Vigun03g431500.v1.2 transcript:Vigun03g431500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEAHSYSPVLNASSGAGYVTPIGGLNCYVTGSNFTLCTILLVADIFGINATNFRNLADKVAARGYYVVAPDLFNGNPFDPDDVNRPLSVWLQDHPPENASADVKPVLQELRSKGYSRPGVAGFCWGGKAMSDLGKTNYVKAASQSHPSFLEADDVRGIKVPFQILGGQNDTMTPPSRIREAEQVLRNAKPKVPYQVKIFPNVEHGWTLLYDPNDPVAVKAADKAHRTMIAWFDKYVRN >Vigun09g063400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6655754:6657547:-1 gene:Vigun09g063400.v1.2 transcript:Vigun09g063400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHRNPAPKNAIGLCLLPQELIQNIFLSLVLPEIVRLKLVNKSFSRIISDHTFVRQCNTLSTSTTWLFVYKKRWLRDAVLHAFTDRSSDRWFRIPIADLLKPVQFHGEDLYFLAASNNVFLFASNTVREVVAVNLVSVTVKKIPPSPLGPRGTSSWRRSGMKLVTEPSGSGHFRFMFAEFVGNHPVLFVYDSRTDTWKSTEAVESGASEFSPRGGGHVFLNVVHGPRESVLVASTPECDAAVVLRPRFNYPRGVGGNLTVGFSWGNVIDRLHVYGDGYMMIVKSEGARVTGEGGVNRSVRVLKGVELWGLSFDGRKWEFVSTVGGVLEKPYAAMMGCLEEKNGVVTAALVSNCDGVWDMTWLCFDTKWKQWTWMPLPDCKMKGWNMAGISFSSGLTLP >Vigun04g168900.1.v1.2 pep primary_assembly:ASM411807v1:4:39333448:39334758:-1 gene:Vigun04g168900.v1.2 transcript:Vigun04g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLVVALSFIAFLTNLPLTFSVDQVRDIRGNGVFPGLKYNIFPAFGSKNSGGGLKLAETGLSNCPLTLMQNPSRQAHNLTMRMIIPGISTGIIFTGTRIVIKVIDTPPPCAASPDWLVYLDPETNKAGLGIGGPEGHPGQTIFNGTFHIEESDHGYRFVFCVDGLQACSDVGLLDPRKGEDGRRLNLTRTEPFEFEFIETV >Vigun11g099500.1.v1.2 pep primary_assembly:ASM411807v1:11:29227728:29231309:-1 gene:Vigun11g099500.v1.2 transcript:Vigun11g099500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFFLFFLFLLSPPRYSLSLTQDGLFLLEARSRLSDPHNSLSSWHPAASTPCRWRGVTCNNHSAAVIAVDISYSFLSGPFPAALCRLPSLSSLNLSNNLINSTLSAVSLCRSLLHLDLSQNALVGPIDSLAALTPLRYLDLSANNLTGEIPESLAALPHLETLNLVSNLLTGTIPASLGNLTSLKHLQLAYNLFEPGPMPSELGKLGNLETLFLAQCNLRGPIPDTFSNLGNLTNLDLSQNSITGNIPQWFTRFTRVTQIELYQNSLSGELPRGMSKMTNLKLFDASRNELTGTIPTELCELPLQSLNLFENKLEGFLPGAIARSPNLSELKLFSNRLVGTLPSDLGSNSPLNHIDVSFNRFSGEIPASICRQGQLEQLILIYNSFSGEIPESLGKCKSLMRVRLRNNNLSGSVPEGVWSLPRLQLLELLVNYFSGHISKGISGSSSLSNLLLSNNLFSGSIPEEIGLLDNLVEFAASNNNLSGQIPGSMVKLKQLVNLDLSSNQLSGDLNFGGIGYLSKVTDLNLSHNRLDGNVPSELGSFPVLNYLDLSWNNFSGEIPLQLQNLRLSELNLSYNQLSGDIPPLYANANYKTSFIGNPGLCSHLPGLCSCYGRNKNWRHMWILWSIFVLAGVVFIIGVAWFYFRYRKVKKLQKGLCTASWKSFHKLRFSGLEVAKLLNEANVIGKGASGKVYKVVLSNGEVVAVKKLSGGAPKKVDENGGSRKDEFEAEVEALGRIRHKNIVRLWCCCNSGNDKLLVFEYMPNGSLGDLLQSSKKSLLDWPTRCKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILVDEDFVAKVADFGVAKIVTKAGHGTESMSVIAGSYGYIAPEYAYTLRVNEKCDIYSFGVVILELVTGRPPIDPEYGENDLVKWVSSALEHEEVDDVVDPTLDYKFREEISKVLSVGMQCTSSNPITRPTMRNVVKMLREVTSVPKCASVNHGNDHCYSEGTSRCISAV >Vigun05g086500.1.v1.2 pep primary_assembly:ASM411807v1:5:8203453:8207148:-1 gene:Vigun05g086500.v1.2 transcript:Vigun05g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIATLKLNLSAFSSSMSSFTASSSSSSFPSFLPMRTPSSSKPRCFRIYALTSNDIKVGTNLEVDGAPWRVIEFLHVKPGKGAAFVRTKLKNYITGNTVEKTFRAGSSIDQADVYKETKQFTYKDGAQFVFMDLSTYEEFRLGEKEIGDRTKWLKEGMDCNLLLWNGKVIDVDLPITVKLTVVDVDPGLKGDTAQGGTKPATLDTGAVVNVPLFVNVGDEILVDSRTGQYMSRA >Vigun05g248000.2.v1.2 pep primary_assembly:ASM411807v1:5:44151076:44160507:-1 gene:Vigun05g248000.v1.2 transcript:Vigun05g248000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASLSASSPVEAILDKDNFTLEELLDEEEVIQECKALNSRLINFLRDQTQVEQLLRYIIEEPPEDAENKRAFKFPFIACEIFTCEIDVILKTLVDDEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYHNFIDVMQWLAESNLLEMIIDKLSPSCPPEVHANAAETLCTITRNPSSTLAIKLSSPSYVEKLLDHALEDSQSKSSLVNSLSVCVSLLDPKRSAISSPLFHSFRSQHMYEPPIPVNPDTIGAMLPKLGELLMLLNVSSDEKVLPTTYGELRPPLGKHRLKIVEFIAVLLKTGNEVAEKDMVNSGTIQRVIDLFFEYPYNNSLHHHVESIILSCLESKADAIVDHLLRECNLIERFLQADKHSILSADKSMPTVLAAGKQAPRAGNIGHITRIVNKLVHLAHSRSLILTCLQENSEWNEWQTSVLQERNVVENVHRWACGRPTALQDRMRDSDDDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEERGNVDREDEDVYFDDDSAQVVISSLRLSDDQGSLFTNSNWFAFQDDRIGDATEGTTSSEMMDEINMNGAANGGNSSDDEVVVGEDEELAESTNNLNGTSNSSTNLNGVTGSDSMNGDALNFESKKASASNDMGFFRFESSDNEELFGDRPFPEWVGWGEPLDVQDSGSSTNPFVDHDDSSGNNLSTKPQIGNLNPSPPNGDSVPSNRSPTPKDSIDGSVDSSQRSIAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNMVSKVPEKESSDEGGPGIKEFNDANYWRVDQEVAVLE >Vigun05g248000.1.v1.2 pep primary_assembly:ASM411807v1:5:44151076:44160518:-1 gene:Vigun05g248000.v1.2 transcript:Vigun05g248000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASLSASSPVEAILDKDNFTLEELLDEEEVIQECKALNSRLINFLRDQTQVEQLLRYIIEEPPEDAENKRAFKFPFIACEIFTCEIDVILKTLVDDEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYHNFIDVMQWLAESNLLEMIIDKLSPSCPPEVHANAAETLCTITRNPSSTLAIKLSSPSYVEKLLDHALEDSQSKSSLVNSLSVCVSLLDPKRSAISSPLFHSFRSQHMYEPPIPVNPDTIGAMLPKLGELLMLLNVSSDEKVLPTTYGELRPPLGKHRLKIVEFIAVLLKTGNEVAEKDMVNSGTIQRVIDLFFEYPYNNSLHHHVESIILSCLESKADAIVDHLLRECNLIERFLQADKHSILSADKSMPTVLAAGKQAPRAGNIGHITRIVNKLVHLAHSRSLILTCLQENSEWNEWQTSVLQERNVVENVHRWACGRPTALQDRMRDSDDDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEERGNVDREDEDVYFDDDSAQVVISSLRLSDDQGSLFTNSNWFAFQDDRIGDATEGTTSSEMMDEINMNGAANGGNSSDDEVVVGEDEELAESTNNLNGTSNSSTNLNGVTGSDSMNGDALNFESKKASASNDMGFFRFESSDNEELFGDRPFPEWVGWGEPLDVQDSGSSTNPFVDHDDSSGNNLSTKPQIGNLNPSPPNGDSVPSNRSPTPKDSIDGSVDSSQRSIAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNMVSKVPEKESSDEGGPGIKEFNDANYWRVDQEVAVLE >Vigun01g188800.1.v1.2 pep primary_assembly:ASM411807v1:1:36813010:36821527:1 gene:Vigun01g188800.v1.2 transcript:Vigun01g188800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWNEDFAEQEIGSSAESFQKFLLSQKDLFHSQIDQFQEIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAVNYMQSIFSIKDSISKKELREISALFGVTATQVRDFFTGQRSRVRRLVQLSKERALGSNSSEDPQDDKIIPDPVRLINPASVNSTVPSNAEEASCSTQDAALSDLDDSDKHFVDNIFSLMQQEETFSGQEKLMEWILTIQNFSVLLWFLSRGGGITLATWLSKATVEEQTSVLVLILKVLCHLPLHKAIPMHISAILQSVNKLRFYRTSDISNRARILLSKWSKLLARNQVIKKPNGVRPSSDGHKDLISQRIGQFVGSESWHSNIDVPEDFLSLSSECLDNFRKVGSPQAAKLLPSSFDDSNKKSTLGVSSSQSRERRKVQLVEQPGQKSVSRSSQVTRAGSVSQGRPMSADDIQKAKMRALFMQSKYGKSGSKESKETKIDSLNKQPQTIPASIAACSSKVPTPPKIDENKKPLLLASKTSNRLEAYSKPKMDVKEPLWEKCMRIQIPWKTPAEVELKDSWSVGGGENSKEVDAQRNRDRREKETIYKTIQEIPPNPKEPWDLEMDYDDTLTLEIPIEQLPDGDGADITGSPNQVAAHTVEGVASTSTSVAPAEPDLELLAVLLKNPELVFALTSGQAGSIPSEEIVKLLDMIKRGGVNLGLSENTNGSYGTTVKAQEKVEVSLPSPTPLSDPRTNGWSSEASKNPFSRRSVASDRIIQNHAAVTTTNLLTQIPMTSTTTLRQQPAVVASSSRHLSSTTVSPYSLHQTTNVNPEKQQPLGHVQVSSSNVGLTMKKNLITNAPSVNYSGTHSTVAMRGNGTNYVKPVHSLSVQHEGVSNSFPQSSFKLPSPTPSNSASQLQRHQQHVVQEAHYTEPPYCNPSRSYPPQTEKSDHGSERVWRTRQDVAFSSQRNPNNYSTMVGGSRQSGLWDRNNQGGEDFESWSPENSPTRNPRHIPGRNYQESRVNHGRNHRPEWSRQRGSSEHWDPGRQENRKWHDQRR >Vigun01g132500.1.v1.2 pep primary_assembly:ASM411807v1:1:31043456:31047871:1 gene:Vigun01g132500.v1.2 transcript:Vigun01g132500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCVCALFLPSEMSKNVSPKDSGDNSVTHFDFLKKFKRFSPLDSSLGVLVFFFVASLFVACFFYLDYKGFRSRATTILDFDFSSSSTSSVTSSSVTFSSASSRPVQFLSPEGDACDVFDGNWVWDETYPLYNSANCSFMDQGFRCSENGRPDTFYTKWRWQPKDCNLPRFDAGKMLEKLRNKRLVFVGDSIGRNQWESLLCMLSSVIPNKARVYEVNGSPITKHTGFLAFKFEDFNCTIEYYRSPYLVVQGRPPSGAPDGVRMTLRLDQMDWISHKWRDADVLVLNAGHWWNYEKTVKMGCYFQIGEKVKMNMTTEEAFRKSMETVVHWIANEVNINKTYVLFRTYAPVHFRGGDWNTGGGCHMETLPDLGSLPAASDIHFRTVVDVLSELKNKSKFLNPDLLNVTQLSTRRRDGHASIYYLGPDGTAPMQRQDCSHWCLPGVPDSWNELLYALLLKREEFREQRQNTTNVSQVPL >Vigun07g084100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:12442420:12443898:1 gene:Vigun07g084100.v1.2 transcript:Vigun07g084100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILKRQGEKESQEIKDLVKSFTPQEFQCKTCNRKFSSFQALGGHRASHKKPKLEGDLSQDRKPKTHECSICGRGFSLGQALGGHMRKHRDAVNEGFSSVIAEAPLLKRSNSSKRVMCLELDLNLTPLENDLKLLFGNKAPTVHLSL >Vigun10g175100.1.v1.2 pep primary_assembly:ASM411807v1:10:39361716:39369079:1 gene:Vigun10g175100.v1.2 transcript:Vigun10g175100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCVFYASLFSNLIKKRPANASGGYRKGLVRVVTSFCCTLLSIAYFVDGVWNLITKTTGFNQLNLLVCIVRGLVWISLAASLFVQRFQWIKTLCSIWWVFSCTLVSVLNAEILLKERSFQVFDMATWPVHILTVLCAFQNHGYFVPQEIPDPSSCEPLLVHKEMHKQTGLGHASFLSRFTFSWMNGLLSLGYSKPLACEDIPSLASEDKSDFAYQKFAHAWLSLLRNSNNSINLVMWSIARVYMKENIYIAICAFLRTICAVVSPLLVYAFVNYSSCTEEDLKQGMAIMGCLVFAKVVESVSQRHWSFNSRRLGMKMRSSLMAAVYQKQLKLSALGRRRHSTGEIVNYIAVDAYRMGEFPWWFHTLFFSALQVFLALGVLFGVVGLGALPGLVPLLICGFLNVPFAKILQKYRSEFMIAQDERLRSTSEILSSMKIIKLHSWEDNFKKLVESLRAKELKCLAEVHFMRACGTFIYWLSPTIISSVILMGCALFQSAPLDAGTIFTVLATLRSIGEPVILIPEALSVMIQVKVSFDRLNTFLLDDEVKGDDIGRTSKDSCNKGVEILAGSFSWDLQSLPLTLREVNFEIKWKQTVAVCGPVGAGKTSLLYAILGEIPKISGTVRVGGTLAYVSQIPWIQSGTIRDNILFGKPMEETRYEYAIKVCALDKDINGFSHGDLTEIGQRGINLSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASILFHDCVRTALRRKTVILVTHQVEFLSEVDKILVMEGGKISQTGSYEDLLTAGTAFKQLLSAHREAITGIETNSENKREVQNVVTVQPEDSHPSNLTKSGSNGEISAEIQLTQEEEKESGGVGWQPFCDYILFPEGSLLLCLSILAQLIFVCLQAASTSWLAIANEMSKVTSSILVGVYSVISISSIVFVYLRSYFAAHLGLKASKAFFSAFTDSIFNAPMLFFDSTPASSDFSILDFDIPFSTIFVIAEVTELLTMIVVMVSVTWQVLIVAVLAMVATKYIQGYYQASAREITRINGTTKAPLMNFAAETYLGVVSIRAFNMADRFFKNYLNLVDTDATMFFHSNAAIEWLILRIQALQNLTVFTAALLLVLLPKGFVAPGIAICLVGLSLSYGFSLTGTVVYLTRMFCNLSNYVISVERIKQFIHIPAEPSAIVDDNRPPPSWPSKGRIDFQSVEIRYRPNSPLVLKGISCTFKEGSRVGVVGRTGSGKTTLISALFRIVEPTKGDILIDGINICSIGLKDLRIKLSIIPQEPTLFKGSIRNNLDPLGLYSDDEIWKALEKCQLKATISSLPNLLETSVSDEGENWSVGQRQLICLGRVLLRKNRILVLDEATASIDSATDGVLQRIIRQEFSECTVITVAHRVPTVIDSDMVMLLSYGKVVEYEKPSKLMDTNSSFSKLVAEYWSHCNDRPQKISMYQSQQRREAI >Vigun08g042900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:4430592:4432490:-1 gene:Vigun08g042900.v1.2 transcript:Vigun08g042900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFDTFHYLKTFLLFLILCILPINLAQPLSFTITNFNDTKNASIVGYAGVAKVEDGSVVLNPIIENGDGRVIYGQPLRLKNSSDGLVTDFSTRFSFTIDATVAKYGDGFAFFLAPLAYQIPTATSDGSLLGLYDATQSNIIAVEFDTYINELDPPVQHVGINNNSVVSLNYTKFDIENNLGKMGHALITYDASAQLLAVSWSFDGTSSSSTPTGYLSYKIDLWPILPEWVNVGFSGSTGSSTEKNVIYTWEFSSNLDLNSTHGVDSGIATKCKVQVKIIVITVICSIVFVLVVISISWLIIKKRRTEDGFGLDREVMPRRFGYEEIVAATNGFADDRRLGEGGSGQVYKGFLGDSGRVVAVKRIFSDVEDSERIFRNEVKIISRLIHRNLVQFMGWCHEKEELLLVFEYMSNGSLDNHLFGNRRSLTWDVRYKIVLGVARALRYLHEDAEQSVVHRDIKSANVLLDTDFNTKISDFGIARLVDPRLRTQRTQVVGTYGYLAPEYIKEGRVSKESDMYSFGVLALEIACGRRTYQDGEDNHVPLTKWVWKHYVDGNILNAADEELKMDFDIYEMKCLLTVGIWCTLQDHKERPTSEQVINVLKQEVPLPMFSAKFSDNGQPDPFESSSRKT >Vigun05g083500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7893108:7895985:-1 gene:Vigun05g083500.v1.2 transcript:Vigun05g083500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNESTMDCFTSKVSVLLLLLLSAVVLPKGNCRTHSCNENDQSALLIFKHHVVDPLDYLSSWSDEKHCCAWKGVQCDNVTGRVTTLDLNNQYLQGEINLSLLQIQFLTYLNLSCNSFTALSLTNSNYQSLVKPSNAYANFSSLKYLDLSFNEDLQLDNLQWLSHLSSLQCLNLSAIYLESQTNWLQTMAMLPSLLELRLSMCRLKSISPSVKFVNFTSLLTLDLSQNSFYSDLPYWLFNISSDISHIDLSSNGLRGQIPKTLLNLRKLKSLRLDDNGLTGPIPDWLGEHQHLQHLNLTENLFNGSFPSSLGNLSSLTQFGVSSDSLSGSLPNSIGQLFNLRSLYIGGSLSGVLSEKHFYKLFNLESLVLLSAFSFDLDPNWIPPFQLHEVDLRNTILGPTFPEWLYTQRTLETLDVSSSGISPTNADRFWSFLANVRSIRLSNNNISADLSNVTLNSAYIIMDQNNFTGGLPLISSNVLYLDLSYNFLSGTISNMLCSRLGRKMNTLYYLDISHNLLTGGIPDCGENCRGLTLLKINNNKLGGEIPPPMDLHKNNFSGNPLDISSFKSLAYLNLAENNFSGVVPTKIPKSIQGLILRGNEFSGNIPAELCSLPSLRILDLSQNKLSGSIPSCICNVPFNL >Vigun10g103400.1.v1.2 pep primary_assembly:ASM411807v1:10:29603822:29608537:-1 gene:Vigun10g103400.v1.2 transcript:Vigun10g103400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITEESEMAKRGTSDSESEDASVSGSESEETHTMDNGKKGVTEYEKQRLSRIAENRARLEALGLPKMALSLKSSHLRTAKKEKEKVKEDDDEEYVPEDEGGPGSSSSSDHDEDSKDEDFATKNSSGSRKRKGKSKGLQKKAGVFRKKDVDNSEYIDDDEALRQAIALSLQDSAEGSRLPDQNVLNINKTDKKGNNQTQEDKGRKKNKKSFASRLQLTEDELIGHFFQLDEAGKGTLNVRDIQRAAAAHDFLWTDKELVDMIRCFDSDGDGKLCLDDFRKIMVRCNMIIE >Vigun03g100200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:8592187:8592903:-1 gene:Vigun03g100200.v1.2 transcript:Vigun03g100200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKQRVKEEMLCDKVKMKNKRKFVGVRQRASGKWAAEIKDTSKKIRMWLGTYQTAEEAARAYDEAACLLRGSNTRTNFFAQPSTTIAANSPISLKLRNLLHQNAMSNQSQVQNQPSSVTPTTPNINNIMVMEETSGFSGEGNNNKSLFVIQNQVSNNTYGIDMNMINCVMGITPDASQLDFSWPFPHQRINELPKDGFSVERQLSDSVYATNECHHLEDTYEYDVNYPHSHFFCFT >VigunL076301.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000514.1:57765:58076:-1 gene:VigunL076301.v1.2 transcript:VigunL076301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVICLPEPRGLSVETLTNNRRFLMVFPLLTAALSTPPDIWCQIVARFLISSIIELTIFVASIVQVREEAGRVE >Vigun11g174500.1.v1.2 pep primary_assembly:ASM411807v1:11:37952363:37954237:1 gene:Vigun11g174500.v1.2 transcript:Vigun11g174500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLAMFSLLFAFLLSGTIEARKDPGEYWKEIMKDQQMPEGLQGLLPFQSENNPKTQEQLVKDSKHECEEPLATNVGELKSSAKKNEDIEPRPSVTKYDDFEPRPSVTKYGKSEFKSSATKKDDIEPRPSVTKYGDFELKLIASKKDDIEPIPSVTKYGDFEPRSSLMMYDDFGVKLSANKNDDIEPRPSVTKYSDFEPRSSITKYDDFEFKSSASKKNDIEPRPSLTKYDDFEPRPNISKYGDFEPRPSITKYNDFEPRPSITKYDDFESKPSTTKVDDFEPRPNISKYDD >Vigun03g218500.1.v1.2 pep primary_assembly:ASM411807v1:3:36386178:36392158:1 gene:Vigun03g218500.v1.2 transcript:Vigun03g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMEWAARPEHFGGIPRKLVIAVVGAFAKTVSSVLNTTSVHNADTLLRLVRSRPRRVPLITVSNHMSTLDDPAMWGFRGFPIFDTKLARWVLAAEDICFRNALYSYIFRVGKCIPITRGGGIYQEHMNEALERLNDGEWLHTFPEGKVNQEEAPIRRLKWGTASLIARARITPIVLPIVHHGFHEVMPEKYMFGRRPPLPLWNKKIDIIVGDPIELDLPAMRQKAISQSRSESVPTVGWPSTCDGLDEAAQRCFYATISEQIHGAMERLRCFGKSLLK >Vigun03g218500.4.v1.2 pep primary_assembly:ASM411807v1:3:36386178:36392158:1 gene:Vigun03g218500.v1.2 transcript:Vigun03g218500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCGVLGVFPSLILSWLDGFLLPKIYASGMPSIPIFFGLLHTFPEGKVNQEEAPIRRLKWGTASLIARARITPIVLPIVHHGFHEVMPEKYMFGRRPPLPLWNKKIDIIVGDPIELDLPAMRQKAISQSRSESVPTVGWPSTCDGLDEAAQRCFYATISEQIHGAMERLRCFGKSLLK >Vigun03g218500.2.v1.2 pep primary_assembly:ASM411807v1:3:36386178:36392158:1 gene:Vigun03g218500.v1.2 transcript:Vigun03g218500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMEWAARPEHFGGIPRKLVIAVVGAFAKTVSSVLNTTSVHNADTLLRLVRSRPRRVPLITVSNHMSTLDDPAMWGFRGFPIFDTKLARWVLAAEDICFRNALYSYIFRLHTFPEGKVNQEEAPIRRLKWGTASLIARARITPIVLPIVHHGFHEVMPEKYMFGRRPPLPLWNKKIDIIVGDPIELDLPAMRQKAISQSRSESVPTVGWPSTCDGLDEAAQRCFYATISEQIHGAMERLRCFGKSLLK >Vigun03g218500.3.v1.2 pep primary_assembly:ASM411807v1:3:36386178:36392158:1 gene:Vigun03g218500.v1.2 transcript:Vigun03g218500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMEWAARPEHFGGIPRKLVIAVVGAFAKTVSSVLNTTSVHNADTLLRLVRSRPRRVPLITVSNHMSTLDDPAMWGFRGFPIFDTKLARWVLAAEDICFRNALYSYIFRVGKCIPITRGGGIYQEHMNEALERLNDGEWLHTFPEGKVNQEEAPIRRLKWGTASLIARARITPIVLPIVHHGFHERNICLVDDLLCRCGIRKLTLLLAIQLNLTFQQ >Vigun05g084000.1.v1.2 pep primary_assembly:ASM411807v1:5:7954327:7959814:-1 gene:Vigun05g084000.v1.2 transcript:Vigun05g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIFRASRRFLKPSSSSSVSLHLDIFNPLSSVQQFATQNRNPSLNPSFKPPQLQNYNFEFRKTVGSLSNFHLVAHVSTWPSKENKNQSGSVSNGGGVDATWVDLYLPKQFQPYARLARLDKPIGTWLLLWPCIWSITMAATPGHLPDFKMMTLFACGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGVLTPFQGLCFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAVKGSLDPSIVLPLYASGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIACLGGLALSGFNAEIGWPYYASLAVASGHLGWQIWTVDLSSRADCNRKFVSNKWFGAIIFGGVLAGRLSS >VigunL059190.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000564.1:31060:31586:1 gene:VigunL059190.v1.2 transcript:VigunL059190.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLWSMEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDYSSCFRGESGSSRAGRGTDWERALRGLFPGCRTVDSELVRTRGIRLFN >Vigun03g016800.1.v1.2 pep primary_assembly:ASM411807v1:3:1176523:1180150:-1 gene:Vigun03g016800.v1.2 transcript:Vigun03g016800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKSVSSSSEDDNELRRGPWTLEEDNLLSQYISDHGEGRWNLLAKRSGLKRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRIQKQARHLKIETDSREFQELVRRFWMPRLLQKVKESSCSSAMSIQNQEIPLVLDDGVSQHSTVGTIPWQGPCVSMNEGSPTYMDQHEQNSDSEHNSGSCISFSESANIPKVSHHFAHTMDQFHALSSNDFGTYSYEGYHVNDNAYEIETFKPASARVAQDVQYPIADSQTPPTDWLSNDFACSMWNIDELWQFSKLQK >Vigun01g159500.2.v1.2 pep primary_assembly:ASM411807v1:1:34116327:34121886:-1 gene:Vigun01g159500.v1.2 transcript:Vigun01g159500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRGQGGIQQLLVAEQDAQRIVNAAKNEKLARLKQAKEEAEKEIAEYRAQLEYEFQKKVSESSGDSGANVKRLELDTGAKIHHLKTEAGRISGDVVTMLLKFKTLMELFCPRLSFD >Vigun01g159500.1.v1.2 pep primary_assembly:ASM411807v1:1:34119906:34121900:-1 gene:Vigun01g159500.v1.2 transcript:Vigun01g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRGQGGIQQLLVAEQDAQRIVNAAKNEKLARLKQAKEEAEKEIAEYRAQLEYEFQKKVSESSGDSGANVKRLELDTGAKIHHLKTEAGRISGDVVTMLLKYVTSVHN >Vigun01g159500.3.v1.2 pep primary_assembly:ASM411807v1:1:34119522:34121894:-1 gene:Vigun01g159500.v1.2 transcript:Vigun01g159500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRGQGGIQQLLVAEQDAQRIVNAAKNEKLARLKQAKEEAEKEIAEYRAQLEYEFQKKVSESSGDSGANVKRLELDTGAKIHHLKTEAGRISGDVVTMLLKFKTLMELFCPRLR >Vigun09g069200.4.v1.2 pep primary_assembly:ASM411807v1:9:7408043:7423925:1 gene:Vigun09g069200.v1.2 transcript:Vigun09g069200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TMGGDDSLKTWVSDKLMSLLGYSQPTVVQYMIGLTKQATSPADLVGKLVEFGISSMDTHAFAEEIYSRVPRKSSGLNQYQKQEREAVMLARKQKTYTILKADDDSDDESVDKSSITTTSSRRSDNSIKKRFRKKTEVQDDQDDEVILRKESERQVKRRTSPDDDSYSESEEERLKDQREKEELEQHMRERDAAGTRKLTEHKLSRKEEEEAIRRSSAAEQDGIQALRKVSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEAEYRELRYKKEIYELVKKRTEEADNVNEYRMPEAYDVEGGVNQERRFSVAMQRYRDPNAEDKMNPFAEQEAWEEHQIGKATLKYGSKNKKQVSDDYQYVFEDQIDFIKASVMDGDKFDYEEMQDSLEKSRAKSALEALQEERKKLPMYRYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFRIPGRRYPVEINYTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNFHNDLEENTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASENFKCSDDIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELISNESDLDAIKKSITSGFFPHSARLQKNGSYRTIKHPQTVHIHPSAGLAEVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLHSKKMPRVAGRVQEDK >Vigun09g069200.2.v1.2 pep primary_assembly:ASM411807v1:9:7408043:7423939:1 gene:Vigun09g069200.v1.2 transcript:Vigun09g069200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSLKTWVSDKLMSLLGYSQPTVVQYMIGLTKQATSPADLVGKLVEFGISSMDTHAFAEEIYSRVPRKSSGLNQYQKQEREAVMLARKQKTYTILKADDDSDDESVDKSSITTTSSRRSDNSIKKRFRKKTEVQDDQDDEVILRKESERQVKRRTSPDDDSYSESEEERLKDQREKEELEQHMRERDAAGTRKLTEHKLSRKEEEEAIRRSSAAEQDGIQALRKVSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEAEYRELRYKKEIYELVKKRTEEADNVNEYRMPEAYDVEGGVNQERRFSVAMQRYRDPNAEDKMNPFAEQEAWEEHQIGKATLKYGSKNKKQVSDDYQYVFEDQIDFIKASVMDGDKFDYEEMQDSLEKSRAKSALEALQEERKKLPMYRYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFRIPGRRYPVEINYTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNFHNDLEENTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASENFKCSDDIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELISNESDLDAIKKSITSGFFPHSARLQKNGSYRTIKHPQTVHIHPSAGLAEVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLHSKKMPRVAGRVQEDK >Vigun09g069200.1.v1.2 pep primary_assembly:ASM411807v1:9:7408043:7423954:1 gene:Vigun09g069200.v1.2 transcript:Vigun09g069200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSLKTWVSDKLMSLLGYSQPTVVQYMIGLTKQATSPADLVGKLVEFGISSMDTHAFAEEIYSRVPRKSSGLNQYQKQEREAVMLARKQKTYTILKADDDSDDESVDKSSITTTSSRRSDNSIKKRFRKKTEVQDDQDDEVILRKESERQVKRRTSPDDDSYSESEEERLKDQREKEELEQHMRERDAAGTRKLTEHKLSRKEEEEAIRRSSAAEQDGIQALRKVSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEAEYRELRYKKEIYELVKKRTEEADNVNEYRMPEAYDVEGGVNQERRFSVAMQRYRDPNAEDKMNPFAEQEAWEEHQIGKATLKYGSKNKKQVSDDYQYVFEDQIDFIKASVMDGDKFDYEEMQDSLEKSRAKSALEALQEERKKLPMYRYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFRIPGRRYPVEINYTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNFHNDLEENTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASENFKCSDDIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELISNESDLDAIKKSITSGFFPHSARLQKNGSYRTIKHPQTVHIHPSAGLAEVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLHSKKMPRVAGRVQEDK >Vigun09g069200.5.v1.2 pep primary_assembly:ASM411807v1:9:7408443:7423951:1 gene:Vigun09g069200.v1.2 transcript:Vigun09g069200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSLKTWVSDKLMSLLGYSQPTVVQYMIGLTKQATSPADLVGKLVEFGISSMDTHAFAEEIYSRVPRKSSGLNQYQKQEREAVMLARKQKTYTILKADDDSDDESVDKSSITTTSSRRSDNSIKKRFRKKTEVQDDQDDEVILRKESERQVKRRTSPDDDSYSESEEERLKDQREKEELEQHMRERDAAGTRKLTEHKLSRKEEEEAIRRSSAAEQDGIQALRKVSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEAEYRELRYKKEIYELVKKRTEEADNVNEYRMPEAYDVEGGVNQERRFSVAMQRYRDPNAEDKMNPFAEQEAWEEHQIGKATLKYGSKNKKQVSDDYQYVFEDQIDFIKASVMDGDKFDYEEMQDSLEKSRAKSALEALQEERKKLPMYRYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFRIPGRRYPVEINYTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNFHNDLEENTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASENFKCSDDIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELISNESDLDAIKKSITSGFFPHSARLQKNGSYRTIKHPQTVHIHPSAGLAEVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLHSKKMPRVAGRVQEDK >Vigun09g069200.3.v1.2 pep primary_assembly:ASM411807v1:9:7408043:7423925:1 gene:Vigun09g069200.v1.2 transcript:Vigun09g069200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TMGGDDSLKTWVSDKLMSLLGYSQPTVVQYMIGLTKQATSPADLVGKLVEFGISSMDTHAFAEEIYSRVPRKSSGLNQYQKQEREAVMLARKQKTYTILKADDDSDDESVDKSSITTTSSRRSDNSIKKRFRKKTEVQDDQDDEVILRKESERQVKRRTSPDDDSYSESEEERLKDQREKEELEQHMRERDAAGTRKLTEHKLSRKEEEEAIRRSSAAEQDGIQALRKVSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEAEYRELRYKKEIYELVKKRTEEADNVNEYRMPEAYDVEGGVNQERRFSVAMQRYRDPNAEDKMNPFAEQEAWEEHQIGKATLKYGSKNKKQVSDDYQYVFEDQIDFIKASVMDGDKFDYEEMQDSLEKSRAKSALEALQEERKKLPMYRYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEVGYTKHGMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFRIPGRRYPVEINYTKAPEADYLDAAIVTSLQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNFHNDLEENTVPEIQRTNLANVVLTLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASENFKCSDDIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELISNESDLDAIKKSITSGFFPHSARLQKNGSYRTIKHPQTVHIHPSAGLAEVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLHSKKMPRVAGRVQEDK >Vigun01g251400.2.v1.2 pep primary_assembly:ASM411807v1:1:41776746:41780343:1 gene:Vigun01g251400.v1.2 transcript:Vigun01g251400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSHKPNPQHKRKQEKRNMSNAHGCCKTGPGYASPLEAMSGPRESLIYVTAVYSGTGIEKPDYLATVDVDPNSPTFSTIIHRLPVPFLGDELHHTGWNSCSSCHGDPSAQRRFLIVPALVSGRVYVIDVKTNPKAPSLHKVVDPADIIEKTGLAYPHTSHCLASGEIMISCLGDKDGNAEGNGFLLLDSEFNVKGRWEKPGHSPQYGYDFWYQPRHNTMISTSWGAPKAFTKGFNLEHLSEGLYGRHLHVYDWPGGELRQTLDLGDSGLLPLEIRFLHDPAKDTGFVGSALTSNMIRFFKNQDGSWSHEVSISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDLKNPKLTGQVWVGGLIQKGSPIVAVCNQLII >Vigun01g251400.1.v1.2 pep primary_assembly:ASM411807v1:1:41776746:41780343:1 gene:Vigun01g251400.v1.2 transcript:Vigun01g251400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSHKPNPQHKRKQEKRNMSNAHGCCKTGPGYASPLEAMSGPRESLIYVTAVYSGTGIEKPDYLATVDVDPNSPTFSTIIHRLPVPFLGDELHHTGWNSCSSCHGDPSAQRRFLIVPALVSGRVYVIDVKTNPKAPSLHKVVDPADIIEKTGLAYPHTSHCLASGEIMISCLGDKDGNAEGNGFLLLDSEFNVKGRWEKPGHSPQYGYDFWYQPRHNTMISTSWGAPKAFTKGFNLEHLSEGLYGRHLHVYDWPGGELRQTLDLGDSGLLPLEIRFLHDPAKDTGFVGSALTSNMIRFFKNQDGSWSHEVSISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDLKNPKLTGQVWVGGLIQKGSPIVAVTDDGETWQAEVPEIQGKKLRAGPQMIQLSLDGKRLYATNSLFSTWDKQFYPDLVHQGSHIIQIDVDTEKGGLKINPNFFVDFGTEPDGPSLAHEMRYPGGDCTSDIWI >Vigun08g027900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:2536686:2538491:1 gene:Vigun08g027900.v1.2 transcript:Vigun08g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRDMDRVKGPWSPEEDEALQRLVQALGPRNWTVISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTPEEDDAIVRAHSRFGNKWATIARLLNGRTDNAVKNHWNSTLKRKCSALDLDDRNRPPLKRSASVGAGYLNPSSPSGSDLSDPGQPALSTLSASALCRPNLMETASSLSDPATSLSLCLPGLSSEPNTVAVQRPASPPPAVPPPVVTVEERGKQLFNAEFLMVMQEMIRKEVRSYMSGMEVKNGLRIQTEAIGNAVMKRMGISNIE >Vigun01g242600.1.v1.2 pep primary_assembly:ASM411807v1:1:41187950:41194153:-1 gene:Vigun01g242600.v1.2 transcript:Vigun01g242600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPCAPNIVSAPFRNRSTSRLPNRPQSHAFSGSTRHFLRGSLSVARFGFKPEFLPEPEDAEGLLRELFGRAEGLFYTIADAAVSSSDTVAASATAKQSNDWLSGITNYMETVLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTKKQVESALAMRTLQPQIKAIQERYAGDQERLQLETARLYKLANINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGAPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQPTDPNMKSSQVLTKFLPLMIGYFALSVPSGLSLYWLTNNILSTGQQVWLQKLGGAKNPVRQVPDDIIKNDRSQVQKSISKLNSTIAEEASQSAKTSEGPQPGDRFKQLKEQEARRRQQREEEEKRKAMETAARETKVDDSHVTLEKTGDDLTVEKSLLVGSDTDPSISGVVNGNLLSTDFEGNQNFTSTSQTENNEGSAHFNNDEISEENSDKEPREVLTSTTTANRQPPAEDADHMTKD >Vigun01g242600.2.v1.2 pep primary_assembly:ASM411807v1:1:41187883:41194153:-1 gene:Vigun01g242600.v1.2 transcript:Vigun01g242600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPCAPNIVSAPFRNRSTSRLPNRPQSHAFSGSTRHFLRGSLSVARFGFKPEFLPEPEDAEGLLRELFGRAEGLFYTIADAAVSSSDTVAASATAKQSNDWLSGITNYMETVLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTKKQVESALAMRTLQPQIKAIQERYAGDQERLQLETARLYKLANINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGAPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQPTDPNMKSSQVLTKFLPLMIGYFALSVPSGLSLYWLTNNILSTGQQVWLQKLGGAKNPVRQVPDDIIKNDRSQVQKSISKLNSTIAEEASQSAKTSEGPQPGDRFKQLKEQEARRRQQREEEEKRKAMETAARETKVDDSHVTLEKTGDDLTVEKSLLVGSDTDPSISGVVNGNLLSTDFEGNQNFTSTSQTENNEGSAHFNNDEISEENSDKEPREVLTSTTTANRQPPAEDADHMTKD >Vigun03g334300.2.v1.2 pep primary_assembly:ASM411807v1:3:53235544:53252944:1 gene:Vigun03g334300.v1.2 transcript:Vigun03g334300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSALSISDFGSNFLAGLAVNVLGSESKPGRNNFIEPAFSSKLPIYYLQTNCTGTENSGFSFPSLTGANNEIKCALAKNLWRNSSTEINSELYKGYQRGNSEGQINEIVSAFDFLNSNGNRYNVGIWYNSTYYQEDTGISANALLRIPRSVNLISNSYLQFLLGPGTKIIFEFVKEMPKPETIFRLDISSIVGSLFFTWVILQLFPVILTSLVYEKQQKLRIMMKMHGLGDRPYWMISYGYFLVISVIYMLCFVIFGSLLGLKIFTVNDYSIQFVFYFIYINLQIALAFLVATMFSNVKTATVTAYIGVFGTGLLGGFLFRFFVQNTSFPRGWIILMELYPGFALYRGLYELAQFSIQGNRRGTDGMKWHSLSESTNGMKEVLIIMFAEWIVILFAAFYIDQVLSSGSRKCPLFLLKGFQKKSPFQKLDTQMEVSKEFSQMEKPDVIQEKEKVEELLLEPTINHAILCDDLRKVYPGRDGNPDKFAVRGLFLSVPHGECFGMLGPNGAGKTSFINMMIGLTKPTSGRAFVQGLDIRTQMGGIYTTMGVCPQHDLLWDSLTGREHLLFYGRLKNLRGSVLTQAVEDSLKGLNLFHGGVADKQVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKSLWNVVKHAKQNRAIILTTHSMEEAEALCDRLGIFVNGSLQCVGNAKELKARYGGTYVLTVTTSSEHEKDVENMVQKLTPNANKIYHLSGTQKFELPKEDVRISDVFQAVDVAKRKFSVCAWGLVDTTLEDVFIKVAREAQAFDTLS >Vigun03g334300.1.v1.2 pep primary_assembly:ASM411807v1:3:53235544:53252944:1 gene:Vigun03g334300.v1.2 transcript:Vigun03g334300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASASFSTQANALLLKNITFQKRNVKTNLRLILVPVVLCLLLFLLQLLFDTQLNKSKFKCGCVCADNRTKISQCPESEKRCGVQYSDFFQAAMCAIPNPVEWPPLLQLPAPPNRAVRTGFLPFFDLPDASCRRTDSCPLSLLFTGENHSFALSVSENMFRSALSISDFGSNFLAGLAVNVLGSESKPGRNNFIEPAFSSKLPIYYLQTNCTGTENSGFSFPSLTGANNEIKCALAKNLWRNSSTEINSELYKGYQRGNSEGQINEIVSAFDFLNSNGNRYNVGIWYNSTYYQEDTGISANALLRIPRSVNLISNSYLQFLLGPGTKIIFEFVKEMPKPETIFRLDISSIVGSLFFTWVILQLFPVILTSLVYEKQQKLRIMMKMHGLGDRPYWMISYGYFLVISVIYMLCFVIFGSLLGLKIFTVNDYSIQFVFYFIYINLQIALAFLVATMFSNVKTATVTAYIGVFGTGLLGGFLFRFFVQNTSFPRGWIILMELYPGFALYRGLYELAQFSIQGNRRGTDGMKWHSLSESTNGMKEVLIIMFAEWIVILFAAFYIDQVLSSGSRKCPLFLLKGFQKKSPFQKLDTQMEVSKEFSQMEKPDVIQEKEKVEELLLEPTINHAILCDDLRKVYPGRDGNPDKFAVRGLFLSVPHGECFGMLGPNGAGKTSFINMMIGLTKPTSGRAFVQGLDIRTQMGGIYTTMGVCPQHDLLWDSLTGREHLLFYGRLKNLRGSVLTQAVEDSLKGLNLFHGGVADKQVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKSLWNVVKHAKQNRAIILTTHSMEEAEALCDRLGIFVNGSLQCVGNAKELKARYGGTYVLTVTTSSEHEKDVENMVQKLTPNANKIYHLSGTQKFELPKEDVRISDVFQAVDVAKRKFSVCAWGLVDTTLEDVFIKVAREAQAFDTLS >Vigun03g334300.4.v1.2 pep primary_assembly:ASM411807v1:3:53235544:53252944:1 gene:Vigun03g334300.v1.2 transcript:Vigun03g334300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSALSISDFGSNFLAGLAVNVLGSESKPGRNNFIEPAFSSKLPIYYLQTNCTGTENSGFSFPSLTGANNEIKCALAKNLWRNSSTEINSELYKGYQRGNSEGQINEIVSAFDFLNSNGNRYNVGIWYNSTYYQEDTGISANALLRIPRSVNLISNSYLQFLLGPGTKIIFEFVKEMPKPETIFRLDISSIVGSLFFTWVILQLFPVILTSLVYEKQQKLRIMMKMHGLGDRPYWMISYGYFLVISVIYMLCFVIFGSLLVTAYIGVFGTGLLGGFLFRFFVQNTSFPRGWIILMELYPGFALYRGLYELAQFSIQGNRRGTDGMKWHSLSESTNGMKEVLIIMFAEWIVILFAAFYIDQVLSSGSRKCPLFLLKGFQKKSPFQKLDTQMEVSKEFSQMEKPDVIQEKEKVEELLLEPTINHAILCDDLRKVYPGRDGNPDKFAVRGLFLSVPHGECFGMLGPNGAGKTSFINMMIGLTKPTSGRAFVQGLDIRTQMGGIYTTMGVCPQHDLLWDSLTGREHLLFYGRLKNLRGSVLTQAVEDSLKGLNLFHGGVADKQVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKSLWNVVKHAKQNRAIILTTHSMEEAEALCDRLGIFVNGSLQCVGNAKELKARYGGTYVLTVTTSSEHEKDVENMVQKLTPNANKIYHLSGTQKFELPKEDVRISDVFQAVDVAKRKFSVCAWGLVDTTLEDVFIKVAREAQAFDTLS >Vigun03g334300.3.v1.2 pep primary_assembly:ASM411807v1:3:53235544:53252944:1 gene:Vigun03g334300.v1.2 transcript:Vigun03g334300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASASFSTQANALLLKNITFQKRNVKTNLRLILVPVVLCLLLFLLQLLFDTQLNKSKFKCGCVCADNRTKISQCPESEKRCGVQYSDFFQAAMCAIPNPVEWPPLLQLPAPPNRAVRTGFLPFFDLPDASCRRTDSCPLSLLFTGENHSFALSVSENMFRSALSISDFGSNFLAGLAVNVLGSESKPGRNNFIEPAFSSKLPIYYLQTNCTGTENSGFSFPSLTGANNEIKCALAKNLWRNSSTEINSELYKGYQRGNSEGQINEIVSAFDFLNSNGNRYNVGIWYNSTYYQEDTGISANALLRIPRSVNLISNSYLQFLLGPGTKIIFEFVKEMPKPETIFRLDISSIVGSLFFTWVILQLFPVILTSLVYEKQQKLRIMMKMHGLGDRPYWMISYGYFLVISVIYMLCFVIFGSLLVTAYIGVFGTGLLGGFLFRFFVQNTSFPRGWIILMELYPGFALYRGLYELAQFSIQGNRRGTDGMKWHSLSESTNGMKEVLIIMFAEWIVILFAAFYIDQVLSSGSRKCPLFLLKGFQKKSPFQKLDTQMEVSKEFSQMEKPDVIQEKEKVEELLLEPTINHAILCDDLRKVYPGRDGNPDKFAVRGLFLSVPHGECFGMLGPNGAGKTSFINMMIGLTKPTSGRAFVQGLDIRTQMGGIYTTMGVCPQHDLLWDSLTGREHLLFYGRLKNLRGSVLTQAVEDSLKGLNLFHGGVADKQVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKSLWNVVKHAKQNRAIILTTHSMEEAEALCDRLGIFVNGSLQCVGNAKELKARYGGTYVLTVTTSSEHEKDVENMVQKLTPNANKIYHLSGTQKFELPKEDVRISDVFQAVDVAKRKFSVCAWGLVDTTLEDVFIKVAREAQAFDTLS >Vigun03g201214.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:30610403:30611918:1 gene:Vigun03g201214.v1.2 transcript:Vigun03g201214.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRLHQFLHHRDHLLHSHHQIAQSPPVLQHHLRANQCRHCTCNHRAHRTNHREAPPPSPHQIYGLRTPQLQHTHLLAAPPPSSSIRGRHRASRTIFTTTAAPPRRATSPEKKPEQPPSAAQPGRKEEGAETLILESVLCATCQRLIGQSNWSTGQLWSTGQSQQSTLVKTANMVK >Vigun03g320500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:51495956:51498369:1 gene:Vigun03g320500.v1.2 transcript:Vigun03g320500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKIPVAGEIPFSGMWLVILLLHLALFATPSLCLDFLFNSFTGITNLTLVKDARVDSSVIRMTNDSNQYSYGRAFYPTKVPITKTSSNSSSSSITSFSTSFVFSVLPQIPTSPGFGLAFVLCNTTDPPGAIASQYFGLFTNATSPTFFPLVAVEFDTGQNPEFNDIDDNHIGIDLNNIESTFYTPAGYYNSTEGFVPVRMRTGQNIRAWIDFDGQNLLFNVTVAPIGVSRPSKPTLSHFVPTIGNYVSADMYVGFSASKTNWIEAQRVLAWSFSDSGPARELNTTNLPVFEPESSSSSLSGGAIAGIVVGCVVFVVICGCGVYLWWRIQKGKDEEDEEIEDWELEYWPHRFSYEELSSATGEFGKEQLLGSGGFGRVYKGTLPNKTHMAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKGNELMLVYDYMPNGSLNRWVFDRPEKLLGWEQRRRILVDVAEGLNYLHHGWDQVVIHRDIKSSNILLDADMRGRLGDFGLAKLYTHGEVPNTTRVVGTLGYLAPELATVAAPTSASDVYSFGVVLLEVACGRRPIETSVAEEEVVLIDWVRELYAKGCAREAADARIQGEYDEEEMEMVLKLGLACCHPDPQRRPTMKEVVALLLGENPPEAPGKVLSDLVRSGDDFDEAAPLQPSSTRV >Vigun04g111950.1.v1.2 pep primary_assembly:ASM411807v1:4:28103690:28105732:1 gene:Vigun04g111950.v1.2 transcript:Vigun04g111950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKLKRTNLHVLNCFMARELLDSLGISDKNSANFQRRQITELNQKGHVGVRGESGVEVLDDPNFPIRVSGQDLEDLGRILVLVADAKGALLVRIRVGGGFGLEVGGSFGLGR >Vigun03g071600.1.v1.2 pep primary_assembly:ASM411807v1:3:5892218:5894564:-1 gene:Vigun03g071600.v1.2 transcript:Vigun03g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIAILLASLAFLWLCRSKKKANNLPPGPIGLPILGSLNKLGANPHRDLHQLAQKYGPVMFLRLGFVPTVVVSSPQAAELFLKTHDLVFASRPRYQAQKFVSWGQRNLGFAEYGSYWRNMRKMCTLELLSQTKINSFRSMREEELDLLIKLLREAAKDGAAVDFSAKVSKLSADMACRMILGKKYMDQDLDEKGFKAVMQEALHLVAIPNMGDYIPYIAALDLQGLTRRLKVVHNIFDDFFEKIIDEHMESEQGEEKTKDFVDVMLGFYGTENSEYRIERDNIKAILMDMLAGSVDTSATSVEWTLSELLKNPRVMKKLQTELESVVGMERKVEESDLEKLEYLDMVIKESLRLHPVAPLLIPHQSIEDCMVGDYFIPKKSRVIVNAWAIMRDPSAWDDAEKFWPERFEGRNIDVRGSEFELIPFGSGRRRCPGMQLGLTVVRETVAQLVHCFDWKLPNDVLEEELDMTEKFGLTMPRANHLFAIPTYRLSHERDC >Vigun03g110700.2.v1.2 pep primary_assembly:ASM411807v1:3:9986005:9996573:-1 gene:Vigun03g110700.v1.2 transcript:Vigun03g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVVYQGEVVLGEVEVYPEENNYKNFHVKEIRISHFSQPSERCPPLAVLHTVTSCGVCFKMESKTQQQDGLFHLHSLCIRENKTAVIPLGGEEIHLVAMHSRNDDRPCFWGFIVALGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDALQRKINSEVDPQRISGMQAEVKRYLDDKNILKQYAENDQVVDNGRVIKVQSEIVPALSDNHQPIVRPLIRLHDKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSKELLGRIVCVKSGLKKSLFNVFQDGLCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTIPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIKDIPTPPDVSNYLVSEDDGSSAISNGNRDPFLFDGMADAEVDRKLKDALSAASTIPVTTANLDPRLTSLQYTMSSGSVPPPTAQASMMPFTHVQFPQPAALVKPMGQAAPSESSLHSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHASTEPTYAIRHPMPVSAPRVSSRGGWFPAEEDIGSQPLNRVVPKEFSVDSGPLGIEKHRPHHPSFFSKVESSISSDRVLHDSHQRLPKEMYHRDDRPRSNHMLSSYRSLSDTPVVVLQEIALKCGTKVEFMSSLVASAELQFSIEAWFSGKKIGHGFGRTRKEAQHKAAEDSIKHLADIYLSSAKDEPGSTYGDVGGFPNANDNGYMVIASSTNQSLPKEDSASFSTASDSSRVLDPRLEVSKRPMGSISALKELCMMEGLGVNFLSAPAPVSTNSLQKDEVHAQVEIDGKVFGKGIGLTWDEAKMQAAEKALGSLRSKLGQSIQKRQSSPRSHQGFSNKRLKQEYPRTMQRIPSSARYPRNAPPIP >Vigun03g110700.1.v1.2 pep primary_assembly:ASM411807v1:3:9986005:9996573:-1 gene:Vigun03g110700.v1.2 transcript:Vigun03g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVVYQGEVVLGEVEVYPEENNYKNFHVKEIRISHFSQPSERCPPLAVLHTVTSCGVCFKMESKTQQQDGLFHLHSLCIRENKTAVIPLGGEEIHLVAMHSRNDDRPCFWGFIVALGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDALQRKINSEVDPQRISGMQAEVKRYLDDKNILKQYAENDQVVDNGRVIKVQSEIVPALSDNHQPIVRPLIRLHDKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSKELLGRIVCVKSGLKKSLFNVFQDGLCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTIPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIKDIPTPPDVSNYLVSEDDGSSAISNGNRDPFLFDGMADAEVDRKLKDALSAASTIPVTTANLDPRLTSLQYTMSSGSVPPPTAQASMMPFTHVQFPQPAALVKPMGQAAPSESSLHSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHASTEPTYAIRHPMPVSAPRVSSRGGWFPAEEDIGSQPLNRVVPKEFSVDSGPLGIEKHRPHHPSFFSKVESSISSDRVLHDSHQRLPKEMYHRDDRPRSNHMLSSYRSLSGDELPFSRSSSSHRDLDSESGHSVFHADTPVVVLQEIALKCGTKVEFMSSLVASAELQFSIEAWFSGKKIGHGFGRTRKEAQHKAAEDSIKHLADIYLSSAKDEPGSTYGDVGGFPNANDNGYMVIASSTNQSLPKEDSASFSTASDSSRVLDPRLEVSKRPMGSISALKELCMMEGLGVNFLSAPAPVSTNSLQKDEVHAQVEIDGKVFGKGIGLTWDEAKMQAAEKALGSLRSKLGQSIQKRQSSPRSHQGFSNKRLKQEYPRTMQRIPSSARYPRNAPPIP >VigunL083300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:59882:60241:1 gene:VigunL083300.v1.2 transcript:VigunL083300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl20 MTRIKRGYIAHKRRTKIRLFVSSFRGAHSRLTRTITQQKLKALVSAHRDRDRKKRDFRGLWISRINAVIRENQKVSYIYNNLMNSLYTKQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun05g213000.10.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEGS >Vigun05g213000.9.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEGS >Vigun05g213000.5.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEGQEKTI >Vigun05g213000.8.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEEGEGS >Vigun05g213000.6.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEGQEKTI >Vigun05g213000.7.v1.2 pep primary_assembly:ASM411807v1:5:40380970:40383803:1 gene:Vigun05g213000.v1.2 transcript:Vigun05g213000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVKRGFSVVEPYTSGECVDRTLTLKFSDNEMASTAGAAARSILRSCSGRRAAFRLGSEAKAGRSPFRVASNKPLSQSTLRCPVELSFCVESMLPYHTATASALMTSMLSVSRRSYGWLPEDCNDDV >Vigun05g164666.1.v1.2 pep primary_assembly:ASM411807v1:5:26880888:26881705:-1 gene:Vigun05g164666.v1.2 transcript:Vigun05g164666.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDSAILDSAILDSAILDCTDQLLDAEILHCYNFWKSCL >Vigun05g126400.2.v1.2 pep primary_assembly:ASM411807v1:5:14275794:14278006:1 gene:Vigun05g126400.v1.2 transcript:Vigun05g126400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHLTDTQKLESTPCIIHIKANTSSFFWCVIYYIYQILQWLFKGAHEPERKRSSSNNFSIPPKREDSSGKNGKGKEVNLLKRERSQKRGGRRDKWGTFGCKNFKIFTFVYRKDIPKAFFYSTLNLKRLGSFNSKQFLYFMKMKKEEASKDVGSGNKADSGSHVGNKVLPISETPLSERKDQCGGDATETKDQNKKKPMSRMKELLRWASASAKSEKGGKFNGRKVLMFRRRGNLKAVPDDDQGCTESPKISFRWDVESCSTTSSVYSAISIASSSKNGLNQISTSTLSIPHEHTCHHKSARKGNWITTDSEFVVLEL >Vigun05g126400.1.v1.2 pep primary_assembly:ASM411807v1:5:14275649:14278018:1 gene:Vigun05g126400.v1.2 transcript:Vigun05g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQWLFKGAHEPERKRSSSNNFSIPPKREDSSGKNGKGKEVNLLKRERSQKRGGRRDKWGTFGCKNFKIFTFVYRKDIPKAFFYSTLNLKRLGSFNSKQFLYFMKMKKEEASKDVGSGNKADSGSHVGNKVLPISETPLSERKDQCGGDATETKDQNKKKPMSRMKELLRWASASAKSEKGGKFNGRKVLMFRRRGNLKAVPDDDQGCTESPKISFRWDVESCSTTSSVYSAISIASSSKNGLNQISTSTLSIPHEHTCHHKSARKGNWITTDSEFVVLEL >Vigun02g132000.1.v1.2 pep primary_assembly:ASM411807v1:2:28285090:28289774:-1 gene:Vigun02g132000.v1.2 transcript:Vigun02g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHLTFYSPSSILPLLPIFFFVFFILIKRKQTKPRLNLPPGNMGWPFLGETIGYLKPYSATTIGEFMEQHIARYGTIYKSKLFGEPAIVSADAGLNRFILQNEGKLFECSYPRSIGGILGKWSMLVLVGDMHRDMRVISLNFLSHARLRTHLLKEVEKHTLLVLNSWNQNCTFSAQDEAKKFTFNLMAKHIMSMDPGEIETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALKSRSTILKFIEGKMEERVKRIQEGNEGLEEDDLLNWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPGCPQAMQQLREEHSEIARAKKQAGEVELTWDDYKRMEFTHCVVNETLRLGNVVRFLHRKALKDVNYKGYDIPCGWKVLPVIAAVHLDPSLFDQPQHFNPWRWQNNGGRGGSCPSKNAANNNFLPFGGGSRLCAGSELAKLEMAVFIHHLILNYHWELAETDQAFAYPFVDFPKGLPIRVQAHSLI >Vigun02g132000.2.v1.2 pep primary_assembly:ASM411807v1:2:28284090:28289774:-1 gene:Vigun02g132000.v1.2 transcript:Vigun02g132000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHLTFYSPSSILPLLPIFFFVFFILIKRKQTKPRLNLPPGNMGWPFLGETIGYLKPYSATTIGEFMEQHIARYGTIYKSKLFGEPAIVSADAGLNRFILQNEGKLFECSYPRSIGGILGKWSMLVLVGDMHRDMRVISLNFLSHARLRTHLLKEVEKHTLLVLNSWNQNCTFSAQDEAKKFTFNLMAKHIMSMDPGEIETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALKSRSTILKFIEGKMEERVKRIQEGNEGLEEDDLLNWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPGCPQAMQQLREEHSEIARAKKQAGEVELTWDDYKRMEFTHCVVNETLRLGNVVRFLHRKALKDVNYKGYDIPCGWKVLPVIAAVHLDPSLFDQPQHFNPWRWQKQWPTELHVNITESHRKKFRRVGTKDTTYQPSSSTIHI >Vigun07g227600.1.v1.2 pep primary_assembly:ASM411807v1:7:34947348:34950372:-1 gene:Vigun07g227600.v1.2 transcript:Vigun07g227600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQPLEKGKPKHKKGLWSPEEDNKLRNYILKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGKFSKQEEETILTLHHMLGNKWSQISQHLPGRTDNEIKNYWHSYLKKKMAKAKEMDSHNQMKYASSSSDTMDSSNSLQNLATQGTQNCNFTKEACQSSLPKLLFAEWLSLDQVNSANSVDSFGLRNGFDQNSTLQEAAIHDMTEVPFGGGYHRCLANISDPEIFNSELKYANQMVENGFIHCVPGVDLMSMSNDAIMYI >Vigun02g048000.2.v1.2 pep primary_assembly:ASM411807v1:2:18754026:18756525:-1 gene:Vigun02g048000.v1.2 transcript:Vigun02g048000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSYGGGQSSLGYLFGSGEAPAPKPATNNAQPEVQAVNDVPPSKPVDAPKTIDPNKPAGINSHSTEGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGPGEGK >Vigun02g048000.1.v1.2 pep primary_assembly:ASM411807v1:2:18754026:18756525:-1 gene:Vigun02g048000.v1.2 transcript:Vigun02g048000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSYGGGQSSLGYLFGSGEAPAPKPATNNAQPEVQAVNDVPPSKPVDAPKTIDPNKPAGINSHSTEGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGPGEGK >Vigun01g192000.1.v1.2 pep primary_assembly:ASM411807v1:1:37008087:37012873:-1 gene:Vigun01g192000.v1.2 transcript:Vigun01g192000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFEYQEEYRRNSRGVQLFTCKWLPSSSPMGLVFLCHGYGMECSSFMRECGVRLACAKYAVYGIDYEGHGRSEGARCYIKKFDNIVNDCYDFFKSVSELEEYKGKARFLYGESMGGAVSLLLHRKDPSFWDGAVLVAPMCKISEKVKPHQVVINILTKVEDIIPKWKIVPTKDVINAAFKDPAKRQRIRKNKLIYQDKPRLKTALEMLRTSMSLEDSLYKVTLPFFVLHGEADTVTDPEISRELYERASSEDKTIKLYPGMWHGLTSGETDENIEKVFEDIIMWLDKHTGNPTHDSTQQIETYNYDIERLTTVASSPKILKQENGRRSYLCGLKGNRIKSKAICVSNL >Vigun01g192000.2.v1.2 pep primary_assembly:ASM411807v1:1:37008087:37012873:-1 gene:Vigun01g192000.v1.2 transcript:Vigun01g192000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFLCHGYGMECSSFMRECGVRLACAKYAVYGIDYEGHGRSEGARCYIKKFDNIVNDCYDFFKSVSELEEYKGKARFLYGESMGGAVSLLLHRKDPSFWDGAVLVAPMCKISEKVKPHQVVINILTKVEDIIPKWKIVPTKDVINAAFKDPAKRQRIRKNKLIYQDKPRLKTALEMLRTSMSLEDSLYKVTLPFFVLHGEADTVTDPEISRELYERASSEDKTIKLYPGMWHGLTSGETDENIEKVFEDIIMWLDKHTGNPTHDSTQQIETYNYDIERLTTVASSPKILKQENGRRSYLCGLKGNRIKSKAICVSNL >Vigun05g146660.1.v1.2 pep primary_assembly:ASM411807v1:5:20397504:20397757:-1 gene:Vigun05g146660.v1.2 transcript:Vigun05g146660.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VTSDSCLSFKFQRRQFSISQCFALTINKSEGQFLSRIRFYLPQVKTKKSLKILVLDEDGKMSNTTKNVVYKK >Vigun06g053900.1.v1.2 pep primary_assembly:ASM411807v1:6:17983643:17985510:-1 gene:Vigun06g053900.v1.2 transcript:Vigun06g053900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVANNNNNEEDKKPNEGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSVF >VigunL029366.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:147953:149698:-1 gene:VigunL029366.v1.2 transcript:VigunL029366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGWFHYHKAAPKLAWFQDVESMLNHHLTGLLGLGSLSWAGHQIHVSLPINQFLNAAVDPKEIPLPHEFILNRDLLAQLYPSFSEGATPFFTLNWSKYGEFLTFRGGLDPVTGGLWLTDIIHHHLAIAILFLIAGHMYRTNWGIGHNIKDILEAHKGPFTGQGHKGIYEILTTSWHAQLSINLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTIRYNDLLDRVLRHRDSIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNTHALAPGTTAPGAATSTSLTWGGENLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >Vigun09g035100.3.v1.2 pep primary_assembly:ASM411807v1:9:3082102:3089309:-1 gene:Vigun09g035100.v1.2 transcript:Vigun09g035100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEEQEYEEQMMEDEEIMQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTIQEIVDESADIEIRPQSHNPRHKSDVAESIYKISFGQIYLSKPMMTECDGETATLYPMAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTEIQDLTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRTPSSMFVRMLSRTSSKGGSSGQYIRATLPYIRTEIPIVIVFRALGFVADKQILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVAIGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGKKRLDLAGPLLGGLFRTLFRKLTREVRAYVQKCVDKGKEVNLQFAINAKTITRGLRYSLSTGNWGQANSAGTTAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLTKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVNITVGSDAYPILQFLEEWSTENFEEITPAVIPQATKIFVNGCWIGIHRDPDMLVTTLRMLRRRVDVNTEVGVVRDIRLKEVHIYTDYGRCSRPLFIVDKQRLLIKKKDIHALQQRESPEEGGWHDLVSKGFIEYIDTEEEENTMISMTINDLVQARINSKEAYSNTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAICCYSGYNQEDSVIMNQSSIDRGFFRSLLLRSYRDEEKKMGTLVKEGFDRPNRADTMGMRHGSYDKLDDDGLVSPGTRVSAEDVIIGKTSLISQEEDVITGKTSPISQEEAQGQALRYTRRDHSISLRRNETGTVDQVLVTTNADGLRSVKLRVRSVRIPQIGDKFSSRHGQKGTVGMTYRQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYEMLGLETMYSGHTGRRLSAKIFLGPTYYQRLKHMVDDKIHCRGRGPVQILTRQPTEGRSREGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEQCGLIAIANLKKNNFECRGCKNKIDIVQVFIPYACKLLCQELMAMAIAPRMLTKGQKKKKGA >Vigun09g035100.4.v1.2 pep primary_assembly:ASM411807v1:9:3082102:3089309:-1 gene:Vigun09g035100.v1.2 transcript:Vigun09g035100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEEQEYEEQMMEDEEIMQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTIQEIVDESADIEIRPQSHNPRHKSDVAESIYKISFGQIYLSKPMMTECDGETATLYPMAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTEIQDLTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRTPSSMFVRMLSRTSSKGGSSGQYIRATLPYIRTEIPIVIVFRALGFVADKQILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVAIGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGKKRLDLAGPLLGGLFRTLFRKLTREVRAYVQKCVDKGKEVNLQFAINAKTITRGLRYSLSTGNWGQANSAGTTAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLTKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVNITVGSDAYPILQFLEEWSTENFEEITPAVIPQATKIFVNGCWIGIHRDPDMLVTTLRMLRRRVDVNTEVGVVRDIRLKEVHIYTDYGRCSRPLFIVDKQRLLIKKKDIHALQQRESPEEGGWHDLVSKGFIEYIDTEEEENTMISMTINDLVQARINSKEAYSNTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAICCYSGYNQEDSVIMNQSSIDRGFFRSLLLRSYRDEEKKMGTLVKEGFDRPNRADTMGMRHGSYDKLDDDGLVSPGTRVSAEDVIIGKTSLISQEEDVITGKTSPISQEEAQGQALRYTRRDHSISLRRNETGTVDQVLVTTNADGLRSVKLRVRSVRIPQIGDKFSSRHGQKGTVGMTYRQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVSSILPSNTLNLTM >Vigun07g004700.3.v1.2 pep primary_assembly:ASM411807v1:7:381714:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.7.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.10.v1.2 pep primary_assembly:ASM411807v1:7:381779:391030:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.6.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.8.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.16.v1.2 pep primary_assembly:ASM411807v1:7:381779:391030:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.1.v1.2 pep primary_assembly:ASM411807v1:7:381618:391101:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSADRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.9.v1.2 pep primary_assembly:ASM411807v1:7:381779:391030:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.15.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGNFERTGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.2.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.5.v1.2 pep primary_assembly:ASM411807v1:7:381618:391111:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun07g004700.17.v1.2 pep primary_assembly:ASM411807v1:7:381779:391030:1 gene:Vigun07g004700.v1.2 transcript:Vigun07g004700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRLDSVMFQLTPTRTRFDLVITVNGKKEKVASGLLNPFLSHLKSAQDQIAKGGYSIVLVPGLDSDASWFTKGTIERFVRFVSTPEILERVYTLESEIAQIEEAIAIQGNNSVGMSFVEENQIKHAESTEGRNTQQDTNEEKTIVLYKSDTQPLDTNGGTKSEGNSKVQLLKVLETRKSVLQKEQGMAFARAAAAGFDIDYMPALMSFAECFEASRLLDGCRNFISLWKRKHESGQWLEIEAAEVTPNRAGTCAINASGIVISNMVTTSHAELDSESNGKTNSDRQPTAGYQDNVQGHFPNSGFSSWPVHSPPGALPMFHTYPVQGIPYYQSYSGNSPFVQPVSSPMEESRLNASPNVGHRRHSMDNGQYTESETPDEMAMEREGSLTGERRKKAGRSGRHRSGMVVIRNINYITKTERSSGSGSCSDYSSETDEDKEVQESVKTSKRRGSSDKSFKRLNLSDKEVTDSGKDADGGQWLAFQNCLLRGVDEDRHAVDQDKFDEVRRRKRIAGNDPIDFTERNMHEVQAGEALAMQRISNGLTHMPRSSSNDGFLLSRSSGQSVNGRSVDAMQSLEIDGRIGYRRGANDDFVNGLGYSSNKLERKLFHDMNDDSYVVRVNDSGNLERNAIDMDSEFPKVHKKEENNSNYQPDELSLMPERRVEKGSRDYDRALDYEMQAQIGGGALQDKKNKGLKPRSKMMDKDPKSKPTPNSSDLKKTIGPVRRGKTNKPSPLDEARARAERLRNYKADLQKMKKEKEEEEVRRLNALKMERQRRIAAKSNSMATKSSTPPQQTKKQIPAKLSPSSYKGSKFSDSEPCSSSPFQRFPIRTASVGSNDSSKAPKTGRLNTNKLSRSAPSLPESKREKGDGTTDTKASMARIRRLSEPKTSTIRHTSSISVKPRGARTSSITKATNETDIRKISAIVNHDKSKTTTLPELRMGTSKASDIVQTGSSVKERTQKLNINNSLNLEGTLLKKNEFEISPFDDEDDNPIIEKTVVMLEREKPCAPNSNDGKAKSKTRILKGQYETDRVMVKTETVPSYVALQTSVSVDTEASDKKSHVKPGSSKVTLNDMEKEPTKSSSIHITDKTYHSPHVRVSSLEDCSTQSSEYGKAPSASFDSASIGTETFRSRVSDSRNSTLEKIPEVIEKPQVKESSKGLKRLLKFGRKNHDSPPAAGRNSIDDSEANEIGKNGSPNEVHTLRNLISRDETPQSATPQKSSRSFSLLSPFRSNKGKKIMMS >Vigun06g032700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14006468:14007008:-1 gene:Vigun06g032700.v1.2 transcript:Vigun06g032700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVEKKVLALVMFVMAYGLVITRMSEGQMPDMCDEYKPMFLPCVPYLVNPDFSTPTSRCCDGASQQLTKGNNPATLKKLCTCLDASTTNLGFHFQKLIQLPTTCNIKFSFSIEKCVNG >Vigun02g159500.1.v1.2 pep primary_assembly:ASM411807v1:2:30552338:30554764:-1 gene:Vigun02g159500.v1.2 transcript:Vigun02g159500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSKRHCFSDEDGWIAPTDPGFSGHNYSHHNQHGFVSRTLGFGTFYNRGFRSPSILSPRSGRFYDARFEDHQPHFLEACFLCKKPLGDRDIFMYRGDTPFCSEECRHEQIERDEAKEKNKNISSIKALRKKEQRKSVSPNKAQNYSFRAGTVAAA >Vigun04g158000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38007472:38008969:-1 gene:Vigun04g158000.v1.2 transcript:Vigun04g158000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVLLLFLLASSLSSVSCTARAQSLSPETLNDAAVKATLFLSLVIFVFALLITAFCFVFIRCISNRNHALPQVTRGLDPRVLATCPVMSYSELKLNHPKAAQNAPFQCAVCLADFADDESLRLLPKCGHVFHARCIEAWLAAHVTCPVCRSDVSAETGDACARHVLEEEDSVRDFGVLVRSHSTGHSLEGFSIKVPEEVKKKVLEDGECGNSMKMNRSASYDVVVGIEEGGVGSNTAAATNNNNNSWVLWTSQPIDSGGSGIPKFWGASMVREDKEKGKVKEFEGRTFFDCEV >Vigun03g020000.1.v1.2 pep primary_assembly:ASM411807v1:3:1460529:1463467:-1 gene:Vigun03g020000.v1.2 transcript:Vigun03g020000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDSNHTHNNSFLKMPTIKFTKLFINGHFLDSISGRTFETIDPRTEEVIARVSEGDREDIDVAVEAAREAFDRGPWPRMAASERAKIMMKWADLIDENIEEVAALDSVDGGKLYFFNKFAEIPSAANALRYYAGAADKIHGQVLKVNGNFHAYTLMEPIGVVGHIIPWNAPSHSFFIKVSPSLAAGCTMVLKPAEQTPLSALFYAHLAKLAGIPDGVLNVVPGFGSTAGAAISSHMGIDAVSFTGSVEVGREVMQAAARSNLKPVSLELGGKSPLIIFDDADIDQAVELALIGIMSNKGEVCVASSRVYVQEGIYDEFEKKLVEKAKAWVVGDPFDPKSQQGPQTNKNQLEKILSYIEHGKREGATLLTGGNRVGNKGYYIEPTIFSDVKEDMVIAQEEIFGPVLALMKFKTIEEAIKSANNTKYGLAAGIITNSLDTSNTVSRSIRAGIVWINCYFTVGSDVPFGGYKMSGFGRDLGLEALHKYLQIKSVVTPIHNSPWL >Vigun04g025700.1.v1.2 pep primary_assembly:ASM411807v1:4:1986347:1991841:1 gene:Vigun04g025700.v1.2 transcript:Vigun04g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTPGTPVSKIDRTPVSTPGGSRAKEEKIVVTVRLRPLNKREQLAKDQVAWDCINDYTIVYKPPSHERAVQPMSFTFDRVFGPASVTEAVYEEVKNVALSALTGINATVFAYGQTSSGKTYTMRGITERAVNDIYEHILNSPERDFTIKISGLEIYNENVRDLLNSESGRSLKLLDDPEKGTMVEKLVEETVKDDKHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIQSTLRENTDCVKSFVATLNFVDLAGSERAAQTHADGTRLKEGCHINLSLMTLTTVIRKLSVGKRSGHIPYRNSKLTRILQHSLGGNARTAIVCTLSPALSHVEQSRNTLLFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAVLRTPDPSKEKDWKIQQMEMEIEELKRQRDLAQTQVDELRRKLQDDPKVSNHPVESPHLPVKKCLSFTGALSSFKPESGCESVRRSALRQSLRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSVRSTTPKEVEVGCMVSVNKSVSANLKEEITRLHSQGSTIANLEQQLENVQRSIDKLVMSLPNNFQHSPNEASPKHKKEHRRKKLLPLSSSNAANRQNFLRSPCSPLSITQQVLEPDVENKAPENDDNISIDTLAESEKETPTKSEEAGDVSSKENTPSGYRRSSSVNVKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEANGHNIDNEEYPCEPEEPQVPWQITFKEQRQLILELWDLCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQQLAELGNASPAPHAGDEHTTISLSSSMRALKREREFLAKRLTSRLSLEEREMLYMKWDVPLDGKQKRMQFICKLWTDPHDPIHVQESAEIVAKLVGFRTGGNMSKEMFELNFVPPSDRRPWLMGWNPITNLLNL >Vigun02g037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:15852386:15852529:1 gene:Vigun02g037900.v1.2 transcript:Vigun02g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDSSSMAGSKRRISSNRGLGGALREQRARLYIIRRCVVMLLCWHD >Vigun03g335900.1.v1.2 pep primary_assembly:ASM411807v1:3:53423104:53426242:1 gene:Vigun03g335900.v1.2 transcript:Vigun03g335900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNIVPPRFFMFCVVVIATLLLVLYSSFLLHLSDHSFIPRSVLELVFVNNTSHDFKPSFKREQITPPPLNSQGSKVQPQRCQLSNSSQKSNSPTQMGKMACDTTLALLRVFMYDLPPEFHFGLLNWKETANQTWPDVNNPKLVPPYPGGLNLQHSVEYWLTLDLLSSNIAKVFRPCSAIRVQNASQANVVFVPFFSSLSYNQHSKIHGKEKVSINKMLQERLVQFLMGREEWKRSGGRDHVIVAHHPNSMVHARRKLGSSILVLADFGRYPSKIANIQKDIIAPYRHLVNTTPRAESASYEERSTLLYFQGTIYRKAGGAVRHELYYLLKDEKDVHFTFGSTRRNGIQQASQGMALSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDKIELPFEDVLDYSEFSLFIRASDAVRKGYLLNLLRSIKQEKWTKMWERLKNITQHFEYQYPSQPRDAVNMIWEEVAHKIPSLQLNLHRKNRYQRS >Vigun09g066700.3.v1.2 pep primary_assembly:ASM411807v1:9:7051403:7062359:-1 gene:Vigun09g066700.v1.2 transcript:Vigun09g066700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTVKKALGLSKFSGELKGLDAAIVKATSYKHALPKEKHIRTIFHSLSPSKPRSEVIYCIEGLSKRFSHTHNWSVAMKSLLVLHRAIRELDSSIFEELLNYRDAKGYIIDFTHFHGKSVPSDFSIWIRHYALYIEERIQCFNVINYDAATNSSKYSEKLDNKILLEQLPALQNLLSRLLDCRPGGLTTHNRLVQYATSIVAGESVKLYVAITVRVVELLDKFFEMENNDARSSLRIYKKSGNQAERLSEFFETCKRLEFGRGRKFINIKMPPASFIATMEEYIKEAPSPLMLENNMDHNSERLIKNNNASSTGGSLTINDAIIDKSSNASAENNTVVPQPAELMGLYDLLTGASEFEEKSLEMPYIPSENDENKTNPDLGWEVALFTELENHNGDITTETKNNKEGCGMEFWKHDNVHDDEMNANTQQSVTTFSSQVRFNPFDLEASHDEQINRVLASPNSQNELSSVPNMSSPIPYQIGDMPYQHLVQQQNELSIAPRKTTNPFDDTNMLPSTVPTHPTQTT >Vigun09g066700.2.v1.2 pep primary_assembly:ASM411807v1:9:7051403:7062359:-1 gene:Vigun09g066700.v1.2 transcript:Vigun09g066700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTVKKALGLSKFSGELKGLDAAIVKATSYKHALPKEKHIRTIFHSLSPSKPRSEVIYCIEGLSKRFSHTHNWSVAMKSLLVLHRAIRELDSSIFEELLNYRDAKGYIIDFTHFHGKSVPSDFSIWIRHYALYIEERIQCFNVINYDAATNSSKYSEKLDNKILLEQLPALQNLLSRLLDCRPGGLTTHNRLVQYATSIVAGESVKLYVAITVRVVELLDKFFEMENNDARSSLRIYKKSGNQAERLSEFFETCKRLEFGRGRKFINIKMPPASFIATMEEYIKEAPSPLMLENNMDHNSERLIKNNNASSTGGSLTINDAIIDKSSNASAENNTVVPQPAELMGLYDLLTGASEFEEKSLEMPYIPSENDENKTNPDLGWEVALFTELENHNGDITTETKNNKEKGCGMEFWKHDNVHDDEMNANTQQSVTTFSSQVRFNPFDLEASHDEQINRVLASPNSQNELSSVPNMSSPIPYQIGDMPYQHLVQQQNELSIAPRKTTNPFDDTNMLPSTVPTHPTQTT >Vigun04g055200.1.v1.2 pep primary_assembly:ASM411807v1:4:5378859:5382892:1 gene:Vigun04g055200.v1.2 transcript:Vigun04g055200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSNSIGALALFTLALTFSLAHSMQFELHLGHTKCISEDIKTSSMSVGKYSVIHPSEGYPKFDSHRIIVKVSSPHGNMYHFGDHVDSGNYAFTASESGDYTTCFWLPDEKDAPPMVTIEFEWRTGVAAKDWSKIAKKGQVEVMEFELKKLYDTVLSIHDEMFYLREREEEMQNLNKATNTKLFTLSFLSIAVCLSVAGLQIWHLKTFFERKKLL >Vigun04g055200.2.v1.2 pep primary_assembly:ASM411807v1:4:5378859:5382892:1 gene:Vigun04g055200.v1.2 transcript:Vigun04g055200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSNSIGALALFTLALTFSLAHSMQFELHLGHTKCISEDIKTSSMSVGKYSVIHPSEGYPKFDSHRIIVKVSSPHGNMYHFGDHVDSGNYAFTASESGDYTTCFWLPDEKDAPPMVTIEFEWRTGVAAKDWSKIAKKGQVMEFELKKLYDTVLSIHDEMFYLREREEEMQNLNKATNTKLFTLSFLSIAVCLSVAGLQIWHLKTFFERKKLL >Vigun11g162800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37045309:37046028:1 gene:Vigun11g162800.v1.2 transcript:Vigun11g162800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Vigun05g185500.2.v1.2 pep primary_assembly:ASM411807v1:5:35828417:35834421:1 gene:Vigun05g185500.v1.2 transcript:Vigun05g185500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKLEKMASIDAQLRLLAPSKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQEVYEISAEYEGKRDPKKLEELGNMITSLDAGDSIVVAKSFSHMLNLANLAEEVQISRRRRNKLKKGDFADENNATTESDIEETLKKLVFDLKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLSKLYNKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWNGVPRFLRRVDTALSNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYYSQIEDLMFELSMWRCNDELRVHADEVHRSSNRDEVAKHYIEFWKKVPPNEPYRVVLGEVRDRLYQTRERSRHLLANGYSDIPEENTFTSVEQFLQPLELCYRSLCACGDRAIADGSLLDFLRQISTFGLSIVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEEKRQEWLLSELSGKRPLFGPDLLQTEEIRDVLDTFHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFERLADLEAAPAALARLFSVDWYKNRVNGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAEKFGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEKHLCFRTLQRFTAATLEHGMNPPISPKPEWRDLMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKTVVEKNAKNLSMLQEMYNQWPFFRVTLDLVEMVFAKGDPEIAVLNDKLLVLKDLWPVGDLLRSKYEETMKLLLEVAGHKEILEGDPYLKQRLRLRNSPITTLNVFQAYTLKRIRDPNYQVTARPRISKDTAEASKSADELIKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Vigun05g185500.1.v1.2 pep primary_assembly:ASM411807v1:5:35828690:35834421:1 gene:Vigun05g185500.v1.2 transcript:Vigun05g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKLEKMASIDAQLRLLAPSKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQEVYEISAEYEGKRDPKKLEELGNMITSLDAGDSIVVAKSFSHMLNLANLAEEVQISRRRRNKLKKGDFADENNATTESDIEETLKKLVFDLKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLSKLYNKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWNGVPRFLRRVDTALSNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYYSQIEDLMFELSMWRCNDELRVHADEVHRSSNRDEVAKHYIEFWKKVPPNEPYRVVLGEVRDRLYQTRERSRHLLANGYSDIPEENTFTSVEQFLQPLELCYRSLCACGDRAIADGSLLDFLRQISTFGLSIVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEEKRQEWLLSELSGKRPLFGPDLLQTEEIRDVLDTFHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFERLADLEAAPAALARLFSVDWYKNRVNGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAEKFGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEKHLCFRTLQRFTAATLEHGMNPPISPKPEWRDLMDQMAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKTVVEKNAKNLSMLQEMYNQWPFFRVTLDLVEMVFAKGDPEIAVLNDKLLVLKDLWPVGDLLRSKYEETMKLLLEVAGHKEILEGDPYLKQRLRLRNSPITTLNVFQAYTLKRIRDPNYQVTARPRISKDTAEASKSADELIKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Vigun09g111200.1.v1.2 pep primary_assembly:ASM411807v1:9:23984390:23991086:-1 gene:Vigun09g111200.v1.2 transcript:Vigun09g111200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSATGWYRGRVKAVPSGDCLVIVAISSAKPGPLPEKTITLSSLMAPRLARRDGVDEPFAWESREFLRKLCIGKEVTFRVDYNVPAINRDFGTVFLGDKNVAVLAVSQGWVKIREQGQQKGEASPYLAELLRLEEQAKQEGLGRWSKVPGAAEASIRNLPRSAIGDPSNLDAMGLLAANKGLPMEAIVEQIRDGSTLRIYLLPQFQFVQVFVAGIQSPQMGRRAAPESVVESELASDDTNGDVSGEPRAALTSAQRLAVSTTATETAADPFAHEAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELVENGYAKYVEWSANMMEEEAKRKLKTAELQAKKNRLRMWTNYVPPPSNSKAIHNQNFSGKVVEVVSGDCVVVADDSIPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVSPTDGSVVPSVGADSRVMDFGSVFLLSTAKVDNEDAVSAAPSAGSQQNGVNVAELVVGRGFGTVIRHRDFEERSNYYDALLAAESRATAGRKGIHSAKDPPVMHITDLTTVHKNVVKKAKDFCPFLKRSGRVPAVVEYVLSGHRFKVLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRINVAATLLEAGLAKLQTSFGTDRIPDFHLLQQAEQSAKRQKLKIWENFVEGEEVSNDSVIENKQQEVLKVIVTEVLGGGKFYVQTVGDQKIASIQQQLAALNLKDAPVIGAFNPKKGDIVLCYFHADKSWYRAMVVNTPRGPVESPTDMFEVFYIDYGNQEAVAYSQLRPVDPSVSAAPGLAQLCSLAYIKIPNLEEDFGQEAAEYLSELTLNSGKEFRAKVEEKDTSGGKVKGQGTGTILAVTLVAVDAEISVNAAMLQEGLARTEKRNRWDRKERQSAIDNLENFQEEAKSSRRGMWQYGDIQSDEEDTAPPPRKGGGRR >Vigun09g111200.2.v1.2 pep primary_assembly:ASM411807v1:9:23984390:23991086:-1 gene:Vigun09g111200.v1.2 transcript:Vigun09g111200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSATGWYRGRVKAVPSGDCLVIVAISSAKPGPLPEKTITLSSLMAPRLARRDGVDEPFAWESREFLRKLCIGKEVTFRVDYNVPAINRDFGTVFLGDKNVAVLAVSQGWVKIREQGQQKGEASPYLAELLRLEEQAKQEGLGRWSKVPGAAEASIRNLPRSAIGDPSNLDAMGLLAANKGLPMEAIVEQIRDGSTLRIYLLPQFQFVQVFVAGIQSPQMGRRAAPESVVESELASDDTNGDVSGEPRAALTSAQRLAVSTTATETAADPFAHEAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELVENGYAKYVEWSANMMEEEAKRKLKTAELQAKKNRLRMWTNYVPPPSNSKAIHNQNFSGKVVEVVSGDCVVVADDSIPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVSPTDGSVVPSVGADSRVMDFGSVFLLSTAKVDNEDAVSAAPSAGSQQNGVNVAELVVGRGFGTVIRHRDFEERSNYYDALLAAESRATAGRKGIHSAKDPPVMHITDLTTVHKNVVKKAKDFCPFLKRSGRVPAVVEYVLSGHRFKVLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRINVAATLLEAGLAKLQTSFGTDRIPDFHLLQQAEQSAKRQKLKIWENFVEGEEVSNDSVIENKQQEVLKVLRN >Vigun01g007000.1.v1.2 pep primary_assembly:ASM411807v1:1:837706:840277:1 gene:Vigun01g007000.v1.2 transcript:Vigun01g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASLSNEVKTLVLVGRTGNGKSATGNNILGRKAFKSRMSSSGVTSVCELQTTAIKDGPIINVIDTPGLFDGTNSAGGEIVKCIDLAKDGIHAIVLVFSIRTRFSEEEQATFLTLQALFGHKIVDYMIVVFTGGDELEETEETLDDYLGSNCPQSLQDILTLCGNRKVLFNNRTKDKSKQLEQVQQLLNLVNVVMSHNVGQPFTNELFRRLKEKATETEKAETLGIKMQLQKRYDDELKRMTNMIESKLKEEIAKLMKMLEEEKVARVKAEQNYKSVQATSNEEIQKLKWDLQEANKRNTSRGGSGGGGCAIL >Vigun01g007000.2.v1.2 pep primary_assembly:ASM411807v1:1:837717:840233:1 gene:Vigun01g007000.v1.2 transcript:Vigun01g007000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASLSNEVKTLVLVGRTGNGKSATGNNILGRKAFKSRMSSSGVTSVCELQTTAIKDGPIINVIDTPGLFDGTNSAGGEIVKCIDLAKDGIHAIVLVFSIRTRFSEEEQATFLTLQALFGHKIVDYMIVVFTGGDELEETEETLDDYLGSNCPQSLQDILTLCGNRKVLFNNRTKDKSKQLEQVQQLLNLVNVVMSHNVGQPFTNELFRRLKEKATETEKAETLGIKMQLQKRYDDELKRMTNMIESKLKEEIAKLMKMLEEEKVARVKAEQNYKSVQATSNEEIQKLKWDLQEANKRNTSRGGSGGGGCAIL >Vigun11g193400.1.v1.2 pep primary_assembly:ASM411807v1:11:39260952:39262527:1 gene:Vigun11g193400.v1.2 transcript:Vigun11g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRNPSITIGFVVTTLVLLATVCDAQLSSKFYDSTCPNALSTIRTVIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDSSSIESEKTALQNVNSVRGYNVIDQAKTEVEKVCPGVVSCADIVAVAARDASFAVGGPSWTVNLGRRDSTTASKSEATSDLPLFTDDLDTLISRFQKKGLTARDMVTLSGAHTIGQAQCFTFRGRIYNNASDIDAGFASTRRRGCPSLNNNENDKKLAALDLVTPNSFDNNYFKNLIQKKGLLHSDQVLFSGGSTDSIVSEYSENPTTFKSDFAAAMIKMGDIQPLTGSAGIIRKICSSVN >Vigun01g132700.1.v1.2 pep primary_assembly:ASM411807v1:1:31049794:31052037:-1 gene:Vigun01g132700.v1.2 transcript:Vigun01g132700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHVIAITNREEDNLADTTKEKKTFEFSHVGKKEVHCVSISFSPREKKQMQRDQDTRKMIHCIKVGISLVLVSLLYLLNPLFKQLGENAMWAIMTVVVMFEFSAGATLGKGFNRGLGTIIGGGLGCLAALFAQSIGIGRVGNSIIIAASVFIFGSFATYLRLIPSIKKRYDYGVMIFLLTFNLVVVSGTRVNGKVWELARERLLNILMGFIVCLCVSLFVFPLWASDELHHSIVSRFLDLANTIQGCLGECTKIINEKENQPCASFNVCKSVLNSKSKDETLANFAKWEPWHGKFGFSYPWERYLKIGEILRELAAFILAMRRCLEASKEPMATLRESQWVHLETCEALESKVACILKELGDSMKQMMKCNAKGCVSEQLKTVREDLSFIISTSKIAQLEDDQVLAIASFVFLHKEMIGKVEELVKEMEELGKIAGFRTPITALSS >Vigun11g001400.1.v1.2 pep primary_assembly:ASM411807v1:11:184234:188386:-1 gene:Vigun11g001400.v1.2 transcript:Vigun11g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGYSCGCSDSDSSCGCWSTAASAAAATRSEASTELRLYRAFIFCVPIFFTLILLFLFYLFYLRPRTRLHWITNFGLPNNDDDDHNHNAISTVELGLNKELREMLPIIVYKESFSVKDTQCSVCLLDYQSEDRLQQIPACGHTFHMSCIDLWLATHTTCPLCRFSLLTIAKSSTQTSDMQTQSQNNEEAQAVELSESRSTVHTETTVLRNVSGEVAISAPCIDVEGQNEQDNQ >Vigun03g346300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54548474:54548866:1 gene:Vigun03g346300.v1.2 transcript:Vigun03g346300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALASIVQQEQQQGEVEAVPSTTTGSSAWKSSGSVAPFFAVMSVLVILAVLSCYLGRKWNRRPKTPLESIRGRGFSGWLKRVCRERIGNDIEVGGVGPKVMVCDDLEDIDHCTNGEPAHQNTTQVSC >Vigun09g218800.1.v1.2 pep primary_assembly:ASM411807v1:9:39266115:39270228:1 gene:Vigun09g218800.v1.2 transcript:Vigun09g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVMVMKEIEDTALRLGVDLSTLDLDSIRLPPGETCGIVSDDEEVYQEDNLEFESGFGNIIVVDNLPVVPREKFEKLEGVVRKIYSQIGVIKEDGLWMPVDPETDKTLGYCFIEYNTPQEAELAKEKTHGYKLDRAHIFCVSMFDDFDKFMKVPNEWAPPETKPYAPGENLQHWLTDAKARDQFVIRAGSDTEVLWNDARHLKPDPVYKRAFWTESFVQWSPLGTYLATVHRQGAAVWGGSSSFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADDFAVGGAGGVTGVSWPVFKWSGGKDDKYFARMGKNVLSVYETETFSLVDKKSLKVENIMDFCWSPTDPIIALFVPEMGGGNQPARVSLIQVPSKEELRQKNLFSVSDCKIYWQSNGDYLAVNVERYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPKPDVSIYSMRTGQNSRVSKLTTLKGKQANALFWSPAGRYIVLAGLKGFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPPSFLSPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQEREKRRMLKEDWDKWVNEWKRIHEEESLHRQKLRDGEASDEEEEYEAKDIEVEEVIAVTEEVLHIEYGQE >Vigun11g118200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32422620:32423783:1 gene:Vigun11g118200.v1.2 transcript:Vigun11g118200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFHRKWVSVSVLIMCIVLELSVISVLGDQKLHPQRYGDDCRFGRGPRCRGWRGRRGRGGGLGGGGGFGGGGGLGGGGGLGGGGGLGGGGGLGGGRGGGVGGGGGFGGGGGLGGGGGGGLGGGGGGGLGGGGGHGGGLGGGGGHGGGLGGGGGFGGGGGAGGGHGGGFGAGGGVGGGVGGGIGGGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGIGGGLGGGAGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGIGGGGGAGGGGGGGIGGGSGHGGGFGAGGGIGHGGGGGIGGGGGGGHGGGGGFGIGIGIGVGVGAGHGSGSGSGVGGGGGGGKH >Vigun11g118200.2.v1.2 pep primary_assembly:ASM411807v1:11:32422620:32429256:1 gene:Vigun11g118200.v1.2 transcript:Vigun11g118200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFHRKWVSVSVLIMCIVLELSVISVLGDQKLHPQRYGDDCRFGRGPRCRGWRGRRGRGGGLGGGGGFGGGGGLGGGGGLGGGGGLGGGGGLGGGRGGGVGGGGGFGGGGGLGGGGGGGLGGGGGGGLGGGGGHGGGLGGGGGHGGGLGGGGGFGGGGGAGGGHGGGFGAGGGVGGGVGGGIGGGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGGLGAGGGVGGGAGGGIGGGGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGSIGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGGGVGVGGGVGGGIGGGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGGIGGGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGGGGGRGGGGGIGIGIGIGVGIGVGGGKGSGSGVGVGVGGGGGKH >Vigun01g113550.1.v1.2 pep primary_assembly:ASM411807v1:1:28851959:28852533:-1 gene:Vigun01g113550.v1.2 transcript:Vigun01g113550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLVKQKLRDMTKVVLITWLYIKEGTQGCLLVFSFAEQ >Vigun08g077700.1.v1.2 pep primary_assembly:ASM411807v1:8:14830418:14848095:-1 gene:Vigun08g077700.v1.2 transcript:Vigun08g077700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNTSSMSVVEENTKSVEPPSAQGHGAKLQLSDGSSLYAKLVVGADGGKSHVRELAGIKTTGWNYSQNAIICTVEHASENVCAWQRFLPTGPIALLPIGDKFSNIVWTMSPAESNSRKSITEEEFLKDVNYALDYGYGPRPTSGLLGTRDMFSWFKMDATISTNEFFEIPPKVIKLASERMVFPLTLRHANSYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFSLSRIIAEGIVLGTDIGEVNLLKKYETERRSANIMMMTILDGFQKAYSVDFGPFNILRAAAFHGANYISPLKKGIISYASGEHKLPIFL >VigunL034200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:263727:265720:1 gene:VigunL034200.v1.2 transcript:VigunL034200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINENFIDKTFSIVANILLRIIPTTSGEKRAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGEQAIRQGDSEVAESWFNQAAEYWKQAIALTPGNYIAAQNWLKITGRFE >Vigun06g053700.1.v1.2 pep primary_assembly:ASM411807v1:6:17948167:17952006:1 gene:Vigun06g053700.v1.2 transcript:Vigun06g053700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSNHDSVTHSSPIMLFDGKTLVMDEMDFFADNNNNNKKNKNVFHDDEMLHQMELHVDTSLDLLTKSSPTDRSIMGEGSSEAKGNKRDDKFAAMLAELHHMNAENQRLRDEVDQVNDKYHALHDQLVKQMEKQRKNEGKKVDMISRPFLDIGVATKEENSQQYSKGKLQESKSTMDMIESKKQKICTNREVTELEAPEKAIPRWLSNEVTTRSSSFNVDEASETMSMIKKARVSVRARSVSSMISDGCQWRKYGQKMAKGNPCPRAYYRCSMGTGCPVRKQVQRSAEDQSVLITTYEGQHSHVLPPAAKAMASTTSAAASMLLSGSMPSSDGLLHPNILESATLPFSHNLATLSASAPFPTITLDLTQTPTNNNTSSQQHPPQDYQLSLLSPLFAPNFFHQTKLSTLHASQGTETASFADTVNAATAAITTDPKFSAALMAAITSIIGSSHPVETQHCNNKQE >Vigun01g011400.1.v1.2 pep primary_assembly:ASM411807v1:1:1269441:1273244:-1 gene:Vigun01g011400.v1.2 transcript:Vigun01g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQFTQKMGTHPFMLAITIFKLLLVFFSQSSAASDTITQSQPLHDDGSTLVSKDGTFELGFFSPGSSTNRYIGIWYKIIPVKTIVWVANRDNPIKSSNNSNSNNMLTITNEGNMVLLDNNNETVWSTNMTSLTTQPLLSPIVQLLDTANLVVKEGNNNKNSNSNSNNNNEKEGNFLWQSFDYPCDTLLPGMKLGWDLKKGIERKLRAWKSWDDPSSGNLTWGMLLSSYPELVLKKGSVEYHRSGPWNGAGFSGQPVLRITPIVDTKFVNDSDEVYYSYSLRNKSVISITYLNQTLLHRQRITWIPENKTWRVYESVPRDDCDPYNTCGPNGNCMVNETPICQCLEGFRPKSHQNWNTFDWSQGCVRSEPWSCRVKNQDGFRRFASMKVPDTRSSWTYGNMSLEDCRIQCLKNCSCMAYSNLDIREGGSGCAIWFGDLVDLKLVQASQQDIYIRMAVSGSDEDTRKRVLVITIPIVSSVTVLLLVVFVCIYLRKRKQKGNEHGTQNIVSTEDDEENQDFELPLFDLALIFNATNGFSEDNKLGEGGFGPVYKGTLRDGRDIAIKRLSRGSSQGIKEFKNEVILCAKLQHRNLVKVLGCCIQEEEKMLIYEYMPNRSLDSFLFDSTQSKLLDWSKRFNIICAIARGLLYLHQDSRLRIIHRDLKASNILLDYDPKISDFGLARLCGDDQIEGNTKRVVGTYGYMAPEYAIDGLFSIKSDVFSFGILLLEIVSGKKNKGVTYTSDTYNLIGHAWKLWKENDPTKLVDTSLEESYVVSEVLRCIQVGLLCLQHHPNDRPNMASVVVMLTNETILAEPKEPGFLIERVIPSEVESSSEKLISFSVNELSISLVDAR >Vigun06g091200.2.v1.2 pep primary_assembly:ASM411807v1:6:22349918:22353245:-1 gene:Vigun06g091200.v1.2 transcript:Vigun06g091200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDQGLAIGIDLGTTYSCVAVWRDHHCRVDIIHNDQGNNTTPSFVAFTDQERLIGDAAKNQAATNPQNTVFDAKRLIGRKYSDPDVQKDMMLWPFKVVAGTNDKPMITLNYKGEEKQFCAEEISSMVLVKMREIAEAYLETKVKNAVVTVPAYFNDSQRKSTIDAGTIAGLNIIRIINEPTAAAIAYGLDKRINCVGERNVFIFDFGGGTFDVSILTIKGKIFEVKATAGNTHLGREDIDNRMVNYFVEKIKKEKKEDISGNPRALRRLRSACERAKRTLSHAVTASIEVDSLSNGIDFCSSITRARFEEINMKLFNQCMETVDRCLADAKMDKCSIHDVVLVGGSSRIPKVQELLQGFFDGKDLCKSINPDEAVAYGAAVQAALLSEGIKNVPDMVLLDVTPLSLGIYISGDIMSVMIPRNTTIPVKRTDTYYTTVDNQSSVDIDIYEGERTKASDNNLLCPFSLSGLPLAPRRHPIDVCFAIDENGILSVSAKEKTTGISNKIIITNEIGRLSTEEINRMIQGAEEYKVEDMKFLRKAKARNEIDDYAYKIKNALKNENFSSKLCSEDREKISSAITKATELLDGDQQKEEIDVFEDHLKDLINLFERVVGKSG >Vigun06g091200.4.v1.2 pep primary_assembly:ASM411807v1:6:22349918:22352241:-1 gene:Vigun06g091200.v1.2 transcript:Vigun06g091200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDQGLAIGIDLGTTYSCVAVWRDHHCRVDIIHNDQGNNTTPSFVAFTDQERLIGDAAKNQAATNPQNTVFDAKRLIGRKYSDPDVQKDMMLWPFKVVAGTNDKPMITLNYKGEEKQFCAEEISSMVLVKMREIAEAYLETKVKNAVVTVPAYFNDSQRKSTIDAGTIAGLNIIRIINEPTAAAIAYGLDKRINCVGERNVFIFDFGGGTFDVSILTIKGKIFEVKATAGNTHLGREDIDNRMVNYFVEKIKKEKKEDISGNPRALRRLRSACERAKRTLSHAVTASIEVDSLSNGIDFCSSITRARFEEINMKLFNQCMETVDRCLADAKMDKCSIHDVVLVGGSSRIPKVQELLQGFFDGKDLCKSINPDEAVAYGAAVQAALLSEGIKNVPDMVLLDVTPLSLGIYISGDIMSVMIPRNTTIPVKRTDTYYTTVDNQSSVDIDIYEGERTKASDNNLLCPFSLSGLPLAPRRHPIDVCFAIDENGILSVSAKEKTTGISNKIIITNEIGRLSTEEINRMIQGAEEYKVEDMKFLRKAKARNEIDDYAYKIKNALKNENFSSKLCSEDREKISSAITKATELLDGDQQKEEIDVFEDHLKDLINLFERVVGKSG >Vigun06g091200.3.v1.2 pep primary_assembly:ASM411807v1:6:22349916:22353326:-1 gene:Vigun06g091200.v1.2 transcript:Vigun06g091200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDQGLAIGIDLGTTYSCVAVWRDHHCRVDIIHNDQGNNTTPSFVAFTDQERLIGDAAKNQAATNPQNTVFDAKRLIGRKYSDPDVQKDMMLWPFKVVAGTNDKPMITLNYKGEEKQFCAEEISSMVLVKMREIAEAYLETKVKNAVVTVPAYFNDSQRKSTIDAGTIAGLNIIRIINEPTAAAIAYGLDKRINCVGERNVFIFDFGGGTFDVSILTIKGKIFEVKATAGNTHLGREDIDNRMVNYFVEKIKKEKKEDISGNPRALRRLRSACERAKRTLSHAVTASIEVDSLSNGIDFCSSITRARFEEINMKLFNQCMETVDRCLADAKMDKCSIHDVVLVGGSSRIPKVQELLQGFFDGKDLCKSINPDEAVAYGAAVQAALLSEGIKNVPDMVLLDVTPLSLGIYISGDIMSVMIPRNTTIPVKRTDTYYTTVDNQSSVDIDIYEGERTKASDNNLLCPFSLSGLPLAPRRHPIDVCFAIDENGILSVSAKEKTTGISNKIIITNEIGRLSTEEINRMIQGAEEYKVEDMKFLRKAKARNEIDDYAYKIKNALKNENFSSKLCSEDREKISSAITKATELLDGDQQKEEIDVFEDHLKDLINLFERVVGKSG >Vigun03g425800.5.v1.2 pep primary_assembly:ASM411807v1:3:63132360:63136576:1 gene:Vigun03g425800.v1.2 transcript:Vigun03g425800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVNERFDFGKMEYGCKHYRRRCRIRAPCCNEIYSCRHCHNEEASLSKNSFDRHELVRQDVKQVVCSVCDTEQSVAQVCTNCGIKMGEYFCNICKFFDDDTEKQQFHCDDCGICRVGGQENFFHCKKCGSCYSIGLRDNHLCVENSMRHHCPICYEYLFDSLKDTVVMKCGHTMHQECYHEMVKRDRYCCPICSKSVVDMSRTWRRIDEEIEASIMPEDYRYRKVWILCNDCNDTTEVNFHILGHKCGHCNSYNTRAIAPPVLPQ >Vigun03g425800.4.v1.2 pep primary_assembly:ASM411807v1:3:63132360:63136576:1 gene:Vigun03g425800.v1.2 transcript:Vigun03g425800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVNERFDFGKMEYGCKHYRRRCRIRAPCCNEIYSCRHCHNEEASLSKNSFDRHELVRQDVKQVVCSVCDTEQSVAQVCTNCGIKMGEYFCNICKFFDDDTEKQQFHCDDCGICRVGGQENFFHCKKCGSCYSIGLRDNHLCVENSMRHHCPICYEYLFDSLKDTVVMKCGHTMHQECYHEMVKRDRYCCPICSKSVVDMSRTWRRIDEEIEASIMPEDYRYRKVWILCNDCNDTTEVNFHILGHKCGHCNSYNTRAIAPPVLPQ >Vigun03g425800.1.v1.2 pep primary_assembly:ASM411807v1:3:63132360:63136576:1 gene:Vigun03g425800.v1.2 transcript:Vigun03g425800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVNERFDFGKMEYGCKHYRRRCRIRAPCCNEIYSCRHCHNEEASLSKNSFDRHELVRQDVKQVVCSVCDTEQSVAQVCTNCGIKMGEYFCNICKFFDDDTEKQQFHCDDCGICRVGGQENFFHCKKCGSCYSIGLRDNHLCVENSMRHHCPICYEYLFDSLKDTVVMKCGHTMHQECYHEMVKRDRYCCPICSKSVVDMSRTWRRIDEEIEASIMPEDYRYRKVWILCNDCNDTTEVNFHILGHKCGHCNSYNTRAIAPPVLPQ >Vigun05g052800.1.v1.2 pep primary_assembly:ASM411807v1:5:4513878:4520184:-1 gene:Vigun05g052800.v1.2 transcript:Vigun05g052800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGQIPINPMVAPQNPMAAMQVPMPMHMQMQMQMPMPLPMQMQMPLPMPLQIPFSIHDPATPSSGKRRRDDEAPGTAAATEQSAPKRVKGQDVIFRIVVPSRQIGKVIGKEGCRIQRIREDTKATIKIADAIARHEERVIIISSKDNDEKVTDAEKALEQIAHLILKEDDSSLDLSKVAAGHVAANTIRLLIAGSQAGGLIGMSGQNIEKLRDSSGASITVLAPNQLPLCASAHESDRVVQLSGDVTSVMKALDEIGCQLRENPPRQVISISPTYNYAAIRPSQPYLDPTSVDYVTFEMLISETMVGGLIGRCGSNISRIRNESGAMIKVYGGKGEQKHRQIQFGGSAQQVALAKQRVDEYIYSQLIQQTGTQQSAFQR >Vigun05g052800.2.v1.2 pep primary_assembly:ASM411807v1:5:4513878:4520184:-1 gene:Vigun05g052800.v1.2 transcript:Vigun05g052800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGQIPINPMVAPQNPMAAMQVPMPMHMQMQMQMPMPLPMQMQMPLPMPLQIPFSIHDPATPSSGKRRRDDEAPGTAAATEQSAPKRVKGQDVIFRIVVPSRQIGKVIGKEGCRIQRIREDTKATIKIADAIARHEERVIIISSKDNDEKVTDAEKALEQIAHLILKEDDSSLDLSKVAAGHVAANTIRLLIAGSQAGGLIGMSGQNIEKLRDSSGASITVLAPNQLPLCASAHESDRVVQLSGDVTSVMKALDEIGCQLRENPPRQVISISPTYNYAAIRPSQPYLDPTSVDYVTFEMLISETMVGGLIGRCGSNISRIRNESGAMIKVYGGKGEQKHRQIQFGGSAQQVALAKQRVDEYIYSQLIQQTGTQQSAE >Vigun03g326500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:52254460:52254939:1 gene:Vigun03g326500.v1.2 transcript:Vigun03g326500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFESESISTPTAQAQDRSNSGCALLLPSCCLGPRRRSSWWERVRSTSWSHSNSPSFGDRWWSRGLRALKKLRDWSEILAGPRWKTFIRRFNRNRASKRMPKYQYDPMSYALNFDEGHNGDFNDDAALRNFSTRYAAVNVKSVSPKARDTDDDAVFV >Vigun03g440800.3.v1.2 pep primary_assembly:ASM411807v1:3:64351409:64355808:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun03g440800.5.v1.2 pep primary_assembly:ASM411807v1:3:64351462:64355657:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun03g440800.4.v1.2 pep primary_assembly:ASM411807v1:3:64351399:64355807:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun03g440800.1.v1.2 pep primary_assembly:ASM411807v1:3:64351401:64355810:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun03g440800.6.v1.2 pep primary_assembly:ASM411807v1:3:64351490:64355657:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun03g440800.2.v1.2 pep primary_assembly:ASM411807v1:3:64348272:64355808:-1 gene:Vigun03g440800.v1.2 transcript:Vigun03g440800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAENDSHSTSDQATHDHSSVSDKAHRSIGKSMRTVRSKLFRRDHATCVSDSLTDSLMELASRNSKSVKSSVTEEQLLELSQALSDFSACSSDISGELQRLATVSSYEPAVDGDREPEPEPEPCLGFLQRESFSTEIIESISPEDLQPTVKICVDGLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAVPALVPLLRCSDPWTQEHAVTALLNLSLLEENKALITNAGAVKSLIYVLKTGTETSKQNAACALMSLALVEENKISIGACGAIPPLVSLLLNGSHRGKKDALTTLYKLCSVRQNKERAVSAGAVKPLVELVAEEGSGMADKAMVVLNSLAGIEEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLVQLCAHSVSNRGLLVREGGIPPLVALSQNGSVRAKHKAETLLGYLRESRHEASCSSP >Vigun06g034800.1.v1.2 pep primary_assembly:ASM411807v1:6:14665862:14667823:1 gene:Vigun06g034800.v1.2 transcript:Vigun06g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKAYHTVVPNEPTPEGFQWLSEPDQVARQSHTPTIYVYNAKHNHDALVERIRNSLSKILCYYYPVAGRLRKLEEGGRLELNCNAKGAVLIDAESTKTVHDYGDFMGDSAKDLVPKVEYTNTPIEELPLLVVQVTSFLGDEAFSIGVAISHTLSDGVASIQFINSWAKLARGETLEPHEMPFLDRTVLKFTEPPQSPRFEHQEFKPLPLILGRSDTTIEKSKRVEAMTLKLTAEQVEKLKNKANADKSREGSRPYSRFEAIGAHVWRCASKARGLDENQPTLLRFNADIRSRVIPPLPRNYFGNALVLKTASSRAGEILSNSLGHAAQKIREAVEELTYEYIKSQIDLIRSQDDMDKARALSYGINEGKDALFSGNPNLHITSWLSMPMNEADLGLEKPIYLGLAGGTAQERALITHSPDADTSIYLFLHFQMEYIQLFKNYFYDEI >Vigun06g089500.2.v1.2 pep primary_assembly:ASM411807v1:6:22184104:22185942:1 gene:Vigun06g089500.v1.2 transcript:Vigun06g089500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDGNGQHAQEALRRAKFKFPGRQKIIVSRKWGFTKLSRSEYLKLKSENRIVPDGVNAKVLGCHGPLANRAPGRAFLPATA >Vigun06g089500.1.v1.2 pep primary_assembly:ASM411807v1:6:22178975:22185940:1 gene:Vigun06g089500.v1.2 transcript:Vigun06g089500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDGNGQHAQEALRRAKFKFPGRQKIIVSRKWGFTKLSRSEYLKLKSENRIVPDGVNAKVLGCHGPLANRAPGRAFLPATA >Vigun07g079400.2.v1.2 pep primary_assembly:ASM411807v1:7:11043630:11050308:1 gene:Vigun07g079400.v1.2 transcript:Vigun07g079400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGDEDWEWNETERYESQYNEKFENAAHSLSKETEPSSQLALLIELCGVLPFCSEDTVFHSLDLLSPVLVEHAKNESNPDIMLFSLRAITFVCDLHPPAAQFFLQHDFVPTLCQRLFAIEYVDVAEQCLKVLEKISRKQPLVCLKAGAVMAVLTHIDFFSTDVKRVALSTMVNLCKKLTSESLSSFMEAAPILCNLLGYEDHQLVENACNCLIKIVDSVYQSSELLDELCKHGLIQHVTLLLSSNGQTTLSEPTYYGLIGLLVKLSSGSFVAFRKLYELNISSTLRDILSTFELTNGVSMSQQMGGNCCWVYEVLKLLNELLPDRTKDQNDQLVVDKESFLDNHSDLLQRLGIDVFPMLIKMFNCSASLYVWHGCLSVMYKIVRLSKSGMHDELLKNVNIASFLAGVFTQKDQHMLMLALQIAEIIIQKFSDDFLMLFLKEGVYFAIDSLLKPEKRTTKLMYPVNCGIQPDFSQKSSSRQAIKCLCYAFSSGQSSTSSEVRKCKLDEYSAFDLAEHIKTTYFVRELFHSQTDALQNLTALSNDLLSMSTDNCALSVHEEKTKSILYQIMGKITGKEQVSTFEFIESGVLKSLADYLSQGRYMRNNNMGIHDVCKDNAVIEKRFETLASVCLFASQPFSCEKTLSLLIRNLHTALSSLETFPVIPSTGLKMRGSYVTVPKGRPVPYPSLYVNFVKAEGEKFRNDYFSGVGIIYTVDPFSSLQSIEGFLWPKLSSESIGYDGSSSILAPESPPPQSPLSSSTVPVEIPTDMMTDPPETQDYAEELQMNVGSSPEQENCDSATCSNEATQKLVFYYEGQRMDHKSTLYQEILRHALGQNDPLSSIKLWSKSHKITFRRAVENEDIIPPQCHSSPQDSSDDKVLAYYERTPFFSDLLSDELVPDLEKPSPTYDILFLLKSLENMNRIILHLMSRERIYAFAKGKVGDLDSLKIKVPCIPQNEFVSTKLTEKLEQQMRDPLTVSIGAMPSWCNQLMASCPFLFGFETRCKYFKLEALNQSQAQPGWSHNRSGSTSDMRVSQGELPRKRFSVHRNRILKSAAKMMELHANNKSVLVVDYYGEVGSGLGPTLEFYTLACHEFQKPGLGMWREEGNLQGEETRIRSFHGLFPRPWLRDTSGGIHFSEVEKKFFLLGQVVGKALHDGRILDFHFSNAFYKLILGKELSLYDIRSFDPELYVVLQEFQALVLRKKYMGSINEGNSDRLSFRDQRFEDLCLDFTLPGYPDIVLASGTDHSMVNIRNLEDYVSLIVDATVKSGISRQVKAFESGFNQVFSIEHIRIFNEEELERMFCGESDSWAINDLEQHIIFHHGYTASSPPIVNFLEILREFDHEQKRAFLQFVTGAPRLPPGGLASLTPMLTIVRKHCDHADTDLPSVMTCVNYLKLPPYSSKEIMKEKLLCAITEGQGSFYLS >VigunL060427.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:36514:37349:-1 gene:VigunL060427.v1.2 transcript:VigunL060427.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSTHVPRHAMRRALPSTIATMTSPRAFQQPGLGPPSQSASINASSRSADRLSPFHIRPRHIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPVFSLGRNLPPDWGCIPKQPDSPTAPRGATGSGHNGALTLSGAPFQGTWARSATEDASPDYNSNTEGDRFS >Vigun11g102900.1.v1.2 pep primary_assembly:ASM411807v1:11:30008005:30009498:-1 gene:Vigun11g102900.v1.2 transcript:Vigun11g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYNINFNYWHFSLAVVVVVFIPNLGVAKQSKIDGLKMNVINRCWRLNPEWRMNRPQLATCSIGYTGKMTNNTGKDLVHYKVMDPSDDPISPKPGTLRYGASVIQHKVWITFQKDMHIKLKRPLLISSFTTIDGRGVNIDIAENACLMIFKATNVIIHNIRLHHCKPQAPGVVMGPEGKVIPLGHVDGDAIRLVTASKIWIDHNTLYNCQDGLLDVTRGSTDVTISNNWFRNQDKVMLLGHDDGYIRDQNMKVTVVYNHFGPNCNQRMPRIRHGYAHVVNNLYLGWVQYAIGGSMRPSLKSEANLFIAPTKGSKEVTWRKNSHADGDAWEFYSVKDAFENGASFTMTKGGQVPKPNYNEEQRFNVVNVKYVRLLTRSSGVLRCTKTGLC >Vigun04g119575.1.v1.2 pep primary_assembly:ASM411807v1:4:30645098:30646118:-1 gene:Vigun04g119575.v1.2 transcript:Vigun04g119575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQIDQQESPEGSGKRRRISLPKVIIQVLKENTETSMIEILCLDISIYKEVETLDWN >Vigun05g155700.2.v1.2 pep primary_assembly:ASM411807v1:5:25169617:25172980:-1 gene:Vigun05g155700.v1.2 transcript:Vigun05g155700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSLVISLARLAICQIFKLCYNKFSGSIPTELGRLRKLSVVALQYNQLNSAIPASLGEVGTLARLDLSFNNFFGPIPVTLANAPKLHTLNIRNNSLSGRVPTALRRLKGGFQYMNNPGLCGTGFADLHSCKEVSTSEPVRPEPYQPGDISSKDLPASMEPHRESCRGDHCKRTSKSSKIGLVFTVISLVFASTVAGLLLLFWRRSQIQKIGTSDTRLKQVCKKSAFPLISLEYSDSWDPLDKGVSGYSQEFLESFMFNLEEVERATQIFSEVNLLGKSDSSAVYRGFLRDGSAVTVKCIAKTSCKSDEGEFLKGLKILTSLRHGNLVRLRGFCCSKGRGECFLIYDSISNGSLLKYLDVKRNSDKVLDWSTRVFIIRGIAKGIDYLHRKKGSKHGIVHQNISAENVLLDAGYKALIADSGMHKLLEDDVVFSALKASAAMGYLPPEYTKTGRLTEKSDVYAFGVIVFQLLTGKRDITQFNCQSGSLKDIVDENLEGMFSESEATKLRRVAVLCTHESPHLRPYMDTLMPELDDNE >Vigun05g155700.1.v1.2 pep primary_assembly:ASM411807v1:5:25169617:25172977:-1 gene:Vigun05g155700.v1.2 transcript:Vigun05g155700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMILLKLLLFLLAWPSSPSHSTSMTQLDTLLAIRDSLDPENRVLLSWTPHSDPCSSASFEGVACNEQGLVTNISLQGKGLNGRLPEALAALKSLTGLFLHFNALNGIFPKEIATLTELSDLYLNVNNLSGDIPREIGNMSNLQVLQLCYNKFSGSIPTELGRLRKLSVVALQYNQLNSAIPASLGEVGTLARLDLSFNNFFGPIPVTLANAPKLHTLNIRNNSLSGRVPTALRRLKGGFQYMNNPGLCGTGFADLHSCKEVSTSEPVRPEPYQPGDISSKDLPASMEPHRESCRGDHCKRTSKSSKIGLVFTVISLVFASTVAGLLLLFWRRSQIQKIGTSDTRLKQVCKKSAFPLISLEYSDSWDPLDKGVSGYSQEFLESFMFNLEEVERATQIFSEVNLLGKSDSSAVYRGFLRDGSAVTVKCIAKTSCKSDEGEFLKGLKILTSLRHGNLVRLRGFCCSKGRGECFLIYDSISNGSLLKYLDVKRNSDKVLDWSTRVFIIRGIAKGIDYLHRKKGSKHGIVHQNISAENVLLDAGYKALIADSGMHKLLEDDVVFSALKASAAMGYLPPEYTKTGRLTEKSDVYAFGVIVFQLLTGKRDITQFNCQSGSLKDIVDENLEGMFSESEATKLRRVAVLCTHESPHLRPYMDTLMPELDDNE >Vigun03g029300.1.v1.2 pep primary_assembly:ASM411807v1:3:2188436:2191807:-1 gene:Vigun03g029300.v1.2 transcript:Vigun03g029300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLQSKACQASQFVAKHGSSYYRQLLEQNKQYIQEPPTVEKCNLLSKQLFYTRLASIPGRTESFWKELDYAKNLWKNRKDLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >VigunL081900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:19293:20804:-1 gene:VigunL081900.v1.2 transcript:VigunL081900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYQAYLELRRSRYQDILYPLFFLESIYGLAYAHESFFIENVDYNNKFSLLIVKRLSTRMYQQIHFILFVNDSKKNTFVGYNYHFFSQIILEGFGIVVEILFSLQLFSSSLRGLEIVKSYKNFQSIHSIFPFFEDQLIYLNHKSDIRIPYPIHLEILVQILRYSIKDVSFFHLIRLFFYYYYNWNSLFPPKKWIFTFFSKRNRRIFLFLYNLYVWEYESIFLFLRNKSSQLQLKHFRVFFERIFFYEKIKHLVEVSTKNCSYTFFFFKDTFIHYVRYQGKSILVLKNTPFLINKWKYYFIYLWQCHFDIWAGLETIYINELSQYSFHFLGYFLSIPLNLSVVRSQMLQNSFLIKIVIKKLDTIVPIIPLMRSLAKTKFCNVMGHPISKPVWANLSDFDIIDRFLRICRNFSHYYNGSAKKKSFYQIKYILRFSCIKTLARKHKSTVRIYLKKLSSEKLLEEFFTEEDLFSLIFPRTSFTLRRFYRGRIWYLDILLRNDFVNYL >Vigun07g230500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:35241648:35244033:1 gene:Vigun07g230500.v1.2 transcript:Vigun07g230500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLGQNEGSQPCPQGCCPTLLFNPAPPQSQNTTTKPRNSSAECRHSFVATTSSYIFPNTKFTNHESLPSLHESFGEFKKVYPQYSETDQVDHLRAKEYYHLSFSNQSCLDYIGIGLFSYYQRQHHHDTSKTQLASSSTPLSPPQYSDIPFFSISYKTGNLKTLLLHGGQESEFESAMKRRIMKFLNISENDYFMVFTANRTSAFKLVADSYPFQSSKKLLTVYDYESEAVEAMISCSEKRGAKAMSAEFSWSRLRIQSTKLRKMIVSKRKKKKKRGLFVFPLHSRVTGARYPYLWMSIAQENGWHVLIDACALGPKDMDSFGLSLFRPDFLICSFYKVFGENPSGFGCLFVKKSAITTLESSSCAGIVNLVPDRFLRQSSEDKCSSGNCKQKPLSSLQDQELSSLNSFSGRIQTSQALKVEEESCELQVMVAPAEAKGGSGSVEAKEVVERHQNKKAQDGENGGFNIECRCLDQVDSLGLTMITNRTRYLINWLVNSMMKLKHPNAAGEPLVKIYGPKVKFDRGPALAFNVFDWKGEKVEPVLVQKLADRNNISLSYGFLHHIWFADKYSEDKGKVLQTKEGRGQGLTSNKKKDRDKLGVTVVTAALSFLANFEDVYKLWTFVARFLDADFVEKERWRYTALNQKTIEV >Vigun07g023200.2.v1.2 pep primary_assembly:ASM411807v1:7:1978552:1986314:-1 gene:Vigun07g023200.v1.2 transcript:Vigun07g023200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCELTPYVLLRRPDKSISTDDVPETAPLDGHFLRYKWYRVQSDKKVAVCSIHPSEQATLQCLGCVKAKIPLSKSYHCTTKCFSDAWQHHRVLHDRAASALNENGNEEEEVFGRFNSTGSGTLSASASSASLTNGSATNLYPAAVTQRSGETWFEVGRSKTYTPTADDVGHVLKFECVVVDAETKLPAGPVNTILTSRVIPAPSPIPRRQIRVDGVGHLDVDARMTSSGTFTVLSYNILSEAYASSDLYNYCPSWALSWPYRRQNLLREIVGYRADIICLQEVQSDHYDEFFSPELDKHGYYGLYKRKTNEVYSSNTNTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEATIPTTQKKSALNRLVKDNVALIVVLEAKVNNQPFDNPGKRQLLCVANTHVNVPQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLAVDPLNILRPHSKLVHQLPLVSAYSSFARTVSLGYDHHKRRLDGGTNEPLFTNVTRDFIGTLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCCKNKSRR >Vigun07g023200.1.v1.2 pep primary_assembly:ASM411807v1:7:1978513:1986391:-1 gene:Vigun07g023200.v1.2 transcript:Vigun07g023200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCELTPYVLLRRPDKSISTDDVPETAPLDGHFLRYKWYRVQSDKKVAVCSIHPSEQATLQCLGCVKAKIPLSKSYHCTTKCFSDAWQHHRVLHDRAASALNENGNEEEEVFGRFNSTGSGTLSASASSASLTNGSATNLYPAAVTQRSGETWFEVGRSKTYTPTADDVGHVLKFECVVVDAETKLPAGPVNTILTSRVIPAPSPIPRRQIRVDGVGHLDVDARMTSSGTFTVLSYNILSEAYASSDLYNYCPSWALSWPYRRQNLLREIVGYRADIICLQEVQSDHYDEFFSPELDKHGYYGLYKRKTNEVYSSNTNTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEATIPTTQKKSALNRLVKDNVALIVVLEAKVNNQPFDNPGKRQLLCVANTHVNVPQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLAVDPLNILRPHSKLVHQLPLVSAYSSFARTVSLGYDHHKRRLDGGTNEPLFTNVTRDFIGTLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCCKNKSRR >Vigun05g087200.1.v1.2 pep primary_assembly:ASM411807v1:5:8280011:8280850:1 gene:Vigun05g087200.v1.2 transcript:Vigun05g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVLQVTSVVLVLILGFGEIIPLAEAEIPCGSVQITVAPCVAYLTGPAGRPIPAPCCNGVKRINDQAKSTPDRRSVCTCLKNTSLRIPGLNLPRLAALPTNCGVNLPYKISPNIDCNKVT >Vigun08g049000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:5477561:5480081:1 gene:Vigun08g049000.v1.2 transcript:Vigun08g049000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLFFFIYYSFITHKLKIAFFQVSIFTHQTMFGNHHFLLFIFFTTFSFFSPNHSLFFNITNFDDPTTSSDISYQGDGRSTNGSIDLNKVSYYFRVGRALYSKPLHLWDPSSNVVTDFVTRFTFSIDRVNNSETFYADGFAFYLAPLGYQIPPNSAGGTFALFNATTNSDLPENHVFAVEFDTFIGSTDPPMKHVGVDDNSLTSVAFANFDIDKNLGKKCHALITYTASSQTLFVAWSFKGIPTAKDNDNNSSLSYQVDLKKILPEWVNIGFSASTGLYTEHNVIYSWEFNSTLKDSSVDNGVKLNHKGSKVVLIVAVLCPLVLLFVAASVFVFVLIRKKRRMDDCMLYDAGDDEVGPTSVKFDLDRGTIPRRFEYKELVDATNGFSDERRLGQGASGQVYKGVLSYLGRVVAVKRIFADFENSERVFINEVRIISRLIHKNLVQFIGWCHEEGEFLLVFEYMQNGSLDSHLFGNKRMLQWRARYKIALGVATALHYLHEDAEQCVLHRDIKSANVLLDMEFNTKVGDFGMAKLVDPRLRTQRTGVVGTYGYLAPEYVNVGRASRESDMYSFGVVALEIASGRRTYQDGEFHVSLMNWVWQLYVEGEILRAADEKLCEEFDENEMRSLLVVGLWCTNPNDKERPKAAQVIKVLQLEAPLPFLPLDMYERAPPMQVIRMPHHHSNNHHSGQSSPVTNSLVSVGR >Vigun01g222000.1.v1.2 pep primary_assembly:ASM411807v1:1:39538972:39542227:-1 gene:Vigun01g222000.v1.2 transcript:Vigun01g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEMEKGKESEEKWVHDASVDYKGRVPLRASTGVWKASFFVLARHDLVILFQSGIAIEFSERLSYFGIANNLISYLTKVMHEDLKTAAKNVNYWAGTTTLMPLVGGFIADAYTGRFVMVLFSAFVYLMGLSLLTMSQFIPSIKACNTKICQQPRKIHEVVFFLSLYCISLGTGGYKPCLESFGADQFDDDHLEERKKKMSFFNWWNFALCFALLLGATVVVYVQDFVSWGVACLAITIIMAITIIAFCVGKSFYRYRRTEGNPFTPILQVLIAAVRKRNLSCPSNPALLYEVPESERSHGRLLKHTSRLRFLDKAAIIEDKHAGRKESPWRLVTVTRVEETKLVLNLVPIWLTSLTVGICVAQGTTLFVKQAAATNLKITEKFKIPAASMGSFSAIAILIAVPIYDRIVVRILRKVTGNERGINILKRISIGMTLCVVLMVVAALVEAKRLRMAASHQTMSVLWLIPQYLILGLGDSFSIVGLQEYFYEEVPDSMRSLGMALYLSVLGVGFFLSSFLIIVVDHVTGKNGESWIGKDINSSRLDKFYWMLGVINALVLCLFMLVTKRYTYKTIERRGMDKDCHKIDEVEMVG >Vigun04g043901.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:3771020:3772037:1 gene:Vigun04g043901.v1.2 transcript:Vigun04g043901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSASSYRHWLEAQIGLVPAAGSSSQLEIHSRLHLIMSKKHEVNPLNKDDALQLLTWKAFKMEQVDASYVEVLNHAVTYASGLPLALEVIGSNLAGKSVEKWKSAINQYKRIPNNQIIEILKVSFEGLEREEKSVFLDIACCFKGYALREVEDILGAIYDDCMKHHISVLVDKSLIKIGLRSTVEIHDLIEEMGRQIDQQESPEGSGKRRRIKCWE >Vigun09g009500.1.v1.2 pep primary_assembly:ASM411807v1:9:738728:740073:1 gene:Vigun09g009500.v1.2 transcript:Vigun09g009500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAYDDENSSTLPPAILYKALTKDSDSIIPKVIPVIQSIQIVEGNGGPGTIKKLTAIEGSETSYVLQKIDAVDEANLGFDYSIVGGPGLHESLEKITLQTKVVPGPDGGSISKVAVKYHTKGDAPLLEAVLEESKARGTGFFKAVEGYVLANPAQY >Vigun02g130300.2.v1.2 pep primary_assembly:ASM411807v1:2:28137695:28140062:-1 gene:Vigun02g130300.v1.2 transcript:Vigun02g130300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPGTPFPFPQPAREFEILLGEWWHNDVEAVETQGNQMGLPPNMSDAHTINGKPGPLFPCSEKHTYAMEVEQGKTYLLRIINAALDDELFFAIAGHNMTVVEVDAVYTKPFTTQAILIAPGQTTNVLVRANQVAGRYFMATRTFMDAPIPVDNKSATAIFQYKGIPNTVLPLLPPLPAANDTRFALSYNKKLRSLNSPQYPANVPLRVDRNLFYTIGLAKNSCPTCVNGTRLLASLNNVSFVMPRTALLQAHYFNIKGVYRTDFPDKPATTFNYTGAPLTANLGTLTGTRISKVPFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDLAKDPLKYNLVDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFLVEDGPGQDQSVVPPPKDLPTC >Vigun02g130300.1.v1.2 pep primary_assembly:ASM411807v1:2:28137695:28140621:-1 gene:Vigun02g130300.v1.2 transcript:Vigun02g130300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSSHKSLLMILFGFLGLFSFTVEAATKKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVQVNVTNHAKYNMSIHWHGIKQYRNGWADGPAYITQCPIQTGSSYTYDFNVTEQRGTLWWHAHILWLRATVYGAIVIMPKPGTPFPFPQPAREFEILLGEWWHNDVEAVETQGNQMGLPPNMSDAHTINGKPGPLFPCSEKHTYAMEVEQGKTYLLRIINAALDDELFFAIAGHNMTVVEVDAVYTKPFTTQAILIAPGQTTNVLVRANQVAGRYFMATRTFMDAPIPVDNKSATAIFQYKGIPNTVLPLLPPLPAANDTRFALSYNKKLRSLNSPQYPANVPLRVDRNLFYTIGLAKNSCPTCVNGTRLLASLNNVSFVMPRTALLQAHYFNIKGVYRTDFPDKPATTFNYTGAPLTANLGTLTGTRISKVPFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDLAKDPLKYNLVDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFLVEDGPGQDQSVVPPPKDLPTC >Vigun11g113200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:31596109:31597542:1 gene:Vigun11g113200.v1.2 transcript:Vigun11g113200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGKHEGVGEEKLIDNKIDKFLNRLSCAAITIASLTLLFLFLRTPNTCVPGRALAKPHLHFPKSTCDFTTRLHVPTEKRNLRLHSTRLWKVKVHSFSVLFRDLQILHNHSHVLCISAGAGHEVEALHRLGVEEVTGVEILESPPLVGRADPHNLPFFDGAFDLAFTARFDDALFPARFAAEMERVVRSGGACCVLVAECGDDEVREVVGLFRNSKLVRSSNVSLSGTRMTSILMRTSDNTS >Vigun05g187933.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:36414270:36414443:-1 gene:Vigun05g187933.v1.2 transcript:Vigun05g187933.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLHLGHVAKSFALKRQPFLVAQSFQKQAMKRKRFEKKSGVSKKRKVASVAERRP >Vigun11g020100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:2517960:2518361:1 gene:Vigun11g020100.v1.2 transcript:Vigun11g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLETLGGALFGVVLQLLFDKLDSHQVLGYFRQRDLDEKLLKKLKRKLMDVNAVIDDAEQKQFSNSLVKEWLDEVRDALYDAEDLLEQINYEFSKTELKVDFKTTPSKVRSFESKMKDVLDDLESILNQKIV >Vigun08g101800.1.v1.2 pep primary_assembly:ASM411807v1:8:25179213:25181402:1 gene:Vigun08g101800.v1.2 transcript:Vigun08g101800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQNNHKMLNFQKLSLLLTLLFLVVKPATAKNLCADKCGHVRIEFPFYLRNKNLNHTTDYPPEFGLLCSQQNEPMLKLPAVPIPLFVRKIDYKSTLIEIYDPQNCLADLLLKLNNASISPFRFVKYFGRPPPVFDVSFFRCDSLSCPISLLESHNDVVDPRLVSCTKLKDVSVEWWWSWYFQQKLFMEWSKPDCGYCEAQGLKCKWKNGTNGATECYACRTKRIPTSTVVHIATGVVVGLILLLLLVKALFYAYEYYEKRGEDQTRIERFLEDYRAMKPTRFTYADIKRITNGFSEGLGEGSHGAVFKGMLSREILVAVKILKDTVGDGKDFINEVRAIGKIHHVNIVRLLGFCADEFHRALVYDFFPNGSLQRFLAPPDKKDVFLGWEKLQQISLGIGRGIEYLHLGCDHRILHFDINPHNVLLDDLFVPKITDFGLAKLCPKNQSTVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTNVSVQDSFQILYPEWIHNLVEGKDMEISVEDEGDAKIAKKLAIVGLWCIQWNPADRPSMKTVVQMLEGDGHELVAPPSPFHISGSSTAKTVVPTRRQNFELEVIHEIEENNVDHF >Vigun08g218400.2.v1.2 pep primary_assembly:ASM411807v1:8:37805353:37807561:1 gene:Vigun08g218400.v1.2 transcript:Vigun08g218400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANVLLLLLTFSCTAQSKVIDIKKFGINKDITQAFTKAWEEACASTSASKILISSGTHKMNAVKVKGPCKAAVEIQVDGTIQAPKDPYALKDAYEWIKIEYVNYFTLSGKGTFDGQGALAWNNNCRATHQNCKRHSLNFGFNFLNNSIVRDITSKDSKNFHVHVLGCNNFTFDNFHISAPKTSINTDGIHIGRSTDVKVLNSNIATGDDCVSLGDGSRNVTIKNVNCGPGHGISVGSLGKFTEEEPVENLLVKNCTMTGTDNGVRIKTWPSTPGATTVSDIHFEDITMVNVLHPVIIDQEYCPWNRCSKKIPSKVKIRKVTFKNIRGTSERKEGVTLICSKSVPCEGVELSDVSLAYNGAPIVAKCVNVKPKITGKAPTCSS >Vigun08g218400.3.v1.2 pep primary_assembly:ASM411807v1:8:37805353:37807562:1 gene:Vigun08g218400.v1.2 transcript:Vigun08g218400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFKHQKTLMHSKMLMNGSKLNMLTISPYLVKVPLMVKVHLLGIITVEQHTRTNFGFNFLNNSIVRDITSKDSKNFHVHVLGCNNFTFDNFHISAPKTSINTDGIHIGRSTDVKVLNSNIATGDDCVSLGDGSRNVTIKNVNCGPGHGISVGSLGKFTEEEPVENLLVKNCTMTGTDNGVRIKTWPSTPGATTVSDIHFEDITMVNVLHPVIIDQEYCPWNRCSKKIPSKVKIRKVTFKNIRGTSERKEGVTLICSKSVPCEGVELSDVSLAYNGAPIVAKCVNVKPKITGKAPTCSS >Vigun07g255700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37361645:37363036:-1 gene:Vigun07g255700.v1.2 transcript:Vigun07g255700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGEDETIVSTAKEQPVEVMNGEKDNYGSEDDNPKEWLNLNIGGTSLSTFGDPDFQSRPATAKVFSCNFCMRKFFSSQALGGHQNAHKRERGAARRYQSQRSMAMMGFSMNTPTMLRSLGVQPHSLVHKPCRGGTVVAPSFHDARARLGMAWTPFSSEDQRDLVWPGSFRLVPQQPHPSQEPLKLDLDLRL >Vigun10g088900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25597582:25603620:-1 gene:Vigun10g088900.v1.2 transcript:Vigun10g088900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFLDVLFERLASPELVSLIRGKKPDKLLQKVENQLIVLRVVLADAENRQITDSNVKKWLDVLIDVVYEVDDLLDEVSTKAATQKEVSNSFSHIFNRKTIVSISKLEDIAERLDEILKQKESLNLKEIPVESNQPWKPQPTSLEDRYGMYGRDADKEAIMKLLLEDSIDGEEVSVIPIVGMGGVGKTTLARSVYNDGKLTQIFDLKTWVCVSDIFDTAKVTKTMIEEITKMPCNLNDLNLLQLELMDKLKGKRFFIVLDDVWIEDSDNWNSLTKPFLSGIRGSKVLVTTRNESVAAVVPFHVVKVYHLNQLSNEDCWLVFANHAFPFSEETENRETLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHAIRDWNNVLESDIWELPESQCKIIPALRISYNHLPPHLKRCFVYCSLYPKDYEFQKNELILRWMAEDLVKAPRKEKTLEEVGQEYFDDLVSRSFFQCSRIQSPGYFVMHDLMHDLATFLGGEFYFRANELGKETKIDRKTRHLSFGRFSDPVSDIEAFETAKFPRTFLPINYKESPFNNEKAPHIIVSMLKYLRVLKFGDYKSKLALPDSIGELIHLRYLDLSYTTIAMLPESLCNLYNLQTLKLRSCLSLTKLPRDMQNLVNLRHLQIYGTPISEMPKRMGKLNHMQKLDFYVVGKHKENSIKELGGLPNLHGYFNIKKLESVSEGEEALEARIMDKKHITNLLLEWSVPNDDIIDFQNELDVLDKLQPHYDLKWLSIIGYKGTRFPEWVGTFSYQHIINLYFKNCNNCSKLPSLGHLPSLKVLRISDMNSLKTIDVGFYKKDDFSSMTPFPSLESLFINNMPCWEVWSAFDSEAFPVLKELDIENCPKLRGDLPDHIPALKILTIRNCELLVSSVPGAPALQTLNISKSNKLAFHVFPILVERIEIEGRPMVESVMEAITNIQPTSLRHLSLEDCSSSISFPGDRLPASLKTLYIMSLSELKFPVLEKHELLESLTIIDSCDSLKSLSLVIFPNLTSLKINNCENMESLSISGSESLKSLDLFEIAQCPNFVSFPREGMSAPNLTRFIVYDCDKLKSLPDHMGTLFPKLEYLRITNCQQIECFPTGVMPSNLRTIEIGNCEKLLSDLRWISMDMVTSLNVLGPCDGINSFPMEGVLPPSLTSLHLFGFSSLEMLECKGLLHLKSLQELGIFNCKNLQNISGERLPVSLTKLSIAKCPLLQKRCNRKDRQIWPKICHVRGINIDRRWI >Vigun10g088900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:25597582:25603620:-1 gene:Vigun10g088900.v1.2 transcript:Vigun10g088900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFLDVLFERLASPELVSLIRGKKPDKLLQKVENQLIVLRVVLADAENRQITDSNVKKWLDVLIDVVYEVDDLLDEVSTKAATQKEVSNSFSHIFNRKTIVSISKLEDIAERLDEILKQKESLNLKEIPVESNQPWKPQPTSLEDRYGMYGRDADKEAIMKLLLEDSIDGEEVSVIPIVGMGGVGKTTLARSVYNDGKLTQIFDLKTWVCVSDIFDTAKVTKTMIEEITKMPCNLNDLNLLQLELMDKLKGKRFFIVLDDVWIEDSDNWNSLTKPFLSGIRGSKVLVTTRNESVAAVVPFHVVKVYHLNQLSNEDCWLVFANHAFPFSEETENRETLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHAIRDWNNVLESDIWELPESQCKIIPALRISYNHLPPHLKRCFVYCSLYPKDYEFQKNELILRWMAEDLVKAPRKEKTLEEVGQEYFDDLVSRSFFQCSRIQSPGYFVMHDLMHDLATFLGGEFYFRANELGKETKIDRKTRHLSFGRFSDPVSDIEAFETAKFPRTFLPINYKESPFNNEKAPHIIVSMLKYLRVLKFGDYKSKLALPDSIGELIHLRYLDLSYTTIAMLPESLCNLYNLQTLKLRSCLSLTKLPRDMQNLVNLRHLQIYGTPISEMPKRMGKLNHMQKLDFYVVGKHKENSIKELGGLPNLHGYFNIKKLESVSEGEEALEARIMDKKHITNLLLEWSVPNDDIIDFQNELDVLDKLQPHYDLKWLSIIGYKGTRFPEWVGTFSYQHIINLYFKNCNNCSKLPSLGHLPSLKVLRISDMNSLKTIDVGFYKKDDFSSMTPFPSLESLFINNMPCWEVWSAFDSEAFPVLKELDIENCPKLRGDLPDHIPALKILTIRNCELLVSSVPGAPALQTLNISKSNKLAFHVFPILVERIEIEGRPMVESVMEAITNIQPTSLRHLSLEDCSSSISFPGDRLPASLKTLYIMSLSELKFPVLEKHELLESLTIIDSCDSLKSLSLVIFPNLTSLKINNCENMESLSISGSESLKSLDLFEIAQCPNFVSFPREGMSAPNLTRFIVYDCDKLKSLPDHMGTLFPKLEYLRITNCQQIECFPTGVMPSNLRTIEIGNCEKLLSDLRWISMDMVTSLNVLGPCDGINSFPMEGVLPPSLTSLHLFGFSSLEMLECKGLLHLKSLQELGIFNCKNLQNISGERLPVSLTKLSIAKCPLLQKRCNRKDRQIWPKICHVRGINIDRRWI >Vigun10g088900.4.v1.2 pep primary_assembly:ASM411807v1:10:25597581:25603621:-1 gene:Vigun10g088900.v1.2 transcript:Vigun10g088900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVGGALLSAFLDVLFERLASPELVSLIRGKKPDKLLQKVENQLIVLRVVLADAENRQITDSNVKKWLDVLIDVVYEVDDLLDEVSTKAATQKEVSNSFSHIFNRKTIVSISKLEDIAERLDEILKQKESLNLKEIPVESNQPWKPQPTSLEDRYGMYGRDADKEAIMKLLLEDSIDGEEVSVIPIVGMGGVGKTTLARSVYNDGKLTQIFDLKTWVCVSDIFDTAKVTKTMIEEITKMPCNLNDLNLLQLELMDKLKGKRFFIVLDDVWIEDSDNWNSLTKPFLSGIRGSKVLVTTRNESVAAVVPFHVVKVYHLNQLSNEDCWLVFANHAFPFSEETENRETLEKIGKEIVKKCNGLPLAAQSLGGMLRRKHAIRDWNNVLESDIWELPESQCKIIPALRISYNHLPPHLKRCFVYCSLYPKDYEFQKNELILRWMAEDLVKAPRKEKTLEEVGQEYFDDLVSRSFFQCSRIQSPGYFVMHDLMHDLATFLGGEFYFRANELGKETKIDRKTRHLSFGRFSDPVSDIEAFETAKFPRTFLPINYKESPFNNEKAPHIIVSMLKYLRVLKFGDYKSKLALPDSIGELIHLRYLDLSYTTIAMLPESLCNLYNLQTLKLRSCLSLTKLPRDMQNLVNLRHLQIYGTPISEMPKRMGKLNHMQKLDFYVVGKHKENSIKELGGLPNLHGYFNIKKLESVSEGEEALEARIMDKKHITNLLLEWSVPNDDIIDFQNELDVLDKLQPHYDLKWLSIIGYKGTRFPEWVGTFSYQHIINLYFKNCNNCSKLPSLGHLPSLKVLRISDMNSLKTIDVGFYKKDDFSSMTPFPSLESLFINNMPCWEVWSAFDSEAFPVLKELDIENCPKLRGDLPDHIPALKILTIRNCELLVSSVPGAPALQTLNISKSNKLAFHVFPILVERIEIEGRPMVESVMEAITNIQPTSLRHLSLEDCSSSISFPGSESLKSLDLFEIAQCPNFVSFPREGMSAPNLTRFIVYDCDKLKSLPDHMGTLFPKLEYLRITNCQQIECFPTGVMPSNLRTIEIGNCEKLLSDLRWISMDMVTSLNVLGPCDGINSFPMEGVLPPSLTSLHLFGFSSLEMLECKGLLHLKSLQELGIFNCKNLQNISGERLPVSLTKLSIAKCPLLQKRCNRKDRQIWPKICHVRGINIDRRWI >Vigun07g276500.1.v1.2 pep primary_assembly:ASM411807v1:7:39180297:39198753:1 gene:Vigun07g276500.v1.2 transcript:Vigun07g276500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRPGSNTPQPPPPNYVPNIRGTPDALADNMHNLNLNRPPMTSNPVSRPPPFGQPPPFPSSASSPGFPGSSPPFSRPGPPPGAMVRPAGPPTGQPLPAFPPNVAPGRPTGPPPGQPPSFVSRPPPGSLPPAVSGVAPVSGVPPPGGSPQIRPLVPPQATPGARPIPGPFSSPPMSAPPAVVPTSASGNFMNNGPPVFSAGALAGPQRFPAAGVTQPPVGPPPTMRAPPGPAGPQPPYPMASQGIMQPPSSPFGAPSWQMQSQQVAPPPPVPGPSQPPRMFGMPPPLPNQSMTTTISPAVGQTGAPMAGPSKIDPNQIPRPTPGSSVILHETRQGNQATIPPPATSDFIVRDTGNCSPRYMKCTINQVPFTADLLATSGMQLAMLVQPLALPHPSEEPIQVVDFGESGPVRCSRCKAYINPFMKFIDQGRRFICNLCGFSDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEFMVREPMPAVYFFLIDVSMNAVQTGATAAACSAISQVISDLPEGPRTFVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVPLSECREHLQLLLESIPTMFQNNRTSESAFGAAIKAAFLAMKDTGGKLLVFQSVLPSIGIGALSAREAEGRTNISAGEKEAHKLLQPADKTFKELAVEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSALSDTAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYYGNFCKRIPTDVDLPGIDCDKNFMVTLKHDDKLQDGSECAFQCALLYTTLYGQRRIRVVTLSLPVTSMLSNLFRAADLDTQFCCFLKQAANEIPSKPLPLVREQVTNLCINALFSYRKFCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTEGKIDERSFWINYVSSISVPLAIPLVYPRMVAIHDLESKQEDEDSVIPAFLPLSSEHISDDGIYLLENGHDCLIYVGDSVNHDIVRKLFGVATIDEVTTLFVLQQYDNPLSKRLNEVVNEIRRQRCSYLRLKLCRKGDPSGMLFFSYMIEDKSAGGFSYVEFLIHVHRQIQNKMTS >Vigun07g276500.2.v1.2 pep primary_assembly:ASM411807v1:7:39180297:39198753:1 gene:Vigun07g276500.v1.2 transcript:Vigun07g276500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRPGSNTPQPPPPNYVPNIRGTPDALADNMHNLNLNRPPMTSNPVSRPPPFGQPPPFPSSASSPGFPGSSPPFSRPGPPPGAMVRPAGPPTGQPLPAFPPNVAPGRPTGPPPGQPPSFVSRPPPGSLPPAVSGVAPVSGVPPPGGSPQIRPLVPPQATPGARPIPGPFSSPPMSAPPAVVPTSASGNFMNNGPPVFSAGALAGPQRFPAAGVTQPPVGPPPTMRAPPGPAGPQPPYPMASQGIMQPPSSPFGAPSWQMQSQQVAPPPPVPGPSQPPRMFGMPPPLPNQSMTTTISPAVGQTGAPMAGPSKIDPNQIPRPTPGSSVILHETRQGNQATIPPPATSDFIVRDTGNCSPRYMKCTINQVPFTADLLATSGMQLAMLVQPLALPHPSEEPIQVVDFGESGPVRCSRCKAYINPFMKFIDQGRRFICNLCGFSDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEFMVREPMPAVYFFLIDVSMNAVQTGATAAACSAISQVISDLPEGPRTFVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVPLSECREHLQLLLESIPTMFQNNRTSESAFGAAIKAAFLAMKDTGGKLLVFQSVLPSIGIGALSAREAEGRTNISAGEKEAHKLLQPADKTFKELAVEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSALSDTAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYYGNFCKRIPTDVDLPGIDCDKNFMVTLKHDDKLQDGSECAFQCALLYTTLYGQRRIRVVTLSLPVTSMLSNLFRAADLDTQFCCFLKQAANEIPSKPLPLVREQVTNLCINALFSYRKFCATVSSSGQLILPEALKLLPLYTLALTKSTGLRTEGKIDERSFWINYVSSISVPLAIPLVYPRMVAIHDLESKEDEDSVIPAFLPLSSEHISDDGIYLLENGHDCLIYVGDSVNHDIVRKLFGVATIDEVTTLFVLQQYDNPLSKRLNEVVNEIRRQRCSYLRLKLCRKGDPSGMLFFSYMIEDKSAGGFSYVEFLIHVHRQIQNKMTS >Vigun06g007435.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:3780486:3780677:-1 gene:Vigun06g007435.v1.2 transcript:Vigun06g007435.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILSIDVGSLFKITAIPFRAAVERTAAYRW >Vigun04g072800.1.v1.2 pep primary_assembly:ASM411807v1:4:8556053:8559690:-1 gene:Vigun04g072800.v1.2 transcript:Vigun04g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVIPRRIFKGSRYNTSTKPLNTVHPSLTPAITQPALFEKPPKAPAEAALGIDDAERLFASVSTGRLLRSAAMLHATAVGPMVDLGMWVTRSPLFQSGLPRDLVMYATRETFFSHFCAGEDAVTASKSIGALNDAGLRGMLVYGVEDAHDNEGCDRNLNGFLDTVTVSKSLPPSSVSFNIVKITAICPMALLERLSDLLRWQQKDPSFVFPWKQDSLPIFAETSPLYHTQKRPEPLTPEEESDLQLANQRLLQLCQVCEEANMPLLVDAEHTAVQPAIDYFTYAAAIKHNKGSNPIVFGTIQTYLKDAKDRLLLTTKAAEKMGVPMGFKLVRGAYMSTESKLAESFGHASPIHTTIQDTHNCFNDCASFMLERVANGPDSVVLATHNIESGKLAVAKAHELGVGKVKNKLEFAQLYGMSEALSYGLSNAGFQVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLMRKELGRRLKAAVF >Vigun05g271500.2.v1.2 pep primary_assembly:ASM411807v1:5:46278661:46280912:1 gene:Vigun05g271500.v1.2 transcript:Vigun05g271500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSASENSCQIPWHATSYTILMSIFSALCIIVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLAHYADPGYSNLMSSSYGPQSKTVEETAPIRIPLQLDVKEEPIYVNSKQYHAILRRRLYRAKLEVQNKPIKYRKPYLHESRHLHAVKRARGAGGRFLNTKKLQQSTRKHGNTAESNMHQIENYRDGDNATYASNSGARNMQNYTSDKGGGGTTQHPLFVYM >Vigun05g271500.1.v1.2 pep primary_assembly:ASM411807v1:5:46277696:46280912:1 gene:Vigun05g271500.v1.2 transcript:Vigun05g271500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSSWGTSESRSLSLEVDFLPQQCHRSKTLSFQFQEQDSSSIHSGQSSTVEMSVQHSSIGSTLGTTEGCVIRSFMQNQDFTFPPPLLDQSQTLAHYADPGYSNLMSSSYGPQSKTVEETAPIRIPLQLDVKEEPIYVNSKQYHAILRRRLYRAKLEVQNKPIKYRKPYLHESRHLHAVKRARGAGGRFLNTKKLQQSTRKHGNTAESNMHQIENYRDGDNATYASNSGARNMQNYTSDKGGGGTTQHPLFVYM >Vigun03g432400.1.v1.2 pep primary_assembly:ASM411807v1:3:63655836:63663648:1 gene:Vigun03g432400.v1.2 transcript:Vigun03g432400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLTSSSSSSSSSWFFGIVRPGRSSSLKMSTNSSAVAASTDTGGPVVRRNQFRGVLFKYGPKPIQVAFKTGDFKRQVIFIGGLTDGFLATSYLEPLAIALDRENWSLVQFLMSSSYSGYGTSSLQQDAKELDQLINFLINKEESEGVALLGHSTGCQDIVHYMRTNYACSRAVRAAIFQAPVSDREYNATLPHTASMIDLAAKMISEGRGLELMPMEADPSAPITAYRYHSLCSYNGDDDMFSSDLSEDQLRMRLGHMSNTHCQVIFSMSDEYVPDYVDKKALVQRLCGAMGGAEKVEIEYGNHSLSNRVEEAVDAIIDFLKREGPKGWDDPWS >Vigun05g261900.2.v1.2 pep primary_assembly:ASM411807v1:5:45443007:45443968:1 gene:Vigun05g261900.v1.2 transcript:Vigun05g261900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLSPAGLDKEQIFGIAEKEMEYRVELFNKITHSCFNKCVDNRYKESELNMGENSCTDRCVSKYWQFSCVC >Vigun05g261900.3.v1.2 pep primary_assembly:ASM411807v1:5:45442832:45444187:1 gene:Vigun05g261900.v1.2 transcript:Vigun05g261900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLSPAGLDKEQIFGIAEKEMEYRVELFNKITHSCFNKCVDNRYKESELNMGENSCTDRCVSKYWQVTNLIGQLLGSGKHPM >Vigun05g261900.1.v1.2 pep primary_assembly:ASM411807v1:5:45442545:45444730:1 gene:Vigun05g261900.v1.2 transcript:Vigun05g261900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLSPAGLDKEQIFGIAEKEMEYRVELFNKITHSCFNKCVDNRYKESELNMGENSCTDRCVSKYWQVTNLIGQLLGSGKHPM >Vigun05g261900.4.v1.2 pep primary_assembly:ASM411807v1:5:45442832:45444187:1 gene:Vigun05g261900.v1.2 transcript:Vigun05g261900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLSPAGLDKEQIFGIAEKEMEYRVELFNKITHSCFNKCVDNRYKESELNMGENSCTDRCVSKYWQFSCVC >Vigun09g135400.1.v1.2 pep primary_assembly:ASM411807v1:9:29595972:29604893:1 gene:Vigun09g135400.v1.2 transcript:Vigun09g135400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSPNLHSFQTCVLQADRILKQMIIMSENSSDNLVSTLIFGVLCLSPDFQKYMNSDLWIILLIYQI >Vigun06g118900.1.v1.2 pep primary_assembly:ASM411807v1:6:24703927:24707921:1 gene:Vigun06g118900.v1.2 transcript:Vigun06g118900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVNATPEVPQNNTIYINNLNEKIKIDELKKSLNAVFTQFGKILEVLAFKTLKHKGQAWVVFEDVSSASNALRQMQGFPFYDKPMRIQYAKTKSDVIAKADGTFVPREKRKRHDDKGKKRKDQHDANLAGMGLNPAYSGAYGATPTIPYPGGAKSMVPEAPAPPNNILFIQNLPNESTPMMLQMLFLQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQSLQGFKITPQNPMLITYAKK >Vigun03g221600.1.v1.2 pep primary_assembly:ASM411807v1:3:36808386:36816004:-1 gene:Vigun03g221600.v1.2 transcript:Vigun03g221600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKKKLRLSKIYSFACCKASFKGDDLQIGGKGYSRVVFCNEPDIFEDGVKNFADNSVRSTKYTLATFFPKSLFEQFRRVANFYFLVTGTLAFTKLAPYTAVSAILPLIIVIGATMVKEGIEDWRRKTQDIEVNNRRVKVHKVGGIFEYTAWKNLRVGNIVKVEKDEFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQGLEVTSSLQEDLNIQKFKATVKCEDPNANLYSFVGSMEFEGKNYALSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTDPPSKRSRIEKKMDKVIYFLFCILFLMAFVGSFFFGIATKDDFQNGLMKRWYLRPDDSTVFFDPKRPAAAALFHCLTALMLYGFFIPISLYVSIEMVKVLQSVFINQDIHMYYKEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGCGATEVEKVMGRRKGSPPIREHDIESEAHNIRGSLDKKTLIKGFNFDDERIRDGHWVNEPHADVIQKFFRVLAVCHTAIPEVNEDTGNVSYEAESPDEAAFLIAARELGFEFYRRGQTSLSTFELDPVSGNKVERKYKLLNVLEFNSSRKRMSVIVEDEAGKILLLCKGADSIMFERLAKNGREFEEKTMEHVHEYADAGLRTLILAYRELGAEEYKEFNNKFSKAKNLVSADQERLIEEVADKIEKNLILLGATAVEDKLQDGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLDSPEIQALEKDGDKMAITKASRQSVLFQISEGAAQLGAYRGSSQKAFALVIDGKSLVYALEDNIKHMFLELAMHCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFLYEVYASFSGQPAYNDWFLSLYNVFFSSLPVVALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWRRILSWMLNGFISALIIFFFCTKAMELQAFDAEGRTAGKDILGVTMYTCVVWVVNLQMALAISYFTMIQHFFIWGSILYWYIFLMVYGAMPPHFTTNAYKVFVEALAPSPSYWLVTFFVVISTLIPYFSYAAIQMRFFPMYHELVQWIRHEGKIKDPEFCDMVRQRSLRPTTVGSTARLAAKTHHVRDRNVKR >Vigun03g065300.1.v1.2 pep primary_assembly:ASM411807v1:3:5405618:5416016:-1 gene:Vigun03g065300.v1.2 transcript:Vigun03g065300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLKPAAKAAYRNAKAAYDIANAEGNHREEARWANVIGDILKNGGVYRKALKWLRIDYEISIKHLPDKHLLPTCQSLGEVYFRLNKFEKALIFQKKHLELARDANDIVEQQRANTQLGRTYHELFTQSDYDHNSIRNAKKYFKCAMDLAVKLKENPPNSKSSFLAEYIDAHNNIGMLEIDLENLHKAQKILITGLEICDEEEVAEFDDGRSRLHHNLGNVYMELRLWDDARKNIKRDIVICNRIGHCQGEAKGYINLGEMHYRTQRYDDAMAYYKKAFGLAKSLEDEKTLVEQIELNIETVKKAVKVMADITKEEQSLKKLKRDIAAARGTSHERKFLLQQNAVLESLVEKARTISALEKLCEFAKEKKKIASELCDRQRLADSYSDVAESYQKLRKFNKAIKWYKKSWQMFKNIGNLEGQARGKINIGNVLDSTNNWRDALDAFQESYSIAVEADLPDLQLSALENMHYSNMIRFDDEDETRRLKLLIDKLKKSKEKEAAAKNMSEDCCSETDTEADETDTEADDYLSNSGSDNICFPKTISRSKALTTGEESEDDAPLMSLYQSIKSSSKNKTGYKESLLNSTKQAEQSPSSLKNQTSDHQTIVSRKRPHVILSDDDDDDDDEVKCSSRKDHDCLVDDLPTYNAIKSKASPCKIQVISEHGSKSAINVEESSSSFKCSSPHTATKTGRHSRSLSNDVVAEANFRTGSKCDTDFSGKQNDISHPRLHHPQNDSQKCIACRIGNDIMHVEATLCTTGDQLNIDSLKAVVACLYYLQFPSEKRSEGLLPIIEHMKCAGRNMESLETVEHLKEVMGNDMVEASVDGWIHKRLIKMYVDCCKELSEVPNIKVLTKLYNLEVSDDEIVVSDCDLQDLSITPLINALHSQKSFAMLDLSHNLLGNGTIEKLQKVFAASGQSYGGLTLDLHCNRFGPTTLFQICECSLLFARLEVLNISGNRLTDACGSYLSTILKNCTALCSLNIENCCVTSRTIQKVADALDSRSALAHLCIGYNSPVSGNATVNLLCKLSTLKRFSELNMSGLKLGKPVVDTLCKLAGSLTLSGLMLGGTGIGTEGANKLVDSLLKGNEELVKLDLSYCGLTCNFVLNTSVNFFCSILELNLEGNPIMPEGSDTLFSLLVNPQCCLKVLVLRKCQLGLTGILHIIEALAENSCIEELNIADNSVPKENSALQYDLSVKSCSQNQEQKLDTMQVDDNQEVLCSLNAANYKLEVADSEDIPVEAAASGIDDSCASSCQRNSSPECHLVQQFAIAIGKAKNLQLLDLSNNGFSAQAAETFYGSWATLRPLSSQKHISKQIVHFSTRENKCCRVKPCCKKA >Vigun03g065300.2.v1.2 pep primary_assembly:ASM411807v1:3:5405618:5416016:-1 gene:Vigun03g065300.v1.2 transcript:Vigun03g065300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLKPAAKAAYRNAKAAYDIANAEGNHREEARWANVIGDILKNGGVYRKALKWLRIDYEISIKHLPDKHLLPTCQSLGEVYFRLNKFEKALIFQKKHLELARDANDIVEQQRANTQLGRTYHELFTQSDYDHNSIRNAKKYFKCAMDLAVKLKENPPNSKSSFLAEYIDAHNNIGMLEIDLENLHKAQKILITGLEICDEEEVAEFDDGRSRLHHNLGNVYMELRLWDDARKNIKRDIVICNRIGHCQGEAKGYINLGEMHYRTQRYDDAMAYYKKAFGLAKSLEDEKTLVEQIELNIETVKKAVKVMADITKEEQSLKKLKRDIAAARGTSHERKFLLQQNAVLESLVEKARTISALEKLCEFAKEKKKIASELCDRQRLADSYSDVAESYQKLRKFNKAIKWYKKSWQMFKNIGNLEGQARGKINIGNVLDSTNNWRDALDAFQESYSIAVEADLPDLQLSALENMHYSNMIRFDDEDETRRLKLLIDKLKKSKEKEAAAKNMSEDCCSETDTEADETDTEADDYLSNSGSDNICFPKTISRSKALTTGEESEDDAPLMSLYQSIKSSSKNKTGYKESLLNSTKQAEQSPSSLKNQTSDHQTIVSRKRPHVILSDDDDDDDDEVKCSSRKDHDCLVDDLPTYNAIKSKASPCKIQVISEHGSKSAINVEESSSSFKCSSPHTATKTGRHSRSLSNDVVAEANFRTGSKCDTDFSGKQNDISHPRLHHPQNDKCIACRIGNDIMHVEATLCTTGDQLNIDSLKAVVACLYYLQFPSEKRSEGLLPIIEHMKCAGRNMESLETVEHLKEVMGNDMVEASVDGWIHKRLIKMYVDCCKELSEVPNIKVLTKLYNLEVSDDEIVVSDCDLQDLSITPLINALHSQKSFAMLDLSHNLLGNGTIEKLQKVFAASGQSYGGLTLDLHCNRFGPTTLFQICECSLLFARLEVLNISGNRLTDACGSYLSTILKNCTALCSLNIENCCVTSRTIQKVADALDSRSALAHLCIGYNSPVSGNATVNLLCKLSTLKRFSELNMSGLKLGKPVVDTLCKLAGSLTLSGLMLGGTGIGTEGANKLVDSLLKGNEELVKLDLSYCGLTCNFVLNTSVNFFCSILELNLEGNPIMPEGSDTLFSLLVNPQCCLKVLVLRKCQLGLTGILHIIEALAENSCIEELNIADNSVPKENSALQYDLSVKSCSQNQEQKLDTMQVDDNQEVLCSLNAANYKLEVADSEDIPVEAAASGIDDSCASSCQRNSSPECHLVQQFAIAIGKAKNLQLLDLSNNGFSAQAAETFYGSWATLRPLSSQKHISKQIVHFSTRENKCCRVKPCCKKA >Vigun10g005900.1.v1.2 pep primary_assembly:ASM411807v1:10:590668:606621:1 gene:Vigun10g005900.v1.2 transcript:Vigun10g005900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVESSMARVVLEPHAQFDLTAHSRASSIRSLALATLPDARRHRSTVLYVGTNSGTLFSLSVDIDDGDGAPNDAVLRKLSFLRSVSVSDAAVECISVIEEFGKVLLLSNGALFLVDSELSNRASKLSFSKGVSLVTRRRFRNGESEGLGLGLGSGSGLGSGLGLFQKLRMNSVKEGEMQSEGGCVFALVVGKRLILAELVLGNRNGKNERDDGGGGSLVVLKEIQCVDGVVSAMVWLNDSIVVGTVNGYSLISCVTGQSSVIFSLPDVSRPPRLKLLHKEWRVLLLVDNVGVIVDAHGQPVGGSLVFRHGLDSVGEIGSYVVVVSDGKIELYHKRYGGCVQVLPFGGEGVGRCVVASEEDRDGRLVVVATATKVVCYQKLPSVEQIKDLLRKKNYKGAISLVEELESEGEMSKDLLSFVHAQVGFLLLFDLHFKEAVDHFLLSDTMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVIDDGLMTIQRASFLRKAGVETIVDNDLFLNPVNRADLLKSAIKNISRYLEACREKDLTESVREGVDTLLMYLYRALNCVEDMERLASSTNWCVVEELEQMLEESGHLRTLAFLCASKGMSSKAVHIWRILARNYSSGLWKDPALENSTKDSGESLISSRSIAAAEASKILEESSDQELILQHLGWIADISQVLAVKVLTSEKREIHLSPDEVVTTIDPQKVEILQRYLQWLIEDQDCNDTQLHTLYALSLAKSAIEVFESENICENLDSENIETRSLAVSKNSIFDTPVRERLQIFLQSSDLYDPEEVLYLIEGSELWLEKAILYRRLGQETLVLQILALKLEDSEAAEQYCAEIGRADAFMQLLEMYLDPQDGKDPMFTAAVRLLHNHGESLDPLQVLEKLSPDMPLQLASDTLLRMFRARVHHHRQGQIVHNLSRAVDIDARLSRLEERSRHVQIDDESLCDSCDARLGTKLFAMYPDDTVVCYKCYRRQGESVSVSGRNFKEDILFKPGWLVSR >Vigun10g005900.2.v1.2 pep primary_assembly:ASM411807v1:10:590668:606621:1 gene:Vigun10g005900.v1.2 transcript:Vigun10g005900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVESSMARVVLEPHAQFDLTAHSRASSIRSLALATLPDARRHRSTVLYVGTNSGTLFSLSVDIDDGDGAPNDAVLRKLSFLRSVSVSDAAVECISVIEEFGKVLLLSNGALFLVDSELSNRASKLSFSKGVSLVTRRRFRNGESEGLGLGLGSGSGLGSGLGLFQKLRMNSVKEGEMQSEGGCVFALVVGKRLILAELVLGNRNGKNERDDGGGGSLVVLKEIQCVDGVVSAMVWLNDSIVVGTVNGYSLISCVTGQSSVIFSLPDVSRPPRLKLLHKEWRVLLLVDNVGVIVDAHGQPVGGSLVFRHGLDSVGEIGSYVVVVSDGKIELYHKRYGGCVQVLPFGGEGVGRCVVASEEDRDGRLVVVATATKVVCYQKLPSVEQIKDLLRKKNYKGAISLVEELESEGEMSKDLLSFVHAQVGFLLLFDLHFKEAVDHFLLSDTMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVIDDGLMTIQRASFLRKAGVETIVDNDLFLNPVNRADLLKSAIKNISRYLEACREKDLTESVREGVDTLLMYLYRALNCVEDMERLASSTNWCVVEELEQMLEESGHLRTLAFLCASKGMSSKAVHIWRILARNYSSGLWKDPALENSTKDSGESLISSRSIAAAEASKILEESSDQELILQHLGWIADISQVLAVKVLTSEKREIHLSPDEVVTTIDPQKVEILQRYLQWLIEDQDCNDTQLHTLYALSLAKSAIEVFESENICENLDSENIETRSLAVSKNSIFDTPVRERLQIFLQSSDLYDPEEVLYLIEGSELWLEKAILYRRLGQETLVLQILALKLEDSEAAEQYCAEIGRADAFMQLLEMYLDPQDGKDPMFTAAVRLLHNHGESLDPLQVLEKLSPDMPLQLASDTLLRMFRARVHHHRQGQIIKIGGEVKACSN >Vigun01g246200.1.v1.2 pep primary_assembly:ASM411807v1:1:41445032:41445369:-1 gene:Vigun01g246200.v1.2 transcript:Vigun01g246200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGVWAMCEGGYSVGNLINNLQKTIKKNHSGSRIITVMEFESDWTKHRKSSAHTAQVISSATSFMPWSRRIPIVEGFYEFL >Vigun04g166200.1.v1.2 pep primary_assembly:ASM411807v1:4:39067018:39071984:1 gene:Vigun04g166200.v1.2 transcript:Vigun04g166200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHARKPTKPNHQPHQAHNPSFSTTGMKLIVPLQGVVQGRGGLLLGTLVPCALFYFLQLYLKRRRSNSSPPSPSSEPTLPRTSSRSNLSTRGSISRVRLSKLATQISRPDHSLYYVGLERVSRDPYHVLHNPNGIIQLGLSDNTLCFDLIGEWVARNLEGTMGGGVDLGINGIAPYQTFDGVMELKMALSDFMHQVMGESVKFDPYNMVLTAGATPAIEILSFCLADHGNAFLVPTPYYPGFDRDVRWRPGVDLIPVHCRSTDNFNLNITALDQAFSQARKRGVKIRGILISNPSNPVGNILTQDMLYSLLDFAEDKNIHIIVDEVFAGSTYGREKFVSLAEVLLDSDIDKSRFHIVYGLSKDLSLAGFRVGVICSFNESVLAAAKKLTRFSTISAPTQRLVTSMLSDKRFIRDYLEINRTRIRQLHAVFVDCLSKLGIKCAKSSAGMFCWADMSGLIRPYSEKGEIELWEKFLSIAKINITPGSACHCIEPGWFRICFTTITLEEAPLVIERIRRVVESCNSSS >Vigun06g126400.1.v1.2 pep primary_assembly:ASM411807v1:6:25355208:25358486:-1 gene:Vigun06g126400.v1.2 transcript:Vigun06g126400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISNRVERHEIKPGDHIYTYRAVFTYSHHGIFVGGSKVVHFRPERNLKSIPETSSNLDDPTSHPCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYEVSPSVFLTKIRGGTCTTASSDPSETVIHRAMYLLQNGFGNYDVFQNNCEDFALYCKTGLLIQDRQGVGRSGQASSIIGAQLAALISSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVPVEDLAVNLRWNCPEEEVAENETSDGQITTR >Vigun04g102000.1.v1.2 pep primary_assembly:ASM411807v1:4:23538057:23540438:-1 gene:Vigun04g102000.v1.2 transcript:Vigun04g102000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIVANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKTMNTEQ >Vigun02g141700.1.v1.2 pep primary_assembly:ASM411807v1:2:29029303:29032193:1 gene:Vigun02g141700.v1.2 transcript:Vigun02g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPALCFFQFNFGTFLVVPNSHGPVLNAITQFVEMDQMRGKNIVMVSSSEEEEEEEVEEEDDDEEEQVEEEDDDDDDDDDDKEEESDCEDSDFDEASKSESESGDNDVDDSSLSDKVVSLLREGKDIQSLKLRQCKAYLRNHGLRIAGNRDVCVARIREHWRLKYGSGYTLYPKSSFTINCTGDVCMGDVVLFRQKVYEKFSKVTRHGKIIGNRTVAGRVVKESYGAAKQQHTFTVEVLWSTGVRKLPPLSPLLVKGRNLYKQKTYRQRWKNEADRVEVLHEKHRRGAAARSKRALKNKKRSCFSNESRGSKRPHEIHNKKRSKSGRSCVDKVRHQDGFRRANNFQPRAATSSTPVTRKEIAPSRGPTYTGGSHHSDEFDRYQVPVYPLYDSYPQSTYRYHVNSQSRNVPNELFYHNRGLIPPSRPPVGEFTTRSQHLISNDNRHAQTNLYDFELKKYGGRKYGI >Vigun03g265800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43559777:43559923:-1 gene:Vigun03g265800.v1.2 transcript:Vigun03g265800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTCYTLLLIKFLRNRCVLMFVVLLVNGRAVRKHSELSEFSFTRST >Vigun03g028600.3.v1.2 pep primary_assembly:ASM411807v1:3:2148514:2149276:-1 gene:Vigun03g028600.v1.2 transcript:Vigun03g028600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun03g028600.2.v1.2 pep primary_assembly:ASM411807v1:3:2148435:2149514:-1 gene:Vigun03g028600.v1.2 transcript:Vigun03g028600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAKGRKEEVVSREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKYVWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >Vigun11g152700.1.v1.2 pep primary_assembly:ASM411807v1:11:36245707:36250358:1 gene:Vigun11g152700.v1.2 transcript:Vigun11g152700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSRGRITTELQLQKKWTDRETSPERTKVWAEPKPKTPRKVSVVYYLSRNGQLEHPHFMEVPLSSPQGLYLKDVINRLNVLRGKAMPAMYSWSSKRSYKNGFVWHDLSENDFIYPTQGQDYILKGSEIVENSVAGVTSVNKSEEESGSPVVITRRRNQSWSSIDLNEYRVYKSESFGDSAGRIGADAATQTEEKRRRRRAAREEEAEEIHEKNDGIDAGMEGERVSHVTCDNNNNHSTELSRDEISPPPSDSSPETLETLMKADGRLGLRSSESEKENLTVESCPSGRMRASSVLLQLLSCGAVSFKECGVNAVKDQGFSLVGHYKSRMPREAGNHVGKETGISMEIPDLSRLRLEDKEYFSGSLIETKKVETPALKRSSSYNADSGSRLEMVEQEGEVVRAKCIPRKSKTLPTKKEEGSSMHIASGGGQHGSKRFDSHQ >Vigun02g163000.3.v1.2 pep primary_assembly:ASM411807v1:2:30796885:30800022:1 gene:Vigun02g163000.v1.2 transcript:Vigun02g163000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSFSSTSACISEIVNAGRTRIYSSLLSNFSSVRFSRQLNCFGGLFLRNYEALNNIYMTHRDVISLVGRDNLAAETSFHHMNGINGSTSSLVYRNLKVLDAFDDVYEGIVVDSDGLPDDPTNFAAILRFSLSHWKKMGKKGIWLKLPIEKSELVPIAIKEGFGYHHAEPGYVMLTYWIPEGPCMLPFNASHHVGVGGFVINHRNEVLVVQEKYCAPANRGLWKIPTGFVLQSEEIYAGAVREVKEETGVRILNLFMLHNIASLLKSVFSFTAFSPCCRFVPSSLKS >Vigun02g163000.1.v1.2 pep primary_assembly:ASM411807v1:2:30796885:30799955:1 gene:Vigun02g163000.v1.2 transcript:Vigun02g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSFSSTSACISEIVNAGRTRIYSSLLSNFSSVRFSRQLNCFGGLFLRNYEALNNIYMTHRDVISLVGRDNLAAETSFHHMNGINGSTSSLVYRNLKVLDAFDDVYEGIVVDSDGLPDDPTNFAAILRFSLSHWKKMGKKGIWLKLPIEKSELVPIAIKEGFGYHHAEPGYVMLTYWIPEGPCMLPFNASHHVGVGGFVINHRNEVLVVQEKYCAPANRGLWKIPTGFVLQSEEIYAGAVREVKEETGICTEFIEVIAFRHAHKVAFEKSDLFFICMLRPLSVEIIVDDPEIEAAKWMPLVEFVEQPLLQEDSMFKKIINICIACVEKRYGGLCAHNMVSKLDGKSSSLYHNVINIEDINCISN >Vigun02g163000.2.v1.2 pep primary_assembly:ASM411807v1:2:30796885:30799955:1 gene:Vigun02g163000.v1.2 transcript:Vigun02g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSFSSTSACISEIVNAGRTRIYSSLLSNFSSVRFSRQLNCFGGLFLRNYEALNNIYMTHRDVISLVGRDNLAAETSFHHMNGINGSTSSLVYRNLKVLDAFDDVYEGIVVDSDGLPDDPTNFAAILRFSLSHWKKMGKKGIWLKLPIEKSELVPIAIKEGFGYHHAEPGYVMLTYWIPEGPCMLPFNASHHVGVGGFVINHRNEVLVVQEKYCAPANRGLWKIPTGFVLQSEEIYAGAVREVKEETGICTEFIEVIAFRHAHKVAFEKSDLFFICMLRPLSVEIIVDDPEIEAAKWMPLVEFVEQPLLQEDSMFKKIINICIACVEKRYGGLCAHNMVSKLDVEI >Vigun03g192900.1.v1.2 pep primary_assembly:ASM411807v1:3:26818733:26824046:1 gene:Vigun03g192900.v1.2 transcript:Vigun03g192900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFTLFFVCISFFHFPLFSSQSSDSFPKEALPSRYGYLPISPTSASAIFYAFYEAQNTTLPLSQTPLLIWLQGGPGCSSMLGNFYELGPWRVTQSLTLHPNPGSWNRIFGLLFLDSPIGSGFSLASTPQEIPRDQNTVAKHLFAAITNFLQLDPLFNHRPIYITGESYAGKYVPAIGYYILKKNADLEGSERVNLAGVAIGDGLTDPETQVLSHAVNAYYAGLINERQKNELEKAQLEAVGLIQKRNWSEAADARNKVLGMLQDMTGLATLYDYRRKIPYEDDLVERFLNIAEVKKALGVKESFVYEICSDVVGEALHGDVMKSVKEMVEYLVRKSRVLLYQGEYDLRDGVVQTEVWVKTMKWEGIPHFLNAERKIWKVNGELAGYVQSWTSLTNVVVLGAGHLLPTDQPLHSQAMIEDWVLQKGLFQNFHKANVSRHMTQSGKKNLALFQSLRKEMAAKAKAAGKADVPNLQESVVEVHVHGGTKRKAELPPRPGKGKDVKKIRAALLGTASGAGSASGEKGPEAGLIELPEISVRKDISIDLPDTVVNSIDNMDVDHIVRTMVEFGSKALVLSRRVGSLYRREVKEVGELQGKVDKLEEEKAALEKEKEGWEAERKRLATWKVRCLDSEDKLNKRIRELEEDYEDLKDKYDGAVGELDDLKNSVI >Vigun05g211000.1.v1.2 pep primary_assembly:ASM411807v1:5:40174927:40176215:-1 gene:Vigun05g211000.v1.2 transcript:Vigun05g211000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKREPFSPCHFFLLLLLCGFVGGDTPSREVWCVAKNNAEDAALQSAVEWACGAGGADCGAIQGGGPCFDPSSVQNTASYAFNDYFRKHAIAEENCNFGNNAAITSLNPSFGNCKFPSSSIVNNGSLSGSASSMGSMSGEDTSGCGGVVRRWWFWPIVIAAHLFSIVSSSPIV >Vigun05g025700.1.v1.2 pep primary_assembly:ASM411807v1:5:2087569:2092761:-1 gene:Vigun05g025700.v1.2 transcript:Vigun05g025700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSGKEIVDIDLGGGSSGGEAAGDLGDDDIVTMLDGLELNRACFSEKVANLSNFVMHLEALGVELEGFVLDREDNDVDVGCIGKFLEFDLLCGVLGSEVVELDRFLDALHADAGDREASSEPRQDNLLDSEQCLKPSEEQFSEIKKLSASFERTLSSYKWGGNGNIEDVEITLEDDESLNVSSVITMHTTEQQRLVLRMLEKSLANEMDLEKNFFDSREIEENLKQRMASLEQKLSLVEEEATDVWERWFEADNTREILIGISKELLGRLQLSQFNLNGLSQRESVLRAKLETSEVVGNSEVVTLRDKVCSLEKQLKEYESQLINVRASADAYQTQYAILSSEVRKTEGIIVELKENISNAESQANTAEAHCKILEEANGELNRQIALLKDSGGKSEREESLERRLRDSNLLLQHAVASAEASQEKQNMLYSTIKDMDQVIKDLKSKVSKAESRADSAEEKCIILSESNTDLNEELSFLRSRLHCLEGSLHQVEEAKVASAKDIGKQTKVFKSLVMQLAVERERLNKQLSSLASENKILVVKLKQTNKYPEEVSVALTTDHKEDRSWKNSSTDDGKEEKFADSMPDADSVRRIDAGVLNFKHLFMLSVLVLLFSAVTYLNVDVN >Vigun09g180400.2.v1.2 pep primary_assembly:ASM411807v1:9:35286970:35303765:1 gene:Vigun09g180400.v1.2 transcript:Vigun09g180400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRSSWPSRLRQLLSSEGSIGPSVKLDSDPPPKIKAFVEKVIQCPLQDIAIPLFGFRWEYNKGNFHHWRPLLLHFDTYFKTYLSCRNDLTLSDNLEVDVPLPKHAILQILRVIQIILENCPNKSSFDGLEHFKLLLASTDPEIIIATLETLAALVKINPSKLHGTAKMVGCGSVNSYLLSLAQGWGSKEEGMGLYSCIVANEKAQDEALCLFPSDVENGSDQSNYCMGSTLYFELHGPIAQSKEQNVDTVPSSLRVIHIADMHLRKEDDLTMLKQCIEQYNVPPELRFSLLTRIRYARAFRSARISRLYSRICLLAFVVLVQSSDAHDELVSFFANEPEYTNELIRVVRSEETISGSIRTLVMLALGAQLAAYTSSHERARILSGSSMNFTGGNRMILLNVLQRAILSLKSSNDPTSFAFVEALLQFYLLHAVSTSSSGSNIRGSGMVPTFLPLLEDSDPAHIHLVCLAVKTLQKLMDCSSSAVSLFKELGGVELLAQRLQIEVHRVIGLVGENDNVMLTGESSRLSTHQLYSQKRLIKVSLKALGSATYAPANSTRSQHSHDSSLPATLVMIFQNVDKFGGDIYYSAVTVMSEIIHKDPTCFSSLHEMGLPNAFLSSVVSGVLPSSKALTCIPNGLGAICLNAKGLEIVRETSSLQFLSNIFTSRKYVLAMNEAIVPLANSVEELLRHVSSLRSTGVDIIIEIIHKIASFGDGIDAGSSSGKANEDSAMETNSEDKENESHCCLVGTAETTAEGINDEHFIQLCIFHLMVLIHRTMENSETCRLFVEKSGIEALLKLLLRPTIAQSSDGMSIALHSTMVFKGFAQHHSTPLAHAFCTSLREHLNVALTGFGASSGPLLLDPKMTTDNIFSSLFLVEFLLFLAASKDNRWVTALLTEFGNGNKDVLENIGRIHREVLWQIALLENAKLDIEDDGSCSTTDSQQAEVDANESAEQRYNSIRQFLDPLLRRRTSGWSVESQFFDLINLYRDLGRAPGSQHRSNSVGATNRRVGSSNQLHSSESADVPVAVNKKECDKQRTYYTSCCDMVRSLSFHITHLFQELGKVMLQPSRRRDDIVSVSPASKSVASTFAIIALDHMNFGGHVEEASISTKCRYFGKVIDFIDGILMERPESCNPILLNCLYGHGVIQSVLTTFEATSQLLFAVNRTPASPMETDDGNGKQYDDKEDTDHLWIYGSLASYGKFMDHLVTSSFILSSFTKPLLAQPLSGDTPFPRDAEIFVKVLQSMVLKAVLPVWTHSQFVDCSHEFISNVISIIRHVYSGVEVKNVNGSARITGPPPNETAISTIVEMGFSRARAEEALRHVGSNSVELAMEWLFSHPEDIQEDDELARALAMSLGNSESEPKDAAASDCIPQLEEEVVHLPPVGELLSTCTKLLQKEPLAFPVRDLLMMICSQNDGQYRSNVVTFIVDRIKECGLVSGNGNNTMLAALFHVLALILNEDAVSREAASMSGLINITSDLLYQWDSSLGSSEKNQVPKWVAAAFLALERLLQVDQKLNSEIAELLKKEAVNVQQTSVLIDEDKQHKLQSALGLSTKYADVHEQKRLVEIACSYMKNQVPSDTMHAILLLCSNLTRNHSVALTFFDAGGLSSLLSLPTSSLFPGFDNVAAGIVRHVIEDPLTLQQAMESEIKHSLVAASNRHPNGRVNPRNFLLSLASVISRDPIIFMQAAQSVCQIEMVGERPYIVLLKDRDKEKSKEKDKSLEKDKSHNNDGKVCLGSTTSTAPGNVHGKLHDSNSKNVKYKKPTQSFVNVIELLLESICTFVAPPLKNDIVSNVARGSPASSEMDIDVSAIRGKGKAVATVSGGNETSSEEASASLAKIVFILKLLMEILLMYSSSVHVLLRRDAEMSSSRGFNQKSHSSVGAGGIFYHILRNFLPYSRNSRKDKKVDGDWRQKLATRANQFMVAACVRSSEARRRVFTEISNIINEFVDSCNGIMPKPPDNEIQVFVDLLNDILAARTPAGSSISSEASVTFMDAGLVKSFTHTLQALDLDHADSSKVATGIIKALELVTKEHVHSVELSAGKGDNQTKPSDPSQSGRMDNIGHTSQSQSMETISQANHDSLQVDHVGSYNVIQSYGGSEAVTDDMEHDHDLDGGFAPTNEDEFMHETGEDARGRQTGIENVGLQFEIQSHGQENLDDEDEGDMSGDEGEDVDEDDEDDEEHNDLEEDEVHHLPHPDTDHEDHEIDDDFDEVMEEEEEDEDEDDEDGVILRLEEGINGINVFDHIEVFGRDNSFPNESLHVMPVEVFGSRRPGRTTSIYSLLGRSGDNAAPSRHPLLVGPSSSFHPSTVQSDSITESSTGLDNIFRSLRSGRHGHRLNLWSDNNPQSSGSNAGAVPQGLEEFLVSQLRRPTADKSSDNNVAEAGPQNKVEVNQMHNPASSQLDIPVENNAIQGGGNVTAASIDNTDNNADIRPVGNGTLQTDVSNTHSQAVEMQFEHNDAAVRDVEAVSQESSGSGATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGDSQAARTRRATVPFGHSSPVGVRDASLHSVTEVSENSSRDADQEGPAAEQQVNSDAGSAAIDPAFLDALPEELRAEVLSAQQGQVAQPSNAESQNNGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFAHRYSRTLFGMYPRSRRGETSRREGIGSGPDGAGGSITSRRSAGAKFVEADGAPLVDTEALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRISLVKILMDLLLLDVRKPASYFSAVEPPYRLYGCQSNVMYSRPQSFDGVPPLLSRRILETLTYLARHHPYVARILLQFRLHHPSVRQPDNANVARGKAVVVVEDETNAGYISIAMLLGLLKQPLYLRSIAHLEQLLNLLDVIIDSARSKSSSSDRSQISTEPVLGPQISAMEVDVNIDTVISSASEASPQVNESSKPTTSSSKECQAQQVLCDLPQAELQLLCSLLALEGLSDNAYGLVAEVMKKLVAIAPIHCKFFVTHLAEAVRNLTSSAMDELRTFSEAMKALLSTTSSDGAAILRVLQALSSLVTSLAEKENDGITPALSEVWGINSALEPLWHELSSCISKIEAYSESVSESITPSRTSVSKPSSVMPPLPAGSQNILPYIESFFVFCEKLHPAQPNASTVTSVPVISDVEDASTSGTRQKTSGPATKLDEKHAAFAKFSETHRKLLNAFIRQNPGLLEKSFSLMLKTPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDRGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYFRNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNMKVTEENKHQYVDLVVEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLINGLPDIDLDDLRANTEYSGYSGASPVIQWFWEVVQSFSKEDKARLLQFVTGTSKVPLEGFSALQGISGAQRFQIHKAYGSSDHLPSAHTCFNQLDLPEYPSKQHLEKRLLLAIHEANEGFGFG >Vigun09g180400.1.v1.2 pep primary_assembly:ASM411807v1:9:35286628:35303765:1 gene:Vigun09g180400.v1.2 transcript:Vigun09g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRSSWPSRLRQLLSSEGSIGPSVKLDSDPPPKIKAFVEKVIQCPLQDIAIPLFGFRWEYNKGNFHHWRPLLLHFDTYFKTYLSCRNDLTLSDNLEVDVPLPKHAILQILRVIQIILENCPNKSSFDGLEHFKLLLASTDPEIIIATLETLAALVKINPSKLHGTAKMVGCGSVNSYLLSLAQGWGSKEEGMGLYSCIVANEKAQDEALCLFPSDVENGSDQSNYCMGSTLYFELHGPIAQSKEQNVDTVPSSLRVIHIADMHLRKEDDLTMLKQCIEQYNVPPELRFSLLTRIRYARAFRSARISRLYSRICLLAFVVLVQSSDAHDELVSFFANEPEYTNELIRVVRSEETISGSIRTLVMLALGAQLAAYTSSHERARILSGSSMNFTGGNRMILLNVLQRAILSLKSSNDPTSFAFVEALLQFYLLHAVSTSSSGSNIRGSGMVPTFLPLLEDSDPAHIHLVCLAVKTLQKLMDCSSSAVSLFKELGGVELLAQRLQIEVHRVIGLVGENDNVMLTGESSRLSTHQLYSQKRLIKVSLKALGSATYAPANSTRSQHSHDSSLPATLVMIFQNVDKFGGDIYYSAVTVMSEIIHKDPTCFSSLHEMGLPNAFLSSVVSGVLPSSKALTCIPNGLGAICLNAKGLEIVRETSSLQFLSNIFTSRKYVLAMNEAIVPLANSVEELLRHVSSLRSTGVDIIIEIIHKIASFGDGIDAGSSSGKANEDSAMETNSEDKENESHCCLVGTAETTAEGINDEHFIQLCIFHLMVLIHRTMENSETCRLFVEKSGIEALLKLLLRPTIAQSSDGMSIALHSTMVFKGFAQHHSTPLAHAFCTSLREHLNVALTGFGASSGPLLLDPKMTTDNIFSSLFLVEFLLFLAASKDNRWVTALLTEFGNGNKDVLENIGRIHREVLWQIALLENAKLDIEDDGSCSTTDSQQAEVDANESAEQRYNSIRQFLDPLLRRRTSGWSVESQFFDLINLYRDLGRAPGSQHRSNSVGATNRRVGSSNQLHSSESADVPVAVNKKECDKQRTYYTSCCDMVRSLSFHITHLFQELGKVMLQPSRRRDDIVSVSPASKSVASTFAIIALDHMNFGGHVEEASISTKCRYFGKVIDFIDGILMERPESCNPILLNCLYGHGVIQSVLTTFEATSQLLFAVNRTPASPMETDDGNGKQYDDKEDTDHLWIYGSLASYGKFMDHLVTSSFILSSFTKPLLAQPLSGDTPFPRDAEIFVKVLQSMVLKAVLPVWTHSQFVDCSHEFISNVISIIRHVYSGVEVKNVNGSARITGPPPNETAISTIVEMGFSRARAEEALRHVGSNSVELAMEWLFSHPEDIQEDDELARALAMSLGNSESEPKDAAASDCIPQLEEEVVHLPPVGELLSTCTKLLQKEPLAFPVRDLLMMICSQNDGQYRSNVVTFIVDRIKECGLVSGNGNNTMLAALFHVLALILNEDAVSREAASMSGLINITSDLLYQWDSSLGSSEKNQVPKWVAAAFLALERLLQVDQKLNSEIAELLKKEAVNVQQTSVLIDEDKQHKLQSALGLSTKYADVHEQKRLVEIACSYMKNQVPSDTMHAILLLCSNLTRNHSVALTFFDAGGLSSLLSLPTSSLFPGFDNVAAGIVRHVIEDPLTLQQAMESEIKHSLVAASNRHPNGRVNPRNFLLSLASVISRDPIIFMQAAQSVCQIEMVGERPYIVLLKDRDKEKSKEKDKSLEKDKSHNNDGKVCLGSTTSTAPGNVHGKLHDSNSKNVKYKKPTQSFVNVIELLLESICTFVAPPLKNDIVSNVARGSPASSEMDIDVSAIRGKGKAVATVSGGNETSSEEASASLAKIVFILKLLMEILLMYSSSVHVLLRRDAEMSSSRGFNQKSHSSVGAGGIFYHILRNFLPYSRNSRKDKKVDGDWRQKLATRANQFMVAACVRSSEARRRVFTEISNIINEFVDSCNGIMPKPPDNEIQVFVDLLNDILAARTPAGSSISSEASVTFMDAGLVKSFTHTLQALDLDHADSSKVATGIIKALELVTKEHVHSVELSAGKGDNQTKPSDPSQSGRMDNIGHTSQSQSMETISQANHDSLQVDHVGSYNVIQSYGGSEAVTDDMEHDHDLDGGFAPTNEDEFMHETGEDARGRQTGIENVGLQFEIQSHGQENLDDEDEGDMSGDEGEDVDEDDEDDEEHNDLEEDEVHHLPHPDTDHEDHEIDDDFDEVMEEEEEDEDEDDEDGVILRLEEGINGINVFDHIEVFGRDNSFPNESLHVMPVEVFGSRRPGRTTSIYSLLGRSGDNAAPSRHPLLVGPSSSFHPSTVQSDSITESSTGLDNIFRSLRSGRHGHRLNLWSDNNPQSSGSNAGAVPQGLEEFLVSQLRRPTADKSSDNNVAEAGPQNKVEVNQMHNPASSQLDIPVENNAIQGGGNVTAASIDNTDNNADIRPVGNGTLQTDVSNTHSQAVEMQFEHNDAAVRDVEAVSQESSGSGATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGDSQAARTRRATVPFGHSSPVGVRDASLHSVTEVSENSSRDADQEGPAAEQQVNSDAGSAAIDPAFLDALPEELRAEVLSAQQGQVAQPSNAESQNNGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFAHRYSRTLFGMYPRSRRGETSRREGIGSGPDGAGGSITSRRSAGAKFVEADGAPLVDTEALHAMIRLFRIVQPLYKGQLQRLLLNLCAHSETRISLVKILMDLLLLDVRKPASYFSAVEPPYRLYGCQSNVMYSRPQSFDGVPPLLSRRILETLTYLARHHPYVARILLQFRLHHPSVRQPDNANVARGKAVVVVEDETNAGYISIAMLLGLLKQPLYLRSIAHLEQLLNLLDVIIDSARSKSSSSDRSQISTEPVLGPQISAMEVDVNIDTVISSASEASPQVNESSKPTTSSSKECQAQQVLCDLPQAELQLLCSLLALEGLSDNAYGLVAEVMKKLVAIAPIHCKFFVTHLAEAVRNLTSSAMDELRTFSEAMKALLSTTSSDGAAILRVLQALSSLVTSLAEKENDGITPALSEVWGINSALEPLWHELSSCISKIEAYSESVSESITPSRTSVSKPSSVMPPLPAGSQNILPYIESFFVFCEKLHPAQPNASTVTSVPVISDVEDASTSGTRQKTSGPATKLDEKHAAFAKFSETHRKLLNAFIRQNPGLLEKSFSLMLKTPRFIDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDRGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYFRNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNMKVTEENKHQYVDLVVEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLINGLPDIDLDDLRANTEYSGYSGASPVIQWFWEVVQSFSKEDKARLLQFVTGTSKVPLEGFSALQGISGAQRFQIHKAYGSSDHLPSAHTCFNQLDLPEYPSKQHLEKRLLLAIHEANEGFGFG >Vigun09g073050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8106706:8107385:1 gene:Vigun09g073050.v1.2 transcript:Vigun09g073050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQSMMKGETGMVITTEESHLKRGELLGEEGTWFHIFTERTGKREGNFKILSQGATKQQKGRKEIAKKGARETSDNKSNIIGKLEVLKRIYKRYTSQHT >Vigun10g041400.3.v1.2 pep primary_assembly:ASM411807v1:10:5732960:5740613:1 gene:Vigun10g041400.v1.2 transcript:Vigun10g041400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILRELGDGSCGHVYKARDMRTYEIVAVKKLKRKFCFWEEYTNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMDCNLYQLIKEREKPFSEEEIRCFVRQMLEGLSHMHKKGFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRWYRAPEVLLRAPSYTPAVDMWAVGAILAELFTLTPLFPGESEIDQLYKIYGILGMPDSSAFTIGANNSQLLDLVSHEIVPPVKLSNIIPNASSEAIDLMTQLLHWDPSRRPDADQSLQHPFFHVNAWVPCPLSDPLELKLSSKRAKPNLELKLQDFGPDPDDCFLGLTLAVKPSVSNIDVVQNVSHGVRENMLFCSDFNDHSDQSVFWTLLSPDRNGVANSAETSLSLSFSSVQQHPPIGVPQSTGFSFQPLQPNILTTPFLALSSPFQRGHCL >Vigun10g041400.4.v1.2 pep primary_assembly:ASM411807v1:10:5732894:5741831:1 gene:Vigun10g041400.v1.2 transcript:Vigun10g041400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILRELGDGSCGHVYKARDMRTYEIVAVKKLKRKFCFWEEYTNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMDCNLYQLIKEREKPFSEEEIRCFVRQMLEGLSHMHKKGFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRWYRAPEVLLRAPSYTPAVDMWAVGAILAELFTLTPLFPGESEIDQLYKIYGILGMPDSSAFTIGANNSQLLDLVSHEIVPPVKLSNIIPNASSEAIDLMTQLLHWDPSRRPDADQSLQHPFFHVNAWVPCPLSDPLELKLSSKRAKPNLELKLQDFGPDPDDCFLGLTLAVKPSVSNIDVVQNVSHGVRENMLFCSDFNDHSDQSVFWTLLSPDRNGVANSAETSLSLSFSSVQQHPPIGVPQSTGFSFQPLQPNILTTPFLALSSPFQRGHCL >Vigun10g041400.2.v1.2 pep primary_assembly:ASM411807v1:10:5732960:5740613:1 gene:Vigun10g041400.v1.2 transcript:Vigun10g041400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILRELGDGSCGHVYKARDMRTYEIVAVKKLKRKFCFWEEYTNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMDCNLYQLIKEREKPFSEEEIRCFVRQMLEGLSHMHKKGFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRWYRAPEVLLRAPSYTPAVDMWAVGAILAELFTLTPLFPGESEIDQLYKIYGILGMPDSSAFTIGANNSQLLDLVSHEIVPPVKLSNIIPNASSEAIDLMTQLLHWDPSRRPDADQSLQHPFFHVNAWVPCPLSDPLELKLSSKRAKPNLELKLQDFGPDPDDCFLGLTLAVKPSVSNIDVVQNVSHGVRENMLFCSDFNDHSDQSVFWTLLSPDRNGVANSAETSLSLSFSSVQQHPPIGVPQSTGFSFQPLQPNILTTPFLALSSPFQRGHCL >Vigun03g247500.1.v1.2 pep primary_assembly:ASM411807v1:3:41144867:41150261:-1 gene:Vigun03g247500.v1.2 transcript:Vigun03g247500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQADSAAAPPPPDSATTNSVVLNLEETPQPQPQPSSPPRPQPSRPTPPPELRLPIWWPEDGNLSMDWVENLMLCFDWCSRNLPPSELPSVLPVKVFDSLILIASKMLHKEPNCVTIDPFRPSPDNNSPSAASVVVVGDVHGQLHDLLFLLRDAGFPSRDRIFVFNGDYVDRGAWGLETFLLLLAWKVLMPHNIFLLRGNHESKYCTSVYGFEKEVMVKYGDKGKHVYRKCLGCFEGLPLASIIAGCVYTAHGGLFRSVTVTPSKRFKGKKNRKINVNHDSKILSLGSLEELSKARRSVLDPPWEGQNLIPGDVLWSDPSKTPGLAPNKERGIGLLWGPDCTEEFLKKYQLKLIIRSHEGPDAREKRDGLDGMDEGYTIDHTVDSGKLVTVFSAPDYPQFQATEQRYNNKGSYVVLEPPNFDNPIFHGFSAVTPRPKVHAYYDYEEVIDSDEELDLASMVTS >Vigun01g045000.1.v1.2 pep primary_assembly:ASM411807v1:1:6666367:6669439:1 gene:Vigun01g045000.v1.2 transcript:Vigun01g045000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRSKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQELKCLLVFIRGTRSIKDTLIDALCASVSFDHNMVSGHAHRGMVVAADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGVVALLTHKLREMEELSSTRPTCVTFGSGISLLKIGGRMVYSTCSMNPIENEAVVAEDIVDYATSKLPLMFLLPEDSCTCSKLI >Vigun01g045000.2.v1.2 pep primary_assembly:ASM411807v1:1:6666367:6669439:1 gene:Vigun01g045000.v1.2 transcript:Vigun01g045000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRSKRFPALLCAAEFHEDDVLYSERTSRFLKPAFTVIRDQELKCLLVFIRGTRSIKDTLIDALCASVSFDHNMVSGHAHRGMVVAADWISKHCIPVLLEALRQYPHFKIKIVGHSLGGGVVALLTHKLREMEELSSTRPTCVTFGSGISLLKIGGRMVYSTCSMNPIENEAVVAEEIAKRLPRPSVS >Vigun11g199900.14.v1.2 pep primary_assembly:ASM411807v1:11:39807766:39814903:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLISCGFNCSILVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.12.v1.2 pep primary_assembly:ASM411807v1:11:39808323:39814903:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKCCAKLYPTRCGRLHGSFNVIQLYERPKFQRYYLSWGLSQQHFSISFLVSLYFCRTKNHVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.9.v1.2 pep primary_assembly:ASM411807v1:11:39807720:39814949:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.7.v1.2 pep primary_assembly:ASM411807v1:11:39807471:39814903:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.13.v1.2 pep primary_assembly:ASM411807v1:11:39807766:39814903:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLISCGFNCSILVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.8.v1.2 pep primary_assembly:ASM411807v1:11:39807720:39814949:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.5.v1.2 pep primary_assembly:ASM411807v1:11:39807329:39814979:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.2.v1.2 pep primary_assembly:ASM411807v1:11:39807329:39815048:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.6.v1.2 pep primary_assembly:ASM411807v1:11:39807324:39814978:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.10.v1.2 pep primary_assembly:ASM411807v1:11:39808276:39814906:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMSFSFMKDRSFRDIIYHGVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.11.v1.2 pep primary_assembly:ASM411807v1:11:39808323:39814903:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKCCAKLYPTRCGRLHGSFNVIQLYERPKFQRYYLSWGLSQQHFSISFLVSLYFCRTKNHVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.3.v1.2 pep primary_assembly:ASM411807v1:11:39807366:39815048:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.1.v1.2 pep primary_assembly:ASM411807v1:11:39808276:39814906:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMSFSFMKDRSFRDIIYHGVVAITVFCLLVIAFYAFLAPFIGGRIWEYIFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun11g199900.15.v1.2 pep primary_assembly:ASM411807v1:11:39807366:39815048:1 gene:Vigun11g199900.v1.2 transcript:Vigun11g199900.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQALIVFILYVRCTAINPADPGIMSKFDPRVGNKFNSAQELSGKHHISEHERIAAREQYSPSSSKRSMTNMSKKSSVEDMDRADSTRKQNNQSSCNVVGGIFCILFSHEDCRKQEASTEEQGGAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYSSFIALMAFSLAWLVIEAGVGVAVFVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVVVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNILYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGIAERGQRMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRVPDAELSSSGNMSIRSSLSTETGTNKEIKHELRLSPVQNSIAPSQGSRDEYETGTQSMSSFSSPSHVHEAVTLSPLPQGHSLGGFRPGASIPSLVVPERPLTSKATLSNFRNPISNPSLGFDGRTAMPKGIGHDPLLLSASNTSILRDVKRSSVVWDQEAGRYVSVPLLPSEARNRSSMRVELQNVNAETSSFGKKPVIPQKELSSSAPKSPGQHTQNLMYTGDSIFYGGPFLSAPVKDGLKNERRLPSGEAQDSISANLPLEPRYKRDSLSNQLPVFVPGGFENNLQPRSGMN >Vigun05g075900.2.v1.2 pep primary_assembly:ASM411807v1:5:6906200:6911664:1 gene:Vigun05g075900.v1.2 transcript:Vigun05g075900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNSSSPSKRGHARQWRLLDLVSGVFFFLVLLFFVMVFTPLGDSLAASGRQTLLLSGADSRHRHQLVEAVETAGRGVEACPADTADYMPCEDPRLNSQLSREMNYYRERHCPRPEESPLCLIPPPDGYRVPVPWPESLHKIWHSNMPYNKIADRKGHQGWMKLEGQHFIFPGGGTMFPDGAEQYIEKLGQYIPISGGVLRTALDMGCGVASFGGFLLSHNILTMSFAPRDSHKAQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNASYFIEVDRLLRPGGYLVISGPPVQWHKQDKEWSDLQAVARILCYELIAVDGNTVIWKKPAGETCVPNENEFGLQLCDESDDPSQAWYLKLKKCVSRTSVKGDYAIGVIPKWPERLTTTPPRSTLLKNGVDLFEADTKRWFLLKSHPLLMLSLTEVSLESIMIGVNHFQHTLVAMI >Vigun05g075900.1.v1.2 pep primary_assembly:ASM411807v1:5:6906200:6911664:1 gene:Vigun05g075900.v1.2 transcript:Vigun05g075900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNSSSPSKRGHARQWRLLDLVSGVFFFLVLLFFVMVFTPLGDSLAASGRQTLLLSGADSRHRHQLVEAVETAGRGVEACPADTADYMPCEDPRLNSQLSREMNYYRERHCPRPEESPLCLIPPPDGYRVPVPWPESLHKIWHSNMPYNKIADRKGHQGWMKLEGQHFIFPGGGTMFPDGAEQYIEKLGQYIPISGGVLRTALDMGCGVASFGGFLLSHNILTMSFAPRDSHKAQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNASYFIEVDRLLRPGGYLVISGPPVQWHKQDKEWSDLQAVARILCYELIAVDGNTVIWKKPAGETCVPNENEFGLQLCDESDDPSQAWYLKLKKCVSRTSVKGDYAIGVIPKWPERLTTTPPRSTLLKNGVDLFEADTKRWVRRVAHYKNYLKIKLGTQFVRNVMDMNALFGGFAAALKSDPVWVMNVVPAEKPPTLDVIFDRGLIGVYHDWCEPFSTYPRSYDLIHVASIESLIKDPASGKNRCSLVDLMVEIDRMLRPEGTVVVRDAPEVIDKVARIAGGVRWKPTVYDKEPESHGREKILVATKTFWKL >Vigun07g070750.1.v1.2 pep primary_assembly:ASM411807v1:7:8763202:8763396:1 gene:Vigun07g070750.v1.2 transcript:Vigun07g070750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMGNANAESDEGRKNAPAYLYAERVSCVTVLAERE >Vigun11g037600.8.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMFLYVFGCFLQAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.6.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSAVVFPSFKIRTSQFSKCGSIRASLAIEQQTSQTKVALLRIGTRGSPLALAQAFETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMFLYVFGCFLQAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.7.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSAVVFPSFKIRTSQFSKCGSIRASLAIEQQTSQTKVALLRIGTRGSPLALAQAFETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.2.v1.2 pep primary_assembly:ASM411807v1:11:5155014:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.5.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSAVVFPSFKIRTSQFSKCGSIRASLAIEQQTSQTKVALLRIGTRGSPLALAQAFETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMFLYVFGCFLQAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.3.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.1.v1.2 pep primary_assembly:ASM411807v1:11:5155014:5158343:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSAVVFPSFKIRTSQFSKCGSIRASLAIEQQTSQTKVALLRIGTRGSPLALAQAFETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun11g037600.4.v1.2 pep primary_assembly:ASM411807v1:11:5155030:5158380:-1 gene:Vigun11g037600.v1.2 transcript:Vigun11g037600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSAVVFPSFKIRTSQFSKCGSIRASLAIEQQTSQTKVALLRIGTRGSPLALAQAFETRDKLMASHPELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLNAASLADLPSGSIVGTASLRRKSQILHKYPSLNVEENFRGNVQTRLRKLSEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHAETKLAVSCERSFLETLEGSCRTPIAGYASRNVDGNCLFRGLVASPDGTSVLETSRVGPYVAEDMILMGKDAGEELLSRAGPGFFSS >Vigun07g104850.1.v1.2 pep primary_assembly:ASM411807v1:7:19182258:19183995:-1 gene:Vigun07g104850.v1.2 transcript:Vigun07g104850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFNNENLELDLYFFPIVCDGDSYVNFIIWDQDCINLIGVSVVELMNKMIKDREDDPKCFPEDLDVLLGCTLAFKVRVQPKNRFSSVMKASNNLETIAFIRSKLESKMIKDSTPIDLRASSTQPRTLILSGSTEHDPYIDLCVTPTKELLLDFEVDCDHLEDIPSVEFSRTKTKKRMKQENH >Vigun10g041000.1.v1.2 pep primary_assembly:ASM411807v1:10:5649386:5655545:1 gene:Vigun10g041000.v1.2 transcript:Vigun10g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MEPNGIGELKVPLLQAPEAAAAAAVKTVTFQLSDIKCASCVNSVEAVVGGLNGVKSVAVSPLDGRAAIKFDPKLVTVKQIKEGIEESGFGVDELHEQDIAMCRVRIKGMACTSCSESVENALQMVEGVKKAIVGLALEEAKVHFDPNLTDVDKIIESIEDAGFGADLISSGNDANKVFLKLEGVDSAEDVNAVMSSLELAGGVNHVEMDLSEHKVTVSYDPDVTGPRFLIHCVQEASCGPKKYQATLYSPSTQRGRDKVNEIRMYRDQFLFSCLFSVPVFVFAMVLPMLPPYGNWLNYRIHNMLTLGLFLRWILCTPVQFIIGKRFYVGSYHALKRKSANMDVLVALGTNAAYFYSLYILIKALTSDTFEGQDFFETSSMLISFILLGKYLEIVAKGKTSDALGKLTQLVPDKAYLVAIDTDGNITTETEIDTQLIQKNDIIKIIPGAKIPVDGIVIKGQSYANESMITGEARPVDKSPGDKVISGTINENGCLLVKATHVGSDTALSQIVQLVEAAQLAKAPVQKLADHISRVFVPIVVVAALITWLGWFIPGQAGIFPKHWIPTSMDAFELALQFAISVLVVACPCALGLATPTAVMVASGMGASQGVLIKGGNALEKAHKVTVVVFDKTGTLTVGKPEVVSAVLFSEFSMEDLCDMTIAVEASSEHPIAKAVVVHAKRLRKKFDSSTEEVLDVDDFEVHMGAGVSGKVGDRTVVVGNKRLMHACNIPVGSQVEKYISENENLARTCILVSIDGKIAGAFCVTDPVKPEARRVVSFLHSMGISSIIVTGDNRATATAIANEVGIDEVFAETDPVGKANKVKDLQMKGMTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLVKSSLEDVITAIDLSRKTMSRIRLNYIWALGYNILGMPIAAGVLYPFAGIRLPPWLAGACMAASSLSVVSSSLLLQFYRKPLHIESS >Vigun09g267400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43059862:43060717:1 gene:Vigun09g267400.v1.2 transcript:Vigun09g267400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGSSLLQNSGSEEDLQAVMDERKRKRMISNRESARRSRMRKQKHLDDLASLVTQLRSENHQILTSVNLTTQKYLAVEAENSVLRAQVSELSHRLESLNEINHFLNSTNGVFGPPGPSPTSFFEPEGSSTFFNNNPFNMAYLSQPIMASADMLQY >Vigun02g043200.1.v1.2 pep primary_assembly:ASM411807v1:2:17376516:17380446:1 gene:Vigun02g043200.v1.2 transcript:Vigun02g043200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLSLSKALLRPTPLPSSHHPSLRLSPSLRPSPPTPPLSLRRRATHVRASVPVKTAEKATSDVALVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHVLYDEVMKYNPKNPKWFNRDRFVLSAGHGCMLQYALLHLAGFDSVKEEDLREFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGNTEIAFTESVDSRFEGLGWHVIWVKNGNNGYEDIRAAIEEAKSVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKKHWSRHIPEGAAFEAEWNIKFAEYERKYKEEAAELKSIINGELPAGWEEALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKTFGDFQKDTPAERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAIRLSALSEARVVYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGSSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAKAGEELRKEGKSVRVVSLVSWELFDEQSEEYKESVLPGAVSARVSIEAGSTFGWEKIVGPKGKAIGIDRFGASAPAGKIYKEFGITKEAVIAAAKELI >Vigun09g223500.1.v1.2 pep primary_assembly:ASM411807v1:9:39645996:39649561:1 gene:Vigun09g223500.v1.2 transcript:Vigun09g223500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAPPQNVTMVAVDKDKNSANAFRWAVNHLDNPVIIAVHVKHKNFSHHVTNVFPPDEDDVVNIFNSLRGMCTRKAVMMKEAVVDDADVVRGLLEFANRNLIHSIVVGASAKNPLSSLKKLKAYQCQDIPTAMIKSAPDFCSVYIISKLKLVSARSAVRSLSKNLTTPKSIPVPPSPPSPDGGPLRGQIPRSRAIYEGAAEPMRVIHARERPKSAGNGISIDHIDIPARPRNWSMDEREISGLGLMDLNRIDSGISESLPVSSSPQSSKELEAEMKRLRLELKQTMDMYSSACKQAISAKNQAEQIRQWKLEEDRRVEVVRMSQEAALAIAEKEKARAKAAMEAAEEARRRAEQEAQRRKDAEEKARVEAEEKERALSTLAQNDTRYRRYTIEEIELATEKFSPSNKIGEGGYGPVFKGHLDHTPVAIKILRPDASQGMKQFNQEIEVLSCIRHPHMVLLLGACPEHGCLVYEYLDNGSLEDRLFRKNSSRPIPWKKRFEISAEIATALLFLHQNKPEPIVHRDLKPSNILVDRNYVSKISDVGLARLVPPSVADTVTQYYMTSAAGTFCYIDPEYQQTGILTTKSDIYSLGIMLLQIITGKPPMGLAHLVRKAVEKGRFEEVLDPVITDWPVEEALSYAKLALQCAQLSKKDRPNLATEVLPELTRLSELELPPQNDPFSFSSDDTIYSCVSRSPTPPRGQYSDSETSNRV >Vigun09g223500.2.v1.2 pep primary_assembly:ASM411807v1:9:39645996:39649561:1 gene:Vigun09g223500.v1.2 transcript:Vigun09g223500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRKAVMMKEAVVDDADVVRGLLEFANRNLIHSIVVGASAKNPLSSLKKLKAYQCQDIPTAMIKSAPDFCSVYIISKLKLVSARSAVRSLSKNLTTPKSIPVPPSPPSPDGGPLRGQIPRSRAIYEGAAEPMRVIHARERPKSAGNGISIDHIDIPARPRNWSMDEREISGLGLMDLNRIDSGISESLPVSSSPQSSKELEAEMKRLRLELKQTMDMYSSACKQAISAKNQAEQIRQWKLEEDRRVEVVRMSQEAALAIAEKEKARAKAAMEAAEEARRRAEQEAQRRKDAEEKARVEAEEKERALSTLAQNDTRYRRYTIEEIELATEKFSPSNKIGEGGYGPVFKGHLDHTPVAIKILRPDASQGMKQFNQEIEVLSCIRHPHMVLLLGACPEHGCLVYEYLDNGSLEDRLFRKNSSRPIPWKKRFEISAEIATALLFLHQNKPEPIVHRDLKPSNILVDRNYVSKISDVGLARLVPPSVADTVTQYYMTSAAGTFCYIDPEYQQTGILTTKSDIYSLGIMLLQIITGKPPMGLAHLVRKAVEKGRFEEVLDPVITDWPVEEALSYAKLALQCAQLSKKDRPNLATEVLPELTRLSELELPPQNDPFSFSSDDTIYSCVSRSPTPPRGQYSDSETSNRV >Vigun08g050700.2.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGGNKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTGIMAESKSDYDEFSVDTVCTLNPLELVLYRCIELVEEKLKQST >Vigun08g050700.8.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGDKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTDAMQFPACLKIGFMM >Vigun08g050700.4.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGDKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTGIMAESKSDYDEFSVDTVCTLNPLELVLYRCIELVEEKLKQST >Vigun08g050700.1.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGEDKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTGIMAESKSDYDEFSVDTVCTLNPLELVLYRCIELVEEKLKQST >Vigun08g050700.7.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGGNKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTDAMQFPACLKIGFMM >Vigun08g050700.3.v1.2 pep primary_assembly:ASM411807v1:8:5848171:5854446:-1 gene:Vigun08g050700.v1.2 transcript:Vigun08g050700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVEREIASFRDSEIRNNLRRVLESCSKLVEAGDFHESENTVSELIKFLDSVYDGAVSDPDSERAENEAFEAISEIHRYICSPSLDQEVVDALSFELPKAVSKFVRISSRFLDMATSIIDHFIVKCGPRDMLSILCNALGYSSKITKAASYIVPPLSGISKVFISIQRRQFEQVKEAFPIILNVLKVVSLESEEEEEELEDVFDRAVGIANSICEVCNKLEGDAKEKLQSLLGLYVLQCMALISASLGYKASRCHSFVLQLSQISSYCGLSYLSLLTTYEVETVAGSIFGEDKDQYMGFLSHVKHGAALSVIWGLVSEEVAYTAKENLTAIKDELCNNQTKRWQAIGTLKQVLSFVNLPWELKKHAIDFLLCITDGSISRNCNEEHSEWSSYMPSLFSALQAVKMAIMHAPEPELRKKSFAVLKGVLDDIPISQRLDILKALITNTDSSSMIAIFIDLIRKEMHTAICNSRSIVKDAPQIENKAFPDTPFWNPEVIELAELVLRPPQGGPPILPEQSDAMLSALNLYRFVLMTESAEKTNFTGVLSRNNLLKAYNEWLLPLRTLVTGIMAESKSDYDEFSVDTVCTLNPLELVLYRCIELVEEKLKQST >Vigun08g032800.1.v1.2 pep primary_assembly:ASM411807v1:8:2990674:3005956:-1 gene:Vigun08g032800.v1.2 transcript:Vigun08g032800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSQPSSMQFRPVIQAQQGQPFVPMNPQQFGPAGHAIPSSNVGMPVIQGQQLQYSQPMQQLTPRPMQPGHLAPSSQPIPMPYMQTNRPMSSIPPHSQTVPPLSNHMPGLPVSGAPPHSSYTFTPSYGQQHDNANALAQYQHPPQMLAPPGGQPWPSSASQSVAPSTSVQPAGLQSSGATLTDAVTNATNQQSLSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMSPIERADASTVWKEFTSADGKKYYYNKVTQQSTWSIPEELQVAREQAQKAANQGIQSETSDTPNAVVSSIATPTTANAASLNPSLTSNGLASSPSSVTPIASTDSQQLVSGLLGTSVSHSTVTSSTTGVEPGSAGTTTAAPIVVAGSSGLPENSPQLSKMPPIVENQASQDFAPANGSSPNIEEAKKASAEVGKNNVIPSEEKTNDDETLVYANKLEAKNAFKALLESVNVQSDWTWEQAMREIINDKRYNALKTLGERKQAFNEYLGQRKKLEAEERRMKQKRAREEFTKMLEECKELTSSMRWSKAISMFENDERYNAVERPRDREDLFESYMVELERKEKENAAEEHRQNIAEYRKFLESCDYVKVNSHWRKIQDRLEDDDRYLRLEKIDRLLVFQDYIRDLEKEEEEQRRIQKDRVRRGERKNRDAFRKLLEEHVATGILTAKTQWREYCLKVRDLPQYQAVASNTLGSTPKDLFEDVAEDLEKQYHEDKTLIKDIIKSGKITVVTTSVFEEFKAAVLEDAACQTISDINLKLVFEELLERAKEKEEKEVKKRQRLADDFTNLLYTFKDITTSSKWEDCQSLFEETQEYRSIGDESYSREIFEEYITYLKEKAKEKERKREEEKVKKEKEREEKRKEKEKKEKDREREKDKSKERHRKDETDSDQDMADVHGYKEEKKKEKDKERKHRKRHQSSIDDVDSEKEDKEESKKSRRHGSERKKSRKHANSPESDNENRHRRHKREHWDVSRKSGGHEELEDGELGDDVEN >Vigun08g002100.1.v1.2 pep primary_assembly:ASM411807v1:8:236887:241137:-1 gene:Vigun08g002100.v1.2 transcript:Vigun08g002100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIIFLPCKKWHNEKMLSFSRHILNPCTQHSNLASLNWRTTTLTFLKSRSFPILLSSSIANTKTMGSLSALHQPIQYPAARRDDSVVDHFHGVKIADPYRWLENPEAEEVKEFVQKQVALTDSVLQRCECREKLSEKITELFDNPRYNAPFRRGNKYFYFHNTGLQAQSVLYLQHSLEAEAEVLLDPNALSEDGTVSLNTFSVSKDAEFLAYGLSSSGSDWVTIKVMRIQDRSVQPDTLSWVKFSSISWTHDSKGFFYSRYPAPKVGEVADAGTETNSNLHHELCYHFLGTDQSEDILCWRDPENPKYMFGGSVTEDGKYVLLYIDEGCDPVNKLYYYDLSELPNGLESFRNENSLLPFVKLVDKFDGQYHAIVNDDTLFTFLTNKDAPKYKVVRVDLKEPNAWTDVIEESEKDVLESARAVNGNQLIVSYLSDVKYVLQVRDLETGSLQHQLPIDIGTVSEISGRREDSEVFIGFTSFLTPGIIYQCDLRTQVPDMKIFREIVVPGFDRSEFHVNQVFVPSKDGTKVPMFIVGRKDIVLDGSHPCLLYGYGGFNVSLTPYFSISRTVLARHLGVVFCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAAEYLVSSGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLIKYSPLHNVQRPWEKHPNQSIQYPSTMLLTADHDDRVVPLHSLKLLATLQYVLVTSVDKSPQTNPIIGRIECKAGHGAGRPTKKMIDEAADRYSFMAKMLDAHWIE >Vigun08g002100.2.v1.2 pep primary_assembly:ASM411807v1:8:236887:241137:-1 gene:Vigun08g002100.v1.2 transcript:Vigun08g002100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIIFLPCKKWHNEKMLSFSRHILNPCTQHSNLASLNWRTTTLTFLKSRSFPILLSSSIANTKTMGSLSALHQPIQYPAARRDDSVVDHFHGVKIADPYRWLENPEAEEVKEFVQKQVALTDSVLQRCECREKLSEKITELFDNPRYNAPFRRGNKYFYFHNTGLQAQSVLYLQHSLEAEAEVLLDPNALSEDGTVSLNTFSVSKDAEFLAYGLSSSGSDWVTIKVMRIQDRSVQPDTLSWVKFSSISWTHDSKGFFYSRYPAPKVGEVADAGTETNSNLHHELCYHFLGTDQSEDILCWRDPENPKYMFGGSVTEDGKYVLLYIDEGCDPVNKLYYYDLSELPNGLESFRNENSLLPFVKLVDKFDGQYHAIVNDDTLFTFLTNKDAPKYKVVRVDLKEPNAWTDVIEESEKDVLESARAVNGNQLIVSYLSDVKYVLQVRDLETGSLQHQLPIDIGTVSEISGRREDSEVFIGFTSFLTPGIIYQCDLRTQVPDMKIFREIVVPGFDRSEFHVNQVFVPSKDGTKVPMFIVGRKDIVLDGSHPCLLYGYGGFNVSLTPYFSISRTVLARHLGVVFCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAAEYLVSSGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLIKYSPLHNVQRPWEKHPNQSIQYPSTMLLTADHDDRVVPLHSLKLLATLQYVLVTSVDKSPQTNPIIGRIECKAGHGAGRPTKKMFY >Vigun06g141200.1.v1.2 pep primary_assembly:ASM411807v1:6:26672469:26674268:-1 gene:Vigun06g141200.v1.2 transcript:Vigun06g141200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGLTTTLCCVLVVLGTLHCFSCEQLDPLFYNTTCPNVSSIVTDVLTNVSQSDPRMLASLIRLHFHDCFVQGCDASVLLNDTDTIVSEQSAAPNNNSLRGLDVVNQIKTAVENHCPGAVSCADILALAAERSSHLAKGPSWEVPLGRRDSLTANRTLANLNLPGPNFTLDQLNSSFAKQNLTVTDLVALSGAHTIGKAQCRFFVNRLYNFSGGNPDPILNTTLLESLRAICPNGGVGTNLTNLDLTTPETFDSKYYSNLQLQNGLLRSDQELFSTTDADTVPIVNSYINNETLFFEDFIASMIKMANIGVLTGSEGEIRTQCNFVNTNSSAFDIFTIQQSKEEMVSSI >Vigun05g226500.2.v1.2 pep primary_assembly:ASM411807v1:5:41897864:41903086:-1 gene:Vigun05g226500.v1.2 transcript:Vigun05g226500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMWVTSHGRIFVGRVLFLLVLFLWIPTQVVVGRTRTTVTNSSTSSSTPRVLRVGALFSLDSVIGRSAEPALVAAFEDVNADSSILPGIKLEAALHDTNCSGFAGTMEALQLMEDEVIAAIGPQSSGIAHVISHVVNELHVPLISFGATDPSLSSLQYPYFVRSTQSDYYQMYAIAALVDYYRWREIIAIYVDDDNGRNGISVLGDALSKKRAKISYKAPFPPGAPRKDISDLLNGVNLMESRVFVLHVNPETGLSIFSIAQKLGMMGSGYVWIATDSLASALDSSEPVDPKILNLLQGVLALRHHTPDTNEKKNFLSRMKRLRNKETPSFNSYALYAYDTVWLVAHALDAFLKEGGVVSFSSDPKLSDTNGSTLHLESLHVFDEGPTFLQTILGTNFTGLTGLVQFDNERNRIRPAYDILNIGGSGMRRIGYWSNYSGLSVVAPEILYKKPPNTSTSSQQLYGVIWPGETAAKPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVRGYCIDVFEAAINLLPYPVPREYILFGPGDRNPSYDDISSQVALNNYDAAVGDVTIVPNRTRFLDFTQPYIESGLVVVVPVKEIKSSPWSFLKPFTAQMWCVTGAFFILVGTVVWILEHRHNPEFRGRPKKQLMTVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISSNQPIGIQEGSFARKYLTEELNIQPSRIVTLKNMEAYIDALERGPKDGGVVAVVDELPYIEILMSNTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHDKWLLKQDCSTQENDVDLNKLSLSSFWGLFLICGIACLLALTAFFIRVLCQYTKFSPESEQHDEETSPDRPKGKGPFGSNTSFRDLIYFVDKKEKEIKEILKQKSKKRRRSLSLDGQSSSSA >Vigun05g226500.4.v1.2 pep primary_assembly:ASM411807v1:5:41897864:41902498:-1 gene:Vigun05g226500.v1.2 transcript:Vigun05g226500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMWVTSHGRIFVGRVLFLLVLFLWIPTQVVVGRTRTTVTNSSTSSSTPRVLRVGALFSLDSVIGRSAEPALVAAFEDVNADSSILPGIKLEAALHDTNCSGFAGTMEALQLMEDEVIAAIGPQSSGIAHVISHVVNELHVPLISFGATDPSLSSLQYPYFVRSTQSDYYQMYAIAALVDYYRWREIIAIYVDDDNGRNGISVLGDALSKKRAKISYKAPFPPGAPRKDISDLLNGVNLMESRVFVLHVNPETGLSIFSIAQKLGMMGSGYVWIATDSLASALDSSEPVDPKILNLLQGVLALRHHTPDTNEKKNFLSRMKRLRNKETPSFNSYALYAYDTVWLVAHALDAFLKEGGVVSFSSDPKLSDTNGSTLHLESLHVFDEGPTFLQTILGTNFTGLTGLVQFDNERNRIRPAYDILNIGGSGMRRIGYWSNYSGLSVVAPEILYKKPPNTSTSSQQLYGVIWPGETAAKPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVRGYCIDVFEAAINLLPYPVPREYILFGPGDRNPSYDDISSQVALNNYDAAVGDVTIVPNRTRFLDFTQPYIESGLVVVVPVKEIKSSPWSFLKPFTAQMWCVTGAFFILVGTVVWILEHRHNPEFRGRPKKQLMTVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISSNQPIGIQEGSFARKYLTEELNIQPSRIVTLKNMEAYIDALERGPKDGGVVAVVDELPYIEILMSNTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHDKWLLKQDCSTQENDVDLNKLSLSSFWGLFLICGIACLLALTAFFIRVLCQYTKFSPESEQHDEETSPDRPKGKGPFGSNTSFRDLIYFVDKKEKEIKEILKQKSKKRRRSLSLDGQSSSSA >Vigun05g226500.1.v1.2 pep primary_assembly:ASM411807v1:5:41897864:41903086:-1 gene:Vigun05g226500.v1.2 transcript:Vigun05g226500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIYNNSSKLLQHGKLLHIKFGVEMEVMWVTSHGRIFVGRVLFLLVLFLWIPTQVVVGRTRTTVTNSSTSSSTPRVLRVGALFSLDSVIGRSAEPALVAAFEDVNADSSILPGIKLEAALHDTNCSGFAGTMEALQLMEDEVIAAIGPQSSGIAHVISHVVNELHVPLISFGATDPSLSSLQYPYFVRSTQSDYYQMYAIAALVDYYRWREIIAIYVDDDNGRNGISVLGDALSKKRAKISYKAPFPPGAPRKDISDLLNGVNLMESRVFVLHVNPETGLSIFSIAQKLGMMGSGYVWIATDSLASALDSSEPVDPKILNLLQGVLALRHHTPDTNEKKNFLSRMKRLRNKETPSFNSYALYAYDTVWLVAHALDAFLKEGGVVSFSSDPKLSDTNGSTLHLESLHVFDEGPTFLQTILGTNFTGLTGLVQFDNERNRIRPAYDILNIGGSGMRRIGYWSNYSGLSVVAPEILYKKPPNTSTSSQQLYGVIWPGETAAKPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVRGYCIDVFEAAINLLPYPVPREYILFGPGDRNPSYDDISSQVALNNYDAAVGDVTIVPNRTRFLDFTQPYIESGLVVVVPVKEIKSSPWSFLKPFTAQMWCVTGAFFILVGTVVWILEHRHNPEFRGRPKKQLMTVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISSNQPIGIQEGSFARKYLTEELNIQPSRIVTLKNMEAYIDALERGPKDGGVVAVVDELPYIEILMSNTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHDKWLLKQDCSTQENDVDLNKLSLSSFWGLFLICGIACLLALTAFFIRVLCQYTKFSPESEQHDEETSPDRPKGKGPFGSNTSFRDLIYFVDKKEKEIKEILKQKSKKRRRSLSLDGQSSSSA >Vigun05g226500.3.v1.2 pep primary_assembly:ASM411807v1:5:41897864:41903086:-1 gene:Vigun05g226500.v1.2 transcript:Vigun05g226500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMWVTSHGRIFVGRVLFLLVLFLWIPTQVVVGRTRTTVTNSSTSSSTPRVLRVGALFSLDSVIGRSAEPALVAAFEDVNADSSILPGIKLEAALHDTNCSGFAGTMEALQLMEDEVIAAIGPQSSGIAHVISHVVNELHVPLISFGATDPSLSSLQYPYFVRSTQSDYYQMYAIAALVDYYRWREIIAIYVDDDNGRNGISVLGDALSKKRAKISYKAPFPPGAPRKDISDLLNGVNLMESRVFVLHVNPETGLSIFSIAQKLGMMGSGYVWIATDSLASALDSSEPVDPKILNLLQGVLALRHHTPDTNEKKNFLSRMKRLRNKETPSFNSYALYAYDTVWLVAHALDAFLKEGGVVSFSSDPKLSDTNGSTLHLESLHVFDEGPTFLQTILGTNFTGLTGLVQFDNERNRIRPAYDILNIGGSGMRRIGYWSNYSGLSVVAPEILYKKPPNTSTSSQQLYGVIWPGETAAKPRGWVFPNNGKPLRIAVPNRVSYLEFVSKDKNPPGVRGYCIDVFEAAINLLPYPVPREYILFGPGDRNPSYDDISSQVALNNYDAAVGDVTIVPNRTRFLDFTQPYIESGLVVVVPVKEIKSSPWSFLKPFTAQMWCVTGAFFILVGTVVWILEHRHNPEFRGRPKKQLMTVFWFSFSTMFFSHRENTVSGLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISSNQPIGIQEGSFARKYLTEELNIQPSRIVTLKNMEAYIDALERGPKDGGVVAVVDELPYIEILMSNTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHDKWLLKQDCSTQENDVDLNKLSLSSFWGLFLICGIACLLALTAFFIRVLCQYTKFSPESEQHDEETSPDRPKGKGPFGSNTSFRDLIYFVDKKEKEIKEILKQKSKKRRRSLSLDGQSSSSA >Vigun09g048200.1.v1.2 pep primary_assembly:ASM411807v1:9:4678999:4683762:1 gene:Vigun09g048200.v1.2 transcript:Vigun09g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVKLGSKADAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVLERMIAEATESEEECVISLSDIPGGAKTFELVAKFCYGVKLELTASNVVYLWCAAERLEMTEEYGEGNLISQAETFFNQVVLRSWKDSLRALETCDDVLAHAEELHIVKRCIESLAAKASTDPNLFGWPVLERGGPLQSPGGSVLWNGISTGARPKNSSADWWYEDVSNLSLPLYKRLIAVMESRGIRQEIIAGSLAFYAKTYLPMLNRRQVSGESSTRLTQVGSPLSEDDQKILLEEIDGLLPMQKGLVQTKFLFGLLRTAMILRVSPSCISNLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILDHFLAMDQATGCASPCSIDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDINLKLPKFQALAAAVPEYARPLDDGLYRALDIYLKSHPWLVESEREQLCRLMDCQKLSLEACTHAAQNERLPIRIIFQVLFFEQLQLRTSIAGCFMVSDNLDGSRQLRSGLVGSTEGGWASAVKENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRSKGSSAWGTVSKKLGFKMKSQMCSAQEGSVSNQNNNAANSKVEKLKERHVKHKKSCSISDKASSVSSIVHS >Vigun09g048200.2.v1.2 pep primary_assembly:ASM411807v1:9:4678999:4683762:1 gene:Vigun09g048200.v1.2 transcript:Vigun09g048200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEATESEEECVISLSDIPGGAKTFELVAKFCYGVKLELTASNVVYLWCAAERLEMTEEYGEGNLISQAETFFNQVVLRSWKDSLRALETCDDVLAHAEELHIVKRCIESLAAKASTDPNLFGWPVLERGGPLQSPGGSVLWNGISTGARPKNSSADWWYEDVSNLSLPLYKRLIAVMESRGIRQEIIAGSLAFYAKTYLPMLNRRQVSGESSTRLTQVGSPLSEDDQKILLEEIDGLLPMQKGLVQTKFLFGLLRTAMILRVSPSCISNLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILDHFLAMDQATGCASPCSIDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDINLKLPKFQALAAAVPEYARPLDDGLYRALDIYLKSHPWLVESEREQLCRLMDCQKLSLEACTHAAQNERLPIRIIFQVLFFEQLQLRTSIAGCFMVSDNLDGSRQLRSGLVGSTEGGWASAVKENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRSKGSSAWGTVSKKLGFKMKSQMCSAQEGSVSNQNNNAANSKVEKLKERHVKHKKSCSISDKASSVSSIVHS >Vigun04g188000.1.v1.2 pep primary_assembly:ASM411807v1:4:41242116:41244186:1 gene:Vigun04g188000.v1.2 transcript:Vigun04g188000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSHIKAWVYSEYGNIEETLKFETDIPIPQFKEDEVLIKVAAAALNPIDYKRALGFFKNTDSPLPTVPGYDVAGVVVRVGSEVRKFKVGDEVYGDINENPRINPKRIGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSAGKSVLVIGGAGGVGSLVIQLAKQVFGASKVAATASTGKLDLLRNLGADLAIDYTKGELEELEEKFDVVYDTVGQSEIDRASKAVKEGGKFVTVAARGSSSAIFVMRISDGTVLEKLEPYLESGKVKPILDPKSPFSFSQAVEAFAYLKTNRAIGKVVIHPIP >Vigun04g188000.2.v1.2 pep primary_assembly:ASM411807v1:4:41241937:41244177:1 gene:Vigun04g188000.v1.2 transcript:Vigun04g188000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSHIKAWVYSEYGNIEETLKFETDIPIPQFKEDEVLIKVAAAALNPIDYKRALGFFKNTDSPLPTVPGYDVAGVVVRVGSEVRKFKVGDEVYGDINENPRINPKRIGSLAEYTAVEEKVLAHKPSNLSFAEAASLPLAIITAYQGLERVEFSAGKSVLVIGGAGGVGSLVIQLAKQVFGASKVAATASTGKLDLLRNLGADLAIDYTKGELEELEEKFDVVYDTVGQSEIDRASKAVKEGGKFVTVAARGSSSAIFVMRISDGTVLEKLEPYLESGKVKPILDPKSPFSFSQAVEAFAYLKTNRAIGKVVIHPIP >Vigun11g137500.1.v1.2 pep primary_assembly:ASM411807v1:11:34666129:34668773:-1 gene:Vigun11g137500.v1.2 transcript:Vigun11g137500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEGSPGSSMHGVTGREQTFIASVASPMVPTDTTANFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLTMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVDDAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFVPGWLHVIMGILVLTLGQDLPDGNLGTLQKKGDVARDKFSKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANILARPFGGYTSDVAARLFGMRGRLWNLWILQTLGGVFCIWLGRANSLPIAVLAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSKFSTSTGLSLMGVMIVCCTLPVTLVHFPQWGGMFLPPSKDINEESYYTSEWNEEEKQKGLHQNSLKFAENSRSERGKRVASAPTPPNTTPTHI >Vigun03g054500.4.v1.2 pep primary_assembly:ASM411807v1:3:4447314:4451906:-1 gene:Vigun03g054500.v1.2 transcript:Vigun03g054500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETTMVNSICRQSEREEERGERRACARTSVTEKQLQMGHVAAVMALSPSTSQQLLYYASIFFFSLFFILCLFAHSAQSSFSSKPNLTPMVRTVNRIWKIFCQKMQGSKNQCQLSEMKCNISSLGHFFGLLDANFFDDKQIGEIAEGAEEFNIPIIKANRKLVAYENGGLHYPSPLVFNADWNYEPVHYENKRFNYPSISGVQRPDSEDDIAFMSVLELGELIKTKQITSQQLTQIFLRRLKKYNPTLEAVVTYTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAFGTETAGSITYPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFCRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFTMDVDMLSHFDEWQRSGEDNVYEAQDQWPTELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALAVAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPSRVLGIAPSST >Vigun03g054500.3.v1.2 pep primary_assembly:ASM411807v1:3:4447302:4451906:-1 gene:Vigun03g054500.v1.2 transcript:Vigun03g054500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETTMVNSICRQSEREEERGERRACARTSVTEKQLQMGHVAAVMALSPSTSQQLLYYASIFFFSLFFILCLFAHSAQSSFSSKPNLTPMGSKNQCQLSEMKCNISSLGHFFGLLDANFFDDKQIGEIAEGAEEFNIPIIKANRKLVAYENGGLHYPSPLVFNADWNYEPVHYENKRFNYPSISGVQRPDSEDDIAFMSVLELGELIKTKQITSQQLTQIFLRRLKKYNPTLEAVVTYTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAFGTETAGSITYPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFCRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFTMDVDMLSHFDEWQRSGEDNVYEAQDQWPTELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALAVAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPSRVLGIAPSST >Vigun03g054500.2.v1.2 pep primary_assembly:ASM411807v1:3:4447302:4451949:-1 gene:Vigun03g054500.v1.2 transcript:Vigun03g054500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETTMVNSICRQSEREEERGERRACARTSVTEKQLQMGHVAAVMALSPSTSQQLLYYASIFFFSLFFILCLFAHSAQSSFSSKPNLTPMGSKNQCQLSEMKCNISSLGHFFGLLDANFFDDKQIGEIAEGAEEFNIPIIKANRKLVAYENGGLHYPSPLVFNADWNYEPVHYENKRFNYPSISGVQRPDSEDDIAFMSVLELGELIKTKQITSQQLTQIFLRRLKKYNPTLEAVVTYTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAFGTETAGSITYPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFCRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFTMDVDMLSHFDEWQRSGEDNVYEAQDQWPTELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALAVAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPSRVLGIAPSST >Vigun03g054500.1.v1.2 pep primary_assembly:ASM411807v1:3:4447314:4451906:-1 gene:Vigun03g054500.v1.2 transcript:Vigun03g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETTMVNSICRQSEREEERGERRACARTSVTEKQLQMGHVAAVMALSPSTSQQLLYYASIFFFSLFFILCLFAHSAQSSFSSKPNLTPMVRTVNRIWKIFCQKMQGSKNQCQLSEMKCNISSLGHFFGLLDANFFDDKQIGEIAEGAEEFNIPIIKANRKLVAYENGGLHYPSPLVFNADWNYEPVHYENKRFNYPSISGVQRPDSEDDIAFMSVLELGELIKTKQITSQQLTQIFLRRLKKYNPTLEAVVTYTEELAQEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPKYKTTWGSKSFKNQIINTEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAFGTETAGSITYPAARCGVTALRPTFGTIGRSGVMSISESLDKLGPFCRSATDCAIILDIVRGRDLDDPSSKDSSLDDPFLVDISKLTVGYLEDAEMEVVHVLASKGVKMVPFKLNYTVDSVQGILNFTMDVDMLSHFDEWQRSGEDNVYEAQDQWPTELRRSRIIPAVDYVQAQRARGRLIKEIRESFTVDAFIGNATDWERVCIGNLVGLPVIVVPTGLKNISHPPPGGTRRRTTITTGIYAPPNRDHIALAVAMAYQAVTNHHKQRPPINDLGPNDKIPDPVSGSTYPSRVLGIAPSST >Vigun10g048700.15.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.9.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.17.v1.2 pep primary_assembly:ASM411807v1:10:7475825:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.1.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.19.v1.2 pep primary_assembly:ASM411807v1:10:7475825:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.8.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.14.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.21.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.21.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.20.v1.2 pep primary_assembly:ASM411807v1:10:7477018:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.16.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.27.v1.2 pep primary_assembly:ASM411807v1:10:7477018:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.27.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.25.v1.2 pep primary_assembly:ASM411807v1:10:7477018:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.25.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.13.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.11.v1.2 pep primary_assembly:ASM411807v1:10:7475823:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.24.v1.2 pep primary_assembly:ASM411807v1:10:7475825:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.24.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.26.v1.2 pep primary_assembly:ASM411807v1:10:7475825:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.26.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.6.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.7.v1.2 pep primary_assembly:ASM411807v1:10:7475773:7503424:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFEKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.22.v1.2 pep primary_assembly:ASM411807v1:10:7475825:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.22.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.18.v1.2 pep primary_assembly:ASM411807v1:10:7477018:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRGGRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun10g048700.23.v1.2 pep primary_assembly:ASM411807v1:10:7477018:7503351:1 gene:Vigun10g048700.v1.2 transcript:Vigun10g048700.23.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSGSALLVNAEVDSMGGVVDGGVGIGLKISPRRAAIEKAQAELREEYDAREERRRELEFLEKGGNPLDFKSGITASVSVQSTSITGQPHEQFVTSEAKGSFALTASPHTDSVDSSARPGAPLASEPNTADNLQLFNGENELPETERRCFNRRNNIAASEQSSQIGGSQNAKETEDSAIFRPYARRNRSRPIHGPRGASREAKGILLETNNQKNLNLPTVSKPEPSSLNGDIGTKNLMTDNTLNNELVGIRDHQSTSGSASVPNDKLDITVNINLKENPETVPSEDNTVENPILMATEEANVVELSEPVGVVNRESPSHIPTTKAENGPCDCQPNGFGSGEVDRKSVKNEGQNNIARLGKKNFDLGSSCTRTSFGRHVNNDNDMCTNTKTVDTNENTMEQTFTLESKLNSANCEVVKDRHKTKIGAIVSNEHDAGCQDHSGSDNIVKAEEDFNINSSSMSNIKGVHNDSTTPKADNDTVLVDQSNVKESSSERHQVPVDVSLSESPQTALAEKVKSATLDDQPCAMHSMKLVEKAREDSILEEAQIIEAKRKRIVELSLRSLPTQNQRKSHWGFVLEEMAWLANDFAQERLWKIAAAGQLGHQAAFTCMSRSVKLDKQLETKVLSHKIAKAVMQFWHSAELLLDNDLDINCILGCVKSGNVDANEAMRDQRRNYNVLLETSKLVEGQNPVKHAQLKVHAYALRFLKANRSHGISSQAEAPTTPEKIFDSSTVDMSWDEHLNEENLFYEVSPTATETYRKAIESHFHHFENKLFQKIGNSIQEEIETSVYDTTAEFGSQENAYDEEEGENSTYYLPGVYEGNKSSKSAQKKHKNLKSYTPRCGDAGADLPYVHYTTGTQSSMMFGKRPASLNVGSIPTKRMRTATRHRVVSPFTNLGTVQAQAKADASSGDTNSFHDDQSTLHVGPLIQKGTEVESNADFEKQLSYDCAETFVKTKKKKAKTLGSMYDQGWQLDCVVLNEQRDQSKKRGDSHHFESIGSGGLYGQHKGKKPKLMRPLDNPLDNIVPLTNSIPSPAASQMSNMSSPNKFIKIISGRDRGRKTKSLKTSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSALQIKCVFRKPKECKDRHKILMDRTTGDGADSAEDSGSSQSYPSTLPGIPKQGSARQLFQRLQGPMEEDTLKSHFEKIIKIGQKQHYFKNQVDNQDSKQVVPAHNSHVIALSLTSPNNLNGNLLTPLDLCEAEETNPDVPAVGYQGSHPGGLTLPHQGSIQSTLTTSGVNSSLPGSSGMVLGHHLSSSSGSAITSVRYGIPRNSPSSVDEQQRIQYNQMLSGRSIQQTIPVTGTLSGSDHGRMLPGGNGIGIMGGINKAMAMSRPGFQGLASPSMLNSGSMISSSMVGMPSPVNMHSGVGPGPGNSILRPHETLHMMRPGHNPEHQRQMMVPELQMQVTQGNSQGIPALCGLSSSFSNQTTPPVQPYPGHAQQSHQLSQQQSHLSNPRPHLQGPSHTNSQQQTYAVRLAKERQRYLQHQQQLAASNALLPHVQAKSQLPISSTPLQNSSQVQPQNSSQQVPHSPITPSSPLTPMSSQLQQQKLHQSQPVFSRNPPASGLTNQAAKQRPRHPPQQPSPPQQYQQSGRQHPNQRNNVQSQQQAKLMKGMGRGNMLVHPNLSVDTSHLSGLSLPVGSQTGEKGDPILHMMQGQNIYPGSGLNPKPLGHAHSSNHQQKLHSVSPTTSSKQLHIPSDTKAQAQVSPVSSGQLLSPTQPAVIASNHHQLQPQSQSKKINHTQTNVQRMLPLNHPVHTEPLKSDPIQADQQSANSASQVSTCATMTQSCMDSVSAVPVIATVSSQRKTAEPPFDSTMKNQTTQISSLGSAPAGNSTGNEQPTISQGLEPRSVSLTCHAHNSDTQWQKHQVQPLQQAQPILSQQPYQTEQQKQHEKEEYSPKDLVLQPQQHLPHLQSGQNSMFIQPPNSKVE >Vigun02g152200.1.v1.2 pep primary_assembly:ASM411807v1:2:29887857:29890799:1 gene:Vigun02g152200.v1.2 transcript:Vigun02g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADADNLSISRSELHDLLSKPSLNGIPLLVLGNKIDKPGALSKQALTDQMELKSIIDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Vigun06g010400.2.v1.2 pep primary_assembly:ASM411807v1:6:4775398:4789552:-1 gene:Vigun06g010400.v1.2 transcript:Vigun06g010400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSTNEVEEVLQSSKTDLRIAGFEDEEKRVKQRISHGPHISLKLPQGQYIFCEFRTLQIPGLELNPPAAEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPVGYVGVDPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEASSLDWTRSASRTLSGLRNTSTYEDDIIADNSSIPQKLGGDRTDQLISARESSVAAAYHRLANVSPNKLGGSELNQDLDPGYSSHSLSEKKSDCMISASKEIEDIQMAVTDNKEHRGEPDPDDHIINGMNHEPDPDDSHHGKAVDYDVSSGIADSKTFEQPNDFGVRQVLQSETSTGNMVAKYASLANQDTSEASTESIAPIIETVLNDVVPTPELSTLQTSEPDPDDQEFQRINDTSTAVCNRLLKAVEMLRREVSATQATSILQTLLKIIRNVIEHPLVEKYKRLRKANPVIERNILNNKAALEILFLVGFSEDVMFDNLGKEDAYLVLKKNDPGLLWLAKSTLESSTGLAC >Vigun06g010400.5.v1.2 pep primary_assembly:ASM411807v1:6:4775398:4789552:-1 gene:Vigun06g010400.v1.2 transcript:Vigun06g010400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSLLNVSVTWRGKKFVVEMNIGATVKDLGQELQKLTNINEDTMRFIVPQISGRASKLLTPFSAEHAVLSLQEASITEARSIIMMGVSTNEVEEVLQSSKTDLRIAGFEDEEKRVKQRISHGPHISLKLPQGQYIFCEFRTLQIPGLELNPPAAEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPVGYVGVDPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEASSLDWTRSASRTLSGLRNTSTYEDDIIADNSSIPQKLGGDRTDQLISARESSVAAAYHRLANVSPNKLGGSELNQDLDPGYSSHSLSEKKSDCMISASKEIEDIQMAVTDNKEHRGEPDPDDHIINGMNHEPDPDDSHHGKAVDYDVSSGIADSKTFEQPNDFGVRQVLQSETSTGNMVAKYASLANQDTSEASTESIAPIIETVLNDVVPTPELSTLQTSEPDPDDQEFQRINDTSTAVCNRLLKAVEMLRREVSATQATSILQTLLKIIRNVIEHPLVEKYKRLRKANPVIERNILNNKAALEILFLVGFSEDVMFDNLGKEDAYLVLKKNDPGLLWLAKSTLESSTGLAC >Vigun06g010400.3.v1.2 pep primary_assembly:ASM411807v1:6:4775398:4789552:-1 gene:Vigun06g010400.v1.2 transcript:Vigun06g010400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSLLNVSVTWRGKKFVVEMNIGATVKDLGQELQKLTNINEDTMRFIVPQISGRASKLLTPFSAEHAVLSLQEASITEARSIIMMGVSTNEVEEVLQSSKTDLRIAGFEDEEKRVKQRISHGPHISLKLPQGQYIFCEFRTLQIPGLELNPPAAEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPVGYVGVDPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEASSLDWTRSASRTLSGLRNTSTYEDDIIADNSSIPQKLGGDRTDQLISARESSVAAAYHRLANVSPNKLGGSELNQDLDPGYSSHSLSEKKSDCMISASKEIEDIQMAVTDNKEHRGIADSKTFEQPNDFGVRQVLQSETSTGNMVAKYASLANQDTSEASTESIAPIIETVLNDVVPTPELSTLQTSEPDPDDQEFQRINDTSTAVCNRLLKAVEMLRREVSATQATSILQTLLKIIRNVIEHPLVEKYKRLRKANPVIERNILNNKAALEILFLVGFSEDVMFDNLGKEDAYLVLKKNDPGLLWLAKSTLESSTGLAC >Vigun06g010400.4.v1.2 pep primary_assembly:ASM411807v1:6:4775398:4789552:-1 gene:Vigun06g010400.v1.2 transcript:Vigun06g010400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSLLNVSVTWRGKKFVVEMNIGATVKDLGQELQKLTNINEDTMRFIVPQISGRASKLLTPFSAEHAVLSLQEASITEARSIIMMGVSTNEVEEVLQSSKTDLRIAGFEDEEKRVKQRISHGPHISLKLPQGQYIFCEFRTLQIPGLELNPPAAEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPVGYVGVDPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEASSLDWTRSASRTLSGLRNTSTYEDDIIADNSSIPQKLGGDRTDQLISARESSVAAAYHRLANVSPNKLGGSELNQDLDPGYSSHSLSEKKSDCMISASKEIEDIQMAVTDNKEHRGIADSKTFEQPNDFGVRQVLQSETSTGNMVAKYASLANQDTSEASTESIAPIIETVLNDVVPTPELSTLQTSEPDPDDQEFQRINDTSTAVCNRLLKAVEMLRREVSATQATSILQTLLKIIRNVIEHPLVEKYKRLRKANPVIERNILNNKAALEILFLVGFSEDVMFDNLGKEDAYLVLKKNDPGLLWLAKSTLESSTGLAC >Vigun06g010400.1.v1.2 pep primary_assembly:ASM411807v1:6:4775398:4789552:-1 gene:Vigun06g010400.v1.2 transcript:Vigun06g010400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSLLNVSVTWRGKKFVVEMNIGATVKDLGQELQKLTNINEDTMRFIVPQISGRASKLLTPFSAEHAVLSLQEASITEARSIIMMGVSTNEVEEVLQSSKTDLRIAGFEDEEKRVKQRISHGPHISLKLPQGQYIFCEFRTLQIPGLELNPPAAEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPVGYVGVDPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEASSLDWTRSASRTLSGLRNTSTYEDDIIADNSSIPQKLGGDRTDQLISARESSVAAAYHRLANVSPNKLGGSELNQDLDPGYSSHSLSEKKSDCMISASKEIEDIQMAVTDNKEHRGEPDPDDHIINGMNHEPDPDDSHHGKAVDYDVSSGIADSKTFEQPNDFGVRQVLQSETSTGNMVAKYASLANQDTSEASTESIAPIIETVLNDVVPTPELSTLQTSEPDPDDQEFQRINDTSTAVCNRLLKAVEMLRREVSATQATSILQTLLKIIRNVIEHPLVEKYKRLRKANPVIERNILNNKAALEILFLVGFSEDVMFDNLGKEDAYLVLKKNDPGLLWLAKSTLESSTGLAC >Vigun07g097600.1.v1.2 pep primary_assembly:ASM411807v1:7:16891617:16892941:-1 gene:Vigun07g097600.v1.2 transcript:Vigun07g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLAYLKEQAAQSFLNASVSENPNEKLFEKSSAALPQDLQSWFQVENSNLGPEFLPNMCTNLSTQKHYGNNVLIEDLNPTGINYENSGAMEENSSFSSFDESSNSYSMSYDMHTNRRTWGFHEVEDLHSVAFGYSARSHS >Vigun04g161350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38363327:38363728:-1 gene:Vigun04g161350.v1.2 transcript:Vigun04g161350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNSSIRNPYEPSKPFTCITLNSVTRLLPSNYLTWKLQVEALLDGHDLLKYPDGSFPAPATTVSTTDDPPVTISNPAYQTWRRQDRLIYGALLTTLSPEVASLVSQTTTSHDLWTLLQRTYAKASSRSHLR >Vigun08g047766.1.v1.2 pep primary_assembly:ASM411807v1:8:5182785:5184110:1 gene:Vigun08g047766.v1.2 transcript:Vigun08g047766.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKAPTCADVDAHDHVFANQNLGNHGQQHLRKQVRRRLTNRPPEERIMNMADARKEVVNALKYHRATMKVASEHHQQQLSFEPPFYSRFNPDGIFKARRRPKMYLPPSTKISHYLNDFSFSSSFPPLPPPLILHPPPLSLPHPPPPPPTFYPHTINSPFSLPLPKLEPPNFTLSSQTLGFNLNLPSFNSSEPTPLLNNNTFDPPLFLDNKILDPTLLVDNSTSNPTLLLDNNNTLDPTLLLDYNNTSNLTFLLDNNILEPTLLPSNNDSSLYSYLTPTLTSPPFLTDQDVPSIGISQSQGEVVSRLMNSIESNTANQASGSMHAAMDEEGIEEIRALGQQHQMEWDDTTNLITSVWWHDCLQQMENNAAEVNNGDDPFQEIFDDELEFSIWKN >Vigun11g078650.1.v1.2 pep primary_assembly:ASM411807v1:11:23097757:23098233:-1 gene:Vigun11g078650.v1.2 transcript:Vigun11g078650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKECPKTYIQNLRTGIYKETIQLIKTHNEDHMNTILHFSTNIICFFILSGYSILGFHSTHGWELLFGFVYKDFGFAQNDQIISGLVSTFPVILDIILKYWIFRYLNRISPSLVVIYHSMND >Vigun06g064300.1.v1.2 pep primary_assembly:ASM411807v1:6:19287440:19290730:1 gene:Vigun06g064300.v1.2 transcript:Vigun06g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNPESPAKTAADPHSKPAVDPGKTQTKKWFCCMQPSAE >VigunL072700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:49449:49673:-1 gene:VigunL072700.v1.2 transcript:VigunL072700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLAKQLFGYAILGFALTEAIALFALMMAFLILFVF >Vigun03g067900.1.v1.2 pep primary_assembly:ASM411807v1:3:5606481:5607204:-1 gene:Vigun03g067900.v1.2 transcript:Vigun03g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPNYKHLLLLLFLLLGFITMTARARSLKEIKDDDAVKKGQSGLFKPQHESAQESNNDELDTMDYTPAKRNPPIHN >Vigun06g013700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:6314508:6317827:1 gene:Vigun06g013700.v1.2 transcript:Vigun06g013700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMFDEMGFCNDLDTVPATLGEENITTGQTDPEAIVEDDFSDEEIGVDELEHRMWKDKMLLKRLKEQSKSKEGIDAVKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQADHAIPGRNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGNEVWWPQIGLPKDQSPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDYIPPLASGGGSGSLVVNDGNEYDVEGGEDEPNFDVEDRKHENIHMSNLGMERMRGTMGGVQQQSFSIKGEAVTNLDVLRKRKVSNEFNMMDMKIYTCEQPQCPYSQLQLGFPDRISRDNHRLICAFRGPSDFGGPNFHVNEVKPVIYPQSFVQPKPTTAAHSANMVTPVIDLTGLEVSEDGKKRISDLMTNYDTNVQGNKNMSSCNRVAVGGEVLNLPQQQDNFIRGRGITMEGNVFDEATMSNNHHTFARDECQFDRFKALNGPFETNHNNNNNNSNFHSMFGSFCDLASFDFKEDMQGVVGMDGVQKPPDFSVWYQ >Vigun09g163300.3.v1.2 pep primary_assembly:ASM411807v1:9:33072756:33078815:-1 gene:Vigun09g163300.v1.2 transcript:Vigun09g163300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFAARIVNVMKSANLYASQGGPIILSQIENEYGNVEGAFHEKGLSYIRWAAQMAVGLQTGVPWVMCKQDNAPDPVINTCNGMQCGTTFKGPNSPNKPSLWTENWTSFYQVFGGVPYIRSAEDIAYNVALFIAKRGSYVNYYMYHGGTNFDRIASAFVTTSYYDEAPLDEYGLVRQPKWGHLKELHAAIKSCSKTLLYGTQTSFSLGSRQNAYVFKSSSTECAAFLENNEDRSVTIQFQNIPYQLPPKSISILPDCKSVAFNTAKVRTKNDRSMKSYLQFNSAEKWKVYKEAIPSFDDTTLRANTLLDQISTAKDTSDYMWYTFRFNDNSPDAQSILSAHSQGHVLHTFINGNLVGSAHGSHRNASFVMENKLNLIKGMNNISFLSATVGLPNSGAYLEHKIAGLSRVKVQGRDFTNQAWGYQVGLLGEKLQIYTASGSSKVQWERFQSSTKPLTWYKTTFDAPEGNDPIVLNLDSMGKGYTWINGQGIGRYWVSFQTPRGTPSQKWYHIPRSLLKSTGNLLVLLEEETGNPLAITLDTVYITSQ >Vigun09g163300.2.v1.2 pep primary_assembly:ASM411807v1:9:33072756:33078815:-1 gene:Vigun09g163300.v1.2 transcript:Vigun09g163300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFAARIVNVMKSANLYASQGGPIILSQIENEYGNVEGAFHEKGLSYIRWAAQMAVGLQTGVPWVMCKQDNAPDPVINTCNGMQCGTTFKGPNSPNKPSLWTENWTSFYQVFGGVPYIRSAEDIAYNVALFIAKRGSYVNYYMYHGGTNFDRIASAFVTTSYYDEAPLDEYGLVRQPKWGHLKELHAAIKSCSKTLLYGTQTSFSLGSRQNAYVFKSSSTECAAFLENNEDRSVTIQFQNIPYQLPPKSISILPDCKSVAFNTAKVRTKNDRSMKSYLQFNSAEKWKVYKEAIPSFDDTTLRANTLLDQISTAKDTSDYMWYTFRFNDNSPDAQSILSAHSQGHVLHTFINGNLVGSAHGSHRNASFVMENKLNLIKGMNNISFLSATVGLPNSGAYLEHKIAGLSRVKVQGRDFTNQAWGYQVGLLGEKLQIYTASGSSKVQWERFQSSTKPLTWYKTTFDAPEGNDPIVLNLDSMGKGYTWINGQGIGRYWVSFQTPRGTPSQKWEPTSSARRRNWEPTCNHSGHSLHHITVKDTYYEETGMIYLLNMDAESAGV >Vigun09g163300.1.v1.2 pep primary_assembly:ASM411807v1:9:33072756:33082502:-1 gene:Vigun09g163300.v1.2 transcript:Vigun09g163300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVWWWRRCFPLAFILTAFIGGAYGGNVTYDGRSLIVDGQHRILFSGSIHYPRSTPQMWPNLIAKAKEGGLDVIQTYVFWNLHEPQQGQYNFRGRHNIVRFIKEIQAQGLYVTLRIGPYIESECTYGGLPIWLHDIPGIVFRSDNEQFKFHMQRFAARIVNVMKSANLYASQGGPIILSQIENEYGNVEGAFHEKGLSYIRWAAQMAVGLQTGVPWVMCKQDNAPDPVINTCNGMQCGTTFKGPNSPNKPSLWTENWTSFYQVFGGVPYIRSAEDIAYNVALFIAKRGSYVNYYMYHGGTNFDRIASAFVTTSYYDEAPLDEYGLVRQPKWGHLKELHAAIKSCSKTLLYGTQTSFSLGSRQNAYVFKSSSTECAAFLENNEDRSVTIQFQNIPYQLPPKSISILPDCKSVAFNTAKVRTKNDRSMKSYLQFNSAEKWKVYKEAIPSFDDTTLRANTLLDQISTAKDTSDYMWYTFRFNDNSPDAQSILSAHSQGHVLHTFINGNLVGSAHGSHRNASFVMENKLNLIKGMNNISFLSATVGLPNSGAYLEHKIAGLSRVKVQGRDFTNQAWGYQVGLLGEKLQIYTASGSSKVQWERFQSSTKPLTWYKTTFDAPEGNDPIVLNLDSMGKGYTWINGQGIGRYWVSFQTPRGTPSQKWYHIPRSLLKSTGNLLVLLEEETGNPLAITLDTVYITSQ >Vigun05g082600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:7798632:7801634:1 gene:Vigun05g082600.v1.2 transcript:Vigun05g082600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSLVIMLVLVPCFESLPGVRAQSTTTTTSPGSSSTREEARALDALLQQYAYRALVNPKTGIIYNATNLPSNLSGIEVAALRLRSGSLRRKGFQPYNEFEIPMGLIGRPYVERLVLVYQNLGSRSSRYYPLTDYTYLAPVLGLLAYDGSNLSASNLSEIDIDASDGPILVKFRTVKSVPHGAVAKCVWFDLQGSSNFSDVTADNTCSSFQQGHFSIVVKSPAPSPAPAPAPPTPASPPKKRPSPSAQGKGEKDNKKVWIIVGSVVGGLVLLVLLSLLVLWMRKYKQKKKMQQMERAAELGEPLQMASIGDTKAPAATVTRTQPTLEHEYAP >Vigun05g137300.1.v1.2 pep primary_assembly:ASM411807v1:5:16589497:16592562:-1 gene:Vigun05g137300.v1.2 transcript:Vigun05g137300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQFFLNAPQLHYDPSPPPCPPSWHSLSSPMDVQVLNSSTERTQDCFYTPPWEKSTDHALHFDSALSSMVSSPAASNSNMSTDNFVIRELIGKLGNIGGGAGGSDEISPHSQPLLAAASSSYINGNNSTNTSCYSTPLSSPPKIPTMMNHLVKEGLNSSVAEFSTDPGFAERAAKFSCFGSRSFNGRTTQLGPTNAELTHRSSSPLLENGKLPRVSSTPSLKVLGSLVSSQENKNSPLQDQMEVANSQEESTISEQIPNGDNGVKPSPYANSRKRKGPSKGKAKETSTSTSTPTNTNTNPNPNPNPNPPMAAEASEDSNAKRSKTNEGEGNEKGQVKAEEESKGVTSNANDDKQNKSNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFSIESLISKDIFQSNNSLAQPIFPLDSSAPAFYGQHPQPNPAIHSNIPNGTVSHNSVDPLDSGLCQNLGMQLPHLSAFNEGASQYPIAFSEDDLHTIVQMGFGQTANGKTAVQAQSFNGSNQVPL >Vigun06g097100.1.v1.2 pep primary_assembly:ASM411807v1:6:22838089:22844691:1 gene:Vigun06g097100.v1.2 transcript:Vigun06g097100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASESDVSVHSTFASRYVRTSLPRFRMPEESIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLQESEAAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPDQAVELVDENTICVAAILGSTLNGEFEDVKRLNDLLIEKNKQTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCRDNMLVLKEGLEKTGRFSIVSKDNGVPLVAFTLKDHTHFDEFQISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVADVEKVLHELDGLPARVISSTTVAVNTEENGKVVKKSALETQREITAVWKKFVLERKKLNDKMNGVC >Vigun11g217800.1.v1.2 pep primary_assembly:ASM411807v1:11:41112134:41117245:1 gene:Vigun11g217800.v1.2 transcript:Vigun11g217800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEDTAGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Vigun08g036900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3538048:3539992:-1 gene:Vigun08g036900.v1.2 transcript:Vigun08g036900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQHHVQGLTAEEETELEPVIKKYHLFEHCPDKCSSIISYRIDAPASTVWPFVRSFENPQKYKHFIKGCSMRGDGGVGSIREVTVVSGLPASTSTERLEILDDEKHVLSFRVVGGEHRLKNYRSVTSVNEFKKEGRVYTIVLESYIVDIPEGNTEEDTKMFVDTVVKLNLQKLGVVAMASSSSSSMHGQ >Vigun08g036900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3538048:3539992:-1 gene:Vigun08g036900.v1.2 transcript:Vigun08g036900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQHHVQGLTAEEETELEPVIKKYHLFEHCPDKCSSIISYRIDAPASTVWPFVRSFENPQKYKHFIKGCSMRGDGGVGSIREVTVVSGLPASTSTERLEILDDEKHVLSFRVVGGEHRLKNYRSVTSVNEFKKEGRVYTIVLESYIVDIPEGNTEEDTKMFVDTVVKLNLQKLGVVAMASSSSSSMHGQ >Vigun08g163500.1.v1.2 pep primary_assembly:ASM411807v1:8:33598933:33600457:1 gene:Vigun08g163500.v1.2 transcript:Vigun08g163500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVEVCEPAEVRIEFALNCKCRATVRLRSLNPTAPVAFKIQTSSPKKFLVNPPSGLIPPLSFATFKVILKPQSHLPRSFPRSPSDRFLVKTAEFPANSSGSTHPESINSWFASCPYGFKTRDIKLKVAFVGPLLLNDAVTRGDLDAVRNLLKRQRSMLADLSPAEAESLLGAATKLLKPDDMVHLLLEAGLRIVPSPNATDEVHVAENANTNKEVVELGEAIFEASRNGEANEVKALLLRKGGGSVKYHDQYGLTALHAAAFKGHKDVMTVLIELAGLDLECEDEEGHVPLHMAVESGDVGTVQVLVEKGVNLNVVNKRGATPLYMAKIWGHHDICELLLNRGAFYSLTST >Vigun08g081050.1.v1.2 pep primary_assembly:ASM411807v1:8:16996002:16998375:1 gene:Vigun08g081050.v1.2 transcript:Vigun08g081050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRPSKIRFPPMSWVLSFVPSRAQSGQDWVERNPCLRKKKALAW >Vigun01g173900.1.v1.2 pep primary_assembly:ASM411807v1:1:35576187:35579027:1 gene:Vigun01g173900.v1.2 transcript:Vigun01g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDLRHWDSLTDGERYFITHVLAFFAASDGIVLENLAARFMKEIQAAEARAFYGFQIAIENIHSEMYSLLLETYIKDSTEKNHLFRAVDTIPCVAKKAQWALRWIDATDSFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRRKLTDERVKEIVREAVDIEREFVCDALPCALVGMNGELMSQYIEFVADRLLGALGCGKVYGVQNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNVHLHIAAVAAPSPPLPMSSLDVYVGLSHNAAYLNIYFFLMQK >Vigun05g190800.1.v1.2 pep primary_assembly:ASM411807v1:5:37109087:37110210:-1 gene:Vigun05g190800.v1.2 transcript:Vigun05g190800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFPSVLSNQNLFKHLQSTTSIAARVSSSGFCIPNADVFHHLHTQGGESVVGAAAAEGAVNATEAVESVGEKAKETVNNALNAELVAGSTMAEADTNVVDTAEYRSSEDLGGHLGDGHDTYT >Vigun02g013300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:5020205:5021143:-1 gene:Vigun02g013300.v1.2 transcript:Vigun02g013300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVAVPPPPPPPLPPPPPSSSMDFNFDSSCSSPYITAPSSPQRFANFFFSAPTSPTRRHSSASALTTTEDEFEFDFGSHVQRPSLSADELFLGGKIRPLKPSLPSSVTTSDKSKIPSQRTDNMSSSLSPLSSPSLSERRRIRERSLSFSTSSAISVRREPETNSDDIDVNSVSSTEKSINKASETVSHSSFLSSISFGKGYRKWRLKDFLLFRSASEGRASDKDPLRKYAVLSKTTAQEDVRNLSFRSAESSGSVSRRRGPVSAHELHYTVNRAASVEMKKKTLLPYKQGLLGCLGFNPGMHHFSARIGS >Vigun03g117500.1.v1.2 pep primary_assembly:ASM411807v1:3:10904207:10907386:1 gene:Vigun03g117500.v1.2 transcript:Vigun03g117500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLPEEVLGKMGAPPKSDVPIITPNELPEADGLLLGFPTRFGLMAAQFKAFMDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTSITQLVHHGLIFVPIGYTFGSGMFEIENVKGGSPYGAGTYAGDGSRQPTELELAQAFHQGKYFAGIAKKLKASSSQ >Vigun01g132000.1.v1.2 pep primary_assembly:ASM411807v1:1:31001015:31011994:-1 gene:Vigun01g132000.v1.2 transcript:Vigun01g132000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGAHHSRSLNSSPSKINSPFLHPSKTLTAFSPTSLSYSSQSVTPMSYHMNNEDTRRGLKRKPPYMDRGHERGPYNMNSRPYDRNMVPDGWFDCPAHGQELGRIIPSKVPLGESFNDNIPREKYTPKQAIHQQRVLGRELGLVIDLTNTTRYYPLSDWTKEGISHVKIRCKGRDAVPDDESVQKFCDEVQDFFSQRTNDKKYILVHCTHGHNRTGYMIVHFLVRTESISVTEAINKFALARHPGIYKQDYIDALYMFYREKKPEDLVCPQTPEWKRTPDPDYRGTTAPAVDNYVHIPEQENIVRNEVLTTDDVLGDPIPPNQLREMQEVCYQLLKLNPGGRGRSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITCDGCYLIDRKFLFHRINMRFPCRYSNGGTPERNHHYTLLDGEMIIDRDPHTHKQERRYLIYDLMAINQVSLTELPFYERWKLLEKEVIEPRNMEREGLSKNTNPYYRYDLEPFSVRRKGFWLLSTVSKLLHKFIPQLSHSSDGLVLQGWDDPYVPRTHEGLLKWKYPEMNSVDFLCEVGADDRPMLFLFERGRKKFMEENVIFKDALDISSYSGKIIECYWDSGEHHWVCMRIRIDKATPNDINTYRKVMRSIKDNITEEVLLNEINKTICLPLYADRIQKDIKAHQHMVSSRRK >Vigun01g132000.6.v1.2 pep primary_assembly:ASM411807v1:1:31001015:31011994:-1 gene:Vigun01g132000.v1.2 transcript:Vigun01g132000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGAHHSRSLNSSPSKINSPFLHPSKTLTAFSPTSLSYSSQSVTPMSYHMNNEDTRRGLKRKPPYMDRGHERGPYNMNSRPYDRNMVPDGWFDCPAHGQELGRIIPSKVPLGESFNDNIPREKYTPKQAIHQQRVLGRELGLVIDLTNTTRYYPLSDWTKEGISHVKIRCKGRDAVPDDESVQKFCDEVQDFFSQRTNDKKYILVHCTHGHNRTGYMIVHFLVRTESISVTEAINKFALARHPGIYKQDYIDALYMFYREKKPEDLVCPQTPEWKRTPDPDYRGTTAPAVDNYVHIPEQENIVRNEVLTTDDVLGDPIPPNQLREMQEVCYQLLKLNPGGRGRSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITCDGCYLIDRKFLFHRINMRFPCRYSNGGTPERNHHYTLLDGEMIIDRDPHTHKQERRYLIYDLMAINQVSLTELIKVSTNNHEVKRAHLPFYERWKLLEKEVIEPRNMEREGLSKNTNPYYRYDLEPFSVRRKGFWLLSTVSKLLHKFIPQLSHSSDGLVLQGWDDPYVPRTHEGLLKWKYPEMNSVDFLCEVGADDRPMLFLFERGRKKFMEENVIFKDALDISSYSGKIIECYWDSGEHHWVCMRIRIDKATPNDINTYRKVMRSIKDNITEEVLLNEINKTICLPLYADRIQKDIKAHQHMVSSRRK >Vigun10g033000.1.v1.2 pep primary_assembly:ASM411807v1:10:4383115:4398034:-1 gene:Vigun10g033000.v1.2 transcript:Vigun10g033000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACSSSSSSPSFLKSEPQFKYDVFINFGGEDMGRKFVSHLHYALLQAQFKNLISTEDVQEEMKLEEHMRAIASSKIAIILEKIIECRQTFGQIVLPVIYDIVQLEERNRKDDLVKALEEVAQSSYSGEQLEHALSRWSRALTNAAGITGWDFRDFRHDAEFVEKIVRRVQTLLDYTNMSITAFPVGLESHVEKVIGLIEEQSTEVCMIGIWGMGGSGKTTLARAIYNRIYHPFIGKSFIENIGQFQVNKRHVHLQENLLYDVLKSKFKVESDGVGRTMIETKLSQKKLLIVLDAVDEFGQLENLCGNRQWFGQGTVIIVTTRDVKVLNRLKVNYVHTMDVMNENDSLELLSWHAFREAKPRKEFNELSRNIVDYCGGLPLALQFLGSYLCDRTKEEWESVSSKLKVNPINQIQEKLEISFDGLHDMEKDIFLDICCFFIGKERGYVTEILNACGLYADIGITVLIERGLIKVERNNKLEMHPLLRDMGREIIRQRCPNEPRKRSRLWFEDDIKDVLKRNTGTKATQGLSLKLHSTGKDYFEAHAFKEMKRLRLLQLHHVQLTGDYEYLSKQLRWICWKGFPLKYIPNNFHMENVIAINLKHSYLQLVWQQPQVLERLKFLNLSHSKYLRETPDFSGLPSLQQLILKDCPSLCMVHESIGDLCNLLLINLKDCTSLSCLPRNVYKLRSLRTFILSGCSKIDILEEDIVGLHSLITIVTENTIVKQVPCSIVKSIAYISLRRFKGMLHNTFASVIQSWMSSTMKQQYCNSPFFMDMENNNWRVLVPLHNGLANLRSVLVQCKTEFQLYKKVKKILVESPLVNFSESRISNHHLRFSLIGVGSYNKFLSTLSDSISEELVSSESCDVSLPGDNHPYWLAHRGEGHSVSFTVPRDSDMKGMIVCVVYLSTSEIVATECLTSVSIVNYTKCTLHIHRHGTVISFNDEDWHNIISNLGSGDKVEIFVTFDHGLVLKNTVVYLMCGESNGLEM >Vigun09g017400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1295435:1296980:-1 gene:Vigun09g017400.v1.2 transcript:Vigun09g017400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPSALHHQFLTSPHENGTNDIEAEIAYAEETLPNLSSFMENNNKEEDDEDQKSTSNKRYMALLFFNYFLLFVGSLSASLMSKFYFIHKGASKWVSTWVQTAGFPILIFPIFLPFILKYSERKPYAGFTKMMYLCCVLVGLMLGFNNLLISWGVAYLPVSTSSLLLSSQLVFTLILSSLIVKQKITFTNLNCVILITVSSVILALDSSHEKPPGLTNKNYFIGFTCTIGAGFLFALYLPVMEKIYQKVNCYQMVMEMQLIMEITATALATVGMACDGGFSDMKKEAESVFDKGTAIYWVTILSNVVTWQCCFMGTAGMVFLTSSLTGGICSTALLSINVLGGVVAYKDPFGGYKAVSTVLCIWGFCSYVFGMYIIRKKKKVIVRKNSSSTGSSAELIPRRNSDVN >Vigun09g211400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:38566746:38568486:1 gene:Vigun09g211400.v1.2 transcript:Vigun09g211400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPKHRLLTLLNALKEASKALHTNSNPFSFLFPTDSSAAIDTLLDLEAKAHAAFSSDPNLSNLSRILSSLPTLIDKLHKHRGYFPRSLLQRHLTKCKISHLASAIQSEIQKYIDRVAVRDLVDALQEPPLRHDEEQRQLKALAEFRQRLSQGFDLEFQDLVLRAKVFTLLECVLFEKSNSSSKRVKEEAAMTIAALVKFNKNVFVGLVLMGPTIKALIAMASECSVRVLSSLINFIRSPLVDEILCSGEIPKIIGFLRSMELGLRVAALECVLELGYIGRREVVEAMVKEGVVEILMDLQREGCSECDCDLAFGNCVSRFAIQVEVGEGLSAEEKREVKSEILRIVKEVSATEAEFATVSAEILWGSSP >Vigun08g217400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37748937:37752550:1 gene:Vigun08g217400.v1.2 transcript:Vigun08g217400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEQHRSSSMYYQPLQQIEAYCLPQYRTLNQQLFYHDGGHGTHFSTPSSSELYCTLESSSVAGSFTLYNSPSTLSFSPNGSPISQHESQSYPSDQYHSPENTYGSPLSGSCITDDLSSFKHKLRELESVMLGPDSDNLDCYDSAISNGNNFASLEMDSWRQTMVAISSKNLKHILIACAKAIADNDLLMAQWLMDELRQMVSVSGDPIQRLGAYMLEGLVARLAASGSSIYKALRCKEPESSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEERVHIIDFQIGQGSQWITLIQAFAARPGGPPHIRITGIDDSQSAYARGGGLHIVGRRLSKLAEHFKVPFEFHAAAISGCDVQLHNLGVRPGEALAVNFAFMLHHMPDESVSTQNHRDRLLRLVRSLSPKVVTLVEQESNTNTAAFFPRFLETLDYYTAMFESIDVTLPRDHKERINVEQHCLARDLVNIIACEGVERVERHEVLGKWRSRFAMAGFTPYPLSSLVNGTIKKLLENYSNRYRLEERDGALYLGWMNRDLVASCAWK >Vigun09g027000.1.v1.2 pep primary_assembly:ASM411807v1:9:2131766:2144469:-1 gene:Vigun09g027000.v1.2 transcript:Vigun09g027000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDLDPAFQGAGQKAGLEIWRIENFNPVPVPKSSYGKFFTGDSYVILKTTASKSGALRHDVHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHPEAEKHKTRLFVCRGKHVVHVKEVPFARASLNHDDIFVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCDVAAVEDGKLMADPETGEFWGFFGGFAPLPRKTAGDDDKPTDSRPPKLLCIEKGQAEPVEAADSLKRELLDTNKCYILDCGFEVFVWMGRNTSLDERKSASGVADELVSGIDKLKPQIIRVIEGFETVMFRSKFDSWPQTTDVTVSEDGRGKVAALLKRQGVNVKGLLKTDPVREEPQPHIDCTGHLQVWRVNGQEKILLQASDQSKFYSGDCYIFQYTYPGEDKEDCLIGTWIGKNSVEEEQASANSLASKMVESMKFLACQARIYEGNEPVQFHSILQSFIVFKGGLGEGYKGYIAGKEIPDETYNENGVALFRIQGSGPDNMQAIQVEPVASSLNSSYCYILHNGPAVFTWSGNSTSAEDQELVERMLDLIKPNLQSKPQREGSESEQFWDLLGGKSEYPSQKILREAESDPHLFSCHFSKGNLKVTEVYNFSQDDLMTEDIFILDCHSEIFVWVGQQVDSKSRLQALTIGEKFLEHDFLLEKLSRVAPVYVIMEGSEPPFFTRFFKWDSAKSAMLGNSFQRKLTLVKSGGAPLLDKPKRRTPVSYGGRSSSVPDKSQQRSSRSMSVSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPVVRKLYPKSVTPDSAILAPKSAAIAALSSSFEQPPSARETMIPRSLKVSPVMPKSNPDKIDKENSVGTRVESLTIQEDVKENEVEDEEGLVIHPYERLKITATDPVTSIDVTKRETYLSSAEFKEKFAMTKDAFYKLPKWKQNKLKMAVQLF >Vigun03g373651.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57628942:57629544:-1 gene:Vigun03g373651.v1.2 transcript:Vigun03g373651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSSVYMITLCSFSSTMQTAPDSHSSMQMKCLPLAVLPISLFATQTILSSLLRFRSPSFLLFFSFAYVWSCLLVMAALLCSDCPWLLPQWHTNTPPFFLCCPKTPPTTLAIFCMA >Vigun05g167550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:28174752:28175102:1 gene:Vigun05g167550.v1.2 transcript:Vigun05g167550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSLIFRSQIFIFTRSPFQIFTVETKHSTSMFFIRSFVGSNITTSTIMRSFIDSTRPSLSLPLRSGQCSTLTSMLKSHAQERGGQAPPRFSPERGGEFRPLDEESLGGFGFNDH >Vigun07g064300.1.v1.2 pep primary_assembly:ASM411807v1:7:7453066:7456199:-1 gene:Vigun07g064300.v1.2 transcript:Vigun07g064300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFHHQHHPMMILNCPISITRTHRRLNKKNSFRLSCHCSCSSTKNTAAEPITVSSLSIEGRRALLSCLLTTFGGVYACDVAGAVSTSRRALRGAKIPESDYTTLPNGLKYYDLKVGNGAEAKKGSRVAIHYVAKWKGITFMTSRQGMGVGGGTPYGFDVGQSERGTVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNSTIELDIELLSIKQSPFGTPVKIVEG >Vigun07g064300.2.v1.2 pep primary_assembly:ASM411807v1:7:7453066:7456199:-1 gene:Vigun07g064300.v1.2 transcript:Vigun07g064300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFHHQHHPMMILNCPISITRTHRRLNKKNSFRLSCHCSCSSTKNTAAEPITVSSLSIEGRRALLSCLLTTFGGVYACDVAGAVSTSRRALRGAKIPESDYTTLPNGLKYYDLKVGNGAEAKKGSRVAPYGFDVGQSERGTVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNSTIELDIELLSIKQSPFGTPVKIVEG >Vigun09g056500.1.v1.2 pep primary_assembly:ASM411807v1:9:5662601:5666276:1 gene:Vigun09g056500.v1.2 transcript:Vigun09g056500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQGLNSLFNSSSQISLQDLHTTATTTTTATNQIQNSHINHHQQPLQLPHFDPTSHDDFLDQMFSSCSWPDLNPNKPFWDPNTLSDQTTPSDNDNNNNTNNNNVAFPYDEPSALASKFRNHQISASSTKNAAAALMLQHQLLMSRDSPLLHMPLSLPQNDVVVDASSFKSPNPGGETSVQALYNGFAGSLHGAGQASNQPQHFQHPQGGSNPMQGQNFGAPGTGGGATNQAPASGAAAQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGGGDCIQANGNGGGALAPNSNGNNNQTAASTSNDSLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHTRNPLMNAASGSTQIPTNGAPGTHANPAVASNGEGPSSPSMSVLTVQSAVVGNEGAVKDAASVSKP >Vigun02g027300.5.v1.2 pep primary_assembly:ASM411807v1:2:9425235:9430819:1 gene:Vigun02g027300.v1.2 transcript:Vigun02g027300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGASQRCPRPARRTCLIPLRKLVNMIIEDDAEANELCTRREFARWLVKLNSSLERNPKHRIAPIVSLSGSVVTAFDDIGVDDQDFRSIQVLAEAGVIPSKLSWNNSFNYSGSGSLENMHFFPDRFISRQDLIDWRAQLEYDLFTGLTDQISIKKAGYMDVKQVTSPVVYVDMLAGDRSILRTVFGQSKRFQPNKPSTIAQAAVALTSGRMKAAISAELLRIEAEHSARQAEAEEIRSELLSRGNMQRFWDEKLNDEKNRGLDVEKLYHMEVKNLEEEEINQDKLYTEYLKEKSAMDCQKQLLLSLKKEVDEISEKVASERVIYVDERLVVQNLLKDLEFKLEELLDTKSTLEAEKEALQILRSWVEDEARRSQARAAVLEEVGRRWKWGDQA >Vigun02g027300.2.v1.2 pep primary_assembly:ASM411807v1:2:9425235:9430820:1 gene:Vigun02g027300.v1.2 transcript:Vigun02g027300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATSSPSFVLFLPTTIPSLPHSLRPLSSLHRRPLAASLSWAPPSPDQSDDFRGWALPEAPAQTDNKVAVFPSYAVVGVGTSLALLLAVFAVSRKGFDFRLARPLQGMCGDVETRRCLNDTPDFDVSGGSKSTVSEARTEDVSDTLTETVAVEKPERVVIAVSVDSTQEEALSVLKSLKIIEDDAEANELCTRREFARWLVKLNSSLERNPKHRIAPIVSLSGSVVTAFDDIGVDDQDFRSIQVLAEAGVIPSKLSWNNSFNYSGSGSLENMHFFPDRFISRQDLIDWRAQLEYDLFTGLTDQISIKKAGYMDVKQVTSPVVYVDMLAGDRSILRTVFGQSKRFQPNKPSTIAQAAVALTSGRMKAAISAELLRIEAEHSARQAEAEEIRSELLSRGNMQRFWDEKLNDEKNRGLDVEKLYHMEVKNLEEEEINQDKLYTEYLKEKSAMDCQKQLLLSLKKEVDEISEKVASERVIYVDERLVVQNLLKDLEFKLEELLDTKSTLEAEKEALQILRSWVEDEARRSQARAAVLEEVGRRWKWGDQA >Vigun02g027300.1.v1.2 pep primary_assembly:ASM411807v1:2:9425235:9430819:1 gene:Vigun02g027300.v1.2 transcript:Vigun02g027300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATSSPSFVLFLPTTIPSLPHSLRPLSSLHRRPLAASLSWAPPSPDQSDDFRGWALPEAPAQTDNKVAVFPSYAVVGVGTSLALLLAVFAVSRKGFDFRLARPLQGMCGDVETRRCLNDTPDFDVSGGSKSTVSEARTEDVSDTLTETGKYVAVEKPERVVIAVSVDSTQEEALSVLKSLKIIEDDAEANELCTRREFARWLVKLNSSLERNPKHRIAPIVSLSGSVVTAFDDIGVDDQDFRSIQVLAEAGVIPSKLSWNNSFNYSGSGSLENMHFFPDRFISRQDLIDWRAQLEYDLFTGLTDQISIKKAGYMDVKQVTSPVVYVDMLAGDRSILRTVFGQSKRFQPNKPSTIAQAAVALTSGRMKAAISAELLRIEAEHSARQAEAEEIRSELLSRGNMQRFWDEKLNDEKNRGLDVEKLYHMEVKNLEEEEINQDKLYTEYLKEKSAMDCQKQLLLSLKKEVDEISEKVASERVIYVDERLVVQNLLKDLEFKLEELLDTKSTLEAEKEALQILRSWVEDEARRSQARAAVLEEVGRRWKWGDQA >Vigun03g128100.1.v1.2 pep primary_assembly:ASM411807v1:3:12376041:12378021:1 gene:Vigun03g128100.v1.2 transcript:Vigun03g128100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASEVGQVQSNNKKSFTSVKALTESPKLTSLPPSYTYTTNSDDEIVTDPEEDDPIPVIDYSLLVAGTPDQRAKTIQDLGRACEEWGFFMLTNYLVSKSIMEKMVDEVFGFFNLREEEKQEYAGKDVMEPIRYGTSSNVSMDKVLFWRDFLKIVVHPEFHSPQKPPGFREALAEYSRRTWKLGKEVLRGISESLGLGVNYMEDRMNLDSGLQMIAANLYPPCPQPELAMGIPPHSDHGLLNLLMQNGVSGLQVLHNGKWINVSSTSNCLLAFVSDHLEVVSNGKYKSVVHRAVVSNKATRISLAIVIAPSLDTVVEPAKELLDSQNNPAAYIGMKHRDYLQLQSRNRLNGKSVLDNVKI >Vigun03g128100.2.v1.2 pep primary_assembly:ASM411807v1:3:12374709:12378008:1 gene:Vigun03g128100.v1.2 transcript:Vigun03g128100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTQPEKAKPSIEKLLIDWSWNSWLSSEVGQVQSNNKKSFTSVKALTESPKLTSLPPSYTYTTNSDDEIVTDPEEDDPIPVIDYSLLVAGTPDQRAKTIQDLGRACEEWGFFMLTNYLVSKSIMEKMVDEVFGFFNLREEEKQEYAGKDVMEPIRYGTSSNVSMDKVLFWRDFLKIVVHPEFHSPQKPPGFREALAEYSRRTWKLGKEVLRGISESLGLGVNYMEDRMNLDSGLQMIAANLYPPCPQPELAMGIPPHSDHGLLNLLMQNGVSGLQVLHNGKWINVSSTSNCLLAFVSDHLEVVSNGKYKSVVHRAVVSNKATRISLAIVIAPSLDTVVEPAKELLDSQNNPAAYIGMKHRDYLQLQSRNRLNGKSVLDNVKI >Vigun01g237233.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:40843720:40844109:1 gene:Vigun01g237233.v1.2 transcript:Vigun01g237233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLEALAMAGASDEDFGMDIEEWEHKDLDQYPPPHLLAQEEEKDEQNKRVRRLKKSHVYGFPTTHLLRNHNACEYEKEEEHGVTFSNNIQEKLDRTVEAIWSKWSGSMKIMATTLQTLIMIIGSFIT >Vigun02g202450.1.v1.2 pep primary_assembly:ASM411807v1:2:33662923:33665822:-1 gene:Vigun02g202450.v1.2 transcript:Vigun02g202450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTSNPLVLTPFIKLPVHLRVGLCSRPPQRVCVQEVLEREVRLSYWNKVKQWRSWRNKSDMVLLKDIVPAAHNNIESKFIVLEKGSTALEGKNRICLTLVADETAAVHLQLWGDECNAFDSGDIIHLRKGIFSYQHGNLILRAGKRGKLEKSGEFVMSYVEIPNMSEIHWIPHATNSKYYIQDYVISPHSRIFPPIP >Vigun06g150900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:27571204:27572003:-1 gene:Vigun06g150900.v1.2 transcript:Vigun06g150900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGTVASTYVVALLLCLNMLSYTMVSSTYNIPVIPDPDPSLPYQKGTCPIDAVKLGVCANVLNLVNVKLGSPPTLPCCTLIKGLVDLEVAACLCTAIKANVLGINLNLPLSLSLLLNNCGRKSSDIGFQCP >Vigun01g033600.1.v1.2 pep primary_assembly:ASM411807v1:1:4332118:4335804:1 gene:Vigun01g033600.v1.2 transcript:Vigun01g033600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPSPQVKRKHKFAPRAPPRLVPKKEVKTEVVEDAQADANQAKDLLRRFNESAMKARNKVEKKVSASQIAFGYGGESTLKSYGIARGGRNVNINQNSASSVVAEKEYTEPWDYYSNYPVTLPLRRPYSGNPELLDEEEFGEAAESRTYDEDASNSAMELGLLENLEANMFLIKLPPKLPMVIQSTTDGGKEVNPKSKPPGGSKKVEKLCELKDLPSGFMGKMLVYKSGKIKLKLGNTLYDVSSGMNCAFSQDVVAINTAEKNVCTIGEISQHVTVTPDIDGIIDNLSD >Vigun01g033600.2.v1.2 pep primary_assembly:ASM411807v1:1:4332149:4335783:1 gene:Vigun01g033600.v1.2 transcript:Vigun01g033600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPSPQVKRKHKFAPRAPPRLVPKKEVKTEVVEDAQADANQAKDLLRRFNESAMKARNKVEKKVSASQIAFGYGGESTLKSYGIARGGRNVNINQNSASSVVAEKEYTEPWDYYSNYPVTLPLRRPYSGNPELLDEEEFGEAAESRTYDEDASNSAMELGLLENLEANMFLIKLPPKLPMVIQSTTDGGKEVNPKSKPPGGSKKVEKLCELKDLPSGFMGKMLVYKSGKIKLKLGNTLYDVSSGMNCAFSQDVVAINTAEKNVCTIGEISQHVTVTPDIDGIIDNLSD >Vigun05g079400.1.v1.2 pep primary_assembly:ASM411807v1:5:7439254:7443220:-1 gene:Vigun05g079400.v1.2 transcript:Vigun05g079400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSGSGGSGGGSSTGSPCGACKFLRRKCIPGCIFAPYFDAEQGTTHFATVHKVFGASNVSKLLLSIPVHKRLDAVITICYEAQSRLRDPVYGCVSHIFALQQQVVSLQAELSYLQGHLAQMEVPHPPQPPPSQPATALRFSMADLPSAATGVMAPATTYDLSSLFDPTGQISWAMQHRAIDPRQYVAAPPSSSTRADVEAVARDLPRRHGSPPSPPASSSNASPSLSLSK >Vigun06g208000.1.v1.2 pep primary_assembly:ASM411807v1:6:32144837:32147557:1 gene:Vigun06g208000.v1.2 transcript:Vigun06g208000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRTQAGSKRLRIVSEPQTLSPNIYQHLPFEIVEEILSFLPIKEAKQLCILSSKFRSPTHTNRKFLFGKDLSNRCSRESMVQLVDNLFVTHRGRYIDSFQIYINPVGVENFLHKWLEICREKRIQELDLFFLEPGYTLTADFVNQLNKLSFLKLVHCNLELPLNLLSMANLRSLILRFVPLTDERIHALISHCRQLETVDLLYCTGLLCVEIYAREHRFFKKLRVAGCKNLEVFVVDSPVVECVHYYGHVPTRIRFIQTTKLNEVYLNFMPAGSRGYLQASVVEKLVSDIPNVKVLSASALVPEALTAKFRRGVFGEASYSFLNLMELHLIMEGGLFCNPYDILAFMKHCPLLEKLFIDIDDYTFDCGPYWELHQKSKMENFDLCFDRLNFIKLRGFKFITAELQLVKILLQKATHLDALVLVSQKNCVANVWTPYGRRYDKLLHSWKASPEAKIVTFEHLDDQSRSSSSSHSRDCVLSID >Vigun06g208000.2.v1.2 pep primary_assembly:ASM411807v1:6:32144989:32147526:1 gene:Vigun06g208000.v1.2 transcript:Vigun06g208000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVDNLFVTHRGRYIDSFQIYINPVGVENFLHKWLEICREKRIQELDLFFLEPGYTLTADFVNQLNKLSFLKLVHCNLELPLNLLSMANLRSLILRFVPLTDERIHALISHCRQLETVDLLYCTGLLCVEIYAREHRFFKKLRVAGCKNLEVFVVDSPVVECVHYYGHVPTRIRFIQTTKLNEVYLNFMPAGSRGYLQASVVEKLVSDIPNVKVLSASALVPEALTAKFRRGVFGEASYSFLNLMELHLIMEGGLFCNPYDILAFMKHCPLLEKLFIDIDDYTFDCGPYWELHQKSKMENFDLCFDRLNFIKLRGFKFITAELQLVKILLQKATHLDALVLVSQKNCVANVWTPYGRRYDKLLHSWKASPEAKIVTFEHLDDQSRSSSSSHSRDCVLSID >Vigun11g064800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:15361066:15361451:1 gene:Vigun11g064800.v1.2 transcript:Vigun11g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKIACVALIAAASISAAVATVEVSAPAPGPSSEASTIVPLVSSLVGASVLSFFALLH >Vigun09g168200.1.v1.2 pep primary_assembly:ASM411807v1:9:33704081:33706714:-1 gene:Vigun09g168200.v1.2 transcript:Vigun09g168200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVYANSPYVLMRFTPSSKFLGFSNHFHSVIAPSFSLTPKFPSSIAATMSTVPAQNDTVTHKTPQPLQIAKRLEKFQTTIFTQMSLLAIKHGAINLGQGFPNFDGPEFVKEAAIQSIRDGKNQYARGYGVPDLNIAISERFKKDTGLVVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKVKTVTLRPPDFAVPIEELKSIISKNTRAILLNTPHNPTGKMFTREELDCIASLCIENDVLVFTDEVYDKLAFDMEHISIASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAYLTFATSHPFQCAAAVALRAPDTYYEEVKRDYMAKRAILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLVKEVGVVAIPTSVFYLNPEEGKNLVRFTFAKDEGTIRSAVERMKEKLKK >Vigun08g131800.1.v1.2 pep primary_assembly:ASM411807v1:8:30257677:30259799:1 gene:Vigun08g131800.v1.2 transcript:Vigun08g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAFQWLSLVGIIWLQSITGTNTNFPAYSSQLKQLLSISQFQLNNLAFASDAGKLFGFLSGLAAVYLPLWLVLMIGSTLGLIGYGVQFLFITNHISSLSYWHVFFLTVLAGNSICWINTVCYVITIRNFPSDRQVAVGITTSYQGLSAKVYTTIVDVAGHKKRAITFLFLNSVLPLLVSLIATPVVREIEVLSPKQSRVGFDVIFAITIATGIYAVMSSLEFVSNKISPLGSLIGILLSLLMPLLLPLSMMIVGSWHKNTEKQRVYNFATEDEVNEVKEGEESEEIHDVGVREEIGAKLMLRRLDFWLYFLVYLFGATLGLVFLNNLGQIAESRGYSGTSSLVSLSSSFGFFGRLMPSIVDYFYRDKKCAISKPGSMMALMGPIAGAFFLLLNKTHLALYVSTAIIGVCTGAITSIAVSMTTELFGTKNFSVNHNVVVANIPVGSLLFGYLAAIVYHKGGNENGKCMGMECYRNTFIVWGSLCFFGTFLACVLHARTRNFYSHKL >Vigun03g128900.3.v1.2 pep primary_assembly:ASM411807v1:3:12455331:12458444:-1 gene:Vigun03g128900.v1.2 transcript:Vigun03g128900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCCNFTQPSSAFSKLHDHIQLQRYFTKCQQRSTCSVVKVFFHRRYGGRLEVEQYQHVLCARGVELSPSVIGDSGDETEEDISKETLIWRAIKLPIYSVALIPLTVGSAAAYLQTGIFSARCYFVLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRKGTFIAAYLCLALGFVGLAWTAVAEKNIRSMLFLVCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLHGSASVMNRVPLSGTVLSASILVGLTTSLILFCSHFHQVEGDKEVGKMSPLTWH >Vigun03g128900.2.v1.2 pep primary_assembly:ASM411807v1:3:12455331:12458444:-1 gene:Vigun03g128900.v1.2 transcript:Vigun03g128900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCCNFTQPSSAFSKLHDHIQLQRYFTKCQQRSTCSVVKVFFHRRYGGRLEVEQYQHVLCARGVELSPSVIGDSGDETEEDISKETLIWRAIKLPIYSVALIPLTVGSAAAYLQTGIFSARCYFVLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRKGTFIAAYLCLALGFVGLAWTAVAEKNIRSMLFLVCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLHGSASVMNRVPLSGTVLSASILVGLTTSLILFCSHFHQVEGDKEVGKMSPLVRLGTEKGAEVVKGAVLMLYALLAAFGLSKALPLTCIDKNKIFMAKYFCVRLHALFGVALALGLVLARKTTFTPWPILS >Vigun03g128900.5.v1.2 pep primary_assembly:ASM411807v1:3:12455331:12458444:-1 gene:Vigun03g128900.v1.2 transcript:Vigun03g128900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCCNFTQPSSAFSKLHDHIQLQRYFTKCQQRSTCSVVKVFFHRRYGGRLEVEQYQHVLCARGVELSPSVIGDSGDETEEDISKETLIWRAIKLPIYSVALIPLTVGSAAAYLQTGIFSARCYFVLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRKGTFIAAYLCLALGFVGLAWTAVAEKNIRSMLFLVCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLHGSASSFCINSCWPHNISYLVLQSFPSGGRRQRGWENVTIG >Vigun03g128900.1.v1.2 pep primary_assembly:ASM411807v1:3:12455331:12458444:-1 gene:Vigun03g128900.v1.2 transcript:Vigun03g128900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCCNFTQPSSAFSKLHDHIQLQRYFTKCQQRSTCSVVKVFFHRRYGGRLEVEQYQHVLCARGVELSPSVIGDSGDETEEDISKETLIWRAIKLPIYSVALIPLTVGSAAAYLQTGIFSARCYFVLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRKGTFIAAYLCLALGFVGLAWTAVAEKNIRSMLFLVCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLHGSASVMNRVPLSGTVLSASILVGLTTSLILFCSHFHQVEGDKEVGKMSPLVRLGTEKGAEVVKGAVLMLYALLAAFGLSKALPLTCIFLCALTLPMGNLVVRFVEENHKDKNKIFMAKYFCVRLHALFGVALALGLVLARKTTFTPWPILS >Vigun03g128900.4.v1.2 pep primary_assembly:ASM411807v1:3:12455331:12458444:-1 gene:Vigun03g128900.v1.2 transcript:Vigun03g128900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCCNFTQPSSAFSKLHDHIQLQRYFTKCQQRSTCSVVKVFFHRRYGGRLEVEQYQHVLCARGVELSPSVIGDSGDETEEDISKETLIWRAIKLPIYSVALIPLTVGSAAAYLQTGIFSARCYFVLLASSVLVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRKGTFIAAYLCLALGFVGLAWTAVAEKNIRSMLFLVCAIICGYIYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLHGSASSFCINSCWPHNISYLVLQSFPSGGRRQRGWENVTIDLALKKVQR >Vigun02g060200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20564582:20566885:-1 gene:Vigun02g060200.v1.2 transcript:Vigun02g060200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSILQCISHSPTELNTEVALQPSPSILSLIPKCTSLRELKQIQAYTIKTHLHNSHTVLAKLLNFCTSNPTTASMDHAHQLFDQIPHPDIILFNTMARGYARFDDPLRAILLFSQVLFSGLLPDDYTFSSLFKACARLKALQEGKQLHGLAVKLGFSGNMYVCPTLINMYTACNDMDGARRVFDKIDEPCVVAYNAIITSCARGSQPNEALALFRELQESGLKPTDVTMLVALSSCALLGALDLGKWIHEYVKKNGFDQYVKVNTALIDMYAKCGSLEDAVSVFREMPRRDTQAWSAMIVGYATHGHGSQALSMLEEMKKAKVQPDEISFLGILYACSHNGLVKEGYDHFYSMIHEYGIVPSIKHYGCMVDLLGRAGRLEEAYKFIDELPIKPTPILWRTLLSSCSSHGNVEMAKQVIQRIFELDDSHGGDYVILSNLCARNGRWDDVNYLRKTMVDKGAAKVPGCSSIEVNNVVHEFFAGDGVRSTSTVLHHAVDELVKELKLAGYVPDTSLVFYADIEDEEKEIVLRYHSEKLAITYGLLNTPPGTTIRVVKNLRVCVDCHNAAKFISLIFGRQIILRDVQRFHHFKDGKCSCGDYW >Vigun04g153000.1.v1.2 pep primary_assembly:ASM411807v1:4:37026775:37030706:-1 gene:Vigun04g153000.v1.2 transcript:Vigun04g153000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLLLALLVFFVIGTSLSNSRKELRDKEKTALQILEGSVHYSNSINPSRVVQISWQPRVFLYKGFLSDKECEYLISVAYGEKEKSSGNGGTSLEMEDDILARIEERLSIWTLLPKENSKPLQVMQYESEQNDQTLDYFTNKTNLELSGPLMATVILYLSDSTKGGQILFPESVPRSSSWSSCSNSNKTIQPVKGNAILFFSLHPSATPDRSSFHSRCPVLEGDMWSAIKYFYAKPISRGKVSAISEDDECTDQDDSCPAWASMGECQRNPVFMVGSPDYYGTCRKSCNAC >Vigun02g156300.1.v1.2 pep primary_assembly:ASM411807v1:2:30253683:30256525:1 gene:Vigun02g156300.v1.2 transcript:Vigun02g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGFSPAAMSSLIPFSSSSSSSSWISQKKLVRPKALTRAFTSDPYVLELAETLEESIPSTPSTPLPLHNLRNVASQSLLSTAWPSRRDEPFRFTDLTFIRQSRILPLSHPSAVKILTSHLPPAVYVGPLSALSSSPLLHKVSQFLPHTFPDADLFWSINGIAAPDLTLVYVPENCHLQDPIHFHYTPPQPPTPHPSDTMYLSNPRVLVVLEKGARAHITEDFSSAPGNDNDRYWSNAAFEAVIGEGAKLSHSYIQTQSLRAAHIKWTSVRQESSSAYELTEVSAGGKLGRHNLRIQQLGPDTVTELSSLHLSVGDQTQDLHSTLVLDHPRGYSRQLHKCIVAHSQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIVADDVKCSHGAAISDLEESQLLYFQARGIDQNTARRVLIFAFGGEVIDKFPSSSIRDRVRSHIKNLLDPSSN >Vigun09g029500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2437095:2437908:-1 gene:Vigun09g029500.v1.2 transcript:Vigun09g029500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLKFFWVMVLCIVAMAHQTIALETLETLETLKGQSLIQKVCTFSATRNLCIEVLSSDPYRSPNANLRDLAIISLRVAATNASGILGETNILIDDNKLSPDVQQGLSNCKKTILDAESQLEDSIAALKVDSKGETQFWLKAALAAIDTCNASIPGDDDILSVEIVAFHKLCNIAITVTNLLLNPIKL >Vigun05g182300.1.v1.2 pep primary_assembly:ASM411807v1:5:34910848:34912516:1 gene:Vigun05g182300.v1.2 transcript:Vigun05g182300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFSNGKKAMEEELMRGRDMANQLLEALGHDKLNTHLQHEDRSKSVLPFVEDLVRKVLCSFTNTLLLLNSNQLTPSLSSSTNCPQIESLLQTCKSRKILNPNNRRRCYKRKSISPTWEKDSSILIEDGYAWRKYGQKMTLHAKYLRSYYRCTYKNDQRCSAMKQVQRIQDDPPLYRTTYYGHHTCRSPSNPEIILEPLSPSASSTLLSFNNSLHSKQENPFPSPLLASIKQEPQKVIRDEHCAQNQLSALENLLLYDYDVSFDYSRNATFLSSGEPVQFEDVCGQFGF >Vigun04g027100.1.v1.2 pep primary_assembly:ASM411807v1:4:2087418:2089686:1 gene:Vigun04g027100.v1.2 transcript:Vigun04g027100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKLKMDCDKCRNKALKTAAQVPGVTTVALDGDDSDRVAVSGVNVDMVCLVNQLKKKFSSVVIVTVEDLKKAEEEKKKKEEEKKKKEEEEKKKAKEKEEKLKKMLHAALCKKCRSSSCHGKCDVDIVFCLNCESSSCNGECCVVCVKCENPKCDGDCDLCFNCNNSNCNGCCSINKTPPSSCPKGCTCHKCYVPYQPYYYPPYPPQVVYYDSCPDSCSIM >Vigun04g027100.2.v1.2 pep primary_assembly:ASM411807v1:4:2087418:2089686:1 gene:Vigun04g027100.v1.2 transcript:Vigun04g027100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQSPENCSTGVTTVALDGDDSDRVAVSGVNVDMVCLVNQLKKKFSSVVIVTVEDLKKAEEEKKKKEEEKKKKEEEEKKKAKEKEEKLKKMLHAALCKKCRSSSCHGKCDVDIVFCLNCESSSCNGECCVVCVKCENPKCDGDCDLCFNCNNSNCNGCCSINKTPPSSCPKGCTCHKCYVPYQPYYYPPYPPQVVYYDSCPDSCSIM >Vigun09g222100.1.v1.2 pep primary_assembly:ASM411807v1:9:39520050:39522048:1 gene:Vigun09g222100.v1.2 transcript:Vigun09g222100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNWDNSTDSFHNPLIYNYIEPDPALVYIVNDQPNLVPRQRNVPVAVEHGSWSTRKRKIPFTDPMIEDHHPVYGDNTFPNGQNVSADVNLNELRFCPPVPKPMFCTCCQVLRQLIYTNGSDCETFEIHGEIGVINHAIVHCQNFTQDGLSCDAYRRIDFSHNSTAEIKSYLVEYCAQKNKLGYIALQDPLSTYYEALCTGLETVGVFIDEDENTIPQNEAEPELEPEPEPEPEPKPESKRASSAFKPNRQFQIKRIKTMTLNDLRGVFHLTIKDAAAEIGVSVSVIKTICRRERISYWPQRKVTSLAKRVEVLKKSLDSPDPVVRKTTREDIQTFQRLIIECCGGVTPTGIQLLQFQEQ >Vigun09g068900.1.v1.2 pep primary_assembly:ASM411807v1:9:7361716:7364831:1 gene:Vigun09g068900.v1.2 transcript:Vigun09g068900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSDSGGWLRGCLVVFAVVSALGVCGPALYWRFKNAISLRNSHNKFSCPPCLCNCPPPLSLFQLAPGLANLSVSDCGSNDPDLKEEMEKQFVDLLSEELKLQESVTEANTRHMNITLAEARRVASQYQREADKCIAATETCEQARERAEAILIKERKITAVWERRARQMGWEAE >Vigun04g185100.2.v1.2 pep primary_assembly:ASM411807v1:4:40997544:41004021:-1 gene:Vigun04g185100.v1.2 transcript:Vigun04g185100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYSHIDGSLPPPSYAVVSGQDPRFCKHVHDNVHGNIYIDPLSLKFIDTEQFQRLRELKQLGFTNMVYPGAVHSRFEHSLGVYWLAGQCVEKLHTYQGVELDIDRFDIQTVKLAGLLHDVGHGPFSHLFEREFLPLVINGSDWSHEQMSVNMVDYIVDEHRIDVDSQMIKRVKDMILASSESAPPRSSSGKSFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRLLETMWVLDDEICYRAKDYLTIHKMFATRADLYRTVYTHPKVKAIELMIVDALVQANSYLEISSNIHDPSQYWKLDDTIVKTIETSASQELKEARELILRIRRRNLYQFCNEYPVPKDMLDNFKKVTAQDIVCSQKSGGVTLKEEDLAVCNVKIDLTRGKHNPLESIHFFKDYESNEKFTIPDERVSHLLPTSYQDMIVKVYAKKPELVQAISEAFENFQLKTYGIKAQAHATPQKKRRYNPIL >Vigun04g185100.3.v1.2 pep primary_assembly:ASM411807v1:4:40997877:41003504:-1 gene:Vigun04g185100.v1.2 transcript:Vigun04g185100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPGAVHSRFEHSLGVYWLAGQCVEKLHTYQGVELDIDRFDIQTVKLAGLLHDVGHGPFSHLFEREFLPLVINGSDWSHEQMSVNMVDYIVDEHRIDVDSQMIKRVKDMILASSESAPPRSSSGKSFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRLLETMWVLDDEICYRAKDYLTIHKMFATRADLYRTVYTHPKVKAIELMIVDALVQANSYLEISSNIHDPSQYWKLDDTIVKTIETSASQELKEARELILRIRRRNLYQFCNEYPVPKDMLDNFKKVTAQDIVCSQKSGGVTLKEEDLAVCNVKIDLTRGKHNPLESIHFFKDYESNEKFTIPDERVSHLLPTSYQDMIVKVYAKKPELVQAISEAFENFQLKTYGIKAQAHATPQKKRRYNPIL >Vigun04g185100.1.v1.2 pep primary_assembly:ASM411807v1:4:40997544:41004024:-1 gene:Vigun04g185100.v1.2 transcript:Vigun04g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYSHIDGSLPPPSYAVVSGQDPRFCKHVHDNVHGNIYIDPLSLKFIDTEQFQRLRELKQLGFTNMVYPGAVHSRFEHSLGVYWLAGQCVEKLHTYQGVELDIDRFDIQTVKLAGLLHDVGHGPFSHLFEREFLPLVINGSDWSHEQMSVNMVDYIVDEHRIDVDSQMIKRVKDMILASSESAPPRSSSGKSFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRLLETMWVLDDEICYRAKDYLTIHKMFATRADLYRTVYTHPKVKAIELMIVDALVQANSYLEISSNIHDPSQYWKLDDTIVKTIETSASQELKEARELILRIRRRNLYQFCNEYPVPKDMLDNFKKVTAQDIVCSQKSGGVTLKEEDLAVCNVKIDLTRGKHNPLESIHFFKDYESNEKFTIPDERVSHLLPTSYQDMIVKVYAKKPELVQAISEAFENFQLKTYGIKAQAHATPQKKRRYNPIL >Vigun03g346100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:54523406:54524460:1 gene:Vigun03g346100.v1.2 transcript:Vigun03g346100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGTSELSHQSQNQNKNLPMMPYEKRVMKIPKVGKKIEKKFLGVRQRPSGRWIAEIKDSSQKLRLWLGTFDKAEEAAMAYDCAARLLRGRNAKTNFPNPGIMNTHEQDYYSILGKNPRSYQLLKHAVMKNHALSASLYSTFMPWKNQIMMRDEHDILVEETVVCSIPEQGSACCGISYGSSKVYSSVVVAPSFGVSSHDVTP >Vigun10g007000.1.v1.2 pep primary_assembly:ASM411807v1:10:672457:674888:-1 gene:Vigun10g007000.v1.2 transcript:Vigun10g007000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMAGGLQVSGSTRLHGRRVASVTRAGFTVRAQQQQQEQQVSGEAQSSRRTVLSLVAAGLATGSFVQAVLADAKSIKVGPPPPPSGGLPGTLNSDEARDLDLPLKDRFFLQPLSPSEAAQRAKESAKEIVAVKSLIEKKAWPYVQNDLRLRAEYLRFDLNTVIAAKSKDEKKSLKELTGKLYENISNLDHAAKIKSSPEAEKYYAATVSTLNDVLAKLG >Vigun11g046300.1.v1.2 pep primary_assembly:ASM411807v1:11:7083430:7084719:1 gene:Vigun11g046300.v1.2 transcript:Vigun11g046300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVALMIFLLSLFTLSSSRPDNAGIAVYWGRNLQEGDLVSACDTGNYKIVLLAFLNNFGAGRTSAWDFAAHCDNGAAEKCTELESEIKYCQEQRVKVFLSIGGDPDDSDYSLSSRDDAKEVAKYLYDNFLSGQYGPLGSVKLDGIDFHIEQTENYWDDLAWELDFFRQTTSRRFYLSAAPKCLTYPIPYLGKAIATKLFDYIFVQFYNNPSCSNTTGTEALLSSWNKWVGLVASNNSLFLGLPAGPTAGEGYISPDLLKRRVLPQAKKAHNYGGVMLWDRFRDFQTGYSDQILLNVNDHVSSNSVSDAIYRCVSKAFNRVIDY >Vigun01g193900.1.v1.2 pep primary_assembly:ASM411807v1:1:37142572:37146836:-1 gene:Vigun01g193900.v1.2 transcript:Vigun01g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPKPKLGRLPSIRDRVEDTLSAHRNELISLLSRYVAQGKGILQPHNLIDELDNIPGDDQAKVDLKNGPFGEIIRAAQEAIVLPPFVAIGVRPRPGVWEYVRVNVSELSVEQLSIAEYLSFKEELVDGKNTDNFVLELDFEPFNASFPRPSRSSSIGNGVQFLNRHLSSIMFRNKDSLDPLLDFLRAHKYKGHALMLNDRIQNISKLQTALAKTEDYLSKIPRETPYSDFEYELQGMGFERGWGDNAERVLETMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGFFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKKQGLDFTPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSESGTLRKWISRFDVWPYLETYSEDVASEIAAELQGYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTGFTLPGLYRVFNIVSPGADMSMYFPYSETQNRLTSLHGSIEQLLYDPTQTDEHIGTLKDKSKPIIFSMARLDRVKNMTGLVELFAKSSKLRELVNLVVVAGYIDVKKSSDREEIAEIEKMHGLIKEYNLNGDFRWIAAQTNRARNGELYRYIADTQGAFVQPAFYEAFGLTVVESMTCGLPTFATSNGGPAEIIEHGISGFHIDPYHPDQASELLIEFFQTCKKDPSHWKKISDGGLKRIYESYTWKIYSERLLTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLAKSVPLAKDDA >Vigun06g174000.2.v1.2 pep primary_assembly:ASM411807v1:6:29492347:29494497:1 gene:Vigun06g174000.v1.2 transcript:Vigun06g174000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILGRMFLGFGIGCANQSVPIYVSEVAPHKYRGALNMMFQLAITIGIFVANILNYIFAQMENGQGWRYSLGCAGIPALMIMLGSVFLPDSPSSLIARGHDEKAKIELIKIRGTTDVEQEFEDLVAASEASKAVKHPWVSLLKRQYRPQLTFAIAIPFFQQLTGMNVIVFYAPVLFKTIGFGASASLMSAMITGGCNAIATLVSIFTVDKFGRRTLFLEGGIQMFICQIAITLAIMFKFGLDGNPGILPKWYAIVVVCGICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSINVSVNMIFTFIIAQIFTTMLCHMKFGLFIFFAFFVVVMSIFINRLLPETKGVPIEEMHVVWQNHSYWKKFVKPAEVERISEEC >Vigun05g180800.1.v1.2 pep primary_assembly:ASM411807v1:5:34490099:34500035:1 gene:Vigun05g180800.v1.2 transcript:Vigun05g180800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVMSSSSKHVAIFFTFCFLALNHFHHFGSNAQLIPQDEVKILQTISDKVENLNWKVTQRSCNKGDRGFDNRKISRDGDQIIRNVTCHCSFNNGTVCHVISIALKGINISGPLPDEFGNLTRLKILDLTRNYFNGSIPKSLGRLSSVEVLSLLGNRFTGSIPSEISDMTSLQELNLEDNQLEGHLPPSLGKMSNLQKLLLSANNFTGTIPEAYGKLKNLTQFRIDGSTLSGKIPSFIGNWTKLDRLDLQGTSLEGPIPSVISELIYLTELRISDLKGPTMTFPNLTNLNLLQRLELRNCLITGQIPSYIGQKQSLKTLDLSSNMLTGPIPDSFQDLEKINYLFLTNNSLSGRIPNWIQTFKQRIDLSLNNFSESFSNVCQVLDVNLASSLSPSANTSSSCLKKGQPCSGKPRFHSLFINCGGPEIEFDGNEYEADPNLRGISNYVVSNDGKWAYSSTGVYLGRDKADYVANNQFNLKINGSDYYQTARLAPLYLHYYGLCMLNGNYKVKLHFAEITFSDDNAFTSLGRRVFDVSIQGFKYLKDFNIVEEAGGVGKGITKEFNVNVTHNTLEIHFSWAGKGTNAIPERGIYGPLISAITVTPNFKVPSQGLSTGAIAGIGVGICVFIILILLALWKMGFLCGKEETDQELLGLKTGYFSLRQIKAATDNFDPANKIGEGGFGPVYKGVLSDGAVIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARALFGKEHERMQLDWPRRMKICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDKHLHAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLDLVDPSLGSKYSSEEAMRMLQLALLCTNPSPTLRPPMSSVVSMLQGKTPIQAPPIIKGSDNAQDARFKALEFLSQDSQTHVSSDFSQDSIEQRSKSMGGPWVDSTISIPSRTDHSSDKLITSSNDECFEVGAGNSPNSNSFVKDMNKN >Vigun01g251200.1.v1.2 pep primary_assembly:ASM411807v1:1:41759754:41771022:1 gene:Vigun01g251200.v1.2 transcript:Vigun01g251200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPIPISSTYASTFSYSAYVFPSVYARTNFTRINLHLPILCQFESKTEKPLTRRCAPFLESSLLSGNGVVASEEWKTVPDIWRSSAEKYGDKIALVDPYHDPPSTMTYKQLEDAILDFAEGLRVIGVRPYEKLALFADNSCRWLVADQGMMACGAINVVRGSRSSTEELLQIYNHSESVALAVDNPEMFNRIAKLFCSKNSMKFIILLWGEKSGLVSEGEKEVPVYTFMEVIHLGQESRRVLFDSLDNRKHYLYEAIKSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKNLGDIVPAEVGDRFLSMLPSWHAYERASEYFIFSCGVEQVYTTVRKLKDDLRRYQPHYLISVPLVYETLYSGIQKQISTSSLVRKLVALTFLRASLRYMECRRIYEGKCLTKDQKTPSYLLSTLDWLWARIIATILLPVHLLAKKLVYSKIHSAIGISKAGISGGGSLSSHVDRFFEAIGVTVQNGYGLTETSPVVAARRLSCNIIGSVGHPIKHTEFKIVDSETDEVLPPGSKGILKVRGPQLMKGYYKNPSATNQVLDRDGWLNTGDIGWIVPHHSTGRSRNSNGVIVVDGRAKDTIVLSTGENVEPGEIEEAAMRSSLIHQIVVIGQDKRRLGAVIVPNNEEVLKAARESSIIDPNSSDVSQENVTSLIYKELWTWTSESPFQIGPVLVVNDPFTIDNGLMTPTMKIRRDKVVAKYRDQIENLYK >Vigun01g251200.2.v1.2 pep primary_assembly:ASM411807v1:1:41759754:41771022:1 gene:Vigun01g251200.v1.2 transcript:Vigun01g251200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKQLEDAILDFAEGLRVIGVRPYEKLALFADNSCRWLVADQGMMACGAINVVRGSRSSTEELLQIYNHSESVALAVDNPEMFNRIAKLFCSKNSMKFIILLWGEKSGLVSEGEKEVPVYTFMEVIHLGQESRRVLFDSLDNRKHYLYEAIKSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKNLGDIVPAEVGDRFLSMLPSWHAYERASEYFIFSCGVEQVYTTVRKLKDDLRRYQPHYLISVPLVYETLYSGIQKQISTSSLVRKLVALTFLRASLRYMECRRIYEGKCLTKDQKTPSYLLSTLDWLWARIIATILLPVHLLAKKLVYSKIHSAIGISKAGISGGGSLSSHVDRFFEAIGVTVQNGYGLTETSPVVAARRLSCNIIGSVGHPIKHTEFKIVDSETDEVLPPGSKGILKVRGPQLMKGYYKNPSATNQVLDRDGWLNTGDIGWIVPHHSTGRSRNSNGVIVVDGRAKDTIVLSTGENVEPGEIEEAAMRSSLIHQIVVIGQDKRRLGAVIVPNNEEVLKAARESSIIDPNSSDVSQENVTSLIYKELWTWTSESPFQIGPVLVVNDPFTIDNGLMTPTMKIRRDKVVAKYRDQIENLYK >Vigun06g002600.1.v1.2 pep primary_assembly:ASM411807v1:6:1169310:1180127:-1 gene:Vigun06g002600.v1.2 transcript:Vigun06g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVARSVVHDVLGQRVVDVDQPIVDYIVNVLADDDFDFGLDGEGAFEALGELLVAAGCVDDFSECRSVCSTLCDKFGKHGLVKAKPAVRSLAAPFRMNEGLDDVQAPKKKPEPVDGPLLSERDRLKLERRKRKDERQREAQYQMHLAEMEAARAGMPVVCVRHESAGGPNVKDIHMENFNISVGGRDLIVDGCVTLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGVPRNCQILHVEQEVTGDDTTALQCVLNSDIERTQLIEEEAQLVAQQREFEDKIEKGDSNGMVGRDSISQRLEEIYKRLEHIDADSAEARAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDILLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIVHLQNQKLTTYKGNYDTFERTREEQIKNQQKALEANERARSHMQTFIDKFRYNAKRASLVQSRIKALERMGHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWVVSEGRVAPFHGTFQDYKKILQSA >Vigun11g127200.2.v1.2 pep primary_assembly:ASM411807v1:11:33430538:33437728:1 gene:Vigun11g127200.v1.2 transcript:Vigun11g127200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRIGETGLSESGPSSHQVPYGVLHGINTSASSLMNQGSGFDFGELEEAFALQGIKIRSDEAKASLFTGRPSATLEMFPSWPMRFHQTPRGGSKSGGESTDSGSGVNTLSSKTELQFETESPISIKASSSSDHQHAFDQLQQQQQETATDASRAGTSQTQSAAKSQQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTHLEQDLQRARSQGVFMGCGGAGGSISSGAAMFDMEYARWLEDDHRHMAELRTGLQAPLSDGELRVIVDGYLSHYDEVFRLKGVAAKTDVFHLINGMWTSPAERCFLWIGGFRPSELITMLIQQLEPLAEQQIMGICALRQSSVQAEEALTQGLEQLQQSLVDTIAGGSVADGVQQMVAAMNKLANLEGFVGQADNLRQQTLHQLCRLLTVRQAARCFVVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTELQMVQPSQNHFSNF >Vigun11g127200.6.v1.2 pep primary_assembly:ASM411807v1:11:33430860:33437715:1 gene:Vigun11g127200.v1.2 transcript:Vigun11g127200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRIGETGLSESGPSSHQVPYGVLHGINTSASSLMNQGSGFDFGELEEAFALQGIKIRSDEAKASLFTGRPSATLEMFPSWPMRFHQTPRGGSKSGGESTDSGSGVNTLSSKTELQFETESPISIKASSSSDHQHAFDQLQQQQQETATDASRAGTSQTQSAAKSQQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTHLEQDLQRARSQGVFMGCGGAGGSISSGAAMFDMEYARWLEDDHRHMAELRTGLQAPLSDGELRVIVDGYLSHYDEVFRLKGVAAKTDVFHLINGMWTSPAERCFLWIGGFRPSELITMLIQQLEPLAEQQIMGICALRQSSVQAEEALTQGLEQLQQSLVDTIAGGSVADGVQQMVAAMNKLANLEGFVGQADNLRQQTLHQLCRLLTVRQAARCFVVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTELQMVQPSQNHFSNF >Vigun11g127200.3.v1.2 pep primary_assembly:ASM411807v1:11:33431019:33437727:1 gene:Vigun11g127200.v1.2 transcript:Vigun11g127200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRIGETGLSESGPSSHQVPYGVLHGINTSASSLMNQGSGFDFGELEEAFALQGIKIRSDEAKASLFTGRPSATLEMFPSWPMRFHQTPRGGSKSGGESTDSGSGVNTLSSKTELQFETESPISIKASSSSDHQHAFDQLQQQQQETATDASRAGTSQTQSAAKSQQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTHLEQDLQRARSQGVFMGCGGAGGSISSGAAMFDMEYARWLEDDHRHMAELRTGLQAPLSDGELRVIVDGYLSHYDEVFRLKGVAAKTDVFHLINGMWTSPAERCFLWIGGFRPSELITMLIQQLEPLAEQQIMGICALRQSSVQAEEALTQGLEQLQQSLVDTIAGGSVADGVQQMVAAMNKLANLEGFVGQADNLRQQTLHQLCRLLTVRQAARCFVVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTELQMVQPSQNHFSNF >Vigun11g127200.1.v1.2 pep primary_assembly:ASM411807v1:11:33430538:33437871:1 gene:Vigun11g127200.v1.2 transcript:Vigun11g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRIGETGLSESGPSSHQVPYGVLHGINTSASSLMNQGSGFDFGELEEAFALQGIKIRSDEAKASALFTGRPSATLEMFPSWPMRFHQTPRGGSKSGGESTDSGSGVNTLSSKTELQFETESPISIKASSSSDHQHAFDQLQQQQQETATDASRAGTSQTQSAAKSQQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTHLEQDLQRARSQGVFMGCGGAGGSISSGAAMFDMEYARWLEDDHRHMAELRTGLQAPLSDGELRVIVDGYLSHYDEVFRLKGVAAKTDVFHLINGMWTSPAERCFLWIGGFRPSELITMLIQQLEPLAEQQIMGICALRQSSVQAEEALTQGLEQLQQSLVDTIAGGSVADGVQQMVAAMNKLANLEGFVGQADNLRQQTLHQLCRLLTVRQAARCFVVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTELQMVQPSQNHFSNF >Vigun11g127200.4.v1.2 pep primary_assembly:ASM411807v1:11:33431019:33437727:1 gene:Vigun11g127200.v1.2 transcript:Vigun11g127200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRIGETGLSESGPSSHQVPYGVLHGINTSASSLMNQGSGFDFGELEEAFALQGIKIRSDEAKASALFTGRPSATLEMFPSWPMRFHQTPRGGSKSGGESTDSGSGVNTLSSKTELQFETESPISIKASSSSDHQHAFDQLQQQQQETATDASRAGTSQTQSAAKSQQEKRKGAGSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTHLEQDLQRARSQGVFMGCGGAGGSISSGAAMFDMEYARWLEDDHRHMAELRTGLQAPLSDGELRVIVDGYLSHYDEVFRLKGVAAKTDVFHLINGMWTSPAERCFLWIGGFRPSELITMLIQQLEPLAEQQIMGICALRQSSVQAEEALTQGLEQLQQSLVDTIAGGSVADGVQQMVAAMNKLANLEGFVGQADNLRQQTLHQLCRLLTVRQAARCFVVIGEYYGRLRALSSLWASRPRESMMSDDNSCQTTTELQMVQPSQNHFSNF >Vigun03g227850.1.v1.2 pep primary_assembly:ASM411807v1:3:37836495:37836964:1 gene:Vigun03g227850.v1.2 transcript:Vigun03g227850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding LTVLGKTFDIQFPPPYFKLCGKHAKYFKAEATVCIRHKAPLKVKTWKEIPEDDLTIMWKHMEDALCLKEEDKEHAMKQLQKQYRNRHHHLYQTYIQNKGRPNHVHPQDWNWLINNKWND >Vigun06g074950.1.v1.2 pep primary_assembly:ASM411807v1:6:20583979:20584525:1 gene:Vigun06g074950.v1.2 transcript:Vigun06g074950.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASLLFSCLTVLILVIFASGFVNGLNGCRNPCGESSSCAGLTTLLCDSPGCVKECRSNCCRCVCPGNEKTQINV >Vigun07g158800.1.v1.2 pep primary_assembly:ASM411807v1:7:27051753:27062036:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTDAASLDVELLLLPDFPALALKSNHNFIEKLFDQWLSLPESNRLVTSLLNEARSGVPLNVPGNCSSPNATSNSAPSMFPAGTAPPLSPRTSGSPRILKQRVGFSNFGSPLKVVSEPVKEVIPQFYYQNGRPPTNDLKEQCLFKTDRAFLQHMDGLQVHEFKSITKEVCKLPSFFSTTLFRKIDNGTGVVTRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.6.v1.2 pep primary_assembly:ASM411807v1:7:27051785:27061862:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTDAASLDVELLLLPDFPALALKSNHNFIEKLFDQWLSLPESNRLVTSLLNEARSGVPLNVPGNCSSPNATSNSAPSMFPAGTAPPLSPRTSGSPRILKQRVGFSNFGSPLKVVSEPVKEVIPQFYYQNGRPPTNDLKEQCLFKTDRAFLQHMDGLQQSIMQNQLEVKLGEDTAQVPKFRYLESMMGNQRECHTQMQLGHATWTTETTKTSRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.5.v1.2 pep primary_assembly:ASM411807v1:7:27054490:27061862:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQLEVKLGEDTAQVPKFRYLESMMGNQRECHTQMQLGHATWTTETTKTSRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.7.v1.2 pep primary_assembly:ASM411807v1:7:27051774:27062036:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLQMTSKNSACLKLIEHSFNIWMACRCMQSIMQNQLEVKLGEDTAQVPKFRYLESMMGNQRECHTQMQLGHATWTTETTKTSRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.3.v1.2 pep primary_assembly:ASM411807v1:7:27051766:27061707:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTDAASLDVELLLLPDFPALALKSNHNFIEKLFDQWLSLPESNRLVTSLLNEARSGVPLNVPGNCSSPNATSNSAPSMFPAGTAPPLSPRTSGSPRILKQRVGFSNFGSPLKVVSEPVKEVIPQFYYQNGRPPTNDLKEQCLFKTDRAFLQHMDGLQVHEFKSITKEVCKLPSFFSTTLFRKIDNGTGVVTRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.8.v1.2 pep primary_assembly:ASM411807v1:7:27051752:27061861:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLQMTSKNSACLKLIEHSFNIWMACRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >Vigun07g158800.2.v1.2 pep primary_assembly:ASM411807v1:7:27051752:27061737:1 gene:Vigun07g158800.v1.2 transcript:Vigun07g158800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQVHEFKSITKEVCKLPSFFSTTLFRKIDNGTGVVTRNAFVEYWINGNMLTMDIATVIFKILKQPQLNYITQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNIIDAMMHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFCQVPRKFTSKAGGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPKEDSFVTLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >VigunL059527.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000229.1:23327:23635:1 gene:VigunL059527.v1.2 transcript:VigunL059527.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun09g165000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:33317593:33318207:-1 gene:Vigun09g165000.v1.2 transcript:Vigun09g165000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGKQCSHKNPYVELEEVDFDFILALSMQEQEREFTMLSTIESESDEYLSDSSIDNDDDDDPDFVESQEFDSDLQFLEDEESNDNDDDEEMEVEEDEIDPDELSYEELMELGEFIGEETRGLSANEISTCLNPYTCQRGERKSGIDRCVICQVEYEDGEAVVALQCEHPYHADCISKWLQIKKVCPICSNEVSTPNMASNT >Vigun07g107600.4.v1.2 pep primary_assembly:ASM411807v1:7:19819882:19825467:-1 gene:Vigun07g107600.v1.2 transcript:Vigun07g107600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQKTLSGSSQSPRSPSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQAPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHRELHQSEDLRLFLQAEEETMERLRSQETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEETDPEYEKMKHYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGNALGKAFSELGMKSEILSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETLKLKEINLDKLTLIRSDKVAEAEHEYKEASKFG >Vigun07g107600.3.v1.2 pep primary_assembly:ASM411807v1:7:19819882:19825289:-1 gene:Vigun07g107600.v1.2 transcript:Vigun07g107600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKTLSGSSQSPRSPSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQAPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHRELHQSEDLRLFLQAEEETMERLRSQETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEETDPEYEKMKHYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGNALGKAFSELGMKSEILSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETLKLKEINLDKLTLIRSDKVAEAEHEYKELKAESEQATNTFETIVKLMNEEMERFQEQKTLDMGIAFHEFAKGQARLANSIADAWRSFIPKLEACSSLVEN >Vigun07g107600.2.v1.2 pep primary_assembly:ASM411807v1:7:19819882:19825467:-1 gene:Vigun07g107600.v1.2 transcript:Vigun07g107600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKTLSGSSQSPRSPSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQAPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHRELHQSEDLRLFLQAEEETMERLRSQETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEETDPEYEKMKHYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGNALGKAFSELGMKSEILSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETLKLKEINLDKLTLIRSDKVAEAEHEYKELKAESEQATNTFETIVKLMNEEMERFQEQKTLDMGIAFHEFAKGQARLANSIADAWRSFIPKLEACSSLVEN >Vigun07g107600.1.v1.2 pep primary_assembly:ASM411807v1:7:19819882:19825467:-1 gene:Vigun07g107600.v1.2 transcript:Vigun07g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQKTLSGSSQSPRSPSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQAPEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHRELHQSEDLRLFLQAEEETMERLRSQETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEETDPEYEKMKHYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGNALGKAFSELGMKSEILSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETLKLKEINLDKLTLIRSDKVAEAEHEYKELKAESEQATNTFETIVKLMNEEMERFQEQKTLDMGIAFHEFAKGQARLANSIADAWRSFIPKLEACSSLVEN >Vigun08g211700.1.v1.2 pep primary_assembly:ASM411807v1:8:37328513:37335764:1 gene:Vigun08g211700.v1.2 transcript:Vigun08g211700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSTFRVPIPNNVRKTIQDIREITGKQHTDDEIYAVLKECSMDPNETAQKLLYLDTFHEVRRRRDRKKEGLSNRVSDEPRIKQGGQGRGGRGASGGYSSNFPDGGGGRNIANRRENGVNHIAERSHAPSTQPVPQKIKNTTASQVTRVSAVAPHGAANQSNGKSVHVSAGQSPIVSVPKSSSAGNDTGYEESVQPQAAAVATPSSLTQTFGLVTSTDQGKSLSSSDQLQTSSSGVHSSLDPVVASSVSRHSGVSGAISREVGSNRISAGPNHVKGNKLVQEVNDLSASKNNKSGSVSSTSRTNAPQKSNEVEHNRLSEPSQLSSPSPNDSLRPSSSSGSQPPLANITEVSTSEACVQSSAELRQHVTFPNHFQVPEALKSGLTFGSFDTFSPSEKSNSGTDGDNNASRALEPSPVSDEAATSSNQNASLTSQGDRLDYPHSSSYLIEKTPATEGNSINGADTKVDQPKKEVLLAPEAPQLPTVQTPQNYGLNFMSTMLGTQQVQFEGTEPQAQDTSRFPNFVNASSQAVSPSPTPPLQSSIPVSPQSVSIFRPPYPTNFFPYGHYYPPIYVSPIHQFLSHNGFPQQPSAGNMYLPTAAGIKFPLPQFKAGANTGNAAHIGIPSGSFIPPPVGYAPGQTVSTGSSTGNEDLAVSQLKENQIYTTGQLSEGSAVWIPAPGQDISSLQVNSLYNLTPQGQHLTFPPTQAAHGAFAGIYQAGQAVASPSTLLQQSQAVAGPVETVGPPSGSYQQPQPAQINWNSNF >Vigun09g096200.1.v1.2 pep primary_assembly:ASM411807v1:9:14553417:14556038:-1 gene:Vigun09g096200.v1.2 transcript:Vigun09g096200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHFHYYPFPPLAYGCSYYATYATKRTLSTYRRIPPHSSCFSAITNLFFPNPNFFTGNTLFLRMKRFYPVSGTSKIKAMVERLQRCGVITSSKVAEVMEKVDRALFVPDGAEPYDDSPMAIGYNATISAPHMHAMCLQLLEEKLQPGMRALDVGSGTGYLTACFALMVGPQGRAIGVEHIPELVSSSIENIQKSAAATQLTDGSLSIHAGDGREGWAEFAPYDAIHVGAAAPEIPQPLIDQLKAGGRMVIPVGNIFQDLKVVDKNSDGSISVRTETSVRYVPLTSREAQLRTY >Vigun03g032400.1.v1.2 pep primary_assembly:ASM411807v1:3:2497442:2503680:1 gene:Vigun03g032400.v1.2 transcript:Vigun03g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGAKFPGIIDLNKNNNNYYDFSQGFYHKLGEGTNMSIDSVGSLQTSNGGGSVAMSIDNSSVGSNDSHTRMLDHQGLRRRANDNYSVAHSANRRGRVTHALSDDALAQALMDNSSPTEGLDNFDEWTIDLRKLNMGEPFAQGAFGKLYRGTYNGEDVAIKILERPENDPAKAQLMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQKRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKNVRPIIPNDCLPVLRDIMTRCWDPNPDVRPPFAEVVGMLENAETEILTTVRKARFRCCMTQPMTAD >Vigun04g150000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36569979:36573652:-1 gene:Vigun04g150000.v1.2 transcript:Vigun04g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQKLIIHLILLLCIPCSSSFSPIDNYLLSCGSQNNASLFNRIFVGDSTNQGSSFLSADKSISLTNQNPPPDLPILYHTARVFPSTGSFGFNMIKHGTHLVRFHFSPFKAQGFDLKSANFSVLVDGNLVLRNFKPSNGVLLKEFILKIESNLLEIVFRPEGNSGFAFVNAMEVFTAPVDFVIDYGARLVGPFGVVEYKNLSSQVLETVHRINVGGVKVTPFNDTLWRTWIPDEEFLVFKDAAKPVGSTQTPNYQKGGATREIAPDSVYMTAQEMDKDHAIIGSQFNITWDFPVAPGGVRHLVRLHFCDIVSPVLNLLYFDVYINGYSACKDLDLSSLTFHVLASPVYMDFVADSDDSGVIRISVGPSELSGSRGMNAILNGAEIMQLVNVEDSRVVPGRKRLWILVGSIAGGVVVLLFVMSAFVLALKCRKKKKKKKPKQRTLESVGWTPLRVFGGSSLSRMSEGTAFPSPGSYGYFGLRIPFADIQLATNNFDRSLIIGSGGFGMVYKGVLKDNVKVAVKRGMPGSRQGLPEFQTEITILSKIRHRHLVSLVGYCEENSEMILVYEYVEKGPLKKHLYGSAVHTPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPQLDREQVNLAEWALEWQKKGMLEHIIDPFLVGKIKQSSLKKFGETAEKCLAEYGVDRPTMGAVLWNLEYALQLHESDQEEEPYDDSSAQETVNVTTTVTPGSPSRREGDNDNGYSDISATEVFSQLMKSEGR >Vigun04g150000.3.v1.2 pep primary_assembly:ASM411807v1:4:36569814:36573652:-1 gene:Vigun04g150000.v1.2 transcript:Vigun04g150000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHGTHLDAAKPVGSTQTPNYQKGGATREIAPDSVYMTAQEMDKDHAIIGSQFNITWDFPVAPGGVRHLVRLHFCDIVSPVLNLLYFDVYINGYSACKDLDLSSLTFHVLASPVYMDFVADSDDSGVIRISVGPSELSGSRGMNAILNGAEIMQLVNVEDSRVVPGRKRLWILVGSIAGGVVVLLFVMSAFVLALKCRKKKKKKKPKQRTLESVGWTPLRVFGGSSLSRMSEGTAFPSPGSYGYFGLRIPFADIQLATNNFDRSLIIGSGGFGMVYKGVLKDNVKVAVKRGMPGSRQGLPEFQTEITILSKIRHRHLVSLVGYCEENSEMILVYEYVEKGPLKKHLYGSAVHTPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPQLDREQVNLAEWALEWQKKGMLEHIIDPFLVGKIKQSSLKKFGETAEKCLAEYGVDRPTMGAVLWNLEYALQLHESDQEEEPYDDSSAQETVNVTTTVTPGSPSRREGDNDNGYSDISATEVFSQLMKSEGR >Vigun04g150000.2.v1.2 pep primary_assembly:ASM411807v1:4:36569979:36573652:-1 gene:Vigun04g150000.v1.2 transcript:Vigun04g150000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQKLIIHLILLLCIPCSSSFSPIDNYLLSCGSQNNASLFNRIFVGDSTNQGSSFLSADKSISLTNQNPPPDLPILYHTARVFPSTGSFGFNMIKHGTHLDAAKPVGSTQTPNYQKGGATREIAPDSVYMTAQEMDKDHAIIGSQFNITWDFPVAPGGVRHLVRLHFCDIVSPVLNLLYFDVYINGYSACKDLDLSSLTFHVLASPVYMDFVADSDDSGVIRISVGPSELSGSRGMNAILNGAEIMQLVNVEDSRVVPGRKRLWILVGSIAGGVVVLLFVMSAFVLALKCRKKKKKKKPKQRTLESVGWTPLRVFGGSSLSRMSEGTAFPSPGSYGYFGLRIPFADIQLATNNFDRSLIIGSGGFGMVYKGVLKDNVKVAVKRGMPGSRQGLPEFQTEITILSKIRHRHLVSLVGYCEENSEMILVYEYVEKGPLKKHLYGSAVHTPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPQLDREQVNLAEWALEWQKKGMLEHIIDPFLVGKIKQSSLKKFGETAEKCLAEYGVDRPTMGAVLWNLEYALQLHESDQEEEPYDDSSAQETVNVTTTVTPGSPSRREGDNDNGYSDISATEVFSQLMKSEGR >Vigun05g095633.1.v1.2 pep primary_assembly:ASM411807v1:5:9270095:9270420:-1 gene:Vigun05g095633.v1.2 transcript:Vigun05g095633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding RKIKNLKVRKFEKMRSLLIGIMCIAFIVIASGPRECEGRIYVNPIKVCLGSCEGDCNNECTKSGYKGGSCTVE >Vigun04g086500.1.v1.2 pep primary_assembly:ASM411807v1:4:14692855:14694521:1 gene:Vigun04g086500.v1.2 transcript:Vigun04g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKRGPWTIEEDHKLINFILNNGIHCWRVVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTEMEEDQIIQLHSCLGNRWSKIASHFPGRTDNEIKNHWNTKIRKRLKVLGLDPLTLKPNEQKQQQCGEDDKLNTEKQQKSTSKGCDQGVEKKPLDMHGTTGKEPKTEDKVEENKVTSWDDTTGFLNNYEVLWSELELGSWMSQETKASTSSYCSSCFSVDDSSNLSSVGESSYLQQNSFQQWVQGLDSFFSWDSFNPIHRDFPFLENRQ >Vigun02g094000.1.v1.2 pep primary_assembly:ASM411807v1:2:24897936:24900790:-1 gene:Vigun02g094000.v1.2 transcript:Vigun02g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISVWVSSYRHPVPMAVVAVTSSTPFIFSQNVKPLSLPSPLSCLSISPRFPRFPSRRIRASAADDAGKPAGKISDEWGEDYEPEAEASSSKLPDTDPPKDEDEWQEGGEASDAGGYIDGGNGTPVAEAPAEEGVDDKVEGLKRALVDTVYGTELGIQAGSEVRAEVSELVTQLEAVNPTPAPVEEPALLDGNWVLLYTASSELLPLLAAGRLPLLKVEKISQTIDTSSFTIINSTTLSSPFASLSFSASASFEVRSPARIQVTFKEGAIQPPEIKSKVDLPENVDIFGQKLSLQPLQQSLVPLQGVVENISRVISGQPALKIPIPGERTSSWLLTTYLDQDLRISRGDGGLFILAREGSPLLEQ >Vigun03g267100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:43743800:43744920:1 gene:Vigun03g267100.v1.2 transcript:Vigun03g267100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQENEEEEIINPFTMLLLEDHDKHGTSTTLHDAAPNHHLLQNHFLSSIQSTLTIRQLPSEGLSFQLWPAATSLVSLLDRYRADPSSSPLSAALNGRLRILELGSGTGIVGIVAAATLGGHVTLTDLPHVVPNLKFNADANAGVVGPRGGELTVAPLRWGHADDVEAIGRDFDLIVASDVVYHDHLYDPLLETMRLMMLTEEGAVREGKEKMAFLMAHLRRWKKESAFFRKAKKHFHVDVLHTDPPCDGSRVGVVVYRFVGKGS >Vigun06g057500.1.v1.2 pep primary_assembly:ASM411807v1:6:18409576:18414308:1 gene:Vigun06g057500.v1.2 transcript:Vigun06g057500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDDVKAAASEFGRGFNHSYYHALHIAILKGDWQSTKAFLDNDPSAWSAKITILGRTVLHVAAVGGQWQIVEKLVQHVPAKVLEELDLMGCTCLHYVAMGESRSAAKALVTKNPSLTQFTDFKGFTPLIYSITSAKCKEMVWYFVLNTTDERPGCPFSGPSAAQLVALLTAAGFHDVTIYLLQRYPDLATLSDSKGSIILNVLSKLPSDFQSGNKLGFWKRCIYHCMPDELDFLPPSHLRGNLKDSFGNSSHHQSYFGSTIWDGIQSIVPGIKLVREAKLRHACAARLVELVCTQVSNLNDTEFWQSFVSADIVFNASSSGIVEILRICFQFFPDLVWTHLPNEGYVAQTAIKYRQEKVFSLLCNMPIVCKFLILALDESQNTTSHLAARFASPQQASISGAAFQMQKELQWFKNVEKWDHPLHKEVKNKDGKTPWQLFREEHKPLLEEAKVWMKDTSNSCMLVATLIATIVFAASITVPGGNNQDKGFPLFLSDNTFMVFVVSDTLALFSSMVSLLMFLSILTAHYAEEDFLKRLPERIILGLASLFFSIVTTMIAFGAALDLLLRERVKWVSIPIALLACVPVALFAGLQLPLFIQMIISTYGSSIYHRQSLW >Vigun06g125300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25228253:25231035:-1 gene:Vigun06g125300.v1.2 transcript:Vigun06g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDAGLGRVVWDEGEKAMVGAVLGGRALEFLTTNSVSNESVLMAVGSDEGLQNKLSDLVERPNDSNFSWNYAIFWQLSQSKSGDWVLGWGDGCCREPNEEEEGAVRGKLRLLRVDEEMQQRMRKGVLQKLHTTFGGSDEDNYAFGLDHVTDTEMFFLASMYFSFPRGHGGPGKCFVSGKHLWLKSISDYCVRSSLVKSAGIQTIVMIPTDLGVVELGSVRVLPESFELVQAVKAVFSTQCSPYPGVNVSAGGNAVFTGLAIGDGSKVDGGVPIPKLFANASGNRTHFREKLAVRKMDDNRPWAGHGLPNGNNLSNNPNARNGLHVPGWAVNSGVRQGGPVEIFASRASSSSVAAELANGGRQDFRLGNGYQAQRQVQMQIDFTGATSRPSHVRPVVGDSELSDVEASCKEEQPSVLGERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAIAYINELQAKVKIMETERERFGSTSKDGSVLEANSRSENKNQEKEAPDVDIEAAQDEVTVKVSCPFDTHPVSKVIQTLREAQISVVESKLAAANDTVFHTFVIKSKGPEQLTKDKLIAVFSPPESNQLQPLSSVG >Vigun05g255400.1.v1.2 pep primary_assembly:ASM411807v1:5:44970539:44972659:1 gene:Vigun05g255400.v1.2 transcript:Vigun05g255400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWSVFFKREWKRNWPFLVGFAVTGTVITKFSLGLTEEDAKKSKFVQAHKR >Vigun05g242800.1.v1.2 pep primary_assembly:ASM411807v1:5:43598350:43599508:1 gene:Vigun05g242800.v1.2 transcript:Vigun05g242800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSYQNVVRVKDIKDALDTSEIQPYVINKFNVLFMNKRGFDVHRNKGTGKSCSTSLCNICSRNISNSSRFCSLGCKFAWTKRSESGSIDQRKTTEERLKEIEEEKNSKILASKEKSGSNTSNSRKRKRKGIPFRAPFY >Vigun07g290700.1.v1.2 pep primary_assembly:ASM411807v1:7:40257356:40260213:-1 gene:Vigun07g290700.v1.2 transcript:Vigun07g290700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHCVQVSAIGGFASPIPKSSVSLWSTTMAVASPNSLRVAAAQMTSVSDLAANFATCSRLVKEAASAGAKLLCFPEAFSYVGTKDGDSVSVAQPLDGPIMNQYCSLARESSIWLSLGGFQEKGSDPTHLCNTHVIVDETGKIRSSYRKIHLFDVDVPGGRVYKESKFTEPGKDIVAVDSPIGRLGLSVCYDLRFPEMYQLLRFQHEAQVLLVPSAFTKVTGAAHWEILLRARAIETQCYVIAAAQTGKHGDKRESYGDTLIIDPWGTIVGRLPDRLSTGIVVADIDLSLVDSVREKMPIDKQRMPIDFWKAASL >Vigun08g160600.1.v1.2 pep primary_assembly:ASM411807v1:8:33295838:33301587:1 gene:Vigun08g160600.v1.2 transcript:Vigun08g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYGYGYKMFKYFNKTYALSEQTPPPDVKDAFSLFAEGADFMSADQLLRFLHEHQLELDYTAEDSNRVIETFMQSRTENAECDSDNNGLTLDEFFRFLFLVDFNDALKSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQLGVRVIELDLWPNSTRDDIDVVHGRTLTAPVSLIQCLKSIKEYAFVKSEYPLIITLEDHLTPPLQAKVAEMVAQVFGDMLYYPETDLLTEFPTPESVKGRILISTKPPKEYLESKQFKDSDSERESTEELSPCIIPELEAAAAAAVAAAEKPNGNDLDEESLNARDKKPDQQGAPEYKRLITIHAGKPKGPVKDHLNVVGGVKRLSLSEQELERASTTYGSDIVRFTQKNIIRVYPKGTRVNSSNYRPHIGWIYGAQMVAFNMQGHGKSLWFMQGMFRSNGGCGYVKKPSFLIEQGPDDEVFDPKKPMPVQKTLTVKVYMGNGWSTDFSKTHFDAFSPPDFYTKVCIVGVPADNMNKKTRIIQDDWFPVWDEEFEFPLCVPELALLWIEVREYDKHEKDDFGGQSCLPISELRSGFRVVPLFDEKGEQLKSVKLLMRFQFK >Vigun08g160600.2.v1.2 pep primary_assembly:ASM411807v1:8:33295838:33301587:1 gene:Vigun08g160600.v1.2 transcript:Vigun08g160600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQLGVRVIELDLWPNSTRDDIDVVHGRTLTAPVSLIQCLKSIKEYAFVKSEYPLIITLEDHLTPPLQAKVAEMVAQVFGDMLYYPETDLLTEFPTPESVKGRILISTKPPKEYLESKQFKDSDSERESTEELSPCIIPELEAAAAAAVAAAEKPNGNDLDEESLNARDKKPDQQGAPEYKRLITIHAGKPKGPVKDHLNVVGGVKRLSLSEQELERASTTYGSDIVRFTQKNIIRVYPKGTRVNSSNYRPHIGWIYGAQMVAFNMQGHGKSLWFMQGMFRSNGGCGYVKKPSFLIEQGPDDEVFDPKKPMPVQKTLTVKVYMGNGWSTDFSKTHFDAFSPPDFYTKVCIVGVPADNMNKKTRIIQDDWFPVWDEEFEFPLCVPELALLWIEVREYDKHEKDDFGGQSCLPISELRSGFRVVPLFDEKGEQLKSVKLLMRFQFK >Vigun06g014400.2.v1.2 pep primary_assembly:ASM411807v1:6:6739876:6742308:-1 gene:Vigun06g014400.v1.2 transcript:Vigun06g014400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLFVMYLLNTHVTYKVDGNPSILKEDLELERKLELINKPPIKSIHIAEVSLKPNYGPYYGVGGTNSIFNPRVDTKLQISMSHLWVQNGPIESTNKISLGWHVIPQLYGDYATHYYTSWTDHVTKNWWISIENKFIGYFPAKLFSNMSSADQVGWGGRTRTHPGTQSPQMGSGHFPHDDNPRHACYFKLVSIQDNERKTHGAKVYETHSFTDNPMCYDVRYYGDQGPHFGYLLMFGGPGGNCGN >Vigun06g014400.3.v1.2 pep primary_assembly:ASM411807v1:6:6740450:6742308:-1 gene:Vigun06g014400.v1.2 transcript:Vigun06g014400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLFVMYLLNTHVTYKVDGNPSILKEDLELERKLELINKPPIKSIHTKIGHIVDCIDILKQPSFDHPLLKSHKLQRKPNFQNVTRETSQKTLGTRPIFGLGKDECPMGTVPILRTTKEDLIREKSLLNDHILVHDLPGVHIAEVSLKPNYGPYYGVGGTNSIFNPRVDTKLQISMSHLWVQNGPIESTNKISLGWHVIPQLYGDYATHYYTSWTSDNYKKTGCYNIRCAGFVQTNKEIYLGVPLDPVSHYGGPLYASPFSIS >Vigun06g014400.4.v1.2 pep primary_assembly:ASM411807v1:6:6739876:6742308:-1 gene:Vigun06g014400.v1.2 transcript:Vigun06g014400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLFVMYLLNTHVTYKVDGNPSILKEDLELERKLELINKPPIKSIHTKIGHIVDCIDILKQPSFDHPLLKSHKLQRKPNFQNVTRETSQKTLGTRPIFGLGKDECPMGTVPILRTTKEDLIREKSLLNDHILVHDLPGVHIAEVSLKPNYGPYYGVGGTNSIFNPRVDTKLQISMSHLWVQNGPIESTNKISLGWHDHVTKNWWISIENKFIGYFPAKLFSNMSSADQVGWGGRTRTHPGTQSPQMGSGHFPHDDNPRHACYFKLVSIQDNERKTHGAKVYETHSFTDNPMCYDVRYYGDQGPHFGYLLMFGGPGGNCGN >Vigun06g014400.1.v1.2 pep primary_assembly:ASM411807v1:6:6739876:6742308:-1 gene:Vigun06g014400.v1.2 transcript:Vigun06g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLFVMYLLNTHVTYKVDGNPSILKEDLELERKLELINKPPIKSIHTKIGHIVDCIDILKQPSFDHPLLKSHKLQRKPNFQNVTRETSQKTLGTRPIFGLGKDECPMGTVPILRTTKEDLIREKSLLNDHILVHDLPGVHIAEVSLKPNYGPYYGVGGTNSIFNPRVDTKLQISMSHLWVQNGPIESTNKISLGWHVIPQLYGDYATHYYTSWTDHVTKNWWISIENKFIGYFPAKLFSNMSSADQVGWGGRTRTHPGTQSPQMGSGHFPHDDNPRHACYFKLVSIQDNERKTHGAKVYETHSFTDNPMCYDVRYYGDQGPHFGYLLMFGGPGGNCGN >Vigun06g014400.5.v1.2 pep primary_assembly:ASM411807v1:6:6739876:6742308:-1 gene:Vigun06g014400.v1.2 transcript:Vigun06g014400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILLFVMYLLNTHVTYKVDGNPSILKEDLELERKLELINKPPIKSIHIAEVSLKPNYGPYYGVGGTNSIFNPRVDTKLQISMSHLWVQNGPIESTNKISLGWHDHVTKNWWISIENKFIGYFPAKLFSNMSSADQVGWGGRTRTHPGTQSPQMGSGHFPHDDNPRHACYFKLVSIQDNERKTHGAKVYETHSFTDNPMCYDVRYYGDQGPHFGYLLMFGGPGGNCGN >Vigun08g073500.1.v1.2 pep primary_assembly:ASM411807v1:8:12512305:12529464:1 gene:Vigun08g073500.v1.2 transcript:Vigun08g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTAPLGGGDSSSSARAPSSFVKKGDRQMFTVELRPGETTIVSWKKLMKDTNKVNNKGPTSAPDYLPNGNPALEARIAPGQPKETEEQGAPQPNRFSAVIEKIERLYMGKDSSDEEDLLDVPDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVLPIQQAKKRRRKDISKNPGENIDGHVSNKLVKVRKTAVGKTASLPVKNMLSSSHNFAVPGEHYEDMKFQKQMDVSGISLKRKTADATPISDPPVCLKVSNNDPPAAEGPDKQKTGMSQSKNTGDKLKDASGLVDISHHKYHEKNLSAHSKSQPGKSSSSVDNLENTGQSKDKNFIRELPDLNLAAGKIAVHAPKSEHVLKKGGSSARPKITTLEKAIRDLEKIVTESRPPTMENQEGDNTPQAVKRRLPRDIKLKLAKVARLAQASQGKVSKDLINRLMSILGHLIQLRTLKRNLKIMISMGLSAKQEKDDRFQQIKKEVVEMIKMQVPSMESKLQQQAEASGEKEMGPDGKATTKNIFSMDTALEDRICDLYDLFVDGLDENAGPRIRKLYAELAELWPTGYMDNHGIKRAICRSKERRRALHIRHKDREKIKKNKLFAPRRDENARLDANPITSQQPMRERLATDSSSLNHTSVNKAVSITVAAPRVYNPSLNGSKQEKAKGSSSGSLDDGGDEDVLVKKKVKRRPEQGLEGNHFRPGKMTAFSRGEKPRSLKQSAGVLPKSNIQPSSLHGLEQSS >Vigun09g108575.1.v1.2 pep primary_assembly:ASM411807v1:9:22787990:22788320:-1 gene:Vigun09g108575.v1.2 transcript:Vigun09g108575.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVLSFGLLLTALRSRKARSWRYGCVADYLSLSFWDEIGESDNDRDNMLLQLEQECLDIYHRRVKETRKHKADIY >Vigun03g273700.1.v1.2 pep primary_assembly:ASM411807v1:3:44932051:44939238:1 gene:Vigun03g273700.v1.2 transcript:Vigun03g273700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNQLVILTRFQDAIRMVMDIVEKGRKNKRSKRILRSTLKNMTLVVQEIKQYNEHLNPPREEIITLVKEKDAGEKLVCNSCSRSLWWTKFLSWFSLYEEGLLHQKNDSRTADDKQVKDIKNTLYKLREIIELLDMENFEQKIKGAGMTIKSPYGVPENPEFTVGFGPLLSKLKMEVLQEEGVSTLLLSGLGGSGKTTLATMLCKDKEVKGKFKNNILFVTISQTPKLKNIVERLFEHCGYHVPEFVSDEDAIKRLEILMRKIEGSPLLLVLDDVWPSSEALVEKFKFQMSDYKILVISRVAFPKFGTQFILKPLAHEDAMTLFRHHALLDERSSKCSLSIPNEEIVQKVVRYCKGLPLAIKVIGRSLSNQSLELWQKTLDELSQGHSILDSSTELISCFQKLLDVLEDNPITKECFMDLGLFPEDQRIPLPALIDMWAELYKLDDDGIEAMEIIKKLDSMNLANLLVARRNTSDSDNYYYNNHFVVLHDLLRDLAIYQNNREPIDHRKRIITGINENQSQRWLGEKQHGMLSRLLSKYRGWCVKQTIQQVSARTLSLSTDETCASYWSDLQPSQAEVLILNLQTKKYTFPEFMEKMIKLKVLIMTNYGFHYSEVDNFQLLGSVSNLKRIRLERISVPHLGALKNLKKLSLYMCSNISQAFENGTILASDSFPSLLDLNIDYCKDMVKLPNGICDITSLKKLSITNCHKLCSLPQEIGQLLNLELLNLSTCTDLEEIPDSIQNLAKLRLLNISNCISLSNLPEEFGNLCNLRNLNMTSCARCELPYSITNLENLKVVVCDEETAASWEAFEAMLPNLKVEVPQVDVNLNWLHSISS >Vigun05g116000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12322824:12324913:1 gene:Vigun05g116000.v1.2 transcript:Vigun05g116000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCPGAANLCCLFIQDEPSPPETLGVLAFDAAKTMCRLLSLYHSLTPHEIVHLRRNIIRSKSVSYLNSRDECFLLTLACAERLEDLNLAAATVSRLAARCSDQNLACFEALDARKLEFGTREVEKKIESMEKLVFATRSLHKAMESLTEMEASEKKMQRWRNIRANNGLKVKVECFNDRIMFYRRQIMYFKQVSLWGQTFDKVVGLMARIVCIVYNRICTVFRIFITGNVARNINQKRRNNNRPRVARSPENCCCRVEHRELYRLNLFLFEQTEEQLFIRKRVWGCCHVQKTTPTGVFRYHQTPPQGGAEAARNNRVMRLAPTTTVGGAGLSLRYANVIMLAERCMNAPDATIGDDARAALYEMLPGRLELKLRQKLREEWLEWRKLKGDGDGLSAAVRRWHDEVAEVMEWLVPVAHDMVRWQTERNMEKQKFETRPTVLLLQTLHYSDLEKVEEAIVKVLVGLSYMYWCRKS >Vigun08g101700.1.v1.2 pep primary_assembly:ASM411807v1:8:25147769:25162845:-1 gene:Vigun08g101700.v1.2 transcript:Vigun08g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPCCNRCTQSVVVSVFTILVLFHQTCCAKHRASCPSSSCGEIHEIKHPFRLKDDPRDCGLPEYEFDCVNNRPLVTLFSGKYFVEEINYDRYQIRLIDPGVVEDTSCSFPRYFLSTQNFSGYDDDLLATLSGEDYESETAKVAFGENVYEPAKVVFLNCSDRVSDDPRYVEVKSGGCDSGGYIYAVVDRGKKFHPEFTVMDVKAGCSLKVATFANWTHGVSYRESTDNRNVSYADILKSLEKGFWLTWLPLVCRDHCGNGISCILNQTTQEIQCIGCLRMNYYGSNCGTLSLIEEYLTGFFVGIIKGFLHTIKIVKRSSPYWNIEGEFIGDVILPIFIAARYLFIVLLFLVLIIYKWRKRHFSMFENIEKFLLDNNINPIRYEYKTVKKITRGFKVKLGEGGFGCVYKGKLRSGLDVAVKMLSKSKNNGQEFVNEVASIGRIHHVNVVGLIGYCVEGKNRGLIYEYMSNGSLDKYIFSKEGSVLLSYEKIYEISLGIAHGITYLHRGCDVQILHFDIKPHNILLDDNFTPKVSDFGLAKLYPTKDGSIILTAIRGTLGYMAPELFYKNVGGVSYKADVYSFGMLLMEMASRRKNSNPLAEHSSQHYFPFWIHDQFKEEKDIDMKDASETDKILMKKMFIVALWCIQFKPSDRPSMSKVVEMLEGKVETLEIPPKPSFYLHEILEHDGTINSWSDSTSSNVNVDTNMPNH >Vigun09g007800.3.v1.2 pep primary_assembly:ASM411807v1:9:581291:591668:-1 gene:Vigun09g007800.v1.2 transcript:Vigun09g007800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLTGQLVASEIHGFHTLQDLDVSNTMEEAKSRWLRPNEIHAILCNHKYFKIKAKPVHLPESGTIVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKSLEHIVLVHYRETREIQLQSSPVTPVNSNSSSVSDPAASWIPSEDLDSGAKSAYSAELNEDLTVKSYEEKLHEINTLEWDDLVVSNVNTSTTSNGGNVPHSYQQNQSLLGGSFGNVSSTPSAEVPSLGLQSQDSFGTWINNIISDTPCSIDESALETSISTVREPYSSPVADNQLSSLPEHVFNLTEVSPAWASSTEKTKVLVTGYFHSNYQHLAKFNLVCVCGDVSFPVEIVQVGVYRCWVPPHSPGLVNIYLSFDGHKPISHVVNFEYRTPILHDPTATMEEKYNWNEFRLQMRLAHLLFSTDKTLDIFSNTVSPNALKEASRFSFRTSFISKSWQFLMKSTEDQTAPFPQVKDSLFEIALKNKLKEWLLERIVIGSKSTEYDAQGQGVIHLCAMLGYSWAISLFSWSGLSLDFRDKFGWTALHWAAYYGMEKMVATLLSAGARPNLVTDPTPKNPGGFTAADLAYMKGYDGLAAYLSEKSLVEQFNDMSLAGNISGTLETSSTDAVITQELPEDQLYLKDTLAAYRTAAGAAARIQAAYREHELNLRYKNIELNSPEHQARRIVAAMKIQHAFRKFETKKSNAAAARIQHRFRTRKIRREFLHMRRQAIKIQAAFRGFQVRRQYKKIIWSVGVLEKAILRWRLKRKGFRGLQVNSAEDEKQEDGAEEEFFRTGLKQAEERVERSVVRVQAMFRSKKAQEEYRRMKLAHSQAKLDLEFEDFLTSEVDMLPDI >Vigun09g007800.2.v1.2 pep primary_assembly:ASM411807v1:9:581291:591723:-1 gene:Vigun09g007800.v1.2 transcript:Vigun09g007800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLTGQLVASEIHGFHTLQDLDVSNTMEEAKSRWLRPNEIHAILCNHKYFKIKAKPVHLPESGTIVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKSLEHIVLVHYRETREIQLQSSPVTPVNSNSSSVSDPAASWIPSEDLDSGAKSAYSAELNEDLTVKSYEEKLHEINTLEWDDLVVSNVNTSTTSNGGNVPHSYQQNQSLLGGSFGNVSSTPSAEVPSLGTLVNEGLQSQDSFGTWINNIISDTPCSIDESALETSISTVREPYSSPVADNQLSSLPEHVFNLTEVSPAWASSTEKTKVLVTGYFHSNYQHLAKFNLVCVCGDVSFPVEIVQVGVYRCWVPPHSPGLVNIYLSFDGHKPISHVVNFEYRTPILHDPTATMEEKYNWNEFRLQMRLAHLLFSTDKTLDIFSNTVSPNALKEASRFSFRTSFISKSWQFLMKSTEDQTAPFPQVKDSLFEIALKNKLKEWLLERIVIGSKSTEYDAQGQGVIHLCAMLGYSWAISLFSWSGLSLDFRDKFGWTALHWAAYYGMEKMVATLLSAGARPNLVTDPTPKNPGGFTAADLAYMKGYDGLAAYLSEKSLVEQFNDMSLAGNISGTLETSSTDAVITQELPEDQLYLKDTLAAYRTAAGAAARIQAAYREHELNLRYKNIELNSPEHQARRIVAAMKIQHAFRKFETKKSNAAAARIQHRFRTRKIRREFLHMRRQAIKIQAAFRGFQVRRQYKKIIWSVGVLEKAILRWRLKRKGFRGLQVNSAEDEKQEDGAEEEFFRTGLKQAEERVERSVVRVQAMFRSKKAQEEYRRMKLAHSQAKLDLEFEDFLTSEVDMLPDI >Vigun09g007800.1.v1.2 pep primary_assembly:ASM411807v1:9:581291:591928:-1 gene:Vigun09g007800.v1.2 transcript:Vigun09g007800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLTGQLVASEIHGFHTLQDLDVSNTMEEAKSRWLRPNEIHAILCNHKYFKIKAKPVHLPESGTIVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKSLEHIVLVHYRETREIQLQSSPVTPVNSNSSSVSDPAASWIPSEDLDSGAKSAYSAELNEDLTVKSYEEKLHEINTLEWDDLVVSNVNTSTTSNGGNVPHSYQQNQSLLGGSFGNVSSTPSAEVPSLGTLVNEGLQSQDSFGTWINNIISDTPCSIDESALETSISTVREPYSSPVADNQLSSLPEHVFNLTEVSPAWASSTEKTKVLVTGYFHSNYQHLAKFNLVCVCGDVSFPVEIVQVGVYRCWVPPHSPGLVNIYLSFDGHKPISHVVNFEYRTPILHDPTATMEEKYNWNEFRLQMRLAHLLFSTDKTLDIFSNTVSPNALKEASRFSFRTSFISKSWQFLMKSTEDQTAPFPQVKDSLFEIALKNKLKEWLLERIVIGSKSTEYDAQGQGVIHLCAMLGYSWAISLFSWSGLSLDFRDKFGWTALHWAAYYGMEKMVATLLSAGARPNLVTDPTPKNPGGFTAADLAYMKGYDGLAAYLSEKSLVEQFNDMSLAGNISGTLETSSTDAVITQELPEDQLYLKDTLAAYRTAAGAAARIQAAYREHELNLRYKNIELNSPEHQARRIVAAMKIQHAFRKFETKKSNAAAARIQHRFRTRKIRREFLHMRRQAIKIQAAFRGFQVRRQYKKIIWSVGVLEKAILRWRLKRKGFRGLQVNSAEDEKQEDGAEEEFFRTGLKQAEERVERSVVRVQAMFRSKKAQEEYRRMKLAHSQAKLDLEFEDFLTSEVDMLPDI >Vigun08g225301.1.v1.2 pep primary_assembly:ASM411807v1:8:38356841:38360619:1 gene:Vigun08g225301.v1.2 transcript:Vigun08g225301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding PHTLTHTHTHTHIQTHTHAHAHTHAHTYAHTHAHTYARTHTLTHTHTRRLARTHAHTHANTHAHTYTHTRGLARTHARTHKRTHAHSHERTHAHTHTRTHARTHAHTHTCKHPRTHARTHTRTHKRTHARTHPHTHNHTHARTQTRTDTCSHARTDPRTNSRTHAHTNAPTHASTHAQTHARSHAHTHGHMLTRTHGSMNTRTHSPMHAHMHTRRNTCTHTHTDKHTQSHTHARTYPRTHAHTHMHTSTHVHTKTRTHARMHATTHTHTHARMYTRRHEHTNTHMLARTYAHNHARTHAHSHAHTHTHTKECTHARTHTRTHAHTHTHTHVHPPTHTQPHTHTRTHSNTHTHTHAHTNAHTHAPTHAHTH >Vigun10g003600.2.v1.2 pep primary_assembly:ASM411807v1:10:298040:319941:-1 gene:Vigun10g003600.v1.2 transcript:Vigun10g003600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHSLPSMSNFSSNQIRFLLTTLNEVNFDSVFHQLSQFSEFGTTGCILLLQTCLDHYGYARRDTKDMQHEPILGAVIKYLLDKPNFSTVFSESMKNMEINESFLENFCNGLQLSLLEKIIVSLALSDSENSEFRLCGKTFCMAQIEELCANPGSLSFHEQIHSIIMFLKQSEGLSKHVDSFMQILSLMEFKATPPFVLTPLLPDEMHEADFLRNMELFHDSGENDFDAILADIQKEMNMGDIVKELGYGCTVDVSQCKEIFSLFLPLTEHTLSKLLGAIACTHYGLEDNQNTYLNFRAAHGYNVSELPPLNSWNIDVLIDTVKHLAPHTNWVSVIENLDHEGFFLPSEEAFSFLMSVYKLACKEPFPLHAVCGSVWKNTEGQLSFLKYAVSAPPEMFTFAHSGRQLAYVDAINGHKIQNGHPNHSWLCLDLLDVLCQLAEKGHASVVRSILDYPLKHCPEVLLLGMAHINTAYNLLQQEVSPIVFPLIVKSAVGSGMILHLWHVNPNLVFRGIIDSQNNDADSIIRIVDICQELKILSSVVEIIPSHYSIRLAAVASRKELLDLEKWLSGNLITYKETFFEECLKFIKDAHFGGSQNLSGKSFHPSSGVLSLYAEATATVLKVLKSHNDLVATRQLSEELERLHISIIDSNPRLQNGGAGDSSTSDGYADDIEAEANSYFHQMFSDQLTINAMVQMLARFKESSVKREKSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFLFGSLALEQFVDRLIEWPQYCNHILQISHLRSTHSEIVAFIEQALARISSGHSDGDGASHASVITNHHSAQATLGHVELGGSTVIQPGQQHLSLQLQQRRENPLDDRHKASVGSSTDVKPLLSSLGQSSVLPTDASNTNKLHTSVSTSSMLSSSSPGFVRPSRGPTSTRFGSALNIETLVAAAEKREIPIEAPGSEVQDKILFIINNVSSTNIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLVEIYSMPNLKMNLKFDIEVLFKNLGVDMKDVTPTSLLKDRKREFEGNPDFSNKDVGASQSQMITDIKSGLVPPVNQLELPLEVTNPSNTGAHPHMLSQYAGPLHMSSGALMEDEKVTPLGLSDQLPSAQGLLQATPSPAPFSHSQMQPQIPNIGTHVIINQKLSGFGLQMHFQRAVPIAMDRAIKEIVTSIVQRSVSIATQTTKELVLKDYAMESDETRILNAAHLMVASLAGSLAHVTCKEPLRASISGQLRTSLQNLNIANEILEQAVQLVTNDNLDLGCAVIEQAATDKAISTIDTEIGQQLSLRRKHREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSMSQQRVYEDFVRLPWQNQSSQSSHSMSAGVAVQSANTGLPSTNGSVSGQVNPGYPVSTGYEGVSRPLEDITESNLAPHFSASSIHIRASDSVSQHSLEKESVASFPSAASTPELHAVDSSDVKESGTSQPLVTSGAMERLGNSFLEPSLTTRDALDKYQIVAQKLEAMVSSDSRDAEIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNNIHVSAHLAILTAIRDVCKLAVKELTSWVIYSEEERKYNKEITIGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNLVDALAKLATKPGCPEPLPQLLEMIKNPGALSSSNAGKEDKVPGLLPANREEFNSVDSIEPDPAGFREQVSILFKEWYRICELPGANDTASAHFILQLHQNGLLKGDDLTDRFFRLLLELAVAHCLSTEMINSGSLQSQQLQTMSFLAIDIYAKLVFSILKGSNKPFLLSKILAVAVRFIIKDAEEKKASFNPRPLFRLFINWLLDLGSLEPVTDGANLQILTAFANAFHALQPLKVPAFSFAWLELISHRSFMPKMLTGNGQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADVDEYLKTRQQGSPFLSDLKDKMLLAPNEAASAGTRYNVPLINSLVLYVGMQAIHQLQGRTPHTQSSANAFPLAVFSVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTNTHYFSFILLYLFAESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDDNMVSGWV >Vigun10g003600.3.v1.2 pep primary_assembly:ASM411807v1:10:298040:319941:-1 gene:Vigun10g003600.v1.2 transcript:Vigun10g003600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHSLPSMSNFSSNQIRFLLTTLNEVNFDSVFHQLSQFSEFGTTGCILLLQTCLDHYGYARRDTKDMQHEPILGAVIKYLLDKPNFSTVFSESMKNMEINESFLENFCNGLQLSLLEKIIVSLALSDSENSEFRLCGKTFCMAQIEELCANPGSLSFHEQIHSIIMFLKQSEGLSKHVDSFMQILSLMEFKATPPFVLTPLLPDEMHEADFLRNMELFHDSGENDFDAILADIQKEMNMGDIVKELGYGCTVDVSQCKEIFSLFLPLTEHTLSKLLGAIACTHYGLEDNQNTYLNFRAAHGYNVSELPPLNSWNIDVLIDTVKHLAPHTNWVSVIENLDHEGFFLPSEEAFSFLMSVYKLACKEPFPLHAVCGSVWKNTEGQLSFLKYAVSAPPEMFTFAHSGRQLAYVDAINGHKIQNGHPNHSWLCLDLLDVLCQLAEKGHASVVRSILDYPLKHCPEVLLLGMAHINTAYNLLQQEVSPIVFPLIVKSAVGSGMILHLWHVNPNLVFRGIIDSQNNDADSIIRIVDICQELKILSSVVEIIPSHYSIRLAAVASRKELLDLEKWLSGNLITYKETFFEECLKFIKDAHFGGSQNLSGKSFHPSSGVLSLYAEATATVLKVLKSHNDLVATRQLSEELERLHISIIDSNPRLQNGGAGDSSTSDGYADDIEAEANSYFHQMFSDQLTINAMVQMLARFKESSVKREKSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFLFGSLALEQFVDRLIEWPQYCNHILQISHLRSTHSEIVAFIEQALARISSGHSDGDGASHASVITNHHSAQATLGHVELGGSTVIQPGQQHLSLQLQQRRENPLDDRHKASVGSSTDVKPLLSSLGQSSVLPTDASNTNKLHTSVSTSSMLSSSSPGFVRPSRGPTSTRFGSALNIETLVAAAEKREIPIEAPGSEVQDKILFIINNVSSTNIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLVEIYSMPNLKMNLKFDIEVLFKNLGVDMKDVTPTSLLKDRKREFEGNPDFSNKDVGASQSQMITDIKSGLVPPVNQLELPLEVTNPSNTGAHPHMLSQYAGPLHMSSGALMEDEKVTPLGLSDQLPSAQGLLQATPSPAPFSHSQMQPQIPNIGTHVIINQKLSGFGLQMHFQRAVPIAMDRAIKEIVTSIVQRSVSIATQTTKELVLKDYAMESDETRILNAAHLMVASLAGSLAHVTCKEPLRASISGQLRTSLQNLNIANEILEQAVQLVTNDNLDLGCAVIEQAATDKAISTIDTEIGQQLSLRRKHREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSMSQQRVYEDFVRLPWQNQSSQSSHSMSAGVAVQSANTGLPSTNGSVSGQVNPGYPVSTGYEGVSRPLEDITESNLAPHFSASSIHIRASDSVSQHSLEKESVASFPSAASTPELHAVDSSDVKESGTSQPLVTSGAMERLGNSFLEPSLTTRDALDKYQIVAQKLEAMVSSDSRDAEIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNNIHVSAHLAILTAIRDVCKLAVKELTSWVIYSEEERKYNKEITIGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNLVDALAKLATKPGCPEPLPQLLEMIKNPGALSSSNAGKEDKARQSRDIKVPGLLPANREEFNSVDSIEPDPAGFREQVSILFKEWYRICELPGANDTASAHFILQLHQNGLLKGDDLTDRFFRLLLELAVAHCLSTEMINSGSLQSQQLQTMSFLAIDIYAKLVFSILKGSNKPFLLSKILAVAVRFIIKDAEEKKASFNPRPLFRLFINWLLDLGSLEPVTDGANLQILTAFANAFHALQPLKVPAFSFAWLELISHRSFMPKMLTGNGQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADVDEYLKTRQQGSPFLSDLKDKMLLAPNEAASAGTRYNVPLINSLVLYVGMQAIHQLQGRTPHTQSSANAFPLAVFSVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTNTHYFSFILLYLFAESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDDNMVSGWV >Vigun10g003600.1.v1.2 pep primary_assembly:ASM411807v1:10:298040:319941:-1 gene:Vigun10g003600.v1.2 transcript:Vigun10g003600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHSLPSMSNFSSNQIRFLLTTLNEVNFDSVFHQLSQFSEFGTTGCILLLQTCLDHYGYARRDTKDMQHEPILGAVIKYLLDKPNFSTVFSESMKNMEINESFLENFCNGLQLSLLEKIIVSLALSDSENSEFRLCGKTFCMAQIEELCANPGSLSFHEQIHSIIMFLKQSEGLSKHVDSFMQILSLMEFKATPPFVLTPLLPDEMHEADFLRNMELFHDSGENDFDAILADIQKEMNMGDIVKELGYGCTVDVSQCKEIFSLFLPLTEHTLSKLLGAIACTHYGLEDNQNTYLNFRAAHGYNVSELPPLNSWNIDVLIDTVKHLAPHTNWVSVIENLDHEGFFLPSEEAFSFLMSVYKLACKEPFPLHAVCGSVWKNTEGQLSFLKYAVSAPPEMFTFAHSGRQLAYVDAINGHKIQNGHPNHSWLCLDLLDVLCQLAEKGHASVVRSILDYPLKHCPEVLLLGMAHINTAYNLLQQEVSPIVFPLIVKSAVGSGMILHLWHVNPNLVFRGIIDSQNNDADSIIRIVDICQELKILSSVVEIIPSHYSIRLAAVASRKELLDLEKWLSGNLITYKETFFEECLKFIKDAHFGGSQNLSGKSFHPSSGVLSLYAEATATVLKVLKSHNDLVATRQLSEELERLHISIIDSNPRLQNGGAGDSSTSDGYADDIEAEANSYFHQMFSDQLTINAMVQMLARFKESSVKREKSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFLFGSLALEQFVDRLIEWPQYCNHILQISHLRSTHSEIVAFIEQALARISSGHSDGDGASHASVITNHHSAQATLGHVEQLGGSTVIQPGQQHLSLQLQQRRENPLDDRHKASVGSSTDVKPLLSSLGQSSVLPTDASNTNKLHTSVSTSSMLSSSSPGFVRPSRGPTSTRFGSALNIETLVAAAEKREIPIEAPGSEVQDKILFIINNVSSTNIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLVEIYSMPNLKMNLKFDIEVLFKNLGVDMKDVTPTSLLKDRKREFEGNPDFSNKDVGASQSQMITDIKSGLVPPVNQLELPLEVTNPSNTGAHPHMLSQYAGPLHMSSGALMEDEKVTPLGLSDQLPSAQGLLQATPSPAPFSHSQMQPQIPNIGTHVIINQKLSGFGLQMHFQRAVPIAMDRAIKEIVTSIVQRSVSIATQTTKELVLKDYAMESDETRILNAAHLMVASLAGSLAHVTCKEPLRASISGQLRTSLQNLNIANEILEQAVQLVTNDNLDLGCAVIEQAATDKAISTIDTEIGQQLSLRRKHREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSMSQQRVYEDFVRLPWQNQSSQSSHSMSAGVAVQSANTGLPSTNGSVSGQVNPGYPVSTGYEGVSRPLEDITESNLAPHFSASSIHIRASDSVSQHSLEKESVASFPSAASTPELHAVDSSDVKESGTSQPLVTSGAMERLGNSFLEPSLTTRDALDKYQIVAQKLEAMVSSDSRDAEIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNNIHVSAHLAILTAIRDVCKLAVKELTSWVIYSEEERKYNKEITIGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNLVDALAKLATKPGCPEPLPQLLEMIKNPGALSSSNAGKEDKARQSRDIKVPGLLPANREEFNSVDSIEPDPAGFREQVSILFKEWYRICELPGANDTASAHFILQLHQNGLLKGDDLTDRFFRLLLELAVAHCLSTEMINSGSLQSQQLQTMSFLAIDIYAKLVFSILKGSNKPFLLSKILAVAVRFIIKDAEEKKASFNPRPLFRLFINWLLDLGSLEPVTDGANLQILTAFANAFHALQPLKVPAFSFAWLELISHRSFMPKMLTGNGQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADVDEYLKTRQQGSPFLSDLKDKMLLAPNEAASAGTRYNVPLINSLVLYVGMQAIHQLQGRTPHTQSSANAFPLAVFSVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTNTHYFSFILLYLFAESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDDNMVSGWV >Vigun10g003600.4.v1.2 pep primary_assembly:ASM411807v1:10:298040:319941:-1 gene:Vigun10g003600.v1.2 transcript:Vigun10g003600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLHSLPSMSNFSSNQIRFLLTTLNEVNFDSVFHQLSQFSEFGTTGCILLLQTCLDHYGYARRDTKDMQHEPILGAVIKYLLDKPNFSTVFSESMKNMEINESFLENFCNGLQLSLLEKIIVSLALSDSENSEFRLCGKTFCMAQIEELCANPGSLSFHEQIHSIIMFLKQSEGLSKHVDSFMQILSLMEFKATPPFVLTPLLPDEMHEADFLRNMELFHDSGENDFDAILADIQKEMNMGDIVKELGYGCTVDVSQCKEIFSLFLPLTEHTLSKLLGAIACTHYGLEDNQNTYLNFRAAHGYNVSELPPLNSWNIDVLIDTVKHLAPHTNWVSVIENLDHEGFFLPSEEAFSFLMSVYKLACKEPFPLHAVCGSVWKNTEGQLSFLKYAVSAPPEMFTFAHSGRQLAYVDAINGHKIQNGHPNHSWLCLDLLDVLCQLAEKGHASVVRSILDYPLKHCPEVLLLGMAHINTAYNLLQQEVSPIVFPLIVKSAVGSGMILHLWHVNPNLVFRGIIDSQNNDADSIIRIVDICQELKILSSVVEIIPSHYSIRLAAVASRKELLDLEKWLSGNLITYKETFFEECLKFIKDAHFGGSQNLSGKSFHPSSGVLSLYAEATATVLKVLKSHNDLVATRQLSEELERLHISIIDSNPRLQNGGAGDSSTSDGYADDIEAEANSYFHQMFSDQLTINAMVQMLARFKESSVKREKSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLSLGIALRYVLDALRKPADSKMFLFGSLALEQFVDRLIEWPQYCNHILQISHLRSTHSEIVAFIEQALARISSGHSDGDGASHASVITNHHSAQATLGHVEQLGGSTVIQPGQQHLSLQLQQRRENPLDDRHKASVGSSTDVKPLLSSLGQSSVLPTDASNTNKLHTSVSTSSMLSSSSPGFVRPSRGPTSTRFGSALNIETLVAAAEKREIPIEAPGSEVQDKILFIINNVSSTNIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLVEIYSMPNLKMNLKFDIEVLFKNLGVDMKDVTPTSLLKDRKREFEGNPDFSNKDVGASQSQMITDIKSGLVPPVNQLELPLEVTNPSNTGAHPHMLSQYAGPLHMSSGALMEDEKVTPLGLSDQLPSAQGLLQATPSPAPFSHSQMQPQIPNIGTHVIINQKLSGFGLQMHFQRAVPIAMDRAIKEIVTSIVQRSVSIATQTTKELVLKDYAMESDETRILNAAHLMVASLAGSLAHVTCKEPLRASISGQLRTSLQNLNIANEILEQAVQLVTNDNLDLGCAVIEQAATDKAISTIDTEIGQQLSLRRKHREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSMSQQRVYEDFVRLPWQNQSSQSSHSMSAGVAVQSANTGLPSTNGSVSGQVNPGYPVSTGYEGVSRPLEDITESNLAPHFSASSIHIRASDSVSQHSLEKESVASFPSAASTPELHAVDSSDVKESGTSQPLVTSGAMERLGNSFLEPSLTTRDALDKYQIVAQKLEAMVSSDSRDAEIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNNIHVSAHLAILTAIRDVCKLAVKELTSWVIYSEEERKYNKEITIGLIRSELLNLAEYNVHMAKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNLVDALAKLATKPGCPEPLPQLLEMIKNPGALSSSNAGKEDKVPGLLPANREEFNSVDSIEPDPAGFREQVSILFKEWYRICELPGANDTASAHFILQLHQNGLLKGDDLTDRFFRLLLELAVAHCLSTEMINSGSLQSQQLQTMSFLAIDIYAKLVFSILKGSNKPFLLSKILAVAVRFIIKDAEEKKASFNPRPLFRLFINWLLDLGSLEPVTDGANLQILTAFANAFHALQPLKVPAFSFAWLELISHRSFMPKMLTGNGQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAALKAKQMKADVDEYLKTRQQGSPFLSDLKDKMLLAPNEAASAGTRYNVPLINSLVLYVGMQAIHQLQGRTPHTQSSANAFPLAVFSVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTNTHYFSFILLYLFAESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDDNMVSGWV >Vigun03g398900.2.v1.2 pep primary_assembly:ASM411807v1:3:60544418:60551462:1 gene:Vigun03g398900.v1.2 transcript:Vigun03g398900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNVPFNFPDFVNPAGKKIPKREKIRINLDAVTGGELLCKTISHPMKQVRRQAGTMDFVYESDVLAWSKVDFSFSYAVSSSHMTGGVILESASAHDLDQRELFYMYLSPGDIRSEKVFKREIIFLIDISGSMHGKLIEDAKSALLAALSKLNHHDSFNIIAFNGETYLFSKSMELASEDAVERATEWINVNFVAGGGTNISRPLNTAIEMLSNAQNSVPIIFLVTDGTVEDERQICAMVKNRMINGESICPRIYTFGIGLFCNHYFLRTLATFGRGQYDAAIDVDLIEPRMLTLFDKASSLVLANIKVDSLDDLGELEVYPSHIPDLSSEGPLILSGRYRGNFPKALKVEGILADFSNFVVDMKIHNAKDMPLQRVCARDQIEHITAQAWFLENKQLEQKVAKLSLQTGFISEYTRMLLLETDYLKKVKESKETSKKSDGGGQRMILLPHLGIGFGNLSATVENTPPGYKSKLPEVPEIFKAATSCCEALLSYCCCMCCIQCCSRLNNQCATALTQLCIAVGCFGCVTCCSEICCSGNEG >Vigun03g398900.1.v1.2 pep primary_assembly:ASM411807v1:3:60544427:60551462:1 gene:Vigun03g398900.v1.2 transcript:Vigun03g398900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFSKAVDDGLRLSKRIYFGKDRAVAPPRPQPSMARSSTALLPSAAMVYAVICDPGIVDNPDIPSYQPHVHGRCDPPALIPLQMYAIEMEVDCHHDTAFVTVSGTWRLHCIKGSRSCDCRVAIPMGLQGSILGVEVRVPRKSYTTELVVLEDDKGNQNIAPPQNGGFLVSNIFTLTIPQIDGGSNLSIKIRWSQKIAYSNGMFSLNVPFNFPDFVNPAGKKIPKREKIRINLDAVTGGELLCKTISHPMKQVRRQAGTMDFVYESDVLAWSKVDFSFSYAVSSSHMTGGVILESASAHDLDQRELFYMYLSPGDIRSEKVFKREIIFLIDISGSMHGKLIEDAKSALLAALSKLNHHDSFNIIAFNGETYLFSKSMELASEDAVERATEWINVNFVAGGGTNISRPLNTAIEMLSNAQNSVPIIFLVTDGTVEDERQICAMVKNRMINGESICPRIYTFGIGLFCNHYFLRTLATFGRGQYDAAIDVDLIEPRMLTLFDKASSLVLANIKVDSLDDLGELEVYPSHIPDLSSEGPLILSGRYRGNFPKALKVEGILADFSNFVVDMKIHNAKDMPLQRVCARDQIEHITAQAWFLENKQLEQKVAKLSLQTGFISEYTRMLLLETDYLKKVKESKETSKKSDGGGQRMILLPHLGIGFGNLSATVENTPPGYKSKLPEVPEIFKAATSCCEALLSYCCCMCCIQCCSRLNNQCATALTQLCIAVGCFGCVTCCSEICCSGNEG >Vigun08g209800.1.v1.2 pep primary_assembly:ASM411807v1:8:37213629:37215684:-1 gene:Vigun08g209800.v1.2 transcript:Vigun08g209800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSQIQQQEDEWDTDGFVIPSLGIGDSDQSKPHVPNVESSNSASKAKKEENIYLGPHGAPPSLAKQQELNSSNRKQRLKQKLKEADKRNGGTGRENKVDNLRELVGGGKGSPNSVKGSPRDWLDPHCQESQFERR >Vigun10g069333.1.v1.2 pep primary_assembly:ASM411807v1:10:16557953:16558201:-1 gene:Vigun10g069333.v1.2 transcript:Vigun10g069333.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFIVLFVSKIQFFNMLFLTFWALLFLLPQGRLPLLFLKLDKKN >Vigun08g210200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37225083:37225532:-1 gene:Vigun08g210200.v1.2 transcript:Vigun08g210200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAEFLGVVVTALWLLSESKFDLKAHGDALADAIPTPTTSCENCASPPPSGYPIYGVFPPPPPPPPPPPHKTGKGKSKCPPAASVQCCSPPAPYTFGYGYGYGTPNPYFTPPNPYTYVPYGKARGPTSMILPFLTPLITLFSSFVFLL >Vigun09g262400.1.v1.2 pep primary_assembly:ASM411807v1:9:42714819:42717283:1 gene:Vigun09g262400.v1.2 transcript:Vigun09g262400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSCACASNSKNWSIDYGGTMHSNSKRIRPTKIAMPLSVSGSRRSTAILISSLPFTFLLLSPPAEARRNKKTIPQEEYLTTPDGLKYYDLIEGKGPVAEKGKIVQVHFDCLYRGITAVSSRESKLLAGNRIIAQPYEFRVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYTIVEGMRVGGKRTVIVPPENGYGQKGMNEIPPGATFELNVELLQVVAT >Vigun06g209500.2.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLEDPLVSLGEEQNFTVSELFASESDHMPSPNYSSLTHFHVFSCEAISLILQVQLSYKLDPFVAYLAMNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISRDIVQVL >Vigun06g209500.9.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLEDPLVSLGEEQNFTVSELFASESDHMPSPNYSSLTHFHVFSCEAISLILQVQLSYKLDPFVAYLAMNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.4.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDEETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.3.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISRDIVQVL >Vigun06g209500.8.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLEDPLVSLGEEQNFTVSELFASESDHMPSPNYSSLTHFHVFSCEAISLILQVQLSYKLDPFVAYLAMNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDEETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.11.v1.2 pep primary_assembly:ASM411807v1:6:32280986:32282148:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDEETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.6.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDEETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.7.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLEDPLVSLGEEQNFTVSELFASESDHMPSPNYSSLTHFHVFSCEAISLILQVKLCLCNRFISPSFMSHLLHFVMFLQVQLSYKLDPFVAYLAMNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDEETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun06g209500.10.v1.2 pep primary_assembly:ASM411807v1:6:32279850:32282147:1 gene:Vigun06g209500.v1.2 transcript:Vigun06g209500.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMHRFMSNQEIPKGKPWYLRLVVVSCLSLASKMKNTTLPFLEMQKEGCNFKAQSIQKMEPLILGALKWRMRSITPFSFLRFFISLAEIEDQSLKQALKERASTIIFNAQNEIKLLEYKPSTIAATALIIASHELLPHQYSILRASIISSEYLDETLSKCFDLMQEMIRTVEKELVTETPGSVLERNTKRQRI >Vigun05g256800.1.v1.2 pep primary_assembly:ASM411807v1:5:45085078:45087580:-1 gene:Vigun05g256800.v1.2 transcript:Vigun05g256800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLAVKRMRGLWREGLSSAFRTALACTVVGCVSLYAPPSITTLISFPAFSYVTAILIITNHATFGHALRGCCLALYATLHTIGPAIFTLWLLGPGRLSKVGTAVAVALAASVVVLPWPHSAAHLLAKRLALGQIVLVYVVAYDNGVHTDPLMHPLRLAASTALGALACLVTLLLPYPRFACSQMNEMYKLLTKNMSKRLRILIKVISEEEKANAIGFISQAKSLATKRTNLLSLITLYKEGVQWEIVPCKILRSHCLSKIQRLGEVDKNLRGMELALTCTNSFTINILNQNLKHGLNNLEEHISVAIKQPKQGLRGGSLTVPESNAKDVTLFLQSLQTIPTTHQQLPIFFFLFCTQLLHKKPLKQAPTSAQDNNTNENSHWANWVATLRNTNFIPPIKFSLSLGLAVFLGLVYSKENGYWAGLSVAVSYVSGREATFRAANVKAQGTVLGTVYGVLGCFVFQRFFHIRFLSLLPWFIFTSFLQRSQMYGPAGAISAVIGAVLILGRKNYGPPSEFAIARIVETFIGLSSSIFMDLILEPKRASTCAKMELSQCLATLGESIGSLSLLYSETNLEEKLTTLKMQVSELKKFVVEAEVEPNFWFVPFNSVCYNKVLGSLSRMVELLWFGERALKFLQQEFQRCGAYEKEDVNTLNAKLEHVKEFICSSIKNIEEICGTKRVEKNENPYDVEAGKSSECNTCMVSGLGEDGIEEIIGWFLQLCRSVVDKLDGDESEVKNQVVLSLSALGFCLFSCIRGTIEIEEAIIEIVQ >Vigun09g195900.1.v1.2 pep primary_assembly:ASM411807v1:9:37039698:37043506:-1 gene:Vigun09g195900.v1.2 transcript:Vigun09g195900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKFENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDGMSEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKQQLREKKRREELERLDKERQAELRSYKGLMVAENMTSNKQIASGSKSLQELEEDFM >Vigun06g047600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17212773:17214320:-1 gene:Vigun06g047600.v1.2 transcript:Vigun06g047600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLPQHKHTPNLHLKVCKNNSPFHPFRVYCSATSTPKSNVKPLVIEPRLVPVVPRVITTIDEHRPMNNDTGLQSTWSHRAWVTIGCTTLLISLGESIKGAMDSNMWVEPIIASWVGYILADLGSGVYHWAIDNYGDGSTPVVGAQIEAFQGHHKWPWTITRREFANNLHALARAVTFAVLPIAIFCHDPVVQGFVGVCSGCIMFSQQFHAWAHGTKSRLPPLVVALQEAGVLVSRSQHGAHHRPPYNNNYCIVSGVWNEFLDKHKVFEAMEMVLYFKTGVRPRSWTEPAPEWIEEIQTSSQIQTQ >Vigun05g102800.2.v1.2 pep primary_assembly:ASM411807v1:5:10266881:10270096:1 gene:Vigun05g102800.v1.2 transcript:Vigun05g102800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYMMAELSSAIDSINQEREALLHSGWWNDYPNISDHCDWEGINCNEVGSVTDIVRGPLRIPSSKGWIHKLNVTAFPNLVKLDLYGMGLRGSIPKDIGSLTNLTTLVLANNSLQGSIPAQLGNLTQLEMLSLSENSLSGLIPSTLGQLINLQILNLESNKLQGRIPTEMGNLTKLQTLHLSRNLFTGLIPSALGQLENLMDFFFQSNQITGPIPVEFGNLKSLQTLHLSNNSLNGSIPHTLGQLENLVHLYLNYNEIEGQIPEELGNLSKLEILQLSYNRISGLLPPKLLQMDKVFCLHLSSNQLYGSIPLETMKCPHTVDLSYNLLNGSITSQIGCVSDLNLSHNFLVGEISSLLRMSFVLSRLDLSYNNLSGKIHKELATLSYINLSYNSFDFSQDLDSKSNLPDYCFFQEDSLIDDNDMPNFTYCHLFNQTNPQDRKSNPMIMLIVLPIIFFNLLVLLPTIYCSRCIPKKKCEGISTKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGCVYRAQLPNGNIVALKKLHRVESQNPSFDKSFRNEVKMLTEIRHKNIVKLHGFCLHNRCKFLVYSIHGKG >Vigun05g102800.1.v1.2 pep primary_assembly:ASM411807v1:5:10266881:10270095:1 gene:Vigun05g102800.v1.2 transcript:Vigun05g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYMMAELSSAIDSINQEREALLHSGWWNDYPNISDHCDWEGINCNEVGSVTDIVRGPLRIPSSKGWIHKLNVTAFPNLVKLDLYGMGLRGSIPKDIGSLTNLTTLVLANNSLQGSIPAQLGNLTQLEMLSLSENSLSGLIPSTLGQLINLQILNLESNKLQGRIPTEMGNLTKLQTLHLSRNLFTGLIPSALGQLENLMDFFFQSNQITGPIPVEFGNLKSLQTLHLSNNSLNGSIPHTLGQLENLVHLYLNYNEIEGQIPEELGNLSKLEILQLSYNRISGLLPPKLLQMDKVFCLHLSSNQLYGSIPLETMKCPHTVDLSYNLLNGSITSQIGCVSDLNLSHNFLVGEISSLLRMSFVLSRLDLSYNNLSGKIHKELATLSYINLSYNSFDFSQDLDSKSNLPDYCFFQEDSLIDDNDMPNFTYCHLFNQTNPQDRKSNPMIMLIVLPIIFFNLLVLLPTIYCSRCIPKKKCEGISTKNGDLFSIWNYDGKIAFEDIIEATEDFDLKYCIGTGAYGCVYRAQLPNGNIVALKKLHRVESQNPSFDKSFRNEVKMLTEIRHKNIVKLHGFCLHNRCKFLVYSIHGKG >Vigun02g125900.1.v1.2 pep primary_assembly:ASM411807v1:2:27809839:27814380:1 gene:Vigun02g125900.v1.2 transcript:Vigun02g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSVINQPHIAQRFKLHRPSSFATFTIFHHKRKHKHHYNVFSPHPYTSSPRSRPLNLIIASRSFSHSTTLRHHFIPQATLTASEAQPCLVPDAEVPPTGRIYHETYGCQMNVNDMEIVLSIMKKAGYSEIVSVPESAEIIFINTCAIRENAEQKVWQRLNYFWFLKRHWKSNVATGRAESLRPPKVVVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADINPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVREVAELWKEGVKEVTLLGQNVNSYNDASGSEGEVESGSNWQLSEGFSSMAKVKKMGLRFSDLLDRLSSEFPEMRFRFTSPHPKDFPDELLYLMRDRHNICKLIHLPAQTGSSKVLERMRRGYTREAYLELVQKIRSIIPDVALSSDFICGFCGETEEEHSETLTLVNAVSYDMAFMFAYSMREKTHAHRNYVDDVPEEVKQRRLAELIETFRESTGKCFDSQIGTTQLVLVEGPNKRAPDTELMGKSDKGHRVLFLNLPITVREDVNTKRNPVVGDYVEVRITRSTRASLFGEPLAITKLTSFYDNLDKEAVACSM >Vigun07g206200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:32781794:32783884:1 gene:Vigun07g206200.v1.2 transcript:Vigun07g206200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEEDELLNLSLSVAADRERKKKGKIIREHNVSMSSTTTARNSYESYEGKIFRLLQIREQMLRQDHRMKGVVEDRNGLPLIHLLLSTATAVDDNNLDSSLENLTDLYQTVSLTGDSVQRVVAYFADGLAARLLTRKSPFYDMLMEEPTTEEELNAFTDLYRVSPYYQFAHFTANQAILEAFEKEEERNNRALHVIDFDVSYGFQWPSLIQSLSEKATTGNRISLRITGFGKNLKELQETESRLVSFSKGFGSLVFEFQGLLRGSRVINLRKKKNETVAVNLVSYLNTLSCFMKISDTLGFVHSLNPSIVVVVEQEGSRSPRSFLSRFTDSLHYFAAMFDSLDDCLPLESAERLRIEKKLLGKEIKSMLNNDVDGVDCPKYERMETWKARMENHGFVATKISSKSMIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDEGRAISLGWQNRFLLTVSAWQSV >Vigun01g184400.1.v1.2 pep primary_assembly:ASM411807v1:1:36488322:36489460:1 gene:Vigun01g184400.v1.2 transcript:Vigun01g184400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVCGGIVDALNANLSGNGTQTLVLAHGFGTDQTVWHYLIPFLACYFKILVFDLAFTPNATSSLYNPNKYSTLDAYAEDLVCLLDELNLNKTIYVGHSMSAMIGSLAATKRPQLFQHLILLGASPRYLNEEGYEGGFSRSELNTIFESMQQNFSGWAQGFAPYAISANDPSAVAEFEDSLVRMKPEVALSVAKTVFLSDLRWVLPRVRVPHCTIIQTRKDPVVPVNVSFYMNRKLGTACKVKILEVPGHFPHLTASPLLLQVLKDSLSLKSH >Vigun06g205600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31972213:31975289:1 gene:Vigun06g205600.v1.2 transcript:Vigun06g205600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPSPPRPTALPSPENLLSNHVVVAVFCPREPTPGVSLPNSIELYYPSMNTWTCVGPIPGLVDDQILKGFAIVSLGDSIYIIGGQICHKEMVHVSDDCADYVDEGIKVVPNVLRYNVRTNQWFICAPLRVARYDFACTVCDNKIYVAGGKSTVGCARGISSAEVYEPDSDTWSPLPNLHILRNKCIGVTWQGKVYIVGGFAEREDSDRTMPSIVERSSAEVYDTEAGRWDLIAGMWQLDVPPNQIVAVNGTLFSSGDCLNSWKGHIESYDGKLWNEVDGSHKRNLCTLQLQDNYENFPPNDQRLYLTMAPIGSRLFFLTGYRIGGEVPRTMSLVHIFDTSAHTHAWRSFEPMELEGQKELCGHCCVVQLS >Vigun06g109400.1.v1.2 pep primary_assembly:ASM411807v1:6:23850446:23853052:-1 gene:Vigun06g109400.v1.2 transcript:Vigun06g109400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTHTLTQPKSICLVSLCSLIILMASSSSSSSSLNFTVRRCEPELVPPAIPTPHEVKLLSDIDDQDGLRFHVPMVQIYRKKESMAEKDPVQVIRQALSQTLVFYYPFAGRLREGPHRKLMVDCTGEGVMFIEADADVTLDQFGDSLQPPFPCYQELQYEVPGSQEITNTPLLLVQVTRLKCGGFFLAIRLNHTMCDGPGYQQFLSAWAEMARGATKPSIAPVWRRELLMARDPPRITCNHREYEQVPDTVKGTVTPNQDDMVQRSFFFGPLDIAALRRLIPQHLRHCTTFDLITACLWRCRTKALQIEADEDVRMMCVVNARGQFNSPLPVGYYGNGFAYPAAVTTAGKLCGKPFGYAVELINKVKGEMTEEYLQSVADLMVMKGRCLFTTVRSCIVSHLARFNIREVDFGWGEGVYAGVAQAGGGSFPGISFFCSAKNGKGEEGIVFPICLPAAAMERFSQEFNHMLRNKNQPQTTSATLIMSNL >Vigun09g072800.1.v1.2 pep primary_assembly:ASM411807v1:9:8084441:8087182:1 gene:Vigun09g072800.v1.2 transcript:Vigun09g072800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRTLGRTLFAAAARSKHYATTAPAGGREVRNPLQEFFEADRSPDDDKPVVYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLNAQNLRFPNPERIPKVRKSMCRIKQVLTERAIEEPDPRRSAEMKKMINAL >Vigun09g072800.2.v1.2 pep primary_assembly:ASM411807v1:9:8084441:8087182:1 gene:Vigun09g072800.v1.2 transcript:Vigun09g072800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRTLGRTLFAAAARSKHYATTAPAGGREVRNPLQEFFEADRSPDDDKPVVYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLNAQNLRFPNPERIPK >Vigun09g072800.3.v1.2 pep primary_assembly:ASM411807v1:9:8084441:8087182:1 gene:Vigun09g072800.v1.2 transcript:Vigun09g072800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRTLGRTLFAAAARSKHYATTAPAGGREVRNPLQEFFEADRSPDDDKPVVYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLNAQNLRFPNPERIPKVRKSMCRIKQVLTERAIEEPDPRRSAEMKKMINAL >Vigun05g304100.4.v1.2 pep primary_assembly:ASM411807v1:5:48670672:48692514:-1 gene:Vigun05g304100.v1.2 transcript:Vigun05g304100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQAQFPEKISGQVPNQSGSQLPGLIQLNGNALHQMPNLGVFPTMDAEFLRARSLTLEKICNILLKRYQHPVTEAHKRKVKDLAKRLEEGLLKAAISKEDYMNLDTLESRLSNFLRMSSMTTNNQQHPQLVSSSPIATMIPTPGMSHITNSTMITASSVDTSMIAASGSNSISSPSVNSVNMLPAGGMLGSTLNRSDGLSNGYKHSATSFSIGSGGNMSSVGVQRVTSQMIPTPGFSVSNNHNHNHSYTNLDPSSNNSSFSGVDSTLLLPSQSQPQRHQKLQDSDHNNHALHNLGSQIDGGRRSDLLQNTFAFPNGSINSGLGLIGNNIQIANELGTEDYTSAYSNSPKNLQQLFDQNQQPVVQGDKYGLINVDTFNSGSFYASATSSGSMLNTQNMTAVKLPSIPISSSLISGHSNLHSMNHTAHHNSQAINSLKNLKFQPSLTSRNDRVNTQHQYEQRPQQCHQSDWYAPQQFQLNQQSQQPQHLVNNDAFPQSQLSSGLDNNIKSEPGVEPYKEILSSQLSQQFHTSEMHNQFQQISSKDCSKAAQQQVSLDQHNSSSSPPQISQQMLHPYQLVSESQNNFNCLSVGSQSKSILKNQWSQSQDENDVPKGMSHEQHLPIDFHQRIPRQDETQCCNLPLDGSIIGQAVASRSSVQLLDPMNSIEKEHRNQQKWLLFLFHARCCSAPEGRCQERHCSIMQKLCNHVDRCIISHCSYPRCGRTRKLLHHYIKCRNPRCPVCILVRKYRHAFQQKSRVQSDLEPCLPVALNVSTETFNAVGLSPRLISKSQLVVETSEDLPAPKRMKTEQYTQSTNPEYDNAASSALPSCELRDSKDTQCQSYSIGDMSVSIKSELNEVKAEVLAHSMHENLSETRMEEDNAHDKRPAGKPVTYNEPANIARLENIRTEKESGQDKQENVTQPSEPGAATKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGTGDTRHYFCIPCYNEPRGDTIVVDGTSFPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDQIEQRLFRRLKLERQERARLQGKNYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSESKFPNQRRAYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSSGECRAKVTAARLPYFDGDYWPGAAEDLIHQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNATKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSGNRWVCRQCKNFQICDKCYEAELKREERERHPINQREKHILYPVEIIDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICRLDIETGQGWRCEVCPEYDVCNACYQKDGGTDHPHKLTNHPSMADRDAQNKEARQLRVLQLRRMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNSG >Vigun05g304100.1.v1.2 pep primary_assembly:ASM411807v1:5:48670673:48692517:-1 gene:Vigun05g304100.v1.2 transcript:Vigun05g304100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQAQFPEKISGQVPNQSGSQLPGLIQLNGNALHQMPNLGVFPTMDAEFLRARSLTLEKICNILLKRYQHPVTEAHKRKVKDLAKRLEEGLLKAAISKEDYMNLDTLESRLSNFLRMSSMTTNNQQHPQLVSSSPIATMIPTPGMSHITNSTMITASSVDTSMIAASGSNSISSPSVNSVNMLPAGGMLGSTLNRSDGLSNGYKHSATSFSIGSGGNMSSVGVQRVTSQMIPTPGFSVSNNHNHNHSYTNLDPSSNNSSFSGVDSTLLLPSQSQPQRHQKLQDSDHNNHALHNLGSQIDGGRRSDLLQNTFAFPNGSINSGLGLIGNNIQIANELGTEDYTSAYSNSPKNLQQLFDQNQQPVVQGDKYGLINVDTFNSGSFYASATSSGSMLNTQNMTAVKLPSIPISSSLISGHSNLHSMNHTAHHNSQAINSLKNLKFQPSLTSRNDRVNTQHQYEQRPQQCHQSDWYAPQQFQLNQQSQQPQHLVNNDAFPQSQLSSGLDNNIKSEPGVEPYKEILSSQLSQQFHTSEMHNQFQQISSKDCSKAAQQQVSLDQHNSSSSPPQISQQMLHPYQLVSESQNNFNCLSVGSQSKSILKNQWSQSQDENDVPKGMSHEQHLPIDFHQRIPRQDETQCCNLPLDGSIIGQAVASRSSVQLLDPMNSIEKEHRNQQKWLLFLFHARCCSAPEGRCQERHCSIMQKLCNHVDRCIISHCSYPRCGRTRKLLHHYIKCRNPRCPVCILVRKYRHAFQQKSRVQSDLEPCLPVALNVSTETFNAVGLSPRLISKSQLVVETSEDLPAPKRMKTEQYTQSTNPEYDNAASSALPSCELRDSKDTQCQSYSIGDMSVSIKSELNEVKAEVLAHSMHENLSETRMEEDNAHDKRPAGKPVTYNEPANIARLENIRTEKESGQDKQENVTQPSEPGAATKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGTGDTRHYFCIPCYNEPRGDTIVVDGTSFPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDQIEQRLFRRLKLERQERARLQGKNYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSESKFPNQRRAYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSSGECRAKVTAARLPYFDGDYWPGAAEDLIHQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNATKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSGNRWVCRQCKNFQICDKCYEAELKREERERHPINQREKHILYPVEIIDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICRLDIETGQGWRCEVCPEYDVCNACYQKDGGTDHPHKLTNHPSMADRDAQNKEARQLRVLQLRRMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNSG >Vigun05g304100.5.v1.2 pep primary_assembly:ASM411807v1:5:48670704:48692514:-1 gene:Vigun05g304100.v1.2 transcript:Vigun05g304100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQAQFPEKISGQVPNQSGSQLPGLIQLNGNALHQMPNLGVFPTMDAEFLRARSLTLEKICNILLKRYQHPVTEAHKRKVKDLAKRLEEGLLKAAISKEDYMNLDTLESRLSNFLRMSSMTTNNQQHPQLVSSSPIATMIPTPGMSHITNSTMITASSVDTSMIAASGSNSISSPSVNSVNMLPAGLSNGYKHSATSFSIGSGGNMSSVGVQRVTSQMIPTPGFSVSNNHNHNHSYTNLDPSSNNSSFSGVDSTLLLPSQSQPQRHQKLQDSDHNNHALHNLGSQIDGGRRSDLLQNTFAFPNGSINSGLGLIGNNIQIANELGTEDYTSAYSNSPKNLQQLFDQNQQPVVQGDKYGLINVDTFNSGSFYASATSSGSMLNTQNMTAVKLPSIPISSSLISGHSNLHSMNHTAHHNSQAINSLKNLKFQPSLTSRNDRVNTQHQYEQRPQQCHQSDWYAPQQFQLNQQSQQPQHLVNNDAFPQSQLSSGLDNNIKSEPGVEPYKEILSSQLSQQFHTSEMHNQFQQISSKDCSKAAQQQVSLDQHNSSSSPPQISQQMLHPYQLVSESQNNFNCLSVGSQSKSILKNQWSQSQDENDVPKGMSHEQHLPIDFHQRIPRQDETQCCNLPLDGSIIGQAVASRSSVQLLDPMNSIEKEHRNQQKWLLFLFHARCCSAPEGRCQERHCSIMQKLCNHVDRCIISHCSYPRCGRTRKLLHHYIKCRNPRCPVCILVRKYRHAFQQKSRVQSDLEPCLPVALNVSTETFNAVGLSPRLISKSQLVVETSEDLPAPKRMKTEQYTQSTNPEYDNAASSALPSCELRDSKDTQCQSYSIGDMSVSIKSELNEVKAEVLAHSMHENLSETRMEEDNAHDKRPAGKPVTYNEPANIARLENIRTEKESGQDKQENVTQPSEPGAATKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGTGDTRHYFCIPCYNEPRGDTIVVDGTSFPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDQIEQRLFRRLKLERQERARLQGKNYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSESKFPNQRRAYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSSGECRAKVTAARLPYFDGDYWPGAAEDLIHQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNATKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSGNRWVCRQCKNFQICDKCYEAELKREERERHPINQREKHILYPVEIIDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICRLDIETGQGWRCEVCPEYDVCNACYQKDGGTDHPHKLTNHPSMADRDAQNKEARQLRVLQLRRMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNSG >Vigun05g304100.3.v1.2 pep primary_assembly:ASM411807v1:5:48670704:48692514:-1 gene:Vigun05g304100.v1.2 transcript:Vigun05g304100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQAQFPEKISGQVPNQSGSQLPGLIQLNGNALHQMPNLGVFPTMDAEFLRARSLTLEKICNILLKRYQHPVTEAHKRKVKDLAKRLEEGLLKAAISKEDYMNLDTLESRLSNFLRMSSMTTNNQQHPQLVSSSPIATMIPTPGMSHITNSTMITASSVDTSMIAASGSNSISSPSVNSVNMLPAGGMLGSTLNRSDGLSNGYKHSATSFSIGSGGNMSSVGVQRVTSQMIPTPGFSVSNNHNHNHSYTNLDPSSNNSSFSGVDSTLLLPSQSQPQRHQKLQDSDHNNHALHNLGSQIDGGRRSDLLQNTFAFPNGSINSGLGLIGNNIQIANELGTEDYTSAYSNSPKNLQQLFDQNQQPVVQGDKYGLINVDTFNSGSFYASATSSGSMLNTQNMTAVKLPSIPISSSLISGHSNLHSMNHTAHHNSQAINSLKNLKFQPSLTSRNDRVNTQHQYEQRPQQCHQSDWYAPQQFQLNQQSQQPQHLVNNDAFPQSQLSSGLDNNIKSEPGVEPYKEILSSQLSQQFHTSEMHNQFQQISSKDCSKAAQQQVSLDQHNSSSSPPQISQQMLHPYQLVSESQNNFNCLSVGSQSKSILKNQWSQSQDENDVPKGMSHEQHLPIDFHQRIPRQDETQCCNLPLDGSIIGQAVASRSSVQLLDPMNSIEKEHRNQQKWLLFLFHARCCSAPEGRCQERHCSIMQKLCNHVDRCIISHCSYPRCGRTRKLLHHYIKCRNPRCPVCILVRKYRHAFQQKSRVQSDLEPCLPVALNVSTETFNAVGLSPRLISKSQLVVETSEDLPAPKRMKTEQYTQSTNPEYDNAASSALPSCELRDSKDTQCQSYSIGDMSVSIKSELNEVKAEVLAHSMHENLSETRMEEDNAHDKRPAGKPVTYNEPANIARLENIRTEKESGQDKQENVTQPSEPGAATKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGTGDTRHYFCIPCYNEPRGDTIVVDGTSFPKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQNAVLGAKDLPRTILSDQIEQRLFRRLKLERQERARLQGKNYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSESKFPNQRRAYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSSGECRAKVTAARLPYFDGDYWPGAAEDLIHQLRQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNATKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSGNRWVCRQCKNFQICDKCYEAELKREERERHPINQREKHILYPVEIIDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICRLDIETGQGWRCEVCPEYDVCNACYQKDGGTDHPHKLTNHPSMADRDAQNKEARQLRVLQLRRMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNSG >Vigun03g133000.1.v1.2 pep primary_assembly:ASM411807v1:3:13004348:13006012:-1 gene:Vigun03g133000.v1.2 transcript:Vigun03g133000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSGAVLNRFGSQFLCGGKRSEALLASGIGPIVGAAVTPKRLIVAVAAAPKKSWIPAVKGGGNFIDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFIGQAWSGVAWFEAGADPNAVAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSKTAENFGNSTGDQGYPGGKFFDPLGFAGTIKDGVYIPDADKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >Vigun06g122200.1.v1.2 pep primary_assembly:ASM411807v1:6:24944996:24947922:1 gene:Vigun06g122200.v1.2 transcript:Vigun06g122200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKETRSDSNSLFAQKHSHHHRFFLKLAVSCLLLGLTVRLLFTDSFSLSSVVHNPPPLANAIPHSPFLSFPPPPSYSLHFPPNQTQDAVECDLFVGDWVPDLNGPRYNNESCRVIEAHQNCMKNGRPDSGYLYWRWNPRGCELPKFSPSKFLDMMRDKSWAFIGDSISRNHVQSLLCLLSQVEAGDEVYHDKEFKSRIWKFPSYNFTLSTLWSPFLAKADIFEDINGVSSSETQLHLDIVDDKWTSQYKNFDYVVIAGGKWFLKTAIYHENNTVTGCHKCHGKNLTDVGFQHAYSKALHQAFDFMAHSEHKAVVFFRTTTPDHFENGEWFSGGYCNRTLPFKEEQIDVRYEDSILRSIELEEFNWAKKASPNFKLLDTTGLSLLRPDGHPGPYRQFHPLLNSKVQNDCLHWCLPGPIDSWNDILLQMLTV >Vigun02g044900.1.v1.2 pep primary_assembly:ASM411807v1:2:17975796:17977689:-1 gene:Vigun02g044900.v1.2 transcript:Vigun02g044900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQANILQNLSAPAGMGKRGARLPGFCLNRIRPHARVRSPSAQAIKHENTTIGTTIDQKTENSCNACEEKSDEGVKQGSVIGRKIMIVVDSSLEAKGAVQWALTHTVQNQDTIVLLHVMKPSNKQAGSDDEESSKEIAPRANELASSFKNMCQVKRPEVQTEIAVIEGKEKGEKIVEEAKKQGVALLVLGQKKRSTTWRLLMMWAGQRVTGGVVEYCIQNAHCMAIAVRRKSKKSGGYMITTKRHKDFWLLA >Vigun02g044900.3.v1.2 pep primary_assembly:ASM411807v1:2:17975777:17977278:-1 gene:Vigun02g044900.v1.2 transcript:Vigun02g044900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGARLPGFCLNRIRPHARVRSPSAQAIKHENTTIGTTIDQKTENSCNACEEKSDEGVKQGSVIGRKIMIVVDSSLEAKGAVQWALTHTVQNQDTIVLLHVMKPSNKQAGSDDEESSKEIAPRANELASSFKNMCQVKRPEVQTEIAVIEGKEKGEKIVEEAKKQGVALLVLGQKKRSTTWRLLMMWAGQRVTGGVVEYCIQNAHCMAIAVRRKSKKSGGYMITTKRHKDFWLLA >Vigun02g044900.2.v1.2 pep primary_assembly:ASM411807v1:2:17975796:17977689:-1 gene:Vigun02g044900.v1.2 transcript:Vigun02g044900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQANILQNLSAPAGMGKRGARLPGFCLNRIRPHARVRSPSAQAIKHENTTIGTTIDQKTENSCNACEEKSDEGVKQGSVIGRKIMIVVDSSLEAKGAVQWALTHTVQNQDTIVLLHVMKPSNKQGSDDEESSKEIAPRANELASSFKNMCQVKRPEVQTEIAVIEGKEKGEKIVEEAKKQGVALLVLGQKKRSTTWRLLMMWAGQRVTGGVVEYCIQNAHCMAIAVRRKSKKSGGYMITTKRHKDFWLLA >Vigun02g044900.4.v1.2 pep primary_assembly:ASM411807v1:2:17975777:17977278:-1 gene:Vigun02g044900.v1.2 transcript:Vigun02g044900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGARLPGFCLNRIRPHARVRSPSAQAIKHENTTIGTTIDQKTENSCNACEEKSDEGVKQGSVIGRKIMIVVDSSLEAKGAVQWALTHTVQNQDTIVLLHVMKPSNKQGSDDEESSKEIAPRANELASSFKNMCQVKRPEVQTEIAVIEGKEKGEKIVEEAKKQGVALLVLGQKKRSTTWRLLMMWAGQRVTGGVVEYCIQNAHCMAIAVRRKSKKSGGYMITTKRHKDFWLLA >Vigun03g251200.1.v1.2 pep primary_assembly:ASM411807v1:3:41728509:41731580:1 gene:Vigun03g251200.v1.2 transcript:Vigun03g251200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSCGVSEIKHDVFISFRGTDVRSGLLSHLKRELHRKHIDAYVDERLDKGGEILPLLLRAIEGSKIFLVVFSKHYASSQSCLEELAKMVECMETNNQILLPVFFHVDPSHVRHQHGDYGDDLAQHEEKFKENMLKVQSWRSALKKAGRSSGFHYPTNYKNESDLVDEIVKDISVKLSEFYPSESNGLVGIDQNITQIQSLLRVESNEVVFVGIWGMGGIGKTTIARAIFDKCSLRYDGCCFFNVREESERHGFSNLRERLIYELLEGEDLHRSGTSKARIFSSALRMLGRRKVMVVIDDVNTSEELQYLVTKPVCFGAGSRVIVTSRDQNVLTSGELHQIHEVKEMGPLDSLKLFCLNAFNESQPKTGYEKLTEEVLKIAQGNPLALKVLGADFHSRSGKNTWKCALSKFKKYPNEKIQSVLKFSYDGLHEVEKKAFLDIAFFFQEDTEEYVIKQLDAWGLHGASGVEVLQRKALITVSNDNIIQMHDLIRQMGWEIVRQECIIHPGRRTRLRDKEEVYNVLRYKLGSDKVEAMQVDVFRIKDLPLKLGTFKKMPRLRFLKFYLPLHDNLFMPPNQDGNLWYGEHHFPLLLSAWCKELMKVACEIQIKCIEYLYIDGCSHPSQLNKSSVTPTLGNHAMETLSLALMSLNEPIGSLRDLECSDMLDQQFKTLPDGLLCLRSTYYLKLSKKSTGQDSGKPKLHVLFDSLRFYERISVSQLDNSDVGGHRVPFFYVAAFIFLLHLLLKRPWFQFLFSFPFQFSCIFFLYLFSHLTSLFYPPLAFSLSWVEAFFLLLFFNILGKICYWFLRVFKKY >Vigun03g251200.2.v1.2 pep primary_assembly:ASM411807v1:3:41728509:41731580:1 gene:Vigun03g251200.v1.2 transcript:Vigun03g251200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSCGVSEIKHDVFISFRGTDVRSGLLSHLKRELHRKHIDAYVDERLDKGGEILPLLLRAIEGSKIFLVVFSKHYASSQSCLEELAKMVECMETNNQILLPVFFHVDPSHVRHQHGDYGDDLAQHEEKFKENMLKVQSWRSALKKAGRSSGFHYPTNYKNESDLVDEIVKDISVKLSEFYPSESNGLVGIDQNITQIQSLLRVESNEVVFVGIWGMGGIGKTTIARAIFDKCSLRYDGCCFFNVREESERHGFSNLRERLIYELLEGEDLHRSGTSKARIFSSALRMLGRRKVMVVIDDVNTSEELQYLVTKPVCFGAGSRVIVTSRDQNVLTSGELHQIHEVKEMGPLDSLKLFCLNAFNESQPKTGYEKLTEEVLKIAQGNPLALKVLGADFHSRSGKNTWKCALSKFKKYPNEKIQSVLKFSYDGLHEVEKKAFLDIAFFFQEDTEEYVIKQLDAWGLHGASGVEVLQRKALITVSNDNIIQMHDLIRQMGWEIVRQECIIHPGRRTRLRDKEEVYNVLRYKLGSDKVEAMQVDVFRIKDLPLKLGTFKKMPRLRFLKFYLPLHDNLFMPPNQDGNL >Vigun05g107600.4.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQAFCSSFKNLEVLDLSFNNFNHIDIGSALIGFSSLNSLNLIYNQLSWRSIYNISNLSSLEELHLEGNDLNESHESVLRPLKENETFKWPTNLQHLDLSLNRLNNRFLSSLSGLPRLQFLDLSYNQLEGALDINKNDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.5.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQDISNLSSLEELHLEGNDLNESHESVLRPLKENETFKWPTNLQHLDLSLNRLNNRFLSSLSGLPRLQFLDLSYNQLEGALDINKNDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.3.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQAFCSSFKNLEVLDLSFNNFNHIDIGSALIGFSSLNSLNLIYNQLSWRSIYNISNLSSLEELHLEGNDLNESHESVLRPLKENDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQGSKSLNRLHVLNLDGNTIDGNKLRESLRALSTSIRKLSMSYNNFNGTILAQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.1.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQAFCSSFKNLEVLDLSFNNFNHIDIGSALIGFSSLNSLNLIYNQLSWRSIYNISNLSSLEELHLEGNDLNESHESVLRPLKENETFKWPTNLQHLDLSLNRLNNRFLSSLSGLPRLQFLDLSYNQLEGALDINKNDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQGSKSLNRLHVLNLDGNTIDGNKLRESLRALSTSIRKLSMSYNNFNGTILAQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.6.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQDISNLSSLEELHLEGNDLNESHESVLRPLKENDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQGSKSLNRLHVLNLDGNTIDGNKLRESLRALSTSIRKLSMSYNNFNGTILAQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.7.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQAFCSSFKNLEVLDLSFNNFNHIDIGSALIGFSSLNSLNLIYNQLSWRSIYNISNLSSLEELHLEGNDLNESHESVLRPLKENDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun05g107600.2.v1.2 pep primary_assembly:ASM411807v1:5:11022591:11037920:1 gene:Vigun05g107600.v1.2 transcript:Vigun05g107600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLRDGEEVVDAMKRRMKSGVRLSFLIFLLLEAVYCCEGCWKDEREALLGLYSRFPYFTWNVHKDCCEWGGVYCNSSTGRVAQLHLSYGRWDSDIEQYINYSDFSVFKDLKELHLSDGNIVGCVEAEELPNLEILDMSYNNLDTTAGILSCLEGLPSLKSLYLRDNMFNTFSFKHVFESVSPKLRSNLEVLDISGNHLTNDILASLEGFTSLKELYLAGNLLDSDLHFQDISNLSSLEELHLEGNDLNESHESVLRPLKENETFKWPTNLQHLDLSLNRLNNRFLSSLSGLPRLQFLDLSYNQLEGALDINKNDTFKWPTNLQKLDLCGNSLSDRSVSYLRDLPHLQFLDLSYNQLQGSLDTSNNETFKWPTNLQELHLQNNKLTSKSLLYHTDLPHLQFLYLSNNQLEGAPDINENNTFKWPTNLQELHLEYNRLSNKSLSSLNGLPHLQYLDLSFNQLQGSPDISENDTFKWPTNLQELHLEYNSMSNKSLSSLSDLPHLQFLDLSNNQLESTLDISGLSTLTSLAYLDLSYNNIHILASHQGSKSLNRLHVLNLDGNTIDGNKLRESLRALSTSIRKLSMSYNNFNGTILAQDFHDLSNLEDLRLDGNSNMENEFFKSIGNLTSLKVLTLSNCDIGGTLPQADWFKLKSLEELNIQENLFVGSLPSSFLNMTSLWRLELSINQFSGQFDSNIASLASLEYFDFTENQFEVPISFAPFTNHSNLKFIYGEGNKVILDIQPSLQTWIPKFQLQVLSLPSTTENDSLPLPKFLLHQNNLISLDFTGCRFEGLFPQWLLENNTKLIELHVKNCSFNGAIQLPLHPLPNLQRIDVSDNIIIGEIPSKNFSSIFPNLQYLNISGNHIQGSIPRELGRMKLLDELDLSSNQLSGEISKEMPTNLRFLSLNDNKFSGKLPSNIFNISIISLDVSNNHLVGKIPSLLKKNSALSELRMSNNHFEGSIPLELGQHGDLYYLDLSQNNLVGLVPSFLNSYVHFIHLNNNHLTRLSKNMFNGNSSIVMLDLSYNEISSNIQEMIEDLSYTKLNFLLLKGNHMTGDIPKELCWLINLTMLDLSDNKFSGGIPRCLGKMAFDSKNLNPSLKEFAGTLSGGNSSSFKQEHASFTSKKRFDNYTGRILTYMSGIDLPQNKLKGNIPYELGILKGIKVLNLSHNDLIGQIPYSFSNLKQIESLDLSFNKLSGQIPPKLNILTSLEVLSVAHNNLSGSIPEGTNQFATFDESSYEGNPFLCGPPLPKSCHPTLKIIQNNLDTKRDDDRLVDMYIFCVSFIVSYTLALLATAIALYINPYWRQTWFYYMELVTLNCYYFIVDNFYRFCNTRNM >Vigun10g123201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33109704:33110054:-1 gene:Vigun10g123201.v1.2 transcript:Vigun10g123201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKWDSIAMHFVTHLPRILRGHDAIWLVVDRLTKNAHFLAMNLRMSMAKLAQLYIKEIVRLHGMSSSIVSDRDLRFTSRFWQTLQSTLGNKLTMSSTYHPRLMASLKERSSRLRIS >Vigun09g029000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2406053:2409140:1 gene:Vigun09g029000.v1.2 transcript:Vigun09g029000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFYNEIKGKKVSEVPEHVKPMLSLNYIKRAIQRGMDNYHAKYIEADSPDPIFHVCFGGMIFSYLVALPHERRHLEHIQQHAQQHH >Vigun09g029000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2406116:2409132:1 gene:Vigun09g029000.v1.2 transcript:Vigun09g029000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFYNEIKGKKVSEVPEHVKPMLSLNYIKRAIQRGMDNYHAKYIEADSPDPIFHVCFGGMIFSYLVALPHERRHLEHIQQHAQQHH >Vigun07g171500.1.v1.2 pep primary_assembly:ASM411807v1:7:28682301:28684783:1 gene:Vigun07g171500.v1.2 transcript:Vigun07g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWAPEEDQILTSYIQKHGHGNWRALPKQAGLLRCGKSCRLRWINYLKPDIKRGNFTSEEEETIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTNLKKRLLNSTNSRRVTKPKIRRSDSNSSIVTQSEPASLNFREMDSTSACTTSSDFSSVTVGDSKNIKCEDIESLETMPVIDESFWSEPAIDETQSMTISDQMPLQYPFTKYEETLEQSHAYDSNFDDGMDFWYDIFTRTADSTELPEF >Vigun08g071100.1.v1.2 pep primary_assembly:ASM411807v1:8:11493319:11498559:1 gene:Vigun08g071100.v1.2 transcript:Vigun08g071100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTWKNNKKKRCLPTLSHFTDLPFEHNDQPHSQDGARVPDPDQSRAAQLADEFQAQGDKLAMDGKYQKALSKWEAALVLAPDVPILHEQKAQVLLEIGDTWNALKAATRATELDPSWAEAWVTLGRTQLNFGEPDNAIESFDRALALKPDYEEAKEDRKTSLHLVKKRKQLHSSGLSATQNRYVVGEKDENQ >Vigun09g122500.2.v1.2 pep primary_assembly:ASM411807v1:9:27315339:27318669:-1 gene:Vigun09g122500.v1.2 transcript:Vigun09g122500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPYLTSISERVVAPLHATVPSTIIRGNKAESFAIEGRNLRFSFTTRQTQDVPVLRDCSIRIPCGQFWMLLGPNGCGKSTLLKILAGLLTPTSGTVNVNGPKSFVFQNPDHQVVLPTVDADVAFGLGKIDLTHDEVRSRVSRALHAVGLSDYMKRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVGVIKAVRNSVDTSAEVTALWVTHRLEELEYADGAIYMEDGKVVMRGDAASIRSFIEARQSAYINQINS >Vigun09g122500.1.v1.2 pep primary_assembly:ASM411807v1:9:27315338:27318669:-1 gene:Vigun09g122500.v1.2 transcript:Vigun09g122500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPYLTSISERVVAPLHATVPSTIIRFHLSVGHFLEQVLVKSCISSSFLLIRFFFFRGNKAESFAIEGRNLRFSFTTRQTQDVPVLRDCSIRIPCGQFWMLLGPNGCGKSTLLKILAGLLTPTSGTVNVNGPKSFVFQNPDHQVVLPTVDADVAFGLGKIDLTHDEVRSRVSRALHAVGLSDYMKRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVGVIKAVRNSVDTSAEVTALWVTHRLEELEYADGAIYMEDGKVVMRGDAASIRSFIEARQSAYINQINS >Vigun06g108600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23770885:23772104:1 gene:Vigun06g108600.v1.2 transcript:Vigun06g108600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYSQLGGEECIRRLTSSGFEYTGKHTHDSFLFNHYEIWFEFGGSGLNLDTEMEKKNWKLSQCSGIVSLLSGSILVLEQLHVIFGLVRERAQN >Vigun11g208800.1.v1.2 pep primary_assembly:ASM411807v1:11:40460402:40468704:-1 gene:Vigun11g208800.v1.2 transcript:Vigun11g208800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETSDKPNLPHDFDDEDDAPIIYKRFSSKKNQSHSEARKSSTHIHDGQSYRQAANAPSSNGQTSSMQKGNTAPSLKPSAMKPSVGISRAPNSVGNTSSVKLPVANSKSISLADKQKMSIDVKVEPKSTEHSGKGLCEDSEDDEDDKPLSFRLKNSNHNNKVTSVVKKSYEDSDDDDDVPLAKKLPRSINLGTSSNHDDSDKKPISKIQKERQNGSGTSIKQDRPSPLPAKRPLDNSNSLQPSVKKPKVSASDASIKTKLVSEKRELKTEDDDDDDDMPISQRIKKQTITGDKSSSTKKVLTKVSKVNKSSSKPFKKQTKKIVKKSGGGSEYSKSSKLLPSSGDGQKKWTTLVHNGVIFPPPYQQHGVKMLYKGRPVDLTPEQEEVATMYAVMRDTEYMQKDKFKDNFWNDWRKILGRNHVIQNLKDCDFTPIYDWYQGVKEKKKQMTSEEKKNLKEEKMKQEEKYMWAMVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIHPGDITINIGKDAPIPECPIPGERWKEIRHDNTVTWLAYWSDPINPKLFKYVFLAASSSLKGQSDKEKYEKARMLKDYIENIRSAYTKDFTNKDITKLQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTREPPNKLKFNFLGKDSIKYENTVEVELPVYNAILKLQKDKRPGDDLFDKLDTSKLNAHLKELMPGLTAKVFRTFNASITLDNMLNQETKDGDVGEKIVVYQHANKQVAIICNHQRSVSKSHDAQMSKLTEKIDELQAVLKELKTDLDRARKGKPPSKSSDGKTKKNLTPEVLEKKISQTNAKIEKMQRDMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFCWAMDVDPDFRF >Vigun03g328200.1.v1.2 pep primary_assembly:ASM411807v1:3:52503672:52505889:-1 gene:Vigun03g328200.v1.2 transcript:Vigun03g328200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTASFNDTNNTLSSQPSLASVPSLNSHSHLFNASTTSHTCLATLKPHASSYTSSLALAGKFLYAGSSDREIRSWNHTIFLSEQHLQNTNLITAGTGAVKSIVVHSDKLFTAHQDHKIRVWRITTQDHDQQKFTRVATLPTLGDRVTKILIPKNHVQIRRHKKCTWVHHVDTVSSLALSHDGTLLYSVSWDRTLKIWRTKDFACLESVRNAHDDAINAVAVSGDGHVYTGSTDKRIRVWRKREGEKKHSVVETLEKHRSGINALALSSDGCVLYSGACDRSILVWEKGENWKLVVVGALRGHTKSILCLGVVRDLVCSGSEDKTVRIWRGIEREYSCLAVLEGHRSPIKSLTATLDHSDHPSQLSLLVYSGSLDSDVKVWQQDFDHGDI >Vigun03g328200.2.v1.2 pep primary_assembly:ASM411807v1:3:52503672:52505837:-1 gene:Vigun03g328200.v1.2 transcript:Vigun03g328200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTASFNDTNNTLSSQPSLASVPSLNSHSHLFNASTTSHTCLATLKPHASSYTSSLALAGKFLYAGSSDREIRSWNHTIFLSEQHLQNTNLITAGTGAVKSIVVHSDKLFTAHQDHKIRVWRITTQDHDQQKFTRVATLPTLGDRVTKILIPKNHVQIRRHKKCTWVHHVDTVSSLALSHDGTLLYSVSWDRTLKIWRTKDFACLESVRNAHDDAINAVAVSGDGHVYTGSTDKRIRVWRKREGEKKHSVVETLEKHRSGINALALSSDGCVLYSGACDRSILVWEKGENWKLVVVGALRGHTKSILCLGVVRDLVCSGSEDKTVRIWRGIEREYSCLAVLEGHRSPIKSLTATLDHSDHPSQLSLLVYSGSLDSDVKVWQDFDHGDI >Vigun02g130200.1.v1.2 pep primary_assembly:ASM411807v1:2:28132491:28136049:-1 gene:Vigun02g130200.v1.2 transcript:Vigun02g130200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGCSMSVLTFFFFVFVGLMSSSSEASVKKYQFDIQVANVSRLCHAKPIVTVNGRFPGPTIYVNEGDRVLINVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQSGRSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPAPGTPFPFPQPDREFEILLGEWWNNDVEEIENQGNRMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAIAGHTLTVVEVDAVYTKPFSTQAILIAPGQTTNVLVQANQVAGRYFMATKAFMDAPIPVDNKTATAILQYKGIPNTVLPILPQLPVSNDTSFALSYNKKLRSLNSAQYPANVPLKVDRNLFYTIGLGQNSCPTCLNGTRILASLNNVSFVMPKTALLQAHYFNIKGVFRTDFPDHPPTPFNYTGAPLTANLATLTGTRVSKIAFNSTVELVLQDTNLLSVESHPFHLHGYNFFVVGTGVGNFDPAKDPAKYNLVDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGPGQDQSVRPPPKDLPTC >Vigun09g115500.2.v1.2 pep primary_assembly:ASM411807v1:9:25328893:25334033:-1 gene:Vigun09g115500.v1.2 transcript:Vigun09g115500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSRSWVKILCSKEVAVKMYTDMVTLRSMDTIFYEAQRQGRISFYVTAIGEEAINIASAAALAMDDVVFPQYREAGVLLWRGFTLQEFANQLFSNKYDNGKGRQMPAHYGSNKHNYFTIASTIATQISHAVGAAYSLKMDKKDACAVTYFGDGGSSEGDFHAALNFAAVTEAPVIFICRNNGWAISTPISDQFRSDGVVVKGQSYGVRSIRVDGNDALAIYSAVQAARKMSITEERPILIEALTYRVGHHSTSDDSTKYRPASEIEWWRVARDPVARLRNWLQNNGWWNDRAESELINNLRHQLLHTIQVAESVEKPPLAEAFRDVYDVPPSNLREQEQWLKEIVKEQPQEYPPNVSV >Vigun09g115500.1.v1.2 pep primary_assembly:ASM411807v1:9:25328893:25334033:-1 gene:Vigun09g115500.v1.2 transcript:Vigun09g115500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFVTIFSLFKSRKSFSVSLHRNSPRCSNQLHPNFRNLTKNCHLLLSPLSSHRFFSTTMGNPLQDQDIDFPGGRVEFIPEMKFISESVEERIPCYRVLDDNGKPLVGQNFVQVSKEVAVKMYTDMVTLRSMDTIFYEAQRQGRISFYVTAIGEEAINIASAAALAMDDVVFPQYREAGVLLWRGFTLQEFANQLFSNKYDNGKGRQMPAHYGSNKHNYFTIASTIATQISHAVGAAYSLKMDKKDACAVTYFGDGGSSEGDFHAALNFAAVTEAPVIFICRNNGWAISTPISDQFRSDGVVVKGQSYGVRSIRVDGNDALAIYSAVQAARKMSITEERPILIEALTYRVGHHSTSDDSTKYRPASEIEWWRVARDPVARLRNWLQNNGWWNDRAESELINNLRHQLLHTIQVAESVEKPPLAEAFRDVYDVPPSNLREQEQWLKEIVKEQPQEYPPNVSV >VigunL059429.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000244.1:45172:45372:1 gene:VigunL059429.v1.2 transcript:VigunL059429.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun11g096450.1.v1.2 pep primary_assembly:ASM411807v1:11:28039058:28040467:1 gene:Vigun11g096450.v1.2 transcript:Vigun11g096450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYHRQLKSGISDLPRIFRMITSPIKSKVGNSDSSWSDNVRKLMTLMHSKVVLLFFPSFKQFFLLSFCLLFCCLSVVCYLLDIIV >Vigun04g149500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:36541041:36542301:-1 gene:Vigun04g149500.v1.2 transcript:Vigun04g149500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHILPGATLFPNPLPRHPSKTLTPKPRPPSALLQWNRKPELSGTTPRVTVITSGKGGVGKTTTTANIGLSLARFGFSVVAIDADVGLRNLDLLLGLENRVNYTVIEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALTWLVDALKARPGGSPDFILIDCPAGIDAGFITAITPANEAVLITTPDITSLRDADRVTGLLECDGIRDIKMVVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMQAVVVEEQPKRGFFSFFGG >VigunL059726.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000225.1:15675:16946:-1 gene:VigunL059726.v1.2 transcript:VigunL059726.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRTKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTEVDLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSHFTKSD >Vigun11g181100.1.v1.2 pep primary_assembly:ASM411807v1:11:38474445:38478834:-1 gene:Vigun11g181100.v1.2 transcript:Vigun11g181100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVNNLTCRTCNNVGLGDGSDGFFYCLRCGSQFEDVMDTAVDADDLFNKGETTGGAVYMASHLRQRPTAVKAEPISQYDSFYDSQSNFIRNLGLDDDTQRNESVQVKREDFDADQYFEEGPSVPSDFGGSKVASFEDYHNEIRMRYVMGLQLMIELQCEALVKDFKVTPLICGLVGPIWLRFVSRTGVFDDDWPDKVIHNSEMQNEDEPEDYRPRSKHRAEPHNIFGQRAVMIWFRSLRKRIPLSCTIAVSFLACHVAREAVLPSDMMKWTSEGKLPYFSAFIEIEKRIGQPSSACPISSNVMFRPQRAVPVQKLESFAASIAQFIGLELPPVNFYAIAYRYLKKLSLPVEKILPYACRIYEWSMPPSLWLSLATKYFRLPTHVCVMSILIVAIRILYNINGFGEWENSLSRNDDAIDNSEMGKTFATNNGHDFGSESKDSAEDQVGPQKHEMDSAWLIQHLQARYNEIGDTNEHSKDLRTYLKYCRDVVFAGSESAYGNHEEESMIEYLWNFYQNEEDIKPLENVEQSNSSFNQTRLNDKECIDRTSKQEKIRKKGLNNLFPDDETCLEDDLPGSVDDNNSQESSSEDEDSDSNDRNSGKPRVREAIRQMKLDMEENRFCYIPPSLKRKKLDYIHYARKRDEGALTYVAHADYYILLRACTRIALVDIRILHIGVLSLERRLGFLEEQTDKCLHSKPTKISCQFCSDQATQNGSDDLPGGLSNLNI >Vigun11g181100.3.v1.2 pep primary_assembly:ASM411807v1:11:38474475:38479993:-1 gene:Vigun11g181100.v1.2 transcript:Vigun11g181100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVNNLTCRTCNNVGLGDGSDGFFYCLRCGSQFEDVMDTAVDADDLFNKGETTGGAVYMASHLRQRPTAVKAEPISQYDSFYDSQSNFIRNLGLDDDTQRNESVQVKREDFDADQYFEEGPSVPSDFGGSKVASFEDYHNEIRMRYVMGLQLMIELQCEALVKDFKVTPLICGLVGPIWLRFVSRTGVFDDDWPDKVIHNSEMQNEDEPEDYRPRSKHRAEPHNIFGQRAVMIWFRSLRKRIPLSCTIAVSFLACHVAREAVLPSDMMKWTSEGKLPYFSAFIEIEKRIGQPSSACPISSNVMFRPQRAVPVQKLESFAASIAQFIGLELPPVNFYAIAYRYLKKLSLPVEKILPYACRIYEWSMPPSLWLSLATKYFRLPTHVCVMSILIVAIRILYNINGFGEWENSLSRNDDAIDNSEMGKTFATNNGHDFGSESKDSAEDQVGPQKHEMDSAWLIQHLQARYNEIGDTNEHSKDLRTYLKYCRDVVFAGSESAYGNHEEESMIEYLWNFYQNEEDIKPLENVEQSNSSFNQTRLNDKECIDRTSKQEKIRKKGLNNLFPDDETCLEDDLPGSVDDNNSQESSSEDEDSDSNDRNSGKPRVREAIRQMKLDMEENRFCYIPPSLKRKKLDYIHYARKRDEGALTYVAHADYYILLRACTRIALVDIRILHIGVLSLERRLGFLEEQTDKCLHSKPTKISCQFCSDQATQNGSDDLPGGLSNLNI >Vigun11g181100.2.v1.2 pep primary_assembly:ASM411807v1:11:38474401:38479993:-1 gene:Vigun11g181100.v1.2 transcript:Vigun11g181100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVNNLTCRTCNNVGLGDGSDGFFYCLRCGSQFEDVMDTAVDADDLFNKGETTGGAVYMASHLRQRPTAVKAEPISQYDSFYDSQSNFIRNLGLDDDTQRNESVQVKREDFDADQYFEEGPSVPSDFGGSKVASFEDYHNEIRMRYVMGLQLMIELQCEALVKDFKVTPLICGLVGPIWLRFVSRTGVFDDDWPDKVIHNSEMQNEDEPEDYRPRSKHRAEPHNIFGQRAVMIWFRSLRKRIPLSCTIAVSFLACHVAREAVLPSDMMKWTSEGKLPYFSAFIEIEKRIGQPSSACPISSNVMFRPQRAVPVQKLESFAASIAQFIGLELPPVNFYAIAYRYLKKLSLPVEKILPYACRIYEWSMPPSLWLSLATKYFRLPTHVCVMSILIVAIRILYNINGFGEWENSLSRNDDAIDNSEMGKTFATNNGHDFGSESKDSAEDQVGPQKHEMDSAWLIQHLQARYNEIGDTNEHSKDLRTYLKYCRDVVFAGSESAYGNHEEESMIEYLWNFYQNEEDIKPLENVEQSNSSFNQTRLNDKECIDRTSKQEKIRKKGLNNLFPDDETCLEDDLPGSVDDNNSQESSSEDEDSDSNDRNSGKPRVREAIRQMKLDMEENRFCYIPPSLKRKKLDYIHYARKRDEGALTYVAHADYYILLRACTRIALVDIRILHIGVLSLERRLGFLEEQTDKCLHSKPTKISCQFCSDQATQNGSDDLPGGLSNLNI >Vigun10g037801.1.v1.2 pep primary_assembly:ASM411807v1:10:5135065:5143728:-1 gene:Vigun10g037801.v1.2 transcript:Vigun10g037801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding DFGKALKATAQQTFSGQQLEHGMSKWSHALTKIANLFGWDESNYRSDAELVNKIVKCFPVGLQSHVEDVIRAIKNKSMDVCTIGICGIEGSGKTTLAKAIYHQIHGTFTKKIFIEDVSEVSRTRSHVSLQEQLLLDILKIKVEIRSVEMGRRMIRERLSGKRLLIVLDDMNAYGPFLDLYRCRSRFSRGTVIIITTRDEDLLRIHQVDSVLQLKLMSAKESLELLTKPKESYNYLAKTVVTFCGGLPLTLEVIGTYFFGRTQTEWEIILYKLGKIPQHDVYSIFVCKSRAYVTKILNRSGVVVLRERSLKKLKKNNKYGMHPWLQEMGREIVRDIPRKDAKLAASEINIRYKSKPLKLARNPGYLSKKRNWISLHGFSSKSLPNHIYLHDAISIDLKHSLLRLDWEKLQVLAWVKVLNLSHSKYLTDTPDFSRLPGLEQLILKNCPRLFEIHQSIGCLYNLMLLNLKNCTSLSNLPEEIYKLKSLKTLILSGCSKISLLEKDIVQMESLIIIMAENTVVKQVPFSIVSSKSMGYISLRRFEGLSHNLFPSIIRFWIWDDVVPLLSTLAKLRSVLVQCDNEFQLSKQVKTILVEYGVNMTESGTSKHHFSNSISEVLASNESCVVSLPGDNDPYWLAYTGEGQSVSFTVPRDRDLKGMILCVVYLSTPGIMVTECLTSVLIVNYTKCTSHIHNHGTINSFNVEDWHGIMSNLGFGDNVEIFVSFGHGLVLKNTAVYLIYGYILPLLGIFYKTRLVITLWRRKSSPWLLHF >Vigun07g295200.1.v1.2 pep primary_assembly:ASM411807v1:7:40563903:40567303:1 gene:Vigun07g295200.v1.2 transcript:Vigun07g295200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSANSTNIATNKVIEGDSSYREESVMERSSRADEKKIGGAKVASLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNVSAANNVSKWIGTVYMFSLIGAFLSDSYWGRYLTCTVFQLVFVLGLALSSLSSWRFLINPAGCGDGNTPCKPSSIGDDIFYLSIYLVAFGYGGHQPTLATFGADQYDEKNPKERSAKVAFFCYFYFALNVGSLFSNTVLVYYEDTGKWTMGFLVSLVSAVIAFLAFLLGTPRYRYVKPCGNPVVRVAQVFSAVVRKWKVAPAKAEELFEVEGSQSAIKGSRKIRHTDDFEFMDKAATIKESEEQSSKNPWRLCTVTQVEEAKCVLRMLPVWLCTIIYSVVFTQMASLFVEQGDVMDSYIGSFHLPAASMSAFDICSVLVCTGIYRQILVPLAGKLSGNPKGLSELQRMGIGLIIGMFAMVASGATEIARLRRIIHGQKTSSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVNMVMIITARGQNKGWIPENLNTGHMDRFFFLLAGLAAFDFVLYLLCAKWYKNINVEDGDMEEHEHEHEHDDVNNKV >Vigun07g295200.3.v1.2 pep primary_assembly:ASM411807v1:7:40564628:40567303:1 gene:Vigun07g295200.v1.2 transcript:Vigun07g295200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRADEKKIGGAKVASLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNVSAANNVSKWIGTVYMFSLIGAFLSDSYWGRYLTCTVFQLVFVLGLALSSLSSWRFLINPAGCGDGNTPCKPSSIGDDIFYLSIYLVAFGYGGHQPTLATFGADQYDEKNPKERSAKVAFFCYFYFALNVGSLFSNTVLVYYEDTGKWTMGFLVSLVSAVIAFLAFLLGTPRYRYVKPCGNPVVRVAQVFSAVVRKWKVAPAKAEELFEVEGSQSAIKGSRKIRHTDDFEFMDKAATIKESEEQSSKNPWRLCTVTQVEEAKCVLRMLPVWLCTIIYSVVFTQMASLFVEQGDVMDSYIGSFHLPAASMSAFDICSVLVCTGIYRQILVPLAGKLSGNPKGLSELQRMGIGLIIGMFAMVASGATEIARLRRIIHGQKTSSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVNMVMIITARGQNKGWIPENLNTGHMDRFFFLLAGLAAFDFVLYLLCAKWYKNINVEDGDMEEHEHEHEHDDVNNKV >Vigun07g295200.2.v1.2 pep primary_assembly:ASM411807v1:7:40563366:40567304:1 gene:Vigun07g295200.v1.2 transcript:Vigun07g295200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRADEKKIGGAKVASLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNVSAANNVSKWIGTVYMFSLIGAFLSDSYWGRYLTCTVFQLVFVLGLALSSLSSWRFLINPAGCGDGNTPCKPSSIGDDIFYLSIYLVAFGYGGHQPTLATFGADQYDEKNPKERSAKVAFFCYFYFALNVGSLFSNTVLVYYEDTGKWTMGFLVSLVSAVIAFLAFLLGTPRYRYVKPCGNPVVRVAQVFSAVVRKWKVAPAKAEELFEVEGSQSAIKGSRKIRHTDDFEFMDKAATIKESEEQSSKNPWRLCTVTQVEEAKCVLRMLPVWLCTIIYSVVFTQMASLFVEQGDVMDSYIGSFHLPAASMSAFDICSVLVCTGIYRQILVPLAGKLSGNPKGLSELQRMGIGLIIGMFAMVASGATEIARLRRIIHGQKTSSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVNMVMIITARGQNKGWIPENLNTGHMDRFFFLLAGLAAFDFVLYLLCAKWYKNINVEDGDMEEHEHEHEHDDVNNKV >Vigun06g055501.1.v1.2 pep primary_assembly:ASM411807v1:6:18207683:18208997:1 gene:Vigun06g055501.v1.2 transcript:Vigun06g055501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATVNSEIDVNSETGLPPFAFFSPPPTPLVVLSLAPFSATDAFNWSVSGAGLIKKKGFKGSFIEAGSNAKTFAILSGVDTINAGLAGCCAGLATSFPGTPQSLLQNCLTLGVLSFIVEGLTKQGSVLAYPKSKKTVHNQA >Vigun03g410700.1.v1.2 pep primary_assembly:ASM411807v1:3:61859143:61863535:1 gene:Vigun03g410700.v1.2 transcript:Vigun03g410700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVALFLTATKLAGALFTLTVAANAFSYSRFRKNNLRRFRSPIDESSDTLADFNIAEEEFFFGLATAPAHVEDRLDDAWIQFAEEKSGDSEGRQTVDAVMGSASGDGGSQRAVTSPPGRKPLKLAMEAMIRGFDKYLEVEGKEGGEEPRPNVTAWHNVPRPEERLRFWSDPETEINLAKDTGVTVFRMGIDWTRIMPVEPVNSLNESVNYAALERYKWIINKVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLVVDSVSDLVDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFQQAMHWMSVAHSKAYDYIHGQSNPLNSIVGVAHHVSFMRPYGLYDIAAVSLANSLTLFPYIDGISEKLDYIGINYYGQEVVSGAGLKLVENDEYSESGRGVYPDGLYRMLLQFHERYRHLKIPFIITENGVSDETDLIRRPYLLEHLLAVYAAIKMGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRANNLARIPRPSYHLFSKIVNTGKVTREDRERAWDELQKAAKEKKTRPFYRAVNKHRLMYAGGLDEPVQRPYIERDWRFGHYQMEGLQDHLSRFSRFIIRPFSTKRKTKSQEKNPKLILQPLET >Vigun10g024700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:2963985:2965694:1 gene:Vigun10g024700.v1.2 transcript:Vigun10g024700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNEKLHIVVFPWLAFGHIGPFFELAKLIAQKGHKISFVSTPRNIHRLPKVPENLQPLVDLIQLSLPRVDKLPENAEATVDIPHHLIPHLKQAFDGLQQPLTMFLERCKPHWIIYDFAPYWLPPICSQLGIPCISFSIFSAFILHSTLTFMRTKTSEYLRDKHIEHFERNESGVSDVFRTQITFDAAQVIASRSCMEMEGETLNLCKSAYSKPMIPIGLLPLSLKFSEDSNDENWDTIFNWLEKQEKGSVVYVAFGSEVTLSDEEFTEITKGIEMSGFPFFWVLKKQNTSNVELQDLVVNNSGKGLVWRTWAPQMRILAHRSVGGFLTHCGWSSVIEGLQVGCPLVMLPFQYDQFTVARLMEEKRVGIKVQRSENDEKFSRESLANALKAVMLEKTYKSEAEEMSKIVGDKELHQKYIDEFVEYMEIHKPVLKD >Vigun11g038100.1.v1.2 pep primary_assembly:ASM411807v1:11:5283575:5285271:1 gene:Vigun11g038100.v1.2 transcript:Vigun11g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIKEEQERSESKMRSNAYRSEEASKLLRQKQTLNVKTEEDEIPGFVDDDDEDLRKSLEKARRLALKKYEQEGVSGLGAIALLATSNHTNESGESRQNKVVFTEMEEFVWALHIHEEARKQESEDIFIHHDDEEKTNEVQETNEDEVPNKEDKEEIVPDETIHEVPVGKGLSGALKLLKERGTLNENIEWGGRNMEKKKSKLGGILDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKREKRMKQYQEELKMKQMKSSDTPLLSVERMWEAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKHVPCGLTPMFGDGKVIQGSYKRKAETSNSDDSKKPKSYSLVY >Vigun02g193500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33003820:33004949:1 gene:Vigun02g193500.v1.2 transcript:Vigun02g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCSLALIPTLILSTILSPSFPSSSTQIPSHFFNPIINLMEKSEGKEEIFDLSGISLRPLELSDLDDVLVWTSDEKVAAFCTWDPYNSKEGGINFIQNIAAKFAWCRAICLNDRAIGCVSLSSNSEHDRSRNRSMELGYVIGSKYWGKGVATLVVKQVVKDVLTVQPLPNLERVEALVDVLNVGSQRVLEKAGFQREGILRKYVFFKGKSRDMVMFSVLSTDPHLSSP >Vigun08g175900.1.v1.2 pep primary_assembly:ASM411807v1:8:34608302:34613111:-1 gene:Vigun08g175900.v1.2 transcript:Vigun08g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVSKAQSVVEKLKTYMQADTLEEIKNKCDNLLKVLVLQGMHPDYEHVRHQILTDQELPSMENLFIRLLQAPFAKPGGKRMRSTKDACHSFPGLPEETEMLKKEKKEKEHKKKQSTHLYTIIKVARDEDLFKQVGFNIVFDLVDHDKVRSFRVSQDTPFYLFEVEIAKVFGVPVPFQRYWLWTRRENHTYRLDQPLTHLERAQPVGQFRGVSNKAHNAAELNLFLEVELGLDLRPIAPPEKTKDDILLFFKLYDPDWEELRYVGRLFVKSSGKPSEILTKLNEMAGYDPDEKILLSEEIRFEPNLMCLPIDKNATFSQSQLGDGDIVCFQKESVEDIDYPYVPIVLEL >Vigun08g175900.4.v1.2 pep primary_assembly:ASM411807v1:8:34608302:34612968:-1 gene:Vigun08g175900.v1.2 transcript:Vigun08g175900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVSKAQSVVEKLKTYMQADTLEEIKNKCDNLLKVLVLQGMHPDYEHVRHQILTDQELPSMENLFIRLLQAPFAKPGGKRMRSTKDACHSFPGLPEETVARDEDLFKQVGFNIVFDLVDHDKVRSFRVSQDTPFYLFEVEIAKVFGVPVPFQRYWLWTRRENHTYRLDQPLTHLERAQPVGQFRGVSNKAHNAAELNLFLEVELGLDLRPIAPPEKTKDDILLFFKLYDPDWEELRYVGRLFVKSSGKPSEILTKLNEMAGYDPDEKILLSEEIRFEPNLMCLPIDKNATFSQSQLGDGDIVCFQKESVEDIDYPYVPIVLEL >Vigun08g175900.2.v1.2 pep primary_assembly:ASM411807v1:8:34608302:34612968:-1 gene:Vigun08g175900.v1.2 transcript:Vigun08g175900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVSKAQSVVEKLKTYMQADTLEEIKNKCDNLLKVLVLQGMHPDYEHVRHQILTDQELPSMENLFIRLLQAPFAKPGGKRMRSTKDACHSFPGLPEETEMLKKEKKEKEHKKKQSTHLYTIIKVARDEDLFKQVGFNIVFDLVDHDKVRSFRVSQDTPFYLFEVEIAKVFGVPVPFQRYWLWTRRENHTYRLDQPLTHLERAQPVGQFRGVSNKAHNAAELNLFLEVELGLDLRPIAPPEKTKDDILLFFKLYDPDWEELRYVGRLFVKSSGKPSEILTKLNEMAGYDPDEKILLSEEIRFEPNLMCLPIDKNATFSQSQLGDGDIVCFQKESVEDIDYPYVPIVLEL >Vigun08g175900.3.v1.2 pep primary_assembly:ASM411807v1:8:34608302:34613111:-1 gene:Vigun08g175900.v1.2 transcript:Vigun08g175900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVSKAQSVVEKLKTYMQADTLEEIKNKCDNLLKVLVLQGMHPDYEHVRHQILTDQELPSMENLFIRLLQAPFAKPGGKRMRSTKDACHSFPGLPEETVARDEDLFKQVGFNIVFDLVDHDKVRSFRVSQDTPFYLFEVEIAKVFGVPVPFQRYWLWTRRENHTYRLDQPLTHLERAQPVGQFRGVSNKAHNAAELNLFLEVELGLDLRPIAPPEKTKDDILLFFKLYDPDWEELRYVGRLFVKSSGKPSEILTKLNEMAGYDPDEKILLSEEIRFEPNLMCLPIDKNATFSQSQLGDGDIVCFQKESVEDIDYPYVPIVLEL >Vigun01g081700.1.v1.2 pep primary_assembly:ASM411807v1:1:23182222:23199629:1 gene:Vigun01g081700.v1.2 transcript:Vigun01g081700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLPRPHLPTPLISKLSSFPRTWSLFILTHPKHGNKQKLSPFTINAVYTPHSPYTPSTPSKTEPRNDPISVLNERIRREYSKKEVFRTVMNSEEAGKYMRMVKEQQQKGLQKLKGERERKDGVFSYRVDPYTLRSGDYVVHKKVGIGRFVGIRFDSAKNSSQASEYVFIEYADGMAKLPVNQASKMLYRYSLPNETKKPRTLSKLNDTGAWERRKVKGKVAIQKMVVDLMELYLHRLKQRRPAYLKTPALAEFEAQFPYEPTPDQKQAFIDVERDLTEQETPMDRLICGDVGFGKTEVAIRAIFCVVSAKKQAMVLAPTIVLAKQHFDVISERFSVYPDIKVGLLSRFQTKAEKEENLDMIKNGSLDIIVGTHSLLGDRVTYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSSFSKEKVISAIKYELDRGGQVFYVLPRIKGLDEVMAFLAEPFPNVEIAIAHGKLFSKQLEDTMEKFALGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAYLFYPDKGLLSDQALERLAAIEECRELGQGFQLAEKDMGIRGFGAIFGEQQSGDVGNVGVDLFFEMLFESLSKVEDHCVVSVPYHSVQVDININPHLPSDYINYLENPLKIISDAERVAEKDIWSLMQFTENLRRQYGKEPRSMEILLKKLYLRRMAADLGITRIYTLGKMIFMKTNMSKKVFKLMIQSMASDLHRNSLVLEGDQIKAELLLELPKEQLLNWIFQCLTELHASLPSFIKY >Vigun01g081700.2.v1.2 pep primary_assembly:ASM411807v1:1:23182222:23199629:1 gene:Vigun01g081700.v1.2 transcript:Vigun01g081700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLPRPHLPTPLISKLSSFPRTWSLFILTHPKHGNKQKLSPFTINAVYTPHSPYTPSTPSKTEPRNDPISVLNERIRREYSKKEVFRTVMNSEEAGKYMRMVKEQQQKGLQKLKGERERKDGVFSYRVDPYTLRSGDYVVHKKVGIGRFVGIRFDSAKNSSQASEYVFIEYADGMAKLPVNQASKMLYRYSLPNETKKPRTLSKLNDTGAWERRKVKGKVAIQKMVVDLMELYLHRLKQRRPAYLKTPALAEFEAQFPYEPTPDQKQAFIDVERDLTEQETPMDRLICGDVGFGKTEVAIRAIFCVVSAKKQAMVLAPTIVLAKQHFDVISERFSVYPDIKVGLLSRFQTKAEKEENLDMIKNGSLDIIVGTHSLLGDRVTYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSSFSKEKVISAIKYELDRGGQVFYVLPRIKGLDEVMAFLAEPFPNVEIAIAHGKLFSKQLEDTMEKFALGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAYLFYPDKGLLSDQALERLAAIEECRELGQGFQLAEKDMGIRGFGAIFGEQQSGDVGNVGVDLFFEMLFESLSKVEDHCVVSVPYHSVQ >Vigun09g000400.2.v1.2 pep primary_assembly:ASM411807v1:9:60681:71754:1 gene:Vigun09g000400.v1.2 transcript:Vigun09g000400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESETSGSGRDWFFPSPSFLRSSSSQYGHRFYSNSKPCTPPTLTGIRHRRRVKFPRTSTLTNDKPQVSNTENAKSSAKNNFIFLSQSRFQFALVTLTIVFFLLLLLLLRNAHLESQLTKLQGDILGLNLRLHACHRLDPLNVTSSTSEDANPGLSENFKRNLALFFSFTLLFIPLIIFKYIDYVSKSIFSDNIPERVSVNKQIAYRVDVFLSVYPYAKPLVLLVATLLLILLGGLALFGVTTEDLAHCLWLSWTYVADSGNHASSQGIGPRLVAISISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMELDIAKMEFGFKGTSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLEGMQFEDVLISFPAAIPCGIKVASYGEDDDTYAPASLPTVWRGSLPKDFVYPKSPERILFCGWRRDMEDMIMVLDASLAHGSELWMFNDVPEKEREKKLTDGGLDINRLENISLVNRDGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYVAMASQAHGGSFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSRISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRPADLYLCEGEELSFYEIMLRARQRREIVIGYRLANAERAIINPPAKTDRRKWSLKDVFVVITEKE >Vigun09g000400.3.v1.2 pep primary_assembly:ASM411807v1:9:60681:71754:1 gene:Vigun09g000400.v1.2 transcript:Vigun09g000400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESETSGSGRDWFFPSPSFLRSSSSQYGHRFYSNSKPCTPPTLTGIRHRRRVKFPRTSTLTNDKPQTLTIVFFLLLLLLLRNAHLESQLTKLQGDILGLNLRLHACHRLDPLNVTSSTSEDANPGLSENFKRNLALFFSFTLLFIPLIIFKYIDYVSKSIFSDNIPERVSVNKQIAYRVDVFLSVYPYAKPLVLLVATLLLILLGGLALFGVTTEDLAHCLWLSWTYVADSGNHASSQGIGPRLVAISISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMELDIAKMEFGFKGTSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLEGMQFEDVLISFPAAIPCGIKVASYGGKIILNPDDSYVLQEGDEILVIAEDDDTYAPASLPTVWRGSLPKDFVYPKSPERILFCGWRRDMEDMIMVLDASLAHGSELWMFNDVPEKEREKKLTDGGLDINRLENISLVNRDGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYVAMASQAHGGSFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSRISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRPADLYLCEGEELSFYEIMLRARQRREIVIGYRLANAERAIINPPAKTDRRKWSLKDVFVVITEKE >Vigun09g000400.1.v1.2 pep primary_assembly:ASM411807v1:9:60681:71754:1 gene:Vigun09g000400.v1.2 transcript:Vigun09g000400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESETSGSGRDWFFPSPSFLRSSSSQYGHRFYSNSKPCTPPTLTGIRHRRRVKFPRTSTLTNDKPQVSNTENAKSSAKNNFIFLSQSRFQFALVTLTIVFFLLLLLLLRNAHLESQLTKLQGDILGLNLRLHACHRLDPLNVTSSTSEDANPGLSENFKRNLALFFSFTLLFIPLIIFKYIDYVSKSIFSDNIPERVSVNKQIAYRVDVFLSVYPYAKPLVLLVATLLLILLGGLALFGVTTEDLAHCLWLSWTYVADSGNHASSQGIGPRLVAISISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMELDIAKMEFGFKGTSVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLEGMQFEDVLISFPAAIPCGIKVASYGGKIILNPDDSYVLQEGDEILVIAEDDDTYAPASLPTVWRGSLPKDFVYPKSPERILFCGWRRDMEDMIMVLDASLAHGSELWMFNDVPEKEREKKLTDGGLDINRLENISLVNRDGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYVAMASQAHGGSFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSRISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMHIRPADLYLCEGEELSFYEIMLRARQRREIVIGYRLANAERAIINPPAKTDRRKWSLKDVFVVITEKE >Vigun09g181700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:35547753:35548491:-1 gene:Vigun09g181700.v1.2 transcript:Vigun09g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLASPYVKLSLPFPLSSSKPNPRRLFILRATEPETPPSGSESSEPEPDAAADDFDSRISQLRLRNRSGIGKKAELRKNRKSKKGSSGSGSSVFLPPVALKEPVSDGVKVELGFSQYSERLNGRIAILGLTALLLVELATGKGVINYHSPAIILIQIYFVAAVGAIFVKYEKEKISVWPQTDSSTNK >Vigun10g186700.1.v1.2 pep primary_assembly:ASM411807v1:10:40292210:40294210:1 gene:Vigun10g186700.v1.2 transcript:Vigun10g186700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNVCEVPVVDFTVKEMKQGTEKWVSACKVIRNALEDHGCFYALYDKVPTELHNSLFTLMEEQFDLPLETKMQKISDKPYHGYYGQNAHAPLYESLGINDPLTAEEIQKFTKLMWPEGHDHFCETLSLYAKLVVELDHVSKRMVFDGYGVEQKHCDSLLESTKYMLRSFKYRVPQKDENNLGLHAHTDTSFFTILHQNNVNGLQVKLKNGEWIDVDPSPFMFLILAGDAFKVWSNDRMQCCEHRVIISGKKERYSMGLFSLGGKVVETQEELVDEEHPRKYKPFDHYEYLRFYATKKALESKSRIKAFCGIDYADEN >Vigun11g126500.1.v1.2 pep primary_assembly:ASM411807v1:11:33362534:33364723:-1 gene:Vigun11g126500.v1.2 transcript:Vigun11g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADELPRSIVRRVVKDKLSRCSEEGEISVSKDGLLAFSESGRIFIHYLSATANDICKESKRQIVNAEDVFKALEETEFSEFIRPLKASLEEFRKKNVGKKAAVGKGKEEEQRKKRKLEAESSDKGEASINDESSDKAEGSDKGEGEDDE >Vigun08g039000.1.v1.2 pep primary_assembly:ASM411807v1:8:3799649:3802436:-1 gene:Vigun08g039000.v1.2 transcript:Vigun08g039000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGEVASSHNLNSTMFKLPQKQPYRTCYHFQPPQYWMNDPNAPMYYRGVYHFFYQHNPDAATFGEKMVWAHSVSYDLINWIHLNHAIEPSEPFDVNSCWSGSATVIPEKEQPVILYTGIDDKKHQVQNMAMPKNLSDPFLREWVKHPQNPVMTPPSGVEVHNFRDPSTAWQGKDGKWRVVIGAQNGDEGKVVLYQSEDFADWKVKLNPFFASDNTGVCECPDFFPVSVNGTNGVDTSVQNQSVRHVLKISYLRRHQDYYFLGEYANGDGNFVPDVKFTGTSLDLRFDYGKFYASKSFFDHAKSRRILWGWVNESDTRHDDIEKGWAGLQCIPRQVWLDENGNRLMQWPIEEVEKLRGKQISIKGEKLVGGSILEVSGITASQADVEVLFEVPEIENAEFLDESEVDPELLCSEEYASRSGTIGPFGLFALASEDQTEHTAIFFRIYKTSNRYVCLMCSDQSRSSLRENLDKSKYGTIFDIDPNKKTISLRSLIDRSIIESFGEKGRICITSRVYPSLAIEKDARVFAFNNGRKSVVISELNAWSMKHAEFGQDESIYQ >Vigun01g041837.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:5877544:5877735:1 gene:Vigun01g041837.v1.2 transcript:Vigun01g041837.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMCFLITVIIIISELSVLQVLPLCSNKEPEESCIKIKKRFTNISALEFWNENSWRPNQSQC >Vigun03g176200.2.v1.2 pep primary_assembly:ASM411807v1:3:21846400:21847305:-1 gene:Vigun03g176200.v1.2 transcript:Vigun03g176200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIISMLSKEFGEVRSISLSLMPLAYFQKGVLLSGRWKENRRMASKPSTIVRLCFFYFVFFSFMRFSSCTVLSFTTHVSSCNGSIAECNQEDELLMESEISRRFLEQKKYISNGALQRDKPVCNGGGSGEAYSNSGGCLPPPSNPHNRGCSKYYRCRSDS >Vigun09g092000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:12855603:12855920:1 gene:Vigun09g092000.v1.2 transcript:Vigun09g092000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKPISAFIFNHRFWTNIFSFKHHHLRPVIRKSSRCFTTPLRVLLHPEQHQTLTFFPITYIQSKQRRKRNRRRVGVGVGESPAASITSGPATVGLGIVAPKTW >Vigun05g041400.1.v1.2 pep primary_assembly:ASM411807v1:5:3347486:3352643:-1 gene:Vigun05g041400.v1.2 transcript:Vigun05g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISAQALVLLHSRGNPCLRFPQKNGVVFEHSQSLGISTRIKATGGNSLGADEGKDGAEAVEGINEKKNKVLALQKDLLQQVVEIKKLVSSEKSENILGSEVNRTSYELANKSFTNKPSPQSASTRDGNAVKNQNGIIASPNYVQSSEDKTHKTNRPDTLSAFFVNGTENLSLEVENQEGISESTPIESEGETETPQPLAGTNVMNVILVAAECAPWSKTGGLGDVVGSLPKALARRGHRVMVVTPRYGNYAEAQDTGVRKWYKVDGHEAEVKYFQAYIDGVDFVFIDNPLFHHLERNIYGGSRLDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYREQGLMKYTRSVLVIHNIAHQGRGPVDDFFAVDLPEHHMDLFKLYDPIGGDHFNIFAAGLKTADRVVTVSHGYAWELKTSQGGWGLHGIIKENDWKLKGIVNGIDDKDWNPLFDVHLKSDGYTNYSLETLSSGKLQCKTALQKELGLPIREDVPLIGFIGRLDPQKGVDIIAEAIPWLMSQDVQLVMLGSGRPDLEHMLRQFESQHNDKIRGWVGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMNYGTVPVVHAVGGLRDTVQPFDPFSESGLGWTFESADSGKLSNALGNCLWTYREYKKSWEGLQRRGMTQDLSWDNAALQYEEVLLAAKYQW >Vigun05g041400.2.v1.2 pep primary_assembly:ASM411807v1:5:3347486:3352555:-1 gene:Vigun05g041400.v1.2 transcript:Vigun05g041400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPISVPKSSVISNLKLLHAFTFFGTHLLLMASSISAQALVLLHSRGNPCLRFPQKNGVVFEHSQSLGISTRIKATGGNSLGADEGKDGAEAVEGINEKKNKVLALQKDLLQQVVEIKKLVSSEKSENILGSEVNRTSYELANKSFTNKPSPQSASTRDGNAVKNQNGIIASPNYVQSSEDKTHKTNRPDTLSAFFVNGTENLSLEVENQEGISESTPIESEGETETPQPLAGTNVMNVILVAAECAPWSKTGGLGDVVGSLPKALARRGHRVMVVTPRYGNYAEAQDTGVRKWYKVDGHEAEVKYFQAYIDGVDFVFIDNPLFHHLERNIYGGSRLDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYREQGLMKYTRSVLVIHNIAHQGRGPVDDFFAVDLPEHHMDLFKLYDPIGGDHFNIFAAGLKTADRVVTVSHGYAWELKTSQGGWGLHGIIKENDWKLKGIVNGIDDKDWNPLFDVHLKSDGYTNYSLETLSSGKLQCKTALQKELGLPIREDVPLIGFIGRLDPQKGVDIIAEAIPWLMSQDVQLVMLGSGRPDLEHMLRQFESQHNDKIRGWVGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMNYGTVPVVHAVGGLRDTVQPFDPFSESGLGWTFESADSGKLSNALGNCLWTYREYKKSWEGLQRRGMTQDLSWDNAALQYEEVLLAAKYQW >Vigun05g035800.1.v1.2 pep primary_assembly:ASM411807v1:5:2921191:2923445:1 gene:Vigun05g035800.v1.2 transcript:Vigun05g035800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLYLSLLLLFVSLISLSFFFLFYRHNAARSSIANLPPGNMGFPVIGESIEFLSLGWKGHPEKFIYERMAKYSSKVFKTSLFGERTVMVCGAACNKFLFSNENKLVMSWWPDNVNKIFPSSLETNSKVEAKKLRHMLPQFLSAQALQRYIGIMDTVAQRHFALEWENNTSVTVFPLAKRYTFWVACRLFISVDDPMQVEKLLESFNKIAPGIMSVPIDLPGTPFNRAIKASKFIKEKLLKIMRERREELANGTASQTQDILSHMLSSCDENGQYMTENLIADKILGLLIGGHDTASSACTFVVKYLADLPPNIYENVYREQMEIAKSKAPGELLNWEDIQKMKYSWNVACEVMRLAPPLQGAFREAMDDFVFNGFSIPKGWKLYWSASSTHRNPECFAEPEKFDPSRFEGTGPAPYTYVPFGGGPRMCPGKEYARMEILVFMHNLVKRFKWEAIIPDENIFIDPMPVPAKGLPVRLYPHQP >VigunL070800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000489.1:110245:110439:-1 gene:VigunL070800.v1.2 transcript:VigunL070800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNIFSWICICIHSVLYSSSFFLEKLPEAYAFLNPIVDIMPVIPVLFFLLAFVWQAAVSFR >Vigun08g052100.1.v1.2 pep primary_assembly:ASM411807v1:8:6190533:6191959:-1 gene:Vigun08g052100.v1.2 transcript:Vigun08g052100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFRYQLGLVCVILLFPALCNCKEYFTNSRATYYGSPDGYGTPTGACGFGEYGRKMNWYDGRVAGISGLWRNGAGCGTCYQVKCKIPELCDANGAFLVATDKGYGDRTDFVMSPQAFSRLGRNQNASAELKKHGTVEIEYRRVPCTFMGNVLFHIKESSSNPGYLAVVILNINGKYDVTAVEMWQKGQQRWEPLRRVYGAVFDFANPARGAILLRFQVGVNWMIPKVPIPANWKPGATYDTKVQFY >Vigun07g225700.1.v1.2 pep primary_assembly:ASM411807v1:7:34790849:34794552:1 gene:Vigun07g225700.v1.2 transcript:Vigun07g225700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTHIPNAYLSYHNHTTMQAPTTLTGSLHRVAGIFPSHRAVSVSGKFQLTHSRLHHLVERAAVRLLSTGIKPGDVVALTFPNTVEFIITFLAVIRARATAAPLNAAYTAEEFEFYLSDSESKLLITSKEGNEPAQAAASKLSIPVSTASLDEAEAEELNLSLSYTESPTDSISELVNDESDVALFLHTSGTTSRPKGVPLTQHNLASSVENIKSVYKLTESDSTVIVLPLFHVHGLIAALLGSLAAGASVALPAAGRFSASSFWSDMSTYNATWYTAVPTVHQILLERHLKTPEPVYPKLRFIRSCSASLAPVILERLEEAFGAPVLEAYAMTEASHLMSTNPLPEDGPHRAGSVGKPVGQEMAILDEKGEILKNDEKGEVCIRGPNVTKGYKNNPDANISAFQYGWFHTGDIGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDIAQAVAFGVPDDKYGEEINCAIIPKEGSKIDEAEVHRFSKKNLATFKVPKKVFITDSLPKTATGKILRRLVAQHFISQT >Vigun03g313200.2.v1.2 pep primary_assembly:ASM411807v1:3:50604320:50608127:-1 gene:Vigun03g313200.v1.2 transcript:Vigun03g313200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPISHVLFSLVLCILLQDHIQALKQSYIVYLGSHSFGPKPLSVDLESVTNSHYDFLGSYVGSIEKAKESIFYSYNRYINGFAAVLEEDEAANVASAWPESKSFSDEGFGPIPKRWRGICETEDNFKCNRKLIGARYFYKGYEASIGEKLNASMLSARDYEGHGSHTLSTAGGNVVPGANVFGFGNGTASGGSPKARVAAYKACWPPGCFDADIFAAFEAAISDGVNVITMSVGSENPSEFSESAISVGSFHAVSHGITLIASGGNSGPVPGSVSNNEPWTLTVGASTIDRDFASNVVLGNRKIFKGTSLSRSGLPSNKKYPLISAVQAQTTSASSVNAPNCINGTLDARKVKGKILVCLRGVNGRVEKGVVAASLGAVGMILVNDEGNGDNIISDPHVLPATHVGFETGKYIYSYINRTKFPVAYISRAKTELGTKPAPVMAAFSSRGPNLLDPAILKPDITAPGVSIIAANTEALDPTESDQTLTPYIVLSGTSMSCPHVAGVVGLLKALHPNWSPAAIKSAIITSATTKDNTGRRIRDSSWKESTPYDFGAGHIRPNRAAYPGLIYDLTTTDYLNYLCGRGYKSSQLKLFYHKPYTCPKSFNLADFNYPTITIPRIEYGQSVNVSRTVTNVGSPSVYRVRIEAPPNVAVSVEPAKLKFKGKGEKKEFRVSLTLKSKTENTTDFVYGLLTWTNHKNRVRSPIVVNLNNF >Vigun03g313200.1.v1.2 pep primary_assembly:ASM411807v1:3:50604320:50608127:-1 gene:Vigun03g313200.v1.2 transcript:Vigun03g313200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPISHVLFSLVLCILLQDHIQALKQSYIVYLGSHSFGPKPLSVDLESVTNSHYDFLGSYVGSIEKAKESIFYSYNRYINGFAAVLEEDEAANVAKHPSVISVFLNKKRKLHTTHSWNFLGLERNGGFPPQSIWRKTKGEDVIIGNIDTGAWPESKSFSDEGFGPIPKRWRGICETEDNFKCNRKLIGARYFYKGYEASIGEKLNASMLSARDYEGHGSHTLSTAGGNVVPGANVFGFGNGTASGGSPKARVAAYKACWPPGCFDADIFAAFEAAISDGVNVITMSVGSENPSEFSESAISVGSFHAVSHGITLIASGGNSGPVPGSVSNNEPWTLTVGASTIDRDFASNVVLGNRKIFKGTSLSRSGLPSNKKYPLISAVQAQTTSASSVNAPNCINGTLDARKVKGKILVCLRGVNGRVEKGVVAASLGAVGMILVNDEGNGDNIISDPHVLPATHVGFETGKYIYSYINRTKFPVAYISRAKTELGTKPAPVMAAFSSRGPNLLDPAILKPDITAPGVSIIAANTEALDPTESDQTLTPYIVLSGTSMSCPHVAGVVGLLKALHPNWSPAAIKSAIITSATTKDNTGRRIRDSSWKESTPYDFGAGHIRPNRAAYPGLIYDLTTTDYLNYLCGRGYKSSQLKLFYHKPYTCPKSFNLADFNYPTITIPRIEYGQSVNVSRTVTNVGSPSVYRVRIEAPPNVAVSVEPAKLKFKGKGEKKEFRVSLTLKSKTENTTDFVYGLLTWTNHKNRVRSPIVVNLNNF >Vigun09g168350.1.v1.2 pep primary_assembly:ASM411807v1:9:33718134:33719228:-1 gene:Vigun09g168350.v1.2 transcript:Vigun09g168350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNNTVSTHPLQMEYVCSVLQKSSQLKYIALE >Vigun07g030400.1.v1.2 pep primary_assembly:ASM411807v1:7:2775618:2778813:-1 gene:Vigun07g030400.v1.2 transcript:Vigun07g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFSPPFFHYPSPNPSPHHHHHPYAHNAINQTTTTTATATNTTFFHFQHHHHHHHFQTTTTCSSSSSPPSPPLREALPLLSLSPKNDEEQEEEEYQDQDQDQLCTAMDVEDNFNRTLHNPKEEDQEEDADDSVTVALHIGLPCPSAAEIASVLSSACASDKDQQQGGGGDCDNNGIHDDSSSGFLSNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCSPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGIDGFEEEEEPASEVEQDND >Vigun03g008700.1.v1.2 pep primary_assembly:ASM411807v1:3:591929:595090:1 gene:Vigun03g008700.v1.2 transcript:Vigun03g008700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHELGFGGPLFYDLLFILCFLVISIKCQDAAVMGVLKSTINAPDSLEWIGEDVCKWRHVVCDSSKRVKAIQIGNQNLRGTLPKELVMLTALTRFECQDNNLTGAFPYLAKSLQRLIIHDNNFNLFPTDFFKGMSSLQEVRIDNVPFSQWNIPNSLKDCVALQTFSAQSTGLAGTIPNFFGKDGPFAGLVLLALSDNFLEGGLPASFAGSSIENLLVNGQNSNSKLNGTLAVLSKMVSLKQIWANGNSFTGPIPDLAHHDQLYDVNLRDNQLTGVVPPSLVALPSLKSVSLTNNLLQGSPPMFKNGVGVDNNMDRGRNQYCTNVVGQSCSPLVNVLLSIVQPFGYPLNFAQSWQGNDPCANRWTGIICSGGNISVINFQNMGLSGTICPCFAGITSLTKLFLANNNLTGTIPEELATLPHLEELDVSNNHIYGKVPSFREGVELKYEGNPDIGKNEQTIPSNVGKANKNNTPMIIGITILVVIILVVGVLILVKFRRKEKYKGKLQNQTTFVVPPRYGDGNVVKINSGGHVEGNISLSLSSAQSVYQGEASNMLIPIQILKEATNNFSEGNILGKGGFGTVYKGELHDGTKIAVKRMQSTGFVDQKGSSEFTSEIAVLTRVRHRNLVALLGFCLDGSERLLVYECMPQGALSRHLINWKEEGLKPLEWKIRLNIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGNDMRAKVSDFGLVRLAPEGKTSFQTRLAGTFGYMAPEYAATGRLTTKVDVYSFGVILMEMITGRKALDDSQPEENIHLVTWFRKMLINKDSFITTIDPTIKVDEETLWSISTVAGLAGHCCAREPHQRPDMSHVVNVLSPLVQVWKPSETNVNEADEIDFDMTLPEALERWKQFEGNSHIIDVTSSSEYLNANNTKSNP >Vigun11g138500.1.v1.2 pep primary_assembly:ASM411807v1:11:34753378:34754396:1 gene:Vigun11g138500.v1.2 transcript:Vigun11g138500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIMKSNRVAILMVIMLVFVQIFQNEAKFPCPIICGLECLLSPEPYGLCWIKCVVKCAIPTDSLDCVKSCGVNKSITIEIDAGGNVTNVVDSCLQKCPKLQN >Vigun06g160600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28300900:28302357:-1 gene:Vigun06g160600.v1.2 transcript:Vigun06g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLYLVVLVWGTFCASMSGVSHGMYVDGRNYADPNRWKAGHDNYCSYASWRGCGSFFGNGGSDSKNDEENVQGGGGGGGGGEGGGVGENGVGYGHGSGFGAGVSVGHDGGGGGGGGGGGGGGGGGSSNGIGYGGTGQGRGFGAGFGVGGVNGGQGGGGGGGGGEGEGVGNEGQGRGSGFGSGGGVGGIGGGGGGGGGGGGEGEGVGNQGQGHGSGFGEGGGMGGIGGGGGGGGGEGEGGGAGNEGHGHGSGYGAGGGMGGTGGGSGGGGGGGEGGGVGNGGQGHGSGFGAGEGMGGIGGGGGGGGGGGGVGNEGEGHGSGFGGGAGGGVAAVGMGGGGGGGGGGGGNGGEGYGNGFGAGVGSGVSGMGGGGGGGGGSGGGDGSEGEGHGYGSGYGGGGGGGGNEGYGHGSGFGGGMGMGGGGGREGDYYNKNGVNNGFGIGFGMGMGFGFGMGTSGAKVTTNQLDANHP >Vigun03g230600.1.v1.2 pep primary_assembly:ASM411807v1:3:38397637:38400436:1 gene:Vigun03g230600.v1.2 transcript:Vigun03g230600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIDPATSSLLCLENNDMCFDDFECNVADESPSWDHKNPNFNNQCLIEDNLGSEHVLDSPVLSDEIVLGLIGKEREHLPRDDYLERLLGGDLDLSVRSQALDWIWKAHAHYDFGPSSLCLSVNYLDRFLSLYELPGDSNWSAQLLAVACLSIAAKIDEIKVPSCIDIQVGEPKFLFEAKTIQRMELLVLSTLKWKMQALTPFSFVDYFIKKITCDQLLVKSSILRSVGLILDIIRCINFLEFKPSEIAAAVAISVSRKLQAEEIDEALTCFVSVGKERILKCLELIRDLPLIQASTNLGNNLAPLVPQSPIGVLDAACFISICDELTVGLSTDYWVDTPNSKRMKISDPLDGIFKS >Vigun08g066700.1.v1.2 pep primary_assembly:ASM411807v1:8:9876952:9882311:1 gene:Vigun08g066700.v1.2 transcript:Vigun08g066700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNKWRTMPPHHHQQPLCTRTHQIGALLLVTTTFFFTRLLSPCTLSTSVVHVSHPQLQWGQSQLSLKIYVYEPEEINGLNKLLHGRDGKVTEEACLKGQWGTQVKIHKFLLQSKQRTRKKEEADLFFVPSYVKCVRMMGGLNDKEINNTYVQVISQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTKTGATGATVVQPLPLSKRKYLANYLGRAQGKAGRLKLIELAKQFPEKLECPDLKFSGPDKLARKEYFEHLRNSKFCLAPRGESSWTLRFYESFFVECVPVIISDQTELPFQNVIDYSQISIKWPSSRIGPELLQYLESIPDEEIEKIIARGREVRCWWVYASDSEPCSAMRGIMWELQRKVRQFHHSAETFWLHNGSIVNRNLVQFSKWELPVPLP >Vigun07g174700.1.v1.2 pep primary_assembly:ASM411807v1:7:28998983:29005633:1 gene:Vigun07g174700.v1.2 transcript:Vigun07g174700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEGRVVNQDYTQDGTVNIKGKPILRSKSGGWKACSFVVVYEVFERMAYYGISSNLILYLTTKLHQGTVTSANNVTNWVGTIWMTPILGAYVADAFLGRYWTFTIASTIYLSGMSLLTLAVSLPSLKPPACYEKDVTKCGKASTLQLAVFYGALYTLAVGTGGTKPNISTIGADQFDDFHPKEKLHKLSFFNWWMFSIFFGTLFANSVLVYIQDNVGWTLGYALPTLGLLVSIMIFLAGTPFYRHKVPAGSTFTRMARVIVAALRKWEVPVPGNSKELYELDKEDYAKKGSYRIDHTPTLKFLDKACVKTDSNTSPWMLCTVTQVEETKQMLRMIPILVATFVPSTMMAQINTLFVKQGTTLDRHIGSFKIPPASLAAFVTVSLLVCVVLYDRFFVKIMQRFTKNPRGITLLQRMGIGLVIHTLIMIIASGTESYRLKVAREHGVVESGAQVPLSIFILLPQFILMGTADAFLEVAKIEFFYDQAPEHMKSIGTSYSTTTLGIGNFISSFLLSTVSHVTKKNGHKGWILNNLNESHLDYYYAFFAVLNFLNLIFFAFVTRFYVYRVEVSDSIDVLAKELKEKTVSNVVNPKD >Vigun10g123400.3.v1.2 pep primary_assembly:ASM411807v1:10:33168926:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun10g123400.1.v1.2 pep primary_assembly:ASM411807v1:10:33163225:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun10g123400.2.v1.2 pep primary_assembly:ASM411807v1:10:33163225:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun10g123400.5.v1.2 pep primary_assembly:ASM411807v1:10:33172134:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun10g123400.6.v1.2 pep primary_assembly:ASM411807v1:10:33172134:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun10g123400.4.v1.2 pep primary_assembly:ASM411807v1:10:33168926:33184326:1 gene:Vigun10g123400.v1.2 transcript:Vigun10g123400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIACCQFLTLSPRAKAWLKKQLIQLDLYETRVGKLEDVVEKLKKKRDSIQNTVDEEERRHGRKIHVEVKEWMDKVDKLILTYRGFHNDEICHKCAMFEFFNSGFLPKPGIRYRRSRKADDITKQANGLLQNAKFDILSYWSGPPSMAAFFSNLGYESYSSRNDTVKKITDEFQKPGVRMIGLHGLSGVGKTSLVKEVVKKALKDKMFEVVTMASVTKSPDVRKIQGQIADMLGVVLEEESNIARAARIHQILNDENKSTLIILDDLWEEVDFNLLGIPCELEKDDGVTSVKGKSVDVDILKNVSDGKSPVLDNSSSFRKGTLRGVDGSKNVNKAKSPVLDGSISFRKGTLYGVDGSNNVNKGKFSGAANSMNVKKGEFLGGGLKNVNEGKSSVDASDTVKTEKIVPQYKGCKILMISEIRQVLLSQMEGKEESIFPVEVLKEKEAEMLFKKKAGIGGKNSEYDKLAAQIANKCKGLPMTIITTARALKNKSLSVWDETNRKLDSQNLAGAPEFSTKLSYELLEDEELKHTFLLCARMGQDALVMDLVKYCIGFGFLRGINTARQTRDKVHTLVSKLKESGLLSDSYSSDYFTMPDSVRTAALSIAYKENHLFTMTKGKLDEWPDNLEMYAAISLHHCDFIEEFPGRINYPRLRVLQIVNNIPRPKIPEKFFETMKELRVLILTGIYLSLVGSSLSSLHKLRMLCLEQCCMLDDELYIIGELKRLRILSFSGSDIESLPTKLNELKMLQIFDISNCSKLKKIPNGVIPSLVSLEELYMRNTLIQWEDEEQTRQSKIALLSGLKHLNQLTTLDIQIRNVSYLPKNLFFDKLFSYKIVIGDLSSVLDIDFKMPEKYEALKFLAIRLKNGSDIHSLKGIKMLFEGVENLFLELNTVDDKQNSEADNIVHDLFYRLNLKGFPYLKHLWIVNNSTIQSLIYPKDRQLPEKAFPKLESLCLYNLKIDKICSCNLSEPSFGKLKVIKINHCGELKNVFSISVVGLLKVIETIEVSECNSLKEVIDVEPQNDPDKTELLMLPELRYLKLQSLSEFIGFDAIPHREGQERKLFHEKVGVSKLERLELSSLHIDVIWSVDQSSKRLSFESLTHLDVNGCWKLKYLMSFTMAKILVNLQSLYVSDCEKMRSIFLPDQDKEKDIMASIFPKLKNMKLLNMNSLSKIWNLKLPLDSFNKLDTLIIEECHKLGNAMEGIFVSLCNLRVTNCRSMQAIFNIRDQVGDAANNLQDVHLETLPELKLVWKMNNEDRIGIPKFNNVKKIWAQDCDNLEYIFPFSIAKSLGNLESLVVCDCYGLSEIVAQREATHTGRARFNFPKLSTVKFSKLPKLTSFYPTAYDLSCPLNELSIELCKNLEPFNKGTQHAERNPIHVCFPEEVINNLKSMQIESWHAKSPSSYMGKRNHRRDNLEELSLSRLIDTGILYSFLHRSPNLKSLSLSNCYFEKIVPPKEDTEIENLGVVPNLKSLMLIDLLNLKEIGFEPDIILERLEFLILKNCPRMITMAPSSVSFTRLTNLEVINCDGLKSLMSASTAQSLVQLNTMKVVKCESLVEIVRKDGENSDMVLFQQLKALELVSLKKLKSFCVSDCAFEFPSLEKLVVSACYNMAKFSETVTSSPLLQNIHVVHGKENKRFCWEGDINATIQKIFEEMKFFEGMEEMSLSQHRELEESWERGTILQKQNSWFYSLKILKLENCDIEPCAIPSNILPYLRSLKELQVRGCDNVEVIFEMNAKEGTGTTFQLQKLTLSKLPKLEDVWERNGKGTESFQNLKMVHVSECENLQTVFPLTLAKNLKKLVKLEIIGCHALREIVRKEKNVSAVFVFPCLITLGLFDLPELIYFYPESFTLECSALNKLIVCYCPDLELFGSANRQSIFFDLKDICNLEELTIDWEQTLVLRTKLGEPTDNLKYLNHIQLFLEVDENERPDLPIQILLKMPNLTKMSIHYSNCLEVFQTQIPEVVEKRVLTHLKTLRLNCVSKLQSIGSEDSPWLNVICDSENLQKLVVLKCPDLKTLVHSPASVSFTYVKEVYIDRCKELKYLFTLASVNKLENLERIKVNDCESMEAIVLKEEDDISEEIKLQQLKHIDLNGLSSLECFYSGNDSLQLPSLVQVDIWKCPKMEFFSRGEIHLNSSFRGIQASNVSSDDFVFYHDLNFSVEKVFLQQEFFQAVDKECFSDNLDLQAEPRGKIGLQNKWLANLETLKLQNCTQSYAIPSFILCLLKNLKELEVRDSDQVKAIFDMNDDTEIKETESQLKILTLNGLSELTHVWEKDTHRILIFRNLQEVVVSDCSKLQTLFPASLAKSLKDLKKLKIDSCENLHDFVEQEETTFVTKKFVFPCLEDLELNDLPLVTCPKTFTLEFPSVKFLSVRNCDELGLFQSVYDPMGEGTSSSRLPLISDPKVISNLEKLTLDCKQILALSLWFKSQKSTEGLTNFNTISLSFFGIDGNEVPMLPIEILKAPSLIELDMNNCNNIENFLAQNPKIGEEEMLRQLTILRLCNVSTTQFFELEYCLSLNIICERLHKLTLSQCPHLTTILRVHSAVSFSCLKELNIYKCPNLKYLFTTSAAKKLMNLEEIRVTECETLTEIVSKEGDASSEGIKFDRLHTIYLQSLTSLVCFYSGSDSIELSSLKTVAIRSCPNMEIFSHGNESLMGVALSTDQGADDVHPPQDLNTRIKGIIQRKEFFEAVDKECFSDNIELQEDPHCKFGLQNQWLRDLVSLKLQNCTLLCAIPFSILALLQSLKELEVQDSTTIEVLFYMNDNEIMGITSQLRILTLKGLSKLTRVWEKNKNGVLNFSSLEQVVVSNCENLQTLFPASLARNLKSLKGIDIESCVEFQEIVEKEDDTEAKFVLPCLEELNLSFLPQLTCFYPQTFTLECPTLNLLSVFECERLELFQSEHSMGEGTSVKRQPLISSLEVISNLKELELDWKHILALRSRLRSEKFTGIFKFVNKMNLLLNADVSEMLIVVNEIVHTTPNLIEMIVMIDNCNSMEIFLAQNPKIGEDGMLLQLRTLNLFRISDIRSNQPENSSWSDTFSEKIHELHVFECPHVETIGVHPTFSVSSSFLKQVFVQKCPQMQYLFTFSVAKELVNLEEITVIECESLKEIVSKEGDEDEPKGEGDDKYENEMIFMKLENLILASLDNLESFYSGSCILNFPSLRKVAVNECLNSKIFRHRDKVPPKFTVIIDEILGKGDKKALVTQQFEEEAS >Vigun05g226600.1.v1.2 pep primary_assembly:ASM411807v1:5:41905653:41911219:-1 gene:Vigun05g226600.v1.2 transcript:Vigun05g226600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFMVLHLVTWIWICGVAHSTTPASVNIGAVFAFDSVIGRVAKEAMEMAISDVNKNPTVLNGTELKLIMKDAMCNAFLGSIGAFQLLEIGVAAIIGPQSSAVAHTVSQIADALQVPLVSYAATDPTLSSLQFPFFIRSTQSDLAQMTAMADLIDFNGWKEVIVVFLDDDYGRNGVSALNDELEKRRLKISYKLPLSIKFDPVEITNLLNQSKLIGPRVYVVHVNPDPSLRIFSIAHKLQMMSKDYVWLVTDWLSATLDSLSLVNQTSFSVLQGVVGLRQHILDSQKKRDFISRWMKRQKDGLTNTSLNSYGFSAYDTVWAIALSIDKFIKVNNLTFMPHDNYKLSQTEGIGVQLDKLKIFTGGSDLVKILLQSNFTGVSGQVLFNSDRNIVSRGYDIININQLGITRVGFWSNYSGFSVVPPETLKKRAHSRFSKDQKLDNITWPGGKTDRPRGWVIADNTKPLRIGVPKRASFVEFVTEVPNSHDIQGYCIDVFMKALEFIPYEVPFVFKPFGNGKANPNYDELVKMVADNVYDAVVGDIAIVTNRTRIVDFSQPFASSSLVIVAPINKAGSSAWVFLKPFTADMWCATAASFLVVGIVIWILEHRVNNDFRGPPKKQIITMIMFSLSTLFKKNQEDTVSSLSKMVMIVWLFLLMVITASYTASLTSILTVEQLSSPITGIESLIASSWPIGYQVGSFAYSYMADNLYISKSRLVPLGSPEEYALALQKGPSAGGVAAIVDELPYVELFLSKETDFGIIGQPFARNSWGFAFQRESPFAFDMSTAILKLSENGDLHRIHERWFCKMGCPEERTSNSKPEQLHLVSFWGLYLSCGVVSLAALVVFLLRMIRQYARFKKKQKDIASSSSEQQPSGSHCSQVVINFFNFIDEKEEAIKKMFTPSDNHHNPN >Vigun05g226600.2.v1.2 pep primary_assembly:ASM411807v1:5:41905653:41911219:-1 gene:Vigun05g226600.v1.2 transcript:Vigun05g226600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFMVLHLVTWIWICGVAHSTTPASVNIGAVFAFDSVIGRVAKEAMEMAISDVNKNPTVLNGTELKLIMKDAMCNAFLGSIGAFQLLEIGVAAIIGPQSSAVAHTVSQIADALQVPLVSYAATDPTLSSLQFPFFIRSTQSDLAQMTAMADLIDFNGWKEVIVVFLDDDYGRNGVSALNDELEKRRLKISYKLPLSIKFDPVEITNLLNQSKLIGPRVYVVHVNPDPSLRIFSIAHKLQMMSKDYVWLVTDWLSATLDSLSLVNQTSFSVLQGVVGLRQHILDSQKKRDFISRWMKRQKDGLTNTSLNSYGFSAYDTVWAIALSIDKFIKVNNLTFMPHDNYKLSQTEGIGVQLDKLKIFTGGSDLVKILLQSNFTGVSGQVLFNSDRNIVSRGYDIININQLGITRVGFWSNYSGFSVVPPETLKKRAHSRFSKDQKLDNITWPGGKTDRPRGWVIADNTKPLRIGVPKRASFVEFVTEVPNSHDIQGYCIDVFMKALEFIPYEVPFVFKPFGNGKANPNYDELVKMVADNVYDAVVGDIAIVTNRTRIVDFSQPFASSSLVIVAPINKAGSSAWVFLKPFTADMWCATAASFLVVGIVIWILEHRVNNDFRGPPKKQIITMIMFSLSTLFKKNQEDTVSSLSKMVMIVWLFLLMVITASYTASLTSILTVEQLSSPITGIESLIASSWPIGYQVGSFAYSYMADNLYISKSRLVPLGSPEEYALALQKGPSAGGVAAIVDELPYVELFLSKETDFGIIGQPFARNSWGFQF >Vigun07g288400.1.v1.2 pep primary_assembly:ASM411807v1:7:40110178:40112053:1 gene:Vigun07g288400.v1.2 transcript:Vigun07g288400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTITDVQVEFLHFPAVVTSPATAKTYFLGGAGERGLTIEGKFIKFTAIGVYLEDKAVASLAAKWKGKPSEELIETLDFYRDIISGPFEKLIRGSKILPLSGPEYSKKVMENCVAHLKTVGTYGDAEAAAIEQFAEAFKPVNFPPGASVFYRQSPDGILGLSFSEDASIPGEEAAVIENKAVSAAVLETMIGEHAVSPDLKRSLASRLPAVLNDGIIV >Vigun03g022100.1.v1.2 pep primary_assembly:ASM411807v1:3:1619709:1621959:-1 gene:Vigun03g022100.v1.2 transcript:Vigun03g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPPPKSWSIHTRSEIIAKYEVMERVGSGAYADVYRGRRLSDGLIVALKEIHDYQSAFREIDALQLLQGFPNVIVMHEYFWRDDEDAVLVLEFLRTDLATVIADAAKANQPLPAGQLKRWMIQILSGVDACHSNMVLHRDLKPSNLLISENGLLKIADFGQARILTEPGIDNDDTSPNTPDGNATCTTSDINKEDDEENELGCFTSCVGTRWFRAPELLYGSRDYGLEVDLWSLGCIFAELLTLQPLFPGTADIDQLSRIISVLGNLDESVWVGCSKLPDYGIISFSKVENPAGIEARLPNRSPDEVALVKRLVCYDPAKRATAMELLHDKYFNEEPLPVPISELPVPLNRNGQDEDSPGGWGDLDGMESDSDFDEFNITRTGTGFSIQFP >VigunL007101.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000015.1:51742:51882:1 gene:VigunL007101.v1.2 transcript:VigunL007101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTLLSRPQVRRGYPLSLSISISGGKETNKDSPSNGERTGNSPS >Vigun02g028230.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:10315304:10316038:-1 gene:Vigun02g028230.v1.2 transcript:Vigun02g028230.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVVMVVMVMVVVEVVGVVVVVVVVVVVVMVVVLATVVVVVVGLVVLVVAVVVVMVVVVVVTVVVVVVVVVVVAKMGVVTVVMVVVVGLVVVVLVLVRVLVDVLVMDVVVVVAVVVVVVVVVLAVVVVALVEVVVVVIVVVVGVVFVDVVLSVLVVLVVVVVVVVVVVVVVVVVVLVAVVEFVVVVVVVIVVVVVMMVVVGEVVVAVEVMVGVVVVGVAVVVVGCGSGGDGGRGGGLW >Vigun05g001300.1.v1.2 pep primary_assembly:ASM411807v1:5:113245:117980:-1 gene:Vigun05g001300.v1.2 transcript:Vigun05g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSSSSSKEDHNHRSHHHPQPNSNPNPNLSLPSQISKLPSGADKLRSRSNGGSKRELPPSNTKEGHGPPVQIAAQTFTFRELAAATKNFRPESFVGEGGFGRVYKGRLETTGQIVAVKQLDKNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFLPLGSLEDHLHDVPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANQGYDPNNGGHGYRGSSDDKRNRDDKGGRILKNDEAGDLGADGTWKDPRKMTPREKLQEC >Vigun05g001300.2.v1.2 pep primary_assembly:ASM411807v1:5:113519:117980:-1 gene:Vigun05g001300.v1.2 transcript:Vigun05g001300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSSSSSKEDHNHRSHHHPQPNSNPNPNLSLPSQISKLPSGADKLRSRSNGGSKRELPPSNTKEGHGPPVQIAAQTFTFRELAAATKNFRPESFVGEGGFGRVYKGRLETTGQIVAVKQLDKNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFLPLGSLEDHLHDVPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANQGYDPNNGGHGYRGSSDDKRNRDDKGGRILKNDEAGDLGADGTWKDPRKMTPREKLQEC >Vigun05g001300.3.v1.2 pep primary_assembly:ASM411807v1:5:113519:117980:-1 gene:Vigun05g001300.v1.2 transcript:Vigun05g001300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSSSSSKEDHNHRSHHHPQPNSNPNPNLSLPSQISKLPSGADKLRSRSNGGSKRELPPSNTKEGHGPPVQIAAQTFTFRELAAATKNFRPESFVGEGGFGRVYKGRLETTGQIVAVKQLDKNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFLPLGSLEDHLHDVPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANQGYDPNNGGHGYRGSSDDKRNRDDKGGRILKNDEAGDLGADGTWKDPRKMTPREKLQEC >Vigun05g001300.4.v1.2 pep primary_assembly:ASM411807v1:5:113519:117980:-1 gene:Vigun05g001300.v1.2 transcript:Vigun05g001300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSSSSSKEDHNHRSHHHPQPNSNPNPNLSLPSQISKLPSGADKLRSRSNGGSKRELPPSNTKEGHGPPVQIAAQTFTFRELAAATKNFRPESFVGEGGFGRVYKGRLETTGQIVAVKQLDKNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFLPLGSLEDHLHDVPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRFPMRGLYQALAVASMCIQESAATRPLIGDVVTALSYLANQGYDPNNGGHGYRGSSDDKRNRDDKGGRILKNDEAGDLGADGTWKDPRKMTPREKLQEC >Vigun01g188100.1.v1.2 pep primary_assembly:ASM411807v1:1:36765822:36767005:1 gene:Vigun01g188100.v1.2 transcript:Vigun01g188100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRITHSSSPSLLLLPRLLSHQPFPSSNFKFLFSLSPKPLTLNLIPMASSHSLHNHTNRLASEQSPYLLQHAHNPVDWYPWGEEAFAEARTRDVPIFLSIGYSTCHWCHVMEVESFEDAAVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVFLSPDLKPLMGGTYFPLMISTADLDLRLY >Vigun02g094800.1.v1.2 pep primary_assembly:ASM411807v1:2:25030588:25035018:-1 gene:Vigun02g094800.v1.2 transcript:Vigun02g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSILPAVSARPVPSAFSLTRPSFQAQPTLHFKNHGFAVSCSYAEAGVNADSKSTTIDVVADVKSERIVVLGGNGFVGSAICKAAVSRGIEVISLSRSGRPNYADAWVDQVTWVSGDVFYVNWDEVLVGATAVVSTLGGFGSDEQMKRINGEANVVAVNAAKEYGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGIVFRPGFIYGKRRVDGFEVPLDLVGEPAEKLLRAVENFTKPLSSLPASDLLLAPPVSVDDVALAVINGVTDDDFFGVFTIEQIKEAANKVRV >Vigun06g225800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33397443:33399274:-1 gene:Vigun06g225800.v1.2 transcript:Vigun06g225800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGIVSSHANGNGNGNGKMEKPLHIAMLPWLAMGHIYPYFEVAKILAQKGHHVTFINSPKNIDRIPKAPKNLRPFITLVRLPLPQVQHLPEGAESTMEIPPTKNCFLKKAYEGLQDSVAELLKTSKPDWLFYDFAAAWVVPIAKGLNIPCAHYNITPAYNKVFFDPPKDKMKDYSIESICGPPTWLPFPTTLRLRPYEFLRALKRNRDEETGEKADFDLNKAYSSCDLFLLRTSRELEGEWLDYLAGNYKVPVVPVGLLPPSMQIRDVEEEDKNPDWLTIKDWLDTQESSSVVYIGFGSELKLSQEDLTELANGIELSGLPFFWALQNLKEGVLELPEGFEERTKDRGIVWKGWAPQLKILAHGAIGGCMSHCGSGSVIEKLHFGHVLVTLPYLLDQALFSRVLEEKKVAIEVPRSERDGSFTRDSVAKTLRFAIVEEEGSSLRKNAKELGKVFSSEELHNEYIEGLIAALYNYRIPSTS >Vigun02g047300.1.v1.2 pep primary_assembly:ASM411807v1:2:18613961:18617763:1 gene:Vigun02g047300.v1.2 transcript:Vigun02g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSFPHFLSLPRCHLTWSYCCTSFNTVQLGELRSGCKWGHVAMARKKASLDSAIEEPSDNESVVEKKTTRSSKTKSPVGRTRKKAKDESPEGNDGLLVDRDDASIGESSSASSDTSKKTRRTRKKDASSSADLEEKKEVKEEKKVRRRRKTEEVNLIVEDKVSEAEIRDQDESSFVESVEDESDIDLELIKDVGEDISFTYGWPPLVCCFGAAQHAFVPSGRPANRLINHEIHESMKDAFWSPDKFFRAPGTSAGSVAIALATLGGKVAFMGKLADDIYGEAMLYYMNANKVQTRSVRIDNKRATAVSLMKIGKRNHLKMSCVKPCAEDSLMKTELNIDVLKEAKLFYFNTHSLLDRNMRSTTLQAIKISKHFGGVVFYDLNLPMPLWHSREETILYIQRLWNLADIIEVTKQELEFLCGITPSEEFDTKNNDSLKFKHYEPDVIASLWHENLKVLFVTNGTSKIHYYTKEHDGAVLGMEDAPITPFTCDMSATGDGIVAALLRKLSVQLDLITDKGYLEHSIKYAINCGVIDQWIQGRVRGFPPREDMEDVIPDSNGIKSISEREYRTVGGPSDE >Vigun01g062733.1.v1.2 pep primary_assembly:ASM411807v1:1:13883905:13886521:-1 gene:Vigun01g062733.v1.2 transcript:Vigun01g062733.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCMMMVFNFSINSDMVLIRVGPSGLVAARELRKEGYRVVVLEKNHDVGGQWLYQANVEEEDHLGRKPFLKNGYMEKKTNA >Vigun01g062733.2.v1.2 pep primary_assembly:ASM411807v1:1:13885419:13887664:-1 gene:Vigun01g062733.v1.2 transcript:Vigun01g062733.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWICLSSASYESRWLVAARELRKEGYRVVVLEKNHDVGGQWLYQANVEEEDHLGRKPFLKNGYMEKKTNA >Vigun11g193900.1.v1.2 pep primary_assembly:ASM411807v1:11:39287851:39301174:-1 gene:Vigun11g193900.v1.2 transcript:Vigun11g193900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGANKAKANGHLSLGDLVLAKVKGFPAWPAKISRPEDWEKIPDPKKYFVQFFGTKEIAFVAPADIQAFTSEAKNKLSARLQGKTKYFAQAVKEICAAFDEMQKQKASGLTDDTDDSRIGSEAPSNDGVVVNLKDAIDTVLSNAEQDNIDMENIDSNLEHCTPRVGENDSQDEKHSVSDHPNESSSVSSPVIKSKLSMGSEPKKNANKSSLKVASNVNDFGQDDNRHSGLANGTKPRKLVNGLRKRSEAASDRDRNGGSSTGIFKEENCTGRGDLSRSRETMKAGKKRKNAFDVKLDSPDTLKSDNNDNTGEKDSNMIKVKTSLEVKNELPEFSVDSKDGDGKSSSMRKKMQLHATYTLGANESLHATKKLKRTDTKDDSTLGYPSKVLKKTSPGSTVIEERPFKKSELKKSTPNLKTEKSLSSRGKISGAVSDDSVHELLSATRHHIQVQKVTPDSSVIASEEKKERNYLRLKGDTSNVMVKQVERKRRAVCLFDDDDDEPKTPVHGAAGKNVKSSSVSDAKRSNNAHSEKSDVPLAQGSSSEREDSHLKEPSSQLYNESLSIKQPLKEKDREKDDEVIPVHIPHSPENLDLKQLPSNAAKLSSISPLKSPQLVPANKSSAERNKASKLSLKVSGNATQKRVDHAPSKYSHNLSSSQNQVATHKKKPASLAEISKTTPETLPQAVEVPVSTIGFKDTDALHVDRLEVSTEEKSTMKHLIAAALAKRKQAHSQFLPSGFPNVQGETPSPSTVQPFLSVSSNFLHADMQGVYEHTSLASPPAKEHHSASHNQLDADEIEDRRVDSGQRGLGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRGYMDDIGVSNDDITVSFALRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLSSHAFEEDEDEYEDDIPIKLCKETFDASPADPTHTLGESETSTVTPNDKRHCILKDVDRELEMEDVSGHPKDERPIIFNSSDEIDLQLQVSDRNLDLTPSISKEMSATPEGSPPLPLDSPPPPPPLPSSPPPPPPPLSPSPPPPPPPPMLQPPPPPLPPSAPPVSLVPQSSVPARPLLSQPLMPSQSSHQSSPQLGYQQSVPHDFSGTNNGNQIVPMAGNSFPGSHNNAAAKNEIFPQPPSYAPTAGCSSQEPSGFNPSRQLEYGQNDMYLNAQVPQPNHQFQQGNPPYAQRHTHPGPPQNPPNPYPYSNPTVQQHLPHSFHPPFPLPSLPDGRRQFVTDEQWRMPSSEYKTNNQHSVWRGRNPSCPGPPYGQEGHFQPPFERTPVTTVGFQRPISSNLPVAPISGHVVPQMMPCRPDIPSVNCWRPT >Vigun11g193900.4.v1.2 pep primary_assembly:ASM411807v1:11:39293398:39301174:-1 gene:Vigun11g193900.v1.2 transcript:Vigun11g193900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGANKAKANGHLSLGDLVLAKVKGFPAWPAKISRPEDWEKIPDPKKYFVQFFGTKEIAFVAPADIQAFTSEAKNKLSARLQGKTKYFAQAVKEICAAFDEMQKQKASGLTDDTDDSRIGSEAPSNDGVVVNLKDAIDTVLSNAEQDNIDMENIDSNLEHCTPRVGENDSQDEKHSVSDHPNESSSVSSPVIKSKLSMGSEPKKNANKSSLKVASNVNDFGQDDNRHSGLANGTKPRKLVNGLRKRSEAASDRDRNGGSSTGIFKEENCTGRGDLSRSRETMKAGKKRKNAFDVKLDSPDTLKSDNNDNTGEKDSNMIKVKTSLEVKNELPEFSVDSKDGDGKSSSMRKKMQLHATYTLGANESLHATKKLKRTDTKDDSTLGYPSKVLKKTSPGSTVIEERPFKKSELKKSTPNLKTEKSLSSRGKISGAVSDDSVHELLSATRHHIQVQKVTPDSSVIASEEKKERNYLRLKGDTSNVMVKQVERKRRAVCLFDDDDDEPKTPVHGAAGKNVKSSSVSDAKRSNNAHSEKSDVPLAQGSSSEREDSHLKEPSSQLYNESLSIKQPLKEKDREKDDEVIPVHIPHSPENLDLKQLPSNAAKLSSISPLKSPQLVPANKSSAERNKASKLSLKVSGNATQKRVDHAPSKYSHNLSSSQNQVATHKKKPASLAEISKTTPETLPQAVEVPVSTIGFKDTDALHVDRLEVSTEEKSTMKHLIAAALAKRKQAHSQFLPSGFPNVQGETPSPSTVQPFLSVSSNFLHADMQGVYEHTSLASPPAKEHHSASHNQLDADEIEDRRVDSGQRGLGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRGYMDDIGVSNDDITVSFALRRPSRAERAVDDPIREMEGMLVDEYGRIKDRA >Vigun11g193900.3.v1.2 pep primary_assembly:ASM411807v1:11:39289788:39301174:-1 gene:Vigun11g193900.v1.2 transcript:Vigun11g193900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKASGLTDDTDDSRIGSEAPSNDGVVVNLKDAIDTVLSNAEQDNIDMENIDSNLEHCTPRVGENDSQDEKHSVSDHPNESSSVSSPVIKSKLSMGSEPKKNANKSSLKVASNVNDFGQDDNRHSGLANGTKPRKLVNGLRKRSEAASDRDRNGGSSTGIFKEENCTGRGDLSRSRETMKAGKKRKNAFDVKLDSPDTLKSDNNDNTGEKDSNMIKVKTSLEVKNELPEFSVDSKDGDGKSSSMRKKMQLHATYTLGANESLHATKKLKRTDTKDDSTLGYPSKVLKKTSPGSTVIEERPFKKSELKKSTPNLKTEKSLSSRGKISGAVSDDSVHELLSATRHHIQVQKVTPDSSVIASEEKKERNYLRLKGDTSNVMVKQVERKRRAVCLFDDDDDEPKTPVHGAAGKNVKSSSVSDAKRSNNAHSEKSDVPLAQGSSSEREDSHLKEPSSQLYNESLSIKQPLKEKDREKDDEVIPVHIPHSPENLDLKQLPSNAAKLSSISPLKSPQLVPANKSSAERNKASKLSLKVSGNATQKRVDHAPSKYSHNLSSSQNQVATHKKKPASLAEISKTTPETLPQAVEVPVSTIGFKDTDALHVDRLEVSTEEKSTMKHLIAAALAKRKQAHSQFLPSGFPNVQGETPSPSTVQPFLSVSSNFLHADMQGVYEHTSLASPPAKEHHSASHNQLDADEIEDRRVDSGQRGLGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRGYMDDIGVSNDDITVSFALRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLSSHAFEEDEDEYEDDIPIKLCKETFDASPADPTHTLGESETSTVTPNDKRHCILKDVDRELEMEDVSGHPKDERPIIFNSSDEIDLQLQVSDRNLDLTPSISKEMSATPEGSPPLPLDSPPPPPPLPSSPPPPPPPLSPSPPPPPPPPMLQPPPPPLPPSAPPVSLVPQSSVPARPLLSQPLMPSQSSHQSSPQLGYQQSVPHDFSGTNNGNQIVPMAGNSFPGSHNNAAAKNEIFPQPPSYAPTAGCSSQEPSGFNPSRQLEYGQNDMYLNAQVPQPNHQFQQGNPPYAQRHTHPGPPQNPPNPYPYSNPTVQQHLPHSFHPPFPLPSLPDGRRQFVTDEQWRMPSSEYKTNNQHSVWRGRNPSCPGPPYGQEGHFQPPFERTPVTTVGFQRPISSNLPVAPISGHVVPQMMPCRPDIPSVNCWRPT >Vigun11g193900.2.v1.2 pep primary_assembly:ASM411807v1:11:39289754:39301174:-1 gene:Vigun11g193900.v1.2 transcript:Vigun11g193900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGANKAKANGHLSLGDLVLAKVKGFPAWPAKISRPEDWEKIPDPKKYFVQFFGTKEIAFVAPADIQAFTSEAKNKLSARLQGKTKYFAQAVKEICAAFDEMQKQKASGLTDDTDDSRIGSEAPSNDGVVVNLKDAIDTVLSNAEQDNIDMENIDSNLEHCTPRVGENDSQDEKHSVSDHPNESSSVSSPVIKSKLSMGSEPKKNANKSSLKVASNVNDFGQDDNRHSGLANGTKPRKLVNGLRKRSEAASDRDRNGGSSTGIFKEENCTGRGDLSRSRETMKAGKKRKNAFDVKLDSPDTLKSDNNDNTGEKDSNMIKVKTSLEVKNELPEFSVDSKDGDGKSSSMRKKMQLHATYTLGANESLHATKKLKRTDTKDDSTLGYPSKVLKKTSPGSTVIEERPFKKSELKKSTPNLKTEKSLSSRGKISGAVSDDSVHELLSATRHHIQVQKVTPDSSVIASEEKKERNYLRLKGDTSNVMVKQVERKRRAVCLFDDDDDEPKTPVHGAAGKNVKSSSVSDAKRSNNAHSEKSDVPLAQGSSSEREDSHLKEPSSQLYNESLSIKQPLKEKDREKDDEVIPVHIPHSPENLDLKQLPSNAAKLSSISPLKSPQLVPANKSSAERNKASKLSLKVSGNATQKRVDHAPSKYSHNLSSSQNQVATHKKKPASLAEISKTTPETLPQAVEVPVSTIGFKDTDALHVDRLEVSTEEKSTMKHLIAAALAKRKQAHSQFLPSGFPNVQGETPSPSTVQPFLSVSSNFLHADMQGVYEHTSLASPPAKEHHSASHNQLDADEIEDRRVDSGQRGLGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRGYMDDIGVSNDDITVSFALRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLSSHAFEEDEDEYEDDIPIKLCKETFDASPADPTHTLGESETSTVTPNDKRHCILKDVDRELEMEDVSGHPKDERPIIFNSSDEIDLQLQVSDRNLDLTPSISKEMSATPEGSPPLPLDSPPPPPPLPSSPPPPPPPLSPSPPPPPPPPMLQPPPPPLPPSAPPVSLVPQSSVPARPLLSQPLMPSQSSHQSSPQLGYQQSVPHDFSGTNNGNQIVPMAGNSFPGSHNNAAAKNEIFPQPPSYAPTAGCSSQEPSGFNPSRQLEYGQNDMYLNAQVPQPNHQFQQGNPPYAQRHTHPGPPQNPPNPYPYSNPTVQQHLPHSFHPPFPLPSLPDGRRQFVTDEQWRMPSSEYKTNNQHSVWRGRNPSCPGPPYGQEGHFQPPFERTPVTTVGFQRPISSNLPVAPISGHVVPQMMPCRPDIPSVNCWRPT >Vigun03g023300.1.v1.2 pep primary_assembly:ASM411807v1:3:1727357:1728804:-1 gene:Vigun03g023300.v1.2 transcript:Vigun03g023300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKIQRTSKRGREEEFESMAKKKIQRTSIGGREEEKDGLSDLPDAVLLHIMNFMNTRDVVRTCVLSKRWKNLWKHVTTLSFSSSGKILFYNKFVPQFLSKRDASTSLIDLNIGAYGFNAPKLLTGIVKYAAQHHAQNLKITTEYNFRGTPNSFVPSIFSCRSLTSLVLATCSGDPPMELPKSLLLPTLKTLHLSNVKFAAIDDHCVEPFSACSVLNTLDMDGYSFCNYADTLCVTNSNLSILKISNSFVYNIYPRNFKHNIILSTPNLASITIGDNIIFSHDQLTSTCDLPFLEEVNIKILNFPMDSLVVAGWLQVLSHVKRLTLSFRVLKDVPTLLTMGIQSPCFVRLESLKMVIPNPHKVSDEEANEILRQLLQNSTITATVTVSHEIQEVRSLYHAALLR >Vigun02g041800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17071905:17072870:-1 gene:Vigun02g041800.v1.2 transcript:Vigun02g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPYGGGKALFIVLFLMMDFAASSQASNSMWGDLLHPKLEVNITSKIPGEAVNIKCISSENDIKRGLLDSSTRKPFTFDVQLKLLTTIRYNCLLTQGRTEIGQFLGFRSGTYCEKGCIWELHRNYALRMSSREGYVNQTYKPIGSPDDYDYDYSR >Vigun07g217900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33993109:33994160:-1 gene:Vigun07g217900.v1.2 transcript:Vigun07g217900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHSSLNQTKFALPNNDLEQQETMEVETKDFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKVMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRDNGRHRDEEEDEKEKESLPNPIHAAAASALAFSVGAMVPLLAASFIREYKVRLGVVLGAVSFALVVFGWLGAVLGKAPTLRSCVRVLVGGWLAMAITFGLTKLIGSSGL >Vigun01g133800.1.v1.2 pep primary_assembly:ASM411807v1:1:31190293:31195021:-1 gene:Vigun01g133800.v1.2 transcript:Vigun01g133800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLSGLWVPNSPSIPTNFLPNRGRFSVSALSSQSPTIQIIGVGGPSWRDNGYGNPSGNLFDGFQEESDHWSDLDTDLYHWTKTLRPVQWFPGHIAKAEKELKEQLKLMDVVIEVRDGRIPMSTSHPQMDVWLGNRKRILVLNREDMISTADRNAWADYFTRNGTKVVFSNGKLGMGTMKLGRLAKELAADVNVKRRAKGLLPRAIRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELRWVRFGNDLELLDSPGILPMRISDQSAAIKLAICDDIGERSYDVADVAAILVQMLTKLPTVGGDTLRKRYKIDVDSQSGKIFIEKLAVRIFNGDVHQAAFRVLADFRKGKFGWTALERPSR >Vigun01g133800.2.v1.2 pep primary_assembly:ASM411807v1:1:31191266:31196351:-1 gene:Vigun01g133800.v1.2 transcript:Vigun01g133800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVISSCEQLGSLTTELKFHSPKGLWVPNSPSIPTNFLPNRGRFSVSALSSQSPTIQIIGVGGPSWRDNGYGNPSGNLFDGFQEESDHWSDLDTDLYHWTKTLRPVQWFPGHIAKAEKELKEQLKLMDVVIEVRDGRIPMSTSHPQMDVWLGNRKRILVLNREDMISTADRNAWADYFTRNGTKVVFSNGKLGMGTMKLGRLAKELAADVNVKRRAKGLLPRAIRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELRWVRFGNDLELLDSPGILPMRISDQSAAIKLAICDDIGERSYDVADVAAILVQMLTKLPTVGGDTLRKRYKIDVDSQSGKIFIEKLAVRIFNGDVHQAAFRVLADFRKGKFGWTALERPSR >Vigun01g020100.2.v1.2 pep primary_assembly:ASM411807v1:1:2136204:2153286:-1 gene:Vigun01g020100.v1.2 transcript:Vigun01g020100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKKAILQPGPPENFALKTVQEVIKPQKQTKLAQDENQFLENILRMLLQEFVSAAASSEKIMQFGQSIDSSGTTQGYIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMKNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSALNIKGVFNTSNETKFEKEPLEGICIDFNFYQTFWGLQEFFSNPASVSHAPIKWQKFTSSLSVVLNTFEAQPLSDEEGDANNLEEEAVNFSIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKGDKDLPSENMKEEITSCEERVKKLLELTPPKGSEFLHKIEHILEREKNWVWWKRDGCLPYEKQPIEKKTVPEGSKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVQTPSIMEYWKPLAEDMDPSAGIEAEYHHKNNRVYCWKGLRLAARQDLEGFSKFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRSKKEETKGSAHQVEENQIATTATEMDGDGIRTDNTAAAMEFDGASVPGTQGGTPTPEELQKHSSDTDVGQEAGQLEADAEVEAGIIDGETDADVDLDTVG >Vigun01g020100.1.v1.2 pep primary_assembly:ASM411807v1:1:2136204:2153286:-1 gene:Vigun01g020100.v1.2 transcript:Vigun01g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKKAILQPGPPENFALKTVQEVIKPQKQTKLAQDENQFLENILRMLLQEFVSAAASSEKIMQFGQSIDSSGTTQGYIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMKNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSALNIKGVFNTSNETKFEKEPLEGICIDFNFYQTFWGLQEFFSNPASVSHAPIKWQKFTSSLSVVLNTFEAQPLSDEEGDANNLEEEAVNFSIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKGDKDLPSENMKEEITSCEERVKKLLELTPPKGSEFLHKIEHILEREKNWVWWKRDGCLPYEKQPIEKKTVPEGSKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVQTPSIMEYWKPLAEDMDPSAGIEAEYHHKNNRVYCWKGLRLAARQDLEGFSKFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRSKKEETKGSAHQVEENQIATTATEMDGDGIRTDNTAAAMEFDGASVPGTQGGTPTPEELQKHSSDTDVGQEAGQLEADAEVEAGIIDGETDADVDLDTVG >Vigun01g020100.3.v1.2 pep primary_assembly:ASM411807v1:1:2136211:2153276:-1 gene:Vigun01g020100.v1.2 transcript:Vigun01g020100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKKAILQPGPPENFALKTVQEVIKPQKQTKLAQDENQFLENILRMLLQEFVSAAASSEKIMQFGQSIDSSGTTQGYIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMKNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSALNIKGVFNTSNETKFEKEPLEGICIDFNFYQTFWGLQEFFSNPASVSHAPIKWQKFTSSLSVVLNTFEAQPLSDEEGDANNLEEEAVNFSIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKGDKDLPSENMKEEITSCEERVKKLLELTPPKGSEFLHKIEHILEREKNWVWWKRDGCLPYEKQPIEKKTVPEGSKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVQTPSIMEYWKPLAEDMDPSAGIEAEYHHKNNRVYCWKGLRLAARQDLEGFSKFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRSKKEETKGSAHQVEENQIATTATEMDGDGIRTDNTAAAMEFDGASVPGTQGGTPTPEELQKHSSDTDVGQEAGQLEADAEVEAGIIDGETDADVDLDTVG >Vigun03g114700.1.v1.2 pep primary_assembly:ASM411807v1:3:10484929:10487046:1 gene:Vigun03g114700.v1.2 transcript:Vigun03g114700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVQRKGTHLKKRALKNKALGITFNEKDLKDYVTGFHKRKKKRRKEAQKQQDEALRRKRSEERKKRKLERELIQYGGVLPDQNEERQEEVADQVEPIAETKTYENADLKVTVVTSEINPEEESYPGERKEETVTPHSVGADKGQRVPISNRKSFKKVAKQKSRPKPSNKRDKKKGKKRGKK >Vigun05g066200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5742258:5742680:-1 gene:Vigun05g066200.v1.2 transcript:Vigun05g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAKSVLLFCMVTLAWADPASVVITNSLEGNENLNLHCKSKDDDVGLHFLPVNQSYKWSFGTNFFRTTLFFCSCQWGNSPLLYFNAFDQSRDFDICLDCHWYIHKDGPCRYEKGIRKCYKWNP >Vigun03g134500.1.v1.2 pep primary_assembly:ASM411807v1:3:13181046:13182690:1 gene:Vigun03g134500.v1.2 transcript:Vigun03g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Vigun01g015401.1.v1.2 pep primary_assembly:ASM411807v1:1:1670390:1674433:1 gene:Vigun01g015401.v1.2 transcript:Vigun01g015401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNALHLKHCVQSYNVFDFLRDIVSRVPDYGHGHGHSEAGADDRALSKRRKAAGVEGNESDEEAKRSKMRELGHAGTPGRGRGRGRGRGRGRGARPVERDISDQQVESEPCSSIQQISNDIPNTSLPIDNGVQSKEDSKETSAVHEESAQSFRNIDLNANINENEDKNAGAAVEASLPEPSDMDIKHEVPGWSLSDVDKMAIDTMQLATLGSRLEEDDEDYDEEG >Vigun11g207800.1.v1.2 pep primary_assembly:ASM411807v1:11:40388770:40395182:1 gene:Vigun11g207800.v1.2 transcript:Vigun11g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGFEISGNSASASVLHFGANSLSSYKVPISLRPFFGNALNARFTGKVRVFQTRKPNFGAVSASLNGGSNVGLISDAVLPKDSEFKPSFDEYLKAMESARTVRDKKRAGTTRKQKTTEKGDNNTKKFSSARMKIERKDGHEGAEEGSSRSSGKVRRSGTKGFRSENDGRENDLDVKAKQKNGSLKRQSYSLEPKSKSIVRSSFNGSRGSVIKREVVHDLYSSKIMGEKGVGSKQRDYNTQGKIPYRDKIVVTQNVQDKGIVRRHTENGSFINRNGMSNVRINGGRKRFIDRGYDSDSSEVERAAFENLEDPNQVIRKLQFSHKEMEEKIQNLANSKVVPQGTIEAIYREGSVPKLALNGADINLPEWIFSKMIRSARLKFSDYSITRIITILGKLGNWQRVIQVIEWLQKRERFKSTKIRNIYTAALFALGKSRRPVEALNIFHAMQQQMSSYPDLVAYRSIAVTLGQAGHMKELFDVIDIMRSPPKKKFKTGVFKSWDPRLEPDIVVYHAVLNACVKQKQWEGAFWVLQQLKQQGQQPSATTYGLVMEVMLSCGKYNLVHEFFRKLQKSFVPNSLTYRVLVNTLWKEGKSDEAILAVQEMENRGIVGSASLYYDLARCLCAAGRSYEALKQIDKICKVANKPLVVTYTGLMQASLDSGNIQDGAYIFEKMKEVCAPNLVTHNILLKAYLEHGMFQEAKALFEQMSENANCLRGNTDYKMVVVPDTYTFNTMLDACVSEQRWDYFDHVYQRMLYQGYHFNPKRHLQMVLDASRAGKERPLEITWKHLTDMDRTPPTSLVKEMFCSKLEREDYVAALTCMTNNLPKDSQPFSKSSWLKLLKNNSHRFQKDTIVGLMNEANKMVSNSSLPNPTLEYLIQSCKKILFSTDMSEVDMDSMKNAVEVEVENKLVIANSR >Vigun11g207800.2.v1.2 pep primary_assembly:ASM411807v1:11:40388771:40395181:1 gene:Vigun11g207800.v1.2 transcript:Vigun11g207800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGFEISGNSASASVLHFGANSLSSYKVPISLRPFFGNALNARFTGKVRVFQTRKPNFGAVSASLNGGSNVGLISDAVLPKDSEFKPSFDEYLKAMESARTVRDKKRAGTTRKQKTTEKGDNNTKKFSSARMKIERKDGHEGAEEGSSRSSGKVRRSGTKGFRSENDGRENDLDVKAKQKNGSLKRQSYSLEPKSKSIVRSSFNGSRGSVIKREVVHDLYSSKIMGEKGVGSKQRDYNTQGKIPYRDKIVVTQNVQDKGIVRRHTENGSFINRNGMSNVRINGGRKRFIDRGYDSDSSEVERAAFENLEDPNQVIRKLQFSHKEMEEKIQNLANSLNGADINLPEWIFSKMIRSARLKFSDYSITRIITILGKLGNWQRVIQVIEWLQKRERFKSTKIRNIYTAALFALGKSRRPVEALNIFHAMQQQMSSYPDLVAYRSIAVTLGQAGHMKELFDVIDIMRSPPKKKFKTGVFKSWDPRLEPDIVVYHAVLNACVKQKQWEGAFWVLQQLKQQGQQPSATTYGLVMEVMLSCGKYNLVHEFFRKLQKSFVPNSLTYRVLVNTLWKEGKSDEAILAVQEMENRGIVGSASLYYDLARCLCAAGRSYEALKQIDKICKVANKPLVVTYTGLMQASLDSGNIQDGAYIFEKMKEVCAPNLVTHNILLKAYLEHGMFQEAKALFEQMSENANCLRGNTDYKMVVVPDTYTFNTMLDACVSEQRWDYFDHVYQRMLYQGYHFNPKRHLQMVLDASRAGKERPLEITWKHLTDMDRTPPTSLVKEMFCSKLEREDYVAALTCMTNNLPKDSQPFSKSSWLKLLKNNSHRFQKDTIVGLMNEANKMVSNSSLPNPTLEYLIQSCKKILFSTDMSEVDMDSMKNAVEVEVENKLVIANSR >Vigun01g061400.1.v1.2 pep primary_assembly:ASM411807v1:1:12907699:12917070:-1 gene:Vigun01g061400.v1.2 transcript:Vigun01g061400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDGVSSANPNLSLPKPPSSPPTPAASSAGASSPAFPANGDVRRRHKSSADSREVVGSVLQGSSVPSCRPWERGDLLRRLSTFKLAGKLPKVAGSLACAKRGWVNVGVTKIECEICRAQLDFAVSSASSFEADGSSEEFSEQLDKGHKVTCPWRGNSCPESLVQFPPTSPSALIGGFKDRCDGLLQFYSLPIVSSSAIELMRITHSPQIDRLLAQLQIQTAGELGCRAENASGTGITGEQACHPYSYAQKLISLCGWEPRWLPNVLDCEEQSAESAKNGYSSGPAKGSAADPAPSKREFSTSSRKDTGDNDVLGSEFNCESRSPLLDCSLCGATVRVWDFLTAPRPVHLTPCGIDTPQTSKKIASTRGISAASGINEWAAADGVEKERIGDRDEATTSDKRQLVSNKSLDLSLRMASGPSSSPINLTSTSGHVQDAGEGKHLMIGRPSGSEVGDQTASYESQGPTASKRKMDDGGITADRPHLNVQQADSVEKTVADRDNNEVTGSQLYSAGPSKRARHTNLLETFQFPLRNSSDVAPSHSLGIQIELDANIHNQSNPERDHAIGVMSTRDSAQASSIIAMNTVYHSSDDESMESVENFPVDANDVNFPSVDLNEASELNSSYQAQQSACFQPLLERAGGEAGVSSSNACGEVLNTEILTAHARDGPSFGISGGSVGMGASHEAEIHGTDVSVHRGDSLGDVEPIAEVIENQGPPGEFEPYHGHTGDFVPEEMSREDPQGDSQAVVSQSIARADSGSKIIASTKVESVESGEKTSCSMQMIDPDNGAHPSLSCNAVVCSAYEVSKEEVTQTGKASHIDDGAYHESGHLNTDVMGIPYRDISNGGVEFDPIKLHNDHCPWVNGDVAAAGCDNPCSSSGVGSVALCGWQLTLDALDSFQSLGHLPLQTFESESAASMCKGDRFTSSQKLLARNSYVRNHGRN >Vigun09g186600.4.v1.2 pep primary_assembly:ASM411807v1:9:35970687:35978128:-1 gene:Vigun09g186600.v1.2 transcript:Vigun09g186600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSNPILSNSSFVPGSISKRRCASQPSSPFLCFSYPSDMDTAAGQILYPLHRCKTLHLVRHAQGFHNVEGEKNFEAYKSYDLFDASLTPLGWKQVENLRGHVKASGLSKTIELVIVSPLLRTMQTAVGVFGGEACTDETSVPPLMNAGVGDSDRPAISSLNAPPFLAVELCREHLGVHPCDKRRSITDYRNMFPAIDFSLVGNLL >Vigun09g186600.3.v1.2 pep primary_assembly:ASM411807v1:9:35969039:35978100:-1 gene:Vigun09g186600.v1.2 transcript:Vigun09g186600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAGQILYPLHRCKTLHLVRHAQGFHNVEGEKNFEAYKSYDLFDASLTPLGWKQVENLRGHVKASGLSKTIELVIVSPLLRTMQTAVGVFGGEACTDETSVPPLMNAGVGDSDRPAISSLNAPPFLAVELCREHLGVHPCDKRRSITDYRNMFPAIDFSLIENDEDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVTHSGFLFHSLSAFGNDCHPTVKSEICTHFANCELRSMVVVDKGMIGSDESSTNYSGKIPYGLDLPSDVADENHTGNGN >Vigun09g186600.1.v1.2 pep primary_assembly:ASM411807v1:9:35969039:35978100:-1 gene:Vigun09g186600.v1.2 transcript:Vigun09g186600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSNPILSNSSFVPGSISKRRCASQPSSPFLCFSYPSDMDTAAGQILYPLHRCKTLHLVRHAQGFHNVEGEKNFEAYKSYDLFDASLTPLGWKQVENLRGHVKASGLSKTIELVIVSPLLRTMQTAVGVFGGEACTDETSVPPLMNAGVGDSDRPAISSLNAPPFLAVELCREHLGVHPCDKRRSITDYRNMFPAIDFSLIENDEDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVTHSGFLFHSLSAFGNDCHPTVKSEICTHFANCELRSMVVVDKGMIGSDESSTNYSGKIPYGLDLPSDVADENHTGNGN >Vigun09g186600.2.v1.2 pep primary_assembly:ASM411807v1:9:35969039:35978128:-1 gene:Vigun09g186600.v1.2 transcript:Vigun09g186600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAGQILYPLHRCKTLHLVRHAQGFHNVEGEKNFEAYKSYDLFDASLTPLGWKQVENLRGHVKASGLSKTIELVIVSPLLRTMQTAVGVFGGEACTDETSVPPLMNAGVGDSDRPAISSLNAPPFLAVELCREHLGVHPCDKRRSITDYRNMFPAIDFSLIENDEDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVTHSGFLFHSLSAFGNDCHPTVKSEICTHFANCELRSMVVVDKGMIGSDESSTNYSGKIPYGLDLPSDVADENHTGNGN >Vigun03g203700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:32756441:32756815:-1 gene:Vigun03g203700.v1.2 transcript:Vigun03g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQPNREEFGTDQRDYDVRHNNPDICKNNDELDRWRDEFGSRTAVDPVANYAYGNIGNAGYGAPPTGGPYSGPNYGASGFEYSTVYSTTGSAPARQGNADGGGDSGRNMTNINTNRDMDQKK >Vigun04g000500.1.v1.2 pep primary_assembly:ASM411807v1:4:67620:74389:1 gene:Vigun04g000500.v1.2 transcript:Vigun04g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSALSYIALRILGEGVEDEAMYRGRKWILEHGGLVAIPSWGKFWVTVLGVYEWCGCNPLPPEFWLLPKAVPIHPGKMLCYCRLVYMPMSYLYGKRFVGPITALIRSLRQEMYTEPYEAINWNKARNTVAKEDLYYPHPVIQDMLWGFLHHVGERFLNCWPFSMLRQKALEIAINHVRYEDENSRYLCIGSVEKVLCLLARWVEDPNSEAYKLHLARIPDYFWLAEDGLKIQSFGSQMWDAAFAIQAILSCDVSEEYGPTLRKAHDFVKASQVSENPSGDFKAMYRHISKGSWTFSMHDQGWQVSDCTAEGLKAALLLSEMPTDLVGDQMETQRFCDAVNVILSLQSSNGGFPAWEPQRAYRWLEKFNPTEFFEDTLIETEYVECTGSAVQALCVFRKLYPKHRRREIDSSIWKAIDFIERKQNGDGSWYGCWGICYTYGTWFAVEGLRAYGKKYHNSPSLRKACQFLLSKQLPNGGWGESYLSSQNKVYTNLEGNRANLVQTSWALLSLMHAGQAEIDPTPIHRGIKLLINSQMEDGDFPQQEITGVFMRNCTLNYSSYRNIFPIWALGEYRRQLLHA >Vigun02g033300.1.v1.2 pep primary_assembly:ASM411807v1:2:14027308:14028230:-1 gene:Vigun02g033300.v1.2 transcript:Vigun02g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSTFQFSVLISLVLVSFFLSVEGKLPQSCEQNECPTYTVIEAGNGYEIRKYDSPVWISTSPIQNQSLVEATRDGFIRLFNYAHGKNNDNKQIKLTAPVTSEVSFNGGKPSIVVSFFVPIDVQYNPPLADGLSVKRWKSKFVAVKQFGGFVTDSNVAKAVASLNASLGGTKRSFTSTKSFIIAQYDPPFKLSDRVNEVWFLSQ >Vigun03g277100.3.v1.2 pep primary_assembly:ASM411807v1:3:45406602:45415899:1 gene:Vigun03g277100.v1.2 transcript:Vigun03g277100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGTKYVSVNLNKSYGQHSTALGSVRSQRPGAAVVPSRPRSSHKAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGSAGPGGSGSGSRPSSAGLGWTKPVTEDVSRPVIQGKPTVTAAAPVSSAVLRGEDFPSLRATLAPVPSPNQKIQENLNSIPNPKQKHSLGDENAFVDEKKEGPLVTDQSSVSRSVNVVGGGDDARGSRVVNTKYGLGRKQEEYFPGPLPLVRLNPRSDWADDERDTGHGLSREGRDHGFPKGEAYWDFDIPRVGVLPHKHDKRGPLRGSEVGKVLNSEVEAFDRMGPEGNSWRSSNLSIPKDAGNERNGVSVGPRPSSGSRDGAKDGNKYVPSPFRDDDVGKRDFGRRDGQGGKQKPWNNVMEPYGDRNREQLNRSRADSVQSSVSRSAFSSGGKGLPVNDPLLNFGREKRALPKSEKNLLEDPFMKDFGGSGFDGRDLFSGGLVGVVKKKKDVLKQTDFHDPVRESFEAELERVQRMQEQERQRIIEEQERAMELARREEEERLRQAREQEERQRRLEEEAREAAWRAEQERIEAVRKAEEQRLAREEEKQRILLEEERRKQAAKQKLIELEQKIARRQAEAAKSGSNAPVVVEEKMPSIVNEKETSRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRSHFSRDLSSTFVDRGKPVNSWRRDTYENWNSSAFYSQDQENSHNSPRRDLSIGGKAFMRKEYNGGSGLVSSRTYYKGAISEPHLDEYAHVKPQRWNQSADGDHLSRNTEIDSDFHENYFEKFGDGWTQGRSRGNPFPSFPERTYPNSESDGPYGLGRSRYSVRQPRVLPPPSLGSVHRTYKNENEHPGPSAFLENEMHYNQATRSDSTLATGYDNGNRGQPEVVDTRPETAENEDHKVETTPRCDSQSSLSVSSPPSSPTHLSHDDLDDSGDSHAILTSEDIKNDPLTAPDNESITTAAGAGNENVVAPCAVSSGEDDEWTTENNEQFQEQEEYEDEDYQEEDEVHEGDDHAQLNQDFDDMHLQEKGLPHLMDNLVLGFDEGVQVGMPNEEFERTSKDEETTFMAQASGLTLEERLSYDEDDTNLQPVNDTSQVNLNSTPSVFQESEKPAQDLVIQPSDSLSPVVSDSLGNVEASNGLLTHHSTPGSVTIAPYYSSSGQAVTSNVAAAPSQAEVPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGTPLSHMHPSQPPLFQFGQLRYTSPISQGIMPLGPQSMSFVQPNIPSSFSYNQQPGSQMTVQTGPETSDSFIKNEMRHHSVDSQPGNSRNLPQGSPPSEDAGNITGIKQGRIEAAQDPNNSTRTSTSFQLDKQENQNVVGKNTNIPSNSKGSEVHAVIRDSPHHSVSKENFTESRTQFPGSGSRGKRYIFTVKNSNSRPSGPSARVNRPEPGGFMRRPRRNMQRTEFRVRESGDKRQSTSSVFTDQFGLENKSNTNGRGAGMPGRPGPRKAMNNKFGKQIVESATENSQVMDSGSRVEKVDGKESIKTQNFSHPGNLKRNLCPEDDVDAPLQSGVIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVAKVQRRPRSSSQSVVAVTNSTKGSISPVEVVNSIHAAFVAAEVRGMTKMDASSGFNSSMLSQALPPIGTPPLKIDSQTELRSQISRSLQTSVPAVSGSSEKDPGSGVIFESKNKVLDNVQTSLGSWNNAQISQQVMALTQTQLDEAMKPQKFDSQASVANITGAVNEASLPSSSILTKEKTFSSAASPINSLLAGEKIQFGAVTSPTILPSSSRVVSHGIGPPRSSRSDMQMTHNLAGSDNDCSLFFDKEKHSNKSHGHLEDCDAEAEAEAAASAVAVAAISSDEIVGSGLGNCSVPAPDGKSFVAADIDRVVAGVGVEKQSSSQSRSEEPLSVSLPADLSVETPPISLWPPLPTTRNSSGQMISHFPSVPPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQNSTTSASRPIGSWQQCHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHVPTSSATGAGEGDMNSMNMASSQRNPANMPSPIQHLAPGSPLMPMASPVAMFDVSPFQQLEGVQTSQFSHGPSVDQPLNGKRFTGSRASTSSDGDRSFPRTADVNVNQLPDELGLVETSNSTANKTAQSVVNKTPSVIPITEAVKVDVQNGSGNNSNNQNASSSFKSQPSQQINISAQQSDHSSGHTNYQRGGVSQRNNSGGEWSHRRGYQGRNQSLGSDKNFSSTKVKQIYVAKQTISGASTVS >Vigun03g277100.2.v1.2 pep primary_assembly:ASM411807v1:3:45406602:45415899:1 gene:Vigun03g277100.v1.2 transcript:Vigun03g277100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGTKYVSVNLNKSYGQHSTALGSVRSQRPGAAVVPSRPRSSHKAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGSAGPGGSGSGSRPSSAGLGWTKPVTEDVSRPVIQGKPTVTAAAPVSSAVLRGEDFPSLRATLAPVPSPNQKIQENLNSIPNPKQKHSLGDENAFVDEKKEGPLVTDQSSVSRSVNVVGGGDDARGSRVVNTKYGLGRKQEEYFPGPLPLVRLNPRSDWADDERDTGHGLSREGRDHGFPKGEAYWDFDIPRVGVLPHKHDKRGPLRGSEVGKVLNSEVEAFDRMGPEGNSWRSSNLSIPKDAGNERNGVSVGPRPSSGSRDGAKDGNKYVPSPFRDDDVGKRDFGRRDGQGGKQKPWNNVMEPYGDRNREQLNRSRADSVQSSVSRSAFSSGGKGLPVNDPLLNFGREKRALPKSEKNLLEDPFMKDFGGSGFDGRDLFSGGLVGVVKKKKDVLKQTDFHDPVRESFEAELERVQRMQEQERQRIIEEQERAMELARREEEERLRQAREQEERQRRLEEEAREAAWRAEQERIEAVRKAEEQRLAREEEKQRILLEEERRKQAAKQKLIELEQKIARRQAEAAKSGSNAPVVVEEKMPSIVNEKETSRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRSHFSRDLSSTFVDRGKPVNSWRRDTYENWNSSAFYSQDQENSHNSPRRDLSIGGKAFMRKEYNGGSGLVSSRTYYKGAISEPHLDEYAHVKPQRWNQSADGDHLSRNTEIDSDFHENYFEKFGDGWTQGRSRGNPFPSFPERTYPNSESDGPYGLGRSRYSVRQPRVLPPPSLGSVHRTYKNENEHPGPSAFLENEMHYNQATRSDSTLATGYDNGNRGQPEVVDTRPETAENEDHKVETTPRCDSQSSLSVSSPPSSPTHLSHDDLDDSGDSHAILTSEDIKNDPLTAPDNESITTAAGAGNENVVAPCAVSSGEDDEWTTENNEQFQEQEEYEDEDYQEEDEVHEGDDHAQLNQDFDDMHLQEKGLPHLMDNLVLGFDEGVQVGMPNEEFERTSKDEETTFMAQASGLTLEERLSYDEDDTNLQPVNDTSQVNLNSTPSVFQESEKPAQDLVIQPSDSLSPVVSDSLGNVEASNGLLTHHSTPGSVTIAPYYSSSGQAVTSNVAAAPSQAEVPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGTPLSHMHPSQPPLFQFGQLRYTSPISQGIMPLGPQSMSFVQPNIPSSFSYNQQPGSQMTVQTGPETSDSFIKNEMRHHSVDSQPGNSRNLPQGSPPSEDAGNITGIKQGRIEAAQDPNNSTRTSTSFQLDKQENQNVVGKNTNIPSNSKGSEVHAVIRDSPHHSVSKENFTESRTQFPGSGSRGKRYIFTVKNSNSRPSGPSARVNRPEPGGFMRRPRRNMQRTEFRVRESGDKRQSTSSVFTDQFGLENKSNTNGRGAGMPGRPGPRKAMNNKFGKQIVESATENSQVMDSGSRVEKVDGKESIKTQNFSHPGNLKRNLCPEDDVDAPLQSGVIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVAKVQRRPRSSSQSVVAVTNSTKGSISPVEVVNSIHAAFVAAEVRGMTKMDASSGFNSSMLSQALPPIGTPPLKIDSQTELRSQISRSLQTSVPAVSGSSEKDPGSGVIFESKNKVLDNVQTSLGSWNNAQISQQVMALTQTQLDEAMKPQKFDSQASVANITGAVNEASLPSSSILTKEKTFSSAASPINSLLAGEKIQFGAVTSPTILPSSSRVVSHGIGPPRSSRSDMQMTHNLAGSDNDCSLFFDKEKHSNKSHGHLEDCDAEAEAEAAASAVAVAAISSDEIVGSGLGNCSVPAPDGKSFVAADIDRVVAGVEKQSSSQSRSEEPLSVSLPADLSVETPPISLWPPLPTTRNSSGQMISHFPSVPPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQNSTTSASRPIGSWQQCHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHVPTSSATGAGEGDMNSMNMASSQRNPANMPSPIQHLAPGSPLMPMASPVAMFDVSPFQPSNEMSVQARWPHVPNSQLPLSMPLQQLEGVQTSQFSHGPSVDQPLNGKRFTGSRASTSSDGDRSFPRTADVNVNQLPDELGLVETSNSTANKTAQSVVNKTPSVIPITEAVKVDVQNGSGNNSNNQNASSSFKSQPSQQINISAQQSDHSSGHTNYQRGGVSQRNNSGGEWSHRRGYQGRNQSLGSDKNFSSTKVKQIYVAKQTISGASTVS >Vigun03g277100.1.v1.2 pep primary_assembly:ASM411807v1:3:45406602:45415899:1 gene:Vigun03g277100.v1.2 transcript:Vigun03g277100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSGTKYVSVNLNKSYGQHSTALGSVRSQRPGAAVVPSRPRSSHKAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGSAGPGGSGSGSRPSSAGLGWTKPVTEDVSRPVIQGKPTVTAAAPVSSAVLRGEDFPSLRATLAPVPSPNQKIQENLNSIPNPKQKHSLGDENAFVDEKKEGPLVTDQSSVSRSVNVVGGGDDARGSRVVNTKYGLGRKQEEYFPGPLPLVRLNPRSDWADDERDTGHGLSREGRDHGFPKGEAYWDFDIPRVGVLPHKHDKRGPLRGSEVGKVLNSEVEAFDRMGPEGNSWRSSNLSIPKDAGNERNGVSVGPRPSSGSRDGAKDGNKYVPSPFRDDDVGKRDFGRRDGQGGKQKPWNNVMEPYGDRNREQLNRSRADSVQSSVSRSAFSSGGKGLPVNDPLLNFGREKRALPKSEKNLLEDPFMKDFGGSGFDGRDLFSGGLVGVVKKKKDVLKQTDFHDPVRESFEAELERVQRMQEQERQRIIEEQERAMELARREEEERLRQAREQEERQRRLEEEAREAAWRAEQERIEAVRKAEEQRLAREEEKQRILLEEERRKQAAKQKLIELEQKIARRQAEAAKSGSNAPVVVEEKMPSIVNEKETSRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRSHFSRDLSSTFVDRGKPVNSWRRDTYENWNSSAFYSQDQENSHNSPRRDLSIGGKAFMRKEYNGGSGLVSSRTYYKGAISEPHLDEYAHVKPQRWNQSADGDHLSRNTEIDSDFHENYFEKFGDGWTQGRSRGNPFPSFPERTYPNSESDGPYGLGRSRYSVRQPRVLPPPSLGSVHRTYKNENEHPGPSAFLENEMHYNQATRSDSTLATGYDNGNRGQPEVVDTRPETAENEDHKVETTPRCDSQSSLSVSSPPSSPTHLSHDDLDDSGDSHAILTSEDIKNDPLTAPDNESITTAAGAGNENVVAPCAVSSGEDDEWTTENNEQFQEQEEYEDEDYQEEDEVHEGDDHAQLNQDFDDMHLQEKGLPHLMDNLVLGFDEGVQVGMPNEEFERTSKDEETTFMAQASGLTLEERLSYDEDDTNLQPVNDTSQVNLNSTPSVFQESEKPAQDLVIQPSDSLSPVVSDSLGNVEASNGLLTHHSTPGSVTIAPYYSSSGQAVTSNVAAAPSQAEVPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGTPLSHMHPSQPPLFQFGQLRYTSPISQGIMPLGPQSMSFVQPNIPSSFSYNQQPGSQMTVQTGPETSDSFIKNEMRHHSVDSQPGNSRNLPQGSPPSEDAGNITGIKQGRIEAAQDPNNSTRTSTSFQLDKQENQNVVGKNTNIPSNSKGSEVHAVIRDSPHHSVSKENFTESRTQFPGSGSRGKRYIFTVKNSNSRPSGPSARVNRPEPGGFMRRPRRNMQRTEFRVRESGDKRQSTSSVFTDQFGLENKSNTNGRGAGMPGRPGPRKAMNNKFGKQIVESATENSQVMDSGSRVEKVDGKESIKTQNFSHPGNLKRNLCPEDDVDAPLQSGVIRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVAKVQRRPRSSSQSVVAVTNSTKGSISPVEVVNSIHAAFVAAEVRGMTKMDASSGFNSSMLSQALPPIGTPPLKIDSQTELRSQISRSLQTSVPAVSGSSEKDPGSGVIFESKNKVLDNVQTSLGSWNNAQISQQVMALTQTQLDEAMKPQKFDSQASVANITGAVNEASLPSSSILTKEKTFSSAASPINSLLAGEKIQFGAVTSPTILPSSSRVVSHGIGPPRSSRSDMQMTHNLAGSDNDCSLFFDKEKHSNKSHGHLEDCDAEAEAEAAASAVAVAAISSDEIVGSGLGNCSVPAPDGKSFVAADIDRVVAGVGVEKQSSSQSRSEEPLSVSLPADLSVETPPISLWPPLPTTRNSSGQMISHFPSVPPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQNSTTSASRPIGSWQQCHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHVPTSSATGAGEGDMNSMNMASSQRNPANMPSPIQHLAPGSPLMPMASPVAMFDVSPFQPSNEMSVQARWPHVPNSQLPLSMPLQQLEGVQTSQFSHGPSVDQPLNGKRFTGSRASTSSDGDRSFPRTADVNVNQLPDELGLVETSNSTANKTAQSVVNKTPSVIPITEAVKVDVQNGSGNNSNNQNASSSFKSQPSQQINISAQQSDHSSGHTNYQRGGVSQRNNSGGEWSHRRGYQGRNQSLGSDKNFSSTKVKQIYVAKQTISGASTVS >Vigun02g164900.1.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKAG >Vigun02g164900.5.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKG >Vigun02g164900.4.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKG >Vigun02g164900.3.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKAG >Vigun02g164900.6.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKG >Vigun02g164900.2.v1.2 pep primary_assembly:ASM411807v1:2:30955712:30967476:1 gene:Vigun02g164900.v1.2 transcript:Vigun02g164900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQNLHLAGEGSAKFPPVLRPYALPKFDFDESLQANLRFDSLVETEVFLGIESNEDNQWIDAFSRGGSGIEFSSTAAESCTISRHGNVWSEATSSESVEMLLKSVGQEDYIPRQTVIQESDACDELACLAKQMDTNSKFEDINEFKDSISDVHPSGGTHASFSELKDVGMDKPEDGLSQGHEGELSFDGTASNPELSDIRRNYDLPMSEGSLSLYTNDKNKNSSQREVEIVDDDSFPIKTQGDSSAVHTNFAESSMNNMHDEKQGPIQEHTNNQDLESSVMDEAVLVDTQTQDGDAVGGDVHHLDKSLHSIPADVTLEGGDVVDGLQTGLGSLESSRGMDSVALSDLQKAAEKSSEGGDQSQNNASEDTMLLKDVVMDDQSVPNTHGLPEISIKDDSISEGQVVEVSNSNCENLSNMQQIMDVTKMTYGGSSVTEEVELLNTGGNVNTVILSSKVEASMVTAEENNISNTSEGNGDDSIGFTNSSVTDLSTKSSILGEPTELCANNDPDRQNEYGKSEQAVSVNDQDQLLNNANHVDTNILSSKLEASVFTAEENNISIISEGISDNRVGGFSSSGVLTVSTKSSILGDSTQMCVSNQSDRQNDSDKCNQLVSVNDESKRVPSDSSQMDCDVDQSHLVDKGVVSSCLSESTLETELMTSSISTYSTPVNKSVSQVVLQNSSLTLHEVDIPPFSEVVSSHEVTSHNDFQGITPVGYSSAKGNEESAGKEAGEAGPSTIIGSSERETAPCPVVTEAEKPQSSDISSQLLCGSDSQQILGTISAVKIGETQGTENDKDIREFAKEISIPQVMCASSDNKSDGVAVSSNKDDNETVQENPDKPSSEKLDDIAPGNQDSISSASVPDSCIDLRETGGGSFPENSSCDPSSTLGSPSQTEKDKNQIKASAKQNTQVSEMINGSSKDTLSTAQDLKENNSSKDERSSTPELKSVTDLSKKDVADVNTEDVDKMQSIPVTETVKKSSATEGFPTSGIGPSKTKAVRKSSHGNQQISDVGAVHSASKATPERKTRRVSNKSAGKESSKRGSRAKDTTLTRQSDRGDKPIKVSLSPSPGFQMMQSNEVQQYGHIESNSTKSFALVNTSTSSLPDLNTSASPVLFHQPFTDVQQVQLRAQIFVYGALIQGTIPDEAYMISAFGGSDGGRGLWENAWRACMERQHGQKSHPPNPETPLQPRSVARSSDLPPKQSAIQGKSISSPLGRTNSKATPPVVNPLIPLSSPLWSLSTLGLGGDSLQSSALARGSVVDYPPAITPLHPYQTTPVRNFLGPNTPWISQTPLRGTWIASPTPAPDNSTHISASPVSDTIKLGPIKVSQPPSSSIKNVTSGLPTSGAGLQSIFAGTASLIDANNMAVSPAQHSSDPKPKKRKKTVVSEDFGQRALQSLASAVGSHSSTSVAVVAPGGNLPITTVEKSVVSVSPLVDQSKNDQNVEKRIMSDESLMKVKEAKDHAEEAAALSAAAVNHTIELWNQLDKHKNSGLMPDIEAKLASAAVAAAAAASIAKAAAAAANVASNAALQAKLMADEALLSSGYDNSSLINQISHSDGTNNLGKATPASILNGANGTSSPGSIIVAAKEAVKRRVDAASAATKRAENMDAIVKAAELAAEAVSQAGKIVSMGDPFTLSQLVEAGPEGCLKSARDSSQQFGNFKDSTRDMANIDNVIDIPETSYAQNRDILSGGGISSSIKTNEKKSRGSKGRKVISDLIKPVDEVHVSTPETEAPFTVSGGFEGLDRSSIKEGLLIEVFKDDEGFKAAWFPANILSLKDGKAYVCYSSLVAAEGAGPLKEWVSLECDGDNPPRIRTARPVTALQYEGTRKRRRAAMGDYAWSVGDRVDAWLQESWREGVVTEKNKKDETTFTVHFPAFGETLVVRAWHLRPSLVWKDGKWIQSSKLGANDSSTHEGDTPQEKRPKLGTHAVEVKGKDKMPKGVDAVESAKSDETTLLNLTENDKVFNIGKNSKNQNKQDAQRTMRNGLQKESKVIFGLPKPGKKRKFMEVSKHYVAHESSKANDTSDSVKLANFLMPPSSGSRGWKNGSKEKHGADSKGKTSTTERIKEYSSHLKNASQSESKVERAPQSTTTTDGTTQAPILFSSLVSSVDALPPKRASSSRASKGKLAPARDKMGKGDTDKALNDNSIKSASDVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHNRNTKAG >Vigun09g076725.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8776972:8777406:1 gene:Vigun09g076725.v1.2 transcript:Vigun09g076725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAYTILMALELTSIGIKYGGSNTNPFQHSTPILLLFLTAACSHLLASTAQTTCPTIFIFHVSGVVGCETLLWILIAPEFLWCYVINVPLLLLAFCFKYNQINQLITTLLLLAFCFDYNQIKQLICGITHDSNSVSRARLCV >Vigun08g047900.1.v1.2 pep primary_assembly:ASM411807v1:8:5196162:5196520:1 gene:Vigun08g047900.v1.2 transcript:Vigun08g047900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKRRYIAHKQRTKIRLFVSSFRGAHSRLTRTIIQQKLKALVSAHRDRDRKKEIFVQLLLNRKIVAQIAILKENCLFMIADNIIKT >Vigun04g098556.1.v1.2 pep primary_assembly:ASM411807v1:4:22540305:22541698:1 gene:Vigun04g098556.v1.2 transcript:Vigun04g098556.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEMLAVKKASKALNPSEATFEEELEVCNNGGDNVGAMCQGEVQRFPTIEEELLLPPGMELKQIFGIELPSKDVGDALQLLQF >Vigun09g097800.1.v1.2 pep primary_assembly:ASM411807v1:9:15215728:15228040:1 gene:Vigun09g097800.v1.2 transcript:Vigun09g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNHRAVRLLCRPKQLTTKGSDPGIHFWLVGSPFLPPLTVASFLRCIHSLPSSSSPDLPKESEDLSTLLPRGFELIGAVASGKESDARAAVDAARSLRKLLYGEGTDRPVIGAVCGSDSGDLRFFVSESGNATSLEAVPSVIEERDSEKCLWENGCLLRCELPIKLPLYYALKNPTDVEKAYVQTAEAVIAKLKDPQAVYMLETTKKTSQDIPLPVIIRGVQLDFYADLSKIKTLAEDDDGFDASSLSCSYFSIGSKAGSPVFSAKNADTIQVSVLFNSFGTSSASTVPVAEYSPVEDEARLLVVDIKLDVLCYSSRELPLKYAVSSLIVPGLVDQLNIVQKLMLPNLLARHPQLKSYHFSPPGILHPITVFYELSFGETELKQVEVRRSLHSRLGLPYDRPLLRISNALDFSKLKSNDMVSLQKGSTLLRDVHFGIPSSGVTGGTVSLVQGSYEYFHYLHEGYNDSGWGCAYRSLQTIISWFRLQNYTSIEVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVTCKVINVRSGSELPEKCRELAVHFENQNTPVMIGGGVLAYTLLGVDYNESSGDCAFLILDPHYTGSDDLKKIVNGGWCGWKKAVDNKGKNFFLHDKFYNLLLPQRPNMV >Vigun07g268600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:38401935:38403085:-1 gene:Vigun07g268600.v1.2 transcript:Vigun07g268600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASPFFHKLSLRLLRLSLLLHRLRKPIFPKLLKRFKKCQELKLLKHSDYGDYQFSASTTPLIPRYRRNQFKNSVQPGLCSFLYLFWCLGNFNVERSGERQLALEPFEIGDETSLAQDLFECGSGDDEGESVDQKAEKFIQNFYHQMRMQRQESL >Vigun05g175600.1.v1.2 pep primary_assembly:ASM411807v1:5:32342097:32344633:1 gene:Vigun05g175600.v1.2 transcript:Vigun05g175600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTTTLSTQHHCFHYHTQTTFLTTLIPIQSLSFRTHIHNFRLLCFSSDPSPSPPPLVVVGSANADIYLEIDRLPREGETLAARSGQTLAGGKGANQATCSAKLNYPTYFVGQVGDDAYGSLVTDALRRGGVCLDGLTVVPSASTGHAVVMLQSNGQNSIVYIGGANFSCWPRILPRQHLDLVAQAGIVLLQREIPDFVNAQVAQAARNAGVPVVLDAGGMDGPLPPELLNCVDILSPNETELGRLTGMPTESFEDIARAALKCHELGAKQVLAKLGHKGSALFIEGENPIQQPAILSKTVIDTTGAGDTFTSAFAVALVEGKSHKECLRFAAAVACLCVQVKGASPSMPDRISVMDLLSCQ >Vigun10g198900.1.v1.2 pep primary_assembly:ASM411807v1:10:41139822:41140837:1 gene:Vigun10g198900.v1.2 transcript:Vigun10g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDERRRSGEGTGKAMIALVIGSLVYYHCAYRNSTLLSLLSDVFIVLLCSLAILGLLFRQMNIQVPVDPLEWQISEETANAIVAWLANTVGAAESVLRVAATGHDKRLFLKVILSLYLFSAIGRFALGITVAYAGLCFFCLYTFAESSQSIRSALAWFFGRTNDISEEQDTIM >Vigun04g072900.1.v1.2 pep primary_assembly:ASM411807v1:4:8618841:8632353:1 gene:Vigun04g072900.v1.2 transcript:Vigun04g072900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLIALATGTVTKLGESLVAPIGNQFGYLVHYKKNIKDLKRELKTLEGRKQGVQGVVDEDRRNGRQIVSIVQDWLYKVERIIDEIEKINDFQVENNKCLHIWSPNLVSRYSLSKKAKILIMSVTRLNEEKFDIISYSLPTPRLGSTFSNVIKSFPSRKSIIIEVLEKLKDEEFKIIGICGMGGVGKTTLVKEVIKTLDVCKLFDEVVMVVVSQNLDYVKIQGQIADALGLRFDKETIQGRACQLHERLKGVNNILIVLDDVWIDFDFESIGIPSNEHHKNCKILFTSRNEDVCYKMGSQKNFTISILSPEESWDLFHDMLGRNLSTKLDILDIAKEVSNECGGLPIAIVTMAKALANKEKYTWEDALHQLKRSSITSLLEMQACVYSSIKLSYDFLDSAEEKKVIFLCCLFPEDFDIPIEVLLRKGMGLRLFKGIDALWKVRNRVHTIVDKLKRCFMLLDGNVEECVKMHDVVRDVIISVASTKEFGFMVECDGYQMEQPKEETCCHSTAISLISKEAKEHPKVLNPKLKLLQIASKKKDLVPDNFFQCANKLMVLSLQNVHIHSMSSVFQALGNIHTLLLEDCHVRDVSIIGKQLKRLEILSFSNSNIKELPEEIGQLSSLRLLDLTECNDLIQISANVLASLSRLEELYLRVRSLSSKETNHILFELQSLSHHLKVIEIVIWTNEDLPKDLFFKNIERFWVYLGDSSSLFHGIVRKGYLQPNILKLNNAYYKYIKMSVTIQQLLEKVEILNLVDIKNMKDVISELNERGFPFLKHLSIEFCNNIEYVVNAFEGCIFPQLLSFSLSNLDNLKDIFNVSGSMSQTNDFAKVKPITSSQCFGILRQLKIEYCNKLKTVFTLFPRTINLATLQCLHVVESYGIECIISSNSKYDGKSIITFSNLVELKLQELPNLMGMFKTNVIHEHCSSTIQIHESNDQLIDQIKPIATLFKSSCMQLFPKLEKLFLQACSSLEMVFDLQKSQFHGESMVFLFPQLKEIEISWLSKLRHIWGNVPSYIQGFQNVKSIKVKKCDSLGFLLTPNIARALTQLQKMVIHSCHSMEKIVGKEENLNGDDEEKNVETLVFGQLESLTLIDLPNLTSICSDSYEVMMPSLRFLCIDACPQLVTSSMFTQTVSSQENFNASTTCDVANGTFEEDSPRFLQCCLKCTPHVFSNLKLKASSTKKDVSSVSNIYSKSETVSHIPILEQMQVKGWDSLEILFLLKQNQLSDDTDTNCVVKLILAQTLKNPVEITAFNNLTVLTIDSCHKLKHLFSYSIAKLLVKLQEIKMSNCKVIKQLVQREGEDNLTLFLPQSSCVKVHENSSSSDHVTSSQEACGLEWLSLKRISISHCGVLEVVIGEIREKVDTIIASFAQLQSLTLSHLPNAASFCLTHCASESPHFENIHGSGYQNHEVTSNEEIRNVDPFINGFIFPNLTYLAITSCNKVRSLFSPSTSTSFVRLVELDISGCREIEEIVSAEETQGNVIKIVFHSLQRLKLENLPKLKAFCQGSYGFDFPSLHQVFLKNCHMMETFSHDPSYTPKLETVIMEIGSITKNMWMGDLNATVPLSKGLLAFQTSETLGWIQQDKCMQRYFTHEKHLTVEGFERLLKLVPSNVIHIFQNLKELTIKNCGSLVEVFESHGVDAKQMHAMIHYKIEALNLYFLPKLINLWKNYGGVLGFQKLRILKVQHCGNLCNLFSPSIARSLVQLRHLRVHSCHMMEEITTKEDEESEGPNNAKIVFPLLNKLELRYIPNLKCFCSGTFNIDLPSCEEMIIEKCPMMTTFCYGSVTTAKLPHIYKGSYEYVDIMGDLNMTIYHANESLKVAQQTSETITCIEHGQKLLPYLRSDTELVVQGSEKLLHCIPSSMLHRFQHLKQLKVHDCGSLIEIFESEKVGENEDEGGTTTPYTFDLQELHLYDLPKLMHIWKYHGGILSFMNLKKLKIQHCNSLKNVLSPSMARSLSQLQELSVHECELIEEIITRDEKLSEEPNKVKIIFPALQWLTLYRLPSLRCFCSNTYHFELPSCIDITITECPKMEVCHGNRHLRNSFCLH >Vigun05g295600.1.v1.2 pep primary_assembly:ASM411807v1:5:48068667:48080632:-1 gene:Vigun05g295600.v1.2 transcript:Vigun05g295600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNGQIVPPGTSVPPIPPPPTAQPSYTVLPPPPPPPVPMETEADAEARLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHISGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCIYHTPMIMYIKAEDPDLPAFYYDPLIHPITSSNKDRREKRVYEEDDDDDWILPDGVEPLLKDTQLYTDTTAAGVSLLFAPRPFNMRSGRMRRSEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAHEANQVTAVTTKTTNVHGEELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYMYGISPPDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTQSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETIAEGDREDTFS >Vigun06g149700.6.v1.2 pep primary_assembly:ASM411807v1:6:27436210:27438959:-1 gene:Vigun06g149700.v1.2 transcript:Vigun06g149700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAQLGLLKVIVVQGKRLVIRDFKTSDPYVVLKLGNQTAKTQVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFLNLQPLVSAARLRDILRVSSGETTLRKVIPDTENCLVRESSINCVNGEVVQNVWLRLRGVESGELELIIKLATPITPKT >Vigun06g149700.7.v1.2 pep primary_assembly:ASM411807v1:6:27436210:27438959:-1 gene:Vigun06g149700.v1.2 transcript:Vigun06g149700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAQLGLLKVIVVQGKRLVIRDFKTSDPYVVLKLGNQTAKTQVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFLNLQPLVSAARLRDILRVSSGETTLRKVIPDTENCLVRESSINCVNGEVVQNVWLRLRGVESGELELIIKLATPITPKT >Vigun06g149700.2.v1.2 pep primary_assembly:ASM411807v1:6:27436168:27438959:-1 gene:Vigun06g149700.v1.2 transcript:Vigun06g149700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAQLGLLKVIVVQGKRLVIRDFKTSDPYVVLKLGNQTAKTQVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFLNLQPLVSAARLRDILRVSSGETTLRKVIPDTENCLVRESSINCVNGEVVQNVWLRLRGVESGELELIIKLATPITPKT >Vigun06g149700.5.v1.2 pep primary_assembly:ASM411807v1:6:27436168:27438959:-1 gene:Vigun06g149700.v1.2 transcript:Vigun06g149700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAQLGLLKVIVVQGKRLVIRDFKTSDPYVVLKLGNQTAKTQVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFLNLQPLVSAARLRDILRVSSGETTLRKVIPDTENCLVRESSINCVNGEVVQNVWLRLRGVESGELELIIKLATPITPKT >Vigun05g022000.1.v1.2 pep primary_assembly:ASM411807v1:5:1839112:1845875:1 gene:Vigun05g022000.v1.2 transcript:Vigun05g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRAPRAVIDPKVRRVGFFAPPDRSQSGPPHLISLDVSPAANSLSPVMIPPPRHSSENLSLHARPAPTSPSVEESVTAESYSSSSEFFPAPMSPAPSSYTSRMVVDDGDFFDGGKVASSSPRGGVDLTATKASSVLASELATVAVVNADSRAIGERERADKAGGSAMEMKDQALNTKPKKEKTSKAERRALQEAQRAAKAAAKAEGNKASGTLASVNVKPAKAAKPPQKFDNASVTASEKKGVDRSQEKDRKKDVPQPRMQYDDKSRVEKAKRRAVVKQTEVKNRVELFRHLPQYEHGSQLPDLEAKFFHLGPVHPAVYKVGLQYLSGDITGGNARCIAMLRAFQEAIKDYRVPSEKTLVRDLTARLSSYVSFLIECRPLSISMGNAIRFLKSRIAKLPLTLSESESKTSLQSDVERFINEKIILADKVIVKHAITKIRDGDVLLTYGSSSAVEMVLLHAHELGKQFRVVVVDSRPRLRGKLLLRRLVEKGLSCTYTHINAVSYIMNEVTRVFLGASSVLSNGTVYSAVGTACVAMVAHAFRVPVLVCCEAYKFHERVQHDSICSNELGDPDIISRVQSREDVNHLEGWAHTENLQLLNLIYDATPSDYVSMIITDYGMVPPTSVPVIVREYSREQVWI >Vigun04g017100.3.v1.2 pep primary_assembly:ASM411807v1:4:1232442:1241350:1 gene:Vigun04g017100.v1.2 transcript:Vigun04g017100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSGKYKSLFNEFYSVLLKGLSSQEYSGAKNSHIMHRYFKPIERFSTAPTVDKLNRHGTATTSQPPPTDDVRGTDTPPEKVPRRVLPANFAPKENNSGPSLFESIMHKFVKVDDNERISKRSCPLNDSLSKSSQSVGIRADTDREGVHKEEAVFQPLVKAKDNAVNFKKNANQENMALIVTDDDVAGPETPGMQPLASQGKRSRDVGSKFGSVLNSGKRVRFLDDSMALDTNKKEVEMASKFEWLDPCRIRDANGRRPNNPLYDRTTLYIPPEVLTKLSASQKQYWSVKCKYMDVVLFFKVGKFYELYEMDADIGHKELDWKITLSGVGKCRQVGISESGIDDAIQKLVARGYKVGRVEQLETSEAAKARGANSVVRRKLVQVVTPSTNVDGNIGPDAVHLLAIKEESNDLDNGSVVYGFAFVDCARLRFWVGSIEDDTSCSALGALLMQVSPKEVIYDSRGLSKEAQKALRKFSLIGSAALQFTPVQSITDLVNSEIRDLIHSKAYFKGSSHSLDHVLNNVIHREITLSALGGLIGHLDRLMLDDLLQSGDLFPYQVYRGCLKMDGPTMINLELFVNNEDGSKSGSLYNCLDKCVTSSGKRLLRNWICCPLVDAEIINNRLDVVDDLMANPEIISHIAQHLRKLPDLEHLLGRIKSSLQLSGPLLLPLLGKKILKQRVKVFGSLVNGLRTALSLLLLLVKEQPLISSLTKVFKLPILTGSEGLDQFLIQFEAAVHSDFPNYQNHDVTDSDAETLTILAELFLEKAAQWFEVVHAINCIDVLRSFAVTSSFSCGTMTRPVILASKGTSGDTGGTVLKMKGLWHPFALGDSGRLPVPNDIILGESEDGSHPRTLLLTGPNMGGKSTLLRSTCLAVIMAQAVMCHVKVVFSQLWISSSHD >Vigun04g017100.2.v1.2 pep primary_assembly:ASM411807v1:4:1232442:1241350:1 gene:Vigun04g017100.v1.2 transcript:Vigun04g017100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSGKYKSLFNEFYSVLLKGLSSQEYSGAKNSHIMHRYFKPIERFSTAPTVDKLNRHGTATTSQPPPTDDVRGTDTPPEKVPRRVLPANFAPKENNSGPSLFESIMHKFVKVDDNERISKRSCPLNDSLSKSSQSVGIRADTDREGVHKEEAVFQPLVKAKDNAVNFKKNANQENMALIVTDDDVAGPETPGMQPLASQGKRSRDVGSKFGSVLNSGKRVRFLDDSMALDTNKKEVEMASKFEWLDPCRIRDANGRRPNNPLYDRTTLYIPPEVLTKLSASQKQYWSVKCKYMDVVLFFKVGKFYELYEMDADIGHKELDWKITLSGVGKCRQVGISESGIDDAIQKLVARGYKVGRVEQLETSEAAKARGANSVVRRKLVQVVTPSTNVDGNIGPDAVHLLAIKEESNDLDNGSVVYGFAFVDCARLRFWVGSIEDDTSCSALGALLMQVSPKEVIYDSRGLSKEAQKALRKFSLIGSAALQFTPVQSITDLVNSEIRDLIHSKAYFKGSSHSLDHVLNNVIHREITLSALGGLIGHLDRLMLDDLLQSGDLFPYQVYRGCLKMDGPTMINLELFVNNEDGSKSGSLYNCLDKCVTSSGKRLLRNWICCPLVDAEIINNRLDVVDDLMANPEIISHIAQHLRKLPDLEHLLGRIKSSLQLSGPLLLPLLGKKILKQRVKVFGSLVNGLRTALSLLLLLVKEQPLISSLTKVFKLPILTGSEGLDQFLIQFEAAVHSDFPNYQNHDVTDSDAETLTILAELFLEKAAQWFEVVHAINCIDVLRSFAVTSSFSCGTMTRPVILASKGTSGDTGGTVLKMKGLWHPFALGDSGRLPVPNDIILGESEDGSHPRTLLLTGPNMGGKSTLLRSTCLAVIMAQLGCYVPCESCVLSVVDIIFTRLGAKDRIMTGESIPASD >Vigun04g017100.1.v1.2 pep primary_assembly:ASM411807v1:4:1232442:1241350:1 gene:Vigun04g017100.v1.2 transcript:Vigun04g017100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSGKYKSLFNEFYSVLLKGLSSQEYSGAKNSHIMHRYFKPIERFSTAPTVDKLNRHGTATTSQPPPTDDVRGTDTPPEKVPRRVLPANFAPKENNSGPSLFESIMHKFVKVDDNERISKRSCPLNDSLSKSSQSVGIRADTDREGVHKEEAVFQPLVKAKDNAVNFKKNANQENMALIVTDDDVAGPETPGMQPLASQGKRSRDVGSKFGSVLNSGKRVRFLDDSMALDTNKKEVEMASKFEWLDPCRIRDANGRRPNNPLYDRTTLYIPPEVLTKLSASQKQYWSVKCKYMDVVLFFKVGKFYELYEMDADIGHKELDWKITLSGVGKCRQVGISESGIDDAIQKLVARGYKVGRVEQLETSEAAKARGANSVVRRKLVQVVTPSTNVDGNIGPDAVHLLAIKEESNDLDNGSVVYGFAFVDCARLRFWVGSIEDDTSCSALGALLMQVSPKEVIYDSRGLSKEAQKALRKFSLIGSAALQFTPVQSITDLVNSEIRDLIHSKAYFKGSSHSLDHVLNNVIHREITLSALGGLIGHLDRLMLDDLLQSGDLFPYQVYRGCLKMDGPTMINLELFVNNEDGSKSGSLYNCLDKCVTSSGKRLLRNWICCPLVDAEIINNRLDVVDDLMANPEIISHIAQHLRKLPDLEHLLGRIKSSLQLSGPLLLPLLGKKILKQRVKVFGSLVNGLRTALSLLLLLVKEQPLISSLTKVFKLPILTGSEGLDQFLIQFEAAVHSDFPNYQNHDVTDSDAETLTILAELFLEKAAQWFEVVHAINCIDVLRSFAVTSSFSCGTMTRPVILASKGTSGDTGGTVLKMKGLWHPFALGDSGRLPVPNDIILGESEDGSHPRTLLLTGPNMGGKSTLLRSTCLAVIMAQLGCYVPCESCVLSVVDIIFTRLGAKDRIMTGESTFYIECTETASVLQNATQDSLVILDELGRGTSTFDGYAIAYAVFRHLIEKVNCRMLFATHYHPLTKEFASHPRVTMQHMACAFKSKSDTCSMRDQELVFLYRLASGACPESYGLQVALMAGIPEKTVNTASKASERMKKSIGQSFRSSEQRSEFSTLHEEWLKTLVSISRIEDCNSLDEDVLDTLICVWYELKTSFVSGKCR >Vigun03g262600.1.v1.2 pep primary_assembly:ASM411807v1:3:43166587:43171190:1 gene:Vigun03g262600.v1.2 transcript:Vigun03g262600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKSRARRSSSSTSYSSTLTTLIFTALCVLGIWMLTSNSVVTPKTHTAIDDNNSESTNTQDLAEYTPETTTTTSTTNIIQQDDPLETTTHIADESSREAITNVSDEPRETTTSISEELRETAINIFEEPRETTTNTSEESSESVTESQDQKEGNVYGDNPGNLPDDAIANEGGNTDKKEVSSGNEAIAGVVNDQKSDKSEKEAQNVQVSEGEQKVEEENQNMAQDEKENPNTKQNAEGENVNTRENQEEGTSGGQQSFDVQGGKNNDDEANVQQLREDKGEVVVMEKEAKEKEQEEVLKKETVATEVDESEGEKKKEKEELGEVQMQDLKWSLCNVSTGGDYIPCLDNDKYLKTSTRKHFVHRERHCPEDAPTCLVPLPKGYKVPIQWPSSRDKVWFHNVPHTLLADVKGHQNWMKLKGEFLTFPGGGTQFIHGALHYIDFLQQAEPGIGWGKHTRVILDVGCGVGSFGGFLFERDVISMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLQFPSHAFDLIHCARCRVPWHEDGGLLLLELNRLLRPGGYFVWCATPVYQTIEEDAQIWKQMTSLTKAICWELVTIKKDKLNQVGAAFYRKPLTNECYDQREQNQPPMCKVDDDPNSAWYVPLQACMHKLPVGNERGTKWPEAWPQRLQKVPEWLKDMQGGEQLSQDFAADNERWKNVIDELSNIGVSWSHVRNIMDMRASYGGFAAAMKDLPVWVFNVVNIDASDTLPVIYERGLIGIYHDWCESFSTYPRTYDLLHADHLFSNLMKRCNLVPVVAEIDRILRPGGNLIVRDDPSVIGEVENLLKSLHWEITSTNQEGLLNGKKGMWRPSS >Vigun08g064600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:9048185:9048732:-1 gene:Vigun08g064600.v1.2 transcript:Vigun08g064600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDKEEKVLGKQMAPGACPYCGGMVEAIDVESQWRFCFLPLCFKTKTKYYCTMCTRRLEVLSS >Vigun11g056250.1.v1.2 pep primary_assembly:ASM411807v1:11:10682432:10683406:-1 gene:Vigun11g056250.v1.2 transcript:Vigun11g056250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTSRLPTTEPSKGKRRRRASSSSPERPISNHFRDPEREERRNWMKLADPLPKFDPEIVREFYANAYSEDNLGEKRSKVRGRRRRSHEPYDENEVALLICTANLSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIDHMSDLNVPRCHLLYCIMREDLTVDVATIISEEIHKFVRYEVNTRNDKAKGALGFPALITALCQDQGVEVELTEKIRPSITKRFIEHFCTHPEDLEQLEEPQLDQQAEDQQAEDQPAEDN >Vigun03g147600.1.v1.2 pep primary_assembly:ASM411807v1:3:15144546:15153731:1 gene:Vigun03g147600.v1.2 transcript:Vigun03g147600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAKGSHSTSSASASSASGKLVLRIKSNEGIKRSVRQTNSRKKPKAKLKKNRRKFDAMKPKKPPTAFFYFLEDFRKEFQEKNPDVKSMRDIGKACGEKWKTMTYEEKVQYYDVATDKRAEFDRAMAEYNKKRESGEFDETDEESGSDE >Vigun10g172400.2.v1.2 pep primary_assembly:ASM411807v1:10:39101651:39105455:-1 gene:Vigun10g172400.v1.2 transcript:Vigun10g172400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFISTISLFTLFSAIYGTAATNLHTFSSDSYNQPYRTAYHFQPPKNWINDPNGPLRYGGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDPAIFPSQPSDINGCWSGSATVLPGNKPAILYTGINPLNQQVQNMAKPKNLSDPFLREWVKSTKNPLMAPTSANEINSSSFRDPSTAWLGQDGHWRVLVGSKRRSRGMALLYRSKDFSDWVQAKHPLHSTLGSGMWECPDFFPVLKNGQLGVDTSVNDDYVRHVLKVSLDDKKHDYYMIGSYNGARDRFIPDKGFEEFVLRYDYGKYYASKTFFDDGEKRRVLLGWVNESSSVADDIKKGWSGIHTIPRAIWLHKSGKQLVQWPVEEIKKLREKPVNLSPQTLKGGKFLQINGVTATQADVEISFEVSELGRAEKLKYWTDPQILCSRKGSSSLRSGLGPFGLLVFASEGLQEFTSVFFTIFRYQQKYLVLLCSDQSRLICLP >Vigun10g172400.1.v1.2 pep primary_assembly:ASM411807v1:10:39101651:39105455:-1 gene:Vigun10g172400.v1.2 transcript:Vigun10g172400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFISTISLFTLFSAIYGTAATNLHTFSSDSYNQPYRTAYHFQPPKNWINDPNGPLRYGGLYHLFYQYNPKGAVWGNIVWAHSVSKDLVNWTPLDPAIFPSQPSDINGCWSGSATVLPGNKPAILYTGINPLNQQVQNMAKPKNLSDPFLREWVKSTKNPLMAPTSANEINSSSFRDPSTAWLGQDGHWRVLVGSKRRSRGMALLYRSKDFSDWVQAKHPLHSTLGSGMWECPDFFPVLKNGQLGVDTSVNDDYVRHVLKVSLDDKKHDYYMIGSYNGARDRFIPDKGFEEFVLRYDYGKYYASKTFFDDGEKRRVLLGWVNESSSVADDIKKGWSGIHTIPRAIWLHKSGKQLVQWPVEEIKKLREKPVNLSPQTLKGGKFLQINGVTATQADVEISFEVSELGRAEKLKYWTDPQILCSRKGSSSLRSGLGPFGLLVFASEGLQEFTSVFFTIFRYQQKYLVLLCSDQSRSSLNKNNDLTTYGTFVDVNPLKEKLSLRTLVDHSVVESFGGEGRGCITARVYPTLAINDKAQIYAFNNGTADVKITRFSAWSMKKAHIK >Vigun03g235000.3.v1.2 pep primary_assembly:ASM411807v1:3:39099203:39112255:-1 gene:Vigun03g235000.v1.2 transcript:Vigun03g235000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNHLLDLPEQICYVECGFCTTILMVSVPCSSLSMVVTVRCGHCTSLLSVNMNKASFLPFHLLASLSHLEPNEVSSEDGADKTLKSYSASIVTSSDCEEENMTQISSVVNKPPEKRQRTPSAYNRFIKEEIKRLKAENPKMAHREAFSTAAKNWANFPPSHCKGEATSCKIVDLDCHVESPDASQVHKEGQGFRGRKVPRNSV >Vigun03g235000.1.v1.2 pep primary_assembly:ASM411807v1:3:39111092:39112255:-1 gene:Vigun03g235000.v1.2 transcript:Vigun03g235000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNHLLDLPEQICYVECGFCTTILMVSVPCSSLSMVVTVRCGHCTSLLSVNMNKASFLPFHLLASLSHLEPNEVSSEDGADKTLKSYSASIVTSSDCEEENMTQISSVVNKPPEKRQRTPSAYNRFIKEEIKRLKAENPKMAHREAFSTAAKNWANFPPSHCKGEATSCKIVDLDCHVESPDASQVIKTK >Vigun09g065900.1.v1.2 pep primary_assembly:ASM411807v1:9:6935630:6938518:-1 gene:Vigun09g065900.v1.2 transcript:Vigun09g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLWLASLTILLTIMGSQGQLKTGFYSSSCPNAEATVRSTVQSYFNKDPTIAPALLRLHFHDCFVQGCDGSVLIAGSSAERNALPNAGLRGFEVIEDAKSQLEAKCPGVVSCADILALAARDAVDLSDGPSWSVPTGRRDGRVSLSSQASNMPSPLDPVSVQRKKFSDKGLDDHDLVTLVGAHTIGQTECRFFSYRLYNFTTTGNSDPTINQDFIAQLQALCPNKGNGLKRVSLDIDSPTKFDVSFFKNVRDGNAILESDQRLWGDPATQSIVQDYAGNIRGLLGLKFDYEFRKAMVKLGSVEVKTGTQGEIRKVCSKVNFY >Vigun11g028200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:3683093:3683464:1 gene:Vigun11g028200.v1.2 transcript:Vigun11g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSMSLVVMFVLVVASIGMEKEGPLRIVEGRHLCTEILYRDPDCDNFKCHRQCDQKHPPATEGGGKCDQGGICICTYYCSSSP >VigunL035300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:287966:304397:1 gene:VigunL035300.v1.2 transcript:VigunL035300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTNLMFHNKVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVRDAEHQNLILEKQHHYGNVHAVEKLRQSIEIWYATSEYFRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVIRRTDCGTIRGISVNTQNETMPESSWTQTLIGRVLADDIYRGSRCIAIRNQDIGIGLFNRLKTFQTQPISIRTPFTCRNTSWICRLCYGQSPTQGHLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEQVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLYVSIENGDIIHNVTIPPKSFLLVQNNQYVKSEQVIAEILAGTYTFNLKEKVRKHVYSDLEGEMHWSTDVYHASEFKYSNVHILPKTSHLWILSGKSDRSASVSFSTRKDQDQLNIHYLSTGERDICNHLASNNKVRHNLFRFTPSEKKERRISDYSKNNQILCKDHCHFTHPAIFHDTTDLLAKRRRNRFIIPFQFQSIQERDKALMLASSISIEIPIHGIFRRNSIFAYFDDPQYRTQSSGITKYRTIDINYIFKKEDFLIEYPGIQEFKTKYQIKVDQFFFIPEEVYILPEFSSIMVRNNSIIEVDTPITVNIRSQVSGLVRLEKKKKKIQLKIFSGNIYFPGEMDKISRHSAMLIPPRTVKKNSKGSKKKMKNWIYAQWITIMKKKYFVLVRPVILYEIEDRINLIQFFSQDMLQERDNLELQIIHYILSGNGKSIRGISNSNTSIQLVRTCLVLNWDQDKKLSSIEKGHASFVELSIKGLVRYFLKMDLGKSHISYIRKRKDPLGSRFILDNESDWTNINPFFFIDPREKVQQSLSQNHGTIHMLLNRNEKCRSLIILSSSNCFQIRSFHDGKYYNGIKEEINPIQRDPLIPIQNSLGPLGIALQVAHFYFYLLITNNQISINKNGQLDKLKETFQVFKYYLIDENEIIYKSDLSSNILLNPFYLNWHFFHHNYCEKKTFPIISLGQFICENVCIVQTKNEPHLKSGQILTVQMDSVGIRSANPYLATPGTTVHGHYGEILSEGDILVTFIYQKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVDTWNGRITRILGIPWGFFISAELTIAQSRISLVNQIQKVYRSQGVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERAGRSLEESICYRVLLLGITKTSLNTQSFISEASFQETARVLSKAALRGRIDWLKGLKENVVLGGMMPVGTGFKRIIYRSKQRQYNKITPETKNNYINSCIDSYFHSQICVDSCIFGNIVEYNDSYFYSY >Vigun04g020400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1547486:1549792:1 gene:Vigun04g020400.v1.2 transcript:Vigun04g020400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPPPPPVPPPSQTVSPENDVAMSPQNSSEDPESSLPTQMTPEPAVPESPDSTTTRHDPVPPPRKRRRRKKFFTELNAPSSTRRSDVAKDCDVEALIAISVGFPVDSLTEEEIEANVVSTVGGSEQSNYIVVRNHILARWRSNVSVWLTHDRALRSIRSEHKGLVETAYRFLLEHGYINFGLAQEVKTLKLKPFDGSDRGTVIVIGAGFAGLVAARQLVFMGFKVVILEGRTRPGGRVKTKKMSGDGVEAAADFGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPDGRSVDPEVDSRVEVSFNKLLERVCKLRQAMIEEVKSVDVPLGTALEAFRRVYKVAEDKEERMLLNWHLANLEYANATLMSNLSMAYWDQDDPYEMGGDHCFIPGGNETFVRALAEDLPIFYGRTVECVKYGSDGVAVSAGGMEFRGDMVLCTVPLGVLKKGDIEFIPELPQRKKDAIRRLGFGLLNKVAMLFPYNFWGGDIDTFGHLTEDLSMRGEFFLFYSYSSVSGGPLLVALVAGEAAIRFEMMSPVESVKRVLDILKDIFNPKGIVVPEPVQAVCTRWGKDHFAYGSYSYVAVGSSGDDYDILAESVGNGRVFFAGEATSKQYPATMHGAFLSGMREAANILRMAKRRSSIPDTSRSNTQEDDDLHKLFLKPDMAFGSFSALYDPKLNDLDSSSLLRVKIGGAGNLYLYALISKKRVIELSQVEGDENRMRILNRNFGVSLVGRKGLSGAAESLIGSIKLSRHNFDAVDNS >Vigun03g124300.1.v1.2 pep primary_assembly:ASM411807v1:3:11702906:11705071:1 gene:Vigun03g124300.v1.2 transcript:Vigun03g124300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRFAVLMCAEDSEYVKQVYGGYSGVFVKMLAEEGETWDVYKVARGEFPEDDDLVLYDGFVITGSCSDAHGNDKWVRDLLDLLNKLHSMNKKLLGICFGHQILGRALGGKVTRSPTGWDIGVRTVTFSPSWAFSLSSLDLPPGLSIIKCHRDEVRELPEKAEVIAWSEKTGIEMFKCGDHVMGIQGHPEYSKDILLHLIDRLIRGNFITDGDGIQARERAALDPDKEAWKRMCVNFLKGRL >Vigun02g176800.2.v1.2 pep primary_assembly:ASM411807v1:2:31864195:31866827:-1 gene:Vigun02g176800.v1.2 transcript:Vigun02g176800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGHGGDARAVNNTLDTINAAATAIASVDNRLNQPLPHVQKTWGSWLSIYWCFGHRRNQKRFGHAVLVPVTTPSAADSTSAAVGSTQAPSIPFPFAAPPSSPASFLNSEPPSVAQSPGGILSFTSVSASMYSPGGPFSIFAIGPYAHEPQLVSPPVFSTFTTEPSTAPVTPPPESVHLTTPSSPEVPFAQLLDPNNKNSDTFQRFQISQYDFHSYQLHPGSPVGQLISPRSAFSASGTSSPFPDAEFSSPASLLLDFQTPDPTKLLNIDKHKSRQGSGSLTPDSIRSTTQAGFLPGHWVSEVIRSPHPRKNRPNEISVNHSVSIEVSSQEVSKCVENKAVAWSKSLPFKTDTPGADKEENSREVLVSETHSDVPQQIADDGDVESVDHKDESITFSSAKEFNFDNAEGGDSPGPNLVIEWWANEKVASKEGESSKNWSFFPMGLANRQ >Vigun02g176800.1.v1.2 pep primary_assembly:ASM411807v1:2:31864195:31866827:-1 gene:Vigun02g176800.v1.2 transcript:Vigun02g176800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGHGGDARAVNNTLDTINAAATAIASVDNRLNQPLPHVQKKTWGSWLSIYWCFGHRRNQKRFGHAVLVPVTTPSAADSTSAAVGSTQAPSIPFPFAAPPSSPASFLNSEPPSVAQSPGGILSFTSVSASMYSPGGPFSIFAIGPYAHEPQLVSPPVFSTFTTEPSTAPVTPPPESVHLTTPSSPEVPFAQLLDPNNKNSDTFQRFQISQYDFHSYQLHPGSPVGQLISPRSAFSASGTSSPFPDAEFSSPASLLLDFQTPDPTKLLNIDKHKSRQGSGSLTPDSIRSTTQAGFLPGHWVSEVIRSPHPRKNRPNEISVNHSVSIEVSSQEVSKCVENKAVAWSKSLPFKTDTPGADKEENSREVLVSETHSDVPQQIADDGDVESVDHKDESITFSSAKEFNFDNAEGGDSPGPNLVIEWWANEKVASKEGESSKNWSFFPMGLANRQ >Vigun06g001800.1.v1.2 pep primary_assembly:ASM411807v1:6:926400:935876:-1 gene:Vigun06g001800.v1.2 transcript:Vigun06g001800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSHEMTSPLLEDEGGSNRNIIRNRNKNRNGEGEKEEEEEEEDEEEENSPIKQVAMTVATTDDPSLPILTFRMWVLGSLSCVVLSFLNQFFWYRREPLAITAISAQIAVVPLGQLMAATITKRVFLSGTRWEFRLNPGPFNVKEHVLITIFANSGAGNVYAIHVVTAVKIFYRQHISFFVSLLVVITTQVLGFGWAGIFRRYLVEPAAMWWPTNLVQVSLFRALHEKDEREKGGLTRSQFFVVAFLCSFAYYIFPGYIFQMLVSLSWVCWLFPNNILAHQLGSGLYGLGIGAVGLDWSTISSYLGSPLASPWFATANVAVGFVFVMYVLTPLCYWLNVYHAKTFPIFSNKLFTEQGQVYNITAIIDSNFHLDLEAYERQGRLYLSTFFAMTYGVGFAALTATIMHVALFHGREIWEQSKWSFKEKSVDIHTKLMRRYKQVPEWWFVCILAATIAATVFTCEYYKAQLQLPWWGVLLACAVAIFFTLPIGIITAITNQSPGLNIITEYIIGYVYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQIVGTMIASLVYLLTAWWLMETIPDICKDSSSVWTCPSDTVFYDASVIWGLIGPRRIFGDLGSYEKVNWFFLGGAVAPVVVWMAARSFPQQEWIRLINMPVLIGATGMMPPATAVNYTSWIIVGFFSGFVVFRYKPEWWKRHNYVLSGALDAGLAFMAVLLYLCLGLEDISLSWWGNDLDACPLAHCPTAKGVHLQGCPLFTSSFF >Vigun11g064100.1.v1.2 pep primary_assembly:ASM411807v1:11:14629135:14630215:1 gene:Vigun11g064100.v1.2 transcript:Vigun11g064100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAICIRRFTFLAFFWFALLFSLPEVSIGAAICGRPSGTWRGPCIISSICNNQCKERENAQGGSCWVLACYCNYFCNN >Vigun07g097860.1.v1.2 pep primary_assembly:ASM411807v1:7:17088637:17089236:1 gene:Vigun07g097860.v1.2 transcript:Vigun07g097860.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDMIKDIDGKNETLKLVVRIGDVIPLMIKKEDISTWEDKLKEGDNYIMHNFKILNNRAQYRICDHPFKLLIIGATSVRRQPIASIPAKVWKFKSIKDIIDEHYFAYLLVGKFFCHSFIYVCYLICYVHFLLCFFRVRCHWCGG >Vigun02g160600.1.v1.2 pep primary_assembly:ASM411807v1:2:30646211:30650405:1 gene:Vigun02g160600.v1.2 transcript:Vigun02g160600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNNGLNSVLAHRLILLFVCLASGGLVYALLSAVLANARSRVSDFGRLVEEGMAAQNEGGCCRGIENLELWGAAVKWGSEFKFNNSEGCCNACKSMCSGKDGPCLCDTWVFCGDRKACGSKFGECWLKKQKDSLAPERQEGVPPGEVVGWTSGLIFGKGEGIIGLETEHGTLHIKLLPDCAPHSIAYILELLSLNHCAGCQFYRAESRGQSWDSEGNHIQNAGFGPPYALIQGTLEAQGTAFNKLPMEDCPILRRGSVAWIASGPEFFISLADHSEWKHEYTVFGSVLPEDMHIAEKISALPTIADVWNNVNVTVLEKPVPLLVRRIQKSHVDEI >Vigun05g285100.4.v1.2 pep primary_assembly:ASM411807v1:5:47279646:47284874:-1 gene:Vigun05g285100.v1.2 transcript:Vigun05g285100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGSKHGWDVRVVGVIFLLFVSPSLSVSVHENPNEKTMDPTMVETREGSGFLSKVANFLWSSSGSGYQHTWPDIEFGWRIVTGTIIGFLGSAFGTVGGVGGGGIFVTMLSLIIGFDPKSATAISKCMITGGAASTVFYNLKQKHPTLDMPVIDYDLALLFQPVLVLGISIGVVFNVIFADWMITILLIIIFAGIATKALLKGIETWKKETIIKKESARQSQLNGAERTEEVAYEPLPGGPTAPNGSNHAVPEKSNQKRSLVGNIRWKALGILFTVWVVILACEIGKSHTTTCSIEYWILNILQVPVALGVTTFQAVRLYKGKTVIASKGDQQTQWRPHQLIMYSACGICAGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSASMSVVEYYLLNRFPIPYTLYFVAVSTLAAFVGQVLVKKLVALLGRASLIIFILSGTIFVSALSLGSVGIANMIQKIEHQQYMGFENLCTYAA >Vigun05g285100.3.v1.2 pep primary_assembly:ASM411807v1:5:47279613:47284881:-1 gene:Vigun05g285100.v1.2 transcript:Vigun05g285100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGSKHGWDVRVVGVIFLLFVSPSLSVSVHENPNEKTMDPTMVETREGSGFLSKVANFLWSSSGSGYQHTWPDIEFGWRIVTGTIIGFLGSAFGTVGGVGGGGIFVTMLSLIIGFDPKSATAISKCMITGGAASTVFYNLKQKHPTLDMPVIDYDLALLFQPVLVLGISIGVVFNVIFADWMITILLIIIFAGIATKALLKGIETWKKETIIKKESARQSQLNGAERTEEVAYEPLPGGPTAPNGSNHAVPEKSNQKRSLVGNIRWKALGILFTVWVVILACEIGKSHTTTCSIEYWILNILQVPVALGVTTFQAVRLYKGKTVIASKGDQQTQWRPHQLIMYSACGICAGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSASMSVVEYYLLNRFPIPYTLYFVAVSTLAAFVGQVLVKKLVALLGRASLIIFILSGTIFVSALSLGSVGIANMIQKIEHQQYMGFENLCTYAA >Vigun05g285100.1.v1.2 pep primary_assembly:ASM411807v1:5:47279613:47284881:-1 gene:Vigun05g285100.v1.2 transcript:Vigun05g285100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGSKHGWDVRVVGVIFLLFVSPSLSVSVHENPNEKTMDPTMVETREGSGFLSKVANFLWSSSGSGYQHTWPDIEFGWRIVTGTIIGFLGSAFGTVGGVGGGGIFVTMLSLIIGFDPKSATAISKCMITGGAASTVFYNLKQKHPTLDMPVIDYDLALLFQPVLVLGISIGVVFNVIFADWMITILLIIIFAGIATKALLKGIETWKKETIIKKESARQSQLNGAERTEEVAYEPLPGGPTAPNGSNHAVPEKSNQKRSLVGNIRWKALGILFTVWVVILACEIGKSHTTTCSIEYWILNILQVPVALGVTTFQAVRLYKGKTVIASKGDQQTQWRPHQLIMYSACGICAGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSASMSVVEYYLLNRFPIPYTLYFVAVSTLAAFVGQVLVKKLVALLGRASLIIFILSGTIFVSALSLGSVGIANMIQKIEHQQYMGFENLCTYAA >Vigun05g285100.2.v1.2 pep primary_assembly:ASM411807v1:5:47279613:47284881:-1 gene:Vigun05g285100.v1.2 transcript:Vigun05g285100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGSKHGWDVRVVGVIFLLFVSPSLSVSVHENPNEKTMDPTMVETREGSGFLSKVANFLWSSSGSGYQHTWPDIEFGWRIVTGTIIGFLGSAFGTVGGVGGGGIFVTMLSLIIGFDPKSATAISKCMITGGAASTVFYNLKQKHPTLDMPVIDYDLALLFQPVLVLGISIGVVFNVIFADWMITILLIIIFAGIATKALLKGIETWKKETIIKKESARQSQLNGAERTEEVAYEPLPGGPTAPNGSNHAVPEKSNQKRSLVGNIRWKALGILFTVWVVILACEIGKSHTTTCSIEYWILNILQVPVALGVTTFQAVRLYKGKTVIASKGDQQTQWRPHQLIMYSACGICAGMVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSASMSVVEYYLLNRFPIPYTLYFVAVSTLAAFVGQVLVKKLVALLGRASLIIFILSGTIFVSALSLGSVGIANMIQKIEHQQYMGFENLCTYAA >Vigun03g287000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:46892402:46894581:1 gene:Vigun03g287000.v1.2 transcript:Vigun03g287000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSFQQQQQHHQPQPDPSLNSTTSRTSSSSRSSRQNYYHYPHQEDEECFNFYMDEEDLSSSSSRHYYPYHNVSTTTTAATTNTFSTTTNTDYSYSFSPTPPLPDFNFQFSPNWSHNLLLETARAFADNNSTRLHHLLWMLNELSSPYGDTDQKLAAYFLQAMFSRVTDAGDRTYRTLASASEKTCSFESTRKTVLKFQEVSPWTTFGHVASNGAILEALEGNPKLHILDISNTYCTQWPTLLEALATRTDETPHLRLTTVVTGRTGNSVQRVMKEIGTRMEKFARLMGVPFKFNVVHHAGDLSDLNFSQLNLKDDEALAINCVNALHSVSPAGNGRDAFISSLQGLQPRIVTVVEEEADLDVGIEGYDFVRGFEECLRWFRVYFEALDESFAKTCNERLMLERAAGRAVVDLVACSPADSLERRETAARWGTRLHGGGLSAVPFSEEVCDDVRALLRRYKEGWSMVPCSDTGIFLSWKETPVVWASAWRP >Vigun07g236700.6.v1.2 pep primary_assembly:ASM411807v1:7:35835748:35839491:1 gene:Vigun07g236700.v1.2 transcript:Vigun07g236700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGVSPKMQLESGVLSSKMSSENVSSNKNMIFRADKIDLKRLDAMLEKHLSKLFSKSVAAERPKEAWEIDTAKLDIHYSVANGSYGTVYRGTYDGQDVAVKVLDWGEDGITPATKIASLRTSFWQEVSVWQKLDHPNVTKFLGASLAIPNLMIPSSTICPNSVPSKTGCVIAEFLTGGTLKQYLFRNRLNKLPYQIVIKLALDLARGLSYLHSKKIVHRDIKPDNMLLDANRNLKIADFGVARIEANNQSEMTSETGTYGYMAPEVLNGKPYNRKCDVYSFGICLSEIYSCTTFSNLTLTTVSCSLINKRLRPKIPKNCPSDFANIIRKCWNANPERRPEMHEVVTMLEAIDTRKGGTIIRKEKNPLSLCFFPSGRS >Vigun07g236700.5.v1.2 pep primary_assembly:ASM411807v1:7:35835727:35839491:1 gene:Vigun07g236700.v1.2 transcript:Vigun07g236700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGVSPKMQLESGVLSSKMSSENVSSNKNMIFRADKIDLKRLDAMLEKHLSKLFSKSVAAERPKEAWEIDTAKLDIHYSVANGSYGTVYRGTYDGQDVAVKVLDWGEDGITPATKIASLRTSFWQEVSVWQKLDHPNVTKFLGASLAIPNLMIPSSTICPNSVPSKTGCVIAEFLTGGTLKQYLFRNRLNKLPYQIVIKLALDLARGLSYLHSKKIVHRDIKPDNMLLDANRNLKIADFGVARIEANNQSEMTSETGTYGYMAPEVLNGKPYNRKCDVYSFGICLSEIYSCTTFSNLTLTTVSCSLINKRLRPKIPKNCPSDFANIIRKCWNANPERRPEMHEVVTMLEAIDTRKGGTIIRKEKNPLSLCFFPSGRS >Vigun07g236700.8.v1.2 pep primary_assembly:ASM411807v1:7:35837292:35839491:1 gene:Vigun07g236700.v1.2 transcript:Vigun07g236700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGVSPKMQLESGVLSSKMSSENVSSNKNMIFRADKIDLKRLDAMLEKHLSKLFSKSVAAERPKEAWEIDTAKLDIHYSVANGSYGTVYRGTYDGQDVAVKVLDWGEDGITPATKIASLRTSFWQEVSVWQKLDHPNVTKFLGASLAIPNLMIPSSTICPNSVPSKTGCVIAEFLTGGTLKQYLFRNRLNKLPYQIVIKLALDLARGLSYLHSKKIVHRDIKPDNMLLDANRNLKIADFGVARIEANNQSEMTSETGTYGYMAPEVLNGKPYNRKCDVYSFGICLSEIYSCTTFSNLTLTTVSCSLINKRLRPKIPKNCPSDFANIIRKCWNANPERRPEMHEVVTMLEAIDTRKGGTIIRKEKNPLSLCFFPSGRS >Vigun07g236700.4.v1.2 pep primary_assembly:ASM411807v1:7:35835727:35839491:1 gene:Vigun07g236700.v1.2 transcript:Vigun07g236700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGVSPKMQLESGVLSSKMSSENVSSNKNMIFRADKIDLKRLDAMLEKHLSKLFSKSVAAERPKEAWEIDTAKLDIHYSVANGSYGTVYRGTYDGQDVAVKVLDWGEDGITPATKIASLRTSFWQEVSVWQKLDHPNVTKFLGASLAIPNLMIPSSTICPNSVPSKTGCVIAEFLTGGTLKQYLFRNRLNKLPYQIVIKLALDLARGLSYLHSKKIVHRDIKPDNMLLDANRNLKIADFGVARIEANNQSEMTSETGTYGYMAPEVLNGKPYNRKCDVYSFGICLSEIYSCTTFSNLTLTTVSCSLINKRLRPKIPKNCPSDFANIIRKCWNANPERRPEMHEVVTMLEAIDTRKGGTIIRKEKNPLSLCFFPSGRS >Vigun07g236700.7.v1.2 pep primary_assembly:ASM411807v1:7:35837292:35839491:1 gene:Vigun07g236700.v1.2 transcript:Vigun07g236700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGVSPKMQLESGVLSSKMSSENVSSNKNMIFRADKIDLKRLDAMLEKHLSKLFSKSVAAERPKEAWEIDTAKLDIHYSVANGSYGTVYRGTYDGQDVAVKVLDWGEDGITPATKIASLRTSFWQEVSVWQKLDHPNVTKFLGASLAIPNLMIPSSTICPNSVPSKTGCVIAEFLTGGTLKQYLFRNRLNKLPYQIVIKLALDLARGLSYLHSKKIVHRDIKPDNMLLDANRNLKIADFGVARIEANNQSEMTSETGTYGYMAPEVLNGKPYNRKCDVYSFGICLSEIYSCTTFSNLTLTTVSCSLINKRLRPKIPKNCPSDFANIIRKCWNANPERRPEMHEVVTMLEAIDTRKGGTIIRKEKNPLSLCFFPSGRS >Vigun01g254000.1.v1.2 pep primary_assembly:ASM411807v1:1:41937118:41940712:1 gene:Vigun01g254000.v1.2 transcript:Vigun01g254000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMGCWVRLCLSVLYFSLSSVIVDADNGDYEVPIPSTLDGPFKPVTVPLDQTFRGNAMDLPHTDPLLQTTAQGFQPQQISLSLSSSHHSVSISWITGEFQIGDNIEPLDPKSVASLVRYGRFRRSMSHRATGYSLVYSQLYPFEGLQNYTSGIIHHVRLTGLRPNTLYQYQCGDPSLSAMSDVHYFRTMPVSSPKSYPNRIAVVGDLGLTYNTTSTVDHIISNHPDLILLVGDVTYANLYLTNGTGADSYSSAFPDTPIHETYQPRWDYWGRYMQPLISSVPIMVIEGNHDIELQAENQTFVAYSSRFAFPSEESGSSSTLYYSFNAGGIHFIMLGSYALYDKSGDQYMWLERDLASVDREVTPWLVATWHAPWYSTYKAHYREAECMRVEMEDLLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIKHADEPGECPEPSTTPDPFMGGFCAFNFTSGPAAGNFCWDRQPDYSAFRESSFGHGILEVKNETHALWIWHRNQDFYGSAGDEIYIVRQPQNCPPFKPAT >Vigun02g052200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19428640:19428966:1 gene:Vigun02g052200.v1.2 transcript:Vigun02g052200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLFSTTWSKFITLLLLFFLFLFFSSATFKAPPSSTSSGNNTLHHLHHHLLRYCDSFTKRNPRSLCTELHKIHQRLIQTIPPSQDFDPTFGAEKRLVPSGPNPLHN >VigunL089200.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000091.1:26665:27898:1 gene:VigunL089200.v1.2 transcript:VigunL089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENADTNSEGENDAEMKLLRDKFRLSAIAITESQAKQNGMTVSKVVVTCIADLAFKYTERVAKDLHLFAQHANRKSVNMEDVILCAHRNEHLSGLLRTFSNDLKAKDPQSERKRKKEIKKNDK >Vigun06g002550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:1164832:1165146:1 gene:Vigun06g002550.v1.2 transcript:Vigun06g002550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVTTYHNGFHRYMHINQQAKEHNTTEHHTRLFNDAPPVTYISVHIYGIVTYLLLLLHSCCSFFFSLQELSFFFLLCCSSLCDLVRTSLQFPFFLLMCGVAL >Vigun01g147200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32875241:32877735:-1 gene:Vigun01g147200.v1.2 transcript:Vigun01g147200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSKIQLFFLTLFLFTLTIANAEALGTYIVQLHPHGTTSSAFTSKLKWHLSFLQQTLSSDEDPSSRLLYSYRSAMDGFAAQLTESELEYLKNLPDVISIRPDTMLQLQTTYSYKFLGLNPARENGWYQSGFGRGTIIGVLDTGVWPESPSFNDQGMPPVPKKWKGICQAGKAFNSSNCNRKLIGARYFTKGHSSVSPFRIPEYLSPRDSSGHGTHTSSTAGGVPVPLASVFGYASGVARGMAPGAHIAVYKVCWFNGCYNSDIMAAMDVAIRDGVDILSLSLGGFSTPLYDDNIAIGSFRAMEHGISVICAAGNNGPTAMSVANEAPWIATIGASTLDRKFPATVRMGNGQMLYGESMYPLNHPMSNGKELELVYLSEGDTESQFCLRGSLPRDKVKGKMVVCDRGVNGRAEKGQVVKEAGGVAMILANTEINLGEDSVDVHVLPATLIGFDEAVTLKDYINSTRRPLARIEFGGTVIGKGRAPAVARFSARGPSYTNPSILKPDVIAPGVNIIAAWPQNLGPTGLPEDSRRVNFSVMSGTSMACPHVSGIAALIRSAHPSWSPAAIKSAIMTTAEVTDHTGRPILDENQAAGVFDMGAGHVNPQRALNPGLVYDIRPDDYIIHLCSLGYTKSEIFSITHRNVSCNAVLKKNRGFSLNYPSFSVIFKDGERRKMFSRRLTNVGSANSIYSVEVMAPEGVKVIVKPKRLAFKQVNQSLTYRVWFISRKRVKRGDGLVTYAEGNLTWLHSKNGSNRVRSPVAVTWKSK >Vigun08g040400.12.v1.2 pep primary_assembly:ASM411807v1:8:3979019:4007599:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.16.v1.2 pep primary_assembly:ASM411807v1:8:3979032:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.8.v1.2 pep primary_assembly:ASM411807v1:8:3987677:4007739:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHWIKIV >Vigun08g040400.9.v1.2 pep primary_assembly:ASM411807v1:8:3979183:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.15.v1.2 pep primary_assembly:ASM411807v1:8:3979019:4007599:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.1.v1.2 pep primary_assembly:ASM411807v1:8:3978948:4007802:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.20.v1.2 pep primary_assembly:ASM411807v1:8:3979031:3995927:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.20.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPGTVYSIVWGKGCIHLPSYILRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.5.v1.2 pep primary_assembly:ASM411807v1:8:3983579:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHV >Vigun08g040400.14.v1.2 pep primary_assembly:ASM411807v1:8:3980197:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.6.v1.2 pep primary_assembly:ASM411807v1:8:3983579:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHV >Vigun08g040400.10.v1.2 pep primary_assembly:ASM411807v1:8:3979982:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSSVGCIFTSC >Vigun08g040400.19.v1.2 pep primary_assembly:ASM411807v1:8:3983579:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.19.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHV >Vigun08g040400.18.v1.2 pep primary_assembly:ASM411807v1:8:3983579:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.18.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHV >Vigun08g040400.7.v1.2 pep primary_assembly:ASM411807v1:8:3987677:4007739:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHWIKIV >Vigun08g040400.11.v1.2 pep primary_assembly:ASM411807v1:8:3979982:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.3.v1.2 pep primary_assembly:ASM411807v1:8:3980006:4007802:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.2.v1.2 pep primary_assembly:ASM411807v1:8:3978948:4007802:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.17.v1.2 pep primary_assembly:ASM411807v1:8:3980197:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLMHHTGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun08g040400.13.v1.2 pep primary_assembly:ASM411807v1:8:3979032:4007695:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSIPFSAEDIDMAIPAIDLDEIHLPEFVSEYSCAQFLISDQK >Vigun08g040400.4.v1.2 pep primary_assembly:ASM411807v1:8:3980008:4007802:-1 gene:Vigun08g040400.v1.2 transcript:Vigun08g040400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPGSKVWVEDRDAAWLPAEVLDSEGSNILLLTDSGKKVFASKEKLFPRDADEEEHGGFEDMTRLAYLNEPGVLFNLRRRYALNDIYTYTGSILIAVNPFTKLPHLYDSHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRAGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDSNGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCSFERDAEKYKLGHPSHFHYLNQSKIYELDGVSNSEEYLKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSAVKNDKSRFHMQMAADLFMCDVDLLLATLCTRSIQTREGSIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINMSVGQDINSKIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFRSHPRLGKEKFSQTDFTISHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCLFVSGLFPLLSEESSRSSYKFSSVAARFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQLFENASVIHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDKAATEKILQKLKLENFQLGRTKVFLRAGQIGILDQRRAEVLDNAAKCIQRRMRTFIAHQDFIFLRSAAISLQACCRGRIARKIYAAKRETAAAISIQKYIRMWFTRHAHLKLYFSAIIIQSHVRGFVTRQRFLHVKEHRAATLVQAYWRMSKVRSSFLRYQTSIVAIQCLWRCRQAKRELRRLKQEANEAGALRLAKNKLEKQMEDLTWRLHLEKKIRVSNEEAKKIEISKLQKMLEALNLELDAAKLANINECNKNAVLQNQLELSVKEKSALKRDLVAVDELRKENALLKVSLDAFERKYRTLELELMNAQKGRDETMEKLREFEHKCSQLEQNEKKLEEKLKSLENENHVLRQKALSTPLKSNRPGFAKSVSEKYSSAITSRTDRKTIFESPTPTKLIAPFTLGLSDSRRSKLTAEKHQDNYEFLSKCIKENLGFKNGKPIAARIIYKCLLHWHSFENERTTIFDSIIEGINEILKVREDDIILPYWLSNTSALLCLLQRNLRSNGFLTATAQRYPGSSGLISRTGHGSKSPLQFIGYDDGVSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLGSCIQSPKTGRGVQGAKSSRSPGGIQQSSGGQWDNIVKFLDSLMSKLCGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLIEIRQDLCPALTVRQIYRISTMYWDDKYRTQSVSNEVVGEMREIVSKDNQNLTSNSFLLDDDLSYSIVI >Vigun09g177300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34944217:34945416:-1 gene:Vigun09g177300.v1.2 transcript:Vigun09g177300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFSYFVSTVKSSKTLNPNSFSSTAPFSTSFLVTKTPKKFKKKRNPKPSPRTTPVQTQPNRIPSLERILHRDALLRFVTRSKHFLSAQPEHVLRLDDAGKLHRELGFPRGRKVSRFLQHHPLLFQTYRHTDGKSWLGFTDLMEDLLAEENSLMDAMELNRVEKVRKLLMMSARNRIPLSKIHHRRTLFGIPDDFRDRVAKYPDFFKIAVDSDGKRVLELVKWDPLLAVSALEREFVVDEDSAKRKFRFPVKYGKDLDLELDDNRKLNLLNSLPLVSPYSDGHRFDLWTLEAEKYRVGLIHEFLSLTLEKRASIHELVEFKEEFSLTKHTYQMLRKQPRAFYLAGTEMNWTVFLKDAYDENGVLIEKDPQVVFNEKLYKYAQIDQMEHDPGVEEQHLT >Vigun03g116400.6.v1.2 pep primary_assembly:ASM411807v1:3:10766177:10771572:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKVCITVKSTLLLA >Vigun03g116400.1.v1.2 pep primary_assembly:ASM411807v1:3:10765002:10771580:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKCCVHAVLEFVSPENVTEGIQLIDEVRLLPEEHKAKADMLEVKKMALHSMNTAIKEVRQLTSKT >Vigun03g116400.5.v1.2 pep primary_assembly:ASM411807v1:3:10765002:10771572:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKCCVHAVLEFVSPENVTEGIQLIDEVRLLPEEHKAKADMLEF >Vigun03g116400.3.v1.2 pep primary_assembly:ASM411807v1:3:10765002:10771572:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKCCVHAVLEFVSPENVTEGIQLIDEVRLLPEEHKAKADMLEVKKMALHSMNTAIKEVRQLTSKT >Vigun03g116400.4.v1.2 pep primary_assembly:ASM411807v1:3:10764916:10771572:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKCCVHAVLEFVSPENVTEGIQLIDEVRLLPEEHKAKADMLEF >Vigun03g116400.2.v1.2 pep primary_assembly:ASM411807v1:3:10764916:10771572:-1 gene:Vigun03g116400.v1.2 transcript:Vigun03g116400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKPKAEGAEEPLPDHLRCGRTDGRQWRCRRPVKDNLKLCEIHYLQGRHRQYKEKVPESLKLHRKRKTSDEEPSAVDNVESRARRTSRIVKKKRRLSEGSESLVAAAPSPSKKKALKQGDMQLELIRMVLKREAEKKNKNNKGKKKNKKKNKKKKKKEEEEELCYGAGELRRELPNGVMEISPASPTHDYDNVASHCDVKVGVDSKTVTPRYFRSKNVDRVPAGKLQIAPYGSNLKKRTKGKRKKCHWCQRSESCNLIQCSSCEREFFCMDCIKERYLDTQKEVKKACPVCRGACTCKDCSASQCKDSESKEYLTGKSRVDRILHFHYLICMLLPVLKHISEDQNIELETEAKVKGKNISDIQIKQVEFGCNEKNYCNHCKTPILDLHRSCPSCSYSLCSSCCQEFSQGKAFGEINLSTFDRHDKMKSSSASESQTLDEKAISSGNLTDTSILTEWTNCNGIDSLSCPPTELGGCGNSHLELRSVFPSNWIKEMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDHNTNRYKQLQEAALREDSNDNYLFCPTVLDISGDNFEHFQKHWGKGHPILVQDVLQSTSNLSWDPLIMFCTYLEQNITRYENNKNVLESCLDWWDVEINIRQYFTGSVKRRPQRNTWHEMLKLKGWLSSQIFKEQFPAHFAEVIDALPVQEYMHPLSGLLNLAANLPHGSAKHDIGPYLYISYASADKETDSVTTLCYDPYDVVNIMTHTTDAPLSTEQLTKIRKLLKKHKTLCQMKTIGTEEPQEQKVNGMKLLHVEELEQRGLQSMVEEGMNFFRRVNRTSCISSEAKRVSSQSMDSNVSQNGDCDFFSESDSGRTLLLLGTVQTNEISKQDIPRKPFESSKRHKNKFSEHLGAQWDVFRRQDVPKLIEYLKRHHDEFSCTRDHLKKMFHPILDQNIFLDSTHKKRLKEEFKIEPWTFQQHVGQAIIIPAGCPYQIRNSKCCVHAVLEFVSPENVTEGIQLIDEVRLLPEEHKAKADMLEVKKMALHSMNTAIKEVRQLTSKT >Vigun07g283400.1.v1.2 pep primary_assembly:ASM411807v1:7:39723532:39727097:1 gene:Vigun07g283400.v1.2 transcript:Vigun07g283400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDVRSNRFSRQMGYVHCFADKVKRFPGLARRETWKVGKDDPRRVVHAFKVGIALTIVSLLYLMEPLFKGIGQNAMWAVMTVVVVMEFTVGATLSKGLNRGLGTLLAASLAFLTEYIADAPGQIFRAVFIAVAVFIIGTMTSYVRFIPYIKKNYDYGVLIFLLTIAIGCAICLVMSILVFPNWSGEDLHNNTVSRLEGLANSIQVCVREYFNDSEESACQDDSSEDPIYKGYKTVLDSKTTDDTLALQASWEPRYLRYCHRIPWHQYAKVGAALRYFSYTVVALHGCLQSEIQTPKSIRALYKDSCIRLGEEVSKVLRELAKSIRNNRKFCPEILSNNLKEALQNLNSALKSQPQLILGSRNSRATNTPVQAVQHHDQKLEEDTRTSLPGIKNTGSSSRLGCRSREQSRELTKKVLRTQLSKVAIVSLEFSEALPFAAFTSLLVEMVAKLDRVMDEVEDLGRMAQFSEYEDDGNDHKIVVTCENQSGVASAGSE >Vigun10g149000.1.v1.2 pep primary_assembly:ASM411807v1:10:36747830:36749699:1 gene:Vigun10g149000.v1.2 transcript:Vigun10g149000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASTLTFQTLSIVPNKPHHHHSTKLHPLPLISSRFNLSKDPPILQKVLDQSSNVLKTVPLSLTSLTLSFLLDQKDVALAVDGEFGVFEGRTFALIHPIVMASLFLYTLWAGYLGWQWRRVRTIQNEINELKKQLKPTPVTAEGTPVEVPPSQIELKIQQLTEERKELIKGSYRDRHYNAGSILLGFGVLEAVGGGLNTWIRTGKLFPGPHLFGGAGITVLWALAAALVPPMQRGSETARSLHIALNTLNVLLFLSQLPTGFDILLKVFEFTKWP >Vigun05g101400.1.v1.2 pep primary_assembly:ASM411807v1:5:10055735:10059295:1 gene:Vigun05g101400.v1.2 transcript:Vigun05g101400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPARPLFVLFGSSLVQLSYSQQGWGAILSHLYARKADVILRGYSGWNSRRAVQVLAEIFPKNATQQPSLVIVYFGGNDSLLPHPSGRGQHVPLQEYIENMRKIGAHLKSLSKKTRIIFLTAPPVNEANLTGDSLLPGQQLRTNESCRIYSEALLELCREMNIKAINMWSLLQNRGDWRDVYFTEDGIHLSVEGSNVVVREILKVIKEAEWEPSLQWRSMPTEYGEDSPYDPIGPDGNNVNVSSLFETLQWE >Vigun10g159100.4.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLGAAMCGKLTLSLHQEAVPLNGSPDLLSFGTQKINISMASIKRASIIGSGFTGEISQSSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.8.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVRNTSKLLHHERALLARWFSGDAQSSRSRDVWKTHPESSPRSSAFERVTGFTKINISMASIKRASIIGSGFTGEISHSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.7.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVRNTSKLLHHERALLARWFSGDAQSSRSRDVWKTHPESSPRSSAFERVTGFTKINISMASIKRASIIGSGFTGEISHSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASDAASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.3.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLGAAMCGKLTLSLHQEAVPLNGSPDLLSFGTQKINISMASIKRASIIGSGFTGEISHSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.1.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVRNTSKLLHHERALLARWFSGDAQSSRSRDVWKTHPESSPRSSAFERVTGFTKINISMASIKRASIIGSGFTGEISQSSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASDAASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.6.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLGAAMCGKLTLSLHQEAVPLNGSPDLLSFGTQKINISMASIKRASIIGSGFTGEISQSSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASDAASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.2.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLNHSAKVRNTSKLLHHERALLARWFSGDAQSSRSRDVWKTHPESSPRSSAFERVTGFTKINISMASIKRASIIGSGFTGEISQSSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun10g159100.5.v1.2 pep primary_assembly:ASM411807v1:10:37812243:37818340:1 gene:Vigun10g159100.v1.2 transcript:Vigun10g159100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLGAAMCGKLTLSLHQEAVPLNGSPDLLSFGTQKINISMASIKRASIIGSGFTGEISHSQVLSRRCYASASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVRGDGEKEIKVGEVIAITVEDEGDIAQFKDYKPSASEPSAPPAKETSVPSPPKKDVAEESAREPEAKVSKPSAPTPSGDRVFASPLARKLAEEKNVPLSSIKGTGHEGLIVKADIEDFLASDAASSQASAPSKAKGTTDAALDYINIPVSQIRKVTASRLLLSKQTIPHYYLTVDACVDKLVSLRSKLNSLQEASGGSRISVNDLVIKAAALALRKVPQCNSSWANDYIRQYNNVNINVAVQTDNGLFVPVIRDADKKSLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIINPPQSGILAVGSAERRVIPGSGAEEFKFGSFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Vigun05g049400.1.v1.2 pep primary_assembly:ASM411807v1:5:4267024:4274345:-1 gene:Vigun05g049400.v1.2 transcript:Vigun05g049400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERGGATNYDLQVSFSNTPQPIHELGFVHYQENQLLGFLSPSSQSQSLNTTDVVVTSSATTAATIGFMNHTQLVGKTWNNDQVETLDPKPVDEENCTGNASEGNNNAWWRNAGTEKNKVKIRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCEDSNSSEHECFTSF >Vigun10g094800.1.v1.2 pep primary_assembly:ASM411807v1:10:27340391:27346869:-1 gene:Vigun10g094800.v1.2 transcript:Vigun10g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSRRLLTQRSLLLHWRRNFAQVAVDAEKGPTVQNLPPFDYSPLPYVGPTADEILAKRREYLSPSILHMYKNPVNIVQGKKQYLFDENGRRYVDAFGGIATVCCGHCHPDVVEAIVNQTTKLQHSTVLYLNHAIADFAQALASKLPGDLKVVFFTNSGTEANELAILIARLYTGCHDIISVRNAYHGNAAGTMGATAQSIWKFNVVQSGIHHAVNPDPYRGIFGADGEKYARDVQDIINFGTSGHVAAFMSEAIQGVGGIVELAPGYLPSVYDTIKKAGGLFIADEVQAGFGRTGSHFWGFESHNVVPDIVTMAKGIGNGFPLGAVVTTPEIAEVLTHRNYFNTFGGNPVSTAAGLAVLKVIEKEQLQKNALEVGSYLKERLTTLKDKYELIGDVRGRGLMLGVELVTDRELKTPAKAETLHVMDQLKELGVLIGKGGYYGNVFRVTPPLCFTKEDADFVVDAMDLTLSKM >Vigun11g197800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:39667628:39668011:-1 gene:Vigun11g197800.v1.2 transcript:Vigun11g197800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRSRTSSVLDGFTLNPLPYPVLLILALIFLFFAVSWYFSYEEVVETAEEQFGWVLFATPVVLILIVRWLSSMENSDWFSASWGSSRRTHQGPSEGSSPWGVAALILVLLIMARYQSNFLNSWFV >Vigun07g235700.3.v1.2 pep primary_assembly:ASM411807v1:7:35728861:35734533:-1 gene:Vigun07g235700.v1.2 transcript:Vigun07g235700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLLWRIPQQEVSPRTSGRLSHSSGPLNGTQSCGSLTDSPPVSPSEIDDIKYTRSNNISSSLSLNFRTTPAASGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDLAVASAATLVAAQCVEVAEALGAEREHLASVVSSAVNVSSAGDITTLTAGAATALRGAATLKARALKDVWNIAAVIPVERNLGAGGGNSIIINNNNNNIISGSNGNSDSSFGGEIVAEENFLGICSRELLARGVELLKRTRKGDLHWKVVSVYINRMNQVILKMKSRHVAGTITKKKKNLVVEVIKDVPAWPGRHLLEGGEDRRYFGLKTVMRGTVEFECRDQREYDVWTRGVSRLLSVAAEKNNRNRI >Vigun07g235700.5.v1.2 pep primary_assembly:ASM411807v1:7:35728861:35734098:-1 gene:Vigun07g235700.v1.2 transcript:Vigun07g235700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLLWRIPQQEVSPRTSGRLSHSSGPLNGTQSCGSLTDSPPVSPSEIDDIKYTRSNNISSSLSLNFRTTPAASGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDLAVASAATLVAAQCVEVAEALGAEREHLASVVSSAVNVSSAGDITTLTAGAATALRGAATLKARALKDVWNIAAVIPVERNLGAGGGNSIIINNNNNNIISGSNGNSDSSFGGEIVAEENFLGICSRELLARGVELLKRTRKGDLHWKVVSVYINRMNQVILKMKSRHVAGTITKKKKNLVVEVIKDVPAWPGRHLLEGGEDRRYFGLKTVMRGTVEFECRDQREYDVWTRGVSRLLSVAAEKNNRNRI >Vigun07g235700.4.v1.2 pep primary_assembly:ASM411807v1:7:35728861:35734533:-1 gene:Vigun07g235700.v1.2 transcript:Vigun07g235700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLLWRIPQQEVSPRTSGRLSHSSGPLNGTQSCGSLTDSPPVSPSEIDDIKYTRSNNISSSLSLNFRTTPAASGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDLAVASAATLVAAQCVEVAEALGAEREHLASVVSSAVNVSSAGDITTLTAGAATALRGAATLKARALKDVWNIAAVIPVERNLGAGGGNSIIINNNNNNIISGSNGNSDSSFGGEIVAEENFLGICSRELLARGVELLKRTRKGDLHWKVVSVYINRMNQVILKMKSRHVAGTITKKKKNLVVEVIKDVPAWPGRHLLEGGEDRRYFGLKTVMRGTVEFECRDQREYDVWTRGVSRLLSVAAEKNNRNRI >Vigun07g235700.1.v1.2 pep primary_assembly:ASM411807v1:7:35728862:35734533:-1 gene:Vigun07g235700.v1.2 transcript:Vigun07g235700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAQTEPWRPDPLHGGMFRPPETPREPMEFLSRSWSVSALEVSKALSPAFSKITLSGSGAADAIPEDVAGESEEASAMVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGTQSCGSLTDSPPVSPSEIDDIKYTRSNNISSSLSLNFRTTPAASGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDLAVASAATLVAAQCVEVAEALGAEREHLASVVSSAVNVSSAGDITTLTAGAATALRGAATLKARALKDVWNIAAVIPVERNLGAGGGNSIIINNNNNNIISGSNGNSDSSFGGEIVAEENFLGICSRELLARGVELLKRTRKGDLHWKVVSVYINRMNQVILKMKSRHVAGTITKKKKNLVVEVIKDVPAWPGRHLLEGGEDRRYFGLKTVMRGTVEFECRDQREYDVWTRGVSRLLSVAAEKNNRNRI >Vigun07g235700.2.v1.2 pep primary_assembly:ASM411807v1:7:35728862:35734099:-1 gene:Vigun07g235700.v1.2 transcript:Vigun07g235700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAQTEPWRPDPLHGGMFRPPETPREPMEFLSRSWSVSALEVSKALSPAFSKITLSGSGAADAIPEDVAGESEEASAMVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGTQSCGSLTDSPPVSPSEIDDIKYTRSNNISSSLSLNFRTTPAASGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDLAVASAATLVAAQCVEVAEALGAEREHLASVVSSAVNVSSAGDITTLTAGAATALRGAATLKARALKDVWNIAAVIPVERNLGAGGGNSIIINNNNNNIISGSNGNSDSSFGGEIVAEENFLGICSRELLARGVELLKRTRKGDLHWKVVSVYINRMNQVILKMKSRHVAGTITKKKKNLVVEVIKDVPAWPGRHLLEGGEDRRYFGLKTVMRGTVEFECRDQREYDVWTRGVSRLLSVAAEKNNRNRI >Vigun07g210300.1.v1.2 pep primary_assembly:ASM411807v1:7:33193671:33196355:-1 gene:Vigun07g210300.v1.2 transcript:Vigun07g210300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSKNILLQILWCFSLIALSSQTQSHYTFEVREAQYTRLCSTKSILTVNGNFPGPTIRVNRGDTINVDVYNKGNYNITLHWHGVKQPRNPWTDGPSYITQCPIQPGMKFRQTLIFSFEEGTIWWHAHSEWLRATVYGAIYVYPTKNTPYPFPQPDAEIPIIFGEWWINDVNEVFRQSSETGAAPNISDALTINGQPGDLLPCSTPETFKLNVEQGRTYHLRVINAALNLILFFSVSQHNLTVVGSDAVYTKPLTRDYICISPGQAMDVLLHANQEPGHYYLAARAYSTGVGVAFDNTTTTARVEYSGNYTPPSSPSLPNLPDFNDTQAALDFITNLRGLPERYPSPVPTNITTQIVTTISVNTLPCPNGRNDCQGLNGTIFSASMNNISFQTPDIDILKAYYYHINGVYHPGFPRFPPFTFNFTADFLPITVNTPTKETRVNVLSYGASVEIVFQGTNVIGGIDHPIHLHGYSFHVIGYGLGNFNQSQDPLNFNLVDPPYLNTVIVPINGWAAIRFVAVNPGVWFMHCHLERHQSWGMQTVFIVKNGNSSNQMLSPPPPDMPPC >Vigun09g226400.1.v1.2 pep primary_assembly:ASM411807v1:9:39868436:39870624:1 gene:Vigun09g226400.v1.2 transcript:Vigun09g226400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGDGCGRGEGSSNRYSLKPSRVSNEDILICVDVDPQCLVEMKGATGPNGRPLTRLDSVKQAIILFVNAKLTINPQHRFAFATLSNTFSWLRRDFNSEIDSTIAAMRSISASSSAGPPDLTVLFRLAAHEAKKSRVEGRIFRVILFYCRSSERPQHQWPVNQKLFTLDVMYLHDKPGPDNCPQEVYDTLVEALEHVSEYEGFILESGQGLARVLFRHVLILLSHPQQRCIQEYLDIPKSIAKKVPQVEPMATEDTAPIASQ >Vigun03g352800.1.v1.2 pep primary_assembly:ASM411807v1:3:55454395:55457347:-1 gene:Vigun03g352800.v1.2 transcript:Vigun03g352800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIAKNPVNLLNSHSSLVPFGVPSVEPTFLHSSSAKWTLGSKPLMFSFSSLRARCASSSCSSNMYGGWDDLGSSDAPGESHAFRNFLVSVGIDDRKNVFVFLLGLVCAMAISRVKVSSIVVLPASALVFAVGFTVGFLRNGAFGEVRASGSGSKRREKEDNSNSKLSSEKLRSLVEFFDELDLVVSNLKSEVESAIRNNKIRVNDFYGYVVVTDKIKVSLKNARNVLGDLIDNEENSGGVLVENHKSGKRKKQVGEGGDQMLQAFSSLFGENLFSSNSTKVRENVKQEAVHRTLDQTRGNGTVPVIEDRALNFVDEHKGNRELDLDPTQSSGDIRRSKNKFFDDKEPSYRNKGMRFTNNRSFSLKMDSSSITDMWESHDNLLDSESFNVRTKRMESESSFTREQLLNQGQKTFRSSRDLREGGSDRSQYKDDTVNYDDRHHLADDDLPAHENEFNTASSAKISDDMMFDRYLDEATDLLKQAKEFIKVRQDEEQAEIMLYRSANILSKAVALKPMSLLAVGQLGNTYLLHGELKLKFSRELRSLLSGSIQPSSGKHGRILKGLRNKITSEEDIASFLIDVCEECEELLVQAGRKYRLALSIDANDVRALYNWGLALSFRGQLIADIGPGAAFEAERVFLAAIDKFDAMLLKGNVYAPDALFRWGVALQQRSQLRPGSSKEKVKLLQQAKRLYEDALHMDTNNMQVKDALSSCVTELNYRQF >Vigun07g238500.1.v1.2 pep primary_assembly:ASM411807v1:7:35989553:35990857:1 gene:Vigun07g238500.v1.2 transcript:Vigun07g238500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERMKMSVVLVMMSMLCAGAAAQSSSCTNALVSLSPCLNYIAGNSSTPSSECCSKLATVVSSQPQCLCEVLNGGTSSLGITINQTQALALPTACNVQTPPTTQCKAASPAESPNSNPSGTGSTNVPTTDNGSSGANSDKFSVPLLFLVLASTFATLIR >Vigun03g394000.1.v1.2 pep primary_assembly:ASM411807v1:3:60012762:60014528:-1 gene:Vigun03g394000.v1.2 transcript:Vigun03g394000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCGLRGYHLCLINMFMLLLVARSQLTSDFYSSSCPSLSKIVRREVQKALMNEMRMAASLIRLHFHDCFVNGCDGSILLDGGDDAEKSAIPNRNSVRGYEVVDTIKSSVESACSGVVSCADILAIAARDSVLLSGGPSWKVLLGRRDGTVSNGTLANSALPSPFEPLDSIIAKFADAGLNLTDVVSLSGAHTIGRARCTFFSNRLSNFSGTGAPDTTLDTAMLSDLQSLCQNGDGNQTAVLDRNSSDLFDNHYFKNLVSGKGLLSSDQILFSSDEANSTSKPLVESYISNSGLFFGDFANSMIKMGNINPKTGSDGEIRKNCRVINS >Vigun11g043500.1.v1.2 pep primary_assembly:ASM411807v1:11:6396019:6399228:-1 gene:Vigun11g043500.v1.2 transcript:Vigun11g043500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCIRPSLRLQGERSDWIGYCDSLMARLALCSICSHDKSDGSDAGIPDLEILEVVADGGYGRVYQGFEPSTGEIVAMKQIVIIGSRQGVPSAIIREVAFLKELRHDNIVRLLRVYVRRHRYVNLVFERLPCDLHDYIRRRTHRNMLAIKSFMYQILSALEFCHARQVLHRDLKPSNVLIDQASMLVKLADFGLAREFRNDILYTDQLGTAAYRAPEMLCDNYQYSSPIDVWAAGCVFAEMITGLPLFQNRKLRDELEAIFRLTGTPTEETWPGITELMPDIHKYQGHEPVGIRTLFPELERSGQDLLSRMLCLNPNERISASAALNHPYFTEEEWLWDGKPWEESARCIPFR >Vigun09g067000.2.v1.2 pep primary_assembly:ASM411807v1:9:7082816:7087318:-1 gene:Vigun09g067000.v1.2 transcript:Vigun09g067000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGWSEILCIATLLLCSGVATSFSTDPPLLQQQKDRVGTLPGQTFNVSFAHYAGYITVDENAERALFYWFIEALEDPHSKPLVLWLNGGPGCSSIAYGQSEEIGPFHINSDGKTLHPNPYAWNRDANLLFLDTPVGVGFSYSINGSDLLNNGDKRTAEDNLSFLLKWFERFPQYRRSDFLISGESYAGHYVPQLSQVIVKYNSATKENAINLKGFMVGNALTDDYNDQLGMFEFMWSSGLISDQTYKLLNQLCDFQSVEHPSNSCEKIWDIAYDELGNIDPYSLYTPPCHGNVSQLSGLVRRKNKIGRRRLSAQYDPCTESHSTAYFNRPEVQTVLHVDLDHKPAAWETCRIITGYGYPG >Vigun09g067000.1.v1.2 pep primary_assembly:ASM411807v1:9:7080154:7087318:-1 gene:Vigun09g067000.v1.2 transcript:Vigun09g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGWSEILCIATLLLCSGVATSFSTDPPLLQQQKDRVGTLPGQTFNVSFAHYAGYITVDENAERALFYWFIEALEDPHSKPLVLWLNGGPGCSSIAYGQSEEIGPFHINSDGKTLHPNPYAWNRDANLLFLDTPVGVGFSYSINGSDLLNNGDKRTAEDNLSFLLKWFERFPQYRRSDFLISGESYAGHYVPQLSQVIVKYNSATKENAINLKGFMVGNALTDDYNDQLGMFEFMWSSGLISDQTYKLLNQLCDFQSVEHPSNSCEKIWDIAYDELGNIDPYSLYTPPCHGNVSQLSGLVRRKNKIGRRRLSAQYDPCTESHSTAYFNRPEVQTVLHVDLDHKPAAWETCSDVVNTNWNDSPISVLDIYQELIDVGLKIWVFSGNTDTVIPVTSTRYSINALKLPTVSPWRAWYDDGEVGGWTQEYSGLTFVVVRGAGHEVPLHKPKLALTMFQAFLAGTSMPNLDLLSAS >Vigun06g195400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:31130709:31131946:-1 gene:Vigun06g195400.v1.2 transcript:Vigun06g195400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKSHEEQQSSQTEFLPFNENDPQDMVIYQVLNEANALSNTFHPHQRLHQPQLGLEPTRNIAKKHYRGVRRRPWGKYAAEIRDSARHGARIWLGTFQTAEEAAMAYDRAAFKMRGAKALLNFPAEIVAAASDSSLNNINNNDAVSDSSAGSSCTTNNSTQVNGSRSESTSSTS >Vigun10g144900.2.v1.2 pep primary_assembly:ASM411807v1:10:36262932:36271064:1 gene:Vigun10g144900.v1.2 transcript:Vigun10g144900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAQPPSGLLPNGLLPNEAASVIQVLDSERWLKAEQRTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKETWAHQVRDMLENEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIGSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPEEELFSEVNQFFLNTWDRHGSGERPDVPTVDLQRLSLSSHDQLQRSDNLRNNNHKIDNASNHESTEGEHVSQSVLSQYSNLLSGKTSGSVVSAVSHTQNEKSYGSQNNSRTFDQVRRETNSNQGTHFDKGQRNVKADNLVGDVQGRFLFARTRSSPELTDSYGDVPIQGRHTKATESNKGQSSFVKLENSRRKNVEPDAAVRMDESSVRHISSHRVLENAADSNSNHDESSSGVMGEEFAPISGAGGMQMMQQEEQDFLNMMASPTAQGFSGQNHVPMNIAPGHLPFHFPPSILASMGYTQRNTGNIPFIEAPWGANMQFPQGFIPPLTPYFPGIGMASNPQDLLETNHENFNSVEANVTEADDYWHEQERSSASEVEVDNGNLEMPQEDRQQSTSGSYNSALPSRVGSSNSNSSAGVQQKFTKENRGSTREEHIDNFHFQDGRRNEVYFDDRTAISELSGAPPSSSFRSRTPSESSWDGSSVKSSKSTRERRGRKNAPSVPSQNPVYGKGKNVSENSSNRVDDESREWTPLSTVASDMPERGTWPTSGTSIQVPRNQISSFETAQTSGPDSPIPIAPVVIGPGSRQRAVDNSGVLPFTFYPTGPPVPFVTMLPLYNFPTESSDTSTSNFNVEEGADNSDSSQNFDSSEGYEHPEVSSPSNSMARVAMESSEHKPDILNSDFVSHWQNLQYGRFCQNTRHPPSMIYPSPVMVPPVYLQGRYPWDGPGRPISGNMNIFSQLMSYGPRLVPVAPLQSVSNRPTNIYQRYVDDMPRYRSGTGTYLPNPKVSPRDRHSTTTRRGNYNYDRSDHHGDREGNWNTNSKLRGTGRGHNRNQMEKSNSKPERLSTNESRAERPWSSHRHDTFIPHQNGPVRSNSSQSNSSNATYGMYPIPAMNPSGVSSNGPMQSVVNEGSQSSGAHEEQRFRGGHTQRSSPDQPSSPHVSRGP >Vigun10g144900.3.v1.2 pep primary_assembly:ASM411807v1:10:36262932:36271064:1 gene:Vigun10g144900.v1.2 transcript:Vigun10g144900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAQPPSGLLPNGLLPNEAASVIQVLDSERWLKAEQRTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKETWAHQVRDMLENEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIGSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPEEELFSEVNQFFLNTWDRHGSGERPDVPTVDLQRLSLSSHDQLQRSDNLRNNNHKIDNASNHESTEGEHVSQSVLSQYSNLLSGKTSGSVVSAVSHTQNEKSYGSQNNSRTFDQVRRETNSNQGTHFDKGQRNVKADNLVGDVQGRFLFARTRSSPELTDSYGDVPIQGRHTKATESNKGQSSFVKLENSRRKNVEPDAAVRMDESSVRHISSHRVLENAADSNSNHDESSSGVMGEEFAPISGAGGMQMMQQEEQDFLNMMASPTAQGFSGQNHVPMNIAPGHLPFHFPPSILASMGYTQRNTGNIPFIEAPWGANMQFPQGFIPPLTPYFPGIGMASNPQDLLETNHENFNSVEANVTEADDYWHEQERSSASEVEVDNGNLEMPQEDRQQSTSGSYNSALPSRVGSSNSNSSAGVQQKFTKENRGSTREEHIDNFHFQDGRRNEVYFDDRTAISELSGAPPSSSFRSRTPSESSWDGSSVKSSKSTRERRGRKNAPSVPSQNPVYGKGKNVSENSSNRVDDESREWTPLSTVASDMPERGTWPTSGTSIQVPRNQISSFETAQTSGPDSPIPIAPVVIGPGSRQRAVDNSGVLPFTFYPTGPPVPFVTMLPLYNFPTESSDTSTSNFNVEEGADNSDSSQNFDSSEGYEHPEVSSPSNSMARVAMESSEHKPDILNSDFVSHWQNLQYGRFCQNTRHPPSMIYPSPVMVPPVYLQGRYPWDGPGRPISGNMNIFSQLMSYGPRLVPVAPLQSVSNRPTNIYQRYVDDMPRYRSGTGTYLPNPVSPRDRHSTTTRRGNYNYDRSDHHGDREGNWNTNSKLRGTGRGHNRNQMEKSNSKPERLSTNESRAERPWSSHRHDTFIPHQNGPVRSNSSQSNSSNATYGMYPIPAMNPSGVSSNGPMQSVVMFYPFDHNAGFVPAEQLEFGTLGPMGFSGVNELSQVNEGSQSSGAHEEQRFRGGHTQRSSPDQPSSPHVSRGP >Vigun10g144900.1.v1.2 pep primary_assembly:ASM411807v1:10:36262932:36271064:1 gene:Vigun10g144900.v1.2 transcript:Vigun10g144900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAQPPSGLLPNGLLPNEAASVIQVLDSERWLKAEQRTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKETWAHQVRDMLENEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIGSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPEEELFSEVNQFFLNTWDRHGSGERPDVPTVDLQRLSLSSHDQLQRSDNLRNNNHKIDNASNHESTEGEHVSQSVLSQYSNLLSGKTSGSVVSAVSHTQNEKSYGSQNNSRTFDQVRRETNSNQGTHFDKGQRNVKADNLVGDVQGRFLFARTRSSPELTDSYGDVPIQGRHTKATESNKGQSSFVKLENSRRKNVEPDAAVRMDESSVRHISSHRVLENAADSNSNHDESSSGVMGEEFAPISGAGGMQMMQQEEQDFLNMMASPTAQGFSGQNHVPMNIAPGHLPFHFPPSILASMGYTQRNTGNIPFIEAPWGANMQFPQGFIPPLTPYFPGIGMASNPQDLLETNHENFNSVEANVTEADDYWHEQERSSASEVEVDNGNLEMPQEDRQQSTSGSYNSALPSRVGSSNSNSSAGVQQKFTKENRGSTREEHIDNFHFQDGRRNEVYFDDRTAISELSGAPPSSSFRSRTPSESSWDGSSVKSSKSTRERRGRKNAPSVPSQNPVYGKGKNVSENSSNRVDDESREWTPLSTVASDMPERGTWPTSGTSIQVPRNQISSFETAQTSGPDSPIPIAPVVIGPGSRQRAVDNSGVLPFTFYPTGPPVPFVTMLPLYNFPTESSDTSTSNFNVEEGADNSDSSQNFDSSEGYEHPEVSSPSNSMARVAMESSEHKPDILNSDFVSHWQNLQYGRFCQNTRHPPSMIYPSPVMVPPVYLQGRYPWDGPGRPISGNMNIFSQLMSYGPRLVPVAPLQSVSNRPTNIYQRYVDDMPRYRSGTGTYLPNPKVSPRDRHSTTTRRGNYNYDRSDHHGDREGNWNTNSKLRGTGRGHNRNQMEKSNSKPERLSTNESRAERPWSSHRHDTFIPHQNGPVRSNSSQSNSSNATYGMYPIPAMNPSGVSSNGPMQSVVMFYPFDHNAGFVPAEQLEFGTLGPMGFSGVNELSQVNEGSQSSGAHEEQRFRGGHTQRSSPDQPSSPHVSRGP >Vigun10g144900.4.v1.2 pep primary_assembly:ASM411807v1:10:36262932:36271079:1 gene:Vigun10g144900.v1.2 transcript:Vigun10g144900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAQPPSGLLPNGLLPNEAASVIQVLDSERWLKAEQRTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKETWAHQVRDMLENEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPIGSLPDVTAEPPRKDGGDLLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPEEELFSEVNQFFLNTWDRHGSGERPDVPTVDLQRLSLSSHDQLQRSDNLRNNNHKIDNASNHESTEGEHVSQSVLSQYSNLLSGKTSGSVVSAVSHTQNEKSYGSQNNSRTFDQVRRETNSNQGTHFDKGQRNVKADNLVGDVQGRFLFARTRSSPELTDSYGDVPIQGRHTKATESNKGQSSFVKLENSRRKNVEPDAAVRMDESSVRHISSHRVLENAADSNSNHDESSSGVMGEEFAPISGAGGMQMMQQEEQDFLNMMASPTAQGFSGQNHVPMNIAPGHLPFHFPPSILASMGYTQRNTGNIPFIEAPWGANMQFPQGFIPPLTPYFPGIGMASNPQDLLETNHENFNSVEANVTEADDYWHEQERSSASEVEVDNGNLEMPQEDRQQSTSGSYNSALPSRVGSSNSNSSAGVQQKFTKENRGSTREEHIDNFHFQDGRRNEVYFDDRTAISELSGAPPSSSFRSRTPSESSWDGSSVKSSKSTRERRGRKNAPSVPSQNPVYGKGKNVSENSSNRVDDESREWTPLSTVASDMPERGTWPTSGTSIQVPRNQISSFETAQTSGPDSPIPIAPVVIGPGSRQRAVDNSGVLPFTFYPTGPPVPFVTMLPLYNFPTESSDTSTSNFNVEEGADNSDSSQNFDSSEGYEHPEVSSPSNSMARVAMESSEHKPDILNSDFVSHWQNLQYGRFCQNTRHPPSMIYPSPVMVPPVYLQGRYPWDGPGRPISDDMPRYRSGTGTYLPNPKVSPRDRHSTTTRRGNYNYDRSDHHGDREGNWNTNSKLRGTGRGHNRNQMEKSNSKPERLSTNESRAERPWSSHRHDTFIPHQNGPVRSNSSQSNSSNATYGMYPIPAMNPSGVSSNGPMQSVVMFYPFDHNAGFVPAEQLEFGTLGPMGFSGVNELSQVNEGSQSSGAHEEQRFRGGHTQRSSPDQPSSPHVSRGP >Vigun01g040700.2.v1.2 pep primary_assembly:ASM411807v1:1:5678880:5684923:-1 gene:Vigun01g040700.v1.2 transcript:Vigun01g040700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGVPPSLFVNDGSFMERFKQLQQEQEKGKNVKLENSKPIKVISGSLSPNPSITKTSVDSKVNDTRKTSQGGSGGKLAFSLKQKSKLVPPPVKLSADEDEEETEAGYISNDAPLKRQKLGQDGIDQSSRQLDVAPPSPSDPTVKKVADKLASFVAKNGRQFEDVTRQKNPGDTPFKFLFDERCAEYKYYEYQLAQEEKALAQTRESQVPRNGGTSTSSSKQTSGHQRSSQQQTSYQIPASALYESADNPRASGSSIQTSPVGMSEEPSGSSNADSLALMEFYMKKAAREEKYKQPKHSKDEMPPPASLLGKKGHHMGDFIPPEELEKFLASCNDAAAQKAAKEHVERSKIQADNVGHRLLSKMGWKEGEGLGGSRKGISDPIMAGNIKKNNLGVGAQEPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Vigun01g040700.3.v1.2 pep primary_assembly:ASM411807v1:1:5678880:5684923:-1 gene:Vigun01g040700.v1.2 transcript:Vigun01g040700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGVPPSLFVNDGSFMERFKQLQQEQEKGKNVKLENSKPIKVISGSLSPNPSITKTSVDSKVNDTRKTSQGGSGGKLAFSLKQKSKLVPPPVKLSADEDEEETEAGYISNDAPLKRQKLGQDGIDQSSRQLDVAPPSPSDPTVKKVADKLASFVAKNGRQFEDVTRQKNPGDTPFKFLFDERCAEYKYYEYQLAQEEKALAQTRESQVPRNGGTSTSSSKQTSGHQRSSQQQTSYQIPASALYESADNPRASGSSIQTSPVGMSEEPSGSSNADSLALMEFYMKKAAREEKYKQPKHSKDEMPPPASLLGKKGHHMGDFIPPEELEKFLASCNDAAAQKAAKEHVERSKIQADNVGHRLLSKMGWKEGEGLGGSRKGISDPIMAGNIKKNNLGVGAQEPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Vigun01g040700.1.v1.2 pep primary_assembly:ASM411807v1:1:5678865:5684923:-1 gene:Vigun01g040700.v1.2 transcript:Vigun01g040700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGVPPSLFVNDGSFMERFKQLQQEQEKGKNVKLENSKPIKVISGSLSPNPSITKTSVDSKVNDTRKTSQGGSGGKLAFSLKQKSKLVPPPVKLSADEDEEETEAGYISNDAPLKRQKLGQDGIDQSSRQLDVAPPSPSDPTVKKVADKLASFVAKNGRQFEDVTRQKNPGDTPFKFLFDERCAEYKYYEYQLAQEEKALAQTRESQVPRNGGTSTSSSKQTSGHQRSSQQQTSYQIPASALYESADNPRASGSSIQTSPVGMSEEPSGSSNADSLALMEFYMKKAAREEKYKQPKHSKDEMPPPASLLGKKGHHMGDFIPPEELEKFLASCNDAAAQKAAKEHVERSKIQADNVGHRLLSKMGWKEGEGLGGSRKGISDPIMAGNIKKNNLGVGAQEPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Vigun06g071500.1.v1.2 pep primary_assembly:ASM411807v1:6:20209099:20211790:-1 gene:Vigun06g071500.v1.2 transcript:Vigun06g071500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVSNFSDLIQRVTASCLLHPLATAASGKEDENSHYDSEENRNEEYEEEDENEDYEEEEEYEYDEERAVGPLKALKVKQMEGLMEEVFETVSSMKRAYVRLQEAHSPWDPERMRAADVAVVTELRKLAVLRERFRRSGGGEGRRKGRRRGGGVASVREVVAPYEAVVEELKKEVKVKDLEVRNLREKLDSAVALTSNGSGEKKPGRSLSKRRLGIQAVAAVPTPELFEAAMVQVREASKSFTSLLLSLMHNAHWDITAAVRSIEAATASTDKYHNTSTTSIVSAHHAKYALESYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKSMDPTELLGILPSCHFGKFCSKKYLAIVHPKMEESLFGNLEQHSQVQAGNHPRSEFYNEFLGVAKTVWLLHLLAFSLNPAPSQFEASRGAEFHQQYMDSVVKFSGGRVSAGQVVGFPVSPGFKLGNGSVIKARVYLIART >Vigun06g071500.3.v1.2 pep primary_assembly:ASM411807v1:6:20209099:20212379:-1 gene:Vigun06g071500.v1.2 transcript:Vigun06g071500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVSNFSDLIQRVTASCLLHPLATAASGKEDENSHYDSEENRNEEYEEEDENEDYEEEEEYEYDEERAVGPLKALKVKQMEGLMEEVFETVSSMKRAYVRLQEAHSPWDPERMRAADVAVVTELRKLAVLRERFRRSGGGEGRRKGRRRGGGVASVREVVAPYEAVVEELKKEVKVKDLEVRNLREKLDSAVALTSNGSGEKKPGRSLSKRRLGIQAVAAVPTPELFEAAMVQVREASKSFTSLLLSLMHNAHWDITAAVRSIEAATASTDKYHNTSTTSIVSAHHAKYALESYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKSMDPTELLGILPSCHFGKFCSKKYLAIVHPKMEESLFGNLEQHSQVQAGNHPRSEFYNEFLGVAKTVWLLHLLAFSLNPAPSQFEASRGAEFHQQYMDSVVKFSGGRVSAGQVVGFPVSPGFKLGNGSVIKARVYLIART >Vigun06g071500.2.v1.2 pep primary_assembly:ASM411807v1:6:20209099:20211816:-1 gene:Vigun06g071500.v1.2 transcript:Vigun06g071500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVSNFSDLIQRVTASCLLHPLATAASGKEDENSHYDSEENRNEEYEEEDENEDYEEEEEYEYDEERAVGPLKALKVKQMEGLMEEVFETVSSMKRAYVRLQEAHSPWDPERMRAADVAVVTELRKLAVLRERFRRSGGGEGRRKGRRRGGGVASVREVVAPYEAVVEELKKEVKVKDLEVRNLREKLDSAVALTSNGSGEKKPGRSLSKRRLGIQVAAVPTPELFEAAMVQVREASKSFTSLLLSLMHNAHWDITAAVRSIEAATASTDKYHNTSTTSIVSAHHAKYALESYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKSMDPTELLGILPSCHFGKFCSKKYLAIVHPKMEESLFGNLEQHSQVQAGNHPRSEFYNEFLGVAKTVWLLHLLAFSLNPAPSQFEASRGAEFHQQYMDSVVKFSGGRVSAGQVVGFPVSPGFKLGNGSVIKARVYLIART >Vigun09g074200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8351723:8352790:1 gene:Vigun09g074200.v1.2 transcript:Vigun09g074200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVLKRDVANTSSSVTRNVRYGECQKNHAANIGGYAVDGCREFMASTGDGGGGALTCAACGCHRNFHRREVQTEVVCEYSPPNSAR >Vigun05g122400.9.v1.2 pep primary_assembly:ASM411807v1:5:13545548:13546592:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLSKFSILSGAEEG >Vigun05g122400.8.v1.2 pep primary_assembly:ASM411807v1:5:13545150:13548523:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLSKFSILSGAEEG >Vigun05g122400.7.v1.2 pep primary_assembly:ASM411807v1:5:13545513:13546592:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLIFNFKWSRGGLRLHGYGGVVKS >Vigun05g122400.4.v1.2 pep primary_assembly:ASM411807v1:5:13545150:13548518:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLIFNFKWSRGGLRLHGYGGVVKS >Vigun05g122400.5.v1.2 pep primary_assembly:ASM411807v1:5:13545150:13548518:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLSKFSILSGAEEG >Vigun05g122400.3.v1.2 pep primary_assembly:ASM411807v1:5:13545150:13548523:-1 gene:Vigun05g122400.v1.2 transcript:Vigun05g122400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNLKTQLKECSGRINALQSRLEGHGLSMNMQMKVLEERIESIYAKYFKVIEALKEKNDDLLSETGAMKKLDREWKELKEGKEKLLKLEAKMKADKEVLVGEINERLSKLKDYMRTSEKDTAAMIAAMIANLTEKLIFNFKWSRGGLRLHGYGGVVKS >Vigun11g082200.1.v1.2 pep primary_assembly:ASM411807v1:11:24395821:24397188:-1 gene:Vigun11g082200.v1.2 transcript:Vigun11g082200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMSKNSFILAFLFVILAIEVYYGHSFSMETNAEDGVITITPCKTKQDCIRHIRTVGCSASVVYCSQGYCRCNHMSGNSFPREPLGEAGVNDSK >Vigun02g191500.1.v1.2 pep primary_assembly:ASM411807v1:2:32892645:32897811:-1 gene:Vigun02g191500.v1.2 transcript:Vigun02g191500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFFDMGEFLKKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLLFLGLRSKLRFLWTAPPGFGARRLWFAFTAVSAFSICRTYWSNFKGKAKAKAEDPSPSQSDVADSNAIERTTRSGDWAEESGQDTVTQADLEHFLHLLEGKVGLMDRQCFMERSTPNMQYKAWRHDPETGPTVYRSRTVFEDATPELVRDFFWDDDFRPKWDPMLAYCKVLEECPHNGTMISHWIKKFPFFCSDREYIIARRIWQSGNTYYCVTKGVPHPSLPIRDKPRRVELYFSSWVIKPVESRKGDGQLSACEVTLLHYEDMGIPKDVAKLGVRHGMWGAVKKLHLGMRAYQNARKTDSSLSRCALMASKTTKISSDTNMHSSESSSSMEDGMQAMCNIAQKGHGIDWKWVALGGTVALVLGLHNGAIGRALLLGAGHRLARR >Vigun03g026800.1.v1.2 pep primary_assembly:ASM411807v1:3:1999409:2003302:-1 gene:Vigun03g026800.v1.2 transcript:Vigun03g026800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPADFYHSLPPITKAYGTVCVLATAAYHLGLYKPIHIALLYEQVFYGFQVWRLFTNLFFLGPFSINFGIRLLMILRYGVQLEKGPFDRRTSDFLWMMIFGSFALLVLSAIPFLWSPFLGVPLVFMLLYVWSREFPNAQINIYGLVALKAFYLPWAMLALDVIFGSPLIPDLLGIIAGHLYYFLTVLHPLAGGKNILKTPMWVRKLVGRWRIGMQPISRSQAAASNPQQESGSGVAFRGRSYRLGG >Vigun03g156300.1.v1.2 pep primary_assembly:ASM411807v1:3:16767881:16770162:-1 gene:Vigun03g156300.v1.2 transcript:Vigun03g156300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKFATYKYHNLPFHLHTLTSHCSINYFHNNTMAFPKHASESDIFLHSSFASRFVRDSLPRFSVPQDSMSREAAYQSIHDELQFDAVPKFNLASFVTTSMEEECNKLIMESINKNYVDMDEYPITTDLHNRCVNMIARLFHAELEENENAIGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNLVTGSNVQVCWEKFARYFEVELREVEVREGYYVMDPAKAVELVDENTICVAAILGSTYNGEFEDVKLLNDLLLQKNKQSGWDTPIHVDAASGGFVAPFLYPELEWDFRLALVKSINVSGHKYGLVYAGIGWVIWRTKDDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGHEGYGSIMENCRENAMVLKEDLEKCGRFNILSKDDGVPVVAFSLKDRRQYDEYKISEMLRRHGWIVPAYPMPPAAQHINVLRVVIRAEFSRTLVQRLVFDIYNVLLELEKMHTPTIPNNIKEEKMMLIKSEVKKSAMDAQKEVIVQESNKRKKIMAS >Vigun06g203200.1.v1.2 pep primary_assembly:ASM411807v1:6:31760870:31762845:-1 gene:Vigun06g203200.v1.2 transcript:Vigun06g203200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSRHELSELCLGKPPLRSLSVEDTVADALAALKRIDDTYVSVWNCHHSFIRKPQQQNQTTISKCTNCTCIGKLCMVDIICFLSKPKNLSAPSAALHSPISALLHQTSPLLVRHLPPTASLAEAIDVMHEGVHNLVIPIQNQFEGFDSNILRHDDKKTYCWLTQEDIFRYLLNAIGVFSPTPAKPINTLGVIDTQNLFAVCYDDPASSVLDLLSLSLIYQNSVAIVDPNGKFVGEISPFVLNSCDEAVVPAIATLSAGDFTSYIDCGGPPEDLVQLVKERVEERNLSELLLDETGLCSWSSFSSSCSSDEDSCSGKNWKVGGYSGRVGRRSEAMVCYRWSSLVAVMIQALAHRVSYVWVVEEDGTLTGIVTFQAMLKVFREHLKSMC >Vigun05g252700.1.v1.2 pep primary_assembly:ASM411807v1:5:44725264:44728742:1 gene:Vigun05g252700.v1.2 transcript:Vigun05g252700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRCAGKPSKKSNNVNRTQKHIAAVVKINLKVNGKSEDNHRKPDQLSLDVKNLNLQEVSDEVNVRSYRAQTFTFDELAAATRKFRSDCFLGEGGFGKVYKGCIEKINQVVAVKQLDPYGHQGIREFVVEVLTLSLADHPNLVKLIGFCAEGEQRLLVYEYMPLGSLENRLHDLPRSRKALDWNARIKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEDYHPKLSDFGLAKVGPSGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLEIITGRKAIDNTKPAKEQNLVAWARPLFKDRKRFCEMVDPLLQGQYPVRGLYQVLAIAAMCVQEQPSMRPVIADVVTALNYLECQKYDPQFHLIQSSRTGYYSSRPTHQNLRSTLSYGSEPRPSTGGHKLLVSDSGSESEIYVKGLSSPRTRSDGHQHFYTNNDSETDSSAD >Vigun09g071300.1.v1.2 pep primary_assembly:ASM411807v1:9:7791156:7793473:1 gene:Vigun09g071300.v1.2 transcript:Vigun09g071300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKTPLREAKPSSVGPVHNPFDSDEESKDKKYNSSKKTLTNANPFDDDVEVSGHSSSSSYGLSSAHRNRYKNDFRDSGGLESQSVQELENYAVYKAEETTKSVNSCLKIAEEMREDATKTLVMLHQQGEQITRSHHVAADIDHDLSRGEKLLGSLGGMFSKTWKPKKTGVITGPVNFGDDPVRRKGNHLEQREKLGLTSATKGQSKSRAAPSESTNAIEKVEVEKIKQDDALSDISDLLGELKDMAIDMGSELERQNGALGDFDNDMDKLVIRVNGANQRGRRLLGK >Vigun09g071300.2.v1.2 pep primary_assembly:ASM411807v1:9:7791156:7793473:1 gene:Vigun09g071300.v1.2 transcript:Vigun09g071300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKKTPLREAKPSSVGPVHNPFDSDEESKDKKYNSSKKTLTNANPFDDDVEVSGHSSSSSYGLSSAHRNRYKNDFRDSGGLESQSVQELENYAVYKAEETTKSVNSCLKIAEEMREDATKTLVMLHQQGEQITRSHHVAADIDHDLSRGEKLLGSLGGMFSKTWKPKKTGVITGPVNFGDDPVRRKGNHLEQREKLGLTSATKGQSKSRAAPSESTNAIEKVEVEKIKQDDALSDISDLLGELKDMAIDMGSELERQNGALGDFDNDMDKLVIRVNGANQRGRRLLGK >Vigun01g000300.2.v1.2 pep primary_assembly:ASM411807v1:1:80504:82482:-1 gene:Vigun01g000300.v1.2 transcript:Vigun01g000300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIYIVECDSSACFQKKCDLNLPRPVQDAADSKISCSLLNVQDGIDDEACELVSGVELSIGEGVDNIRAYLFKAVKNNNGTGLLLLSDVFGSEDSFTRDFAYRVACNGYNILVPDLFRGNPWTKEQADVFEEWIGRQNPERIAEDISRWTKWLVDEFMAAGFPRNLALLGSALEVAKC >Vigun01g000300.1.v1.2 pep primary_assembly:ASM411807v1:1:80504:82482:-1 gene:Vigun01g000300.v1.2 transcript:Vigun01g000300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHSSWRFEVIGGRMSTVVRTSIGSVCVCSGININIAKPLSRQCRFFPTSVSPLLSCFQKKCDLNLPRPVQDAADSKISCSLLNVQDGIDDEACELVSGVELSIGEGVDNIRAYLFKAVKNNNGTGLLLLSDVFGSEDSFTRDFAYRVACNGYNILVPDLFRGNPWTKEQADVFEEWIGRQNPERIAEDISRWTKWLVDEFMAAGFPRNLALLGSALEVAKC >Vigun03g266000.1.v1.2 pep primary_assembly:ASM411807v1:3:43589980:43590620:-1 gene:Vigun03g266000.v1.2 transcript:Vigun03g266000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRTLSPGQTSSRYDYNRRRSSILLDPGS >Vigun07g216200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:33799117:33800454:1 gene:Vigun07g216200.v1.2 transcript:Vigun07g216200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYFDISAEASHICCNLLKSIDQVHSYYQFIQRVLDIKDGDSFETFEVIIFELSSFTYPNDPFSNLKTHDFKLINDKHSSVLCHLKSMRKRVGRKIKLMKYLMKTSWFCVTAACALVAITAMVIATHTLTAVILGPAILSFPFKHLKRKLRGCKFSRKGSLGKVYEQLDIAAKGTYILNRDFDTMSRLVARLRDEIEHNREMVQFCLDRKEDKLSFQIVKELKKSDVGFRKQVEELEEHVYLCLVAINQARALVIKEMKRSV >Vigun04g014400.1.v1.2 pep primary_assembly:ASM411807v1:4:1035127:1039017:1 gene:Vigun04g014400.v1.2 transcript:Vigun04g014400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSVKMNKEIYGKTCIYWRAGRCNRNPCKFMHAETPSTHTSYLGINAKSKICGKKHHSSYKIISKSKKTLVQKSEDRDGTNVVVSKKSSRTICKYWTNNRCLYGEQCINLHSWFQSDEFSTIAELHQHKKAITGITLLTGTNKLYSGSTDGTVRIWDCHTGQSLKVINFGTEVNSLISEGPWIFVGLKNAIKAFNTKTNLEYTLDGPKGRILHMAVGNDILFVGAEDGVITAWRESSETKSPFELAGSLIGHTESVVCLIVSLNVGWNMLYSGSMDQSIKVWNIDTLQCTMTLNEHTGVVTSLLCWEKYLFSSSSDGTIKIWAMTEVGTLAVGIISLFGMHDANGKPILFSSCTGNLVCMYELPSFSERGRLFAKKDITSFGLVADGGLFLTGDGTGLLRVWKWNELPKVASN >Vigun06g102800.1.v1.2 pep primary_assembly:ASM411807v1:6:23268669:23271744:1 gene:Vigun06g102800.v1.2 transcript:Vigun06g102800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMAMVESGRAKRKFVKTQGRKKSSKKAKVMPSPHGQKKVKIDKKMKKLFRKRAREYNSDDEEDEATVTAPSETRVLASVTNKKIEEDDIESENNQSEDEGAAGPLKKSNKNATDTNNLSSDDEGEDDNEIQPGITKFTEGCRAFKMAFRNIMKKSVPDDMLGPILSAHKKLVIEKLGEEQAERNIKGEAKKEKQTLAEKGHAKPATYLDSHEKFLISLATKGVVKLFNAVNKAQTAQKGLNPSRNKDAKEIRKRAKQAFFSELGKPSLPSTGTTTKINEGTGMVEDQQPAWAPLRDNYMLTSSRLKDWDKMPDKNVSDEFGKTSEDSSSDED >Vigun07g076200.1.v1.2 pep primary_assembly:ASM411807v1:7:10115027:10117311:1 gene:Vigun07g076200.v1.2 transcript:Vigun07g076200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPTLTLRTHHPLSSPKCFSSSLSLTPNAKPISISTVFLKSTFSLPSRFLPRVAVSDYGQQEDTFSAGQSFSPDLKVFVGNLPFSVDSAQLAELFESVGVVEVVEVIYDKTTGRSRGFGFVTMSSAEEVEAAVQQFNGYELDGRALKVNAGPPPARNESSSRFRSSNRVGGGGGGDFSDNKHKVHVGNLAWGVDHVALESLFREQGNVLEARVVYDRDSGRSRGFGFVTYSSSEEVNSAIESLDGVDLNGRAIRVSLADSKPKRF >Vigun07g076200.2.v1.2 pep primary_assembly:ASM411807v1:7:10115027:10117311:1 gene:Vigun07g076200.v1.2 transcript:Vigun07g076200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATSLALPTLTLRTHHPLSSPKCFSSSLSLTPNAKPISISTVFLKSTFSLPSRFLPRVAVSDYGQQEDTFSAGQSFSPDLKVFVGNLPFSVDSAQLAELFESVGVVEVVEVIYDKTTGRSRGFGFVTMSSAEEVEAAVQQFNGYELDGRALKVNAGPPPARNESSSRFRSSNRVGGGGGGDFSDNKHKVHVGNLAWGVDHVALESLFREQGNVLEARVVYDRDSGRSRGFGFVTYSSSEEVNSAIESLDGVDLNGRAIRVSLADSKPKRF >Vigun08g019700.2.v1.2 pep primary_assembly:ASM411807v1:8:1686005:1693006:-1 gene:Vigun08g019700.v1.2 transcript:Vigun08g019700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLKQEVNHCMDELNCSIVVMNGSQAKILRLNLGCSDELQTPFFSATSSPGIEIAKLKGGRLMHSTPVGSPEEAGTSVIRDIGVNSGSSSESLTSPFLVYEQNPLYEGQGPRKNIHKEINEPIDFNVQPPLYFDIERDRPPPLWPRPTPSLSSQNKTVFWIPQNHIVDEKFRKTKNNSIIQRTKSPSSKTLLENFIRCDQEMRRNEYGFEQAQSRSYDSGNRDSSIPLGRTTSIPPPLCSQCQNKAPVFGKPPKRFSYKELEEATDMFSDVNFLAEGGFGVVHKGILKDGQVVAVKQLKFGGSQADLDFCREVRVLSCAQHRNVVLLIGFCTQSNLRILVYEYICNGSLDLYLYGDESMPLDWNSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVADFGLARWHSEWNIDTEDRVLGTSGYLAPEYLDAGNLTYKVDVYAFGIVLLELITGRRISELEHFNGFSYLPEWIHPLRLLEPSQILQNVRSLKPCFNSEESLEFNLQLQAMARAASLCLRVDPDARPPMSKILRVLEGGDPVHPMGLDINSIGNTSGHLSGLKSLTPPEGTITHSRTLSH >Vigun08g019700.3.v1.2 pep primary_assembly:ASM411807v1:8:1686005:1693006:-1 gene:Vigun08g019700.v1.2 transcript:Vigun08g019700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLKQEVNHCMDELNCSIVVMNGSQAKILRLNLGCSDELQTPFFSATSSPGIEIAKLKGGRLMHSTPVGSPEEAGTSVIRDIGVNSGSSSESLTSPFLVYEQNPLYEGQGPRKNIHKEINEPIDFNVQPPLYFDIERDRPPPLWPRPTPSLSSQNKTVFWIPQNHIVDEKFRKTKNNSIIQRTKSPSSKTLLENFIRCDQEMRRNEYGFEQAQSRSYDSGNRDSSIPLGRTTSIPPPLCSQCQNKAPVFGKPPKRFSYKELEEATDMFSDVNFLAEGGFGVVHKGILKDGQVVAVKQLKFGGSQADLDFCREVRVLSCAQHRNVVLLIGFCTQSNLRILVYEYICNGSLDLYLYGDESMPLDWNSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVADFGLARWHSEWNIDTEDRVLGTSGYLAPEYLDAGNLTYKVDVYAFGIVLLELITGRRISELEHFNGFSYLPEWIHPLRLLEPSQILQNVRSLKPCFNSEESLEFNLQLQAMARAASLCLRVDPDARPPMSKILRVLEGGDPVHPMGLDINSIGNTSGHLSGLKSLTPPEGTITHSRTLSH >Vigun08g019700.4.v1.2 pep primary_assembly:ASM411807v1:8:1686005:1693006:-1 gene:Vigun08g019700.v1.2 transcript:Vigun08g019700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDETVGSRSARHTAASATVSNKVLVAVKAEKVISNTALAWALTHVVHSSDSITLLAVYSAQKTGRRFWHFSRIAGDCTTGPAAKLPERISDISESCAQMVLQLHNQVEVRVKIKVVTGTPSGAVAAEARWSGSHWVILDKKLKQEVNHCMDELNCSIVVMNGSQAKILRLNLGCSDELQTPFFSATSSPGIEIAKLKGGRLMHSTPVGSPEEAGTSVIRDIGVNSGSSSESLTSPFLVYEQNPLYEGQGPRKNIHKEINEPIDFNVQPPLYFDIERDRPPPLWPRPTPSLSSQNKTVFWIPQNHIVDEKFRKTKNNSIIQRTKSPSSKTLLENFIRCDQEMRRNEYGFEQAQSRSYDSGNRDSSIPLGRTTSIPPPLCSQCQNKAPVFGKPPKRFSYKELEEATDMFSDVNFLAEGGFGVVHKGILKDGQVVAVKQLKFGGSQADLDFCREVRVLSCAQHRNVVLLIGFCTQSNLRILVYEYICNGSLDLYLYGDESMPLDWNSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVADFGLARWHSEWNIDTEDRVLGTSGYLAPEYLDAGNLTYKVDVYAFGIVLLELITGRRISELEHFNGFSYLPEWIHPLRLLEPSQILQNVRSLKPCFNSEESLEFNLQLQAMARAASLCLRVDPDARPPMSKILRVLEGGDPVHPMGLDINSIGNTSGHLSGLKSLTPPEGTITHSRTLSH >Vigun08g019700.1.v1.2 pep primary_assembly:ASM411807v1:8:1686005:1693006:-1 gene:Vigun08g019700.v1.2 transcript:Vigun08g019700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDETVGSRSARHTAASATVSNKVLVAVKAEKVISNTALAWALTHVVHSSDSITLLAVYSAQKTGRRFWHFSRIAGDCTTGPAAKLPERISDISESCAQMVLQLHNQVEVRVKIKVVTGTPSGAVAAEARWSGSHWVILDKKLKQEVNHCMDELNCSIVVMNGSQAKILRLNLGCSDELQTPFFSATSSPGIEIAKLKGGRLMHSTPVGSPEEAGTSVIRDIGVNSGSSSESLTSPFLVYEQNPLYEGQGPRKNIHKEINEPIDFNVQPPLYFDIERDRPPPLWPRPTPSLSSQNKTVFWIPQNHIVDEKFRKTKNNSIIQRTKSPSSKTLLENFIRCDQEMRRNEYGFEQAQSRSYDSGNRDSSIPLGRTTSIPPPLCSQCQNKAPVFGKPPKRFSYKELEEATDMFSDVNFLAEGGFGVVHKGILKDGQVVAVKQLKFGGSQADLDFCREVRVLSCAQHRNVVLLIGFCTQSNLRILVYEYICNGSLDLYLYGDESMPLDWNSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVADFGLARWHSEWNIDTEDRVLGTSGYLAPEYLDAGNLTYKVDVYAFGIVLLELITGRRISELEHFNGFSYLPEWIHPLRLLEPSQILQNVRSLKPCFNSEESLEFNLQLQAMARAASLCLRVDPDARPPMSKILRVLEGGDPVHPMGLDINSIGNTSGHLSGLKSLTPPEGTITHSRTLSH >Vigun06g100000.2.v1.2 pep primary_assembly:ASM411807v1:6:23027605:23033493:-1 gene:Vigun06g100000.v1.2 transcript:Vigun06g100000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGETMMAAYLRVPMLTFSQPSTATTTRCRNQRTRCSINAATNSAKIPMPPHNPKDPFLSKLASVAASSPETLLNPPRNSDTPPFLDIFDSPKLMATPAQVERSVSYNEHRPTRPPPDLPSLLLNGRIIYIGMPLVPAVTELVIAELMYLQYMDPKEPIYMYINSTGTTRDDGETVGMETEGFAIYDAMRQLKNEIHTVGVGAAIGQACLLLSAGTPGKRFMMPHAKAMIQQPRIPSSGLMPASDVLIRAKEVITNRDNLIKLLAKHTGNSEETVAKVMRRPYYMDAIKAKEFGVIDRVLWRGQEKIMADVAPPEEWDKGAGIKVVDEF >Vigun06g100000.1.v1.2 pep primary_assembly:ASM411807v1:6:23026730:23033493:-1 gene:Vigun06g100000.v1.2 transcript:Vigun06g100000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGETMMAAYLRVPMLTFSQPSTATTTRCRNQRTRCSINAATNSAKIPMPPHNPKDPFLSKLASVAASSPETLLNPPRNSDTPPFLDIFDSPKLMATPAQVERSVSYNEHRPTRPPPDLPSLLLNGRIIYIGMPLVPAVTELVIAELMYLQYMDPKEPIYMYINSTGTTRDDGETVGMETEGFAIYDAMRQLKNEIHTVGVGAAIGQACLLLSAGTPGKRFMMPHAKAMIQQPRIPSSGLMPASDVLIRAKEVITNRDNLIKLLAKHTGNSEETVAKVMRRPYYMDAIKAKEFGVIDRVLWRGQEKIMADVAPPEEWDKGAGIKVVDEF >Vigun01g130242.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30790972:30791298:-1 gene:Vigun01g130242.v1.2 transcript:Vigun01g130242.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAANPAVETTRAWKGQHGRAANSDNTSLDNDETFNSFIRRAKGKLRTVSHIGREQSNVTPVPLRDDDEANARDNLNDQFSNFIKSSKKKLRNTSSMRKHGSFNRR >Vigun11g040233.1.v1.2 pep primary_assembly:ASM411807v1:11:5708710:5710807:1 gene:Vigun11g040233.v1.2 transcript:Vigun11g040233.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFPTSSRIGEWKDVPLRSGYEWVDSVVREYFSKYKWSFSIRRFAESYAILDEDSPDEAVSLDRVGRVDNACHGREGYSDEFFYMYSVLFTNLHVRLPFDEFTVGVLRTLNVAPSQLHPNAWAALQAFRFLCRILGLKPSPAVFLYHYSTRPKEPVKWLSLFGQPRVVVNLAGCSYFFDGDTPKFPFYWTRNPLHYDAWPRTMMSTEDCEVLNLLDSLPRRLPTKRIVAILNSPRPRGDMLALMASHEGVGAGQKSRFHLLREKLNERKKGGDPTPGMSAAIQSKIVASTSSPRPPPAAEKKRKKTTPKDGGSRLSSPKRSRVSEDTSYQRLMGSEMQIYDGMSITISQEEANLITETPVPTLIKAFVEYQSRALVIGRHIDHELIKVGETEDLEAEVALLKKQLRAANFEKEKLSGELEKVKKTAEEVSVLKRALTEMKTANADLDKEVWELWESVVEEHELGFRKALRQAALLFEIPLDDGHFDVGKDVYQKSLVRIKDIPSIPDQSEDIPSTPSTEAVEGGKDDTDAGTRDEQ >Vigun07g067800.1.v1.2 pep primary_assembly:ASM411807v1:7:8091465:8092473:1 gene:Vigun07g067800.v1.2 transcript:Vigun07g067800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVKHLLAAMVFVLLFSLDKHCLGLCSEYPDCDGTCKKASYQIGQCVHVDPNTGFCCCAKFMVKPSKMEQFN >Vigun08g022000.1.v1.2 pep primary_assembly:ASM411807v1:8:1925282:1930532:1 gene:Vigun08g022000.v1.2 transcript:Vigun08g022000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKNHVSPVPRESDEKHLVTVLSIDGGGIRGIIPGIILGFLESELQRLDGDDDVRLADYFDVIAGTSTGGLVTAMLTAPNENNRPLYAAKDLKRFYLENSPKFFPQNKCWNLIASVMKFSKTMFGPSYDGKYLHQLIRERLGETRLHQTLTNVVIPTFDIKRLQPTIFSTFQLRKRPDLNALLSDICISTSAAPTYLPAHYFETKTQHGHVMGKFDLIDGGVAANNPTLVAMAEVTNQISHEGQGGSLKVEAMQYDRFLVLSLGTGSQKQESKYSAKEAADWGILSWVSTTSGSTPLIDAFTQASADMVDFHISSVFRALNSEQNYLRIQDDTLTGNLSSVDMATEENLNELVSVGESLLDKPVSRINLKTGVYESARPSETNKEALTRFAIRLSKQKRFRKSEMSANNGNSQKTVV >Vigun09g229600.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142951:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142995:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142947:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142947:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142947:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.8.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140132:40142897:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun09g229600.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40140056:40142947:-1 gene:Vigun09g229600.v1.2 transcript:Vigun09g229600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLLRNWLQYSPRKRKYLLLIAFFGASGYAAYRAYNSPYVAQKRRRISKLLRTFVTVAELISDSAHSLTVISNDLHQFLASDSDEIPTSLKQLAKIAKSEEFSTSLARVSEAVTIGILLGYNSRVGENNNGIAPLEITAEASRFTDGVLEKLFSNAGTGFASVVVGSFARNLVLGFYAAESTGERSEVPRWVNAICDERCGKLIGDCVQIFVSTAVAVFLDKTMNVNTYNEMFAGLSNPRHQEKLKEVLVSIVNGAVETFVRTSHQVLSNRSGRSNSGLSMSSVVVPASDDGCLKQEVFLQQVRIGSSVGGSQDAGWFEQIKSTLAVPANRRFVLDVTGRVTLETVRSFVAFLMWRISDGFKSSVCKVHDEVVNRGLELVRYIGAKSSVIFTLCLALYLHIVGGSRIVLPA >Vigun03g422600.1.v1.2 pep primary_assembly:ASM411807v1:3:62811214:62812739:1 gene:Vigun03g422600.v1.2 transcript:Vigun03g422600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKLTELYVPRKCSATNRLITAKDHASVQINIGHLDENGIYNGHFSTFALCGFVRAQGDADSALDRLWQKKKPEVKQH >Vigun03g422600.2.v1.2 pep primary_assembly:ASM411807v1:3:62811225:62812739:1 gene:Vigun03g422600.v1.2 transcript:Vigun03g422600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKLTELYVPRKCSATNRLITAKDHASVQINIGHLDENGIYNGHFSTFALCGFVRAQGDADSALDRLWQKKKPEVKQH >Vigun10g080100.1.v1.2 pep primary_assembly:ASM411807v1:10:22025579:22029039:-1 gene:Vigun10g080100.v1.2 transcript:Vigun10g080100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMASTPRTVEEIFKDYTARRTAIVRALSQDVDEFYGLCDPDKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFGLINDLPTVFEVVTERKPVKDKPTADSGSKSRGSTKRSSDGQVKSNPKFADEGYEEEDDEHSETLCGSCGGNYNADEFWIGCDICERWFHGKCVKITPAKAESIKQYKCPSCSLRRGRP >Vigun03g207500.1.v1.2 pep primary_assembly:ASM411807v1:3:33933900:33936193:1 gene:Vigun03g207500.v1.2 transcript:Vigun03g207500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNVQNQTMRLVLSTDAKPRLKWTPELHQRFTEAINQLGGAEKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKNSPLETCSDNKQQGFSEIKSSDGHCSREICTGTQNQMNESLKIAEALQMQMEVQRKLYEQIEVQRHLQLRIEAQGKYLQSVLSKAHETLARYDSSTTGIEVAKSELSQLVSIINNACPTSPISELTETRGLSLNCGEMKQDRGTMCSLESSLTSSESSGRTEVREPLDEAEKPQKTNGIISVELPLMEIHPEEGTAFKGDTSEGRKRSAGTDSNGCCVDQPCGKKCGNKFRKCELSDMLDLNSQYQRDIDSSVKEIDLNCSSSFWGQ >Vigun03g207500.2.v1.2 pep primary_assembly:ASM411807v1:3:33933900:33936193:1 gene:Vigun03g207500.v1.2 transcript:Vigun03g207500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNVQNQTMRLVLSTDAKPRLKWTPELHQRFTEAINQLGGAEKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKNSPLETCSDNKQQGFSEIKSSDGHCSREICTGTQNQMNDRSLKIAEALQMQMEVQRKLYEQIEVQRHLQLRIEAQGKYLQSVLSKAHETLARYDSSTTGIEVAKSELSQLVSIINNACPTSPISELTETRGLSLNCGEMKQDRGTMCSLESSLTSSESSGRTEVREPLDEAEKPQKTNGIISVELPLMEIHPEEGTAFKGDTSEGRKRSAGTDSNGCCVDQPCGKKCGNKFRKCELSDMLDLNSQYQRDIDSSVKEIDLNCSSSFWGQ >Vigun10g046100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:6775357:6775671:-1 gene:Vigun10g046100.v1.2 transcript:Vigun10g046100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKWSMKVWFFWFLLLSMVAFSHGKTEEDEMKMTETKEESCVRKLSDRKNSELPPPSNPYHRGCSPITRCRGGGPNP >Vigun06g106600.1.v1.2 pep primary_assembly:ASM411807v1:6:23580458:23585289:1 gene:Vigun06g106600.v1.2 transcript:Vigun06g106600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWARSQEKGVMGVEWLLVCHGLVTAVVVVSFLCGRWPIFEGTFIQRIHYFLTFGAYDYFLRFVGAVFGHKCMDAVLSVEYYCCDRPNPLLQIIYIVIIGVTYYFVANSCFAYIPGYYISGIHRYTSLLAVAVGIMLFLLTSFSDPGTVNAENVSHYISAYPYDNIIYSEKECSTCKIQKPARSKHCSICDRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLICLYGTVAIGLILAGRLRELRVVDILTVYYGIENSFLDLAPNVVQWLLGSYNTQILLMVFLAIIGMLLAGFFGYHAKLCITNTTTNETFKWQDYIEWQRKLREAKVSAEALKQSIDGISSEKQPSSSKWRAFFRKSPLEDVVVVKNNVYDKGFFHNIQEVISPFSARWSFTQNKLKSR >Vigun10g065925.1.v1.2 pep primary_assembly:ASM411807v1:10:14858526:14858958:-1 gene:Vigun10g065925.v1.2 transcript:Vigun10g065925.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILIAILLLTLVSQCYCQCSLSDIHVTQSGTGHKVNGKPEWTVTITNRCACVQTNVQLNCKGFQTVEAVNPSLLKFSGDACLVSSGQPLFNGAIVFNYAWDTQFPLNPISSNISC >Vigun07g262400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37833206:37836518:1 gene:Vigun07g262400.v1.2 transcript:Vigun07g262400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRFIQMVEEKKKKIMERKEAPLKWEQKLEAAAEAKERKLKVAKHRKRSVSDSESDYDSDDESRRTSKRSYRKHRKHSHNDSGDHEKRKEKGSKRKTKKWSSESSDFSDDDSESSFEEERRRKKKQSKRLRDRGSRSDSSDSDEGESPVRKRNHGKHSKRHRRSECSESDLSSDKSDDALRKKGHSRHHKHHKRSHNVELRSSDSDYNSNGRRSRSKSLEENSEEQNKRSMHKKPGRHHRHHHHHHHKHRHHLDDERNHRQQHFPKSNSKHDEQFDKTETEKKDGDHHENRAMAENNDDQIV >VigunL039113.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000012.1:346261:347378:1 gene:VigunL039113.v1.2 transcript:VigunL039113.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKTGCQERRGGRGKLSVPGSPVAGSSGTTRILKELLFGEHSTMKVVSCVRGELLSIVGLYGRISRGLRRSGLPCGGCQRFESAYLQLVNLVDTKFDLCYDFSFMDVDKILPSSSTLGWHSLQVKGEVQTKKGLCVDPEIPDIGQPFELLLNPRAGKRQPGELKHLSSQRKRKQKRFP >Vigun08g160300.1.v1.2 pep primary_assembly:ASM411807v1:8:33283577:33284639:-1 gene:Vigun08g160300.v1.2 transcript:Vigun08g160300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEKSKRVLRVVKIVFFLITMVFSLLIFSAPVLFAIADALVPSVLFSVFSSSSLFSHFQNYHFGYSLIDMPLLSIARSLLILCVYSFCDGPKLSRGPYLGVTMLCSVMSLIFVCFKVICLFSYDTSVGERELLRYDRGSQITLFVWSCSLAVAHVVVAYRTSCRERKKLLVYKIDIEGVRACSSSYFISLHDKTEKEENDICLFSCK >Vigun08g160300.2.v1.2 pep primary_assembly:ASM411807v1:8:33283577:33284646:-1 gene:Vigun08g160300.v1.2 transcript:Vigun08g160300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEKSKRNYHFGYSLIDMPLLSIARSLLILCVYSFCDGPKLSRGPYLGVTMLCSVMSLIFVCFKVICLFSYDTSVGERELLRYDRGSQITLFVWSCSLAVAHVVVAYRTSCRERKKLLVYKIDIEGVRACSSSYFISLHDKTEKEENDICLFSCK >Vigun08g160300.3.v1.2 pep primary_assembly:ASM411807v1:8:33283577:33284639:-1 gene:Vigun08g160300.v1.2 transcript:Vigun08g160300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEKSKRVLRVVKIVFFLITMNYHFGYSLIDMPLLSIARSLLILCVYSFCDGPKLSRGPYLGVTMLCSVMSLIFVCFKVICLFSYDTSVGERELLRYDRGSQITLFVWSCSLAVAHVVVAYRTSCRERKKLLVYKIDIEGVRACSSSYFISLHDKTEKEENDICLFSCK >Vigun01g093100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25523579:25525950:1 gene:Vigun01g093100.v1.2 transcript:Vigun01g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSRFLLHTFTPSSTMAPFIIFNSKLQSRVLVLVLNLAFIFLFCLLLNLYLHPPNSDSQGHSNASLLTIFNHARLFRDVSVEGCTDIHKYSDFDSKCLYVKSNLECRSKGYINYLQIFYCNSGKFPILGQTLLALWLIVLFYLLGDTASNYFCSSLEGLSNILRLSPTIAGVTLLSLGNGAPDFFASVVSFSGSSSNGAVGLNSILGGSFFVSCVVLGVISILVSPNQVEVEKASFIRDVLFFLLSLFILLIIIYIGKITLFASICYVSIYFLYVCAVSATHLIYGKDTTNARQYSMSCEESLAPSIPLLGYVDEEKQSLAEIVVVEDKDQNQKHKPASSTFGDDNNSFFDWLYFGKLLQLLELPLCLPRRLTIPVVSKEKWSKPFAVISVTLAPVLLAVLFNTQSENVASRSSIVTYIVAALIGIVLGNMACVTTDRCSPPTKSLFPWLAGGFAMSVTWTYIIAAELVSVLVSIGSIVGVSPSVLGLTVLAWGNSLGDFIANGAMALNGGADGVQMAISGCYAGPMFNTLMGLGLPLVLSALSDNPDPYVIPKDPSLYATLLFLMGGLLWALVILPKKSMKLDKSLGVGLLSVYLCFLVIRIALAFGVVKF >Vigun05g293100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47930659:47931366:-1 gene:Vigun05g293100.v1.2 transcript:Vigun05g293100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILITVLLLISAVIAEDVVDKADNPIDDAATSETHDPVEYVGTNEIANLAKHVSISLAINQIFNGQSQAYESPRLRRFVTHCSSHVVETCSGNYPMHLGGEINGQLKLSLCLFDSMEACLVDHKVSLYQATSSYKPKESIQYLPVFIQTIKFQTVLRTCSHVSAQSCLTGSNVDASVLSNCLLPSLNWCVYPTVIRNPFLYQSLPLPPPSPPRPPSGPRPPSSPRPCMTSFFT >Vigun08g131600.1.v1.2 pep primary_assembly:ASM411807v1:8:30237065:30238998:1 gene:Vigun08g131600.v1.2 transcript:Vigun08g131600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDAAKLLRAHAHVWNHIFSFINSMSLKCVVELGIADIIHSHAQPISLSTLISSLPIHSSKTHFIPRLMRIMVHSGFFSQHNHTENEQEVTYALTDASLLLLKSNPMSVTPFLQAMLDPVLTTPWHHFSNWFKNGNLTPFELAHGKLLWEYAGSDPRINILFNDGMASDAQLITRVVIEKCKEVFMGLESLVDVGGGTGTMGKAIAESFPQLECIVFDLPHVVSGLQESENLKYVGGDMFEEIPPTDAILLKWILHDWSDEECVKILKKCKEAIWKKGKEGKVIIIDMVMDNEMKDEESVKTQFFFDMLMMVLVKGKERNQKEWVKLFSSAGFKNYDITPVLGSRSLIQIYP >Vigun07g237200.1.v1.2 pep primary_assembly:ASM411807v1:7:35909432:35912111:1 gene:Vigun07g237200.v1.2 transcript:Vigun07g237200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSRFPLLLLLGVVFLASVSLSFGIAYWEKENLSHNKCLRSCSSEKNTYRHQACHARCNLLNEDKEHQEREHSLPRPRPQEEEEKEDESRQQRPFPIPFPIPIPRRPREHEQHHESEGSESSRKQKNPFYFSSNRFFTFFKNSHGHIRLLQRFDQQSKQLQNLQDYRLLETQLKPHTLLLPHHVDADYIIIILSGRAILTLENPDERDSYNLQSGDVQKIPAGTTLYLINPDNEETLKVMALARPVNSPGRIENFFLSSTEFQQSYLQGFSKNILEATFDTQFKEINRVLFGEEEGQQQDDEESQQKGVIVKLSKEQIRELSKHAKSSSKKTISSKDKPFNLRSGSPIYSNTLGRFYEITPEKNPQLRDMDVFVSFVDMKEGALLLPHYNSEAMVMLVVNEGEANAELVGVREQQQEESGEVQRYRAELSDEDVLVIPATYPVAINATSNLNFFAFGINAENNQRNFLAGEKDNVMSDIPKQVLEVAFPGSGEETVKLIKKQTESYFVNAQPQQKQSQEKEKGRNPLYSILKAFY >Vigun02g076300.1.v1.2 pep primary_assembly:ASM411807v1:2:22871763:22876573:1 gene:Vigun02g076300.v1.2 transcript:Vigun02g076300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRISRLLSPSFLSATTNSLFSRGGSGTLVGGLSKFSTTAAATEEPIKPAVRVEHTQLLIDGKFVNSASGKTFPTLDPRTGDVIAHIAEGDHEDVDRAVAVARKAFDHGPWPKMTAYERQKVLLRAADLIEKHTDEIAALETWDTGKPYEQVAKVEIPIIVRLFRYYAGWADKIHGMTVPADGPYTVQTLHEPIGVAGQIIPWNFPLLMFTWKICPALACGNTVVIKTAEQTPLSAFYASKLLHEAGLPPGVLNVISGFGPTAGAAIATHMNIDKLAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIVCEDADVDEAVEQAHFALFFNQGQCCCAGSRTFVHESVYDEFVQKAKARALKRSVGDPFKVGIEQGPQIDSEQFEKILKYIRSGVESGATLETGGDRFGNSGFYVQPTVFSNVKDDMLIAKDEIFGPVQSILKFNDIDEVIERANNTHYGLAAGVFTKNIDTANTLSRALKAGTVWVNCFDIFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVITPLKNPAWL >Vigun03g011400.1.v1.2 pep primary_assembly:ASM411807v1:3:763475:771393:-1 gene:Vigun03g011400.v1.2 transcript:Vigun03g011400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSLIGLINKIQRACTVLGDYGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDNGTQEYAEFLHLPRKRFTDFAAVRKEIADETDRITGKTKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKIAREVDPSGERTFGVVTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMIAARRKEREYFETSPEYGHLAHKMGSEYLAKLLSQHLEQVIRQKIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHLEGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQRVVTEADGYQPHLIAPEQGYRRLIEGSIGYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPTLSNDIATAANEALEKFREESRKTVTRLVDMESSYLTVEFFRKIHFEPEKNPNGPPNPNRNGPPNMDSYTDNHLRKIGTNVSSYINMVCDTLKNTIPKAVVHCQVREAKRSLLNYFYVQVGKKEKEKLGAMLDEDPALMERRNQIAKRLELYKQARDDIDSVAWK >VigunL059216.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:11673:12032:-1 gene:VigunL059216.v1.2 transcript:VigunL059216.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun04g120100.1.v1.2 pep primary_assembly:ASM411807v1:4:30769280:30770936:-1 gene:Vigun04g120100.v1.2 transcript:Vigun04g120100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLKSSKSLYDFVVRDGNGVKGLVDSGMSEVPERYIQPPRERINKEDSRACDSPPIDLSKLNGAEHEKVVDEIGRAAETLGFFQVVNHGVPLELLESLKDAAHTFFSLPPEQKAVYCSGVSPCPRVKYGTSFVPEKEKALEWKDYISMTFSSDEEALQCWPNQCKEVALEYLKLSTKIVRDIVEVLIGKLGVTLKDSEMEGLLGTKMVNMNYYPACPNPELTVGVGRHSDMGAITVLLQDGIGGLYVKVDQQNDAHKGQWLEIPPVPGALVINIGDTLQILSNGRYKSAEHRVRTTSSQSRVSVPVFTMPIATERIGPLPEVVEKDGLARYREVLLQDYMNNFFGNAHAGKSSLDFARIN >Vigun03g172200.2.v1.2 pep primary_assembly:ASM411807v1:3:20943843:20953590:-1 gene:Vigun03g172200.v1.2 transcript:Vigun03g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSAGFSSPPQEGEKRVLDSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNREVDGHIPNYPSLPPQLICQLHNVTMHADTETDEVYAQMTLQPLSPQEQKEAYLPAELGTPSKQPTNYFCKILTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQPVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGMPSFHGLKDDDFGLNSSLMWLRDTDRGLPSLNFPGIGVSPWMQPRLDPSMVNYQTDVYQAMAAAALQDMWTSDPSKQHPTSLLQFQQPQNFLNRTSPSVQTQILQQSQSQQSFPNSQEIPHPSQSQAQSITHFQQHLQHQHSFNNQNQHHLLQQQQQQQQSQQPQQQQSQQPQQQQQQLQQQQVVDHQQISSAVSSMSQFVSAPQSQSPPMQAISSLGHQQSFSDSNGNPVTNAIVSPLHSILGSFPQDETSHLLNLPRTSWVPVQPSTAWPPSKRVAVDPLLHSGASQCVLPQVEQLGQPQSTMAQNAITLPPFPSRECAIEGSTDPQNHLLFGVNIEPSSLLMHNGLSSLKGVSSNCGPPTIPFQSSNYLNTPSTDSSMNPGMTHNIGDSGFLQTSENGGQGNPPIKTFVKVYKSGSFGRSLDITKFTSYHELRGELARMFGLEGELEDPVRSGWQLVFVDQENDVLLLGDGPWPEFVNSVGCIKILSPQEVQQMGNNGLELLNSVPIQRLSSGMCDDYAGHEDPRSISTGITTVGSLNY >Vigun03g172200.1.v1.2 pep primary_assembly:ASM411807v1:3:20943843:20953590:-1 gene:Vigun03g172200.v1.2 transcript:Vigun03g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSAGFSSPPQEGEKRVLDSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNREVDGHIPNYPSLPPQLICQLHNVTMHADTETDEVYAQMTLQPLSPQEQKEAYLPAELGTPSKQPTNYFCKILTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQPVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGMPSFHAGLKDDDFGLNSSLMWLRDTDRGLPSLNFPGIGVSPWMQPRLDPSMVNYQTDVYQAMAAAALQDMWTSDPSKQHPTSLLQFQQPQNFLNRTSPSVQTQILQQSQSQQSFPNSQEIPHPSQSQAQSITHFQQHLQHQHSFNNQNQHHLLQQQQQQQQSQQPQQQQSQQPQQQQQQLQQQQVVDHQQISSAVSSMSQFVSAPQSQSPPMQAISSLGHQQSFSDSNGNPVTNAIVSPLHSILGSFPQDETSHLLNLPRTSWVPVQPSTAWPPSKRVAVDPLLHSGASQCVLPQVEQLGQPQSTMAQNAITLPPFPSRECAIEGSTDPQNHLLFGVNIEPSSLLMHNGLSSLKGVSSNCGPPTIPFQSSNYLNTPSTDSSMNPGMTHNIGDSGFLQTSENGGQGNPPIKTFVKVYKSGSFGRSLDITKFTSYHELRGELARMFGLEGELEDPVRSGWQLVFVDQENDVLLLGDGPWPEFVNSVGCIKILSPQEVQQMGNNGLELLNSVPIQRLSSGMCDDYAGHEDPRSISTGITTVGSLNY >Vigun10g104100.1.v1.2 pep primary_assembly:ASM411807v1:10:29845695:29850534:1 gene:Vigun10g104100.v1.2 transcript:Vigun10g104100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSSFASKFQCSDVDDADPYSACDDEIPTVDYSLLFSNNPNQQLHALQCLRHACLEYGFFYLVNHSIPDEVHENMLKGISDFFNETTLDERKIYSKKSPSDKMRWELNSYSGENREYLKVVAHPQYHFPSKPSGFSKTLEEYGKAMRRVEIGLARAVSKTLGFEEQFIEKELELKSGFDVMAMNLYPPNAKSNGAVGLSEHTDPGFIISLIQDINGGLQILSHKGNWINAYIPHHAILIQLGDQLEILTNGMYKSHIHRVIVGNNKVQRISVVGIHGPSLDKLISPSTQFVDEEHPKKYREMTFKESLVVNGDDEIDVQSSLEKARLV >Vigun11g009100.1.v1.2 pep primary_assembly:ASM411807v1:11:1049822:1055046:1 gene:Vigun11g009100.v1.2 transcript:Vigun11g009100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQDENSDTKEVICPQRRLTNLMHHGGNKFCADCGTPEPKWVSSSLGVFICIKCSGIHRSLGVHISKVLSLKLDEWTDEQVDALAKLGGNTLLNKKYEACIPSNMRKPKPHSSIEERSEYIRRKYEMQQFMGCDDNGAGPIVPSSQGRSVSLAQCSSSYYHSIIDKKPSERFPGRSRIGNAFRNSWGRKDSEHKSKKSTSLAGMVEFVGLIKVNVVRGTHLAVRDVMTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDFMGEAEIDIQPLVIAAKAYEKSNINESMQLGKFVASKDNTLVRDGIISLDEGKIKQEISVRLQNIERGELELELECVPLTQ >Vigun09g279000.3.v1.2 pep primary_assembly:ASM411807v1:9:43871546:43879402:-1 gene:Vigun09g279000.v1.2 transcript:Vigun09g279000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNSLPSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVAFLRSITPETQQDHLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLEEIQSKLLENLSRLQHAPSVQFQERPPDSDLGEAEEDHDGDETWDPDSDMDVDVERELVPSKVKKEIVEPQLNGLD >Vigun09g279000.1.v1.2 pep primary_assembly:ASM411807v1:9:43870577:43879405:-1 gene:Vigun09g279000.v1.2 transcript:Vigun09g279000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNSLPSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVAFLRSITPETQQDHLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLEEIQSKLLENLSRLQHAPSVQFQERPPDSDLGEAEEDHDGDETWDPDSDMDVDVERELVPSKVKKEIVEPQLNGLFQDDQRRSREHLRVSDTAVAETCMKALDISSQKADEDNVKVEQNTVNDLTK >Vigun09g279000.4.v1.2 pep primary_assembly:ASM411807v1:9:43870583:43879369:-1 gene:Vigun09g279000.v1.2 transcript:Vigun09g279000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNSLPSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVAFLRSITPETQQDHLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLEEIQSKLLENLSRLQHAPSVQFQERPPDSDLGEAEEDHDGDETWDPDSDMDVDVERELVPSKVKKEIVEPQLNGLFQDDQRRSREHLRVSDTAVAETCMKALDISSQKADEDNVKVEQNTVNDLTK >Vigun09g279000.5.v1.2 pep primary_assembly:ASM411807v1:9:43870583:43879369:-1 gene:Vigun09g279000.v1.2 transcript:Vigun09g279000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNSLPSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVAFLRSITPETQQDHLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLEEIQSKLLENLSRLQHAPSVQFQERPPDSDLGEAEEDHDGDETWDPDSDMDVDVERELVPSKVKKEIVEPQLNGLDDQRRSREHLRVSDTAVAETCMKALDISSQKADEDNVKVEQNTVNDLTK >Vigun09g279000.2.v1.2 pep primary_assembly:ASM411807v1:9:43870577:43879405:-1 gene:Vigun09g279000.v1.2 transcript:Vigun09g279000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSNSLPSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVAFLRSITPETQQDHLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHLLEEIQSKLLENLSRLQHAPSVQFQERPPDSDLGEAEEDHDGDETWDPDSDMDVDVERELVPSKVKKEIVEPQLNGLDDQRRSREHLRVSDTAVAETCMKALDISSQKADEDNVKVEQNTVNDLTK >Vigun01g100400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:26773217:26773984:1 gene:Vigun01g100400.v1.2 transcript:Vigun01g100400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSPKKPTMASLQLLPLTKLRYQKLRHEKGSDEERDRVSEKPWSRFRFKRVPIRRRFRLKISSLRKLWRRRPRLVSSVRVSYAKVLKRFKDGQVHFGDLFAGNYFFMHVNPASLKCIQRDLYLSKIQ >Vigun05g121400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:13355819:13356136:1 gene:Vigun05g121400.v1.2 transcript:Vigun05g121400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKPISAFIFNHRFWTNIFSFKHHHLRPVIRKSSRCFTTPLRVLLHPEQHQTLTFFPITYIQSKQRRKRNRRRVGVGVGESPATSITSGPATVGLGIVAPKTW >Vigun09g209700.1.v1.2 pep primary_assembly:ASM411807v1:9:38408887:38415992:-1 gene:Vigun09g209700.v1.2 transcript:Vigun09g209700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSNLLPANGLEEVYQNGINGKLSNSGMDGIASNDPAVTKITQTEASNGISENFIQYDSIATDYSSKEEIKEGSIDYLGVNNVTISKEEEAEIVEQTEQSKAGKGPVKNKNAKSPSPRGVHGSSIKKNKDGKGEEVASTVSNGTFSSDSIPRQHIKNRSLVDKQARLSKHAGKSSVAPSEASMEKNRPRLSKKGAPDNLNLQGEAESSSPTAEDGKLRRVGMLPNYGFSFKCDERAERRREFYTKLEEKIHAKEVEESNLQAKTKETQEAEIKMLRKSLGFKATPMPSFYQEPPPPRVEFKKMPTTRAKSPKLGRKKNSAVSETEGNTSNSVRQSRLSLDEKVSQTNVTKGVTLVHQKKPQRKSLPPRLTSEKTSSSNRTPSKAVNDDKTSLSNVTTEVTILSISTGEEKVEVVAATEDSNVLLDETNKTLPLIVEPSEANSPAKNGDLAIEEKPQQLTLSQEPIVAEL >Vigun04g202600.1.v1.2 pep primary_assembly:ASM411807v1:4:42554000:42556306:1 gene:Vigun04g202600.v1.2 transcript:Vigun04g202600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSVNRPLLRLHHKQPSLPVGVAPQLHFHRQPKLAGIRCSSSASHFTDKTLISIKPNTSHLNHDPLVCRFNASQFGGQESSKLVEAYAVGRNIRMSANKARRVIDQIRGRKYDETLMILELMPYRACEAILKIVFSAGANASNNLGLSKGSLVISKAEVNEGRTMKRVKPVARGRAHPIQKRTCHITITVKGSPSESIVEANPA >Vigun04g202600.2.v1.2 pep primary_assembly:ASM411807v1:4:42554164:42556225:1 gene:Vigun04g202600.v1.2 transcript:Vigun04g202600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSVNRPLLRLHHKQPSLPVGVAPQLHFHRQPKLAGIRCSSSASHFTDKTLISIKPNTSHLNHDPLVCRFNASQFGGQESSKLVEAYAVGRNIRMSANKARRVIDQIRGRKYDETLMILELMPYRACEAILKIVFSAGANASNNLGLSKGSLVISKAEVNEGRTMKRVKPVARGRAHPIQKRTCHITITVKGSPSESIVEANPA >Vigun03g135500.1.v1.2 pep primary_assembly:ASM411807v1:3:13283407:13285614:1 gene:Vigun03g135500.v1.2 transcript:Vigun03g135500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVEEYRCFIGGLAWSTSDRKLKDTFEKFGKLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIDAMNGMDLDGRTITVDRAQPQQGSTRDDGDRYRERGRDRDRNRDYGGGGGRGSNGGECFKCGKPGHFARECPGEGSRGGGRYGGRESRYGGSSGGGGGGGGHYGPDRNADRSSGGRSRDGGSHGDSGNDRYHRDRAGPYERERRGSGGFR >Vigun02g073300.1.v1.2 pep primary_assembly:ASM411807v1:2:22475365:22479760:-1 gene:Vigun02g073300.v1.2 transcript:Vigun02g073300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFVVESVLHNLNTLIQKQLKPFLSFNQDLRRLAVMFSTIKPLLEDAEEKQFSNIEIRNWMQKINMLLKWSEYRGVKGFVSDKVQCSSLSSFHPKHIVFVYKIAKRVKRISEKLIEILEEKEENNHYEFMDVLSVYRIEGPKGIGKTTFAKLIFNHPGVVDHFELRIWVRRILDFNFKRIIEAILEAAIGCDCEDLDLDTIQKKLQCLLHGKRYLIVLDFNWYRDSVDVDWQRLKSELACGKKGSSILITTPSITTPSPMYGRKWDGEIHHKLSVLSVDDSWKLFKQQVFESNEEIPFHLEAIGREIVQKCRGEPLAAKLIGALLHSTRERKQWLNVMRSNIWNSPQVHDSVKCAMTLSYLNLPIRLKKCFTYCSIFSSVETIRKPYLIELWMANGFISSDGRLDAEDAGDDVWNELHKRSFFEDIETDEFGNNTGFRMHYHVRKLARLVAQDVQCLTGDSRVTYSSAISHLSDYRRRIELNSIGLQQIKSLRTYLIPNQYSDQISPNVLKCQYLRVLQLRLKGELSPSIGDLKHLRYLNVSKSDFKTLPEFLCKLWNLQILKLDYCKHLQKLPDRLIELRCLEKLSFKGCHKLSSLPPHMGKLFSLKSLTSFFVGNERGFLLEELGGMRLKGDLEMKHLGRVSVKDAMEAKMSRKRLKELRLSWDRNEETKLGENVEKIVEVLQPDTQELVSLTVTAYPGGRFPRWVLSPFLKKLQIERCRELKGLDEAIQAMTGLQWLRLYNLPNLEYLPDFFDDLLYLRQLAIGFCCKLRSLPDSLLWNRLERLDIYACPALEKHLCRWPTRFSVSEIRVDGCLIKCSRANNPVHAVITTMLSSLMKRKVAPAPLPCPSRTPFFVEFQTSLQAPFILVKHLPTLTAIFARHKPRIPTMLTHEAGDAVAAMEMEGGRSCTRHVKMKEKEEMS >Vigun02g088500.1.v1.2 pep primary_assembly:ASM411807v1:2:24311973:24322445:-1 gene:Vigun02g088500.v1.2 transcript:Vigun02g088500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELPEIWVLRLVVACAVCLHIFIRSVSGSATEGFENIACCADSNYTDPQTTLNYTTDYTWFPDRGSCRRPKIDLNEKVRLFSLDEGKRCYSLPTIKNKVYLIRGTFPFDSINSSFNVSIGVTQLGAVRPSTPQDLEIEIEGVFRATKDYIDFCLVKGEVDPFISQLELRPLPEDYLLHDLPASVLKLISRNSLWGTKDEIRFPDDPSDRIWKATSSPSSALQLSYNVSNFDLKSNMTPPLRVLQTALTHPERLEIHSSLDTEDYEYRVFLYFLELNSTVKEGKRVFDIYVNSEIQREKFDILARGSKYTYTVLNVSANGLLNLTLVKASGAEFGPLLNAYEILQMRSWIEETNQKDVEVIQKIREELLLQNQNTKVLESWTGDPCIFPWHGIECDGSNGSSVITKLDLSSSNFKGQIPSTVTEMTNLKILNLSHNNFNGYIPSFPPSSLLMSIDLSYNDLMGSLPESIVSLPYLKSLYYGCNKRMSEDTPANLNGSRINTDYGRCKAKEPRFGQVFVIGAITCGSLLITLAVGIIFVCRYRQKLIPWEGFGGKNYLMETNVIFSLPSKDDFLIKSVSIQTFTLEDIEVATERYKTLIGEGGFGSVYQGTLNDGQEVAVKVRSATSTQGTREFDNELNLLSAIQHENLVPLLGYCNENDQQILVYPFMSNGSLQDRLYGEPAKRKILDWPTRLSIALGAARGLAYLHTFPGRSVIHRDVKSSNILLDHSMCAKVADFGFSKYAPQEGDSNVSLEVRGTAGYLDPEYYKTQQLSEKSDVFSYGVVLLEIVTGREPLDIKRPRNEWSLVEWAKPYIRASKMEEIVDPGIKGGYHAEAMWRVVEVALQCLEPFSAYRPSMVDIVRELEDALIIENNASEYMKSIDSLGGSNRYSIVIEKRVLPSTSSTAESTITTQALSHPQPR >Vigun02g088500.2.v1.2 pep primary_assembly:ASM411807v1:2:24311973:24322445:-1 gene:Vigun02g088500.v1.2 transcript:Vigun02g088500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLRVLQTALTHPERLEIHSSLDTEDYEYRVFLYFLELNSTVKEGKRVFDIYVNSEIQREKFDILARGSKYTYTVLNVSANGLLNLTLVKASGAEFGPLLNAYEILQMRSWIEETNQKDVEVIQKIREELLLQNQNTKVLESWTGDPCIFPWHGIECDGSNGSSVITKLDLSSSNFKGQIPSTVTEMTNLKILNLSHNNFNGYIPSFPPSSLLMSIDLSYNDLMGSLPESIVSLPYLKSLYYGCNKRMSEDTPANLNGSRINTDYGRCKAKEPRFGQVFVIGAITCGSLLITLAVGIIFVCRYRQKLIPWEGFGGKNYLMETNVIFSLPSKDDFLIKSVSIQTFTLEDIEVATERYKTLIGEGGFGSVYQGTLNDGQEVAVKVRSATSTQGTREFDNELNLLSAIQHENLVPLLGYCNENDQQILVYPFMSNGSLQDRLYGEPAKRKILDWPTRLSIALGAARGLAYLHTFPGRSVIHRDVKSSNILLDHSMCAKVADFGFSKYAPQEGDSNVSLEVRGTAGYLDPEYYKTQQLSEKSDVFSYGVVLLEIVTGREPLDIKRPRNEWSLVEWAKPYIRASKMEEIVDPGIKGGYHAEAMWRVVEVALQCLEPFSAYRPSMVDIVRELEDALIIENNASEYMKSIDSLGGSNRYSIVIEKRVLPSTSSTAESTITTQALSHPQPR >Vigun03g401000.1.v1.2 pep primary_assembly:ASM411807v1:3:60775270:60777393:-1 gene:Vigun03g401000.v1.2 transcript:Vigun03g401000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHVENGFSFFAAVTLALHLVTATTLYPPHSSLNGAVRIVRVRRDGAGDFRTVTEAVNSIPSGNKRRVVVWIGIGEYREKVTVDRTKPFVTFYGERNESDSHTMPIITYHATALRYGTVDSATVAVESDYFVAVNVAFVNSSPRPDEKSVGAQAVAMRISGDKAAFYNCKFVSFQDTLCDDKGRHFFKDCYIKGSYDFIFGNGKSIYLRSTIESVAKGLSVITAQARESIAEDTGFSFLHCNITGSGNRNTYLGRAWKKSPRVVFAHTYMGSLISARGWFTDQLPQRNSNQTIYYGEYRCMGTGAVSSGRVKFRKTLSYEEAKPFMSMAYIHGGKWVVPPPKL >Vigun11g047100.1.v1.2 pep primary_assembly:ASM411807v1:11:7278973:7280054:-1 gene:Vigun11g047100.v1.2 transcript:Vigun11g047100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMHGSMLSHCIKNEGMLLLIKSTLSSLSQQKHYVTASGNNDLKGQYMEKVQETWWWEIYGG >Vigun11g047100.2.v1.2 pep primary_assembly:ASM411807v1:11:7278973:7279739:-1 gene:Vigun11g047100.v1.2 transcript:Vigun11g047100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMHGSMLSHCIKNEGMLLLIKSTLSSLSQQKHYVTASGNNDLKGQYMEKVQETWWWEIYGG >Vigun08g089400.2.v1.2 pep primary_assembly:ASM411807v1:8:20575775:20585069:-1 gene:Vigun08g089400.v1.2 transcript:Vigun08g089400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKLIPRKRASKNTTPVKNNRPKKNSTPKKKSTPKKKKSTPPNKTPNKTESEVASAGSAYKFDLRLEAKLSAEIDKKVYAGRRIHPFFTLWKEDNERQRRQALLARRKGKGISSSCHVFEDVQDNASPIDWGDWTFLDKTTVASFGTQGSNLSFMDGSVESLNFDNISSISKFSSASMPENVMCCPSQLSTQPDSNSKLEISSPNSVVLEKAKCLLKSEDVDVDLGLEVNENTFSGHKDIFRKQDIEPQSEFLQASMGSYYHSCEGNISLWTDKYKPKTVSEVCGNEEAMNFLRYWLHLWHKRPSQCTKSSSKECQRKPSQCRKRSSKKGQQDDTLDHAPENIDEGHVQSVLLITGPSGSGKSAAVYVCAQELGFKVVEINASSCRDGTAITDIKNKLEEPDAGKITRWLLPRSVEARPTLILVEDVDIIFPEDRGCITAIRQHFSATEKWPIIFTSNRNCGGLPKSFERLHVSFSYPLPDELLRHIEKVCVTEEVNINPLLLKKIIQSCDGDIRKTIMQLHFWCQSKKYSEDRKVGKVYGMLPFDVEACHHIIPKILPWNFPSELSQLIEKEVAKSIATMDENSYLQVLVNQELDINEKKDKVKRIKRSLADCNGFESQQSAISEFSNCSGSPVTSSSDVHEEVYKRHSLESNSDYLFKFQMNQAYLSSSFSKPVSSILERETTYDACLNETYKSFYASSLHGSISVPEAAIQSGIKPMTGPVSGSVEGSSLDNELTPTTFTFCQSSDKLPPNSYPFANPEILESSFTKAVVQNFRDGNTKTTTVSNAIDACSHIDSTSNSKSFDSSPSIPMDMVQELWRELRTCRKDLGQDDDQEQQNVIQVLKLTSGLTDLISEADLLFRDHQPKQCGMMEPSMTLFEEATKSWYDEQMMMSTVAVHGFSFYAKHISDVGSKFGYENEVDLISEMLASTTNVMALGKLSRQDQIKSTNIYDNKLLKMNDLTNDTNVIRSMMPALSSLSVRGLALSEYISSLRQISISEGFRISHDSENTRKRRKVDRHYLSRGKLKLTPEDISLVCKGDLYRKISSQYIAKMESKSA >Vigun08g089400.1.v1.2 pep primary_assembly:ASM411807v1:8:20575775:20585069:-1 gene:Vigun08g089400.v1.2 transcript:Vigun08g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKLIPRKRASKNTTPVKNNRPKKNSTPKKKSTPKKKKSTPPNKTPNKTESEVASAGSAYKFDLRLEAKLSAEIDKKVYAGRRIHPFFTLWKEDNERQRRQALLARRKGKGISSSCHVFEDVQDNASPIDWGDWTFLDKTTVASFGTQGSNLSFMDGSVESLNFDNISSISKFSSASMPENVMCCPSQLSTQPDSNSKLEISSPNSVVLEKAKCLLKSEDVDVDLGLEVNENTFSGHKDIFRKQDIEPQSEFLQASMGSYYHSCEGNISLWTDKYKPKTVSEVCGNEEAMNFLRYWLHLWHKRPSQCTKSSSKECQRKPSQCRKRSSKKGQQDDTLDHAPENIDEGHVQSVLLITGPSGSGKSAAVYVCAQELGFKVVEINASSCRDGTAITDIKNKLEEPDAGKITRWLLPRSVEARPTLILVEDVDIIFPEDRGCITAIRQHFSATEKWPIIFTSNRNCGGLPKSFERLHVSFSYPLPDELLRHIEKVCVTEEVNINPLLLKKIIQSCDGDIRKTIMQLHFWCQSKKYSEDRKVGKVYGMLPFDVEACHHIIPKILPWNFPSELSQLIEKEVAKSIATMDENSYLQVLVNQELDINEKKDKVKRIKRSLADCNGFESQQSAISEFSNCSGSPVTSSSDVHEEVYKRHSLESNSDYLFKFQMNQAYLSSSFSKPVSSILERETTYDACLNETYKSFYASSLHGSISVPEAAIQSGIKPMTGPVSGSVEGSSLDNELTPTTFTFCQSSDKLPPNSYPFANPEILESSFTKAVVQNFRDGNTKTTTVSNAIDACSHIDSTSNSKSFDSSPSIPMDMVQELWRELRTCRKDLGQDDDQEQQNVIQVLKLTSGLTDLISEADLLFRDHQPKQCGMMEPSMTLFEEATKSWYDEQMMMSTVAVHGFSFYAKHISDVGSKFGYENEVDLISEMLASTTNVMALGKLSRQDQIKSTNIYDNKLLKMNDLTNDTKTSFSSVIRSMMPALSSLSVRGLALSEYISSLRQISISEGFRISHDSENTRKRRKVDRHYLSRGKLKLTPEDISLVCKGDLYRKISSQYIAKMESKSA >Vigun06g216100.1.v1.2 pep primary_assembly:ASM411807v1:6:32715648:32721759:1 gene:Vigun06g216100.v1.2 transcript:Vigun06g216100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSDPEKPVTDQVPDEEDDDVSPIEEVRLTVTNTDDPTRPVWTFRMWFLGLLSCSLLSFLNQFFAYRTEPLIITQITIQVATLPIGHFMAAVLPETKFTLPGFGSKKFSFNPGPFNMKEHVLITIFANAGSAFGSGSPYAVGIVNIIKAFYGRSISFFASWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDEGGLSRAKFFFIALVCSFLWYVVPGYLFTTLTNISWLCWIFSKSVTAQQIGSGMRGLGVGALTLDWTAVASFLFSPLISPFFAIANVFVGYALVVYVVTPIAYWGLNVYNANRFPIFSSHLFTAQGQRYNIPAIVDNHFELNVAEYEKQGRIHLSVFFALTYGFGFATIASTLTHVFCFYGREIMERYRASSKGKEDIHTKLMKRYKDIPSWWFYLLLVVTLVVSLALCIFLNDQVQMPWWGLLFAAALAFGFTLPISIITATTNQTPGLNIITEYVFGLIYPGRPIANVCFKTFGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINIGVAWWLLNSIKNICHDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYSALNWFFLGGALGPIIVWVLHKAFPKQSWIPLINLPVLLGATAMMPPATPLNYNAWILVGTIFNFFIFRYRKKWWQRYNYVLSAALDSGVAFMTVLLYFSLGLENKSLNWWGNDGEHCPLAACPTAKGVIVDGCPVK >Vigun03g222400.1.v1.2 pep primary_assembly:ASM411807v1:3:36924290:36936709:1 gene:Vigun03g222400.v1.2 transcript:Vigun03g222400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQFAKRASSTLRNSFRRSSLSPRIIDSQTSTKLNPYPCQGFARVGVSRSSFLFYEGFSSPSLMMAGRGYATVAEAIESTDTEDDYDEVQKLLEEMAKAEQKLDCNSYKYKMLKKRQIKTETEAWEEAAREYEELLEDMRVQKLAPNLPYMKSLFLGWFEPLRNAILADQELCKESKCRLSHAPYFNELPADMMAVVTMHKLMALLMTNTNGVGTARVIQATCQVGEAVEHEARIYRFMLREKKAAADKSSHLAPAVQSERVIEEDEETEKKKSKLRKRVAGLMKKQKKRQAMGIVRGQDVWKPWGQEAQVKVGSRLIELLIETAYIQPPANQFGDGPPDIRPAFKHTLKTLSSEIQKETRRYGVIECDPLVQNGLEKSARHVVIPYMPMLVPPINWTGYDKGAYFFLPSYVMRIHGAKQQREAVKRATKSQLEPVFEALNTLGNTKWRVNKRVLCVIDQIWANGGRLADLVDREDVPLPEEPDTEDEAEIRKWKWKVKAMKKENNERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHIANLYAGGVDKLSHNDRIAFTENHLDDIFDSADRPLEGNRWWLQAEDPFQCLAACMNLSEALRSPNPETTISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVCGDQPADVYSGIAARVLEIMKRDAEKDPQTNPNALHARRLISQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEDDIELFTAACYAAKTTLTALEEMFEAARSIMDWLGDCAKVIASNNQAVRWITPLGLPVVQPYRQLGRHLIKTSLQILTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNKILREKFVELYDAPILENLSESFQKNFPTLNFPPLPERGDFDLREVLESTYFFN >Vigun03g222400.2.v1.2 pep primary_assembly:ASM411807v1:3:36924290:36933644:1 gene:Vigun03g222400.v1.2 transcript:Vigun03g222400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQFAKRASSTLRNSFRRSSLSPRIIDSQTSTKLNPYPCQGFARVGVSRSSFLFYEGFSSPSLMMAGRGYATVAEAIESTDTEDDYDEVQKLLEEMAKAEQKLDCNSYKYKMLKKRQIKTETEAWEEAAREYEELLEDMRVQKLAPNLPYMKSLFLGWFEPLRNAILADQELCKESKCRLSHAPYFNELPADMMAVVTMHKLMALLMTNTNGVGTARVIQATCQVGEAVEHEARIYRFMLREKKAAADKSSHLAPAVQSERVIEEDEETEKKKSKLRKRVAGLMKKQKKRQAMGIVRGQDVWKPWGQEAQVKVGSRLIELLIETAYIQPPANQFGDGPPDIRPAFKHTLKTLSSEIQKETRRYGVIECDPLVQNGLEKSARHVVIPYMPMLVPPINWTGYDKGAYFFLPSYVMRIHGAKQQREAVKRATKSQLEPVFEALNTLGNTKWRVNKRVLCVIDQIWANGGRLADLVDREDVPLPEEPDTEDEAEIRKWKWKVKAMKKENNERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHIANLYAGGVDKLSHNDRIAFTENHLDDIFDSADRPLEGNRWWLQAEDPFQCLAACMNLSEALRSPNPETTISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVCGDQPADVYSGIAARVLEIMKRDAEKDPQTNPNALHARRLISQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIEDDIELFTAACYAAKTTLTALEEMFEAARSIMDWLGDCAKIEPVQCSFFHLVSGL >Vigun07g253900.1.v1.2 pep primary_assembly:ASM411807v1:7:37234569:37238593:-1 gene:Vigun07g253900.v1.2 transcript:Vigun07g253900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCNSGSENHSPNASAPPLNSPTASVNSKIGKNKFSRESGQSISSALNKCKSQIRKPPRRKTSPVNWFPRKKGDTYMNRKIKMLQEVDGINLTLDQTLGSSNPHYSRVLREKMAAREAAHKAMETRRAALVEASWCRILRSARIPSDEAEAQLLKAEKSAEEAFGTAQAMGVIMFDLPNCPRKLCQTETSSVHGEGSYTHGVTASFETAFEVDREVAAAVKTALIRLAKCTSFSKGEFKELLRKISENPDTGESNQDLAEFCSEYESESGSASQKSDLNSPELDSKKPFLGKSRGRRRQSLENRIKLLDMMIERLKCFQEDELSSLATIVATYGLNASLAEVQNAKLLNLDSSTEYSSSSATNFPARRMSSVVLGKLALDVTRKKQIGPEVPSLDKFLVKHVTKLEREVWEAKQARKIETEPVRDSSRSSVDETPAENVPDLGSILVKSYSKLEKDIKDAKIKSGKEMSTVLNSMPNRQKDQIEVPSLDKVLVKHVSRLEKEVQEAKNRRINENKSLKKEFYPDTSGELDSTFYSDETLYKKENINSNIGFNSGENKDGLEKILVRPVHRLEREKLHALSLGSRENYKQKMNHEATNVPDCESLDKVLVKHVSRLEKEKTRSNLEEEWGQVKKSHRNIHLETNEGGLDQVLVKHKSRLEQEKMVAAQQPEASVSRSMTRREAKERELQETWGGLSLGNFHLETYEGGLNQVSVKNKSRLEGEKMVAAQQPENSVSLSVSRREARERELQEAWGGLSLGNSIKPRVSKLEREKAAWIKAEEEEQKQAMKTF >Vigun05g044300.1.v1.2 pep primary_assembly:ASM411807v1:5:3664553:3667438:-1 gene:Vigun05g044300.v1.2 transcript:Vigun05g044300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPEIEEKRVTQEDKVKSAKTEMGEVREENERLKKTLERVEKDYHSLQLRFFDILHKDVPNKGVLDSSTSHDDQSEEPEFVSLSLGRSPTEPKKEARIGDFNKPTEENVGPNLTLGLDSKHLLEMEVVSDLSPMNSSEEPKETVTEGTLSTNQSAKVINVNTDISDQMPAKRARVSVRARCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPTCPVRKQVQRCSQDLSILITTYEGTHNHPLPVSATAMASTTSAAASMLLSGSSTSSSHISASFGNGLSFSDQFNESRPKQMFSPPSHASPHMFSTITLDLTSSAPSSSSTQFHRGLTSTVAPISNPRSSPSLSFCSPEHNFIPSIWGKGFHDNATTTTPIDKIPTRPIMQPNNFQQHFYQQCTTNQQTPSREALAETITKAISTDPSFRSVIAAAVSSIVESNSGKQEVTENVLGSGLNLKLGEHLQLASPSPLTGYFKSLASKSSEAGNFILLQPPLPFSFSKNVTNQINRYVPEMNTHH >Vigun05g044300.2.v1.2 pep primary_assembly:ASM411807v1:5:3664553:3667438:-1 gene:Vigun05g044300.v1.2 transcript:Vigun05g044300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPEIEEKRVTQEDKVKSAKTEMGEVREENERLKKTLERVEKDYHSLQLRFFDILHKDVPNKGVLDSSTSHDDQSEEPEFVSLSLGRSPTEPKKEARIGDFNKPTEENVGPNLTLGLDSKHLLEMEVVSDLSPMNSSEEPKETVTEGTLSTNQSAKVINVNTDISDQMPAKRARVSVRARCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPTCPVQRCSQDLSILITTYEGTHNHPLPVSATAMASTTSAAASMLLSGSSTSSSHISASFGNGLSFSDQFNESRPKQMFSPPSHASPHMFSTITLDLTSSAPSSSSTQFHRGLTSTVAPISNPRSSPSLSFCSPEHNFIPSIWGKGFHDNATTTTPIDKIPTRPIMQPNNFQQHFYQQCTTNQQTPSREALAETITKAISTDPSFRSVIAAAVSSIVESNSGKQEVTENVLGSGLNLKLGEHLQLASPSPLTGYFKSLASKSSEAGNFILLQPPLPFSFSKNVTNQINRYVPEMNTHH >Vigun06g048600.1.v1.2 pep primary_assembly:ASM411807v1:6:17332187:17339421:1 gene:Vigun06g048600.v1.2 transcript:Vigun06g048600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRNRDSFPDNHSSPSCDSLGEALLLTTVCIVGLPVDVHVKDGSVYSGIFHTTSAHADYGIVLKKARMTKMGKGISNVGNEGLVDTLVILSSDLVQVVAKEVMLSADGVGENITVDNEEEVADNVSSESLTREAENHTKPLMDANQVNQSRQVGDERSNLDGKADDHMQKSEVFNEKNEERIQSPNSRHESQQVAVKHGGTDRTSNPSNGGLFCSSAPASVKANDWFGERSVSPESISTNSTQGADLIKESHPARPIEISVPRSTGSTINAKEFKLNPAAKTFSPSFVNPISSASVANLLYVQNSSPVVPVGSIQPEAGFNTFASRPSVPVKVAQYSNLPVGNGGSGSQFSQPIIGHVPHRNQPLRYPTHYTPVLSEPAYMQPSSPAVMIGRSPQLVYVQPLSHDLIHGTPTVAPVSARPLLNHHVPFPKQQGGTIGPAMPVCVPPPVLTSGHQPFAFQSHIPLLQSGFPVTRPISVPGPNGFYGTKF >Vigun06g048600.2.v1.2 pep primary_assembly:ASM411807v1:6:17332187:17339421:1 gene:Vigun06g048600.v1.2 transcript:Vigun06g048600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSADGVGENITVDNEEEVADNVSSESLTREAENHTKPLMDANQVNQSRQVGDERSNLDGKADDHMQKSEVFNEKNEERIQSPNSRHESQQVAVKHGGTDRTSNPSNGGLFCSSAPASVKANDWFGERSVSPESISTNSTQGADLIKESHPARPIEISVPRSTGSTINAKEFKLNPAAKTFSPSFVNPISSASVANLLYVQNSSPVVPVGSIQPEAGFNTFASRPSVPVKVAQYSNLPVGNGGSGSQFSQPIIGHVPHRNQPLRYPTHYTPVLSEPAYMQPSSPAVMIGRSPQLVYVQPLSHDLIHGTPTVAPVSARPLLNHHVPFPKQQGGTIGPAMPVCVPPPVLTSGHQPFAFQSHIPLLQSGFPVTRPISVPGPNGFYGTKF >Vigun09g154100.1.v1.2 pep primary_assembly:ASM411807v1:9:31952326:31955497:1 gene:Vigun09g154100.v1.2 transcript:Vigun09g154100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRSRLGLQAPMYRPPPPPSPMHRRTPNEQNHTPSSGLGFGVRVAIRPEYRIRPPPHLSSYAGDSPMSNFQFDFELERKVLAEAAKDNPDWSKFGSENIPTKVSDPSTAKDTAVDSIVSKFIAMGLSQEAVPIAVENYGDNPTKVQEFVKGYTLLREMGFSSNSVAEALVMNDNRTDRALAHFLNGSS >Vigun09g154100.2.v1.2 pep primary_assembly:ASM411807v1:9:31952435:31955348:1 gene:Vigun09g154100.v1.2 transcript:Vigun09g154100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRSRLGLQAPMYRPPPPPSPMHRRTPNEQNHTPSSGLGFGVRVAIRPEYRIRPPPHLSSYAGDSPMSNFQFDFELERKVLAEAAKDNPDWSKFGSENIPTKVSDPSTAKDTAVDSIVSKFIAMGLSQEAVPIAVENYGDNPTKVQEFVKGYTLLREMGFSSNSVAEALVMNDNRTDRALAHFLNGSS >Vigun01g164300.1.v1.2 pep primary_assembly:ASM411807v1:1:34599976:34606791:-1 gene:Vigun01g164300.v1.2 transcript:Vigun01g164300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVAIADYSNGGALSFTERTKSVDVLPELDQPSTLTNGESDGFSVGQLLLYNGESYSGSLYGNVSEGYGTYVWCDGCVYEGEWRRGIRNGYGKIRWPSGAVYEGDFSGGYIHGTGTYIRSDKLTYKGRWRLNLKHGLGYEVYPNGDTFEGSWMQGTAEGPGKYTWTNGNVYVGNMKGGIMSGKGTLTWINGDSYEGNWLNGMMHGFGVYAWSDGGSYVGTWAFGLKDGKGTFYPRGSRLPSVQEIYLSALRKRGLLPDLRKQKQVRDVKVPENPLSSHVSSDKLAKGNLLNLEQSNRRNVSLERRWSLEVSIEKVIGYDSALGLADSVPENSDKEVDTTIPILEREYMQGVLISEVVLNNMFSSMSRRARRLQKKLAKESKKPGEIIIKGHRSYDLMLSLQLGIRYTVGKITPIPTREVRISDFGTKASFWMNFPKEGSQLTPTHPSEDFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDTLRELSSPGKSGSVFFLSQDDRFMIKTLRMSEVKVLLRMLPDYHHHVKTYENTLITKFFGLHRIKASSGQKFRFVVMGNMFCTELRIHRRFDLKGSSFGRSSDKIEIDENTTLKDLDLNYCFYLEPSWRESLLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRPLISYNQRRSVDGLAMLAEEDPLEDEASYPQGLVLVPRGADDNSVVVGSHVRGSRLRASSAGDEEVDLLLPGTASRLQIQLGVNMPSRAEQISEKQEKRKFHEVYDVVLYLGIIDILQDYNMTKKIEHAYKSLQFDSLSISAVDPTFYSHRFLEFIQKVFPPNAMAG >Vigun10g187000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:40320900:40324885:-1 gene:Vigun10g187000.v1.2 transcript:Vigun10g187000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFLAIVILWCVCDLLVVHVLANSRFPEEMYSFDRNSHVTYKYDRTSEVQKQCASVLSASSELRYEYSVTGMKGEFSFVNGDWRQDEGKFPIMPFDASKSPGTLSEDRASMNLVSFWVSDVDLDHRLKKSIPINGFMVIGITRDGNFVDNAFDGNPEFRLWPSHSQLSISFQGIYTESRKNGGERVLCLLGSTMLPTREADPANPWSWMKNPGDIPLSEDDQILLVLRYPLTFTLTNRIISGELKSLNRDSNSKYFDVVHMSSQLGKSAKYTFGSQQIVSKACNPYPAKDNLKDDGIAVYKGARFCEILEEITREKPLSVVPNWRCNGTDDFCSKLGPFLSDKEIKSTDGGFQGVKLYMQDVICSQEASKSNTGSTRVSTVFRAVSPSENEYTAAKRSGPSNTSLAAEGIWKSSSGQLCMVGCLGAVDAKGSSCNTLICMYIPTTFSLKQHSIILGTLSPISNSNAFFPLSFEQLVLPSELWNYFKLTNPNYSYSKTTSAGAVLEKNEPFSFTTVIKKSLLTFPKLEDNEAFEDSLSLLAEDLTYHVSGFPDPLPNVLAPRVDIQLEILSVGPLFGRYWYDKNGSISEQETPYHATAAEYTEKQLLINVSAQLSLAGKGYSNFSVLFLEGLYDPHVGKMYLIGCRDVRASWKVLYQSYDLEAGMDCLIEVVVAYPPTTTRWLVDPRATISIESQRNDDDSLRFDPIKLKTFPIIYRKQREDVLSRRGVEGILRLLTLSFAIGCILSQLFYIQHSVDSLPYISLVVLGVQALGYTIPLVTGAEALFKKMVSESYDVSSSELESSEWLHVIDYSVKLLLIVSLLITLRLFQKVWKSRIRLQTRSPLEPHRVPSDKRVFLCTFFMHVIGYVIVLIVHGTKTSQKDVIAKTYLVDGVNSHPLPRWATELEEYVGLVEDFFLLPQIIGNLFWHIDCKPLRKLYFIGITVVRLLPHIYDCIRAPVSNPYFSEDSEFVNPNLDFYSKFGDIAISVTAIILAIVVYIQQRWSYEKLSQFLTFGKYKLLPTFKYQRLSSRSCESELVPGINGGAAKDNEHVDVE >Vigun04g095900.4.v1.2 pep primary_assembly:ASM411807v1:4:20341580:20345423:-1 gene:Vigun04g095900.v1.2 transcript:Vigun04g095900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLPQIEELRISSESNRTIDNDGSSSTSQEISVQHQSSNTVDSGCRNIQNVFSTKRMDVDSVPKLKFSSPSGRSDLSSHLKPPKIQSRVRRNTPITPTKRIPMFPNEVKEGNKTLKASGKCCHLSYSSVGKNFCCISPLVSIDWFSSASSVTESVGSHVSFHASRTEKPSGLRMPSPNIGYFDMDNNLLVSTRAVSEIQSGQMLKDARNSRTCTWKTRDANTSRITKFGRSAVSKDGEVKDYLTKDEKMINSRAHEHAFERKTCAKVDKRGVGNKISREREEQSCLKSKALAERTHRSKYSLHRK >Vigun04g095900.3.v1.2 pep primary_assembly:ASM411807v1:4:20341660:20345423:-1 gene:Vigun04g095900.v1.2 transcript:Vigun04g095900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDGFNVFSNGETEDIKEAHIEKKDLQSLKSKLKYDLRKSLAWDSAFSTSSGILELEELFSTSNSLQTENGYEMLRPEQDHHLYFNNLKPEIKTVTDGFNLRKSLAWDSAFFTSEGILNHEELSLLNKGHKKSEMGMLPQIEELRISSESNRTIDNDGSSSTSQEISVQHQSSNTVDSGCRNIQNVFSTKRMDVDSVPKLKFSSPSGRSDLSSHLKPPKIQSRVRRNTPITPTKRIPMFPNEVKEGNKTLKASGKCCHLSYSSVGKNFCCISPLVSIDWFSSASSVTESVGSHVSFHASRTEKPSGLRMPSPNIGYFDMDNNLLVSTRAVSEIQSGQMLKDARNSRTCTWKTRDANTSRITKFGRSAVSKDGEVKDYLTKDEKMINSRAHEHAFERKTCAKVDKRGVGNKISREREEQSCLKSKALAERTHRSKYSLHRK >Vigun04g095900.1.v1.2 pep primary_assembly:ASM411807v1:4:20341581:20344926:-1 gene:Vigun04g095900.v1.2 transcript:Vigun04g095900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDGFNVFSNGETEDIKEAHIEKKDLQSLKSKLKYDLRKSLAWDSAFSTSSGILELEELFSTSNSLQTENGYEMLRPEQDHHLYFNNLKPEIKTVTDGFNLRKSLAWDSAFFTSEGILNHEELSLLNKGHKKSEMGMLPQIEELRISSESNRTIDNDGSSSTSQEISVQHQSSNTVDSGCRNIQNVFSTKRMDVDSVPKLKFSSPSGRSDLSSHLKPPKIQSRVRRNTPITPTKRIPMFPNEVKEGNKTLKASGKCCHLSYSSVGKNFCCISPLVSIDWFSSASSVTESVGSHVSFHASRTEKPSGLRMPSPNIGYFDMDNNLLVSTRAVSEIQSGQMLKDARNSRTCTWKTRDANTSRITKFGRSAVSKDGEVKDYLTKDEKMINSRAHEHAFERKTCAKVDKRGVGNKISREREEQSCLKSKALAERTHRSKYSLHRK >Vigun04g095900.2.v1.2 pep primary_assembly:ASM411807v1:4:20341580:20344927:-1 gene:Vigun04g095900.v1.2 transcript:Vigun04g095900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEQDHHLYFNNLKPEIKTVTDGFNLRKSLAWDSAFFTSEGILNHEELSLLNKGHKKSEMGMLPQIEELRISSESNRTIDNDGSSSTSQEISVQHQSSNTVDSGCRNIQNVFSTKRMDVDSVPKLKFSSPSGRSDLSSHLKPPKIQSRVRRNTPITPTKRIPMFPNEVKEGNKTLKASGKCCHLSYSSVGKNFCCISPLVSIDWFSSASSVTESVGSHVSFHASRTEKPSGLRMPSPNIGYFDMDNNLLVSTRAVSEIQSGQMLKDARNSRTCTWKTRDANTSRITKFGRSAVSKDGEVKDYLTKDEKMINSRAHEHAFERKTCAKVDKRGVGNKISREREEQSCLKSKALAERTHRSKYSLHRK >VigunL083200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000613.1:58932:59072:1 gene:VigunL083200.v1.2 transcript:VigunL083200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >VigunL059514.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:29326:31483:1 gene:VigunL059514.v1.2 transcript:VigunL059514.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >VigunL000501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000103.1:109543:109920:-1 gene:VigunL000501.v1.2 transcript:VigunL000501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQHEASMQRQATSLEQQQLVMQRMEAARVAIEDAHRQHMEALRQLEENRVAALVFGLEPRPSVREWSLEDFLKHHPMKFNGKTSLDAVDQWLKDIERIFNAKMCIIENKLAFAVYMLTGEAEH >Vigun05g044700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3697157:3700247:1 gene:Vigun05g044700.v1.2 transcript:Vigun05g044700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSFALSKYSLFLYSLFSFTATNCLLFVAPTKCHEHESRALLQFKESFVISKSSSYNLFSYPKMASWNAAIDCCSWDGIECDEHTNHVINIDLSSSHIYGTMDANSTLFRLKHLQSLDLADNHFNYSQIPSRIGELSQLRYLNLSQTYFSGEIPQQVSRLSKLLSLDLCGASDSLVPVNLLSLKISTLRSLIQNSTNLEVFRVNYVTISSSVPHTFTNLTSLQQVSLYHCELHGQFLPGIFHLPNLRYLNLGNNQNLTGTFPDFRSSAQITTLELDSTSFYGTLPASIGNLNSLNCLSISYSNFSGSIPSSFRNLTQLTFLDIGGNKFRGDLSSFLLNVTKLRTLRVGFNEFTTETISWICKLSGLNDLRLEFVNIGHEIPFCFTNLTQLSILSLYQNNLSGPIPSWIMNLTNLASLDLGKNNLRGEIPISLFELENLETVSVISNLLKGELELDKFLKLKRLVLVELCFNKLSLISGKNPSNASLSKIQGLGLGLSNLSEFPLFIRDLAELSHIYMPYNNVSSFPSWIWRKTSLRSLIVSYNSLIGKIDPQICNLNSLVLLDLSFNSLSGRVPSCLGSSSKLLQILVLNGNKLTGSFPETYTKTSDLRMIDLSNNNLQGQLPRALLNIRMLEYIDVSHNQINDSFPCWLGTLPELKVVALHHNHLYGSIGCPTSCTFTKLHIIDLSHNQLSGSLPSKTIQNWKSMEASNENQLQYEYYTVFDYHMLARFSSLKDGSYGYSFALCNKGTTLVYEKLQEFYNLIVINLSGNKFSGEIPNVIGNLTGLVLLNLSNNMLSGNIPSSLGKLSNLETLDLSLNALSGKIPLQLQELTFLSHFNVSFNNLSGPIPQNMQFATFQDSSFEGNQELCVNPSINKCEEDGELPFVPPSTSDDDINSGIFIEFDWKVVLIGYGGGLLVGLALGSSFNRQILAWLKRVC >Vigun01g073500.1.v1.2 pep primary_assembly:ASM411807v1:1:20403325:20407234:-1 gene:Vigun01g073500.v1.2 transcript:Vigun01g073500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEVVELKFRLYDGSDMGPFCYSPASTVSMLKDRIFADWPKDKKIIPKSANDIKLISGGRILENNRSVGQCRVPLGELPKGVIITMHVVVQPPLLKAKTEKKVDEVPRKHICACSIL >Vigun01g073500.2.v1.2 pep primary_assembly:ASM411807v1:1:20402061:20407202:-1 gene:Vigun01g073500.v1.2 transcript:Vigun01g073500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEVVELKFRLYDGSDMGPFCYSPASTVSMLKDRIFADWPKDKKIIPKSANDIKLISGGRILENNRSVGQCRVPLGELPKGVIITMHVVVQPPLLKAKTEKKVDEVPRKHICACSIL >Vigun09g117100.2.v1.2 pep primary_assembly:ASM411807v1:9:25730262:25752296:-1 gene:Vigun09g117100.v1.2 transcript:Vigun09g117100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNVAEKPSVAKSVSAILSRNQGMRMREGRSRYNKIFEFNYTIRGQPCHMLFTSVTGHLMELEFDERYRKWHACNPADLFRAPVNKYVPEDKKDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAVNPRLNIKRARFSALIEREIHHAVQNLEEPKKCLADAVDARQEIDLRIGASFTRFQTMLMKDAFVIDTATDGRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEQFWFINCAHDSDGRIAKFSWMRGHLFDYTCAVIIYEMCVEEPTATVTNVRQQEKLKYPPHPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSSRTDLHTIVQEQQGHPEWGVYAQRLLDPGTGLWRNPRGGGHDDKAHPPIHPTKFSTGESGWSQEHRKLYELVVRHFLACVSKPAVGAETTVEIDIAGELFSACGRVILEKNYLDVYHYESWGGSLIPTYTIGQQFIPTTLILDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDANTRFTPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKFVSEGNKSKADVLATSLQLMEACFLDARLNKGKLLEAMAIFFERSNRSGNDELHAMGVVRRCGLCQESDMVLRKNRDGNFMVGCVGYPQCRNAVWLPGSLSEAVVTTNTCNICTPGPVYLIQFKFRQLEIPPNYSVNHLGCIGGCDEILSQLTEICGRGSRMPARPRGPTAPTSNAHHTNPRQRPCMNCQETGHSSNDCPLRSGNVGHRGRSEHNGEASVSCSSCGTPCILRTANTANNRGRKFYSCQSQECNFFVWEDSLNNGTGGRSATRSNSIPSSNPRRGGGRGSHGRGGQTGAHTAATTFVSATGDPISGRRCFRCGDPSHFANVCPNRGV >Vigun09g117100.3.v1.2 pep primary_assembly:ASM411807v1:9:25730598:25752303:-1 gene:Vigun09g117100.v1.2 transcript:Vigun09g117100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNVAEKPSVAKSVSAILSRNQGMRMREGRSRYNKIFEFNYTIRGQPCHMLFTSVTGHLMELEFDERYRKWHACNPADLFRAPVNKYVPEDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAVNPRLNIKRARFSALIEREIHHAVQNLEEPKKCLADAVDARQEIDLRIGASFTRFQTMLMKDAFVIDTATDGRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEQFWFINCAHDSDGRIAKFSWMRGHLFDYTCAVIIYEMCVEEPTATVTNVRQQEKLKYPPHPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSSRTDLHTIVQEQQGHPEWGVYAQRLLDPGTGLWRNPRGGGHDDKAHPPIHPTKFSTGESGWSQEHRKLYELVVRHFLACVSKPAVGAETTVEIDIAGELFSACGRVILEKNYLDVYHYESWGGSLIPTYTIGQQFIPTTLILDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDANTRFTPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKFVSEGNKSKADVLATSLQLMEACFLDARLNKGKLLEAMAIFFERSNRSGNDELHAMGVVRRCGLCQESDMVLRKNRDGNFMVGCVGYPQCRNAVWLPGSLSEAVVTTNTCNICTPGPVYLIQFKFRQLEIPPNYSVNHLGCIGGCDEILSQLTEICGRGSRMPARPRGPTAPTSNAHHTNPRQRPCMNCQETGHSSNDCPLRSGNVGHRGRSEHNGEASVSCSSCGTPCILRTANTANNRGRKFYSCQSQECNFFVWEDSLNNGTGGRSATRSNSIPSSNPRRGGGRGSHGRGGQTGAHTAATTFVSATGDPISGRRCFRCGDPSHFANVCPNRGV >Vigun09g117100.4.v1.2 pep primary_assembly:ASM411807v1:9:25734850:25752390:-1 gene:Vigun09g117100.v1.2 transcript:Vigun09g117100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNVAEKPSVAKSVSAILSRNQGMRMREGRSRYNKIFEFNYTIRGQPCHMLFTSVTGHLMELEFDERYRKWHACNPADLFRAPVNKYVPEDKKDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAVNPRLNIKRARFSALIEREIHHAVQNLEEPKKCLADAVDARQEIDLRIGASFTRFQTMLMKDAFVIDTATDGRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEQFWFINCAHDSDGRIAKFSWMRGHLFDYTCAVIIYEMCVEEPTATVTNVRQQEKLKYPPHPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSSRTDLHTIVQEQQGHPEWGVYAQRLLDPGTGLWRNPRGGGHDDKAHPPIHPTKFSTGESGWSQEHRKLYELVVRHFLACVSKPAVGAETTVEIDIAGELFSACGRVILEKNYLDVYHYESWGGSLIPTYTIGQQFIPTTLILDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDANTRFTPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKFVSEGNKSKADVLATSLQLMEACFLDARLNKGKLLEAMAIFFERSNRSGNDELHAMGVVRRCGLCQESDMVLRKNRDGNFMVGCVGYPQLPIFCCALRDKREKHVDKNTINF >Vigun09g117100.1.v1.2 pep primary_assembly:ASM411807v1:9:25730448:25752389:-1 gene:Vigun09g117100.v1.2 transcript:Vigun09g117100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNVAEKPSVAKSVSAILSRNQGMRMREGRSRYNKIFEFNYTIRGQPCHMLFTSVTGHLMELEFDERYRKWHACNPADLFRAPVNKYVPEDKKDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVVDVCTAVNPRLNIKRARFSALIEREIHHAVQNLEEPKKCLADAVDARQEIDLRIGASFTRFQTMLMKDAFVIDTATDGRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEQFWFINCAHDSDGRIAKFSWMRGHLFDYTCAVIIYEMCVEEPTATVTNVRQQEKLKYPPHPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSSRTDLHTIVQEQQGHPEWGVYAQRLLDPGTGLWRNPRGGGHDDKAHPPIHPTKFSTGESGWSQEHRKLYELVVRHFLACVSKPAVGAETTVEIDIAGELFSACGRVILEKNYLDVYHYESWGGSLIPTYTIGQQFIPTTLILDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDANTRFTPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKFVSEGNKSKADVLATSLQLMEACFLDARLNKGKLLEAMAIFFERSNRSGNDELHAMGVVRRCGLCQESDMVLRKNRDGNFMVGCVGYPQCRNAVWLPGSLSEAVVTTNTCNICTPGPVYLIQFKFRQLEIPPNYSVNHLGCIGGCDEILSQLTEICGRGSRMPARPRGPTAPTSNAHHTNPRQRPCMNCQETGHSSNDCPLRSGNVGHRGRSEHNGEASVSCSSCGTPCILRTANTANNRGRKFYSCQSQECNFFVWEDSLNNGTGGRSATRSNSIPSSNPRRGGGRGSHGRGGQTGAHTAATTFVSATGDPISGRRCFRCGDPSHFANVCPNRGV >Vigun07g142700.1.v1.2 pep primary_assembly:ASM411807v1:7:25336337:25339533:-1 gene:Vigun07g142700.v1.2 transcript:Vigun07g142700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSAFTRLAKSFATKRSGSSDGCNGREAAEAMAKEAKKNHFMLHSSGTVNVDGSNNFASLFSKKGKKGVNQDCCVVWEEFGCQEDMIFCGIFDGHGPWGHFVAKRVRESMPPSLLCNWQETLSQTSLDQDVDVDIAAEKKQHRFNIWKHSYLKTCAAIDRELKQNRKIDSFFSGTTALSIVRQGELIVIANVGDSRAVLGTASDDGSLVAVQLTVDFKPSLPQEAERIVECQGRVFCLEDEPGVYRVWLPDEESPGLAMSRAFGDYCVKEYGLISVPEVTHRNITSKDQFVVLATDGVWDVISNQEAVDIVCSTADRTESAKHLVECAMRAWKRKRRGIAMDDISAICLFFHSSPSLHRVDATLE >Vigun11g200700.1.v1.2 pep primary_assembly:ASM411807v1:11:39884553:39891546:1 gene:Vigun11g200700.v1.2 transcript:Vigun11g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDSLKDLISDNNPMVVANAVAALAEIQDNSSRPIFELTSTTLTKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSSVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCENLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLENFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATAETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLDPSLLDELLVNIATLSSVYHKPPDAFVTRTHSLAQKTEDGDYPEGDEIGYSESHANPANGAASPPSSSYSVPASVAPASPPPSALVPDLLGDLMGMDDSVVPLDQTATPAGPALPIVLPASTGQGLQISAQLTRQDGQIFYSMLFENSTQVPLDGFMIQFNKNTFGLAAAGPLQVPQLQPGTSTRTLLPMVLFQNMSQGPPNSLLQVAVKNNQQPVWYFNDKFSFHVLFTEDGKMERSTFLETWRSLPDSNEVSKDFPDIVVGGVDVTLDLLAASNVFFIAKRKNANQDVFYFSAKIPRGTPLLVELTTVVGNPGVKCAIKTPSPEMSAFFFEAIETLLRS >Vigun07g172200.1.v1.2 pep primary_assembly:ASM411807v1:7:28745906:28753226:1 gene:Vigun07g172200.v1.2 transcript:Vigun07g172200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWFNKLKTKDKTRSSKSKETTGIAREGPKPPTSEVAPSNVTKQKVEAAKQYIENHYKKQMKDLQERKDRRNMLEKKLADAEVSEEEQNNLLKYFEKKEREYMRLKRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSIGSNRSGALQSDGRPLAPKRSQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRNYLKFPEEVKLSAEAKDLISRLLCNVEQRLGTKGADEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDNQTQPSSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDDQLPEIAELKKKSTKTKRPSIKTLFDDESATVANQPAQGSFLKLLPTQREVPVQSESQ >Vigun07g172200.2.v1.2 pep primary_assembly:ASM411807v1:7:28746417:28753183:1 gene:Vigun07g172200.v1.2 transcript:Vigun07g172200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWFNKLKTKDKTRSSKSKETTGIAREGPKPPTSEVAPSNVTKQKVEAAKQYIENHYKKQMKDLQERKDRRNMLEKKLADAEVSEEEQNNLLKYFEKKEREYMRLKRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSIGSNRSGALQSDGRPLAPKRSQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRNYLKFPEEVKLSAEAKDLISRLLCNVEQRLGTKGADEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDNQTQPSSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDDQLPEIAELKKKSTKTKRPSIKTLFDDESATVANQPAQGSFLKLLPTQREVPVQSESQ >Vigun07g118250.2.v1.2 pep primary_assembly:ASM411807v1:7:21946858:21950438:1 gene:Vigun07g118250.v1.2 transcript:Vigun07g118250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPRVSSPVRQICRSFDHSPLHLQLSEAWDACKMHLITSLYKKGLRLSGSARQDHGVGPIVNYIAVDTQQLSDMTIQLHAVWMMPFQVGIGLVLLYNCLGASVVTAMVGLLGVIAFAVVANRRNKRYQFNAMTCRDSRMKAVNELLNYMRVIKFQAWEEHFNGRIFDFRKSEIDWVSKLMHSICSIFIVLWSTPLLISTLTFGTALLLGVRLDAGTVFTTTSVFKILQEPIISFPQSMISLSQSLVSLGRLDGYMSSTELPDDSVEREEGCGGRIAVQVRDGTFNWDDDGPLQDLKNINLEINKGELTAIVGTVGSGKSSLLASILGEMHKNSGKIQVCGSTAYVAQTSWIQNGTIEENILFGLPMNRQKYNEVVRVCSLEKDLEMMEHGDQTEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAYTGTEIFKECVRGSLKGKTIILVTHQVDFLQNVDLIVMRDGTIVQSGKYNDLLACGMDFSALVAAHETSMKLVEQGVVVPGENLNQQMKSPKAASNNREW >Vigun07g118250.1.v1.2 pep primary_assembly:ASM411807v1:7:21946858:21950438:1 gene:Vigun07g118250.v1.2 transcript:Vigun07g118250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPRVSSPVRQICRSFDHSPLHLQLSEAWDACKMHLITSLYKKGLRLSGSARQDHGVGPIVNYIAVDTQQLSDMTIQLHAVWMMPFQVGIGLVLLYNCLGASVVTAMVGLLGVIAFAVVANRRNKRYQFNAMTCRDSRMKAVNELLNYMRVIKFQAWEEHFNGRIFDFRKSEIDWVSKLMHSICSIFIVLWSTPLLISTLTFGTALLLGVRLDAGTVFTTTSVFKILQEPIISFPQSMISLSQSLVSLGRLDGYMSSTELPDDSVEREEGCGGRIAVQVRDGTFNWDDDGPLQDLKNINLEINKGELTAIVGTVGSGKSSLLASILGEMHKNSGKIQVCGSTAYVAQTSWIQNGTIEENILFGLPMNRQKYNEVVRVCSLEKDLEMMEHGDQTEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAYTGTEIFKECVRGSLKGKTIILVTHQVDFLQNVDLIVVMRDGTIVQSGKYNDLLACGMDFSALVAAHETSMKLVEQGVVVPGENLNQQMKSPKAASNNREW >Vigun05g200900.1.v1.2 pep primary_assembly:ASM411807v1:5:38807869:38812265:-1 gene:Vigun05g200900.v1.2 transcript:Vigun05g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVTLLLFCVALLNSVAATSVRESINVLQSISDGQVIVSPGKIYALGFFSPPQSKNRYVGIWYNEIPTQTVVWVANRDNPLHDSSGVLKLDETGVLVLLRHNKSVIWSSNTTGSARHPVVAKLLDTGNLIVQNGNGNDEAKDLLWQSFDYPVDTLLPGQKFGRNIVTGLNRYLSSWNSSEDPSHGVYSYQLDITGYPQFILREGTTKTYRFGSWNGVQFSGAPLLKQSDYTRFSFISNEEELYFMFEHTNKSFLHRMVLTPEGHIQGTFWDNVRKHWSLHARIPVDDCDYYGKCGAYATCNIDKIPPCQCLDGFVPKTADLYGGCVRRTSLSCHEDGFLQVSGLKLPDTEKSWFSRNMSLEDCKILCRKNCSCKAYAALDVSKGPNGCLLWFSDLVDIRKLTDVDEDVYVRVASSELEATKGKQLHKPNIRKQESVFISCVLFIGILVLCLTFILYKRLKTWQKGKMEGNLEPDVSVINDHESKDLELPMFELSTITSATNNFSPDNKLGEGGFGSVYKGILADGGEIAVKRLSMNSKQGLPEFKNEVMHIAKLQHRNLVRLLGYCLQAGERLLVYEFMPNKSLDSFIFDENKSMLLDWPRRMLIINGVARGLLYLHQDSRHRIVHRDLKAGNVLLDSEMNPKISDFGLARSFGGNEIEATTKHVVGTYGYLPPEYIIDGAYSTKSDVFSFGVLILEIVSGKRNKGFCHQDNLLAHVWKLFTEGKCSEIVDAAITESVHLFEALRTIHVGLLCVQLNPEDRPNMSSVVMMLTSESALPQPNLPGFFTSTSMAGDSSSSSSHKQYTNNDLSLSIMSAR >Vigun03g385500.1.v1.2 pep primary_assembly:ASM411807v1:3:59104585:59107267:-1 gene:Vigun03g385500.v1.2 transcript:Vigun03g385500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSCSRVRHRTSCEYGRQCSHWFRYCCLASRSINPPLCPTPTLRSPTILNGFVISLSGQQGQIVGGMVASGLIAARTMFVIVASFNNPNLCVREQFKQFLAAYEDSRVLYARI >Vigun05g231400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:42493871:42495765:-1 gene:Vigun05g231400.v1.2 transcript:Vigun05g231400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCTNKKIQLPKSRRIDEETLLMQKQGIVTILGSNNDSATSLRRTLSADMSSKKWLSQNGFSPIKKISSSEEISRSFTEPTVADSSSSSEDDNSEEIKQNRCQIWEIIQKEENVDKSREFDIWSSVLSKKANEESSKVIIPAYVHPLVRRSKSCLSEKSLQICTESLGSETGSDGFSSYSPSETEDSEEEKEEKEEKEKVELSHEEEFHVPKQNHAVKRTSPRSFPPPLPSLHMRSHRDNGRLFIQAVSVPSQNNFSAERQNGRLVLTFAEMSEVEEEEFEEGDDEAEESESCVKEQEHAPMLLSSGIGLALMMNKPSGVGDSNRNPKWSEKFKFNDVVNFKDVDVAQHSPLPPRPRARIVPSSLSAYEYYCKTKPTPKPSPTTFTFHHHNNYSSLENNFKSCKDSRRSFLFWEPYCIAT >Vigun08g065500.4.v1.2 pep primary_assembly:ASM411807v1:8:9452587:9458468:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKNNCDKKDGVNGIVAVAIDSDKGSQNALKWSIDHLLSKGSHVVLIHVKTKPSSLSPSVSLVNHRANGIGDHALVCKDPDEQTKEVFHPYRVFCARKDIHCKDVVIEDVDVARALIEYASQYAIEHLVVGSSNKGGFLRFKVADIPGTVSKGAPDFCTVYVVAKGKIQSMRSASRAAPTFSPLQNQLTQHSGRLDLPEPRVARGLERRSFEAPRRISQDDSDSFRSPFTRRGVNNDRYGDIPEPETDISFVSSRRSSTDRLFPLYNNNNNHFLDSNPRLSYSSDIDGNNYSFESVPFGRRSMDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.3.v1.2 pep primary_assembly:ASM411807v1:8:9454915:9458469:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.1.v1.2 pep primary_assembly:ASM411807v1:8:9452588:9458468:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKNNCDKKDGVNGIVAVAIDSDKGSQNALKWSIDHLLSKGSHVVLIHVKTKPSSLSPSVSLVNHRANGIGDHALVCKDPDEQTKEVFHPYRVFCARKDIHCKDVVIEDVDVARALIEYASQYAIEHLVVGSSNKGGFLRRFKVADIPGTVSKGAPDFCTVYVVAKGKIQSMRSASRAAPTFSPLQNQLTQHSGRLDLPEPRVARGLERRSFEAPRRISQDDSDSFRSPFTRRGVNNDRYGDIPEPETDISFVSSRRSSTDRLFPLYNNNNNHFLDSNPRLSYSSDIDGNNYSFESVPFGRRSMDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.7.v1.2 pep primary_assembly:ASM411807v1:8:9454919:9458469:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.5.v1.2 pep primary_assembly:ASM411807v1:8:9452588:9458469:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASRAAPTFSPLQNQLTQHSGRLDLPEPRVARGLERRSFEAPRRISQDDSDSFRSPFTRRGVNNDRYGDIPEPETDISFVSSRRSSTDRLFPLYNNNNNHFLDSNPRLSYSSDIDGNNYSFESVPFGRRSMDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.2.v1.2 pep primary_assembly:ASM411807v1:8:9454907:9458468:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCIRRFKVADIPGTVSKGAPDFCTVYVVAKGKIQSMRSASRAAPTFSPLQNQLTQHSGRLDLPEPRVARGLERRSFEAPRRISQDDSDSFRSPFTRRGVNNDRYGDIPEPETDISFVSSRRSSTDRLFPLYNNNNNHFLDSNPRLSYSSDIDGNNYSFESVPFGRRSMDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun08g065500.6.v1.2 pep primary_assembly:ASM411807v1:8:9452588:9458469:1 gene:Vigun08g065500.v1.2 transcript:Vigun08g065500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASRAAPTFSPLQNQLTQHSGRLDLPEPRVARGLERRSFEAPRRISQDDSDSFRSPFTRRGVNNDRYGDIPEPETDISFVSSRRSSTDRLFPLYNNNNNHFLDSNPRLSYSSDIDGNNYSFESVPFGRRSMDICSDFSSFSQDSDGFSSASQGMDDVEAEMRRLKLELKQTMEMYSNACKEALTAQQKAVELQRWKLEEERRLEEARLAEEAALAVAEKEKARSKAAIETAEAQKRIAELESQKRISAEMKALMETEEKRKAVDALSGSGLRYRRYTIEEIEAATNFFAESQKIGEGGYGPVYKCLLDHTPVAVKVLRPDAAQGRLQFQREVEVLSCIRHPNMVLLIGACPEYGCLVYEHMANGSLDDCLFCRGNKPPIPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGVIFLQILTAKPPMGLTHNVERAIEKGTFIDMLDPKVTDWPTEDCLILAKIAVRCAELRRRDRPDLGKEVLPELNRLRELAESNDHFSMFGGYASPSNQSQVSLQLDEASSSLPHSGESS >Vigun10g188000.5.v1.2 pep primary_assembly:ASM411807v1:10:40387478:40391633:1 gene:Vigun10g188000.v1.2 transcript:Vigun10g188000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHCHMGSGSRTGRRGLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNIKWICPTAPTRPVTILGGFSCTAWFDMGELSEDGPDDWEGLDSSAAHIANLLLTEPADVKVGIGGFSMGAAVAQYSATCFAMGRYGNGIPYPVNLRAVVGLSGWLPGSMSLRNKIEVSHEARRRAASLPLLLGHGISDDVVLYKYGEKSAQSLSSAGFRYITFKSYDGLGHYTVPREMDEVSNWLNSRLGLGGSS >Vigun10g188000.3.v1.2 pep primary_assembly:ASM411807v1:10:40387129:40391610:1 gene:Vigun10g188000.v1.2 transcript:Vigun10g188000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVGVLRTVCHSKMSYAHCHMGSGSRTGRRGLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNIKWICPTAPTRPVTILGGFSCTAWFDMGELSEDGPDDWEGLDSSAAHIANLLLTEPADVKVGIGGFSMGAAVAQYSATCFAMGRYGNGIPYPVNLRAVVGLSGWLPGSMSLRNKIEVSHEARRRAASLPLLLGHGISDDVVLYKYGEKSAQSLSSAGFRYITFKSYDGLGHYTVPREMDEVSNWLNSRLGLGGSS >Vigun10g188000.2.v1.2 pep primary_assembly:ASM411807v1:10:40386671:40391988:1 gene:Vigun10g188000.v1.2 transcript:Vigun10g188000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHCHMGSGSRTGRRGLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNIKWICPTAPTRPVTILGGFSCTAWFDMGELSEDGPDDWEGLDSSAAHIANLLLTEPADVKVGIGGFSMGAAVAQYSATCFAMGRYGNGIPYPVNLRAVVGLSGWLPGSMSLRNKIEVSHEARRRAASLPLLLGHGISDDVVLYKYGEKSAQSLSSAGFRYITFKSYDGLGHYTVPREMDEVSNWLNSRLGLGGSS >Vigun10g188000.4.v1.2 pep primary_assembly:ASM411807v1:10:40387718:40391987:1 gene:Vigun10g188000.v1.2 transcript:Vigun10g188000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHCHMGSGSRTGRRGLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNIKWICPTAPTRPVTILGGFSCTAWFDMGELSEDGPDDWEGLDSSAAHIANLLLTEPADVKVGIGGFSMGAAVAQYSATCFAMGRYGNGIPYPVNLRAVVGLSGWLPGSMSLRNKIEVSHEARRRAASLPLLLGHGISDDVVLYKYGEKSAQSLSSAGFRYITFKSYDGLGHYTVPREMDEVSNWLNSRLGLGGSS >Vigun05g264600.1.v1.2 pep primary_assembly:ASM411807v1:5:45672005:45675374:-1 gene:Vigun05g264600.v1.2 transcript:Vigun05g264600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEEIGPDVCSDLEVDDIRCPNIAEKDVSDEEIDAEELERRMWKDRIKLKRLKERQKLAIQQAAEKQKPRQSSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAMSEADNSRNGNSQSILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWSQLNLPHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPNIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREEALIRQPSSDNGTSGVTGMLPGVPAENKQAASSASNYDVDGTDDGIGSVSSKEDRRIQIVETEPPVNLRRVRKPGQDRDQSKQRRLPKKPRVSSRTVDKPPAQSDNEVLHAEPRSKGLNINQTGAEVARIQIHGNEQSNETDSAARPLEKELEVPAQLPAPEFDHYSYLHTNNLISSESIYTSGRPIHYPELQNPGMHHHEPSYNLYNPATGYEPGHRQQLHPGNNSEGRPDIDAVHAQGVHMKGDEMTGGDLQYFGKDAFQNELDRPMDHSFFGSPLSNMSLDFGGLNSPFHLDDFLGDDEMIQYFGA >Vigun01g024050.1.v1.2 pep primary_assembly:ASM411807v1:1:2616203:2617653:1 gene:Vigun01g024050.v1.2 transcript:Vigun01g024050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYADVLPPAKRVLPGRPKKKRRLESWELRKDDTQVRQGGTRKRCAICRALGHKRNTCPQAPPPQQQPTPQANQLTQATDISGSQQIQITASEPVMPAATNQPTQNPSCQQSEVPQAIQNSACKQTQVPQPTTTTTFREKLDSQHNVLPTKAKRTFTQHPIRTTSGGHTLLLRTPRRARTAFIARHCRFTKNYLFQSRTKTKMIEERREEKRKNYRGRTPPQ >Vigun07g175900.2.v1.2 pep primary_assembly:ASM411807v1:7:29105076:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSSENKKIKPKTDFELVVNYTNPRIWKNLKNDSGAGANAACKIDKTFSSTDPLSEIVWSPDKGFSLKCVDSSYTNKKASMFGDFEPSSMVLALLQSVTDGSSATDKPVNDVFVEPIAVICSNNDVSSADTSSRHPSSDSVLVIPDHKTCEGDNGTGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun07g175900.4.v1.2 pep primary_assembly:ASM411807v1:7:29105094:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSSENKKIKPKTDFELVVNYTNPRIWKNLKNDSGAGANAACKIDKTFSSTDPLSEIVWSPDKGFSLKCVDSSYTNKKASMFGDFEPSSMVLALLQSVTDGSSATDKPVNDVFVEPIAVICSNNDVSSADTSSRHPSSDSVLVIPDHKTCEGDNGTGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun07g175900.1.v1.2 pep primary_assembly:ASM411807v1:7:29105094:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSSENKKIKPKTDFELVVNYTNPRIWKNLKNDSGAGANAACKIDKTFSSTDPLSEIVWSPDKGFSLKCVDSSYTNKKASMFGDFEPSSMVLALLQSVTDGSSATDKPVNDVFVEPIAVICSNNDVSSADTSSRHPSSDSVLVIPDHKTCEGDNGTGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun07g175900.6.v1.2 pep primary_assembly:ASM411807v1:7:29105094:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNNDLAGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun07g175900.5.v1.2 pep primary_assembly:ASM411807v1:7:29105094:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDFEPSSMVLALLQSVTDGSSATDKPVNDVFVEPIAVICSNNDVSSADTSSRHPSSDSVLVIPDHKTCEGDNGTGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun07g175900.3.v1.2 pep primary_assembly:ASM411807v1:7:29105094:29109347:1 gene:Vigun07g175900.v1.2 transcript:Vigun07g175900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSSENKKIKPKTDFELVVNYTNPRIWKNLKNDSGAGANAACKIDKTFSSTDPLSEIVWSPDKGFSLKCVDSSYTNKKASMFGDFEPSSMVLALLQSVTDGSSATDKPVNDVFVEPIAVICSNNDVSSADTSSRHPSSDSVLVIPDHKTCEGDNGTGFGDDNMEKMNIEIETLQLSNDQKENLMNDLENNLCAQADIGTAIISEGKGNKSTFSGQIGGRPMHNLLLQTDEPKCGLEENPSMKHCNGGTETGVLNKVVEIEDGLCTRVEHVIECNGSAAHGTSLISSGVNPLPKLESSAENDLQTFNCESKSNENKNKSTGNEMMVLCSKNPPIITSPCNSRIRMTRNEGKEKSLSDRDSNVSLPKEDDFHLNVESCRSSGLLLAGKKRSNFQQVIIGSKKLKKQIQETSCSKSCVQPDSSFMNLVSNMLKRCSQSTQDENKSLALNLENPHHHLQQTDQKLLTCNRSQDPKLKNAGFRSNFQSVAGAKFKNVGARKFQVGDGSKDFEVGNKVHGIDVAPITFYAENNSLYRQYFPSNKLEVSERRLHTSPINSLNSHEHWVNNSLENENYYKLGITKEKEGMASLPLHSPSTGQKRNDNENAESNELYERREICHKSETVEGLWINRFLPKSTSPSIVFDQLNERGDSEDHSTYKHISLTNKEDSTVLKDKGSEYQFAKHESNSITPFPGLRDSRPMVSMFARTLGAIKQCHKE >Vigun06g128000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25470225:25470788:1 gene:Vigun06g128000.v1.2 transcript:Vigun06g128000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMQRIMEMIKAEEAAKGYNNGSSNSFNNHGSGPQHFGGATINSGQYAGNRSRFKHSEHYDEQVLNNTGTFNGNGNGGSIQGGFKAETRNFYRRPYDN >Vigun03g395000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60105479:60107409:-1 gene:Vigun03g395000.v1.2 transcript:Vigun03g395000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLMNPSFSTLPNHSISIPNNKNRFATTLVSCTSPTFNPSSSDDLNNTNNHSPPPPNIKHEARRHRDAHNFTAKYVPFNAGFDSTESYSLDEIVYRSRSGGLLDVEHDMEALKRFDGAYWRTLFDSRVGRTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKEKCVGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCAMAGIPSIVFLPANKISTAQLIQPVSNGALVLSIDTDFDGCMKLIREITAELPIYLANSLNSLRLEGQKTAAIEILQQFNWEVPDWVVVPGGNLGNIYAFYKGFKMCKDLGLVEKIPRLVCAQAANANPLYLYYKNGWKDYKAVKAKTTFASAIQIGDPVSIDRAVHALRNSEGIVEEATEEELMDSAQQADTTGMFTCPHTGVALRALIKLRNKGVIGARERVVVVSTAHGLKFVQSKIDYHSGAIPGMGRFANPPVSVKADFGSVMDKLKDFLRDKSPISSPTQVS >Vigun10g177200.1.v1.2 pep primary_assembly:ASM411807v1:10:39550801:39560711:-1 gene:Vigun10g177200.v1.2 transcript:Vigun10g177200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHFVLAIYLLCFTLETLVHAQDQSGFISIDCGVVDEESYKDESTSIEYSSDGNATDSGINRSISSEYMVKSLERRFWNVRSFPEGTRNCYTLYLPRMNSNVRLIRARFMYGNYDGKDSLPKFDLYLGPNFWDSVEFQNASTVTTKEIVHVATSDYIQTCLVNTNEGTPFISTLEIRVLNDANYVSDSIQLLQRFDVALQEGQIVRYPDDIYDRIWSPYNPEGWKKISTSLAVPNGGLFSYGVPSIVLKTAATPENASDNLEFSYQLSNNGAVKFYVYMYFAEIENLAADVTREFDVYVNGRLLQNNVSLPFLTRVTLISETPPQTMLQIWINRTNKATMAPILNGIEVYEGKTLDLPETHQDDVGAIMQIKSTYGIKQMWQGDPCNPKTHLWKGVNCSYNGHNQPRITSLNLSSSGLTGNIVVAISNLKLIQYLDLSNNSLTGSVPDSLSELEFLKILNVGGNKLSGSIPSKLSERTRNGSLIILSLGGNPDLCFSSSCHKRNRIVIPLLVTALAALVLSAALSFICRRRLQVVMNRHKVSFLNNTVSVDSKKQEFSYSEVQSITNNFERVVGKGGFGTVYHGCIGETQVAVKMLSASTQGYQQFQTEANILTRVHHKCLTPLIGYCNEGNRTALIYEYMTNGDLSDKLSGESQTFLDWKQRLQIALDTAIGLEYLHNGCKPPIVHRDVKTRNILLNENLRAKISDFGLSRMFSDEGDTHVSTVIAGTPGYLDPEYYITNRLNEKSDVFSFGVVLLEIMTGRRAISKSSEKSHIIKWVSSIVEGDGEIDGVMDGRLEGDYDSEGAKKVIDLAMVCVAPSSVNRPTMSEVAMELKLCFPIGELRSASTGSIEVPSINEISGFSSLER >VigunL031540.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:199404:199736:1 gene:VigunL031540.v1.2 transcript:VigunL031540.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun03g369100.1.v1.2 pep primary_assembly:ASM411807v1:3:57198826:57200756:-1 gene:Vigun03g369100.v1.2 transcript:Vigun03g369100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVYSNKFQFVVSFFLFMLHLHASTAQNIIGGYWFADSGSPAADINSTLFTHLFCAFADTNPTNFTVTISSSNSAKFSSFTQTVQQKNPSVKTLLSIGGGASNPSTFSAMASQPTSRKSFIDSAIQLARSNNFHGVDIDWEYPSTPSDMQNFGIFSREFRTSLISEARNSGKPILLLSCAVFRSSDYYSLEMPSKAMNDSFDIINVMSYDFHGPNWFPNRTAAPASLNYQTNSGAYQVGGDQGIRSWIAAGVSRRKLAMGIPYYGYAWRLRNGSNNGLYAPANGSAFGGDGSMGYNQIRAFVSQNGARCVYNSTLVTDYCYAGTTWIGYDDVQSVAAKVNYCKNNGLLGHFVWQVASDNNWALSQTAYRTWKM >Vigun04g152600.2.v1.2 pep primary_assembly:ASM411807v1:4:36955984:36964272:1 gene:Vigun04g152600.v1.2 transcript:Vigun04g152600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPEMKSYIWLQTVDGSIHQVEEEVAMFCPMICQEVLQTGMGSSKTCAISLPHHVNPAILDMILDYCRFHQVPGHSNKELKLFNEKFVRIDTKKLCELTSAADSLQLKPMVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELQERKKPKDVAVEEEPKDERSVEDLLSFINGADGEIKGTRANKNKKKNRRKDQTKDLSSKNANENNKGLNLLPSAYHNVNFDKALEASSSKHSRMQTLPDVNFSPKFEFIDGDVDDDLDPAVKEELDREVEDFARRLNSDWPERMQILSLGQGRRLVPISVNGNGSTHLYTSLC >Vigun04g152600.1.v1.2 pep primary_assembly:ASM411807v1:4:36955984:36964272:1 gene:Vigun04g152600.v1.2 transcript:Vigun04g152600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPEMKSYIWLQTVDGSIHQVEEEVAMFCPMICQEVLQTGMGSSKTCAISLPHHVNPAILDMILDYCRFHQVPGHSNKELKLFNEKFVRIDTKKLCELTSAADSLQLKPMVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELQERKKPKDVAVEEEPKDERSVEDLLSFINGADGEIKGTRANKNKKKNRRKDQTKDLSSKNANENNKGLNLLPSAYHNVNFDKALEASSSKHSRMQTLPDVNFSPKFEFIDGDVDDDLDPAVKEELDREVEDFARRLNSDWPERMQILSLGQGRRLVPISVNGNGSTHLYTSLC >Vigun04g152600.4.v1.2 pep primary_assembly:ASM411807v1:4:36955984:36963601:1 gene:Vigun04g152600.v1.2 transcript:Vigun04g152600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPEMKSYIWLQTVDGSIHQVEEEVAMFCPMICQEVLQTGMGSSKTCAISLPHHVNPAILDMILDYCRFHQVPGHSNKELKLFNEKFVRIDTKKLCELTSAADSLQLKPMVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELQERKKPKDVAVEEEPKDERSVEDLLSFINGADGEIKGTRANKNKKKNRRKDQTKDLSSKNANENNKGLNLLPSAYHNVNFDKALEASSSKHSRMQTLPDVNFSPKFEFIDGDVDDDLDPAVKEELDREVEDFARRLNSDWPERMQILSLGQGRRLVPISVNGNGSTHLYTSLC >Vigun04g152600.3.v1.2 pep primary_assembly:ASM411807v1:4:36955984:36963601:1 gene:Vigun04g152600.v1.2 transcript:Vigun04g152600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPEMKSYIWLQTVDGSIHQVEEEVAMFCPMICQEVLQTGMGSSKTCAISLPHHVNPAILDMILDYCRFHQVPGHSNKELKLFNEKFVRIDTKKLCELTSAADSLQLKPMVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELQERKKPKDVAVEEEPKDERSVEDLLSFINGADGEIKGTRANKNKKKNRRKDQTKDLSSKNANENNKGLNLLPSAYHNVNFDKALEASSSKHSRMQTLPDVNFSPKFEFIDGDVDDDLDPAVKEELDREVEDFARRLNSDWPERMQILSLGQGRRLVPISVNGNGSTHLYTSLC >Vigun03g347500.1.v1.2 pep primary_assembly:ASM411807v1:3:54755923:54758247:-1 gene:Vigun03g347500.v1.2 transcript:Vigun03g347500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRVVRYSVQPFNLPTRTTPPSLTPIQLIPTSPSFPILKQQCRLSRRELTIFSNSCLLLLLGTQAVDGSSAKAEAADANTNESDQPEENVVFVEEDVANTSSTAQPEENRVLAEDVANTSNTAQPEANPVLAEDVANQQAENLNVAEGNVTKTSNRDQPEENLTTTPSCTERKTTKQVFLDISIDGEPAGRITVGLYGDEVPAGVDRFSKIVSGAAGISYRRKEFVKIMPNYVQHGGLRSYGVDSEFASRTGSNLGADSLVQEWEREYEKCPGTKNVTGSVGIIVRNPSKPPPKMKLVAKKGKLEIDQEEVGIDPNGTEFVIVTKDSPELDTSSLVIGRVIGGMEVVKRIGEVKTVQENTGSPYFRVAKLIGDKRAVVAERGFNRPYSKVIVTNCGLMQ >Vigun03g135800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:13296419:13297454:1 gene:Vigun03g135800.v1.2 transcript:Vigun03g135800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGARINDVSSNQVEIPVHSDGVEPKPSEESNIDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVKKDISAMLIAGFAGLVAGACSMAIGEFVSVYTQYDIEMTQLKREREANNNGGVNGEAQREKLPNPFQAALASALAFSIGALVPMLAAVFIRSHKIRMGVVAAAVSVALLVFGGVGAVLGKTPVMRSCLRVLIGGWMAMAVTFGLTRLIGSAQL >VigunL058500.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000447.1:9029:9547:1 gene:VigunL058500.v1.2 transcript:VigunL058500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTGERSFADIITSIRYWIIHSITIPSLFIAGLLFVSTGLAYDGFGSPCPNEYFTENRQGIPLITGHFDPLEQLDEFNQYQQCSSSNDKFNLNYRAMTQSNLNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Vigun01g152900.1.v1.2 pep primary_assembly:ASM411807v1:1:33543014:33546266:1 gene:Vigun01g152900.v1.2 transcript:Vigun01g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACYQQKLFNRLKPLIGVVFLQFGYSGMDVLSKAALNKGMSNYVFVVYRHVFAFVVMAPFAVFLEKKVRPKMTFSIFMKIVILSLLEPVIDQNLYFLGMKYTTATFAVSMYNVLPAITFVMAWILRLEKVKLKSTRSQAKVVGTLATVAGAMIMTLIKGPILDLFGTHASNTNNLQNGGVNLQNAIKGSVMITIGCFSCACFMILQAITIEAYPAELSLTAWICLLGSVEGGIVALVMERNNFSAWSLQWDTKLLAAVYSGIVCSGMAYYIQGVVMKDRGPVFVTTFNPLCMVIVAIMGSFFLAEQMYLGRAIGAIVIIVGLYLVVWGKSKDYESSSAITEENVLSAKQTVEKSNSKEEHFSNLGTIARDEQV >Vigun05g207650.1.v1.2 pep primary_assembly:ASM411807v1:5:39747321:39748563:1 gene:Vigun05g207650.v1.2 transcript:Vigun05g207650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANISWEKVLYEFPANLRILAIDTDPTVLELIKKICNELGYEVITCTESLRASDILRERKVGIDLVMMEVHMPKMNGFEFLFANQEIDVPVIMMSLDDNKKSVMKSIKLGGCDYWIKPLHEDRVKNMWTHVVRKRMRNHVGNVEASSEVDNVGESSPSSRKKPRMVWTSELHGEFVKAVNQIGLAKAVPNKISELMNVPGLTRSHVASHLQKYRNTLKTKPLQKHVHAEETSIMALDQHFPQSSETMLNSVSVDTILQQQEMQQWMDSFFL >Vigun08g031000.1.v1.2 pep primary_assembly:ASM411807v1:8:2834098:2836716:-1 gene:Vigun08g031000.v1.2 transcript:Vigun08g031000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQENDDVSSSFTDFPVDIQVCILSFLAPSEIATLACTSKQLGSLCARDSKLWFSMCQRRWGSKTTITQWGKAKGTISYKHLYHTLHHWENLLGFWRRSGSETPLLLFFEWGPSFISASRVSPSESLAYGVTKTPFLWMSLSEDGDVVTFLDPDGKPGVERGIQSELVAVEVSLMGKTHFVVEEKQFRGSISDDCGDEVVESGSPPEKLMAEIYQHFANPRSPGGDRSRRQRRREKERLARRKWESQHFVKIVNCSPTPERPLQGIWKGICGDRSLAFYLVAYDDIGGISCRRIGDHPERILGYGPVFWTSNATFLESPFPLEEESLYASRVHLRPLQPVNESEFSLSDDEVVNGIQDIRVSENVVNRILHISSSYDLVVPNLSGTINPSAEGRIWQYQNGTFGFGFLSDNLVIDMKHIVHNGCIVDTVKASPH >Vigun05g140900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:17455208:17456790:1 gene:Vigun05g140900.v1.2 transcript:Vigun05g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMARARVEELVLFRKRTNEKENVTESKQENMSKKKSNICGHNKKNEGGKELLKVIREETEKLSIKENKEKSGTHSKVCYCAPTTHEGSFRCRLHRKKPATDAKSNPRMNSQCTTKVMVEFQPHFSMLGRVPSVQVGTHGSLLKLSRMDSV >Vigun01g090900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:25137187:25140601:1 gene:Vigun01g090900.v1.2 transcript:Vigun01g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFPSEGESALSVVGPRPMEWSTVPYNAPQAPGPNGKQRTSSLESPIMLLSGHQSAIYTMKFNPAGSVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGTQIISASPDKTVRAWDVETGKQIKKMVEHLSYVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGSIQTFPDKYQITAVGFSDASDKIFTGGIDNDVKIWDLRKGEVTMTLQGHQDMITGMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKVLEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNECVFHPNEPIIGSCSSDKQIYLGEI >Vigun11g166168.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:37352829:37352982:-1 gene:Vigun11g166168.v1.2 transcript:Vigun11g166168.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTILFMVAQLKIYPNEICTVNQENQSAIREFLMGQSKIYPFRTCIMY >Vigun07g109500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20297793:20299983:1 gene:Vigun07g109500.v1.2 transcript:Vigun07g109500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPSCFGENGVQVADSSSSSTTRAAQNVVTCVYQCRLRRRSCLITVSWTKTLMGQGLSVGIDDLGNHCLCKVEIKPWLFSKRKGSKNLEVQSGKIDIFWDLSCAKFGSGPEPLEGFYLAVVFNKELVLLLGDLKKEACKKIDSDCAFSHGGTVFVAKREHIFGKKFYGAKAQFCDKGQVHDVTIECDTVGFNDPSLVIRIDSKTVMQVKRLKWKFRGNHTILVDGVPVEVFWDVHSWLFGNAIGNAVFMFQTCISSEKVWEAQSASDTTALTWASSQLFKDSQLQCFGFSLILYAWKHE >Vigun09g111401.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:24114546:24115903:1 gene:Vigun09g111401.v1.2 transcript:Vigun09g111401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHPFAQLPSSPQRILHVPAYEATTVIHSSCCTCESFTLSPPRTRKDAHHRSCNCTINLQQIATCACTLRKPATTHHHLAHQQPPQLQHAPDSRIPLVHLQLRSSSSNMHMFQPP >Vigun03g169800.1.v1.2 pep primary_assembly:ASM411807v1:3:20213067:20214180:1 gene:Vigun03g169800.v1.2 transcript:Vigun03g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVTIWRAGCETRIYSSLRRSQITATTSLLRSPLLSRHRHRRRHQGVHVDDSDRVTAVLANPIEGHSDPPERFESV >Vigun09g093300.2.v1.2 pep primary_assembly:ASM411807v1:9:13448926:13453518:1 gene:Vigun09g093300.v1.2 transcript:Vigun09g093300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYFCFLCVTSCKEPSFFHAMLRLHVCVLEAKDLPVRATYVKLRLAKSKAKTRILTNTCNPVWNQEFCFKVHDAEDVLVVSVVSHVNECRVSNGYVGFLGKILLTVYLRGKGRAFTKHKHSSNSTVAVENSKELEGLHSSCRAPCDKMGVGKLLRVIANSLHKILKKKEGNSKPGDSSELSSTLLSDPEDSVQENSPSCSFEEALAQMESRDNKPEMPENLSGGVLVDQTYLFSPKDLNLFLFAPKSQFRKDLAELQGITNVQEGPWTWKNGDMSSLTRVVTCTKAATKLVKEVTCTEEQTYIRVCRKEFAILCNISTPEAPYGNTFRIELLYKIMPGEEVPSGEESSHLAVSWGIVFLQSTMMKGMIESGARQGLKDSFNQFSQKLAQNFKVQDKANFSDKEHFLATLQTEDQWHWWQEVAYFCNFTVVSTIFMCLYVMVHIFYCSPSPPQGLEFRGLELPDSYGEFIIGGILSIQLQRVYNMLSHFVQARFQMGTDHGLKANGVGWVLTVALIEGVDLASLASEGLSDPYVVLTCNAQTRSSSVKLQTSNPQWNEILEFEAMEEPPSVLDVEVFDFDGPFDQDVSLGHAEINFLKHTSTELADMWLLLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLGKMEKEVGKKLNLRSPQRSSTFQKLFALPPEEFLIKDLTCYLKRKMPLQGRLFLSARILGFYANFFGHKTKFFFLWEDIEDIQVLPPSLATLGSPTLVIILRSGRGIDARHGAKTQDEEGRLRFHFQSFSSFGAACRTIKALWRTRILNPYQKEQITEEHDDQEGFVIPEDSASVLENEENMSRIFSAEVPIRMISVMGIFDGGTLEHKIMQRTGCMNYETTAWELVKPEVFERRVSYQFNRQVSTFGGEVTSTQQKSPNADTGGWTVVEVMALHGVPFADHFHIHFKFEIEPSPLGECACKCEAYISVMWLKSSKFQQRINRNIIAKFNLRLKEIFELVQEEILIMSQNSNG >Vigun09g093300.1.v1.2 pep primary_assembly:ASM411807v1:9:13448926:13453518:1 gene:Vigun09g093300.v1.2 transcript:Vigun09g093300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYFCFLCVTSCKEPSFFHAMLRLHVCVLEAKDLPVRATYVKLRLAKSKAKTRILTNTCNPVWNQEFCFKVHDAEDVLVVSVVSHVNECRVSNGYVGFLGEVRIPVGSISFEDKQTLLPTWFSLQSPKSGKFFNKYCGKILLTVYLRGKGRAFTKHKHSSNSTVAVENSKELEGLHSSCRAPCDKMGVGKLLRVIANSLHKILKKKEGNSKPGDSSELSSTLLSDPEDSVQENSPSCSFEEALAQMESRDNKPEMPENLSGGVLVDQTYLFSPKDLNLFLFAPKSQFRKDLAELQGITNVQEGPWTWKNGDMSSLTRVVTCTKAATKLVKEVTCTEEQTYIRVCRKEFAILCNISTPEAPYGNTFRIELLYKIMPGEEVPSGEESSHLAVSWGIVFLQSTMMKGMIESGARQGLKDSFNQFSQKLAQNFKVQDKANFSDKEHFLATLQTEDQWHWWQEVAYFCNFTVVSTIFMCLYVMVHIFYCSPSPPQGLEFRGLELPDSYGEFIIGGILSIQLQRVYNMLSHFVQARFQMGTDHGLKANGVGWVLTVALIEGVDLASLASEGLSDPYVVLTCNAQTRSSSVKLQTSNPQWNEILEFEAMEEPPSVLDVEVFDFDGPFDQDVSLGHAEINFLKHTSTELADMWLLLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLGKMEKEVGKKLNLRSPQRSSTFQKLFALPPEEFLIKDLTCYLKRKMPLQGRLFLSARILGFYANFFGHKTKFFFLWEDIEDIQVLPPSLATLGSPTLVIILRSGRGIDARHGAKTQDEEGRLRFHFQSFSSFGAACRTIKALWRTRILNPYQKEQITEEHDDQEGFVIPEDSASVLENEENMSRIFSAEVPIRMISVMGIFDGGTLEHKIMQRTGCMNYETTAWELVKPEVFERRVSYQFNRQVSTFGGEVTSTQQKSPNADTGGWTVVEVMALHGVPFADHFHIHFKFEIEPSPLGECACKCEAYISVMWLKSSKFQQRINRNIIAKFNLRLKEIFELVQEEILIMSQNSNG >Vigun09g091100.1.v1.2 pep primary_assembly:ASM411807v1:9:12629530:12634105:1 gene:Vigun09g091100.v1.2 transcript:Vigun09g091100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSKTVQLGSLGLVPDNSPLSITLNGSDFLANGHPFLTEVPHNITATPSPSVYSKATDTAVGCFVGFHADEARSRHVAPLGKLRGIRFMSLFRFKVWWSTQWVGSNGHEVEHETQMILLDKNHSLGRPYVLLLPILQGSFRASLQPGLDDYVDLCVESASTHVTASSFGSCLYLHVGDDPFRLLREAAKVVRMHLGTFKLLEEKTAPAIIDKFGWCTWDAFYLKVHPLGVWEGVKGLVEGGCPPGMVLIDDGWQSICHDEDPVTDQEGVNRTSAGEQMPCRLVKFEENYKFRNYRSVEGSEKGLGAFVRDLKKRFRSVEQVYVWHALCGYWGGVRPEVPGMPEAKLVTPKLSQGLQMTMEDLAVDKIVNNGVGLVPPHLAHRLYEGLHSRLESAGIDGVKVDVIHLLEMLSEEFGGRVELARDYYKALTASVKKHFKGNGVIASMEHCNDFFLLGTETIALGRVGDDFWCTDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYVSDCVGKHNFKLLKSLSLPDGTILRCQHYALPTRDSLFEDPLHDGKTMLKIWNLNKYTGVLGVFNCQGGGWCPVTRRNKSASEFSHAVTCLASPQDIEWSNGKVPMCIKGVDVFAVYCFKDKKLKLMKWSEKLEVSLEPFSFELLTVSPVTVLSKGLIQFAPIGLVNMLNCGGAIQSLEFDDDRDVVKIGVRGCGEMRVFSSEKPISCKIDGVAVKFDYEDRMVRVQVSWPSSSKLSMLEFLF >Vigun07g129900.1.v1.2 pep primary_assembly:ASM411807v1:7:23887720:23889900:1 gene:Vigun07g129900.v1.2 transcript:Vigun07g129900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVLGPAISLVLVVGVVIGVVAVVQSPKPDANSTGELKTTTRAVTALCQDSDDPKLCHNVLSSANSSDPKDYIVTVVKTSMDSVIKAFNMSDKLTVEHGNTSQGMKMALDDCKDLLQSAMHELEASGVLIKESNISDVTDRSAELKNWLGAVVAYQQSCLDGFDTDGEKKVQEQLEAGSLDNVGKLTGLALDVVSGIAKVLQSMDLNLALKPASRRLLDVDQEGYPTWLTAADRKLMAGEPVIPHATVAQDGSGQFNTVLDAINSYPKNHQGRFIIYVKAGVYNEYILVDKKKLNLLIYGDGPTKTIITGRLNYAEGTKTMRTATFSTVAEDFMAKSIAFENTAGAAGHQAVALRVQGDRSVFFDCAMRGYQDTLYAHAHRQFYRNCEISGTIDFIFGYATALIQNSKILVRKPDANQQNIVVADGTQQKNMPTGVVLQNCEITADPTLFPERMVVKTYLARPWKAFSRAVFIENVIGDLIQPEAYIPWNPATEPNTQDCYFAEFGNTGPGSVANARAPFSKGLISREEAAQFTAEPWLQASTWLPATGIPYDASFTKA >Vigun06g062266.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19032186:19032971:-1 gene:Vigun06g062266.v1.2 transcript:Vigun06g062266.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFSYKWSSLEFPKESSLIYTSLFDKSKLSIFRLSVSPERVLFRLADSLCNDHPVRSLYVEITAERNNNNNKECFTFGVVRVVEDGACEQTVRNTEHLTNLCGKKSCFYGVMRKSESGNLGSAEKRVTWWEVVHSYNVTPTTHVRYSVMIKCDEVRGLCAEFIGPFKCEGRVSREGLLKQCSSADSLIRVSDVKGKPPKALNAFVESEFTGKEYILFKPEEKARRVKIVNTDAKFNGSGNASEYRNCNIIMKCEAKGTN >Vigun06g103600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23312806:23315898:-1 gene:Vigun06g103600.v1.2 transcript:Vigun06g103600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGQNPSMGVVGSGQLAYGSNPYQQGQITGPPGSVVTSVGTIQSTGQPAGAQLGQHQLAYQHIHQQQQHQLQQQLQQFWSNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALPYCYMPPQHAPQVGTGGVIMGKPVMDPNMYAQQSHPYMAPQMWPQPPDQRQPSPEH >Vigun06g103600.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23312912:23315856:-1 gene:Vigun06g103600.v1.2 transcript:Vigun06g103600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGQNPSMGVVGSGQLAYGSNPYQQGQITGPPGSVVTSVGTIQSTGQPAGAQLGQHQLAYQHIHQQQQHQLQQQLQQFWSNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALPYCYMPPQHAPQVGTGGVIMGKPVMDPNMYAQQSHPYMAPQMWPQPPDQRQPSPEH >Vigun06g103600.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:23312806:23315856:-1 gene:Vigun06g103600.v1.2 transcript:Vigun06g103600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGHGQNPSMGVVGSGQLAYGSNPYQQGQITGPPGSVVTSVGTIQSTGQPAGAQLGQHQLAYQHIHQQQQHQLQQQLQQFWSNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALPYCYMPPQHAPQVGTGGVIMGKPVMDPNMYAQQSHPYMAPQMWPQPPDQRQPSPEH >Vigun09g227800.2.v1.2 pep primary_assembly:ASM411807v1:9:39965605:39968632:-1 gene:Vigun09g227800.v1.2 transcript:Vigun09g227800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDISNPGLQLGLGLGLHDQSQETCMKSHERRRRQRQSQKKKEADPLSSFLNSSSIIKRERDREEVELEVEKFPSNLRVVDLHEYGNYSKKKLRLTKEQSAVLEDSFKDHSTLTLTQKQELAKKLNLRARQVEVWFQNRRARTKLKQTEMNCEILKKCCESLTEENKMLQKELEELKLMKTALQGPIFMQLPVPTLTICPSCQRISGGSAATTTDNASSSTTTTTLLVGPKLPHFYKNNYTFTQSSAAC >Vigun09g227800.1.v1.2 pep primary_assembly:ASM411807v1:9:39965605:39968632:-1 gene:Vigun09g227800.v1.2 transcript:Vigun09g227800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDISNPGLQLGLGLGLHDQSQETCMKSHERRRRQRQSQKKKEADPRKNKTHPSLRLGPPHDDDANNNHQVTKTDSDECCDDFHGRASPPSAVSSFLNSSSIIKRERDREEVELEVEKFPSNLRVVDLHEYGNYSKKKLRLTKEQSAVLEDSFKDHSTLTLTQKQELAKKLNLRARQVEVWFQNRRARTKLKQTEMNCEILKKCCESLTEENKMLQKELEELKLMKTALQGPIFMQLPVPTLTICPSCQRISGGSAATTTDNASSSTTTTTLLVGPKLPHFYKNNYTFTQSSAAC >Vigun11g080000.2.v1.2 pep primary_assembly:ASM411807v1:11:23665763:23670300:1 gene:Vigun11g080000.v1.2 transcript:Vigun11g080000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGANENVTLVSTNGTFEAGFFSPANSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDRDQGILSIKSGTGAKIWSSNASHTRSKPVAVELLESGNMVVKDGHNNFLWQSFDYPDDTLLPGMKLGMNLKTGHYRALRSWKSLNDPTPGEFSFGVDTRGLPQLVITKDSNDNIVFRPGSWNGVRVTGVPGQRTHPLTKSLFVMNEDEIYYEIQLLNSSTKLRSRLVPQGLQMRLIWSDESKIWDTPYTGSFDECERYGMCGANTICNVSGANQHCECLSGFRSNVADSTCERIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLEECQKLCLSNCSCTAYAQLDMSANGSGCLQWFNDIVDFRILAQDGQDFYLRITASKLQDHAFNSKIGGIILGCTVFIIAIVVFGSILCLKRNKLKQTDLIQFQVAETNYWKDKNKKDDIDLPIFDFLYISNATNNFSESNKLGQGGFGPVYKGILPDGQEIAVKRLSKTSGQGLDEFKNEVLLIAKLQHRNLVKLLGCSIHQDERLLIYEFMPNRSLDYFIFDSTRSTSIDWAKRFEIIDGIARGLLYLHQDSRLNIIHRDLKTSNVLLDSNMKPKISDFGIARTFNQDQDEANTNKVMGTYGYMPPEYAVHGSFSVKSDVFSFGVIVLEIITGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMGESMDTGDDPSEILRYIHIGLLCVQYRPEDRSNMSSVVLVLNSEKLLPEPSQPGFYPGRNNLTTMTDSSSRNLDAYSLNEMSETLLEAR >Vigun11g080000.1.v1.2 pep primary_assembly:ASM411807v1:11:23665763:23670300:1 gene:Vigun11g080000.v1.2 transcript:Vigun11g080000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEMFGVCLLFLSLLSMSSTLEMITPTQPLKDGANENVTLVSTNGTFEAGFFSPANSGSRYLGIWYKTISPRTVVWVANKETPLQDHSGVLELDRDQGILSIKSGTGAKIWSSNASHTRSKPVAVELLESGNMVVKDGHNNFLWQSFDYPDDTLLPGMKLGMNLKTGHYRALRSWKSLNDPTPGEFSFGVDTRGLPQLVITKDSNDNIVFRPGSWNGVRVTGVPGQRTHPLTKSLFVMNEDEIYYEIQLLNSSTKLRSRLVPQGLQMRLIWSDESKIWDTPYTGSFDECERYGMCGANTICNVSGANQHCECLSGFRSNVADSTCERIIPLDCNKGDSFKKYEGMKLPDTSSSWYDKRMSLEECQKLCLSNCSCTAYAQLDMSANGSGCLQWFNDIVDFRILAQDGQDFYLRITASKLQDHAFNSKIGGIILGCTVFIIAIVVFGSILCLKRNKLKQTETNYWKDKNKKDDIDLPIFDFLYISNATNNFSESNKLGQGGFGPVYKGILPDGQEIAVKRLSKTSGQGLDEFKNEVLLIAKLQHRNLVKLLGCSIHQDERLLIYEFMPNRSLDYFIFDSTRSTSIDWAKRFEIIDGIARGLLYLHQDSRLNIIHRDLKTSNVLLDSNMKPKISDFGIARTFNQDQDEANTNKVMGTYGYMPPEYAVHGSFSVKSDVFSFGVIVLEIITGRKNRGFRDPHNELNLLGHAWRLWIEKRPMELMGESMDTGDDPSEILRYIHIGLLCVQYRPEDRSNMSSVVLVLNSEKLLPEPSQPGFYPGRNNLTTMTDSSSRNLDAYSLNEMSETLLEAR >Vigun01g255200.1.v1.2 pep primary_assembly:ASM411807v1:1:42013867:42016129:-1 gene:Vigun01g255200.v1.2 transcript:Vigun01g255200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGIILGVLMSLVVMVRGEDPYIYHTWNVTYGIISPLGVPQQGILINGKFPGPSINTTSNNNLIVNVFNNLDEPLLFTWSGIQQRKNSWQDGVPGTNCPIPPGTNFTYRMQVKDQIGSFFYYPSTALHRAAGGFGSHRINSRLLIPVPYHDPEDEYDVVIGDWYTKSHTTLRKFLDSGRSIGRPEGVLINGKTAKGDGSDEPLFTMKPGKTYKYRVCNVGMKFSLNFRIQGHPLTVVEMEGSHVVQNQYESLDVHIGGCFSLLVTADKDPKDYYMVASTRFSKTVLTAKGIIRYTNSKSGPSPDIPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYKYAKIEITRTIKLVNSVSRENGKLRYALNGVSHEDPETPVKLAEYFGVADKVFKYNTIKDDPSSDVGASVKIHPNVLNLEHRKFIEIIFENPEKSIQSYHIDGYAFFAVAIEPGKWTPDKRDNYNPLDAVNRHTIQVFPKSWAAVLLSTDNVGMWNLRSMITENMYLGQQMYLSIITPERSLRDEYNIPDNALLCGIVKDLPKPPPYT >Vigun03g323800.1.v1.2 pep primary_assembly:ASM411807v1:3:51956316:51958539:1 gene:Vigun03g323800.v1.2 transcript:Vigun03g323800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGAKRVLGTTSLGVRVPARWYHERVVDHYDNPRNVGSFDKNDPSVGTGLVGAPACGDVMKLQIKVDDKTGKIVDARFKTFGCGSAIASSSVATEWVKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKATASGEAATEETRATA >VigunL059446.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000318.1:38170:38940:-1 gene:VigunL059446.v1.2 transcript:VigunL059446.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSTTTTTTTTTTTTMANTTTTTSTTITTTTTTTMATTITTAITSTSTSTSNSSITTTTTINPTTIAMTLMLANITTTSTTKTTSTSTATNITTTTTTTTTITTTTTTTTTIPSTTTTTTTTNTTITTATTTTTTTTTANTTTTTTITNATTTTIIAIDTTTMTTTTTATTTTTITTITTSTTATTLNNHRHPYHTLTTITTTTTTTTPTITTTTATTTTTTSSTTASTRNATTKATKATTTTTTTTNTTKAT >Vigun11g162100.2.v1.2 pep primary_assembly:ASM411807v1:11:36940669:36946092:-1 gene:Vigun11g162100.v1.2 transcript:Vigun11g162100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNWLSFPLSPTHSSLPSSHDLQATQYHQFSLGLVNETMENPFQNHDWNLINTHSSNEVPKVADFLGVSKSENQSELAALNEIQSNDSDYLFTNNSLVPLQNPVVDTPSNEYQENANSNLQSLTLSMGSGKDSTCETSGENSTNTVEAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELDEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSSTFQYGTSSSSRLHAYPLMQHHQFEQPQPLLTLQNHDMSSHFSHQDPLHQGYIQTQLQLHQQSGVSSYLHNNPQFYGGYLQNHPALLQGMMNMGSSSSSSSVLENNNNNNGNVGGFAGSGFGMASNATSGNAVGAAEELGLVKVDYDMPAGGYGGWSAAAAESMQTSNGGVFTMWNE >Vigun11g162100.1.v1.2 pep primary_assembly:ASM411807v1:11:36940445:36944199:-1 gene:Vigun11g162100.v1.2 transcript:Vigun11g162100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNWLSFPLSPTHSSLPSSHDLQATQYHQFSLGLVNETMENPFQNHDWNLINTHSSNEVPKVADFLGVSKSENQSELAALNEIQSNDSDYLFTNNSLVPLQNPVVDTPSNEYQENANSNLQSLTLSMGSGKDSTCETSGENSTNTVEAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELDEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSSTFQYGTSSSSRLHAYPLMQHHQFEQPQPLLTLQNHDMSSHFSHQDPLHQGYIQTQLQLHQQSGVSSYLHNNPQFYGGYLQNHPALLQGMMNMGSSSSSSSVLENNNNNNGNVGGFAGSGFGMASNATSGNAVGAAEELGLVKVDYDMPAGGYGGWSAAAAESMQTSNGGVFTMWNE >Vigun05g002200.6.v1.2 pep primary_assembly:ASM411807v1:5:167169:174477:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPQKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >Vigun05g002200.1.v1.2 pep primary_assembly:ASM411807v1:5:167320:174341:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEELLAEEGFKGIRRVPRSRSSFHYGASSEPLYSMEGRLCVSSERIRPQRQKSDASRYQITSGRLKTDTHTAKNPRSRDNVILREVDERLKVEAEKNSSGDTDSSEEVPTKSSEYMPRDEITEVIEQDASRFEDTYSKEVSSKGGKENNFHELVEEKKWGKKPVKDAKVQSRSSSIHTRRHSSETGKKINPQKSFEYSSRNKSSNLALQVASSLALDEVAVQAVVSILNGYIKRFPKDEDFRSTLHHRCFSSLNFVELKEEKITQTKVIRSLEQAIEAIEQSVEEPVSAMYLKRTTMQLSIITGLSLNDLKYECTCGIPNYKLSACAHLYLSVVYMMQKKNKVSAKHLLQVFCDSPFQARTMLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPQKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >Vigun05g002200.2.v1.2 pep primary_assembly:ASM411807v1:5:167311:174341:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEELLAEEGFKGIRRVPRSRSSFHYGASSEPLYSMEGRLCVSSERIRPQRQKSDASRYQITSGRLKTDTHTAKNPRSRDNVILREVDERLKVEAEKNSSGDTDSSEEVPTKSSEYMPRDEITEVIEQDASRFEDTYSKEVSSKGGKENNFHELVEEKKWGKKPVKDAKVQSRSSSIHTRRHSSETGKKINPQKSFEYSSRNKSSNLALQVASSLALDEVAVQAVVSILNGYIKRFPKDEDFRSTLHHRCFSSLNFVELKEEKITQTKVIRSLEQAIEAIEQSVEEPVSAMYLKRTTMQLSIITGLSLNDLKYECTCGIPNYKLSACAHLYLSVVYMMQKKNKVSAKHLLQVFCDSPFQARTMLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >Vigun05g002200.7.v1.2 pep primary_assembly:ASM411807v1:5:167169:174477:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >Vigun05g002200.5.v1.2 pep primary_assembly:ASM411807v1:5:167311:174341:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEELLAEEGFKGIRRVPRSRSSFHYGASSEPLYSMEGRLCVSSERIRPQRQKSDASRYQITSGRLKTDTHTAKNPRSRDNVILREVDERLKVEAEKNSSGDTDSSEEVPTKSSEYMPRDEITEVIEQDASRFEDTYSKEVSSKGGKENNFHELVEEKKWGKKPVKDAKVQSRSSSIHTRRHSSETGKKINPQKSFEYSSRNKSSNLALQVASSLALDEVAVQAVVSILNGYIKRFPKDEDFRSTLHHRCFSSLNFVELKEEKITQTKVIRSLEQAIEAIEQSVEEPVSAMYLKRTTMQLSIITGLSLNDLKYECTCGIPNYKLSACAHLYLSVVYMMQKKNKVSAKHLLQVFCDSPFQARTMLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVCSS >Vigun05g002200.3.v1.2 pep primary_assembly:ASM411807v1:5:167169:174378:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEELLAEEGFKGIRRVPRSRSSFHYGASSEPLYSMEGRLCVSSERIRPQRQKSDASRYQITSGRLKTDTHTAKNPRSRDNVILREVDERLKVEAEKNSSGDTDSSEEVPTKSSEYMPRDEITEVIEQDASRFEDTYSKEVSSKGGKENNFHELVEEKKWGKKPVKDAKVQSRSSSIHTRRHSSETGKKINPQKSFEYSSRNKSSNLALQVASSLALDEVAVQAVVSILNGYIKRFPKDEDFRSTLHHRCFSSLNFVELKEEKITQTKVIRSLEQAIEAIEQSVEEPVSAMYLKRTTMQLSIITGLSLNDLKYECTCGIPNYKLSACAHLYLSVVYMMQKKNKVSAKHLLQVFCDSPFQARTMLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPQKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >Vigun05g002200.4.v1.2 pep primary_assembly:ASM411807v1:5:167169:174378:-1 gene:Vigun05g002200.v1.2 transcript:Vigun05g002200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEELLAEEGFKGIRRVPRSRSSFHYGASSEPLYSMEGRLCVSSERIRPQRQKSDASRYQITSGRLKTDTHTAKNPRSRDNVILREVDERLKVEAEKNSSGDTDSSEEVPTKSSEYMPRDEITEVIEQDASRFEDTYSKEVSSKGGKENNFHELVEEKKWGKKPVKDAKVQSRSSSIHTRRHSSETGKKINPQKSFEYSSRNKSSNLALQVASSLALDEVAVQAVVSILNGYIKRFPKDEDFRSTLHHRCFSSLNFVELKEEKITQTKVIRSLEQAIEAIEQSVEEPVSAMYLKRTTMQLSIITGLSLNDLKYECTCGIPNYKLSACAHLYLSVVYMMQKKNKVSAKHLLQVFCDSPFQARTMLLPELWKHLFSPQLSHLKAWYKKEEGVLVDTPNKTRRLKLLQEVYNEHLDSGTHIFAVYYKDWLTEGVESPTVPSIGIPSVSVSGSEEGGSLGHSFESASSIDPFSPQPMVSKKLYDSMFGSFRRPGVYQVKDVEDDGNQDNCVKGSYGSTFVKQTLTYESETVKFTDQDIEGFSQGVAIDTIKPKGNSMAATEEWRKRNVSDEINNSFPMQTNLNNRIVDAVPYEKANELGLKKPNKTSYALQGSDFPSTPREFICSLTGNLFEEPVTLETGQTFEREAIKAWFEKGNRTCPVTGIALECMAIPFTNLILKRLIDNWRSERFDYLLGLASQRVENSEDLKLKEGDEAAVFKLESLFSSLKAEEKSTYVKHLMSLGFLPFLFRRFEQGNVEEKSQVVSLLLNCIQVDSGCIYKIARNVNRKCLLELLHSTEVTPTTNAILFLIELLSMKRRKDVTSFISGLAGEKVVTIMHILLMYLENSSPFEKPLIAVLLLHFDLLVEPQRFSAYREVAVNAIAEALDGSLNDEKAREKCCRALLILCGHFSSTGKIPTKTSILKQAGYNHDERLNISLEDEEKRVEELLKKLLESLIGDGEGPFLKSLSRCLDCKHIDLVRACLITVTWLSSSLSTLFGAGLPLPSFSFIISQLKRILENGELELRALASLSLLNFSKISECKTLLKTMAEDVAPLFHGLAEITCTAKQLHATVSRENL >VigunL018802.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000424.1:18795:19125:-1 gene:VigunL018802.v1.2 transcript:VigunL018802.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVLSFGLLLTALRSRKARSWRYGCVADYLSLSFWDEIGESDNDRDNMLLQLEQECLDIYHRRVKETRKHKADIY >Vigun05g222001.1.v1.2 pep primary_assembly:ASM411807v1:5:41398062:41398464:-1 gene:Vigun05g222001.v1.2 transcript:Vigun05g222001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLYKTSTQSTHNGIVDSQDCNARGIITTRHGGGGHKRLYRQIDFQQNEKNIYGRIVTIEYDPNRNASICLIHYGDETIIGDTIVSGTEVPIRMRNALPLSTV >Vigun01g197900.4.v1.2 pep primary_assembly:ASM411807v1:1:37448950:37453301:-1 gene:Vigun01g197900.v1.2 transcript:Vigun01g197900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGTRFDGLSNAVRRKRSQTSRRPRPDSQPVSDGHELSPLSSTPSEDAGKISSDENVGYDANSKRKEFNLNHCVSQTSSATGAEDDKSHKKSKKDGGFQAFYNNEPGRSGLHNKRCSEGVLAPANWRGSSKAKDSLDLESRNADLYAARNPESVNLAQFSGSQDGLGNESRVKKVKLKVGGVTRTIQANSATNGASGSGSTMKSSRSSDTSRPRQKQQSNSDENNSPSDKRSGLQGVPWKDFSRGGFGLGKEESLMGKISTKNASSKLGDKSEPVRKSKRVPKRRVLDGEFGDDDDEDDEIRYLEKLKTSKVSAVYRDEEELSKKHRKLSNMENAASTRSVKDGKKKSRSDRVYEDTDYEDEEESGGSEGELEDKKKKKQRKESVDVLMDSKREITLTTRQRALQSSKDASASSASLIEFPNGLPPAPPRKQKEKLSEVDQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKIKKRQEELAQEKAANARMHASNTIKYTMGPTGTVVTFPEEMGFPSLFNSKPVSYPPPREKCAGPSCSNPYKYRDSKSKLPLCSLQCYKAVQEKVVAETTC >Vigun01g197900.3.v1.2 pep primary_assembly:ASM411807v1:1:37448992:37453295:-1 gene:Vigun01g197900.v1.2 transcript:Vigun01g197900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGTRFDGLSNAVRRKRSQTSRRPRPDSQPVSDGHELSPLSSTPSEDAGKISSDENVGYDANSKRKEFNLNHCVSQTSSATGAEDDKSHKKSKKDGGFQAFYNNEPGRSGLHNKRCSEGVLAPANWRGSSKAKDSLDLESRNADLYAARNPESVNLAQFSGSQDGLGNESRVKKVKLKVGGVTRTIQANSATNGASGSGSTMKSSRSSDTSRPRQKQQSNSDENNSPSDKRSGLQGVPWKDFSRGGFGLGKEESLMGKISTKNASSKLGDKSEPVRKSKRVPKRRVLDGEFGDDDDEDDEIRYLEKLKTSKVSAVYRDEEELSKKHRKLSNMENAASTRSVKDGKKKSRSDRVYEDTDYEDEEESGGSEGELEDKKKKKQRKESVDVLMDSKREITLTTRQRALQSSKDASASSASLIEFPNGLPPAPPRKQKEKLSEVDQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKIKKRQEELAQEKAANARMHASNTIKYTMGPTGTVVTFPEEMGFPSLFNSKPVSYPPPREKCAGPSCSNPYKYRDSKSKLPLCSLQCYKAVQEKVVAETTC >Vigun01g197900.1.v1.2 pep primary_assembly:ASM411807v1:1:37448992:37453295:-1 gene:Vigun01g197900.v1.2 transcript:Vigun01g197900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGTRFDGLSNAVRRKRSQTSRRPRPDSQPVSDGHELSPLSSTPSEDAGKISSDENVGYDANSKRKEFNLNHCVSQTSSATGAEDDKSHKKSKKDGGFQAFYNNEPGRSGLHNKRCSEGVLAPANWRGSSKAKDSLDLESRNADLYAARNPESVNLAQFSGSQDGLGNESRVKKVKLKVGGVTRTIQANSATNGASGSGSTMKSSRSSDTSRPRQKQQSNSDENNSPSDKRSGLQGVPWKDFSRGGFGLGKEESLMGKISTKNASSKLGDKSEPVRKSKRVPKRRVLDGEFGDDDDEDDEIRYLEKLKTSKVSAVYRDEEELSKKHRKLSNMENAASTRSVKDGKKKSRSDRVYEDTDYEDEEESGGSEGELEDKKKKKQRKESVDVLMDSKREITLTTRQRALQSSKDASASSASLIEFPNGLPPAPPRKQKEKLSEVDQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKIKKRQEELAQEKAANARMHASNTIKYTMGPTGTVVTFPEEMGFPSLFNSKPVSYPPPREKCAGPSCSNPYKYRDSKSKLPLCSLQCYKAVQEKVVAETTC >Vigun01g197900.2.v1.2 pep primary_assembly:ASM411807v1:1:37448951:37453314:-1 gene:Vigun01g197900.v1.2 transcript:Vigun01g197900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGTRFDGLSNAVRRKRSQTSRRPRPDSQPVSDGHELSPLSSTPSEDAGKISSDENVGYDANSKRKEFNLNHCVSQTSSATGAEDDKSHKKSKKDGGFQAFYNNEPGRSGLHNKRCSEGVLAPANWRGSSKAKDSLDLESRNADLYAARNPESVNLAQFSGSQDGLGNESRVKKVKLKVGGVTRTIQANSATNGASGSGSTMKSSRSSDTSRPRQKQQSNSDENNSPSDKRSGLQGVPWKDFSRGGFGLGKEESLMGKISTKNASSKLGDKSEPVRKSKRVPKRRVLDGEFGDDDDEDDEIRYLEKLKTSKVSAVYRDEEELSKKHRKLSNMENAASTRSVKDGKKKSRSDRVYEDTDYEDEEESGGSEGELEDKKKKKQRKESVDVLMDSKREITLTTRQRALQSSKDASASSASLIEFPNGLPPAPPRKQKEKLSEVDQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKIKKRQEELAQEKAANARMHASNTIKYTMGPTGTVVTFPEEMGFPSLFNSKPVSYPPPREKCAGPSCSNPYKYRDSKSKLPLCSLQCYKAVQEKVVAETTC >Vigun01g197900.5.v1.2 pep primary_assembly:ASM411807v1:1:37448951:37453300:-1 gene:Vigun01g197900.v1.2 transcript:Vigun01g197900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGGTRFDGLSNAVRRKRSQTSRRPRPDSQPVSDGHELSPLSSTPSEDAGKISSDENVGYDANSKRKEFNLNHCVSQTSSATGAEDDKSHKKSKKDGGFQAFYNNEPGRSGLHNKRCSEGVLAPANWRGSSKAKDSLDLESRNADLYAARNPESVNLAQFSGSQDGLGNESRVKKVKLKVGGVTRTIQANSATNGASGSGSTMKSSRSSDTSRPRQKQQSNSDENNSPSDKRSGLQGVPWKDFSRGGFGLGKEESLMGKISTKNASSKLGDKSEPVRKSKRVPKRRVLDGEFGDDDDEDDEIRYLEKLKTSKVSAVYRDEEELSKKHRKLSNMENAASTRSVKDGKKKSRSDRVYEDTDYEDEEESGGSEGELEDKKKKKQRKESVDVLMDSKREITLTTRQRALQSSKDASASSASLIEFPNGLPPAPPRKQKEKLSEVDQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKIKKRQEELAQEKAANARMHASNTIKYTMGPTGTVVTFPEEMGFPSLFNSKPVSYPPPREKCAGPSCSNPYKYRDSKSKLPLCSLQCYKAVQEKVVAETTC >Vigun07g111400.1.v1.2 pep primary_assembly:ASM411807v1:7:20660226:20662629:-1 gene:Vigun07g111400.v1.2 transcript:Vigun07g111400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRQKMAKNPVKYSVVDAFTDSAFKGNPAAVCFLEEERDEEWLQAVATEFNVPVTCYLTRIKGTSTNPRFHFRYFTHLNEVKICGHATLAAAHKLFSSDFVDTNIIEFDTLSGVVTAKKITAIDKCNGASNLQNGVVRDGFYIEVDLPAHPIIECNFDETSQISGALNGASIIDIKRTQIGDDILVVVRSGENVTEVEPQFDAISKCPGRGVIVSGVAPPGSGFDFYSRFFCPKDGVNEDQVCGSAHCGLASYWSKKLGKCDFNAYQVSARGGILKIHFDEKRQRVLLRGKAVTVMEGWVMV >Vigun07g111400.2.v1.2 pep primary_assembly:ASM411807v1:7:20660226:20662629:-1 gene:Vigun07g111400.v1.2 transcript:Vigun07g111400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRQKMAKNPVKYSVVDAFTDSAFKGNPAAVCFLEEERDEEWLQAVATEFNVPVTCYLTRIKGTSTNPRFHFRYFTHLNEVKICGHATLAAAHKLFSSDFVDTNIIEFDTLSGVVTAKKITAIDKCNGASNLQNGVVRDGFYIEVDLPAHPIIECNFDETSQISGALNGASIIDIKRTQIGDDILVVVRSGENVTEVEPQFDAISKCPGRGVIVSGVAPPGSGFDFYSRFFCPKDGVNEVCGSAHCGLASYWSKKLGKCDFNAYQVSARGGILKIHFDEKRQRVLLRGKAVTVMEGWVMV >Vigun05g117800.1.v1.2 pep primary_assembly:ASM411807v1:5:12594341:12594774:-1 gene:Vigun05g117800.v1.2 transcript:Vigun05g117800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMKMNSPMKSAVRFVFVMLLVLFASDMCMKSEARNVVTLRCSTPDNCQFLCPNCNNCQCIDKLCYCPENVFPFTNNKFIQSP >Vigun11g207200.4.v1.2 pep primary_assembly:ASM411807v1:11:40339742:40343829:-1 gene:Vigun11g207200.v1.2 transcript:Vigun11g207200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNTNPPKDYYKLLEVDYDATDENIKLNYRRLALKWHPDKHGGDNAVTTKFQEINEAYNVLSDPAKRFDYDLTGICEIEKYSLQEYLARFKGMILTCNGLGINQTDRWSPQLIENFESLDK >Vigun11g207200.2.v1.2 pep primary_assembly:ASM411807v1:11:40339742:40343829:-1 gene:Vigun11g207200.v1.2 transcript:Vigun11g207200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNTNPPKDYYKLLEVDYDATDENIKLNYRRLALKWHPDKHGGDNAVTTKFQEINEAYNVLSDPAKRFDYDLTGICEIEKYSLQEYLARFKGMILTCNGLGINQTDRWSPQLIENFESLDK >Vigun11g207200.3.v1.2 pep primary_assembly:ASM411807v1:11:40340105:40343829:-1 gene:Vigun11g207200.v1.2 transcript:Vigun11g207200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNTNPPKDYYKLLEVDYDATDENIKLNYRRLALKWHPDKHGGDNAVTTKFQEINEAYNVLSDPAKRFDYDLTGICEIEKYSLQEYLARFKGMILTCNGLGINQTDRWSPQLIENFESLDK >Vigun10g065700.1.v1.2 pep primary_assembly:ASM411807v1:10:14515680:14516115:1 gene:Vigun10g065700.v1.2 transcript:Vigun10g065700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFIATLLLALVSQEWTVSITNRCACVQTNVQLNCKGFQTVEVVNLSLLKVSGDACLVSSGQPLFNGAIVFNYAWDTQFPLNPISSNISC >Vigun04g117050.1.v1.2 pep primary_assembly:ASM411807v1:4:29540028:29543622:1 gene:Vigun04g117050.v1.2 transcript:Vigun04g117050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRDIKVVGHDGNLINIQETVGYYDPLQYPLLFPFGTYGWDTNTKNHNGQSISCREYYSFMLQIRPNDQSVILQAGRLLQQYVVDNYVKIETGRLRWIRNHQNNIRAEVYQGLQDALHEGQTHADTVGKRTILPSSFIGSRRDLTQRYQDGMAIVAHNGKPDIFLTMTCNPSWSEISSELQNQQTPQDRPDLLTRIFRVKFEQLKEDVVNKGVLGKVNSYMYVTEFQKRGLPHLRDPQDYDSIVRAEIPNKAEEPQLHEAVLKHMIHGHCGTLNPRSPCMKRNQCQKRFPKDFLEETRQGNDSYPQYRRRFDEPISINRNVTVDNRWVVPYNPWLLLKYDCHINVEVCSNIKRPDRVAMEIHKGPIIDEVQQYLDARWICAPEALWKIFRFTIYRMNPAVERLQIHLPNRQQVRFYKHQNINDVLNDDNNSKTMLTQFFALNQRDPQSRTFLYREIPEHYCWNNRHKEWYPRRSNKKVIGRMYTVSPSEGDKFFLRVLLSHIRGPTSWEYLLSPNETYCHTFNKATEKWGFLESDNSIHECLVEASTLQMPYALRRLFVTILLFCEPTDIRSLWNHFHTYMLEDYTSTNTSVNENLIPMLLRDLNDFLIQHGKTIKDFDLPPLSYDALATTSVPRIIQEELSIQIPNEDVDNVHRLNHDQLIAFNTILDVINRNQSQVFFVDGPGGTGKTFLYRTLIAHCRSNGQIILATASSGIAATLLPGGRTAHSRFKIPINIEADRTLKDILDSDAPFGGKVIILGGDFRQVLPVVQKGTKAQMISACIINSHLWSNTKILHLQQNMRSLQDHNFAEYLMRIGDGIEPTQVDDMVKIPQQLAISWEGETSIQHLIHQTFSQLQFHTWDASYMAERAILTPKNEDVEKLNDIIIDLFPGEDRNLLSFDEVEGDTYHLYQHEYLHTICPGGLPPHNLKVKKGSPLMLLRNIDPKSGLCNGTRLLCRGFYMNMLDVEILTGHHAGKRAFLPRIKHKTTESAGLPFVLIRKQFPVRLSFAITINKSQGQTIPTVGIYLPRHVFSHGHLEGQEGIFTKNVVYKEILLSQN >Vigun01g248200.1.v1.2 pep primary_assembly:ASM411807v1:1:41563430:41569119:1 gene:Vigun01g248200.v1.2 transcript:Vigun01g248200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAMLKQLIGQLQQLLDSSHSHSLILEPSHHTLLFQQQHPRWTSFDVEDSSVDDFCGLVVTAGKSGRFRMSEPVKSPPSKKSRRDRSRGKSSGRSCSTEVMDQEIWKDFPEDLFEAVIARLPIATFFRFRSVCRQWNSMLTSQSFSQHCTQVTQANPWFYTITHENVNSGAMYDPSLKKWHHPTISTPPTKLIVLPVASAGGLVCFLDIGHRNFFVCNPLTQSFRELPARSVKVWSRVAVGMTINGNSAGSGYKILWVGCDGEYEVYDSVRNSWIRPGNMPAGMKLPLSLNFRSQAVSIDSMLYFMRSDPEGIVSYDMATGVWKQYIIPAPLHLTDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIARKEWLKVPGCVVPRGRKRQWIACGTAFHPCLTALA >Vigun01g248200.2.v1.2 pep primary_assembly:ASM411807v1:1:41564148:41569119:1 gene:Vigun01g248200.v1.2 transcript:Vigun01g248200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAMLKQLIGQLQQLLDSSHSHSLILEPSHHTLLFQQQHPRWTSFDVEDSSVDDFCGLVVTAGKSGRFRMSEPVKSPPSKKSRRDRSRGKSSGRSCSTEVMDQEIWKDFPEDLFEAVIARLPIATFFRFRSVCRQWNSMLTSQSFSQHCTQVTQANPWFYTITHENVNSGAMYDPSLKKWHHPTISTPPTKLIVLPVASAGGLVCFLDIGHRNFFVCNPLTQSFRELPARSVKVWSRVAVGMTINGNSAGSGYKILWVGCDGEYEVYDSVRNSWIRPGNMPAGMKLPLSLNFRSQAVSIDSMLYFMRSDPEGIVSYDMATGVWKQYIIPAPLHLTDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIARKEWLKVPGCVVPRGRKRQWIACGTAFHPCLTALA >VigunL017800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000195.1:17729:19312:-1 gene:VigunL017800.v1.2 transcript:VigunL017800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSTSGNNITRANIPWAVEQNSTTLEWLVQSPPAFHTFGELPAIKETKSYVK >Vigun05g245800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:43878527:43878835:-1 gene:Vigun05g245800.v1.2 transcript:Vigun05g245800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQFSLLVIYLLLSLNSLGTTMGGRIIPPSAPSTVTRPLVSSEVENYVKPLLNHKHKALQAREVKGCLPKGSRHNSAPSRFVNYKTLGSAGCSRMHSGKP >Vigun03g386700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:59283572:59284407:1 gene:Vigun03g386700.v1.2 transcript:Vigun03g386700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSCDSSSILKHIRVVHMNGYVEDFEQPISVRQVIGHPSKHFLCTSTQLLSASSKSMTGDTHLQPGQVYFMLPYSVLQADVSPVDLAGLVKKLSAVAKACPLSTQNQPVRGGSAEQYGVGKMNIGGRSPCRVQPWKPVLDTISEKPYHRRSESDWQECY >Vigun03g332100.1.v1.2 pep primary_assembly:ASM411807v1:3:53016375:53018992:-1 gene:Vigun03g332100.v1.2 transcript:Vigun03g332100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEAERTSTETMEKESTENSEKHVTDSDPKINYRGWKAMPFIIGNETFEKLGAIGTLANLLVYLTTVFNLKNITATNIINIFSGSTNFATMIGAFISDTYLGRYKTIGFCTFTSFLGLLVIQLTAVFKNLHPPHCGKESKTCRGPTSGQMAFLLAGFGLLLVGAAGVRPCNLAFGADQFNPKTDSGKKGINSFFNWYFFTFTFAQMVSLTLIVYVQSNVSWAIGLGIPAALMFISCIVYFMGAKIYVKVRPSGSPITSILQVLVVAIKKRSLQLPAQHQTISLFNYVPPKSINSKLPYTFQFRLLDKAAIVTPQDKINPDGSAGNPWNLCSIQQVEEAKCVVRVLPIWLSAILYHIVIVQNHTLLVFQALQSDRRVGHSNFKIPGASYIVFLMLSMTLWLPIYDRILVPFLRRFTGKEGGITLLQRMGIGIFFSALCMLVAAFVEKHRRNLALTHPIGMQPRKGAISSMSGLLLIPQLALAGLSESFTAVGQVELYYKQFPENMRSIAGSLFYCGLAGSSYLSTLLITIVHNSSAKSSSGNWLPEDLNKGRLDLFYYMLAALEIMNLGYFILCSKWFRYKENDSSSLELKQVPKQSEIGV >Vigun02g149200.9.v1.2 pep primary_assembly:ASM411807v1:2:29658503:29660511:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSK >Vigun02g149200.8.v1.2 pep primary_assembly:ASM411807v1:2:29657144:29660511:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSK >Vigun02g149200.1.v1.2 pep primary_assembly:ASM411807v1:2:29657130:29662440:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAGAGHNTVVGMDNRRPKFSTSISPNSIISTLYDVSSLCRGCGEHDFSSASESAWTDFPVENAYELLGVSETSSFTEIKASFRKLAKETHPDLAESSNDSSASRRFVQILAAYEILSDSQKRAHYDMYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSKFWLFEPRCGFHDIGGWYVETYGKDKHGRTKPSQRFWDGLDYNMQADRRLHPAMYLFALAYRTLDLEYAKASKKSFRHVVGAQMFRVIHWCKKLVQ >Vigun02g149200.2.v1.2 pep primary_assembly:ASM411807v1:2:29657013:29660511:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAGAGHNTVVGMDNRRPKFSTSISPNSIISTLYDVSSLCRGCGEHDFSSASESAWTDFPVENAYELLGVSETSSFTEIKASFRKLAKETHPDLAESSNDSSASRRFVQILAAYEILSDSQKRAHYDMYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSK >Vigun02g149200.7.v1.2 pep primary_assembly:ASM411807v1:2:29657013:29662440:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAGAGHNTVVGMDNRRPKFSTSISPNSIISTLYDVSSLCRGCGEHDFSSASESAWTDFPVENAYELLGVSETSSFTEIKASFRKLAKETHPDLAESSNDSSASRRFVQILAAYEILSDSQKRAHYDMYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSKFWLFEPRCGFHDIGGWYVETYGKDKHGRTKPSQRFWDGLDYNMQADRRLHPAMYLFALAYRTLDLEYAKASKKSFRHVVGAQMFRVIHWCKKLVQ >Vigun02g149200.5.v1.2 pep primary_assembly:ASM411807v1:2:29658105:29662440:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSKFWLFEPRCGFHDIGGWYVETYGKDKHGRTKPSQRFWDGLDYNMQADRRLHPAMYLFALAYRTLDLEYAKASKKSFRHVVGAQMFRVIHWCKKLVQ >Vigun02g149200.6.v1.2 pep primary_assembly:ASM411807v1:2:29657013:29662440:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAGAGHNTVVGMDNRRPKFSTSISPNSIISTLYDVSSLCRGCGEHDFSSASESAWTDFPVENAYELLGVSETSSFTEIKASFRKLAKETHPDLAESSNDSSASRRFVQILAAYEILSDSQKRAHYDMYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSKFWLFEPRCGFHDIGGWYVETYGKDKHGRTKPSQRFWDGLDYNMQADRRLHPAMYLFALAYRTLDLEYAKASKKSFRHVVGAQMFRVIHWCKKLVQ >Vigun02g149200.3.v1.2 pep primary_assembly:ASM411807v1:2:29657142:29662440:1 gene:Vigun02g149200.v1.2 transcript:Vigun02g149200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSQKKLMQKHSEQGSKLYIYKSQATAFKEMEVVEWLKWYRLTINNILSEKRVVDGTGYFDVLERDFYSAIHAAYYGPEIDSMPMEFLPDCFEAEERSSYETPEVLHLVSGRDLFGMVCLANKVPEISTINNEMLTSFRSFHSASCQSITNVNVYSNAERSDDFETYQGLSPKTSGIVSDAYRHLELHISGRVVATASRTLPRSCSDVMQTEDTEDHIQVFLNLYEDPKHIISDFWKGYLANGTVGRRIHLGTISGLGSSPDEGCCYVYNDKGTKTHAIMKHRTLMVKHMHWYQVGEKVSVCECRCTRAHLPPSKFWLFEPRCGFHDIGGWYVETYGKDKHGRTKPSQRFWDGLDYNMQADRRLHPAMYLFALAYRTLDLEYAKASKKSFRHVVGAQMFRVIHWCKKLVQ >Vigun05g249400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:44319008:44321895:-1 gene:Vigun05g249400.v1.2 transcript:Vigun05g249400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEGVNDGFSGPGPNDSLLPGLIDDVALNCLAWVSGSDYTSLSCINKRFNKLINSGYLYGLRKQLKAVEHLVYMVCDPRGWVAFDPKVNKWMSLPKIPCDECFNHADKESLAVGCELLVFGREMMEFAIWKYSMVCRGWVKCQGMNQPRCLFGSSSLGSIAIIAGGSDKYGNVLKSAELYDSSSGMWELLPSMHTPRRLCSGFFMDGKFYVIGGMSSITVSLTCGEEYDLKTRNWRKIEGMYPYVNEGVQAPPLVAVVDNQLYAVEHVTNMVKKYDKEKNTWNELGRLPVRADSSNGWGLAFKACGEQLLVVGGQRGPEGEAIVLSSWCPKSGVRNGTIDWQVLGVKENVGVFVYNCAVMGC >Vigun09g120200.1.v1.2 pep primary_assembly:ASM411807v1:9:26486884:26488570:-1 gene:Vigun09g120200.v1.2 transcript:Vigun09g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFDGRRSDVFDPFSLDMWDPFKDFQFPSSFSAENSAFVNTRVDWKETPEAHVLKADIPGLKKEQVKVEIEDDKVLQISGERNVEREDKNDKWHRVERSSGKFLRKFRLPENAKVDQVKASIENGVLTVTIPKEDVKKPDVKAVQISGLLLH >Vigun01g055300.1.v1.2 pep primary_assembly:ASM411807v1:1:10741450:10744299:1 gene:Vigun01g055300.v1.2 transcript:Vigun01g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESGGGNRRGEPLLEMQEKELAKAPWRLNVEEFRLPNQTHDHHHHRFFSFGGLLRKPRKQRKVAEYYKQQESLLEGFTEMETMTETGGFPGALTESERMAMHVSNICNVVLFAAKVYASIASRSLAVIASTMDSLLDLLSGFILWFTAHAMKKPNQYHYPIGKKRMQPVGIVVFASVMATLGLQILIESARQLISKSKPDTDPTKLHWMIGIMVGVTIVKFILTVYCRRFKNEIVRAYAQDHFFDVITNFVGLAAVVLAVKFYWWIDPTGAIIIALYTINTWAKTVIENVGSLIGRTAPPDFLAKLTYLIWNHHKQIKHIDTVKAYTLGADYFVEVDIVLPEDMVLHEAHNIGETLQEKLEQLPEVERAFVHIDFEFTHKPEHKATV >VigunL059606.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000114.1:1236791:1237690:-1 gene:VigunL059606.v1.2 transcript:VigunL059606.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMVVVVAVVVVVVIVMQVVVVVVAVVVVVVVAMVAVVVMVVVVVGVVVVVVALVVVVVVVVVVVVRWGHGGSGRGGGSGSCGDGGGGGHHRSGGCGHDGGGGGDGGCGGGCGGDGRGGGGGGQVVVVLVVVVVVAVVVVVVVVVVVEEAMVVVVAVVMVVVMVAMAVVVDVAVVVVGGDRGAGGGGRDFGGGGDGGCGGCCGGGGGDGGSGCGSSYCGGILVVVVVGLVVDVMVVVVVVIVVVVVVAATAMVVVVLPWWWWWWWFWWLLF >VigunL059511.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000134.1:26551:26910:-1 gene:VigunL059511.v1.2 transcript:VigunL059511.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun05g057700.1.v1.2 pep primary_assembly:ASM411807v1:5:4942270:4949897:-1 gene:Vigun05g057700.v1.2 transcript:Vigun05g057700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVLFSRLRQARSFSSFALLSLPRVLSSHCPSPLSVPALPSSPTLGFLDAFHTRAFSTRSSDERNLGLDSFVVDSQVNAELLKAIADISGGSEEDAVFPVRVLISLFDSFHELSGFPWWLTIVSSTLALRIAILFPLVLTLHKLKTIGEFFPKLPPPFPPPFSGKSYIRQFLFFQKKRKASGCPSYFWPLIPFIVQVPCFFLWMISIRKMSLNGHPGFDCGGALWFQNLSELSHGYSGFIFPFLIAGLHYINVQISFGKPVVAETRNIFELLAKYYKRYLDFLTVPLAFVGFCIPQGSQLYWVTNSSFTLVQQITLRNPAVLATLGLREKNSQKVAIEKIGASKTAPSPGIQDNIPTEAFSAEKSPLNSPEKWHRIPIDEMSPKELTALAVPFLSSNDKESAIPLLKLALDKDPEYVRALVLMGRILLLKHANEEAIEYFERAISKLSFTGYPTDAEELDLLILSSQWAGVACERQGKRNEGRTHFERIANMEEPEDPTSKGYYFDGLLLLASTLFDAGQKAEAAKYLRLVVVHRPAYKKFLDQCEQDDDIASDLARRRDY >Vigun11g081200.1.v1.2 pep primary_assembly:ASM411807v1:11:23934314:23940021:1 gene:Vigun11g081200.v1.2 transcript:Vigun11g081200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSFPLYEKHRYKYTYKRVTESLLLILLLLLLGYRVISVNNYSFPWFVAFVCESWFTISWFFTLSTQWSPALIKTYPERLLQSVQELPRVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEASKFAKFWVPFCKKHNVQVRTPFRYFSAKPEVSTASNTPEFIHEWLQMKNMYDDLSQKIELEASQKSNSSHGNFAVFSNTERTNHPSIIKVIWENKEDLEDGLPHLIYISREKRPKHSHHFKAGAMNVLTRVSELITNAPFMLNVDCDMIVNNPKIVHHALCILLDPKGQKEVAFAQFPQQFYATLKDDPFGNQMTILIKYLAAGLAGLQGPFYAGTNCFHRRKVIYGLSPDDLDKGNSISEKELKQKFGASKELMKSVACALEGRTYSPNAVNIVNVIEAASQVAGCGYEYGTGWGKQVGWIYGSLTEDVLTGLTIHERGWRSEMCTPNPIAFTGFAPGGGPTTMAQQKRWATGVLEIFVSKHCPIFGTLFHKLTLRQCIAYMWIHNWGLLPAFEVCYACLLAHCIITNSNLLPQGLGMCIPVAILVIYKVYTVSVYLAEGLSIKAWWNNQRMSRITPMNAGFCAFLSVLLKILRMSETVFDVTKKDLPPTNDVGDDKDGGRYTFDESLVFLPGTTILLLHLSSITIRLLGTRPVVAIQSKNECGIGEIFCSVYLIICYWPFLRGLFETGKYRIPLSTIYKSIVLTGLFVHLSRKTVAA >Vigun11g081200.3.v1.2 pep primary_assembly:ASM411807v1:11:23934424:23940021:1 gene:Vigun11g081200.v1.2 transcript:Vigun11g081200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSFPLYEKHRYKYTYKRVTESLLLILLLLLLGYRVISVNNYSFPWFVAFVCESWFTISWFFTLSTQWSPALIKTYPERLLQSVQELPRVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEASKFAKFWVPFCKKHNVQVRTPFRYFSAKPEVSTASNTPEFIHEWLQMKNMYDDLSQKIELEASQKSNSSHGNFAVFSNTERTNHPSIIKVIWENKEDLEDGLPHLIYISREKRPKHSHHFKAGAMNVLTRVSELITNAPFMLNVDCDMIVNNPKIVHHALCILLDPKGQKEVAFAQFPQQFYATLKDDPFGNQMTILIKYLAAGLAGLQGPFYAGTNCFHRRKVIYGLSPDDLDKGNSISEKELKQKFGASKELMKSVACALEGRTYSPNAVNIVNVIEAASQVAGCGYEYGTGWGKQVGWIYGSLTEDVLTGLTIHERGWRSEMCTPNPIAFTGFAPGGGPTTMAQQKRWATGVLEIFVSKHCPIFGTLFHKLTLRQCIAYMWIHNWGLLPAFEVCYACLLAHCIITNSNLLPQGLGMCIPVAILVIYKVYTVSVYLAEGLSIKAWWNNQRMSRITPMNAGFCAFLSVLLKILRMSETVFDVTKKDLPPTNDVGDDKDGGRYTFDESLVFLPGTTILLLHLSSITIRLLGTRPVVAIQSKNECGIGEIFCSVYLIICYWPFLRGLFETGKYRIPLSTIYKSIVLTGLFVHLSRKTVAA >Vigun11g081200.2.v1.2 pep primary_assembly:ASM411807v1:11:23934424:23940021:1 gene:Vigun11g081200.v1.2 transcript:Vigun11g081200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNSFPLYEKHRYKYTYKRVTESLLLILLLLLLGYRVISVNNYSFPWFVAFVCESWFTISWFFTLSTQWSPALIKTYPERLLQSVQELPRVDMFVTTADPVLEPPIITVNTVLSLLALDYPPHKLACYVSDDGCSPLTFYALQEASKFAKFWVPFCKKHNVQVRTPFRYFSAKPEVSTASNTPEFIHEWLQMKNMYDDLSQKIELEASQKSNSSHGNFAVFSNTERTNHPSIIKVIWENKEDLEDGLPHLIYISREKRPKHSHHFKAGAMNVLTRVSELITNAPFMLNVDCDMIVNNPKIVHHALCILLDPKGQKEVAFAQFPQQFYATLKDDPFGNQMTILIKYLAAGLAGLQGPFYAGTNCFHRRKVIYGLSPDDLDKGNSISEKELKQKFGASKELMKSVACALEGRTYSPNAVNIVNVIEAASQVAGCGYEYGTGWGKQVGWIYGSLTEDVLTGLTIHERGWRSEMCTPNPIAFTGFAPGGGPTTMAQQKRWATGVLEIFVSKHCPIFGTLFHKLTLRQCIAYMWIHNWGLLPAFEVCYACLLAHCIITNSNLLPQVNKSNNNNL >VigunL024780.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:65087:65419:-1 gene:VigunL024780.v1.2 transcript:VigunL024780.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNLHRGIDGDSQISQNRMGYDEIEYNRNKDKGNELSTLLNGQSKPFHSELQISESIQSPQVGFEPTTSQLTADRSTTELLRNNGKFDLIEFNSRSQPMTNRNSKFPS >Vigun05g292814.1.v1.2 pep primary_assembly:ASM411807v1:5:47894694:47895182:-1 gene:Vigun05g292814.v1.2 transcript:Vigun05g292814.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRGSVYSNESTVYVPQVPWILSGTVRNNILFGKSYDPERYTDTPKACALDVDISLMIGGDMAYIGEKGVNLSGGQISIVKDSYFVVL >Vigun05g005100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:419656:421559:1 gene:Vigun05g005100.v1.2 transcript:Vigun05g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRHNPEVNNTNQQREIIAEEIQGLIRVHRDGRVERPQIVPSVSCTERAKDVVIINRETNLRARVYVPSTSSHTTKPLPLVMYFHGGGFCVGSAAWSCYHEFLTNLASKANCVVLSVDYRLAPENRLPIAYDDGFNALAWVKREALNISAAQKWWLSHCDMSSVFLAGDSAGANIAYNVATRMGSSSSSSNTTTPLSLKGVILIQPFFGGEERTSSEKHFLQPPHSALTLSVSDTYWRLALPLGATRDHPYCNPLAYGTVKLRDLRISSIMVCVSEMDILRDRNLEFAKALGKAGKRVETIVFKGVGHAFQVLHNYQLSHSRTQEMIAHIRNFVNQ >Vigun02g130700.2.v1.2 pep primary_assembly:ASM411807v1:2:28178268:28183728:1 gene:Vigun02g130700.v1.2 transcript:Vigun02g130700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLTSYVAVTSAAVVSMSTFSDRAYADSSFRFPFFSSSPSNAPSPPNQSSDNNSEPPAPEEPNKSGFDPESLERGAKALREINSSSYSKQVFDLMRKQEQTRLAELDAERVHYELIQSQGDIERQRKMAEEQRNLIQEQAQRQAQVLRFEDELARKRMQTDHEAQRLRNVELVKMQEESSVRKERARQATEEQIQSQQRQTERERAEIERETIRVKAMAEAEGRAHEAKLTEEHNRRMLIERLQGERDKWLAAINTTFSHIEGGLRALLTDRDKLIMTVGGATALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMAKFPGSKIISQAKNKLLHSSTLAGAEKPIGSKNGLGNVILHPSLQRRIEHLARATSNTKAHQAPFRNMLFYGPPGTGKTMVAREIARRSGLDYAMMTGGDVAPLGAQAVTKIHDIFDWAKKSRKGLLLFIDEADAFLCERNSSLMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERLKLLKLYLDKYLCDDNNGSKGGFLLKKQAQKITIKDLSEDDLREAAKKTEGFSGREIAKLMASVQAAVYGRPDCILDSQLFKEIVDYKVVEHHQRLKLAAEGGQPGQI >Vigun02g130700.1.v1.2 pep primary_assembly:ASM411807v1:2:28178295:28183602:1 gene:Vigun02g130700.v1.2 transcript:Vigun02g130700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLTSYVAVTSAAVVSMSTFSDRAYADSSFRFPFFSSSPSNAPSPPNQSSDNNSEPPAPEEPNKSGFDPESLERGAKALREINSSSYSKQVFDLMRKQEQTRLAELDAERVHYELIQSQGDIERQRKMAEEQRNLIQEQAQRQAQVLRFEDELARKRMQTDHEAQRLRNVELVKMQEESSVRKERARQATEEQIQSQQRQTERERAEIERETIRVKAMAEAEGRAHEAKLTEEHNRRMLIERLQGERDKWLAAINTTFSHIEGGLRALLTDRDKLIMTVGGATALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMAKFPGSKIISQAKNKLLHSSTLAGAEKPIGSKNGLGNVILHPSLQRRIEHLARATSNTKAHQAPFRNMLFYGPPGTGKTMVAREIARRSGLDYAMMTGGDVAPLGAQAVTKIHDIFDWAKKSRKGLLLFIDEADAFLCERNSSLMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERLKLLKLYLDKYLCDDNNGSKGGFLLKKQAQKITIKDLSEDDLREAAKKTEGFSGREIAKLMASVQAAVYGRPDCILDSQLFKEIVDYKVVEHHQRLKLAAEDEADLEESSRLY >Vigun04g037300.1.v1.2 pep primary_assembly:ASM411807v1:4:3140541:3142869:1 gene:Vigun04g037300.v1.2 transcript:Vigun04g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSLANSILALRILALATSAVSMALLVTNDYTFQNGAELKYYDFSSYSFVVGIAAITFVYSVVQLPFAVYYAIKRKRVINNELLRKFDLYGDKVISLCLGVAIGSGFALSVELKEFIKDHVKKASDDFKGTYNKILIRGFISSAFLLVTFLSVVVLSFISSNN >Vigun02g001600.6.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVYDTKLLRLVALHGKAKTITQCFYSTHFHHQDALDSYSYATMLQQAIQNRNPNSGKSLHCHILKRGARLDLFAQNVLLNTYVNFNSLEDASKLFDEMPLINTASFVTLAHGFSSSGQFHHALHLLLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEQCRVVRQRTNSFWVNVTGLWH >Vigun02g001600.4.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNISRISPWLGSNLNLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEDYGIEPCIEHYTCMVWLLGRLGQFEEAMNLLGEIPFQPSVMVWRALLGACVIHKNLDLGKVCAQHVLEMEPHDDATHVLLSNMYATEKRWDRVASVRKNMKRIKVKKEPGLSWVENQGVVHYFTVGDTSHLDIKLIHAMLEWLNKKSRDAGYVPDCSVVLLNVEDDEKERLLWAHSERLALAYGLIHIPPVCSIRIIKNLRICVDCHTVIKLISKIVKREIVIRDINRFHHFQHGICSCGDYW >Vigun02g001600.1.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVYDTKLLRLVALHGKAKTITQCFYSTHFHHQDALDSYSYATMLQQAIQNRNPNSGKSLHCHILKRGARLDLFAQNVLLNTYVNFNSLEDASKLFDEMPLINTASFVTLAHGFSSSGQFHHALHLLLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEVTWNTIIVGYVQLGDGEKALNLFSNMLGYDIQPTEVTYSSVLRASASLVSLEPGRQIHSLTIKTMYNKDSVVANSLIDMYAKCGRIDDARLTFDKMNKRNEVSWNAIICGYSMHGLSMEALNLFDMMQKTNCKPNKLTFVGVLSACSNAGLLDKGRTHFGSMLQDYGIEPCIEHYTCMVWLLGRLGQFEEAMNLLGEIPFQPSVMVWRALLGACVIHKNLDLGKVCAQHVLEMEPHDDATHVLLSNMYATEKRWDRVASVRKNMKRIKVKKEPGLSWVENQGVVHYFTVGDTSHLDIKLIHAMLEWLNKKSRDAGYVPDCSVVLLNVEDDEKERLLWAHSERLALAYGLIHIPPVCSIRIIKNLRICVDCHTVIKLISKIVKREIVIRDINRFHHFQHGICSCGDYW >Vigun02g001600.2.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVYDTKLLRLVALHGKAKTITQCFYSTHFHHQDALDSYSYATMLQQAIQNRNPNSGKSLHCHILKRGARLDLFAQNVLLNTYVNFNSLEDASKLFDEMPLINTASFVTLAHGFSSSGQFHHALHLLLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEDYGIEPCIEHYTCMVWLLGRLGQFEEAMNLLGEIPFQPSVMVWRALLGACVIHKNLDLGKVCAQHVLEMEPHDDATHVLLSNMYATEKRWDRVASVRKNMKRIKVKKEPGLSWVENQGVVHYFTVGDTSHLDIKLIHAMLEWLNKKSRDAGYVPDCSVVLLNVEDDEKERLLWAHSERLALAYGLIHIPPVCSIRIIKNLRICVDCHTVIKLISKIVKREIVIRDINRFHHFQHGICSCGDYW >Vigun02g001600.3.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNISRISPWLGSNLNLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEVTWNTIIVGYVQLGDGEKALNLFSNMLGYDIQPTEVTYSSVLRASASLVSLEPGRQIHSLTIKTMYNKDSVVANSLIDMYAKCGRIDDARLTFDKMNKRNEVSWNAIICGYSMHGLSMEALNLFDMMQKTNCKPNKLTFVGVLSACSNAGLLDKGRTHFGSMLQDYGIEPCIEHYTCMVWLLGRLGQFEEAMNLLGEIPFQPSVMVWRALLGACVIHKNLDLGKVCAQHVLEMEPHDDATHVLLSNMYATEKRWDRVASVRKNMKRIKVKKEPGLSWVENQGVVHYFTVGDTSHLDIKLIHAMLEWLNKKSRDAGYVPDCSVVLLNVEDDEKERLLWAHSERLALAYGLIHIPPVCSIRIIKNLRICVDCHTVIKLISKIVKREIVIRDINRFHHFQHGICSCGDYW >Vigun02g001600.7.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVYDTKLLRLVALHGKAKTITQCFYSTHFHHQDALDSYSYATMLQQAIQNRNPNSGKSLHCHILKRGARLDLFAQNVLLNTYVNFNSLEDASKLFDEMPLINTASFVTLAHGFSSSGQFHHALHLLLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEQCRVVRQRTNSFWVNVTGLWH >Vigun02g001600.5.v1.2 pep primary_assembly:ASM411807v1:2:974369:977668:1 gene:Vigun02g001600.v1.2 transcript:Vigun02g001600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVYDTKLLRLVALHGKAKTITQCFYSTHFHHQDALDSYSYATMLQQAIQNRNPNSGKSLHCHILKRGARLDLFAQNVLLNTYVNFNSLEDASKLFDEMPLINTASFVTLAHGFSSSGQFHHALHLLLRLFREGYEVNQFVFTTLLKLLMRMELADTSWSVHAYVYKLGHHSDAYVGTALLSAYAVCGNVDAARAVFDGICCKDMVSWTGMVACYAENYCHEDALLLFCQMRTMGYTPNNFTISAALKSCHGLEAFEVGKSVHGCALKACYDRDLYVGTALLELYAKSGEIAEAQQLFEEMPKDDLIPWSLMIARYAQSEKSREALELFCRLRQSSVLPNNFTFASVLQACASLVSLNLGKQIHSSVLKVGLDSNVFVSNALMDVYAKCGEIENSVKLFIWSEEKNEDYGIEPCIEHYTCMVWLLGRLGQFEEAMNLLGEIPFQPSVMVWRALLGACVIHKNLDLGKVCAQHVLEMEPHDDATHVLLSNMYATEKRWDRVASVRKNMKRIKVKKEPGLSWVENQGVVHYFTVGDTSHLDIKLIHAMLEWLNKKSRDAGYVPDCSVVLLNVEDDEKERLLWAHSERLALAYGLIHIPPHGICSCGDYW >Vigun11g142600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35232153:35233549:1 gene:Vigun11g142600.v1.2 transcript:Vigun11g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFSFLFLLSLAILSIARGQERAPHGLAYESPIAFPPAAYDFFHPNAQKPQSRDSCAASKCSPLPLAAQVDGTQIYQNKASAMQKGGKQIGAGGVAAIITVFAFVVLLAMGIYYVKVTRQANMNRASSSAQSHA >Vigun04g072000.1.v1.2 pep primary_assembly:ASM411807v1:4:8378104:8378921:1 gene:Vigun04g072000.v1.2 transcript:Vigun04g072000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNSQNASFNAGQAKGQAQEKASNMMDKASEAAHSTQDSMQEARQQMQEKTLGAADAIKSALTKN >Vigun04g137700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34350196:34354234:1 gene:Vigun04g137700.v1.2 transcript:Vigun04g137700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLERFYALLLLLMHAAGPVLGFNNTSEIKCNERERQALLSFKLDLVDVNGMLSTWRDDEKSRDCCKWKNIQCDNQTGLVTILRLRGSETQYLRGALNISSLFPLQNIQYLDLSYNFFMGNDIAELIGSLTNLRYLNLSNSFFSGSIPIQLGSLTHLRYLDLSYNYLDQELPYQLGSLKYLRYLDLNYNYLEGKLPSQLANMSQLRYLGLSCNYFSGALPFQVGNFPYLQTLILAGDFDVKPKDAKWLSNLCSLTHLAFDGLRNLHWFQMFHCTKLRELRLVDCSLSDTHIHSLFYSPSNFSNSLTILDLSSNMLTSSSFQLLSNFSLNLQELYLSHNNIVFSSPVFSSFPSLVILDLSYNNMTSFIFQGSFNFSSKLQNLNLRNCSLRDGSFLISNISITNSSSSLASLDLSSNLLKSSSIFYWLFNSTTNLRTLDLYENVLEGPIPDGYGKVMKSLEFLDLYGNKLQGEIPSSFGNMCTLQRLDLSNNELSGEISSLFQNSSWCNRHVFQSLDLSNNQVTGMLPTVIGLLSELEYLYLDGNRLEGDVTESHLSNFSKLRHLYLSDNSLSLKIDPNWVPPFQLYVLKLKSCMLGPTFPSWLQTQLSLAFLDISYNGLNGSVPKLFWNNLENVQCLNMSQNNLTGAIPNTSMKLLNRPFIILNSNQFEGKISSFLLQSSELRLSDNKFSDLFSFICDQSSSAMTILDLSNNQLKGKLPECWKHVNRLLFLDLSNNKLSGKIPVSMGSLVKLEVLVLRNNNLTGELASTLKNCNNLTTLDVGENMLFGPIPSWIGESMKQLIILNMRKNHFSGNLPSELCYLKHIQFLDLSKNMLSKGIPTCLNNLTAMFEKSIHTRGFINYIKLLNMNGVFYTSFPSGEYTLNISLMWKGVEQGFKNPELKLKSIDLSSNKLTGEIPKEIISTWVSFFEFIKK >Vigun01g153400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33593921:33594682:1 gene:Vigun01g153400.v1.2 transcript:Vigun01g153400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANANIKKYEETVKHLYKLLKKVCQERDEARDQLQLLIRNLQASTPVETVSNIAQVDQSCLQNKTKPSLNNTKVSYSSSKVLSDHSCDLSLSNLRSEEKHLSTSLMSQTRIVEPDNLTLSNNMETDMVDNPVCGKPLPQKGRLLQSVTKAGPLLHTLLVDPVPHWKNPPTLCSLTLPLGTYKDDDKTDVNPNGFIPTSLSLAFPGSSHGPSQTSSASNFGLSMKYEMVSSCVDMDSNMMHNHALTGKKRKLL >Vigun06g178800.1.v1.2 pep primary_assembly:ASM411807v1:6:29871901:29876563:1 gene:Vigun06g178800.v1.2 transcript:Vigun06g178800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYLLCSIVYNFLTSLLLSLILPFNALLRRRESARAASSLSRDEVESVSLYEGTVWHQRRHPVNHSFQYRVRYAFIDLDCARHAPHDHLSPEEARQITDTNGPIFLLTIPPSVGYEQNPLSVYYCYAVEGSTKRLKKCIAEVTNTPWGERVSFVFDPHSDLVAKALHVSPFMDMLGSWNIKASDPGENLTISISVHHPQHGNYFTATLKAKKLSSSPELDHEVFFWLMPHKVAVWIYWHALKLWWKNVRFVQHPRYNIPTYKEEALTRDRTLQCCGFSDDNRHLQHRESDQNCCLDEVSSKNRWFRWRDAKWPWS >Vigun06g178800.2.v1.2 pep primary_assembly:ASM411807v1:6:29871901:29876563:1 gene:Vigun06g178800.v1.2 transcript:Vigun06g178800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYLLCSIVYNFLTSLLLSLILPFNALLRRRESARAASSLSRDEVESVSLYEGTVWHQRRHPVNHSFQYRVRYAFIDLDCARHAPHDHLSPEEARQITDTNGPIFLLTIPPSVGYEQNPLSVYYCYAVEGSTKRLKKCIAEVTNTPWGERVSFVFDPHSDLVAKALHVSPFMDMLGSWNIKASDPGENLTISISVHHPQHGNYFTATLKAKKLSSSPELDHEVFFWLMPHKVAVWIYWHLLATKLGEMLMIYSWTFSNRMNLCFKTVVEKCAFCPTPQI >VigunL059214.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:6362:6670:-1 gene:VigunL059214.v1.2 transcript:VigunL059214.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDCGKCEKVLLTTRGKWNVANRDELFVDLRRRRPLGLLETREAMLNCEEDGLLGCLNQWEATRELRILWTLGLLEATGGPARTPNTTDSWVVGSKGRPR >Vigun05g177100.1.v1.2 pep primary_assembly:ASM411807v1:5:33033883:33038074:-1 gene:Vigun05g177100.v1.2 transcript:Vigun05g177100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKNPSGTSLLVPSVQELAKQNLATVPQRYIQPQHQQQVVHISQQPNVGTLEIPVIDMHRLLSQESGTSELDKLHFACKEWGFFQLINHGVSPSLVEKVKVEIKEFFNLPMSEKKRFWQSPEHMEGFGQAFVVSEYQKLDWADLFFMTVLPKQLRMPHLFPQLPPSFRESLEVYSEELQNLAKMIVEEMGKSLKMEEREMRELFENGMQSMRMNYYPACPEAEKVIGLTPHSDGVGLTILLQVSEVEGLQVRKDGMWILVKPLPNAFIVNIGDMLEIISNGIYRSVEHRAVVNSARERISIATFHTPKHDGVLAPAPSLITEKTPPRFQKTELKEFLANLFARKLDGKSYLDTLRL >Vigun11g048675.1.v1.2 pep primary_assembly:ASM411807v1:11:7674086:7675136:-1 gene:Vigun11g048675.v1.2 transcript:Vigun11g048675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGLNEQYNNITSHVLMMDPILSISKIFSYEVQQERQIGRNNVLNGTEIKINNTTITCSYCKKVGHSESICLKKHDYPGNNNTDSKNVRYSKKVCSFCGRNGHTIDNCYKKHGFPPGYKTNNKGGNVNSVDTNQEGKTDSVLEDHDFKLSQQQYQGLMTLLKQTNGNGSEGVSANQVGSIVSCNVEKGQEIHQEDWYS >Vigun01g106800.3.v1.2 pep primary_assembly:ASM411807v1:1:27809060:27815256:-1 gene:Vigun01g106800.v1.2 transcript:Vigun01g106800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRTVNVTSKDTNKVVNGMPSYAPPLPSSSSMGIEATNVHPSRISEFGTLEQSLGFRVEDTINLSRNPVFSQMKSNSQALGADIQFGALNKVQSIVTSDINLSAAIAGSQTLALQKDTQPNLASTSGHRENWGETNMADASPRTDTSTDDTEEKNQLPERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQAQSMSGNGAMAFDVEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMAQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >Vigun01g106800.2.v1.2 pep primary_assembly:ASM411807v1:1:27809060:27815256:-1 gene:Vigun01g106800.v1.2 transcript:Vigun01g106800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRTVNVTSKDTNKVVNGMPSYAPPLPSSSSMGIEATNVHPSRISEFGTLEQSLGFRVEDTINLSRNPVFSQMKSNSQALGADIQFGALNKSIVTSDINLSAAIAGSQTLALQKDTQPNLASTSGHRENWGETNMADASPRTDTSTDDTEEKNQLPERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQAQSMSGNGAMAFDVEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMAQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >Vigun01g106800.1.v1.2 pep primary_assembly:ASM411807v1:1:27809060:27815256:-1 gene:Vigun01g106800.v1.2 transcript:Vigun01g106800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRTVNVTSKDTNKVVNGMPSYAPPLPSSSSMGIEATNVHPSRISEFGTLEQSLGFRVEDTINLSRNPVFSQMKSNSQALGADIQFGALNKVQSIVTSDINLSAAIAGSQTLALQKDTQPNLASTSGHRENWGETNMADASPRTDTSTDDTEEKNQLPERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQAQSMSGNGAMAFDVEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMAQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >Vigun01g106800.4.v1.2 pep primary_assembly:ASM411807v1:1:27809060:27815256:-1 gene:Vigun01g106800.v1.2 transcript:Vigun01g106800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRTVNVTSKDTNKVVNGMPSYAPPLPSSSSMGIEATNVHPSRISEFGTLEQSLGFRVEDTINLSRNPVFSQMKSNSQALGADIQFGALNKSIVTSDINLSAAIAGSQTLALQKDTQPNLASTSGHRENWGETNMADASPRTDTSTDDTEEKNQLPERGESSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQAQSMSGNGAMAFDVEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMAQFDDIFRLKGIAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >Vigun09g149400.7.v1.2 pep primary_assembly:ASM411807v1:9:31299961:31304342:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPFTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.4.v1.2 pep primary_assembly:ASM411807v1:9:31299961:31304342:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPCEYHDPSLNVTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.5.v1.2 pep primary_assembly:ASM411807v1:9:31299612:31304163:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPCEYHDPSLNVTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.6.v1.2 pep primary_assembly:ASM411807v1:9:31299346:31304400:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPFTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.2.v1.2 pep primary_assembly:ASM411807v1:9:31299373:31304078:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGKSIECISAYACFGLSRVQIGKEKEESLDCYFFCFSSSFSFRKTNLSHQAHSWNESIVCQRIWERVLQFYLMGCCYSTSKRTRTPGYEEPTVLASQTPCEYHDPSLNVTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.3.v1.2 pep primary_assembly:ASM411807v1:9:31299346:31304400:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPCEYHDPSLNVTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.1.v1.2 pep primary_assembly:ASM411807v1:9:31299346:31304400:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPCEYHDPSLNVTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.8.v1.2 pep primary_assembly:ASM411807v1:9:31299612:31304078:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPFTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun09g149400.9.v1.2 pep primary_assembly:ASM411807v1:9:31299622:31304078:1 gene:Vigun09g149400.v1.2 transcript:Vigun09g149400.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSTSKRTRTPGYEEPTVLASQTPFTVSEVEALHELYKKLSNSIIEDNLIHREEFQLALFRNRNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLGLFHPNAPLEDKITFAFRLYDLKQTGFIERDELKEMVLALLHESDLELSDDMIESIVDKTFSDADINGDGKIDQEEWKAFVSKHPSLIRNMTLPYLKDITLAFPSFVVRTEVEESDI >Vigun01g132400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:31019489:31025825:1 gene:Vigun01g132400.v1.2 transcript:Vigun01g132400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPVEGIPALSSDLFYDIFRRLDGATLASAACTCATLCSISKEESLWENVCSSMWPSTNREDVKSLISSIGGFRKFYADCFPIVVNKEVVEYQPNNYHEYPDNWTEAEYYGDMNESENICPSDFVSIIDIRFKGKPVCSKVLWGIPNANSYDGWFYNCPFRIDFLTYADRDDNNDGSVHLSVSDGLPHISSMERERKDGKLWRELCEGLQLSWIIVNKKMKQAANLASWSPLGGQRHWPTDRDFVIRFGSVLPAKDILPCQVVECILIMKFRVVHTEEEGVQTTLKLTELSMQLEDMEGAHVNGRNSLHILKDALSSRRSKNYGEVLESCHMYSKVQNELKEEKMRNESRLDRLCILSGIAAFMTFWYCVL >Vigun11g010100.1.v1.2 pep primary_assembly:ASM411807v1:11:1228129:1237752:1 gene:Vigun11g010100.v1.2 transcript:Vigun11g010100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLVVVGPLNLTQQNGYKVWEDPSFIKWRKRDSHVTLHCHDSLEGSLKYWYQRNKVDFLVSQSAVWNDDAVQGSLDCAAFWVKDLPFVKSLSGYWKFFIADRPSNVPTNFYESDFHDSEWKNLPVPSNWQLHGFDVPIYTNVVYPFPVDPPFIPIENPTGCYRTYFQIPKEWEGRRILLHFEAVDSAFCAWINGHPVGYSQDSRLPAEFEITDFCHPCGSDLKNVLAVQVYRWSDGSYLEDQDQWRLSGIHRDVLLMAKPEVFITDYFFKTNLTEDFSYADILVEVKIDRLKETSKDNILTDYSIEATLFDSGSWYTSDGNPDLLSSNVADIKLQPSSTPAPILGFHGYLLTGKLQSPKLWSAEKPYLYTLVVVLKDRSGRVVDCESCPVGFRQVSKAHKQLLVNGHAVVIRGVNRHEHHPQVGKANIESCMIKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDYSKHLKHPTMEPIWASAMLDRVIGMVERDKNHACIISWSLGNESGFGTNHYALAGWIRGRDSSRVLHYEGGGSRTPCTDIVCPMYMRVWDMVKIANDPTETRPLILCEYSHAMGNSNGNLHLYWEAIDNTFGLQGGFIWDWVDQALVKVYEDGTKHWAYGGEFGDVPNDSNFCLNGLTFPDRTPHPVLHEVKYLYQPIKVALNEGKLEIKNTHFFQTTEGLEFSWYISANGYNLGSGILDLAPIKPQSSYTVDWKSGPWYSLWDSSSEEELFLTLTVKLLYSTRWVEAGHIVSSAQVQLPARRNILVHAITISAGTLVAETQGDTIIVKKQDVWDLTLNTKTGLVESWKVKGVHILKKGILPCFWRAPIDNDKGGEGASYLSRWKAAGMDCLHFIAESCSVQSITENSVRILVVFVGVTKGADGSLSNQDKSKVLYTTEVTYTIFASGDVIIECNVKPNPDLPPLPRVGIVLNVEKSLDVVTWYGRGPFECYPDRKAAAQVAVYEHNVSELHVPYIVPGESSGRTDVRWATFRNKNGFGIYASKYGISPPMQMSASYYSTSELERAAHNEELIEGDSIEVHLDHKHMGIGGDDSWSPCVHNAYLISPVSYSFSVRLCPVTPDTSGYDIYKSQLQNS >Vigun08g058600.1.v1.2 pep primary_assembly:ASM411807v1:8:7471230:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFMKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPDIKSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.8.v1.2 pep primary_assembly:ASM411807v1:8:7475791:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQVQGRSQLPGSTPDIKSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.5.v1.2 pep primary_assembly:ASM411807v1:8:7474251:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFMKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.6.v1.2 pep primary_assembly:ASM411807v1:8:7474756:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPDIKSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.2.v1.2 pep primary_assembly:ASM411807v1:8:7471230:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFMKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.3.v1.2 pep primary_assembly:ASM411807v1:8:7473278:7489457:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPDIKSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.4.v1.2 pep primary_assembly:ASM411807v1:8:7473724:7489456:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFMKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPDIKSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun08g058600.7.v1.2 pep primary_assembly:ASM411807v1:8:7473278:7489457:1 gene:Vigun08g058600.v1.2 transcript:Vigun08g058600.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREQQQQQQQQQQPQPQQSQHQQQQQQQQQHMQMQQMLLQRAQQQQQQQQQQQQQPQQQQQQQQQPQHQQPPPQQQQGRDRTHLLNGGTNGLVGNPSTANALATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQGRSQLPGSTPSEINSVINPRAAGPEGSLIAMPGSNQGSNNLTLKGWPLTGLEQLRSGILQQQKPPFIQSPQTFHQLPMLTPQHQQHLILAQQNLASPSGSDDNRRLRMLLNNRNMGVAKDGLSNPVGDVVSNVGSPLQAGGPAFQRSDTEMLMKLKLAHLHQHQQQQNANPQQQQQQLQQHTISNQQSQSSNHNMHQQDKMGAGGGSVNVDGSMSNSFRGNDQVSKSQTGRKRKQPASSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPALPHSGSSSKPLIMFAADGAGTLTSPSNQLADVDRFVEDGSLDDNVESFLSHDDNDPRDTVGPCMDVSKGFTFSQVNSVRASTNKVVCCHFSSDGKLLASGGHDKKAVLWFTDTLKQKATLEEHASLITDVRFSPSMPRLATSSYDRTVRVWDVDNPGYTLRSFTGHSASVMSLDFHPNKDDLICSCDVDGEIRYWSIKNGSCARVSKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQVCRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVVGCYESLELWNMTENKTMTLSAHEGLIAALAVSTVNGLVASASHDKFVKLWK >Vigun03g378000.2.v1.2 pep primary_assembly:ASM411807v1:3:58138236:58142182:1 gene:Vigun03g378000.v1.2 transcript:Vigun03g378000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSCLGLLNLVSVDDYQALARVPRLVTTDTGDVAELDMNGMENSGLDEVVSPVPRERRIVVANQLPIRAFREEKKWRFEWDRDSLVLQLKDGFPPDVEVLYVGSLKAEIEASEQEEVAQLLLERFRCVPTFLPPEVHKKFYHGFCKHYLWPLFHYMLPMSPSQGAHFDRDQWKAYVLANKIFADKVTEVINPDEDYVWVHDYHLMVLPTFLRKRFHRVKLGFFLHNTFPSSEIYRTLPVREDILRAFLNCDLVGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLDYYGRTVTIKILPAGIHLGLLESVLSLPQTSKRVKELKKEFEGKIVILGVDDMDLFKGISLKFLALGKLLEMDEGLRGRVVLVQILNAARSKGKDIQDVKSESEAIARDINEKYSQQGYKPIVFVNGPISTQEKVAYYSISECCVVNAVRDGMNLVPYEYTVCRQGTVALDKALGVEGEDNKSLKKSVIIVSEFIGCSPSLSGAIRVNPWNIDEVAEAMSSAATMSEAEKHLRHEKHYKYISSHDVAYWARSFDQDLDRACREHYSKRYWGVGFGLGFRIVALDPTFRKLSVDHIASAYRDTHSRLILLDYDGTVMPQASINKTPSREVISVLNYLCSDPENMVFIVSGRDKDCLSKWFSPCEKLGLSAEHGFFTRWSTNSTWETCGLAVDCEWKMIAEPVMALYTEATDGSFIEHKESAMVWHHQEADPYFGSCQAKELLDHLESVLANESVVVTRGQHIVEVKPQGVSKGKAVEDIISTMRSKGKSPDFLLCIGDDRSDEDMFESIARSVSNPALPTIAKVFACTVGQKPSMAKYYLDDTNEVINLLEGLACPSTPSSSIITSQVL >Vigun03g378000.1.v1.2 pep primary_assembly:ASM411807v1:3:58138182:58142182:1 gene:Vigun03g378000.v1.2 transcript:Vigun03g378000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSCLGLLNLVSVDDYQALARVPRLVTTDTGDVAELDMNGMENSGLDEVVSPVPRERRIVVANQLPIRAFREEKKWRFEWDRDSLVLQLKDGFPPDVEVLYVGSLKAEIEASEQEEVAQLLLERFRCVPTFLPPEVHKKFYHGFCKHYLWPLFHYMLPMSPSQGAHFDRDQWKAYVLANKIFADKVTEVINPDEDYVWVHDYHLMVLPTFLRKRFHRVKLGFFLHNTFPSSEIYRTLPVREDILRAFLNCDLVGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLDYYGRTVTIKILPAGIHLGLLESVLSLPQTSKRVKELKKEFEGKIVILGVDDMDLFKGISLKFLALGKLLEMDEGLRGRVVLVQILNAARSKGKDIQDVKSESEAIARDINEKYSQQGYKPIVFVNGPISTQEKVAYYSISECCVVNAVRDGMNLVPYEYTVCRQGTVALDKALGVEGEDNKSLKKSVIIVSEFIGCSPSLSGAIRVNPWNIDEVAEAMSSAATMSEAEKHLRHEKHYKYISSHDVAYWARSFDQDLDRACREHYSKRYWGVGFGLGFRIVALDPTFRKLSVDHIASAYRDTHSRLILLDYDGTVMPQASINKTPSREVISVLNYLCSDPENMVFIVSGRDKDCLSKWFSPCEKLGLSAEHGFFTRWSTNSTWETCGLAVDCEWKMIAEPVMALYTEATDGSFIEHKESAMVWHHQEADPYFGSCQAKELLDHLESVLANESVVVTRGQHIVEVKPQGVSKGKAVEDIISTMRSKGKSPDFLLCIGDDRSDEDMFESIARSVSNPALPTIAKVFACTVGQKPSMAKYYLDDTNEVINLLEGLACPSTPSSSIITSQVL >Vigun11g085900.4.v1.2 pep primary_assembly:ASM411807v1:11:25751781:25752657:1 gene:Vigun11g085900.v1.2 transcript:Vigun11g085900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRFVSMSSRTLFFSALYPHLCCVVASTIILSKLRSLRKLEFNLTPMANKDVRNFAGRTAYDVASKNKHARLSALTRRGKVRSIQRLIEDERWYIEGTNKVWKAQEKRDIKMKEVSSKVQNV >Vigun11g085900.7.v1.2 pep primary_assembly:ASM411807v1:11:25751667:25758918:1 gene:Vigun11g085900.v1.2 transcript:Vigun11g085900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKDVRNFAGRTAYDVASKNKHARLSALTRRGKVRSIQRLIEDERWYIEGTNKVWKAQEKRDIKMKEVSSKVQNV >Vigun11g085900.8.v1.2 pep primary_assembly:ASM411807v1:11:25752176:25752657:1 gene:Vigun11g085900.v1.2 transcript:Vigun11g085900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKDVRNFAGRTAYDVASKNKHARLSALTRRGKVRSIQRLIEDERWYIEGTNKVWKAQEKRDIKMKEVSSKVQNV >Vigun11g085900.5.v1.2 pep primary_assembly:ASM411807v1:11:25751781:25752358:1 gene:Vigun11g085900.v1.2 transcript:Vigun11g085900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRFVSMSSRTLFFRSLRKLEFNLTPMANKDVRNFAGRTAYDVASKNKHARLSALTRRGKVRSIQRLIEDERWYIEGTNKVWKAQV >Vigun11g085900.6.v1.2 pep primary_assembly:ASM411807v1:11:25751667:25758918:1 gene:Vigun11g085900.v1.2 transcript:Vigun11g085900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKDVRNFAGRTAYDVASKNKHARLSALTRRGKVRSIQRLIEDERWYIEGTNKVWKAQEKRDIKMKEVSSKVQNV >Vigun04g119600.5.v1.2 pep primary_assembly:ASM411807v1:4:30650017:30654736:-1 gene:Vigun04g119600.v1.2 transcript:Vigun04g119600.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSNTLTIITLNFVPMATPRFGYDVFLSFRGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEEITPALQKSIEESRIAIVVLSQNYASSSFCLDELATIHYCHTQGQLVLPVFYKVQPSDVRHQKGGYGEALTKHQRRFKDKEKLQKWKMALRQVADLSGYHFKDGDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALAVHNLIADRFDGCCFLQNVREKSKKHGLEHLQSILLSNILGAKDINLTSEHQGISMIQRRKPKKVLLILDDVDRCEQLQALVGSPDWFGPGSRVIITTRDIQLLASHQVKRTYSVKTLNKDDALQLLTWKAFKTEQVDPSYMEVLNDVVTYASGLPLALEVIGSNLFEKSVEEWKSAINQYKRIPNNQILEILKVSFDALEEEEKCVFLDIACCFQGYELTEVEVMLRALYDDCMKYHIGVLVQKSLIKIYYSGTIVMHDLIGDMGRQIDRRESSKKPGKGRRLWLLKDIIQVLRDNTGTSETEIICLDLSISEKEETLEWNANAFRRMKNLKILIIRNGKFCKGPNYFPESLRILEWHGYPSNCLPSNFHSNKLVTCKLPNSPFTSFGFHGSSKKFENLTELNFDNCQLLTRMPDLSDLPNLEKLSFERCESLIALDDSIGFLNKLKILKAQRCTKLRRFPPLNLPSLEVLHFPYCYSLENFPEILGKMGNIRELYLLNLAIKELPVSFQNLTGLHELYAACDFLQLNSSALMSSLTTFYASRCKEWKWINSKDGEEVLRS >Vigun04g119600.4.v1.2 pep primary_assembly:ASM411807v1:4:30650017:30654736:-1 gene:Vigun04g119600.v1.2 transcript:Vigun04g119600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVADLSGYHFKDGDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALAVHNLIADRFDGCCFLQNVREKSKKHGLEHLQSILLSNILGAKDINLTSEHQGISMIQRRKPKKVLLILDDVDRCEQLQALVGSPDWFGPGSRVIITTRDIQLLASHQVKRTYSVKTLNKDDALQLLTWKAFKTEQVDPSYMEVLNDVVTYASGLPLALEVIGSNLFEKSVEEWKSAINQYKRIPNNQILEILKVSFDALEEEEKCVFLDIACCFQGYELTEVEVMLRALYDDCMKYHIGVLVQKSLIKIYYSGTIVMHDLIGDMGRQIDRRESSKKPGKGRRLWLLKDIIQVLRDNTGTSETEIICLDLSISEKEETLEWNANAFRRMKNLKILIIRNGKFCKGPNYFPESLRILEWHGYPSNCLPSNFHSNKLVTCKLPNSPFTSFGFHGSSKKFENLTELNFDNCQLLTRMPDLSDLPNLEKLSFERCESLIALDDSIGFLNKLKILKAQRCTKLRRFPPLNLPSLEVLHFPYCYSLENFPEILGKMGNIRELYLLNLAIKELPVSFQNLTGLHELYAACDFLQLNSSALMSSLTTFYASRCKEWKWINSKDGEEVLRS >Vigun04g119600.3.v1.2 pep primary_assembly:ASM411807v1:4:30650017:30654723:-1 gene:Vigun04g119600.v1.2 transcript:Vigun04g119600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFGYDVFLSFRGEDTRYGFTGNLYKALCNRGIHTFIDDEELQSGEEITPALQKSIEESRIAIVVLSQNYASSSFCLDELATIHYCHTQGQLVLPVFYKVQPSDVRHQKGGYGEALTKHQRRFKDKEKLQKWKMALRQVADLSGYHFKDGDGYEYKFIESVVDRVCHKINPARLHVADYPVGLGPQVLEVRKLLNVECGDGFHMIGIHGMGGVGKTTLALAVHNLIADRFDGCCFLQNVREKSKKHGLEHLQSILLSNILGAKDINLTSEHQGISMIQRRKPKKVLLILDDVDRCEQLQALVGSPDWFGPGSRVIITTRDIQLLASHQVKRTYSVKTLNKDDALQLLTWKAFKTEQVDPSYMEVLNDVVTYASGLPLALEVIGSNLFEKSVEEWKSAINQYKRIPNNQILEILKVSFDALEEEEKCVFLDIACCFQGYELTEVEVMLRALYDDCMKYHIGVLVQKSLIKIYYSGTIVMHDLIGDMGRQIDRRESSKKPGKGRRLWLLKDIIQVLRDNTGTSETEIICLDLSISEKEETLEWNANAFRRMKNLKILIIRNGKFCKGPNYFPESLRILEWHGYPSNCLPSNFHSNKLVTCKLPNSPFTSFGFHGSSKKFENLTELNFDNCQLLTRMPDLSDLPNLEKLSFERCESLIALDDSIGFLNKLKILKAQRCTKLRRFPPLNLPSLEVLHFPYCYSLENFPEILGKMGNIRELYLLNLAIKELPVSFQNLTGLHELYAACDFLQLNSSALMSSLTTFYASRCKEWKWINSKDGEEVGSTLSSNLRSFDFELCDLNDDIFSAGFTQLTTVTYLNLSYTNITFLPECIKEFQHLDDLEVSYCKYLQEIRGLPPNLREFRAIDCRSLTSSSSSMLLNQQLHEAGKTKFVLPGGCIPEWLEKESRGPSISFWFRNQFPPKVFCFLIGSVRDDTHFYFIRPVVLINGKVQEYKAGYHTDVIMPELDHMQLFDLHVLPFRYKLMKMASEKEWKHVEVTYEGLFDTSLIKAMGIHVFKSKRGGMKDIRYDDPYTTTKVCPCNFFIPFLPFFFRFLFALILFISLMAYPT >Vigun09g011600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:863539:864082:-1 gene:Vigun09g011600.v1.2 transcript:Vigun09g011600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTDLRQIGAEGFALIEKFYGPARRNNGSDAFHLHGRRERCCVVYQVPKDLMDESSVGGYTKPKPSNRWGRPFKF >Vigun09g034800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3070742:3072955:1 gene:Vigun09g034800.v1.2 transcript:Vigun09g034800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSVPSGSPNAKPDLVHGDSVVSNNASLETIVQSFQDSMTLGKRHKFWETQPVGQYKDVGDASLSEGPIEPPTPLSEVKQEPYNLPSAYEWTTCDMDSSETCDEVYVLLKNNYVEDDENMFRFNYSKEFLRWALRVPGYYRSWHIGVRAKASKKLVAFISGVPARIRVNEEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPVTPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPNENVVDSFLVESPGNHEITDFCSFYTLPSSILGNQNYSTLKAAYSYYNVSTKTPLTQLMNDVLIVAKQKDFDVFNALDVMHNENFLKELKFGPGDGQLHYYLYNYRIRNALKPSGLGLVLL >Vigun03g063700.1.v1.2 pep primary_assembly:ASM411807v1:3:5225213:5228158:1 gene:Vigun03g063700.v1.2 transcript:Vigun03g063700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSHRKPRRRAWCCSFAIPPASPELTSISKSPHRAQPFPKRSVSVPNSPQSAKSGFPIVGRIDPRRILSPGRVSPIDSDPTPSVSQLRSPSFRAPSPPTVADAAALDVKLKLRGKNGGSMVMEVDSEVLEANSEVFAGLIADCKRGGGGATMEVENLGVFSDTIELMFEDDDCITKKLINVGVFRSIDILEVSAGIMFTKGVRCCLKYLEAVPWTEEEEEKLRSLFTRFEFDDATTRDILGRLYLHDSVDSRPNVAQQLVRSITTSEDANARSEMKSLVKGLLCKSSVYEKSHLELSKEDLFTVCESCLSSLISLFEEASDSMRTERVITKDTSKPLIERISRQVDNINWLLEIMVDGQVAEDFVDVWAGQEKLLKMHDVTSPMIRYELSRVSALLFVAMGTRKLQCPLEARSGLLQAWFGPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLPLKQQYVLFMEWFRHFSRHGTECPNMGKAFQIWWRRSFLRGSEAVESR >Vigun04g035700.2.v1.2 pep primary_assembly:ASM411807v1:4:2962591:2966353:-1 gene:Vigun04g035700.v1.2 transcript:Vigun04g035700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSVQLPHHFYSARFFSCTTTRTQLSFPAKVFICQAKSGIDGSLNLKVVSPTLLVAEKEEAKAVLALFLKKQGLSNAVAARTINKSDLFIDHLVSKLHSKHRSWYLAGRELTTLEIRDALIPYLESLFEEHGDILVDVVENYPNPPVKDKSAVPVSPSSPVLDSKKLKAVSLVSETDQDGGNLRPHIVYLMELGMDIDQIRSITHRFPAFAYYSLEGKVKPVVEFFLELGVPKEHIPSILSKRPQLCGFSLSENLKPTMKFLESLGIDKKQWPKVIYRFPALITYSRQKVMESIDFLLELGLSEENIGKILTRCPHIVSYSVEDNLRPTAKYFRSLGADVGILLFRCPQNFGLSIEANLKPITAFFLERGYTLEEIGTMISRYGALYTFSLTENLIPKWDFFLTTGYPNSELVKFPQYFGYSLEKRIKPRFAIMKKSGVRLLLNQVLSLSSNNFDKALKKKMKKMQDG >Vigun04g035700.1.v1.2 pep primary_assembly:ASM411807v1:4:2962591:2966353:-1 gene:Vigun04g035700.v1.2 transcript:Vigun04g035700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSSVQLPHHFYSARFFSCTTTRTQLSFPAKVFICQAKSGIDGSLNLKVVSPTLLVAEKEEAKAVLALFLKKQGLSNAVAARTINKSDLFIDHLVSKLHSKHRSWYLAGRELTTLEIRDALIPYLESLFEEHGDILVDVVENYPNPPVKDKSAVPVSPSSPVLDSKKLKAVSLVSETDQDGGNLRPHIVYLMELGMDIDQIRSITHRFPAFAYYSLEGKVKPVVEFFLELGVPKEHIPSILSKRPQLCGFSLSENLKPTMKFLESLGIDKKQWPKVIYRFPALITYSRQKVMESIDFLLELGLSEENIGKILTRCPHIVSYSVEDNLRPTAKYFRSLGADVGILLFRCPQNFGLSIEANLKPITAFFLERGYTLEEIGTMISRYGALYTFSLTENLIPKWDFFLTTGYPNSELVKFPQYFGYSLEKRIKPRFAIMKKSGVRLLLNQVLSLSSNNFDKALKKKMKKMQDG >Vigun02g041400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:16942802:16944321:-1 gene:Vigun02g041400.v1.2 transcript:Vigun02g041400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLNQSAKTMKKLKQKRNPFHDSKMTRKLRIICDDPDATDSSSDEEEHFRKGRKVKRTMVEIALPSLPVNSVIAAETSTESSNNEELNKKRVLAKTPSVRRQSCGKYKGVRMRKWGKWAAEIRDPFKGARVWLGTYNTAEEASQAYETKRLEFEAMAKALSGERSSNNNIIINNNSNNNVVASVVTVAASEKNNSNYSVSSGAAESATDSKSATNTIDYSDSSILSHTSPYSVLELDTSASDLTVSGKVSCNEVVETKGLEAEGVETEFAELDIPDLSMLSVPPQSVVAGNGAAPSEFEFDWFALDSLEHGFDDDLAGLEDIQIYGFDEGEPSELPDYDFDDICADEFAGWIEEPLNIPCV >Vigun06g083600.1.v1.2 pep primary_assembly:ASM411807v1:6:21510369:21511464:1 gene:Vigun06g083600.v1.2 transcript:Vigun06g083600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISGNKSLFAMAVGIKKENLVDKMVKEVL >Vigun10g001300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:160176:162046:1 gene:Vigun10g001300.v1.2 transcript:Vigun10g001300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAQAMAQFRFSPLSSHHRYHYHYNYQSTHSFPSTRHPRSQRLHLSFSGGDIGGGARGSGGGGGGGDSDDESVGLGVLGLFLNGWRSRVAADPQFPFKVLMEELVGVSAAVAGDMATRPNFGLNELDFVFSTLVVGSILNFILMYLLAPTLSSSSTLPWLFATCPSSHMFEPGPYGIVERCGTLVYKGGLFALVGLAAGLAGTAISNGLIAVRKRVDPTFQSPNKAPPTLLNAFTWAAHMGVSSNLRYQCLNGFEFLLEKCVSPLVFKSSVLALRLSNNVVGGMTFVMLARFTGSQAPSVPQPK >Vigun08g111900.1.v1.2 pep primary_assembly:ASM411807v1:8:27770572:27772771:-1 gene:Vigun08g111900.v1.2 transcript:Vigun08g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPNPTSPSSFLTPSKSHPKLLHPSPHHHPPQHDLHRWPTLNEAIAEMKAIGMISGPTAITGLVLYSRAMISMIFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSTSIPISFIWLNMKRILLWSGQDNEIASVAQKFITFSIPDLFLLSLLHPLRIYLRTQRITLPLTYCSTISVLLHVPLNFLLVVHLKMGIAGVATAMVLTNLNLILFLSSFVYFSGAYKASWVPPSVDCIKGWSSLLSLAVPTCVSVCLEWWWYEFMIMLCGLLANPKATIASMGILIQTTSLVYVFPSSLSLGVSTRIGNELGANNPGKARVSMIVSLFCAVALGLAAMVFTTLMRHQWGRFFTSDHEILELTSLVLPIAGLCELGNCPQTTGCGVLRGSARPAIGANINLGSFYLVGMPVAILLGFVGKMGFAGLWLGLLAAQVSCAGLMLYVLCRTDWNVEAERAKELTKTTSTTTTTSSSAADSNSKLPTLTPNINNNAHACCSLEEIVITAAADELTNISSLETDPLIIPTTRHTQV >Vigun01g078200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:22021405:22023123:-1 gene:Vigun01g078200.v1.2 transcript:Vigun01g078200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVGHAVQGSALALLGLWLAINTIRTYLVKGPANFTVRFWYQFNTPHSRLKHLELVSILSFSILAIFMQVLDFPHFHYAFKLDNFEHATMFIHLALFAGFSLCTELTDSLDLFSGFVGILASSVFSQELFLLHFHSTDHVGLEGHYHWLLQLIVLVSLVAALAATIFPNNFNAALVLSISVIFQGCWFINMGFMLWIPALVPEGCVMNLAKASGHDIIGAVTCGSKEADFRARGLANLQFSWILSAILIFAGIICLKLARKCTIATNRLEYERIQSKGADSALANEGLKQGR >Vigun07g295400.1.v1.2 pep primary_assembly:ASM411807v1:7:40582148:40587395:1 gene:Vigun07g295400.v1.2 transcript:Vigun07g295400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLDQFRNKNTLIGLGLGQFLSLLITSTGFTSSELAKKGINAPTSQSFLNYVFLAVVYGSIVLYRREALKAKWYYYILLGLVDVEANFLVVKAYQYTSLTSVMLLDCWSIPCVMLFTWIFLKTKYRLLKITGVLVCLAGLVLVVFSDVHAGDRAGGSNPRTGDLLVIAGATLYAVSNVSEEFLVKNADRVELMAMLGVFGGIISAIQISILERNELKSIHWSAGAALPFVGFAVAMFLFYSLVPVLLKINGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYYVAFGAVVVGLIIYSGGDTNENEDHLHLAEDANQRQHDEEATTSGKS >Vigun04g167400.1.v1.2 pep primary_assembly:ASM411807v1:4:39216209:39217511:1 gene:Vigun04g167400.v1.2 transcript:Vigun04g167400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSAENATKAYLNTLKMGQKAKEPAVSEFISALAAGNNAHQMVVACPGAADSITLALVSAAHQTGGHVVCIVSSHDELNASKKVLGVNASEVQFIVGEVQQELLLLRQADFVVIDCNHVSHGEIVKAIQDGGMQNGAVVVGCNALSCRGSWWSSGSRTQLLPIGKGLLVTRFGASAASPKHGSGVSKTRSSRWIVKVDKCTGEEHVYKIRVPQGKVIQA >Vigun06g191600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:30905229:30905744:-1 gene:Vigun06g191600.v1.2 transcript:Vigun06g191600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSVIVLASALCFLSFLGSTCAKDRFFVEGVVYCDTCRVQFLTKMSEFLEGATVRVMCSQVDNAKNVTFNKETTTSAIGAYKMEVDGDHEEDTCEVILVKSPRADCNEVDKEAHLQQAARISITKNNGIVSNIRQANPLGFLKKDRLPGCMDLFKELGINEDGTPIPSV >Vigun04g131400.1.v1.2 pep primary_assembly:ASM411807v1:4:32870266:32874395:-1 gene:Vigun04g131400.v1.2 transcript:Vigun04g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGIGSLSRRSFDLRFSGGSKSNSSVHEVHERPPVIQNSRWASLPPELLRDVIRRLEESETTWPARKHVVACAAVCKSWREMCKEIVTSPEFCGKITFPVSLKQPGSRDGAIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNSQLSPPGRSRRFNSKKVSPKVPSGSYNIAQITYELNVLGTRGPRRMNCTMHSIPVSALEPGSTVPGQPELLPRALEDSFRSISFARSIYSSTDFSSSRFSDIVVAGNEEEQGKDMPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAAASGGGGGGAPPPPPPQPSSQPPQSDHDKIILQFGKVGKDIFTMDYRYPLSAFQAFAICLTSFDTKLACE >Vigun04g068000.1.v1.2 pep primary_assembly:ASM411807v1:4:7749489:7750350:1 gene:Vigun04g068000.v1.2 transcript:Vigun04g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSGYNSDILWTFNTIHIANLSVAYIDRHFAVAFEDELLEDWELVDSKGQIHFVTYNKDVDHPRITHGWMDIQRDFHISGDCDVQFSYTGNSRFQITVFAGTCSQLSMQRYLRHAATKFNDTIFCVRLTQYQTRGSHLDLNTKFANLIRSKHINEVVLSGPNTAVVCKLLISDSPRSTKIGKGWKEFCNQHQLKEGDRVLFQVDHADADEFITVFVNKCLCDD >Vigun04g112800.1.v1.2 pep primary_assembly:ASM411807v1:4:28353520:28358684:-1 gene:Vigun04g112800.v1.2 transcript:Vigun04g112800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANGTPKGVALIIGHNNVRGSLQFLQHPNGTTHVTGRITGLSPGFHGFHIHAFGDTTNGCNSTGPHFNPLKKDHGAPSDDERHAGDLGNIVAGPDGVAEISIRDTQIPLTGVHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGARIACGIIGLQSSV >Vigun08g214700.1.v1.2 pep primary_assembly:ASM411807v1:8:37511043:37520110:1 gene:Vigun08g214700.v1.2 transcript:Vigun08g214700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHLLSRKLEDAVNAAVRAKTSDPVLFISNHMRKAVQSVITKVKARQILDSRGIPTVEVDLHTNKGVFRASVPSGNSTGMYEAVELRDGDKGVYLGNGVAKAVKNINDKISEALVGMDPTLQSQIDQAMIDLDKTEKKGELGANAILAVSIAACKAGAAEKEVPLYKHIADLSGKTNPTLPVPAFTVISGGKHAGSTLAIQEIMVLPIGASKFEEALRMGTETYHHLKAVITEKSGAHNCNVGEDGGYAPNISSFREALDLVKEAISRTGYNDKIKIALDVAATNFCIGKRYDLDFQSPQKSGQNFKSAEDMIQLYKELCSEYPIVSIEDPFDKEDWEHIKYISNLGICQIVGDDLLMSNAKRIEKAITESACNALLLKVNQVGTVTEVIDVVKQAKEAHWGVITSHRCGETIDSFIADLSVGLASGVIKSGAPCRGERLEKYNQLLRIEEELGDHAVYAGEDWRQ >VigunL059134.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000261.1:14810:15169:-1 gene:VigunL059134.v1.2 transcript:VigunL059134.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVSFVIQIRRHPNLSDLALDTGDQTQLPHHPRGKSNMSNVTCRTSLFSNVIPPSCLLHSSAKLRSFPTSSPQVAFTQFGQVHSFPASSPQVALFAVRPSSLFSSVIPPSCLVRSSV >Vigun03g131700.1.v1.2 pep primary_assembly:ASM411807v1:3:12842719:12851243:-1 gene:Vigun03g131700.v1.2 transcript:Vigun03g131700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKTKSSHSLGGEGDPESYESRWVFQEDDDPSEIEDFDAADLRHQPIFDSEDEDNGEHRLVRTGPRIDSFDVEALEVPGAQRNDYEDISVGKGILLAFQTLGVVFGDVGTSPLYTFSVMFRKAPINGNEDILGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRNAKVSLLPNQLRSDARISGFRLKVPSPELERSLKIKERLETSLTLKRILLLLVLAGISMVIANGVVTPAMSVLSSVNGLKVGVDAIKQDEVVMISVACLIVLFSVQKYGTSKVGLVVGPALFIWFCSLAGIGIYNLVKYDSSVLRAFNPIHIYYFFARNSTKAWYSLGGCLLCATGSEAMFADLCYFPVRSVQLTFVFLVLPCLLLGYLGQAAYLMENHADAGDAFYSSVPSGAFWPTFLIANIAALIASRAMTTATFSCIKQSAALGCFPRLKIVHTSRKFMGQIYIPVINWFLLAVSLVFVCTISSLDEIGNAYGIAELGVMMMTTILVTLVMLLIWQIHIIVVLCFGVVFLGLELTFFSSVLWSVTDGSWIILVFAGLMFFIMFVWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGIPGIFGHFLTTLPAIHSMIILVSIKYVPVPMVAQSERFLFRRVCQRSYHIFRCIARYGYKDVRKENHQTFEQLLMESLEKFIRREAQERSLESDGDDDSDSEDEYSGARVLIAPNGSVYSLGVPLLADFIDTNIPIPKFEASTSEDAPESPKPPVLDAEQSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLIINYFYSFLRKNCRRGITNLSVPHSHLMQVGMTYMV >Vigun06g185100.2.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30448086:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANFFVFLFIALSCFRLLRVSASKLPQEEVDALREITSAMGSAYWKFDADSCSIEMVGLTQEPPAEAERSIGCNCSFEDNTVCHVVTMTLKRLSLPGTLPPQLAKLPFLREVDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGMNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.5.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30447589:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNKDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGIRNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.6.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30447589:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNKDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGMNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.4.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30447589:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLTCLCCHLVDALREITSAMGSAYWKFDADSCSIEMVGLTQEPPAEAERSIGCNCSFEDNTVCHVVTMTLKRLSLPGTLPPQLAKLPFLREVDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGMNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.3.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30447589:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLTCLCCHLVDALREITSAMGSAYWKFDADSCSIEMVGLTQEPPAEAERSIGCNCSFEDNTVCHVVTMTLKRLSLPGTLPPQLAKLPFLREVDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGIRNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.7.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30445326:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGIRNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.8.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30445326:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGMNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun06g185100.1.v1.2 pep primary_assembly:ASM411807v1:6:30440014:30448086:-1 gene:Vigun06g185100.v1.2 transcript:Vigun06g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANFFVFLFIALSCFRLLRVSASKLPQEEVDALREITSAMGSAYWKFDADSCSIEMVGLTQEPPAEAERSIGCNCSFEDNTVCHVVTMTLKRLSLPGTLPPQLAKLPFLREVDFAYNCFTGSIPEEWASMKLTSISLLVNRLSGEIPKHLGNFTALTYLMLEANQFSGAVPPELGKLINLQTLVLSSNQLTGNLPLTLAGLQNLTDFRINDNNFTGTIPSFIQSWQLLQRLEMHASGLEGPLPSNISLLKNLVMLRISDIESPAQVFPHLENMANLAILILRSCNLSGVIPSYVWTMRNLAILDVSFNMLVGGISSIISARRLRFIYLTGNMLSGNIPNSVLKDGSSIDLSYNNFTWQDYEQPACQDGIRNLNLNLFRSSILKNKLEEYVPCSKNFSCSRFSSCLHVNCGGKDVRVRDDRGENLYAGDENVQGGTATYFYSDDDHWGFSSTGDFMDDFEAQNVRYTVSLPSSNMPELYKTARVSPITLTYFHNCMQNGNYTVNLHFAEIQFTNDKTYKSLGKRIFDIYVQGRITHKNFNIENETNVAEKPLVLPIHNISITNNVLEIRFYWAGKGTTRIPDVGVYGPLVSAISVISDSRVCSTGKKKVAVSIIIAIVAGAVCLVLFISGLIWWKWKSFVRGKRRQKEDAKDGDMQSGNFSLENIRAATNDFSSANKIGEGGFGPVYKGQLVDGTFIAVKQLSSKSRQGNREFINEIGLISCVQHPNLVKLHGYCAEGEQLLLVYEYMENNSLARALFGSENQQLKLDWPTRFRICIGIAKGLAFLHDESRFKIVHRDIKASNVLLDADLNPKISDFGLARLDETEKTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVVLEIVSGKSNNNYLPDDGSACLLDWACKLNQAKKLEGLVDERLEQELNETEVEKVVRIALLCTNASVSLRPTMSEVVKMFEGELDIPDAIPEPSTYSEDLRFKALRDLNQHRSKQSLSGNSSTHIFSSASGGNTHTSSNIEDHCSVDNS >Vigun04g090800.4.v1.2 pep primary_assembly:ASM411807v1:4:16467301:16471942:1 gene:Vigun04g090800.v1.2 transcript:Vigun04g090800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYEKNSKGLEIFCKSWLPEASTPKAALFYCHGYGDTCSFFFEGIARKLASSGYAVFAMDYPGFGLSEGLHCFIPSFDGLVDVVIEHYSKIKENPEFRSLPSFLFGQSMGGAVALKIHLKQPKAWDGAILVAPMCKIADDMVPPKLLTNLLIGLSNVLPKHKLVPNKDLAEAAFRDLKKREQTAYNVIAYKDKPRLQSAVELLRTTQEIERRLKEVSLPLFILHGKADTVTDPSVSKALYENASCSDKKLKLYEDAYHALLEGEPDEVITEVFNDIISWLDEHSLKHNQLSS >Vigun04g090800.1.v1.2 pep primary_assembly:ASM411807v1:4:16466292:16471942:1 gene:Vigun04g090800.v1.2 transcript:Vigun04g090800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTVHHRPPELYRCHPLNEFRASVQRRKRNNRRKVIRVSRSMGLPGVDRELKKILNANMDEVGARRRAREAFKDIQLGIDHILFKTPCDGVKMEESYEKNSKGLEIFCKSWLPEASTPKAALFYCHGYGDTCSFFFEGIARKLASSGYAVFAMDYPGFGLSEGLHCFIPSFDGLVDVVIEHYSKIKENPEFRSLPSFLFGQSMGGAVALKIHLKQPKAWDGAILVAPMCKIADDMVPPKLLTNLLIGLSNVLPKHKLVPNKDLAEAAFRDLKKREQTAYNVIAYKDKPRLQSAVELLRTTQEIERRLKEVSLPLFILHGKADTVTDPSVSKALYENASCSDKKLKLYEDAYHALLEGEPDEVITEVFNDIISWLDEHSLKHNQLSS >Vigun04g090800.3.v1.2 pep primary_assembly:ASM411807v1:4:16467735:16472067:1 gene:Vigun04g090800.v1.2 transcript:Vigun04g090800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWCKMAPISCVNTPCDGVKMEESYEKNSKGLEIFCKSWLPEASTPKAALFYCHGYGDTCSFFFEGIARKLASSGYAVFAMDYPGFGLSEGLHCFIPSFDGLVDVVIEHYSKIKENPEFRSLPSFLFGQSMGGAVALKIHLKQPKAWDGAILVAPMCKIADDMVPPKLLTNLLIGLSNVLPKHKLVPNKDLAEAAFRDLKKREQTAYNVIAYKDKPRLQSAVELLRTTQEIERRLKEVSLPLFILHGKADTVTDPSVSKALYENASCSDKKLKLYEDAYHALLEGEPDEVITEVFNDIISWLDEHSLKHNQLSS >Vigun04g090800.2.v1.2 pep primary_assembly:ASM411807v1:4:16466290:16472113:1 gene:Vigun04g090800.v1.2 transcript:Vigun04g090800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGVDRELKKILNANMDEVGARRRAREAFKDIQLGIDHILFKTPCDGVKMEESYEKNSKGLEIFCKSWLPEASTPKAALFYCHGYGDTCSFFFEGIARKLASSGYAVFAMDYPGFGLSEGLHCFIPSFDGLVDVVIEHYSKIKENPEFRSLPSFLFGQSMGGAVALKIHLKQPKAWDGAILVAPMCKIADDMVPPKLLTNLLIGLSNVLPKHKLVPNKDLAEAAFRDLKKREQTAYNVIAYKDKPRLQSAVELLRTTQEIERRLKEVSLPLFILHGKADTVTDPSVSKALYENASCSDKKLKLYEDAYHALLEGEPDEVITEVFNDIISWLDEHSLKHNQLSS >Vigun04g090800.5.v1.2 pep primary_assembly:ASM411807v1:4:16467734:16472068:1 gene:Vigun04g090800.v1.2 transcript:Vigun04g090800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSILLCFLSLQTPCDGVKMEESYEKNSKGLEIFCKSWLPEASTPKAALFYCHGYGDTCSFFFEGIARKLASSGYAVFAMDYPGFGLSEGLHCFIPSFDGLVDVVIEHYSKIKENPEFRSLPSFLFGQSMGGAVALKIHLKQPKAWDGAILVAPMCKIADDMVPPKLLTNLLIGLSNVLPKHKLVPNKDLAEAAFRDLKKREQTAYNVIAYKDKPRLQSAVELLRTTQEIERRLKEVSLPLFILHGKADTVTDPSVSKALYENASCSDKKLKLYEDAYHALLEGEPDEVITEVFNDIISWLDEHSLKHNQLSS >Vigun10g030150.1.v1.2 pep primary_assembly:ASM411807v1:10:3828891:3830244:1 gene:Vigun10g030150.v1.2 transcript:Vigun10g030150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDIDLNAPCENSHPLHTLTPLIDGNKPLPNHETQQGSNNQVHAKFPTFPLDIDLNIPYKEASMVDSNEGFLATQHDSNHGGKKENLLTKGTDFDLNIPYIDPNVNENEASNKINGGTQHVSNNGGNGEDRDKFIQGRAKLSSMPIDIDLNTSYADIEASMMDYKNTE >Vigun05g145700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19888403:19889197:1 gene:Vigun05g145700.v1.2 transcript:Vigun05g145700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLFALFLLSALTFYPPSITAQPVFDGNGNVVKNGGRFYIKPRLFGAGGGIERARTGDEESPLSVVQSPFETDPGQPWIIRSMLRTAFLPEGRVSISYEYVQLGNSVESNEWIAVPGQPEGTVVKTGYPNSVSGFFIMTRASSDNTYKFSFCSNGGTCSDVGVVNDEAGNRILAITQEPFEFFLTQLPSDASK >Vigun06g002750.1.v1.2 pep primary_assembly:ASM411807v1:6:1257167:1258631:-1 gene:Vigun06g002750.v1.2 transcript:Vigun06g002750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEMVKIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLSSKPVCK >Vigun06g212400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:32470578:32472347:-1 gene:Vigun06g212400.v1.2 transcript:Vigun06g212400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQKRPSPLDEPPTASSSDSEEEETQGPSSLRHGKEEEEASSGEEEDESSGEEAEDDDLPPPITKTNPPPPPTNPHPQPSSSDSETESESETESETESTPAKAVKPLATKPMDQAQKLKALPSPAPPKPALKRPAENSNAHVAKKKKKSGDSSSSPAASDEEMEDGKKSGDQMKKFQRLWSEEDELAILKGLAEFTSKTGHDPLKFAGGNAFHDFLKKSLHVEVTSNQLKEKARRLKKKYETAAVRGNSADGLTFAKPHDQKTFELSKKVWGSEQDGGVANGTVEKPKANGNAAKSPKKKETGSRNVASAKKAKPEPKQETVPATRIELKESEKMNIDQKPVHCEVSMFLNALSHFKEGLGVCGLDEEDVKKGLELIGESKRTELRAKWKKLQRTELELFANRSELIGEQTKLIVEALRSSNH >Vigun11g224000.2.v1.2 pep primary_assembly:ASM411807v1:11:41484774:41499219:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSEGPRERQSSSTKSDTGSFLGRTEPSQLYEIEEERVGVPVKGGLYEVDLVSRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQPSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNIDASGFSSFVSFTGNAIKLRRGYSPSNSPKPTQDELRQQKEEAMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.9.v1.2 pep primary_assembly:ASM411807v1:11:41486710:41499179:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.3.v1.2 pep primary_assembly:ASM411807v1:11:41486691:41499189:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.6.v1.2 pep primary_assembly:ASM411807v1:11:41484774:41499179:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEELRPDLLKNTPSNIARLEDVIEHSKARHKYLAQTCSPSDGGDVRWYFCKIPLAPNELAASVPRTEMVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSEGPRERQSSSTKSDTGSFLGRTEPSQLYEIEEERVGVPVKGGLYEVDLVSRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQPSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNIDASGFSSFVSFTGNAIKLRRGYSPSNSPKPTQQDELRQQKEEAMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.7.v1.2 pep primary_assembly:ASM411807v1:11:41479958:41499179:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEELRPDLLKNTPSNIARLEDVIEHSKARHKYLAQTCSPSDGGDVRWYFCKIPLAPNELAASVPRTEMVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSEGPRERQSSSTKSDTGSFLGRTEPSQLYEIEEERVGVPVKGGLYEVDLVSRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQPSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNIDASGFSSFVSFTGNAIKLRRGYSPSNSPKPTQDELRQQKEEAMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.8.v1.2 pep primary_assembly:ASM411807v1:11:41484774:41499179:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSEGPRERQSSSTKSDTGSFLGRTEPSQLYEIEEERVGVPVKGGLYEVDLVSRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQPSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNIDASGFSSFVSFTGNAIKLRRGYSPSNSPKPTQQDELRQQKEEAMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun11g224000.1.v1.2 pep primary_assembly:ASM411807v1:11:41484774:41499312:1 gene:Vigun11g224000.v1.2 transcript:Vigun11g224000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEELRPDLLKNTPSNIARLEDVIEHSKARHKYLAQTCSPSDGGDVRWYFCKIPLAPNELAASVPRTEMVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSEGPRERQSSSTKSDTGSFLGRTEPSQLYEIEEERVGVPVKGGLYEVDLVSRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQPSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNIDASGFSSFVSFTGNAIKLRRGYSPSNSPKPTQDELRQQKEEAMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTAHQRGTQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQDNLSSPFPMEWMYKEHDQNENSLPDKEYNHVQNSLINQDDTFSMVSPSEENKGTQHTSPDMEAEYCEKSSVLGPELSSLHEFSAEPSSVEPSNKGDVSEFLSDSSYTHVDKMGALDEPESMNVGFPVDKEECTVTRNKDEVINKLREEIDSLRAELESRHSINHTEEELHSVQNLSKKSPPIQDAPKSYTPYIKYTKLQFEVDTFFAVGSPLGVFLALRNIRIGIGKGQEYWEQENIREEMPACRQLFNIFHPYDPVAYRIEPLVCKEYISQRPILIPYHRGGKRLHIGFQEFTEDLAVRTHAVKNYMKSARDRVITLCQSRKLDNIGGESSEEEEETSYGSFMIERLTGSKSGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDTPEDQDIIEDPDLSVVSSMDKSIHESTSVGWYEPRDTIEEDLPLTFSDKVMVRSFSSKAKKVLQKHTASNY >Vigun07g126500.1.v1.2 pep primary_assembly:ASM411807v1:7:23340899:23343690:-1 gene:Vigun07g126500.v1.2 transcript:Vigun07g126500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGIVVGAAPLPVLCSSARNHRFRVSFSSPSSGSKTVEHVGIAAAKPEYKPGVFDDMFLNLFRNKLVQEVGWDSKEPGYDGLIEVAHRLMMKGTSNSTTVESAVRILRSLFPPYLLELYKMLIAPIGGGKIAAMMVARVTVLTCQWLMGPCKVNSVDLPDGTSCSSGVFVERCRYLEESKCVGICTNTCKFPTQTFFKDHMGVPLLMEPNFGDYSCQFKFGVLPPQDDTIVKEPCLEACPNASRRRLLANNVDVTMCPKT >VigunL024690.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:63263:63820:-1 gene:VigunL024690.v1.2 transcript:VigunL024690.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKIDFFTKKKISSDDLYNDSYYRNEEKINKLSNEFINRTKLIDKKLISLDIFENRIRFCDDETKKKYLTKRKDPLLNGPFRGQIKNGFSTSIQHEKTYKKNNIFINKIQDIFLYNKISKKNNSNSPKLEENRKTFDKKLLVTTFLFNLISQFSLVSSLNSHVPYLFTEPEQVKMNYNYDAEKKQ >Vigun02g043300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:17392346:17396031:-1 gene:Vigun02g043300.v1.2 transcript:Vigun02g043300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVIRSSPLGREYHGKQPAGRRRVFVQTEKGCVLGMELDRGDNAHTVKRRLQLALNVPIEESSYLTFGDIVLKNDLSAVRNDSPLLLTRGNHIHRSSSTPCLSPKGRDIQQRDKSGPIEILGQSNRLHRIKHMIKDIMKAIKMGIDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSVFNVNDGVNGNNFWRKRLVSKIASFQQFIPHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVRKLDGIGSLGQMELIPIDHGLCLPETLEDPYFEWIHWPQASIPFTEDELAYIDDLDPYRDCDMLRMELPMIREACLRVLVLCTIFLKEAAAYGLCLAEIGEMMTREFRRGEEEPSELEVVCLEARKMVAEREEPSPRPSPRADMKEDEFQFDIDYEEVGLDFTPKMALDDPLTRATFQPAVGNGYGRNPLSKLDECIEEEVEGEGESPQEFVTYSAEEKIPTVSELSATLKNTILDGKNQNQQKYSGGKVDNGHFSNSSSGHKSANEQLPASISFVDVADMTDDEWTLFREKFQELLYPAFAKRKSITLGQRQRQRLGTSCQF >Vigun07g144300.2.v1.2 pep primary_assembly:ASM411807v1:7:25494240:25506221:-1 gene:Vigun07g144300.v1.2 transcript:Vigun07g144300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNDEEEDNNNNSNSNKVDDEGDDGCGKSFASVYCSICLEHVADNGDRSWATLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGSRSYPEFSMDEWTHDEDLYDLSYSEMSFGVHWCPFGNLTRLPSSFEEGEFSSSAYHDVLGQHAIFAEHTAVSSASHPCPYIAYFGPIHPSSSNSGGTVSEASNFNHWNGSSVPSDIPTSYSFPAVDLHYHSWEHHSPPFSTASTRLVAADQPSGSPGSQRPVRGGSDVPRSGSFMHPFLVGHRAGSSVASSMIPPYPGSNARARDRVQALQAYYQPQQPPNSTTMRTPITTGSRRSSSHSGSAQLAPIATSPDQSGGFFLIPSSSSGRNFQEENHLPNHFHAWERDHLPSLSLSHVDRDAGWRAYHQSSSRSEPGARSSSFRLRHGSDRMPSQNR >Vigun07g144300.1.v1.2 pep primary_assembly:ASM411807v1:7:25494240:25506221:-1 gene:Vigun07g144300.v1.2 transcript:Vigun07g144300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNDEEEDNNNNSNSNKVDDEGDDGCGKSFASVYCSICLEHVADNGDRSWATLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGSRSYPEFSMDEWTHDEDLYDLSYSEMSFGVHWCPFGNLTRLPSSFEEGEFSSSAYHDVLGQHAIFAEHTAVSSASHPCPYIAYFGPIHPSSSNSGGTVSEASNFNHWNGSSVPSDIPTSYSFPAVDLHYHSWEHHSPPFSTASTRLVAADQPSGSPGSQRPVRGGSDVPRSGSFMHPFLVGHSSAARAGSSVASSMIPPYPGSNARARDRVQALQAYYQPQQPPNSTTMRTPITTGSRRSSSHSGSAQLAPIATSPDQSGGFFLIPSSSSGRNFQEENHLPNHFHAWERDHLPSLSLSHVDRDAGWRAYHQSSSRSEPGARSSSFRLRHGSDRMPSQNR >Vigun03g269600.2.v1.2 pep primary_assembly:ASM411807v1:3:44192694:44194671:1 gene:Vigun03g269600.v1.2 transcript:Vigun03g269600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPNNKKQEAKVARSKRKFILMAKQFPKRTTSKENKLLSYQSKGIQLRYLDVGTSSNPHNMKDRALNDISSLIINNSSEPIHLHSPNTNVHTQPRNTLTKKLRTTTTNSIQVNLMNKFGNTNSVSQWQSATRDGIDGVTLNQNVSTTIQDNDDGESLYEQGYIRGIDRDYANMNDVCLNSTVAKVIIV >Vigun01g034600.1.v1.2 pep primary_assembly:ASM411807v1:1:4498575:4501220:-1 gene:Vigun01g034600.v1.2 transcript:Vigun01g034600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMSMTFNLATAFKGLSLSSSSSSFFAGASLRAGPTSVVCLPRRRPLIIENAHKKGAGSTKNGRDSQSKRLGVKIYGDQVAKPGSIIVRQRGTKFHAGKNVGLGKDYTIFSLIDGVVKFEKYGPDRKKVSVYPREVQPENPNSYRARKREFFRLQRERKKARQEGTILPPQLVLASADDASITNPIC >Vigun05g046400.1.v1.2 pep primary_assembly:ASM411807v1:5:3884710:3887992:1 gene:Vigun05g046400.v1.2 transcript:Vigun05g046400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDDGVGLSLSLSLGVNQQPFKVNHMHHPQQHPQHHQPQHHQPQPVPVNHNKTLFADLFQLPDRSSDVIRGIDVKSTADCEEDNGISSPNSAVSSVSGGKRSERDDDNAALVAGERTSCSRGSDDDDGGGGDGEGGEGGRKKLRLTKEQSMVLEETFKEHNTLNPKRKQALAEELNLKPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEDNRRLQKEVQELRALKSSPQMYMHMNPPTTLTMCPSCERGHSSSSPATAAGHPVVVPPTSRKLFGANIRRPVAVNTWPFDGSIPRP >Vigun01g189300.1.v1.2 pep primary_assembly:ASM411807v1:1:36851492:36855447:1 gene:Vigun01g189300.v1.2 transcript:Vigun01g189300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSASASPPWPNPDPTTSPSSNPNPNFLPDQSQTLDFESLMPPQHKSPQQSLSPASSPPGLSPAAPPTLLHLAFNQDQACFSAATDNGFRIYNCNPFSEQFRREFDGGGIGHVEMLFRCNIIALVGGGPQPHFPPNKVMIWDDHEGCCIGDLSPRAAVRSVRLRRDRIIVAVEQRILVYNFLDLNLLHQIETYPNPKGLCAVSQLSDSLVLACPGLQKGQIRVEHYSQKKTKFISAHDSKIACLALTLDGQLIATASSKGTLIRIFDTVQGTILQEVRRGANVAEIYSLAFSSTARWLAVSSDKGTVHVFSLKVNSSFSEEEKPQNASNSDTAITPSNSSRSFSKFKGVLPKYFNSEWSVAQFRLQEGSHYIVAFGHEKNTVIILGMDGSFYRCQFDPVQGGEMTQLEYHNFLKPEPETTL >Vigun01g068100.2.v1.2 pep primary_assembly:ASM411807v1:1:18256511:18260636:-1 gene:Vigun01g068100.v1.2 transcript:Vigun01g068100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRAFIEICNSGKCGLFGEGGLIMFDVSNVTVRYHVMDIVIVVVIGIIGGVLGSLYNHVLHKILRLYNLINQKGKIHKLLLSLAVALFTSMCQYGLPFLAKCTPCDSSIPESACPTNGRSGNFKQFNCPPGYYNDLATLLLTTNDDAVRNIFSTNTPQEYQPMSLLIFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGLYMGPHTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFMDANPEPWMRNLTVGELVDVKPSVVTLQGIEKVSKIVDVLKNNAHNGFPVLGDGGVAVPPVVGQANGATELHGLVLRAHLIQALKKKWFFKERRRTMDWEVREKFTWVELAEREGSIEGVAVTSEEMEMFVDLHPLTNTTPFTVLESMSVAKAMILFRQVGIRHLLVVPKYQASGVSPVIGILTRQDLLAHNILTVFPHLAKSKSRGKRN >Vigun01g068100.3.v1.2 pep primary_assembly:ASM411807v1:1:18256511:18260636:-1 gene:Vigun01g068100.v1.2 transcript:Vigun01g068100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRAFIEICNSGKCGLFGEGGLIMFDVSNVTVRYHVMDIVIVVVIGIIGGVLGSLYNHVLHKILRLYNLINQKGKIHKLLLSLAVALFTSMCQYGLPFLAKCTPCDSSIPESACPTNGRSGNFKQFNCPPGYYNDLATLLLTTNDDAVRNIFSTNTPQEYQPMSLLIFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGLYMGPHTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFMDANPEPWMRNLTVGELVDVKPSVVTLQGIEKVSKIVDVLKNNAHNGFPVLGDGGVAVPPVVGQANGATELHGLVLRAHLIQALKKKWFFKERRRTMDWEVREKFTWVELAEREGSIEGVAVTSEEMEMFVDLHPLTNTTPFTVLESMSVAKAMILFRQVGIRHLLVVPKYQASGVSPVIGILTRQDLLAHNILTVFPHLAKSKSRGKRN >Vigun01g068100.1.v1.2 pep primary_assembly:ASM411807v1:1:18256511:18260636:-1 gene:Vigun01g068100.v1.2 transcript:Vigun01g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDCREFGESTKMKEKMEEVEREEEIDPESNPVNEPLLKRNRTLSSNPLALVGEKVSYIESLDYEINENDLFKHDWRSRSRVQVLQYIFLKWLLAFLVGLLTGIIATLINLAIENIAGYKLLAVLKYIHRERYLTGFLYFTGINFILTFVAAILCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRAFIEICNSGKCGLFGEGGLIMFDVSNVTVRYHVMDIVIVVVIGIIGGVLGSLYNHVLHKILRLYNLINQKGKIHKLLLSLAVALFTSMCQYGLPFLAKCTPCDSSIPESACPTNGRSGNFKQFNCPPGYYNDLATLLLTTNDDAVRNIFSTNTPQEYQPMSLLIFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGLYMGPHTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFMDANPEPWMRNLTVGELVDVKPSVVTLQGIEKVSKIVDVLKNNAHNGFPVLGDGGVAVPPVVGQANGATELHGLVLRAHLIQALKKKWFFKERRRTMDWEVREKFTWVELAEREGSIEGVAVTSEEMEMFVDLHPLTNTTPFTVLESMSVAKAMILFRQVGIRHLLVVPKYQASGVSPVIGILTRQDLLAHNILTVFPHLAKSKSRGKRN >Vigun08g102900.1.v1.2 pep primary_assembly:ASM411807v1:8:25550639:25556352:1 gene:Vigun08g102900.v1.2 transcript:Vigun08g102900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTSNSLIPTKSLIPQSNPLLPSTNIRPALKPRPGPSPSIFAVHAAEPAKNPVVSDKPKPQSPPAPAPSRAGKWAVDSWKAKKALQLPEYPSKEDLESVLHTLEAFPPIVFAGEARTLEERLGEAALGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMSVVMMFGGQMPVIKVGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELANRVDEALGFMAAAGLTVDHPIMKTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITVITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHAGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKSRMRSEQASSPLGL >Vigun02g158600.2.v1.2 pep primary_assembly:ASM411807v1:2:30417529:30419691:-1 gene:Vigun02g158600.v1.2 transcript:Vigun02g158600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKEFCVLATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVASRQTFLNTSKWIEEVRSERGNDVIIVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKQDDMVDVNLRSSGGHDSQPDSGGCAC >Vigun02g158600.1.v1.2 pep primary_assembly:ASM411807v1:2:30417529:30419691:-1 gene:Vigun02g158600.v1.2 transcript:Vigun02g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVASRQTFLNTSKWIEEVRSERGNDVIIVLVGNKTDLVDKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKQDDMVDVNLRSSGGHDSQPDSGGCAC >Vigun10g042466.1.v1.2 pep primary_assembly:ASM411807v1:10:5932067:5934139:1 gene:Vigun10g042466.v1.2 transcript:Vigun10g042466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSFFFTHFPSNYGQYEMWRIFQRWGKRFGFVRYRGISNPQALEKQLDNIQIGNMKIHVNKPKYRRYVDASRKEGGQRSENDGFKNNISPTYKKVWKRKSTQTYAQINMSNIELIRESFILNGLDFIRLRYISDNTMLLTAEGNANIAKAIEENKEWLLTIFETISTWTRNTVIGHRRAWVRCRGIPLSLWGKECFEKIVGTIGTLISIDSATKNWDELEYTRLCIRMPIRAEPKLRKKMNLNGILCSISIEEESSFMDKKTREDSSSNSLVGNGFQHPSDSELGSPEAIPTAPNPEGQARGSKTFNQSACHICTLEEVCFQQEKSDNSKETQRYTTMESWLAGNAEHQTVGENGLNNDQRRSRTKSVVSDSQQLYQNWAQESTQLKPIYQQNNQMDLTIKAHLDFNPYMQVFMSLNILRDNSLCGVREMNLNHENEKSIEEYSSLQKGQTEPELTRVEHDDTENWEEYGKIGGSRDSDANQTQDEDGKHNKMKLIKKMQINPEIIQLRLAIHSPCNSVSNIDINNCNRLFWIRNNSLEALRLWNIGKDLGFTYTREDANIIKRITEIEERDI >Vigun09g170200.4.v1.2 pep primary_assembly:ASM411807v1:9:33914098:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQGFQTLEELYIKTWLHSGQRVVVQEKNGDKVIEHVVTIQGLTSTGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >Vigun09g170200.6.v1.2 pep primary_assembly:ASM411807v1:9:33917152:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQVDRELLYKKRMGTK >Vigun09g170200.1.v1.2 pep primary_assembly:ASM411807v1:9:33911927:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQGFQTLEELYIKTWLHSGQRVVVQEKNGDKVIEHVVTIQGLTSTGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >Vigun09g170200.3.v1.2 pep primary_assembly:ASM411807v1:9:33914098:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQVDRELLYKKRMGTK >Vigun09g170200.5.v1.2 pep primary_assembly:ASM411807v1:9:33917109:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQGFQTLEELYIKTWLHSGQRVVVQEKNGDKVIEHVVTIQGLTSTGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >Vigun09g170200.8.v1.2 pep primary_assembly:ASM411807v1:9:33912129:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQGFQTLEELYIKTWLHSGQRVVVQEKNGDKVIEHVVTIQGLTSTGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >Vigun09g170200.2.v1.2 pep primary_assembly:ASM411807v1:9:33911926:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQVDRELLYKKRMGTK >Vigun09g170200.7.v1.2 pep primary_assembly:ASM411807v1:9:33915725:33924943:1 gene:Vigun09g170200.v1.2 transcript:Vigun09g170200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPSWTASLLSAATRRLYNHRFSTSSFMASSALDCSLLVLCGKSLAENETAKAIKTSNTLKLPENGEYSLVLHSQLDKTVMQGCFQIHSFMNSLSTNQFGRLLIWSPQLTSTQDIVSQNFCELPIGTVCVADVQTKGRGRSKNVWESPSGCLMFSFTLQMEDGRVVPLVQYVVSLAITEAVKDICYKNGLPSIDVKIKWPNDLYLNGSKVGGILCTSTYKSKKFNVSAGIGLNVNNEKPTTSLNTVLKELSTGAYQFQREDILAAFFNKFEEFYGLFVNQGFQTLEELYIKTWLHSGQRVVVQEKNGDKVIEHVVTIQGLTSTGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >Vigun03g360700.1.v1.2 pep primary_assembly:ASM411807v1:3:56388357:56391669:-1 gene:Vigun03g360700.v1.2 transcript:Vigun03g360700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRCPISVFLFSIFCFVIFFLFFPFNQQNLVTHFLFSPSLLPSTVKHLQNHTYIISPSPSPSPSPSPSSLYLLSNFTPANETNNNITVLSSSIKKFAGLEKIEEGLARARAAIQESIRSRNYTSDNRQTFVPKGSIYWNPHAFHQSHMEMVKRFKVWVYEEGEQPLVHDGPVNDIYAIEGQFMDEIDNYDKWSHFRTTNPEEAHVFYLPFSIANIVHYVYKPIRKHSDYEPIRLQRLVEDYISVVADKYPYWNRSEGADHFLLSCHDWGPKVSFGNPKLFKSFMRVLCNANTSEGFIPNKDVSIPEVNLPKGKLGPPNLGQRPNDRNILAFFAGREHGEIRKILLNYWKGKDSEVQVYESLPKGINYTKLMGESKFCLCPSGYEVASPRVVEALNAGCVPVLISSNYSPPFSDVLNWSQFSVEIPVEKIPEIKTILQRVSRNRYLKLHMNVMRVRRHFMINRPAKPFDLMHMILHSIWLRRLNLRLIASASS >Vigun05g267400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45954930:45959258:1 gene:Vigun05g267400.v1.2 transcript:Vigun05g267400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIATGMSLIANGACFCMAPVHKMTGHFCDLRNLRVSSDNSRKWPSLSVEDIFYPANSFILFTNVLTFWLFLVASSYFLLRKGVNTFGSCRERHAEKVSSSDSTVSNASIKNGATAASDRLSSSGCSNKISHSNSYTELCTDSFYEIADLDKACYMNSLLSLEDGDGWPSDLMPSTPPSYKCDAYYEISDFEKGSFFHSLLSLDDEDSEWLSDSKSFGRSLEEPSTPLSYKFDVASEISDFEQGSSFRSLLSLDDEDSEWLSDSKSFGRSSEEPSTPLSYKGDSHYAISDFDKASYMHSLLSLEDEGAEWLSDSKSFGCSSDNPLIPFSYKFDDASDISDLDNDIYMHSLLNLVNEGAECFSDSKFSECSSTPFSCKIDLASEISDLDKSRYLPSSLIFEHDDSEWLSKSKPYACSSENLSTPLTNKSNAFSEILDLGKESDIDSLLNPEDDDSDWFSNSKSFECLSENPSTPLRYNSKISHLDKAGYFHSLLSLEDDDDSEWLSDSKPHACSYENLSTPLNHRSDVFSEISDLDKASYMHSLLNLEGEESKWLSDSMHFECSSENPSTPLSYKFDAVSDISDSDKECHLNSLLTLEDENSDRLLDYKSYYGCSSENSTPLSSKCDSYYDVSDLDKASYLDSLLILEDEDSEWLSDSTSWDIIVPPLVNFDDSEDSILVENLSETAGVEEEFSADEPLFWPLEGKLNWNSEEPWSSFCTSPRRRFALNSAPITSKIKECNKQKGDEAPCRVNRETSRLSMWPKSSTKIVPLESEEFESAKDFLLVGKYYFALGEELPIETLVGLKEFDGHEGFDSEFNDAFKQITFLTL >Vigun05g267400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45955135:45959174:1 gene:Vigun05g267400.v1.2 transcript:Vigun05g267400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIATGMSLIANGACFCMAPVHKMTGHFCDLRNLRVSSDNSRKWPSLSVEDIFYPANSFILFTNVLTFWLFLVASSYFLLRKGVNTFGSCRERHAEKVSSSDSTVSNASIKNGATAASDRLSSSGCSNKISHSNSYTELCTDSFYEIADLDKACYMNSLLSLEDGDGWPSDLMPSTPPSYKCDAYYEISDFEKGSFFHSLLSLDDEDSEWLSDSKSFGRSLEEPSTPLSYKFDVASEISDFEQGSSFRSLLSLDDEDSEWLSDSKSFGRSSEEPSTPLSYKGDSHYAISDFDKASYMHSLLSLEDEGAEWLSDSKSFGCSSDNPLIPFSYKFDDASDISDLDNDIYMHSLLNLVNEGAECFSDSKFSECSSTPFSCKIDLASEISDLDKSRYLPSSLIFEHDDSEWLSKSKPYACSSENLSTPLTNKSNAFSEILDLGKESDIDSLLNPEDDDSDWFSNSKSFECLSENPSTPLRYNSKISHLDKAGYFHSLLSLEDDDDSEWLSDSKPHACSYENLSTPLNHRSDVFSEISDLDKASYMHSLLNLEGEESKWLSDSMHFECSSENPSTPLSYKFDAVSDISDSDKECHLNSLLTLEDENSDRLLDYKSYYGCSSENSTPLSSKCDSYYDVSDLDKASYLDSLLILEDEDSEWLSDSTSWDIIVPPLVNFDDSEDSILVENLSETAGVEEEFSADEPLFWPLEGKLNWNSEEPWSSFCTSPRRRFALNSAPITSKIKECNKQKGDEAPCRVNRETSRLSMWPKSSTKIVPLESEEFESAKDFLLVGKYYFALGEELPIETLVGLKEFDGHEGFDSEFNDAFKQITFLTL >Vigun01g127100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:30485206:30486637:1 gene:Vigun01g127100.v1.2 transcript:Vigun01g127100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLGFGPRDRLCRYFQKPKPFSDFVALSGITARCISHPRIVHISDPTLLPPFQFSFFLLFTSIFLFLLLFLNMNNTSTHDFIHDFMNVDSFSQLPFLRSSPSKDKDKPIRLFGQDFPKTTHTPSHHTTITRNSEPTRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRHLHPGMLHTTLSDPTTYTFTNPVPYSSLTPWDSHAPPRSFFGSSFSHQLQPINGCPLGMWRIPNTAVATHTTHQGNPILHRAPPLLSGQEMVRARMAPSPSGSQNGLGYDLKPNPGVSDHVSLDLHL >Vigun03g351300.1.v1.2 pep primary_assembly:ASM411807v1:3:55267012:55272289:-1 gene:Vigun03g351300.v1.2 transcript:Vigun03g351300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAATASADFSTKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIYDMNRPDAPPREVDKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTADGSTVKFWDANYYGLVKSYDMPCTVESVSLEPKFGNKFVAGGEDMWVHVFDFHTGNEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTLDDSEALSANGSIDKVKVTADEVSRKIEGFHIADEGKSKAKDEAVEESS >Vigun09g118750.1.v1.2 pep primary_assembly:ASM411807v1:9:26181029:26185180:-1 gene:Vigun09g118750.v1.2 transcript:Vigun09g118750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRRMMGWGALGCSNLTASGARGGIARDVTKKRCYQLVASAGELEVLKVRLGVVIGGVYRLKHHLAGTQKDVGACKDVTDEVKKEILELVVGLQQNLNKKSRLNMEEETVEASEKRKNSEASSPTNIFKKRVVGTQTTINNMFKKGMREEACQAIARFFYNNVIPFNVAKSEEFIAMLYLFSRHGLGFKPPSCHEIKVKYLKEEVQNTSLALQAHRDEWKKMGCTIMINGWTDKKRRTIINFLVNRPKGIVVLKSINASSISKIAEKVFEMMDSIVEEVGEENVIQVVTDNAANYKVAGHLLMTKRKRLFWTLCATHCVTRFATSYLTLGCLHENKGALIRMFTSNEWKSSNFAKINDGKIVEDVVLDKEFWKNIITCLKGALPLIEVLRLVDSDQKPAMGFIYEAMDQAKEKIQKAFNAVKKRMVEDEDEQTLIDVQIDAFRKRAKCFGCPLATRSINLKTPADWWESYGDEYPELQKFAIHVLCLTCSSSGCEHNWSSIEMVHTKRRNRLKQSTMSDVVFVMANSKLAKKKQTRKPARINIDDCSSDEEWIMEDEHEQNEALDLDENLIPVEVQKMKLYILVSLPLRDVKLVLPLRDANLVLLLDAKLLLRDAKVVINVAVRLDVGDRGRCCCSCHYRHKVFSFFPHCLEGISTIAIGRDCIAGIAAPNQVAIYNSVPTRSLKIAYFKWSGIGEDAKDRRLVRPYVLGRDFDSHGVRFWKEPFFEPEQKTGKRKVNVGTQLTWRETHYRLGGKEKFTKTLAELFASVVEGERVPVFVF >Vigun09g104550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:18985355:18985888:-1 gene:Vigun09g104550.v1.2 transcript:Vigun09g104550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRQESPSPLEPSKNVTRIKDVSVREILQERREAIERGKLKGRRLFQSTTFEGESSHREERSMSFCNSDDESNESEGVHGYCTHDELCSLSSSSSSCLVGDDDNDNKDNDNKVMDKLIHCMATAEDSASATYRNRRTRYAVLLGGVTVILIMIAMFMCLAKNLGGDDCDMILVPT >Vigun06g201400.1.v1.2 pep primary_assembly:ASM411807v1:6:31646709:31653481:1 gene:Vigun06g201400.v1.2 transcript:Vigun06g201400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHVTDLEWETSSDSSSSSEDQEDTDFQYGGQARSILSSLEESIGKIDDFLSFERTFVHGDVVCASSDPSGQMGRVTSVDMSVDLESVQGKKLKNVNSKKLMKIRSISEGDYVIKGPWIGQVQRVVDRVTILFDNGTTCEVITLEKDQILPLTHNLLEDSQYPYYPGLRVKVKTSTASKPARWLCGTWKGNHGEGTVCAVEAGLVYVNWLASVLFGSNLNVNVPLCWQDSKNMTLLSCFSHTNWQLGDWCMLPHVDQKEQMIQDASPCDPQNEHSKDRGCKTSNIGELFIIEKIKTEVDVIWQNGEHTLGLDPLNLIPANVINSHEFWPQQFVLEKGASDDPLKPSNQRWGVVLSMDAKEHTVKVQWRTSPTSGTDNFTGDAMMETVSAYELLEHPDYSCCFGDIVFKAAQKQLGDQADKNNVNSATDVIVEAPLINRDQISYQNESVDSSYLSCIGNVTGFIDGDVEVKWATGLTTKVAPYEIFRIDKHEGSTATPVPYEANVEPFSQEMIDHRSLQSDQKGKTLLDCNGDRDNCEKHPGESSSSSLPQAAFELFSSIKASILKTLSVASLSGKFSPIPRFEEGNESICLDKKDLDTCDPDTESYLARKLQSSSDEVVGIHERKQFPVSLDNESSDQLKQFDVIDNCSDHHFFYEGKGFSLSQVKKDWVKKVQQEWSILEKSLPETIYVRVFEERMDLMRAAIVGVSGTPYHDGLFFFDIHFPSQFPSQPPMVHYISGGLRLNPNLYESGKVCLSLLNTWTGTAAEVWNPGTSTILQVLLSLQALVLNEKPYFNEAGYDEQIGRAEGERNSVSYNENAFLVTCKSILYLLRKPPKHFEALVEEHFRQRSKHILLACKAYLEGAPIGCAFGGGNTENENQKGTSTGFKIMLAKLFPKLVEAFSDKGIDCSQFAEMQK >Vigun03g005700.1.v1.2 pep primary_assembly:ASM411807v1:3:397643:402626:1 gene:Vigun03g005700.v1.2 transcript:Vigun03g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHELKETYACMPTTERGRGILISGDSKSNSIVYTNARSVVIMSLENPLKVSVYGEHAYPATVARFSPNGEWVASADASGTVRIWGTRNDFVLKKEFRVLSGRIDDLQWSPDGLRIVACGEGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCAYKPTRPFRVVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRYSPDGSKFISVSSDKSGFIFDGKSAEKIGELSSEGGHTGSIYAVSWSPDGKQVLTVSADKSAKVWDISEDNNGKVKKTLTCPGSGGVEDMLVGCLWLNDYLVTVSLGGIISIFLASDLDKAPTAFSGHMKNVSSLSILRSNPKVLLSSSYDGLIVKWIQGIGYSGKVQRKEGSQIKCLVAVEEEIVTSGFDNKIRRVSLHGDQCGDAEAIDIRNQPKDLSVALLSPELALVSIDSGVVMLRGTKIVSTINLGFVVTASAVSPDGSEAIIGGQDGKLHIYSISGDTLVEEAVLEKHRGAISVIRYSPDVSMFASGDLNREAIVWDRASHEVKLKNMLYHTARINCLAWSPDSRRIATGSLDMCVIIYEVDQPASSRITIKGAHLGGVYGLTFTDEYSLASSGEDAFIRVWKITPP >Vigun03g005700.2.v1.2 pep primary_assembly:ASM411807v1:3:397643:402626:1 gene:Vigun03g005700.v1.2 transcript:Vigun03g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHELKETYACMPTTERGRGILISGDSKSNSIVYTNARSVVIMSLENPLKVSVYGEHAYPATVARFSPNGEWVASADASGTVRIWGTRNDFVLKKEFRVLSGRIDDLQWSPDGLRIVACGEGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCAYKPTRPFRVVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRYSPDGSKFISVSSDKSGFIFDGKSAEKIGELSSEGGHTGSIYAVSWSPDGKQVLTVSADKSAKVWDISEDNNGKVKKTLTCPGSGGVEDMLVGCLWLNDYLVTVSLGGIISIFLASDLDKAPTAFSGHMKNVSSLSILRSNPKVLLSSSYDGLIVKWIQGIGYSGKVQRKEGSQIKCLVAVEEEIVTSGFDNKIRRVSLHGDQCGDAEAIDIRNQPKDLSVALLSPELALVSIDSGVVMLRGTKIVSTINLGFVVTASAVSPDGSEAIIGGQDDVSMFASGDLNREAIVWDRASHEVKLKNMLYHTARINCLAWSPDSRRIATGSLDMCVIIYEVDQPASSRITIKGAHLGGVYGLTFTDEYSLASSGEDAFIRVWKITPP >Vigun07g046450.1.v1.2 pep primary_assembly:ASM411807v1:7:4745469:4750796:-1 gene:Vigun07g046450.v1.2 transcript:Vigun07g046450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEQPMTCHMKSEGCLRLFGVNIRANKPITCCDPQSLRSCHQEKKIDKEQICKDVNVCEELDQQLHNNNMGRATRVGDLELSRMVNLSYEEKENDKNMKNYKDLYFGYLRNDPEYIRSNMNVGRSTMMMDARRESMMNWPLKQNCKTGYFSDIFLQRRQPFHDKKNKGKPWTEQEHRYFLCGLKNVGKGNWKEISKNYVRTKTPTQVASHAQKYFLRMGAFETRKRRRSLFDIPLEEGAGSKVLAVSQI >Vigun03g376700.1.v1.2 pep primary_assembly:ASM411807v1:3:57973646:57978976:1 gene:Vigun03g376700.v1.2 transcript:Vigun03g376700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSTWPWENLGIYKYVLYGPFVAKVVYEWFYNEEHSYYNLSWCLHLLILSGLRSLIHVLWGSYSHMLFLTRNRRILQQGVDFKQIDKEWDWDNFLILQTLVASMACYMFPFLQHLPIWNIKGLFVTLILHVGVSEPLFYWVHKKFHGDYLFTHYHSLHHSSPVPESFTAGHATLLEHLVLTVVIGIPILGASVMGYGSASLLYVYVLIFDFLRCLGHGNVEIVPHQLFEKFQFLRYVIYTPTYHSLHHSDKDTNFCLFMPLFDALGGTLNKNSWQAHKIFSSGSGNGDRVPHFVFLAHIVDVSSCMHVQFVLRSFASLPYTTRLFLVFGWPVAFLVLLAMWVWSKTFLVSFYNLRGRLHQTWVVPRCGFQYFLPFATDGINKQIELAILRADKIGVKVISLAALNKNESLNGGGKLFVDKHPNLRVRVVHGNTLTAAVILNEIPQDVKEVFLTGATSKLGRAIALYLCQKKVKVLMLTLSTDRFQRIQKEAPLEYQSYLVQVTKYQAAQNCKTWIVGKWITPREQYWAPRGTHFHQFVVPPILSFRRDCTYGDLAAMRLPEDVEGLGCCEYTMDRGVVHACHAGGVVHSLEGWSHHEVGAIDVNRIDLVWEAALKHGLRPVSTFTQ >Vigun07g054300.1.v1.2 pep primary_assembly:ASM411807v1:7:5815100:5817187:-1 gene:Vigun07g054300.v1.2 transcript:Vigun07g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVGSSSFPPHHALLVVLVLLSLSFCTFALDMSIIDYDEALLQKTYEAWLVKHAKAYNAIGEKEKRFLIFKENWKFVQEHNNGAGNNEFRLGLNRFADLTNEEYRAMFMGTRKKKLASRNSARYAFRDGETLPTTVDWREKGAVAPVKDQGQCGSCWAFSTVAAVEGINQIVTGNLTTLSEQELVDCDRSYNMGCNGGLMDYAFEFIKQNGGIDTEEDYPYTARDNMCDTNRKNARVVSIDGYEDVPSNDEKSLMKAVANQPVSVAIEAGGREFQLYESGVFTGRCGTDLDHGVAVVGYGTENGIDYWLVRNSWGAGWGENGYIKLERNLLTSETGKCGIAMEASYPTKSGVNPPNPGPSPPTPATPATVCDEYYTCSPGTTCCCLFDYKGFCFGWGCCPIESATCCDDKSSCCPPEFPVCDPLSGSCRLSLDNPFGVKALKREAATCTWTQRKAAMKSD >Vigun07g003100.1.v1.2 pep primary_assembly:ASM411807v1:7:244170:247092:1 gene:Vigun07g003100.v1.2 transcript:Vigun07g003100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMASSASCAVSFRNSFALNKPSDLGFVTSQLSGIRICCPKLPTPPLTASPSFPAPLPIVARRVCPFTGKKSNRANKVSFSNHKTKKLQFVNLQYKRIWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGVDLRKK >Vigun07g003100.2.v1.2 pep primary_assembly:ASM411807v1:7:244170:247092:1 gene:Vigun07g003100.v1.2 transcript:Vigun07g003100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMASSASCAVSFRNSFALNKPSDLGFVTSQLSGIRICCPKLPTPPLTASPSFPAPLPIVARRVCPFTGKKSNRANKVSFSNHKTKKLQFVNLQYKRIWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGVDLRKK >Vigun11g195000.2.v1.2 pep primary_assembly:ASM411807v1:11:39425402:39427987:1 gene:Vigun11g195000.v1.2 transcript:Vigun11g195000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEILLVVFLLSNVAAGAEYSAGYNIRDDKVTNLPGQPPVKFDHYAGYVKLREEEDKALFYWFFEAQEAPSDKPLVLWLSGGPGCSSIAFGAAQEIGPFLVDGHERLTFNRYSWNRVANIIFLESPISVGFSYTNNSEDLSKLGDQVVALDNYAFLVGWFKRFPRFKSHEFYIVGESYGGHYAPQLAEVIHEGNRNGPYINLKGFMVGNAVINYVTDLKGVFDFALCHAIITKQVYDGIRETCDFTRKEQTKECSQNVGNFLEAYTDINIFSIYSPVCPADYDKPLSDTPNVAPYAVSEFDIWNMMPSTGYDPCEVIHVQKYFNKMDVQKAIHAYLPNMSQPHTVCSTKIKNWNDSPTTVLPVIQKLLRAGLRIWIYSGDTDGRVPLISTRYSLRELNLNVTEKWRVWFEEREVAGWVEEYEGGLTFASVRGAGHQVPVYKPQQALSLFSHFLSAQPLPSSRF >Vigun11g195000.1.v1.2 pep primary_assembly:ASM411807v1:11:39425340:39428122:1 gene:Vigun11g195000.v1.2 transcript:Vigun11g195000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEILLVVFLLSNVAAGAEYSAGYNIRDDKVTNLPGQPPVKFDHYAGYVKLREEEDKALFYWFFEAQEAPSDKPLVLWLSGGPGCSSIAFGAAQEIGPFLVDGHERLTFNRYSWNRVANIIFLESPISVGFSYTNNSEDLSKLGDQVVALDNYAFLVGWFKRFPRFKSHEFYIVGESYGGHYAPQLAEVIHEGNRNGPYINLKGFMVGNAVINYVTDLKGVFDFALCHAIITKQVYDGIRETCDFTRKEQTKECSQNVGNFLEAYTDINIFSIYSPVCPADYDKPLSDTPNVAPYAVSEFDIWNMMPSTGYDPCEVIHVQKYFNKMDVQKAIHAYLPNMSQPHTVCSTKIKNWNDSPTTVLPVIQKLLRAGLRIWIYSGDTDGRVPLISTRYSLRELNLNVTEKWRVWFEEREVAGWVEEYEGGLTFASVRGAGHQVPVYKPQQALSLFSHFLSAQPLPSSRF >Vigun02g096500.1.v1.2 pep primary_assembly:ASM411807v1:2:25222867:25230159:-1 gene:Vigun02g096500.v1.2 transcript:Vigun02g096500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRNEPRALKAPKNRMGDIPILAEAIMLCYTQRRYIFNSPRAIAHAVLDKSNKTIGSECRERSDCAEVKGREILKDLYELKRLLRHAMLFSSPKRYLAFLFPAGFEGEDVLQRERTSGFLRPAFTVIRDKESKCLVVFIRGTRSIKDIVTDALCAPVPFNHSMVSGHAHCGMAASADWISKRCIPVLLEALRQYPHFKIKIVGHSLGGGTAALLTYKLREIQQLSSATCVTFGPAACMTLELAEFGKPFITSIINGSDTVPTLSASSVHDFIDEGRNKDKNILDAVGTGFSLAKAIAEHAVNCCTEVVKKHKHSLFHRENIRALSENLVEASGLSGTSFEPLLSEEHLLKESIDDDEYNFSSEGYDNDDSDDDNENEDQLLNEMGNLELRKPVYIPDIHAKEKDIIDETSARRRLYPPGKIMHMITSHMSENSNSNQSDADEKHVCLYRTPTQLYEKLRFSRGMILDHRTKRYMKKLQQLINKLEKEQFKYRGG >Vigun03g228200.1.v1.2 pep primary_assembly:ASM411807v1:3:37892195:37894460:1 gene:Vigun03g228200.v1.2 transcript:Vigun03g228200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGLEHSRKAFGWAARDKSGVLSPFDFTRRETGDKDVAIKVLFCGICHTDLHNIKSEWGQSFYPLVPGHEIAGEVVEVGSKVQKYKVGDRVGVGCLVNSCRSCNSCDENLENYCSKSVLTYGAKDIDGSITQGGYSDLVVVDEHFVIGIPDNLPLEAAAPLLCAGITVYSPLIYFGIGKPDLHVGVVGLGGVGHMAVKFAKAFGAKVTVISTSPNKKKEAIERLGADSFLVSRNQDELEAAKGTLDGIIDTVSAVHSLVPLIDLLKTGGKLVVVGLPDHPLELPIFPILPRKSVAGSCIGGIKETQEMINYAAKHDIHPDIEIIPVDYVNTAMERLLKADVKYRFVIDIGKTLKPSS >Vigun05g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12911972:12915110:1 gene:Vigun05g119000.v1.2 transcript:Vigun05g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVINLQATHGNKWKKIAAQVPGRTAKRLGKWWEVFKEKQQRETKGNNCTIDPINDSKYEHILESFAEKLVKERPSPSFVMATSNSSFLHTDQPAPAQALLPSWLSNSNGTAPVRPPSPSVTLSLSPSTVAAPPPWMQPVRGPDSGGPLVLGNVGPHGGVVAFGENMVMSELVECCKELEEVHHALAAHKKEAAWRLSRVELQLESEKAGRRREKMEEVEAKMKALREEQAAALDRIEAEYREQLAGLRRDAESKEQKLAEQWAAKHMRLSKFLEQVGCRSRLSEPNGR >Vigun05g119000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12912100:12915103:1 gene:Vigun05g119000.v1.2 transcript:Vigun05g119000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVINLQATHGNKWKKIAAQVPGRTAKRLGKWWEVFKEKQQRETKGNNCTIDPINDSKYEHILESFAEKLVKERPSPSFVMATSNSSFLHTDQPAPAQALLPSWLSNSNGTAPVRPPSPSVTLSLSPSTVAAPPPWMQPVRGPDSGGPLVLGNVGPHGGVVAFGENMVMSELVECCKELEEVHHALAAHKKEAAWRLSRVELQLESEKAGRRREKMEEVEAKMKALREEQAAALDRIEAEYREQLAGLRRDAESKEQKLAEQWAAKHMRLSKFLEQVGCRSRLSEPNGR >Vigun08g200900.1.v1.2 pep primary_assembly:ASM411807v1:8:36529030:36532390:-1 gene:Vigun08g200900.v1.2 transcript:Vigun08g200900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKIKRVPTVVSNYQKDEAGDSSRPVGGCGRNCLKACCIPDAKLPLYAFKKVNGKDLAMHECGEPPVAFLDSLLLGEWEERMQRGLFRYDVTACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVIFQFEASDDGQIQFFPNAPVDVDNSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDRESFLLALHMAVEADNPYFRLGYNSLGAFATINHLHFQAYYLALPFPIEKAPTKKIANLNGGVKISELLKYPVRGLVFEGGDTLEDLSNVVSDACICLQNNNIPFNVLISDCGKQVFLLPQCYAEKQALGEVDAELLDTQVNPAVWEISGHMVLKRKKDYDEASEGNAWRLLAEVSLSEERFQEVNDLIFEAIGCSELDDNVQCDKEVDAVSTSAHPTVVVAGSKECVVLQ >Vigun06g164900.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28673156:28676654:-1 gene:Vigun06g164900.v1.2 transcript:Vigun06g164900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQRGQAGKGIVPGAAQEGEGMNQYHASNPPTQTVGVPVASAYGGGYRNDGQGIQEKKREELQQRVKTFWERQMKEISENPDLKSQLPLTRIKKIMKFDDDVKMVSSEATMLLGKACELFIMELTMRSWAHVEDDRRKIIQQTDVASAISINEMFDFLVDVLPGDDTVPAMAGPHQVLPTPNQNTPYPYLSFPPPPYAAPGNASSSSAANPPNSNFNPIPDQQHPSNTEDH >Vigun06g164900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28673156:28676654:-1 gene:Vigun06g164900.v1.2 transcript:Vigun06g164900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQRGQAGKGIVPGAAQEGEGMNQYHASNPPTQTVGVPVASAYGGGYRNDGQGIQEKKREELQQRVKTFWERQMKEISENPDLKSQLPLTRIKKIMKFDDDVKMVSSEATMLLGKACELFIMELTMRSWAHVEDDRRKIIQQTDVASAISINEMFDFLVDVLPGDDTVPAMAGPHQVLPTPNQNTPYPYLSFPPPPYAAPGNASSSSAANPPNSNFNPIPDQQHPSNTEDH >Vigun06g164900.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28673156:28676654:-1 gene:Vigun06g164900.v1.2 transcript:Vigun06g164900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQRGQAGKGIVPGAAQEGEGMNQYHASNPPTQTVGVPVASAYGGGYRNDGQGIQEKKREELQQRVKTFWERQMKEISENPDLKSQLPLTRIKKIMKFDDDVKMVSSEATMLLGKACELFIMELTMRSWAHVEDDRRKIIQQTDVASAISINEMFDFLVDVLPGDDTVPAMAGPHQVLPTPNQNTPYPYLSFPPPPYAAPGNASSSSAANPPNSNFNPIPDQQHPSNTEDH >Vigun06g164900.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28673155:28676654:-1 gene:Vigun06g164900.v1.2 transcript:Vigun06g164900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQRGQAGKGIVPGAAQEGEGMNQYHASNPPTQTVGVPVASAYGGGYRNDGQGIQEKKREELQQRVKTFWERQMKEISENPDLKSQLPLTRIKKIMKFDDDVKMVSSEATMLLGKACELFIMELTMRSWAHVEDDRRKIIQQTDVASAISINEMFDFLVDVLPGDDTVPAMAGPHQVLPTPNQNTPYPYLSFPPPPYAAPGNASSSSAANPPNSNFNPIPDQQHPSNTEDH >Vigun08g142600.1.v1.2 pep primary_assembly:ASM411807v1:8:31501411:31504755:1 gene:Vigun08g142600.v1.2 transcript:Vigun08g142600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLIEACKKRKRWPKFFRFHSFGDPGIPIVPLGTFRDNVRVFLQEAGEPEDYSVSGNPLWCTLLIHDKSNVMAPLYTVEEHVYHSSHPFCDHCRCVGWSGHFVSKRRYHFIIPMDSGWHKPLDEDSIDNQRHLLHGVIHCNGYGHLLSVNGIEGGSKVLSGREIMDLWDRVCTNLRVRKVAVEDVSCKRSMDLRLLHGVAYGHSWFGRWGYRFCRGSFGVTEPNYNEAMTTLGSLELDMIAKDLSKTKYNKEIKQMIRCYRDMSETHIISLRDLLRFMLTVKSSRSPAPKITVSYSAAADSTCSALMSRNSTKHTLPTRSNSMKEKSVRYKKFSNAVTNMDSRWPTRRLEFAAQVIVDALKENKAVKPGTGGMARQDVRDAARLHIGDTGLLDYVLKSLNNVIVGNYVVRRMVNPSTRILEYTIHDLGKGYKAPELETEGMAYVDQQVVESSWVPGNDVYCDVLFLYKNVLLGYPDSEVVDLAIQTILDSRYFVKEWGERDEIEEQSLTFICRLQPNFVDKKYELKGLPCGEVVVVPLHATVGDLKRASEAALRDTYCIAERLIVTDIKQLMGVSDEEVLFGLIQSGVELCVRGIAIDLCTNLKYQGGSDNWKVRCECGAQDDDGERMVACDICEVWQHTRCCGIDDSETVPPLFVCTGCCDSLVPSRTESSFCADSPDSFLISADPSLLLEYEYA >Vigun07g153200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26441088:26442248:1 gene:Vigun07g153200.v1.2 transcript:Vigun07g153200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLVSWFLSPSSSSSEEEEENLDINTHNNHTKNQNQQNFNTQDDDNDQYLSSCDVDDTIPVALAVPSASPAVTVAFPADDERSTVPGTTATATTFVTRSKGQCSNKYSGMVRQYQRLWTKQDEKELLKGYLDYIKQHGRTTTTLQNDVASLYDHVRPKLNVDFNRNQLVEKLRRLKRKHKLALNKEVPFRNLQEQAIFEISNKIWGNDTDILLDQESLDGDASGRTPESRYLGGNVKVKIDQLDNFDEIDERVPKRLRLDDAEDVNRTNEHNNGGSSLQGFIEETMRSCFSPLLKEMLEEVNQEPPPGSVPIPLPLYPEEVDHEQWRKRGILELEVYSKRLELLQDQIKARLEEMRSRQEVYDKTSPECAETACALSNTNNFNE >Vigun09g011800.1.v1.2 pep primary_assembly:ASM411807v1:9:876219:884803:1 gene:Vigun09g011800.v1.2 transcript:Vigun09g011800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTADLVAQLDVANHFPYDSLIRYCSSNISGFPQPPTQFTVSQFGHGQSNPTYLLEAGSHDSVVKRYVLRKKPAGKLLASAHAVEREFQVLQALGAHTKVPVPKVFCLCNDPSVIGTAFYIMEYLEGRIFIDSKLPGVAPQRRSAIYRATAKALASLHSANVDSIGLGKYGQRNNYCKRQIERWAKQYVASTSEGKPASNPKMFALIDWLRHQIPSEDSSGATGGLVHGDFRIDNLVFHPTEDRVIGILDWELSTLGNQMCDVAYSCMTYIADIGSENVREGMERSGLPEGIPSLPEYLADYCSLAERKWPVAEWKFYVAFSLFRGASIYTGVYNRWVKGNASGGERARHTGVLANGLIDAAWEFIGQNSVLPQHPPSDANVRDYSKEFVNGNDAQGHSNQGKFVPSQKVLELRKKLIKFMEEHIYPMENEFYKLAQSDSRWTVHPEEEKLKEMAKKEGLWNLWIPLDSAERARSLIFDGSNNHLSTNANDLLLGAGLTNLEYGYLCEIMGRSIWAPQVFNCGAPDTGNMEVLLRYGNKEQLQEWLVPLLEGTIRSGFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILIVMGKTDFNAAKHKQQSMILVDVQTPGVHIKRPLTVFGFDDAPHGHAEITFENVCVPAKNIILGEGRGFEIAQGRLGPGRLHHCMRLIGVAERGMQLMVQRAISRKTFGKFIAQHGSFLSDMAKCRIELERTRLLVLEAADQLDRLGNKNARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSETVLAHLWAASRTLRIADGPDEVHLGTIAKLELQKAKL >Vigun02g071600.1.v1.2 pep primary_assembly:ASM411807v1:2:22297104:22299089:1 gene:Vigun02g071600.v1.2 transcript:Vigun02g071600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEDESVNKNRDGDEVKNGIQKKIYYKACRKVCINILTCSAQSFYPRIHPQDAVSVSRPQHILQKYNQHRHDTESIYKKYEAKEMFMQRC >Vigun09g154000.4.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939233:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNGISGPFPDGFSELKNLTSLYLQSNKFSGPLPMNFSVWNNLSVVNLSNNYFNGSIPFSISNLTHLTSLVLANNSLTGEIPDLNIPSLQELNLADNNLSGVVPKSLLRFPSSAFAGNNLTSGTALPPAFPVEPPAVPPREKSKGLSEPALLGIIIGASVLGFVVIAGFLIVCCYRNADVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.7.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939260:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNDVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.2.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939260:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNGISGPFPDGFSELKNLTSLYLQSNKFSGPLPMNFSVWNNLSVVNLSNNYFNGSIPFSISNLTHLTSLVLANNSLTGEIPDLNIPSLQELNLADNNLSGVVPKSLLRFPSSAFAGNNLTSGTALPPAFPVEPPAVPPREKSKGLSEPALLGIIIGASVLGFVVIAGFLIVCCYRNADVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.5.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939233:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNGISGPFPDGFSELKNLTSLYLQSNKFSGPLPMNFSVWNNLSVVNLSNNYFNGSIPFSISNLTHLTSLVLANNSLTGEIPDLNIPSLQELNLADNNLSGVVPKSLLRFPSSAFAGNNLTSGTALPPAFPVEPPAVPPREKSKGLSEPALLGIIIGASVLGFVVIAGFLIVCCYRNADVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.3.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939268:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNGISGPFPDGFSELKNLTSLYLQSNKFSGPLPMNFSVWNNLSVVNLSNNYFNGSIPFSISNLTHLTSLVLANNSLTGEIPDLNIPSLQELNLADNNLSGVVPKSLLRFPSSAFAGNNLTSGTALPPAFPVEPPAVPPREKSKGLSEPALLGIIIGASVLGFVVIAGFLIVCCYRNADVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.1.v1.2 pep primary_assembly:ASM411807v1:9:31935236:31939304:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNGISGPFPDGFSELKNLTSLYLQSNKFSGPLPMNFSVWNNLSVVNLSNNYFNGSIPFSISNLTHLTSLVLANNSLTGEIPDLNIPSLQELNLADNNLSGVVPKSLLRFPSSAFAGNNLTSGTALPPAFPVEPPAVPPREKSKGLSEPALLGIIIGASVLGFVVIAGFLIVCCYRNADVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun09g154000.6.v1.2 pep primary_assembly:ASM411807v1:9:31935248:31939260:-1 gene:Vigun09g154000.v1.2 transcript:Vigun09g154000.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLPLLYIFSAVLISVVAEPVEDKQALLDFLDSMNHSPHVNWDENTSVCQSWRGVTCNSDESRVTALRLPGAGLSGPIPPNTLSRLSALQIVSLRSNDVDVQPMKSQKKQASLKTESSGSQDKNNKIVFFEGGNLAFDLEDLLRASAEILGKGTFGMTYKAALEDATTVVVKRLKEVAVGKRDFEQQMEVVGRIKHDNVDAVRAYYYSKEEKLIVYDYYQQGSVSAMLHGKGGEGRSALDWDSRLRIAIGAARGIAHVHSQHGGKLVHGNVKASNIFLNSQGYGCISDIGLATLMSPIPVPAMRTTGYRAPEVTDTRKATHASDVYSFGVLLLELLTGKSPINSSEGEQVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVGMLQIGMACAARIPDQRPKMPDVVKMVEEIRRVNTPNLPSTESRSEVSTPTPRAVDIPSTSVQQ >Vigun04g111750.1.v1.2 pep primary_assembly:ASM411807v1:4:28046431:28048554:1 gene:Vigun04g111750.v1.2 transcript:Vigun04g111750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIRSLAPSAEHSQVASLQSSLTEKWMLLGYICKYIEIDFISTNVSTLTSIYYRSEIDLIIYQHLIDSFYDIHSRVLG >Vigun10g143700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:36095364:36096142:1 gene:Vigun10g143700.v1.2 transcript:Vigun10g143700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGRVEEEASEGNERMMMMMNSRTVKEEGREKEEEEEETMGIWDCGSPLYDAHELVSLDHIIHRHLMAFPSSTGSSNHNITTFTHHHHHHDKMPHKSKGSFMVTGMSKISVKMVKKKKRKNNEEINGNNKMRRGFAGFVVALLYSWKK >Vigun01g196100.1.v1.2 pep primary_assembly:ASM411807v1:1:37292125:37295773:1 gene:Vigun01g196100.v1.2 transcript:Vigun01g196100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCLHSSICKITSITATARANDYASHSQVSALGGSSLFSRFSFRYPSTSLCPQQLTGNAAGNNGMAVDDAVLADNETEERERQNGNWVFKMFHARSVPSGEQRNDENDEDKVVVNVLTDKEKEEEECDGCRVDYDDEDNEDKEQEDEEILFDKDSFSRMLRKVSLTEAKLYARISHLGNLAYSIPKIKPGMLFKNHGLRFVTSSIVKKKLAVAAEKNQTSTAIQKEETNDEDDVGETKEKKKGGYVIGACTAYETAASAASYLHAQTKSILPFTSSNAVEDEEGSHEASKESFKGNKMTNTEEEAALKATTDSVTAVVAANEDVKQAFADDLSSTSSSPCEWFACDDDETATRYFVIQGSESFASWKANLLFEPVKFEGLDVYVHRGIYEAAKGTYQQMLPEIRAHLKSHDSRATFRFTGHSLGGSLALLVNLMLLIRKEAPFSSLLPVITFGAPSIMCGGDSLLDNLGLPRSHVQAITMHRDIVPRAFSCKYPNHIAELLKAVNGNFRNHPCLNNQKLLYAPMGELLILQPDEKFSPSHHLLPSGSGLYLLSGHLSESSDSLKQIRAAKMVFLNSPHPLEILSDRSAYGSGGTIQRDHDMNSYLRCVRSVLRQELHKTRKARREQRRRKVWWPLVLPRGSESAAVGGSMISVNMIHDEPSLFGMIESGRESLKRFGSVVASQHIQLFVVLLLPARLLLVGACNLISLR >Vigun09g205400.1.v1.2 pep primary_assembly:ASM411807v1:9:37987348:37988633:1 gene:Vigun09g205400.v1.2 transcript:Vigun09g205400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISCFDFWKGGKTVEEGSEEWKEMSMKVREACESYGCFLLRCDEMNSNGAREELFKNMKVLFDLPQETKQKHTSSKPFRGFNSYNFLNSQCESFTIDDVLLSTSLDTLTNLMWPQGNPHFCETLKGTSLKMSDLSLVILKMIVEGYGLPQHHISDVENLKSSCNLRFNMYNTDKNNTVDKNISNGHTDKNTLTILCQNEVPGLQVLLKTGEWVDIEIPQNCFVVIVGDALKAWSNGRVHAAMHRVEVRGEKEKERYTLGIFVAPKEEMKIEVPGELVDHKDHPLRCRPFNYGEFLTHFFSTRNHNNAIDVFAGL >Vigun10g169200.1.v1.2 pep primary_assembly:ASM411807v1:10:38810974:38814547:-1 gene:Vigun10g169200.v1.2 transcript:Vigun10g169200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVERKKLIKGKVVLVQKSVAQIINTPQGFLTVGAKIVNNIPTLDIVKSVSFKLISSTESENPTTLAGKVGKDTYLENNVSVVRTVQEIKEEFDIYFEWDRNEMGSPGAFYVTNQMDEEFFLVSLTLEYPSQHDNHTNIYFDCNSWVHNHSCYKTDRIFFANVPYLPDTTPVQLQTYREAELNNLRGDGTGMRQTWDRIYDYDVYNDLGFLGSDGPKDHPVLGGLNYPYPRRVRTGRNLIQNKKNGEYYEVPADAYYVPRDENFSDQKIAEFTQLSKTALGGSLGPLVLSMYLKTTSNEFNGFEEVLKMYEGGVNLPISITGNTPPSALNFPTPDVIKESKFAWMTDEEFAREMIAGVNPNVIRLLKNKDELELPWISTCNCLQRITVTEEDLEINMDGIKVDEAIKNRKLFVLDYYEPFMTYLTKINDLPSTEAYATRTFLILKEDGTLKPIAIELSKPYICPCGLELVETTVVLPADKGVKSTIWQLAKAHVNVNDTSYHELISHWLHTHAVTEPFAISTHRNLSVLHPIYKLLYPHFRDTFNINSFARKSLVSAGGIIEQTFLPGPYSMEMSAAVYKKWVFAEQALPNDLIKRGLAVKDVSAPHGLRLAIEDYPYAVDGLEIWNAIKLWVQDYVDLYYSDDGAVEDDWELQTWWEEAVEKGHGDLRAPWPELHSSQDLVEICTTIIWVASALHAAVNFGQYSYGGYIMNRPTQSRRWIPKAGTEEYEEAKNNPQEAFLKTITAKYHTIIDLSVMELLSTHSSDEVYLGQRDSLIWTADEEANALFKRFTDDLGKIENNIYDRNNNKGLKNRTGPVQVPYTFLLPTSKPGLTSRGIPNSISI >Vigun11g099900.1.v1.2 pep primary_assembly:ASM411807v1:11:29356001:29360380:1 gene:Vigun11g099900.v1.2 transcript:Vigun11g099900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAPLRSIKDEKNKGEMEGFSKAAKTASDDVDFDELSEGNLLESINFDDLFVGIDVGGDILPDLEMFGEFSVSAGEESSEMNSSKEENDQNYKSCCAASGHEDSGSNRSKNGEPVVVNPAPKEGGKGRKSSSGQLKNNSNSNNPHGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRNMYGVGKREVSPWLAPTMGFPPPMTTMHHFRPLHVWGHPSMNHSFMHVWPKHLPPSPPLSWPSPAPPHDPSFWHQRAPNALIPGTACYPQPLTPTVTTHYSLTILEPCKLSSTLSLKSGIISRTSLQYNVIVIFTCNHKHKNYTVV >Vigun06g000500.3.v1.2 pep primary_assembly:ASM411807v1:6:248869:255635:-1 gene:Vigun06g000500.v1.2 transcript:Vigun06g000500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVFQLKLHPLTGNSEWIVIEDNDESFDQNFHKPFLATTSYLDMLNDSTRNEAFRQAIQKTITKPCHVLDIGAGTGLLSMMAARAMGDEGKVTACESYLPMVKLMKKVLRLNGMEGRVKIINKRSEELQVGLDIPSRAHVLVSEILDSELLGEGLIPTLQHAHDNLLVENAMTVPYRATIYGQLVESTFLWKLHDFHNNEATVSDSIRLTPPGLDSVLSIKRQQYAMHVDPIQEEITLLSEPFKIFEFDFWKRPESYGETELCVKATNDGRVHVVVSWWVLQLDQEGTIYYSTTPRWISSPKITSPVGWCDHWKQCVWFVPGSGISILKGEEIHLLATHTETSFSYNFDTVVPTTETLNHRCMTGDFQLVLPPERAAIYADKEWRFSMLKAVQSMGKDRLLCLVVDDSVFLPLLVAKLSEASVMSLLPGLRERGLQYLQAVAHANGLSHSCIEVLEKNVQHLNMHDIHQKKVDLLIAEPFYVGHDSMLPWQNLRFWRDRTTLNDILSEDALIIPSKGILRACAMSLPDLWKSRCSLSIIEGFDHSVVNATLGACGHLPELEECPCLPFFIWQCGEFDVLSETYDVMEFDFSKQICECQGKSQVKFTKSGVCHGFVLWIDWVMDLQNSIVISTGPGPSHLPF >Vigun06g000500.1.v1.2 pep primary_assembly:ASM411807v1:6:248869:255635:-1 gene:Vigun06g000500.v1.2 transcript:Vigun06g000500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVFQLKLHPLTGNSEWIVIEDNDESFDQNFHKPFLATTSYLDMLNDSTRNEAFRQAIQKTITKPCHVLDIGAGTGLLSMMAARAMGDEGKVTACESYLPMVKLMKKVLRLNGMEGRVKIINKRSEELQVGLDIPSRAHVLVSEILDSELLGEGLIPTLQHAHDNLLVENAMTVPYRATIYGQLVESTFLWKLHDFHNNEATVSDSIRLTPPGLDSVLSIKRQQYAMHVDPIQEEITLLSEPFKIFEFDFWKRPESYGETELCVKATNDGRVHVVVSWWVLQLDQEGTIYYSTTPRWISSPKITSPVGWCDHWKQCVWFVPGSGISILKGEEIHLLATHTETSFSYNFDTVVPTTETLNHRCMTGDFQLVLPPERAAIYADKEWRFSMLKAVQSMGKDRLLCLVVDDSVFLPLLVAKLSEASVMSLLPGLRERGLQYLQAVAHANGLSHSCIEVLEKNVQHLNMHDIHQKKVDLLIAEPFYVGHDSMLPWQNLRFWRDRTTLNDILSEDALIIPSKGILRACAMSLPDLWKSRCSLSIIEGFDHSVVNATLGACGHLPELEECPCLPFFIWQCGEFDVLSETYDVMEFDFSKQICECQGKSQVKFTKSGVCHGFVLWIDWVMDLQNSIVISTGPDRKYWKQGVKLLGTPRTVDPQSLTSVQPCSAVVEACFSPLKGELKIILDFL >Vigun06g000500.4.v1.2 pep primary_assembly:ASM411807v1:6:252383:255635:-1 gene:Vigun06g000500.v1.2 transcript:Vigun06g000500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVFQLKLHPLTGNSEWIVIEDNDESFDQNFHKPFLATTSYLDMLNDSTRNEAFRQAIQKTITKPCHVLDIGAGTGLLSMMAARAMGDEGKVTACESYLPMVKLMKKVLRLNGMEGRVKIINKRSEELQVGLDIPSRAHVLVSEILDSELLGEGLIPTLQHAHDNLLVENAMTVPYRATIYGQLVESTFLWKLHDFHNNEATVSDSIRLTPPGLDSVLSIKRQQYAMHVDPIQEEITLLSEPFKIFEFDFWKRPESYGETELCVKATNDGRVHVVVSWWVLQLDQEGTIYYSTTPRWISSPKITSPVGWCDHWKQCVWFVPGSGISILKGEEIHLLATHTETSFSYNFDTVVPTTETLNHRCMTGDFQLVLPPERAAIYADKEWRFSMLKAVQSMGKDRLLCLVVDDSVFLPLLVAKLSEASVMSLLPGLRERGLQYLQAVAHANGLSHSCIEVLEKNVQHLNMHDIHQKKVEFS >Vigun06g000500.2.v1.2 pep primary_assembly:ASM411807v1:6:248869:255635:-1 gene:Vigun06g000500.v1.2 transcript:Vigun06g000500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVFQLKLHPLTGNSEWIVIEDNDESFDQNFHKPFLATTSYLDMLNDSTRNEAFRQAIQKTITKPCHVLDIGAGTGLLSMMAARAMGDEGKVTACESYLPMVKLMKKVLRLNGMEGRVKIINKRSEELQVGLDIPSRAHVLVSEILDSELLGEGLIPTLQHAHDNLLVENAMTVPYRATIYGQLVESTFLWKLHDFHNNEATVSDSIRLTPPGLDSVLSIKRQQYAMHVDPIQEEITLLSEPFKIFEFDFWKRPESYGETELCVKATNDGRVHVVVSWWVLQLDQEGTIYYSTTPRWISSPKITSPVGWCDHWKQCVWFVPGSGISILKGEEIHLLATHTETSFSYNFDTVVPTTETLNHRCMTGDFQLVLPPERAAIYADKEWRFSMLKAVQSMGKDRLLCLVVDDSVFLPLLVAKLSEASVMSLLPGLRERGLQYLQAVAHANGLSHSCIEVLEKNVQHLNMHDIHQKKVDLLIAEPFYVGHDSMLPWQNLRFWRDRTTLNDILSEDALIIPSKGILRACAMSLPDLWKSRCSLSIIEGFDHSVVNATLGACGHLPELEECPCLPFFIWQCGEFDVLSETYDVMEFDFSKQICECQGKSQVKFTKSGVCHGFVLWIDWVMDLQNSIVISTGPDCR >Vigun09g152301.1.v1.2 pep primary_assembly:ASM411807v1:9:31697153:31698025:1 gene:Vigun09g152301.v1.2 transcript:Vigun09g152301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQYHLDIYTVQIITCVLKSISISYTLRPKSWKFKIAVNGISPLHGPPFALGIHQTLYSSKPPPINTSLLLLYLLPFLSLPHTLYSNSSFSLSLSFFFFFSDINSITVTTITA >Vigun03g396200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60269448:60271725:1 gene:Vigun03g396200.v1.2 transcript:Vigun03g396200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVEHVVLFKVKDGVAPSETDTMLKRIRSLASLEHLLQPTVGPLLRIRTTTSFDFTLFYHARFKSKHDLHAYATHPTHLAVIEANSPLVENTMALDWVPEVPGGAVLPAGSALRVTFLKLKQDGGDGVKEEILGAIREIQRELKEAIEVSCGENFSAGRANGFSIASLEVFPGLSELEEADSNEEIGLYEKNDKIAKHLERVMVLYYVVP >Vigun03g396200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60269448:60271725:1 gene:Vigun03g396200.v1.2 transcript:Vigun03g396200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVEHVVLFKVKDGVAPSETDTMLKRIRSLASLEHLLQPTVGPLLRIRTTTSFDFTLFYHARFKSKHDLHAYATHPTHLAVIEANSPLVENTMALDWVPEVPGGAVLPAGSALRVTFLKLKQDGGDGVKEEILGAIREIQRELKEAIEVSCGENFSAGRANGFSIASLEVFPGLSELEEADSNEEIGLYEKNDKIAKHLERVMVLYYVVP >Vigun03g396200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:60269450:60271725:1 gene:Vigun03g396200.v1.2 transcript:Vigun03g396200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVEHVVLFKVKDGVAPSETDTMLKRIRSLASLEHLLQPTVGPLLRIRTTTSFDFTLFYHARFKSKHDLHAYATHPTHLAVIEANSPLVENTMALDWVPEVPGGAVLPAGSALRVTFLKLKQDGGDGVKEEILGAIREIQRELKEAIEVSCGENFSAGRANGFSIASLEVFPGLSELEEADSNEEIGLYEKNDKIAKHLERVMVLYYVVP >Vigun11g184600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:38637907:38638185:-1 gene:Vigun11g184600.v1.2 transcript:Vigun11g184600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLSGIRNASFTTNQASSKGADVAKGYLAVYVGEKMKQFVIPVSYLNQSSFQDLLSHVVEEFGYDHPMGGLTFHCREDLFLDITSRLMSC >Vigun09g103000.1.v1.2 pep primary_assembly:ASM411807v1:9:17911066:17912936:-1 gene:Vigun09g103000.v1.2 transcript:Vigun09g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFISSGPSESKNYPGKLTVRVFVACFVAAFGGLIFGYDLGISGGVTSMDPFLKKFFPEVYAKENNIKPSDNQYCKFDSQTLTLFTSSLYLAALVASVLASTVTQLTGRRAIMFCGGMLFLAGALLNGFAQHVWMLIVGRILLGFEIGCANQVAPYKYRGALNMMFQLAITIGIFVANVLNYIFAKMENGEGWRYSLGCAIVPAIMIMFGAIILPDSPSSLIERGLDEKAKKELIKIRGTTDIDQEFEDLMAASESSKAVKHPWVSLLKRQYRPQLTFAIAIPFFQQLTGMNVIMFYAPILFKTIGFGATASLMSAMIIGACNAIATLVSIFTVDKFRRRTLFLEGGAQMLICQILIAMAIGLKFGIDGNPGVLPKWYAIMVVCGICIYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFVIAQIFTTMLCHMKFGLFMFFACFVFAMTIFIFKLLPETKGVPIEEMHIVWQSHPYWKKFVTPNASPESVC >Vigun02g057300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:20163185:20165701:1 gene:Vigun02g057300.v1.2 transcript:Vigun02g057300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREETGAARDLQKPFLHTGILYKMGSWQSSSMGSSTQVIREGVVSVLFCILIVALGPIQFGFTCGYSSPTQWAIVRDLKLSVEQFDDRRKTKVLSQVAIVQ >Vigun02g005100.1.v1.2 pep primary_assembly:ASM411807v1:2:2450963:2452597:-1 gene:Vigun02g005100.v1.2 transcript:Vigun02g005100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKTSLFLCCFGSSHAFESSPTKPSTIPVSNIKKKKRKNKTTTTSCFSWLRIRFTKKSSHKTVPFEASIHSQHAHYSKVKSKSTLPHKPQSPATTNPPPSTQPPATPYYTPTQTRHGLKNNAEDTRQQGRGSPAQAKRQARRLPSTAVRMQTTVKKARNDGVLGMSVVVVTLVIMIFWGRLCAILCTSVWLYCAPRLGKIGGLNDDGNPKTTSSNEVDLDSEEYKKKVIMEGLLGRNHRAVL >Vigun09g030400.1.v1.2 pep primary_assembly:ASM411807v1:9:2536575:2542881:1 gene:Vigun09g030400.v1.2 transcript:Vigun09g030400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENWRHSFLYLAFVLAILHLTQNFLSHFFIGNETVRIKKNPNLPLRFSSDGTFKILQVADMHYGSGSLTRCRDVLPSEFEFCSDLNTTRFLKRIIQAENPDFIAFTGDNIFGSSAPDAAESLFRAFGPAIESGLPWAAVLGNHDQESTMNREELMSLISLMDYSVSKINPSDDDPTKGGLKTKIDGFGNYDLRVYGAPGSMLANSTVLNLFFLDSGDRAVYQGIRTYGWIKESQLHWLRRVSQEFQGQNQDSLHSTDGISTINPPALAFFHIPIPEIPELFYKEIVGQFQEAVACSRVNSGVLQTFVSMGDVKAVFIGHDHTNDFCGNLDGIWFCYGGGFGYHGYGKAGWPRRARIIQAELEKGKNSWMGVQRILTWKRLDDEKLSKIDEQILWQHWTFY >Vigun05g294300.1.v1.2 pep primary_assembly:ASM411807v1:5:47999332:48005060:1 gene:Vigun05g294300.v1.2 transcript:Vigun05g294300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEVDNLKIGGGNFLTKIVHNIEETVGFGKGVTKLYATIDLEKARVGRTRILEKEVKNPRWYESFHIYCAHMASNIIFTVKDDNPIGATLIGRAYVPVEEVLDGEEIDRWVEILDEHKNPIHGNSKIHVKLQYFDISKDRNWALGIRSPKFPGVPYTFFSQRRGCKVSLYQDAHVPDNFVPKIPLSGGQTYQPHRCWEDVFDAITKAQHLIYITGWSVYTEISLVRDSRRPKPGGDETLGELLKKKASEGVRVLMLVWDDRTSVPLLKKDGLMATHDQETEEFFRGTEVHCVLCPRNPDDGGSIVQDLEISTMFTHHQKIVVVDSELPSGESEKRRIVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNFGGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRDLEDVIIPPSPVTYPDDRETWNVQLFRSIDGGAAFGFPETPEEAARVGLVSGKDNIIDRSIQDAYVNAIRRAKNFIYIENQYFLGSCYGWSPDGIKPEDIGALHLIPKELSLKIVSKIEAGERFSVYVVVPMWPEGVPESASVQAILDWQRRTMDMMYKDVVQALQAKGIEENPRNYLTFFCLGNREVKKEGEYEPPERPDADTDYIRAQEARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLITSEPARGQIHGFRMSLWYEHLGMLHDSFQFPETTECIRKVNQISDKYWDLYSSETLEHDLPGHLLRYPIGVSSEGTVTELPGFEFFPDTKARVLGDKVDYLPPILTT >Vigun11g050900.1.v1.2 pep primary_assembly:ASM411807v1:11:8595528:8598144:1 gene:Vigun11g050900.v1.2 transcript:Vigun11g050900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSIFVVWLMLLHCWIATSEAKYLKYKDPKQPLNVRIKDLMDRMTLEEKIGQMTQIERKVASAEIMKNYYIGSVLSGGGSVPKPQASAEDWMNMVNDLQKGSLSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPKLVRRIGAATALEIRATGVQYAFAPCIAVCRDPRWGRCYESYSEDHRVVQAMTEIIPGLQGEIPANSPKGVPFVAGKRKVIASAKHYVGDGGTIKGINENNTVISRHGLLSIHMPAYYNAIAKGVSTIMVSYSSWNGEKMHANRNLITDFLKNSLRFRGFVISDWKGIDKITTPTHANYTYSIYAAITAGVDMVMVPLNYTEFIDGLTLLVESNAIPMSRIDDAVRRILRVKFVMGLFENPLADHSLVHHLGKKKHRDLAREAVRKSLVLLKNGENPNQPLLPLPKRASKILVAGSHADNLGYQCGGWTIEWQGVTGNNVTKGTTILSAIKNTVHKDTEVVYKENPDLDYVKSNNFSYAIVVVGEKPYAETNGDSLNLTISTPGPETINNVCGGVKCVTVIISGRPVVIQPYVDKIEALVAAWLPGTEGEGVIDVLFGDYGFMGKLPRTWFKNVDQLPMNIGDSHYDPLFPFGFGLKTKPHKAN >Vigun03g361700.4.v1.2 pep primary_assembly:ASM411807v1:3:56527271:56533399:-1 gene:Vigun03g361700.v1.2 transcript:Vigun03g361700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYFLCEEKATVRIQTQEFELGSDHSASSVSSPVPSDSSNSSNGDQSNHHSSSLQLVSDTEVDHYQDNTNRRRKDTLAKVEELQVKFFRLLQRLGQPQENLLVAKVLYRMHLATLIRTKESDLKRVNHSSSRAREIASEQEAIGMPQLDFSCRILVLGKTGVGKSATINSIFGQAKTTTGAFQPATNRIQEVVGNVNGLNITFIDTPGFLPSTTNNMKRNKRIMLSIRRFIRKSPPDIVLYFERLDFINAGYIDFPLLKLVTEVFGSAIWFNTIVVMTHSSSAIPEGPDGYTINYESYISHCTNIIQQHIQQAVFDSRLENPVLLVENHSQCPKNITGEKILPNGQIWRSQLLFFCICTKVLGDVNSLLKFQNTVELGSSSSTRIPSMPHLLSSLLRHHPISNLSGIDDEIGEILLYDNEEDEYDQLPPIRVLTKSQFEMLSEPLKKDYLDEMDYRETLFLKKQLKEDYRKRKEKLLSTEQKFLNSDNPDDQQAPPEPVLLPDMAVPPNFDSDCHSHRYRCLISDDQWLVRPVLDPQGWDHDVGFDGVNLETTTEIKKNVYASVVGQMNKSKQDFSIQSECTAAYVDPWGPTYSMGVDVQSTGKDFMCTVRSNTKLKNIKHNIADCGVSLTSFAKKYYFGAKLEDTVFVGKRLKFVLNAGRMEGAGQMAYGGSFEANLRGEDYPVRNENVSLTMTVLSFNKETVLSGSLQSEFRLSRTSRASISGNLNSRKMGQVCIKISSSEHLQIASVAIFSILKFLSRRKPTRKVVKEVMD >Vigun03g361700.1.v1.2 pep primary_assembly:ASM411807v1:3:56527271:56533399:-1 gene:Vigun03g361700.v1.2 transcript:Vigun03g361700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRDWVFSQILSKSVVSPSSLSGGNSFYAEEHRIQNENFNEQGSDHSASSVSSPVPSDSSNSSNGDQSNHHSSSLQLVSDTEVDHYQDNTNRRRKDTLAKVEELQVKFFRLLQRLGQPQENLLVAKVLYRMHLATLIRTKESDLKRVNHSSSRAREIASEQEAIGMPQLDFSCRILVLGKTGVGKSATINSIFGQAKTTTGAFQPATNRIQEVVGNVNGLNITFIDTPGFLPSTTNNMKRNKRIMLSIRRFIRKSPPDIVLYFERLDFINAGYIDFPLLKLVTEVFGSAIWFNTIVVMTHSSSAIPEGPDGYTINYESYISHCTNIIQQHIQQAVFDSRLENPVLLVENHSQCPKNITGEKILPNGQIWRSQLLFFCICTKVLGDVNSLLKFQNTVELGSSSSTRIPSMPHLLSSLLRHHPISNLSGIDDEIGEILLYDNEEDEYDQLPPIRVLTKSQFEMLSEPLKKDYLDEMDYRETLFLKKQLKEDYRKRKEKLLSTEQKFLNSDNPDDQQAPPEPVLLPDMAVPPNFDSDCHSHRYRCLISDDQWLVRPVLDPQGWDHDVGFDGVNLETTTEIKKNVYASVVGQMNKSKQDFSIQSECTAAYVDPWGPTYSMGVDVQSTGKDFMCTVRSNTKLKNIKHNIADCGVSLTSFAKKYYFGAKLEDTVFVGKRLKFVLNAGRMEGAGQMAYGGSFEANLRGEDYPVRNENVSLTMTVLSFNKETVLSGSLQSEFRLSRTSRASISGNLNSRKMGQVCIKISSSEHLQIASVAIFSILKFLSRRKPTRKVVKEVMD >Vigun03g361700.3.v1.2 pep primary_assembly:ASM411807v1:3:56527271:56533399:-1 gene:Vigun03g361700.v1.2 transcript:Vigun03g361700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYFLCEEKATVRIQTQEFELGSDHSASSVSSPVPSDSSNSSNGDQSNHHSSSLQLVSDTEVDHYQDNTNRRRKDTLAKVEELQVKFFRLLQRLGQPQENLLVAKVLYRMHLATLIRTKESDLKRVNHSSSRAREIASEQEAIGMPQLDFSCRILVLGKTGVGKSATINSIFGQAKTTTGAFQPATNRIQEVVGNVNGLNITFIDTPGFLPSTTNNMKRNKRIMLSIRRFIRKSPPDIVLYFERLDFINAGYIDFPLLKLVTEVFGSAIWFNTIVVMTHSSSAIPEGPDGYTINYESYISHCTNIIQQHIQQAVFDSRLENPVLLVENHSQCPKNITGEKILPNGQIWRSQLLFFCICTKVLGDVNSLLKFQNTVELGSSSSTRIPSMPHLLSSLLRHHPISNLSGIDDEIGEILLYDNEEDEYDQLPPIRVLTKSQFEMLSEPLKKDYLDEMDYRETLFLKKQLKEDYRKRKEKLLSTEQKFLNSDNPDDQQAPPEPVLLPDMAVPPNFDSDCHSHRYRCLISDDQWLVRPVLDPQGWDHDVGFDGVNLETTTEIKKNVYASVVGQMNKSKQDFSIQSECTAAYVDPWGPTYSMGVDVQSTGKDFMCTVRSNTKLKNIKHNIADCGVSLTSFAKKYYFGAKLEDTVFVGKRLKFVLNAGRMEGAGQMAYGGSFEANLRGEDYPVRNENVSLTMTVLSFNKETVLSGSLQSEFRLSRTSRASISGNLNSRKMGQVCIKISSSEHLQIASVAIFSILKFLSRRKPTRKVVKEVMD >Vigun03g361700.2.v1.2 pep primary_assembly:ASM411807v1:3:56527271:56533399:-1 gene:Vigun03g361700.v1.2 transcript:Vigun03g361700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRDWVFSQILSKSVVSPSSLSGGNSFYAEEHRIQNENFNEQDHSASSVSSPVPSDSSNSSNGDQSNHHSSSLQLVSDTEVDHYQDNTNRRRKDTLAKVEELQVKFFRLLQRLGQPQENLLVAKVLYRMHLATLIRTKESDLKRVNHSSSRAREIASEQEAIGMPQLDFSCRILVLGKTGVGKSATINSIFGQAKTTTGAFQPATNRIQEVVGNVNGLNITFIDTPGFLPSTTNNMKRNKRIMLSIRRFIRKSPPDIVLYFERLDFINAGYIDFPLLKLVTEVFGSAIWFNTIVVMTHSSSAIPEGPDGYTINYESYISHCTNIIQQHIQQAVFDSRLENPVLLVENHSQCPKNITGEKILPNGQIWRSQLLFFCICTKVLGDVNSLLKFQNTVELGSSSSTRIPSMPHLLSSLLRHHPISNLSGIDDEIGEILLYDNEEDEYDQLPPIRVLTKSQFEMLSEPLKKDYLDEMDYRETLFLKKQLKEDYRKRKEKLLSTEQKFLNSDNPDDQQAPPEPVLLPDMAVPPNFDSDCHSHRYRCLISDDQWLVRPVLDPQGWDHDVGFDGVNLETTTEIKKNVYASVVGQMNKSKQDFSIQSECTAAYVDPWGPTYSMGVDVQSTGKDFMCTVRSNTKLKNIKHNIADCGVSLTSFAKKYYFGAKLEDTVFVGKRLKFVLNAGRMEGAGQMAYGGSFEANLRGEDYPVRNENVSLTMTVLSFNKETVLSGSLQSEFRLSRTSRASISGNLNSRKMGQVCIKISSSEHLQIASVAIFSILKFLSRRKPTRKVVKEVMD >Vigun02g146100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:29418353:29419999:1 gene:Vigun02g146100.v1.2 transcript:Vigun02g146100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESELKSIFLPFLSTSHIIPLVDMARLFAMNGVDVTIITTPQNATVFQKSVDLDSARGRPIRTHLVTFPAAQVGLPPGIEAFNVDTPREMTPRIYMALAILQPRFEQLFHDLRPDFIVTDMFHPWTAEAAAKLRIPRIMFHGASYLARSAAHVVEQYAPHLAAKSDTDRFVLPGLPDRLEMTRLQLPDWLRSPNQYTELMKTIKESEKKSYGSLFNSFYDLESGYYEHYKGVMGTKSWGIGPVSLWANQDASDKAARGYAKEEEGEEGWHEWLNTKEESSVLYVSFGSMNKFPYSQLVEIARALEDSGKDFIWVVRKNGEGGEGEKFLEEFEKRMKESKKGYLIWGWAPQLLILENPAIGGLVSHCGWNTVVESVNAGLPMVTWPLFAEHFFNEKLVVDVLKIGVPVGAKEWRNWNEFGSEIVKGVDIGNAIGAMMKEKSEELRKRAKTLSDAAKRAIMDGGSSHNNMKELIQELIQIKHSKAQETTTTP >Vigun05g093000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8982130:8983635:-1 gene:Vigun05g093000.v1.2 transcript:Vigun05g093000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMDYEAQQRLNVTFLPYPTPGHMNPMIDAARLFARHGVNVTIIATPANALTFQNAIDTDFTHGYHIRTKLLPFPAAQVGLPEGLENIKDGTSLELLSRIRRGISMLKGHIELLFQDLHPDCIVSDMCYPWTVESAAELGIPRIFFYSSSYLADCATHSIMRHRPHERLVSDTDKFTIPDFPHRIEMTPLQLANWQRVREQVSYYFEEMFESERRSYGALYNSFHELESEYEQLHERIVGIKSWSIGPVSAWANKDDGQKINKEHKDELPKEPEWLTWLNTEQNDSVIYVNFGSLTRLHHAQLVELAHGLENSGHTFIWVIRKKDGNEKDNSFLQEFEDKMKESKKGFIIWNWAPQKLILNHPAIGGIVSHCGWNSLLESLSAGLPVITWPMFAEQFYNERLVVDVLKVGVPVGAKENKFWAFSDKDDMVGRKEIAEAVLQLLEKEEGREMRMRARKLSGASKKTIEKGGHSYNNLIQLIDELKSLKISKATEKTNINI >VigunL037500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:314639:314779:-1 gene:VigunL037500.v1.2 transcript:VigunL037500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMKQLIRNTRQPIRNVTKSPALRGCPQRRGTCTRVYVRLVQLKY >Vigun03g397200.1.v1.2 pep primary_assembly:ASM411807v1:3:60367807:60370004:1 gene:Vigun03g397200.v1.2 transcript:Vigun03g397200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEISTLFSPYNMGKFNLSHRVVLAPMTRCRALNGIPNAAHTEYYAQRSTPAGFLITEGTSISPTSSGFPHVPGIYTDEQVEAWRSVVDAVHAKGSIIFCQLWHVGRASNPVYQPGGAAPISSTSKPISEKWKVFMPDGSRGVFSVPHALTTAEISEVVEHYRQSAINAIRAGFDGIEIHGAHGYLIDQFLKDAINDRTDEYGGSLENRCRFLMQVVEAVVSAIGAERVAVRISPAIDHMDAFDSDPLGLGLAVIERLNKLQKEKGTKLAYLHVTQPRFTLLERTVQDREEDSVDLMQKWRKGYEGTLMCSGGFTRDSGMKAVAQGLADLVSFGRLFISNPDLVSKLKLNAPLTNYNRNTFYTSDPVIGYTDYPFLHKQTHMN >Vigun04g157000.1.v1.2 pep primary_assembly:ASM411807v1:4:37898570:37903525:1 gene:Vigun04g157000.v1.2 transcript:Vigun04g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAVQTQGHKYDQHHRNPQNIGSQGVAAHGDEHNHEKKTVLKKVKQKAKKIKDSITKHGHHDHERGHEYHYEDQHIPDDHDLDEEDDEDVDPVHGAPIYDSAAVRGAEAIGVNLGGIAVMGGEPHQDPRVVVVSPTTGVNQSGATEPTMAFVEVHKVVHTKVNLEGQIHLEEDPHAPRSAPQAHSPPNHQTKVKDPTGAGGAEIDITPDKTFSRMTILYEPNQEQPNLFPTVPETHHHPSAGSHSHIAPQLSSATNYPSTQSRGQYFPELSGEVKTEYPKSHGHFTPELSTPTKTHYPEAQSHDQFLPQQSSATKTPYPSSGSHDQFTPVSSTGHNIQHPSTKFHGQHLPQQSSTTKTHYPSSGSHDQFTPVSSPNIQHPSTKIHDQHLPQQFSTTKTQYPSSGSHDQFSPVSSTTGTNIQHPSTNFHDQHLPQHSSATKAQYPSSGSHDQFTLVSSTGPNIQHPSTNFHDQHLPQQSSATKTQYPSSGSHDQFTPVSSTGPNIQHPSTNFHDQHLPQQSSATKPQYPSSGSHDQFAPVSSTGPNNQHPSTKFHDQHLPQQFSATKTQYPSSGSHDQFTPVSSTGPNIQHPSTKIHDQHLPQQFNPTKSQYPSSGSHDQFTPVSSTGPHIQHPSTKIDDQHLPQQFSPTKTPYPSARSHDQFTPMSSTEPSLQHPSTKTHDQHLSQQFDETKHQYPSTGRHVQFTPEFSTEPNVQSHYTNTHDQYPSSVNHDQYPSTTIHDRNLPQQSSPVAKNTHYPSSGSHDQFLPEFSTQTRTPQSYNTTRMEESQYRPMEKPSNDSSYTDKISSATASIADTAVTAKNAVASKLGYGHGNETEATRAKMQENISNEEPSTVSLATAAIADKAVAAKNTVASKLGYGADTETTQTKYQQQNTSSEKPSTISSATTAIADKAVNAKNTVASKLGYGPETTHREEEKPSTISAATSAIADKAVTAKNTVASKLGYGPETTRREEEKPSTISAATSAIADKAVTAKNTVASKLGYGPETTQQEEQKPSAISSATSAISDTAVSAKNTIADTAVSAKNTVASKLGYGADTETVQAKHHEEKPSTVSAATSAIADKAASAKNTVASKLGYGANTDTTQTKNYQENTSHEQPSTISLATSAVADKAISAKNTVASKLGFGDRTTAQEEKRREHAAAPTEYGKSVAQSLTENLGPVYGKSGVKSNVSGENASVVVEQDKGVSMRDYLADKLRPTPEDRALSEVISETLHKKEPMEVTEEENKGVKKVISDAVHKREDDPERRLDQQIPVWKVTESEEVKRRLGSDEETERRYQEMYVKSPGTGVVDKLKGMVGSLITNPVENQSLQEKDSSTTNYGTEVEHINQGGTQRRLQESSN >Vigun02g154850.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:30132685:30133789:-1 gene:Vigun02g154850.v1.2 transcript:Vigun02g154850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding KRKGEFCVLRKKKGTKRKPKKARHRPKNAFDLPHGQNKTHFSSLLFSSLIYHSLPILSHASFFFFSLRDNNNEEKKKTLLHTSFSFSPSAHQHQHRHQQHKLTFPPSHAAPIRAEVV >Vigun06g222500.1.v1.2 pep primary_assembly:ASM411807v1:6:33150208:33152078:-1 gene:Vigun06g222500.v1.2 transcript:Vigun06g222500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIAERKPHAVLVPFPLQGHINPLFKLAKLLHLRGFHITFVHTEYNHKRYLKSRGPNALQGLPDFRFETIPDGLPPLDDDADGDVSQPVPSLCDSIRKNFLQPFRELLARLNHSATTGLNPPVTCLVSDCFMSFPIQAAEELSLPIILLSPVSAGAFLCFMHFRYLVDKGIVPLKDESYLTNGYLDTTKVECIPGLKNFRMRDLPSFVKTADPNDFMLEYFIEVAARVPTVSAIVFNTFDELERDAMNALSSMLPSLYTIGPFPSFLDQSPNNHLASLGSNLWNEDPKCLEWLESQEPRSVVYVNFGSITVMSADQLLEFAWGLANSKKPFLWIIRPDLVIGGSVILSSDFVNETRDRSLIAGWCPQEQVLNHPSIGGFLTHCGWNSITESVCAGVPMLCWPFFADQPTNCRFVCNEWEIGIEIDTNVKREEVENLVNELMVGEKGKKMREKTMDFKKKAEEGTRPGGRSYINLDKVIEDVLLKQTSFD >Vigun09g108651.1.v1.2 pep primary_assembly:ASM411807v1:9:22831954:22832284:-1 gene:Vigun09g108651.v1.2 transcript:Vigun09g108651.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVLSFGLLLTALRSRKARSWRYGCVADYLSLSFWDEIGESDNDRDNMLLQLEQECLDIYHRRVKETRKHKADIY >Vigun01g178500.1.v1.2 pep primary_assembly:ASM411807v1:1:35940069:35943211:1 gene:Vigun01g178500.v1.2 transcript:Vigun01g178500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSASLISSNPNILFSPKFPSSSSFSSISFPNSSNSLFKPLRTSLNPSSRALKPFVAKASSELPLVGNTAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQQNPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >Vigun09g258800.1.v1.2 pep primary_assembly:ASM411807v1:9:42419010:42422183:-1 gene:Vigun09g258800.v1.2 transcript:Vigun09g258800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTSRLDRLPAVALCRDRCKFLDEALRQSYALADAHVAHMEALRTLGPALLCFFDGFDDFDETNVPNKETKVSVEKSQPPPRSPSSLSSDSDDTHGHVLLHSESETEETEKEEFQSFTHARYDYAPFSPPRSGGYFGSKPPSPPPPSGSAWDFLNFFEPYEKYHVPYIPRGGDADAAEKEKVKVVKRDVNKLKAENGEARKNKKNGDLKEKKVVLEKEEAVSVSVSEQCAKVKSGKGFSEAVKEIQILFERASESGNPILELLDVGKLRYHRKFDLNPVSCKMMHVFTPSSPLGAKCMESQLLVRRMGSESDGVCSYGNLSSTLKKLCMWERKLYHEVKAEEKLRMLHQKKCKQLRRMKQKDADAQKIDSVQTFIGILATKMKISIQVVDKISITISKLREEELWPQINRFILTFLGMWRDMQECYKCQYQQIVEANTLDASSLSRKHSNDHIDATMKLKSEIQKWNLSFLDWIKAQKSHVKALNDWLVRCLLYEPEEVPDDSTPFSPSKIGAPPVFVVCNKWSRAVDNLSEKNVIESVNGFMLRLNELLEKHILELQQKLTLDKELEKKVKMLDREEQKMHKVVRAREKQMVREDFDALLRGDAVHHADIVDTTNLQSGLKQIFCAMERFTDTTARLYEELCQQIKQEDHVLGESNKNN >Vigun08g151500.1.v1.2 pep primary_assembly:ASM411807v1:8:32427015:32430417:-1 gene:Vigun08g151500.v1.2 transcript:Vigun08g151500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFENANVGKCYQTAESLVLLVKQCGSTKTVQQVHTQMVVNSIHNHHNHLLSKAIQVKNFTYASLIFSHMNPHPNDYAFNIMIRALTTTWHNYPLALTLFYRMKSLSVTPDNFTFPFFFLSCANLAEVSHARVAHSLLFKLGLHSDPHSAHSLITTYARCGRPACARKVFDEIPHRDLVSWNSMIAGYAKAGCAREAVEVFGEMGRRDGFEPDEMSLVSVLGACGELGDLELGRWVEGFVVERGMALNSFVGSALISMYAKCGDLGSARRIFDSMATRDVITWNAVISGYAQNGMADEAISLFHAMKDDSVKANKITLTAVLSACATIGALDLGKQIDEHASQRGFQHDIFVATALIDMYAKCGSLESAQRVFKEMPQKNEASWNAMISALASHGKAKEALSLFQRMSDEGGGARPNDITFVGLLSACVHAGLVAEGHRLFDMMSTLFRLVPKIEHYSCMVDLLARAGHLYEAWDLIEKMPEKPDKVTLGALLGACRRNKNVDIGERVMRMILEVDPSNSGNYIISSKIYANLNMWEDSARMRLLMRQKGITKTPGCSWIEIENHLHEFHAGDGLCLDSIDISNIIFLLYEELKREGYVPKVVE >Vigun09g126200.1.v1.2 pep primary_assembly:ASM411807v1:9:27978054:27981909:-1 gene:Vigun09g126200.v1.2 transcript:Vigun09g126200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNPYLVVILIQAIYAAMFLLSKAAFDHGMNNFIFVFYRQAIATIFLTPFTFFFEWKTAPPMPFSTFCKIFFLSFFGITLSLDVYGIGLIYTSATLAAATTNCLPAITFFLALLLRIESLKIKTTSGIAKSIGIVACLGGAATLAFYKGPSLKFLSHFHLLDYHKGLQHQGHAQSGAWIKGCFIMLLSNTFFGLWLVLQAFIIKGYPSKLLFTTIQCFLSSIQSLVIALAVERDFEQWKLGWNIRLVAVIYCGIMVTGVTYYLQTWVIEKKGPVFLAMSTPLALIITILASATIFGEIISLGSVLGGFVLILGLYSVLWGKSREHMPKGTQDVEQQASAK >Vigun10g113800.1.v1.2 pep primary_assembly:ASM411807v1:10:31612144:31615274:-1 gene:Vigun10g113800.v1.2 transcript:Vigun10g113800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIFCDEVPKTSENFLALCGSGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILAMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGRVIHGFEVLDLMEKTQTGAGDRPLAEIRLNRVTIHANPLAG >Vigun03g006200.2.v1.2 pep primary_assembly:ASM411807v1:3:429769:440197:1 gene:Vigun03g006200.v1.2 transcript:Vigun03g006200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIRSLQLESAEDNNGVVNPEDGRPEAVEKSDKMDEDPKQEVEEQAQQPEPEPIVKDKEIPPVQEEEEEPEATKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDEPTVQWSKERYEEIESKMVPFLKQSGYNVKKDVLFLPISGLVGANMKTRVDKSVCPWWNGPCLFEALDAIEVPPRDPKGPFRMPIIDKFKDMGTVIMGKVESGSVREGDSLLVMPNKDQVKVVAIYIDEDRVKRAGPGENLRIRLAGVEEEDIVTGFVLSSVANPIPAVTEFVAQLVILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDTKTRKPLKKKVLFVKNGAVVVCRVQVNNSICIENFSDFPQLGRFTLRTEGKTVAVGKVTGL >Vigun03g006200.1.v1.2 pep primary_assembly:ASM411807v1:3:429954:440197:1 gene:Vigun03g006200.v1.2 transcript:Vigun03g006200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIRSLQLESAEDNNGVVNPEDGRPEAVEKSDKMDEDPKQEVEEQAQQPEPEPIVKDKEIPPVQEEEEEPEATKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDEPTVQWSKERYEEIESKMVPFLKQSGYNVKKDVLFLPISGLVGANMKTRVDKSVCPWWNGPCLFEALDAIEVPPRDPKGPFRMPIIDKFKDMGTVIMGKVESGSVREGDSLLVMPNKDQVKVVAIYIDEDRVKRAGPGENLRIRLAGVEEEDIVTGFVLSSVANPIPAVTEFVAQLVILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDTKTRKPLKKKVLFVKNGAVVVCRVQVNNSICIENFSDFPQLGRFTLRTEGKTVAVGKVTGL >Vigun06g136600.1.v1.2 pep primary_assembly:ASM411807v1:6:26261371:26264541:-1 gene:Vigun06g136600.v1.2 transcript:Vigun06g136600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLATMMLQLPSLIIVIMLITLPMYALPYSSQENIIAKPGCSSRCGGVDISYPFGMKDPKCYAGKWFEVECRETSNGQKPFIKSLNLEVMAISMNWVYIMNPIFRWNCPSRRAKPEVINLRGSPFVYSQKFNRFVSLGCNNLAVLHSNGSNVACCVSICDNSDEVNNYFDFQREDSCSGRYCCDTSLPKYLLEYNATLHDFNTENNNTVSQRCSYAFITHYSYRLYDYGLNDKDYIPAMLEWEILDNTLDNSTHQFPSESDYATCSRSNVGSSQNTSSAWRCNCLNGFYGNPYVAGGCTAIPGYYNHSQAKKWAIVGVSSSLGSVIFLLGLWLLYKVVRKRVIEKRKQKFFKKNGGLLLQQRMSSNEANVDQAILFSLDDLEKATNNFNMDRVVGKGGQGTVYKGMLVDGRIVAVKKFKVEGNVEEFINEFVILSQINNRNVVRLLGCCLETEIPLLVYEFIPNGNLFEYLHDQKKELLPMTWEMCLRIATEIAGALFYLHSVASKPIYHRDIKSTNILLDEKYRAKIADFGTSRVISVDATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGQKPISPASSGEFKSLASYFVECLEEDNLFEIIDKRVVKEAEKGEIIAVANLASRCLELNGKKRPTMKEITFELEGIHGLIRKSNAEKKGDQVEVARVLDWHPWDGYSASNSWDSKVIPTVQ >Vigun11g094900.2.v1.2 pep primary_assembly:ASM411807v1:11:27725026:27726710:-1 gene:Vigun11g094900.v1.2 transcript:Vigun11g094900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKSLVLALFLLLGLSPLIAAANGGAPPQELWCVAKNNAEDASLQAALDWACGAGGADCGPIQSGGPCYDPSSVQNTASFAFNDYFLKHGMTDDSCNFNNNAAVTSLNPSFGNCKFHSGMVGSNGSFSGSAPSSSVGLGPSEDLSGCRKVSWGWWLWPLMFMVSVFGS >Vigun11g094900.1.v1.2 pep primary_assembly:ASM411807v1:11:27724751:27726710:-1 gene:Vigun11g094900.v1.2 transcript:Vigun11g094900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKSLVLALFLLLGLSPLIAAANGGAPPQELWCVAKNNAEDASLQAALDWACGAGGADCGPIQSGGPCYDPSSVQNTASFAFNDYFLKHGMTDDSCNFNNNAAVTSLNPSFGNCKFHSGMVGSNGSFSGSAPSSSVGLGPSEDLSGCRKVSWGWWLWPLMFMVSVFGS >Vigun08g097800.1.v1.2 pep primary_assembly:ASM411807v1:8:23698938:23703586:-1 gene:Vigun08g097800.v1.2 transcript:Vigun08g097800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYLAESLGRETKITHPNMTTCVNLKIWLLNLLTLSTVLSFSNGKPSTKFRVKAVNLGGWLVTEGWMKPSLFDGIPNKDFLDGTGVQFKSVTTGKYLCAESGGGTILVANRTVASGWETFRLWRINENTFRLRVFNKQFVGLDGVKVVAVSNDSIASATFHIVKESESSSRVRLKACNGYFLQAKSEEVVTADVSKVSAWEDDDPTIFVITIAARLQGEFQVTNGYGPTKAPQVMKDHWSTFIVENDFKFMRSKGLNGARIPVGWWIASDPAPPWPYVGGSLHALDNAFFWAQKYGLKIIIDLHAAPGSQNGFQHSGSRDGSLEWGTTDESINQTIYVIDFLSARYAKNPSFYAVELLNEPLSPGVTIEMLNKYYKAGYEAVRRHSCTAYVILSSRLGPSDSKELFPLANDMMRSVIDVHYYNIFDDVFENMNAQQNIDFIYTNRSSQLNNITISNGNVPLIFVGEWVADWRVKNATKEDFQRFSKAQIDVYGRATFGWAYWALKNANKFWNLEWMINNGYVKI >Vigun09g190300.1.v1.2 pep primary_assembly:ASM411807v1:9:36499199:36509647:-1 gene:Vigun09g190300.v1.2 transcript:Vigun09g190300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHFAVLSCCRPLLVPSLSRASSLRSRICCCTTLTATSTETPTSTSVKKRVVSGVQPTGSIHLGNYLGAIKNWVALQNVYETLFFIVDLHAITLPYDTQQLSKATRSTAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSTTPIGWLNKMIQFKEKSRKAGDEEVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRDLAERVNNLYGGRKWKKLRGRGGTIFKVPEPLIPPAGARIMSLTDGLSKMSKSAPSDQSRINILDPKDLIANKIKRCKTDSFPSLEFDNSERPECNNLLSIYQLVSGKTKEEVVQEIQNMNWGTFKTILTDALIDHLHPIQVRYEEIMSDSGYLDEVLAQGARNAAEIADTTLDNVYQAMGFFRRQ >Vigun02g143600.1.v1.2 pep primary_assembly:ASM411807v1:2:29181969:29183096:-1 gene:Vigun02g143600.v1.2 transcript:Vigun02g143600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPMSESDVHAQPPPFLLQRPPLLQSAPPLFKQRSWSPDVYRDEAWLRRKGNWKNRRSKSVTDEDVDELKACIELGFGFESSPEVELDHRLSDTLPALGLYYAVNKHYNESLVPKTTPSSSVASDCEGTPSPHGSPHSTIFTTGDNPQTVKTRLKQWAQVVGCAVRQSSS >Vigun03g002500.1.v1.2 pep primary_assembly:ASM411807v1:3:182152:185124:-1 gene:Vigun03g002500.v1.2 transcript:Vigun03g002500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMARPERTRRNKSLETLMDSDKPGIGRNVNQLGPQKFTPGYGLEFSNISYSVIKKQKKDGVWINKETYLLHDISGQAVKGEIMAVMGPSGAGKSTFLDALAGRIARGSLEGSVRIDGKPITTSYMKMVSSYVMQDDQLFPMLTVFETFMFAAEVRLPPSISRAEKKKRVYELLDQLGLQSATHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIARGGSVVLMTIHQPSFRIQMLLDQITVLARGRLIYMGKPDALQAHMSRFGRPVPDGENSIEYLLDVISEYDQATVGLDPLIQFQRDGLKPDPAAMTPVPKTPRTSFRRNTPASKHLISLRSQGFTAGTQQPHSAQFSYRDEDDDDDNFDNSLERNSVPTPRNMTSGVHPRLASQFYKDFSAKDFSIWLYHGVVGTPRRQPSWTPARTPGWTPGKTPMSGPRSAVSYQYSAAYRYPYRPQTPAVDGQSMDYSETSYAPSLEGFEIEEVVDEPVLGSKYANPWLREVAVLSWRTALNVIRTPELFLSREIVLTVMALILSSIFGNLSHHSFKDINRLLNFYIFAVCLVFFSSNDAVPSFIMERFIFIRETSHNAYRASSYVISSLIVYLPFFAVQGLTFAAITREMLRLKSSLWYFWLTLYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKQTQIPIYWRWLHYVSAIKYPFEALLTNEFKNLDCYTGNKAELSPGPLGDLKPSKHHNSSLPDNCLLGVDILSSMDIKMQNIWYDILILLGWGVLYRLFFYLVLRFYSKNERK >Vigun03g436800.1.v1.2 pep primary_assembly:ASM411807v1:3:64064184:64064679:-1 gene:Vigun03g436800.v1.2 transcript:Vigun03g436800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGFSHPLSSCSCGSHNHSVSSPGIVSGSVSGTPHCNCGEIAVLRVARTAKNCGKQFWGCPHYKRSVGEDFKACNYFKWWTEDNGDERDATIARQSQRIRQREKDLIDSEKWLMYLFRIIGLLGLIVIVLSICIVKS >Vigun01g105200.1.v1.2 pep primary_assembly:ASM411807v1:1:27610810:27613152:1 gene:Vigun01g105200.v1.2 transcript:Vigun01g105200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFAMDNSHLLQFLPNTTTTTAATTTNNNPFFHTAPHTTNIMHHHQTLSNNSSSNNFYPFHVSQITPTPSHHDRALAAMKNHKEAEKRRRERINSHLDELRTLLPCNSKTDKASLLAKVVQRVKELKQQTSEITELETVPSETDEITVLSTGGDYGSDGRLVFKASLCCEDRSDLIPDLIEILNSLHLKTLKAEMATLGGRTRNVLVVAADKEHSIESINFLQNSLKSLLDRSNSSDRSKRRRGLDRRLMS >Vigun03g319700.1.v1.2 pep primary_assembly:ASM411807v1:3:51403503:51407762:-1 gene:Vigun03g319700.v1.2 transcript:Vigun03g319700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSLYLLRVLLHTQKIYLSLSLSHLLHQSQTPPLQPSTPSLVLLKDHNFCLLEEAADIMDFDFRASFHSVKHLSAVQAARLHSDNYSVIHYTDVDNDGLCNFRCPFCDFEIEVPLLCTNLEEDHCYALKNVVCPVCEENLGKDAIVQFTHSNSRKWAWKPEKSSIWSGNTAMLGKKLATRGNKQESITDPLLTPFICNPIPNSNNLHPDENSSSSSKDINSPDAKRSGKDEADVGDEQDQQEKRLRAAFVQQLFFSTILE >Vigun07g114300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21172514:21174388:-1 gene:Vigun07g114300.v1.2 transcript:Vigun07g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSPFIFFFFFLSLPFSSLSATCPLNFTVLGKTKPSSFDSSRCQVISQALRLVQSEYLRRSGFFLAPSNASDSCWQSFQSYVNHFDPTYNIRSSCGYQTSWISQGCINVTTRQEFEALVPQTALQTLVKNCNQSLENNAPCALCTSSFSGIPHLGRSVGNLTSCTDYSSIYAAAFANSLGPSDTGTRKCLFALEFPSAGSSASKRRKLLFGLVSVVCVLVFCLLALGFWAYRKFEKKAARDRDVRVAETVLVSGLDSMDQSTTLIRFTFDDIKKATKNFSRDNIVGRGGYGNVYKGLLPDGSEVAFKRFKNCSAAGDASFTHEVEVIASVRHVNLVALRGYCSVTTRLEGYQRIIVCDMVKNGSLHDHLFGSNGVKLSWPIRQQIALGTARGLAYLHYGAQPAIIHRDIKASNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSYGVVLLELLSGRKALQMNNDGQPSALTDWAWSLVRTGKALDVIEDGMPQPGSPQVLEKYVLIAVLCSHPQLYARPTMDQVVKMMETDESVPSIPERPIPFVAGRLDIDRSVSSSGSGQLSSPTGYQAYTLESDSQVTNSREERSSSSRVLCTD >Vigun05g148100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21221018:21225922:-1 gene:Vigun05g148100.v1.2 transcript:Vigun05g148100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSFRFLVRFSKPHHHPHLSTHCSPLSSLRAPPCSPHYHHPHIDERLVLDQISHLFPIPNSKSQNPVSKPLEPPQPDAKPVDAFLLLEDKLRGVFLQKLKGKAAIETALSNVCVDVDVNVLGKVLNNGNLSGESMVTFFNWAVKQPGVPNEVGSYHVIVKALGRRKFFVFMMSVLCDMRKRGIDGDLLMLSIVIDSFVRAGHVSRAIQMFGSLDDLGVRRDTEALNVLLSCLCHRSHVGAANSVLNSMRGKVCFDVGTYNMVAGGWSKIGKVGEVERIMREMEADGVGPDCRTFGFLMESLGRVGRMDEAVEVFCAMREKNCQPDTAAYNAMIFNFVSVGDFEECMKYYNMMLSDNCEPDLDTFVIIITAFLRVRKVADALQMFDEMLRRGVVPSIGTITAFIKRLCSYGPPYAALVIYKKARKSGCVISMEAYKILLMRLSKVGKCGTLLSIWEEMQECGYSSDLEVYEYIICGLCNVGQLENAVLVMEEALGKGFCPSRLVYSKLSNKLLATKKTEMAYKLFLKIKHARSLDNARNYWRSNGWHF >Vigun05g148100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21222541:21225922:-1 gene:Vigun05g148100.v1.2 transcript:Vigun05g148100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSFRFLVRFSKPHHHPHLSTHCSPLSSLRAPPCSPHYHHPHIDERLVLDQISHLFPIPNSKSQNPVSKPLEPPQPDAKPVDAFLLLEDKLRGVFLQKLKGKAAIETALSNVCVDVDVNVLGKVLNNGNLSGESMVTFFNWAVKQPGVPNEVGSYHVIVKALGRRKFFVFMMSVLCDMRKRGIDGDLLMLSIVIDSFVRAGHVSRAIQMFGSLDDLGVRRDTEALNVLLSCLCHRSHVGAANSVLNSMRGKVCFDVGTYNMVAGGWSKIGKVGEVERIMREMEADGVGPDCRTFGFLMESLGRVGRMDEAVEVFCAMREKNCQPDTAAYNAMIFNFVSVGDFEECMKYYNMMLSDNCEPDLDTFVIIITAFLRVRKVADALQMFDEMLRRGVVPSIGTITAFIKRLCSYGPPYAALVIYKKARKSGCVISMEAYKILLMRLSKVGKCGTLLSIWEEMQECGYSSDLEVYEYIICGLCNVGQLENAVLVMEEALGKGFCPSRLVYSKLSNKLLATKKTEMAYKLFLKIKHARSLDNARNYWRSNGWHF >Vigun05g148100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:21222541:21225922:-1 gene:Vigun05g148100.v1.2 transcript:Vigun05g148100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSFRFLVRFSKPHHHPHLSTHCSPLSSLRAPPCSPHYHHPHIDERLVLDQISHLFPIPNSKSQNPVSKPLEPPQPDAKPVDAFLLLEDKLRGVFLQKLKGKAAIETALSNVCVDVDVNVLGKVLNNGNLSGESMVTFFNWAVKQPGVPNEVGSYHVIVKALGRRKFFVFMMSVLCDMRKRGIDGDLLMLSIVIDSFVRAGHVSRAIQMFGSLDDLGVRRDTEALNVLLSCLCHRSHVGAANSVLNSMRGKVCFDVGTYNMVAGGWSKIGKVGEVERIMREMEADGVGPDCRTFGFLMESLGRVGRMDEAVEVFCAMREKNCQPDTAAYNAMIFNFVSVGDFEECMKYYNMMLSDNCEPDLDTFVIIITAFLRVRKVADALQMFDEMLRRGVVPSIGTITAFIKRLCSYGPPYAALVIYKKARKSGCVISMEAYKILLMRLSKVGKCGTLLSIWEEMQECGYSSDLEVYEYIICGLCNVGQLENAVLVMEEALGKGFCPSRLVYSKLSNKLLATKKTEMAYKLFLKIKHARSLDNARNYWRSNGWHF >Vigun02g132300.1.v1.2 pep primary_assembly:ASM411807v1:2:28318976:28322603:-1 gene:Vigun02g132300.v1.2 transcript:Vigun02g132300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVKRKTCSIVAATWLVLCILTMICSVSLADRMLKEKETGNDAKKERQGVLKAIANFLWEDGKSSYEPVWPNMKFGWRIIVGSIIGFSGAALGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGASISTVYYNLRLRHPTLDMPLIDYDLALIFQPMLMLGISIGVICNVMFADWMVTVLLIILFVATSSKATYKGIDTWKKETIAKKEASKLLQQAEPEPGDDYKSIPSGPTDSLFEEAPLLKNIYWKELSLLVYVWVAFFIVQIVKEYSKPCSIQFWVVNFLQVPIAISVTLFEAIGLYKGTRVIASKGKEITHWKIHQICLYCSTGIMAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLDRFPVPYASYFALVATLAAFTGQHVVRKIIVVLGRASIIIFILALTIFISALSLGGVGIENIIEKIEKHEYMGFEDLCASY >Vigun11g207900.1.v1.2 pep primary_assembly:ASM411807v1:11:40398883:40408379:1 gene:Vigun11g207900.v1.2 transcript:Vigun11g207900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MAPSRCVTDSMPPSTATPEVEENDFRPFFVLHKASSRRKDRTPTAQTKLCKTNELSSSPQSVKKLGGSITEECDLHLFQQLQIEAFDTVWAKIESTIKDVLRDLNASVFNNIQQWVLECFNTTKLLGQHTIAEATRSFPVLNNTTPGQLFTAFVVTRNIEFVDDILTFEELGHFLKSHGCHVAKLSSLEFSLKNGIAGCLKALLREFVGCVIDSADISILASWYREQVNYNKPLVLIINDLGRCSGSVLTDFILMLSEWIIKVPIIFIFGVATTVDTPRNILPSHALERLCPSSFMLGTPAERMDAVVEAVLVKHCSMFSIGHKVAVFLRNYFINQDGTITSFIRALKVACLLHFSMEPLSLINGRALAGDQKEGKFAVSPETLLKYIDELPLYARNQIADHHTKRSTAEGLSELVTTQKLWSTAVLCLYEAGKYRRVRLLDLFCEALSQDLYLSRGPDYHVGNERYCGLSSTSDPCQQYSIMQSGGYIGQIARNVRDLPAGMLYQLIENWEKLTADISEIHDKLKVLQSSVRCEDGKSPRKSSKDNPKKYASRISVNTDKDARMTNSQAIAFLDYLVGNFLKPIEGMPFHEILCFKNVEKLQLVLIGDPRRRIQVDLLEFHKILRCGCCSKNGNALLPSRHDSSIMYSLAQEHGDLINLHDWFQSFRTIVLQNKNKRKQNSKQSPQPKKRKAINGSVDQNEASIQARFCKAVTELQITGLVRMPSKRRPDFAQRIAFGL >Vigun09g258100.3.v1.2 pep primary_assembly:ASM411807v1:9:42371225:42376405:-1 gene:Vigun09g258100.v1.2 transcript:Vigun09g258100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASQFDPELAISHKFPDTTYSYTERDAALYALGVGVCLSDAVDADELKYVYHENGQEFIKVLPTFATLLIGNSGASSFNLPGLEYDPQLLLHGQQYIELYKPFPASSQIHNKISLAGLHDKGKAAILEIETKSFEKDSGDLLCMNRTTVFLRGAGGFSKSSKPFSYTNYPSNQTSAVKIPESKPFSVFEDRTQPSQALLYRLSGDYNPLHSDPIFAKVAGFSQPILHGLCSLGFAVRAIIKCICRGDQDLIKSISGRFLLHVYPGETLVTEMWLEGLRVIYRTKVKERTRTVLSGFVDLRGLTSSL >Vigun09g258100.2.v1.2 pep primary_assembly:ASM411807v1:9:42371223:42376405:-1 gene:Vigun09g258100.v1.2 transcript:Vigun09g258100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLMSLNMFTMKMVRSLSRYDPQLLLHGQQYIELYKPFPASSQIHNKISLAGLHDKGKAAILEIETKSFEKDSGDLLCMNRTTVFLRGAGGFSKSSKPFSYTNYPSNQTSAVKIPESKPFSVFEDRTQPSQALLYRLSGDYNPLHSDPIFAKVAGFSQPILHGLCSLGFAVRAIIKCICRGDQDLIKSISGRFLLHVYPGETLVTEMWLEGLRVIYRTKVKERTRTVLSGFVDLRGLTSSL >Vigun09g258100.1.v1.2 pep primary_assembly:ASM411807v1:9:42371192:42376405:-1 gene:Vigun09g258100.v1.2 transcript:Vigun09g258100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASQFDPELAISHKFPDTTYSYTERDAALYALGVGVCLSDAVDADELKYVYHENGQEFIKVLPTFATLLIGNSGASSFNLPGLEYDPQLLLHGQQYIELYKPFPASSQIHNKISLAGLHDKGKAAILEIETKSFEKDSGDLLCMNRTTVFLRGAGGFSKSSKPFSYTNYPSNQTSAVKIPESKPFSVFEDRTQPSQALLYRLSGDYNPLHSDPIFAKVAGFSQPILHGLCSLGFAVRAIIKCICRGDQDLIKSISGRFLLHVYPGETLVTEMWLEGLRVIYRTKVKERTRTVLSGFVDLRGLTSSL >VigunL087702.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000665.1:24357:30433:1 gene:VigunL087702.v1.2 transcript:VigunL087702.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNFFPNLQIFPHFLVPQPFSLGLSRTFPVATPLCRAFREWREYEEAVKRKDLAGALRFLKSLESERQEPLVDGSERFGPQRDWEVLDTCLNADDMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRKVTPDVLSYSTGLEVTKLAPKKWGLSDGSNIVLAAFLGAQISSYWPPFRRRILVHEAGHLVTAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDENLPITLLKADSMVQLLIGICLLLDPPLSTAEVFPQLLHCRWSVLQSYNLLKWHRAAHRAAVKALESGGSMSVIIRSIEETLYCKI >Vigun01g043450.1.v1.2 pep primary_assembly:ASM411807v1:1:6217729:6218204:1 gene:Vigun01g043450.v1.2 transcript:Vigun01g043450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSTIQNHSIPSGGSGSRCFAVTPICNYGKFTVLRTTRTNGSSDFVGCNYFKWCNEDVSDKRDQKDLKVLKKWVKLLIVYVFIFGIMNVILLSMILRIP >Vigun10g024000.1.v1.2 pep primary_assembly:ASM411807v1:10:2846046:2850682:-1 gene:Vigun10g024000.v1.2 transcript:Vigun10g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLITCSLILALILPCFGSNDASYNVMDFGAVGDGKTDDSQAFLKAWQGTCGAQGTPTLQIPSNYEFLLSALVLKGPCNATTILIKLEGKIVAPTKDSWAGNNKRSLISIINVKQLTLDGSGGFIDGCGSSWWPCKTCSRPSMLTFQYCNDLSVHDFTITNSPKSHIHVHYCEGVTFSRINVTAPGDSPNTDGIDVTYSKNVLIQDSTIQSGDDCIAISGGSSVVNVTGIACGPGHGISIGSLGKRNATVEGIYIRNCTLTKTKYGARIKTFPVGNGCAKQITFEEITLDQTRYPIFIDKHYGSYSLTNGEVEVSGVTFRGFQGTSFDGRAITLDCGQLGCYDIVLDQIDIVSSLPAKPACCSCKNAHGTVTSTVPNCSCLMP >Vigun09g065100.1.v1.2 pep primary_assembly:ASM411807v1:9:6879265:6882327:1 gene:Vigun09g065100.v1.2 transcript:Vigun09g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNKYIVTKHHIQDAPKEANFELKTETITLSIAPGSDHIIVKNLYISIDPYQLNRMKLSSPSQATISFAAPINPGQAIDGAVIGKVVASGNAKFQKDDLVLGVFTWAHYSLVKEGNILKKLESSEFPLPYYLGVLGFNGLSAYAGFFELCKPQKGEKVFVSAACGAVGNLVGQYAKLLGCYVVGCAGTHKKVELLKQELGFDEAFNYNQEKDLNSALKRYFPDGIDVYFDNVGGEMLEAAVGNMKIFGRVAVCGVIAEYTSGGRKAAPNMMDIVYKRINIRGFLAADFLNVFEDFYAKTSDYLRTGKLKIIEDISAGVESIPSAFVGLFKGDNIGKKVISLVEE >Vigun02g051450.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:19350772:19351387:1 gene:Vigun02g051450.v1.2 transcript:Vigun02g051450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVTCFLCMGCKLLLLKNFHQFLLSCIKCKFIERNEILFVFLGGNRVGPTIHVG >Vigun05g048900.1.v1.2 pep primary_assembly:ASM411807v1:5:4223495:4230220:1 gene:Vigun05g048900.v1.2 transcript:Vigun05g048900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIFLLRLILSFFLLFTFFLEAVHGTKKCYIVYLGTHSHASNPTSLDLQTATYSHYHLLASTLRSEEKAKEAIIYSYNRHINGFAALLEEEEAADIGKNPNVLSVFLSKKHELHTTRSWEFLGLHRNGKNSAWQKGSFGENTIIANIDTGVWPESESFSDKGYGPVPSKWRGGNVCQINKLPRSQTNLCNRKLIGARFFNKAFEAYNGELVPSLQTARDFMGHGTHTLSTAGGNFVGGASVFAVGNGTAKGGSPRARVAAYKVCWSLTDPAGCYGADVLAAIDQAIDDGVDVINLSVGGSYVVTPEGIFTDEVSIGAFHAISRNIVVVASAGNNGPTPGSAVNVAPWVFTIAASTIDRDFSSNLTINNNQQIEGASLFVNLPPNKAFSLILSTDAKLANATFRDAELCRRGTLDPAKVKGKIVRCIRDGKIKSVAEGNEALSSGAQGMILGNQKQNGKTTFGEPHVLSTVGTNKDHAGGQSDFFLTATDPIKSGATIRMSPARTLFGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILAAYSEFASASTLLTDNRRGFKFNVLQGTSMSCPHVAGIAGLLRTRYPSWSPAAIKSAIMTTATTLDNTNRPIQDAFAKTLATPFAYGSGHVQPDLAIDPGLVYDLGLTDYLNFLCASGYDQQLVSSLNFNRTFICSGTHSVTDLNYPSITLPNLGLKAVTITRTLTNVGTPSTYTASAQLPGYNITVVPNSLTFSKVGEKKTFKVTVKASSATKRRTYEFGELRWTDGKHIVRSPITVKRR >Vigun05g048900.2.v1.2 pep primary_assembly:ASM411807v1:5:4223495:4230220:1 gene:Vigun05g048900.v1.2 transcript:Vigun05g048900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIFLLRLILSFFLLFTFFLEAVHGTKKCYIVYLGTHSHASNPTSLDLQTATYSHYHLLASTLRSEEKAKEAIIYSYNRHINGFAALLEEEEAADIGKNPNVLSVFLSKKHELHTTRSWEFLGLHRNGKNSAWQKGSFGENTIIANIDTGVWPESESFSDKGYGPVPSKWRGGNVCQINKLPRSQTNLCNRKLIGARFFNKAFEAYNGELVPSLQTARDFMGHGTHTLSTAGGNFVGGASVFAVGNGTAKGGSPRARVAAYKVCWSLTDPAGCYGADVLAAIDQAIDDGVDVINLSVGGSYVVTPEGIFTDEVSIGAFHAISRNIVVVASAGNNGPTPGSAVNVAPWVFTIAASTIDRDFSSNLTINNNQQIEGASLFVNLPPNKAFSLILSTDAKLANATFRDAELCRRGTLDPAKVKGKIVRCIRDGKIKSVAEGNEALSSGAQGMILGNQKQNGKTTFGEPHVLSTVGTNKDHAGGQSDFFLTATSIFCRDPIKSGATIRMSPARTLFGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILAAYSEFASASTLLTDNRRGFKFNVLQGTSMSCPHVAGIAGLLRTRYPSWSPAAIKSAIMTTATTLDNTNRPIQDAFAKTLATPFAYGSGHVQPDLAIDPGLVYDLGLTDYLNFLCASGYDQQLVSSLNFNRTFICSGTHSVTDLNYPSITLPNLGLKAVTITRTLTNVGTPSTYTASAQLPGYNITVVPNSLTFSKVGEKKTFKVTVKASSATKRRTYEFGELRWTDGKHIVRSPITVKRR >Vigun09g212700.2.v1.2 pep primary_assembly:ASM411807v1:9:38689239:38693935:-1 gene:Vigun09g212700.v1.2 transcript:Vigun09g212700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDDWVRAAMTDETVVVQLLLRLKQTVSTKSHHHRPPLSWGVKQPRSRSRLTAAVSRCDAALSTRCSPTTPLSWSSAASPSATADGYEDSSRQHHAARSKATATSGYTGNSASTKRCRRKKTFAELKEEESSLLKERIYLKKEIATINANFEAERAKNESLKRMKLDIGSKSRKNPSSTSVEPQRMVAGQPHQRIVSAEALIRTTQDDTRSQASESRPNEIESTGEKFFLIPDLNMTPSDDVSCTDAQC >Vigun09g212700.1.v1.2 pep primary_assembly:ASM411807v1:9:38689239:38693678:-1 gene:Vigun09g212700.v1.2 transcript:Vigun09g212700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDDWVRAAMTDETVVVQLLLRLKQTVSTKSHHHRPPLSWGVKQPRSRSRLTAAVSRCDAALSTRCSPTTPLSWSSAASPSATADGYEDSSRQHHAARSKATATSGYTGNSASTKRCRRKKTFAELKEEESSLLKERIYLKKEIATINANFEAERAKNESLKRMKLDIGSKSRKNPSSTSVEPQRMVAGQPHQRIVSAEALIRTTQDDTRSQASESRPNEIESTGEKFFLIPDLNMTPSDDVSCTDAQC >Vigun09g236700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:40726349:40727725:-1 gene:Vigun09g236700.v1.2 transcript:Vigun09g236700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAVRRISECFVKPQLCNQICNLTPWDIIMLSTNYIQKGLLFKKPANLVHDHDFIQNLLDNLKHSLSLTLSHFYPLSGRFVTHKTQNPPSCTVSVDCKNSDGARFIHATLDMTIADILSPVDVPPIVQSFFDHHKAVNHDGHSMPLLSIQVTELVDGVFIGCSMNHSVGDGTAYWNFFNTWSQIFQAQSEAENHEYDVPISRQPIHNRWFPHNCSPPISLPFKHHEEFIWRSETPLLRERFFHFSAESIAKLKAKANSESNTTTISSFQSLSALVWRSITRARSPPSEQKTTCRLAANNRPRMKPPVVEEYFGNCVHAVSAETTTGELLENGIGWAAGKLHVAVAELTDRVVVEFLEGWLGSPFIIQMGRYFDPYSLMMGSSPRFNMYGCEFGMGKAVAARSGYANKFDGKVSAYPGREGGGSIDLEVCLLPHIMSALESDQEFISAVTVFDPLLS >Vigun03g380600.2.v1.2 pep primary_assembly:ASM411807v1:3:58517306:58529054:-1 gene:Vigun03g380600.v1.2 transcript:Vigun03g380600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDTGGVFSDTQYQPLEMAVSSTPDKPSRTVFLGVDVGTGSARAGLFDKKGKLLGLSSSPIQIWKDGACVEQSSTDIWLAVCAAVKAACSKAKVAPTEVQGLGFAATCSLVAVDSDSSPVSVSLSGDSRRNVIVWMDHRAVEQAERINSSKSPVLEYCGGAVSPEMEPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMHHVNDNHSRDMEACGWDDHFWEEIGLGDLIEGHHAKIGRSVAFPGHPLGSGLTPTAAKELGLEPGIPVGTSLIDAHAGGVGVIESVPPSEAEEHDKEAICNRMVLVCGTSTCHMAVSQKKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIESHAASTRLANRAASQDISVFELLNKMLETMIVEQNLSFVASLTEDVHVLPDFHGNRSPIADPKAKGVIYGLTLDTSEKQLALLYLAAVQGIAYGTRHIVEHCNANGHKINTLLACGGLSKNSVFMQEHADIIGHSSI >Vigun03g380600.1.v1.2 pep primary_assembly:ASM411807v1:3:58517306:58529054:-1 gene:Vigun03g380600.v1.2 transcript:Vigun03g380600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDTGGVFSDTQYQPLEMAVSSTPDKPSRTVFLGVDVGTGSARAGLFDKKGKLLGLSSSPIQIWKDGACVEQSSTDIWLAVCAAVKAACSKAKVAPTEVQGLGFAATCSLVAVDSDSSPVSVSLSGDSRRNVIVWMDHRAVEQAERINSSKSPVLEYCGGAVSPEMEPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMHHVNDNHSRDMEACGWDDHFWEEIGLGDLIEGHHAKIGRSVAFPGHPLGSGLTPTAAKELGLEPGIPVGTSLIDAHAGGVGVIESVPPSEAEEHDKEAICNRMVLVCGTSTCHMAVSQKKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIESHAASTRLANRAASQDISVFELLNKMLETMIVEQNLSFVASLTEDVHVLPDFHGNRSPIADPKAKGVIYGLTLDTSEKQLALLYLAAVQGIAYGTRHIVEHCNANGHKINTLLACGGLSKNSVFMQEHADIIGCPIILPRESESVLLGAAILGAVATRKCLNLKEAMKAMNAPGEVIHPSKDPKVKKYHDAKYKIFRGLYEQQLSYRSMIAQALS >Vigun11g157000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36582618:36585356:1 gene:Vigun11g157000.v1.2 transcript:Vigun11g157000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKLSKKEAGCRSQNSTSSTKSLFSRSCSTRGSSSNSPLLRSLSQKSSSTSKTTIPRSFSQKNPSIGKKCTSLAKEHKARFYIMRRCVAMLVCWHKHGDS >Vigun11g157000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:36582517:36583567:1 gene:Vigun11g157000.v1.2 transcript:Vigun11g157000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKLSKKEAGCRSQNSTSSTKSLFSRSCSTRGSSSNSPLLRSLSQKSSSTSKTTIPRSFSQKNPSIGKKCTSLAKEHKARFYIMRRCVAMLVCWHKHGDS >VigunL059914.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000655.1:76370:76570:1 gene:VigunL059914.v1.2 transcript:VigunL059914.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun01g023400.1.v1.2 pep primary_assembly:ASM411807v1:1:2538456:2546047:-1 gene:Vigun01g023400.v1.2 transcript:Vigun01g023400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEGEAGAKPMTALGGQVCQICGDNIGNNVDGNPFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGSADDGASDFNYNSENQNQKQKIAERMLGWQMAYGRGEEVGAPNYDKEVSHNHIPMLSGGQEVSGELSAASPERLSMASPGGRGKRVHNLQYSSDMNQSPNIRVGDPGLGNVAWKERVDGWKMKQDKNAAPMSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIALRLVILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVAKAQKIPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKNVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKPKHKKAGLLSSLCGGNRKKSSKSSKKGSDKKKSGKTADPTVPIFSLDDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTKVTGPDVEQCGINC >Vigun09g127300.1.v1.2 pep primary_assembly:ASM411807v1:9:28279353:28281061:1 gene:Vigun09g127300.v1.2 transcript:Vigun09g127300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNTHALSRLFPGLNREREMSAMVSALTHVVTGEVPTPTDSTFLHHHHAVTPHVPSSASTTPSLPSSSHYVPATSSHKRPREQDAPCFSQASSMPRSGECSNNSRSRITREMGNAVYEYKTTENVRGDEERRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVTLRQPQFSVSNSPTSLVSISTSTDPVVHTPTFLPSQGATDVYQYFQLSGFPTTLYDDRTLVTSSMASHLHSSSSSSSSSSAFVTSSQDTSLSSFYSSQIPPWSASAPSSSSSG >Vigun09g127300.2.v1.2 pep primary_assembly:ASM411807v1:9:28279421:28280914:1 gene:Vigun09g127300.v1.2 transcript:Vigun09g127300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNTHALSRLFPGLNREREMSAMVSALTHVVTGEVPTPTDSTFLHHHHAVTPHVPSSASTTPSLPSSSHYVPATSSHKRPREQDAPCFSQASSMPRSGECSNNSRSRITREMGNAVYEYKTTENVRGDEERRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVTLRQPQFSVSNSPTSLVSISTSTDPVVHTPTFLPSQGATDVYQYFQLSGFPTTLYDDRTLVTSSMASHLHSSSSSSSSSSAFVTSSQDTSLSSFYSSQIPPWSASAPSSSSSG >Vigun09g172082.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34194506:34195227:1 gene:Vigun09g172082.v1.2 transcript:Vigun09g172082.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQFGVSGFAFGVWVLGVGFRVSSYELRVTGFETRVSSVRFCVSGLGFRILGFRFQVSGLRVSGFRFWVSGFMFRMSDFSFGFLGFGFSGFEFPISGFGFQVTGFGFQVRVSNNGLEFRVSGFDFQILSFGFGVSSLGFRFWDFGLQVLGLGFRVSGFVF >Vigun08g152200.2.v1.2 pep primary_assembly:ASM411807v1:8:32471462:32472268:1 gene:Vigun08g152200.v1.2 transcript:Vigun08g152200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENFKVFVYKPNSAQLKFASTVESLFYSSLHNSTYLTQDPEEAHLFFLPFSSDLSTRALARVLSRIRNDFPYWNRTLGADHFYLSRVGIPLERDRNLVELKKNAVQISCFPTPNDKFVPHKDITLPPVPDPHDNETWRGEEFLCAMKHTNDASWIGEALRVGCVPVVVTEEPLNDMPFMDVLRCREMAVFVRSVGGVTRALGDTWRERHERMRKLGVAASTHFQWNQPPLPFDAFNTIMYQLWLRRHTVRYAMVQ >Vigun08g152200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:32471462:32472271:1 gene:Vigun08g152200.v1.2 transcript:Vigun08g152200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENFKVFVYKPNSAQLKFASTVESLFYSSLHNSTYLTQDPEEAHLFFLPFSSDLSTRALARVLSRIRNDFPYWNRTLGADHFYLSRVGIPLERDRNLVELKKNAVQISCFPTPNDKFVPHKDITLPPVPDPHVLLQRVPARSLVNDNETWRGEEFLCAMKHTNDASWIGEALRVGCVPVVVTEEPLNDMPFMDVLRCREMAVFVRSVGGVTRALGDTWRERHERMRKLGVAASTHFQWNQPPLPFDAFNTIMYQLWLRRHTVRYAMVQ >Vigun09g229200.2.v1.2 pep primary_assembly:ASM411807v1:9:40105679:40107660:-1 gene:Vigun09g229200.v1.2 transcript:Vigun09g229200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPKSGKRLAEFLKEQQDPFILDLYLLERGYSTTAQSANNRKREPLFQFSKLLTTLHKKLLFHNPTCILIRESHIIDQHVLDSVPRGAESSDQTIEDTDRFSFSTATNSTVYLSCSDTDEDGTALSPQKNKALFSPHTGIPQSQQTTDNEKQEQRCLEGDPVADCGRRISVTEEATLKRDFSGKEERRSNCCVFVPKKMTEDPVLSVALWSSVIQSAKREKCNKELGEVLGANANVCHVLKSKTLLHKLKQVVFYCVREISVNVWRKECREHQCLKESRGREELGKIICVRTRELGANEKNRITSLLSLDEWGEFKPQVRHICVEIADALLERFTQDIVAEMIQLYSAPKL >Vigun09g229200.1.v1.2 pep primary_assembly:ASM411807v1:9:40105683:40107554:-1 gene:Vigun09g229200.v1.2 transcript:Vigun09g229200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPKSGKRLAEFLKEQQDPFILDLYLLERGYSTTAQSANNRKREPLFQFSKLLTTLHKKLLFHNPTCILIRESHIIDQHVLDSVPRGAESSDQTIEDTDRFSFSTATNSTVYLSCSDTDEDGTALSPQKNKALFSPHTGIPQSQQTTDNEKQEQRCLEGDPVADCGRRTVSVTEEATLKRDFSGKEERRSNCCVFVPKKMTEDPVLSVALWSSVIQSAKREKCNKELGEVLGANANVCHVLKSKTLLHKLKQVVFYCVREISVNVWRKECREHQCLKESRGREELGKIICVRTRELGANEKNRITSLLSLDEWGEFKPQVRHICVEIADALLERFTQDIVAEMIQLYSAPKL >VigunL008600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000161.1:18295:18702:1 gene:VigunL008600.v1.2 transcript:VigunL008600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRGNASDILEEGGDDVKSAWPLWAGPHTCYNGNYNGKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGPVHTARHTLGIGFARSIRPMITHYFCVPLVPQRLLVVLLAHTTVGSSTGVKS >Vigun04g080101.1.v1.2 pep primary_assembly:ASM411807v1:4:11414907:11415177:1 gene:Vigun04g080101.v1.2 transcript:Vigun04g080101.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKFEITHQDGSRICWWLTSWLPLKFLDLFCRRKLDKLLGNLNITLHSIKDPR >Vigun09g069100.2.v1.2 pep primary_assembly:ASM411807v1:9:7386394:7396603:1 gene:Vigun09g069100.v1.2 transcript:Vigun09g069100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKLIKIQRLVLAENLIERLPVSLGKLQSLKLLNLDGNRITSLPDELDQLVRLERLSVSRNSLASLPMTIGSLRNLVLLNVSNNKLQSLPESVGSCASLEELQANDNLIEDLPSSVCNLSHLKSLCLDNNNVKQAPRNLLKDCKALQNISLHGNPISMDQLQQMEGFQEFEARRKKKFDKQIDSNVMIGSKGLDEGVDL >Vigun09g069100.1.v1.2 pep primary_assembly:ASM411807v1:9:7386394:7396602:1 gene:Vigun09g069100.v1.2 transcript:Vigun09g069100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKNGDTDRIARWRATGIVALRDSKLKTFPDEITKLDTSVRTLDLTHNRIVDIPMEINKLIKIQRLVLAENLIERLPVSLGKLQSLKLLNLDGNRITSLPDELDQLVRLERLSVSRNSLASLPMTIGSLRNLVLLNVSNNKLQSLPESVGSCASLEELQANDNLIEDLPSSVCNLSHLKSLCLDNNNVKQAPRNLLKDCKALQNISLHGNPISMDQLQQMEGFQEFEARRKKKFDKQIDSNVMIGSKGLDEGVDL >Vigun07g004300.1.v1.2 pep primary_assembly:ASM411807v1:7:349697:357118:-1 gene:Vigun07g004300.v1.2 transcript:Vigun07g004300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEGSGSEMSLKDQGNEFFKSGKYLKAAALYTQAIKQDPSNPTLYSNRAAALLQLDKLNKALDDAEMTIKLKPQWEKGYFRKGSILEAMKRYDDALAAFQIALQYNSQSQEVLKKIKRLNQLVKDSKRAQEVENMRSNVDVAKHLDTMKSEMAGKYGSEEGWKDLFSFLVETIETAVKSWHETSSVDARVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSNCFSFLRQYAEESFSKAACLVTPKSIIAYPQVWKGQGSRKWKHAHSDGFFAQFESPSLRKLLFIPSSNEKGQTLCRDPEVLDIGAHEVLPRLFKEKMPRS >Vigun07g004300.2.v1.2 pep primary_assembly:ASM411807v1:7:349697:356431:-1 gene:Vigun07g004300.v1.2 transcript:Vigun07g004300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLKPQWEKGYFRKGSILEAMKRYDDALAAFQIALQYNSQSQEVLKKIKRLNQLVKDSKRAQEVENMRSNVDVAKHLDTMKSEMAGKYGSEEGWKDLFSFLVETIETAVKSWHETSSVDARVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSNCFSFLRQYAEESFSKAACLVTPKSIIAYPQVWKGQGSRKWKHAHSDGFFAQFESPSLRKLLFIPSSNEKGQTLCRDPEVLDIGAHEVLPRLFKEKMPRS >Vigun08g129100.2.v1.2 pep primary_assembly:ASM411807v1:8:29985868:29989955:1 gene:Vigun08g129100.v1.2 transcript:Vigun08g129100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKIQSWLHQPKVWRFVCFCSSIVGLVCYAFSSFFNHLIGNWSWWKIFLYIVFSFLISLSTLFAKTWQYSNSRCLEAHTAFSILLITSIYSFFLDKDVKQRPDVYSLVSYVAFAIMSLGLSRLSQLGFEVDLLYFFCALLTVQLMKVKLWLVTVGGAFSYSLILLRSNLDPQPRNGYHGLRHQDHVVVEIGSHSQPQGVSHSVTPVNSPQSTIASSQPHPVIDMVWPSTGTTHTASRVVSTPEGGAGGVPQENIDDTKECFMSCIEALKKESESVISTIFMHVDKYLKANILSRDKISESLPVTDFDIVIDALPPGTVNELRETAKRMVAGGLGKECSNVYSSCRREFLEESVSRLGLMKLSIEDVEKMTWEDLKDEIEKWIKASNVALKILFPSERRLCDRVFFGFASVVDFTFMEVCRGSAIQLLDFANAVAVGSRSPEWLFSILDVFETLRDLIPEFEALFSDQLSISLRHEVITIWKRLGESIRGIFMGLENLVRRDPAKTAVPGGGLHPITRFVMNYLRAACRSRQSLEQAFEEYGLKEYPKLDDRAHSSCSLSEEMNWIMELLESNLEAKSKIYKDPALCYVFLMNNGRYIVQKAKDSELGTLLGDDWIRKHAAKVQQFHVHYQRSSWGRVLGILNLDSTVSLPPNALARSLKEKLKSFNTMFDYICKEQSSWFVFDEQLREEIRISREKILLPAYVNFIVRFQNVPEIGKDADKYIKYVTEDIHAKLNELCHESIVIDMVRPSRGTSRNSSKVVLPQEGGAGGAPEKALMVLRHASWVISVPELHADDNMVVDSLSPRMISNLRESVAKLVSDGFFEEECLDVYSSWRREFLKESLLTLGLQDQELNMEDINKTEKIERLIKAMNIAWRILFPNERTLFNRVTGSIPSRQFRFREICTELTTSLLNSALALETWSHFLRNTLKELIQEFESWRFTNIVAQLVRQRLSIYEAFEDVSAIPGGGIHPITLEVMMYCINSKLGQGLKDKTISPVWMDRMPELLESSLEANSKNYKNPLGYVFVLNNRRFIEVQAKLNGLGLFFGDDWLHKNTTKLQQNLELYLRSSWNKVVDFLKVDINQLEPSVATELMKDNLYWFYEHFDETCNIQSSWFVCDEELREQIIKSVENILLPAYGSFLGTFEEFLGKHAYDYIKYGLFEVQDQLSNLFLLKGSESLAGRKGKVKLVKYKEL >Vigun09g212900.2.v1.2 pep primary_assembly:ASM411807v1:9:38710601:38713230:-1 gene:Vigun09g212900.v1.2 transcript:Vigun09g212900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDRGEVLDPVHDKSSSHLVERVAIASDSISEEAGTSRRVDENSGSDKELKEEVKGQDKHGRENSSDKVPGVDQGTSCNSNHFVNQEVIESVIVIESIRTEYMNEDNRKLEVKVEESGLSLGSMKAAKEVTETDKSSCVIDIKCSSHKKLYDNSEGETICRICHLTSDQSPDVTIVGTATSATRVDLIQLGCACKDELGIAHVHCAEAWFKLKGNRLCEICGEPAKNVSGVSSNGFIEEWNERRFMDNDGNSSPRIVGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >Vigun09g212900.1.v1.2 pep primary_assembly:ASM411807v1:9:38710509:38713681:-1 gene:Vigun09g212900.v1.2 transcript:Vigun09g212900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDRGEVLDPVHDKSSSHLVERVAIASDSISEEAGTSRRVDENSGSDKELKEEVKGQDKHGRENSSDKVPGVDQGTSCNSNHFVNQEVIESVIVIESIRTEYMNEDNRKLEVKVEESGLSLGSMKAAKEVTETDKSSCVIDIKCSSHKKLYDNSEGETICRICHLTSDQSPDVTIVGTATSATRVDLIQLGCACKDELGIAHVHCAEAWFKLKGNRLCEICGEPAKNVSGVSSNGFIEEWNERRFMDNDGNSSPRIVGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >Vigun02g116500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26975064:26976233:1 gene:Vigun02g116500.v1.2 transcript:Vigun02g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFHVRSNSFPSGSHPNISRVEEELNKLKTWEATSTSTSNSIGTGLSLLSDLHICLEDLLNMASTQKLISNHYGEKSMEELMDCSVRILDICGITRDTMLQIKENVQALHSALRRRKGDSSIERIVAEYNFFSKKMKKNAKKLITTLKQMENKFGVSPVLEEDQQLVSLVRLLREVIVMNMSIFRSLLTFLTVPASKSKATKWLLVAKLMQKGVIACEEKQKSLNELRCVEASLSSLVSEGTNVATMKAANESLEALENGIESIENGLENVFRRMVKTRACLLNIMTQ >Vigun11g045400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:6804500:6805786:1 gene:Vigun11g045400.v1.2 transcript:Vigun11g045400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNELILTSSPDGPIMVYETVSRTAVTRFSSSRSPCRGLTTVGRRLLAASHVSSDTGAGSINIYNWHGSSVFRNFPVPEPIAPLTATPDGAFLFGGGISGSVLSISGSSGDVIRSIVVHSSPVSSLHLSDDGSLLVSGSEDGTVVVLPSFKIVVSGSFEENVEDLILHKWKAHSDSVTALKSVTGTLVSCSLDRTCKFWNLGNHGVLMQSVALPCSVSGVALDSSGSTFYAGGGDGFVYNGSVEACEVGKWGQSQSGSIVSLALVNEERNVVSAAEDGSVWMWDVEKGEVVMVFGDELITLSDMIVIKGNGGGFGVGKGHGVDGEGSGSFTASGLCDEEILKTLNQMTALGEVKDVVLQDRKKAIEMLESVIEMYERLLKLILKEATKAIEEEEKDDDEDKDDDEGDDDDDEKEHEKDDTKEEK >Vigun03g405400.2.v1.2 pep primary_assembly:ASM411807v1:3:61259662:61262062:-1 gene:Vigun03g405400.v1.2 transcript:Vigun03g405400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun03g405400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61259662:61262062:-1 gene:Vigun03g405400.v1.2 transcript:Vigun03g405400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun08g056300.1.v1.2 pep primary_assembly:ASM411807v1:8:7028957:7029721:-1 gene:Vigun08g056300.v1.2 transcript:Vigun08g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQDDLKLDSKVELYDSLYKKFEFFMDINIDGCFVLFSFAEFFKYYGLNSNFYVHFSYIGNNIFLYKIFLAEGTQILYERVSSELLNNDCNTSTGNDTQTVVGNGLDNENHNLTKKLTQYDVEASCLYLHSNFAKQFLDKDRKRYFITNETCRR >Vigun02g030000.2.v1.2 pep primary_assembly:ASM411807v1:2:12533463:12545544:1 gene:Vigun02g030000.v1.2 transcript:Vigun02g030000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNASRKRSRKRLALPPAELPPAKQPLTSNEEEFMNEDVKHVLAMQLSKWTRPSLSADYVAQSCSVVFQQLEIDYVIGESHMQLLPNLSGPAAIIRIFGVTKEGHSVCCNVHGFEPYFYIHCPPGMGSDDISHLQQILEERMREANRNSNAEKFIRCIEMVQKRSIMYYQQSDSQSFLKIVVALPTMVASCRGILDRGIQLDGLGMKTFLTYESSVPFSLRFMIDCKIVGGNWIEIPVGKYKKIVESMSYCQLEFDCLHSDLISHAPEGEYSKMAPFRILSFDIECAGRKGHFPVPSHDPVIQIANLVTLQGEDHPLIRNVMTLKSCSPIAGVDVMSFDTETEVLLAWRDFIREVDPDIVIGYNICKFDLPYLIERAAKLKIEEFPILGRIRSGRVRVKDSTFSSRQLGTRESKDVTIEGRVQFDLFQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLHYGTAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKARQKNLVIPNVKLVGSEQGTFEGATVLEARAGYYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDARKLNIPPEFVNRTPSGETFVKSNLQKGILPEILEELLTARKRAKADLKEAKDPLERAVLDGRQLALKVSANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLNGYEHNAEVIYGDTDSVMVRFGVSDVDQAMNLGREAAEFISGTFTKPIKLEFEKVYYPYLLISKKRYAGLLWTKPNDFDKMDTKGIETVRRDNCLLVKNLVNDCLHKILIDRDIPGAVQYVKNAISDLYKNRMDLSQLVITKGLTKSGDDYKVKAAHVELAEKMRKRDAATAPNVGDRVPYVIIKGARGAKAYEKSEDPIYVLENDIPIDYQYYVDNQISKPILRIFEPILKNARSELLHGSHTESIFISSSTPSNRGQSKLPFPKMLKCIGCKTVLGKDHHTVCSDCTERKAELYCKTVSQVSELEKLFGRLWTQCQECQGSPYEDVLCTSRDCPIFYRRKKAQKDLCKASSELDRWSF >Vigun07g208700.1.v1.2 pep primary_assembly:ASM411807v1:7:33019811:33023650:-1 gene:Vigun07g208700.v1.2 transcript:Vigun07g208700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSATKHNKNFAKPSSLNMETPPADPSPLRKIMAVASIAAGVQFGWALQLSLLTPYVQLLGIPHSWASFIWLCGPVSGMLVQPIVGYHSDRCTSRFGRRRPFIAAGALAVAIAVFLIGYAADLGHIFGDSLAKKVRPRAIAIFVVGFWILDVANNMLQGPCRALLADLSAGDHRKTRNANSFFSFFMAVGNVLGYAAGSYSGLHHVFPFTKTKACNVYCANLKSCFFLSIALLLSLATIALTYVKEEPVASEKNSASVVEEDGSHGGMPCFGQLFGAFRELKRPMWILLLVTCLNWIAWFPFLLFDTDWMGREVYGGSVGEGKAYDRGVRAGSLGLMLNSLVLGATSLGVDVLARGVGGVKRLWGIVNFLLAICLAMTVLVTKMAAHSRQYTDLPGGIHEPLPPPAGVKAGALALFSVLGIPLAITYSIPFALASIFSTTSGAGQGLSLGVLNLAIVVPQMVVSVISGQWDKLFGGGNLPAFVLGAVAAAASGILSVILLPSPPPDLAKAATAAGEGSINESMMFVFLSKKEDVRFFFFV >Vigun03g184200.1.v1.2 pep primary_assembly:ASM411807v1:3:23978879:23982016:1 gene:Vigun03g184200.v1.2 transcript:Vigun03g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRVVVTFLFFLVTLVPLVHMLPYGRNQLDINFYDSSCPNLLMIVRYGVWSALKGDSRMAASLLRLHFHDCIVNGCDASVLLDDTPYFTGEKNALPNHNSLRGFEVIDDIKQHVERLCPYTVSCADILALAAREAIDMVGGPSWPVALGRRDATTTSKEAAEQQIPSPIESLENITAKFYSKGLDLRDVVALSGGHTIGFAQCFTFKGRLFDYQGSGRPDPVLDSSLLTRLQSMCPNEDISNSNLAPLDATSSSTFDNEYYRNLIYNTGLLQSDQALTRDRRTAAMVYYYSNNRFSFYNDFAQSMVRLSNAGVLTGIMGEIRQKCGSVN >Vigun07g041000.1.v1.2 pep primary_assembly:ASM411807v1:7:4008658:4010305:-1 gene:Vigun07g041000.v1.2 transcript:Vigun07g041000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIAQNPNLSIEERFLQLQIASERPEVDSKPKIQRVAHQLVGDGKEHYEKHFTPKLVSLGPIHYGAPKLQAGEQYKQIWASKFISSNNQSPQFLFRKIAENFEALKELFAPDLFTGNHLFWNYSGQLFSSMEEMICWTLLVDACALLHILEHANLSRPEEMNVKVEQLILVVQDVLLLENQLPYPLLQLLGGGTNEVELIQTMNKFLIRCDEWAAANGYKRRMELEAPTHLLHLKRSIILYDSPPKHTTYVKTSTQDFSMTYRNIMELKAVGVEVKLSKTRSPRDVSFSHGRFFSILRLPEMVVDDATASFTLNLIAYEMCPDFDNDSGIRDYISFMKSLIETPNDVKALRSARVLMNALGSDQDVLHLFTTLSRDLVSNMGNYGGIMLQIEKHYRHKFLPTWIALTYLTYFRNPIAFLAATLGLILTFIQTWYSVHPKK >Vigun01g234300.1.v1.2 pep primary_assembly:ASM411807v1:1:40604696:40606693:1 gene:Vigun01g234300.v1.2 transcript:Vigun01g234300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHNPKPVVIITGCSTGGIGHALACAFAEKKCRVVATSRSRSSMAELEHDHRFLLEELDVQSDESVRRVVDAVVETYGRIDVLVNNAGIQCVGPLAEVPLSSIQNTFDTNVFGSLRMVQAVVPHMATRKKGKIVNIGSVAALASGPWSGTYTASKAALHALTDSLRLELGHFGIDVVNVLPGAIKSNIGDSAIASYNRMPEWKLFKPFEAAIRDRAYFSQKTKSTPTDEFAKNTVAAILKEKPPAWFTYGHYSTVMAIMYHLPISVRDFILKKAMKC >Vigun03g033200.2.v1.2 pep primary_assembly:ASM411807v1:3:2552225:2561560:1 gene:Vigun03g033200.v1.2 transcript:Vigun03g033200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCSLNQWAMDFDCNAKQIKESIAKAKEAGASIRLGPELEIPGYGCEDHFLELDTVNHSWECLKDLLLGDWTDGILCSFGMPVIKGSERYNCQVFCLNRKIVMIRPKMSLANDGNYRELRWFTAWKQRDQLEDFQLPFEISQALGQKSVPFGYGFMKFQDTAIAAEVCEELFTSNPPHSELALNGVEVIMNASGSHHQLRKLDYRVHALIGATDTRGGVYMYSNQQGCDGSRLYYDGCACVVVNGDVVAQGSQFSLKDVEVVVAQIDLDVVASLRGSLSSFQEQASCKTKVPSVDVPYSLCRPFNLKISLSLPLEIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYKDGQYPTDSREFAKRIFYTVFMGSENSSEMTKSRAKVLADEIGSWHLDVSIDVVVSAFLSLFQTLTGKRPQYKVDGGSSVENLSLQNIQARIRMVLAFMLASLLPWVHGKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAIHLGYPSLKDIEEAPPTAELEPQRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCYRWGAKLTPSQVAEKVKYFFKYHSINRHKMTVLTPSYHAESYSPDDNRFDLRQFLYNARWPYQFKKIDELVSELDVKDVKDYAANDTMAATSHGVSGMGVAAAGSGNPKAGF >Vigun03g033200.1.v1.2 pep primary_assembly:ASM411807v1:3:2552222:2561560:1 gene:Vigun03g033200.v1.2 transcript:Vigun03g033200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCSLNQWAMDFDCNAKQIKESIAKAKEAGASIRLGPELEIPGYGCEDHFLELDTVNHSWECLKDLLLGDWTDGILCSFGMPVIKGSERYNCQVFCLNRKIVMIRPKMSLANDGNYRELRWFTAWKQRDQLEDFQLPFEISQALGQKSVPFGYGFMKFQDTAIAAEVCEELFTSNPPHSELALNGVEVIMNASGSHHQLRKLDYRVHALIGATDTRGGVYMYSNQQGCDGSRLYYDGCACVVVNGDVVAQGSQFSLKDVEVVVAQIDLDVVASLRGSLSSFQEQASCKTKVPSVDVPYSLCRPFNLKISLSLPLEIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYKDGQYPTDSREFAKRIFYTVFMGSENSSEMTKSRAKVLADEIGSWHLDVSIDVVVSAFLSLFQTLTGKRPQYKVDGGSSVENLSLQNIQARIRMVLAFMLASLLPWVHGKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAIHLGYPSLKDIEEAPPTAELEPQRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCYRWGAKLTPSQVAEKVKYFFKYHSINRHKMTVLTPSYHAESYSPDDNRFDLRQFLYNARWPYQFKKIDELVSELDVKDVKDYAANDTMAATSHGVSGMGVAAAGSGNPKAGF >Vigun03g224600.1.v1.2 pep primary_assembly:ASM411807v1:3:37286254:37288650:1 gene:Vigun03g224600.v1.2 transcript:Vigun03g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLPSNEFSNFIVYDTISATPFSSHDSSETSFLESFVNCQEHNPSLNDSSMRTRKRQASEPPEAIGSRKQNVAGVQGRKKRRRRPRVCKNKEEAETQRITHITVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEARKLQLLQQEAVQTNENTAISKLMQPPFAQFFLYPQYTWSQSPNKYTSKTKAAIADIEVTLIETHANLRILTRKSPVQLTKLVSGFQTLYLTVLHLNVTTIDPLVFYSISAKVEEGFQLGSVDGIASAVHHLLARIEDEALLCC >Vigun04g091600.1.v1.2 pep primary_assembly:ASM411807v1:4:16895463:16895962:1 gene:Vigun04g091600.v1.2 transcript:Vigun04g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSLIFLKLILAVMFIILFSSGVSSKPVCQTRCIEFLDCVNNCRNLGYTRGICNDGLCCCSK >Vigun09g108100.1.v1.2 pep primary_assembly:ASM411807v1:9:22539889:22543662:-1 gene:Vigun09g108100.v1.2 transcript:Vigun09g108100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGTPARAGDQRRRRREHREVATGEGNNAVRVRDKERNRNTGDFPGTLPALERRKPRLDPCAVTQQGWPSWLMAVAGEAIGDWTPRRANTFEKLAKARDLVTGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVVKLEGLVTSRMSCSYIWCLSIWSMISQGLRLAKGQVKCFMKQLLSGLEHCHSRGVFWTGNLFDTKIKQAMTSRVVTLWYRPPELLLGATLYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKYKLPNATIFKPQQPYKRCISETFKDIRPSSLPLIETLLAIDPDDRGTASGALNSEFFTTEPYACEPSSLPKYPPSKELDAKGSKWKTSAVDGVKRGRARERGRAVPAPEANAEIQTNLDRWRVVTHANAKSKSEKFPPPHQDGAVGYLEDASNKGPVSLGAPDISFSSGIFNSKPSGPVRSHAGAGHHRGRKAKKEESQMASSWKFMRPFKPSTVGLSMDLLFRSK >Vigun03g119200.1.v1.2 pep primary_assembly:ASM411807v1:3:11104392:11104878:1 gene:Vigun03g119200.v1.2 transcript:Vigun03g119200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLAIMFFFVLVLSADVGVKIAEADCYKPSAHFKGPCFQSDNCNGQCTSEGHPGGECQGFIPRRCMCIC >Vigun09g085100.1.v1.2 pep primary_assembly:ASM411807v1:9:10887217:10888793:-1 gene:Vigun09g085100.v1.2 transcript:Vigun09g085100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLASTIDDSTKLDRKTIERNRRIHMKSLCHQLGSLIPPNLKPPKSKLMLGQQDQLDLAARYIKHMRERIEKLKRQKEQAMLNQSSDRKMLDKNVDTKLPILELRDLGSGIEVMLVSGLNKTFMLYEVISVLEEEGAEVVTASFSTVGDKLFYVVHAQVKISRVGVETTRVKDRLQEFIAPLEIWPEEDV >Vigun08g100550.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:24752031:24755664:1 gene:Vigun08g100550.v1.2 transcript:Vigun08g100550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFGHLLALTHLNLSNVAFSGVIPSKISHLSKLVSLDLSLSQGWMRIQPASLEKLIVNATHLRELTLDGLDMSLIKPRSLSLLLNFSSSLVSLGLSNTILQGKLPNNIFYLPNLQQLSLSWNLNLEGELSQLNPRSPLRLLDLGGNAFSGHIQFLSNLTQLKHLDLRQNKFSGEIPLSLSNLQHLTHIDLSYNNFTGPIPQCMDSISQLNYLYLRTNNFSGEIPSSLFDLQHLIYLDLSYNNFDGEIPNLFSKLSKLEGLDVTRNNLVGRLPSSLFELTQLSGLCLSKNKLVGEIPDKTSGLSNLELMDLSDNSLNGTIPHWCFSLSSLLNLRLCGNQLTGPIGEFSAFSLYDCDLSYNKLQGDIPESIFLHQNLSYLILSSNNLSGVLDFHKFSNLQRLKALDLSDNNFQSLGFTGGNVKSFPISLDPFNLVELDLSMNQIHGRIPEGFNHLADTLGYLDLSHNFLTSVGNLSISWKNIGYIDLRFNMLEGDIPVPPSTAKFFSISHNKVTGDISSSLCNASSLMILDLSHNNLTGKIPQCVGNLSKLLVLDLQKNNLRGIIPKSYLEIETLETMNFNGNHLEGPLPRPVIKCKRLRVLNLGENDIQDTFPSWLDSLQELRILVLRANRFNGTVNCFQSKNDTFSKLTVFDISNNNFRGNLPIAFIKNFKGMMINVHSVLQYIRPTESTYNDSIEVTMKGNNFELERILTTFTVVDLANNNFEGEIPTIIGDLKSLIGLNLSNNRLSGLIPQSLNGLENLEWLDLSSNMLTGEIRTALANLPFLSFLNLSQNQLEGKIPTGKQFNTFQSDSYQGNSGLCGLPLSKPCYWIDLATSQSQQEEQFGFGWKPVAIGYGCGGVFGMVLGYLTFFIRKPEWSIHLIQGILNQRVRKKSYNSNSNRRPHNKGR >Vigun06g160900.1.v1.2 pep primary_assembly:ASM411807v1:6:28314771:28319269:-1 gene:Vigun06g160900.v1.2 transcript:Vigun06g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEPAQKRSPPSTMSSSSSSLITTLADPINNPSHPPQLRPPRRRFPSHQLPEIGLKTPMVRPYVRSKMPRLRWTPDLHRCFVHAVQRLGGEDRATPKLVLQLMNVKGLTISHVKSHLQMYRSMRHEQMSEGARKNDVAPEFLASTFSTLGPRYTQQNPHQRSCTEALVPNHAQWNDCEEGCYSGKHGGKSINEEKMQSYIIFEGILSGQTVDENKTGPEKVSLGGATGYKSDHERFQDRTTTAIGGGTLSLSMTSRATSHSFFNRSHTGPDANDVSLELTLS >Vigun03g335200.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328042:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun03g335200.6.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328039:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun03g335200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328043:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun03g335200.7.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328039:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun03g335200.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328042:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun03g335200.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:53324689:53328042:-1 gene:Vigun03g335200.v1.2 transcript:Vigun03g335200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDLHHHHHHHRQNFPFQLLEKKEDQEAASCSTSSPYPSLAISAEPSTSNSTRSNQLAAPSEPPNSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIALSSDNNPTNTSTLLNFQSNNLNTSMLQAKPEAPSSTLDLSDTSAEESTLGRKRRPTEQDLSSSSQHQMGSYLLQSSAGTIPASHAANIWMVANSNTNQVMSGDPIWTFPPVNNSALYRGTMSSGLHFMNFPTPMALLPSQQLGSSGIGAVGGSSNNNSNNNGNNNMNEGHLSMLAGLSPYRPVIGVSESQASGSQSHRGGAADDRHDTSSHHS >Vigun09g110300.1.v1.2 pep primary_assembly:ASM411807v1:9:23768279:23771016:1 gene:Vigun09g110300.v1.2 transcript:Vigun09g110300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAFTASLFKWDPRTVLPAAPPSRPPPPLLDYAVAPPAVAPSYHPARAAPRELGGLEELFQAYGIRYYTAAKIAELGFTVSTLVDMKDEELDDMMNSLSQIFRWDLLVGERYGIKAAVRAERRRIDDEDIKRRTGNLLSADTTTTNALDALSQEGLSEEPVVQREKEAVGSGGGSTWEVVAAEERRKQQRRRRTRMKEQEEREDVEDEEGEENEEGNNNSGGGCERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLMQVQAIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEVSNELRRAFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLSIWYVPTKLRQLCHAERNSAAASSSVSVGSAHLPF >Vigun07g075700.1.v1.2 pep primary_assembly:ASM411807v1:7:9887963:9892819:-1 gene:Vigun07g075700.v1.2 transcript:Vigun07g075700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQLLRRTFGGRFLSNPRAFSSAASSTIRATLFPGDGIGPEIADSVKQIFEAADVPIEWEEHYVGTEIDPRTQSFLTWESLESVRRNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKSHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSGVSMLRHLNLNDKAEQIQNAILKTIAEGKYRTADLGGSSKTTEFTKAIIDHL >Vigun07g290900.5.v1.2 pep primary_assembly:ASM411807v1:7:40271774:40273556:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.10.v1.2 pep primary_assembly:ASM411807v1:7:40271685:40273434:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCSLSRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.3.v1.2 pep primary_assembly:ASM411807v1:7:40271686:40273556:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.7.v1.2 pep primary_assembly:ASM411807v1:7:40271720:40273410:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.8.v1.2 pep primary_assembly:ASM411807v1:7:40271954:40273266:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.4.v1.2 pep primary_assembly:ASM411807v1:7:40271685:40273556:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.9.v1.2 pep primary_assembly:ASM411807v1:7:40271686:40273435:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCSLSRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun07g290900.6.v1.2 pep primary_assembly:ASM411807v1:7:40271718:40273410:1 gene:Vigun07g290900.v1.2 transcript:Vigun07g290900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQNQNQNQNLPVVPQSGAAVKPFSIRQYVLASRHRNISQNWPFEEKHFQLCLKIGVKLEDLLPLIEPGKTSQENPVKGCSKMHSSNDDNSKETDSCNAEEPQDIDDQCNLKVINQFKRRRRKGKCKKRSMVDILAVARYSTSEEIHEMNKFCDAETVIEECQHDSMAEAVGEDSCRKGGSEDHVIM >Vigun10g159200.2.v1.2 pep primary_assembly:ASM411807v1:10:37835051:37837865:1 gene:Vigun10g159200.v1.2 transcript:Vigun10g159200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPAGPAGRSRRVTPDILWPNLRKRFSKPLLDDDFEAGFREFKDDSEIEDDDDEDEEEDLMMAGVKKPVGFSRPNKTSKSLSPGSTTVKSVESKGQAEKCAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFSTAEEAARAYDAEARRIRGKKAKVNFPDEPSAVSSKRLKVIPEENLKPKMNQLFNFGDNMEEYYNQVEQKPVVNQYVNPGSFTGNCVQFSPVTQSTDVTAYFSSEHSSNSFDYSDLGWGEQVPRTPEISSMLSATLEGESQFVQDQIQKKDSENMMPVQDDSAKTLSEELADIESQLKFFEAPLFDGSWGDASLASLLGTDATQDAGNPLNLWSFDDLPSMAGVF >Vigun10g159200.3.v1.2 pep primary_assembly:ASM411807v1:10:37835051:37837645:1 gene:Vigun10g159200.v1.2 transcript:Vigun10g159200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPAGPAGRSRRVTPDILWPNLRKRFSKPLLDDDFEAGFREFKDDSEIEDDDDEDEEEDLMMAGVKKPVGFSRPNKTSKSLSPGSTTVKSVESKGQAEKCAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFSTAEEAARAYDAEARRIRGKKAKVNFPDEPSAVSSKRLKVIPEENLKPKMNQLFNFGDNMEEYYNQVEQKPVVNQYVNPGSFTGNCVQFSPVTQSTDVTAYFSSEHSSNSFDYSDLGWGEQVPRTPEISSMLSATLEGESQFVQDQIQKKDSENMMPVQDDSAKTLSEELADIESQLKFFEAPLFDGSWGDASLASLLGTDATQDAGNPLNLWSFDDLPSMAGVF >Vigun10g159200.1.v1.2 pep primary_assembly:ASM411807v1:10:37834855:37838005:1 gene:Vigun10g159200.v1.2 transcript:Vigun10g159200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPAGPAGRSRRVTPDILWPNLRKRFSKPLLDDDFEAGFREFKDDSEIEDDDDEDEEEDLMMAGVKKPVGFSRPNKTSKSLSPGSTTVKSVESKGQAEKCAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFSTAEEAARAYDAEARRIRGKKAKVNFPDEPSAVSSKRLKVIPEENLKPKMNQLFNFGDNMEEYYNQVEQKPVVNQYVNPGSFTGNCVQFSPVTQSTDVTAYFSSEHSSNSFDYSDLGWGEQVPRTPEISSMLSATLEGESQFVQDQIQKKDSENMMPVQDDSAKTLSEELADIESQLKFFEAPLFDGSWGDASLASLLGTDATQDAGNPLNLWSFDDLPSMAGVF >Vigun09g178300.2.v1.2 pep primary_assembly:ASM411807v1:9:35067100:35071209:-1 gene:Vigun09g178300.v1.2 transcript:Vigun09g178300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERRGPMQQKRRTVPLGMLRRFLAASIFSIVVTSFFFVHVHVSPSPTHHNFSDKIPSFYDPQSWTRELVPPYLFKTPLSVPKSKDSRKESDYDKLWKPPPNHGFITCTKPTPNYTTPTRSRGYLSVHTNGGLNQMRSGICDMVAIARIINATLVIPELDKKSFWRDTSNFSDIFDDEWFISSLANDIKIIKKLPKKLVNATKIVMQFKSWSGMNYYENEIAALWNSFNIIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEKMGKTLVERMRSFGPYIALHLRYEKDMLAFSGCTHELSPVESEELRFIRENTTYWKRKYINPIEERSKGFCPLTPKEVGIFLTALGYPSKTPIYIAAGEIYGGEFHMADLRSRYPLLMNKEKLVSMEELQPFSSHGSQMAAIDYIVSVESDVFVHSYPGNMAKAVEGHRRVLGRGRTISPDRKGLVRLFDKLENGSMTEGKTLSNKIIDLHRRRLGFFRKRKGPVAGTKGLDRFRSEETFYANPLPGCLCRTESLSINASQYR >Vigun09g178300.3.v1.2 pep primary_assembly:ASM411807v1:9:35067099:35071336:-1 gene:Vigun09g178300.v1.2 transcript:Vigun09g178300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKNPTLRERRGPMQQKRRTVPLGMLRRFLAASIFSIVVTSFFFVHVHVSPSPTHHNFSDKIPSSKDSRKESDYDKLWKPPPNHGFITCTKPTPNYTTPTRSRGYLSVHTNGGLNQMRSGICDMVAIARIINATLVIPELDKKSFWRDTSNFSDIFDDEWFISSLANDIKIIKKLPKKLVNATKIVMQFKSWSGMNYYENEIAALWNSFNIIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEKMGKTLVERMRSFGPYIALHLRYEKDMLAFSGCTHELSPVESEELRFIRENTTYWKRKYINPIEERSKGFCPLTPKEVGIFLTALGYPSKTPIYIAAGEIYGGEFHMADLRSRYPLLMNKEKLVSMEELQPFSSHGSQMAAIDYIVSVESDVFVHSYPGNMAKAVEGHRRVLGRGRTISPDRKGLVRLFDKLENGSMTEGKTLSNKIIDLHRRRLGFFRKRKGPVAGTKGLDRFRSEETFYANPLPGCLCRTESLSINASQYR >Vigun09g178300.1.v1.2 pep primary_assembly:ASM411807v1:9:35067100:35071336:-1 gene:Vigun09g178300.v1.2 transcript:Vigun09g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKNPTLRERRGPMQQKRRTVPLGMLRRFLAASIFSIVVTSFFFVHVHVSPSPTHHNFSDKIPSFYDPQSWTRELVPPYLFKTPLSVPKSKDSRKESDYDKLWKPPPNHGFITCTKPTPNYTTPTRSRGYLSVHTNGGLNQMRSGICDMVAIARIINATLVIPELDKKSFWRDTSNFSDIFDDEWFISSLANDIKIIKKLPKKLVNATKIVMQFKSWSGMNYYENEIAALWNSFNIIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEKMGKTLVERMRSFGPYIALHLRYEKDMLAFSGCTHELSPVESEELRFIRENTTYWKRKYINPIEERSKGFCPLTPKEVGIFLTALGYPSKTPIYIAAGEIYGGEFHMADLRSRYPLLMNKEKLVSMEELQPFSSHGSQMAAIDYIVSVESDVFVHSYPGNMAKAVEGHRRVLGRGRTISPDRKGLVRLFDKLENGSMTEGKTLSNKIIDLHRRRLGFFRKRKGPVAGTKGLDRFRSEETFYANPLPGCLCRTESLSINASQYR >Vigun06g055300.1.v1.2 pep primary_assembly:ASM411807v1:6:18189664:18192721:1 gene:Vigun06g055300.v1.2 transcript:Vigun06g055300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLALTNPSSISHNAFSSSSSSFALRNCVSLNPRTRTCVTAPPRRRFASVRCCSFSTLESAKIKVVGVGGGGNNAVNRMIGCGLHGVEFYAVNTDAQALLHSAAENPIKIGELLTRGLGTGGNPLLGEQAAEESKDAIASALQGSDLVFVTAGMGGGTGSGAAPVVARIAKDAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDMADEQTPLQDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPRAAKLLDRVPEGQESKVASSPLKSSNYPTVGSRASPRKLFF >Vigun01g202300.4.v1.2 pep primary_assembly:ASM411807v1:1:37842867:37848656:1 gene:Vigun01g202300.v1.2 transcript:Vigun01g202300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMRQGNDVLHDEELKHDETTAPESTTNGEKKEKRQQKAKAERVPYHRLFLFADSTDIILMVVGTIGAIGNGLGMPLMAFLFGELIDSFGNNQFSPNVVKQVSKVCLRFVYLGIGTGVAAFLQVTCWTVTGERQATRIRGLYLKAILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGRFLQLVATFFGGFVIAFIKGWLLTVVMLSVVPLVAAAAAAMALIIGMMASRGQNAYAKASQVVEETIGSIRTVASFTGEKQAVSTYKKFLADAYKSGVHEGLVGGIGFAMVLFVMFCGYGLSVWFGAKMIMERGYGAGAVVNVFVAVLNASMSLGQASPSMNAFAEGQAAAYKMFQTIERKPEIDAYDTNGKRLEDIHGEIHLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNVKEFELRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATVEEIRGAAELANAAKFIDKLPRGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLRTVRNADMIAVIHRGKMVEQGRHEELTKDPEGAYSQLIRLQEGNQAPEETRDSHNKRELSSESFKKLSQRLSFRRSGSSVGNSSRHSFSVSFGLPTAISIPDPGLENSQPQEKSPEISLWRLASLNKSEIPVLLIGCVAAVANGVIYPIFGVLLSRIIKTFFKPFPEMKTDSQFWALMFVSLGIASLIAIPARSYFFSVAGTKLIRRIRLICFEKVINMEVGWFDEPEHSSGAIGARLSADAASVRALVGDALGLLVQNIATALTGVIIAFVASWQLAFIVLVLVPLIGMNGYIQMKFMKGSSTDAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYRQKCEGPMKTGIRQGLISGTSFGLSFFLLFSVYATNFYAGARLVEAGKASFTDVFLVFFALTMSSVGISQSSSLAPDSNKAKIATASIFGIIDRKSKIDPSDEVGSTLDNVKGEIQIRHVSFRYPSRPDIQIFRDLNLTIHSGKTLAIVGESGSGKSTVIALLQRFYDPDSGQITIDGVEIQNLKLRWLRQQMGLVSQEPVLFNDTIRANVAYGKEGNANEAEVITAAKLANAHGFISGLQQGYDTVVGERGIQLSGGQKQRVAIARAMIKSPKIVLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLSTIKNADVIAVVKNGIIVEKGRHETLVNIKDGFYASLVQLHTSSTTP >Vigun01g202300.3.v1.2 pep primary_assembly:ASM411807v1:1:37841595:37848656:1 gene:Vigun01g202300.v1.2 transcript:Vigun01g202300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMRQGNDVLHDEELKHDETTAPESTTNGEKKEKRQQKAKAERVPYHRLFLFADSTDIILMVVGTIGAIGNGLGMPLMAFLFGELIDSFGNNQFSPNVVKQVSKVCLRFVYLGIGTGVAAFLQVTCWTVTGERQATRIRGLYLKAILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGRFLQLVATFFGGFVIAFIKGWLLTVVMLSVVPLVAAAAAAMALIIGMMASRGQNAYAKASQVVEETIGSIRTVASFTGEKQAVSTYKKFLADAYKSGVHEGLVGGIGFAMVLFVMFCGYGLSVWFGAKMIMERGYGAGAVVNVFVAVLNASMSLGQASPSMNAFAEGQAAAYKMFQTIERKPEIDAYDTNGKRLEDIHGEIHLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNVKEFELRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATVEEIRGAAELANAAKFIDKLPRGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLRTVRNADMIAVIHRGKMVEQGRHEELTKDPEGAYSQLIRLQEGNQAPEETRDSHNKRELSSESFKKLSQRLSFRRSGSSVGNSSRHSFSVSFGLPTAISIPDPGLENSQPQEKSPEISLWRLASLNKSEIPVLLIGCVAAVANGVIYPIFGVLLSRIIKTFFKPFPEMKTDSQFWALMFVSLGIASLIAIPARSYFFSVAGTKLIRRIRLICFEKVINMEVGWFDEPEHSSGAIGARLSADAASVRALVGDALGLLVQNIATALTGVIIAFVASWQLAFIVLVLVPLIGMNGYIQMKFMKGSSTDAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYRQKCEGPMKTGIRQGLISGTSFGLSFFLLFSVYATNFYAGARLVEAGKASFTDVFLVFFALTMSSVGISQSSSLAPDSNKAKIATASIFGIIDRKSKIDPSDEVGSTLDNVKGEIQIRHVSFRYPSRPDIQIFRDLNLTIHSGKTLAIVGESGSGKSTVIALLQRFYDPDSGQITIDGVEIQNLKLRWLRQQMGLVSQEPVLFNDTIRANVAYGKEGNANEAEVITAAKLANAHGFISGLQQGYDTVVGERGIQLSGGQKQRVAIARAMIKSPKIVLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLSTIKNADVIAVVKNGIIVEKGRHETLVNIKDGFYASLVQLHTSSTTP >Vigun01g202300.2.v1.2 pep primary_assembly:ASM411807v1:1:37842460:37848658:1 gene:Vigun01g202300.v1.2 transcript:Vigun01g202300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMRQGNDVLHDEELKHDETTAPESTTNGEKKEKRQQKAKAERVPYHRLFLFADSTDIILMVVGTIGAIGNGLGMPLMAFLFGELIDSFGNNQFSPNVVKQVSKVCLRFVYLGIGTGVAAFLQVTCWTVTGERQATRIRGLYLKAILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGRFLQLVATFFGGFVIAFIKGWLLTVVMLSVVPLVAAAAAAMALIIGMMASRGQNAYAKASQVVEETIGSIRTVASFTGEKQAVSTYKKFLADAYKSGVHEGLVGGIGFAMVLFVMFCGYGLSVWFGAKMIMERGYGAGAVVNVFVAVLNASMSLGQASPSMNAFAEGQAAAYKMFQTIERKPEIDAYDTNGKRLEDIHGEIHLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNVKEFELRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATVEEIRGAAELANAAKFIDKLPRGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLRTVRNADMIAVIHRGKMVEQGRHEELTKDPEGAYSQLIRLQEGNQAPEETRDSHNKRELSSESFKKLSQRLSFRRSGSSVGNSSRHSFSVSFGLPTAISIPDPGLENSQPQEKSPEISLWRLASLNKSEIPVLLIGCVAAVANGVIYPIFGVLLSRIIKTFFKPFPEMKTDSQFWALMFVSLGIASLIAIPARSYFFSVAGTKLIRRIRLICFEKVINMEVGWFDEPEHSSGAIGARLSADAASVRALVGDALGLLVQNIATALTGVIIAFVASWQLAFIVLVLVPLIGMNGYIQMKFMKGSSTDAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYRQKCEGPMKTGIRQGLISGTSFGLSFFLLFSVYATNFYAGARLVEAGKASFTDVFLVFFALTMSSVGISQSSSLAPDSNKAKIATASIFGIIDRKSKIDPSDEVGSTLDNVKGEIQIRHVSFRYPSRPDIQIFRDLNLTIHSGKTLAIVGESGSGKSTVIALLQRFYDPDSGQITIDGVEIQNLKLRWLRQQMGLVSQEPVLFNDTIRANVAYGKEGNANEAEVITAAKLANAHGFISGLQQGYDTVVGERGIQLSGGQKQRVAIARAMIKSPKIVLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLSTIKNADVIAVVKNGIIVEKGRHETLVNIKDGFYASLVQLHTSSTTP >Vigun01g202300.1.v1.2 pep primary_assembly:ASM411807v1:1:37842466:37848658:1 gene:Vigun01g202300.v1.2 transcript:Vigun01g202300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMMRQGNDVLHDEELKHDETTAPESTTNGEKKEKRQQKAKAERVPYHRLFLFADSTDIILMVVGTIGAIGNGLGMPLMAFLFGELIDSFGNNQFSPNVVKQVSKVCLRFVYLGIGTGVAAFLQVTCWTVTGERQATRIRGLYLKAILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGRFLQLVATFFGGFVIAFIKGWLLTVVMLSVVPLVAAAAAAMALIIGMMASRGQNAYAKASQVVEETIGSIRTVASFTGEKQAVSTYKKFLADAYKSGVHEGLVGGIGFAMVLFVMFCGYGLSVWFGAKMIMERGYGAGAVVNVFVAVLNASMSLGQASPSMNAFAEGQAAAYKMFQTIERKPEIDAYDTNGKRLEDIHGEIHLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNVKEFELRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATVEEIRGAAELANAAKFIDKLPRGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLRTVRNADMIAVIHRGKMVEQGRHEELTKDPEGAYSQLIRLQEGNQAPEETRDSHNKRELSSESFKKLSQRLSFRRSGSSVGNSSRHSFSVSFGLPTAISIPDPGLENSQPQEKSPEISLWRLASLNKSEIPVLLIGCVAAVANGVIYPIFGVLLSRIIKTFFKPFPEMKTDSQFWALMFVSLGIASLIAIPARSYFFSVAGTKLIRRIRLICFEKVINMEVGWFDEPEHSSGAIGARLSADAASVRALVGDALGLLVQNIATALTGVIIAFVASWQLAFIVLVLVPLIGMNGYIQMKFMKGSSTDAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYRQKCEGPMKTGIRQGLISGTSFGLSFFLLFSVYATNFYAGARLVEAGKASFTDVFLVFFALTMSSVGISQSSSLAPDSNKAKIATASIFGIIDRKSKIDPSDEVGSTLDNVKGEIQIRHVSFRYPSRPDIQIFRDLNLTIHSGKTLAIVGESGSGKSTVIALLQRFYDPDSGQITIDGVEIQNLKLRWLRQQMGLVSQEPVLFNDTIRANVAYGKEGNANEAEVITAAKLANAHGFISGLQQGYDTVVGERGIQLSGGQKQRVAIARAMIKSPKIVLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLSTIKNADVIAVVKNGIIVEKGRHETLVNIKDGFYASLVQLHTSSTTP >Vigun01g202300.5.v1.2 pep primary_assembly:ASM411807v1:1:37842846:37848656:1 gene:Vigun01g202300.v1.2 transcript:Vigun01g202300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTIGAIGNGLGMPLMAFLFGELIDSFGNNQFSPNVVKQVSKVCLRFVYLGIGTGVAAFLQVTCWTVTGERQATRIRGLYLKAILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGRFLQLVATFFGGFVIAFIKGWLLTVVMLSVVPLVAAAAAAMALIIGMMASRGQNAYAKASQVVEETIGSIRTVASFTGEKQAVSTYKKFLADAYKSGVHEGLVGGIGFAMVLFVMFCGYGLSVWFGAKMIMERGYGAGAVVNVFVAVLNASMSLGQASPSMNAFAEGQAAAYKMFQTIERKPEIDAYDTNGKRLEDIHGEIHLRDVYFSYPARPEELIFNGFSLHIASGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNVKEFELRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATVEEIRGAAELANAAKFIDKLPRGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLRTVRNADMIAVIHRGKMVEQGRHEELTKDPEGAYSQLIRLQEGNQAPEETRDSHNKRELSSESFKKLSQRLSFRRSGSSVGNSSRHSFSVSFGLPTAISIPDPGLENSQPQEKSPEISLWRLASLNKSEIPVLLIGCVAAVANGVIYPIFGVLLSRIIKTFFKPFPEMKTDSQFWALMFVSLGIASLIAIPARSYFFSVAGTKLIRRIRLICFEKVINMEVGWFDEPEHSSGAIGARLSADAASVRALVGDALGLLVQNIATALTGVIIAFVASWQLAFIVLVLVPLIGMNGYIQMKFMKGSSTDAKMMYEEASQVANDAVGSIRTVASFCAEEKVMELYRQKCEGPMKTGIRQGLISGTSFGLSFFLLFSVYATNFYAGARLVEAGKASFTDVFLVFFALTMSSVGISQSSSLAPDSNKAKIATASIFGIIDRKSKIDPSDEVGSTLDNVKGEIQIRHVSFRYPSRPDIQIFRDLNLTIHSGKTLAIVGESGSGKSTVIALLQRFYDPDSGQITIDGVEIQNLKLRWLRQQMGLVSQEPVLFNDTIRANVAYGKEGNANEAEVITAAKLANAHGFISGLQQGYDTVVGERGIQLSGGQKQRVAIARAMIKSPKIVLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLSTIKNADVIAVVKNGIIVEKGRHETLVNIKDGFYASLVQLHTSSTTP >Vigun07g293500.1.v1.2 pep primary_assembly:ASM411807v1:7:40485229:40488716:1 gene:Vigun07g293500.v1.2 transcript:Vigun07g293500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASDSQEQPVLESAELEMKKKRLLEELESALAPKDAGVKTVTALPASLALGIEVIDETALLDSVVKNGGPRQQPSSRRRGGPKNNNSNIGHGLRKIEERPKLKSTLKNGNNKYSRKELEALRFVNLTQQRKFWKAIHAAFQSTVASEYDTLASTPLPHNKPILSAVYCESRDGELQHMGSSENITSHSSTTEDGASIVEECVEDDDSDDDYASIQRPAFLVDGEPNFDSGPPEDGWEYLRRVRWEADHIPKVKIAKLDRGKFNQEQSPYMPKIPDIAKCPDHLLPLKQWEDVFLAEFSTLRTNLSCLDGNLGVHHSQLVGNDCGEFSGVMNKDVLLGKTNNASANLTAEDKDRTVSPENTESKTSVDKTSSSSPSSPLLSAILAMDSVTRVKTLLKRIRLLEAADTVTRDDCMWLFALCATVDTPLYADTCAALRSLLRRCASIRAGKVALDDEVVMLNILATISGRYFGQSEN >Vigun03g050600.1.v1.2 pep primary_assembly:ASM411807v1:3:4082991:4084022:1 gene:Vigun03g050600.v1.2 transcript:Vigun03g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNSKQNAAKGEAVPAKVIPKVVAKFEELTKRRNAESTPSKKELLKHDDEVDANSRSSPENESGRKVSSSQKIQKPKEEMVAQVPAAEKLSRVAPVPNSEGKIMEKNHPNKDYTEQVNRDMMVVAELKSEEEKSAKLERETRKEKDEDDDSDDEDGVLCPGSPSFRIYCAEESEKIKESECNCPPIAKYRKTRSVDTVQTVASKNSNTVFRKSETTPKRKGNKKKFGGVKSLLKVRSCYHPRCMCSSGDDTSFVTAKTT >Vigun03g430500.1.v1.2 pep primary_assembly:ASM411807v1:3:63497541:63500476:1 gene:Vigun03g430500.v1.2 transcript:Vigun03g430500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMNLVLFSALLLFSLQTVVSVTPLPFQHPLDPLTKEEITLVQTIVQRKYPTFNNTLSFHYIGLDDPHKDTILKWESTKPTITTLPRKAFAIAIVNTQTHEILIDLQTKTILSDNIHNGNGFPTLSVDEQGVAIELPLKYGPFKESVNKRGLNLSEVVCSTFTLGWFGETQSSRTVRVECFMKESTPNIYVRPISGLTIVVDLDLLKIVEYHDGGIIPVPAADNTEYRLSQQKPPFGPKHYGLATHQPEGPGFQISGHSVSWANWKFHIGFDPRAGLVISLASIYDLEKHKSRRVLYKGYISELFVPYQDPTDDFFYKTFFDAGEFGFGLSTVSLVPNRDCPSNAQFLDVYVHAADGTPVLISNAICVFEQYGSILWRHTENGIPNESFAEARTEVNLVVRTIVTVANYDNIIDWEFKTSGSIKPAIALSGILEIKGVDIKHKNEIKSDQHGTLVSENSIGVYHDHFYIYYLDLDIDGVYNSFEKTSLKTVRVTDGSSKRKSYWTTETETAESENDAKITIGSTPGELSVVNPNKKTSVGNDVGYRLIPAIPVHPLLTDDDHTQIRGAFTNFNVWVTPYNRTEKWAGGLYVDHGHGDDTLAVWTKKNRNIKNKDIVLWHVVGIHHVPAQEDFPIMPLLSTAFELRPTNFFERNPVLKTPSPRDVQWPGRRS >Vigun01g193700.1.v1.2 pep primary_assembly:ASM411807v1:1:37130558:37134105:1 gene:Vigun01g193700.v1.2 transcript:Vigun01g193700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHIPCILSAVHLLLLFTVTQFSITAEATRALEQTQLHFHVANSTCEGTLYPDLCVSTLTSFPDLTSKTVPEMICSVVNHTIYEVTLSSSNCSGLRKKLPGLNKLEQRALDDCLDLFDETVEELKTTVADLSQTTIGSKRYHDSQTLLSGAMTNLYTCLDGFAYSKGHVREKIEEGLLEISHLVSNSLAMLKKVPEKKKQSKNEIFPEYGKMKDGFPSWVSPKDRKLLQAPVNETKFNLVVAKDGTGNFTTISEAVAAAPNSSATRFVIHIKAGAYFENVEVIRRKTNLMWVGDGIGKTVVKASRNVVDGWTTFQSATVAVVGDGFIAKGITFENSAGPSKHQAVALRSGADFSAFYQCSFVAYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAAVLQNCNLYARKPNPNQRNLFTAQGREDPNQNTGISIINCKVAAAADLIPVKSEFRNYLGRPWKKYSRTVYLNSLMEDLIDPAGWLEWDGNFALDTLYYGEYNNRGPGANTSRRVTWPGYRVITNSTEASQFTVANFIQGNEWLNSYGIPFFSGLS >Vigun08g093100.1.v1.2 pep primary_assembly:ASM411807v1:8:21802662:21804379:1 gene:Vigun08g093100.v1.2 transcript:Vigun08g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHTLNPHAPPFYLKPNQQQLQLLHFSRPICYYVPPSTFPLYPPFHAPFSNPSPQRAKTDTGRSKVCASAGNWRCHRKFYKWRVRGRKIRENGTVVMPFPDTVKEAESSSITTVMIRNVPNQFKFDDLLHILDEHCLRQNKSVDPEKWSKFDFVYLPVDYRKFSMQKRVSNLGYAFVNFTSPEAAFRFYREFHGVEWDVDQNKKICQINVAQYQGRDTLTRIFQTKVFRCASPDLLPVLFSEGRNGWNRRIEGTYLGNHVCGLPRRTK >Vigun07g255600.1.v1.2 pep primary_assembly:ASM411807v1:7:37356869:37361279:1 gene:Vigun07g255600.v1.2 transcript:Vigun07g255600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVDENDLKTAGAELFAEDGRRGIRISGWLIETRRHSILNSSTIQEWEQKLNTSHLPEMVFGENTLILRHLSSGTRIHFNAFDALCGWKQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSGNIEIDKDVNEGEISKETSDILWEDCKDQIDVAALASKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLIRLRETRMHCVFSGNRSPIILRESCWRESTFQALSAQEHPFDSGAYGDPSFISQKLPIIMHTTKKLVISS >Vigun08g154700.1.v1.2 pep primary_assembly:ASM411807v1:8:32714301:32716255:1 gene:Vigun08g154700.v1.2 transcript:Vigun08g154700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTLIFVLCLVTMNASPVVHAIENTFKEDLELERQLKLINKPSVKTIHTKHGGIVDCIDINKQPAFDHPLLRNHKLQKKPNFKRPIGKTSVKKWSGPKFQLDKHHCPTGTVPILRTTKEDLVREKNLLNISIFVRDNPGVHFAEVAVSSKFGPYFSVSGTNSIYNPYVTKGQMSLSHIWVQNGPINTNNKISFGWHVSPELYGDHDTHVYSSWTSDNYHRTGCFNIRCPGFIQTHRRSYLGSREPNISSYGGPIFNFDISISQDPSTKNWWIGANGIYIGYYPAKLFSNLGSANKVGLGGRTLTPRGYNSPPMGSGHFPDGHFFHASFFELIFIQNTSRRNYGPEKYQIEKYIDKPKCFGLNYYGNLHQTFGCALQFGGPGGNYGD >Vigun01g155300.1.v1.2 pep primary_assembly:ASM411807v1:1:33799097:33802694:1 gene:Vigun01g155300.v1.2 transcript:Vigun01g155300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFCWKPASVGDDGDVNGRVEGLLWYKDLGNHLYGEFSMAVIQANSSLEDRSQLESGPLTSDYLGPQGTFIGVYDGHGGSAASQFVTDNLFANVKSFAAEHQGISENVIRRAFSATEEGFLSVVKKQWLSKPQIASTGTCCLAGIICNGRLYVANAGDSRVVLGRVERATREITAIQLSAEHNVNIQMERDEVRTRHPYDPQIVVKKHNVWRVKGLIQVSRSIGDAYLKKQEFNREPLPNKFRLPEPFFKPILSYEPEISVHKLGPEDQFLIFASDGLWDQLSNQEVVNIVSNSPRNGIARRLVKAALREAARKREMRVSDLQKIEEGVRRHFHDDITVIVVYLNPKLVDNSSLLASPLSIRGGGSANF >Vigun01g155300.2.v1.2 pep primary_assembly:ASM411807v1:1:33800281:33802652:1 gene:Vigun01g155300.v1.2 transcript:Vigun01g155300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFCWKPASVGDDGDVNGRVEGLLWYKDLGNHLYGEFSMAVIQANSSLEDRSQLESGPLTSDYLGPQGTFIGVYDGHGGSAASQFVTDNLFANVKSFAAEHQGISENVIRRAFSATEEGFLSVVKKQWLSKPQIASTGTCCLAGIICNGRLYVANAGDSRVVLGRVERATREITAIQLSAEHNVNIQMERDEVRTRHPYDPQIVVKKHNVWRVKGLIQVSRSIGDAYLKKQEFNREPLPNKFRLPEPFFKPILSYEPEISVHKLGPEDQFLIFASDGLWDQLSNQEVVNIVSNSPRNGIARRLVKAALREAARKREMRVSDLQKIEEGVRRHFHDDITVIVVYLNPKLVDNSSLLASPLSIRGGGSANF >Vigun08g135300.2.v1.2 pep primary_assembly:ASM411807v1:8:30672418:30676865:-1 gene:Vigun08g135300.v1.2 transcript:Vigun08g135300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVQSSKQMRRLESRKSHPWWWDSHISPKNSRWLSENLEEMDQSVKRMLKLIEEDADSFAKKAEMYYQKRPELVALVEEFYRRYRALAERYDHVTVELRKNIPSDLQSQGSGISDAGSEPTSAWPSPTPKRGGRLKSSNRAAGFDYFLGSSGNGSDAQKDGDESSTLTDSENESDDSSVNNYSGFLQNGSDLVINRRIIELETELRELKGKLWMQEEEHAEVSSRGSRNENSEDFYTKINAYEQELMNVNDKLRLSEEEITKLKIELEKYGPFNAENMEAGFEFSSPKEHISDGGEALEHKTIEVEGSVDGVDKALSEKNAEIESLARELRITKENLKASETQITSLKFEANKSSERIQQLLNQLDLATKDIATWKNKFNSEKRESTKLNERLARLRTSLSDRDQEVRDLKTAVSDAEQKIFPEKAQLKSEMSKMLEERTHLEEHIRDWECRGRSFEEEIRKIQSEKIEMEETLRIEIQLLKAYIEQRENNIKDLNTSLDTLKLEKDKLHVEVGLLKEEVNSKDVRIEHLSNHLNQLHMEHVQLISGMEEAHKQVEELKSKAKQFEEEIDRQKTVILDGAEEKREVIRQLCFSLEHYRNSYDMLRQHVIGQKRLPVLAA >Vigun08g135300.1.v1.2 pep primary_assembly:ASM411807v1:8:30672418:30678040:-1 gene:Vigun08g135300.v1.2 transcript:Vigun08g135300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVQSSKQMRRLESRKSHPWWWDSHISPKNSRWLSENLEEMDQSVKRMLKLIEEDADSFAKKAEMYYQKRPELVALVEEFYRRYRALAERYDHVTVELRKNIPSDLQSQGSGISDAGSEPTSAWPSPTPKRGGRLKSSNRAAGFDYFLGSSGNGSDAQKDGDESSTLTDSENESDDSSVNNYSGFLQNGSDLVINRRIIELETELRELKGKLWMQEEEHAEVSSRGSRNENSEDFYTKINAYEQELMNVNDKLRLSEEEITKLKIELEKYGPFNAENMEAGFEFSSPKEHISDGGEALEHKTIEVEGSVDGVDKALSEKNAEIESLARELRITKENLKASETQITSLKFEANKSSERIQQLLNQLDLATKDIATWKNKFNSEKRESTKLNERLARLRTSLSDRDQEVRDLKTAVSDAEQKIFPEKAQLKSEMSKMLEERTHLEEHIRDWECRGRSFEEEIRKIQSEKIEMEETLRIEIQLLKAYIEQRENNIKDLNTSLDTLKLEKDKLHVEVGLLKEEVNSKDVRIEHLSNHLNQLHMEHVQLISGMEEAHKQVEELKSKAKQFEEEIDRQKTVILDGAEEKREVIRQLCFSLEHYRNSYDMLRQHVIGQKRLPVLAA >Vigun05g291550.1.v1.2 pep primary_assembly:ASM411807v1:5:47775393:47778547:-1 gene:Vigun05g291550.v1.2 transcript:Vigun05g291550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVERTLQYMDIPQEEQTGCLYLNPDWPNQGFIEFDCVTLKYMPSLPAALCNLSFRIAGGTQVGIIGRTGAGMSSVLNALFRLTPICTGSISIDGVDIKNISVRELRTHLAIVPQSPFLFEGSLRDNLDPFKMNDDLKIWNACVFLCFVSCVLYSVFYCVLCVFLWLCWVFCGFVIFWLCCMFCGFFVVCVLCFVCFCCFVFCVMCLVCVCVCCVVVCFMVCVCFVLYVFCCVLCCICFIGCVLLYFVLCMFCVVLCFCCCVQCFCGCIDCFCGRVFFLFLFVVVWVVGCFRFILSFVWGVVCGVCVFYVCVMCLVCCVFCGLFFCVLWCVWSNFVRGARKAKS >Vigun10g084350.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:23928879:23929205:-1 gene:Vigun10g084350.v1.2 transcript:Vigun10g084350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIEPPFEETKFHFKPLTLQKRANGSQTIGWWWNYTVNIFSKTMLKRFGLGKKNLVKNNIMVTDFCGRSSSSMGMITLNVIVGSYTRATMFMVIHSQAIFNVFFRRE >Vigun06g139300.1.v1.2 pep primary_assembly:ASM411807v1:6:26479479:26481956:-1 gene:Vigun06g139300.v1.2 transcript:Vigun06g139300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSWGLLLLLVSCTTYSSTALGKPENNIKTSVFLSPKFELGPGSVANKFYFDVDFPRGHIALKSFNAEVVDEAGKSVPLQETYLHHWIVVKYHQPKNVSHNNNQTDIVELRNSGLCQEDVLGQYFGLGSETRGTATDIPDPFGIEIGNPSEIPYGYEEKWFFNVHAIDTRGVEDRMGCTECRCDLYNVTKDGNGKPLSPYYKGGLDCCPDNSHCRLKKGFKGPKRSLYLRYTVKWINWDNYVVPLKIYILDVTDILNVSKGMSPKHTCKIEYQVEACSKGYNSSSACIDVRKTSLPMQTGGYVIYGVGHVHSGATASTLYGQDGGVICSSIPKYGNGNEAGNEKGYVVGMSTCYPKPGSIKIKDGETVTLEVRYSNSQMHSGVMGLFYILVAEQLSY >Vigun06g139900.1.v1.2 pep primary_assembly:ASM411807v1:6:26528758:26535742:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETEVDLLGDEVDTLLRLLEKIYIALDHYSPILQHYPGIIEILELVRRELSGDCRKLV >Vigun06g139900.7.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535493:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETELLVVSG >Vigun06g139900.6.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535701:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETELLVVSG >Vigun06g139900.2.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535701:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETELLVVSG >Vigun06g139900.3.v1.2 pep primary_assembly:ASM411807v1:6:26528674:26535738:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETEVDLLGDEVDTLLRLLEKIYIALDHYSPILQHYPGIIEILELVRRELSGDCRKLV >Vigun06g139900.8.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535493:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETELLVVSG >Vigun06g139900.5.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535493:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETEVDLLGDEVDTLLRLLEKIYIALDHYSPILQHYPGIIEILELVRRELSGDCRKLV >Vigun06g139900.4.v1.2 pep primary_assembly:ASM411807v1:6:26528766:26535701:-1 gene:Vigun06g139900.v1.2 transcript:Vigun06g139900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGENIYDYGKERIYDNGKEDESVDDHTGIVEEMDSFLEDLDERLIISRMVSDSVIKGMVNAVEEQAAERITEKELEVIGLKKVLHGLHVGSGETKTFCSSLHHHESHEAAAHQFPDNLVEPDRYVMSVDSLQIAVHEDLSQLKKEINKIKGASPIRTICSGSDLVGLSGILQENMPEKWICVDNKAFENLKDAVDTVRMKVTDRLSKASLSEWQQEQDFQSEIERMVISNGIWGLQQEFEQKLWDLSDSESRNCFNQYKEISSLREELDSIFKTLSVSETGHLLSHGSLENAEEWCHNKKVDHFHVKLSTDDLSPSAMEENGKQESKINKPENLDSASLKHMSKEDLIAYITKMRRNHESQVQEKTEENFRLRRELLKERGSSFPLKKDKEFELLKKKIPDAIAKLNEILDGNEKVHQFSENIECLSSLKDRLDFLESENHQLKETLSDKKREFRSLSSQVSAAEEKLSQEQVVEKKLLQTIQKLEDDIEDAHSQVSVIQDVYKCLFEGIVSEFRCCSEELHLKSSFMQEMYEVLLQEASHSAQASSGLGIEEAEMESTMMQGLLDINHIIFKETLVNADEALKLEVSEKEKLKYEVHTIKSVVEEKEKLIKGAADALVQEKQKVQFTSEQLDSLRAQIAQQHKLIEEKSEELDVTNGNLVAALKEIKQYDEQMHQLHKNLEQRTNKLREIEEERRVHFALTQKQQEALNLFEAKERETRKQMESTINLMHKLLTMITDFEARVNKDISRNHLRLENIRSEFHWINNQANVLKTMGLVYKQRLETRSSDLAKAETEVDLLGDEVDTLLRLLEKIYIALDHYSPILQHYPGIIEILELVRRELSGDCRKLV >Vigun05g209400.4.v1.2 pep primary_assembly:ASM411807v1:5:40003784:40007037:1 gene:Vigun05g209400.v1.2 transcript:Vigun05g209400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVKCITWVGNMFQKFEDICVDVDDTVFEETVKYLGNHMQTVGESVKKICSEVMEDLRPLPCDLDETSVSEMHINQNSDAGLAEKSFEGSRNVTVNDASSYSVKGYNKSNLGGDEQDKNMPASKSACEITSSETDTRNTSQLCELSWIKENHADTVSKPTFSESVAIASLAECCNEIENTSTREISDDLEWDESAEEKEKHSTSNSCVLFVDSYGVRTYQPDNYSHHTITVSHSINSFRSF >Vigun05g209400.5.v1.2 pep primary_assembly:ASM411807v1:5:40003784:40007037:1 gene:Vigun05g209400.v1.2 transcript:Vigun05g209400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVKCITWVGNMFQKFEDICVDVDDTVFEETVKYLGNHMQTVGESVKKICSEVMEDLRPLPCDLDETSVSEMHINQNSDAGLAEKSFEGSRNVTVNDASSYSVKGYNKSNLGGDEQDKNMPASKSACEITSSETDTRNTSQLCELSWIKENHADTVSKPTFSESVAIASLAECCNEIENTSTREISDDLEWDESAEEKEKHSTSNSCVLFVDSYETWDLDEPKIGGTVAEQGHKTVQQDDELKLVESCVMVTRDEVQSVLNAEGNLRTSKNKKRQPFLLSKKAARRQEYEELAMLHGNNEKDDFAESLCATLQNDHKKLLLPDISESEWEVL >Vigun05g209400.1.v1.2 pep primary_assembly:ASM411807v1:5:40003856:40007019:1 gene:Vigun05g209400.v1.2 transcript:Vigun05g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVKCITWVGNMFQKFEDICVDVDDTVFEETVKYLGNHMQTVGESVKKICSEVMEDLRPLPCDLDETSVSEMHINQNSDAGLAEKSFEGSRNVTVNDGINQTTDDSRISDDVDNDVIHAESCDSNALFVAASSYSVKGYNKSNLGGDEQDKNMPASKSACEITSSETDTRNTSQLCELSWIKENHADTVSKPTFSESVAIASLAECCNEIENTSTREISDDLEWDESAEEKEKHSTSNSCVLFVDSYEITETWDLDEPKIGGTVAEQGHKTVQQDDELKLVESCVMVTRDEVQSVLNAEGNLRTSKNKKRQPFLLSKKAARRQEYEELAMLHGNNEKDDFAESLCATLQNDHKKLLLPDISESEWEVL >Vigun05g209400.2.v1.2 pep primary_assembly:ASM411807v1:5:40003754:40007115:1 gene:Vigun05g209400.v1.2 transcript:Vigun05g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVKCITWVGNMFQKFEDICVDVDDTVFEETVKYLGNHMQTVGESVKKICSEVMEDLRPLPCDLDETSVSEMHINQNSDAGLAEKSFEGSRNVTVNDASSYSVKGYNKSNLGGDEQDKNMPASKSACEITSSETDTRNTSQLCELSWIKENHADTVSKPTFSESVAIASLAECCNEIENTSTREISDDLEWDESAEEKEKHSTSNSCVLFVDSYEITETWDLDEPKIGGTVAEQGHKTVQQDDELKLVESCVMVTRDEVQSVLNAEGNLRTSKNKKRQPFLLSKKAARRQEYEELAMLHGNNEKDDFAESLCATLQNDHKKLLLPDISESEWEVL >VigunL006202.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000167.1:7689:11100:1 gene:VigunL006202.v1.2 transcript:VigunL006202.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGVSQAVRVLGRFPFACPPHSPLVGRVVNLEGARLLPLQTPEIGWSNIYELAGPRWMEGKIDWSSSGTGPSTMGRILPLPTEFILLKRNSDTFPLCYPAKPATSCAKVKRLFFLPRSLFFYICGRSTLYDRRRVTREEGTTSFSLAALLHFTFLIESRKPLHYWYRGQKVASSICSGKEHLEASFCLARKYLFRLPSLSPFWLIRFFLLRASAWFAPFVLHFFVILRFSLRFSDSRDPTLISYLFKCQLHVLGGPSGRLRADRCLPGGIGAPEGGLRSLLSLRPSIPFKLDPALRLVTLFAHLKTRWLRALFGRNPDYPRSGEKKECIPIDSKGERRTEWRMEADRLERGLAGREVRFLPDSYLLDPLFPCSCLRLNDTSRKQTTGRTRAGVDAREGTGAEATGIGQRVGRLGKRWLTLICLSTTMVVIIAMACTVACSMVPSLCCWPTTDR >Vigun02g117400.1.v1.2 pep primary_assembly:ASM411807v1:2:27031706:27033456:-1 gene:Vigun02g117400.v1.2 transcript:Vigun02g117400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPISELEQEELLEKLEVFKIKGRDKHGRKILRIIGKFFPARLITVEVLKKYLEERVFPKLGKRKFAVLYVHTDVQRSENLPGISALRSIYDAIPTNVKENLEAFYFIHPGLQARLFLATLGRFLFNAGLYGKLKYISRVDFLWEHVRRNEVEIPEFVFDHDEDLEYRPMMDYGLESDHARLYGGAPTMDSPVTTYSMRCIS >Vigun10g047800.1.v1.2 pep primary_assembly:ASM411807v1:10:7119292:7124225:1 gene:Vigun10g047800.v1.2 transcript:Vigun10g047800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVQVSNKEESPVMDNGGYSDSQLVRHFRSVLDAVTTGDRGNYDELVSYLHLKRNLSPDEVAILVTTLKALSGAVSYIDSDHHASLLYAVSRMSLWNYGTEVMDALLELITSLAVSNGKYIDWCLEMLVKHFVPPFYIFDSLQTENGINKKNKVLSRVHAALKEIADLVPLAPLRLCPIVIQTMPSVFSNEREIVIYVENMLKLESGAIGETVGSTVLLALVDRLLELDVEIGWDGILQEDTRGIFDMELEDIIKFADEDENTHSMPQSELLNRKNLQGNKVVEKLDNLVVLALLHLESCQSSGRLAEVFDILLHSFQKTVLNAYKSKFTQFVMFYACALDPEGCGVKFAMVLADMFGSDVNPPITRMSAVAYLASYLSRAKFLSAALVTTIIQSLVDQCYAYCKLRDSDMNPRAHQVFYSGCQAIMYIMCFRMRSLMDVPRLRLQLLNMPMEAIWKHKLCPLKVCLPTVVVEFLRQAKAAKLFMASESFVFNDMLESDLSKAFGGMDRLDMFFPFDPCLLKKSESYIRPHFVRWSKVRTTYDDDDDNVSEVSESGSELTDDDFVDTNTKDMIDDDMMVTVEDLDFNPDLNKMSITPKNSLKHLRMPARIRPSTSPESL >Vigun05g047300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:3959207:3959848:-1 gene:Vigun05g047300.v1.2 transcript:Vigun05g047300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTDSECAFLEQIQQYLLHNDSTIVTPSQAFPCPMHDSSSDASVDFEHPTEAREVNASPKWRRYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYVTEEEAAMAYDKAAFKMRGRKAKLNFPHLIDSDVSSEPPCAAALKRNFPEPSPPPPPPSMDDSCFKSQGSKRRKNLLNNLAKNRRSQAKVVEMALEGNVVEQWVNDFNDCTLIWCS >Vigun05g204100.1.v1.2 pep primary_assembly:ASM411807v1:5:39251538:39260977:1 gene:Vigun05g204100.v1.2 transcript:Vigun05g204100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILGRALEYTLKYWLKSFSRDQFKLQGRTVHLSNLDIDGDALHSSVGLPPALNVATAKVGKLEITLPSVSNVQTEPIVVHIDRLDLVLEENSDFDASLSSNCSTLSAASSKGSGYGFADKIADGMTIQIQTVNLLLETCGGSRRQGQGGATWAPPMASITIRNLLLYTTNENWQVVNLKEAREFSTNKKYIYVFKKLEWQSLSIDLLPHPDMFTEATLDLSEEGSNLRDDDGAKRVFFGGERFIEGISGEAYITIQRTELNSPLGLEVQLHINEAVCPALSEPGLRALLRFMTGVYVCLNRGDVDSKRSTEAAGRSLVSIVVDHIFLCIKDTEFQLELLMQSLFFSRASLSEGDNDNNLTRITIGGLFLRDTFCSPPCILVQPSMQAGTRDAFRVPEFARSFCPPIYPLQEQQWQLNEGTPLICLHALKIMPSPLPPSFASETVIDCQPLVIHLQEESCLRISSLLADGIVVNPGDILPDFSVKSFIFNLKGLDITVPFDETKLDISKNDMDNTVQTSFSGAKLHIESLFFVNSPSLKLKMLNLEKDPACFSLWEGQPIDASQEKWTARASQLTLSLEACIDGPGCQNSLGQTAGLWRCVDLKDACIEVAMATADGSPLLQVPPPGGIVRVGVACEQYLSNTSVEQLFFVLDLYGYFGRVSEKIAMAGKRKQLEDIRDKSFGGKLMDKVPSDAAVSLSVKNLQLRFLESSSVNIEGMPLVQFLGDDLFTSATHRTLGGAIIVSTILRWETVEISCVDAEGLLPCEKASFLCSKENAPSLSDNGYPQLRTVFWVHKNEKHLLNGNAHSVPFLDITMEHVIPLYEQDLESHSLNVSASVSGVRLGGGMNYAEALLHRFGILGPDGAPGMGLCKGLENLQKGPLSKLFKATPLIVDDSEDVGSMIEGKEAAFPQLKKPDDVDVTIELRDWLFALEDTQETAERWWFSSHEDEDREERSWHASFHGLRVNAKSSPPNVPDGKIQVQRIKQHPVELITVGVQGLQILKPHLQKGIPSSMLIANGGKESTDAVGGIGVEVRLILGAENVDDELANWEVENLKFSVKQPIEAVVTKDEVQHLTFLCKSEIDSIGRITAGIIRLLKLEGSIGQSVIDQLGHLGSEGIDKIFSPEKVSRDGSVGSRGISPLPILINEESHRTSEQTLTLLEEALVDSQAKLNDLISDISTSDSSSQHLTILQLGQKIETMHDLLMQLRHQL >Vigun10g117600.2.v1.2 pep primary_assembly:ASM411807v1:10:32375888:32381205:1 gene:Vigun10g117600.v1.2 transcript:Vigun10g117600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKPKMSLCSCRNFPAPFLWFPLLLILLSNASADAVKQKYRKLHTTRSWDFIGLPLTAKRKLKSESDIIVALLDTGVTPQLQSFKDDGFGPPPAKWKGTCDKHANFSGCNNKLIGARYFKISGKPDPSDILAPIDVVGHGTHTASTAAGTVVPDASLFGIAKGMARGAVPSARLAVYKVCWASDDCADMDILAAFEAAIHDGVDVISISIGGGNPNYVQDSIGIGSFHAMRKGIITVASGGNDGPFMATVANTAPWIVTVAASGIDRDFRSTIQLGNGKNFSGGGLTTFNPKQKQYPLVNGTDAARNSGSKENARFCFEGSLDPNKVKGKLVYCSLSGWGSESVVKGIGGIGTIMESDQVFDIAQIFMAPATIVNSSIGQIIMNYTHSTRSPSAVIHKTHEVKIPAPFTASFSSRGPNPASKHILKPDITAPGLNILASYTPMKSITGEKGDTQFSEFTLMSGTSMSCPHVSGVAAYVKSFHPDWSPAAIRSAIITTAKPMSQRVNKEAEFAYGAGQVNPTRAVNPGLVYDMDDFAYIQFLCHEGYNSSTLSVLVGSPVNCTSLLPGLGHDALNYPTMQLSVENNSGTTVGVFRRRVTNVGPAPTIFNATIKSPKGVEITVKPTSLNFSHTLQKKSFKVVVKAKSMASMKIVSGSLIWRSTRYIVRSPIVIYSP >Vigun10g117600.1.v1.2 pep primary_assembly:ASM411807v1:10:32375888:32381205:1 gene:Vigun10g117600.v1.2 transcript:Vigun10g117600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKPKMSLCSCRNFPAPFLWFPLLLILLSNASADAVKQKNFYIVFLGDHAGSRDIALDTHLNILSAVKGSILEAKESMVYSYTKSFNAFAAKLSKDEAKNLSAMDEVVSVIPNQYRKLHTTRSWDFIGLPLTAKRKLKSESDIIVALLDTGVTPQLQSFKDDGFGPPPAKWKGTCDKHANFSGCNNKLIGARYFKISGKPDPSDILAPIDVVGHGTHTASTAAGTVVPDASLFGIAKGMARGAVPSARLAVYKVCWASDDCADMDILAAFEAAIHDGVDVISISIGGGNPNYVQDSIGIGSFHAMRKGIITVASGGNDGPFMATVANTAPWIVTVAASGIDRDFRSTIQLGNGKNFSGGGLTTFNPKQKQYPLVNGTDAARNSGSKENARFCFEGSLDPNKVKGKLVYCSLSGWGSESVVKGIGGIGTIMESDQVFDIAQIFMAPATIVNSSIGQIIMNYTHSTRSPSAVIHKTHEVKIPAPFTASFSSRGPNPASKHILKPDITAPGLNILASYTPMKSITGEKGDTQFSEFTLMSGTSMSCPHVSGVAAYVKSFHPDWSPAAIRSAIITTAKPMSQRVNKEAEFAYGAGQVNPTRAVNPGLVYDMDDFAYIQFLCHEGYNSSTLSVLVGSPVNCTSLLPGLGHDALNYPTMQLSVENNSGTTVGVFRRRVTNVGPAPTIFNATIKSPKGVEITVKPTSLNFSHTLQKKSFKVVVKAKSMASMKIVSGSLIWRSTRYIVRSPIVIYSP >Vigun05g266700.1.v1.2 pep primary_assembly:ASM411807v1:5:45888548:45892604:-1 gene:Vigun05g266700.v1.2 transcript:Vigun05g266700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQGFLQLHALSTPVRVWPHWEVGSTKRKHIVCNAQKEDVEEGEVTTLSHVSRRLALGTALIGGAAAAGAKVSPANAADDAELSLDQPAFITTLPASISSEEYPASVVEALSLNRTSFPKGFVFGTASAAYQYEGAAFEDGRKASIWDAFTHRYPERIRDRSNGDVAVDEYHRYREDIQIMKDMNLDAYRFSISWSRIVPNGKVGPYEEGVNQAGIDYYNRLIDYLIDNGLKPYVTLFHWDLPQALEEEYGGFLSHHVVDDFRDYARVCFKNFGNRVKHWITLNEPWSYSNNGYAVGTFAPARCSEWQDPTCLGGDSGREPYIVTHNLLLSHAAAVEEYRKFQEYQEGMIGITLISHWYEPQTDSESDKDAAKRALDFMFGWYMEPLTTGKYPKSMRYLVGNRLPEFSKHESKLLADSYDFIGINYYTTVCVADNPSVQPESKRSYSTDPNVIYSTQRNGVLIGVPTASDWLYVCPKGIKKLLLYTKKEYNDPLIYITENGRGNDIGEEHDTLEESLIDVYRIDFYYRHLYYLLSAIRDGRVNVKGYFAWSLLDNFEWRDGYLIGFGLNYVDRKNKLKRYPKLSAKWFKNFLQKA >Vigun05g141800.6.v1.2 pep primary_assembly:ASM411807v1:5:17832100:17835992:1 gene:Vigun05g141800.v1.2 transcript:Vigun05g141800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVVDLDKANEAERSCLEESTSIFEGVEIQEPYVGMEFDSEVAARKFYVDYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNTKGALGPEKKPRPSAREGCKATILVKLEKSGKWVVTRFIKDHNHPLIATANGFGTVGDKDKKISELTMELERQDQLCASYREKLLSFINNVEEETHELSTKVQLVVENVRRAESELKKCSLNIKPWCLT >Vigun05g141800.5.v1.2 pep primary_assembly:ASM411807v1:5:17831242:17835992:1 gene:Vigun05g141800.v1.2 transcript:Vigun05g141800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVVDLDKANEAERSCLEESTSIFEGVEIQEPYVGMEFDSEVAARKFYVDYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNTKGALGPEKKPRPSAREGCKATILVKLEKSGKWVVTRFIKDHNHPLIATANGFGTVGDKDKKISELTMELERQDQLCASYREKLLSFINNVEEETHELSTKVQLVVENVRRAESELKKCSLNIKPWCLT >Vigun05g141800.3.v1.2 pep primary_assembly:ASM411807v1:5:17830996:17836023:1 gene:Vigun05g141800.v1.2 transcript:Vigun05g141800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVVDLDKANEAERSCLEESTSIFEGVEIQEPYVGMEFDSEVAARKFYVDYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNTKGALGPEKKPRPSAREGCKATILVKLEKSGKWVVTRFIKDHNHPLIATANGFGTVGDKDKKISELTMELERQDQLCASYREKLLSFINNVEEETHELSTKVQLVVENVRRAESELKKCSLNIKPWCLT >Vigun05g141800.2.v1.2 pep primary_assembly:ASM411807v1:5:17830994:17836023:1 gene:Vigun05g141800.v1.2 transcript:Vigun05g141800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSEVAARKFYVDYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNTKGALGPEKKPRPSAREGCKATILVKLEKSGKWVVTRFIKDHNHPLIATANGFGTVGDKDKKISELTMELERQDQLCASYREKLLSFINNVEEETHELSTKVQLVVENVRRAESELKKCSLNIKPWCLT >Vigun05g141800.4.v1.2 pep primary_assembly:ASM411807v1:5:17831242:17835992:1 gene:Vigun05g141800.v1.2 transcript:Vigun05g141800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMFRVLTFMDFVVVDLDKANEAERSCLEESTSIFEGVEIQEPYVGMEFDSEVAARKFYVDYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNTKGALGPEKKPRPSAREGCKATILVKLEKSGKWVVTRFIKDHNHPLIATANGFGTVGDKDKKISELTMELERQDQLCASYREKLLSFINNVEEETHELSTKVQLVVENVRRAESELKKCSLNIKPWCLT >Vigun11g107300.4.v1.2 pep primary_assembly:ASM411807v1:11:30599989:30605574:-1 gene:Vigun11g107300.v1.2 transcript:Vigun11g107300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHSEVEVKGLKLHVAEVGTGSKAVLFLHGFPEIWYTWRHQMIAVANAGYRAIAFDFRGYGLSEQPAEPEKETMYDLIDEIVGLLDALNITKAFLVGKDFGAIVAYRTAAVHPERFGAVITLGIPPGSYATQNHRLPKGYYFNRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYTLQVPYRSLNVETGSRDVKVTNPALLIMGEKDYVFKFPGTEDYIRSGAVKNAALDLEITYIPEGSHFVHEQIPDK >Vigun11g107300.1.v1.2 pep primary_assembly:ASM411807v1:11:30600619:30605566:-1 gene:Vigun11g107300.v1.2 transcript:Vigun11g107300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHSEVEVKGLKLHVAEVGTGSKAVLFLHGFPEIWYTWRHQMIAVANAGYRAIAFDFRGYGLSEQPAEPEKETMYDLIDEIVGLLDALNITKAFLVGKDFGAIVAYRTAAVHPERFGAVITLGIPPGSYATQNHRLPKGYYFNRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYTLQVPYRSLNVETGSRDVKVTNPALLIMGEKDYVFKFPGTEDYIRSGAVKNAALDLEITYIPEGSHFVHEQIPDKVNQLIIEFIDKQSI >Vigun11g107300.2.v1.2 pep primary_assembly:ASM411807v1:11:30599989:30605574:-1 gene:Vigun11g107300.v1.2 transcript:Vigun11g107300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHSEVEVKGLKLHVAEVGTGSKAVLFLHGFPEIWYTWRHQMIAVANAGYRAIAFDFRGYGLSEQPAEPEKETMYDLIDEIVGLLDALNITKAFLVGKDFGAIVAYRTAAVHPERFGAVITLGIPPGSYATQNHRLPKGYYFNRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYTLQVPYRSLNVETGSRDVKVTNPALLIMGEKDYVFKFPGTEDYIRSGAVKNAALDLEITYIPEGSHFVHEQIPDKTVPLTCSKPLLV >Vigun11g107300.3.v1.2 pep primary_assembly:ASM411807v1:11:30599989:30605566:-1 gene:Vigun11g107300.v1.2 transcript:Vigun11g107300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHSEVEVKGLKLHVAEVGTGSKAVLFLHGFPEIWYTWRHQMIAVANAGYRAIAFDFRGYGLSEQPAEPEKETMYDLIDEIVGLLDALNITKAFLVGKDFGAIVAYRTAAVHPERFGAVITLGIPPGSYATQNHRLPKGYYFNRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYTLQVPYRSLNVETGSRDVKVTNPALLIMGEKDYVFKFPGTEDYIRSGAVKNAALDLEITYIPEGSHFVHEQIPDKCR >Vigun11g107300.5.v1.2 pep primary_assembly:ASM411807v1:11:30599989:30601883:-1 gene:Vigun11g107300.v1.2 transcript:Vigun11g107300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILKERLTNFLLRYNCLYHQAFLVGKDFGAIVAYRTAAVHPERFGAVITLGIPPGSYATQNHRLPKGYYFNRWQEPGRAEADFGRFPVKSVIRNIYTLFSKSEVPIAADDQEIMDLFDPSTPLPPWFSEEDLSTYASLYEKSGFRYTLQVPYRSLNVETGSRDVKVTNPALLIMGEKDYVFKFPGTEDYIRSGAVKNAALDLEITYIPEGSHFVHEQIPDKTVPLTCSKPLLV >Vigun01g199600.2.v1.2 pep primary_assembly:ASM411807v1:1:37620322:37622881:1 gene:Vigun01g199600.v1.2 transcript:Vigun01g199600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELVPTVTKSTASFTSPTTLPPRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVACLPDVPEDHRKILKSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDLPDGNFYAVMDCFCEKTWSHSPQYKVGYCQQCPEKVQWPTELGQPPALYFNAGMFVFEPSMTTYHDLLKTLKVTTPTSFAEQDFLNMYFKDIYKPIPLTYNLVLAMLWRHPENVNLEEVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVRKWWDIYNDASLDYKPLGASEASVDGVDMEPLEPLGHLPKMQGVIVHCF >Vigun01g199600.1.v1.2 pep primary_assembly:ASM411807v1:1:37620366:37622239:1 gene:Vigun01g199600.v1.2 transcript:Vigun01g199600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELVPTVTKSTASFTSPTTLPPRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVACLPDVPEDHRKILKSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDLPDGNFYAVMDCFCEKTWSHSPQYKVGYCQQCPEKVQWPTELGQPPALYFNAGMFVFEPSMTTYHDLLKTLKVTTPTSFAEQDFLNMYFKDIYKPIPLTYNLVLAMLWRHPENVNLEEVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVRKWWDIYNDASLDYKPLGASEASVDGVDMEPLEPLGHLPKVVQRVLAAPSAA >Vigun01g199600.3.v1.2 pep primary_assembly:ASM411807v1:1:37620321:37622309:1 gene:Vigun01g199600.v1.2 transcript:Vigun01g199600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELVPTVTKSTASFTSPTTLPPRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVACLPDVPEDHRKILKSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDLPDGNFYAVMDCFCEKTWSHSPQYKVGYCQQCPEKVQWPTELGQPPALYFNAGMFVFEPSMTTYHDLLKTLKVTTPTSFAEQDFLNMYFKDIYKPIPLTYNLVLAMLWRHPENVNLEEVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVRKWWDIYNDASLDYKPLGASEASVDGVDMEPLEPLGHLPKVVQRVLAAPSAA >Vigun10g010700.1.v1.2 pep primary_assembly:ASM411807v1:10:1092965:1095713:-1 gene:Vigun10g010700.v1.2 transcript:Vigun10g010700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLFGLVFLSHFKGGLNTPLPPPNFGDRVSVLSIDGGGIRGIIPATVLVYLDNALKAKDPTSSLADYFDVISGTSTGGIMTLMLVTPNSSEPNRPLFTPSQVVQFYKRYGPDIFRPRSILDPIKCPKYDGVFLRDIARQILNDTRLDQTLTNVVIPTFNENTIHPLIFSNYKLKTESYLNAKLSDIGLGTSAAPTYFPSHEFQNDGVQFDLADGVLAANNPAFVAVSEVLQHNGGKEILLLSIGTGIPKAKEKLGDIFDDACQALWLNTHKEVFTEAMFRTDMTHYYLASIFPGLSPADNYLRIEEYNLDPSMEGIDDASKRNMDNLEKVGKDLLLQKVKRINVNTFLPYELDQTNAQALDTFVEELYAERQLRLKRKSMEKGGRPFIETI >Vigun06g091300.1.v1.2 pep primary_assembly:ASM411807v1:6:22362230:22364411:-1 gene:Vigun06g091300.v1.2 transcript:Vigun06g091300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVMATSSDSRWSMASLRSALPSPSTPPSSFSSSLRFSVAASSSSSSVKLRISRTKPKSLLTTFTGLTPLNPLFLSAPSSASEYTGFDHSFTIIDNGGRVFAMRHGRRVPKLNRPPDQRRALIRGLTTQLLKYGRIKTTRARASAIRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Vigun09g125200.3.v1.2 pep primary_assembly:ASM411807v1:9:27826596:27830289:-1 gene:Vigun09g125200.v1.2 transcript:Vigun09g125200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGTESSEEGTGLLEPPDPNIVEIDPTNRYIRYKDVIGQGAFKTVYKAFDEINGLEVAWSQIHIDEVLQSPGGPDRLYSEVHLLKSVKHDNILTFHNSWIDDKHRTMNLITELFTSGNLRQYSKKHRKVDMKAVKGWARQILNGLNYLHSHNPPIMHRDLKCDNIFINGHRGEVKIGDLGLATLLKQTNAKSVIGTPEFMAPELYDEHYNELVDIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPVALSKLKNLEVKSFIEKCLVPASQRLSAKELLMDNFLQVTGSLKNRRLPLPDIVLPKYGAYENRCLMSEGPASTRIRSISMDLGDATEQPLTTLLYNSVDSIVDSADDVLPSPCVEIRRLKEGDIFFLKGEQNDQKSVSLVLRIADQSGRARNIHFIFYINSDTAISVSSEMVEQLELAEHNVKFIAELIDLLLTTLLPDWKPCVPIDHLVTCNGKSSQQSQQTRSSRDSIQIVSGGGPGLPISRRRSRDRVSRDRVSRDRVSRDRVSRDIVISEKVLSNTSISLQGDAKIDDSCSETSQTGATFDFNEKHFSTVSFMSAKSGFTDFDLHRVNSQTSLVSEFEASSEYRNFPRAESYGTMKFFNYPMNAPPSFNEAEDELRTELEMIEQQYQEAIRDLSKRRYQAITEARRKVSQKFPNFK >Vigun09g125200.1.v1.2 pep primary_assembly:ASM411807v1:9:27826596:27830269:-1 gene:Vigun09g125200.v1.2 transcript:Vigun09g125200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGTESSEEGTGLLEPPDPNIVEIDPTNRYIRYKDVIGQGAFKTVYKAFDEINGLEVAWSQIHIDEVLQSPGGPDRLYSEVHLLKSVKHDNILTFHNSWIDDKHRTMNLITELFTSGNLRQYSKKHRKVDMKAVKGWARQILNGLNYLHSHNPPIMHRDLKCDNIFINGHRGEVKIGDLGLATLLKQTNAKSVIGTPEFMAPELYDEHYNELVDIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPVALSKLKNLEVKSFIEKCLVPASQRLSAKELLMDNFLQVTGSLKNRRLPLPDIVLPKYGAYENRCLMSEGPASTRIRSISMDLGDATEQPLTTLLYNSVDSIVDSADDVLPSPCVEIRRLKEGDIFFLKGEQNDQKSVSLVLRIADQSGRARNIHFIFYINSDTAISVSSEMVEQLELAEHNVKFIAELIDLLLTTLLPDWKPCVPIDHLVTCNGKSSQQSQQTRSSRDSIQIVSGGGPGLPISRRRSRDRVSRDRVSRDRVSRDRVSRDIVISEKVLSNTSISLQGDAKIDDSCSETSQTGATFDFNEKHFSTVSFMSAKSGFTDFDLHRVNSQTSLVSEFEASSEYRNFPRAESYGTMKFFNYPMNAPPSFNEAEDELRTELEMIEQQYQEAIRDLSKRRYQAITEARRKVSQKFPNFK >Vigun09g125200.2.v1.2 pep primary_assembly:ASM411807v1:9:27826596:27830290:-1 gene:Vigun09g125200.v1.2 transcript:Vigun09g125200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKGWARQILNGLNYLHSHNPPIMHRDLKCDNIFINGHRGEVKIGDLGLATLLKQTNAKSVIGTPEFMAPELYDEHYNELVDIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPVALSKLKNLEVKSFIEKCLVPASQRLSAKELLMDNFLQVTGSLKNRRLPLPDIVLPKYGAYENRCLMSEGPASTRIRSISMDLGDATEQPLTTLLYNSVDSIVDSADDVLPSPCVEIRRLKEGDIFFLKGEQNDQKSVSLVLRIADQSGRARNIHFIFYINSDTAISVSSEMVEQLELAEHNVKFIAELIDLLLTTLLPDWKPCVPIDHLVTCNGKSSQQSQQTRSSRDSIQIVSGGGPGLPISRRRSRDRVSRDRVSRDRVSRDRVSRDIVISEKVLSNTSISLQGDAKIDDSCSETSQTGATFDFNEKHFSTVSFMSAKSGFTDFDLHRVNSQTSLVSEFEASSEYRNFPRAESYGTMKFFNYPMNAPPSFNEAEDELRTELEMIEQQYQEAIRDLSKRRYQAITEARRKVSQKFPNFK >Vigun09g125200.4.v1.2 pep primary_assembly:ASM411807v1:9:27826596:27830269:-1 gene:Vigun09g125200.v1.2 transcript:Vigun09g125200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKGWARQILNGLNYLHSHNPPIMHRDLKCDNIFINGHRGEVKIGDLGLATLLKQTNAKSVIGTPEFMAPELYDEHYNELVDIYSFGMCMLELVTSEYPYSECRNSAQIYKKVSSGIKPVALSKLKNLEVKSFIEKCLVPASQRLSAKELLMDNFLQVTGSLKNRRLPLPDIVLPKYGAYENRCLMSEGPASTRIRSISMDLGDATEQPLTTLLYNSVDSIVDSADDVLPSPCVEIRRLKEGDIFFLKGEQNDQKSVSLVLRIADQSGRARNIHFIFYINSDTAISVSSEMVEQLELAEHNVKFIAELIDLLLTTLLPDWKPCVPIDHLVTCNGKSSQQSQQTRSSRDSIQIVSGGGPGLPISRRRSRDRVSRDRVSRDRVSRDRVSRDIVISEKVLSNTSISLQGDAKIDDSCSETSQTGATFDFNEKHFSTVSFMSAKSGFTDFDLHRVNSQTSLVSEFEASSEYRNFPRAESYGTMKFFNYPMNAPPSFNEAEDELRTELEMIEQQYQEAIRDLSKRRYQAITEARRKVSQKFPNFK >Vigun10g090500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:26137040:26137399:1 gene:Vigun10g090500.v1.2 transcript:Vigun10g090500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNNICFGRYALQALEPAWITSRQIEAGRRAMSRNVCRGGKIWVRIFPNKPVTVRPTKTRMGSGKGFPKYWVAIVKLGKILYEMGGVPENIARKVISIASSKMPIRTQFIILG >VigunL057100.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000431.1:77381:82626:1 gene:VigunL057100.v1.2 transcript:VigunL057100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLSKFFHYPIGFNLSNSFPLKCDVSSFCAANSIRQGLFGGHLNECATRALATTSCASVSEDLPKDNSVSDMLVDSFGRLHTYLRISVTERCNLRCQYCMPAEGVELTPSPQILTKTEILRLANLFVSSGVTKIRLTGGEPTIRKDIEDICLELSNLKGLRTLSMTTNGIALTRKLPRLKDCGLTSLNISVDTLVPAKFEFMTRRRGHEKVMNSINDAIDLGFNPIKVNCVVMRGFNDDEIYDFVELTRDKPIDIRFIEFMPFDGNWNVKKLVPYSEMLDTVMKQFPSLKRDQDHPTDTAKNFTIDGHEGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGAEDHELREIIGAAVKKKKASHAGMFDIAKTANRPMIHIGG >Vigun01g134700.1.v1.2 pep primary_assembly:ASM411807v1:1:31334138:31339012:1 gene:Vigun01g134700.v1.2 transcript:Vigun01g134700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKQELLKKRQSLAQDTGGKRFFKRSEIQQKEIQKLREQEKRELEAKSQKRHATSSDPATAAPTSSNTASASSSSAVAAASTSLTDEQNIDNLVLPKPEVIRRLRFLKQPITLFGEDDDARLDRLKHVLKAGVFEVDSDMTEGQTNDFLRDIAELRKRQKTGIIGERKRQKPDDGATEDREGGGGDDDLSEGGSSGADADKDLKRMKANFEELCDEDKILVFFKKLLNEWKQELHEKPESEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEERFSGVNQTSEERFRIMPAPRDS >Vigun05g198600.1.v1.2 pep primary_assembly:ASM411807v1:5:38423377:38425246:1 gene:Vigun05g198600.v1.2 transcript:Vigun05g198600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSVKAVLISTGVLSMAMGLKLTLPLLSYFFLTQAPHVWTFFLTCFTPPYLYILLNFIILTILASSKLNNNLHHHSPPDTALLLPSDPPIYDRPIPAVQIPAPDAVHLSAAAAQTDYTVSSAEYLYQTKPTLNHDAVSEGNAGCVHDENTPVKATVHDDDAAAADVPSPSLLRKDSSDFSFADENEKPPASARFGHRKAVRASPEGGKVVALGVAKAKKQETLESTWRTITEGRAMPLTRHLKKSETWETQGTPLRDLNGGPVMKKSETFAGREKNASTRLRKEPSLSQDELNRRVEAFINKFNAEMRLQRQESLRQYREMMNREAR >Vigun05g084500.2.v1.2 pep primary_assembly:ASM411807v1:5:8016022:8019145:1 gene:Vigun05g084500.v1.2 transcript:Vigun05g084500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Vigun05g084500.1.v1.2 pep primary_assembly:ASM411807v1:5:8016022:8019145:1 gene:Vigun05g084500.v1.2 transcript:Vigun05g084500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Vigun01g088700.1.v1.2 pep primary_assembly:ASM411807v1:1:24713348:24716448:-1 gene:Vigun01g088700.v1.2 transcript:Vigun01g088700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDYENTYSTIVIDSNHTLKVCFDKSIYPGSALWLENSLEETLPSFVLQFAIILALNRFFFVLAEQCHLPRIVANIFTGFLLGTSVMGRWKVFMDQLFPFSNMIPLETVGSLALVYYVFLVGLEIDLNPIKTLNRKTKVIAIASTIFPIPIGIGLYYLLVTDMGRKHLDSDNVRPKGAILWGLALSCSSEFPEIAKILSDLKLLLTENGQLALTASLLNDLTSWTLLVLALSQFYHASVVSFVITVVVLFLCFVALNPLFKWAFNNVGMADKEFLESQVIFVLNVVLVVGLLTDGLGVLSITGAFFLGVVIPQGSLNNAVQDKVQDFVSVMLMPLFFVVVGERIRIQDLALQTHWTTVLVVVVLAMVTKIVCTMAATWFYRMPHMEGLSLAMLMNTKGIMPLIILCTGRDRHELDSQTFGVMMLACWLMTIPVGPVSSALANALKSRMVMGSLRRTHDTQPDSPLKLLACIHTKRDANVIIDLLKASCPSVRNPIQLLAVELNKTTNRPAAALIIRNARNTSFTSRSLKLGSEDTLGSFDTLNQAIFAEKISIMSDYNTMHQDIINLARHRGVTLILSTFHKQPTYDGLGAGAATARAVNIINRDHASKDEKKVVLESLAKNAPCCLAILVDRGLSGKRSKELRVAMFYVGGADDREALSYAWRMTRSSDVNLTVVRLVWENPNDEFDEMDKEFIKGFMKQMGDSTKVRYLEKVVRDEKKTVKLLNKIGKKGFDLFVVGRGHGRKMSLAQTVDPVLEEAVLGPLGDALTDLNSAAETSILIFQRQGEEVEGKHVRSASSPDGNVFSCMMEQMLMCPSTKSTVILPQ >Vigun01g084000.1.v1.2 pep primary_assembly:ASM411807v1:1:23841489:23844362:1 gene:Vigun01g084000.v1.2 transcript:Vigun01g084000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNQRHIVFSSELELAMPTTSRNPLVVGRVIGEVIEHFESSIPFRVSYGNREVNNGCELKPSQVVNKPRVSVGGDDLRNFYTLVLVDPDAPSPSNPNFREYLHWLVTDIPATTGASFGNEVVSYESPRPTMGIHRLVFVLFRQQYRQRVYAPGWRQNFNTREFAELYNLGLPVAAVFFNCQRETGSGGRTF >Vigun06g073100.1.v1.2 pep primary_assembly:ASM411807v1:6:20399084:20401458:-1 gene:Vigun06g073100.v1.2 transcript:Vigun06g073100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMDTLPILLTLAAILLSTYFLWFYFLARTLTGPKVWPMIGSVPELVANRNRVHDWIASNLRQTGGSTTYQTCTLTLPFFARKQGFFTVTSCPRNIEHILRTRFDNYPKGPHWQAAFHDLLGQGIFNSDGETWLMQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKAAKENVSVDLQDLLLRLTFDNICGLTFGKDPETLSPDLRENPFTVAFDTATEITLQRLLYPGIIWRFEKLLGIGKEKKLEQSLKIVETYMNDAVSAREKSPSDDLLSRFMKKRDAAGKPLSAATLRQIALNFVLAGRDTSSVALSWFFWLVMNHPAVEDKILAELAAVLTSTRGGDRRRWTEEAVDFEEAERLVYLKAALAETLRLYPSVPEDFKHAVADDVLPDGTAVPAGSTVTYSIYAMGRMKSVWGEDCMEFKPERFLSAKGDRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSPVPGHRVQQKMSLTLFMKNGLRVFLQPRQLQPHTATSA >Vigun10g028900.2.v1.2 pep primary_assembly:ASM411807v1:10:3643133:3646104:1 gene:Vigun10g028900.v1.2 transcript:Vigun10g028900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKNFYDEYAKHEGFVVRLDRCHRSEVDNRIISRRFSCNKQGFHVRVRNKTKPVHKPRTSIREGCEAMMLVKVNKFGKWVVTKFVKEHSHLLNASGSRSYSKMIESKDRIIQQLTKELEYRDRLCQQYRRQLFSLLETVEEQTESLSKNVELVVNNIKNL >Vigun10g028900.4.v1.2 pep primary_assembly:ASM411807v1:10:3643133:3646104:1 gene:Vigun10g028900.v1.2 transcript:Vigun10g028900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKNFYDEYAKHEGFVVRLDRCHRSEVDNRIISRRFSCNKQGFHVRVRNKTKPVHKPRTSIREGCEAMMLVKVNKFGKWVVTKFVKEHSHLLNASGSRSYSKMIESKDRIIQQLTKELEYRDRLCQQYRRQLFSLLETVEEQTESLSKNVELVVNNIKNL >Vigun10g028900.5.v1.2 pep primary_assembly:ASM411807v1:10:3643331:3646091:1 gene:Vigun10g028900.v1.2 transcript:Vigun10g028900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLEAVAETVVNSTDQISAALEGNSIGEPNVGMEFESEEAAKNFYDEYAKHEGFVVRLDRCHRSEVDNRIISRRFSCNKQGFHVRVRNKTKPVHKPRTSIREGCEAMMLVKVNKFGKWVVTKFVKEHSHLLNASGSRSYSKMIESKDRIIQQLTKELEYRDRLCQQYRRQLFSLLETVEEQTESLSKNVELVVNNIKNL >Vigun10g028900.1.v1.2 pep primary_assembly:ASM411807v1:10:3643120:3646104:1 gene:Vigun10g028900.v1.2 transcript:Vigun10g028900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLEAVAETVVNSTDQISAALEGNSIGEPNVGMEFESEEAAKNFYDEYAKHEGFVVRLDRCHRSEVDNRIISRRFSCNKQGFHVRVRNKTKPVHKPRTSIREGCEAMMLVKVNKFGKWVVTKFVKEHSHLLNASGSRSYSKMIESKDRIIQQLTKELEYRDRLCQQYRRQLFSLLETVEEQTESLSKNVELVVNNIKNL >Vigun10g028900.6.v1.2 pep primary_assembly:ASM411807v1:10:3643120:3646091:1 gene:Vigun10g028900.v1.2 transcript:Vigun10g028900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEEAAKNFYDEYAKHEGFVVRLDRCHRSEVDNRIISRRFSCNKQGFHVRVRNKTKPVHKPRTSIREGCEAMMLVKVNKFGKWVVTKFVKEHSHLLNASGSRSYSKMIESKDRIIQQLTKELEYRDRLCQQYRRQLFSLLETVEEQTESLSKNVELVVNNIKNL >Vigun08g180000.1.v1.2 pep primary_assembly:ASM411807v1:8:34995640:34996977:1 gene:Vigun08g180000.v1.2 transcript:Vigun08g180000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLAAVRRNFQSTRKSSKVADESMFEAGNGVELFGDDRSRRQHGWGFVCSILQAPISILSCVSHPQVNGSDGVWVTGEFSQVSEMNHLMFGSYM >Vigun03g277400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:45449146:45450343:1 gene:Vigun03g277400.v1.2 transcript:Vigun03g277400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPTGSNPAHLAGLRRLSARAASVSAPTVRNGLLSFSALSEKVITHLRNSGHAEFARSDSHSDPVLKKQRSVAEKHSASKCPIPTFSRRSLDASVDRRRRASPEWLEGYVGLMGSVLREGGWSESDISEMVSGSGSDLGSVLIDNEGVMDELVSKAERFSDSLRKSGWSPEEVSDALGLGFDLQPEKGRRPPKKVSPQLVERIGKLVESVSRS >Vigun02g190000.1.v1.2 pep primary_assembly:ASM411807v1:2:32780218:32785978:-1 gene:Vigun02g190000.v1.2 transcript:Vigun02g190000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFRASRSSISTSSDAGDGQKPPLPPSVQFGRRTSSGRYVSYSRDDLDSEIGSTDFMNYTVHIPDTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAVPGCDSKVMSDERGADILPCECDFKICRDCYIDAVKTGGGVCPGCKEQYKNTELDEVAVDNGHPLPLPPPPGGVSRMGKKLSLMKSQKSTLVRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGFGNEIEDDVVEPTELMNRPWRPLTRKLKIPAAILSPYRLIIFIRLVVLALFLAWRVKHQNTDAVWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPTPNNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANTWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAYHAREEIKAMKVERQNRGDETLETVKIPKATWMADGTHWPGTWLNPTSEHSKGDHAGIIQVMLKPPSDEPLPGSADDTSIIDLTDIDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKEHHTSCCNCCFGRQKRHASMASTPEESRALRMGDEEEEEMNLSLFPKKFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLSYLLGITVTLCILAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIGIAVGVSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSNQIGGSFQFP >Vigun01g215500.1.v1.2 pep primary_assembly:ASM411807v1:1:38925047:38926610:-1 gene:Vigun01g215500.v1.2 transcript:Vigun01g215500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDFINKSLSLPPYPEMILEAVEALNEGNGSNKTSISKYIESRYGVLPPGHKVLLNVHLAKMRDSGVLDFWKNNYTKRDPNAPPRRGRGRPPKPKELLPPGIVQSPPRPRGRPPKGPSDMQRPPKATAGSGRPRGRPRKMARPAGGFGEASGSSLKPSGKPRGRPPKVRPEGLHG >Vigun01g125300.1.v1.2 pep primary_assembly:ASM411807v1:1:30202300:30204892:1 gene:Vigun01g125300.v1.2 transcript:Vigun01g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYIVYTRDTKRWTTSIVELLSQKQKMASPHVFHFSHPHPLQCTTLPSTPNIVTCFGCNIKVNYGEDYYQCKTCAFSLHHVCYNMPLITNHPSHPTHDLHLLVAPSSKATLNCVACGHRVTAFSYHCAQCTSFFHALCLALPVSLAITCHPHKIKLEFSPPYDFFCDLCNKPCNFNHRWLYRCSMCEFDTHISCALENLEPRLFQSPSFPQSSPLLRQQVEHTKLSAGVGDSFKGYEFGIMSLVAEQIGGENFDSKTDGWDKRLYSSSPWKKYNRGESEKMKNVELELQEKKLSPAEVLSKLEERTPLRDKWTPLSDHSPFSYQYSDSCFSIDLAKSYSAHARRSDQITRNVVSKEPFVPVNNKMNADSDVGKSSQRVTMKNPNESHAKRSVQDQTIAMSETGRSSCSCWRKFLNCCP >Vigun06g189500.1.v1.2 pep primary_assembly:ASM411807v1:6:30750402:30754104:-1 gene:Vigun06g189500.v1.2 transcript:Vigun06g189500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSTFFILLSLLALVDSKYYSCKNEDQELVSKAFQSVSGFNSSWFQSASNCSNAAVIKRLNLSFRNLSGSISWKYLRNMSKLEVLDLSGNFLQGQVPNWLWTTSSLSVVNLSQNRFGGSINPTSQNGSFSSLKNLNLSYNRFTNQLHLSVFKNLKSLDLSHNNLRTLPLGFQNLTNLQYLNLSDCNIKGSVKPISTLTSLSFLDLSNNSLNGSFPSDFPPLKTIQFLNISHNNFKASTALDRFKKFGKSAFIHAGNNFSYTASKTPKLGATWTSASTSTPPHERSHHIQAEKKRPREKQKSKQKPRSMIAALSCASALVVVGLCMCVVWRCRRKRQWAKKNKWAISKPVPVNVKMEKSGPFAFETESGTSWVADLKEPSSAPVVMFEKPLMNLTFVDLISATSHFGKDSLLAEGRCGPVYRAVLTGDIHVTIKVIENARDVHHDDAVALFVDLAQLKHPNLLPLSGYCIAGKEKLVLYEFMSNGDLGRWLQELPAGETNVEDWSGDTWEIIQNGAVSRASPPEKMGWPIRHRIAVGVARGLAFLHHAGSRPVVHGHLVTSNVLLGDDFEPRIADFGFRKFGRESAAAATNCSTETDVYCFGVVLMELLTGRGGTAETVVWVRKAVREGHSVKALDERLKLGGGGGGDSESEMVESLRVAYLCTAESPGKRPTMQQVLGLLKDIHPSNGLD >Vigun09g181800.1.v1.2 pep primary_assembly:ASM411807v1:9:35554487:35557483:1 gene:Vigun09g181800.v1.2 transcript:Vigun09g181800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTASPSPLMQSNSSFAPSSSSRFSFSTTPSYCAFPPRRIATPRTGPSPAQAQAQAQAKEAKLWGGRFKEGVTDAVERFTESISFDKQLYKQDIKGSRAHASMLAHQGLISESDRDSILEGLEKIERRIENGEFSWRADREDVHMNIEAALTDMIGEPAKKLHTARSRNDQVQTDFRLWCRDAIDGILVSMKQLQVSLLTLALRNEGLIVPGYTHLQRAQPVLLQHLLLAYVEEIERDAGRLVDCRARMNFCPLGACALAGTGLPIDRFMTSEALGFTAPLRNSIDAVSDRDFVLEFLSANAITAVHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPHAYNRDLQEDKEPVFDSVKTILGMLEVSAEFALNISFNLERIQKALPAGHLDATTLADYLVNKGVPFRTSHDIAGKSVALCTLKNCQLLDLSLDELRSINPVFEEDVYDFLGVENAIQKFVSYGSTGSACVASQIEYWMKKLEME >Vigun05g040400.1.v1.2 pep primary_assembly:ASM411807v1:5:3274068:3280766:1 gene:Vigun05g040400.v1.2 transcript:Vigun05g040400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSQHQFRYTQTPSKVLHLRNLPWECSEEELRELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAMVRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKTAGFQALIQFTDAETASAARDALDGRSIPRYLLPAHVGSCNLRISYSAHKDLNIKFQSNRSRDYTNPMLPVNYTAIEGTVQTAIGPDGKRKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGQTQALIQYPDVITAAAAREALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTVPDPSLLAAQGPVTAWQNPQAAPMYPGSAPGYHTQVPGGQVPSWDPSLQAVRPSYISAPGTFPVQTGTVPPMPSYAPAASSPHAQSSPIGHNANPMGISQSGVPPNVNLQPSGAPLSVPGSSPLMQTSQAAQPNARPGAASPPGQHYYA >VigunL014671.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000016.1:275068:275547:1 gene:VigunL014671.v1.2 transcript:VigunL014671.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPITEVSSESNSNDSKHFTLFWKPKDSIVWIWGTNTQFQVSKQNSILDIIDTYRILWKVVFDESRMYGLEGDLSYLSRSTLQYGAKKPK >Vigun08g027800.1.v1.2 pep primary_assembly:ASM411807v1:8:2514676:2516428:-1 gene:Vigun08g027800.v1.2 transcript:Vigun08g027800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLICVLLGFIWVMEGVRGGLGAVSRDIFVLAGQSNMAGRGGVVGGKWDGKVPAECGPSPWIWRLSAGLEWEEAREPLHADIDVTKTCGVGPGMAFANEVLRARGGGVVGLVPCAVGGTKIGEWSRGSRLYDELVKRAKRAMGLGRIRGLLWYQGESDTVREKDSESYKDKMEKLILDLRSDLHLPSLLVIQVALASGEGKFIEKVRRAQMEIKMDNVKCVDAKGLSLKADKLHLTTMGQVHLGIKLAHAYLNSTTYHNQINHTQSYQPHVS >Vigun08g027800.2.v1.2 pep primary_assembly:ASM411807v1:8:2513242:2516428:-1 gene:Vigun08g027800.v1.2 transcript:Vigun08g027800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLICVLLGFIWVMEGVRGGLGAVSRDIFVLAGQSNMAGRGGVVGGKWDGKVPAECGPSPWIWRLSAGLEWEEAREPLHADIDVTKTCGVGPGMAFANEVLRARGGGVVGLVPCAVGGTKIGEWSRGSRLYDELVKRAKRAMGLGRIRGLLWYQGESDTVREKDSESYKDKMEKLILDLRSDLHLPSLLVIQVALASGEGKFIEKVRRAQMEIKMDNVKCVDAKGLSLKADKLHLTTMDSASESAETVFI >Vigun08g026000.1.v1.2 pep primary_assembly:ASM411807v1:8:2278096:2280602:1 gene:Vigun08g026000.v1.2 transcript:Vigun08g026000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFDLINDIDGKRETLKLGVRITDLWIVQNRDSTKHIQMILVDHKGNVIPAFVKKEDVGLWEDKLIEGQTYVMHNFKILKNQGQYRVCEHPYKLMFIGATTIKPQEIANVPMRVYNFKSIKEIVDGTYSTTDILIDIIGAVDNVKNKLNSNCVFFNVMDLSGSVIGCTLWDNYATKFLSHWNEKQNSDTTIVILTQAKIKAPSGTWPVSISNSWHGSKLFTDDDIPEIREFKEKYIEMPLSEISQSQDQTQMPTSSQYSKQERFMFKATVKSISEINAIKEEMTCVTVGTTIKFNLSDDGWIYFACTHCNKKTNQVGAFKCNKCDKYNDNLVLKYKLEVQVCYGKDYANFVLWDQDCASIIGMSTTNLRKSMIEVGEDDPKCFPNDLDVILGCTLAFKVKVQSRSKSMSVMKVSNDVEIIEEIKGQLQPEQESFCGSTNHDPNTSFSVTPCKRLCSDIDNDNQLSQELKNAQMSTTKMSKTVKKEKN >Vigun10g184100.1.v1.2 pep primary_assembly:ASM411807v1:10:40085024:40087232:-1 gene:Vigun10g184100.v1.2 transcript:Vigun10g184100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWSEFLASSSGREFVAGGFGGIAGIFAGYPLDTLRIKLQNTKNGSAVTIFKHMVLREGTCSLYRGMAAPLATVTFQNAMVFQTYAVLSRACDLSVSPKDPASYKGVALGGTGTGALQSLLLSPVELIKIRLQMQKESQMAESIKGPIRLAKHIWRKEGLRGIYRGLGVTVLRDGPSHGLYFWTYEYMRERLHPGCRKSGEESLQTMLVAGGSAGVTSWISCYPLDVVKSRLQAQTPSSLKYKGIVDCFRKSVKEEGYSVLWRGLGTTVARAFIVNGAIFSAYEVALRLLFRNPSIHTKETI >Vigun01g091600.1.v1.2 pep primary_assembly:ASM411807v1:1:25272217:25276490:1 gene:Vigun01g091600.v1.2 transcript:Vigun01g091600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPQDNVEGTSSRVNKQKSKVSKCVRPRASSPPCAACKKMRKKCTSDCIFAPYFGSSQGSTRFAAVHKVFGANNLSKLLLEVEEIHRNEAINSLCYEAQARLANPVHGCVSTISALQQQVTSLQGELAMVQNQLINTQNLYESLLQRTYHQQQPNINVVVQPTQCNNMPPSANNLMNMSFFNPAFDHLAMQTTPSTNNTDPLQFCGLPHFNINMPHLFP >Vigun05g281000.1.v1.2 pep primary_assembly:ASM411807v1:5:47011981:47016400:-1 gene:Vigun05g281000.v1.2 transcript:Vigun05g281000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPSSRGAGAGVKLFGVRLTDGSIIKKSASMGNLNLASTHHHSSPSSSNLAASSPNPSSPCSDPPHEPQGYLSDDPAHISTFANRRGERKKGVAWTEEEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSHATRRKRRSSLFDMVPEMTSDPPSVPEEQVLLPPSENSLPCNGNSQPSLNLSLKSEFEPMETTSQENVEQSNETMMGSNGLTSMAPHGFFPTYLPVPFPIWPSTAAPFEEVKGGETSHHQVHRPIPVIPKEPVNVDELVGMSHLSIGETQVRDREPSPLSLKLLGEPSRQSAFHANAPVGGSDLKTGKNKAIQAV >Vigun03g070200.1.v1.2 pep primary_assembly:ASM411807v1:3:5808859:5810533:-1 gene:Vigun03g070200.v1.2 transcript:Vigun03g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEPKLGFTFCWSEVTAMAPAQKTRKVSRNPELIRGIGKYSKSQMYHKRGIWAIKAKNGGVFPRHDPTPKPQSPALKPPKFYPADDVKKPLLNKHKPRLTKLRASVTPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDVSAVNVDKFDDKYFSKESSKKKKKGEGEFFEAEKEEKSVLPQQKKDDQKTVDSALIKAIESVPNLNAYLGARFSLKDGVKPHELVF >Vigun11g090900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:27084213:27084491:1 gene:Vigun11g090900.v1.2 transcript:Vigun11g090900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAIRRASFKASQAASNSVEVPKGYLAVYVGEKQKRFVIPITYLNQPSFQDLLSKAEEEFGYDDPMGGLTIPCSEEIFHRITSCLDGQ >Vigun10g139375.1.v1.2 pep primary_assembly:ASM411807v1:10:35546468:35547433:1 gene:Vigun10g139375.v1.2 transcript:Vigun10g139375.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNFNQEQVVNTMSRYQFCIIHLEDEVVGFVDRAFAILYDHDLQCQWTLTDEEGNRHVVTYNKNLQKPMVIGGWNDLREFYELHDNHSIYFGYVGHSCFHITVFPSKCKPLSIGRFLKRLQADEPLFNGPKLHFCIFLNPNQCHASHLDLPSDFGNYLRQGRFKYILLHGPREIVKCKLLLRNHPKKSNKIGSGWKEFCTAHGFDQPIDLVFEIDQMKSNQNVKVLTYCNL >Vigun03g301200.1.v1.2 pep primary_assembly:ASM411807v1:3:49061745:49063043:1 gene:Vigun03g301200.v1.2 transcript:Vigun03g301200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRINDATHNRSAMNRGSWTPEEDTKLAQCIEIHGAKRWKTIAVKSGLNRCGKSCRLRWLNYLRPNIKRGNISHEEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLCKKVNQREERPESSTTHEIVLQNENGGDKVAVSEKEVGSNESGNLDVTFDIDEFFNFSEESCGLDWLNKYLELDHIPHTTTHS >Vigun03g160900.1.v1.2 pep primary_assembly:ASM411807v1:3:17849953:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEITEGERLTVALWFSRDGSHDEDKKLISLLSQHLLHKNLADSYLPLPASCNMYWFSLGQASDCQFGFNICWARLHVLGYDIYISQDSGDDCDVSDLLVKPVHLVRGSELLDQEFVNIMHALQVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGLNSVLSNDNDFASKVFCNTLSEENGYICFDWSGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun03g160900.3.v1.2 pep primary_assembly:ASM411807v1:3:17849953:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun03g160900.5.v1.2 pep primary_assembly:ASM411807v1:3:17849983:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEITEGERLTVALWFSRDGSHDEDKKLISLLSQHLLHKNLADSYLPLPASCNMYWFSLGQASDCQFGFNICWARLHVLGYDIYISQDSGDDCDVSDLLVKPVHLVRGSELLDQEFVNIMHALQVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGLNSVLSNDNDFASKVFCNTLSEENGYICFDWSGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun03g160900.7.v1.2 pep primary_assembly:ASM411807v1:3:17849972:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEITEGERLTVALWFSRDGSHDEDKKLISLLSQHLLHKNLADSYLPLPASCNMYWFSLGQASDCQFGFNICWARLHVLGYDIYISQDSGDDCDVSDLLVKPVHLVRGSELLDQEFVNIMHALQVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGLNSVLSNDNDFASKVFCNTLSEENGYICFDWSGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKR >Vigun03g160900.4.v1.2 pep primary_assembly:ASM411807v1:3:17849953:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEITEGERLTVALWFSRDGSHDEDKKLISLLSQHLLHKNLADSYLPLPASCNMYWFSLGQASDCQFGFNICWARLHVLGYDIYISQDSGDDCDVSDLLVKPVHLVRGSELLDQEFVNIMHALQVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun03g160900.6.v1.2 pep primary_assembly:ASM411807v1:3:17850002:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEITEGERLTVALWFSRDGSHDEDKKLISLLSQHLLHKNLADSYLPLPASCNMYWFSLGQASDCQFGFNICWARLHVLGYDIYISQDSGDDCDVSDLLVKPVHLVRGSELLDQEFVNIMHALQVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGLNSVLSNDNDFASKVFCNTLSEENGYICFDWSGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun03g160900.2.v1.2 pep primary_assembly:ASM411807v1:3:17849953:17856878:1 gene:Vigun03g160900.v1.2 transcript:Vigun03g160900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDFEPQHSRLFIPNFLSLNECRELEFIHKSSSTVGYRPNVFSTTLSHLIATNSSHFVIPFIPIRERLKDRLEEFFKCEYELFIEFTGLISWSKGASIGWHSDDNRPYLKQRHFSAVCYLNTYGKDFTGGVFHFQDGEPKSIMPKAGDVVMYTADDHNIHSVDEVVHFYCWKGSALLNKVSNTDSKVVKVTDVQREKISGLNSVLSNDNDFASKVFCNTLSEENGYICFDWSGILAAVAAWEDYASNLSQQIHLQFPFWKMHESIYNVQLDEPCSSNKRLG >Vigun08g104050.1.v1.2 pep primary_assembly:ASM411807v1:8:25860047:25860733:-1 gene:Vigun08g104050.v1.2 transcript:Vigun08g104050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLNPLRSSKTCGRMLLPKLTFHSINIKMNKAWTGSEKAKEGVFKEEDSWLSFALLFNNGCWLVLILSFSQAGLAALVVDSI >Vigun10g179600.1.v1.2 pep primary_assembly:ASM411807v1:10:39762032:39771048:-1 gene:Vigun10g179600.v1.2 transcript:Vigun10g179600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFTRSISRSISRSSWKMEEVFASGRYSRRTSQVDEDEEALKWAAIEKLPTYDRLRTSIIQTFAEGGEQQPGNQILHKEVDVRKLDMNDRQQIIDKIFKVAEEDNEKFLRKFRNRIDKVGIRLPTVEVRFQNLTVEANSYVGSRALPTLPNSALNILESLFGICGISTAKRTKLTILKNASGIVKPSRMALLLGPPSSGKTTLLLALAGKLDPELKVKGEITYNGHKLDEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCLGVGTRYDLLTELARREKEAGIFPEADVDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMHRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTEATILMSLLQPAPETFNLFDDIILISEGQIVYQGPREHIVEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKNKPYRYVTVTEFANKFKRFHVGMRLESELNVAFDKSSAHKAALVYSKNSVPTMDLFKACWDKEWLLIKRNSFVYIFKTVQIIIIAIISATLFLRTEMHQDNEDGASLYIGAILFSMIMNMFNGFAELALTIGRLPVFYKHRDHLFHPAWTYTLPNFLLRIPISVFESLVWVLVTYYTIGFAPEASRFFKQLLVVFLIQQMAAGMFRVISGVCRTMIIANTGGALMLLLIFLLGGFILPKREIPDWWVWAYWVSPLTYAFNSLAVNEMFAPRWMHPQTSSDGSTTLGLSVLRNFDVFAKEEWYWIGAAALFAFTIFYNVLFTLALMYLNPLGKKQAIISEEDASEMEIGGDTNEEPRLVRPPQSNKDSMLRSLSTADGNNAREVAMQRMGSQATSGLRKVDSANDSATGVSPKRGMILPFQPLAMSFDTVNYYVDMPAEMKAQGVTEDRLQLLRGVTSSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKNQETFARVAGYCEQTDIHSPQVTIRESLIYSAFLRLPKEVNEEEKIQFVDQVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKEMYNPATWMLEVSSVAAEVRLGMDFAEYYKSSSLFQRNKALVKELSTPPPGTTDLYFPTKYSQSALGQFKSCFWKQWLTYWRSPDYNLVRFFFTLASALMIGTIFWRIGRNRDNSSDLTMIIGAMYAAVIFVGINNCQTVQPIVAVERTVFYRERAAGMYAPLPYALAQVFAEIPYVFVQAVYYSLLIYAMVNFEWKVEKFFWFFFVSFFSFLYFTYYGMMTVSITPNHQVASIFAAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDIEDPIDVPGSAINFTVKGYIENHYGFKSDFMGPVAAVLVAFTVFFAFVFSFCIKTLNFQSR >Vigun09g267900.2.v1.2 pep primary_assembly:ASM411807v1:9:43091819:43095245:1 gene:Vigun09g267900.v1.2 transcript:Vigun09g267900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQCHFLSHSHSPVPNSFLPPTFRLRAMAAHTTPLQLNRFAEVGNTVADAAGEVIRKYFRKNFDVIHKHDLSPVTIADRSAEEAMVSIIQENFPSHAIYGEENGWRCKEKTADYVWVLDPIDGTKSFITGKPVFGTLVALLQNGIPILGIIDQPVLKERWIGISGKRTTLNGQEISTRTCTPQAHICLVEMQKRHSSVLEARLKFHYTAVTAMHMLFCLLVLWILLLSLV >Vigun09g267900.1.v1.2 pep primary_assembly:ASM411807v1:9:43091819:43095245:1 gene:Vigun09g267900.v1.2 transcript:Vigun09g267900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQCHFLSHSHSPVPNSFLPPTFRLRAMAAHTTPLQLNRFAEVGNTVADAAGEVIRKYFRKNFDVIHKHDLSPVTIADRSAEEAMVSIIQENFPSHAIYGEENGWRCKEKTADYVWVLDPIDGTKSFITGKPVFGTLVALLQNGIPILGIIDQPVLKERWIGISGKRTTLNGQEISTRTCADLSQAYLYTTSPHLFSGDAEEAFIRVRSKVKIPLYGCDCYAYALLSSGFVDLVVESGLKPYDFLALVPVIEGAGGVITDWKGDKLFWEASPLSIATSFNVVAAGDKQIHQQALDSLQWK >Vigun08g054500.5.v1.2 pep primary_assembly:ASM411807v1:8:6637618:6641112:-1 gene:Vigun08g054500.v1.2 transcript:Vigun08g054500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLENGAKKGEDPRLQAISEAIRVVPHFPKQGIMFQDITTLLLDHNAFKDAVDIFVDRYRDMDISVVAGEVISEKYVLEYGSDCLELHVGAVHSSERVIIVDDLVATGGTLSAAIRLLELAGAEVVECACVIGLSDLKVRSKLNGKPLYILLEPREVENGF >Vigun08g054500.4.v1.2 pep primary_assembly:ASM411807v1:8:6637618:6641112:-1 gene:Vigun08g054500.v1.2 transcript:Vigun08g054500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLENGAKKGEDPRLQAISEAIRVVPHFPKQGIMFQDITTLLLDHNAFKDAVDIFVDRYRDMDISVVAGEVISEKYVLEYGSDCLELHVGAVHSSERVIIVDDLVATGGTLSAAIRLLGTKQA >Vigun08g054500.1.v1.2 pep primary_assembly:ASM411807v1:8:6637618:6641112:-1 gene:Vigun08g054500.v1.2 transcript:Vigun08g054500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLENGAKKGEDPRLQAISEAIRVVPHFPKQGIMFQDITTLLLDHNAFKDAVDIFVDRYRDMDISVVAGVEARGFIFGSSIALGIGAKFVPLCKPGKLPGEVISEKYVLEYGSDCLELHVGAVHSSERVIIVDDLVATGGTLSAAIRLLELAGAEVVECACVIGLSDLKVRSKLNGKPLYILLEPREVENGF >Vigun08g054500.7.v1.2 pep primary_assembly:ASM411807v1:8:6637618:6641113:-1 gene:Vigun08g054500.v1.2 transcript:Vigun08g054500.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLDWIEGVEARGFIFGSSIALGIGAKFVPLCKPGKLPGEVISEKYVLEYGSDCLELHVGAVHSSERVIIVDDLVATGGTLSAAIRLLELAGAEVVECACVIGLSDLKVRSKLNGKPLYILLEPREVENGF >Vigun08g054500.6.v1.2 pep primary_assembly:ASM411807v1:8:6637618:6641112:-1 gene:Vigun08g054500.v1.2 transcript:Vigun08g054500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLENGAKKGEDPRLQAISEAIRVVPHFPKQGIMFQDITTLLLDHNAFKDAVDIFVDRYRDMDISVVAGVEARGFIFGSSIALGIGAKFVPLCKPGKLPGEVISEKYVLEYGSDCLELHVGAVHSSERVIIVDDLVATGGTLSAAIRLLGTKQA >Vigun06g139600.1.v1.2 pep primary_assembly:ASM411807v1:6:26496881:26502316:-1 gene:Vigun06g139600.v1.2 transcript:Vigun06g139600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSFLVLLSLYFFSVVGQLPSQDILALLEFKKGIKHDPSGYVLNSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADTDLSAFSNLTKLVKLSMSNNSITGNLHGSIAEFKSLEFLDISNNLFSSSLPLEIGKVSSLQNLSLAGNNFSGPIPDSMSEMASMKSLDLSRNSFSGKLPVSLTKVTSLVSLNLSHNSFTGKIPKGFELIPALEKLDLHGNMLEGNLDVDFMLFSSASYVDLSENMLSSSDSKQKFLPRLSESIKHLNLSHNQLTGSLASGVAEPVFENLKVLDLSYNQLDGELPGFDFVYDLQVLRLSNNRFSGFIPNGLLKGDSLVLTELDLSANNLSGPLSIITSTTLHSLNLSSNQFTGELPLLTGSCAVLDLSNNKLEGNLTRILKWGNIEFLDLSGNHLIGTIPEETPQFLRLNYLNLSHNSLSSSLPKVLTQYPKLIVLDISFNQLDGRFLSGLLTMPSLQELHLENNVISGGINFSSSPDQSDLQILDLSHNQLNGYFPDEFGSLTGLKVLNIAGNNFSGSLPTTIADMNSLDSMDISDNHFTGPLPNNMPKGLQNFNASGNDLSGLVPEVFRKFPSSSFFPGNSKLHFPNGPPGSTASPAESSKKKHLNTIVKVIIIVSCVVAFFILILLAVFIHYIRISRSPPEYDTSKDIHRHPQPIISAPVRTTDRGGALVVSAEDLVATRKESPSEVISSDEKMAAVTGFSPSKQSHFSWSPESGDSFTGENLARLDTRSPDRLIGELHFLDDSITLTPEELSRAPAEVLGRSSHGTSYKATLENGLLLRVKWLREGVAKQRKEFVKETKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWMQRLKIAVDVARGLNYLHFDRAIPHGNLKATNVLLDTTDMNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPMPSFKSDVYAFGVILLELLTGRCAGDVISSEEGGVDLTDWVRLRVAEGRGSECFDATLMPEMSNPVVEKGMKEVLGIAMRCIRSVSERPGIKTIYEDLSSI >Vigun01g231900.1.v1.2 pep primary_assembly:ASM411807v1:1:40419107:40421862:-1 gene:Vigun01g231900.v1.2 transcript:Vigun01g231900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISDVQNKTLVCQNPYSFGHENVWQGGNPLESPTCLLFMQVSLMTLVTQLTDICLKPLGQSSLVSQIIGGMLFGPSALGHIKVIHHLLFPVKGSVVLETVSYFGLMFYYFLWSVRLDLFTVLKTERVALVLAISVSIFSLVIPTGLSFLLKNYVAMEKQVAEALPYIGISQTYTIFISIAVLLTDLKILNTDIGRLTISVAILTDMTGFFMSAVTFSITRCTEGDILTIIYSILSSALYVLLIICVMRPTILWMVKNPGKGSTNEICVVCIFICVILSGFMSEVIGQHFSMGPILLGLSLPEGPPIGTSMMKKLETMCMAFLNPISLAVNGLLVDIFNIDMDSLWSICIILVVGFFVKIGAIMLPGYYLNLSMKKCWIIGLLLNGKGICELVFFNMWLGSEVINEDQFSIMVISVILVNVILVTVVKFIYDPSKPYDNVRRCTIQHTRGDSELRIMVCIDNKENLPTILNLLEASYAGRDSMIEVTTLVLVELQGRARPILVSNQSHESTHGVVYESNQIDNALRQYAQQNEEHVSIKSYTSISLFETMHDDVCRISLESGANILIMPFHKRWEIDGTVEVAHRSIRAMNIKVLQKAPCSVGILVDRGILGASPSLLGAREPYYVVVFFIGGADDVETLAYGARMARHECVCVTVVRFLLFGEENSKDRKRDSDLIDEYRYHNAGNNRFEILDEVVKDGIDMSICIRRLVDYFDLVMVGRRHAHNAMLQGHDSWSECEELGVIGDMLASPNFVTKASVLVIQQQRIRGRVIKPGVNLNQVPKDQPMHNVPTNNNTLSPSCTILVDKHDKM >VigunL004001.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000147.1:13670:14092:1 gene:VigunL004001.v1.2 transcript:VigunL004001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFEDYVSDIFKSRQEAKKRGNEALSYIYKILLNSLYGRFGIKPESTITTICEENKYNYLIQNKDFNIANKLSDKDYILSDLNNRDDATDWAPPRLSAVQLAAAITACSRIHMYKSISREDCYYTDTDSVVLKNPIPE >Vigun02g060600.1.v1.2 pep primary_assembly:ASM411807v1:2:20603548:20607669:1 gene:Vigun02g060600.v1.2 transcript:Vigun02g060600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLFVDRLARPVPVDPVAQPAQLPSEPSPPPAAADATIAVAESSGTAPAEDRVGEGGDEEEPLIQMAECRICQEEDGVSNLETPCACSGSLKYAHRKCVQHWCDEKGDITCEICHQPYQPGYTAPPPRPNPEETTIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAALILMALLLLRHALSVSDGDSSDDDPSNFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQQEAAALAATQVAFVLQSGQRRGLQFAIAPGPATVHQEQV >Vigun02g060600.2.v1.2 pep primary_assembly:ASM411807v1:2:20603548:20607669:1 gene:Vigun02g060600.v1.2 transcript:Vigun02g060600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLFVDRLARPVPVDPVAQPAQLPSEPSPPPAAADATIAVAESSGTAPAEDRVGEGGDEEEPLIQMAECRICQEEDGVSNLETPCACSGSLKYAHRKCVQHWCDEKGDITCEICHQPYQPGYTAPPPRPNPEETTIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAALILMALLLLRHALSVSDGDSSDDDPSNFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGLQFAIAPGPATVHQEQV >Vigun05g133100.1.v1.2 pep primary_assembly:ASM411807v1:5:15635005:15637170:-1 gene:Vigun05g133100.v1.2 transcript:Vigun05g133100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAKILRQTLDGEADNIDRLSALPESVLLSILSRLELKEAAATSVLSTTWRDLFLQLPNIWLNFHIYGNPSDHPRLFHIFTLFANRVLRERNPEAPIRFLKVCVRNFTQRMEEDYTSLLMSAAVAVSTYKVYQFDLRLTCSLLIASLEIAIPPAMFASDTLTSLRLTISAGWDVPENVWLPNVINVHFIPYTLMHENSTQRFLDGCPRLQDLMLMIGIISNYEIKVKTLRMSSSSLKSLRLGWDQMDETETMSIIVKSESLLRLTLSLTGGHKVNVDAPNLSFFSITGEVRELNMTQNSPSIDEAVVDVEYTIQSAIHSQNASTFMRALENARVLDISEGIMKALYDSTSAMPIFRNLYMLRLIPDYYDDVSRSRIQQVLFNLLEHCPNLREIFFEKVMVFDDIHNYIPVNFESAFPPSMVQNLKNLEIFDFRCRNIEYKLVEFFMKNGQSLEIVSLRKDNVRGSWTPNEEGRILSVMTCSEECDILFRHKSESKIIYRRNLDSP >Vigun05g218500.1.v1.2 pep primary_assembly:ASM411807v1:5:41052685:41055112:-1 gene:Vigun05g218500.v1.2 transcript:Vigun05g218500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVVGCCKVYISESRNRIALESIEQASKLFPLAPIINKFEDVTYNRVGYTLVSEMGVSGPSHLANAVLAMVKAAFDTIDFEVHSGTHPRLGVVDHICFHPLLDASLDQAATTARCLATDMGSTLQVPTYLYGAAHEEGRTLDSIRRVFGYFKPNCNENQWIGGLKSESLPLNPDSGPSQVTPAKGVAVIGATNWVDNYNIPLLSSDINAVRRIAKRVSGRGGGLPSVQAMALAHGEGVIEVACNLLDPNKVGGERVQEEVESIAREDGVSVGRGYYTDFSQDQIISSYFKLFQNRI >Vigun05g218500.2.v1.2 pep primary_assembly:ASM411807v1:5:41052685:41055112:-1 gene:Vigun05g218500.v1.2 transcript:Vigun05g218500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGPSHLANAVLAMVKAAFDTIDFEVHSGTHPRLGVVDHICFHPLLDASLDQAATTARCLATDMGSTLQVPTYLYGAAHEEGRTLDSIRRVFGYFKPNCNENQWIGGLKSESLPLNPDSGPSQVTPAKGVAVIGATNWVDNYNIPLLSSDINAVRRIAKRVSGRGGGLPSVQAMALAHGEGVIEVACNLLDPNKVGGERVQEEVESIAREDGVSVGRGYYTDFSQDQIISSYFKLFQNRI >Vigun05g218500.3.v1.2 pep primary_assembly:ASM411807v1:5:41052685:41055112:-1 gene:Vigun05g218500.v1.2 transcript:Vigun05g218500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGPSHLANAVLAMVKAAFDTIDFEVHSGTHPRLGVVDHICFHPLLDASLDQAATTARCLATDMGSTLQVPTYLYGAAHEEGRTLDSIRRVFGYFKPNCNENQWIGGLKSESLPLNPDSGPSQVTPAKGVAVIGATNWVDNYNIPLLSSDINAVRRIAKRVSGRGGGLPSVQAMALAHGEGVIEVACNLLDPNKVGGERVQEEVESIAREDGVSVGRGYYTDFSQDQIISSYFKLFQNRI >Vigun05g179900.2.v1.2 pep primary_assembly:ASM411807v1:5:34170350:34194908:-1 gene:Vigun05g179900.v1.2 transcript:Vigun05g179900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTFSEFLLLSLLASYFASLHGSTTDPKEVQALRDIAKTLGKSGWDFNVDPCNTSVEWTLPGPYDSKQVPRNNVSCDCSIDKFCHVTSIDIVGDSLQGNLPRELVGLPYIQNIDLSLNYLNGTVPEEWATLKYLNKIALLGNRLSGPFPEVLTQITTLTTLVLESNNFYGNLPPALGHLPQLERLLLSSNNFTGEWPQTFSNLTTLKDVRLGDNQFSGKIPKFIEKWINLQILDITGSGLEGPFPSGFSFPQSLIKLILRSCNINDKIPEYIGNLTNLKLLDLSYNKLRGKIPNMEGLINATNIYLTGNLLTGSRNEWRLPEKKIKSIDLSYNNFSNDNERAPRCQDQKKNLFASFSSENNSGPYLCIMDKCRKTFYFFHINCGGNEEITIGDTTYDRDLYVQSEEVAYSSMSNWAISNTGFLLDANNEKEARSSYQVQNISRLSMSDDEAKLYSTARISPLSLTYYGFCLANGNYTVKLHFAEIMFTDDNTYKSLGRRVFDVYIQGKRVLKDFNIAKIAQGAGKAHIENFTASVSNSTLEIRFYWAGKGSTGIPKRSVYGPLISAISVTSDFKPPSPPSHKRVATSIILIVVAISIILILVAGILWWRFCLKSNNSLAKEFKDLDLKTGVFTLRQIKVATNNFNISNKIGEGGFGPVYKGILWDGTMIAVKLLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVDGDQLLLVYEYLENNNLARALFGNEEHSLKLNWATRQKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTIRRPKEGTMCLLDSARVLKVEGKLIELVDERLGSNFNEEEVMVMIKVALLCTNATSNLRPTMSSVVSMLEGKSLVSEFTSNTSEVMDEMKLEAMRQYYNQTTQKELTVPQSQSLSIEWPSSSSSVDLYPINLNSSNLETKRLKDSI >Vigun05g179900.3.v1.2 pep primary_assembly:ASM411807v1:5:34170350:34194908:-1 gene:Vigun05g179900.v1.2 transcript:Vigun05g179900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTFSEFLLLSLLASYFASLHGSTTDPKEVQALRDIAKTLGKSGWDFNVDPCNTSVEWTLPGPYDSKQVPRNNVSCDCSIDKFCHVTSIDIVGDSLQGNLPRELVGLPYIQNIDLSLNYLNGTVPEEWATLKYLNKIALLGNRLSGPFPEVLTQITTLTTLVLESNNFYGNLPPALGHLPQLERLLLSSNNFTGEWPQTFSNLTTLKDVEVTDLNGPESTFPLVNLTLLERLILRSCNINDKIPEYIGNLTNLKLLDLSYNKLRGKIPNMEGLINATNIYLTGNLLTGSRNEWRLPEKKIKSIDLSYNNFSNDNERAPRCQDQKKNLFASFSSENNSGPYLCIMDKCRKTFYFFHINCGGNEEITIGDTTYDRDLYVQSEEVAYSSMSNWAISNTGFLLDANNEKEARSSYQVQNISRLSMSDDEAKLYSTARISPLSLTYYGFCLANGNYTVKLHFAEIMFTDDNTYKSLGRRVFDVYIQGKRVLKDFNIAKIAQGAGKAHIENFTASVSNSTLEIRFYWAGKGSTGIPKRSVYGPLISAISVTSDFKPPSPPSHKRVATSIILIVVAISIILILVAGILWWRFCLKSNNSLAKEFKDLDLKTGVFTLRQIKVATNNFNISNKIGEGGFGPVYKGILWDGTMIAVKLLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVDGDQLLLVYEYLENNNLARALFGNEEHSLKLNWATRQKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTIRRPKEGTMCLLDSARVLKVEGKLIELVDERLGSNFNEEEVMVMIKVALLCTNATSNLRPTMSSVVSMLEGKSLVSEFTSNTSEVMDEMKLEAMRQYYNQTTQKELTVPQSQSLSIEWPSSSSSVDLYPINLNSSNLETKRLKDSI >Vigun05g179900.1.v1.2 pep primary_assembly:ASM411807v1:5:34170350:34194908:-1 gene:Vigun05g179900.v1.2 transcript:Vigun05g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTFSEFLLLSLLASYFASLHGSTTDPKEVQALRDIAKTLGKSGWDFNVDPCNTSVEWTLPGPYDSKQVPRNNVSCDCSIDKFCHVTSIDIVGDSLQGNLPRELVGLPYIQNIDLSLNYLNGTVPEEWATLKYLNKIALLGNRLSGPFPEVLTQITTLTTLVLESNNFYGNLPPALGHLPQLERLLLSSNNFTGEWPQTFSNLTTLKDVRLGDNQFSGKIPKFIEKWINLQILDITGSGLEGPFPSGFSFPQSLIKLEVTDLNGPESTFPLVNLTLLERLILRSCNINDKIPEYIGNLTNLKLLDLSYNKLRGKIPNMEGLINATNIYLTGNLLTGSRNEWRLPEKKIKSIDLSYNNFSNDNERAPRCQDQKKNLFASFSSENNSGPYLCIMDKCRKTFYFFHINCGGNEEITIGDTTYDRDLYVQSEEVAYSSMSNWAISNTGFLLDANNEKEARSSYQVQNISRLSMSDDEAKLYSTARISPLSLTYYGFCLANGNYTVKLHFAEIMFTDDNTYKSLGRRVFDVYIQGKRVLKDFNIAKIAQGAGKAHIENFTASVSNSTLEIRFYWAGKGSTGIPKRSVYGPLISAISVTSDFKPPSPPSHKRVATSIILIVVAISIILILVAGILWWRFCLKSNNSLAKEFKDLDLKTGVFTLRQIKVATNNFNISNKIGEGGFGPVYKGILWDGTMIAVKLLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCVDGDQLLLVYEYLENNNLARALFGNEEHSLKLNWATRQKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTIRRPKEGTMCLLDSARVLKVEGKLIELVDERLGSNFNEEEVMVMIKVALLCTNATSNLRPTMSSVVSMLEGKSLVSEFTSNTSEVMDEMKLEAMRQYYNQTTQKELTVPQSQSLSIEWPSSSSSVDLYPINLNSSNLETKRLKDSI >Vigun07g024100.1.v1.2 pep primary_assembly:ASM411807v1:7:2134056:2136521:-1 gene:Vigun07g024100.v1.2 transcript:Vigun07g024100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTSKPFTFLDKPANLPSKDPPGFCIATGKGFDEKRSDAEKRGSSDPPVQLDLLPFTPVLRSQPPSQLRIPWLAEPCGAARVLDVNLFPAATEDGDDGTSLSSPSSAVSPFQMDFSMRNGNAEFGGRNRREQQEGEGTRASDDEENGSTRKKLRLSKEQSAFLEESFKEHTTLNPKQKLALAKQLNLLPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATNSTTTNQTSSTINNNASQLPVELSLSKPRILPFPNAQAQATQTQAQAQAHQIPSS >Vigun09g171600.1.v1.2 pep primary_assembly:ASM411807v1:9:34138033:34141634:-1 gene:Vigun09g171600.v1.2 transcript:Vigun09g171600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYSFLTRGIDDLERVFLTTNFMSIQFIQRTLSLLRSFHTQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVIKSGISGIENYYSAALTITASLDSHRHITPQLSRQVMRAISGCRREAVGLEEENRALMETRIQPLSLRFEERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWGESSDFVLGGYEGCLFLGSAFMMSTARLQQRVAAEIGHMGGAQGMLLHEFRRSKVAMEELRGELERRGSQGVEWESEVAIRERVENVRACFGVLRSGADNIVAQLDDFFDEIVEGRKRLLDFCSHR >Vigun03g410200.1.v1.2 pep primary_assembly:ASM411807v1:3:61781609:61799746:-1 gene:Vigun03g410200.v1.2 transcript:Vigun03g410200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSSKERDRKNLLEQTRVERNRRLWLRQQNSAVLKIQKCFRGRKAVKTEQSKLREQFYEVYGKYCHNVDRNSFGPDSDFLCQFLYFFKADDSDDFLVLVQICRLLWWFVQNNGDVVNIFAGVDYSSTRALVNYRVKRFVQACIHALHRNRNQLKGQLLLTPEELNVSAVPLLEVLVLLIDSKLPWSCKIVEYLFRNNALGLLREIILTGKGNDAENYFSIGKGSSLERVLMAVVRHAGQEPCICSHINPTYSFASQIITIPFLWHLFPNLQQIFAAEDLSQFYMHQMAKFGQNLINFLPKDISNEFPSHACMLGNVLETAGVALSHPVCSFDMAIDLAGVTTVLLEALPSAKTFNGSMSAEDDMTGDEVMEIALDRKLEQQINNAVNPRFLLQLTNILFKEISSVNDSDYGPNDREVTAVDAVCGFLHVTFSKLPLERIMTVLAYRTELVITLWNFTKKCHENQKWSSLTSNDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRTLIIILRQVLWQLLWVNHTTSANSVKSVPNSSVRKGQFVQAIQQRVSIAVSELLSQLQDWNNRRQFTSPSNFHADGVNDLFISQALIENTRANEILKQAPFLIPFTSRVKVYSSQLAAVRQRHGSQAVFSRNRFRIKRDRILEDAYNQMSQLTEDSLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHFQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGRNLRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFEQLIQKDWIDMFNEHELQLLISGSVDSLDIDDLRLHTNYAGGYNSDHYVIEMFWEVLKGFSLENRKKFLKFVTGCSRGPLLGFRNLEPLFCIQRASSNAAEESLDRLPTSATCMNLLKLPPYTSKEQLETKLLYAINADAGFDLS >Vigun03g410200.2.v1.2 pep primary_assembly:ASM411807v1:3:61781609:61799746:-1 gene:Vigun03g410200.v1.2 transcript:Vigun03g410200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSSKERDRKNLLEQTRVERNRRLWLRQQNSAVLKIQKCFRGRKAVKTEQSKLREQFYEVYGKYCHNVDRNSFGPDSDFLCQFLYFFKADDSDDFLVLVQICRLLWWFVQNNGDVVNIFAGVDYSSTRALVNYRVKRFVQACIHALHRNRNQLKGQLLLTPEELNVSAVPLLEVLVLLIDSKLPWSCKIVEYLFRNNALGLLREIILTGKGNDAENYFSIGKGSSLERVLMAVVRHAGQEPCICSHINPTYSFASQIITIPFLWHLFPNLQQIFAAEDLSQFYMHQMAKFGQNLINFLPKDISNEFPSHACMLGNVLETAGVALSHPVCSFDMAIDLAGVTTVLLEALPSAKTFNGSMSAEDDMTGDEVMEIALDRKLEQQINNAVNPRFLLQLTNILFKEISSVNDSDYGPNDREVTAVDAVCGFLHVTFSKLPLERIMTVLAYRTELVITLWNFTKKCHENQKWSSLTSNDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRTLIIILRQVLWQLLWVNHTTSANSVKSVPNSSVRKGQFVQAIQQRVSIAVSELLSQLQDWNNRRQFTSPSNFHADGVNDLFISQALIENTRANEILKQAPFLIPFTSRVKVYSSQLAAVRQRHGSQAVFSRNRFRIKRDRILEDAYNQMSQLTEDSLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHFQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGRNLRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFEQLIQKDWIDMFNEHELQLLISGSVDSLDIDDLRLHTNYAGGYNSDHYVIEMFWEVLKGFSLENRKKFLKFVTGCSRGPLLGFRNLEPLFCIQSNAAEESLDRLPTSATCMNLLKLPPYTSKEQLETKLLYAINADAGFDLS >Vigun07g140900.1.v1.2 pep primary_assembly:ASM411807v1:7:25110949:25115145:-1 gene:Vigun07g140900.v1.2 transcript:Vigun07g140900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRRRFKGSFLSFFDWNGKYQKKQLSDDPMLPEISKQRKENVDNLPKSEVNKMKVNENGANPSDNASSDFDCAISISSDEGCHTKAPGLLARLMGLDSLPVSNVSENSSLSTSLYGSNSLGSSHTPDEDDALHSTVEDCCNVDSINMGLKSVKSSWSVMESKERNPAMKRFQTEMLPPRSAKTIPVTHNKHLSPIKSPGYMQPKHAAQVMEAAAKIIEVSPQPCKRNRMSSAGASSVPLRILELKEKLEASQHESKFTGKHTANPLNGKPSERRNSLCKSTPSLTGSRDSEKNSSCRLPSKRKSASLAVPSRTNVQNCDELTLNGNRGCVKQKEHIDIKSNQLSRSQKKRSIDRARVIQQKACIDQKSNVLGKNNQKLCSEITKGNPASKAYSHKPTQIFSSESSTRAKKTTKRGVAKANTEPKRSDTRVTGAPKSVSKRKSISQKKNYRNRDVDNDARGTDTAANNYKNKSIKCNITTDGSINQDAFSMKGSNGVISFTFTSPLRRKRPELQSSIEKMVGTRNKTDVNSCSNNDMLNPGKSSLSPPRLHVIDGEALSVLLERKLQELTSRINPTQCSLITEWSSAGLGDRSFHPNLGSNKLDRHDICLSSDNMVLSMNQQPQTSESIEGPSCSSNSESRNESYLDSAYGSTVYSSMQDEEVSDFSPMNESLPFENEAEWSEQSSSIVMGDDMVAIEQSSIMSNSVQFTRSSWNVEFEYVKYILNSAELMTEKFVMGETDKIIMPNLFDLLETKSTVAENYEKYSKIERKAIFDTVSECLELRCRQVFVGSCKAMPKWVASVQKKSSLAEDLYKEMLNLRNMEELVVEELVCKDMSTPWGRWLDFDTEASEEGSELEFDIVTCLINELVSDFLQF >Vigun05g020700.1.v1.2 pep primary_assembly:ASM411807v1:5:1725054:1735583:-1 gene:Vigun05g020700.v1.2 transcript:Vigun05g020700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLDDSPMFRKQIQCMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTRERFLSLRKGTKTDVATALEEELHSARSTFEQARFNLVTALSTVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSVRSGSSSQHSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERMLPASPMGSGHHRSTSESSSFESSDFDHSAVEECAADRSLASAHLERPSRSLQQQRSCTKSEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLQSRSAIQVDLVPTGLSKSDKPLMFFFPKPCQSDSLSVKEKFIHAKYAEKLFVRRPKDNRLSVAQQIWEAVHANDKKLYIDTLLILTLMLMLLMSKCATVP >Vigun05g020700.4.v1.2 pep primary_assembly:ASM411807v1:5:1725007:1735583:-1 gene:Vigun05g020700.v1.2 transcript:Vigun05g020700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLDDSPMFRKQIQCMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTRERFLSLRKGTKTDVATALEEELHSARSTFEQARFNLVTALSTVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSSSQHSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERMLPASPMGSGHHRSTSESSSFESSDFDHSAVEECAADRSLASAHLERPSRSLQQQRSCTKSEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLQSRSAIQLKQV >Vigun05g020700.3.v1.2 pep primary_assembly:ASM411807v1:5:1725033:1735583:-1 gene:Vigun05g020700.v1.2 transcript:Vigun05g020700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLDDSPMFRKQIQCMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTRERFLSLRKGTKTDVATALEEELHSARSTFEQARFNLVTALSTVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSVRSGSSSQHSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERMLPASPMGSGHHRSTSESSSFESSDFDHSAVEECAADRSLASAHLERPSRSLQQQRSCTKSEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLQSRSAIQLKQV >Vigun05g020700.2.v1.2 pep primary_assembly:ASM411807v1:5:1725003:1735583:-1 gene:Vigun05g020700.v1.2 transcript:Vigun05g020700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLDDSPMFRKQIQCMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTRERFLSLRKGTKTDVATALEEELHSARSTFEQARFNLVTALSTVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSSSQHSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERMLPASPMGSGHHRSTSESSSFESSDFDHSAVEECAADRSLASAHLERPSRSLQQQRSCTKSEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLQSRSAIQVDLVPTGLSKSDKPLMFFFPKPCQSDSLSVKEKFIHAKYAEKLFVRRPKDNRLSVAQQIWEAVHANDKKLYIDTLLILTLMLMLLMSKCATVP >Vigun05g176700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:32816757:32817071:-1 gene:Vigun05g176700.v1.2 transcript:Vigun05g176700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRSKRGVAPNITVCAIVRDVTSNDISYRLLPPSFKISCKASLLPPLQTCVSNLGPAMRDQTSASVCEDCTKSRSNIVILNMILGTCFISFFFLKFFVCSLNL >Vigun07g297300.1.v1.2 pep primary_assembly:ASM411807v1:7:40753077:40756977:1 gene:Vigun07g297300.v1.2 transcript:Vigun07g297300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFAEMEKIWHVPAYFVRTPLPYNFFRGKNVWFLHQMDISLQSDGQRAEMSVDTVFKLHSFVCSTDVNIFSYKSLRMLEEREG >Vigun10g125600.1.v1.2 pep primary_assembly:ASM411807v1:10:33387491:33389272:1 gene:Vigun10g125600.v1.2 transcript:Vigun10g125600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKRYAVVTGANKGIGYGICKKLGLNGIVVVLTARNEKRGLEAVERLKEFGLSEFVVFHQLDVTDPSSVTSLAQFIKTRFGKLDILVNNAGVPGGIVNAENYLRRKRGEKLDWNLIVYQNYELAKECVETDFFGAERVTEALLPLLQLSTSPRIVNISGQIGLLKHIPNEWARGVLNDIENLTNEKLYEVLREFLKDYREGSLESKNWPLVVSGVTMAKAGINAYTRMLAMKFPHFCINCICPGSVKTDINHNQGLLSIDEGVENPVRLALLPDDGPSGLFFSMDEVIPF >Vigun03g327300.4.v1.2 pep primary_assembly:ASM411807v1:3:52325050:52330844:1 gene:Vigun03g327300.v1.2 transcript:Vigun03g327300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAPAPKIDEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQTLFGTRLPAVIGGSYTYVATTISIILAGRFSDEPDPIEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCIEIGLPELILLVFVSQFVPHVLHGGKHVFERFTVLFTVAIVWLYAYLLTVGGAYNHAAPKTQATCRTDRAGLIESAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTIFVLGFSIFIGLSVSQYFNEYTAINGYGPVHTKARWFNDIINVPFQSKAFVAGCMAYFLDNTIHKKDGAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >Vigun03g327300.1.v1.2 pep primary_assembly:ASM411807v1:3:52325050:52330844:1 gene:Vigun03g327300.v1.2 transcript:Vigun03g327300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAPAPKIDEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQTLFGTRLPAVIGGSYTYVATTISIILAGRFSDEPDPIEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCIEIGLPELILLVFVSQFVPHVLHGGKHVFERFTVLFTVAIVWLYAYLLTVGGAYNHAAPKTQATCRTDRAGLIESAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTIFVLGFSIFIGLSVSQYFNEYTAINGYGPVHTKARWFNDIINVPFQSKAFVAGCMAYFLDNTIHKKDGAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >Vigun03g327300.3.v1.2 pep primary_assembly:ASM411807v1:3:52325050:52330844:1 gene:Vigun03g327300.v1.2 transcript:Vigun03g327300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAPAPKIDEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQTLFGTRLPAVIGGSYTYVATTISIILAGRFSDEPDPIEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCIEIGLPELILLVFVSQFVPHVLHGGKHVFERFTVLFTVAIVWLYAYLLTVGGAYNHAAPKTQATCRTDRAGLIESAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTIFVLGFSIFIGLSVSQYFNEYTAINGYGPVHTKARWFNDIINVPFQSKAFVAGCMAYFLDNTIHKKDGAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >Vigun03g327300.2.v1.2 pep primary_assembly:ASM411807v1:3:52325050:52330844:1 gene:Vigun03g327300.v1.2 transcript:Vigun03g327300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAPAPKIDEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEEKAKVIQTLLFVAGINTLLQTLFGTRLPAVIGGSYTYVATTISIILAGRFSDEPDPIEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCIEIGLPELILLVFVSQFVPHVLHGGKHVFERFTVLFTVAIVWLYAYLLTVGGAYNHAAPKTQATCRTDRAGLIESAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESSGAFIAVYRYASATPLPPSILSRGIGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPSIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTIFVLGFSIFIGLSVSQYFNEYTAINGYGPVHTKARWFNDIINVPFQSKAFVAGCMAYFLDNTIHKKDGAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >Vigun07g285100.1.v1.2 pep primary_assembly:ASM411807v1:7:39832310:39834449:1 gene:Vigun07g285100.v1.2 transcript:Vigun07g285100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVVELQKQLQRWQQQTGNNNNVNVDANGELMYVKVMTDEQLETLRKQIAVYATICDQLIEMHRTLSAQQDLAGARLGNLYCDPLMTSGGHKITSRQRWTPTPVQLQILERIFDQGNGTPSKEKIKEITAELGQHGQISETNVYNWFQNRRARSKRKLQNVAPTNTESEVDTEVDSKDKKTKPDEFLSQHNITTTSSGGAEKLCFQSPQVYSELQYLNNDSNKPYSMFPSDASLKSTRNLSVFNQVLSNSRNEYAGGKMEVGGSVSYNLFHQTGDCNLGG >Vigun02g138100.1.v1.2 pep primary_assembly:ASM411807v1:2:28780708:28788175:-1 gene:Vigun02g138100.v1.2 transcript:Vigun02g138100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEQLNSREQAWVCTTELPSDLVVEVEKMNFHLQRSPMMSKSRKLHQLISDEEANHSSATTPAAEEGEKHCHLVLADFPGGSETFELAAKFCFGAKINLSSSNVVPLRCAGEFLEMTEEHSEENLIAKTETFLSQSVLNSLKDSIIALKSSQRLMPLAETLGIPRRCVDSIISEARFRWPVSDSAYTLRGRRKAVIDGEDDSWFEELTLLGLPMFKQLIIAMKESELKPEIIEICLMHYAKKHIPGLSRSNRKALTSSSSEAEQKELLEIVISNLSSKHSTQVRFLFGLLRAATMLSASKACRDVLEKKIGSQLDEVTLDDLLIPSYSYLNETLYDIDCVARILRYFLEEERNVAAIDGCEPTSPALMLVGKLIDCYLSEIASDANLKPKKFYDLAISVPDQARLFHDGLYRAVDVYLKAHPWVSKSDREKICEVLDCQKLTLEACTHAAQNERLPLRAVVRVLFFEQLQLRHTIAGTLMAAQEPARPSVASADAEWGGAGEGCTHDRGDINTWQVTVRENQVLRLDMDSMRSRVHQLERECSSMKRVIEKMDRNGPQGGGVWLALGKKFGCKFKTQVCDSHEPTTVVDKRDGRPHRRPHRE >Vigun08g224800.2.v1.2 pep primary_assembly:ASM411807v1:8:38257581:38266810:-1 gene:Vigun08g224800.v1.2 transcript:Vigun08g224800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYVDSFNFKEMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPSSFSSADTAYILAYSVIMLNTDAHNNMVKDKMTKADFVRNNRGIDDGKDLAEEYLGALYDQIVKNEIKMNADSSAPQNKQANSFNRLLGLEGILNLVNWKQSEEKAVGANGLLIRHIQEQFKSSSRKSESVYHVVTDVAILRFMVEVCWGPMLAAFSVTIDQSDDRVTTSQCLQGFRHAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAGDMKQKNVDAVKAIISIAIEDGDHLFEAWEHILTCLSRIEHLQLLGEGAPSDATFFNSSNYETDEKASKTLGFSSFKKGTLQNPAMVAVVRGSSYDSTSIGVNASAILTTEQINNFISNLNLLDQIGNFELNHVFAHSQRLNGEAIVAFVKALCKVSISELQSPTDPRVFGLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFAMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNTTEIRELIVRCISQMVLSRVFTAAAADERKNIVLLAFETMEKIVREFFPYITETETMTFTDCVRCLLTFTNSRFNSDVSLNAIAFLRFCAVRLADGGLVYNKKISVDGPSVVANGISDSQAHTDNDDHVSFWNPLLSGLSKLTSDPRSAIRKSSLEVLFNILKDHGHLFSHTFWNSIFCSVIFPVYSSVSGKREVNVQEDQSSPSSVSVHTEGSTWDSDTYSVAAECLIDIFVTFFDKVRSQLPGVVSVLTGFIRSPVQGPASTGVAGLVRLTDDLGNKLSAEEWKEIFLCLKDAAMSTVPGFMKVLRTMSNIEVPHFSQPSTDLESSSDHDLTNDEFDDDNLQTATYVVSRTKSHIAMQLLIAQVTIDMYKKHQKFLSAASIKVLIELYSSMALHAREMNRESILLKKLQKACSILEISGPPMVHFENESFQNHLNFLQNLHLRNHFEHDEIDLEQELVTVCENVLDIYLNCSGSVSTLHKYDTLSAPHRKLPLSSAKKEEIAARTSLVISALQGLTGLKKDSFRRYIPQFFHLLVDLVRSEHTSGEVQHALSNIFRSSVGKIIMD >Vigun08g224800.1.v1.2 pep primary_assembly:ASM411807v1:8:38257524:38266810:-1 gene:Vigun08g224800.v1.2 transcript:Vigun08g224800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYVDSFNFKEMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPSSFSSADTAYILAYSVIMLNTDAHNNMVKDKMTKADFVRNNRGIDDGKDLAEEYLGALYDQIVKNEIKMNADSSAPQNKQANSFNRLLGLEGILNLVNWKQSEEKAVGANGLLIRHIQEQFKSSSRKSESVYHVVTDVAILRFMVEVCWGPMLAAFSVTIDQSDDRVTTSQCLQGFRHAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAGDMKQKNVDAVKAIISIAIEDGDHLFEAWEHILTCLSRIEHLQLLGEGAPSDATFFNSSNYETDEKASKTLGFSSFKKGTLQNPAMVAVVRGSSYDSTSIGVNASAILTTEQINNFISNLNLLDQIGNFELNHVFAHSQRLNGEAIVAFVKALCKVSISELQSPTDPRVFGLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFAMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNTTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREFFPYITETETMTFTDCVRCLLTFTNSRFNSDVSLNAIAFLRFCAVRLADGGLVYNKKISVDGPSVVANGISDSQAHTDNDDHVSFWNPLLSGLSKLTSDPRSAIRKSSLEVLFNILKDHGHLFSHTFWNSIFCSVIFPVYSSVSGKREVNVQEDQSSPSSVSVHTEGSTWDSDTYSVAAECLIDIFVTFFDKVRSQLPGVVSVLTGFIRSPVQGPASTGVAGLVRLTDDLGNKLSAEEWKEIFLCLKDAAMSTVPGFMKVLRTMSNIEVPHFSQPSTDLESSSDHDLTNDEFDDDNLQTATYVVSRTKSHIAMQLLIAQVTIDMYKKHQKFLSAASIKVLIELYSSMALHAREMNRESILLKKLQKACSILEISGPPMVHFENESFQNHLNFLQNLHLRNHFEHDEIDLEQELVTVCENVLDIYLNCSGSVSTLHKYDTLSAPHRKLPLSSAKKEEIAARTSLVISALQGLTGLKKDSFRRYIPQFFHLLVDLVRSEHTSGEVQHALSNIFRSSVGKIIMD >Vigun06g085800.2.v1.2 pep primary_assembly:ASM411807v1:6:21787217:21794360:-1 gene:Vigun06g085800.v1.2 transcript:Vigun06g085800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSQGYGTDTVALSTALFNNGLSCGSCYEMRCDDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAFLQIAEYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQALSFQVTTSDGRTVASYNVAPGNWQFGQTFQGGQF >Vigun06g085800.1.v1.2 pep primary_assembly:ASM411807v1:6:21787217:21789827:-1 gene:Vigun06g085800.v1.2 transcript:Vigun06g085800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKQLFSMALLTLIALLFVDINIQGVTADYGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTDTVALSTALFNNGLSCGSCYEMRCDDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAFLQIAEYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQALSFQVTTSDGRTVASYNVAPGNWQFGQTFQGGQF >Vigun06g085800.3.v1.2 pep primary_assembly:ASM411807v1:6:21787217:21794360:-1 gene:Vigun06g085800.v1.2 transcript:Vigun06g085800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSQGYGTDTVALSTALFNNGLSCGSCYEMRCDDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAFLQIAEYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQALSFQVTTSDGRTVASYNVAPGNWQFGQTFQGGQF >Vigun09g212000.1.v1.2 pep primary_assembly:ASM411807v1:9:38619637:38623136:-1 gene:Vigun09g212000.v1.2 transcript:Vigun09g212000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSTLRRFSLFTQRFRTLNSSTSQSSFSSSSSSSSSPAPRRSPSWASGSAIVAVAGVTSALALFYCYNSPTSPFHSVFFDNTLPASSPPVSEKSPLPATAPGLLVDEYKTKIYFNYEKRLRLHSPPEKVFEYFASCRTPKREIFMKPADLMRAIVPVFPPSESNIIREGSLTGEKNPDHLWCPPSDFFMLFDVDKDGLISFKEYMFLVTLLSIPESSFSAAFKMFDKDNDGEIDHEEFKKVMQSMRSHTRHGDYHGHGRRTGRTTNASVENGGLVKYLFGKDGKGRLNHDKFVQFMRDFHDEIVRLEFAHYDYKSRKTIPAMDFARSIVASADLSHIGKLLDLADELRIDPRFKDVRITFEEFKNFSELRKKLLPFSLAIFSFAEVQGLLTRDDFKRAASHVCGLSLSDNVVEIVFHLFDANRDGSLSTEEFVKVLQHREKDIAQTMGTGIVGFLSCCWKCTDTSPSSRLFSWF >Vigun07g296600.2.v1.2 pep primary_assembly:ASM411807v1:7:40686086:40704023:1 gene:Vigun07g296600.v1.2 transcript:Vigun07g296600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDADDIFKDDEDHTEAEASVLKDESSKEYVVYLVDASPKMFKTYCSEQEDYELESHFHIAISCIYQALKTQIISNSYDQVAICFFNTREKKNLQDLNGVYVFNVPEREFLDRPTARFIKEFEQLEESFSKHIGSQHGIVSDTRENSLYNAIWAAQALLRKGSAKTVDKRILLFTNDDDPFGSIKGAAKSDMIRMTRQRAKDAQDLGISIELLPLSCTTDEVFKISQFYADLIGLEGDDLVDFMPEAGKKLEYMKNQLTKRMFKKRIVKRLKFTIVNGISIELNSYALVRPTAPGAITWLDSVTNRPLKIERTFVCVDTGAVVEEPTEEFLRYKNQNVIFSMEQLSEVKRISTGQLNLLGFKPLSCLRDYYNLKPSTFLYPSHEGTDSSMCIFIALHSFAVAFSGSSSCPQLVALIAQEEVIQSGSQIEPPGLQMIYLPYSDDIRLVEERYSDTSGMVTKASSDQIKRAADLINHIDLRDFSVLQISNPALQRHYTVLEALALEEDDVEEPIDETLPDEEGLARPGVVRASEEFKTAVYGDNYDEENEIGKPTEASKKRKAMVEFAIKECKQYDWGELADTGKLKDLTVVELKFYLTAHNLPMSGKKEVIINRILSHMGK >Vigun07g296600.1.v1.2 pep primary_assembly:ASM411807v1:7:40686086:40704023:1 gene:Vigun07g296600.v1.2 transcript:Vigun07g296600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDADDIFKDDEDHTEAEASVLKDESSKEYVVYLVDASPKMFKTYCSEQEDYELESHFHIAISCIYQALKTQIISNSYDQVAICFFNTREKKNLQDLNGVYVFNVPEREFLDRPTARFIKEFEQLEESFSKHIGSQHGIVSDTRENSLYNAIWAAQALLRKGSAKTVDKRILLFTNDDDPFGSIKGAAKSDMIRMTRQRAKDAQDLGISIELLPLSCTTDEVFKISQFYADLIGLEGDDLVDFMPEAGKKLEYMKNQLTKRMFKKRIVKRLKFTIVNGISIELNSYALVRPTAPGAITWLDSVTNRPLKIERTFVCVDTGAVVEEPTEEFLRYKNQNVIFSMEQLSEVKRISTGQLNLLGFKPLSCLRDYYNLKPSTFLYPSHEGTDSSMCIFIALHRSMIQLNRFAVAFSGSSSCPQLVALIAQEEVIQSGSQIEPPGLQMIYLPYSDDIRLVEERYSDTSGMVTKASSDQIKRAADLINHIDLRDFSVLQISNPALQRHYTVLEALALEEDDVEEPIDETLPDEEGLARPGVVRASEEFKTAVYGDNYDEENEIGKPTEASKKRKAMVEFAIKECKQYDWGELADTGKLKDLTVVELKFYLTAHNLPMSGKKEVIINRILSHMGK >Vigun03g262633.1.v1.2 pep primary_assembly:ASM411807v1:3:43181140:43182702:1 gene:Vigun03g262633.v1.2 transcript:Vigun03g262633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESKIIHKDHKAPFLMSGCKVVDGIGAMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAVCVLAVLNLLIQDRC >VigunL059002.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000104.1:25367:27108:-1 gene:VigunL059002.v1.2 transcript:VigunL059002.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISLSYMVHVRTISRGAIILFSIMMLLFDKTHSIVLEPDENHIKSATFLSENFEVGPGKMWGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAIELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGLFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDENQVPLKFYILDSTDHVRSNGSTPIHDCQAEYTIPRNHYNDIPHIKKANIPMTKGGYLVYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIKIEDGEILTLESVYENKFRTGAMGHFYIYLADQISI >Vigun04g040150.1.v1.2 pep primary_assembly:ASM411807v1:4:3410412:3412238:1 gene:Vigun04g040150.v1.2 transcript:Vigun04g040150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATKSVYGPEPFDVGHVLQADVISESEHVTVSTAGPIDPAAGLETYVEALVRKHDTEFNVVVTQMNGSPPTESIHVLNVGKMRIKLCKGKTTIAKEYYSSSMHLCGVRGGGHAAAQGGFWQPKQGHSFVLAFESKRERNAAIMLASRFAFDCTEREKRSK >Vigun04g192850.1.v1.2 pep primary_assembly:ASM411807v1:4:41687087:41689936:-1 gene:Vigun04g192850.v1.2 transcript:Vigun04g192850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSSSLMVESVIYGRDADKKIILDWLTSEIDNHNHPSILSIVGMGGLGKTTLAQHVYNDPKIDVAKFDIKAWVCVSDHFDVLTVTKTILESIADKKYDSGNLNMVHKNLKEKLSGKKFLLVLDDVWNEKREEWEVVQTPLNDGAPGSRILVTTRAEKVASNMRSKVHHLKQLEKDECWKVFKKHALKDDDLELNDEKKKIGRSIVEKCKGLPLALKTIGCLLYTKASISDWKSVLESDIWNLPKELGIIPALLLSYQHLPSHLKRCFAYCALFPKDYEFDKKELILLWMAEDFLRHSQHIENVEEVGEQYFDDLLTRSFFLQSSIKMRFVMHDLLNDLAKYVGGNFCFMFKFDKGVRIPKTTRHFSFSIDNEVYDDGMGSLIDAKRLRSFIPMTNNIDGLPCALILIDELFSKFKFLRVLSLSGFGDLITEVPDSVGALKQLRSLDLSYTNIQKLPDSICLLFNLLILKLNYCSDLEELPSNIHKLTKLHCLEFENTKVTKMPMHFGELKNLHLLDAFRVHSESSIKQLGGLNLHGSLSIYQVQNIVNPLDALEANLKDKQLVELGLIWNSNHVPNDAREEKEVLENLQPSIHLEHLSIWSYHELSSLKNLEIEGLDGIVSIGVSDGFYGSNSSSFASMERLSFRNMKEWEEWECPQVEMFEEGSLPSNLKEVSLSSFRLITSLREALGAEPCLERLYVENVDTEYFPDEGLLPPSLTFLRIFNCPNLKKLDYKGLSHLSSLTIIRCFNLQCLPEEGLPKSISFLEIRSCPLISERYQNPQDQDWKKIAHIKEPIIV >Vigun06g233800.4.v1.2 pep primary_assembly:ASM411807v1:6:33972457:33977839:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun06g233800.6.v1.2 pep primary_assembly:ASM411807v1:6:33973272:33977781:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun06g233800.5.v1.2 pep primary_assembly:ASM411807v1:6:33972867:33977781:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun06g233800.2.v1.2 pep primary_assembly:ASM411807v1:6:33971021:33977781:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun06g233800.1.v1.2 pep primary_assembly:ASM411807v1:6:33971021:33977813:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun06g233800.3.v1.2 pep primary_assembly:ASM411807v1:6:33971021:33977781:-1 gene:Vigun06g233800.v1.2 transcript:Vigun06g233800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHSQSNLSLGFSSSHSSPLRRSDPPVPLQLLEPAQPPENGSLDVESDDDDDREVEEFRILGHSMCLKRRRDCDSSSSSSTKRVSVDPDLDARKVAVRAWGCQPLSIADPDIHEIMEKEKKRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALNAFGLDPKCWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLPYKVNPQTGYIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHIADKCGAVLLCDMAQISGIIAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGILLSQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALACALQRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGTIIPGGVRVGTPAMTSRGCLEPDFETMADFLIRAAQIASILQREHGKLQKTALKGLESHRDVVELRARVEAFATQFAMPGFDI >Vigun11g222900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:41416206:41416721:-1 gene:Vigun11g222900.v1.2 transcript:Vigun11g222900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEEEEGKGGSRKRRREAETAFRGVRKRSWGRYVSEIRLPGQKTRIWLGSFASPEMAARAYDSAAFFLKGTSAILNFPDLVHSLPRPLSSSRRDIQSAAATAALHPPSLATNSSGTWWDNLGDESRISTTSFEDVKEAPLMSPLRVDFTFGDFSWNNLFHFNYDDILMS >Vigun05g017300.2.v1.2 pep primary_assembly:ASM411807v1:5:1431880:1437182:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun05g017300.4.v1.2 pep primary_assembly:ASM411807v1:5:1431887:1437250:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun05g017300.5.v1.2 pep primary_assembly:ASM411807v1:5:1431884:1437182:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun05g017300.7.v1.2 pep primary_assembly:ASM411807v1:5:1431883:1436738:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun05g017300.1.v1.2 pep primary_assembly:ASM411807v1:5:1431887:1437050:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun05g017300.6.v1.2 pep primary_assembly:ASM411807v1:5:1431887:1437041:-1 gene:Vigun05g017300.v1.2 transcript:Vigun05g017300.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAPSVILNLMGLDKVPNQHPVRDKQKVLSENYQQKVASIGVRKKRSSHQGMNTSEKDKSEDGVEVFKALRRSKHHNPSKRNGKENPSSSKNSHLADGLLQQIFYPESMKVFPPKEDRKKISHHMDFGKPSSRSFSKISEEISMQSGNVVNGALHTASTTFFRGNEAFANDMLNPASNISVNEIQFNSPIFCSDGSYIGSQASRKTLEKKYVTEKVHEPRPFGGDCTHNQLPMIWEKGNGARNLTHQRGYGNVRTKSGDNYSFARRVPRPLCSASVVADNCGTVNQDILFQRYWGLRKNASSNWSSWKNQNNYHKECLEDVNLSSVGEKFLSFYSYFNIDHTEENCISHKSEKRFYENDLSDKITMPPQLPSSSSSPTFIDGQILQERSVMNDEVKNRKYEDSNLCKQNVVSLDSSVEFLVSDATAEVVDWTHSPTEHQSKSTAFTLSQEIDCLNQTSYASKQQDRSELQEDSVHSPCHEVEPDSLGSSVESYEPSPISVLDPLFGEDIQFSYKSDNHIYDSSEVDDEECGLNVSNDEECGLNVSSDEECGLNVSSDEDCENEYAHNSQEKKEVAGLFRAEESRDFSYVVEVLTEASIFNRKLFTDFSTWHSSECPISPSVFEVLEKKFGQQQFWRRSERKLLFDRMNTGLLQIFQPYSFVPMWEKSTSKRLNVELRQNMIEDEMWGLLVAQEKKASNDLADNTLEGKITWIELVEDVEDIVTEIVNLVTEELANEIVTSVNF >Vigun06g218800.1.v1.2 pep primary_assembly:ASM411807v1:6:32914782:32932240:-1 gene:Vigun06g218800.v1.2 transcript:Vigun06g218800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPRSSSSSLSTKKFDVFEFNDEDHSIEKVSKKILRKFQNPSRSRSSPVTKYDFLQAFASGSNSRPVSIDITADHIDLDDEQEEEVTRCSAKELADQPLEVVVDDDDDDDDDGHDDDGRGQDDDYDLEKIDTQSSIDTPLQLSADKEISGCSDFVESDFDSKKQSLGVASDDDDDASQKSSSSISSSNPSEDEVNFGDQLVEHDDSAAFVINVEEKVVDVIPDFIQFEDLYSTRSQLTFSCNSLKLEGSTNNGTRETLKIEWPTEDIIKIESCWFGKIETALINLLVKSKDYSEAGTTNQNPVYAGFKLLKFAVYDSFWYKAEEAIKLLDTRYTDIWSTLFDIDVDNSRNISALGKHYFFSQHRYFPNFDEAFDEVIYPKGEPDAVSISKRDVELLQPQAFINDTIIDFYIKYLKNKLPTDEQDRFHFFNSFFFRKLADLDKDPASACDGRAAFQRVRKWTRKVNLFEKDYILIPINYSHHWSLIVICHPGELTCYKDEEMNESSKVPCILHMDSLKGTHKGLKNIFQSYLCEEWKERHGDVVDDVSSKFLHMRFISLELPQQDNLHDCGLFLLHYVERFLEEAPVNFNPFMITKFSNFLSSDWFPPPEASLKRSHIQNLIYDIFENNSLQARPTDCLDKGLPSEDPAIVVQTKVEEDSLTGCCYSDLCVKNPPLNSSTELETADIQHPTASPGRVSPCLGGPSLVSKDWRVISRSDCLQRGGFLSPLKEIDECSEEETSLSVEREKSQLVYDFPSTSCVRKDHGASESSEHGFSVNFVKAVDDHSLSRTSRISSFPLNTVVEYPSTSGEEFTDYVVCDSPAANDTDKRSLEEEKAVTVESDGADAKRPNAYVVPDSPGTDDGHDSDLNVVESPSSFREYDPDTKRPKLMNEGGALRRVLTRSMLKAASVLWPH >Vigun03g103000.1.v1.2 pep primary_assembly:ASM411807v1:3:8843703:8845100:-1 gene:Vigun03g103000.v1.2 transcript:Vigun03g103000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNLVLSSTLLLLLVGFANSDITQDKADCTDKLIGLAGCLTYASGDASAPTMDCCSGLKQVIDKNKRCLCILIKDRNDPSLGLKINVTLALNLPEVCKTPTNITQCVDLLHLPPNSPDAKVFEGFEKALTNKTSPSSAPAGSAKGETLSGNSKSGGWGKKWLVTEVVCGILPLVLVSHLVLFLV >Vigun07g223000.1.v1.2 pep primary_assembly:ASM411807v1:7:34505903:34508140:-1 gene:Vigun07g223000.v1.2 transcript:Vigun07g223000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGRLLQNQNLNVHANGAGSVSGKADLPGQRKGRAGGRKPLGDLSNAGNLTNQFDGKKAHDGSLNIGKPSVNQAPKLQKSKNLETEKRIANKASGKSLTGSRKALSDISNSGKPQGPEIKNKHTLKPSLLIEESLPPSAIAEERILHDHKKCIKSQVETADVHHFFKTVGLEDDADDHMAISFELSAISKLKSESAYLELEEVPERLPEMQSLSAMHGSPANCKTPGLSSYRTMWNDSTVNFKLIETPKVSKN >Vigun02g010800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:4248758:4250191:1 gene:Vigun02g010800.v1.2 transcript:Vigun02g010800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHPIGLSITSINHSSPSRKHKRKTVTGMSWSLKQRRSWSRQPKGWLSWCSQTQSRWLARWWRVVEPMVPFSLAEEENQGLEKDGGPTVAGTGGGSLWQAWHCGREGVWCCLWRRGKRRGPCLACRKKKRREDCLARRRRNEKGGRFGELGSRRQRLRWWLALTVACLTGHGMCSGNT >Vigun06g135700.2.v1.2 pep primary_assembly:ASM411807v1:6:26188921:26191793:1 gene:Vigun06g135700.v1.2 transcript:Vigun06g135700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFRKPESTDVALSERRNWGNIFKSLVQMVRNQQNQLQSFASRHKFLEDRLRMQHEGWISDVRFHKDQISQKRSLEEAKADLALGLKHREGAILKWILEHTEDELGDFKEWFEILSRKSSVREDQGTESKDTGKKKKGTTHRGNKPISNSEEKDKCSCDEFSLLKGEYDKLSLEKYSEVSELLAEKKFVWNQYKIMENDYTAKLKSREAEVEKANEKIKVLVSGMEQLRSEHYEKDSKICELESKIAEMEAETKSLNKEISGLSMELESLRKLQNNHVTPVLNRCSDGSKASGTGVKSSKSRSMIVQKELRTPDQPAAKSSERGKTSMKRKEPPVIPIVAPKLFSNGFKVPKLKSSAKVRDDR >Vigun06g135700.1.v1.2 pep primary_assembly:ASM411807v1:6:26188921:26191793:1 gene:Vigun06g135700.v1.2 transcript:Vigun06g135700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFRKPESTDVALSERRNWGNIFKSLVQMVRNQQNQLQSFASRHKFLEDRLRMQHEGWISDVRFHKDQISQLNEILAFEEKKRSLEEAKADLALGLKHREGAILKWILEHTEDELGDFKEWFEILSRKSSVREDQGTESKDTGKKKKGTTHRGNKPISNSEEKDKCSCDEFSLLKGEYDKLSLEKYSEVSELLAEKKFVWNQYKIMENDYTAKLKSREAEVEKANEKIKVLVSGMEQLRSEHYEKDSKICELESKIAEMEAETKSLNKEISGLSMELESLRKLQNNHVTPVLNRCSDGSKASGTGVKSSKSRSMIVQKELRTPDQPAAKSSERGKTSMKRKEPPVIPIVAPKLFSNGFKVPKLKSSAKVRDDR >Vigun01g145300.1.v1.2 pep primary_assembly:ASM411807v1:1:32729307:32731670:-1 gene:Vigun01g145300.v1.2 transcript:Vigun01g145300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERMAYYGIASNLVLYLTKKLHEGTVKSSNHVTNWAGAVWIMPAAGAYIADAFLGRYWTFVISSAIYLLGMCLLTLAVSLPGLRPPACAPGIADQDCPQASSLQVGIFFFALYIIAAGTGGTKPNISTMGADQFDEFEPKERSQKLSFYNWWVFNILIGTISAQTLLVYIQDRVGFGLGYGIPTIALAVSIFMFLLGTPLYRHRLPSGSPLTRMLQVLLSAVRKWKVHVPHDLNELHELSVEECYASKGRTRIQHSSSLRFLDKAAVKTGETSPWMLCTVTQIEEAKQMMKMVPILITTCIPSTIIAQTTTLFIRQGTTLDRRIGPHFEIPPACLIAFVNIFMLISVVIYDRLFVPAIRHYTKNPRGISLLQRLGIGLVLHVIIMLTACFVERKRLSVAREKNLLGQLDKIPLTIFILLPQFALTGIADTFVDVAKLEFFYDQAPEAMKSLGTSYFTTTLSIGNFLNSFLLSTVADLTHRHGHKSWILDNLNASRLDYYYAFLALLSAINFFCFVAVAKLYVYNGDETQINKDLDMNPDSPQDNTEISQSSTTR >Vigun05g097500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9500302:9501263:1 gene:Vigun05g097500.v1.2 transcript:Vigun05g097500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECINPTSCEGSSSKNKSKEKKGVRKGNKGGVKLSTDPQSVAARERRHRISDRFKILQSMVPGGSKMDTVSMLEGAVHYVKFLKTQIWLHQALINFVDFDHDEAQVYLPAEYNFPHEHSLSLHQNPSSVSVHSQQSLPQPSLAQCCFRGEEDCNTFDATVKYWPS >Vigun08g086900.1.v1.2 pep primary_assembly:ASM411807v1:8:19544359:19547524:-1 gene:Vigun08g086900.v1.2 transcript:Vigun08g086900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMGLLLMIGAMMFGVRGEPTEDKQALLDFLHNISHSHHLINWDKSSSVCKSWIGVTCNSEQSHVIAIQLTRTGLRGRIPPNTLSRLSALQTLSLASNSLTGSFPSDFSQLNNLIYLYLQFNRFSGPLPSDFSVWKNLSIVNLSNNSFNGSIPFSLSNLTHLSSLVLANNTLSGDIPDLNNFANNNLSGIVPESLERFPRVAFSGNNLASSHALSPSFLIQPPNSNPTRKKSKGLREPALLGVIIGACVLGIAVITAFVIVCCYEKGGTSGQQVMCQKGETSRKKEGSESRDKNRIVFFEGCALAFDLEDLLRASAEVLGKGTFGTVYKAALEDTTTVAVKRLKDVIVGKREFEQQMEMVGRIRHDNVAALRAYYYSKEEKLMVYDYYEQGNVSSMLHGKREGSRISLNWDSRLKIAIGVARGIAHIHAQEGGKLLHGNIKSSNIFLNPRGYGCVSDIGLATLINPATRTTGYRAPEATDTRKSVPASDVYSFGVLLLELLTGRFPLHAKGGEEVVHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMACVVRTPDQRPKIGDVVRMVEEIRRVNAENRSSTESRSEGSTPTPHSIEIPSTSFA >Vigun08g086900.3.v1.2 pep primary_assembly:ASM411807v1:8:19544359:19547524:-1 gene:Vigun08g086900.v1.2 transcript:Vigun08g086900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMGLLLMIGAMMFGVRGEPTEDKQALLDFLHNISHSHHLINWDKSSSVCKSWIGVTCNSEQSHVIAIQLTRTGLRGRIPPNTLSRLSALQTLSLASNSLTGSFPSDFSQLNNLIYLYLQFNRFSGPLPSDFSVWKNLSIVNLSNNSFNGSIPFSLSNLTHLSSLVLANNTLSGDIPDLNNFANNNLSGIVPESLERFPRVAFSGLREPALLGVIIGACVLGIAVITAFVIVCCYEKGGTSGQQVMCQKGETSRKKEGSESRDKNRIVFFEGCALAFDLEDLLRASAEVLGKGTFGTVYKAALEDTTTVAVKRLKDVIVGKREFEQQMEMVGRIRHDNVAALRAYYYSKEEKLMVYDYYEQGNVSSMLHGKREGSRISLNWDSRLKIAIGVARGIAHIHAQEGGKLLHGNIKSSNIFLNPRGYGCVSDIGLATLINPATRTTGYRAPEATDTRKSVPASDVYSFGVLLLELLTGRFPLHAKGGEEVVHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMACVVRTPDQRPKIGDVVRMVEEIRRVNAENRSSTESRSEGSTPTPHSIEIPSTSFA >Vigun08g086900.2.v1.2 pep primary_assembly:ASM411807v1:8:19544359:19547524:-1 gene:Vigun08g086900.v1.2 transcript:Vigun08g086900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMGLLLMIGAMMFGVRGEPTEDKQALLDFLHNISHSHHLINWDKSSSVCKSWIGVTCNSEQSHVIAIQLTRTGLRGRIPPNTLSRLSALQTLSLASNSLTGSFPSDFSQLNNLIYLYLQFNRFSGPLPSDFSVWKNLSIVNLSNNSFNGSIPFSLSNLTHLSSLVLANNTLSGDIPDLNNFANNNLSGIVPESLERFPRVAFSGNNLASSHALSPSFLIQPPNSNPTRKKSKGLREPALLGVIIGACVLGIAVITAFVIVCCYEKGGTSGQQVMCQKGETSRKKEGSESRDKNRIVFFEGCALAFDLEDLLRASAEVLGKGTFGTVYKAALEDTTTVAVKRLKDVIVGKREFEQQMEMVGRIRHDNVAALRAYYYSKEEKLMVYDYYEQGKREGSRISLNWDSRLKIAIGVARGIAHIHAQEGGKLLHGNIKSSNIFLNPRGYGCVSDIGLATLINPATRTTGYRAPEATDTRKSVPASDVYSFGVLLLELLTGRFPLHAKGGEEVVHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMACVVRTPDQRPKIGDVVRMVEEIRRVNAENRSSTESRSEGSTPTPHSIEIPSTSFA >Vigun03g061700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5067744:5068433:-1 gene:Vigun03g061700.v1.2 transcript:Vigun03g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENHNTLPNGFNSASPESPCLKTTNNNNSTSTTPTTQNNNINNNHNNNNNNNNHNKEQDRFLPIANVGRIMKKVIPSNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDVIWAITTLGFEDYVEPLKIYLQKYKEIEGEKLNIPKQLRSEQRLQQHQQNNSNSSQDDNNQQFNGAYASTNLISQPPYVTTDQKFSLPFSPNSIQNHQIDSVGHWYE >Vigun03g198400.1.v1.2 pep primary_assembly:ASM411807v1:3:28388434:28399848:1 gene:Vigun03g198400.v1.2 transcript:Vigun03g198400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSLTLFKTIFVFFILFTLLTPQAVADCEAESANACNNKKKALPLKVIAIFAILVCSIIGVTLPLVTRSIPALSPENDLFVIVKCFAAGIILGTGFMHVLPDSFDMLWSDCLKEKPWHQFPFSGFVAMFSAIITMMVDSLATSAYTKKIRTEIISAKSSPAGGGDQEMGGVVNFGHFHGHHHHRENKTEGQDSQLLRYRVVAMVLELGIVVHSVVIGLGLGASNNTCTIKGLIAALCFHQMFEGMGLGGCIQQAQYRSLKKVTMMFFFSVTTPFGIALGIALSTIYRENSPSALITVGLLNASSAGLLIYMALVDLLSADFMSPRLQGSINLQLKSYLAVFLGAAGMSLMAKWA >Vigun03g423200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:62879408:62880452:-1 gene:Vigun03g423200.v1.2 transcript:Vigun03g423200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGGEAVEGSRGGRRKMLVHLASNEVMTSYSVLERKLYSLGWERYYDDPDLLQFHKRSTVHLISLPRDFNRFKSMHMYDIVVKNKNAFEVRDM >Vigun05g071201.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:6111675:6112097:-1 gene:Vigun05g071201.v1.2 transcript:Vigun05g071201.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRFEFWVTITGFWLRIGGLRFRVSRFEIRVSDFESRVLGFGCRVWGFRFGVSGWDFGLRVSGLGFRVTCYVFRVSRFMFQVSDFGFRVTDFGLRFMGFRIRVSSYMFLVSSFRIRVSGFEFRVSSFEFRVLGFGFEV >Vigun01g119701.1.v1.2 pep primary_assembly:ASM411807v1:1:29611042:29612395:1 gene:Vigun01g119701.v1.2 transcript:Vigun01g119701.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRPTALPSSQFRKHTSPLIIITYTSMISIISTMFSLHSFPFSNFMTHSHTHTANCGTLGFVTGAEMSRRLGGGDEAFGYGGERRRQ >Vigun03g387500.2.v1.2 pep primary_assembly:ASM411807v1:3:59354112:59359076:1 gene:Vigun03g387500.v1.2 transcript:Vigun03g387500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVKKSNDKGSKKSGHLKARTKGKKDKVKVEPKSHTKNVGTDLSKKRVISSLKIKGPRKDSSEKKLTTGQKLPSKNRKSSQKPFSKIQDKRASLNSRKEGKNADGEVKLQKMKRKRTKKRQRNNLDLDDPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELQRARKQILKCRLGIRDAIRQLDSLGSLSSIEDSAIAPGGSGCHEHIICAKCKRKEPSDNDVIRCYGTCKRAFHQKCVDPSLDTENVPPGGQDWFCNFCECKMEILEAMNAHLGTHFSLHSAWQDVFKEEAAIPDGETTLRNPEEEWPSDDSEDDDYDPERKEDSHNINMEGSNDSASDDLSSSTSLCYSEDEHFPVHGVSHEYLFVNTSIDSDESEGKACGRRQRKAVDYKQLYDEMFGKEAPAYEQLSEDEDWGPGKRKRREKECDAVDSLMTLHESENIHPNNEHNNNIPRKNSSGTNIKRHCFRIPRDSVEKLRQVFAVNELPPRSIRENLSKELGLDTEKVSKWFKNARYLALKSRKHQAGEANQLKGITSTKNSTLQNQEKTDILKSKTLKFTTSHSQKDVKNVSGRKKIKFSSKKIPPEENGNKESMEISDDVSLKILLKKKKKRLVSFKFVGDSQTAELEFERLCKLKQKLVSMKQKLSTIQSFSVKGSDEPHSNEPSIVYVPTAVLREKTE >Vigun03g387500.3.v1.2 pep primary_assembly:ASM411807v1:3:59354766:59359076:1 gene:Vigun03g387500.v1.2 transcript:Vigun03g387500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVKKSNDKGSKKSGHLKARTKGKKDKVKVEPKSHTKNVGTDLSKKRVISSLKIKGPRKDSSEKKLTTGQKLPSKNRKSSQKPFSKIQDKRASLNSRKEGKNADGEVKLQKMKRKRTKKRQRNNLDLDDPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELQRARKQILKCRLGIRDAIRQLDSLGSLSSIEDSAIAPGGSGCHEHIICAKCKRKEPSDNDVIRCYGTCKRAFHQKCVDPSLDTENVPPGGQDWFCNFCECKMEILEAMNAHLGTHFSLHSAWQDVFKEEAAIPDGETTLRNPEEEWPSDDSEDDDYDPERKEDSHNINMEGSNDSASDDLSSSTSLCYSEDEHFPVHGVSHEYLFVNTSIDSDESEGKACGRRQRKAVDYKQLYDEMFGKEAPAYEQLSEDEDWGPGKRKRREKECDAVDSLMTLHESENIHPNNEHNNNIPRKNSSGTNIKRHCFRIPRDSVEKLRQVFAVNELPPRSIRENLSKELGLDTEKVSKWFKNARYLALKSRKHQAGEANQLKGITSTKNSTLQNQEKTDILKSKTLKFTTSHSQKDVKNVSGRKKIKFSSKKIPPEENGNKESMEISDDVSLKILLKKKKKRLVSFKFVGDSQTAELEFERLCKLKQKLVSMKQKLSTIQSFSVKGSDEPHSNEPSIVYVPTAVLREKTE >Vigun03g387500.1.v1.2 pep primary_assembly:ASM411807v1:3:59354045:59359113:1 gene:Vigun03g387500.v1.2 transcript:Vigun03g387500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVKKSNDKGSKKSGHLKARTKGKKDKVKVEPKSHTKNVGTDLSKKRVISSLKIKGPRKDSSEKKLTTGQKLPSKNRKSSQKPFSKIQDKRASLNSRKEGKNADGEVKLQKMKRKRTKKRQRNNLDLDDPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIRPEKELQRARKQILKCRLGIRDAIRQLDSLGSLSSIEDSAIAPGGSGCHEHIICAKCKRKEPSDNDVIRCYGTCKRAFHQKCVDPSLDTENVPPGGQDWFCNFCECKMEILEAMNAHLGTHFSLHSAWQDVFKEEAAIPDGETTLRNPEEEWPSDDSEDDDYDPERKEDSHNINMEGSNDSASDDLSSSTSLCYSEDEHFPVHGVSHEYLFVNTSIDSDESEGKACGRRQRKAVDYKQLYDEMFGKEAPAYEQLSEDEDWGPGKRKRREKECDAVDSLMTLHESENIHPNNEHNNNIPRKNSSGTNIKRHCFRIPRDSVEKLRQVFAVNELPPRSIRENLSKELGLDTEKVSKWFKNARYLALKSRKHQAGEANQLKGITSTKNSTLQNQEKTDILKSKTLKFTTSHSQKDVKNVSGRKKIKFSSKKIPPEENGNKESMEISDDVSLKILLKKKKKRLVSFKFVGDSQTAELEFERLCKLKQKLVSMKQKLSTIQSFSVKGSDEPHSNEPSIVYVPTAVLREKTE >Vigun11g022800.1.v1.2 pep primary_assembly:ASM411807v1:11:2782074:2785595:-1 gene:Vigun11g022800.v1.2 transcript:Vigun11g022800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLETFGGTLFGAILQVLFDKMNSHQVVDYFRGRNLDEKLLKKLKRKLMDVNAVIDDAEQKQFNSSLVKEWLDEVRAALYDAEVLLEKIDYEFSKSELKAHSQTSASKVHNFESEMREVLADLESLLTQNIVQDFKISSGVRYGLGNKVSEKKVESTSLVAEEVIYGRDDDKEMILSWLTSDNNNKVSILSVVGMGGMGKTTLAQHLYNDPRVNEAQFDEKAWVCVSDEFDVFKLTRTILEAILKSTDDSRNLDMVQGRLKEKLTGKKFLLVLDDVWNEDRDQWKSLQTPLKYGAKGSKILVTTRSSKVAYTMQSHKVHELKQLEEDHGWQVFAKHAVQDDNSILNSEMKEIGVKIVEKCNGLPLALETVGSLLHLKSSVSDWEGVLRSNIWDLSIKDSKIIPALLLSYYHLPSHLKRCFTYCALFHKGHVFQKKKLILLWMTENFLQCSQQSKSAEEVGKQYFNDLLSRSFFQKKIFGVKTYFIMHDLLNDLAKYVSGEMFFRLGVDRAERVQKTTRYCSTGNDLMSIQELISNFKFLRVLSMRNCRNIKEVPDTIADLILLRSLDLSCTDIERLPNSLCSLYNLQVLQLKYCLKLEELPSTLHELANLRRLGLVGTTLKKAPLHLGKLKGLQVWMKNFEFSIQQLGELDLYGKLSINNIESIVNPYDVLAADLKNKAHLVELNLHWDWNRTIDSNKEIEVLENLQPSRHLKHLSIYDYGGSEFPHWLSDCSLSNVVSLTLMRCNHCLRLPSLGLLTFLKHLTIDGPKIRSIDADFYGNSSSAFVSLETLSFRDMEEWEEWQCMTGAFPSLQCLSVTNCPKLKGQLPKHLSHIKKITLKNCQQLVTWIPRDVGIEGEKTEIFSYETLSSSYCPSMSIPINHYYHFLEELCINNDCDSLTTFSLDLFPKLLNLQLGNCHHLKMISQEHPYSNLKTLTIENCSEFESFPNEGLFAPQLEDMGIHEMEKLTSMPKHMSVLLPSLNRLLINNCPGMKWSEGCLPSNLRVMRLWNCPKLVVSLKGAWGTNSSLKFLYIGKVDVEFFSGEGFFPLSLITLYIDDCPALKKLDNRGLSHLSSLAGLDLINCPVLQCLPEEGLPKSMIQLRIKNCPLLKQRCKEQEGEDREKISHIKYIYVDHEKVETQVGNY >Vigun04g101200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23497146:23497256:-1 gene:Vigun04g101200.v1.2 transcript:Vigun04g101200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKLFVYTLVIFFVSLFIFGFLSNDPGRNPGREE >VigunL046800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:510032:510386:-1 gene:VigunL046800.v1.2 transcript:VigunL046800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDYVPMSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLWHETTRSMGLAY >Vigun09g266600.1.v1.2 pep primary_assembly:ASM411807v1:9:43017279:43019304:-1 gene:Vigun09g266600.v1.2 transcript:Vigun09g266600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLSEAPKYKLITPSILSDRLRINGSLARKAIRELMARGSIRMVSAHASQQIYTRATNT >Vigun09g266600.2.v1.2 pep primary_assembly:ASM411807v1:9:43017279:43019304:-1 gene:Vigun09g266600.v1.2 transcript:Vigun09g266600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKWSKGKQKEKVNNMVLFDQGTYDKLLSEAPKYKLITPSILSDRLRINGSLARKAIRELMARGSIRMVSAHASQQIYTRATNT >Vigun05g157400.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:25550451:25551959:-1 gene:Vigun05g157400.v1.2 transcript:Vigun05g157400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding VYLIFITNYFPWLTTVVVLPIVGGSLIVLFPHKGNKTIKWYTYCICFIDLLLITYVFCYHFELDDPLIQLTENYKWIHFFDFYWRFGIDGLSLGPLLLTGFITTLATLSAQPVTRESKLFYFLMLAMYSGQLGTFSSQDILLFFIMWELELIPVYLLLSMWGGKKRLYSATKFILYTAGSSVFLLLGILGMGFYSSNEPTLNFESLTNQSYPVALEIIFYMGFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELLSRAHSIFCPWLMLLGSIQIIYAASTSLGQRNVKKRIAYSSVSHMGFLILGIGSISETGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTTLSMASLALPGMSGFVAEFIVLLGIITNQKYLFITKILITFVTAIGMILTPIYLLSILRQMFYGYKLFNKQNSYFFDSGPRELFISISILIPVISIGIYPDFIFSISADKVEAILSHF >Vigun03g405300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61253311:61255669:-1 gene:Vigun03g405300.v1.2 transcript:Vigun03g405300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Vigun01g066200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:17509723:17512572:1 gene:Vigun01g066200.v1.2 transcript:Vigun01g066200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFLELRYSGNHFTRTNKFAAFYLNSTFYHTSKDALSLQWYQNEFPKVKELTHLLANVDAVNGRLIDVKSNSTFFDDDIECDMCTFKSLVRGYVGSTFVQHKMKHVLASFVSNVKYESFTPFGKATEREPMVVDSLTKVSNFLNVSAQQRKLVRHKVCSQVTQHRIWTGALKDCLSSRGLNNNVLLGGQIVHSCLNFLTEIGVFSDSGSSSWMKLSSSKVDFTNSRKWEDVLVMFNDLIECCRKETRLKLHVAKAEIMKEGLLHIKDVSVENNVGYKEAQHQESLVRKKLSKMLGHSSRCLFTLLLYYLYGRVVDIEVDMCGGVNGSNGRFCLFMGRILTSDSEKMVGRGVKQMDRALGIFKFVWEMAEMKGHLDLQGHMWCVGANSRILRYRGNTYFVHGICL >Vigun01g066200.2.v1.2 pep primary_assembly:ASM411807v1:1:17509723:17512288:1 gene:Vigun01g066200.v1.2 transcript:Vigun01g066200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFLELRYSGNHFTRTNKFAAFYLNSTFYHTSKDALSLQWYQNEFPKVKELTHLLANVDAVNGRLIDVKSNSTFFDDDIECDMCTFKSLVRGYVGSTFVQHKMKHVLASFVSNVKYESFTPFGKATEREPMVVDSLTKVSNFLNVSAQQRKLVRHKVCSQVTQHRIWTGALKDCLSSRGLNNNVLLGGQIVHSCLNFLTEIGVFSDSGSSSWMKLSSSKVDFTNSRKWEDVLVMFNDLIECCRKETRLKLHVAKAEIMKEGLLHIKDVSVENNVGYKEAQHQESLVRKKLSKMLGHSSRCLFTLLLYYLYGRVVDIEVDMCGGVNGSNGRFCLFMGRILTSDSEKMVGRGVKQMDRALGIFKFVWEMAEMKGHLDLQGHMWCVGANSRILRCEF >Vigun03g143800.1.v1.2 pep primary_assembly:ASM411807v1:3:14549277:14553112:-1 gene:Vigun03g143800.v1.2 transcript:Vigun03g143800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFILITAPLFFLFLWIISLCKVLILPRIPFAKHFTHNGRSFRKRNVLLVTAHPDDESMFFTPTINFLTSKGHNIQILCLSTGGAEGKGNIRKRELFQACVALKVPMQQVKIVDHHDLQDGFDKVWNHSLLAKIIEEEITSCSIDMIITFDCYGVSGHCNHRDVHYGVCKLLHDTLQRDIEVWELVSTNILRKYSGPVDIWLSIFLAMLHSNGTMHCLVNENSRRSFKAMAQHSSQWVWFRKLFVTLSSYTYMNTLRKVR >Vigun03g316700.1.v1.2 pep primary_assembly:ASM411807v1:3:51060847:51070129:-1 gene:Vigun03g316700.v1.2 transcript:Vigun03g316700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVLPWLKSLPVAPEYRPTEAEFQNPIAYIFKIEKEASQYGICKIIPPLPPSPKKTATANLTRSQPTFTTRQQQIGFCPRRAQPVRRRVWFSGHHYSLREFEAKAKAFHKTYLKKSKPKPSPLELETLYWKATLDKPFSVEYANDMPGSAFSPLRASRDADYVGDTAWNMRAISRGSDSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHFGAPKTWYGVPKDAAVAFEEVVRVHGYGGEINPLVTFAILGEKTTVMSPEVFVGAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRFAKDAAIRRASINYPPMVSHFQLLYDLGLALCSRIPGGIRAEPRSSRLKYKRNGEGETVIKELFVQDVVENNDLLHTLSKGSAVVLLPRSSSDFSVCSKLRVGSQQLKVNPDFSLNEYNYEGMDSSDFISNDLMFNRNHGIKQVKSFYSVKEKFVTLCERNRVLPLSSNGNIYTSSSKTLQGDSEKETDKGDGLSDQRLFSCVTCGILSFSCVAIVQPREPAATYLMSADCSFFNDWIVGSGVTSNKFSTAHEDASIPKSRTYTGWTKQNAQHDSNGVPIQSVEHHAQIADENYEEALNSGREKGNTALALLASAYGNSSDSEEDQGGLDIALDGDELNTVNHSATDGSREMSSMPSHFQDPHASPLVRVIRLNKGDDIHSRRIDNYEYYMHKRVEQIMTPFDYSVKSEDHDNTSGVAFRNTRAVTHSTLSCSQDTHTDEDSSRMHIFCLEHAVEAEQQLRPIGGAHILLLCHPDYPKIEAEAKFVAEELGIGYTWKNTVYRQANREDEGRIQSALDSEEAIPGNGDWAVKLGINFFYSANLSRSALYSKQIPYNSVIYKAFGQNPPASSPTEPKVYQRRTNKQKKVVAGKWCGKVWMSNQVHPLLAKRDSEDVEDETSLHGWPLPDEKIERSESNHKSYTSTRKSGKKWKKSVQKGGIWEESFVERDWLSDNSIEDKSNKYQRRIIGSKRSRHIGRDDTASEGDYSPLPLHRKPITKHSESSENDAMSNDLLDDDSCLQHRRRANTNEAKFIDSDVFSDDTMGYGSDWLRRGELSNEQDAISDDSLGAGSLQLRGKTPKGKYCKYITEEDVISDDQREVYFWKQRGKICKGRQRSLSAKNEDGLKHHRQKQQQRNLRSRQDKPLGVENITSDDQMEGRLFKCQRRIPKTRQAKFIEEEDVMSDDQLKDHFQKPQRSTRRSRQNKYSDKDVMNDLAENNFHILYRTRKSKQVKVMDKDNIDSDDQMDDILHQQHKRTLQSKQSKAQILQQTKTNPLHARNKTSRPVKQKQGAHTLMKSKAARQAKNQSGNSKDLTLHVEEDEDGGPRTRLRKRVLEKESEGKVKEKRTKREKERNKTAAKVLVCHTKTKDEESEYQCDMEGCTMSFGSKQELLQHKRNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHATKKNC >Vigun03g316700.2.v1.2 pep primary_assembly:ASM411807v1:3:51060846:51070107:-1 gene:Vigun03g316700.v1.2 transcript:Vigun03g316700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVLPWLKSLPVAPEYRPTEAEFQNPIAYIFKIEKEASQYGICKIIPPLPPSPKKTATANLTRSQPTFTTRQQQIGFCPRRAQPVRRRVWFSGHHYSLREFEAKAKAFHKTYLKKSKPKPSPLELETLYWKATLDKPFSVEYANDMPGSAFSPLRASRDADYVGDTAWNMRAISRGSDSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHFGAPKTWYGVPKDAAVAFEEVVRVHGYGGEINPLVTFAILGEKTTVMSPEVFVGAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRFAKDAAIRRASINYPPMVSHFQLLYDLGLALCSRIPGGIRAEPRSSRLKYKRNGEGETVIKELFVQDVVENNDLLHTLSKGSAVVLLPRSSSDFSVCSKLRVGSQQLKVNPDFSLNEYNYEGMDSSDFISNDLMFNRNHGIKQVKSFYSVKEKFVTLCERNRVLPLSSNGNIYTSSSKTLQGDSEKETDKGDGLSDQRLFSCVTCGILSFSCVAIVQPREPAATYLMSADCSFFNDWIVGSGVTSNKFSTAHEDASIPKSRTYTGWTKQNAQHDSNGVPIQSVEHHAQIADENYEEALNSGREKGNTALALLASAYGNSSDSEEDQGGLDIALDGDELNTVNHSATDGSREMSSMPSHFQDPHASPLVRVIRLNKGDDIHSRRIDNYEYYMHKRVEQIMTPFDYSVKSEDHDNTSGVAFRNTRAVTHSTLSCSQDTHTDEDSSRMHIFCLEHAVEAEQQLRPIGGAHILLLCHPDYPKIEAEAKFVAEELGIGYTWKNTVYRQANREDEGRIQSALDSEEAIPGNGDWAVKLGINFFYSANLSRSALYSKQIPYNSVIYKAFGQNPPASSPTEPKVYQRRTNKQKKVVAGKWCGKVWMSNQVHPLLAKRDSEDVEDETSLHGWPLPDEKIERSESNHKSYTSTRKSGKKWKKSVQKGGIWEESFVERDWLSDNSIEDKSNKYQRRIIGSKRSRHIGRDDTASEGDYSPLPLHRKPITKHSESSENDAMSNDLLDDDSCLQHRRRANTNEAKFIDSDVFSDDTMGYGSDWLRRGELSNEQDAISDDSLGAGSLQLRGKTPKGKYCKYITEEDVISDDQREVYFWKQRGKICKGRQRSLSAKNEDGLKHHRQKQQQRNLRSRQDKPLGVENITSDDQMEGRLFKCQRRIPKTRQAKFIEEEDVMSDDQLKDHFQKPQRSTRRSRQNKYSDKDVMNDLAENNFHILYRTRKSKQVKVMDKDNIDSDDQMDDILHQQHKRTLQSKQSKAQILQQTKTNPLHARNKTSRPVKQKQGAHTLMKSKAARQAKNQSGNSKDLTLHVEEDEDGGPRTRLRKRVLEKESEGKVKEKRTKREKERNKTAAKVLVCHTKTKDEESEYQCDMEGCTMSFGSKQELLQHKRNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHATKKNC >Vigun03g316700.3.v1.2 pep primary_assembly:ASM411807v1:3:51060847:51069993:-1 gene:Vigun03g316700.v1.2 transcript:Vigun03g316700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEEVLPWLKSLPVAPEYRPTEAEFQNPIAYIFKIEKEASQYGICKIIPPLPPSPKKTATANLTRSQPTFTTRQQQIGFCPRRAQPVRRRVWFSGHHYSLREFEAKAKAFHKTYLKKSKPKPSPLELETLYWKATLDKPFSVEYANDMPGSAFSPLRASRDADYVGDTAWNMRAISRGSDSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHDLHSLNYLHFGAPKTWYGVPKDAAVAFEEVVRVHGYGGEINPLVTFAILGEKTTVMSPEVFVGAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRFAKDAAIRRASINYPPMVSHFQLLYDLGLALCSRIPGGIRAEPRSSRLKYKRNGEGETVIKELFVQDVVENNDLLHTLSKGSAVVLLPRSSSDFSVCSKLRVGSQQLKVNPDFSLNEYNYEGMDSSDFISNDLMFNRNHGIKQVKSFYSVKEKFVTLCERNRVLPLSSNGNIYTSSSKTLQGDSEKETDKGDGLSDQRLFSCVTCGILSFSCVAIVQPREPAATYLMSADCSFFNDWIVGSGVTSNKFSTAHEDASIPKSRTYTGWTKQNAQHDSNGVPIQSVEHHAQIADENYEEALNSGREKGNTALALLASAYGNSSDSEEDQGGLDIALDGDELNTVNHSATDGSREMSSMPSHFQDPHASPLVRVIRLNKGDDIHSRRIDNYEYYMHKRVEQIMTPFDYSVKSEDHDNTSGVAFRNTRAVTHSTLSCSQDTHTDEDSSRMHIFCLEHAVEAEQQLRPIGGAHILLLCHPDYPKIEAEAKFVAEELGIGYTWKNTVYRQANREDEGRIQSALDSEEAIPGNGDWAVKLGINFFYSANLSRSALYSKQIPYNSVIYKAFGQNPPASSPTEPKVYQRRTNKQKKVVAGKWCGKVWMSNQVHPLLAKRDSEDVEDETSLHGWPLPDEKIERSESNHKSYTSTRKSGKKWKKSVQKGGIWEESFVERDWLSDNSIEDKSNKYQRRIIGSKRSRHIGRDDTASEGDYSPLPLHRKPITKHSESSENDAMSNDLLDDDSCLQHRRRANTNEAKFIDSDVFSDDTMGYGSDWLRRGELSNEQDAISDDSLGAGSLQLRGKTPKGKYCKYITEEDVISDDQREVYFWKQRGKICKGRQRSLSAKNEDGLKHHRQKQQQRNLRSRQDKPLGVENITSDDQMEGRLFKCQRRIPKTRQAKFIEEEDVMSDDQLKDHFQKPQRSTRRSRQNKYSDKDVMNDLAENNFHILYRTRKSKQVKVMDKDNIDSDDQMDDILHQQHKRTLQSKQSKAQILQQTKTNPLHARNKTSRPVKQKQGAHTLMKSKAARQAKNQSGNSKDLTLHVEEDEDGGPRTRLRKRVLEKESEGKVKEKRTKREKERNKTAAKVLVCHTKTKDEESEYQCDMEGCTMSFGSKQELLQHKRNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHATKKNC >Vigun07g016100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1435275:1435799:1 gene:Vigun07g016100.v1.2 transcript:Vigun07g016100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRKAPSAANQASSKVVDAPKGYLAVYVGEKMKRFVIPISHLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCSEDVFQHITSSLSAQ >Vigun03g261900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42902654:42904388:-1 gene:Vigun03g261900.v1.2 transcript:Vigun03g261900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTLTSLHLHIHHFLILSLFLTPFVTDSATHWSDTQFLKELKNNLHPVSVTPGSCIFSWDFSYDPCDNLFTDKFTCGFRCDAVVSGTTRLTELTLDPAGYSGTLSAATWNLPYLQTLDVSNNFFSGQIPDSFSNLTRLTRLGLSKNFLTGTIPSSLSSLSNLQELYLDNNNLQGPIPVSFNRLTNLKRLEMQSNKLNGVVPGLSSLNNLYFLDLSFNLFTGGFPSGLPESLVQISMRNNSLSGALEPESLRNLNYLEVVDLSGNRLTGAVPFALFELPSLQQVTLSFNEFSHMEAPYALEMRSGVIAVDLSDNELGGFLPLFPALMPRLSSLSLENNRFVGMIPTQYALKTVFPEAGIAPFERLLLGGNYLFGAIPSPLMALQPGSAMVRLVDNCLYRCPISFFFCQGGQQKSFEECKRFSRFIP >Vigun06g068100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:19741579:19742623:-1 gene:Vigun06g068100.v1.2 transcript:Vigun06g068100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHNLAAGDFSLRFSSSTGSHRRNSIRAVAAEAVQVRLPAPSLYEVLRIERHASPTEIKSAYRSLAKRYHPDAVVRHSAENVRTGEAADGNFIQIRSAYETLSDPSARAMYDRTLTAVNGGRHRQFPVPLSQNDSSTFYATRRWETDQCW >Vigun08g211600.1.v1.2 pep primary_assembly:ASM411807v1:8:37323203:37326112:-1 gene:Vigun08g211600.v1.2 transcript:Vigun08g211600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGLRYEIAQNAYIKLVLHSLKHPTSAVNGILIGRISPSNDVVEIADAVPLFHSHIPLLPQLEISLILIEEYFSAKGLNIVGYFHANERSDESELGSVAKNIGDHICRYFPQAAVLLLDNKKLDALKKSKEGSAIMQLYVRDTSKNWKLVPSDANNRFSLKEPSANVVLLDYIATEKWNGIVDFDDHLDDISKDWLNPGLFK >Vigun04g039700.4.v1.2 pep primary_assembly:ASM411807v1:4:3361057:3370796:1 gene:Vigun04g039700.v1.2 transcript:Vigun04g039700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHSFLIYVLVYFQYLHSETINNLWWFLLTGHISYPSFYNTLALPGNLFQENMEAPPQSQTPENDFLNNYDSNNKYLVKSGSLGMCHDAYCLTCPIYLQATLQRNSNPSDMFNDKFPNTLHGDASRSRAKRLLLFIKNLPIMNPHNKQVQRWNKFFYICCLVTVFVGPSYVFMLYLQKENMCISADLTDSLLSETIWRLNTAMQWVNIVLQFRMAYVSHESSSRAAHLIDHPKKTALKYLQTYFLIDLCIAGSLPDLLLGPLYDLGFFEETSIIFDRGIEIFTMYFLRLVRLLRIRWFTRETSTPAKIFKNLLIVVPFGYVVGSIWYVFGIERVNECLLNACNNINSIKTTCLAFTYCSSSDAPGLWKDNENVTACLSSSSASHYGIFQDIVPLSTETSLTKKISFSMFWGFKQIITLADNLTPSSKFPLEVYFTMAIMGLGLCFFTLLVTNIQNLLQAVQSRKTEMEFRDRDVEQWMNRLRLPEDLRRRIRIFIRFDLPATRGVNEETILETLPDDIQTDIRRHIFKFLKKVQIFSLMNEAMLGAICERLKLKRYMMGSKILSERGFVEKMIFVVCGTLESVAADETRILSVGDACGLELLASYLDPDSVRTDCEKLSNSSIRCLTNVEAFTLGRADLEDVFSLFSRFLQNPRVQGAIRSSIILYHCI >Vigun04g039700.5.v1.2 pep primary_assembly:ASM411807v1:4:3361057:3370796:1 gene:Vigun04g039700.v1.2 transcript:Vigun04g039700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHSFLIYVLVYFQYLHSETINNLWWFLLTGHISYPSFYNTLALPGNLFQENMEAPPQSQTPENDFLNNYDSNNKYLVKSGSLGMCHDAYCLTCPIYLQATLQRNSNPSDMFNDKENMCISADLTDSLLSETIWRLNTAMQWVNIVLQFRMAYVSHESSSRAAHLIDHPKKTALKYLQTYFLIDLCIAGSLPDLLLGPLYDLGFFEETSIIFDRGIEIFTMYFLRLVRLLRIRWFTRETSTPAKIFKNLLIVVPFGYVVGSIWYVFGIERVNECLLNACNNINSIKTTCLAFTYCSSSDAPGLWKDNENVTACLSSSSASHYGIFQDIVPLSTETSLTKKISFSMFWGFKQIITLADNLTPSSKFPLEVYFTMAIMGLGLCFFTLLVTNIQNLLQAVQSRKTEMEFRDRDVEQWMNRLRLPEDLRRRIRIFIRFDLPATRGVNEETILETLPDDIQTDIRRHIFKFLKKVQIFSLMNEAMLGAICERLKLKRYMMGSKILSERGFVEKMIFVVCGTLESVAADETRILSVGDACGLELLASYLDPDSVRTDCEKLSNSSIRCLTNVEAFTLGRADLEDVFSLFSRFLQNPRVQGAIRSSIILYHCI >Vigun04g039700.2.v1.2 pep primary_assembly:ASM411807v1:4:3361057:3370795:1 gene:Vigun04g039700.v1.2 transcript:Vigun04g039700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHSFLIYVLVYFQYLHSETINNLWWFLLTGHISYPSFYNTLALPGNLFQENMEAPPQSQTPENDFLNNYDSNNKYLVKSGSLGMCHDAYCLTCPIYLQATLQRNSNPSDMFNDKENMCISADLTDSLLSETIWRLNTAMQWVNIVLQFRMAYVSHESSSRAAHLIDHPKKTALKYLQTYFLIDLCIAGSLPDLLLGPLYDLGFFEETSIIFDRGIEIFTMYFLRLVRLLRIRWFTRETSTPAKIFKNLLIVVPFGYVVGSIWYVFGIERVNECLLNACNNINSIKTTCLAFTYCSSSDAPGLWKDNENVTACLSSSSASHYGIFQDIVPLSTETSLTKKISFSMFWGFKQIITLADNLTPSSKFPLEVYFTMAIMGLGLCFFTLLVTNIQNLLQAVQSRKTEMEFRDRDVEQWMNRLRLPEDLRRRIRIFIRFDLPATRGVNEETILETLPDDIQTDIRRHIFKFLKKVQIFSLMNEAMLGAICERLKLKRYMMGSKILSERGFVEKMIFVVCGTLESVAADETRILSVGDACGLELLASYLDPDSVRTDCEKLSNSSIRCLTNVEAFTLGRADLEDVFSLFSRFLQNPRVQGAIRYQLPHRRLHAAKRIQSAWRNRRRRSSVETDSISIQIERNSEGSS >Vigun04g039700.3.v1.2 pep primary_assembly:ASM411807v1:4:3362036:3370795:1 gene:Vigun04g039700.v1.2 transcript:Vigun04g039700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENMCISADLTDSLLSETIWRLNTAMQWVNIVLQFRMAYVSHESSSRAAHLIDHPKKTALKYLQTYFLIDLCIAGSLPDLLLGPLYDLGFFEETSIIFDRGIEIFTMYFLRLVRLLRIRWFTRETSTPAKIFKNLLIVVPFGYVVGSIWYVFGIERVNECLLNACNNINSIKTTCLAFTYCSSSDAPGLWKDNENVTACLSSSSASHYGIFQDIVPLSTETSLTKKISFSMFWGFKQIITLADNLTPSSKFPLEVYFTMAIMGLGLCFFTLLVTNIQNLLQAVQSRKTEMEFRDRDVEQWMNRLRLPEDLRRRIRIFIRFDLPATRGVNEETILETLPDDIQTDIRRHIFKFLKKVQIFSLMNEAMLGAICERLKLKRYMMGSKILSERGFVEKMIFVVCGTLESVAADETRILSVGDACGLELLASYLDPDSVRTDCEKLSNSSIRCLTNVEAFTLGRADLEDVFSLFSRFLQNPRVQGAIRYQLPHRRLHAAKRIQSAWRNRRRRSSVETDSISIQIERNSEGSS >Vigun04g039700.1.v1.2 pep primary_assembly:ASM411807v1:4:3361057:3370795:1 gene:Vigun04g039700.v1.2 transcript:Vigun04g039700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHSFLIYVLVYFQYLHSETINNLWWFLLTGHISYPSFYNTLALPGNLFQENMEAPPQSQTPENDFLNNYDSNNKYLVKSGSLGMCHDAYCLTCPIYLQATLQRNSNPSDMFNDKFPNTLHGDASRSRAKRLLLFIKNLPIMNPHNKQVQRWNKFFYICCLVTVFVGPSYVFMLYLQKENMCISADLTDSLLSETIWRLNTAMQWVNIVLQFRMAYVSHESSSRAAHLIDHPKKTALKYLQTYFLIDLCIAGSLPDLLLGPLYDLGFFEETSIIFDRGIEIFTMYFLRLVRLLRIRWFTRETSTPAKIFKNLLIVVPFGYVVGSIWYVFGIERVNECLLNACNNINSIKTTCLAFTYCSSSDAPGLWKDNENVTACLSSSSASHYGIFQDIVPLSTETSLTKKISFSMFWGFKQIITLADNLTPSSKFPLEVYFTMAIMGLGLCFFTLLVTNIQNLLQAVQSRKTEMEFRDRDVEQWMNRLRLPEDLRRRIRIFIRFDLPATRGVNEETILETLPDDIQTDIRRHIFKFLKKVQIFSLMNEAMLGAICERLKLKRYMMGSKILSERGFVEKMIFVVCGTLESVAADETRILSVGDACGLELLASYLDPDSVRTDCEKLSNSSIRCLTNVEAFTLGRADLEDVFSLFSRFLQNPRVQGAIRYQLPHRRLHAAKRIQSAWRNRRRRSSVETDSISIQIERNSEGSS >Vigun10g141700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:35869957:35871470:-1 gene:Vigun10g141700.v1.2 transcript:Vigun10g141700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRLLWFSFGFASVYAVFSQAVLKDLLVQRYGLSNYFEHEFRILENRISQIESSSQKPSSIPVSPSASD >Vigun02g133500.1.v1.2 pep primary_assembly:ASM411807v1:2:28400724:28402416:-1 gene:Vigun02g133500.v1.2 transcript:Vigun02g133500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGEEKNTLDQLSMENGIDENGNSDGRLNKYAFASVMAASIISVVFGYVIAVMSGVLVFIKEDLGINDLQVQLLAGMLHACALPGCMAAGRTSDYKGRRMTIILSCIIFSLGSILMAYGPSYLIVMIGNCILGVAVGFSLIIAPLYSAEISPPSYRGFLTSLPELSINIGLLFGYVSNFVFEKLSLKLGWRIMVVIPLLPSLCLIILMLKLVESPRWLVMQGRVGDARKVLLVISNTREEAEQRLKEIKGDVGIDEKCTQDIVEVPKKTRSGAGALKELFCKPSPPVRRILIAAIGVHGFLQLGGIGAILLYGPRIFERTGITDKSKLMLTTVGIGATKVIFSFISIFFLDKVGRRVLFVVSAGGMVVSFLGLGICFTIMEYSSENAVWSISFTIVVIYLLVAFMTIGIGPATWVYSAEILPLRFRAQGLAVCVTVNRITNVIVLTSFISVYKKITMGGTFFLFVAINVLAFWFYCTLPETKGRSLEDMETVFGKKSQSEIQMKPHSNE >Vigun09g039800.1.v1.2 pep primary_assembly:ASM411807v1:9:3555841:3558001:1 gene:Vigun09g039800.v1.2 transcript:Vigun09g039800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFEWMQNRINGSNGKNKRTSSISATHYMKHEPCKQEFSDWPQALLAIGTFGSNSMKEDSGGRSNNNTVEDSSSFKDCTQEITLEEVANLQNEFSIFFKGRVEPNLGGEQEEHANDLTKDCLNSEHSRLDSEGKENESLSDANNRGDFYPSKSIVFSRGKDYCLDHSSKRGVGKKSLSFLLKKMLACKSGFQPTPLFKDPLSTESRMEKILRAILHKKIYPQGSCSATPFIKKYLEATPISQFDEEEDNEDGDDEEELATVSENGSKWVKTDSEFFTWKVVA >Vigun09g039800.2.v1.2 pep primary_assembly:ASM411807v1:9:3555777:3558001:1 gene:Vigun09g039800.v1.2 transcript:Vigun09g039800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFEWMQNRINGSNGKNKRTSSISATHYMKHEPCKQEFSDWPQALLAIGTFGSNSMKEDSGGRSNNNTVEDSSSFKDCTQEITLEEVANLQNEFSIFFKGRVEPNLGGEQEEHANDLTKDCLNSEHSRLDSEGKENESLSDANNRGDFYPSKSIVFSRGKDYCLDHSSKRGVGKKSLSFLLKKMLACKSGFQPTPLFKDPLSTESRMEKILRAILHKKIYPQGSCSATPFIKKYLEATPISQFDEEEDNEDGDDEEELATVSENGSKWVKTDSEYIVLEI >Vigun01g224600.1.v1.2 pep primary_assembly:ASM411807v1:1:39761790:39767072:-1 gene:Vigun01g224600.v1.2 transcript:Vigun01g224600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLSTVNTRQQTERDPKREKRSQSALERMCQVVKEEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNMAEAAALEKKKMGVSDGSVGMLSSLLTAALSGCVNVLLTNPIWVVVTRMQAHRKESNHAPDQGLLVATEQATISAVEPLPYGTSRVIQEIFDEAGIRGFWKGVLPSLIMVSNPSIQFMLYEAMLSKIRKRRNSNRVTALEIFLLGALAKLGATVVTYPLLVVKARLQARQDKTGDRRHHYKGTRDAVIKMIRYEGLNGFYKGMGTKIVQSVLAAAVIFTMKEELVRGIHFLLAKDAAKPVKLKRV >Vigun06g015000.2.v1.2 pep primary_assembly:ASM411807v1:6:7058300:7072319:-1 gene:Vigun06g015000.v1.2 transcript:Vigun06g015000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPVTVEEQLLQKAIKEECTWENLPKRIQVILSSKEEWHRRITESCIKKRLQWNSCFARKVCKESEYYEDMMRYLRKNLALFPYHLADYICRVMRLSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEEMAMIDKLCKEEANSFILFDGDVVKGLYGRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSNENASVAELAATLQADLSQLQAAASFVCRLGWATKVIDPASILQDANIPGSPKSAGSDEDASTASHGFDNMLTDSNNNQGDAYGPHSSYTRVAFIVDATITSYLMMGSVSPGLKSHAVTLYEAGKLDHAVIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLQSGGVACDAKVGEDKMDLATLENDESSSPLSEISPTDKYEDSGITEAGKNDCDILSSVLENSVQPASTEATPSNMVSETCSIPDDDDDDDDDSHVQEEATLENDESSSPLSEISPTNGDSGITEAGKTTLENDESSSPLSEISPTNKYGDSGITEAGKNDCDRLSSVSENSAQTASTEATPSNKVSETCSVPFDDDDDDDSHVQDEGSEDGNLQNDEELVVEEADVGKERSKKIKKYRVDILRCESLASLAPATLDRLFVRDYDVVVSIVPLPHSSVLPGSTSLVHFGPLSYSFMTPWMKLVLYSTVGSGPISVVLMKGQCLRFLPAPLAGCEKALIWSWDGSAIGGLGGKHEGNLVKGSILLHCLNSLLKHSAVLVQPLSRFDLDEFGKMTTMDIPLPLTNIDGSITSVGKELGICEGSKLDSVLADLAEKMELWTIGYIRLLKLFIGQESGQFTPEEEKYEWVPLSVEFGIPLFSPKLCSNICQRVVSSELLQSGSFEEHHDAMQRLRKNLHDMCAEYQATGPAAKVLYQKKKAKGSPRQLMNYASGKWNPLMDPSSPISGASSQHQRLKLANRHRCRTEVLSFDGSILRSYSLAPIFEAPTRPFEEGTQANPLKAETDESDSKEAILPGVNLIFDGSELLPFDIGACLEARQPISLIAEAGAASASLPIK >Vigun06g015000.1.v1.2 pep primary_assembly:ASM411807v1:6:7058275:7072360:-1 gene:Vigun06g015000.v1.2 transcript:Vigun06g015000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPVTVEEQLLQKAIKEECTWENLPKRIQVILSSKEEWHRRITESCIKKRLQWNSCFARKVCKESEYYEDMMRYLRKNLALFPYHLADYICRVMRLSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEEMAMIDKLCKEEANSFILFDGDVVKGLYGRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSNENASVAELAATLQADLSQLQAAASFVCRLGWATKVIDPASILQDANIPGSPKSAGSDEDASTASHGFDNMLTDSNNNQGDAYGPHSSYTRVAFIVDATITSYLMMGSVSPGLKSHAVTLYEAGKLDHAVIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLQSGGVACDAKVGEDKMDLATLENDESSSPLSEISPTDKYEDSGITEAGKNDCDILSSVLENSVQPASTEATPSNMVSETCSIPDDDDDDDDDSHVQEEATLENDESSSPLSEISPTNGDSGITEAGKTTLENDESSSPLSEISPTNKYGDSGITEAGKNDCDRLSSVSENSAQTASTEATPSNKVSETCSVPFDDDDDDDSHVQDEGSEDGNLQNDEELVVEEADVGKERSKKIKKYRVDILRCESLASLAPATLDRLFVRDYDVVVSIVPLPHSSVLPGSTSLVHFGPLSYSFMTPWMKLVLYSTVGSGPISVVLMKGQCLRFLPAPLAGCEKALIWSWDGSAIGGLGGKHEGNLVKGSILLHCLNSLLKHSAVLVQPLSRFDLDEFGKMTTMDIPLPLTNIDGSITSVGKELGICEGSKLDSVLADLAEKMELWTIGYIRLLKLFIGQESGQFTPEEEKYEWVPLSVEFGIPLFSPKLCSNICQRVVSSELLQSGSFEEHHDAMQRLRKNLHDMCAEYQATGPAAKVLYQKKKAKGSPRQLMNYASGKWNPLMDPSSPISGASSQHQRLKLANRHRCRTEVLSFDGSILRSYSLAPIFEAPTRPFEEGTQANPLKAETDESDSKEAILPGVNLIFDGSELLPFDIGACLEARQPISLIAEAGAASASLPIK >Vigun03g077500.1.v1.2 pep primary_assembly:ASM411807v1:3:6415223:6416685:-1 gene:Vigun03g077500.v1.2 transcript:Vigun03g077500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSSLLLALTFLSINIFPSAPATACRDTCGSTQVKFPFGTGPGCGSPLFSPYITCASNGTGDQLFLKTHSAVTYPITSISYATSTLTLAPSSMSSCSAMHPATTSFTLDWTSPFQLASTTFLLLSCHPSLSLSSPLCDPSFDYLCATLYTCPAVASLGLPLFPPTNSCCVYSPANLDANGQLDLKAMQCGAYASVVSLGDTPTDPSRWVYGVALKFSYGGALDNNLVTTKCSGCENSGGVCGFSEPGNGFLCVCKGGYNTTFDCSSAYNQNQDYLWDSASSYPFFFLSWFGWSSVLAGIILSLV >Vigun03g301400.2.v1.2 pep primary_assembly:ASM411807v1:3:49083081:49089464:1 gene:Vigun03g301400.v1.2 transcript:Vigun03g301400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSPQDAIIPFQILGGEAQVVQIMLKPQERIIAKPGSMCFMSGSIEMENAYLPENEVGVWQWLFGKTITSIVLHNSGPSEGFVGIAAPYFARILPIDLAMFNGEILCQPDAFLCSVNDVKVSNIVDQRGRNIVASAEGFLRQKLSGQGLAFILAGGSVVQKNLEIGEVLAVDVSSIVAVTSTVNIQIKYNGPARRTMFGGDNAVTALLTGPGIVFIQSLPFHRLSQRIARAVTSPNMRENPKFFIQIAVFFFLAYVVIVSSLILTDV >Vigun03g301400.1.v1.2 pep primary_assembly:ASM411807v1:3:49082446:49089671:1 gene:Vigun03g301400.v1.2 transcript:Vigun03g301400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSPQDAIIPFQILGGEAQVVQIMLKPQERIIAKPGSMCFMSGSIEMENAYLPENEVGVWQWLFGKTITSIVLHNSGPSEGFVGIAAPYFARILPIDLAMFNGEILCQPDAFLCSVNDVKVSNIVDQRGRNIVASAEGFLRQKLSGQGLAFILAGGSVVQKNLEIGEVLAVDVSSIVAVTSTVNIQIKYNGPARRTMFGGDNAVTALLTGPGIVFIQSLPFHRLSQRIARAVTSPNMRENPKFFIQIAVFFFLAYVVIVSSLILTDV >Vigun08g013800.1.v1.2 pep primary_assembly:ASM411807v1:8:1178894:1181328:1 gene:Vigun08g013800.v1.2 transcript:Vigun08g013800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSETVCVTGASGFIGSWLVMRLIERGYTVRATVRDPGNMKKVKHLVELPGAKTKLSLWKADLGDEGSFDEAIKGCTGVFHVATPMDFESKDPENEMIKPTVNGVLDIMKACMKAKTVRRLVFTSSAGTLNVIEHQKPIFDETCWSDVEFCRRVKMTGWMYFVSKTLAEKEAWKFAKKHGMDFITIIPPLVVGPFLMPTMPPSLITALSLITGNESHYSIIKQGQFVHLDDLCLAHIFLFEQPKVEGRYICSACDTTIHHIAKLINEKYPEYNIPTKFKNIPNELEVVRFSSKKIEGLGFQFKYSLEDMYCGAIDTCRDKGLLPKPAKNPLSSTIIQNAKTSMNGIVQN >Vigun04g181700.1.v1.2 pep primary_assembly:ASM411807v1:4:40559601:40562391:-1 gene:Vigun04g181700.v1.2 transcript:Vigun04g181700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIFLLAIFLVVTSKVFSHEEDLKTVVNYVSSKVSAPSPAPPLKAPTPSPPGPVTTPSPTTPPAKVPPPQSPTVKPPAPAPPQVKPPAPTPPQVKPPTPAPPQVKPPTPAPPQVKPPTPAPPVVYPPPPPSPIVKSIKDCLPLCDGRCQLHSRKKFCLRACVTCCYRCRCVPPGTYGNREKCGKCYTDMLTHGNKYKCP >Vigun05g292675.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47874869:47875282:-1 gene:Vigun05g292675.v1.2 transcript:Vigun05g292675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMVCVCVCVFCIWCFCVVFWGVCVVFCFVCVWCFCDCVNCFYDLCIFYLFLWLCGVLIDFDLYYFLCVVVCCVMCVLFGVLCMFCVFWVVFLWCVFLCVLWCVFSVLCFVVIWGVCVVSCCCVHCFCVCEFFYLF >Vigun11g066400.2.v1.2 pep primary_assembly:ASM411807v1:11:16324715:16327342:-1 gene:Vigun11g066400.v1.2 transcript:Vigun11g066400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTSEVINQRCTCSGEMEYIRAQCQSRDGKLLAIGHSMGGILLYARLSRSSTDCCWKQIKEPVMKLYTETTDGSTIKDKETTLV >Vigun04g193500.3.v1.2 pep primary_assembly:ASM411807v1:4:41744238:41752743:-1 gene:Vigun04g193500.v1.2 transcript:Vigun04g193500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRSKRRIHFSKLYSFSCLKSPFRDGHSQIGQKGYSRVVYCNDPDNPEAVQLSYGGNYVSTTNPLAPFTALSIVAPLLVVIGATMAKEAVEDWRRRKQDVEANNRKVQVYGRNYTFTETRWKKLRVGDIIKVYKDEYFPADLLLLSSSYGDGVCYVETMNLDGETNLKLKHALEVTVHLHDEKSLQKFRAVVKCEDPNENLYSFIGTLQHDGKEYPLSLQQILLRDSKLKNTDFIYGIVIFTGHDTKVMQNSTDPPSKRSKIERKMDKIIYILFSTLVLISFIGSVFFGIETKRDISGGRYRRWYLRPDNATVFYDPRRATLAALLHFLTAIMLYGYLIPISLYVSIEIVKVLQSIFINQDQEMYYEESDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIGGIAYGRGMTEVEKALARRGKGGESDDDGGSSDFLGQSNESVDSLHPVKGFNFRDERIVNGQWVNEPYPDFIQKFFRVLAICHTAIPDEDKESGEISYEAESPDEAAFVIAARELGFEFFARTQTSISLHELNYEIGKKVDRVYQLLHVLEFSSSRKRMSVIVRNEENQLLLLSKGADSVMFERLSKDGRQFEVETRDHIKRYAEAGLRTLVVTYRELDEEEYKLWDKEFSKVKTSVTEDRDALVDAAADKMERDLILLGATAVEDRLQKGVPECIEKLARAKIKLWVLTGDKMETAVNIGYACSLLRKDMKKIVITLDSSDILYLEKQGDKQALAKASLESIKKQIGEGISQINSAKESSNENKGSSSGFGLIIDGKSLDYSLSKNLERSFFELAISCASVICCRSSPKQKARVTRLVKLGTGKTTLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGQAAYNDWYMSFYNVFFTSLPVIALGVFDQDVSAKLCLKYPFLYLEGVEDTLFSWPRILGWMLNGVLSSLVIFFLTTNSVLNQAFRRDGKVVDFEILGVTMYTCVVWTVNCQMALSINYFTWIQHFFIWGSIAFWYVFVLVYGYLSPEISTTSYMVFVEACAPSGLYWLVTLLVVVCVLLPYFCYRSFQSRFLPMYHDIIQREQVEGIEIGLSDDELPKQVQGKLIHLRERLKQREL >Vigun04g193500.1.v1.2 pep primary_assembly:ASM411807v1:4:41744238:41752743:-1 gene:Vigun04g193500.v1.2 transcript:Vigun04g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRSKRRIHFSKLYSFSCLKSPFRDGHSQIGQKGYSRVVYCNDPDNPEAVQLSYGGNYVSTTKYTAFNFIPKSLFEQFRRVANIYFLIVACVSFSPLAPFTALSIVAPLLVVIGATMAKEAVEDWRRRKQDVEANNRKVQVYGRNYTFTETRWKKLRVGDIIKVYKDEYFPADLLLLSSSYGDGVCYVETMNLDGETNLKLKHALEVTVHLHDEKSLQKFRAVVKCEDPNENLYSFIGTLQHDGKEYPLSLQQILLRDSKLKNTDFIYGIVIFTGHDTKVMQNSTDPPSKRSKIERKMDKIIYILFSTLVLISFIGSVFFGIETKRDISGGRYRRWYLRPDNATVFYDPRRATLAALLHFLTAIMLYGYLIPISLYVSIEIVKVLQSIFINQDQEMYYEESDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIGGIAYGRGMTEVEKALARRGKGGESDDDGGSSDFLGQSNESVDSLHPVKGFNFRDERIVNGQWVNEPYPDFIQKFFRVLAICHTAIPDEDKESGEISYEAESPDEAAFVIAARELGFEFFARTQTSISLHELNYEIGKKVDRVYQLLHVLEFSSSRKRMSVIVRNEENQLLLLSKGADSVMFERLSKDGRQFEVETRDHIKRYAEAGLRTLVVTYRELDEEEYKLWDKEFSKVKTSVTEDRDALVDAAADKMERDLILLGATAVEDRLQKGVPECIEKLARAKIKLWVLTGDKMETAVNIGYACSLLRKDMKKIVITLDSSDILYLEKQGDKQALAKASLESIKKQIGEGISQINSAKESSNENKGSSSGFGLIIDGKSLDYSLSKNLERSFFELAISCASVICCRSSPKQKARVTRLVKLGTGKTTLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGQAAYNDWYMSFYNVFFTSLPVIALGVFDQDVSAKLCLKYPFLYLEGVEDTLFSWPRILGWMLNGVLSSLVIFFLTTNSVLNQAFRRDGKVVDFEILGVTMYTCVVWTVNCQMALSINYFTWIQHFFIWGSIAFWYVFVLVYGYLSPEISTTSYMVFVEACAPSGLYWLVTLLVVVCVLLPYFCYRSFQSRFLPMYHDIIQREQVEGIEIGLSDDELPKQVQGKLIHLRERLKQREL >Vigun04g193500.2.v1.2 pep primary_assembly:ASM411807v1:4:41744238:41752743:-1 gene:Vigun04g193500.v1.2 transcript:Vigun04g193500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRSKRRIHFSKLYSFSCLKSPFRDGHSQIGQKGYSRVVYCNDPDNPEAVQLSYGGNYVSTTKYTAFNFIPKSLFEQFRRVANIYFLIVACVSFSPLAPFTALSIVAPLLVVIGATMAKEAVEDWRRRKQDVEANNRKVQVYGRNYTFTETRWKKLRVGDIIKVYKDEYFPADLLLLSSSYGDGVCYVETMNLDGETNLKLKHALEVTVHLHDEKSLQKFRAVVKCEDPNENLYSFIGTLQHDGKEYPLSLQQILLRDSKLKNTDFIYGIVIFTGHDTKVMQNSTDPPSKRSKIERKMDKIIYILFSTLVLISFIGSVFFGIETKRDISGGRYRRWYLRPDNATVFYDPRRATLAALLHFLTAIMLYGYLIPISLYVSIEIVKVLQSIFINQDQEMYYEESDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIGGIAYGRGMTEVEKALARRGKGGESDDDGGSSDFLGQSNESVDSLHPVKGFNFRDERIVNGQWVNEPYPDFIQKFFRVLAICHTAIPDEDKESGEISYEAESPDEAAFVIAARELGFEFFARTQTSISLHELNYEIGKKVDRVYQLLHVLEFSSSRKRMSVIVRNEENQLLLLSKGADSVMFERLSKDGRQFEVETRDHIKRYAEAGLRTLVVTYRELDEEEYKLWDKEFSKVKTSVTEDRDALVDAAADKMERDLILLGATAVEDRLQKGVPECIEKLARAKIKLWVLTGDKMETAVNIGYACSLLRKDMKKIVITLDSSDILYLEKQGDKQALAKASLESIKKQIGEGISQINSAKESSNENKGSSSGFGLIIDGKSLDYSLSKNLERSFFELAISCASVICCRSSPKQKARVTRLVKLGTGKTTLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMM >Vigun07g282100.2.v1.2 pep primary_assembly:ASM411807v1:7:39645368:39649044:1 gene:Vigun07g282100.v1.2 transcript:Vigun07g282100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITYFSRGKKNTHVLLCFYSSGWLFSATKLVNPFFALLDPEFAHNLGVSAAARGWVPREKRPDVPILGLEVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRNEGAVINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSPSSNSEVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALHLDGLIVSNTTISRPDPVSKSPLASEAGGLSGKPLFNLSTEILKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKTELAACLERDGFKSILDAVGADCR >Vigun07g282100.1.v1.2 pep primary_assembly:ASM411807v1:7:39644390:39649044:1 gene:Vigun07g282100.v1.2 transcript:Vigun07g282100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSRKLLRDVILKRVVPNQLSAVRCFSSARESAPKIGHYSKKGRLLTGAAIGLVIAGGAYVSTVDEATFCGWLFSATKLVNPFFALLDPEFAHNLGVSAAARGWVPREKRPDVPILGLEVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRNEGAVINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSPSSNSEVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALHLDGLIVSNTTISRPDPVSKSPLASEAGGLSGKPLFNLSTEILKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKTELAACLERDGFKSILDAVGADCR >Vigun01g220500.1.v1.2 pep primary_assembly:ASM411807v1:1:39412276:39413238:-1 gene:Vigun01g220500.v1.2 transcript:Vigun01g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARTRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTEAAPRKRGAASTT >Vigun05g240250.2.v1.2 pep primary_assembly:ASM411807v1:5:43331457:43334779:1 gene:Vigun05g240250.v1.2 transcript:Vigun05g240250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDGYNDRRQFYRTRTKSFGLKNQTWLVDFKENPKVAQEHMKNPMMMNKIQKVVNVGIVQMSCLGVLQVLRHM >Vigun05g240250.4.v1.2 pep primary_assembly:ASM411807v1:5:43331980:43332930:1 gene:Vigun05g240250.v1.2 transcript:Vigun05g240250.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDGYNDRRQFYRTRTKSFGLKNQTWLVDFKENPKVAQEHMKNPMMMNKIQKVVNVGIVQMSCLGVLQVLRHM >Vigun05g240250.3.v1.2 pep primary_assembly:ASM411807v1:5:43331457:43334779:1 gene:Vigun05g240250.v1.2 transcript:Vigun05g240250.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDGYNDRRQFYRTRTKSFGLKNQTWLVDFKENPKVAQEHMKNPMMMNKIQKVVNVGIVQMSCLGVLQVLRHM >Vigun05g240250.1.v1.2 pep primary_assembly:ASM411807v1:5:43331306:43334779:1 gene:Vigun05g240250.v1.2 transcript:Vigun05g240250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLLLHHNKIKMDGYNDRRQFYRTRTKSFGLKNQTWLVDFKENPKVAQEHMKNPMMMNKIQKVVNVGIVQMSCLGVLQVLRHM >Vigun05g089400.1.v1.2 pep primary_assembly:ASM411807v1:5:8576182:8579248:-1 gene:Vigun05g089400.v1.2 transcript:Vigun05g089400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFKTTIAMNTSNIVVRFFLLFSLIGIVSAQLSATFYAKTCPNALSTIKTEVVSAVNNDRRMGASLLRLHFHDCFVQGCDASVLLDDTSSFTGEKTAGPNANSLRGFDVIDTIKSKVESLCPGVVSCADILTVAARDSVVALGGASWTVPLGRRDSTTASLSSANSDLPGPSSSLSALISSFSNKGFTAKELVALSGSHTIGQARCVTFRRRIYNDTNIDSSFATSLQANCPSTGGDSTLSPLDTTTPNTFDNAYFKNLQSKKGLLHSDQELFNGGSTDSQVNAYSTNPAAFKTDFANAMVKMGNLSPLTGSSGQIRTNCRKTN >Vigun05g197050.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:38075034:38075459:-1 gene:Vigun05g197050.v1.2 transcript:Vigun05g197050.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRMSILALFLLVIKTLVCYCFSLVLGFDLSLLLVKLKSMLLLRSLRLLFSRLLGWGWKGAALFHLMTHCIEGVFSFMEGDLTLYVGADGASSSKRSSIDLNFPPTDETEPKTTSEPPQEELVLRREMEEHILRRFQSSF >Vigun11g049200.1.v1.2 pep primary_assembly:ASM411807v1:11:7858981:7863384:1 gene:Vigun11g049200.v1.2 transcript:Vigun11g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDSEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEELDMLENIEIGEQKRRDEAYKASKKKTGVYDDKFNDDPSLEKKMLPQYDDPAAEEGVTLDDKGRFSGEAEKKLEELRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLKFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRNNVRRQAIKEEQERLESKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTEEDETPAFVDDDEDLRKSLEKARRLALKKHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun11g049200.2.v1.2 pep primary_assembly:ASM411807v1:11:7858526:7863388:1 gene:Vigun11g049200.v1.2 transcript:Vigun11g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRTKKQPEGDSEISAWVSKSRKLEKKRALQLSKIFEEQDKIAVEGSDDEDTAQHTENLAGLKVLHGLDKVMEGGTVVLTIKDQPILADGDVNEELDMLENIEIGEQKRRDEAYKASKKKTGVYDDKFNDDPSLEKKMLPQYDDPAAEEGVTLDDKGRFSGEAEKKLEELRRRLTGVSTNTFEDLTSSGKVSSDYYTHEEMLKFKKPKKKKSLRKKDKLDINALEAEAVSSGLGVGDLGSRNNVRRQAIKEEQERLESKMRSNAYQSAYAKAEEASKLLRQEQTLNVKTEEDETPAFVDDDEDLRKSLEKARRLALKKHEKEGVSGPQAIALLATSNHNNETDGQNPTAGESRENKVVFTEMEEFVWGLHIDEEARKPESEDVFMHDDEEAIVPDEEKTNEGGGWTEVQETNEDEQPNKEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDEKETQKKREIRIERTDEFGRILTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKMKQMKSSDTPSLSVERMREAQARLQTPYLVLSGHVKPGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAETSGSDNPKKPKS >Vigun11g119000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32505575:32506645:-1 gene:Vigun11g119000.v1.2 transcript:Vigun11g119000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQRGHTIGRGSSATVSTATCCAGGGVFAVKSAEVSQSECLKREQKILSSLSSPYVVAYKGCDVTMDNNKLLFNLFMEYMPFGTVAQATRRHGGRLEEAAMARYTRQIVQGLEYLHSKELVHCDIKGANILIGEDGVKIGDLGCAKSVVDSAVVIGGTPMFMAPEVARGEEQGCASDIWSLGCTVVEMATGNAPWPSVEDPFSVLYRIAYSGEVPEIPCFLSEEAKDFLGKCLRRNPEERWKASELLKHPFIGKSCSNKNKEVLESNSSSSPTSVLEPCFWRSVEESESVGDLIHNPRKFELFAAGRVRMLALSSGVPCWAQHDDEDWITARGNGGKGFGNGGAGTASGSHELSH >Vigun11g034000.3.v1.2 pep primary_assembly:ASM411807v1:11:4543284:4549799:1 gene:Vigun11g034000.v1.2 transcript:Vigun11g034000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRVYLVISYLHFSCNVSVLGGSGGFIVFGSFVANWWILGMEKQQKRGFDSEKKMKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLKLTRDNNITTGKIYQSVIAKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSSKELDDNVKEKLSQFCHVPSSNILTLYDVPNIWHIPLLLRDQKAHEAILKTLNLRGVATEPNFKEWIATTKVYDKFNESVRIAMVGKYTNLSDAYLSVLKALLHASVACNRKLVVDWVPAENLEDDTSKEDPDAHKAAWALLKGANGILVPGGFGDRGVQGKILAAKYARENSVPFLGICLGMQIAVIEFSRSVLGLHDANSTEFDPKTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFHVADCKSAKLYGNVHFVDERHRHRYEVNPDLISQLESAGLSFVGKDETGKRMEIVEFPGHPFFIGAQFHPEFKSRPGKPSPLFLGLITAACDKTVVPASKGYSTKIATGILGSHSPMLKAHNGNGFKSPNSSLNGVYTSTTTNGVCVDGSC >Vigun11g034000.4.v1.2 pep primary_assembly:ASM411807v1:11:4543270:4549836:1 gene:Vigun11g034000.v1.2 transcript:Vigun11g034000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMQCHCRVCILSSHICIFREKQQKRGFDSEKKMKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLKLTRDNNITTGKIYQSVIAKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSSKELDDNVKEKLSQFCHVPSSNILTLYDVPNIWHIPLLLRDQKAHEAILKTLNLRGVATEPNFKEWIATTKVYDKFNESVRIAMVGKYTNLSDAYLSVLKALLHASVACNRKLVVDWVPAENLEDDTSKEDPDAHKAAWALLKGANGILVPGGFGDRGVQGKILAAKYARENSVPFLGICLGMQIAVIEFSRSVLGLHDANSTEFDPKTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFHVADCKSAKLYGNVHFVDERHRHRYEVNPDLISQLESAGLSFVGKDETGKRMEIVEFPGHPFFIGAQFHPEFKSRPGKPSPLFLGLITAACDKTVVPASKGYSTKIATGILGSHSPMLKAHNGNGFKSPNSSLNGVYTSTTTNGVCVDGSC >Vigun11g034000.5.v1.2 pep primary_assembly:ASM411807v1:11:4542906:4549799:1 gene:Vigun11g034000.v1.2 transcript:Vigun11g034000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLKLTRDNNITTGKIYQSVIAKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSSKELDDNVKEKLSQFCHVPSSNILTLYDVPNIWHIPLLLRDQKAHEAILKTLNLRGVATEPNFKEWIATTKVYDKFNESVRIAMVGKYTNLSDAYLSVLKALLHASVACNRKLVVDWVPAENLEDDTSKEDPDAHKAAWALLKGANGILVPGGFGDRGVQGKILAAKYARENSVPFLGICLGMQIAVIEFSRSVLGLHDANSTEFDPKTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFHVADCKSAKLYGNVHFVDERHRHRYEVNPDLISQLESAGLSFVGKDETGKRMEIVEFPGHPFFIGAQFHPEFKSRPGKPSPLFLGLITAACDKTVVPASKGYSTKIATGILGSHSPMLKAHNGNGFKSPNSSLNGVYTSTTTNGVCVDGSC >Vigun11g034000.2.v1.2 pep primary_assembly:ASM411807v1:11:4542878:4549950:1 gene:Vigun11g034000.v1.2 transcript:Vigun11g034000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLKLTRDNNITTGKIYQSVIAKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLTPNLLACRSSKELDDNVKEKLSQFCHVPSSNILTLYDVPNIWHIPLLLRDQKAHEAILKTLNLRGVATEPNFKEWIATTKVYDKFNESVRIAMVGKYTNLSDAYLSVLKALLHASVACNRKLVVDWVPAENLEDDTSKEDPDAHKAAWALLKGANGILVPGGFGDRGVQGKILAAKYARENSVPFLGICLGMQIAVIEFSRSVLGLHDANSTEFDPKTKNPCVIFMPEGSKTHMGGTMRLGSRRTYFHVADCKSAKLYGNVHFVDERHRHRYEVNPDLISQLESAGLSFVGKDETGKRMEIVEFPGHPFFIGAQFHPEFKSRPGKPSPLFLGLITAACDKTVVPASKGYSTKIATGILGSHSPMLKAHNGNGFKSPNSSLNGVYTSTTTNGVCVDGSC >Vigun05g150633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22596321:22603362:1 gene:Vigun05g150633.v1.2 transcript:Vigun05g150633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLKNDEDFSNEKVVNDYFQDDGSMGMTNIVLDDEEIQLFDDNAPMEEVNMDDVHYPIHNVHNDQFFNDNDELLDEDIELNDLDEELDDSNNDLDNSDDEWL >Vigun01g176900.4.v1.2 pep primary_assembly:ASM411807v1:1:35801327:35803457:1 gene:Vigun01g176900.v1.2 transcript:Vigun01g176900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVVCRKIYDYIRYDLKEIAFPSSLPDPPHMKKRRKLTRDERIWVLKRASRLYAASWVRDIGPDLRPDDYKKDDMTAETDDEKRTAKGKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGVQQVMEKKEDSKTQEDADLPQKTNLNFLVGRVMLLF >Vigun01g176900.1.v1.2 pep primary_assembly:ASM411807v1:1:35800348:35803457:1 gene:Vigun01g176900.v1.2 transcript:Vigun01g176900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVSFNPFSLTGYSYNLVNSCSISNCIDSLVVQMKVRVVCRKIYDYIRYDLKEIAFPSSLPDPPHMKKRRKLTRDERIWVLKRASRLYAASWVRDIGPDLRPDDYKKDDMTAETDDEKRTAKGKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGVQQVMEKKEDSKTQEDADLPQKTNLNFLVGRVMLLF >Vigun01g176900.2.v1.2 pep primary_assembly:ASM411807v1:1:35799386:35803457:1 gene:Vigun01g176900.v1.2 transcript:Vigun01g176900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYTSLFKIGYQPIESYHIHSLSFLFTPTSLAKKQEGRVQAIHGVSFLLHRDIHHVAGRGSNERTQRHHRTAIDGRFRPPRPPRTQVLKRASRLYAASWVRDIGPDLRPDDYKKDDMTAETDDEKRTAKGKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGVQQVMEKKEDSKTQEDADLPQKTNLNFLVGRVMLLF >Vigun01g176900.3.v1.2 pep primary_assembly:ASM411807v1:1:35799386:35803457:1 gene:Vigun01g176900.v1.2 transcript:Vigun01g176900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVVCRKIYDYIRYDLKEIAFPSSLPDPPHMKKRRKLTRDERIWVLKRASRLYAASWVRDIGPDLRPDDYKKDDMTAETDDEKRTAKGKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGVQQVMEKKEDSKTQEDADLPQKTNLNFLVGRVMLLF >Vigun06g041800.1.v1.2 pep primary_assembly:ASM411807v1:6:16360179:16364496:1 gene:Vigun06g041800.v1.2 transcript:Vigun06g041800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPPSAAAAAAAAGGPAPFLLKTYDMVDDPSTNDIVSWSSCNASFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIHPERWEFANDEFVKDQKHLLKNIHRRKPIHSHSHPPGSIVDPERAEFEEEIDKLSREKTSLESNIHNFKQHQSTAKLQLEDFLQRLDATEKRQKQLLNFFEKALQNPSFVEHLSRKIESMDLSAYNKKRRLPQADHVQPVAESSFVDSHNNFRMEFGNIFHQDFSNKLRLELSPAVSDMNLVSRSTQSSNEDGESPQKKLSEVEPKGVQTRTSLTFAPETLELADTGASFTFKMDTCLPRKASTAENSKLISLEPSGEEGDSCQLNLTLASCPVQVNRNSYSARSPQIDCQEIGKLAESRFFASVKESESGVSSNQNLAAEATNLASPQEAPSNNQVNPAPPDRVNDVFWEQFLTERPGCSDNNEEAISNYRANPYDEQDEGRSVHGISRNIKNMDQLTL >Vigun05g232150.1.v1.2 pep primary_assembly:ASM411807v1:5:42600248:42602343:1 gene:Vigun05g232150.v1.2 transcript:Vigun05g232150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGGSTRTWRTFKEKPITMLVNFTKMVVKLGKDDPRRLIHSIKFVPLFFMTTQCATLGKVLNRVLATAFAGGLAVAVSKIASFSVDVGKLVTWVFESFVVFNFTGGLLSFLKFSPRLNERYDNGSSENKLLIVAGVRLLMIIFGSFIALMVNICIHPVWIGQELQNQISSNVILIPFVLSLLLTIQLAGFSGKYFNNLEDTAEAEDDDPFLSILSSKTSEETMAFWARWEPCHGSFRFRHPWKQYLKIGDKIRSCACRIQALSVSHLTPYEIRSRIEEQCKNMMVESGKALRESSFMLNLMVKSAMPNLHVTNAESATESLKSLLRANPWEGGDLVEILPAITVASHLIHIVLCVKEICEAVEELANLANFEPSEIFHRGTVQSTSDNDGTVAVITESPYDA >Vigun09g246300.1.v1.2 pep primary_assembly:ASM411807v1:9:41468793:41471136:-1 gene:Vigun09g246300.v1.2 transcript:Vigun09g246300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLVLVFSVVLALPFVLGQEDDWKNLLDQGGLSQDALDQAQQAIGGGTTQDAVSDYFGSALSGASSGSGSGSSSSSSYDDADEGQGTSLADFLDTDSSASASHETEISPAGSMSETEISPAGSMAETEISPAGSMAETDISPAGSMADSPVGAPDALPEDEDEDEDEDDEDEDEDISPAGAPEGSFSPAEAPVESDDEASTEAPTTAPAEAPTRTPEFDDDSEEGEGAPTSAPTSAPTGEPDSDDEEFEDSDSSSSPSNAPAQAPKGEPLDE >Vigun03g308500.1.v1.2 pep primary_assembly:ASM411807v1:3:50032661:50034173:-1 gene:Vigun03g308500.v1.2 transcript:Vigun03g308500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYIQTVKSCRIFQESGVAELVSAMAAGWNAQLIVETWSEGGMMATSVGLAVARSHTCGRHVCVVPDERSRSEYAERMGEAGMWPEIVVGDAEEVMEDLAGIEFMVVDSRRSNFSRVLKLAKLSNKGAVLICKNACHSGNSSIASAGFRWRNVLEEGSRRLVRSVFLPVGKGLDIAHVSAIGSSAASKKWIKHVDHESGEMHVIRR >Vigun10g010301.1.v1.2 pep primary_assembly:ASM411807v1:10:1002653:1005110:1 gene:Vigun10g010301.v1.2 transcript:Vigun10g010301.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRLLSGSVAFPHSSTPFRDPKPKSGWKSGYKPRSKETRCQKGVNGFGSSNNRSHRVYLGVKIREPFFLPASAPLQFHSLSLSAAPTATTPSSGQCNHHASESALTTLFKSQQSHLNFFFEHIDHSQTLAFTRALLNATGTVFFIGVGKSGFVAHKISQTLVSLGMRSAFLSPVDVLHGDIGILTERDVLVLLSKSGATEELLHLVPCARAKGALLIALTSVEGNALAAACDMAVHLPLQRELCPFNLVPVTSTAIQMVFGDTVAITLMEARNLTKEEYAANHPAGKIGKSLIFKVKDVMRNQDDLPICRESDLIMDQLVELTSNGCRCLTVGKMCNRNPRIIGPEAMAVEAMKKMEAPPSPVLFLPVINDENILIGIVPRFSPRPRQKTHIWPRF >Vigun06g071900.7.v1.2 pep primary_assembly:ASM411807v1:6:20273264:20277471:1 gene:Vigun06g071900.v1.2 transcript:Vigun06g071900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSPSPSPLPYKRYGRSVSRSLSRSMSRSRSSVSRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMETVEVADRCVKHLNRSVLEGRVITVEKARRRRGRTPTPGKYLGLRTVRGRRRSPSYSPRRSPSYSPYRRSYSRSPYSSDRSRSRSYSPDYGRRRSYSPYYSQRRRSYSPYYSRYRSYVRYDHYRHRSYSRSRSPYSRSPVSIRDRSYSPYDWPDGRSYRRNRYRSVSRSASPNDRYYGRHRYRSVSHSASPRPRRRSRRSYSRSATPVSRRRDSRSVSPRPRRSPSRSSKRSAKYSKDRSRSSSVVASSRSVSRSNTPRSSSPST >Vigun06g071900.4.v1.2 pep primary_assembly:ASM411807v1:6:20273264:20277471:1 gene:Vigun06g071900.v1.2 transcript:Vigun06g071900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSPSPSPLPYKRYGRSVSRSLSRSMSRSRSRSVSRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMETVEVADRCVKHLNRSVLEGRVITVEKARRRRGRTPTPGKYLGLRTVRGRRRSPSYSPRRSPSYSPYRRSYSRSPYSSDRSRSRSYSPDYGRRRSYSPYYSQRRRSYSPYYSRYRSYVRYDHYRHRSYSRSRSPYSRSPVSIRDRSYSPYDWPDGRSYRRNRYRSVSRSASPNDRYYGRHRYRSVSHSASPRPRRRSRRSYSRSATPVSRRRDSRSVSPRPRRSPSRSSKRSAKYSKDRSRSSSVVASSRSVSRSNTPRSSSPST >Vigun06g071900.5.v1.2 pep primary_assembly:ASM411807v1:6:20274319:20277471:1 gene:Vigun06g071900.v1.2 transcript:Vigun06g071900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVEVADRCVKHLNRSVLEGRVITVEKARRRRGRTPTPGKYLGLRTVRGRRRSPSYSPRRSPSYSPYRRSYSRSPYSSDRSRSRSYSPDYGRRRSYSPYYSQRRRSYSPYYSRYRSYVRYDHYRHRSYSRSRSPYSRSPVSIRDRSYSPYDWPDGRSYRRNRYRSVSRSASPNDRYYGRHRYRSVSHSASPRPRRRSRRSYSRSATPVSRRRDSRSVSPRPRRSPSRSSKRSAKYSKDRSRSSSVVASSRSVSRSNTPRSSSPST >Vigun06g071900.1.v1.2 pep primary_assembly:ASM411807v1:6:20273264:20277471:1 gene:Vigun06g071900.v1.2 transcript:Vigun06g071900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSPSPSPLPYKRYGRSVSRSLSRSMSRSRSSVSRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMETVEVADRCVKHLNRSVLEGRVITVEKARRRRGRTPTPGKYLGLRTVRGRRRSPSYSPRRSPSYSPYRRSYSRSPYSSDRSRSRSYSPDYGRRRSYSPYYSQRRRSYSPYYSRYRSYVRYDHYRHRSYSRSRSPYSRSPVSIRDRSYSPYDWPDGRSYRRNRYRSVSRSASPNDRYYGRHRYRSVSHSASPRPRRRSRRSYSRSATPVSRRRDSRSVSPRPRRSPSRSSKRSAKYSKDRSRSSSVVASSRSVSRSNTPRSSSPST >Vigun06g071900.6.v1.2 pep primary_assembly:ASM411807v1:6:20273206:20277471:1 gene:Vigun06g071900.v1.2 transcript:Vigun06g071900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSPSPSPLPYKRYGRSVSRSLSRSMSRSRSRSVSRDAENPGNNLYVTGLSPRITKRELEKHFAAEGKVIDVHLVVDPWTRESRGFGFVTMETVEVADRCVKHLNRSVLEGRVITVEKARRRRGRTPTPGKYLGLRTVRGRRRSPSYSPRRSPSYSPYRRSYSRSPYSSDRSRSRSYSPDYGRRRSYSPYYSQRRRSYSPYYSRYRSYVRYDHYRHRSYSRSRSPYSRSPVSIRDRSYSPYDWPDGRSYRRNRYRSVSRSASPNDRYYGRHRYRSVSHSASPRPRRRSRRSYSRSATPVSRRRDSRSVSPRPRRSPSRSSKRSAKYSKDRSRSSSVVASSRSVSRSNTPRSSSPST >Vigun01g123300.1.v1.2 pep primary_assembly:ASM411807v1:1:30008814:30014144:-1 gene:Vigun01g123300.v1.2 transcript:Vigun01g123300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCSSQMYGTDWESYMGITNLTKVVGSEQVSVLEPKNSPFNIVTTPLQIQTPQGFCATATKGLVSFQAQQHVHQQQQQHQIEVPAWCFEFPKTTTTIDSHMLNIRQASGDNFTTKQDPPSSQFTSPLCPVAESFLSSSTGADCPSSSEKYCKITSYSEKYSSMQPDGMPYYDHFSQEEDKLLRDDAATDERPLEISFQRNQLESCTKPKKQAPHRLCGVACVTSSNSASRRGKRRIKWTNDLHEPFMMIVNSLGGPEKAKPKAILDMMKSDLLSISHVKSHLQKCRSTINMHKALQEKSEEGQRMDGVSELQVKIHMQIEESRQLQLEVRRNICQQLEMQRNLQMLIQQQSQQLKVMLDYQKKKTKLDTELEATVP >Vigun08g176600.1.v1.2 pep primary_assembly:ASM411807v1:8:34697431:34698991:1 gene:Vigun08g176600.v1.2 transcript:Vigun08g176600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTANFDYQDFDPYFEWSEDEGSATLIVMLPGFTKEQLRVQVTSAPMLRINGERQILENKRRRFSREFSIPPYCDTNDVSAKFEGGVLTIKFPKLITLARAQPQIAPIPQKEKEQAQEDHAEKQESVQKEKEPTSDEKEEKKTEGAEENEEKSDEKEKGETKEEEEVPKEIAEKKVRTNVAELTAQDQKPKAKITQRLKTRVLDFTVSLRSVEDQDVKQGFGGNKYVNLIKRPKILMNIGLVMLLVIVLGLYVRNAFKYSSSEGGTDFEKFKEF >Vigun04g124800.1.v1.2 pep primary_assembly:ASM411807v1:4:31657143:31658916:-1 gene:Vigun04g124800.v1.2 transcript:Vigun04g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMNLDSYRFSISWSRILPNGKLSRGINQEGIDYYNNVINEQLANGIKPLVTLFHCDLPQALEDEYGGFLSPLIFKDFRDYADVCFKAFGDRVKHWVTLNEPWTYNINGYANGTMALGRCSSWVNPIRFQMPELYK >Vigun05g001400.2.v1.2 pep primary_assembly:ASM411807v1:5:119245:120479:1 gene:Vigun05g001400.v1.2 transcript:Vigun05g001400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDDGMVVKKKVGKGLITKTWERCKWIGRGRSSVMMMRSRSWPSRKGPKKNSSNVGVAPEGCFSVYVGPQMQRFVIKTEYANHPLFKFLYLLNNNTVIDHPIYLSMYLLLLIYIYMPGFFNFI >Vigun05g001400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:119245:120479:1 gene:Vigun05g001400.v1.2 transcript:Vigun05g001400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDDGMVVKKKVGKGLITKTWERCKWIGRGRSSVMMMRSRSWPSRKGPKKNSSNVGVAPEGCFSVYVGPQMQRFVIKTEYANHPLFKILLEEAESEYGYSSQGPLALPCHVDIFYKVLMEMEYSKEEEEEEQQKLTRKASAGCVCLNRSPSAYRLLRSSSVKP >Vigun04g082900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:12831023:12831985:1 gene:Vigun04g082900.v1.2 transcript:Vigun04g082900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGSLFFCLLQKTPKGFFFPLHAWKAYGQCKNQLSRNLCQPKSLSTTLFTIGAIIADTEGHPFSPSLSRKISTHTSSRCHVSIPQHHRQRPILSLRS >Vigun03g324000.2.v1.2 pep primary_assembly:ASM411807v1:3:51961203:51971560:-1 gene:Vigun03g324000.v1.2 transcript:Vigun03g324000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLKTNYRCVPALQQFYTGGPFVVSSDNSFIACACGESIKIVDSVTAALRSTLDADSESVTALALSPDNRLLFSSSHSRQIRVWDLSTFKCVRSWKGHDGPVMCMTCHPSGGLLATGGADRKVLVWDVDGGYCTHYFKGHGGVVSCVMFHPDPEKQLLFSGSDDGGDNATVRVWDVSKTKKKNCIATLDNHRSAVTSLAISEDGWTLLSSGRDKVVTLWDLHDYSNKKTVITNEAVEAVCVIGSSSPFASSLHSYKQDAKKRSGSKAFYFITVGERGIVRIWNSKGAGSIFEQKTSDVTASIDDDDGSRRGFTSAVMLPSDQGLLCVTADQQFLVYSLECTDELLQLNLTKRLVGYNEEIVDMKFLGDDEKFLALATNLEQVRIYDTASMSCSYVLSGHTEIVLCLDTCVSTSGKTLIVTGSKDNSVRLWDSESANCIGVGIGHMGAVGAIAFSKRKRDFFVSGSSDHTLKVWSMDGLSDNMTVPFNLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVVTASGDKTVRIWAISDGSCLKTFEGHTSSVLRALFVSRGTQIVSCGADGLVKLWTVKTNECVATYDHHEDKVWALAVGKKTEMLATGGGDAVVNLWFDSTASDKEEAFRKEEEGVLKGQELENAVSDADYSKAIQIAFELRRPHRLFELFSELCRKREAEEHLDRALKGLGDEELRILFNYVREWNTKPKLCYVSQFVLFRVFSVFPPTHIVEIKGIGEVLEGLIPYSQRHFGRIDRLVRSTFLLDFILSGMSVIEPEAQPTESKTKLLLQSDIHIPDTENDIEERDDSPEITASKKRKSKRSRHGSHKKVKNVAFNRVESIPLQA >Vigun03g324000.1.v1.2 pep primary_assembly:ASM411807v1:3:51961265:51971549:-1 gene:Vigun03g324000.v1.2 transcript:Vigun03g324000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLKTNYRCVPALQQFYTGGPFVVSSDNSFIACACGESIKIVDSVTAALRSTLDADSESVTALALSPDNRLLFSSSHSRQIRVWDLSTFKCVRSWKGHDGPVMCMTCHPSGGLLATGGADRKVLVWDVDGGYCTHYFKGHGGVVSCVMFHPDPEKQLLFSGSDDGGDNATVRVWDVSKTKKKNCIATLDNHRSAVTSLAISEDGWTLLSSGRDKVVTLWDLHDYSNKKTVITNEAVEAVCVIGSSSPFASSLHSYKQDAKKRSGSKAFYFITVGERGIVRIWNSKGAGSIFEQKTSDVTASIDDDDGSRRGFTSAVMLPSDQGLLCVTADQQFLVYSLECTDELLQLNLTKRLVGYNEEIVDMKFLGDDEKFLALATNLEQVRIYDTASMSCSYVLSGHTEIVLCLDTCVSTSGKTLIVTGSKDNSVRLWDSESANCIGVGIGHMGAVGAIAFSKRKRDFFVSGSSDHTLKVWSMDGLSDNMTVPFNLKAKAVVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVVTASGDKTVRIWAISDGSCLKTFEGHTSSVLRALFVSRGTQIVSCGADGLVKLWTVKTNECVATYDHHEDKVWALAVGKKTEMLATGGGDAVVNLWFDSTASDKEEAFRKEEEGVLKGQELENAVSDADYSKAIQIAFELRRPHRLFELFSELCSRKREAEEHLDRALKGLGDEELRILFNYVREWNTKPKLCYVSQFVLFRVFSVFPPTHIVEIKGIGEVLEGLIPYSQRHFGRIDRLVRSTFLLDFILSGMSVIEPEAQPTESKTKLLLQSDIHIPDTENDIEERDDSPEITASKKRKSKRSRHGSHKKVKNVAFNRVESIPLQA >Vigun10g075866.1.v1.2 pep primary_assembly:ASM411807v1:10:20540941:20541850:-1 gene:Vigun10g075866.v1.2 transcript:Vigun10g075866.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLLKDKEKIKEANRVDCFEKTNIQCSKKGKQGVTKQQTINEMFKDRELVIQDICNYIYAHCLDLILEDIGELPVFYNTITNAKKVTTFIYRHTWVLNLYNEEVSYGFV >Vigun07g163800.2.v1.2 pep primary_assembly:ASM411807v1:7:27554432:27566169:1 gene:Vigun07g163800.v1.2 transcript:Vigun07g163800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRSHRPQSSDPPDEWVDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGDDTFACDKCKARHNNNPEETEVAQFLVELPTKTISMDNKKALPSRPRLWTDKPIQERVHVQGPAEGDPSIFSATSVSSIFSPHLWKACGYVPKKFNFQYKEFPFWSDNDEDKDNANESLQAQTEAQPQAQDNNKNGAGALVYLSKNGDNSGAALVLDPSSVDARSGHAKETEMGKFGSEHVLPRVHSEVKKERTLLRPPVVHNSKRSKGDFGSSNSKDRSGKKRVRTTSDREVDPRRRTLHSSKSVFTTTGDAKQVDFYEDRGPKILKADTRSIKNKNLKESVVQECVSDDYLAADTIMEEPNNNLTTTEDSSEPLYPDTTRHGVSVVDVPAEEKPNHKPPTVVEMSSKTDDAVTSVLKQNNVGNASTKEKDGDCSVADNADDSLVVRSAASPQTEGYCGSAPELADNQFSQDLDRNKRTSSTKCKVKMKREDDIDNFKKPSIFHPSPISDLKNNEKLSDHKSDVEVNDAPVPSLLSCENKVGSVDISSEVIPADYINKPNELSGDFCPRKQELEGYEGSLETQKVFSETKDGSDSAKDPSKSEALGCPAKVLACVGKSSPTSSTINSKSLGHDIKSEDTETANPFTKHGAMTDSSVQIKNENCTNNVARDENPKKSVRERPKSSLNSNSKGLHSSRSVHNSVSKQANSDARDSVPVSSKSLIHQTASISGSSESNASLHNQKVLQVQNKILSSAPQKVEKVNQTNIATSSKLNQGHVPSVNPSPISNSSMLSDEELALLLHQELNSSPRVPRVPRARHAGSLPQLSSASATSMLMKRTSGGGKDHYLVSRRKHKDASRDGSGSSRELEDEAKKIEKEKGPTSSEQRKQDMSYMEDAPAREEGPASMTAANSIANNIVSSTSAIANSDPSSPPEDQNLSSMRNSPRNISDDDTVTAGRPAHHTLPGLINEIIMSKGRRMTYEELCSAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRQEWARQILIEKGVSWRLKNLMIMDMAREELRKSLKGRTLSCKRKSSPKASVRLENADALLFREEQ >Vigun07g163800.1.v1.2 pep primary_assembly:ASM411807v1:7:27554432:27566155:1 gene:Vigun07g163800.v1.2 transcript:Vigun07g163800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRSHRPQSSDPPDEWVDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYVKGDDTFACDKCKARHNNNPEETEVAQFLVELPTKTISMDNKKALPSRPRLWTDKPIQERVHVQGPAEGDPSIFSATSVSSIFSPHLWKACGYVPKKFNFQYKEFPFWSDNDEDKDNANESLQAQTEAQPQAQDNNKNGAGALVYLSKNGDNSGAALVLDPSSVDARSGHAKETEMGKFGSEHVLPRVHSEVKKERTLLRPPVVHNSKRSKGDFGSSNSKDRSGKKRVRTTSDREVDPRRRTLHSSKSVFTTTGDAKQVDFYEDRGPKILKADTRSIKNKNLKESVVQECVSDDYLAADTIMEEPNNNLTTTEDSSEPLYPDTTRHGVSVVDVPAEEKPNHKPPTVVEMSSKTDDAVTSVLKQNNVGNASTKEKDGDCSVADNADDSLVVRSAASPQTEGYCGSAPELADNQFSQDLDRNKRTSSTKCKVKMKREDDIDNFKKPSIFHPSPISDLKNNEKLSDHKSDVEVNDAPVPSLLSCENKVGSVDISSEVIPADYINKPNELSGDFCPRKQELEGYEGSLETQKVFSETKDGSDSAKDPSKSEALGCPAKVLACVGKSSPTSSTINSKSLGHDIKSEDTETANPFTKHGAMTDSSVQIKNENCTNNVARDENPKKSVRERPKSSLNSNSKGLHSSRSVHNSVSKQANSDARDSVPVSSKSLIHQTASISGSSESNASLHNQKVLQVQNKILSSAPQKVEKVNQTNIATSSKLNQGHVPSVNPSPISNSSMLSDEELALLLHQELNSSPRVPRVPRARHAGSLPQLSSASATSMLMKRTSGGGKDHYLVSRRKHKDASRDGSGSSRELEDEAKKIEKEKGPTSSEQRKQDMSYMEDAPAREEGPASMTAANSIANNIVSSTSAIANSDPSSPPEDQNLSSMRNSPRNISDDDTVTAGRPAHHTLPGLINEIIMSKGRRMTYEELCSAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRQEWARLVDRGPKTNSNRKRRKLEAEESDDNGYGKGRTAKESEGKNFELQKEEFPKGKRKARKRRRLALQGRAVKDVRRRQKTDSLTDEDVGPFSNSSEESMFSEDEIQVGRICPTGSTSDEAGSA >Vigun08g039900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:3907854:3908464:-1 gene:Vigun08g039900.v1.2 transcript:Vigun08g039900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNYNTSRSRSLSYPQAETVSNKKELKMKKGKSMSRTSISKPWSLSDPEFQRKKRVATYKMYSVEGKVKGSFSKSFRWLKDRYWQVVYGCC >Vigun04g063500.1.v1.2 pep primary_assembly:ASM411807v1:4:6820495:6823727:-1 gene:Vigun04g063500.v1.2 transcript:Vigun04g063500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASYAASPPPLKNELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPTKTIKVPPGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVATEPSGKKINALEQHIKNLLCPSTPYFFNTLYDPFREGADYVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQNAVLPKECTTVQKCYIELSKQVKEKLSKIDPYFDKLADAMVTWIEAWDDLNPTGASKANGKA >Vigun02g127800.1.v1.2 pep primary_assembly:ASM411807v1:2:27983135:27984169:-1 gene:Vigun02g127800.v1.2 transcript:Vigun02g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTVLKVDITCSKCKRKLLKTVSSLQGVDKIEADEGKGTLTVTGDADPYDIIVRIRKAGKHAEVVSVGPPPAPPKQDQKKPEEKKPEEKKKPDPVKQEQKPNEPYMQMPYYYPPHQPVVVYMNRYEEPNPSCIIL >Vigun04g087200.1.v1.2 pep primary_assembly:ASM411807v1:4:14970840:14971841:1 gene:Vigun04g087200.v1.2 transcript:Vigun04g087200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSHSQRMTIAFRHLFSILFLSFVIKGSCYCNLNNINIESTRSGNTILGKPEWKVVVKNNCNCTQNQIKLQCQGFQTTEVVSPSILVLQGDSCLFINGNPLKGFASVSFSYAWDPPYFFRPLTSHTTC >Vigun01g233700.2.v1.2 pep primary_assembly:ASM411807v1:1:40546501:40551628:1 gene:Vigun01g233700.v1.2 transcript:Vigun01g233700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFAGVLLWHVIALVAVVNLGYASNLDAKKVNAEVIYARNGAVATDDRRCSRIGKDILREGGHAADAAVAAALCLGVVSPASSGLGGGAFMLLRQANGVSKAFDMRETAPALASKNMYGGNTTLKAKGGLSVAVPGELAGLHEAWKQYGKLPWIRLVNPAEKLARGFQISAYLHMQMKATESDILQDKGLRSIFAPNGKLLNIGDTCYNKKLADTLRAISVFGPKAFYDGLIGRNLVKDVQNAGGILSTKDLKNYTVKQKKPLSTNVLGLNLLAMPPPSGGPPMILLLNILDQYKLPSGISGALGVHREIEALKHVFAVRMNLGDPDFVNITGVVSDMLSRWFAKEMKNDINDNKTFDSSHYGGKWNQIHDHGTSHLCVIDLERNAISMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINVSKDVPPPAPANFIVPGKRPLSSMTPTIALKNGKLKAVVGASGGAFIIGGTSEVLLNHFGKGLDPFSSVTAPRVYHQLIPNVVNYENWTTVSGDHFELSADIRKVLISKGHVLKGLAGGTICQFIVIDDFVSSRKSKENGNGRLVAVSDPRKGGLPAGF >Vigun01g233700.3.v1.2 pep primary_assembly:ASM411807v1:1:40546501:40551628:1 gene:Vigun01g233700.v1.2 transcript:Vigun01g233700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFAGVLLWHVIALVAVVNLGYASNLDAKKVNAEVIYARNGAVATDDRRCSRIGKDILREGGHAADAAVAAALCLGVVSPASSGLGGGAFMLLRQANGVSKAFDMRETAPALASKNMYGGNTTLKAKGGLSVAVPGELAGLHEAWKQYGKLPWIRLVNPAEKLARGFQISAYLHMQMKATESDILQDKGLRSIFAPNGKLLNIGDTCYNKKLADTLRAISVFGPKAFYDGLIGRNLVKDVQNAGGILSTKDLKNYTVKQKKPLSTNVLGLNLLAMPPPSGGPPMILLLNILDQYKLPSGISGALGVHREIEALKHVFAVRMNLGDPDFVNITGVVSDMLSRWFAKEMKNDINDNKTFDSSHYGGKWNQIHDHGTSHLCVIDLERNAISMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINVSKDVPPPAPANFIVPGKRPLSSMTPTIALKNGKLKAVVGASGGAFIIGGTSEVLLNHFGKGLDPFSSVTAPRVYHQLIPNVVNYENWTTVSGDHFELSADIRKVLISKGHVLKGLAGGTICQFIVIDDFVSSRKSKENGNGRLVAVSDPRKGGLPAGF >Vigun01g233700.1.v1.2 pep primary_assembly:ASM411807v1:1:40546501:40550568:1 gene:Vigun01g233700.v1.2 transcript:Vigun01g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFAGVLLWHVIALVAVVNLGYASNLDAKKVNAEVIYARNGAVATDDRRCSRIGKDILREGGHAADAAVAAALCLGVVSPASSGLGGGAFMLLRQANGVSKAFDMRETAPALASKNMYGGNTTLKAKGGLSVAVPGELAGLHEAWKQYGKLPWIRLVNPAEKLARGFQISAYLHMQMKATESDILQDKGLRSIFAPNGKLLNIGDTCYNKKLADTLRAISVFGPKAFYDGLIGRNLVKDVQNAGGILSTKDLKNYTVKQKKPLSTNVLGLNLLAMPPPSGGPPMILLLNILDQYKLPSGISGALGVHREIEALKHVFAVRMNLGDPDFVNITGVVSDMLSRWFAKEMKNDINDNKTFDSSHYGGKWNQIHDHGTSHLCVIDLERNAISMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINVSKDVPPPAPANFIVPGKRPLSSMTPTIALKNGKLKAVVGASGGAFIIGGTSEVLLNHFGKGLDPFSSVTAPRVYHQLIPNVVNYENWTTVSGDHFELSADIRKVLISKGHVLKGLAGGTICQFIVIDDFVSSRKSKENGNGRLVAVSDPRKGGLPAGF >Vigun05g255000.1.v1.2 pep primary_assembly:ASM411807v1:5:44944028:44950806:-1 gene:Vigun05g255000.v1.2 transcript:Vigun05g255000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTSSAVSVDSVSRDNNRLWKGAFAVAGIMVTLVTYGLLQEKIMRVPYGAEKEYFKYSLFLVFCNRITTSAVSAGSLLASKKAMDPVAPVFTYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYQGPDYLLAFLITLGCSVFILYPAGTDVSPYNRGRENTVWGVLLMVGYLGFDGFTSTFQDKLFRGYDMEIHNQIFYTTLCSCILSLTGLILQGHLIPAVEFVYQHHDCFLDIALLSTVATISQFFISYTIRTFGALTFATIMTTRQLVSIMLSCVWFSHPLSWEQWIGAVIVFGSLYGKSFTRKPPQKTTSSSAEFVPNGDSNNLKENP >Vigun01g079100.1.v1.2 pep primary_assembly:ASM411807v1:1:22377794:22380574:1 gene:Vigun01g079100.v1.2 transcript:Vigun01g079100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRVRVEKAFGSLPIPSSSINSLWSLTEDEIDNKRSKRTHQPQPQPYPFSSSRVQLEDLDDLEDDDDEEEAPRGPSKPPDYNDEQWQIRSGIGQDCTLDYEEEEDQYDKQAIGKEDSDDRVYMKDVKDDGVEISSSSVFPTSFRDFVRDPRANHLAARIRLKQDDEAARKIDALHVSEKSTPDIGGGGDATNPKSILKSKDNSGESRPQKRVRFDPECDDKDNYDDDGHEETRDVRMKTSSMEEVPASDQLSKSQEFNSAVPDYIRNPSRYTRYTFDDSPSEMDDKSNKEAYMSFLSQLNKGTASQADDVLDDLPSVTFISKKKSGDARMRDSEMVSKNKLDAGVEAVNRKSFPVGIAAGDSENSDVSAMEEDEQEVGDAKKSMQKFNRKYRKKTQEELEEPIV >Vigun05g025800.1.v1.2 pep primary_assembly:ASM411807v1:5:2097762:2101929:1 gene:Vigun05g025800.v1.2 transcript:Vigun05g025800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDSLAPKTEKKRSRKNKAVVNENAPLLPKSQGQGSDAGFDDFNGASFSGAVFNLSTTIIGAGIMALPATLKQLGMVPGLIAIIIMAFLTEKSIELLIRFTRAGKSVSYAGLMGDSFGNYGKALAQICVIINNIGVLIVYMIIIGDVLSGTSSGGDHHYGILEGWFGLHWWTGRTFVVLITTLAIFAPLASFKRIDSLRFTSALSVALAVVFLVIAVGIAVVKIISGGIAMPRLFPVTTDAASFFKLFTVVPVFVTAYICHYNVHSIDNELEDSSQMRGVVQTALILCSSVYVMISFFGFLLFGEGTLDDVLANFDTDLGIPFGSVLNDAVRISYAAHLMLVFPVVFYPLRLNIDGLLFSSSRPLVLDNFRFASLTISLIGVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAITLKDRYNIATRSDKILSIVMIVLAVFSNVVAIYSDAYALLKQNQTSRE >Vigun06g103800.1.v1.2 pep primary_assembly:ASM411807v1:6:23329054:23333065:-1 gene:Vigun06g103800.v1.2 transcript:Vigun06g103800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRNKRSKTFPCLLRTVNYCSLFQSFDQTHRAQGGRRGRRGRRGRRRRRKETLKMHSFGYRANALLTFAITILALMCAMASLSDNFNTPTPSAQVQVLNINWFQKQPNGNDEVSMTLNISADLQSLFTWNTKQVFVFLAAEYETPKNSLNQISLWDGIIPSKEHAKFWIHTSNKYRFIDQGSNLRGKEYNLTMHWHVMPKTGKMSADKIVMPGYRLPAEYR >Vigun04g100900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:23491568:23491813:1 gene:Vigun04g100900.v1.2 transcript:Vigun04g100900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPIISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Vigun05g152300.1.v1.2 pep primary_assembly:ASM411807v1:5:23674282:23677768:-1 gene:Vigun05g152300.v1.2 transcript:Vigun05g152300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVPSHMKAWTYSEYGKSGEVLKFNHSVALPPVKEDQVLIKVAAASINPIDYKRMEGHFKNSDSPLPTVPGFDVAGVVVKVGSEVKKFKVGDEVYGDINLKALEYPKVIGSLAEYTAAEERLLAHKPQSLSFVEAASLPLTLETAYEGLERTGFSAGKSILVLGGAGGVGTHVIQLAKHVYGASKVAATASSTKLELLSNLGADLPIDYTKENFEDLSEKFDVVYDTVGQTEQAFKALKEGGKVVTIVPPGFPPAILFILSTDGGILEKLNPYFESGKLKPILDPKSPFPFSQTVEAFAHLETNRATGKVVIFPIP >Vigun03g299500.6.v1.2 pep primary_assembly:ASM411807v1:3:48754038:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun03g299500.4.v1.2 pep primary_assembly:ASM411807v1:3:48745647:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun03g299500.2.v1.2 pep primary_assembly:ASM411807v1:3:48749742:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun03g299500.3.v1.2 pep primary_assembly:ASM411807v1:3:48745647:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun03g299500.5.v1.2 pep primary_assembly:ASM411807v1:3:48745647:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun03g299500.1.v1.2 pep primary_assembly:ASM411807v1:3:48745647:48757373:1 gene:Vigun03g299500.v1.2 transcript:Vigun03g299500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAMRVLTKCSFHAKSCKPYLTLNFIAHQHHGQYQTRRNLILETSASEFVKLHRLTGPDSGIVQISLDRPKVRNAIGKEMLQGLSHAFELINQKSYANVAIISSSVPGVFCAGADLKERREMSQSEAKTFVNSIRSTFSFLEAVCVPTIAVIEGVALGGGLELALACDIRICGENSLMGLPETGLAIIPAAGGSQRLPRLVGKAIAKDIIFTGRKVDGKEALSLGLVNYCAPAGEAYSKALAVARDINQKGPVAIRMAKKAINEGVETDLTSALVLEEDYYDYVLSTKDRLEGLAAFAEKRKPRYTGE >Vigun02g028100.1.v1.2 pep primary_assembly:ASM411807v1:2:10126502:10131768:1 gene:Vigun02g028100.v1.2 transcript:Vigun02g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNWIPAISLGAKGLIRRNRNSNPNPKIVCVQWFSFSSSKAPIDMAARDGELRVFLVAGEVSGDSIASRLMASLRLFSPFPLRFAGVGGAKMASEGLKSLFSMEDISVMGLWELLPHLYRIRVKLKETVEAAVLFEPHVVLTVDSKGFSFRFLKQLRARYSQNNLDFPSHFHYVAPSFWAWKGGEARLRGLAEFVDHLLCILPNEDRICRLNGLYATAVGHPVLEDVLELNLRNNSTIHEWRAKGNAEDFRNKYAVPAGATVISLLPGSRVQEVSRMLPIFSDTVELMKDTVPQLMTVIHVAPNEHVENFMAGAIHRWPVPVILIPGGTTQLRYDAFSACRVALCTSGTVAVELQLARLPCVVAYRAHILTEWYVRYKAKIQYMSLPNILLDKAIIPEALFQSCKPANLALLLNDLIHDGGCREEQIIAAQKFVELLLPSERTKHNLPQQHLRSYADYTPSAIAALTILNHGKPVTSI >Vigun02g028100.4.v1.2 pep primary_assembly:ASM411807v1:2:10126502:10131768:1 gene:Vigun02g028100.v1.2 transcript:Vigun02g028100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGLKSLFSMEDISVMGLWELLPHLYRIRVKLKETVEAAVLFEPHVVLTVDSKGFSFRFLKQLRARYSQNNLDFPSHFHYVAPSFWAWKGGEARLRGLAEFVDHLLCILPNEDRICRLNGLYATAVGHPVLEDVLELNLRNNSTIHEWRAKGNAEDFRNKYAVPAGATVISLLPGSRVQEVSRMLPIFSDTVELMKDTVPQLMTVIHVAPNEHVENFMAGAIHRWPVPVILIPGGTTQLRYDAFSACRVALCTSGTVAVELQLARLPCVVAYRAHILTEWYVRYKAKIQYMSLPNILLDKAIIPEALFQSCKPANLALLLNDLIHDGGCREEQIIAAQKFVELLLPSERTKHNLPQQHLRSYADYTPSAIAALTILNHGKPVTSI >Vigun02g028100.2.v1.2 pep primary_assembly:ASM411807v1:2:10126502:10131768:1 gene:Vigun02g028100.v1.2 transcript:Vigun02g028100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGLKSLFSMEDISVMGLWELLPHLYRIRVKLKETVEAAVLFEPHVVLTVDSKGFSFRFLKQLRARYSQNNLDFPSHFHYVAPSFWAWKGGEARLRGLAEFVDHLLCILPNEDRICRLNGLYATAVGHPVLEDVLELNLRNNSTIHEWRAKGNAEDFRNKYAVPAGATVISLLPGSRVQEVSRMLPIFSDTVELMKDTVPQLMTVIHVAPNEHVENFMAGAIHRWPVPVILIPGGTTQLRYDAFSACRVALCTSGTVAVELQLARLPCVVAYRAHILTEWYVRYKAKIQYMSLPNILLDKAIIPEALFQSCKPANLALLLNDLIHDGGCREEQIIAAQKFVELLLPSERTKHNLPQQHLRSYADYTPSAIAALTILNHGKPVTSI >Vigun02g028100.3.v1.2 pep primary_assembly:ASM411807v1:2:10126502:10131768:1 gene:Vigun02g028100.v1.2 transcript:Vigun02g028100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGLKSLFSMEDISVMGLWELLPHLYRIRVKLKETVEAAVLFEPHVVLTVDSKGFSFRFLKQLRARYSQNNLDFPSHFHYVAPSFWAWKGGEARLRGLAEFVDHLLCILPNEDRICRLNGLYATAVGHPVLEDVLELNLRNNSTIHEWRAKGNAEDFRNKYAVPAGATVISLLPGSRVQEVSRMLPIFSDTVELMKDTVPQLMTVIHVAPNEHVENFMAGAIHRWPVPVILIPGGTTQLRYDAFSACRVALCTSGTVAVELQLARLPCVVAYRAHILTEWYVRYKAKIQYMSLPNILLDKAIIPEALFQSCKPANLALLLNDLIHDGGCREEQIIAAQKFVELLLPSERTKHNLPQQHLRSYADYTPSAIAALTILNHGKPVTSI >Vigun02g013000.1.v1.2 pep primary_assembly:ASM411807v1:2:4947664:4948704:-1 gene:Vigun02g013000.v1.2 transcript:Vigun02g013000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKFTHAVMIIVIMSASMFSVSMANKDWFPAFNYTDWWSRFGNHQQNKTQQQPRQIVVGGSEHWHFGYNYSDWAIKNFPFYLNDTLVFKYDAPNATTFPHSVYMFKNYGSFLKCDIKKAKMLASPVQGGGEGFKFLLQKWQPHYFACGERNGFHCSNGTMKFAVMPMFRPFWPWP >Vigun07g137100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:24736914:24738385:1 gene:Vigun07g137100.v1.2 transcript:Vigun07g137100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRKRKMEGEEESEEEQMEKFFALIKSTKDARLRLFKEKEEEKAKGVWKPSFEAEDFMDDQELFKIKYKDAPGHSGKETEQDPLHKESAEATPTTEQNGNNNKPTHDLDLTLSL >Vigun01g110000.1.v1.2 pep primary_assembly:ASM411807v1:1:28335511:28336970:-1 gene:Vigun01g110000.v1.2 transcript:Vigun01g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTESELHVVDFTDATMEPGTDAWFSACTLVREALEQNGCFVARYDRIGKELCDSVLCAMEELFSLPVETKAQKTSDKLFHGYFGQVPWLPLYESLGIDDPLTLQGCQKFAHIMGLQENHRFCESINEYAKLLGELDHMAKRMVFESYGVKMQGCKRMIESSDYLLRCMKYRTPETGEKDLGMHSHTDLTIVSIVHQLNNLNGLEIKLKDGEWIGVDASFSLFVVMAGDAFNVWSNGRIRPCEHRVTMNATKTRYSMGLFSFSGDKIMQIPDEVVNEQHPLRYKSIFDHYEYLRFYDKEKIKDPYSRIEAYCGISSL >Vigun06g087400.1.v1.2 pep primary_assembly:ASM411807v1:6:21927601:21929188:-1 gene:Vigun06g087400.v1.2 transcript:Vigun06g087400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDHMAKPKPGLEELYQGIPDESVNLTFQDLANVISSHHTDTTMPATQHVSEPNNNPTKGPSPSPSPSPSLSTVPSLDFRKGLEGLNRNNHHYQLHGFGHGGGDSSWGHFNHASGSAARAQISRPSEYSMSYDGIKSGESFASGKGGSGRRRRPGIPHSTICTICSNYVYLFRTRCLVCGRVYCRQCVEIGMGEMTEGRKCIECLGLRFSQRYIERAGMGGCCSWRYPSTLKHAEVMWAEKGPRRSGDRGYGHHSMASSRPRRSPLSIASTEASFVMSATHSPFSAHYNLPL >Vigun01g171200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:35334719:35334958:1 gene:Vigun01g171200.v1.2 transcript:Vigun01g171200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNTTLTSESTNSYTTTKNKRQKPELLGIGKYLVITVLLRKYNNGFLVR >VigunL043440.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000513.1:18521:18859:1 gene:VigunL043440.v1.2 transcript:VigunL043440.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQVDEPDGDVSSFVVAEPVPPDLPSDDSPTLHHLSLQAYHGTYGKCTIYFSGSIAGTTVRILLDGGSSDNFIQMEKIPKRKSQSNRWVNNEWDRLDPTLTHMGQCSADAS >Vigun09g215600.2.v1.2 pep primary_assembly:ASM411807v1:9:39010790:39013276:1 gene:Vigun09g215600.v1.2 transcript:Vigun09g215600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLVSVLVLASCKEVQKMAKAQYTVDSARACLIQGSLLHFFIRNTEAIQSKAGRYTNPEEIPFFPENLPPSVAPYYPSSEFLHSAGALININKDIWKLYFHVLLPMFVASGDDGNYAQTAAADLTLLQAISRRIHYGRFVAEAKFRETPQIFESLIRAKDRKALMKVLTLESIEKMVVKRVEKKAMVFGHEVSLEHVKEGTYKVDPSVVSLLYQKWLIPLTKNVELEYLLRRLD >Vigun09g215600.3.v1.2 pep primary_assembly:ASM411807v1:9:39010790:39013276:1 gene:Vigun09g215600.v1.2 transcript:Vigun09g215600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLVSVLVLASCKEVQKMAKAQYTVDSARACLVRQEDTIIFALIERAKFPLNSPTYNHTFTSISQIQGSLLHFFIRNTEAIQSKAGRYTNPEEIPFFPENLPPSVAPYYPSSEFLHSAGALININKDIWKLYFHVLLPMFVASGDDGNYAQTAAADLTLLQAISRRIHYGRFVAEAKFRETPQIFESLIRAKESIDESVDIGEHRKDGGEEG >Vigun09g215600.1.v1.2 pep primary_assembly:ASM411807v1:9:39010790:39013276:1 gene:Vigun09g215600.v1.2 transcript:Vigun09g215600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLVSVLVLASCKEVQKMAKAQYTVDSARACLVRQEDTIIFALIERAKFPLNSPTYNHTFTSISQIQGSLLHFFIRNTEAIQSKAGRYTNPEEIPFFPENLPPSVAPYYPSSEFLHSAGALININKDIWKLYFHVLLPMFVASGDDGNYAQTAAADLTLLQAISRRIHYGRFVAEAKFRETPQIFESLIRAKDRKALMKVLTLESIEKMVVKRVEKKAMVFGHEVSLEHVKEGTYKVDPSVVSLLYQKWLIPLTKNVELEYLLRRLD >Vigun05g101300.1.v1.2 pep primary_assembly:ASM411807v1:5:10050689:10053280:1 gene:Vigun05g101300.v1.2 transcript:Vigun05g101300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPMRPQIVLFGSSIVQFSFDNGGWGAILAGLYARKADIVLRGYSGWNSRQALDVLDEVFPKDAPVQPSLVIVYFGGNDSVHPHPSGLGPHVPLQEYLENMRKIANHLKSISEHIRIIFLTSPPINEEQLRKKLSATQSGRTNESCGEYADALMELSEELNVKAINLWSAIQTRDDWLDVSFTDGVHLSAEGSKVVVKEILRVLREADWKTSLHWMSMPTEYAEDSPYYPPAPDGKTTINVSYCVSRRSLQWDL >Vigun06g220500.3.v1.2 pep primary_assembly:ASM411807v1:6:33030904:33034478:-1 gene:Vigun06g220500.v1.2 transcript:Vigun06g220500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIPGGAEAFELAAKFCYGVAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKAEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRPAKISSPTWNDMKESSPSRNQQVPPDWWFEDASILRIDHFVRVITAIKVKGMRFELVGAAIMHYATKWLPGLISDTANPGDEASNCSVSNSSSSGGGSSWKGGLHMVVTGTKEDSSSVQAKEQRMIVESLVSIIPPQKDSVSCSFLLRLLRMAIMLKVAPALVTELEKRVGMQFEQATLADLLIPSYSKGETMYDVDLVQRLLEHFIVQEQTESSSPSRQSFSDKQHMGMGCILNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACLHAAQNERLPLRVVVQVLFAEQVKISNALATSSVKDVESESHAMVTNRKTLLEGTPQSFQEGWTAAKKDINTMKFELESVKAKYMELQNDMASLQKQFDKMLKQKHSSAWSSGWKKLSKLGRTTNLVENQDDSPKIPDSIEHNRKTARRWRRNSIS >Vigun06g220500.2.v1.2 pep primary_assembly:ASM411807v1:6:33030904:33034478:-1 gene:Vigun06g220500.v1.2 transcript:Vigun06g220500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIPGGAEAFELAAKFCYGVAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKAEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRPAKISSPTWNDMKESSPSRNQQVPPDWWFEDASILRIDHFVRVITAIKVKGMRFELVGAAIMHYATKWLPGLISDTANPGDEASNCSVSNSSSSGGGSSWKGGLHMVVTGTKEDSSSVQAKEQRMIVESLVSIIPPQKDSVSCSFLLRLLRMAIMLKVAPALVTELEKRVGMQFEQATLADLLIPSYSKGETMYDVDLVQRLLEHFIVQEQTESSSPSRQSFSDKQHMGMGCILNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACLHAAQNERLPLRVVVQVLFAEQVKISNALATSSVKDVESESHAMVTNRKTLLEGTPQSFQEGWTAAKKDINTMKFELESVKAKYMELQNDMASLQKQFDKMLKQKHSSAWSSGWKKLSKLGRTTNLVENQDDSPKIPDSIEHNRKTARRWRRNSIS >Vigun06g220500.1.v1.2 pep primary_assembly:ASM411807v1:6:33030904:33034478:-1 gene:Vigun06g220500.v1.2 transcript:Vigun06g220500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESATGHKYGGGLLTSTNHGVKTEGFVQRGNSWYVATDIPSDFLVQIGEASFHLHKYPLVSRSGKLSRIIYETHDPDLNKIVMDDIPGGAEAFELAAKFCYGVAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKAEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWSYTGRPAKISSPTWNDMKESSPSRNQQVPPDWWFEDASILRIDHFVRVITAIKVKGMRFELVGAAIMHYATKWLPGLISDTANPGDEASNCSVSNSSSSGGGSSWKGGLHMVVTGTKEDSSSVQAKEQRMIVESLVSIIPPQKDSVSCSFLLRLLRMAIMLKVAPALVTELEKRVGMQFEQATLADLLIPSYSKGETMYDVDLVQRLLEHFIVQEQTESSSPSRQSFSDKQHMGMGCILNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACLHAAQNERLPLRVVVQVLFAEQVKISNALATSSVKDVESESHAMVTNRKTLLEGTPQSFQEGWTAAKKDINTMKFELESVKAKYMELQNDMASLQKQFDKMLKQKHSSAWSSGWKKLSKLGRTTNLVENQDDSPKIPDSIEHNRKTARRWRRNSIS >Vigun11g191000.1.v1.2 pep primary_assembly:ASM411807v1:11:39041643:39046363:1 gene:Vigun11g191000.v1.2 transcript:Vigun11g191000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTGKGPAVRSYRRRKAVLDLDLNRVPAGDNREHEGPSIQLGPQVVIVEQQPPASQPTMIDVEDIDDDVVECTPGAFAEAKNKSRRNRGRTVVDVELEDQTRRNKRRRELRNQTIINCEKYINLEGSSSSMSDNAKMIPEPRIEPVFNCPICMGPLAEEMSTRCGHIFCKNCIKAAISAQGKCPTCRKKITVKELIRVFLPSTS >Vigun11g191000.2.v1.2 pep primary_assembly:ASM411807v1:11:39041643:39046363:1 gene:Vigun11g191000.v1.2 transcript:Vigun11g191000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTGKGPAVRSYRRRKAVLDLDLNRVPAGDNREHEGPSIQLGPQVVIVEQQPPASQPTMIDVEDIDDDVVECTPGAFAEAKNKSRRNRGRTVVDVELEDQTRRNKRRRELRNQTIINCEKYINLEGSSSSMSDNAKMIPEPRIEPVFNCPICMGPLAEEMSTRCGHIFCKNCIKAAISAQGKCPTCRKKITVKELIRVFLPSTS >Vigun05g291740.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47793112:47793456:-1 gene:Vigun05g291740.v1.2 transcript:Vigun05g291740.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVVIWDVCVVSCCCVQCFCGCVDYFCALLCFLYVICVVCVFFVLCMFCALFYGVCVCWVVFYWCLFLCDLCFVFWGVVIWGMRVCVVLCYCVECFCGCVNCFYACVFFYLFL >Vigun11g105350.1.v1.2 pep primary_assembly:ASM411807v1:11:30379521:30380718:1 gene:Vigun11g105350.v1.2 transcript:Vigun11g105350.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKVLPFIMQHTLVMQKDFVYFLQHKCVTNLYVLLNQKGQNILHVAAKNGKSKVVEYLLGNSKIDESIINQKDNNGNTPLHLASLNLFPKVLYLFTQDKRTDVKLLNNNDLTAQDIIGLALKNKTTIRKFLARRVLKEAGVPSKVDDMLHFRQQQTLKMDLSLKDLLNTFLVVATLMVTVTFAAAFTVPGGVYSSDDPNPKKRGMAVLGDKALFWVFTTFNMTAMYSSVIACGLMLMALIFDRKLATRATILAMGCLVFEFLTVPVAFLAAVRLVVANNSALAYLITAIGVMYTSIILSALFAFFPIGIRLLFLRQVGRFVLKILIALIDYDEKPGDSSPPSKREQGKR >Vigun05g227700.1.v1.2 pep primary_assembly:ASM411807v1:5:42075478:42077844:1 gene:Vigun05g227700.v1.2 transcript:Vigun05g227700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLYAVIFAQMFVIVSFLFKTPARKLVIVTLDRVKRGRGPVVVKTVAATLLVVLASSLYSIAKIQRRSLDSPVVNPTDQVLVSKHTLEASLMGFVLFLALIIDRLHHYIRELRLLRKAMEAAKKQSRSFEDGKSVSAAEHKALVEEISTLKPQIEKLESECEVKASKAKALEAEVEALRKQSEGFLMEYDRLLADNQNLRSQLQAIDHSSSHAVNKKST >Vigun04g158600.1.v1.2 pep primary_assembly:ASM411807v1:4:38059268:38065105:-1 gene:Vigun04g158600.v1.2 transcript:Vigun04g158600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVRWDEANIGEIEANKPVRQKITEPKTPYHPMIDDDSSLSPVRGSFDACIDDKNRTMHAEAIWTALNDVASSSQKGTGQSGGWTSSEDESEAMEQDDDDSETDRSVSFKEHRKAHYDEFLKVRELRQKGSLLEDESDEDSNSERCKAEKCESSSLSDSVKEMEIKGKKSSTSPANGS >Vigun09g190400.1.v1.2 pep primary_assembly:ASM411807v1:9:36512273:36517428:1 gene:Vigun09g190400.v1.2 transcript:Vigun09g190400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDERERLLERERLQIQMIRQLDLEHLEVEEVDYSDEDEDADTEVDSDYAILLSQLNSPSSPHSSAEFTFDTYITPLHTYLGDVEDTRHRTAFLDGGAVLNIPLFCLRGVVPFPGATLPLRVIEPRLVAAVERALTQDDIPHTIGVIRIHRDTATHRTKSASVGTTAEIRQFGRLGDGSLNVVTRGQQRFRLRRSWNDVDGVPYGEIQIIEEDLPVRIPRDAFGKLAPLSNMPCSQAVSHSVSSPYSHTKMQRSKNEESDSEPNSEDSFENELSPVERKIHLSVVGSSYVDDTMDESANSCNPKFMCKSDQETRSNLDSRIGNCSTSGKQSWNEELNRCKNICAYTSHKISKAFWPHWTYRMFDSYLLAQRAADMWKRIVGVPNMESLVQKPDVLSFHIASKIPVSESTRQELLDIDGIAYRLRREIELLESIDLIRCKSCETTIAKRSDMLVMSSEGPLSAYVNPGGYVHEIMTLYKANGLSLLGPAVAEYSWFPGYAWTIAICATCKTQMGWLFTARSQKLKPSTFWGIRSCQLAEEKTRKPVV >Vigun10g065650.1.v1.2 pep primary_assembly:ASM411807v1:10:14425850:14428012:-1 gene:Vigun10g065650.v1.2 transcript:Vigun10g065650.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPHPHFLPSMAPTSHPHVPPLVNTTQQPHVGRESSRVWTIDEIQKTNQLTKQLGERIIVPFDRQLLAYGEAASLLSSACGRIATDSNNVPINFDSWPKVPKSYKDDCFNILKNLFHFQASERYIERYCSLCMSNKYRNEKMNLWNRVYDSSLSREQLIVNVPDGIQKDKWSSFVDYHLSEEYKKLRKRNIEFRKAQKNPHIGGAKLLSTKQHEMEINLGRVVGRGELYIETHKKMNGSYVNEKAKSIMNMIEEMSQSVNSNEMSDDDCERCLGLEGLHSVAFQSTTRFSNAGHNLTNSGSESSQLKEEVISLREKLATFEENLKTLKSVLLAYIQMKEGHIPHELGVKESGQELPTSHGGSSLDSNFHRV >Vigun10g139700.1.v1.2 pep primary_assembly:ASM411807v1:10:35599024:35607101:-1 gene:Vigun10g139700.v1.2 transcript:Vigun10g139700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIRSMDSYPYQRNQMPLPHHYHPGIEALPPQMKFDPSKPHLSYDPRWPYAGNYGRPMPPHFCCGHNNFPCNYGYMPSYPHAPSPMYYSGGCPAYTDPYFVPYSPQPPQTMELPRYEYDKYMPRDHHCCGCPNHSCNQKEGRSVKIEEHEPDVGRKVNDSLVPIQLKNYPYPFVWIPQEHTSNKQMNNPDTMEVGEQNKPSRIENANAVAQPAQEPRVWNGWLPFDVKGVPSMIHDGNGIRNQKQDSGNNRGESENGEMGQKRQSEPKRSEFPFPIFWLPYYNKLEESGETNNQENNNSSPKIVEEVPHAVKSVPVKSHVDEGGMNGTRSDQAARTDTNASDVAEKVTNARSIPVKQIGKDVSLDQMEENVTKKDSSADDKKRQSASLPKASKLPPVCLRVDPLPRKKNGNGSSRSRSLSPPSSKGKSQVTSGESLKTPVSGTSEKTQPNLNHQNAPKIIEKVEPKEKTIQEEFECKTNENKGVDKTEECQSELNVNIPSEGSKGTRDTFTDGDECKIEDKEAGKGAENMEETTQLREVKDSSTPTDVGSKEGRILSDADAAVLIQAAYRGYQVRKWEPLKKLKQIDEVRKEVTNVQDRVQAFERSSDLQNDEKQKIAIGENIMRLLLKLDTIQGLHPSFREIRKSLARELTILQERLDSLMANKPQQQMQDIQLQKDVEVTPTNMQNEEHLPGDSSEANSDGGNVSQSPVDPVPNEGTESVILPNGSGSEDTIQVVTADTLNSTSDVSETAKMAVEPEDKAESKDIPIEVDELDMTIEKELPMGVLDEDSNDASIEKEEHDNSGSGSVSAMVNDSAGDGLDSENHAMMEHPVGLLDEDERDSEMNISREETNEEFIEELPVGILDEKEEESEIEKHDEAKPKEVLLAQEGECNAEEKTSSSTDETSKETQSEQQQQPLEDQKDEIELPPLTTNVNDHEQGNEDVNNISAEPMESVPMNDTQKEEEPEGKIAVKETQKDGEVIVCEALAEEKTEPSAASSASQADHDGGLKGEWKLVEENEKLREMMKKLLEAGNEQLNVISDLTGRVKELEKRLAKSRSKRMKTKKRYRQASSKISGMNP >Vigun09g159401.1.v1.2 pep primary_assembly:ASM411807v1:9:32636779:32638679:-1 gene:Vigun09g159401.v1.2 transcript:Vigun09g159401.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDTTTVVLVACLVMISAASQTIRPSCQKECGSVSIPYPFGTTEHCYMNSNFYVACNTSHKPPKLFLWNVTKNIEILELSLNGHLRVKSPVAYVCYDEKGVLVDSGNSSMTLQAFPFSYTQNKFVGIGCDTLSTINATIGKNYSAGGCFSLCSSVESSTNGSGFGIGFCQTSIPKNILAYQARVLRSNMLHRDMNIPCSYSLLVEEDSFEFSRDDFIKLQKRRTVPTVLDWAVGNLTCEEAKNNLTSYVCQENSVCIDSQNGPGYLCECLEGYVGNAYLQGGCQDIDECANPSLNDCSDICINLPGSYNCSCPKTRKHKGDGRKGGSGCVSNLQHVVNEIVIGTCYLFGLHSSTQ >Vigun09g065200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6883717:6885291:1 gene:Vigun09g065200.v1.2 transcript:Vigun09g065200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKGFLTTVAQKCNTLIKLKQLHAHILRCRYNDAPFSLAPLLSAAATSNDASFFSYARSIFRHLTHRNTFMHNTMIRGYLQARLPILAVSCYLSMLQNGVAVNNYTFPPLIKACIALLPSSNVVGRLVHGHVVVFGFRDDPYVVSAFIEFYSVSREVEHARVLFDKTTKKDVVLGTAMVDGYGKMGNVEKAREVFEQMPDRNAVSWSAMIAAYSRVSDFKEVLALFAEMQKEGTKPNESVLVSVLTACAHLGAFAQGLWVHSYAKRFQLDSNPILATALVDMYSKCGCVESALSVFQGIADKDAGAWNAMICGVALNGDAEKSLELFYQMAASGTKPNETTFVAVLTACTHAKMVQQGLWLFEEMSSSYGVAPKMEHCACVVDLLSRAGMVEEAEKFIEENMGGLAASDANVWGALLNACRIHKNIHVGNRVWKKLLDMGISDCGSHVLTYNIYREAGWEADAKQVRNRIEEIGMRKKPGCSIIEVDNEVEEFLAGDHSHPQAQEMCRLLDSILKMGISEHF >Vigun03g059800.1.v1.2 pep primary_assembly:ASM411807v1:3:4891647:4898194:-1 gene:Vigun03g059800.v1.2 transcript:Vigun03g059800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIALAAPVSPTVVAFRRGAKQWSALFPKTRGTGSSLFANSLRKRLRLRFFASGNSGGIAEEISEAEKEPKNFAWPDNKKPRVCILGGGFGGLYTALRLESLEWPDDNKPQIILVDQSERFVFKPMLYELLSGEVDEWEIAPRFLDLLANTSVQFFKDRVKVLHPSDHWGMNVSKASRCGGTVHLESGLQIEYDWLVLALGAEAKLDVVPGAEEFAIPFSTLEDARKVNDKLTTLERKTFGKDVQISVAVVGCGYSGVELAATLAERLRNRGIVKAINVETVICPNAPPANREAALKVLSSRKVELLLGYFVRCIRKLSGLESSDTLTGVDENSTEVASATEKYILELQPAERGMQSQIIEADLVLWTVGTKPPLPKLEPSDAPFIIPLNARGQAETDETLRVKGHPRIFALGDSSALRDSSGRILPATAQVAFQQADFTGWNLWAAINGRPLLPFRFQNLGEMMTLGRNDAVISPSFIDGLTLEGPVGHTARKIAYLIRLPTDEHRLKVGISWLTKSAIDSVSSLQSTLYKVLSGS >Vigun03g059800.2.v1.2 pep primary_assembly:ASM411807v1:3:4891658:4898194:-1 gene:Vigun03g059800.v1.2 transcript:Vigun03g059800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIALAAPVSPTVVAFRRGAKQWSALFPKTRGTGSSLFANSLRKRLRLRFFASGNSGGIAEEISEAEKEPKNFAWPDNKKPRVCILGGGFGGLYTALRLESLEWPDDNKPQIILVDQSERFVFKPMLYELLSGEVDEWEIAPRFLDLLANTSVQFFKDRVKVLHPSDHWGMNVSKASRCGGTVHLESGLQIEYDWLVLALGAEAKLDVVPGAEEFAIPFSTLEDARKVNDKLTTLERKTFGKDVQISVAVVGCGYSGVELAATLAERLRNRGIVKAINVETVICPNAPPANREAALKVLSSRKVELLLGYFVRCIRKLSGLESSDTLTGVDENSTEVASATEKYILELQPAERGMQSQIIEADLVLWTVGTKPPLPKLEPSDAPFIIPLNARGQAETDETLRVKGHPRIFALGDSSALRDSSGRILPATAQVAFQQADFTGWNLWAAINGRPLLPFRFQNLGEMMTLGRNDAVISPSFIDGLTLEGPVGHTARKIAYLIRLPTDEHRLKVGISWLTKSAIDSVSSLQSTLYKVLSGS >Vigun05g081400.1.v1.2 pep primary_assembly:ASM411807v1:5:7666670:7667314:1 gene:Vigun05g081400.v1.2 transcript:Vigun05g081400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTWGCSSSEDSDDEVYLQNPSDEEELGFSSGSIPKLQFRGHPPSRTEIEALQKQCGGIPLKICLVTEGRVSFFSFDKVELAVLP >Vigun05g081400.2.v1.2 pep primary_assembly:ASM411807v1:5:7665917:7667314:1 gene:Vigun05g081400.v1.2 transcript:Vigun05g081400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTWGCSSSEDSDDEVYLQNPSDEEELGFSSGSIPKLQFRGHPPSRTEIEALQKQCGGIPLKICLVTEGRVSFFSFDKVELAVLP >Vigun03g393100.1.v1.2 pep primary_assembly:ASM411807v1:3:59938273:59941301:1 gene:Vigun03g393100.v1.2 transcript:Vigun03g393100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDTFNVADLSSVLNEENRADLVNALKSKIQSLAGQHSDILESLSPNVRKRVEVLREIQGQHDELEAKFFEERAALEAKYQILYKPLYTKRYDIVNGVTEVEEAAVNETTPVAGDDEEKGVPAFWLTAMKNNEVLAEEISERDEGALKFLKDIKWSRIENPKGFKLEFFFDTNPYFTNTVLTKTYHMIDEDEPILEKAIGTEIQWHTGKCLTQKILKKKPKKGSKNAKPITKTENCESFFNFFNPPQVPEDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDEFGELEEDEDDEDIEEDDDEEDDEEDDDDEDDEEESKTKKRSSAPKKSGRAQLGEGQQGERPPECKQQ >Vigun08g046600.1.v1.2 pep primary_assembly:ASM411807v1:8:4992096:4995059:1 gene:Vigun08g046600.v1.2 transcript:Vigun08g046600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGATVFPFPTAVSCLFIVFIFATSVSGSLLFNFYAASCPTAELIVRNTVTSSSSSDPSIPGKLLRLVFHDCFVEGCDASLMLLGNNTEQSDPANRSIGGFSVIESAKRVLEFLCPGTVSCADIIALAARDAVALTGGPMIQIPTGRRDGMVSVASNVRPNILDTSFTMDEMINRFSNKGLSLFDLVILSGAHTIGTAHCSSFRDRFQQDSKGKLTLIDKTLDSSYANELMKECPLSASPSVLVNNDPETSMVFDNQYYTNLLTNKGLFQSDSVLLSDNRTRKMVEDLAKDQQFFFESWGQSFLKLTTIGVKTGDEGEIRSFCASTNA >Vigun08g046600.2.v1.2 pep primary_assembly:ASM411807v1:8:4991942:4995059:1 gene:Vigun08g046600.v1.2 transcript:Vigun08g046600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNNTEQSDPANRSIGGFSVIESAKRVLEFLCPGTVSCADIIALAARDAVALTGGPMIQIPTGRRDGMVSVASNVRPNILDTSFTMDEMINRFSNKGLSLFDLVILSGAHTIGTAHCSSFRDRFQQDSKGKLTLIDKTLDSSYANELMKECPLSASPSVLVNNDPETSMVFDNQYYTNLLTNKGLFQSDSVLLSDNRTRKMVEDLAKDQQFFFESWGQSFLKLTTIGVKTGDEGEIRSFCASTNA >Vigun08g046600.4.v1.2 pep primary_assembly:ASM411807v1:8:4991334:4995059:1 gene:Vigun08g046600.v1.2 transcript:Vigun08g046600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNNTEQSDPANRSIGGFSVIESAKRVLEFLCPGTVSCADIIALAARDAVALTGGPMIQIPTGRRDGMVSVASNVRPNILDTSFTMDEMINRFSNKGLSLFDLVILSGAHTIGTAHCSSFRDRFQQDSKGKLTLIDKTLDSSYANELMKECPLSASPSVLVNNDPETSMVFDNQYYTNLLTNKGLFQSDSVLLSDNRTRKMVEDLAKDQQFFFESWGQSFLKLTTIGVKTGDEGEIRSFCASTNA >Vigun08g046600.3.v1.2 pep primary_assembly:ASM411807v1:8:4992103:4995045:1 gene:Vigun08g046600.v1.2 transcript:Vigun08g046600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSNIYVMPLISSNHINVALNLVYFTDTFCCMHLPSLSLSCDSEILLHTANTEPLLKRNKTWFYGERSNGSLLFNFYAASCPTAELIVRNTVTSSSSSDPSIPGKLLRLVFHDCFVEGCDASLMLLGNNTEQSDPANRSIGGFSVIESAKRVLEFLCPGTVSCADIIALAARDAVALTGGPMIQIPTGRRDGMVSVASNVRPNILDTSFTMDEMINRFSNKGLSLFDLVILSGAHTIGTAHCSSFRDRFQQDSKGKLTLIDKTLDSSYANELMKECPLSASPSVLVNNDPETSMVFDNQYYTNLLTNKGLFQSDSVLLSDNRTRKMVEDLAKDQQFFFESWGQSFLKLTTIGVKTGDEGEIRSFCASTNA >Vigun11g050726.2.v1.2 pep primary_assembly:ASM411807v1:11:8549965:8553816:-1 gene:Vigun11g050726.v1.2 transcript:Vigun11g050726.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSTLTYLTSFLCLCMFCVNAVTYKEILQTGQPLGTSDSVVSDSGKFELCFFTRVRDNSTKYYVGIRYKRVPNDKNKIVWVANRDYALETSSAVLTIHTDGNFVINDGPLTYRVSNLSNNFKTYGMLLDSGNLLLLQTTNKEILWQSFDYLTDTLLPRMKLGHDEGKTWSLRSWTSADDPAPGDFSLQYDSGRFNLIINNGSTVSWIDDRYNDTIGNVFGHSGVDQFDYYYTLPVGNDSRLVLEVSGEVNQEYWSDKEQVWVSILSSKCGNNSCGAFSICNPKARDPCDCLYGFRPSDADSWSKGNKSAGCVRNTDLSSCSNGVESNDKFKQLYNVKSPTLDSLTKFNTTRECESSCSRNCSCVAFAYYLNGGDCQLWLGSVLNLKNVSTDVDNSDDSNTIFYLRLAASELDIPDSNTTRAKEGGHTVSKNLLLIVILISSLAFLVVGLLVYWIRKKRRKGEDLLHFDISMSMKVEDSDLTESDRSAKVKKEVKLPLFSFESVAAALIISQMQINLGTLFNGDEVAVKRLSRRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIDRNEKMLIYEFMPNKSLDLFLFDATKKRMLDWGARVRIIDGIAQGILYLHQYSRFRIIHRDLKASNILLDSNMNPKISDFGMAKIFGDNELQANTNRIVGTYGYMAPEYAIEGLFSVKSDVFSFGVLLLEIVSGKKNTGFYQTSSFNLLGYAWDLWTRNSGVDLMDSALDESDTLCNVSRYVNIGLLCVQESPDDRPTMSDVVSMIGNDTVPLPSPKPPAFLKLRGDDGSTLPSTSTERFSVNVITDTILEAR >Vigun11g050726.1.v1.2 pep primary_assembly:ASM411807v1:11:8549965:8553816:-1 gene:Vigun11g050726.v1.2 transcript:Vigun11g050726.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSTLTYLTSFLCLCMFCVNAVTYKEILQTGQPLGTSDSVVSDSGKFELCFFTRVRDNSTKYYVGIRYKRVPNDKNKIVWVANRDYALETSSAVLTIHTDGNFVINDGPLTYRVSNLSNNFKTYGMLLDSGNLLLLQTTNKEILWQSFDYLTDTLLPRMKLGHDEGKTWSLRSWTSADDPAPGDFSLQYDSGRFNLIINNGSTVSWIDDRYNDTIGNVFGHSGVDQFDYYYTLPVGNDSRLVLEVSGEVNQEYWSDKEQVWVSILSSKCGNNSCGAFSICNPKARDPCDCLYGFRPSDADSWSKGNKSAGCVRNTDLSSCSNGVESNDKFKQLYNVKSPTLDSLTKFNTTRECESSCSRNCSCVAFAYYLNGGDCQLWLGSVLNLKNVSTDVDNSDDSNTIFYLRLAASELDIPDSNTTRAKEGGHTVSKNLLLIVILISSLAFLVVGLLVYWIRKKRRKGEDLLHFDISMSMKVEDSDLTESDRSAKVKKEVKLPLFSFESVAAALIISQMQINLGTLFNGDEVAVKRLSRRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIDRNEKMLIYEFMPNKSLDLFLFADATKKRMLDWGARVRIIDGIAQGILYLHQYSRFRIIHRDLKASNILLDSNMNPKISDFGMAKIFGDNELQANTNRIVGTYGYMAPEYAIEGLFSVKSDVFSFGVLLLEIVSGKKNTGFYQTSSFNLLGYAWDLWTRNSGVDLMDSALDESDTLCNVSRYVNIGLLCVQESPDDRPTMSDVVSMIGNDTVPLPSPKPPAFLKLRGDDGSTLPSTSTERFSVNVITDTILEAR >Vigun05g116100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:12358139:12361159:1 gene:Vigun05g116100.v1.2 transcript:Vigun05g116100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLKLLSLLVFLMIPVSSQVEQLLYAGFKDVGAGNLTLDGVAEIQKNGILKLTNETSRLMGHAFYPSPFQMKNSTTGKVVSFSSSFALAIVPEYPKLGGHGMAFTIATSKDLKALPSQYLGFLNSSDNGNFSNHIFAVEFDTVQDFEFGDINDNHVGIDINSMQSNKSANVSEVGLNLKGGKPILAWVDYDSELSVISVALSPNSSKPNTPILSFNVDLAPVFHDTMYVGFSASTGLLASSHYILGWSFKINGPAPPLDLSSLPMLPQPKKKQTSLITGVSVSVAVILLLAIAIGIYFYRKIKNADVIEAWELEIGPHRYSYQELKKATRGFKDKELLGQGGFGRVYKGTLPNSKIQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKYLFDEPKMVLSWEHRFKIIKNVASALLYLHEGYEQVVIHRDVKASNVLLDFELNGRLGDFGLARLYEHGANPSTTRVVGTLGYLAPELPRTGKATTSSDVFAFGALLLEVACGRRPIEPKALPEELVLVDWVWEKYKQGRILDVVDPKLNGNFDEKEVLVVLKLGLLCSNDVPAARPSMRQVVRHLDGEVEVPEDLKKPGDISQQEGFDEFLHSLASSSFDKMSSGSNFGNKDMDSFISFSNSPHSLLHRGETR >Vigun11g110700.1.v1.2 pep primary_assembly:ASM411807v1:11:31191418:31198780:-1 gene:Vigun11g110700.v1.2 transcript:Vigun11g110700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQAMASSSFIRNFIIPDHILVPGSPVQNEGHEPDCPVLVFVNSKSGGQLGGDLLQTYRNLLNPKQVFDLGEQAPDKVLRTVYANLESLNLQGDQFAKTIMKKLKLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPTTDQASVESFLNQVMKAKEIKIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSLGMDAQVSYAFHSERKKNPEKFKNQLINQTTYAKLGCSQGWFLTPLMHPADRNIAQLAKVKFMKRHGEWQDLNIPPSIRSIVCLNLPSFSGGLNPWGTPNRRKQSDRDLTPPFVDDGLLEIVGFRNAWHGLVLYAPKGHGTRLAQAHRIRFEFRKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLGQVNMLSTGNCKSKSMYDPLSPRPEVEEDDSDEEASPSEEFRKFGAADTFKIPDDIDPSRLS >Vigun11g110700.3.v1.2 pep primary_assembly:ASM411807v1:11:31191418:31198780:-1 gene:Vigun11g110700.v1.2 transcript:Vigun11g110700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQAMASSSFIRNFIIPDHILVPGSPVQNEGHEPDCPVLVFVNSKSGGQLGGDLLQTYRNLLNPKQVFDLGEQAPDKVLRTVYANLESLNLQGDQFAKTIMKKLKLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPTTDQASVESFLNQVMKAKEIKIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSLGMDAQVSYAFHSERKKNPEKFKNQLINQTTYAKLGCSQGWFLTPLMHPADRNIAQLAKVKFMKRHGEWQDLNIPPSIRSIVCLNLPSFSGGLNPWGTPNRRKQSDKTKVS >Vigun11g110700.2.v1.2 pep primary_assembly:ASM411807v1:11:31191418:31197897:-1 gene:Vigun11g110700.v1.2 transcript:Vigun11g110700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKLIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPTTDQASVESFLNQVMKAKEIKIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFHRVSEADELNMEGCHTFRGGFWNYFSLGMDAQVSYAFHSERKKNPEKFKNQLINQTTYAKLGCSQGWFLTPLMHPADRNIAQLAKVKFMKRHGEWQDLNIPPSIRSIVCLNLPSFSGGLNPWGTPNRRKQSDRDLTPPFVDDGLLEIVGFRNAWHGLVLYAPKGHGTRLAQAHRIRFEFRKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLGQVNMLSTGNCKSKSMYDPLSPRPEVEEDDSDEEASPSEEFRKFGAADTFKIPDDIDPSRLS >Vigun05g137450.1.v1.2 pep primary_assembly:ASM411807v1:5:16659680:16660120:-1 gene:Vigun05g137450.v1.2 transcript:Vigun05g137450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKTFDIQFPPPYFKLCGKHAKYFKAEATVCIRHKAPLKVKTWKEIPEDDLTIMWKHMEDALCLKEEDKEHAMKQLQKQYRNRHHHLYQTYIQNKGRPNDLHPKIGIG >Vigun05g073900.2.v1.2 pep primary_assembly:ASM411807v1:5:6510414:6515981:1 gene:Vigun05g073900.v1.2 transcript:Vigun05g073900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSLCSLQTFPPISHSALLYRHRKPAHDSTAGVRRRAPYVVCAERSSRASTRSADDYHATLKALKSRGRFPRKSLGQDKHMAALVSERFSSSGKLKVLTEDIVKCHVRSHMSSLVGSTYSKSRNAKVVANIPFNISTDVIKLFLPMGDIFSEVVLLLQEETAVRLVVSSLRTPEYRPINVFVNFYSEPEYKFKVPRTNFFPQPNVDAAVVSFKLKLPSEYPHVSSTKSFFSMVNSAFNEKRKMLRKSLQHICTSLEIEEALTSIGLLATSRPEELTLDDFVKLHNLIAKE >Vigun05g073900.1.v1.2 pep primary_assembly:ASM411807v1:5:6510414:6515981:1 gene:Vigun05g073900.v1.2 transcript:Vigun05g073900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSLCSLQTFPPISHSALLYRHRKPAHDSTAGVRRRAPYVVCAERSSRASTRSADDYHATLKALKSRGRFPRKSLGQHYMLNSDINDQLAGAAGIEQGDVVLEIGPGTGSLTNVLLNSGAYVLAVEKDKHMAALVSERFSSSGKLKVLTEDIVKCHVRSHMSSLVGSTYSKSRNAKVVANIPFNISTDVIKLFLPMGDIFSEVVLLLQEETAVRLVVSSLRTPEYRPINVFVNFYSEPEYKFKVPRTNFFPQPNVDAAVVSFKLKLPSEYPHVSSTKSFFSMVNSAFNEKRKMLRKSLQHICTSLEIEEALTSIGLLATSRPEELTLDDFVKLHNLIAKE >Vigun03g432100.1.v1.2 pep primary_assembly:ASM411807v1:3:63614286:63620436:-1 gene:Vigun03g432100.v1.2 transcript:Vigun03g432100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSALMVARVLTRDHSLPVLGNESIPFGSVWWFTYAGISCLLVLFAGIMSGLTLGLMSLGLVDLEILERSGSPAERAQAAIILPVVKKQHQLLVTLLLCNAVAMEALPLYLDKLFNQFVAIILSVTFVLFFGEVIPQAICSRYGLAVGANFAWLVRILMIICYPVSYPVGKVLDYLLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKARVKGKETPQIIDEEKNEENKSTDGDSQLTAPLLQKQDAKSGGVIVDIVMPSKPSNNNKLTGFQRNDGTTNGLAAESIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTKGTGIQGKPGQTPRKSGEENGLNWTKQWGNSS >Vigun05g221100.1.v1.2 pep primary_assembly:ASM411807v1:5:41245553:41248567:-1 gene:Vigun05g221100.v1.2 transcript:Vigun05g221100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] region domain containing protein [Source: Projected from Oryza sativa (Os07g0556200)] MASTTLSPTTPSQLCSGKSGIFCPSQALLVKPMKRQMMGKNKGMKISCQATSIPADRVPDMGKRQLMNLLLLGAISLPSAGMLIPYTYFFVPPGSGSSAGGTVAKDAVGNDVIADNWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYAINAVCTHLGCVVPWNTAEKKFICPCHGSQYNDQGRVVRGPAPLSLALAHCDIDDGKVVFVPWVETDFRTGDAPWWA >Vigun05g108600.10.v1.2 pep primary_assembly:ASM411807v1:5:11127405:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRFVFYLEGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.13.v1.2 pep primary_assembly:ASM411807v1:5:11127430:11128937:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.14.v1.2 pep primary_assembly:ASM411807v1:5:11127172:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.14.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.12.v1.2 pep primary_assembly:ASM411807v1:5:11127172:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.16.v1.2 pep primary_assembly:ASM411807v1:5:11127172:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.16.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRFVFYLEGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.3.v1.2 pep primary_assembly:ASM411807v1:5:11127172:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.11.v1.2 pep primary_assembly:ASM411807v1:5:11127242:11129387:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.15.v1.2 pep primary_assembly:ASM411807v1:5:11127430:11128059:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.15.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMSDPSGSKGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun05g108600.17.v1.2 pep primary_assembly:ASM411807v1:5:11127430:11127881:-1 gene:Vigun05g108600.v1.2 transcript:Vigun05g108600.17.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRFVFYLEGHAPRVDLPIRSVEISWSTPNNNVIKDSNGGNLVDMEPRMHSFGNGYN >Vigun07g017700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:1464525:1465094:1 gene:Vigun07g017700.v1.2 transcript:Vigun07g017700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRMPGIVRQGSFSASKATRKGLEVPKGYLAVYVGVNMRRFVIPISYLNQSSFQDLLSKAEEEFGYDHPTGGLTIPCNEDEFLNLTSRLNELL >Vigun03g400600.2.v1.2 pep primary_assembly:ASM411807v1:3:60759284:60761706:-1 gene:Vigun03g400600.v1.2 transcript:Vigun03g400600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRVNVKAKKYRGVGVRICPRHATPRHKANLLSSPITIHQPLTLFLILLLSLYPYTKANLHSPFPSSHCSSPMASLSLPNHYLPTLLRSHSPNYPSSQNLPLSSTPSNSQFFGLKLSHSPSSSIPSSSFLRPSIFAKVNKGSKPPNFTLKDQNGKNVSLSSFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAVVVGISGDDASSHKAFASKYKLPFTLLSDEGNKVRKEWGVPGDFFGSLPGRETYVIDKNGVVQLVYNNQFQPEKHIDETLKILQSL >Vigun05g108200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:11092491:11094017:1 gene:Vigun05g108200.v1.2 transcript:Vigun05g108200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENGVPIWMRNQSNPVVATTTVVDYNIRNNTYNLYDVTFESHNIHTLVTHHPSEVDRWLSNNAGRRQGLMVGLDIEWRPITQPNTQNPVATLQLCVGNACLVFQIIHAPYFSHSLGSFLQDPNVTFLGVGIRADAEKLLRDYGLHVANVCDLRSLAEVKLWRYPHLRQAGLKTLCLHVLGAEVEKPQSISRSLWDNRCLTAEQVKYAAIDAFLSYEIGRRLIESEIW >Vigun04g090200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:16299590:16300387:-1 gene:Vigun04g090200.v1.2 transcript:Vigun04g090200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLHHSLKLLASHCTTTATHSPTASPVFRRRRRKTLRMLLLHDPPESADSHVRVRSRLKDLLLSSPPPPSPITKRQEFGSLLPSVSVGVGYRSRTGCRLLRGSAAAVPVSFRRRLLRRAWRPVLLSIPE >Vigun07g111750.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:20778403:20778708:1 gene:Vigun07g111750.v1.2 transcript:Vigun07g111750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRRSLKDKEIKVINLKAELDALKPTAESNEALVKDLTTKCQVLENEKEEFVDQLCATLKQGFQLALDQIKVLYRNLDVSKVDITKEVVEGQLVNIPDV >Vigun03g417700.1.v1.2 pep primary_assembly:ASM411807v1:3:62433470:62437634:-1 gene:Vigun03g417700.v1.2 transcript:Vigun03g417700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLLLLWWFYCSVRFGLDLRSMNLGNGKGSMSTVTTTAVMKSGDAVSDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSLLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKKNEWKDAEQSGSAEEGDRQPKASDEADYSSSANEGSWRNSKKRRDEEEEAEDRDDTSTLKKPRVVWSVELHQQFVAAVDQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNMSNSFLGSQEATFGTISSINGIDLQTLAVAGQLPAQSLATLQAAGLGRSTAKAGVPMPLMDQRNLFSFENPRVRFGEGQQQHLSSSKPMNLLLGIPTNMEPKQLANLHQSTQSIAGLNMRVNASAPQGNPLLMQMPQSQPRGQMLSENTGPRVPRLPSSLGHPNVSNGISNGFLGRNGIAGNNRGPAYNPVPPNSSLLSFPMNQSSEVSVNNSLPLGSTPGISSITTKGSFQEEVTSGIKASGGFPSYDIFNELHHHKSHDWEITNPGLTYSAPHHANHLQGNIDVSPSVLVHQGFSSTQQTGQSRDATLIGKAMFSVGEGSEQDNLQNAVQHLHPLLVDNSVRVKSERIPDASSQTNLFPDHYGQEDLMSALLKQQEGMGPSESEFEFDAYSLDNIPV >Vigun02g094100.1.v1.2 pep primary_assembly:ASM411807v1:2:24909562:24915102:1 gene:Vigun02g094100.v1.2 transcript:Vigun02g094100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTAKALDRIALKLKRKRPSQYAAQVCGALQPSGRMVKQIKLGEYRKKRANAGSHVGKSLSNRFLSYKKSGKPARLMFYKNGEWVDFPRDILDLVKKDLEIKKSVVEVELNGYHMVLNFFHMYKLNMKTGLQQPMAWIDEAGGCFFPEVYAAYSEEPYTLCKQGTGKSTESYNSNEVKLQLEIEINGLDQWKLRECSDESNSLFNGIRIDSKQKSCPYDVEVENSINKENYGNVDESIQQNQEIDLEAYTESVCGKLNFDSVQKIFLKGMNNNGITDSNIVGIDRCSGAAMQARLELFLKQAEITKKCHGEANVQYAWLASTKRELYTMMEYGLGHCRLSASKGSYGTGVHLAAVTCPDTSARYCDVDENGVRHLVLCRVIMGNMEILRPGTGQFQPSSYEYDNGVDDIQCPRYYVVWNMNINTHIYPEFVVSFKLSFDAEGLSCGSERKNDVSGVMTACHGPQGLLPSCAEVKGTAPSRGPTSPWMSFPLLFAAIRNKVPPNDMERVKKHYEQFRSKQISRNDFVKMLRLIVGDALLKLAMAEHQFNIPSYVKEG >Vigun07g067000.5.v1.2 pep primary_assembly:ASM411807v1:7:7952761:7959870:1 gene:Vigun07g067000.v1.2 transcript:Vigun07g067000.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSREPGPKKPRLMEELDRVPNSGARQFPQLQMIFGVTTLPSARFRTNERDVESNDFGRRGGAGGGGYQPQPLPFQELVTQYKAALAELTFNSKPIITNLTIIAGENQAAEKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHQSMRHLFGTWKGVFPPQTLQIIEKELGFTSAVNSSSASASLRSDSQSQRPPHSIHVNPKYLERQRLQQSSRTKGVDDMTGAISNSNDDQEMPGRTLGVLRPWVDPNVTVSVNSCENDPRARRDAFNDSAPEKSTGASYGSNDFGSNVSRNLGLGISRPGGRVTESGHDKGWYNKSGVVAGTMPGQRNGLSLKYSFSSTDAPKSMVLDAHHQPAHNITSIQSNVISNSWKNSEEEEYTWDEMNSGLTGHGTSVVSSLSKDAWTADDENLEVEDRIQVRNAFVVNADREIGIESQANEKKQFPASQHHSSLSWQLQEQHSTDELNRKAGHSSRFVSTLGAIPSNTNASIARMENRPYLSSATIGLPGIAGPFHSLGAENPSGQSPLQRRSPSPPGPFSSTTFQARHQQQLGTSHNEVTVKTEKPPVSKVPLARETKSSMSTGNLPTRLGVRPSRAGGPSPATVISSVSAIALPSSLGPSGDNSSALSKMPKRKAGQPPRLSTLPSASSNVSSASAQTTDTNSSLNPIANLLSSLVAKGLISAETESTTKVPSELLTRLEEESDSITTGNSLPVASVSGSAAVPVPSIKDEVDDTARTPISLCESTSPGVVNLIGFEFKHDVLREFHSSVISGLFDDLPHHCSICGFRLRFQKQFNRHLEWHATRDREEDGLTKATRWYLKSSDWIVGKAEYVSENEADSVNTYGNEADRSQEDAMAVADENQCLCVLCGELFEDFYYEESGEWMFKGAVYFANSNSSSEMGTGDMSTGRGPIIHANCLSDNLISSVPEMGQD >Vigun07g067000.4.v1.2 pep primary_assembly:ASM411807v1:7:7952700:7959880:1 gene:Vigun07g067000.v1.2 transcript:Vigun07g067000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSREPGPKKPRLMEELDRVPNSGARQFPQLQMIFGVTTLPSARFRTNERDVESNDFGRRGGAGGGGYQPQPLPFQELVTQYKAALAELTFNSKPIITNLTIIAGENQAAEKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHQSMRHLFGTWKGVFPPQTLQIIEKELGFTSAVNSSSASASLRSDSQSQRPPHSIHVNPKYLERQRLQQSSRTKGVDDMTGAISNSNDDQEMPGRTLGVLRPWVDPNVTNDPRARRDAFNDSAPEKSTGASYGSNDFGSNVSRNLGLGISRPGGRVTESGHDKGWYNKSGVVAGTMPGQRNGLSLKYSFSSTDAPKSMVLDAHHQPAHNITSIQSNVISNSWKNSEEEEYTWDEMNSGLTGHGTSVVSSLSKDAWTADDENLEVEDRIQVRNAFVVNADREIGIESQANEKKQFPASQHHSSLSWQLQEQHSTDELNRKAGHSSRFVSTLGAIPSNTNASIARMENRPYLSSATIGLPGIAGPFHSLGAENPSGQSPLQRRSPSPPGPFSSTTFQARHQQQLGTSHNEVTVKTEKPPVSKVPLARETKSSMSTGNLPTRLGVRPSRAGGPSPATVISSVSAIALPSSLGPSGDNSSALSKMPKRKAGQPPRLSTLPSASSNVSSASAQTTDTNSSLNPIANLLSSLVAKGLISAETESTTKVPSELLTRLEEESDSITTGNSLPVASVSGSAAVPVPSIKDEVDDTARTPISLCESTSPGVVNLIGFEFKHDVLREFHSSVISGLFDDLPHHCSICGFRLRFQKQFNRHLEWHATRDREEDGLTKATRWYLKSSDWIVGKAEYVSENEADSVNTYGNEADRSQEDAMAVADENQCLCVLCGELFEDFYYEESGEWMFKGAVYFANSNSSSEMGTGDMSTGRGPIIHANCLSDNLISSVPEMGQD >Vigun07g067000.3.v1.2 pep primary_assembly:ASM411807v1:7:7952699:7960791:1 gene:Vigun07g067000.v1.2 transcript:Vigun07g067000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSREPGPKKPRLMEELDRVPNSGARQFPQLQMIFGVTTLPSARFRTNERDVESNDFGRRGGAGGGGYQPQPLPFQELVTQYKAALAELTFNSKPIITNLTIIAGENQAAEKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHQSMRHLFGTWKGVFPPQTLQIIEKELGFTSAVNSSSASASLRSDSQSQRPPHSIHVNPKYLERQRLQQSSRTKGVDDMTGAISNSNDDQEMPGRTLGVLRPWVDPNVTVSVNSCENDPRARRDAFNDSAPEKSTGASYGSNDFGSNVSRNLGLGISRPGGRVTESGHDKGWYNKSGVVAGTMPGQRNGLSLKYSFSSTDAPKSMVLDAHHQPAHNITSIQSNVISNSWKNSEEEEYTWDEMNSGLTGHGTSVVSSLSKDAWTADDENLEVEDRIQVRNAFVVNADREIGIESQANEKKQFPASQHHSSLSWQLQEQHSTDELNRKAGHSSRFVSTLGAIPSNTNASIARMENRPYLSSATIGLPGIAGPFHSLGAENPSGQSPLQRRSPSPPGPFSSTTFQARHQQQLGTSHNEVTVKTEKPPVSKVPLARETKSSMSTGNLPTRLGVRPSRAGGPSPATVISSVSAIALPSSLGPSGDNSSALSKMPKRKAGQPPRLSTLPSASSNVSSASAQTTDTNSSLNPIANLLSSLVAKGLISAETESTTKVPSELLTRLEEESDSITTGNSLPVASVSGSAAVPVPSIKDEVDDTARTPISLCESTSPGVVNLIGFEFKHDVLREFHSSVISGLFDDLPHHCSICGFRLRFQKQFNRHLEWHATRDREEDGLTKATRWYLKSSDWIVGKAEYVSENEADSVNTYGNEADRSQEDAMAVADENQCLCVLCGELFEDFYYEESGEWMFKGAVYFANSNSSSEMGTGDMSTGRGPIIHANCLSDNLISSVPEMGQD >Vigun07g067000.1.v1.2 pep primary_assembly:ASM411807v1:7:7952699:7961530:1 gene:Vigun07g067000.v1.2 transcript:Vigun07g067000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSREPGPKKPRLMEELDRVPNSGARQFPQLQMIFGVTTLPSARFRTNERDVESNDFGRRGGAGGGGYQPQPLPFQELVTQYKAALAELTFNSKPIITNLTIIAGENQAAEKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHQSMRHLFGTWKGVFPPQTLQIIEKELGFTSAVNSSSASASLRSDSQSQRPPHSIHVNPKYLERQRLQQSSRTKGVDDMTGAISNSNDDQEMPGRTLGVLRPWVDPNVTVSVNSCENDPRARRDAFNDSAPEKSTGASYGSNDFGSNVSRNLGLGISRPGGRVTESGHDKGWYNKSGVVAGTMPGQRNGLSLKYSFSSTDAPKSMVLDAHHQPAHNITSIQSNVISNSWKNSEEEEYTWDEMNSGLTGHGTSVVSSLSKDAWTADDENLEVEDRIQVRNAFVVNADREIGIESQANEKKQFPASQHHSSLSWQLQEQHSTDELNRKAGHSSRFVSTLGAIPSNTNASIARMENRPYLSSATIGLPGIAGPFHSLGAENPSGQSPLQRRSPSPPGPFSSTTFQARHQQQLGTSHNEVTVKTEKPPVSKVPLARETKSSMSTGNLPTRLGVRPSRAGGPSPATVISSVSAIALPSSLGPSGDNSSALSKMPKRKAGQPPRLSTLPSASSNVSSASAQTTDTNSSLNPIANLLSSLVAKGLISAETESTTKVPSELLTRLEEESDSITTGNSLPVASVSGSAAVPVPSIKDEVDDTARTPISLCESTSPGVVNLIGFEFKHDVLREFHSSVISGLFDDLPHHCSICGFRLRFQKQFNRHLEWHATRDREEDGLTKATRWYLKSSDWIVGKAEYVSENEADSVNTYGNEADRSQEDAMAVADENQCLCVLCGELFEDFYYEESGEWMFKGAVYFANSNSSSEMGTGDMSTGRGPIIHANCLSDNLISSVPEMGQD >Vigun07g067000.2.v1.2 pep primary_assembly:ASM411807v1:7:7952700:7961593:1 gene:Vigun07g067000.v1.2 transcript:Vigun07g067000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSREPGPKKPRLMEELDRVPNSGARQFPQLQMIFGVTTLPSARFRTNERDVESNDFGRRGGAGGGGYQPQPLPFQELVTQYKAALAELTFNSKPIITNLTIIAGENQAAEKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPSVHQSMRHLFGTWKGVFPPQTLQIIEKELGFTSAVNSSSASASLRSDSQSQRPPHSIHVNPKYLERQRLQQSSRTKGVDDMTGAISNSNDDQEMPGRTLGVLRPWVDPNVTVSVNSCENDPRARRDAFNDSAPEKSTGASYGSNDFGSNVSRNLGLGISRPGGRVTESGHDKGWYNKSGVVAGTMPGQRNGLSLKYSFSSTDAPKSMVLDAHHQPAHNITSIQSNVISNSWKNSEEEEYTWDEMNSGLTGHGTSVVSSLSKDAWTADDENLEVEDRIQVRNAFVVNADREIGIESQANEKKQFPASQHHSSLSWQLQEQHSTDELNRKAGHSSRFVSTLGAIPSNTNASIARMENRPYLSSATIGLPGIAGPFHSLGAENPSGQSPLQRRSPSPPGPFSSTTFQARHQQQLGTSHNEVTVKTEKPPVSKVPLARETKSSMSTGNLPTRLGVRPSRAGGPSPATVISSVSAIALPSSLGPSGDNSSALSKMPKRKAGQPPRLSTLPSASSNVSSASAQTTDTNSSLNPIANLLSSLVAKGLISAETESTTKVPSELLTRLEEESDSITTGNSLPVASVSGSAAVPVPSIKDEVDDTARTPISLCESTSPGVVNLIGFEFKHDVLREFHSSVISGLFDDLPHHCSICGFRLRFQKQFNRHLEWHATRDREEDGLTKATRWYLKSSDWIVGKAEYVSENEADSVNTYGNEADRSQEDAMAVADENQCLCVLCGELFEDFYYEESGEWMFKGAVYFANSNSSSEMGTGDMSTGRGPIIHANCLSDNLISSVPEMGQD >Vigun03g385900.1.v1.2 pep primary_assembly:ASM411807v1:3:59167327:59170593:1 gene:Vigun03g385900.v1.2 transcript:Vigun03g385900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPEVIAPPEIFYDDSEARKYTSSSRIVQIQATLSERALELLALPEDDIPKLLLDIGCGSGLSGETLTENGHHWIGLDISASMLNVALEREVEGDLLLGDMGQGLGVRPGVIDGAISISAVQWLCNADKSSHNPRLRLKAFFTSLYKCLSNGARAVFQVYPENIDQRELILNAAMHAGFAGGIVVDFPHSSKRRKEFLVLTCGQRSVNSSVSKGKNEDGESCSEEDSEDEENQTVCISDRHRPRKKQKKNNKSGKGKEWILRKKEQMRRRGNAVPQDSKYTGRKRKDRF >Vigun04g173800.5.v1.2 pep primary_assembly:ASM411807v1:4:39820579:39823087:1 gene:Vigun04g173800.v1.2 transcript:Vigun04g173800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGETVTQYYVPYLSAIQIYSNKSVAASRNRREDIDGVEFESDSWSEDSSSDNLSRSLSNNSSKSWEDVSEDSCCDQEGSWLRDNKLGYLYLQYTEMASPYSRVPLAEKIDELARSHPALLTLKSVDLSPASWMAVSWYPIYTVPSRNNEKELEACFLTYHTLSSSFEDCAMECDDMEIGNDVCCLNEGEKCKKQKSEDISLLPFGLATYKMQGDVWLNTDPYDNESISCLYSAADSWLKQLNVHHHDFNFFTLHSTM >Vigun04g173800.3.v1.2 pep primary_assembly:ASM411807v1:4:39820246:39823087:1 gene:Vigun04g173800.v1.2 transcript:Vigun04g173800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGETVTQYYVPYLSAIQIYSNKSVAASRNRREDIDGVEFESDSWSEDSSSDNLSRSLSNNSSKSWEDVSEDSCCDQEGSWLRDNKLGYLYLQYTEMASPYSRVPLAEKIDELARSHPALLTLKSVDLSPASWMAVSWYPIYTVPSRNNEKELEACFLTYHTLSSSFEDCAMECDDMEIGNDVCCLNEGEKCKKQKSEDISLLPFGLATYKMQGDVWLNTDPYDNESISCLYSAADSWLKQLNVHHHDFNFFTLHSTM >Vigun04g173800.2.v1.2 pep primary_assembly:ASM411807v1:4:39817032:39823087:1 gene:Vigun04g173800.v1.2 transcript:Vigun04g173800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVTSSDSFSSLSTSSFACFSATSPSNLQRFLQCVTPRVPSQILPKPLGKEKVEYFTLQDLWDCYYEWSAYGAGTPVMLEDGETVTQYYVPYLSAIQIYSNKSVAASRNRREDIDGVEFESDSWSEDSSSDNLSRSLSNNSSKSWEDVSEDSCCDQEGSWLRDNKLGYLYLQYTEMASPYSRVPLAEKIDELARSHPALLTLKSVDLSPASWMAVSWYPIYTVPSRNNEKELEACFLTYHTLSSSFEDCAMECDDMEIGNDVCCLNEGEKCKKQKSEDISLLPFGLATYKMQGDVWLNTDPYDNESISCLYSAADSWLKQLNVHHHDFNFFTLHSTM >Vigun04g173800.1.v1.2 pep primary_assembly:ASM411807v1:4:39817032:39823087:1 gene:Vigun04g173800.v1.2 transcript:Vigun04g173800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVTSSDSFSSLSTSSFACFSATSPSNLQRFLQCVTPRVPSQILPKSCFNDLNPLWQPLGKEKVEYFTLQDLWDCYYEWSAYGAGTPVMLEDGETVTQYYVPYLSAIQIYSNKSVAASRNRREDIDGVEFESDSWSEDSSSDNLSRSLSNNSSKSWEDVSEDSCCDQEGSWLRDNKLGYLYLQYTEMASPYSRVPLAEKIDELARSHPALLTLKSVDLSPASWMAVSWYPIYTVPSRNNEKELEACFLTYHTLSSSFEDCAMECDDMEIGNDVCCLNEGEKCKKQKSEDISLLPFGLATYKMQGDVWLNTDPYDNESISCLYSAADSWLKQLNVHHHDFNFFTLHSTM >Vigun04g173800.4.v1.2 pep primary_assembly:ASM411807v1:4:39820579:39823087:1 gene:Vigun04g173800.v1.2 transcript:Vigun04g173800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGETVTQYYVPYLSAIQIYSNKSVAASRNRREDIDGVEFESDSWSEDSSSDNLSRSLSNNSSKSWEDVSEDSCCDQEGSWLRDNKLGYLYLQYTEMASPYSRVPLAEKIDELARSHPALLTLKSVDLSPASWMAVSWYPIYTVPSRNNEKELEACFLTYHTLSSSFEDCAMECDDMEIGNDVCCLNEGEKCKKQKSEDISLLPFGLATYKMQGDVWLNTDPYDNESISCLYSAADSWLKQLNVHHHDFNFFTLHSTM >Vigun03g048500.1.v1.2 pep primary_assembly:ASM411807v1:3:3860484:3861584:-1 gene:Vigun03g048500.v1.2 transcript:Vigun03g048500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNISSSSSSSSSSSSSSSSYFKLGDHHVKPSQKVGEQIKQRRFAFQTRSQVDILDDGYRWRKYGEKSVKNNKFPRSYYRCSYRGCNVKKQIQRHSKDEEIVVTTYEGTHSHPVEKTSESFEQILRNHHIYSLTP >Vigun08g059400.1.v1.2 pep primary_assembly:ASM411807v1:8:7717406:7717901:-1 gene:Vigun08g059400.v1.2 transcript:Vigun08g059400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSQKWGYIRIMAGTILGGILGFYVMHRVESNYKERMNERLRNYEAELRRKKDERLNEFEEFSKF >Vigun06g015900.1.v1.2 pep primary_assembly:ASM411807v1:6:7309603:7312975:1 gene:Vigun06g015900.v1.2 transcript:Vigun06g015900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVLLFVLYLWSSHVSYKVDGDSYIVKEDLEFEKQFELINKPPIKSIHTKFGHIVDCVDIYKQPSFDHPLLKGHKLQRKPNFQNMTRETRQKNLGTRTIFGLGKHECPMGTIPIMRTTKDDLIREKSLLNDHILVQDVPGVHLAEVSLKPNYGPYYGVGGINTIYNPRVDTKLQISVIPQLYGDYETHYYTSWTSDNYKKTGCYNIRCAGFVQTNKELYLGAPITTVSHYEGPFYASVFSISQDPMTKNWWISIGNKFIGYFPAKLFSNMSSVDQVGWGGRKRTHPGTHSPQMGSGHFPRDDNPRHACFFKQVSIQDNERKTHGAKVYETHSFTDNPYCYDVRYYGDKGPDLGYFLMFGGPGGNCGN >Vigun08g124600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29479204:29482014:-1 gene:Vigun08g124600.v1.2 transcript:Vigun08g124600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLSYLRKNPTAMLSKILRWLKKLKVWRIVSLASSIVGLLCYALSSSFKYLLGNWSWWKMLLYIVFSFIICLAVLFTPARSSSISFRLESHLAFLVLIITSVCSFLFDNMVKGKPDAYSLISWAAFATMSLGLSNLTQFGFQIDLLYFFCGGLTVQLMKMKLWLVTVGGCFSYSLLQLRHYPSHTDRENLRFQDQNQVIIQVNDSGIVSSSSHEEANAVDSTLGTSLEDEDLRFQDHLLTQSNSPSQDGSVDDGLIIEQQLINCIKELEKENEMLVPMVSSHVDKYLKAVFDSKEVADDPDINLVMNSLPSEIMRRLKETVKLMMNVSFMEECNDIYSKWRREFLDECLRALGLQFQTPNNEGVENWLKTCKAAAKILFPNERSICDYFFSEFSVIADVSFQKVCKELTTGLLSFADTTITTESHLPNLLSNIVPKMLESLDELIGEISTMMLFPKFLCVPDLEDFRKKLYILCSLRNIIYTNDVEAPVTDGGLHLITKRAMNYILDNSDNIGNSSFWVVIGRMIELLGSELEVKSKDYYTDPALGYIFMINNLSYIEQKTRDLKLDDDWFRQNTAKVEQKCNLYLRSSWSKMVEFLKVETNESAEADVAGELMKEKLHLFNLHFEETCTMQSTWTVCDKQLRERIVKFIEEFLLPEYGKFSDRFHVVFGNQAYEYIKFGFLDIQNCLSHLFLLDEEMNVEYKKNV >Vigun04g089200.1.v1.2 pep primary_assembly:ASM411807v1:4:15675099:15682743:-1 gene:Vigun04g089200.v1.2 transcript:Vigun04g089200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLQSLIVQSRHAARVGSLNGVSGLRFFAVSTEEYAKRNYANNVSEYNTVLGSLTAQRRNFLLRDVYHDMMLDGVKPTRDTFHSLVVGTMKGARMQDAFFFVDQMKTMGLLPDVTLYNFLISTCGKCKNSNKAIQILEEMKCMEVKPNVQTYICLLNACAADGRIDRVYAIVRDMIAAGLGLNKFCYAGLIVAHKNKTPLADDFSAKVIEFVEKSKMWSSVETNSANAENVMMGVTDEELYNLPTAEYIHRRGGFLNLPFTAYHTAFHAAADLKNVELTNTLLDMLNKDGKTPDVFIMMQVIRCYCHAGDIDLALQTFETHINEGRPIAAELFVTLAEGAMTGYTEKGMQIAQDILVRMNERNYFVNSKLGSELLLVAAGEKTGDIPLLTTYGT >Vigun03g081100.1.v1.2 pep primary_assembly:ASM411807v1:3:6754325:6757969:1 gene:Vigun03g081100.v1.2 transcript:Vigun03g081100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGSRWWGWVVVFTVFFAPIIIITTVFLQGATVKDVDITEEAVTGFLQTDSRWIVGRDGRRVKLACVNWVSHLEVVVAEGLSKRPVDSISKEIKSMGFNCVRLTWPTLLATNDSLASLSVRSSFQKLGLLESVAGVQTNNPSIIDLSLIQAFQTVVKSLGDNGVMVILDNHLTRPGWCCGNSDGNGFFNDNFFNPDQWILGLTKMATLFKGVTTVVGMSLRNELRGSKQNANDWYKYMVRGAEAVHAANSDVLVILSGLNFDTDLSFIRDRPVSLTFKGKLVFEMHRYGFTDGQAWVDGNPNEVCGKVTDTIKKTCAYLLDQGLPLFVSEFGGDLRGTNVNDNRYLTCILALLAELDLDWAYWSLVGSYYFREGVVGMEEFYGVLSWDWSQVRSISFLNRITALQLPFRGPGITEGDSQKLMFHPLTGLCIISTSQQKTLTLGPCASSDDWEYTSQKTLLVNNTNLCIHAEAQRKPATLSRACSDSNSIWEVISDSNMHFSSKLSDGSNVCLDVDDNNIIVTNTCKCLSKDNKCEPGSQWFKLIDSGRRSISTTSVMSNILDSSNLLWEPLSVM >VigunL059616.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000661.1:25066:25167:1 gene:VigunL059616.v1.2 transcript:VigunL059616.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDRLRCSNCSLEKRPQWRTGPKSPGRGRQRG >Vigun10g131800.1.v1.2 pep primary_assembly:ASM411807v1:10:34095232:34097334:1 gene:Vigun10g131800.v1.2 transcript:Vigun10g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNAKLSPLLFSSSCSVEGGSVPVETHVCQLNQSPWDVIPFHYDSFQFDHATAPNNNAHSFAAVQSVDNDNDNARLVVDEDDDNDKVVARLDATVLNPCQGIDEKGWACKNEARQGQSFCEQHLSLSLLASYTSKKSQGGTRRVKTRGGAGKKAAGTAAASSNPYEFYYYSGFGPSWGKRRGDRNGEGSKNNVVGTENSTMAEPGGGGDDNAGGGEVGGGSVSEMENEGIIDYVEDDDDEEEVVVMEDDSGKKRTRKPVKARSLKSLM >Vigun07g178600.3.v1.2 pep primary_assembly:ASM411807v1:7:29525993:29526946:1 gene:Vigun07g178600.v1.2 transcript:Vigun07g178600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAVKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEVVAVSIGPSQCVDTLRTGLAMGANRGIHVESTASLFPLSVAKIFRKLVEIEKPDLLILGKQVLLLLLSFPSICGNSGFCLCGCSADQLLE >Vigun07g178600.4.v1.2 pep primary_assembly:ASM411807v1:7:29525853:29529807:1 gene:Vigun07g178600.v1.2 transcript:Vigun07g178600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAVKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEVVAVSIGPSQCVDTLRTGLAMGANRGIHVESTASLFPLSVAKIFRKLVEIEKPDLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASK >Vigun07g178600.1.v1.2 pep primary_assembly:ASM411807v1:7:29525853:29529807:1 gene:Vigun07g178600.v1.2 transcript:Vigun07g178600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAVKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEVVAVSIGPSQCVDTLRTGLAMGANRGIHVESTASLFPLSVAKIFRKLVEIEKPDLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKGVATVDREVDDGIETVRLNLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTPEELNVEIKSDLEIVEVTEPPKRKAGVIVSSVDELIDKLKNEANVI >Vigun07g178600.2.v1.2 pep primary_assembly:ASM411807v1:7:29523421:29529716:1 gene:Vigun07g178600.v1.2 transcript:Vigun07g178600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAVKRVVDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEVVAVSIGPSQCVDTLRTGLAMGANRGIHVESTASLFPLSVAKIFRKLVEIEKPDLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKGVATVDREVDDGIETVRLNLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTPEELNVEIKSDLEIVEVTEPPKRKAGVIVSSVDELIDKLKNEANVI >Vigun08g007100.1.v1.2 pep primary_assembly:ASM411807v1:8:615958:617364:1 gene:Vigun08g007100.v1.2 transcript:Vigun08g007100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLLVASLLASLLVLHLVHADQSVQPQTQASLLQQIDCNGACGARCRLSSRPRLCQRACGTCCRRCNCVPPGTAGNQEMCPCYASLTTRGGKRKCP >Vigun04g076100.1.v1.2 pep primary_assembly:ASM411807v1:4:9790979:9792050:1 gene:Vigun04g076100.v1.2 transcript:Vigun04g076100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIFTFIIFLLFHGSINGFDYFTIAQIYPRGLCHGNRLCTSSTIALSTKFTVHGLWPSTNAFPQPFNCRVDGLNLSVIQNIGPRLQQVWPNYYSTNYTKFWEHEWEKHGTCSNMQQFDFFRLTLDIYARNDLQAILINAGISRRKPYHINDIISAIRNSAIGVEPELHCRKSRKSRQSGKSGSGSGRRGLIFEIRICLNTDPIPQYINCASQGTCTSPVMFM >Vigun05g219400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:41103949:41105110:-1 gene:Vigun05g219400.v1.2 transcript:Vigun05g219400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKRAWTVVVGVGVVEALKDQGLCRWNSGFKSAQQSVKSHVRSLSQAKKLSSSSSALVSSSMHEEKVTLSEESLRKVMYLSCWGPS >VigunL045232.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:470224:470763:1 gene:VigunL045232.v1.2 transcript:VigunL045232.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDGIAVGWLGHPIFRDKEGHELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Vigun07g124800.1.v1.2 pep primary_assembly:ASM411807v1:7:23107049:23109665:1 gene:Vigun07g124800.v1.2 transcript:Vigun07g124800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVIARNMEEVVLLVDDLKSLSGISRCRICHEEEFESTKTLEAPCACSGTVKFAHRDCIQTWCNEKGNTTCEICLQQYEPGYSAVQKKSQIAEAAMTIRDSLQISMSEQEPLNTRIVEGNNYSECTYAADRSAACCRSLALAFTLILLVRHLFALLTNGMEDYPFTILTVFLLRASGIIIPMYIIIKTIGAIHNNIKRYHHLHQDSDDDSSISDGDEEENERADDDAILRHSES >Vigun07g124800.2.v1.2 pep primary_assembly:ASM411807v1:7:23107049:23109665:1 gene:Vigun07g124800.v1.2 transcript:Vigun07g124800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVIARNMEEVVLLVDDLKSLSGISRCRICHEEEFESTKTLEAPCACSGTVKFAHRDCIQTWCNEKGNTTCEICLQQYEPGYSAVQKKSQIAEAAMTIRDSLQISMSEQEPLNTRIVEGNNYSECTYAADRSAACCRSLALADSDDDSSISDGDEEENERADDDAILRHSES >Vigun08g119300.1.v1.2 pep primary_assembly:ASM411807v1:8:28687439:28690950:-1 gene:Vigun08g119300.v1.2 transcript:Vigun08g119300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTTLPLPLLINRYHPLPSPSPTAPPRVTLRDDGTLLAKESLPPELVAELMPNHVAVIMDGHGRWAKPRGLAATEGHRAGVESLTRMVMLCCSWGIEVLTVFACSTENLARPKKEVDFFMRLFETTAKSSMECMQMKGIRVCVIGDLSKVPESLQRTVARVEDVTKDNKKLQLIVALNYGGKYDVVQACKRVAMKVKDGAVDVEDINESIIEKELETKCTEFPNPGLLIRTSGELRVSNFLLWQLAYTELYFSPKFWPDFGKDDFVDALRSFQHRQRRFGGRH >Vigun06g081600.1.v1.2 pep primary_assembly:ASM411807v1:6:21332774:21333649:-1 gene:Vigun06g081600.v1.2 transcript:Vigun06g081600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAIGFIEENDNGSDSDVNSDDAPEYYQPISALDDDESSDGGEFRQLPNGFFVHGVTENGISSLDLNDVVEKSSSDEEDEDERSTEEVDRAGMEDENHRNAPLTEENATRVMEAMRGVSFAGVVPDWAARDPDDNWIDQLRRLRRTPNT >Vigun06g238300.1.v1.2 pep primary_assembly:ASM411807v1:6:34296711:34299926:-1 gene:Vigun06g238300.v1.2 transcript:Vigun06g238300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISYYPLCHSVSLLTKNMRNGYGRISSETTHKPRSMDHATTPFPQTPKAPTTTSESPRRVEDGKGEIFGVILGRSASVSTSFSSASGAFEVTMKRAFSMGRSSSVSERYCRIHDTAIASPIEDDDDDDEMEEGTARSKEEKGVRMKIKILKAYKLKRKAKKYTKL >Vigun02g089900.1.v1.2 pep primary_assembly:ASM411807v1:2:24483597:24491714:1 gene:Vigun02g089900.v1.2 transcript:Vigun02g089900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFFFHPPIPRLPLPSVSKPIAFPPISCLRNNGDVTGFHEHKSEGNKNVDMSQTISNDGRNVKQDNIWQLFKEAQHNILYLNEQRLGAIEELEKTNREKHSLLKKIKKLEAEKQAGAGKDNLSTCSELLLRIDAMVLSSMISPGEASELRGLVINHKVSLADVFNVISRKKDPELLGQLRHFSHGHKKNGFHIVHICTEMTPMVPRGSVASYVTGISRALQRKGHLVEVILPKYASLNLNEVQGLREVNVEAYSYFNGQLHGNRIWTGVVYGIGVTLIEPKYFSSFFNREMIYGYPDDFERFSYFCRASLDYIVKCGKQPDVLHLHNWETAIVGPLFWDIFVQQGLGGTKILFTCHGFNSQGIEQPDKLALCGLDPLRLHRPDRLQDNTNTQLVNILKGGIIYSNKVVIMSSIYPKHIVIRNLSLEPTLNEHRDKLVIAPYGLDRSTWDPSIDYLLPENFNAENINGKAVCKVSLLQQLGLSEHSSSILVGFIFPEGRDPDVKRLKEVILNAKQQDVQFVFLGTSERSVVNQTLESLQKELKDDNLKLFPTYDEALLHLVFAGSDIILCQSLVDPTDEIPLIALRYGAAPIALTSDASTNRVIPFERNFINQDHEATMYSKLINSSFINMSLSLAVDEIRTNPAVWKRKIMQAMAHDLSWDGECYDLHFAAYSAIKNM >Vigun03g341400.6.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.1.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVVAAIAGAGCLARYFNKLSNNVDGSSPLSLEDSYFENDVSPIQPFCTQTGRDALYRRASDVDSEYYLLGSDEGLESEKVGLFRNCSESDVLSVSNFNDYGNEQGTNVVANCGFLPYDLSAGKLGHNPHGNRTSHRTKHLYGHISRPSNSLESCLMAQLCEEQVKLEESVFSPSMAIRSFIVGDRNQVIRRANNDEPFSGITGSEEYRLHREANKVKDESALFGLPSLSKITSSNDAKKMKLNAGNGRKISGKHIHTQHDASFLFSLGISFGIITSMLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.5.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.4.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.2.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCEEQVKLEESVFSPSMAIRSFIVGDRNQVIRRANNDEPFSGITGSEEYRLHREANKVKDESALFGLPSLSKITSSNDAKKMKLNAGNGRKISGKHIHTQHDASFLFSLGISFGIITSMLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.7.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun03g341400.3.v1.2 pep primary_assembly:ASM411807v1:3:54025584:54030821:1 gene:Vigun03g341400.v1.2 transcript:Vigun03g341400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKREMDKLRELLKQTENFVEDLQDELEMKDSMRVKELHNENYVSEGTYGHSLCDKELNGFSPEKHMDNFPITDFKESYGQKEEESSEAMSKIEAELEAEFEILGLNMNESDPERPHSELVEHVPVFVADFAQAESQTNMIGGKDFFQSELNEEDSATVVPVNYAVSPHELTLRLHEVIQSRLEGRVKELEIALENSQRKLWFMESEHKNHSQKFFPRKASSFSEGNVLACNECEPMTEPLVMNLSAGAYNDFKENSPSSMHIPECEAATDILAFPNANEERVSWELSSGEVTMLEGLSSSNYESNDVTGDENCECDYEMERQLIRQIVERTKKGSPVFQNARRILYSMDKDEH >Vigun08g194900.1.v1.2 pep primary_assembly:ASM411807v1:8:36119211:36120266:1 gene:Vigun08g194900.v1.2 transcript:Vigun08g194900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTMARHLDTIIGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFLTLFELSTHKILCWFPIWAYLKLVFCIWLVLPMFNGAAYIYEKYVRQYIKNIGSYRSSNYPEEYKKVLHMMTFDARKAVERYIDRHGPEAFERVIRAAEKEAKKR >Vigun08g194900.3.v1.2 pep primary_assembly:ASM411807v1:8:36118653:36121181:1 gene:Vigun08g194900.v1.2 transcript:Vigun08g194900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFLTLFELSTHKILCWFPIWAYLKLVFCIWLVLPMFNGAAYIYEKYVRQYIKNIGSYRSSNYPEEYKKVLHMMTFDARKAVERYIDRHGPEAFERVIRAAEKEAKKR >Vigun08g194900.2.v1.2 pep primary_assembly:ASM411807v1:8:36118653:36121181:1 gene:Vigun08g194900.v1.2 transcript:Vigun08g194900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTMARHLDTIIGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFLTLFELSTHKILCWFPIWAYLKLVFCIWLVLPMFNGAAYIYEKYVRQYIKNIGSYRSSNYPEEYKKVLHMMTFDARKAVERYIDRHGPEAFERVIRAVYICTCGAKTELLHLSVFLTFLFSTFMCRLKKKQRSAE >Vigun06g066500.1.v1.2 pep primary_assembly:ASM411807v1:6:19470846:19483256:1 gene:Vigun06g066500.v1.2 transcript:Vigun06g066500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWFSAPSGGEEEAKPSSSLLADWNSYATAQSSQDSSNLGLSFDLESAVRSANDTVSGTFSVVSKGVRDLPGNFQSATSNVPSGKALVYFGLLLASGVFFVFIAFTLFLPVMVVMPQKFAICFTLGCGFIIGSFFALKGPKNQLTHMMSRERLPFTLAFLGSMIGTIYVSMVLHSYILSVVFSVVQVLSLGYYSISYFPGGSAGMKFLTSALTSSIMKCFGR >Vigun11g173100.1.v1.2 pep primary_assembly:ASM411807v1:11:37843012:37849657:1 gene:Vigun11g173100.v1.2 transcript:Vigun11g173100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNKTVAKPDDRSFSPMNEIPNLPKSRTPWSTSQASAFRNFGSTPTSGLTPSSPRFYSPRFGSQMWNRPVQYGSLHQSSSFSNYPMMAARVGQSSLSASAENNMRFRNHSYKISQPTSNVAPKAKENERRPSIQGTNMKGSTRSVTIESKETTVTCNDNKNNGGSTKVHIHCNKNNNTKEQRKRKAEHTSPKISSIKRINELLDISSTKQQHSRTMPGTGNFEANPSDLNQNLRIKASSAKPRLEEESDDTKEQRKRKVMNKSCKRPKVKMMKLKDIFSAKQEQSSVTTRNGGGGNNVTSIGNCNSVQHKANIGSNHFEANPTERNRNLSAKLSATNPKLANEESDDAKEQRRKQSEEESAKRSRMKMKMECERLHASIKNLYAKNAALRKELHDRMDECDKMIEDNDSLLDELNEMFGKEKVMEGLNMKSAESDADDDDQNSNDR >Vigun07g003900.1.v1.2 pep primary_assembly:ASM411807v1:7:303010:307725:-1 gene:Vigun07g003900.v1.2 transcript:Vigun07g003900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERPAWRPDPFHSTAPRDPMEFLSRSWSASAMEVSKALSSSQQLPPCSNKTNNNSNNHLNLCSNNSNASVILEDIAGEVEESATVSGNPFSFASSETSQMIMDRIMSHSFGQQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDFKYNRSNNNHNATSIITGLNGQYWGAGGAAAAAAAGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAAAAARAASSGSGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSAGDITTLTAAAATALRGAATLKARVLKEVWNIAAVIPVEKNLGGGGSGGIGNSNGNGSNGSNGSSNSSFSGEIVPEENFLGICSRELLARGCELLKRTRTGELHWKIVSVYINRMNQVMVKMKSRHVAGTITKKKKNVVLGVIKDMAAWPGRHLLEGGENRRYFGLKTVMRGVVEFECRNQREYDVWTQGVSRLLSIAAERNNRNRICNV >Vigun07g003900.2.v1.2 pep primary_assembly:ASM411807v1:7:303010:307725:-1 gene:Vigun07g003900.v1.2 transcript:Vigun07g003900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERPAWRPDPFHSTAPRDPMEFLSRSWSASAMEVSKALSSSQQLPPCSNKTNNNSNNHLNLCSNNSNASVILEDIAGEVEESATVSGNPFSFASSETSQMIMDRIMSHSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDFKYNRSNNNHNATSIITGLNGQYWGAGGAAAAAAAGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAVSVAGVAAAVAAAAAARAASSGSGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSAGDITTLTAAAATALRGAATLKARVLKEVWNIAAVIPVEKNLGGGGSGGIGNSNGNGSNGSNGSSNSSFSGEIVPEENFLGICSRELLARGCELLKRTRTGELHWKIVSVYINRMNQVMVKMKSRHVAGTITKKKKNVVLGVIKDMAAWPGRHLLEGGENRRYFGLKTVMRGVVEFECRNQREYDVWTQGVSRLLSIAAERNNRNRICNV >Vigun10g187800.3.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.1.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPVVSHDSASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.9.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPDLQSSCHPQSSHLSATTNRG >Vigun10g187800.8.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPDLQSSCHPQSSHLSATTNRG >Vigun10g187800.6.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIVVSHDSASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.2.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPVVSHDSASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.5.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIGKDYPMPASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.7.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKVVSHDSASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun10g187800.4.v1.2 pep primary_assembly:ASM411807v1:10:40365074:40371754:-1 gene:Vigun10g187800.v1.2 transcript:Vigun10g187800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGSGSLVWFRKGIRIHDNPALEFASRSASHLYPVFVIDPHYMKPDPNAFSPGSSRAGLNRIKFLLESLEDLDLNLKNLGSRLLILKGDPAEVVIHCLKEWNVKKLCFEYDTEPYYQALDVKVKNFALAAGIEVFSPVSHTLFNPTDIIHKNGGKPPLSYQSFVKLAGEPPSPLTTVYSSLPPLGHLGGCDVSEVPTITDLGYGDVEQDEFSPFKGGESEALRRLDECMKDKKWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQRIQDIYRSTPKHTSPPVSLTGQLLWREFFYTAAFGTPNFDRMKGNRICKQIPWKDDDKLLEAWREGRTGFPWIDAIMIQLRRWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPKSIQTKANCIIVVSHDSASKECRRKMGETYALNKELNGLVSEDDLKNLRRKLDESERQEPQGKRYKQKLIG >Vigun09g253500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:42028558:42030003:-1 gene:Vigun09g253500.v1.2 transcript:Vigun09g253500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPLKERRGPAWKQGWTTNTLCSLSAPPLQLLAIVAIVMFLLFVPSYVNFKSTVHTATVGFHLFLLLLPLFLIVVAYAISKHGSRLVLPAPPPFLGGIRLRTDGGGFPWGVAALVVLLLVLASYLSNFRSMWSPLIWTPY >Vigun06g158800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:28193394:28196035:-1 gene:Vigun06g158800.v1.2 transcript:Vigun06g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYKPKPQLLLSFSVLKRCVVSLPHPTSSSATTNSLNAIINRHSSQGAHRQVLVTYASMLKTQVPSDAYTFPSLLKACSSLNLFSLGLSLHQRVLVKGLSLDPYIASSLINFYAKFGCVDVARKVFDFMPVRNVVPWTTIIGCYSRMGHVSEAFFLFDGMRHQGIPPSSVTMLNLLLGVSELATVQCLHGCAILCGFVSDINLSNSFLNVYGKCGKIDDSRKLFDHMDERDLVSWNSLISAYSQIGNLCEVLLLLKTMRVQGFMLDLQTFGSVLSVAASRGELKLGRCLHGQILRAGFDLDAHVETSLIVTYLKCGNIDIAFRMFERSSDKDVVLWTAMISGLAQSGSADKALAVFRRMLKFRVKPSTATITNVITACARLGSFNLGASIHGYILRQELHMDIGVQNSLVTMYANCGRLGQSSIVFNMMDKRDLVSWNAMVAGYVQNGYVCKAMFLFNEMRSDHQTPDSITIVSLLQGCASTGQLHLGKWIHGFVIKNGLRQCILVDTSLVDMYGKCGDLDTAQRCFNQMPSHDLVSWSAIISGYGYHGKGEIALRSYSKFLESGMKPNHVIFLSVLSSCSHNGLVEQGLNIYESMTKDFGIAPNLEHHACVVDLLCRAGRVEEALNVYKKKFSDPVLDVLGIILDACRANGNDELGDTIANDILLLRPTHAGNFVQLAHCYASTNKWEEVGEAWTHMRSLGLKKIPGWSFIDLHGRITTFFTDHNSHPLFQEIVGTLKNLRKEMIKMQEVDIDLESSHRISQ >Vigun02g193600.1.v1.2 pep primary_assembly:ASM411807v1:2:33005749:33008196:-1 gene:Vigun02g193600.v1.2 transcript:Vigun02g193600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFQVKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGDEDHAFKKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRSNQVKRTCYAQSSQIRQIRRKMREIMVNQATACDLKELVRKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVDRPADETVVEGATEVVGA >Vigun10g020800.1.v1.2 pep primary_assembly:ASM411807v1:10:2486822:2491300:1 gene:Vigun10g020800.v1.2 transcript:Vigun10g020800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSSSSRLLLQLQSSSQLMLRLSPFLVSSPPPPSSLSFSSSCHVSSSAQSTLQQAHQRSSEMVALEYADLNLSYNLDLGHLRIRQHVNPLSASFSVPAQVPDWNQVFADPMLPLMVDIGCGSGRFLMWLAKRTPKKRNFLGLEIRERIVKRAETWAKDLALNNIHFLFANATISFKQLVESYPGPLFLVSILCPDPHFKKRHHKRRVLQKPLVGAIVDNLMPGGQVFVQSDVLQVALDMRNQFDEVEALKHIDVSNPAMLCDSEGWLLSNPMGIRTEREIHAELEGAKIFRRLYQKQI >Vigun04g195300.4.v1.2 pep primary_assembly:ASM411807v1:4:42002679:42007787:-1 gene:Vigun04g195300.v1.2 transcript:Vigun04g195300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAALRLSAIITMVSSASLRNPNFLIPSTSTTLLRSRLLPLHTLSFSNRLSLRCYAARAAFDRLPVLNPIVEMDGDEMTRIIWSMIKDKNRDATDDKVTVESAEATLKYNVAVKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDAIIGGPGKLKLVFVPENGDATTELEVYNFKGPGVALAMYNVDESIRAFAESSMALAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWKIKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGIG >Vigun04g195300.1.v1.2 pep primary_assembly:ASM411807v1:4:41997043:42007787:-1 gene:Vigun04g195300.v1.2 transcript:Vigun04g195300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAALRLSAIITMVSSASLRNPNFLIPSTSTTLLRSRLLPLHTLSFSNRLSLRCYAARAAFDRLPVLNPIVEMDGDEMTRIIWSMIKDKLIFPYLDLNIKYFDLGLQNRDATDDKVTVESAEATLKYNVAVKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDAIIGGPGKLKLVFVPENGDATTELEVYNFKGPGVALAMYNVDESIRAFAESSMALAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWKIKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIYAWTRGLEHRAKLDNNEKLLDFTKKLEAACVETVESGKMTKDLAILIHGPKVSREFYLNTEEFIDAVAHNLETKLQDPVA >Vigun04g195300.3.v1.2 pep primary_assembly:ASM411807v1:4:42002679:42007787:-1 gene:Vigun04g195300.v1.2 transcript:Vigun04g195300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAALRLSAIITMVSSASLRNPNFLIPSTSTTLLRSRLLPLHTLSFSNRLSLRCYAARAAFDRLPVLNPIVEMDGDEMTRIIWSMIKDKLIFPYLDLNIKYFDLGLQNRDATDDKVTVESAEATLKYNVAVKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDAIIGGPGKLKLVFVPENGDATTELEVYNFKGPGVALAMYNVDESIRAFAESSMALAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWKIKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGIG >Vigun04g195300.2.v1.2 pep primary_assembly:ASM411807v1:4:41997043:42007787:-1 gene:Vigun04g195300.v1.2 transcript:Vigun04g195300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAALRLSAIITMVSSASLRNPNFLIPSTSTTLLRSRLLPLHTLSFSNRLSLRCYAARAAFDRLPVLNPIVEMDGDEMTRIIWSMIKDKNRDATDDKVTVESAEATLKYNVAVKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDAIIGGPGKLKLVFVPENGDATTELEVYNFKGPGVALAMYNVDESIRAFAESSMALAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWKIKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIYAWTRGLEHRAKLDNNEKLLDFTKKLEAACVETVESGKMTKDLAILIHGPKVSREFYLNTEEFIDAVAHNLETKLQDPVA >Vigun09g127200.1.v1.2 pep primary_assembly:ASM411807v1:9:28246342:28251491:1 gene:Vigun09g127200.v1.2 transcript:Vigun09g127200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRHEAVHFDKITARLKKLSYGLSTVHCDAVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYACLAARIAVSNLHKNTKKSFSETIKIMYYHINEKSGLKAPLIADDVYEIIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMNDDSIEGIYETLKECAIISKSAGGIGVSVHNIRAKGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADMFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEARGLADCWGQEFEELYHRYEREGKAKKVVQAQSLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEVIEYSSPTETAVCNLASIALPRFVREKDVPMEAHPSKLVGSTGSRNRYFDFDKLAEITAVVTTNLNKVIDVNYYPIENAKRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQHLNKEIFETIYYHALKTSSDLAAKEGPYETYSGSPVSKGILQPDMWGVTPSKRWDWGALREMISENGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPTLKNNIIYEDGSVQKIPEIPYELKTIYKTVWEIKQRTLVDMAADRGCYIDQSQSLNIHIDQPNFGKLTSLHFHAWSKGLKTGMYYLRSRAAADAIKFTVDTTVLKEKPNEMQENGDVECDDNTKMAQMLCSLTNREECLACGS >Vigun01g153100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:33575512:33576024:-1 gene:Vigun01g153100.v1.2 transcript:Vigun01g153100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQREMEGFSSIDLANCLMLLSCPQQKKLERKDVEGVEFECKTCNRKFSSFQALGGHRASHKRSKLEGDELKAHAIALSLGIKKPKTHECSICGQEFSLGQALGGHMRRHRASSINEDFSSNKHVVAEVAVLKRSNSSRGMCMDLNLTPLENDFKLLFGKMPLNAAALV >Vigun03g274900.1.v1.2 pep primary_assembly:ASM411807v1:3:45052624:45057472:1 gene:Vigun03g274900.v1.2 transcript:Vigun03g274900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPVLHNPSFSNQLVTNSPHPDYAEIVVVRHGETAWNATAKIQGHLDVELNENGREQAAVVADRLSREAKASVIYSSDLQRAFETAQIIASKCGGLEVVKDSELRERNLGDLQGHVYHEIAKTNPIAYKAFISKNEDEEIPGGGESLVQLFDRSTSALLRIGLKHKGERVVVVTHGGFIRALYKWACPNGRPGKVLNTSVSVFHLYGEDKWTLKVWSDVSHLSKTRFLESGFGGDRNSG >Vigun03g274900.3.v1.2 pep primary_assembly:ASM411807v1:3:45052440:45057472:1 gene:Vigun03g274900.v1.2 transcript:Vigun03g274900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSINDDSHSSPHPDYAEIVVVRHGETAWNATAKIQGHLDVELNENGREQAAVVADRLSREAKASVIYSSDLQRAFETAQIIASKCGGLEVVKDSELRERNLGDLQGHVYHEIAKTNPIAYKAFISKNEDEEIPGGGESLVQLFDRSTSALLRIGLKHKGERVVVVTHGGFIRALYKWACPNGRPGKVLNTSVSVFHLYGEDKWTLKVWSDVSHLSKTRFLESGFGGDRNSG >Vigun03g274900.2.v1.2 pep primary_assembly:ASM411807v1:3:45052337:45057469:1 gene:Vigun03g274900.v1.2 transcript:Vigun03g274900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSINDDSHSSPHPDYAEIVVVRHGETAWNATAKIQGHLDVELNENGREQAAVVADRLSREAKASVIYSSDLQRAFETAQIIASKCGGLEVVKDSELRERNLGDLQGHVYHEIAKTNPIAYKAFISKNEDEEIPGGGESLVQLFDRSTSALLRIGLKHKGERVVVVTHGGFIRALYKWACPNGRPGKVLNTSVSVFHLYGEDKWTLKVWSDVSHLSKTRFLESGFGGDRNSG >Vigun01g078500.2.v1.2 pep primary_assembly:ASM411807v1:1:22095839:22098887:1 gene:Vigun01g078500.v1.2 transcript:Vigun01g078500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGYALPPPPGEEDAPPPSWGRPNSQEEDIAIALGAPLSFKSMLLQQPQPQPQPQPQPPQLDPYFNFNMNSAIPIPFVPTMESFPPLDPFSPSLSSSPFFNNSSNTSMPFDPGFDMGLDNGLHSSCPPLFPQVQPGFEFGELEALTAAAPGHVPFMEGAKVENPLERVQPTLYRKRRGTAEIPGLETVRRKGRKWEEREAEEGSSADVDGSGLNYESDENDNGNNGLKGSENGEDHKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPAGSSMPPSASTSFQPLTPTLPTLPCRVKEELYPATLPSPKNQAAKVEVRAREGRAVNIHMFCTRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFKAEQCREGQDVLPEQIKAVLMDSAGFGMM >Vigun01g078500.1.v1.2 pep primary_assembly:ASM411807v1:1:22095839:22098887:1 gene:Vigun01g078500.v1.2 transcript:Vigun01g078500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGYALPPPPGEEDAPPPSWGRPNSQEEDIAIALGAPLSFKSMLLQQPQPQPQPQPQPPQLDPYFNFNMNSAIPIPFVPTMESFPPLDPFSPSLSSSPFFNNSSNTSMPFDPGFDMGLDNGLHSSCPPLFPQVQPGFEFGELEALTAAAPGHVPFMEGAKVENPLERVQPTLYRKRRGTAEIPGLETVRRKGRKWEEREAEEGSSADVDGSGLNYESDENDNGNNGLKGSENGEDHKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPAGSSMPPSASTSFQPLTPTLPTLPCRVKEELYPATLPSPKNQAAKVEVRAREGRAVNIHMFCTRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFKAEQQCREGQDVLPEQIKAVLMDSAGFGMM >Vigun04g179100.2.v1.2 pep primary_assembly:ASM411807v1:4:40261840:40263363:-1 gene:Vigun04g179100.v1.2 transcript:Vigun04g179100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKVSRRVNWWRNMMYPLRRVWFSVATRFGFRKNGLLKLRHDVRACEYEDIQVMWEMLNRNESEFGHSSKKSNKNNSNNKKKGHCWKLLNWARCAPYYYMCRRA >Vigun04g179100.1.v1.2 pep primary_assembly:ASM411807v1:4:40261840:40263269:-1 gene:Vigun04g179100.v1.2 transcript:Vigun04g179100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKVSRRVNWWRNMMYPLRRVWFSVATRFGFRKNDRGNMVEVKLELTEKAKLDECVGNKFSGLLKLRHDVRACEYEDIQVMWEMLNRNESEFGHSSKKSNKNNSNNKKKGHCWKLLNWARCAPYYYMCRRA >Vigun04g048200.1.v1.2 pep primary_assembly:ASM411807v1:4:4148612:4150478:1 gene:Vigun04g048200.v1.2 transcript:Vigun04g048200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPESCLQEKIRGGNKMNPGDMFGHIGSIVGSLMFVWAMFKQFFPYQVVNQIEKHSQRLVTYVYPYIQITFHEFTGERLMRSEAFSAIENYLSSKASTQAKRLKGDIGKNNQSLVLSMDDHEEVGDEFNGVKLWWASGKHISKAQSTISFHHPMSDERRYYKLTFHKRNRDVILGTYLNYVMQEGKAIKVKNRQRKLYTNSGSYWSHVVFEHPATFQTLAMDPEEKEMIIDDLITFSKSGGFYARIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRRKKKEKEEEEQEKNHPGQKQQEKDVKSSQKLDPALVRKGRMDKHIELSFCGFEAFKLLAKNYLNIDAHHLFGTIHELLKQIKITPAEVAEHLMPKSASGDAEIHLKSLIQALELTKMQEKVVVHGPHWTEN >Vigun04g048200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:4148600:4150461:1 gene:Vigun04g048200.v1.2 transcript:Vigun04g048200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPESCLQEKIRGGNKMNPGDMFGHIGSIVGSLMFVWAMFKQFFPYQVVNQIEKHSQRLVTYVYPYIQITFHEFTGERLMRSEAFSAIENYLSSKASTQAKRLKGDIGKNNQSLVLSMDDHEEVGDEFNGVKLWWASGKHISKAQSTISFHHPMSDERRYYKLTFHKRNRDVILGTYLNYVMQEGKAIKVKNRQRKLYTNSGSYWSHVVFEHPATFQTLAMDPEEKEMIIDDLITFSKSGGFYARIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRRKKKEKEEEEQEKNHPGQKQQEKDVKSSQVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALVRKGRMDKHIELSFCGFEAFKLLAKNYLNIDAHHLFGTIHELLKQIKITPAEVAEHLMPKSASGDAEIHLKSLIQALELTKMQEKVVVHGPHWTEN >Vigun10g063533.1.v1.2 pep primary_assembly:ASM411807v1:10:13681579:13682991:1 gene:Vigun10g063533.v1.2 transcript:Vigun10g063533.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDDNKMSQTKGYTFNNFFQSSVMFMDPSQDFLVFEEGFFLEWGQCLIMGTNYFSDPRGNVLNIEFEESLMAERKFRGSDDIVNFYDIYDIAFVCTLYCGDRYFRFRLFDIDWNEIEYPLVEPSSSCSRSSFSCSLRFFQSFRVQLELSKPYILLPEEFEKFCGDQLKLDRKVQLYDPIYKKFELYLDTNIDGIIILFSMTELIKHYAFIQDVYLHLNYVGNNVFLYKIYFVDGVEIVYERHSVAGSNNGSGGIINNVGAVNRHDFLNSLHGLVKKLTKYDVQTSSLYLHSDFARQFLEKGRKRYFITISSSKFWPCKIRWTGRTSFECYVTCGWKRFCKENQLAAGDEVKFVIDNQQKNVIHVIKV >Vigun10g004700.1.v1.2 pep primary_assembly:ASM411807v1:10:468607:472830:-1 gene:Vigun10g004700.v1.2 transcript:Vigun10g004700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVGGAGNGQPSRETDIEMGQVPRSNSDMGMEAFNKQIHEVDKQIDKLAVLLQKLKEANEESKAVTKAPAMKGIKKRMEKDIDEVGKIAHGVKTKIEAINRDNLSNRQNPGCEKGTGIDRARMNMTNALAKKFKDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQRAILEAGRGQVVNTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVDAQGEILDNIESQVNNAVDHVQRGTTALQNAKKLQKNSRKWMCIAIVILLIIVAIIVVGVLKPWKSS >Vigun09g028800.1.v1.2 pep primary_assembly:ASM411807v1:9:2392895:2395386:1 gene:Vigun09g028800.v1.2 transcript:Vigun09g028800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAGFLWRAKTVGRIPSLAVAMRRRSSKAGASQVVEVDMAEYDVALKHFDDLIHRILVKKATPDWLPFVPGSSFWVPPRPSPTNVVDLVHKLTREDDRPHDSPLLSTLRGWPSSNFFINENESSDEDDTEMEVSSTDGRERTVKVKVLTFSENVAHSEDEDS >Vigun06g116500.2.v1.2 pep primary_assembly:ASM411807v1:6:24436497:24465580:-1 gene:Vigun06g116500.v1.2 transcript:Vigun06g116500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFTPTTDLKELQSTMRAIEQACASIQMHINPGASEALILSLGQSSQPYKTCQFILENSQVATARFQAAAAIREAAIREWVVLSADVKRNLISFCLCYIMQHASSPDGYVQAKVASVASQLMKRGWLEFMAGEKVVFFYQVNKAIVGAHGIDMQFAGIKFLESLLSEFSPSTSSAMGLPREFHEQCRRSLEREYLKTFYLWAQEAALSVTNRIIESDSAVPEVKVCTAALDLMLQILNWDFRSNTSDTKLNVNVFSAGVRQDGDSLKKSEYHVVQPGSEWRDVLVLSGHVGWLLSLYAALRLKFSREGYWMDCPVAVSARKLVVQFCSLTGPVFLSDDRKMHEQHLLQLLSGIIEWVDPPDAVSKAIENGKSDSEMLDGCRALLAIANVTTPHDFDGLLKSMRPMGTLTFLSMLMSEVIKVLMTSNTEEETWSWEARDVLLDTWTAILTPINTINVNALLPPDGITAAANLFSFIVECELRLASATAFNDEGDSDYLHASVSAMDERLSCYALIARASIDVTIPLLLRVFSERVARLNQGRGIIDLTETLEELYSLLLIIGHVIADEGEGELPLVPNTIQTQFVVDVVEADSHPVILLSSSIIKFAEQCLSPEMRASVFSPRLVESIIWFLARWSRTYLMSSDGIAEKILDSGHHHEHSSKKTLLCFFGEHNQGKLVLDIIVRIAFITLTSYPGEKDLQGLTCYQLLHSLVQQKHICIHLVTLNSWHELATSFSTEKTLMLLDTAHQRSLAQTLVRSASGIRNSEASSQYVRNLMGPIATYIVEISRKHNFRSIAQQPDIILSVSCMLERLRGAASASEPRTQKPIYELGFSVMNPILVLLEVYKHESAVVYLLLKFVVDWVDGQITYLEAQETAAVVDFCMRLLQLYSSHNIGKISLSLSISLISEANTDKYRDLRALLQLLSSLCSKDMIDFSSDSIEAQGTNISQVVYYGLHMVAPLISMELLKYPKLCHDYFSLLSHMLEVYPETFALLNSEAFAHVLGTLDFGLHHQDADVVSKSLRALQALASYHYKETGNGNIGLGAHTTGLKDSSGNVQEGLLSRFLRSLLQLLLFEDYSSDLISVAADALLPLILCEQGLYQRLGNELIERQPNPTLKTRLANAFHTLTSANQLSSSLDRINYQRFRKNLTSFLVEVRGFLRTM >Vigun06g116500.1.v1.2 pep primary_assembly:ASM411807v1:6:24436497:24465580:-1 gene:Vigun06g116500.v1.2 transcript:Vigun06g116500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFTPTTDLKELQSTMRAIEQACASIQMHINPGASEALILSLGQSSQPYKTCQFILENSQVATARFQAAAAIREAAIREWVVLSADVKRNLISFCLCYIMQHASSPDGYVQAKVASVASQLMKRGWLEFMAGEKVVFFYQVNKAIVGAHGIDMQFAGIKFLESLLSEFSPSTSSAMGLPREFHEQCRRSLEREYLKTFYLWAQEAALSVTNRIIESDSAVPEVKVCTAALDLMLQILNWDFRSNTSDTKLNVNVFSAGVRQDGDSLKKSEYHVVQPGSEWRDVLVLSGHVGWLLSLYAALRLKFSREGYWMDCPVAVSARKLVVQFCSLTGPVFLSDDRKMHEQHLLQLLSGIIEWVDPPDAVSKAIENGKSDSEMLDGCRALLAIANVTTPHDFDGLLKSMRPMGTLTFLSMLMSEVIKVLMTSNTEEETWSWEARDVLLDTWTAILTPINTINVNALLPPDGITAAANLFSFIVECELRLASATAFNDEGDSDYLHASVSAMDERLSCYALIARASIDVTIPLLLRVFSERVARLNQGRGIIDLTETLEELYSLLLIIGHVIADEGEGELPLVPNTIQTQFVVDVVEADSHPVILLSSSIIKFAEQCLSPEMRASVFSPRLVESIIWFLARWSRTYLMSSDGIAEKILDSGHHHEHSSKKTLLCFFGEHNQGKLVLDIIVRIAFITLTSYPGEKDLQGLTCYQLLHSLVQQKHICIHLVTLNSWHELATSFSTEKTLMLLDTAHQRSLAQTLVRSASGIRNSEASSQYVRNLMGPIATYIVEISRKHNFRSIAQQPDIILSVSCMLERLRGAASASEPRTQKPIYELGFSVMNPILVLLEVYKHESAVVYLLLKFVVDWVDGQITYLEAQETAAVVDFCMRLLQLYSSHNIGKISLSLSISLISEANTDKYRDLRALLQLLSSLCSKDMIDFSSDSIEAQGTNISQVVYYGLHMVAPLISMELLKYPKLCHDYFSLLSHMLEVYPETFALLNSEAFAHVLGTLDFGLHHQDADVVSKSLRALQALASYHYKETGNGNIGLGAHTTGLKDSSGNVQEGLLSRFLRSLLQLLLFEDYSSDLISVAADALLPLILCEQGLYQRLGNELIERQPNPTLKTRLANAFHTLTSANQLSSSLDRINYQRFRKNLTSFLVEVRGFLRTM >Vigun08g125300.1.v1.2 pep primary_assembly:ASM411807v1:8:29558557:29561346:1 gene:Vigun08g125300.v1.2 transcript:Vigun08g125300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVQVPPTMACHVVAVPYPGRGHVNPMMNLCKLFLSKHSQILVTFVVTEEWLGFIGSDSKPDNIRFATIPNVIPSEESRANNFVTFLEAVMTKMEDPFEEFLNRLHPPPTVIIYDTYLFWVVRLANARNIPVASFWPMSASFFAVLKHYHLLQRNGHYPVNLSEDGEKRVDYIPGNSSIRLADFPLSDESWRTRRLLELALNVIPWVQKAQYLLFPTIYELEPKAIDALKAEFSIPIHTVGPAIPCFGNGHIDASKHGYFQWLDNQPSASVLYISQGSFLSVSNEQFDEIAAGVGDSGARFLWVQPRENGKLKELCGDKGLVLPWCDQLKVLQHPAIGGFWSHCGWNSTREGVFCGVPFLAFPIFMDQPLNAKFIVEELKVGWRVKKEVKEDTLMRKNEISSLIRKFMDLNSDEVMRKRSRELKLLCHTAIEIGGSSETDITAFLSSILHGAKPE >Vigun08g125300.2.v1.2 pep primary_assembly:ASM411807v1:8:29558557:29561346:1 gene:Vigun08g125300.v1.2 transcript:Vigun08g125300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVQVPPTMACHVVAVPYPGRGHVNPMMNLCKLFLSKHSQILVTFVVTEEWLGFIGSDSKPDNIRFATIPNVIPSEESRANNFVTFLEAVMTKMEDPFEEFLNRLHPPPTVIIYDTYLFWVVRLANARNIPVASFWPMSASFFAVLKHYHLLQRNGHYPVNLSDGEKRVDYIPGNSSIRLADFPLSDESWRTRRLLELALNVIPWVQKAQYLLFPTIYELEPKAIDALKAEFSIPIHTVGPAIPCFGNGHIDASKHGYFQWLDNQPSASVLYISQGSFLSVSNEQFDEIAAGVGDSGARFLWVQPRENGKLKELCGDKGLVLPWCDQLKVLQHPAIGGFWSHCGWNSTREGVFCGVPFLAFPIFMDQPLNAKFIVEELKVGWRVKKEVKEDTLMRKNEISSLIRKFMDLNSDEVMRKRSRELKLLCHTAIEIGGSSETDITAFLSSILHGAKPE >Vigun01g138400.1.v1.2 pep primary_assembly:ASM411807v1:1:31865840:31873467:1 gene:Vigun01g138400.v1.2 transcript:Vigun01g138400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPTWQKLSTFDLRIPREGANPNMESHGGGPLRSRSSQSPSPSHSASASATSSIHKRKRASEDHAPPFPPSSFSADTRDGALTSNDDLESISARGADSDSDDDSEDAVVDDDEEDYDNDSSMRTFTASRLGNPPSAPRNTKLKTDNSAVKIENSDGAKDAANAGAGAMEVVGSVPGIVVKEDPTKIFTDNLQTSGAYTAREESLKKEEEAGRLKFVCHSNDGVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYASQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYAGIDFQKKEAGIPKKIIDDIPGLREAGWTPDQWGHSRFRSLNVSTDNTTNQKHLSGFMRSLLKSMLDHADAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESELYYVTFEMFVADVRRMFANARTYNSPETIYYKCATRLESHFQSKVQAGLQSGSKIQ >Vigun03g185100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:24381977:24382930:1 gene:Vigun03g185100.v1.2 transcript:Vigun03g185100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPTEVAFDFSPFLKIFKDGTVQRLAGCDVVPPCLDTETNVESKDVVISKDDDVSARIFIPKLSNETQKLPLLVYFHGGGFCIETPYSPPYHKFLNSVVSKAHIVAVSVHYRRAPEHPVPIAYEDSWTSLKWVASHSDGNGPEECLNRHVDFGKIFYGGDSAGANIAHNMCVRAGIHGLPGLNVEAIVLVHPYFWGVERIGSESQKPEHLAAVDNLWRFVCPISAGSDDPLINPATDPNLAKLACKRVMIFVAENDLMKDRGWYYKESVEKCGWQGVAEVMEAKGENHVFHLFNPHSDNAVSLLNRFVSFIKHSS >Vigun01g157300.3.v1.2 pep primary_assembly:ASM411807v1:1:33960745:33965236:-1 gene:Vigun01g157300.v1.2 transcript:Vigun01g157300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGNWFSSVKKALSPDSKEKKDQKSSKSKKKWFGKQKLQTSVSYSEADKLPPAPSVPLPEEIKVTDIENEISHDHDHVVQVVTAVEAEVSVPPVQIETVRVEAARIPRFAGKPNDEVAAIKIQTAFRGYLARRALRALRGLVRLKLLMEGSVVKRQATSTLRSMQTLSRLQSQIRSRRIRMLEENQALQRQLLQKHARELESLRMGEEWDDSLQSKEQIEAKLLSKYEAAMRRERALAYAFTHQQNWKNSSRSLNPMFMDPTNPAWGWSWLERWMAARPLEKELNDHGSVKSSSRSITGGEISKSFARFQLNSEKHSPTASQNPGSPSFQSTPSKRVSASAKKSKKASPRGSWITDEDSKSLVSVQSDRFRRHSIAGSSVRDDESLASSPAIPSYMVPTQSAKAKSRTQSPLAPENGKTEKGSFGTAKKRLSFPASPSRPRRHSGPPKVEISLNSELSVDKVVDS >Vigun01g157300.2.v1.2 pep primary_assembly:ASM411807v1:1:33960745:33965236:-1 gene:Vigun01g157300.v1.2 transcript:Vigun01g157300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGNWFSSVKKALSPDSKEKKDQKSSKSKKKWFGKQKLQTSVSYSEADKLPPAPSVPLPEEIKVTDIENEISHDHDHVVQVVTAVEAEVSVPPVQIETVRVEAARIPRFAGKPNDEVAAIKIQTAFRGYLARRALRALRGLVRLKLLMEGSVVKRQATSTLRSMQTLSRLQSQIRSRRIRMLEENQALQRQLLQKHARELESLRMGEEWDDSLQSKEQIEAKLLSKYEAAMRRERALAYAFTHQQNWKNSSRSLNPMFMDPTNPAWGWSWLERWMAARPLEKELNDHGSVKSSSRSITGGEISKSFARFQLNSEKHSPTASQNPGSPSFQSTPSKRVSASAKKSKKASPRGSWITDEDSKSLVSVQSDRFRRHSIAGSSVRDDESLASSPAIPSYMVPTQSAKAKSRTQSPLAPENGKTEKGSFGTAKKRLSFPASPSRPRRHSGPPKVEISLNSELSVDKVVDS >Vigun01g157300.1.v1.2 pep primary_assembly:ASM411807v1:1:33960745:33965236:-1 gene:Vigun01g157300.v1.2 transcript:Vigun01g157300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGNWFSSVKKALSPDSKEKKDQKSSKSKKKWFGKQKLQTSVSYSEADKLPPAPSVPLPEEIKVTDIENEISHDHDHVVQVVTAVEAEVSVPPVQIETVRVEAARIPRFAGKPNDEVAAIKIQTAFRGYLARRALRALRGLVRLKLLMEGSVVKRQATSTLRSMQTLSRLQSQIRSRRIRMLEENQALQRQLLQKHARELESLRMGEEWDDSLQSKEQIEAKLLSKYEAAMRRERALAYAFTHQQNWKNSSRSLNPMFMDPTNPAWGWSWLERWMAARPLEKELNDHGSVKSSSRSITGGEISKSFARFQLNSEKHSPTASQNPGSPSFQSTPSKRVSASAKKSKKASPRGSWITDEDSKSLVSVQSDRFRRHSIAGSSVRDDESLASSPAIPSYMVPTQSAKAKSRTQSPLAPENGKTEKGSFGTAKKRLSFPASPSRPRRHSGPPKVEISLNSELSVDKVVDS >Vigun09g242300.1.v1.2 pep primary_assembly:ASM411807v1:9:41169669:41171350:1 gene:Vigun09g242300.v1.2 transcript:Vigun09g242300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHENPLSNYTVSLTFSFFCLLLFLFILSSISRNLKNHSAATRKAPPEASGAWPLIGHLHLLGGSEPPHVTLGHMADKYGPIFSLRLGAHKTLVVSDWKMAKECFTVNDRAFANRPKSMTFEVLGYNSSLIAFIPYGSYWRQVRKIATLELLSSRRIETLKHVMEAEVKASMRETYKLWLKEKNGCSEMKKWFGNIALNIMFRTVVGKRFVSDGDVSEENERLRMAFREFLDLGGSFAISDCLPYLRWLDLDGKEKKMKRTAKEIDGFVQIWLEEHKRNRDCGSGERKHSHDLMDVLLGLVEEGEDFDGHDLDTTIKAMCLALILAGSDTTTGTLAWALSLLLNNREILKKSFMK >Vigun07g088300.1.v1.2 pep primary_assembly:ASM411807v1:7:13680456:13684231:-1 gene:Vigun07g088300.v1.2 transcript:Vigun07g088300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGNVALLSLSNLKPSPKLPSSSSSSSSFFVTKHFFSHSLPISSINASPSPNRNFPSVRATSSSSSSSSFGSRLEDSIKKTVAENPVVVYSKTWCSYSSEVKSLFNKLGVSPLVFELDEMGPQGPQLQKVLERITGQHTVPNVFIGGKHIGGCSDTLKLYRKGELEPLLSEAKAKTPES >Vigun09g025100.1.v1.2 pep primary_assembly:ASM411807v1:9:1970514:1972519:1 gene:Vigun09g025100.v1.2 transcript:Vigun09g025100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLIIIGGGVSFVIFCIFIWLRPIFDVRQKDSQFMTLTVNKFLNEMEKEKVMRVTEEHLRIATDNYSYLMGSGGFEKVYKGIFSDGTIVAVKVLHANSKDRIQEQIMAEVGTIGKVHHFNLVRLYGFCLEKNFTALVYEYMVNGSLDKFLFNPENAIAFEKLYDIAIGTAKGISYLHEECQQRIIHYDIKPGNILLDRNFNAKVADFGLAKLCNRENTHITLTKGRGTPGYAAPELWLPNFPVTQKCDVYSFGMLLFEILGRRRNFEIDLAESQEWFPMWIWKKFEAEEAEELMVACGIDDQNKETAERMVKVALSCVQYKHESRPVRSVVVKMLEGSVEIPKPQNPFPHLIHEILPLPESSGNTYTSTVQGSSGMVTESSHEPSSLVVTKFEIESAST >Vigun04g126000.1.v1.2 pep primary_assembly:ASM411807v1:4:31879547:31881471:1 gene:Vigun04g126000.v1.2 transcript:Vigun04g126000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMARRAHCLVLAYPAQGHINPMLQFSKLLERQGVRITLVTTRFYYNILQTVPPSIALETISDGFDNGGLKEAGSFQTYLERFWQVGPLTFSELLEKLGRSSDDHVDCVVYDSFLSWAPDVAKRFGIVVATYLTQNMIVNSIYYHVLLGKLQVPLTEHEISLPAMPKLYHEDMPSFFFGEYVTYLDFVVSQFSSIHKADWILCNTFYELEKEISDWMVKVWPQFKTIGPNIPSFFLDKQWEDDKDYGVTEFKSEECMEWLDDKPKGCVVYVSFGSIVSFGEEQMEEIACCLRECSSYFLWVVRASEETKLPKDFEKKTEKGLVVTWCSQLKVLAHEAVGCFVTHCGWNSTLETLCLGVPTVAVPYLADQSTNAKLVSDVWKIGTRALVDEKKVVRRETLKHCIDEIMNGCKEMKNNAIQWRSFAVTAASEGGSSYENVKEFVNNLWHSKMEITS >VigunL059082.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000520.1:33892:34982:1 gene:VigunL059082.v1.2 transcript:VigunL059082.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSNSDDSKSTFCLVDEDHTFANSIRYTLNQDPRVTYCGYNIPHPSFNRPPILIVSQRYNDDLVVKFQIEDERNWDPAKKVFKDGCLELMLICRHVRSTFDDALFEFKKTKIS >Vigun10g095450.1.v1.2 pep primary_assembly:ASM411807v1:10:28133172:28135414:1 gene:Vigun10g095450.v1.2 transcript:Vigun10g095450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLRHQFRSCIFEFQHLIDASCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTSSTLLRRFFIFSCSRRRDTHEAYIPNVCSCSCFSSIRIQHSILETNVLVFFIFLHDPS >Vigun10g095450.2.v1.2 pep primary_assembly:ASM411807v1:10:28133172:28135414:1 gene:Vigun10g095450.v1.2 transcript:Vigun10g095450.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLRHQFRSCIFEFQHLIDASCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTSSTLLRRYTTVLMIYNCFNFTV >Vigun10g095450.3.v1.2 pep primary_assembly:ASM411807v1:10:28133172:28135414:1 gene:Vigun10g095450.v1.2 transcript:Vigun10g095450.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLRHQFRSCIFEFQHLIDASCFDIYGVFGVLWCLCRGLTMVAPVKFSWFEATLNVHSVLQVPNHFTSSTLLRRQAETSL >Vigun08g112900.1.v1.2 pep primary_assembly:ASM411807v1:8:27936979:27941635:1 gene:Vigun08g112900.v1.2 transcript:Vigun08g112900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGLRSGGLPLFHHHHHHQLRFLQSPPSFAKFNVLRSKSKKGFTVFARSAQARDLFSSRRFQDSMEKLPKLVEDIVETSLNTGPRGVLRLAQGVQAFIGVGQEWLTDVSKSANTSAGLQTEMQLGLLSPFYLRRLFERMGATYIKLGQFIASAPTLFPPEYVQEFQNCFDRAPPVPYEEIESILRKELGKPLESVYEYIDPTPLASASIAQVHGARLKGSQEDVVIKVLKPGIEDILVADLNFVYVVARILEFLSPDISRTSLVGIVKDIRESMLEEVDFYKEAANIEAFRRYLEAMGLTGSATAPRVYQYCSTKKVLTMQRLYGVPLTDLDSISSLVSNPETSLITALNVWFGSLLGCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLGSIAIEDYVSMASALIEMGATNKDVDTEAFARDLEKVFSSIKELDTEIVVATTSGTASNTTTVAANIVFDERQMNALFLDVVRVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNRRRMYRDNY >Vigun02g175500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:31770829:31775625:1 gene:Vigun02g175500.v1.2 transcript:Vigun02g175500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFKLGVDVVSAHNLLPKDGLGSSNAFVELYFDGQKYRSTIKEKDLNPVWNESFYFNISDPSNLHYLSLEVYVHSHSRATNSTSFLGKVSLTGTSFVPYSDAVVLHYPLEKRGIFSRVRGEIGLKVYITDDPTIKSSIPTPVVDSVPTNNPSSTHAEVRAPASAMANSLPNEKVESRHTFHHLPNTNHHQNQHHHQQHSTGFADTHYVTKYEADEMKSEPRPMKLVRTGTSVQPVDFALKETSPYLGGGRVVGGRVIHKDKTASTYDLVERMYFLYVRVVKARELPAMDITGSLDPFVEVRIGNYKGITRHFDKNQSPEWNQVFAFSKDRMQASILDVVIKDRDLIKDDFVGIVRFDINEVPLRVPPDSPLAPEWYRLEDKKGDKIKGELMLAVWIGTQADEAFSDAWHSDAATPVDSTHAISAVMRSKVYHAPRLWYVRVNIVEAQDLVPTEKNRFPDVYAKVQIGNQVLKTKTVPARTLSALWNEDLLFVAAEPFEDHLIISVEDRVSPGKDEIIGRVIIPLNSVERRADDRIIHSRWFNLEKPIAIDVDQLKKEKFSSRIQLRLCLDGGYHVLDESTHYSSDLRPTAKQLWKPPIGVLELGVLNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTIVDNMSPKYNEQYTWEVFDHATVLTVGVFDNSQIGEKGNGTSKDLKVGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSLANMLYLYSCPLLPKMHYVRPFSVTQLDMLRHQAMNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGVFAVGKWFGDICMWRNPITTVLVHVLFLMLVCFPELILPTVFLYMFLIGVWNFRYRPRYPPHMNTRISQAEAVHPDELDEEFDTFPTSRSPDLVRMRYDRLRSVAGRIQTVIGDLASQGERIQALLSWRDPRATSLFITLCLLSALVLYVTPFQAVAGLAGFYIMRHPRFRHRLPCVPINFFRRLPARTDSML >Vigun05g001000.1.v1.2 pep primary_assembly:ASM411807v1:5:97743:100981:-1 gene:Vigun05g001000.v1.2 transcript:Vigun05g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFLKNGELRLPPGFRFHPTDEELVMQYLKRKVFSCPLPASVIPEFDVCKSDPWDLPGDLEKERYFFSTKEPKYPNGNRSNRATSSGYWKATGLDKQILTSKGNQVVGMKKTLVFYRGKPPHGSRTDWIMHEYRLLNSPSQAPMENWVLCRIFLKRRSGGRNGEEKEMESLRGGVENRKVRKSKMVFYNFLAQRKTDSSSSAGSGITHESDEHEESSSSDTFPYFRTKP >Vigun06g076700.1.v1.2 pep primary_assembly:ASM411807v1:6:20738918:20744813:1 gene:Vigun06g076700.v1.2 transcript:Vigun06g076700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTARQCLTDEAARALDDAVTVARRRSHAQTTSLHAVSALLSLPSAALRDACARCRSCSYSPRLQFRALELSVGVSLDRLPTTKSAGSAGADGGAGDEGPPVSNSLMAAIKRSQANQRRHPDSFHLMQMMQQQQHQTTSLLKVELKHFILSILDDPIVSRVFGEAGFRSYDIKLALLQPPPPSRIFSRLTPPVFLCNLEPVQKGGSRLDENCRRIVEVVTRKSKRNPLLMGVYAKSALKSFIECVEGRKGGVLPCELNGLSVVSVEKEIGEFLREGGNGGKIFEEVGGLVEQCSGAGVVVCFGEIELFVGGNEEGVEFVVSQLTRLLGVHVGKVWLVGVAGTSEAYSKFLRLFPTVDKDWDLHLLTMTSATPFMEGLYPKSSLMGSFVPFGGFFSTTSELKNPVSCTNASSLTRCDTCNEKCEQEVADILKVGPATSASGYSTSLPWLQKVNVETDMAKTNEENTSMNGKIFGLQRKWSDICQRLHQNRPLPEFDISKTRFQVPSLEGFQFGPGSSSKGPPHSEIQYSQSAFPFKQILPVSVPFDTVTITDEADHMAKVSKTGMHSTWVSPSPKANLSLHDHTPSSSLTPVTTDLGLGTIYKSATHEPDTPKLSDHKKHLHNMPDSLSSDFNPMNECTSHQIARSSSCTGPNLEGHFETVDFKSLYHLLTEKVGWQDEAIYAINQTVSRCRSGVGKRSSGSHVRADTWLAFLGPDRVGKRKVAAALAEILFGNKQSLIAVDLSSQDKFYPSNSVFEFQDSYCHDVLMRKTVVDYIAWELIKKPHSVVFLDNVDQADFLVQNSLFQAIRTGKFSYSHGREISINNAIFIVTSLSLEEDPKMFPEERVLEAKRCQMQLSLGHCSQDAKRSGCTNVKVGQRKASKTTILKKRKVVENGDSEERASCKTLKQVMESSSSRSYLDLNISLEEFEEDNNNCSDYGSESSIVEKNWLSDLCDEVDEKVVFKAFNFDSVAEEVIKSIDIQFRKTFGSEFMLEIEYEVMTQIVAAAWLCEKKKALDDWVEDVLGRSFGEAKKKYQFAAEYVMKLVNCETIFLEDESGGVCLPARINLN >Vigun09g271600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43358157:43358785:-1 gene:Vigun09g271600.v1.2 transcript:Vigun09g271600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPGIRRSSFSASKQSSCKVLEVPRGYLAVYVGEKMKRFLIPVSFLNEPLFQELLSQAEEEFGYYHPMGGLTIPCKEDMFVDIASRLNRL >Vigun03g192200.2.v1.2 pep primary_assembly:ASM411807v1:3:26659353:26665172:-1 gene:Vigun03g192200.v1.2 transcript:Vigun03g192200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEESFSMEFQVDSIHSGSISFGRFENEPLSWERRSSFSHNRYLEEVEKYSKPGSVIQKKAYFEAHFKKKGIFGIIPSTAHDGLSYRAAGESDGSEGIGKQEDFESNDDHYVPFDEMSQKEFELEEGDNYVEMDRRSQKDIIPIEENHYIQFREIPDGSNYHGECGVNEYEEESIEEYPMLSFSSLEMEPVMNNSNHLEDASENNSALNEAHPSENETSTILLANDEAVIEVEKDDVTVNTDESSISMSITVSEPVQGVEETIMNDLAKPSSEETKVKSQQNSEINNVGVQKSTVISAKDPARLPSRESPRTTNMEKNLSKLATPISKTSKEVSKSAEKQIRENKRLNQAVDSMKSNVKPKAATFNFKCSERAERRKEFYMKLEEKLHAKEAEITQMQAISQEKTETDIKKLRKSLNFKATPMPSFYRTTLSSQPHGNKSHNQVVTNNIRSKKEQNKPKSSTSGADATVPSKSKVGNNLDTDKSITMREPHDISVKEFGKSKASESCLISPAASTNHRHFANSMTSNHASGRKEGAKVSSQKQKVSNSCKGAKQQNNERNKNGETTKHRNDIMRRGVRNVGLRNISKSGNLIVHVSS >Vigun03g192200.3.v1.2 pep primary_assembly:ASM411807v1:3:26659356:26665068:-1 gene:Vigun03g192200.v1.2 transcript:Vigun03g192200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEESFSMEFQVDSIHSGSISFGRFENEPLSWERRSSFSHNRYLEEVEKYSKPGSVIQKKAYFEAHFKKKGIFGIIPSTAHDGLSYRAAGESDGSEGIGKQEDFESNDDHYVPFDEMSQKEFELEEGDNYVEMDRRSQKDIIPIEENHYIQFREIPDGSNYHGECGVNEYEEESIEEYPMLSFSSLEMEPVMNNSNHLEDASENNSALNEAHPSENETSTILLANDEAVIEVEKDDVTVNTDESSISMSITVSEPVQGVEETIMNDLAKPSSEETKVKSQQNSEINNVGVQKSTVISAKDPARLPSRESPRTTNMEKNLSKLATPISKTSKEVSKSAEKQIRENKRLNQAVDSMKSNVKPKAATFNFKCSERAERRKEFYMKLEEKLHAKEAEITQMQAISQEKTETDIKKLRKSLNFKATPMPSFYRTTLSSQPHGNKSHNQVVTNNIRSKKEQNKPKSSTSGADATVPSKSKVGNNLDTDKSITMREPHDISVKEFGKSKASESCLISPAASTNHRHFANSMTSNHASGRKEGAKVSSQKQKVSNSCKGAKQQNNERNKNGETTKHRNDIMRRGVRNVGLRNISKSGNLIVHVSS >Vigun03g192200.4.v1.2 pep primary_assembly:ASM411807v1:3:26659305:26665068:-1 gene:Vigun03g192200.v1.2 transcript:Vigun03g192200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEESFSMEFQVDSIHSGSISFGRFENEPLSWERRSSFSHNRYLEEVEKYSKPGSVIQKKAYFEAHFKKKGIFGIIPSTAHDGLSYRAAGESDGSEGIGKQEDFESNDDHYVPFDEMSQKEFELEEGDNYVEMDRRSQKDIIPIEENHYIQFREIPDGSNYHGECGVNEYEEESIEEYPMLSFSSLEMEPVMNNSNHLEDASENNSALNEAHPSENETSTILLANDEAVIEVEKDDVTVNTDESSISMSITVSEPVQGVEETIMNDLAKPSSEVKSQQNSEINNVGVQKSTVISAKDPARLPSRESPRTTNMEKNLSKLATPISKTSKEVSKSAEKQIRENKRLNQAVDSMKSNVKPKAATFNFKCSERAERRKEFYMKLEEKLHAKEAEITQMQAISQEKTETDIKKLRKSLNFKATPMPSFYRTTLSSQPHGNKSHNQVVTNNIRSKKEQNKPKSSTSGADATVPSKSKVGNNLDTDKSITMREPHDISVKEFGKSKASESCLISPAASTNHRHFANSMTSNHASGRKEGAKVSSQKQKVSNSCKGAKQQNNERNKNGETTKHRNDIMRRGVRNVGLRNISKSGNLIVHVSS >Vigun03g192200.1.v1.2 pep primary_assembly:ASM411807v1:3:26659303:26665172:-1 gene:Vigun03g192200.v1.2 transcript:Vigun03g192200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVEESFSMEFQVDSIHSGSISFGRFENEPLSWERRSSFSHNRYLEEVEKYSKPGSVIQKKAYFEAHFKKKGIFGIIPSTAHDGLSYRAAGESDGSEGIGKQEDFESNDDHYVPFDEMSQKEFELEEGDNYVEMDRRSQKDIIPIEENHYIQFREIPDGSNYHGECGVNEYEEESIEEYPMLSFSSLEMEPVMNNSNHLEDASENNSALNEAHPSENETSTILLANDEAVIEVEKDDVTVNTDESSISMSITVSEPVQGVEETIMNDLAKPSSEETKVKSQQNSEINNVGVQKSTVISAKDPARLPSRESPRTTNMEKNLSKLATPISKTSKEVSKSAEKQIRENKRLNQAVDSMKSNVKPKAATFNFKCSERAERRKEFYMKLEEKLHAKEAEITQMQAISQEKTETDIKKLRKSLNFKATPMPSFYRTTLSSQPHGNKSHNQVVTNNIRSKKEQNKPKSSTSGADATVPSKSKVGNNLDTDKSITMREPHDISVKEFGKSKASESCLISPAASTNHRHFANSMTSNHASGRKEGAKVSSQKQKVSNSCKGAKQQNNERNKNGETTKHRNDIMRRGVRNVGLRNISKSGNLIVHVSS >Vigun06g128500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25514565:25516668:-1 gene:Vigun06g128500.v1.2 transcript:Vigun06g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLYHVSFSTVDENSSSSTDIFWGSEELNEVKKVHFSGAEDHRVYGGVDTFCSNFEFSPDHPPGYLISTNQEKYHQQQSYHDYGHFDDNLQFDMVSPSLQFDAQYATRVPLCGTTEDKPHSAIPLASLEILKNYGKGFKRFSNEGKSLQPVVDSVATDEFGFRKLSTEDIMRIAGTRFIQSSSSESEPLTLLETHPYGVSFSGFSDEEKEDVALAESLLACAEKVGDQQFERASKLLSHCESLSSKTGSPVKRIVHYFAEALLHRIDRETGRGSSKDLHKGHSFDPEVAAKELNPAIVAFYEDLPFCQISVFTAVQAIIEDVAEAKKIHVIDLEIRKGGHWAILMQALESRHQCPVELLKITAIESGTTRHMVEDTGKRLKDFAQGLNIPFSFNIVMVPDLLDLREDLFEIDPEEAIAVYSQFALRAKIQQSDQLEIIMRVIRSLNPNVMVVAEIEANHNSTSFVNRFIEALFFFSAFFDCLEACMKRDEKNRMIIESLYLSHGIKNIVATEGAERKTRNVKIDVWRAFFSRFGMVEKELSTLSLYQADLVSKRFPCGSSCTFDKNGQCLLVGWKGTPINSVSVWKFI >Vigun06g193500.1.v1.2 pep primary_assembly:ASM411807v1:6:31022370:31023132:-1 gene:Vigun06g193500.v1.2 transcript:Vigun06g193500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCSEDCKCRPLGFLLGLPFAFLSLLISLVGVVVWIVGLILTCICPCCLCVTIIVEFALALIRAPIVVMEWFISKIPC >Vigun02g031500.1.v1.2 pep primary_assembly:ASM411807v1:2:13390584:13402617:1 gene:Vigun02g031500.v1.2 transcript:Vigun02g031500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGAANTNPNKSFEVAQPPSDSISSLSFSPKANFLVATSWDNQVRCWEITRNGTVVSSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLTSGGQPMTVAMHDAPVKDLAWIPEMNLLATGSWDKTLKYWDTRQPNPVHTQQLPERCYAITVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHRENNEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMQRCSQPIPCSAFNNDGSIFAYAVCYDWSKGAENHNPATAKNYIYLHLPQESEVKGKPRAGATGRK >Vigun06g046900.3.v1.2 pep primary_assembly:ASM411807v1:6:17167249:17168707:1 gene:Vigun06g046900.v1.2 transcript:Vigun06g046900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun06g046900.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:17167703:17168707:1 gene:Vigun06g046900.v1.2 transcript:Vigun06g046900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding TAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHVHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVKARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFEAMDTI >Vigun03g223600.1.v1.2 pep primary_assembly:ASM411807v1:3:37146554:37148568:1 gene:Vigun03g223600.v1.2 transcript:Vigun03g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLATAYFLGLKRTYRLALRIQRRILAPKIRPFVHRRTRAVFSVALKANQSIQERDITFGRNMGNYILQRLNRMKPMAQTPGGSPSIGAHRPSLQMTKLASSFSNSKASSYYQLFKRKSGKQSTWFQQQSIWSKPFPSIVKMMRPRSLAGTTTDCRHLNVNASHAFRPNYRVNWPGHGIRKDIMQWMVRN >Vigun08g127800.1.v1.2 pep primary_assembly:ASM411807v1:8:29806632:29811234:-1 gene:Vigun08g127800.v1.2 transcript:Vigun08g127800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASVKLGSAKLVVICVGLLGFALIADFLWASTSSTLLTSKPSTLVIPEKKNHKNVTASGRFLAAAYADLDAPNLHWEKMPPCPVPRLDGAAIQIRDLLFVFAGYGTIDYVHSHVDVYNFSDNSWGERFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTARTFVLDAETRKWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKALENEWKAEVSIPRGGPHRACVVVDDRLYVLGGQEGDFMAKPGSPIFKCSRRPEVVYTDVYMLDDEMTWKTLPPMPKPNSHIEFAWVVVNNSIVIAGGTTEKHPVTKKMVLNGEVVQFNLNTLKWSVIGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPDDPSPKKVIGELWRTKLKLNDY >Vigun09g134500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29404808:29407994:-1 gene:Vigun09g134500.v1.2 transcript:Vigun09g134500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPENSSVGFDDQENVSGTPYYTPYPKHLLLKSGLQPVNIEFSSPSSGGDSSVASMKEGSRSPSSSSSSSSDSEQQIQIVGEEKADEISWETESRTYDELLKAFLKNEEELKISNFKLKLSEEEIDKLKIQIEKSEGQLNNALVESQVKDENLKYEKGRVLELQKKTVDLETHVSDCSLKIEKLVAQLKLAEEHLKISYDEIARLKEELNISTSGTRELQGQLEVTQQNVVTLECQLDSERKQMRDLEDRLTWYKDNETNNELEVQKLKAQMLEAEAHFSSVKNQLHSDVARLSEENIQLGSRLEEYESRSHMLENKSRQIEAERLKIEEQFVSQQTVLQGEISCLKEELNQRRHDHEAVNREFDQHKQKYDMVMTEKDEANAKIHNLMAETRHRDNHIANLERELIQLREQKAELMRGSAATLNVVNELKLKVDELEKEVTRQNSVISDRAEEKREAIRQLCFSIEHYRSGYKELLQAFAGQKRHAVTAS >Vigun09g134500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:29404808:29407994:-1 gene:Vigun09g134500.v1.2 transcript:Vigun09g134500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSRSPSSSSSSSSDSEQQIQIVGEEKADEISWETESRTYDELLKAFLKNEEELKISNFKLKLSEEEIDKLKIQIEKSEGQLNNALVESQVKDENLKYEKGRVLELQKKTVDLETHVSDCSLKIEKLVAQLKLAEEHLKISYDEIARLKEELNISTSGTRELQGQLEVTQQNVVTLECQLDSERKQMRDLEDRLTWYKDNETNNELEVQKLKAQMLEAEAHFSSVKNQLHSDVARLSEENIQLGSRLEEYESRSHMLENKSRQIEAERLKIEEQFVSQQTVLQGEISCLKEELNQRRHDHEAVNREFDQHKQKYDMVMTEKDEANAKIHNLMAETRHRDNHIANLERELIQLREQKAELMRGSAATLNVVNELKLKVDELEKEVTRQNSVISDRAEEKREAIRQLCFSIEHYRSGYKELLQAFAGQKRHAVTAS >Vigun07g200300.1.v1.2 pep primary_assembly:ASM411807v1:7:32096940:32099187:-1 gene:Vigun07g200300.v1.2 transcript:Vigun07g200300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMLQRRFISIFPRQTHHPIIQESSWYSPTSAILNRFGFHQRGVATNTNPIKPVREDVENSEADTLKLSPNPDNVTTSISVNDTSSVQFSAKSSLKTSSRHDLAMIFTCKVCETRSIKTVCRESYEKGVVVARCGGCNNLHLIADHLGWFGEPGSVEDFLASRGEEVKRGSTDTLNLTLEDLAGRKP >Vigun10g106500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:30483167:30483983:1 gene:Vigun10g106500.v1.2 transcript:Vigun10g106500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYCNQDVMLEPSCFVTLSDFNAADPPHSSAINFPFAINSLLHTVLLLLSIHLSFHLPLFIVIPFCSFLINTHGSHPIPSNPTIFSYLCNNLITHMSLQIFMLHLFKLPASWLRCLIHFCIHSYPPNVLQRKRQLGQFESFQL >Vigun05g055400.1.v1.2 pep primary_assembly:ASM411807v1:5:4744535:4749066:-1 gene:Vigun05g055400.v1.2 transcript:Vigun05g055400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKERPTLGGTRIKTRKRNIAAPLDPAAFSDAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGDRHPYSIIECEPKREVILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVLQPLLKDNLVAKGLVLSFMTDFFKEYLIDNSLDDLISILKRGKVEDNLLDFFPPTKRSNEAFSEHFSKEGLVALVEYNEKKIFEVKLKEMKAALTTQITEEADTAEVIETVKLRVRDAKLPDIEVVRVVWDVLMDAVQWSGKNQQQNANAALRQVKTWAELLNTFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVIWLEEAEEEE >Vigun01g233500.2.v1.2 pep primary_assembly:ASM411807v1:1:40527182:40530786:-1 gene:Vigun01g233500.v1.2 transcript:Vigun01g233500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRDAAAAADGVPGTPAIREVRPEAGSHGGIGSCGIRRVNFRAEIDTSPPFGSVKEAVTRFGGSGPWIPFFNSIEDFDIKKVEEQAAELEKDLIVKELETLDVLEELGATKRIVEDLKQQLQKEAMKCFASRDVNSYEQVGTPIIKEMDKENCGNNLNNQEQMMQIPSPCSIMSSSPDMILMELKQAKLNLGKTINELGVIQSSVESLNKKMKKEKIFLERTREKLASKFAAVSALERVQEQTKLNPAASHVECGSGNPTNTVRNLNSDSGQCNRMVETRRSEPSKPLSVYEEYGFSVKTAEMRWLAAKKMEEAAKAAEAIALAEIKALSNADRSSGYVLPEPEKVTFAFGDRSPLNSKAQIPEESTLKKVIDARFQIDETKVSKLSILKKLEEATEEVLHSKKVLTDALNRVESANKKQHAVEEALRRWIPEDDLRRYNTIYCNKLNHGGICEDSVHDDVTRSTPKATLRPTISMRDVLSRKQVPEGHATRKEMEEHTERQKVALSQMLEALREDLTLPTKPEKDGSNQKQFMPQRKKFGFIQISLPPLTKPNKKRT >Vigun01g233500.1.v1.2 pep primary_assembly:ASM411807v1:1:40527211:40530420:-1 gene:Vigun01g233500.v1.2 transcript:Vigun01g233500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRDAAAAADGVPGTPAIREVRPEAGSHGGIGSCGIRRVNFRAEIDTSPPFGSVKEAVTRFGGSGPWIPFFQNSIEDFDIKKVEEQAAELEKDLIVKELETLDVLEELGATKRIVEDLKQQLQKEAMKCFASRDVNSYEQVGTPIIKEMDKENCGNNLNNQEQMMQIPSPCSIMSSSPDMILMELKQAKLNLGKTINELGVIQSSVESLNKKMKKEKIFLERTREKLASKFAAVSALERVQEQTKLNPAASHVECGSGNPTNTVRNLNSDSGQCNRMVETRRSEPSKPLSVYEEYGFSVKTAEMRWLAAKKMEEAAKAAEAIALAEIKALSNADRSSGYVLPEPEKVTFAFGDRSPLNSKAQIPEESTLKKVIDARFQIDETKVSKLSILKKLEEATEEVLHSKKVLTDALNRVESANKKQHAVEEALRRWIPEDDLRRYNTIYCNKLNHGGICEDSVHDDVTRSTPKATLRPTISMRDVLSRKQVPEGHATRKEMEEHTERQKVALSQMLEALREDLTLPTKPEKDGSNQKQFMPQRKKFGFIQISLPPLTKPNKKRT >Vigun01g082100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:23274350:23275880:1 gene:Vigun01g082100.v1.2 transcript:Vigun01g082100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDENGNAPNLEVNSNLPPPMVINDGFEPPIELAPNSPNSPPISCFCFEFNQDNDDNNNNNNNNFEYSNNVEVVSLVVVGCSKCLMYAMVSKNDLKCPQCQNTNLIHFHDDNNSGRVD >Vigun07g037100.2.v1.2 pep primary_assembly:ASM411807v1:7:3560277:3566410:-1 gene:Vigun07g037100.v1.2 transcript:Vigun07g037100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRSLSNSSKPISSFVEGKKHVVEENDVNMYSLEIERLRNDPTIVETMTFQELRKTLKRFRIPAKGRKDDLLSALKSFMDTSVCEQDSHIREEQGLLISADNTSVEVEAKRALNEDHVENVNETSEIFELNQGKKRLKQSESERKTVKVATKEKISPKSDGDADFKPSRAKRKISSDVVSIVTESAEISTATIQTEPWTVLAHKKPQKGWIAYNPKTMRPPPLDKDSKFVKILSWNVNGLRALLKSEGFSALQLAQREDFDILCLQETKLQEKDIEEIKQRLIDGYDNSFWTCSISKLGYSGTAIISRIKPLSVRYGLGISDHDSEGRLVTAEFDTFYLICGYIPNSGDGLKRLSYRVTQWDPSLSNYLKELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTDEERKSFATNFLSRGFIDTFRRQHPGVIGYTYWGYRHGGRKNNRGWRLDYFLVSESIADKVHDSYILPDVNGSDHCPIGLIVKF >Vigun07g037100.1.v1.2 pep primary_assembly:ASM411807v1:7:3560277:3566410:-1 gene:Vigun07g037100.v1.2 transcript:Vigun07g037100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLQFVSTTSINLTSFAVLRKHLNATTLVCSTVKAMGSKRSLSNSSKPISSFVEGKKHVVEENDVNMYSLEIERLRNDPTIVETMTFQELRKTLKRFRIPAKGRKDDLLSALKSFMDTSVCEQDSHIREEQGLLISADNTSVEVEAKRALNEDHVENVNETSEIFELNQGKKRLKQSESERKTVKVATKEKISPKSDGDADFKPSRAKRKISSDVVSIVTESAEISTATIQTEPWTVLAHKKPQKGWIAYNPKTMRPPPLDKDSKFVKILSWNVNGLRALLKSEGFSALQLAQREDFDILCLQETKLQEKDIEEIKQRLIDGYDNSFWTCSISKLGYSGTAIISRIKPLSVRYGLGISDHDSEGRLVTAEFDTFYLICGYIPNSGDGLKRLSYRVTQWDPSLSNYLKELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTDEERKSFATNFLSRGFIDTFRRQHPGVIGYTYWGYRHGGRKNNRGWRLDYFLVSESIADKVHDSYILPDVNGSDHCPIGLIVKF >Vigun04g095600.4.v1.2 pep primary_assembly:ASM411807v1:4:20067507:20071998:-1 gene:Vigun04g095600.v1.2 transcript:Vigun04g095600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDTWMKEYNEAVKLADDITGMIAERSSFPASGPETQRHASATRRKITILGTRLDSLQSLLSKVPAKTEKEMNRRKDMLGNLRTKVNQMASTLNMSNFANRDSLLGPEIKSDAMSRTVGLDNSGLVGLQRQIMKEQDDGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLIKYL >Vigun04g095600.3.v1.2 pep primary_assembly:ASM411807v1:4:20067507:20071994:-1 gene:Vigun04g095600.v1.2 transcript:Vigun04g095600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDTWMKEYNEAVKLADDITGMIAERSSFPASGPETQRHASATRRKITILGTRLDSLQSLLSKVPAKTEKEMNRRKDMLGNLRTKVNQMASTLNMSNFANRDSLLGPEIKSDAMSRTVGLDNSGLVGLQRQIMKEQDDGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLIKYL >Vigun04g095600.1.v1.2 pep primary_assembly:ASM411807v1:4:20067655:20071920:-1 gene:Vigun04g095600.v1.2 transcript:Vigun04g095600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRVIMQMASSSDTWMKEYNEAVKLADDITGMIAERSSFPASGPETQRHASATRRKITILGTRLDSLQSLLSKVPAKTEKEMNRRKDMLGNLRTKVNQMASTLNMSNFANRDSLLGPEIKSDAMSRTVGLDNSGLVGLQRQIMKEQDDGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVIGIVVLVVVIWLLIKYL >Vigun04g195100.2.v1.2 pep primary_assembly:ASM411807v1:4:41975220:41977870:1 gene:Vigun04g195100.v1.2 transcript:Vigun04g195100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHTNTFNLFQYPNMNINMNMNMSICHPTSMMEAHSNSDTIKNLNMSLSSTSTKNEKVKRLTVTTPFDGGSSLGGFANDHCRHSVTLEPNKTTIDALHGNQNAEVKKDTNIANHDIIKGQWTPEEDRALVELVNQWGTKKWTQIARSIEGRIGKQCRERWNNHLQPNIKKGSWSLEEDMILIKGHQEFGTKWSKIAKRMCGRSENDIKNRWNATMRRQNCNKNNQGNYKGSMLHAYVKWVTATQQSAKLLSNKNNQNVLGCSCDELALLLT >Vigun04g195100.3.v1.2 pep primary_assembly:ASM411807v1:4:41975220:41977870:1 gene:Vigun04g195100.v1.2 transcript:Vigun04g195100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHTNTFNLFQYPNMNINMNMNMSICHPTSMMEAHSNSDTIKNLNMSLSSTSTKNEKVKRLTVTTPFDGGSSLGGFANDHCRHSVTLEPNKTTIDALHGYEKNTVICKFSQKTINQNAEVKKDTNIANHDIIKGQWTPEEDRALVELVNQWGTKKWTQIARSIEGRIGKQCRERWNNHLQPNIKKGSWSLEEDMILIKGHQEFGTKWSKIAKRMCGRSENDIKNRWNATMRRQNCNKNNQGNYKGSMLHAYVKWVTATQQSAKLLSNKNNQNVLGCSCDELALLLT >Vigun06g051300.2.v1.2 pep primary_assembly:ASM411807v1:6:17714529:17717172:-1 gene:Vigun06g051300.v1.2 transcript:Vigun06g051300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRTDNEIKNYWNTRIKRRQRQGLPLYSDENDHHCSTAPNPSPNPNNTLTNFEFFKQNYHHQEQQQHQQQQPLSPTAPQHSPLQQRHPFTNSSPFSFLDQSPLPLSSSSPSSLPFTFQRPEPLLCNPLRFKRYRASSSYNPLPDLPLTTQFPHLDGFRFPVSSGFSPFLQPSLFESDRPISSSSSFQPKFDLPSTQFYKPPQDQDIDFNDPSFQTSSGLLGGLLLEAQALASGQNSKKRPHLSLNEGNDIFDACQSFEDFPSSSLFWPSSASAGKAKEEAPDLSKFTNEELSSLLTSSNTQGHEWLNNGVPEGSNVQPCGSGMIDDNFGVDLKPVASLFPLTNTTNHNENQGCYPWDNLPGLC >Vigun06g051300.3.v1.2 pep primary_assembly:ASM411807v1:6:17714529:17717172:-1 gene:Vigun06g051300.v1.2 transcript:Vigun06g051300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMANSNDVANGENGLLAVFGGNEFGGGGGGESGGKVEEVALKKGPWTAAEDAVLIDYVTKHGEGNWNAVQRNTGLNRCGKSCRLRWANHLRPNLKKGAFSSEEEKLIVELHSQFGNKWARMAALMPGRTDNEIKNYWNTRIKRRQRQGLPLYSDENDHHCSTAPNPSPNPNNTLTNFEFFKQNYHHQEQQQHQQQQPLSPTAPQHSPLQQRHPFTNSSPFSFLDQSPLPLSSSSPSSLPFTFQRPEPLLCNPLRFKRYRASSSYNPLPDLPLTTQFPHLDGFRFPVSSGFSPFLQPSLFESDRPISSSSSFQPKFDLPSTQFYKPPQDQDIDFNDPSFQTSSGLLGGLLLEAQALASGQNSKKRPHLSLNEGNDIFDACQSFEDFPSSSLFWPSSASGKAKEEAPDLSKFTNEELSSLLTSSNTQGHEWLNNGVPEGSNVQPCGSGMIDDNFGVDLKPVASLFPLTNTTNHNENQGCYPWDNLPGLC >Vigun06g051300.1.v1.2 pep primary_assembly:ASM411807v1:6:17714529:17717172:-1 gene:Vigun06g051300.v1.2 transcript:Vigun06g051300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMANSNDVANGENGLLAVFGGNEFGGGGGGESGGKVEEVALKKGPWTAAEDAVLIDYVTKHGEGNWNAVQRNTGLNRCGKSCRLRWANHLRPNLKKGAFSSEEEKLIVELHSQFGNKWARMAALMPGRTDNEIKNYWNTRIKRRQRQGLPLYSDENDHHCSTAPNPSPNPNNTLTNFEFFKQNYHHQEQQQHQQQQPLSPTAPQHSPLQQRHPFTNSSPFSFLDQSPLPLSSSSPSSLPFTFQRPEPLLCNPLRFKRYRASSSYNPLPDLPLTTQFPHLDGFRFPVSSGFSPFLQPSLFESDRPISSSSSFQPKFDLPSTQFYKPPQDQDIDFNDPSFQTSSGLLGGLLLEAQALASGQNSKKRPHLSLNEGNDIFDACQSFEDFPSSSLFWPSSASAGKAKEEAPDLSKFTNEELSSLLTSSNTQGHEWLNNGVPEGSNVQPCGSGMIDDNFGVDLKPVASLFPLTNTTNHNENQGCYPWDNLPGLC >Vigun03g171500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:20670970:20672614:1 gene:Vigun03g171500.v1.2 transcript:Vigun03g171500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMELISRETIKPSTPTPPHLKIYPLCFIDHIVFRNYIPILLFYSANHHSKNHQASKISTLKKSLSHVLSRYYPFAGNLRDQLSIECNDQGVSFLVTTFTCNLSSILHKPNHQTFHPLFPDQLQWNPMETPSSPILAIQINCFACGGIAISVCMCHKVADAATLCNFINDWATFTRHSQNQEQEQPELLSSLPFPVPGASFFPQENLPVFPEAAFVKNDTVCRRFVFEAAKIESLKAMVSSSGNVQNPTRVEVVSALIYKRAVSSLGLTFETTAFRTAVNLRNRTVPPLPGKSLGNLVWFLFVTNPGEEAELQELVAKMKDGLSEFCETCGKKFGGKKKDLRFITECLKQATSTRVPEGGSLVCCASWCRFPMYEADFGWGKPSWVTTSECPVKNSVVLMDTRDGEGIEALVNMEEHDMAKFERDIHLLQYASLNPLVQHGS >Vigun07g185500.1.v1.2 pep primary_assembly:ASM411807v1:7:30238931:30242653:-1 gene:Vigun07g185500.v1.2 transcript:Vigun07g185500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLQNVAGINLLFKEGPKVNAKFELKPPPYPLNGLEPVMSQQTLEFHWGKHHRTYVENLKKQVVGTELDGKSLEEIIVTAYNKGDILPAFNNAAQVWNHDFFWECMKPGGGGKPSGELLELIERDFGSFEKFLDEFKAAAATQFGSGWAWLAYKASKLDGENAANPPSADEDNKLVVIKSPNAVNPLVWGGYYPLLTIDVWEHAYYLDFQNRRPDYISVFMDKLVSWDAVSSRLEQAKALSA >Vigun02g084901.1.v1.2 pep primary_assembly:ASM411807v1:2:23885605:23898946:1 gene:Vigun02g084901.v1.2 transcript:Vigun02g084901.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSLTLLQFWSILIHLFSLFILKSLWFTPNITVFALGNETDHFALLKFKESISNDPNRILFSWNTSTHFCNWHGITCNSMLQRVTKLNLGGYKLKGFISPHIGNLSHMTTFNIENNNFYGEIPEEIGKLSKLQYLSVANNSLLEGKFPSNLTSCTDLKILFLNGNNLTGKIPVEIVSLQKLQQLYLYKNNLSGTIPSFMGNLSSLTHLSLGSNNFNGNIPQEICRLKRLTFLSLAINKLTGAFPSCLYNMSSLTIISVALNQLNGSLPSNMFHTLSNLQELQIGLNQFSGPIPSSITNASILSTLNMVDNNFYGHVPSMGKEIHLKYLSLDRNNLGDNSPNDLEFLKSLTNCSELQVLSLDYNNFGGRLPNSLGNLSTQLSEFFLGGNQISGDIPASCGDLIGLTLFTIEQNFIDGIIPTTFAKLQKLQVLDLRGNKLSSLGTFIGNLSGLYYLDVAENMLEGSIPPSLGNCQDLQHLDLSYNNLTGTIPSQVFNLSSLADGFSLAQNLLSGAIPKEVGNLKNLNLLYLLENRLSGHIPETIGECIMLENLYLQGNSLQGNIPSSLASLKGLQRLDLSRNLLSGSIPSVLQSISLLQYFNISFNMLDGEVPTKGIFSNASGIIVTGNSKLCGGISKLHLPLCPVKATKKEKHHSLRLIAIIVSVVASLLILLVILTIYWMRKRSNKPSLDSPTIDQLPKVSYQSLYNGTNGFSTSNLIGSGSFSSVYKGTLEQEDKIVAIKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQEFKAIIFEYMTNGSLEQWIHPGPPSARHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGIARLLSTFNHTTSGQTSTNGIKGTVGYAPPGNETDHFALLKFKESISSDPNRILFSWNTSTHFCNWHGITCNSMLQRVTELNLIGYKLKGFISPHIGNLSHMTTFNIGNNNFYGEIPQELGKLSKLQYLSVANNSLLVGKFPSNLTACTDLKILYLNGNNLTGEIPVEIVSLQKLQKLYLYKNNLSGTIPSFIGNLSSLIYLSLRVNNFNGNIPQEICHLKRLTFLSLSTNKLTGPFPSCFYNMSSLTVFSATLNQLNGSLPSNMFHTLSNLQELYIDANQISGPIPTSIENASVLSVIDMVDNHLSGQVPSLKKQIHLQYLSLGINNLGENSTNDLEFLKSLTNCSELQILSLAYNNFGGHLPNSLGNLSTKLSELYLGGNQISGDIPATCGNLIGLILFTMERNLIHGIIPTTFAKLQKLQVLDLSGNKLSSLGTFIGNLSRLYYLDMAENMLEGSIPPSLGNCQSLQHLDLSQNNLTGTIPLQVFNLSYLTNAFSLSQNLLSGNIPEEVGNLKNLNLLYLFENRLSGHIPETIGECIMLQELYLEGNSLQGSIPSSLASLRGLQRLDLSRNLLSGSIPSVLQSISLLQYFNISFNMLDGEVPTKGIFSNASGIIVTGNSKLCGGISKLHLPLCPVKATKQAKHHSLRLIAIIVSVVASLLILLVILTIYWVRKRSNKPSLNSPTIDQLPKVSYQSLYNGTNGFSSSNLIGSGSFSSVYKGTLEQEDKIVAIKVLNLQKKGADKSFIVECNALKNIRHRNLVPTLTCCSSTDYKGQEFKAIIFEYMTNGSLEQWIHPGPPSARHMRRLSLHQRLNITIDVASALHYLHHECEQLIIHCDIKPSNVLLNDSMVAHVGDFGIARLLSTFNHTTSGQTSTNGIKGTVGYAPPEYGERYKVSTYGDMYSFGILILEMLTGRRPTDEMFKDGQNLRNFVAVSIPNNLLQILDPRIISEYEATAVEGNCGNLNAKAEKCVVSLFRIGLACSVESPKERMNLVDVIRELNQITRVSSLL >Vigun11g223600.1.v1.2 pep primary_assembly:ASM411807v1:11:41446960:41454985:1 gene:Vigun11g223600.v1.2 transcript:Vigun11g223600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSICLLSFFQLLAVLFLTFYSIFPPCVSQTSESHALFQFKNHLKDPLNYFASWNESESPCEFYGIACDQVSGRVTEISLDNKSLSGVIFPSLSVLQSLQVLSLPSNLISEKLPAEISRCSSLRVLNLTGNQLVGAIPDLSGLRNLQILDLSANYFSGSIPSWVGNLTGLVALGLGVNAYDEGEIPGTLGNLKNLTWLYLGGSHLIGDIPESLYEMKALETLDMSRNKISGKLSRSISKLENLYKIELFSNNLTGEIPAELANLTKLREIDLSSNNMYGRLPEEIGNMKNLVVFQLYENRFSGELPVGFADMSHLIGFSIYRNNFTGRIPENFGRFSPLESIDISENQFSGDFPKFLCERSKLRLLLALQNNFSGTFPESYATCKSLRRFRISMNQLSGKIPDEVWALPYVKIIDLAYNDFTGAVPSEIGLSTSLSQVVLTKNRFSGKLPSELGKLANLEKLYLSNNNFSGEIPPEIGSLKQLSSLHLEVNSLTGSIPPELGNCARLVDLNLAWNSLSGNIPQSISLMNSLNSLNMSGNKLTGSIPDSLEAIKLSSVDFSENLLSGRIPSGLFIVGGEKAFLGNKGLCFEGNLKPSLNSDLKICARSRDQPGIPANKFVFIFLIASIFVVILAGLVLLSCRSLKHGAENSMQRQKEISQKWNLASFHQVDIDADEICNLDEDNLIGSGGTGKVYRVELRKNRAMVAVKQLGKIDGVKILAAEMEILGKIRHRNILKLYASLLKGGSNLLVFEYMPNGNLFQALHGQIKDGKPDLDWKQRYKIALGAAKGIAYLHHDCNPPIIHRDIKSSNILLDEDYEPKIADFGIARFAEKSDKQLGYSCLAGTLGYIAPELAYATDITEKSDVYSFGVVLLELVSGREAIEEDYGEAKDIVYWVLTHLNDRESILNILDERVASECVEDMMKVLKIGIKCTTKLPSVRPTMREVVKMLTDAEPCALKSPKFRHHKDTNALL >VigunL002300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000117.1:21878:22735:-1 gene:VigunL002300.v1.2 transcript:VigunL002300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHEELKNLANTLNLNQIILPESTSPKTLLPQLHLLPHAAPTMEATHCQVAGDAANNGAGLHHRSSSDGSSASLKCAEHHSMASMFASLLRLVNIVLLQCYQAIAVDIHHQNVPPKTINSTTPHITVTPFLSIQNLSFPVQFQF >Vigun07g185800.1.v1.2 pep primary_assembly:ASM411807v1:7:30254706:30256619:-1 gene:Vigun07g185800.v1.2 transcript:Vigun07g185800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSASWNRFSDDYFKHATSGSSSGHRSSYSMFDGSHLPTYDPIADLAKKERARVKLAENAVHLIPFVLIACALILWLFSNPDAGTIGDPVGARIEGLSLEGEIESDSDGTQMGFLPIVSAEEISTKDFGAGKDLLNGKNV >Vigun10g137600.1.v1.2 pep primary_assembly:ASM411807v1:10:35418067:35428120:-1 gene:Vigun10g137600.v1.2 transcript:Vigun10g137600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLKKLILSYLPDLENVWNEDPHGILSMHHLKEVNVEYCNGLANVFPASIAQNLVKLENLVVENCNGLMTIVAEDASRTNQELPCPCVRSLELNHLPMFKYFYYCSQQCNNFAHIKSHADDDVYNEKLLKGLSLGKNGVEMILRGKFQRKLLHNIQVLTLFRCESEVFPYKILKEVPNIEKLVLIDGTFMDIFKNQSPNNMDYSEELVLQLKVLHLEFLRGSVSIGLENSWIEPFVRNLETLKVIGCGSLKNLVECEVSFCNMTYLKVESCLSLSYLFTSSTAKSLSQLKKMKIKRCEFIEEIVSKEEGEESDANGIIFPKLSRLNLDCLRNLRKFYRGNLNFPSLEELSITNCYNMITLCTGTLKTDMLSEVILDGSGVLPLETNLNSTMQKEFLKQMSKVDVDFVYDGSRLQEIWDGSLQIPPDFCFSKLVKLTVGHCGFLSDAVLPFHLLPLLPKLETLKVRNCDYVKTIFDVSLDIFDFYFSKLATLIVEACPSLSDAVLPFYLLPLLPKLETLEVRNCDSVKSIFDVKCTTKHTLITFPLKKLVLCKLPNLETIWNEDPHGILCMQLLQKVHVQVCKSLPSVFPAYVAKDLVKLEDLVVEECERLMVIVAEDNITDPRGTNVEITFPCPCVRSLKLRDLPKFKYFYYSPLKSDIYKNMESYPEDQLDTEKRLSLGENGVKMILRGEFSRNLLHNLKVLALCFHLDAFRYEILEQVPNVEKLLVCDGFFKEIFCCESLNNVDYYSRFLLHLKVLHLESLQDFISIGLNLAAWRLLLSNLTCLKVKDELDEDEIIFPQLRCLNLEWLLELKRFYRGSLSFPSLKEFSVTNSDKMITLCSGTLKTAKLSHVKLEKFQEAIPLKFDLNYTMRKQFLIEWTSPYCTWNRSLELRDRVDLQEIWRVSLHIPNFCFRWLETLIVDGCKFLSDVIPFALLPLLPNLETLEVRNCDSVKTIFDIKCRTQERLITFPLKKLVLSELPNLETVWKEDPHGVLSMQFLQQVFVDNCKCLTSVFPASLLKHLEKLENLVVKDCEGLITIVAKESDDQDKKIIFERLQVLDLKRLEKLRCFYPGNFTLCFPYLKEVYVIKCSSMKTFITFNKIDHSIKWYYEEYDSHLKWFYGEYASHPEETDLNSAVRRTYEEEAPDASSAIISVLQ >Vigun07g292100.1.v1.2 pep primary_assembly:ASM411807v1:7:40338155:40341258:-1 gene:Vigun07g292100.v1.2 transcript:Vigun07g292100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGFKLSTSGFIYIRTATKTKPLSWTPNTRTTPTQHRRRYIPSLSPSKNQILGFSRSHKLPCLGRAGLVTDLGSPVPSPQPQPQPQPQLQAQSKPEPEPESSKLLTLPTILTLGRVAAVPLLVATFYMDGWPGTAATTSIFVAASITDWLDGYLARKMKLKSSFGAFLDPVADKLMVAATLVLLCTRPLDAGVFGQVPWLLTIPAIAIIGREITMSAVREWAASQDSKLLEAVAVNNLGKWKTASQMTALTILLATRDLSHGGGAAILVGSGVALLYTSAGLALWSLVVYMKKIWRVLLR >Vigun07g292100.2.v1.2 pep primary_assembly:ASM411807v1:7:40338155:40341258:-1 gene:Vigun07g292100.v1.2 transcript:Vigun07g292100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGFKLSTSGFIYIRTATKTKPLSWTPNTRTTPTQHRRRAGLVTDLGSPVPSPQPQPQPQPQLQAQSKPEPEPESSKLLTLPTILTLGRVAAVPLLVATFYMDGWPGTAATTSIFVAASITDWLDGYLARKMKLKSSFGAFLDPVADKLMVAATLVLLCTRPLDAGVFGQVPWLLTIPAIAIIGREITMSAVREWAASQDSKLLEAVAVNNLGKWKTASQMTALTILLATRDLSHGGGAAILVGSGVALLYTSAGLALWSLVVYMKKIWRVLLR >Vigun08g224700.1.v1.2 pep primary_assembly:ASM411807v1:8:38234909:38244419:1 gene:Vigun08g224700.v1.2 transcript:Vigun08g224700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGCIAGVGVYHPSWHHHRIRILTSKSEETVKVTRWWSLFSASAYETRHRCRPRPRRPCCVPKDIDAEEEGPSTSERLELEWKLLSRRIGDSGIISSCLVGLLTGVAVVVFNYAVHEIRDLFWDGIPNRGASWLREAPIETTWARVVLVPAFGGAAVSVLNLLRSRFDSSLQQDPFLRTPSAYLKSASRPLLKAVAASVTLGTGNSLGPEGPSVDIGTSIAKGLAPFFHNGKTSGRMLSLLAAGSAAGLAAGFNAAVAGCFFAVESVLWPSPADASLPLTNNTSMVILSAVIASVVSEIGLGSQPAFKVPDYDFRSPGELPLYLLLGILCGLVSLALSRCTSYMLTIVDNLHKATGIPRASFPVLGGLSVGLIALIYPEILYWGFENVDILLESRPFVKGLSTDLLLQLIAVKIVATSLCRASGLVGGYYAPSLFIGGATGMAYGKLISLAVAESNPMINLSVLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWISSVQTKRDDRVAKKIKSENSNSTSLSKISSPSSIESSAGNTFAEAAPYMSNLCQVESSLCIEDDNVETTYIVRRTFVSEAMKTRYVTVSMCTLLTEVIDLMIAEKQSCAVIVDTDDTLIGFLTLRDIQEYGKFAKARSKKDKELLVSELCLLDGQICSVPWTATPDMELRYAQMIMKERGFNQVPVVRNIYERTYPVGIIDPESIRLTCSALATRETLS >Vigun08g224700.3.v1.2 pep primary_assembly:ASM411807v1:8:38234909:38244419:1 gene:Vigun08g224700.v1.2 transcript:Vigun08g224700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGCIAGVGVYHPSWHHHRIRILTSKSEETVKVTRWWSLFSASAYETRHRCRPRPRRPCCVPKDIDAEEEGPSTSERLELEWKLLSRRIGDSGIISSCLVGLLTGVAVVVFNYAVHEIRDLFWDGIPNRGASWLREAPIETTWARVVLVPAFGGAAVSVLNLLRSRFDSSLQQDPFLRTPSAYLKSASRPLLKAVAASVTLGTGNSLGPEGPSVDIGTSIAKGLAPFFHNGKTSGRMLSLLAAGSAAGLAAGFNAAVAGCFFAVESVLWPSPADASLPLTNNTSMVILSAVIASVVSEIGLGSQPAFKVPDYDFRSPGELPLYLLLGILCGLVSLALSRCTSYMLTIVDNLHKATGIPRASFPVLGGLSVGLIALIYPEILYWGFENVDILLESRPFVKGLSTDLLLQLIAVKIVATSLCRASGLVGGYYAPSLFIGGATGMAYGKLISLAVAESNPMINLSVLEVASPQAYGLELLVSELCLLDGQICSVPWTATPDMELRYAQMIMKERGFNQVPVVRNIYERTYPVGIIDPESIRLTCSALATRETLS >Vigun08g224700.2.v1.2 pep primary_assembly:ASM411807v1:8:38234909:38244419:1 gene:Vigun08g224700.v1.2 transcript:Vigun08g224700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGCIAGVGVYHPSWHHHRIRILTSKSEETVKVTRWWSLFSASAYETRHRCRPRPRRPCCVPKDIDAEEEGPSTSERLELEWKLLSRRIGDSGIISSCLVGLLTGVAVVVFNYAVHEIRDLFWDGIPNRGASWLREAPIETTWARVVLVPAFGGAAVSVLNLLRSRFDSSLQQDPFLRTPSAYLKSASRPLLKAVAASVTLGTGNSLGPEGPSVDIGTSIAKGLAPFFHNGKTSGRMLSLLAAGSAAGLAAGFNAAVAGCFFAVESVLWPSPADASLPLTNNTSMVILSAVIASVVSEIGLGSQPAFKVPDYDFRSPGELPLYLLLGILCGLVSLALSRCTSYMLTIVDNLHKATGIPRASFPVLGGLSVGLIALIYPEILYWGFENVDILLESRPFVKGLSTDLLLQLIAVKIVATSLCRASGLVGGYYAPSLFIGGATGMAYGKLISLAVAESNPMINLSVLEVASPQAYGLDYRIVLPLLGAVGLSSWISSVQTKRDDRVAKKIKSENSNSTSLSKISSPSSIESSAGNTFAEAAPYMSNLCQVESSLCIEDDNVETTYIVRRTFVSEAMKTRYVTVSMCTLLTEVIDLMIAEKQSCAVIVDTDDTLIGFLTLRDIQEYGKFAKARSKKDKELLVSELCLLDGQICSVPWTATPDMELRYAQMIMKERGFNQVPVVRNIYERTYPVGIIDPESIRLTCSALATRETLS >Vigun06g114200.1.v1.2 pep primary_assembly:ASM411807v1:6:24240467:24243040:-1 gene:Vigun06g114200.v1.2 transcript:Vigun06g114200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQHIQRVELGIQGFEVSKLGLGCMGLTDIYGANANEVLVGKALKQLPREKIQIATKFGILKTESGVEIKGSPEYVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPLCRELNIGIVPYSPLGRGFFAGKGVLENVPAISNLKTHPRFQAENLEKNKNIYERIESLAKKHEATPAQLALAWVLHQGESVVPIPGTTKIKNLDQNLGALAVKLSEEDLREISEAVPIDDVAGARYLTGVDHYSYKFANTPPKDSKV >Vigun09g197250.1.v1.2 pep primary_assembly:ASM411807v1:9:37223216:37226439:1 gene:Vigun09g197250.v1.2 transcript:Vigun09g197250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSVETPLHSDAFCCRLCIAKAVISLSLTHTHTFSLFLTNFSGVVLPTRRIRTCDPVASECEVRLRRLLLHHHLLLLQCLLRHHDQVQRHHQLLLQHHHHLLNHHPLPLQCQHHLLNHHRLLHHLPNHHRLLHHLPNHHRLLLHLPNHHHDQAHHLLLLQCRHQHHDQAQLHLLDHHHHLLLLQCHHQYRDQFHHHHQAHLHLLNHHHHQAHLHLLSHHHHLLLLQCLHHDQVRHHHRHRHRDQAHLHLLDHHHHQYRDPTHLRLLRHHRAHLHPQGLHQHLHQTRRRRLHLLQDHLLPRHHLQSHHLLLNRHQNQVHRA >Vigun02g093100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:24840198:24845552:1 gene:Vigun02g093100.v1.2 transcript:Vigun02g093100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCVPGCGLLRRQRQCFSLFSSSASCALMMEDQVFDESPKSYASFVIDRPVPHVPASRRNYFPLVSRVLKSLSWRVAREVRFGSWVDSHGFSYSINCFRIMVHAFALAGMRLEVFALLRDVVEFCNEANYNTFELFSALLDSPHHVERSGEVFEVLMKVFASNSMLENSLNVFVNAKYVGLEPHIRTCNFLLKCLVEANRAECVRWFFEELKDRGPLPNIHTYTIMMNFYCSNVRCDSDMRQAAAILGKIYQIGEKPTLVTYSTYIHGLCKVGCVEAAVMLIRNLLKKNEPLNSHSLNSVIYGFCKRGDVCEAMQVFVEMKRSGILPDVYSYSILIDTFCKKGDLIKSFDLLEEMQGSQVKPSVVSYTSLIHGLCKKNLTQHAVEIFRSIGASSVKYDQIVYETLIDGFCTQGDVDSAIKLLKKMTCYNLAPSAFSYRSLIKGYYKLGLFDPALDAFETMLQNGIWPDTISCNYILDVSCRAGYFNVALKLLENFEEHGFNLNQHSYNAIIYKLCKEGCPERALELLPRMLKRNVPPAVVNYRTLISGFAKQSDINRAVKLFTRMTEVGITLNTATYTILMSIFSHSGNMHEAYAIFKEMKERGLRPDQISYTTLIAGFCNIGEWRKAWALFGEMSREGILPNVFTYTCMIDGFCKSNRIDLATWLFDKMNRDSVIPDVATYTVLIAWYHKHGYIDQARKLYDAMKEKGVLPDDITHNILGLKAVKFKEG >Vigun03g416400.1.v1.2 pep primary_assembly:ASM411807v1:3:62329338:62333491:1 gene:Vigun03g416400.v1.2 transcript:Vigun03g416400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDLPKNAANYTALTPLWFLERAATVHPTRNSLIHGSRRYTWQQTYHRCRRFASALSNYSIGPGNTVAVIAPNIPALYEAHFGIPMAGAVLNPVNIRLNASTVAFLLGHCSAAAVIVDQESFSLAEEALKIWSEKAKTFRPPLLIVIGDENCDPKSLRYAVGKGAIDYEDFLEGGDPEYAWKPPEDEWQSISLGYTSGTTASPKGVVLNHRGAYIMSLSGALIWGMTEGAVYLWTLPMFHCNGWCYTWTLAALCGTNICLRQVTAKAVYEAIAKYKVTHFCAAPVVLNTIINAPPEETILPLPHVVHVNTAGAAPPPSVLSGMSERGFRVTHTYGLSETYGPSVYCAWKPEWESLPPERQAQLNARQGVRYIGLENLEVVNTKTMQPVPADGKTVGEIVMRGNSVMKGYLKNPKANEETFANGWFHSGDLAVKHPDGYIEIKDRSKDIIISGAENISSVEVENALYSHPAILEAAVVARADEKWGESPCAFVTLKSGVDNSNQQRISEDILKFCKAKMPAYWVPKSFVFGPLPKTATGKIQKHLLRAKAKEMGPVKMSKL >Vigun02g064800.2.v1.2 pep primary_assembly:ASM411807v1:2:21349968:21354295:-1 gene:Vigun02g064800.v1.2 transcript:Vigun02g064800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAENGKVVEPWDVCKSKGRKKKKGNEEVEGAGSGCWFRLRFMGSCISSRSKVDTSVSGSGTSTHYAESKSTNDTSRDQPTAPAVSSTTTSNAESNSSTSKLEEELKIASRLRKFPFNDLKLATRNFRPESFLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEADRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSVKGAQKAAQLAAHCLCRDPKARPLMSEVVEALKPLPNLKDMASSSYYYQTMQADRIAASPNTRNGRTQGPLLTRNGHQQRSLSIPNGAYASPYHHQFPQPSPKTNGKA >Vigun02g064800.1.v1.2 pep primary_assembly:ASM411807v1:2:21349868:21354295:-1 gene:Vigun02g064800.v1.2 transcript:Vigun02g064800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAENGKVVEPWDVCKSKGRKKKKGNEEVEGAGSGCWFRLRFMGSCISSRSKVDTSVSGSGTSTHYAESKSTNDTSRDQPTAPAVSSTTTSNAESNSSTSKLEEELKIASRLRKFPFNDLKLATRNFRPESFLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEADRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSVKGAQKAAQLAAHCLCRDPKARPLMSEVVEALKPLPNLKDMASSSYYYQTMQADRIAASPNTRNGRTQGPLLTRNGHQQRSLSIPNGAYASPYHHQFPQPSPKTNGKA >Vigun04g196700.1.v1.2 pep primary_assembly:ASM411807v1:4:42145155:42147708:1 gene:Vigun04g196700.v1.2 transcript:Vigun04g196700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDILNTVQSELGLSSELHVGGSSNFKLPEGWIMEEKPRPSKPNHVDKYYYEPHTRRKFRSLASVQKYLAQEAGDHDINDITETTISKDGNTNTNYTEPGEGKQLEEKPSTAIPKFAVEPEVKIVRGIRSSRNRSHKPSSSLSQEEIIIPKPCKHSNQYPAKKHVKLDNQKKPNSEKSNRAPVHNLTGPPPEKVSWVLSGADGFWNPFVDGLAVPEAERLRWSEAFVQTIYDKGN >Vigun04g109000.1.v1.2 pep primary_assembly:ASM411807v1:4:26702798:26706594:1 gene:Vigun04g109000.v1.2 transcript:Vigun04g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGSASSPLRPVTVDGADADAALAKSRFLTREEVLRRRLRRVKQLGRCYRAHYWALMEEMRSKYRDYSWTYGKSPFKEGHNETDIDNQNGGGVPALGGADDIVRCRFSGCKTKAMALTTYCHSHILSDSKQRLYHGCRAVAKNLPTGPSFCNKPVLKTMVPPACPTHHQFGERCLARALRRAGLGNAIPSNRKPTVKLHVLVSEFVHQIQNKRKLALKETAPKVETE >Vigun04g063000.2.v1.2 pep primary_assembly:ASM411807v1:4:6729903:6736675:-1 gene:Vigun04g063000.v1.2 transcript:Vigun04g063000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDINWDRLDKTKFYVVGAGLFTGVTVALYPVSVVKTRLQVASKDTLERSALSVVKGLLKTDGIRGLYKGFFTVMTGTIPTRVIFLTALETTKVASLRMLEPFRLSDTTQAAISNGVAGMTSSLLAQAMFVPIDVVSQKLMVQGYSGHTQYTGGLDVARKVLRSDGIRGLYKGFGLSVMTYVPSSAVWWASYGSSKHYLWRILGDNSGEEGTPSLPKIILVQATGGIIAGATASCITTPLDTIKTRLQKFSFLEKMAKQRGRLEHHFMIIV >Vigun04g063000.1.v1.2 pep primary_assembly:ASM411807v1:4:6729903:6736675:-1 gene:Vigun04g063000.v1.2 transcript:Vigun04g063000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDINWDRLDKTKFYVVGAGLFTGVTVALYPVSVVKTRLQVASKDTLERSALSVVKGLLKTDGIRGLYKGFFTVMTGTIPTRVIFLTALETTKVASLRMLEPFRLSDTTQAAISNGVAGMTSSLLAQAMFVPIDVVSQKLMVQGYSGHTQYTGGLDVARKVLRSDGIRGLYKGFGLSVMTYVPSSAVWWASYGSSKHYLWRILGDNSGEEGTPSLPKIILVQATGGIIAGATASCITTPLDTIKTRLQVTGLEKKISVKQVVKDLILEDGWKGLYRGLGPRFFSMSAWGTSMIVAYEYLKRVCAKDEDV >Vigun11g167500.1.v1.2 pep primary_assembly:ASM411807v1:11:37445398:37450733:-1 gene:Vigun11g167500.v1.2 transcript:Vigun11g167500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLMQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSLHATYVSLPDEHDDLILSDKIQLGQFVFVDRLEAASPVPILHGVRPIPGRHACVGTPEDIVATTHSLGFLGKAKANKNSACSGPLDLERSKSPRKVLGNHHVGEKEKKEKVRLHNEEQLDKKPALLAKSKSQTTKAVTANTVDVKKEPLARLKSFNSRAIPSSPTSCYSLPTSFEKFANGVKHQANIKGVDKLTAKVGVLEIGKGVRGASPTGKRISMGNPIRNLVQGIELGAKVLRKSWEGNMEVKNKETSKSRGAKSDPKPEVRGSTPRRSTSSEKFSSKEESKLTKSSKEEHRTQATIKKVVANGTTEEQEKNGKQRVSVGKKSSEFSNTGFPGNLVKVSPSSRKVTDASVQWASLPSSIAKLGKEVMKHRDAAQMAATEAIQEAAAAESLLQCLSVYAELSNSAKEQNQQRTIEQFLTLHASLNSARMIANSLSKSTPDDSSAENERIISEEEQKLKSDRQKLANYWVQAALSTNLSPFSVYNREPLSSRLQASTNSQNQKNIMGSKPMLIIETSSEDASSKSHGKNRAPSSKHALQGTPRKAGDALSNGQKQLVQSPRDWVKGDGFDEVVDLIDMLQVKSRDWFLVFVERFLDSDGDTASLSNNGQIAGMLTQLKSVNDWLDEIGSSKNEGESWQIPAETIDRLRKKIYEYLLTHVESAAAALTGGSQSSSPGIQTSEIKAKK >Vigun03g240500.1.v1.2 pep primary_assembly:ASM411807v1:3:40104485:40110625:1 gene:Vigun03g240500.v1.2 transcript:Vigun03g240500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTRSALMLHLQNPPIRYHFFSHFLNPTFPSVFKPRPFSLTTLRQTHARPFVSAVASASSSQVSNGRDTFFAEENVSWTALGLSDTISRALSDIGLNRPSLVQASSVPSVMSGKDVVIAAETGSGKTYSYLVPLIDKLRDAQEHSLHAMSDQEGTPTQKVLLILCPNVQLCEQVVRMASSLRRDDGEAIVSVAAICGRQGWPIREPDVIVTTPAALLNYVDLDRTRRMQFMRGVKYVVFDEADMLLCGSFQNKVIRLINLLRFDEKVLSRSKKSVAEFSMKPESSLSSEDAFEEGEDELQIEATLEEDDTDKEDDIVDINNEDTSVKQRDWRRVRKTYERSKQYVFVAATLPVNGKKTAGGILKYMFPDAEWVCGNYLHCHNPRLEQKWIEVTVDTQVDELIKAVNHSFRSEDLVNAGGIHRTMVFANTVEAVEAVAKILLRSGIECSRYHKNCTLEERAQTLVDFHDKGGVLVCTDAAARGVDIPNVLHVIQADFATSAVDFLHRVGRTARAGQIGLVTSMYTESNRELVDAVRWAEKRGQPVETAFSRKRSFRNKLKKRGTNKVRDSTTNKERVLA >Vigun09g062000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:6442724:6443519:-1 gene:Vigun09g062000.v1.2 transcript:Vigun09g062000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKQQAQKFLVTVTAVLAVLAKRASRLPRKLKAAAPPKDEWRIELRSPKKLLSNIGGKTLPFLPKKSKKKRGEKDWGNGGVWQKTILMGDKCEPLDFSGVIYYDSNGKQVNEMPLRSPRSSPVPGYYFIRHREHRDHHP >Vigun02g186400.1.v1.2 pep primary_assembly:ASM411807v1:2:32555413:32564548:1 gene:Vigun02g186400.v1.2 transcript:Vigun02g186400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAAPAGSPVPSDHKRKLEDLQPETTESNAISNSNLDGETADAAVPGETENKRPRLDDQRDVPADTNGHQDEQDADQGNETEERSALEDVTPEPDQSTAKDPSEATVVQQTSGENSEQADARESPVENAGHESAEEPSKETQQESKDATEQDTSGDNQPSVEVPYDKQDASSEHKQSASEAEVTTRRIEVPNNKVGVLIGKAGDTIRYLQYNSGAKIQITRDADADPHCATRSVELIGSLESIDKAEKLMNAVIAEADAGGSPSLVARGLSPAQATVGSEQIQIQVPNEKVGLIIGRGGETIKSLQTKSGARIQVLIPQHLPEGDDSKERTVQVTGDKRQIEIAQELIKEVMNQPIRPSSGGFGQQAYRPPRGSGGPPQWGQRGSHFGHPSAYDYQHRGPYPSHNQPYAPPYGNYPQHMAPRSSYGSGWEQRPHHSFQAPPPHNGGYDYYGGQGGHLSDAPPSTQLPSSAPPHGTGPSPLPSMGPAPTQVNYNYGQSQGQDYGHQAAYSQAGLPQQGYGQGYEDSKYENRAPTQHPYAGHVNSQTTYPQTGAQPNYPAPQQYGKPPLYGMPSQGQPQQSYGHPRATQPGEMPYQGSAPAQSYGTNVPTQQPYPYAVSGPSQTAYPGYGSAPAADGYSHPQPASGAPYTQPQPSYGQPAAQPAASYAQVGPTGYASYPSSQPGYPEQQAPNNAVYGYQAPQDPAYSSAAAPAYSTAQPSGQPAYVQPTQAQTGYEQSNTQSAAYGAVPATAPAAYGKTLSPQPAAYPQYDSTQVYGAPR >Vigun02g186400.2.v1.2 pep primary_assembly:ASM411807v1:2:32555414:32564548:1 gene:Vigun02g186400.v1.2 transcript:Vigun02g186400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAAPAGSPVPSDHKRKLEDLQPETTESNAISNSNLDGETADAAVPGETENKRPRLDDQRDVPADTNGHQDEQDADQGNETEERSALEDVTPEPDQSTAKDPSEATVVQQTSGENSEQADARESPVENAGHESAEEPSKETQQESKDATEQDTSGDNQPSVEVPYDKQDASSEHKQSASEAEVTTRRIEVPNNKVGVLIGKAGDTIRYLQYNSGAKIQITRDADADPHCATRSVELIGSLESIDKAEKLMNAVIAEADAGGSPSLVARGLSPAQATVGSEQIQIQVPNEKVGLIIGRGGETIKSLQTKSGARIQLIPQHLPEGDDSKERTVQVTGDKRQIEIAQELIKEVMNQPIRPSSGGFGQQAYRPPRGSGGPPQWGQRGSHFGHPSAYDYQHRGPYPSHNQPYAPPYGNYPQHMAPRSSYGSGWEQRPHHSFQAPPPHNGGYDYYGGQGGHLSDAPPSTQLPSSAPPHGTGPSPLPSMGPAPTQVNYNYGQSQGQDYGHQAAYSQAGLPQQGYGQGYEDSKYENRAPTQHPYAGHVNSQTTYPQTGAQPNYPAPQQYGKPPLYGMPSQGQPQQSYGHPRATQPGEMPYQGSAPAQSYGTNVPTQQPYPYAVSGPSQTAYPGYGSAPAADGYSHPQPASGAPYTQPQPSYGQPAAQPAASYAQVGPTGYASYPSSQPGYPEQQAPNNAVYGYQAPQDPAYSSAAAPAYSTAQPSGQPAYVQPTQAQTGYEQSNTQSAAYGAVPATAPAAYGKTLSPQPAAYPQYDSTQVYGAPR >Vigun09g190700.2.v1.2 pep primary_assembly:ASM411807v1:9:36544485:36549015:-1 gene:Vigun09g190700.v1.2 transcript:Vigun09g190700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRTRTRRNRLVDNANAIPIIQPASPAATRTRRRKTSAAAARTRRNRGQNDTIAAADEIVNDCAVVVAVCGARNRNKNLKDELGEKENNNNKISVRVFEEEDMDEDDSRGRSADKAPGAEDEGSTAPLPEKVQVGGSPLYKIERKLGKGGFGQVYVGRRIGLSDSSERTGAGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHFKGRQGDYYIMVMDMLGPSLWDVWNNNSHTLSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFFVCKKKMTTSPEILCCFCPHPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPNIRPINTEGAQKLICQVGHKRGRLTMEDDDDEQPKKRVRMGMSATQWISVYNARRPMKQRYHYNVADVRLAQHIDKGNEDDLFISSVASCSDLWTLIMDAGTGFTEQVYELSSSFLHKEWIMEQWENNYYISAIAGVYTGCSLVVMSKGTQYVQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGYSDQVVELDFLYPSEGIHLRWENGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTNAFPSSHVKDKWAKNLYIASICYGRTVS >Vigun09g190700.1.v1.2 pep primary_assembly:ASM411807v1:9:36544161:36549012:-1 gene:Vigun09g190700.v1.2 transcript:Vigun09g190700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRTRTRRNRLVDNANAIPIIQPASPAATRTRRRKTSAAAARTRRNRGQNDTIAAADEIVNDCAVVVAVCGARNRNKNLKDELGEKENNNNKISVRVFEEEDMDEDDSRGRSADKAPGAEDEGSTAPLPEKVQVGGSPLYKIERKLGKGGFGQVYVGRRIGLSDSSERTGAGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHFKGRQGDYYIMVMDMLGPSLWDVWNNNSHTLSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFFVCKKKMTTSPEILCCFCPHPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPNIRPINTEGAQKLICQVGHKRGRLTMEDDDDEQPKKRVRMGMSATQWISVYNARRPMKQRYHYNVADVRLAQHIDKGNEDDLFISSVASCSDLWTLIMDAGTGFTEQVYELSSSFLHKEWIMEQWENNYYISAIAGVYTGCSLVVMSKGTQYVQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGYSDQVVELDFLYPSEGIHLRWENGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTNAFPSSHVKDKWAKNLYIASICYGRTVS >Vigun05g166300.1.v1.2 pep primary_assembly:ASM411807v1:5:27597545:27598457:1 gene:Vigun05g166300.v1.2 transcript:Vigun05g166300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVYFLVAILAFTSSIASAYDPSPLQDFCVALNDTKNAVFVNGKLCKDPKVVKAQDFFRHVEAGNTSNPLGAQVSQVFVDQLPGLNTLGISMARIDFAPKGLNAPHTHPRGTEILIVVEGSLYVGFVSSNQDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAALNSQNPGTITIANALFKSTPSISSQVLTKAFQVDKSIIDYLQKQFWTDNNH >Vigun05g077300.2.v1.2 pep primary_assembly:ASM411807v1:5:7132042:7136787:-1 gene:Vigun05g077300.v1.2 transcript:Vigun05g077300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYSRNFNAFAAKLSEDEANKLSAMDEVVLVFQNQYRQLHTTRSWNFIGLPPTAKRRLKVESDIIVGLLDTGITPESKSFKDNGFGPPPARWKGSCGHYANFSGCNKKIIGAKYFKADGNPDPSDILSPVDTDGHGTHTASTVAGNLVPNANLFGLANGTARGAVPSARLAIYKVCWSSSGCADMDLLAAFDAAIHDGVDVISISIGGGNPSYVQDSISIGAFHAMRKGIITVASAGNAGPSFGTVTNTAPWIVTVAASGIDRAFKSTVQLGNGKNFSGVGVNCFDPKGKQYPLVNGIDAAKDPKDKEDASFCYEDTLQPSKVKGKLVYCKLGTWGTESVVKGIGGIGTLIESDQFPDVAQIFMAPATIVNSVQGDNVTKYIQSTRSPSAMIYKSHEVQVPAPVTATFSSRGPNSVSQNVLKPDVAAPGIDILASYTLKKSLTGLKGDTQFSEFILMSGTSMACPHVSGVAAYVKSFHPHWTPAAIRSAIITTAKPMSKRVNSEAEFAYGAGQLNPTRAVSPGLVYDMDDLGYIQFLCHEGYKGSSLSALVGSPVNCSSLLPGLGHDAMNYPTMQLSLESRKDTKIGVFRRTVTNVGPAPTIYNATIISPKGVEITVKPSSLTFSETKKKRSFKVVVKVTSIGSNKIVSGSLIWRSPRYIVRSPIVINNP >Vigun05g077300.1.v1.2 pep primary_assembly:ASM411807v1:5:7132042:7137133:-1 gene:Vigun05g077300.v1.2 transcript:Vigun05g077300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKMLPKKHTKSLFLLCLQLLLCSASVNGVEQKNFYIVFLGAHTQSRGNALETYLNVLSSVKGSYLEAKDSMVHSYSRNFNAFAAKLSEDEANKLSAMDEVVLVFQNQYRQLHTTRSWNFIGLPPTAKRRLKVESDIIVGLLDTGITPESKSFKDNGFGPPPARWKGSCGHYANFSGCNKKIIGAKYFKADGNPDPSDILSPVDTDGHGTHTASTVAGNLVPNANLFGLANGTARGAVPSARLAIYKVCWSSSGCADMDLLAAFDAAIHDGVDVISISIGGGNPSYVQDSISIGAFHAMRKGIITVASAGNAGPSFGTVTNTAPWIVTVAASGIDRAFKSTVQLGNGKNFSGVGVNCFDPKGKQYPLVNGIDAAKDPKDKEDASFCYEDTLQPSKVKGKLVYCKLGTWGTESVVKGIGGIGTLIESDQFPDVAQIFMAPATIVNSVQGDNVTKYIQSTRSPSAMIYKSHEVQVPAPVTATFSSRGPNSVSQNVLKPDVAAPGIDILASYTLKKSLTGLKGDTQFSEFILMSGTSMACPHVSGVAAYVKSFHPHWTPAAIRSAIITTAKPMSKRVNSEAEFAYGAGQLNPTRAVSPGLVYDMDDLGYIQFLCHEGYKGSSLSALVGSPVNCSSLLPGLGHDAMNYPTMQLSLESRKDTKIGVFRRTVTNVGPAPTIYNATIISPKGVEITVKPSSLTFSETKKKRSFKVVVKVTSIGSNKIVSGSLIWRSPRYIVRSPIVINNP >Vigun05g008900.2.v1.2 pep primary_assembly:ASM411807v1:5:741908:752020:-1 gene:Vigun05g008900.v1.2 transcript:Vigun05g008900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLEENYVLTAFELLHELLDDGRDDQAIRLKQYFSDPSLFPPDLISRLSTLRVADAQTLLEEKEAAVEKLAISDYELRLAQEDILKLKSELQKKAENLNELNAEKLSGDVSVNDGQKIEQKKKFFSTDLGSLKETERQDLNCAVKEYLLLAGYRLTAMTFYEEVTDQDLDNWHNTPASVPDALRHYYYHYLSSTSEAAEEKFSLLRENETLRNANKSLNQENENLSKNKDLAEALVVTLTKSLDAMQKDLKDKENQVHVLKQSLEHQRKELSDCRAEITSLKMHMEGSQLGNNLVVSDTDNVQSESLEKYKEEIKKLQKENEWLKEKNIKGPESENFVGSENGNLQTDDKAVEIRDDRGEVSNSVDVDLGVEHNGNAQSPVVQPLNQYDDKHEDTLPELFHPADTNSVVENIKNVSEQNVGLQAVDSSLLVKSDSVNDEAISERTGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQISHTYEERRLLVAQSCGELADFVRPEIRDSLILSIVQQLIEDSASVVREAAAHNLAMLLPLFPNIDKYFKVEDMMFQLVCDPSGVVVEAALTELVPAVLKWGNKLDHVLRVLLSHIINSALRCPPLSGVEGSVESNLRVLGERERWNIDILLRMLTELLALVHKKVIETCPFSSTPETIQTVLPTALLELYARGEVEWDAFEWMHVECFPNLIQLACLLPQKEDNLRSRISKFLIVVSESFGDSYNTCIMLPVFLIAVGDDADLAFLPTSIHSKIKGLRPRSAVAARLSTMCVLPLLLAGVLGAPGKHEQLAKYLRKLLLEDNSVQNPSTKHIPEIINAIRFICIYEVNHGMIVNILWEMVVSSNASMKINAAKLLKVIVPYIDAKVASTHVLPALVTLGSDQNLNVKYASIDVFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYLLSKISQLTATPNSATDLMRRRDRANAFCEAIRALDATDLPANGVRDSFLPAIQNLLKDPDALDPAHKEALEIIMKERSGGTFETISKVMGAHIGLPSSVTSFFGEGGLLGKKETAEQSSEATVSPKAASPSPVEDTRFRRIMMGNFSEMLRGKAKAPEEGHNQ >Vigun05g008900.3.v1.2 pep primary_assembly:ASM411807v1:5:741908:752020:-1 gene:Vigun05g008900.v1.2 transcript:Vigun05g008900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQTRIWTIGTTHRHLYLMPYAIIIITIFHQHQRLLRENETLRNANKSLNQENENLSKNKDLAEALVVTLTKSLDAMQKDLKDKENQVHVLKQSLEHQRKELSDCRAEITSLKMHMEGSQLGNNLVVSDTDNVQSESLEKYKEEIKKLQKENEWLKEKNIKGPESENFVGSENGNLQTDDKAVEIRDDRGEVSNSVDVDLGVEHNGNAQSPVVQPLNQYDDKHEDTLPELFHPADTNSVVENIKNVSEQNVGLQAVDSSLLVKSDSVNDEAISERTGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQISHTYEERRLLVAQSCGELADFVRPEIRDSLILSIVQQLIEDSASVVREAAAHNLAMLLPLFPNIDKYFKVEDMMFQLVCDPSGVVVEAALTELVPAVLKWGNKLDHVLRVLLSHIINSALRCPPLSGVEGSVESNLRVLGERERWNIDILLRMLTELLALVHKKVIETCPFSSTPETIQTVLPTALLELYARGEVEWDAFEWMHVECFPNLIQLACLLPQKEDNLRSRISKFLIVVSESFGDSYNTCIMLPVFLIAVGDDADLAFLPTSIHSKIKGLRPRSAVAARLSTMCVLPLLLAGVLGAPGKHEQLAKYLRKLLLEDNSVQNPSTKHIPEIINAIRFICIYEVNHGMIVNILWEMVVSSNASMKINAAKLLKVIVPYIDAKVASTHVLPALVTLGSDQNLNVKYASIDVFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYLLSKISQLTATPNSATDLMRRRDRANAFCEAIRALDATDLPANGVRDSFLPAIQNLLKDPDALDPAHKEALEIIMKERSGGTFETISKVMGAHIGLPSSVTSFFGEGGLLGKKETAEQSSEATVSPKAASPSPVEDTRFRRIMMGNFSEMLRGKAKAPEEGHNQ >Vigun05g008900.1.v1.2 pep primary_assembly:ASM411807v1:5:741908:752020:-1 gene:Vigun05g008900.v1.2 transcript:Vigun05g008900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLEENYVLTAFELLHELLDDGRDDQAIRLKQYFSDPSLFPPDLISRLSTLRVADAQTLLEEKEAAVEKLAISDYELRLAQEDILKLKSELQKKAENLNELNAAEKLSGDVSVNDGQKIEQKKKFFSTDLGSLKETERQDLNCAVKEYLLLAGYRLTAMTFYEEVTDQDLDNWHNTPASVPDALRHYYYHYLSSTSEAAEEKFSLLRENETLRNANKSLNQENENLSKNKDLAEALVVTLTKSLDAMQKDLKDKENQVHVLKQSLEHQRKELSDCRAEITSLKMHMEGSQLGNNLVVSDTDNVQSESLEKYKEEIKKLQKENEWLKEKNIKGPESENFVGSENGNLQTDDKAVEIRDDRGEVSNSVDVDLGVEHNGNAQSPVVQPLNQYDDKHEDTLPELFHPADTNSVVENIKNVSEQNVGLQAVDSSLLVKSDSVNDEAISERTGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQISHTYEERRLLVAQSCGELADFVRPEIRDSLILSIVQQLIEDSASVVREAAAHNLAMLLPLFPNIDKYFKVEDMMFQLVCDPSGVVVEAALTELVPAVLKWGNKLDHVLRVLLSHIINSALRCPPLSGVEGSVESNLRVLGERERWNIDILLRMLTELLALVHKKVIETCPFSSTPETIQTVLPTALLELYARGEVEWDAFEWMHVECFPNLIQLACLLPQKEDNLRSRISKFLIVVSESFGDSYNTCIMLPVFLIAVGDDADLAFLPTSIHSKIKGLRPRSAVAARLSTMCVLPLLLAGVLGAPGKHEQLAKYLRKLLLEDNSVQNPSTKHIPEIINAIRFICIYEVNHGMIVNILWEMVVSSNASMKINAAKLLKVIVPYIDAKVASTHVLPALVTLGSDQNLNVKYASIDVFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYLLSKISQLTATPNSATDLMRRRDRANAFCEAIRALDATDLPANGVRDSFLPAIQNLLKDPDALDPAHKEALEIIMKERSGGTFETISKVMGAHIGLPSSVTSFFGEGGLLGKKETAEQSSEATVSPKAASPSPVEDTRFRRIMMGNFSEMLRGKAKAPEEGHNQ >Vigun05g008900.4.v1.2 pep primary_assembly:ASM411807v1:5:741908:752020:-1 gene:Vigun05g008900.v1.2 transcript:Vigun05g008900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQTRIWTIGTTHRHLYLMPYAIIIITIFHQHQRLLRENETLRNANKSLNQENENLSKNKDLAEALVVTLTKSLDAMQKDLKDKENQVHVLKQSLEHQRKELSDCRAEITSLKMHMEGSQLGNNLVVSDTDNVQSESLEKYKEEIKKLQKENEWLKEKNIKGPESENFVGSENGNLQTDDKAVEIRDDRGEVSNSVDVDLGVEHNGNAQSPVVQPLNQYDDKHEDTLPELFHPADTNSVVENIKNVSEQNVGLQAVDSSLLVKSDSVNDEAISERTGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQISHTYEERRLLVAQSCGELADFVRPEIRDSLILSIVQQLIEDSASVVREAAAHNLAMLLPLFPNIDKYFKVEDMMFQLVCDPSGVVVEAALTELVPAVLKWGNKLDHVLRVLLSHIINSALRCPPLSGVEGSVESNLRVLGERERWNIDILLRMLTELLALVHKKVIETCPFSSTPETIQTVLPTALLELYARGEVEWDAFEWMHVECFPNLIQLACLLPQKEDNLRSRISKFLIVVSESFGDSYNTCIMLPVFLIAVGDDADLAFLPTSIHSKIKGLRPRSAVAARLSTMCVLPLLLAGVLGAPGKHEQLAKYLRKLLLEDNSVQNPSTKHIPEIINAIRFICIYEVNHGMIVNILWEMVVSSNASMKINAAKLLKVIVPYIDAKVASTHVLPALVTLGSDQNLNVKYASIDVFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVIRALVVAVPHTTERLRDYLLSKISQLTATPNSATDLMRRRDRANAFCEAIRALDATDLPANGVRDSFLPAIQNLLKDPDALDPAHKEALEIIMKERSGGTFETISKVMGAHIGLPSSVTSFFGEGGLLGKKETAEQSSEATVSPKAASPSPVEDTRFRRIMMGNFSEMLRGKAKAPEEGHNQ >Vigun06g006300.1.v1.2 pep primary_assembly:ASM411807v1:6:3377802:3381961:-1 gene:Vigun06g006300.v1.2 transcript:Vigun06g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSDNPQSGDGASPGKIFIGGLARDTTLETFVNYFEKYGEITDSVIMKDRQTGRPRGFGFITYADPSVVDQVIQENHIINGKQVEIKRTIPKGSSQANDFKTKKIFVGGIPTSVSEDEFKNFFSKYGKVVEHEIIRDHTTKRSRGFGFIVFDSEKVVDNILADGNMIDMAGTQVEIKKAEPKKSSNPASLAPFASDSRARSYNDGFSGFGDSYGSFGGSGYGPGSYRSLGGGFGGRLGDYGGYGAGDDFGGSFGGYGGSGAGGYAGFRGESSFGYSSRYGSYMGGLGAGYGSSGLAAYGRGVGGYGSYGGPGTGGGYESGPAAGYGGAGGGGVYPSRGSYGGSSRYHPYTR >Vigun06g121300.1.v1.2 pep primary_assembly:ASM411807v1:6:24876297:24877480:-1 gene:Vigun06g121300.v1.2 transcript:Vigun06g121300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRAASEVLAIYTFIFAIFSPPVLQAQTLSLAPAPAPSSDGTSIDQGIAYILMLVALVLTYLIHPFDAFSHQL >Vigun10g186100.1.v1.2 pep primary_assembly:ASM411807v1:10:40237819:40242141:1 gene:Vigun10g186100.v1.2 transcript:Vigun10g186100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGLGLEITELRLGLPDAEQHVSVANKNNKRAFSEIDDVGDQNSSSGGGGDRKMENKNQVVGWPPVCSYRKKNSVNEASKMYVKVSMDGAPFLRKMDLGMHKGYSDLAFALEKLFGCYGMVEALKNAENSEHVPIYEDKDGDWMLVGDVPWEMFMESCKRLRIMKRADAKGFDLQPKGSLKGFIESVGK >Vigun08g086600.1.v1.2 pep primary_assembly:ASM411807v1:8:19353337:19357644:-1 gene:Vigun08g086600.v1.2 transcript:Vigun08g086600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWKWYQNCLAVHPVKTQVISSGLIWGAGDIAAQAVTHYTAKAHATMDEDDNKEFKINWKRVSTTSLFGLGFVGPVGHYWYEGLDRYIRLKLMLKPNSFRFVATKVAVDGFIFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVVNFRFIPVRYQLLYVNFFCLLDSCFLSWVEQQQDAPWKQWLKSLLPLK >Vigun08g086600.2.v1.2 pep primary_assembly:ASM411807v1:8:19353337:19356667:-1 gene:Vigun08g086600.v1.2 transcript:Vigun08g086600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDNKEFKINWKRVSTTSLFGLGFVGPVGHYWYEGLDRYIRLKLMLKPNSFRFVATKVAVDGFIFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVVNFRFIPVRYQLLYVNFFCLLDSCFLSWVEQQQDAPWKQWLKSLLPLK >Vigun04g160000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:38228051:38229071:1 gene:Vigun04g160000.v1.2 transcript:Vigun04g160000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFLFLFFHLHQTLSLPFSDYNALISIRSAITDDATPPVLSSWNASTSHCSWFSVTCDAHRHVVALNLTGLNLSGTLSADIAHLSFLSNLSLVDNKFSGPIPLALSSLSALRLLKLSNNGFNQTFPPELSRLQSLEVLDLYNNNMANTLPLAVAQMLNVSDSPKCLNLRIEPVVVGRASTHSGKPFHDNMILVSHSPFVYNACTSFAYNIILLQAMQNIINSEVQTLECSQLPCTSLTGLLQ >Vigun08g068000.2.v1.2 pep primary_assembly:ASM411807v1:8:10306784:10311091:-1 gene:Vigun08g068000.v1.2 transcript:Vigun08g068000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGRSHNPSSNSSPPPSPSPNSNSSSSSSSSSTSSSWTPLRSVLFVVSSSSPASSSRSPFHRGRLKSPWSQRRRKHALSSHQWKSLFTEDGKFYDGGNKFLKRVRSGGVDPSIRAEVWPFLLGVYDLGSNKAERDVIKTQNRKQYEKLRRQCRKLIKQSDERNKLNEVGEISFEGDGKRLSQDSSSASSDDAASARESLSSEDRSPDVEHSDDLSSALLEGEDVPNVNNADASTLDTDFSDSDSSEGPEVIQAVPSDDVKEQDNPDKASQEVSSATKAKTPSKAPSNEDFSTWQRIIRLDAVRANAEWMSCYPSQASVSDSRARRSAEAVGLKDYGHLEPGRIFHAARLVAILEAYALYDSDIGYCQGMSDLLSPIVSVISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDLVAKIIKFKDGHLFRHLEKLEAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNSMSGHLDVWKLLDDAHNLVVILHDKVETSFS >Vigun08g068000.1.v1.2 pep primary_assembly:ASM411807v1:8:10306784:10311091:-1 gene:Vigun08g068000.v1.2 transcript:Vigun08g068000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSNHLNTNTINSNSDTTFLSVGGGGKCKFWLMAAVASPSTPAVLFTALAGVAIVAVIFYGASRGRLKSPWSQRRRKHALSSHQWKSLFTEDGKFYDGGNKFLKRVRSGGVDPSIRAEVWPFLLGVYDLGSNKAERDVIKTQNRKQYEKLRRQCRKLIKQSDERNKLNEVGEISFEGDGKRLSQDSSSASSDDAASARESLSSEDRSPDVEHSDDLSSALLEGEDVPNVNNADASTLDTDFSDSDSSEGPEVIQAVPSDDVKEQDNPDKASQEVSSATKAKTPSKAPSNEDFSTWQRIIRLDAVRANAEWMSCYPSQASVSDSRARRSAEAVGLKDYGHLEPGRIFHAARLVAILEAYALYDSDIGYCQGMSDLLSPIVSVISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDLVAKIIKFKDGHLFRHLEKLEAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQRRKLIIEKYSSMDEIIKECNSMSGHLDVWKLLDDAHNLVVILHDKVETSFS >Vigun03g259600.1.v1.2 pep primary_assembly:ASM411807v1:3:42568182:42572131:-1 gene:Vigun03g259600.v1.2 transcript:Vigun03g259600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSVRATLDSKSYDKVADVCDNLMLQVAADGIAYQDDWPYAIHLLAHFYVHDINSARFLWKSIPSSIKESQPEVTAVWKIGQKLWLRDYAGVHEAIRGFDWSQDVQGLVAAFSELYTKEMFQLLLSSYSTISIKDTALFLGMNEDDATNYVLQQGWTVDPASQMLIVKKQPVVTEQKLDHSKLQRLTEYVFHLEH >Vigun03g259600.2.v1.2 pep primary_assembly:ASM411807v1:3:42571108:42572131:-1 gene:Vigun03g259600.v1.2 transcript:Vigun03g259600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSVRATLDSKSYDKVADVCDNLMLQVAADGIAYQDDWPYAIHLLAHFYVHDINSARFLWKSIPSSIKESQPEVTAVWKIGQKLWLRDYAGVHEAIRGFDWSQDVQGLVAAFSGKTLKACCTSLLTLSLRMSSKQSNCIYVNSVVFLRQKLS >Vigun01g201200.1.v1.2 pep primary_assembly:ASM411807v1:1:37754551:37757905:1 gene:Vigun01g201200.v1.2 transcript:Vigun01g201200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDFQKHAESGGQHLVKNSTGNDGNGRASETAKQGIQAVKIQPIEVPELQVDELKEITDGFGESSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRILTYEFASNGSLHDILHGRKGVKGAQPGPVLTWTQRVKIAVGAAKGLEYLHERADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARHGPAGETSN >Vigun01g201200.2.v1.2 pep primary_assembly:ASM411807v1:1:37754453:37757892:1 gene:Vigun01g201200.v1.2 transcript:Vigun01g201200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDFQKHAESGGQHLVKNSTGNDGNGRASETAKQGIQAVKIQPIEVPELQVDELKEITDGFGESSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRILTYEFASNGSLHDILHGRKGVKGAQPGPVLTWTQRVKIAVGAAKGLEYLHERADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARHGPAGETSN >Vigun01g201200.4.v1.2 pep primary_assembly:ASM411807v1:1:37754527:37757916:1 gene:Vigun01g201200.v1.2 transcript:Vigun01g201200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDFQKHAESGGQHLVKNSTGNDGNGRASETAKQGIQAVKIQPIEVPELQVDELKEITDGFGESSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRILTYEFASNGSLHDILHGRKGVKGAQPGPVLTWTQRVKIAVGAAKGLEYLHERADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARHGPAGETSN >Vigun01g201200.3.v1.2 pep primary_assembly:ASM411807v1:1:37754498:37757916:1 gene:Vigun01g201200.v1.2 transcript:Vigun01g201200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDFQKHAESGGQHLVKNSTGNDGNGRASETAKQGIQAVKIQPIEVPELQVDELKEITDGFGESSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRILTYEFASNGSLHDILHGRKGVKGAQPGPVLTWTQRVKIAVGAAKGLEYLHERADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARHGPAGETSN >Vigun01g201200.5.v1.2 pep primary_assembly:ASM411807v1:1:37754453:37757892:1 gene:Vigun01g201200.v1.2 transcript:Vigun01g201200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCEEDDFQKHAESGGQHLVKNSTGNDGNGRASETAKQGIQAVKIQPIEVPELQVDELKEITDGFGESSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCIDGNSRILTYEFASNGSLHDILHGRKGVKGAQPGPVLTWTQRVKIAVGAAKGLEYLHERADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARHGPAGETSN >Vigun06g003633.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:1736395:1737006:1 gene:Vigun06g003633.v1.2 transcript:Vigun06g003633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLKGEVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNIRGNKNLLRQSIDGFTFKTSSSPSANATDHNNNNHVEHSYDPRIRTSAISGSLVVNDGPIDLALVYANFLNQKPSSESRIESSNLDQIPTAFNPSLENNSRLSNTDVIGYFNLPEQTSTPGLYIEFIYCHYSFSDLNQ >Vigun03g011200.1.v1.2 pep primary_assembly:ASM411807v1:3:751779:754814:-1 gene:Vigun03g011200.v1.2 transcript:Vigun03g011200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLRDLQRELENKANDLSKLQKEIAKNHQMRKKYTIQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDATVQDLEEKQNSKKDTILKLQQRIQSLQSGKAKA >Vigun04g203700.1.v1.2 pep primary_assembly:ASM411807v1:4:42630593:42643669:-1 gene:Vigun04g203700.v1.2 transcript:Vigun04g203700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVRVARNLFSSSFYSHLLKDRAVAQPATKTIQNAVAQSLSVVRNNITQQIKSKLRSEKQLFFTSSASLFKGYNFRNRRLPVKKAREAYATQNTAVELALNSVVKVFTVSCSPNYLLPWQNKSQRETMGSGFVILGRKILTNAHVVADHTFVLVRKHGCPTKYRAEVKAVGHECDLAILTIENEEFWDGMNPLELGDVPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMSNKVAGVAFQNLSGAENIGYIIPVPVIKHFISGVEENGKYIGFCSLGLSCQTTENVHLRNHFSMRPDMTGVIVSKINPLSDAYKILKKDDIILSFDGVLLANDGTVPFRNRERITFDHLVSMKKPNEKAVVRVLRDGQEHDLSIILQPIQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNSSPRRLCERALRELPKKANQQLVILSQVLMDDINAGYERLAELQVLKVNGTEIDNLKHLRQLVQSCNAEFLRIDLDDDRVIVLNYEMAKAATSSILKRHRIPSSVSIDHLDTE >Vigun04g203700.2.v1.2 pep primary_assembly:ASM411807v1:4:42630593:42643085:-1 gene:Vigun04g203700.v1.2 transcript:Vigun04g203700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVRVARNLFSSSFYSHLLKDRAVAQPATKTIQNAVAQSLSVVRNNITQQIKSKLRSEKQLFFTSSASLFKGYNFRNRRLPVKKAREAYATQNTAVELALNSVVKVFTVSCSPNYLLPWQNKSQRETMGSGFVILGRKILTNAHVVADHTFVLVRKHGCPTKYRAEVKAVGHECDLAILTIENEEFWDGMNPLELGDVPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMSNKVAGVAFQNLSGAENIGYIIPVPVIKHFISGVEENGKYIGFCSLGLSCQTTENVHLRNHFSMRPDMTGVIVSKINPLSDAYKILKKDDIILSFDGVLLANDGTVPFRNRERITFDHLVSMKKPNEKAVVRVLRDGQEHDLSIILQPIQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNSSPRRLCERALRELPKKANQQLVILSQVLMDDINAGYERLAELQVLKVNGTEIDNLKHLRQLVQSCNAEFLRIDLDDDRVIVLNYEMAKAATSSILKRHRIPSSVSIDHLDTE >Vigun01g094800.1.v1.2 pep primary_assembly:ASM411807v1:1:25866482:25868536:1 gene:Vigun01g094800.v1.2 transcript:Vigun01g094800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASSPKWLTNCVQPLISILCCVLFLIFDLLDAVFCVIYGYLDKLIEGEASPCCCSNWERQKRRMNVRDDDISDSLYERRNIFREMGFLRYQRKREDSNRNFDKGSSRSVNRWSDCGCDSCLSWVNGGSDYKLHFVAKEPLLVTGENFKGKPSENVIFLHGFLCSSSFWTETLFPNFSEKTNHEYRLIAVDLLGFGKSPKPRDCSYTLKDHVEMIEKSVILPLEMSSFHLVAHSMGCIIALALAAKYPKNVKSITLVAPPYTSSEGDACLNALSMLAGKKLWSPLSFGSSFMSWYEHLGRTVCLFYCRNHRIWENILKFITRKRDLHFLTTDMTRHTHHSAWSSMHNVLCGGAKFVDSYLIILTTVGVRINVIQGEKDEVVPMECCSKFKLKAPNAEISIIPNADHGTVIFGREKEFALSLEHTWESCC >Vigun01g094800.2.v1.2 pep primary_assembly:ASM411807v1:1:25866482:25868537:1 gene:Vigun01g094800.v1.2 transcript:Vigun01g094800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTISFILLRRSPCWVVTGENFKGKPSENVIFLHGFLCSSSFWTETLFPNFSEKTNHEYRLIAVDLLGFGKSPKPRDCSYTLKDHVEMIEKSVILPLEMSSFHLVAHSMGCIIALALAAKYPKNVKSITLVAPPYTSSEGDACLNALSMLAGKKLWSPLSFGSSFMSWYEHLGRTVCLFYCRNHRIWENILKFITRKRDLHFLTTDMTRHTHHSAWSSMHNVLCGGAKFVDSYLIILTTVGVRINVIQGEKDEVVPMECCSKFKLKAPNAEISIIPNADHGTVIFGREKEFALSLEHTWESCC >Vigun10g124600.2.v1.2 pep primary_assembly:ASM411807v1:10:33293361:33295627:1 gene:Vigun10g124600.v1.2 transcript:Vigun10g124600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGILGVVIEDSDLISTVILNRGVVPNEEGTKALTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSALGQLESFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGFLTVEEGAASPVKLALLPNGSPSGLFYFRADVASF >Vigun10g124600.4.v1.2 pep primary_assembly:ASM411807v1:10:33293357:33295633:1 gene:Vigun10g124600.v1.2 transcript:Vigun10g124600.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGILGVVIEDSDLISTVILNRGVVPNEEGTKALTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSALGQLESFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGFLTVEEGAASPVKLALLPNGSPSGLFYFRADVASF >Vigun10g124600.3.v1.2 pep primary_assembly:ASM411807v1:10:33293414:33295592:1 gene:Vigun10g124600.v1.2 transcript:Vigun10g124600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATQRYAVVTGANKGIGLEIVRQLASEGIKVVLTARNEERGLQALQKLKASGLSHLVLFHQLDVADAASVTSLADFIKSKFGKLDILVNNAGILGVVIEDSDLISTVILNRGVVPNEEGTKALTQTYELAEECLQINYYGTKITVESLMPLLQLSDSPTIVNVSSALGQLESFPKESWARGVLSDADNLTEEKIDEIVKKFLSDFKEGSLESKGWPRYLGAYIVSKAAMNGYTRILAKKNPSLCINSVCPGYVKTDITSNTGFLTVEEGAASPVKLALLPNGSPSGLFYFRADVASF >Vigun05g058200.1.v1.2 pep primary_assembly:ASM411807v1:5:4976236:4985784:-1 gene:Vigun05g058200.v1.2 transcript:Vigun05g058200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENTLHRATSSSSDISVSLDTDATSAPASAGPLHRNSSYTERNTPAPTPAPAPVNGDNDLTRVRSKGSSVAALARFLDAKVPFREKVQWVKRASVLKADGTVEIKVPGVGSSVHEHHVKCDEPHEEFWDAIHNQDIRPIKPLQIVMLIVGTRGDVQPFIAMGKRLQEDGHRVRLATHKNFEDFVLNAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIHIQRNQIKDIINSLLPACHSRYPEYNATFKAEAIIANPPAYGHTHVAEYLKVPLHVFFTMPWTPTTEFPHPLSRVKQPIGYRLSYQIVDALIWLGIRDLINEFRKKKLKLKPVTYLSGSYTHPFDVPHGYMWSPHLVPKPKDWGPKIDVVGFCFLDLASTFDPPKSLVDWLEEGEKPIYVGFGSLPLQEPEKMTKIIIQALEKTGQRGVINRGWGGLGSLAERKKSLYFLDNCPHDWLFPRCTAVIHHGGAGTTAAGLRAECPTTIVPFFGDQPFWGERVHARGVGPAPIPVDEFTLDRLVDAINFMLKPEVKGRAVELANSMKNEDGVLGAVKAFYKHYPDQKSKCEEAKALAAVTKPKPIHKYFSLRGCFGGSTSSVDTHRP >Vigun10g124000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:33224674:33226339:1 gene:Vigun10g124000.v1.2 transcript:Vigun10g124000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFIALHGLHGSLLKSQFLGQENLTHLYPRKRVSNIHTKPVTTQPCAKFDLLQSLGGRGLCNGEEGLKQELKKQVGADENTPSAAASEKEQEALASVAAEDGFEKELMGLTGGFPGGEKGLKKFIQENPPPKPVQGSKSLKVALSEKPKPPELPLLLPGMIAIVKNPNNPFYMYCGIVQRITDGKAGVLFEGGNWDKLITFRLEELERREKGPPMKNPKSAVLEPFLEKKS >Vigun07g178150.1.v1.2 pep primary_assembly:ASM411807v1:7:29442154:29444953:1 gene:Vigun07g178150.v1.2 transcript:Vigun07g178150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVARQIGFKDASEVPVLCILAQDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKFKKIILAAMRKQRFERENKNIQVTRRLSTSTEDMKAKNGDMDEVIPSERSPILLLIGGGMGARKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMIDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIIRKAIITRSAVNINSQLKSHKMFANAFPRYYNLVDYARLYCTNAVGRSPNIIRSMFENEDREEASIKNYKCLKVLADLNAEADSVYELHKEPNPIMEPNSLWRFEGVH >Vigun05g302400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:48518366:48519456:-1 gene:Vigun05g302400.v1.2 transcript:Vigun05g302400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVTMPDVTMSDSNTHDQGEDPYKFIFDHSRISASQEEYLRDLCVRARGHHSPSTSVDSPVVPLDVFHTPPENTSPPSFCDQPTAATECDHPCTVNHAVDVDAATQGFIYLSEGLNLGFSGVQPSQGTNVVGESSVKTSKFSEGDMGSEKEPCTPEVVDSFRGLLEFTQEEEKDLEDFTLLEVTQASEMVFPRPNWWPEGFDGLEKPPCSDDVYTALLKIKTEKEKNFEDLNLLEVLEVAKACGMVFTPPRWWPGRL >Vigun07g162600.1.v1.2 pep primary_assembly:ASM411807v1:7:27382053:27383352:1 gene:Vigun07g162600.v1.2 transcript:Vigun07g162600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVLITEEEDEVVVAPTNFSMVEEGIFRSSFPRSSNFSFLETLNLRSVVYLCPEPYPQQNLQFLQSQNIKFFHFGIEGKTDLSVPAIKHLILEAVKVLIDVRNHPVLVHCNQGKHRTGCVVGCLRKLQSWCLSSVFEEYKKFAGAKSRTTELSFIETVDLLNLRKCLNSIIYQYLGHSSKKRKLLYRDDNLLKFHFTSV >Vigun03g312000.1.v1.2 pep primary_assembly:ASM411807v1:3:50486041:50490638:-1 gene:Vigun03g312000.v1.2 transcript:Vigun03g312000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNQEAVETFVSITGLPEAVALQKLEEHGGNLNEAVNAHFSEGDRNLTTGSHNSSAAFPQDDFMDIDDQHDADVQRIPSLPALAANLNPFSLLDPTIGRGIFGTPLDSTVQAPFVTHPREVREIPIEVKDGNQSSTQSGHPSIEDVTGTVDADGPDIHGTVIIDNDDDDTPPAQSAHRDEQNHKILADTSLNSSARPSAPESENLPDYNNEIEEEMLRAAIEASKREAEENYRSHKLGRQTDSTESGSQSRQSYLEDPELAHAVSLSLKTAEQEKAWRVQGGDSESPPAGPSKSSEAGLGEVASNGRLQAGSLSFHDEAEDVEEQPLVRNRSRHISSGSTGSVKDVEFAEASTLPSTEPQETSNPPHNRNSFPSDEWGGISSEEHDEAVMLEAAMFGGIPEGTGYQYAYAPHEFMHGRGSNPRPSYPSYRPPSPTLAAQRLIREQQDDEYLASLRADREKELKAREEAEAALEEERRRAEETRRKLQEEQELETQLAAKEVSLPPEPSSDDDNAVNLLVKMPDGNRRGRRFLRSNRLQSLFDFIDIGRVVKPGSYRLVRPYPRRAFSDEESAATLAELGLTNKQEALFLELI >Vigun03g442100.1.v1.2 pep primary_assembly:ASM411807v1:3:64461993:64466017:-1 gene:Vigun03g442100.v1.2 transcript:Vigun03g442100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESISSFWGPVTSTKECCEMNYAYSSYIAEFYNTISNIPTILLALIGLINALRQRFEKRFSVLHVSNMTLAFGSMLYHATLQRVQQQSDETPMVWEVLLYMYILYSPDWHYRSTMPIFLFVYGALFAAAHSVFHFGIGFKVHYIILILLCVPRMYKYYIHTQDASAKRLAKLFLVTFVFGSLFGFCDRIFCKEISSWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVLHLMGVLPYVKIEKPKSQ >Vigun05g110000.1.v1.2 pep primary_assembly:ASM411807v1:5:11377913:11380901:-1 gene:Vigun05g110000.v1.2 transcript:Vigun05g110000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLAFWLACAFSLLASSLASAVIVEHTFRIQNTTIKRFCKEQVIVTVNGLFPGPTINVREGDTVIVHVLNEGPYDITLHWHGVFQRFSIWADGPEYVTQCPIPPKGKYTHKFNVTQQEGTLWWHAHATYLRATVHGAFIIQPRSGQFPFPKPYKQVPIILGDLYNSNVEDITAEAQVSGGGPNASYAFTINGLTSDLLNCTEDETFKMKVKQGRTYMLRMINAALNNDLFFKIANHNFTVVAVDASYTERYDTDIIVIAPGQSADVLFTANQPNGSYYMVASPYVVNLPDFDTNIARGTVIYDNALPSSEPLMPILPPFNDTDTAFKFYTNVTSKVGAPHWVPVPLKVDEHMLITIGFSLELCDSKNASNKTCAGEFGDRYSASMNNESFAIPAGIKMSMLEAFYNNVSGVYTTDFPDKPTLNFDFTNLDNAYNKSLLYAPKSTKAKKLNFNSTVEIVFQNTAFLEGQNHPMHLHGYDFHVLAQGFGNFNNDTDRAKFNLVNPQLRNTVGVPLGGWAVIRFQADNPGVWLMHCHMEDHVPWGLAMTFEVGNGPTPSTSVPPPPTDLPKC >Vigun03g133600.1.v1.2 pep primary_assembly:ASM411807v1:3:13095228:13101529:-1 gene:Vigun03g133600.v1.2 transcript:Vigun03g133600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKKRVPSLICFYILFWTILVFHLVLWVSGNAEGDALTAFKKNMIDPTGALDNWNPNILTPCTWFHIVCNDEKSVTHLYLGNANLSGQLVPELGQLPNLEYLELYNNNITGEIPNVLGNLTNLLSLDLYSNNITGQIPEELANLKKLESLRLNKNSLSGKIPMGLTTIDTLIVLDLSSNNLTGNVPANGSFSSFTPISFSDNLFLVQAIPISPPPAQKQNPSGNSFRSIGVIAGGVAVGAALLFAAPVIVFVYWKRRKPPDDFFDVAAEEDPEVNFGQLKRFSLHELLVATDGFNNKNILGKGGFGKVYKGRLTNGDLVAVKRLNQERIRSEEKQFQTEVEMISMAVHRNLLRLIGFCMTPTERLLVYPFMVNGSVDSCLRDRPESQPPLQWPVRKRIALGAAKGLAYLHDHCNPKIIHRDIKAANILLDEDFEAVVGDFGLAKLMDYRNTHVTTAVRGTLGHIPPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFNLARLAINDEVMLLEWVKALLKEQKLETLVDADLQGDYDEGELEKLIQIALLCTQSSPLDRPKMSEVVRMLEGQGLEEKWDEWGKKEDMIQKNYNPFNLYIAYDSTSNIAPDELSGPR >Vigun05g256100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:45050636:45051409:1 gene:Vigun05g256100.v1.2 transcript:Vigun05g256100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLLCFHSLFRQVVSTLPSRRLLNAVTWTLLLIVTVTVASVAPGVAFLLAISPPSSFSSKQPCNGVGGEFVRIPLDFPTEIVCLPQHAVMSRSDLDFFLPTLFAALVVGASTCLLRSVACA >Vigun02g098400.1.v1.2 pep primary_assembly:ASM411807v1:2:25390151:25390904:-1 gene:Vigun02g098400.v1.2 transcript:Vigun02g098400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCHSCSSCTCNGWGKKNPSLSSRGVGGSRSLGSQPLCYCGEKSVLRTAKTVKNRGKQFWGCSKYKSGNEDGGCNYFKWWTEDGIEQIGNSENCEGRGEIMVKTEESDGDRKTIIKLEKSVAIFEKWMKVLIGMVIFVCVVNVIVLSVLIKNA >Vigun01g209400.1.v1.2 pep primary_assembly:ASM411807v1:1:38466979:38471631:1 gene:Vigun01g209400.v1.2 transcript:Vigun01g209400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVYRLKPLLPCVEDVVRVATTSDMRLGTTTPNCYLQLEAPEPDVTESDMTDRMVKIQIANHPLYPDLLSAYIECRKVGAPPELACLLEEIGRESHRMNARREKGDGPELDQFMEMYCEVLHRYKEELSRPFNEATLFLGNMESQLSNLCNNGTLMKSTDNNRSVGGGASEEELSCGEMEEVEDHISSLSCPGDQNLKEMLLRKYSGHFSGLRKEFLKRRKKGKLPKDAKMALMDWWNTHHRWPYPTEEEKVKLSEVTGLDQKQINNWFINQRKRHWKPTEDMRFAVMDGLSGGAGGAMFF >Vigun01g209400.3.v1.2 pep primary_assembly:ASM411807v1:1:38466979:38470579:1 gene:Vigun01g209400.v1.2 transcript:Vigun01g209400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVYRLKPLLPCVEDVVRVATTSDMRLGTTTPNCYLQLEAPEPDVTESDMTDRMVKIQIANHPLYPDLLSAYIECRKVGAPPELACLLEEIGRESHRMNARREKGDGPELDQFMEMYCEVLHRYKEELSRPFNEATLFLGNMESQLSNLCNNGTLMKSTDNNRSGGGASEEELSCGEMEEVEDHISSLSCPGDQNLKEMLLRKYSGHFSGLRKEFLKRRKKGKLPKDAKMALMDWWNTHHRWPYPTVIATIFTFNDSKFMCSSPNC >Vigun01g209400.2.v1.2 pep primary_assembly:ASM411807v1:1:38466979:38471631:1 gene:Vigun01g209400.v1.2 transcript:Vigun01g209400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVYRLKPLLPCVEDVVRVATTSDMRLGTTTPNCYLQLEAPEPDVTESDMTDRMVKIQIANHPLYPDLLSAYIECRKVGAPPELACLLEEIGRESHRMNARREKGDGPELDQFMEMYCEVLHRYKEELSRPFNEATLFLGNMESQLSNLCNNGTLMKSTDNNRSGGGASEEELSCGEMEEVEDHISSLSCPGDQNLKEMLLRKYSGHFSGLRKEFLKRRKKGKLPKDAKMALMDWWNTHHRWPYPTEEEKVKLSEVTGLDQKQINNWFINQRKRHWKPTEDMRFAVMDGLSGGAGGAMFF >Vigun04g022100.1.v1.2 pep primary_assembly:ASM411807v1:4:1681979:1684046:-1 gene:Vigun04g022100.v1.2 transcript:Vigun04g022100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCGYQQKKTLTTCEEMRMESVVCPKPRRLGLMNHSTFDSHIRAFRPPFINYQSEIEDSGVGAELMDIILPKRSGGQLASSPPFYCGSPPSRASNPVIQDEQFGNGIENFGAFSLAPASPSSSARGCVRMKFGHTPATVRIEGFDCLSRDRRNCSISAVA >Vigun03g201140.1.v1.2 pep primary_assembly:ASM411807v1:3:30464874:30465996:-1 gene:Vigun03g201140.v1.2 transcript:Vigun03g201140.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDLVETVRLSSPFTFYIGESSLISGGDDYSGGGSGVSGDGGGSGGADYGSGGGGCGFRGVDGGGVGRGGGCFSGGGSGIGVGEGGGGDGRGGGGGGDCDRYGGGVGGEDSSHGVVRVVMVVQVGSGSSVMVVVVVVVVVAMVVVGMVVVVVWVVVVFCGGRGGGRVVLVVVVVVAVVEVVVRVVVVVVVVVVLVVVVGGSLGGGGGGGGCGGGSGGGGIGAGGGSSGGGGCGFGGGGGCCGGVGGGGGDCECGGGAGSGGAGSSSGFRSVHGSRFKIEGLGFLV >Vigun09g172410.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34254344:34254778:1 gene:Vigun09g172410.v1.2 transcript:Vigun09g172410.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFDFSGVEFWVTGFWFRVSWFGFEVWGFGFRLSAFEFRIKSYGFRDSCFGCRISAFWFRVSDFGFRVSGFKIWVLCFDFGVSSLGFRVSNFELQVSSLGFRMSVFNFGFSGFRFWVTSFGLPISGFGFRGSGLGFRVFEFGI >Vigun04g119832.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:30742464:30743345:1 gene:Vigun04g119832.v1.2 transcript:Vigun04g119832.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLNYYTPEYETKDTDILAAFRVTPQPGVPPEEAGVAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEENQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAEIGEIKGHYLNATAGTCEEIMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIH >Vigun02g006300.1.v1.2 pep primary_assembly:ASM411807v1:2:2765689:2766639:-1 gene:Vigun02g006300.v1.2 transcript:Vigun02g006300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLFVCELRVGGNKYGERLTCDGFLMGMENRVIHEQARNEEEKENVYGGYKWMKCEGIGVSQLHNEKSNI >Vigun01g094000.1.v1.2 pep primary_assembly:ASM411807v1:1:25702434:25706562:1 gene:Vigun01g094000.v1.2 transcript:Vigun01g094000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTEKDPGIRLFGRKIPVPECQIPTNSGPTDACSSIKKAAELEIPSECGENSEKQENSSDSRDSKQEFQHKVQENEAVVNPKPVEDNTENGGSDQDKVLKKPDKILQCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRHIIVSSNGIPASRLESEDTSGFQHVTSLESSVPFRSSADSSTVLKFGPDTPLCESMDSMLNLRDERRCVDASSINRVEYAGEPSLCGSSVTNNGNELSEHKTSNWLQCYPVPPWVLTYPGWNNVSSMEAVHQSSAPMCSPYNTGPAPMQWGPTAMVAIPGMCPSSIPLQLVPPSCWSGTTLWNAGTGAMSIGSNACLSPSSSTSNSCCSGNGSPTLGKHCRDTVFADEEKSDKCVLVPKTIRTDASNEASKSPMRAALTIKPDQHNSLSNGDVLKKIDPKEGKDRVLGASQILEANPAAISRAHAFQESI >Vigun01g094000.3.v1.2 pep primary_assembly:ASM411807v1:1:25702434:25706562:1 gene:Vigun01g094000.v1.2 transcript:Vigun01g094000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTEKDPGIRLFGRKIPVPECQIPTNSGPTDACSSIKKAAELEIPSECGENSEKQENSSDSRDSKQEFQHKVQENEAVVNPKPVEDNTENGGSDQDKVLKKPDKILQCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRHIIVSSNGIPASRLESEDTSGFQHVTSLESSVPFRSSADSSTVLKFGPDTPLCESMDSMLNLRDERRCVDASSINRVEYAGEPSLCGSSVTNNGNELSEHKTSNWLQCYPVPPWVLTYPGWNNVSSMEAVHQSSAPMCSPYNTGPAPMQWGPTAMVAIPGMCPSSIPLQLVPPSCWSGTTLWNAGTGAMSIGSNACLSPSSSTSNSCCSGNGSPTLGKHCRDTVFADEEKSDKCVLVPKTIRTDASNEASKSPMRAALTIKPDQHNSLSNGDVLKKIDPKEGKDRVLGASQILEANPAAISRAHAFQESI >Vigun09g028100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2315496:2320985:-1 gene:Vigun09g028100.v1.2 transcript:Vigun09g028100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHPRPLSLQTLLKRGFTPTPKPINRFLLFLFHLQKFNLITHFFSQLQTNSTPTNPRTLSLFTWALLKSHKFEQAEQFMHTHLKITHPFMWDTLIQGLCAQRHDPEKALSVLRRCVRDRAVVPSSFTFCFIVHELSSKGLMGMAVEVLELMAEDGVRYPFDDFVCSSVISGFCRVGKPELGVDFFKRVTDFGGFRPNVVTCTALVGALCKMGRVGEVCDLVQWMEKEGLGLDVVLYSAWACGYVEERILVEVLRRMREMEEKGIDHDCVSYTVLIDGFSKLGDVEKSFTFLAKMIKEGHRPNKVTYSAIMSAYCKKGKVEEAFAVFEIMKELGIEMDEYVYVILIDGFGRRGDFNKVFSLFDEMERSEISPSVIAYNAVMNGLSKHGRTVEADELSKNVAADVITYSTLLHGYTEEENIPGILQTKKRIEEAGIAMDVVMCNVLIKALFMMGAFEDVYALYRGMSEMDLVPNSVTYCTMIDGYCKIRRLDEALEVFDEFRKTSILSQACYNSIINGLCKNGMAEMAIDAFLELHNSGLELNIGTFRMLMNTIFEENNTKEALDFVYRMDGLGPDIYSAVCNDSIFLLCRRGLLDDANHMYMMLKKRGQPVTGKSYYSILRGYLSNGNREKILPLLNSFLKEYGLVEPMVQSILACYLCLKDVNRALQYLGKMVDNSVADIFPASILKILIKEGRSLDAYKLVTETQDNLPVTYVDYAIVIDGLCKGGYLNKALDLCAFLERKGMNLNIVIYNSIINGLCHEGCLIEAFRLLDSLEKLNLVPSEITYATVVYALCREGFLIDAEHIFRKMVLKGFQPKVQVYNSLLDGFSKLGRLEKAFELLIDMETKYIEPDSLTISAAINCYCQKGDMQGALEFYYKFKIKSVSPDFFGFLYLIRGLCSKGRMEEARSVLREMLQSKHVAELINIVNKEVDSESISDFLATLCEQGRVQEAVTVLNEIACILFPVQKLSTYKRQKIYEWKDFGSKCSSILPSSCRSGWNLGSYDDKDVNNLATNNNDCMTRSQIHGFDFYYSRIAALCAKGELQKANQSAKEMLSDLTESMN >Vigun09g028100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:2316351:2321007:-1 gene:Vigun09g028100.v1.2 transcript:Vigun09g028100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHPRPLSLQTLLKRGFTPTPKPINRFLLFLFHLQKFNLITHFFSQLQTNSTPTNPRTLSLFTWALLKSHKFEQAEQFMHTHLKITHPFMWDTLIQGLCAQRHDPEKALSVLRRCVRDRAVVPSSFTFCFIVHELSSKGLMGMAVEVLELMAEDGVRYPFDDFVCSSVISGFCRVGKPELGVDFFKRVTDFGGFRPNVVTCTALVGALCKMGRVGEVCDLVQWMEKEGLGLDVVLYSAWACGYVEERILVEVLRRMREMEEKGIDHDCVSYTVLIDGFSKLGDVEKSFTFLAKMIKEGHRPNKVTYSAIMSAYCKKGKVEEAFAVFEIMKELGIEMDEYVYVILIDGFGRRGDFNKVFSLFDEMERSEISPSVIAYNAVMNGLSKHGRTVEADELSKNVAADVITYSTLLHGYTEEENIPGILQTKKRIEEAGIAMDVVMCNVLIKALFMMGAFEDVYALYRGMSEMDLVPNSVTYCTMIDGYCKIRRLDEALEVFDEFRKTSILSQACYNSIINGLCKNGMAEMAIDAFLELHNSGLELNIGTFRMLMNTIFEENNTKEALDFVYRMDGLGPDIYSAVCNDSIFLLCRRGLLDDANHMYMMLKKRGQPVTGKSYYSILRGYLSNGNREKILPLLNSFLKEYGLVEPMVQSILACYLCLKDVNRALQYLGKMVDNSVADIFPASILKILIKEGRSLDAYKLVTETQDNLPVTYVDYAIVIDGLCKGGYLNKALDLCAFLERKGMNLNIVIYNSIINGLCHEGCLIEAFRLLDSLEKLNLVPSEITYATVVYALCREGFLIDAEHIFRKMVLKGFQPKVQVYNSLLDGFSKLGRLEKAFELLIDMETKYIEPDSLTISAAINCYCQKGDMQGALEFYYKFKIKSVSPDFFGFLYLIRGLCSKGRMEEARSVLREMLQSKHVAELINIVNKEVDSESISDFLATLCEQGRVQEAVTVLNEIACILFPVQKLSTYKRQKIYEWKDFGSKCSSILPSSCRSGWNLGSYDDKDVNNLATNNNDCMTRSQIHGFDFYYSRIAALCAKGELQKANQSAKEMLSDLTESMN >Vigun03g262000.1.v1.2 pep primary_assembly:ASM411807v1:3:42915700:42920463:-1 gene:Vigun03g262000.v1.2 transcript:Vigun03g262000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEVHPPWVPEDDLLLKNAVEAGASLESLARGAVRFSRRYSFRELQDRWLSLLYDGDVSTKAALAMRNLELAKSAAAGGEGSGGGGGGEKRKFQSSAGVGKKMKFQSVRKQYCSMQKKLRRHRSGVMSSEVKNGVGCEGKENVVVDGNLNSDVVNSSVVGYGNCLGSEGVEVGQLGDLVSDVPLWKTIEDVSLPDLPVHVSVEGKSCVSEGGVDLKDECGNGGLKLNAPDAKSLSNLANEDELLFMNVEGKEVMAMDKNKDKPRYDNVDSLLLSSSADVPVLQKLDVEAKLSVPGGCVSDGQGIAANPLGASCGDQHFVSDPRNNAALSAVAQSPHSEHSEGFMICVLNTEHPDIPCNVNLDVSVVIPELAPLKSQPVVKEAGFSESAISNQRRNGPDGSLKKEAVLSQSFASKNSSYKPVVFGLKSENPGRNAITAVSRQSNNVDVNSSHGRSVRATVMPALEGHLKQEENFTEGVSHKQDIDAPAAAHVKAEEHKALSKSEAKSLSLDQEGGDIEDDGEDDYDDYYGDELPYFSDVETMILEMDLSPMDEDPNARREVLRYQHEDSRKTIMRLEQGAQSSMGRAIRSHGALAVVYGRILKEYIRKSKVVLGRATNDVHVDIDLGKEGEEVATKISRRQALIKLDADGSFIIKNLGKRSIFLNGKEIATGQARGLGASSVIEIRGISLIFETNNRCVKRFLENVNGKI >Vigun03g262000.3.v1.2 pep primary_assembly:ASM411807v1:3:42915700:42920463:-1 gene:Vigun03g262000.v1.2 transcript:Vigun03g262000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEVHPPWVPEDDLLLKNAVEAGASLESLARGAVRFSRRYSFRELQDRWLSLLYDGDVSTKAALAMRNLELAKSAAAGGEGSGGGGGGEKRKFQSSAGVGKKMKFQSVRKQYCSMQKKLRRHRSGVMSSEVKNGVGCEGKENVVVDGNLNSDVVNSSVVGYGNCLGSEGVEVGQLGDLVSDVPLWKTIEDVSLPDLPVHVSVEGKSCVSEGGVDLKDECGNGGLKLNAPDAKSLSNLANEDELLFMNVEGKEKLDVEAKLSVPGGCVSDGQGIAANPLGASCGDQHFVSDPRNNAALSAVAQSPHSEHSEGFMICVLNTEHPDIPCNVNLDVSVVIPELAPLKSQPVVKEAGFSESAISNQRRNGPDGSLKKEAVLSQSFASKNSSYKPVVFGLKSENPGRNAITAVSRQSNNVDVNSSHGRSVRATVMPALEGHLKQEENFTEGVSHKQDIDAPAAAHVKAEEHKALSKSEAKSLSLDQEGGDIEDDGEDDYDDYYGDELPYFSDVETMILEMDLSPMDEDPNARREVLRYQHEDSRKTIMRLEQGAQSSMGRAIRSHGALAVVYGRILKEYIRKSKVVLGRATNDVHVDIDLGKEGEEVATKISRRQALIKLDADGSFIIKNLGKRSIFLNGKEIATGQARGLGASSVIEIRGISLIFETNNRCVKRFLENVNGKI >Vigun03g262000.4.v1.2 pep primary_assembly:ASM411807v1:3:42915700:42920463:-1 gene:Vigun03g262000.v1.2 transcript:Vigun03g262000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEVHPPWVPEDDLLLKNAVEAGASLESLARGAVRFSRRYSFRELQDRWLSLLYDGDVSTKAALAMRNLELAKSAAAGGEGSGGGGGGEKRKFQSSAGVGKKMKFQSVRKQYCSMQKKLRRHRSGVMSSEVKNGVGCEGKENVVVDGNLNSDVVNSSVVGYGNCLGSEGVEVGQLGDLVSDVPLWKTIEDVSLPDLPVHVSVEGKSCVSEGGVDLKDECGNGGLKLNAPDAKSLSNLANEDELLFMNVEGKEKLDVEAKLSVPGGCVSDGQGIAANPLGASCGDQHFVSDPRNNAALSAVAQSPHSEHSEGFMICVLNTEHPDIPCNVNLDVSVVIPELAPLKSQPVVKEAGFSESAISNQRRNGPDGSLKKEAVLSQSFASKNSSYKPVVFGLKSENPGRNAITAVSRQSNNVDVNSSHGRSVRATVMPALEGHLKQEDIDAPAAAHVKAEEHKALSKSEAKSLSLDQEGGDIEDDGEDDYDDYYGDELPYFSDVETMILEMDLSPMDEDPNARREVLRYQHEDSRKTIMRLEQGAQSSMGRAIRSHGALAVVYGRILKEYIRKSKVVLGRATNDVHVDIDLGKEGEEVATKISRRQALIKLDADGSFIIKNLGKRSIFLNGKEIATGQARGLGASSVIEIRGISLIFETNNRCVKRFLENVNGKI >Vigun03g262000.2.v1.2 pep primary_assembly:ASM411807v1:3:42915700:42920463:-1 gene:Vigun03g262000.v1.2 transcript:Vigun03g262000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEVHPPWVPEDDLLLKNAVEAGASLESLARGAVRFSRRYSFRELQDRWLSLLYDGDVSTKAALAMRNLELAKSAAAGGEGSGGGGGGEKRKFQSSAGVGKKMKFQSVRKQYCSMQKKLRRHRSGVMSSEVKNGVGCEGKENVVVDGNLNSDVVNSSVVGYGNCLGSEGVEVGQLGDLVSDVPLWKTIEDVSLPDLPVHVSVEGKSCVSEGGVDLKDECGNGGLKLNAPDAKSLSNLANEDELLFMNVEGKEVMAMDKNKDKPRYDNVDSLLLSSSADVPVLQKLDVEAKLSVPGGCVSDGQGIAANPLGASCGDQHFVSDPRNNAALSAVAQSPHSEHSEGFMICVLNTEHPDIPCNVNLDVSVVIPELAPLKSQPVVKEAGFSESAISNQRRNGPDGSLKKEAVLSQSFASKNSSYKPVVFGLKSENPGRNAITAVSRQSNNVDVNSSHGRSVRATVMPALEGHLKQEDIDAPAAAHVKAEEHKALSKSEAKSLSLDQEGGDIEDDGEDDYDDYYGDELPYFSDVETMILEMDLSPMDEDPNARREVLRYQHEDSRKTIMRLEQGAQSSMGRAIRSHGALAVVYGRILKEYIRKSKVVLGRATNDVHVDIDLGKEGEEVATKISRRQALIKLDADGSFIIKNLGKRSIFLNGKEIATGQARGLGASSVIEIRGISLIFETNNRCVKRFLENVNGKI >Vigun07g228900.1.v1.2 pep primary_assembly:ASM411807v1:7:35068141:35071575:1 gene:Vigun07g228900.v1.2 transcript:Vigun07g228900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDLESSGGRTKNSRTEENYTAHESSNLYEADTHWTSWLVPMFVVANIAVFVIAMYINNCPKNNNLQGGCVAKFLGRFSFQPMQENPLLGPSSSTLTKMGALRWDNVVNRHQGWRLVTCIWLHAGIIHLLANMLSLVFIGIRLEQQFGFVRIGVIYLVSGFGGSVLSSLFIRDHTSVGASGALFGLLGAMLSELITNWTIYSNKAMALLTLLVIIVINLGIGILPHVDNFAHIGGLLVGFLLGFILLPRPQFGWLEQRRLPGGVRVKSKYKAYQYVLWVVSLVLLTAGLTIALVMLFRGEKGYDRCHWCRYLTCVPTSKWECSNGS >Vigun07g228900.2.v1.2 pep primary_assembly:ASM411807v1:7:35068141:35071389:1 gene:Vigun07g228900.v1.2 transcript:Vigun07g228900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDLESSGGRTKNSRTEENYTAHESSNLYEADTHWTSWLVPMFVVANIAVFVIAMYINNCPKNNNLQGGCVAKFLGRFSFQPMQENPLLGPSSSTLTKMGALRWDNVVNRHQGWRLVTCIWLHAGIIHLLANMLSLVFIGIRLEQQFGFVRIGVIYLVSGFGGSVLSSLFIRDHTSVGASGALFGLLGAMLSELITNWTIYSNKAMALLTLLVIIVINLGIGILPHVDNFAHIGGLLVGFLLGFILLPRPQFGWLEQRRLPGGVRVKSKYKAYQYVLWVVSLVLLTAGLTIALVMLFRGEKGYDRCHWCRYLTCVPTSKWECSNGS >Vigun07g188633.1.v1.2 pep primary_assembly:ASM411807v1:7:30588819:30589703:1 gene:Vigun07g188633.v1.2 transcript:Vigun07g188633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIMKRTMIWRGMGLWRGCQKWTWINASQFNFPGTQIGSWLKMQ >Vigun04g136500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34174958:34179161:1 gene:Vigun04g136500.v1.2 transcript:Vigun04g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYYLKLFYALLLLLLHAAGPILGLNNSAEIKCIERERQALLNFKHGLIDAYGMLSTWRDDENSTDCCKWKGIRCDHITGHVTILRLPGSNTQSQFLRGALNVTSLFALQNIQHLDLSYNYFIGSHIPQLMGSLTNLRYLNLYNSFFSGSIPIEIGSLTHLRTLDLGNNAFFCGKIPYQLGNLTRLRYLDLSHNFLDGELPYQLANLSQLRHLDLSHNSFSGALPFQVGNLPFLHTLRLPGNFDVKPKDAQWLSNLHSLTNLALYSLHNLDWLQTIIFPNLRELGLVDCSLSDAQIQYLFYSRPNFSTSLTILDLSSNILTLSTFQLLSNFSLNLQELYLSNNNIVLSSPIYSNFPSLVILDLSNNNVTSLVFQGSFNFSSKLQNLYLSNCGLRDDNFLISAISISNSSSSLGSLDLSSNLLKSSSIFYWLFNSTTNLRTLELSENMLEGPIPDGFGKVMNSLEVLYLFDNKLQGEISSFFGNICTLQELDLSKNKMSGNISNLFQNSSWCNKQVFRCLFLYDNQITGILPISIGLLSELEYLFLNGNCLEGDVTESHLSSFSKLVFLELQHNSLSLKIGPTWVPPFQLMSLRLGSCNLGPKFPSWLQTQSSLVFLDISNSKLNDFVPDWFWNNLQNMEKLNMSNNNLIGAIPNLSLKLLYRPFIFLHSNQFEGKIPPFLLQASKLNLSKNKFSNLFSFLCDRSISANLASLDLSNNQMKGELPNCWKNVDRLLFLDLSNNKLSGRIPVSMGSLVKLEVLVLRDNNLMGELPSTLKNCSNLIMVDVSKNMLSGPIPSWIGENMQQLIILNMRGNHFSGHLPVNLCYLKRIQSLDLSRNYLSRGIPTCLKNLTVMSEKIIDRGATLNNIYWSTNLTYHEPFAAFLSSSDNYTLNITWMWKGVELWFSDPELQLKSIDLSSNNLTGEIPKEIGYLAGLVSLNLSRNYLSGEIPSEMGNLNSLESLDLSRNHISGGIPFSLSQIDDLGKLDLSHNSLSGRIPRERHFETFEGCSFEGNRDLCGFQLNKSCPGDGDQRTVKFPEVEAINGDEDSVFYEALYMSMGIGFFTGFWGLLGPILFWHPWRKDYMRFVNRLINYIFEWL >Vigun04g136500.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:34174958:34179161:1 gene:Vigun04g136500.v1.2 transcript:Vigun04g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYYLKLFYALLLLLLHAAGPILGLNNSAEIKCIERERQALLNFKHGLIDAYGMLSTWRDDENSTDCCKWKGIRCDHITGHVTILRLPGSNTQSQFLRGALNVTSLFALQNIQHLDLSYNYFIGSHIPQLMGSLTNLRYLNLYNSFFSGSIPIEIGSLTHLRTLDLGNNAFFCGKIPYQLGNLTRLRYLDLSHNFLDGELPYQLANLSQLRHLDLSHNSFSGALPFQVGNLPFLHTLRLPGNFDVKPKDAQWLSNLHSLTNLALYSLHNLDWLQTIIFPNLRELGLVDCSLSDAQIQYLFYSRPNFSTSLTILDLSSNILTLSTFQLLSNFSLNLQELYLSNNNIVLSSPIYSNFPSLVILDLSNNNVTSLVFQGSFNFSSKLQNLYLSNCGLRDDNFLISAISISNSSSSLGSLDLSSNLLKSSSIFYWLFNSTTNLRTLELSENMLEGPIPDGFGKVMNSLEVLYLFDNKLQGEISSFFGNICTLQELDLSKNKMSGNISNLFQNSSWCNKQVFRCLFLYDNQITGILPISIGLLSELEYLFLNGNCLEGDVTESHLSSFSKLVFLELQHNSLSLKIGPTWVPPFQLMSLRLGSCNLGPKFPSWLQTQSSLVFLDISNSKLNDFVPDWFWNNLQNMEKLNMSNNNLIGAIPNLSLKLLYRPFIFLHSNQFEGKIPPFLLQASKLNLSKNKFSNLFSFLCDRSISANLASLDLSNNQMKGELPNCWKNVDRLLFLDLSNNKLSGRIPVSMGSLVKLEVLVLRDNNLMGELPSTLKNCSNLIMVDVSKNMLSGPIPSWIGENMQQLIILNMRGNHFSGHLPVNLCYLKRIQSLDLSRNYLSRGIPTCLKNLTVMSEKIIDRGATLNNIYWSTNLTYHEPFAAFLSSSDNYTLNITWMWKGVELWFSDPELQLKSIDLSSNNLTGEIPKEIGYLAGLVSLNLSRNYLSGEIPSEMGNLNSLESLDLSRNHISGGIPFSLSQIDDLGKLDLSHNSLSGRIPRERHFETFEGCSFEGNRDLCGFQLNKSCPGDGDQRTVKFPEVEAINGDEDSVFYEALYMSMGIGFFTGFWGLLGPILFWHPWRKDYMRFVNRLINYIFEWL >Vigun07g256000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:37388762:37390778:-1 gene:Vigun07g256000.v1.2 transcript:Vigun07g256000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWSWICELPNSVEWTESDSPLMFELASEEKDESARSIHLKAERTSGSDSEAVVTFTVCLQGFHPHNAHKPLWVSEKCHLSSQNPFLPLLLQLLQEIISSSPTAHDSTCPRSQLQKLKPEPIAWIMDSHTPESLSTFFNLVFTMRLFWLCAFQAPPEAGSLYFHSLLTPTLESASSKLASVLRTFFITVGVDTELCFMRTLGYIITKLHMIKELSVGLGLKTLVPSPRFSYATEAHGLWILKGYSPVMNMKLVRSNAQKSQFCGIDAKESILRYALAHHQLEAHVQIEYTVGFCEGFIQVRARLDNIRLQVARLGFNQNDEVDFIEEKHFPSRGRVWVGPEIGATYCGGLSLGRSTENRESEVELQKVVKGNLEKSEGSNVTASARSSRRTRSRSWRMDQDAEGNAAIFDVVLHDNGTGVEVGSLKGTSETGDERVHGLRGRYVRGNRAFTKSGSVVIAGDEYGEEVGWRLSKEMEGSVLKWRIGGEFWLTYLPNLTKGSYYETRYIEWCDEVDLPLIHAKTP >Vigun03g348100.3.v1.2 pep primary_assembly:ASM411807v1:3:54817903:54822465:-1 gene:Vigun03g348100.v1.2 transcript:Vigun03g348100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTKQSSQKKTGPSSPVAADKNPGDRPLDKDRKKDAPHPRKQVDDETRMEKARRRAVGNPTESRTRVELFQHLPQYEHRTKLSNLESKFFHLGLVHPSVFEAGLRYSTGEISGGNARCIAMLRALQDAIRDYCTDHEKALVRDLTAKINSYVSFFAECRPLSMSMGNAIRFVKSRIVKLSLNYSEFEAKTTLCSDIDRFINEKIILADKVIVRHAFTKIKDGDVLLTYGLSCVVEMILLYAHELGKKFRVVVVDSQPRLEGQALLRRLVAKGLRCTYTHINAVSYIMHEVTRVLLGASAVLSNGTVYSRVGTSCVAMVAHELRVPVLICCEAYKFHERVLLDSICSNELGDPDAIARVPGRMDVNYLDNWASEDNLRLLNLMYDATPSDYVSVIVTDYGMLPPTSVPVIVREYREHLLM >Vigun03g348100.1.v1.2 pep primary_assembly:ASM411807v1:3:54817903:54822465:-1 gene:Vigun03g348100.v1.2 transcript:Vigun03g348100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRVSHSLGDPKIRQVGFFVPESSTTDSHKILSPSHLSVPEMQNSSVHGQVTSRSYDYSENRNLETDIEKKGEEEKEAIKSRSKPLKEKTTKAERRALQEAQRAAKAEGSKAVAGTGRAAMGKSTKQSSQKKTGPSSPVAADKNPGDRPLDKDRKKDAPHPRKQVDDETRMEKARRRAVGNPTESRTRVELFQHLPQYEHRTKLSNLESKFFHLGLVHPSVFEAGLRYSTGEISGGNARCIAMLRALQDAIRDYCTDHEKALVRDLTAKINSYVSFFAECRPLSMSMGNAIRFVKSRIVKLSLNYSEFEAKTTLCSDIDRFINEKIILADKVIVRHAFTKIKDGDVLLTYGLSCVVEMILLYAHELGKKFRVVVVDSQPRLEGQALLRRLVAKGLRCTYTHINAVSYIMHEVTRVLLGASAVLSNGTVYSRVGTSCVAMVAHELRVPVLICCEAYKFHERVLLDSICSNELGDPDAIARVPGRMDVNYLDNWASEDNLRLLNLMYDATPSDYVSVIVTDYGMLPPTSVPVIVREYREHLLM >Vigun03g348100.2.v1.2 pep primary_assembly:ASM411807v1:3:54817903:54822465:-1 gene:Vigun03g348100.v1.2 transcript:Vigun03g348100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRVSHSLGDPKIRQVGFFVPESSTTDSHKILSPSHLSVPEMQNSSVHGQVTSRSYDYSENRNLETDIEKKGEEEKEAIKSRSKPLKEKTTKAERRALQEAQRAAKAEGSKAVAGTGRAAMGKSTKQSSQKKTGPSSPVAADKNPGDRPLDKDRKKDAPHPRKQVDDETRMEKARRRAVGNPTESRTRVELFQHLPQYEHRTKLSNLESKFFHLGLVHPSVFEAGLRYSTGEISGGNARCIAMLRALQDAIRDYCTDHEKALVRDLTAKINSYVSFFAECRPLSMSMGNAIRFVKSRIVKLSLNYSEFEAKTTLCSDIDRFINEKIILADKVIVRHAFTKIKDGDVLLTYGLSCVVEMILLYAHELGKKFRVVVVDSQPRLEGQALLRRLVAKGLRCTYTHINAVSYIMHEVTRVLLGASAVLSNGTVYSRVGTSCVAMVAHELRVPVLICCEAYKFHERVLLDSICSNELGIFCGTSL >Vigun04g082400.1.v1.2 pep primary_assembly:ASM411807v1:4:12533460:12536202:-1 gene:Vigun04g082400.v1.2 transcript:Vigun04g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMVEALVGDIVILDISATTTDQDESNVQIVPSAESKARYLSKSHTPTARSILAQKMASTTALDSIQESLLGSAIATQSAPASRMKSTLCLVSTLTFLGTINLLQPLTKNINHMDSNPRQQFVIHVGRKLFQLMQITTLITILEINHPVLISC >Vigun01g183900.1.v1.2 pep primary_assembly:ASM411807v1:1:36433031:36436308:1 gene:Vigun01g183900.v1.2 transcript:Vigun01g183900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLGERKKTMAEDPISSFCNALAAFCNHLHSSSDALKQSIDRRPIPLDSASSIFTQCLNRRVSAATADLDILDSMSFGTVSFEELLGHCNELYKKNRSDLLQLQERLQSRGYTPVPDIEEEEDEVEDIQHQDPEDKLDSPSSFYGPLSAADPSFKSFEEDALLDESLSLKKFGLSDAYLATLASEGDLSSHEPDNKLQEFRQQDQPVVSSEGSKFLPIENENLKTAEVPSPRLQILKSEFECLPAYMKGLASWEDLLGAVDKINSSLSKKTNGCSYFHQDEIPSFELGPKTRSYLLLLVRMNRLVVETIDGILSYRVL >Vigun02g024000.1.v1.2 pep primary_assembly:ASM411807v1:2:8050522:8056743:1 gene:Vigun02g024000.v1.2 transcript:Vigun02g024000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVKTKGSCIKSVRKILNSYSEHFTQVFFVVLSVVKMALTHTLSTLSPSLTRYSNSKPKLSCSLSSQAFKCKREYTTVMIVPTGIGAAIGGYAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWALQPVHQNRVGLVLDAGIEEELRVRHLQVADAARASLGLPVVEYTVTDTPLKVEKWVDPETGQSTGRIKHPDSLLRAVNTLVKRSKVNAIAVVGRFPDDEIDDVDDYRQGMGIDLLAGVEAVISHQVVKEFQIPCAHAPAMSPLPMSLSLSPKSAAEEIGYTFLPCVLAGLSNAPQYLVMDSKSLEKGCIQANDVDSVILPKDACGGDACLAFARNKSKKPLIITVDENETVLNDTADKLGLEVLHVSNYWEAIGVIAAHKAGIDPFSLRRDKILNIGCSSFMSVNGHTIPR >Vigun06g033300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:14158288:14158878:-1 gene:Vigun06g033300.v1.2 transcript:Vigun06g033300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVLALVMFVMAYGLAITRLSEGQMPATCDEYKPLFAPCVPYLVSQEFSTPTPSCCAGAAQQLTKGNNPAALKNLCTCLDASTANLGFHFQKFIQLPTACKIKLSYSIEKCVHS >Vigun06g043750.1.v1.2 pep primary_assembly:ASM411807v1:6:16749824:16755336:-1 gene:Vigun06g043750.v1.2 transcript:Vigun06g043750.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIEEQTVQSPAAIINRSIQEATEQSSGNDNVSIFDRCGTNAGNGRIEDKLEDVLNESEPLNMNSLINTSATKIGITNPLTCDFGPILGATQFVDKDPVESIVKETQLGPNNDYLGSVNMNHDTTLTGPTKHNHPSQKCDLQPRCESIGFDHQINRAGASDQETGSQDCSRNPKLNHMTLIKESILDPIDFQERRQLWVGESSTKQPTTNNCRVKENSKASKRKILSVIGKQMQVPQVKGRKCHNARLNYSDVVRSSLQHIRHG >Vigun10g063200.1.v1.2 pep primary_assembly:ASM411807v1:10:13556067:13558139:-1 gene:Vigun10g063200.v1.2 transcript:Vigun10g063200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFPLSCNFNLAHSPHWPSPELLTLILPCYRSSYHAIPSIGFPSHCLSLHHAANTARLSSSSEKRSTALFVAFSPVVAFVENKMFFITKVLMSLCLQMQELILGYKNVVSFTQKPKGDIISVLKAEKEELESSLSKEKQHALQLNQELTEAESRNTDL >Vigun10g063200.3.v1.2 pep primary_assembly:ASM411807v1:10:13556067:13558139:-1 gene:Vigun10g063200.v1.2 transcript:Vigun10g063200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFPLSCNFNLAHSPHWPSPELLTLILPCYRSSYHAIPSIGFPSHCLSLHHAANTARLSSSSEKRSTALFVAFSPVVAFVENKMFFITKVLMSLCLQMQELILGYKNVVSFTQKPKGDIISVLKAEKEELESSLSKEKQHALQLNQELTEAESRNTDL >Vigun10g063200.2.v1.2 pep primary_assembly:ASM411807v1:10:13556067:13558139:-1 gene:Vigun10g063200.v1.2 transcript:Vigun10g063200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFPLSCNFNLAHSPHWPSPELLTLILPCYRSSYHAIPSIGFPSHCLSLHHAANTARLSSSSEKRSTALFVAFSPVVAFVENKMFFITKVLMSLCLQMQELILGYKNVVSFTQKPKGDIISVLKAEKEELESSLSKEKQHALQLNQELTEGHNRILLSMLVMLYE >Vigun10g154200.1.v1.2 pep primary_assembly:ASM411807v1:10:37458666:37462705:-1 gene:Vigun10g154200.v1.2 transcript:Vigun10g154200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSNYKHRVQCSPKSMGKIVGVGFRPIEQELVDFYLKHKLLGDDSRVDVIPVINLCHVEPSDVPGILAKSRIRFGDPDWFFFSPVDFKYSNSKRVNRKTEKGFWKATGKDRDIRSWNTNTLIATKKNLVYYKGSVSCGVKSDWVIHEYHAVTFHESENAFVLCRLIKKPEKKTEGGTAALICDEGESSRSVVSDYENQAIAEGVPSGGTLTGMETICHVTYQAEKCISPTEPSLIEIEQDDDAYFRNENNNGRSPSEIMQIPYETMHTPCETMHTPYEIMQISCETMQTLYETLQIPCETMQSSCESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTSFESMQTPCETMQTPFESLQTPCEPVQTLCESMQISCESMQNPCEPMQISCETMQISHETNQIPFEILQFLFETPFQTMQTPLETKQILPKLPNSLLADEYLVTQSKSLKRAYCESSYRDAEVVPERDASFEDISSLYTEYLNSEEYHVLKRFKTSYDVGHGDTHLLFSGQEASEEKQESIFQDDFWGLETSSCDSTTNKLVEINYSEISSFLCT >Vigun01g187800.1.v1.2 pep primary_assembly:ASM411807v1:1:36745764:36746156:-1 gene:Vigun01g187800.v1.2 transcript:Vigun01g187800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLSMAGVRSSLPFSALIRQVEQEMETVIKVLQPGPLGIIEHKFSADEIRKANATVSKAVINWRRNASLEDNNHILKDYIHK >Vigun09g222000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39517078:39519013:1 gene:Vigun09g222000.v1.2 transcript:Vigun09g222000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFGKVIAKPSSSSSSINPNPNLCLITSITSILQNLNPQIPDFSPLTKFSPHLTPNLVIQVIKNQKNPHHALHFFNWASNPNPNPKNYSHTPLCYAAITDLLLSHSLFSTAYSLLRHSNKLSDFLICRFINALGHCGDIRGAIHWFHKAKNLGREHCVYSCNAILGVLVRANRVNFAKAIYNQVLAEAVVEPDVYTHTTMIRGFCKVGMVESARKVFDEMRCEPNMVTYNTLIHGFCKKGDMEGARWVFDRLVESKSCEPDVVSFTTLIDGYSKRGEFQKAVECLKQMMERGCSPNVVTYNSLVEGLCLSGRVDEAKMMMSRMRLNGLRDNVATNTSLLKGLCIVGKSDEAFKHFREMVSRGMKPDVKAYGVVVNEYCKIGKPREAVSLLREMVTRGVKPSVSSFNAVFRVLVAEGKIDEGVLLLKQMSPMGCSPNFLSYCILICGLCKVKGRMQAVEELVSDMLQSGHNLDSTMYNCLLQGYCEDEDEEMALKTYYDIMNKNFVIKQDVFSTFVKVLCAKGKLKEGDTVFEGMLSQGIPVKWES >Vigun11g144675.1.v1.2 pep primary_assembly:ASM411807v1:11:35395886:35396405:-1 gene:Vigun11g144675.v1.2 transcript:Vigun11g144675.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSVCRNVFFLFLFCTLMFSSGAAFSKDSEVGESLVSSKSEVKWVLKGLPHPPKCIRKCEKCLPRTPVLVALPPPAAQRSVIASGGPGDYVPQVWKCTCGGRYYNPYD >Vigun08g022400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:1950255:1951610:-1 gene:Vigun08g022400.v1.2 transcript:Vigun08g022400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDTHAPATAEAEVAHDFPGLIRVFTDGRIQRFKGTDVVPPSTPTTHPVSSKDVTLNPHSTLSARLFLPTPPSTTTNQRRSLPLLVYFHGGGFCTSSPFTSTYHHYIAAVAAEAKLVAVSVEYRLAPEHPIPAAYEDSWAALQWVASHRSNAGPEPWLNENVDFGRVFLAGDSAGANIVHNLTMLLGDPDWDIGIDILGVCLVHPYFWGSVPFGSETMDLDKKAVLDRLWPFVCPESPDNDDPRVNPVGEEAPSLAWLGCRRVLVCVAEKDVLKERGWIYYNALSRSGWMGVVEIKETQGEGHVFHLLDLASDKAQDLIKRLALFFNRDQPPSI >Vigun03g337400.3.v1.2 pep primary_assembly:ASM411807v1:3:53551760:53556719:1 gene:Vigun03g337400.v1.2 transcript:Vigun03g337400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MAATSSLCSSTLQSQINGLHLHKVTLFHPPSLTFSRRKISTIVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQVKSLGAKAGVVLNPGTPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCAEKGVNPWIEVDGGVGPGNAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKTSKRPEAVAV >Vigun03g337400.4.v1.2 pep primary_assembly:ASM411807v1:3:53551760:53556719:1 gene:Vigun03g337400.v1.2 transcript:Vigun03g337400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MAATSSLCSSTLQSQINGLHLHKVTLFHPPSLTFSRRKISTIVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQVKSLGAKAGVVLNPGTPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCAEKGVNPWIEVDGGVGPGNAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKTSKRPEAVAV >Vigun09g096800.1.v1.2 pep primary_assembly:ASM411807v1:9:14705438:14709074:1 gene:Vigun09g096800.v1.2 transcript:Vigun09g096800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPSPPSYKLVKEEATGLLLMEPFPHRENVEVLRFPNRRGTEIVAVYVRHPTAKSTVLYSHGNAADIGQMYELFVELSIHLRVNLIGYDYSGYGQSSGKPSEHNTYADIEAVYKYLEENYGAKQEDIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQQKYEPLWLKGGNHCNLELYPEYLRHLRKFISSVEKPPSQRVSFRRSVDRVEQSRGSTDCFETPRKSTDQRDKPRKSTDRTEKMKFHEFKFNNTEKLEKIRVQFDQMERSRRSVEYNDKSRSIEFQDKSRRSVDVQFERPRKSIDWLDRIRAS >Vigun09g096800.2.v1.2 pep primary_assembly:ASM411807v1:9:14705928:14709074:1 gene:Vigun09g096800.v1.2 transcript:Vigun09g096800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYDYSGYGQSSGKPSEHNTYADIEAVYKYLEENYGAKQEDIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQQKYEPLWLKGGNHCNLELYPEYLRHLRKFISSVEKPPSQRVSFRRSVDRVEQSRGSTDCFETPRKSTDQRDKPRKSTDRTEKMKFHEFKFNNTEKLEKIRVQFDQMERSRRSVEYNDKSRSIEFQDKSRRSVDVQFERPRKSIDWLDRIRAS >Vigun09g104000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:18507497:18507923:-1 gene:Vigun09g104000.v1.2 transcript:Vigun09g104000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTNFFKLGMIVVIAVLIMLVLEPKAVECAFAVPLNPCTLPECIAQCKKILHAKFMSASCTTGSEGNLCICLG >Vigun08g110600.2.v1.2 pep primary_assembly:ASM411807v1:8:27496816:27503223:1 gene:Vigun08g110600.v1.2 transcript:Vigun08g110600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIPKIQFCSYNHFHRESCSIGSSFNSVRVCNMACRSELFVLLRDIRAIYIGKPNGTCSRKHLGSCLFAVSKYENCGLKGNLQQFERFPKGDLNGMESYPDGVNSSLSFEDSENDHLRMLIKNGELEEGSKFLEYMTNKGSMPDVIACTALIHQFCKIGRTKKATQIMGILDESGAVDVTMYNVLIGGYCKSGEIKEALRVLDRMSVTPNSDTYDTIFHSLCDRRKLKQAWEVSDIELQSKCYPDVVTYTELIDATCKENGVGQSMKLLIEMMSKGCKPNVVTYNVLIKWICNEGRLDEAIRFLNKLPSYSCNPDVISYTIILHSLCSGGRWMDAMKLLASMLRKGCSPSVVTFNILIKFFCQKGLLGKALNVLEMMPKHGCKPNSRSYNPLIEGFCNEKSVDRAIEYLEIMVSRGCYPDIVTYNIFLTALCKDGKVDDAVQILNQLSSKGCISSLITYNIVIDGLLTVGKTERAVELFEETSRKGLEPDIITYSTIIDGLLKVGKTEHALQLLEEVCRKGLLSCWGCLKLKLPWRIKRPCSYKPVGGFGYDPLSYAQNFDDGCMDDDEEDSSRRRFSARYAAPSTSTKPLNQG >Vigun08g110600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:27496816:27499810:1 gene:Vigun08g110600.v1.2 transcript:Vigun08g110600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIPKIQFCSYNHFHRESCSIGSSFNSVRVCNMACRSELFVLLRDIRAIYIGKPNGTCSRKHLGSCLFAVSKYENCGLKGNLQQFERFPKGDLNGMESYPDGVNSSLSFEDSENDHLRMLIKNGELEEGSKFLEYMTNKGSMPDVIACTALIHQFCKIGRTKKATQIMGILDESGAVDVTMYNVLIGGYCKSGEIKEALRVLDRMSVTPNSDTYDTIFHSLCDRRKLKQAWEVSDIELQSKCYPDVVTYTELIDATCKENGVGQSMKLLIEMMSKGCKPNVVTYNVLIKWICNEGRLDEAIRFLNKLPSYSCNPDVISYTIILHSLCSGGRWMDAMKLLASMLRKGCSPSVVTFNILIKFFCQKGLLGKALNVLEMMPKHGCKPNSRSYNPLIEGFCNEKSVDRAIEYLEIMVSRGCYPDIVTYNIFLTALCKDGKVDDAVQILNQLSSKGCISSLITYNIVIDGLLTVGKTERAVELFEETSRKGLEPDIITYSTIIDGLLKVGKTEHALQLLEEVCRKGMKPNLITFTSVVGGLSRIGKVHEAIQVFNYLEGLGITPNAFIYNSIMMGLCKTQQTSRAIDFLAYMVANGCKPTEATYKILLKGITCEGFPEEASKLWNELYSKGLVKTSLVEKITVKIQKKTSMTTAKSTH >Vigun08g110600.3.v1.2 pep primary_assembly:ASM411807v1:8:27496816:27499793:1 gene:Vigun08g110600.v1.2 transcript:Vigun08g110600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIPKIQFCSYNHFHRESCSIGSSFNSVRVCNMACRSELFVLLRDIRAIYIGKPNGTCSRKHLGSCLFAVSKYENCGLKGNLQQFERFPKGDLNGMESYPDGVNSSLSFEDSENDHLRMLIKNGELEEGSKFLEYMTNKGSMPDVIACTALIHQFCKIGRTKKATQIMGILDESGAVDVTMYNVLIGGYCKSGEIKEALRVLDRMSVTPNSDTYDTIFHSLCDRRKLKQAWEVSDIELQSKCYPDVVTYTELIDATCKENGVGQSMKLLIEMMSKGCKPNVVTYNVLIKWICNEGRLDEAIRFLNKLPSYSCNPDVISYTIILHSLCSGGRWMDAMKLLASMLRKGCSPSVVTFNILIKFFCQKGLLGKALNVLEMMPKHGCKPNSRSYNPLIEGFCNEKSVDRAIEYLEIMVSRGCYPDIVTYNIFLTALCKDGKVDDAVQILNQLSSKGCISSLITYNIVIDGLLTVGKTERAVELFEETSRKGLEPDIITYSTIIDGLLKVGSVV >Vigun04g110900.5.v1.2 pep primary_assembly:ASM411807v1:4:27789666:27794007:1 gene:Vigun04g110900.v1.2 transcript:Vigun04g110900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVGGRMEKKGVKAKTKIVCTLGPSSRSVEMLEKLLKAGMNVARFNFSHGSHSYHQETLDNLRNAMSNTGILCAVMLDTKGPEIRTGFLKEGKPVQIHRGQEITITTDYSIKGDEKMISMSYKKLAHHLSPGSNVLCADGTISFTVLECDKENGLVRCRCENSAALGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDIIALSFVRKGSDLVEVRNLLGEHAKNILLMSKVENQEGIANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKSSIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMARICSEAENFIDYGDLFKRIMETAPTPMSPLESMASAAVRTAKCINAALILVLTRGGTTSKLVAKYRPSMPILSVVVPEITTDSFEWFCSDEGPARHSLIYRGLIPVLATGSLGASQTESTQETIKFALSYAKENGLCASGDSIVALLRVETSTVIKIVDVS >Vigun04g110900.4.v1.2 pep primary_assembly:ASM411807v1:4:27789667:27794204:1 gene:Vigun04g110900.v1.2 transcript:Vigun04g110900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVGGRMEKKGVKAKTKIVCTLGPSSRSVEMLEKLLKAGMNVARFNFSHGSHSYHQETLDNLRNAMSNTGILCAVMLDTKGPEIRTGFLKEGKPVQIHRGQEITITTDYSIKGDEKMISMSYKKLAHHLSPGSNVLCADGTISFTVLECDKENGLVRCRCENSAALGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDIIALSFVRKGSDLVEVRNLLGEHAKNILLMSKVENQEGIANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKSSIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMARICSEAENFIDYGDLFKRIMETAPTPMSPLESMASAAVRTAKCINAALILVLTRGGTTSKLVAKYRPSMPILSVVVPEITTDSFEWFCSDEGPARHSLIYRGLIPVLATGSLGASQTESTQETIKFALSYAKENGLCASGDSIVALLRVETSTVIKIVDVS >Vigun04g110900.2.v1.2 pep primary_assembly:ASM411807v1:4:27791044:27794006:1 gene:Vigun04g110900.v1.2 transcript:Vigun04g110900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVGGRMEKKGVKAKTKIVCTLGPSSRSVEMLEKLLKAGMNVARFNFSHGSHSYHQETLDNLRNAMSNTGILCAVMLDTKGPEIRTGFLKEGKPVQIHRGQEITITTDYSIKGDEKMISMSYKKLAHHLSPGSNVLCADGTISFTVLECDKENGLVRCRCENSAALGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDIIALSFVRKGSDLVEVRNLLGEHAKNILLMSKVENQEGIANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKSSIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMARICSEAENFIDYGDLFKRIMETAPTPMSPLESMASAAVRTAKCINAALILVLTRGGTTSKLVAKYRPSMPILSVVVPEITTDSFEWFCSDEGPARHSLIYRGLIPVLATGSLGASQTESTQETIKFALSYAKENGLCASGDSIVALLRVETSTVIKIVDVS >Vigun04g110900.3.v1.2 pep primary_assembly:ASM411807v1:4:27789749:27793897:1 gene:Vigun04g110900.v1.2 transcript:Vigun04g110900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSGDSWWCPIYIICTSISGTNLLWLQANLSNKFCSILSCHVLTHIFLRVNVKFLFLCIRNNTQHGTLISEEGMEKALVGGRMEKKGVKAKTKIVCTLGPSSRSVEMLEKLLKAGMNVARFNFSHGSHSYHQETLDNLRNAMSNTGILCAVMLDTKGPEIRTGFLKEGKPVQIHRGQEITITTDYSIKGDEKMISMSYKKLAHHLSPGSNVLCADGTISFTVLECDKENGLVRCRCENSAALGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDIIALSFVRKGSDLVEVRNLLGEHAKNILLMSKVENQEGIANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKSSIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMARICSEAENFIDYGDLFKRIMETAPTPMSPLESMASAAVRTAKCINAALILVLTRGGTTSKLVAKYRPSMPILSVVVPEITTDSFEWFCSDEGPARHSLIYRGLIPVLATGSLGASQTESTQETIKFALSYAKENGLCASGDSIVALLRVETSTVIKIVDVS >Vigun07g232300.1.v1.2 pep primary_assembly:ASM411807v1:7:35430063:35432846:1 gene:Vigun07g232300.v1.2 transcript:Vigun07g232300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRGNELVIQFSNALQPQHKISKDLVPDDHNSDNSPLVAYSDKKLSTSRHNKLFYAPEPSQPNSAEEEHAKKMVHREIERQRRQEMATLHASLRSLLPLSFIRGKRSISDQMSVAVNYIKHLQKNIKELNEKRDNLKKKIAINYSLESREKKHESSGFTVHQKSCGTVGIEFSGLSEEVVSLSKLVELVLEEGLEVVSCLSTKVNGRLLHSLQCEVVNSDSVDLSELRRRFSDVIPSFGVSE >Vigun01g089000.1.v1.2 pep primary_assembly:ASM411807v1:1:24771914:24775334:-1 gene:Vigun01g089000.v1.2 transcript:Vigun01g089000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDPNQSIDARVEDLLSRMTLEEKIGQMLQVERKHTSADLVNKHFIGSVMSEGGSMPAPQASAESWVDMVNEFQKGALSTRLGIPVFYGVDAVHGHNTVYNATIFPHNIGLGATRDSELVKRIGAATALEVRATGIQYAYAPCIAVCRDPRWGRCYESYSEDPELVQAMTEIIPGLQGDIPDNLPKGVPFISGKEKVIGSAKHYVGDGGTVDGINEHNTVIDRDGLMKIHMPPYLTSINKGVASIMVSYSSWNGVKMHAHHDLITSFLKNTLHFKGFVISDFEGIDRITTPHRANINYSIEAGVSAGIDMFMFPKLYTEFIDGLSMLVKSERIPMSRIDDAVRRILWVKFMMGIFENPFADYSMTKYLGIQEHRNLAREAVRKSMVLLKNGESVDKPLLPLPKKAPKILVAGIHADNLGYQCGGWTIQWQGVSGNNLLEGTTILNAVKNTVDPETTVIYKENPDAEFVKSNEFSYAIVVVGEPPYAETHGDNMKLEIPDPGPEIIRNVCGVTKCVVIVISGRPLVIEPYVGMIDALVAAWLPGSEGQGVADVLYGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAFFSE >Vigun10g107200.1.v1.2 pep primary_assembly:ASM411807v1:10:30599205:30599963:-1 gene:Vigun10g107200.v1.2 transcript:Vigun10g107200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVCAFLLIFLMAFVTQLVYGGGEGSLTVQECPRACDYRCSKANARQACLFYCNLCCDKCLCVPSGTFGNKEECPCYNNWKNKEGKPKCP >Vigun03g186200.1.v1.2 pep primary_assembly:ASM411807v1:3:24668383:24669743:1 gene:Vigun03g186200.v1.2 transcript:Vigun03g186200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAVGEQDESYEAHHSHPSYIVPVVAPPTYGRPHIPSYAPPYISNSVRGPMIRTQRWSTGLCHCLDDPGNCLVTCFCPCVTFGLIAEIINKGNRSCTCNGAIYATLLSLSGLACLYSCYYRSKMRAQYELPEAPCMDCLVHFCCETCALCQEYRELKNRGFDLSIGWEANRERQGQGAILSPVMSQTMTR >Vigun11g131800.1.v1.2 pep primary_assembly:ASM411807v1:11:34016599:34024554:1 gene:Vigun11g131800.v1.2 transcript:Vigun11g131800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFADEKSENPNGVHQFHHQHDVDAGALFVLKSKGSWLHCGYHLTTSIVAAPVLSLPYAFTFLGWTAGISCLMIGALVTFYSYNLISRVLEHHAHNGKRQLRFRDMAHDILGPSWGRYFVGPIQFGVCFGVVVACTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCFMLILAQIPSFHSLRHINLLSLILCLAYSLGATAGSIYIGESSKGPKKDYTLKGDIENRLFGVFNAIAIIATTYGNGIIPEIQATLAPPVKGKMFKGLCVCYFVVIVTFFSVSFSGYWAFGNESEGLILSNFVDNGNPLVPTWFIYMTNIFTITQLSAVGVVYLQPTNEVLEQAFGDPKSPEFSKRNVIPRVIFRSLAIIISTIIAAMLPFFGDINSLIGAFGFIPLDFILPVIFYNFTFKPSKRSFIFWLNVTIAMVFSALGAISAIAAVRQIVLDAKNYQLFANV >Vigun11g131800.2.v1.2 pep primary_assembly:ASM411807v1:11:34016599:34024555:1 gene:Vigun11g131800.v1.2 transcript:Vigun11g131800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFADEKSENPNGVHQFHHQHDVDAGALFVLKSKGSWLHCGYHLTTSIVAAPVLSLPYAFTFLGWTAGISCLMIGALVTFYSYNLISRVLEHHAHNGPSWGRYFVGPIQFGVCFGVVVACTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCFMLILAQIPSFHSLRHINLLSLILCLAYSLGATAGSIYIGESSKGPKKDYTLKGDIENRLFGVFNAIAIIATTYGNGIIPEIQATLAPPVKGKMFKGLCVCYFVVIVTFFSVSFSGYWAFGNESEGLILSNFVDNGNPLVPTWFIYMTNIFTITQLSAVGVVYLQPTNEVLEQAFGDPKSPEFSKRNVIPRVIFRSLAIIISTIIAAMLPFFGDINSLIGAFGFIPLDFILPVIFYNFTFKPSKRSFIFWLNVTIAMVFSALGAISAIAAVRQIVLDAKNYQLFANV >Vigun05g098150.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:9584834:9590721:-1 gene:Vigun05g098150.v1.2 transcript:Vigun05g098150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMLEFSSKALILGRRVESLYQRELKEGSRSMVEELKEELTGQANKHAEEKTAWKKEREERLEEKKRLRTWKVRCLESEKKLNAKITDLKTDYDELKEKHDDMESKLDDLKGHIIQEHINGFQKGLR >Vigun07g126300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:23314244:23315099:-1 gene:Vigun07g126300.v1.2 transcript:Vigun07g126300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRFNKTLILIGALSCLLLSTAASARHLLAAPVPLELTQFCSGTDNPALCVDTIAPVLAGNFDPVRAVEGEINATLQKASEIAASISKQLEDPATAKEALDALNICKTQYDDMLDSIKEALNMVVQMNVMEAYRKMSAVISYKSSCDDAYTESPGVEMPFRQEATTLFQLSGNCVTVLNTIVKTTTV >VigunL078700.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000580.1:15712:16783:1 gene:VigunL078700.v1.2 transcript:VigunL078700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIFTFIIFLLFHGSINGFDYFTIAQIYPRGLCHGNRLCTSSTIALSTKFTVHGLWPSTNAFPQPFNCRVDGLNLSVIQNIGPRLQQVWPNYYSTNYTKFWEHEWEKHGTCSNMQQFDFFRLTLDIYARNDLQAILINAGISRRKPYHINDIISAIRNSAIGVEPELHCRKSRKSRQSGKSGSGSGRRGLIFEIRICLNTDPIPQYINCASQGTCTSPVMFM >Vigun10g035000.1.v1.2 pep primary_assembly:ASM411807v1:10:4709301:4713528:-1 gene:Vigun10g035000.v1.2 transcript:Vigun10g035000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPTEFASSTSKLPRKYDVLINFTGEDIRRKFVSHLDYALSTVGLTTFLHEENAVNDMHIQQPILNLCRVAIVVFTKTYSQSAWCLHQLQQIIKWQETYSRHVFPVYYEIQPSDVRLQKGDFGETFKETAQQTFSAQQLEHGMSRWSHTLTKAANFFGWDESNYRSDAELVDKIVEGVLNLPVLFATKFPVGLQSRLNDVIQIIKDKSREVCIIGIWGEGGSGKTTLAKAIYNQLHGTFTHKSFIEDISQVIQTKGHVHLQEQLLSDVLNTKMEIHSVEMGKNKIREKLCGKKLLIVLDDTKYDPLLNLRDSHVWFAKGTVIIITAREEHLLRIPQNGSAFPVNLLSTNESLELLSWHAFREAKPKQEYNDLAKRVVVYCGGLPLALEVIGSSLFERTKEEWKSVLLELKEIPEHDVHRKLKISFNGLRNEMEKDLFLDVCCFFVGKGRTFVTKILNDCGVDADSGLRILIERSLVQVKKNKKLGMQQLLQKMGRKIICEISRKELGKNPPLWSGQDAEYERLENTLFSSQQTKVIQKRLSLKMFLIATREFERYPSGVRDTSRLLRLFKVFGKLRWISLQGFSSEYLPKDIYLHDAMAIDLKHSLLRFVWKEPQVLSWLKVLNLSHSMYLRETPDFSGLPRLEQLVLKDCPSLHKVHHSIGCLNNLLLLNLKDCTSLSNLPREVYKLKSLNTLILSGCSKIDLLEKYIVQVESLLILIAENAAVKQVPFSIVSSKNIGYIFLRGCEGLSCNLFPSIIRSWISPIMNPLSYVHSFCMDIEDNGWNDFDPLLSTLANLRSVLVQCDTEFQLSKLVETILIEYGVNISKSEISQQHFKYSLIGVGRCKDFFNAVSDIISKVFASNESRDVSLPGDNDPYWFGHMGEGRSVFFTVPRDHDLKGMALCVVYLSTPEIVAPVCLRSVLIVNYTKCTLQIHNHGRVISFNDIDWQGIISNLGPGDKVEICVTFAQELVVTNTILYLICDELNDLQKEPAPKKNSLIRFVKKVVM >Vigun05g170500.1.v1.2 pep primary_assembly:ASM411807v1:5:29992294:30005462:-1 gene:Vigun05g170500.v1.2 transcript:Vigun05g170500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKYTRPQGLYNHKDVDHKKLRKLILESKLAPCYPGDEESAYDREECPICFLYYPSLNRSRCCTKSICTECFLQMKVPNSTRPTQCPFCKTANYAVEYRGVKSKEEKGLEQIEEQRVIEAKIRIRQQELQDEEEKMHKRLEMSSSNVNVAVADVEYSSNAVSVVEHDEIVSSQDSSATSMVRPNATTRTNRDDEFDVDLEDIMVMEAIWLSIQENGRQRNLSFADATSGHYVADGRYALATTAPVTGSSSSPSGGLACAIAALAERQQMAGESSMSFTNENVSSFNTPQSSRRFYNRLGRDMASYPPVEDLSEEPPDGTAAMTRSHSEWDTGTQMTEQATSYTNSIAADDRGELSSLPRSDDIDGSLQSATEPIIPESFEEQMMLAMAVSLAEARAMSSGQSASWE >Vigun05g206450.1.v1.2 pep primary_assembly:ASM411807v1:5:39570579:39572449:1 gene:Vigun05g206450.v1.2 transcript:Vigun05g206450.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRAKFKFLGRQKIIVSRKWGFTKFSRSDYLKYKSENMIVPDGVNAKLLGCHGPLANRQPGRAFLTSATA >Vigun09g201500.1.v1.2 pep primary_assembly:ASM411807v1:9:37575198:37582989:-1 gene:Vigun09g201500.v1.2 transcript:Vigun09g201500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKKSGLDTTSVIGFGSPSLNLFALPQDPKDEGSPVDVAKSYMRTRPPWASPSIDHPNSQTLSGVQLFKEETPYLLGNNSTPSSKLKRDSAATGSWSIQDEIRRVRFRATEDLLRTLPSSKIDWSTLGMENKNNVDSSAIENIGTSLAERVHNSTSLADASANFARGFGSQVSPDLESKLEGSKPESVLSYPVNINFEQNQGSVAVQQTKGTQDDSREVTTSGLQDGSSSDMYRGDGLVKVNGINDTNRPGHQLDSVEETKEATDSRLQDSNHLVIKEKVGAEDELANGFPSSEPSFNAAQVIEQNTKTLEDKEPNTNDSSQERTAEVVLEQEACKTLRESMDVPDVTGDADGVASGSQNSSSVYEVQHDSSQLGVVESGLAATPTSIAKQKGRRVTATYNSRRSRSRGVK >Vigun09g221200.1.v1.2 pep primary_assembly:ASM411807v1:9:39485943:39487126:1 gene:Vigun09g221200.v1.2 transcript:Vigun09g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLNLLYEIEWFALVMHPESPDQMANTYHQLTLHTASSSTLSPNHTIHQFHHLQQCDYPHFHHNQHSLQFFQQQPQHLAELNSSLHPQEQSTTAASFWKAFNTALSDEHQEVKENIGEIEDVYRNEWEMLATERVEVQGGRRRRRGGKRRRKKREEEKMVSFFKRLVKRVVKQQEVLQSKLVATIERMEKERAEWEERWRRREAEIHGREALMKARERDLASERDSCIVSSLEKITGQRFVSGKAHDQLSTIHEN >Vigun02g027900.5.v1.2 pep primary_assembly:ASM411807v1:2:9886332:9889910:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun02g027900.3.v1.2 pep primary_assembly:ASM411807v1:2:9886198:9890327:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun02g027900.4.v1.2 pep primary_assembly:ASM411807v1:2:9886342:9890179:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun02g027900.1.v1.2 pep primary_assembly:ASM411807v1:2:9886182:9890328:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun02g027900.6.v1.2 pep primary_assembly:ASM411807v1:2:9886340:9889877:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun02g027900.2.v1.2 pep primary_assembly:ASM411807v1:2:9886194:9890328:1 gene:Vigun02g027900.v1.2 transcript:Vigun02g027900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKELDDQGQCSSQPINNNNNNNSIQSYQEQLLLQQQMHQQQQNSDNIFGGSRGLMFPEVTPIMLQPWSMPPVHSFNPGPVHYNNNTNPVRDHHDQTFIVPPTPSPYSSFFSRRVPSLQFAYESPSDHHHLRIISDTLGPMVQPGSAPFGLQAELGKMTAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRETSLIAESSAVPTEADELTVDAADEDGKFVIKASLCCEDRSDLLPDLIKTLKGLKLRTLKAEITSLGGRVKNVLVITGDEESSNSNGEQSTQQQQQYCITSIQEALKAVMEKSGGGDESASGNVKRQRTNNINFLEQRSL >Vigun06g088800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:22108677:22110704:-1 gene:Vigun06g088800.v1.2 transcript:Vigun06g088800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNQPFMSLQPTMNLRHPFNPPTSSSNFYTHPPCKTPKKPQPPHKKLLRVILTDHDATDSDSSGDDESPQNPSKHKKVKREITHITINFPFVPEPPTVTPSFSTNPTPLTQPEKRPAAPRRRNKFRGVRQRPWGRWTAEIRDPTQRKRVWLGTFDTAEEAAAVYDEAAVKLKGPDAVTNFPLAATGKRELRVSPPEAACSGDGFSSPTSVLTYCDGDSSPFDGFRFGEVDAFGFDIDAPFSLTDVNMGVLNQRYAKEEFGEFDPDEFLTWPN >Vigun08g129600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:30021915:30024433:1 gene:Vigun08g129600.v1.2 transcript:Vigun08g129600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEIHSRVLQPKVWRFVCFVSSIVGLVCYALSSSFNHLCGAWKWWKIFLFSGFSLFISLSILFAKAWEGSNSRCLEAHTAFLVLLITSVYSFFFDKQVTEKPDAYSLVSCASFAIMSLGLPRLSHFGFEVDLLYFFSGFLTVQLMKIKLWLVIVGGSFSYFLLILRAALDASARGGYVGLHVNDHVVIEIGSQSQGTSRNFSQVGSPQTITTFVGNSLVMPQDAGVSEGDEDSGFMVTQGRNDSNKSVKARFMECVEVLEKENKTLIDTISKHVDGYLKANVINKIPVVELHADNNLVVDSLPAGTINDLHETVRLMLAEGFEEDCCGAYYTCRREFLKECLWTFGLQMQEFSTEEIDMMEKIQCWLTKVLNIVDCVLLPSERTLCDRVFKGVASPGDFDFDVVCRELNICLLRIANHLATFLECEKFTYYEGGEVHPNICELMLKIRFVCRQRDNRSRQGLEGYNMLGKEGKLSPSVNVARIIATALLERILEVECKNYHNPSLGYVFLMNNLSFIEQEAKFYGLVPIFSHDWLRKMTTKFQQNLELYLRSSWTKIVDFLKLDISESENSVAVGLLKDRMNSFNEHFDEICKVQSTWFVFDEELKSHIIKSIENMLLPAYGNFIERLQNFLGQHSYYYIKYGMFDVQDRVRNLFVVMKNKNLFLNRSERHPRPLS >Vigun04g118000.1.v1.2 pep primary_assembly:ASM411807v1:4:30017633:30019712:1 gene:Vigun04g118000.v1.2 transcript:Vigun04g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQKPEPSPLRKMVAVSSIAAGIQFGWALQLSLLTPYVQTLGVPHVWASFIWLCGPISGLVVQPIVGYCSDHCQSPFGRRRPFILAGALAVAISVILIGYASDIGHAAGDDITKNTRPRAVAIFVIGFWVLDVANNMLQGPCRAFLGDLAAGDEKKTRTANAFFSFFMAVGNVLGYAAGSYDGLHKIFPFTETKACNVFCANLKSCFFFSIILLLLLCIIVLVCVDDPQYTPRPQKMKVDEEGKTQSSCFYGECCVAFKGLKKPMWMLMLVTAVNWIAWFPYVLFDTDWMGREVYGGEVGQKAYNAGMQAGSLGLMLNSVVLAVVSLGVEPLGRLVGGVKWLWAIVNIILAVCMAMTVLITRIAERQRAKNPALIGNPSIDVKVGALAFFCVLGIPLAVTYSVPFALASIYSSTSGAGQGLSLGVLNVSIVIPQMIVAAIVGQWDALFGGGNLPSFMLGAMAAAISAILAIVLLPSPKKEDEAKISNLSMGSVH >Vigun09g258500.1.v1.2 pep primary_assembly:ASM411807v1:9:42396863:42402935:-1 gene:Vigun09g258500.v1.2 transcript:Vigun09g258500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEVVEVKVSTKLRETQSSETITTPPLEEVSSRDGDGVPKTPKSPFASRLMNTPLASPMKKAIENMQGYFGEVRRFTKLDPQDDWLPITQSRNGNAYYAAFHVLSSGIGFQALLLPFAFTTLGWTWGITCLCVAFTWQLYTLWLLVQLHESESGVRHSRYLRLAMAAFGVKMGKVLALFPIMYLSGGTCVTLIMIGASTMKIFFEMVVGEPCPLSPVEWYLVFTCTAILLAQLPNLNSIAGVSLIGAVTAVGYCGLIFILSVVKGRLAHVTYEPPRGQSDTTMVFRAWNALGIIAFAFRGHNLVLEIQGTMPSDAKQPSRLAMWRGVMFAYLVIALCLFPLSIGGYWVYGNLIPTNRGMLGALHKYHEHDTPKFIIALTSLLVVINSLTSFQIYAMPVFDNLEFKYTSKKNKPCPRWLRIAFRGLFGCLAFFIAVTLPFLPSLAGLIGGVALPITLAYPCLMWLQIKKPQKRSTNWYLNWTLGVIGMILSLLVVIGAIWGIVEQGIEIHFFRPQ >Vigun11g142800.1.v1.2 pep primary_assembly:ASM411807v1:11:35238152:35241929:1 gene:Vigun11g142800.v1.2 transcript:Vigun11g142800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKTLLLSLSLATLLLFSSLSLSLSDKPSPNDNKNNQNDNHDDEDLSFLEEPDDVAATSHRDHFPDPDHFNDDEDDDDDFGDFSGFDSSTEEAFKEPAIDDKDVVVLKERNFTTVIENNRFVLVEFYAPWCGHCQALAPEYAAAATELKPEGVVLAKVDATIENELAHEYDVQGFPTVFFFVDGVHKPYTGQRTKDGIVTWIKKKIGPGVSNITTLDEAERILTAESKVVLGFLNSLVGAESDELAAASKLEDGVNFYQTVVPEVAKLFHIDASVKRPALILLKKEEEKLNHFDGKFVKSEIAEFVSSNKLPLVTTFTRESAPTIFESQIKKQLLLFATSNDTEKFLPVFKESAKLFKGKLIFVLVEMDNEEVGKPVADYFGITGNDPKVLGYTGNDDGRKFLLDGDVTVDKITAFGNDFLEDKLKPFLKSDPVPESNDGDVKIVVGNNFDDIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRSIESIVIAKMDGTTNEHPRAKADGFPTLLFYPAANKSSDPIPVDVDRTVVAFYKFLRKHASIPFQLQKPATASKSSSYSSDVKESQSTNTDSKDEL >Vigun08g190100.1.v1.2 pep primary_assembly:ASM411807v1:8:35790598:35792841:-1 gene:Vigun08g190100.v1.2 transcript:Vigun08g190100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLVWVSCGPKENINSLVGFAGRSSSGERNQRRFSGLSFASGVSAFSTAVAGDPSRSSEERVYDVVLKQAALVKENKRGSNIGVDFEKEVGADFTNGDLLNVAYDRCGEVCSEYAKTFYLGTQLMTSERRKAIWAIYVWCRRTDELVDGPNSSHITPGALDRWEQRLTDVFEGRPYDMYDAALSHTVSKYPVDIQPFKDMVEGMRMDLRKSRYENFDELYLYCYYVAGTVGLMSVPVMGIAPDSKASTECIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAKAGLSDDDIFRGKVTEKWRKFMKGQIKRARMFFDEAEKGVAELNSASRWPVWASLLLYRQILDSIEANDYNNFTKRAYVGKAKKLLSLPLAYGLSFLGP >Vigun02g056300.1.v1.2 pep primary_assembly:ASM411807v1:2:20005969:20012625:1 gene:Vigun02g056300.v1.2 transcript:Vigun02g056300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSSRSSATAAKEKARVSRTSLILWHSHQNDAASVRKLLEEDPSLVKARDYDSRTPLHVASLHGWVEVANCLLEFGADVNALDRWKNTPLADAEGAKKMPIIDLLKSNGGLSYGQNGSHSEKGQNVLPPLPNKCDWEVDPSELDFSHSVCIGKGSFGEIVKAHWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHKYLKDKSSLSPSAAINFGLDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFSNYEPYDGAKYVAEGHRPSFRSKSYTSDLRDLTEECWSSEISQRPSFIDILKRLEKIKENLPSDHHWRVFAS >Vigun02g056300.2.v1.2 pep primary_assembly:ASM411807v1:2:20005969:20012625:1 gene:Vigun02g056300.v1.2 transcript:Vigun02g056300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSSRSSATAAKEKARVSRTSLILWHSHQNDAASVRKLLEEDPSLVKARDYDSRTPLHVASLHGWVEVANCLLEFGADVNALDRWKNTPLADAEGAKKMPIIDLLKSNGGLSYGQNGSHSEKGQNVLPPLPNKCDWEVDPSELDFSHSVCIGKGSFGEIVKAHWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHKYLKDKSSLSPSAAINFGLDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFSNYEPYDGAKYVAEGHRPSFRSKSYTSDLREGVLVF >Vigun02g056300.5.v1.2 pep primary_assembly:ASM411807v1:2:20006090:20012557:1 gene:Vigun02g056300.v1.2 transcript:Vigun02g056300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSSRSSATAAKEKARVSRTSLILWHSHQNDAASVRKLLEEDPSLVKARDYDSRTPLHVASLHGWVEVANCLLEFGADVNALDRWKNTPLADAEGAKKMPIIDLLKSNGGLSYGQNGSHSEKGQNVLPPLPNKCDWEVDPSELDFSHSVCIGKGSFGEIVKAHWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHKYLKDKSSLSPSAAINFGLDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFSNYEPYDGAKYVAEGHRPSFRSKSYTSDLREGVLVF >Vigun02g056300.3.v1.2 pep primary_assembly:ASM411807v1:2:20006091:20012557:1 gene:Vigun02g056300.v1.2 transcript:Vigun02g056300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSSRSSATAAKEKARVSRTSLILWHSHQNDAASVRKLLEEDPSLVKARDYDSRTPLHVASLHGWVEVANCLLEFGADVNALDRWKNTPLADAEGAKKMPIIDLLKSNGGLSYGQNGSHSEKGQNVLPPLPNKCDWEVDPSELDFSHSVCIGKGSFGEIVKAHWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHKYLKDKSSLSPSAAINFGLDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLIKVQSSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGEPPFSNYEPYDGAKYVAEGHRPSFRSKSYTSDLRDLTEECWSSEISQRPSFIDILKRLEKIKENLPSDHHWRVFAS >Vigun03g145800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:14875366:14876158:1 gene:Vigun03g145800.v1.2 transcript:Vigun03g145800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLCSLLGLGHLGGYGYRYPVVTINVPCYSSNNRRHHPCNHRTNDPCKSNTTNKKKRQEPSKGNNGTKTSFNNSASGKQNFGNGKFNTGAKINGNRVPTNGNNGTVDSFNNSGSGKQDFGNGRFNTGARIGR >Vigun08g106700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:26498749:26501248:-1 gene:Vigun08g106700.v1.2 transcript:Vigun08g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENCGTWIPELLFDWQSPNLSSFNAAPFGTGKQNGTAAAMNPVANSVSIARDATMPAYVSSGLPHSQLGHSGEPHGWFYCLPRFRQGFTTPARNFTAEEKLPASHAKGFGEEHARVGVSGFPQKQFLVIDQTADQTTLVYSSRFGRPVECLTSWDSKLHGCTNLNNRDELLSLRRDVNHVAGVGPTLDDKVDENQGTDDDIESEMHEDTEEINALLYSDSDGYSTEDDNDDDDDDDDEVTSTGHSPSTMTTHDNREEPGRGIEEVASSFGETKKRKLWDRACDGDTGSSLDGKRPFEVEDDAESRCSSVGNSSRGSGGMGYKKMRKEKIQDVLSILQCMVPGGKGKDAVELLDEAIRCLKSLKLKAIKLGLDAI >Vigun03g111700.1.v1.2 pep primary_assembly:ASM411807v1:3:10118657:10130655:1 gene:Vigun03g111700.v1.2 transcript:Vigun03g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLMRGSLVDRRFHPSFSYVLHSDEGRQEKSEEKSSSAGVSNFAQIRSFGSSLNGPLGFSAPSRDKFISPCTGYGFCRYMSTVNQGSDKMDSDILTDVADVLTDTTIDTIASQAAITNEVAIAAADSFFPVMGLQYVIDAVHSYTGLNWWAAIVLTTLLIRSATVPLLINQLKATSKLTLMRPHLEEIKQQMDGMAMDPAAVAKGQQQMKKLFKEYGVSPFTPLKGLFIQGPIFVSFFLAITNMAEKVPSFKHGGAYWFTDLSTPDALYVFPVLTALSFLITVECNMQEGMEGNPVAGTMKNVSRGLAVLTVPFTMGFPKAIFCYWVTSNLFSLVYGLALKVPGVKKTLGIPEIPVTAPTSAPQSPFSIFPALKQATSATNESSPVVPDKPSKHLNTKISSSAVISQRLRSLEKQVKGRKKNK >Vigun03g111700.2.v1.2 pep primary_assembly:ASM411807v1:3:10118533:10130655:1 gene:Vigun03g111700.v1.2 transcript:Vigun03g111700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLMRGSLVDRRFHPSFSYVLHSDEGRQEKSEEKSSSAGVSNFAQIRSFGSSLNGPLGFSAPSRDKFISPCTGYGFCRYMSTVNQGSDKMDSDILTDVADVLTDTTIDTIASQAAITNEVAIAAADSFFPVMGLQYVIDAVHSYTGLNWWAAIVLTTLLIRSATVPLLINQLKATSKLTLMRPHLEEIKQQMDGMAMDPAAVAKGQQQMKKLFKEYGVSPFTPLKGLFIQGPIFVSFFLAITNMAEKVPSFKHGGAYWFTDLSTPDALYVFPVLTALSFLITVECNMQEGMEGNPVAGTMKNVSRGLAVLTVPFTMGFPKAIFCYWVTSNLFSLVYGLALKVPGVKKTLGIPEIPVTAPTSAPQSPFSIFPALKQATSATNESSPVVPDKPSKHLNTKISSSAVISQRLRSLEKQVKGRKKNK >Vigun03g111700.3.v1.2 pep primary_assembly:ASM411807v1:3:10118601:10130655:1 gene:Vigun03g111700.v1.2 transcript:Vigun03g111700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRCLLMRGSLVDRRFHPSFSYVLHSDEGRQEKSEEKSSSAGVSNFAQIRSFGSSLNGPLGFSAPSRDKFISPCTGYGFCRYMSTVNQGSDKMDSDILTDVADVLTDTTIDTIASQAAITNEVAIAAADSFFPVMGLQYVIDAVHSYTGLNWWAAIVLTTLLIRSATVPLLINQLKATSKLTLMRPHLEEIKQQMDGMAMDPAAVAKGQQQMKKLFKEYGVSPFTPLKGLFIQGPIFVSFFLAITNMAEKVPSFKHGGAYWFTDLSTPDALYVFPVLTALSFLITVECNMQEGMEGNPVAGTMKNVSRGLAVLTVPFTMGFPKRLKFLV >Vigun03g295400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:48099934:48100569:-1 gene:Vigun03g295400.v1.2 transcript:Vigun03g295400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAYVIIFSLFLSQCSSVSNIVSKGCIEASKFDQGLSYDFCVAYLSNWQPPSSNAEDYWVNSIQLLKSNGTKLLSFISKLLNDKNFDERTKDSLKGCFDSYKDTLSELDAAVVAFKAKDLDTAAIKVSESLDTPLICQRSFNHPKGGNSSPLTKQNHAYFQLNVIPSVLIQILTKHSSSKLFYSYFHN >VigunL031900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:203703:204689:1 gene:VigunL031900.v1.2 transcript:VigunL031900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccsA MVFSTLEHILTHISFSVVSILISIHLITLLLGNEIIGLYNLFKKGMIITFFCITGLLVTRWIFSGHLPFSNLYESLIFLSWTFSIFYMVLCFKKQKNDYFNTIITPSILFTQGFATSGLLTEMHESLKLVPALQSHWLMMHVSMMILGYTTLLCGSLISVAILVITFQELIQILGKSKTLFFFNESFSFAEIKYMNMNDKKNILQQTPFPFYSSYRNYYRYQFIQQLDRWGYRTISLGFIFLTIGNISGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKNKKLEYRNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTPN >Vigun10g196300.4.v1.2 pep primary_assembly:ASM411807v1:10:40973162:40975298:1 gene:Vigun10g196300.v1.2 transcript:Vigun10g196300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMFSSTFPSLNFTFLRPCQLQPLSFTLPSSSAMAQPLPLRFAAKIHSLGVGREGAPSSDPKAGVSLYKPKSYQVLVNDAATSLAFALQDGKIRLEIDFPPLPTNVSSYKGSSDEFIDANIQLALAVVRKLQEKKETRACIVFPDKPEKRRASQLFKTALDSIDGITIGSLDDVPAGPMTSFFRSVRNTLDFDFEDENEGRWQSSDPPSIYIFLNCSTRELAYIEKYVYVELKECHDKDSIKRGLGSTPYNPWKTTMPKRN >Vigun10g196300.3.v1.2 pep primary_assembly:ASM411807v1:10:40973162:40978952:1 gene:Vigun10g196300.v1.2 transcript:Vigun10g196300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMFSSTFPSLNFTFLRPCQLQPLSFTLPSSSAMAQPLPLRFAAKIHSLGVGREGAPSSDPKAGVSLYKPKSYQVLVNDAATSLAFALQDGKIRLEIDFPPLPTNVSSYKGSSDEFIDANIQLALAVVRKLQEKKETRACIVFPDKPEKRRASQLFKTALDSIDGITIGSLDDVPAGPMTSFFRSVRNTLDFDFEDENEGRWQSSDPPSIYIFLNCSTRELAYIEKYVEKIAKSTPTLLFNLELDTLRADLGLPGFPAKDLHYRFLSQFTPVFYIRIREYSKTVAIAPYIVNYSGAVFRQYPGATMYMNGCLVCSNRHPHAPHLWKFIFFFFSLLMQSRIM >Vigun10g196300.2.v1.2 pep primary_assembly:ASM411807v1:10:40973162:40978952:1 gene:Vigun10g196300.v1.2 transcript:Vigun10g196300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMFSSTFPSLNFTFLRPCQLQPLSFTLPSSSAMAQPLPLRFAAKIHSLGVGREGAPSSDPKAGVSLYKPKSYQVLVNDAATSLAFALQDGKIRLEIDFPPLPTNVSSYKGSSDEFIDANIQLALAVVRKLQEKKETRACIVFPDKPEKRRASQLFKTALDSIDGITIGSLDDVPAGPMTSFFRSVRNTLDFDFEDENEGRWQSSDPPSIYIFLNCSTRELAYIEKYVEKIAKSTPTLLFNLELDTLRADLGLPGFPAKDLHYRFLSQFTPVFYIRIREYSKTVAIAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACIAESADRFSLGEAKEELLRVLGLQEEEGSSLEFLRRGYKASTWWEENFDSEVSSAWRT >Vigun05g028200.2.v1.2 pep primary_assembly:ASM411807v1:5:2266113:2270084:1 gene:Vigun05g028200.v1.2 transcript:Vigun05g028200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFNVNDVEDYLNPNNHVYRNCTCVSCFVQYFFTVYASIFRRGEVHAIPSSIQGAASMTSTASLDNSLSDIYRSPPRPLPYDADPRFFRSRREKGSSHLNEESDPLRGDADADTESLNLGGKRNHTSEDGSKEYRKSTVRLSSANLSTGAGVVYSSSEEEDVCPTCLEEYTEENPKIVTKCYHHYHLGCIYEWMERSENCPVCGKVMVFDETT >Vigun05g028200.5.v1.2 pep primary_assembly:ASM411807v1:5:2266113:2270084:1 gene:Vigun05g028200.v1.2 transcript:Vigun05g028200.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFNVNDVEDYLNPNNHVYRNCTCVSCFVQYFFTVYASIFRRGEVHAIPSSIQGAASMTSTASLDNSLSDIYRSPPRPLPYDADPRFFRSRREKGSSHLNEESDPLRGDADADTESLNLGGKRNHTSEDGSKEYRKSTVRLSSANLSTGAGVVYSSSEEEDVCPTCLEEYTEENPKIVTKCYHHYHLGCIYEWMERSENCPVCGKVMVFDETT >Vigun05g028200.4.v1.2 pep primary_assembly:ASM411807v1:5:2266113:2270086:1 gene:Vigun05g028200.v1.2 transcript:Vigun05g028200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFNVNDVEDYLNPNNHVYRNCTCVSCFVQYFFTVYASIFRRGEVHAIPSSIQGAASMTSTASLDNSLSDIYRSPPRPLPYDADPRFFRSRREKGSSHLNEESDPLRGDADADTESLNLGGKRNHTSEDGSKEYRKSTVRLSSANLSTGAGVVYSSSEEEDVCPTCLEEYTEENPKIVTKCYHHYHLGCIYEWMERSENCPVCGKVMVFDETT >Vigun05g028200.1.v1.2 pep primary_assembly:ASM411807v1:5:2266113:2270117:1 gene:Vigun05g028200.v1.2 transcript:Vigun05g028200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFNVNDVEDYLNPNNHVYRNCTCVSCFVQYFFTVYASIFRRGEVHAIPSSIQGAASMTSTASLDNSLSDIYRSPPRPLPYDADPRFFRSRREKGSSHLNEESDPLRGDADADTESLNLGGKRNHTSEDGSKEYRKSTVRLSSANLSTGAGVVYSSSEEEDVCPTCLEEYTEENPKIVTKCYHHYHLGCIYEWMERSENCPVCGKVMVFDETT >Vigun05g028200.3.v1.2 pep primary_assembly:ASM411807v1:5:2266113:2270086:1 gene:Vigun05g028200.v1.2 transcript:Vigun05g028200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFNVNDVEDYLNPNNHVYRNCTCVSCFVQYFFTVYASIFRRGEVHAIPSSIQGAASMTSTASLDNSLSDIYRSPPRPLPYDADPRFFRSRREKGSSHLNEESDPLRGDADADTESLNLGGKRNHTSEDGSKEYRKSTVRLSSANLSTGAGVVYSSSEEEDVCPTCLEEYTEENPKIVTKCYHHYHLGCIYEWMERSENCPVCGKVMVFDETT >Vigun05g291780.1.v1.2 pep primary_assembly:ASM411807v1:5:47798666:47800952:-1 gene:Vigun05g291780.v1.2 transcript:Vigun05g291780.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIICLSAILMQASRNGNDLWLSYWVDTTTESSQTRYSVSFYLAILCLFCIINSFFTLVRAFSFAFGGLETATKVHNKLLNKLMNAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGITIILCFVQVFLLLLLPFWYIYSRLQWLQFFYTSTSRELRRPDGVSRSPIYSSLTETLDGSSTIRAFKSEVINDCIGFAGTIASQ >Vigun01g185200.1.v1.2 pep primary_assembly:ASM411807v1:1:36538046:36547687:-1 gene:Vigun01g185200.v1.2 transcript:Vigun01g185200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAPPSEASNRPPRKRGRPPKHLPKEHDGDAMNRDKTTEHAYRESSPDDFDEGRNKFKRGRASEGTSSVAHKPSDQTLIEVIKGNGKFIPHAVKFWVERYEKDPKPAMVDLLTMLFEACGAKYYDKSDLVDETDVDEVVIALVNCAKRGTVEDYQNSKKKEIKNFKENLESFWDNLVRECQHGPLFDQVLFDKCMDYIIALSCTPPRVYRQVASLMGLRLVSSYITIANMLGAQRETTRRQLDAEKKKRTEGPRVESLNKRFSDTHERITLLEEMMRKIFTGLFVHRYRDIDPNIRMSCIESLGAWILSYPSLFLQDLYLKYLGWTLNDKNAGVRKSSINALQNLYEVDDNVPTLSLFTERFSGRMIELADDIDVSVAVHAIGLVKQLLRHQLIPEDDLGPLYDLLIDDPPEIRHAIGALVYDHLIAQKFNTFQSGSKDETVNNSEVHLKRMLRILEEFPQDPILSIYVIDDVWEYMAAIKDWKCIITLLLDENPSVELSDSDATNLVRLLCASVKKAVGERIVPATDNRKQYYNKAQKDVFENNKQEITVAMMKSYPLLLRKYISDKAKVSSLVEIVLHMNLEYYSLKRQEQNFKNLLQLMKDAFFKHGDKDPLRACVKAIDFCCMESQGELQDFARIKLKELEDEIIAKLKSAIKEVVDGGDEYSLLVNLKRLYELQLKRSVPIDSLYEDIVSVLRGSRNNMEDEVVGFLLLNMYLHLAWSLQSIANEEAVSSASLTSLLSKRDTLLQELEYFLNLAADNKEGGKPGSELACRVCTILAETWFLFRTTNFRKTQLETLGYQPDAIMLRKFWELCQQQLIISDDAEDEDVNKEYAVETNRDAVMIAAAKLIANDVVPKEDLASEIISHFVMHGTSVTEIVKHLITVLKKKDVDLAFIFLEALKKAYHRLPVNISGSENGSSENNPLGCKDLAARLSGTFIGAARMKYRPEILKVVRDGIEYAFIDAPKQLSFLEEAVLHFLPKLPAPDLNDILNDVQQRAQNVNTEENPSGWRPFHTFIAYLREKCAKNEGFQDEKEGVSVRRRGRPRKRQNIPGKKLFDDQSSSEDEDSISAYEQDAQDEGRRHEEEDEDAPLINSIRSSKLRSLGVSREESKAQTGNSSRATDNLSASRTSGASS >Vigun03g315300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:50929881:50931695:1 gene:Vigun03g315300.v1.2 transcript:Vigun03g315300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARYVPGASHVSTVDKIMLRFRPIAPKPVAASIPSDASSSESSDTLLKTRTTKRKCASDINCPAKRRTRRRKNTSSPKQKPPAVTLPLLPETPVRKVSPVMDLTPPGVKQAGSNDISNTNNYLKKNVPMWVSFEKRSLTSKVEPYGAMMGGWCSCVTVECVTSTWVEGEWLGSTDEERRVRLSRDTCPGFISDGHGRVTWTNEAYGEMMKGERQAPVFLVMKEGALVSCPSFTCKVKMVKYLFGRERGSLTLNCDVWRMDFGGFAWRLDVKTALRLGY >Vigun02g097600.1.v1.2 pep primary_assembly:ASM411807v1:2:25319551:25322837:-1 gene:Vigun02g097600.v1.2 transcript:Vigun02g097600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKKQLMSSAPWRGEESEVFEEGKLKVTRQGDGTATMHVPASKSNHLHQDEDSIEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPVMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGIGQEDKVRKVR >Vigun03g045200.2.v1.2 pep primary_assembly:ASM411807v1:3:3628644:3639364:-1 gene:Vigun03g045200.v1.2 transcript:Vigun03g045200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDFSKYTACCMGTEEYGHPAPEDQVDGENEDNAVEGIDLPRFHEFTIDQLRRATSGFAVENIVSEHGEKAPNVVYKGRLDNQMRIAVKRFNRNAWPEAQPFLEEARAVGQLRNQRLVNLLGCCCEGDERLLVAEYMSNDTLAKHLFHWENQPMRWAMRMRVALFLAQALEYCTSKGRALYHDLNAYRVLYDDDYNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDKNLQMLSDSCLEGELTNDDGTELVRLASLCLQSEPRERPNPKSLVAALIPLQKDSEVPSHVLMGIPDGAATFPLTPLGEACLRMDLTALHEVMEKMGYKDDEGAATELSFQMWTNQMQETLDSKKKGDAAFRLKDFKTAIDGYTQFIDVGTMVSPTVYARRSLCYLMSNMADEALNDAMQAQVISPVWYIAFYLQAVALLAMGKENDAQMALKEGSSLETKKSTN >Vigun03g045200.1.v1.2 pep primary_assembly:ASM411807v1:3:3628676:3639234:-1 gene:Vigun03g045200.v1.2 transcript:Vigun03g045200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASNQSPFTFPVFHSFDCLESLRLLREAPLKLIDQDCSDLLLTERVGMGCDFSKYTACCMGTEEYGHPAPEDQVDGENEDNAVEGIDLPRFHEFTIDQLRRATSGFAVENIVSEHGEKAPNVVYKGRLDNQMRIAVKRFNRNAWPEAQPFLEEARAVGQLRNQRLVNLLGCCCEGDERLLVAEYMSNDTLAKHLFHWENQPMRWAMRMRVALFLAQALEYCTSKGRALYHDLNAYRVLYDDDYNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDKNLQMLSDSCLEGELTNDDGTELVRLASLCLQSEPRERPNPKSLVAALIPLQKDSEVPSHVLMGIPDGAATFPLTPLGEACLRMDLTALHEVMEKMGYKDDEGAATELSFQMWTNQMQETLDSKKKGDAAFRLKDFKTAIDGYTQFIDVGTMVSPTVYARRSLCYLMSNMADEALNDAMQAQVISPVWYIAFYLQAVALLAMGKENDAQMALKEGSSLETKKSTN >Vigun05g067900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:5862163:5862774:1 gene:Vigun05g067900.v1.2 transcript:Vigun05g067900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKVTMKVSLMVFLIVFSAVDARFDPTSFITQVLPKSGENYVKSTSTACCDLCVCTRSIPPQCRCLDIVENSCHSACDYCICATSEPPMCRCMDQNSFCYPSCSSNQ >Vigun09g253800.1.v1.2 pep primary_assembly:ASM411807v1:9:42045278:42048785:-1 gene:Vigun09g253800.v1.2 transcript:Vigun09g253800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVICDEHGIDPTGKYNGLGNSDIQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKNLKMSSTFIGNSTSIQEMFRRVSEQFTAMYRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEDFDEEGEEEQYDH >Vigun03g125900.1.v1.2 pep primary_assembly:ASM411807v1:3:11889008:11895902:1 gene:Vigun03g125900.v1.2 transcript:Vigun03g125900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACHVGSWILKWRLSITENESSEKSCLSLATSRIHSCVCENINRPTLKVGMKSSSFAQNCFLGRRAEWKIAFALNTGGVSGNGDQQSFNEGGSNLGGTRLGRILSAGGKQLLEKLNSTRKNLPLKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLIYRMPATARPGRLQSFLVMVNFWKAGICLGLFVDAFKLGS >Vigun08g121100.1.v1.2 pep primary_assembly:ASM411807v1:8:28944116:28950140:1 gene:Vigun08g121100.v1.2 transcript:Vigun08g121100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHSPMDVDGGEKCYESTKRKRGSRKKKLLVNDRVEVKSVEEGFLGSWHPGTVVRCEKQKRYVKYDNILDDDESDYLVDGVCVSAVLDGHSSSDFSNEQGFIRPLPPPFEFGERDLPFGLCVDVNYQEGWWEGVIFDHCNGMGERSVLFPDLGDEMKVGTHQLRITQDWDEATENWEPRGKWVFLEVVQECEKESFVAVSVKQIWYDIRTREDFDNIKHWTCNDKDLWRALVEEVVGDYYVLTLEEVFPALNLPEDFLKETPELNSVEPTDNIHCDAAHTNAFGSDTGVSDSPMEKSDSIICFKEEYDRDPLMDGASEKKIIVQEEPVSAVQEIMTGAGEIKQNGSCCSKKRKRRSSVSVSWKPVALTKVEFCPDVVNQYLLGCGSKTIRELLKIKVWKHLAYLGWKIEWTEDRHHPGRGRYRYMSPDAQDEKLYYSIIQVLTHMQLKSNVNTMHPQVDQSRIGSANGSNNSLLLSDQDLDVCPPKGSVGHVDSDDLSQTLSQFLRKKPELRSASPANVSTENRKHKHSRNSKAIMPKCDRKGSRRPRPECLRSSKRVLSAPTVSQQRPQNVLSWLIDREILMPRCKVYYWEEGGSNTDSAQGRVTYDGIKCSCCQKIYGIRGFVNHAGGSGECKPAASIFLKDGRSLLDCMIEAMHDDRTREDMNKPCNDLLRGENDDICSVCQYGGELILCDQCPSAFHWTCLGLNDIPHGDWFCPSCCCAICSQIKTEGSENVPFLTCIQCERKYHVGCLKNREKDKSRRYMENWLCGEECEQIYAGLQSLLGKPLIVGPNNLTWTLEKLNNSESSDVGSSLNDLLAEKYSKLSVALSVMHECFDPLKNPFTGRDIIDDVIFNSRSELNRLNFQGFYIVLLEQNEELISVATIRVFGQKVAEVPLVGTRIQYRRLGMCRILMNELEKNLMQLGVERLVLPAVPAVLETWTKSFGFAQMSNFDRSQFLDCAFLDFQETVMCQKLLTRIPSPQSGVTRETQRKAHDVFTLRCRIEFEKSSSASEVDQAEEIERSRMDQQVVECVSTLPTS >Vigun01g232500.1.v1.2 pep primary_assembly:ASM411807v1:1:40460222:40461980:1 gene:Vigun01g232500.v1.2 transcript:Vigun01g232500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEQKRASLHEKLQLLRSVTNSHALKKTSIIIDASKYIQELKQKVEELNQDLANAQTSTDQNTLPMVTVETTEKGFVINVFSAKSCPGLFVSILESFEEMSLNVVEARATCTDTFLFHAVGGKNEEQGKNIDARAVKQAMGQAIKNWSQNADQK >Vigun08g050600.1.v1.2 pep primary_assembly:ASM411807v1:8:5833803:5838827:-1 gene:Vigun08g050600.v1.2 transcript:Vigun08g050600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLLLFLLMLLLILHKPISTLASPYPFAPSPQVPPFSPFPNSMSASSPGIVMGAEQRQMDSHKKMVIAIAIASTSLGAVILSVLCIWIYHTKYPSKSKGKNVQRSDAEKGLTSAPTLSKFSSIKLVGKKGCVPIIDYKQIEKATGNYKESNILGEGGFGCVYKARLEDNLEVAVKKLHCENPCAEQEFENEVELLSKIQHPNVISLLGCSSNEDTRIIVYELMHNGSLETQLHGPSHGSALTWHLRMKIALDTARGLKYLHEHCYPAVIHRDLKSSNILLDIKFNAKLSDFGLAITNGTQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGKKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLIADVLHSLIPLVPVELGGTLKVAQLPQQVLPGNPHEDSSP >Vigun08g050600.2.v1.2 pep primary_assembly:ASM411807v1:8:5833803:5838827:-1 gene:Vigun08g050600.v1.2 transcript:Vigun08g050600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFRKFKRRGIVMGAEQRQMDSHKKMVIAIAIASTSLGAVILSVLCIWIYHTKYPSKSKGKNVQRSDAEKGLTSAPTLSKFSSIKLVGKKGCVPIIDYKQIEKATGNYKESNILGEGGFGCVYKARLEDNLEVAVKKLHCENPCAEQEFENEVELLSKIQHPNVISLLGCSSNEDTRIIVYELMHNGSLETQLHGPSHGSALTWHLRMKIALDTARGLKYLHEHCYPAVIHRDLKSSNILLDIKFNAKLSDFGLAITNGTQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGKKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLIADVLHSLIPLVPVELGGTLKVAQLPQQVLPGNPHEDSSP >Vigun09g158800.1.v1.2 pep primary_assembly:ASM411807v1:9:32565855:32569759:-1 gene:Vigun09g158800.v1.2 transcript:Vigun09g158800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKEKQVQMGLYLKQRLLLVLLTAAVAASAKTQPISKPKCPTKCGNVSIPFPFGLTKHCSLNTSFLITCNQTLSPPTPFLNTTYENVRVLNISLDGQLHVSLPVAKSCVVDNKTGESYNEVIFIMFDRPFHLSSNQNKLTVLGADTAGVVYDQDSRSKTRYAPTSCVSLNTTIYNNTHDDSCSGTFCCETPIQHRLSEFAYISASNIFDDNYTKPCGYAFLVKEGAYDFSITDLINFNRSNTFPVVVDWAVGNTCIDAQKNASSYACKSKYSECHNAIVGPGYHCKCSIGFRGNPYLPHGCQDVDECTEESHDCLKGRSICINSPAGSYSCSCLKGYEGDGKINGSGCVSRSNRKIIIALSVSGSILALVGGTLYLCCTLKKRKLNKLKEHFFQLNGGMLLQQQIGRHSDSNELTKIFTVEELKEATNNFNEEMVLGEGGEGTVYKGILPDNRTVAIKKSRISNPNQIEHFINEVILLCQINHRNVVKLLGCCLETEVPLLVYEFVPNGTVYDHLHDQTKSLRLTWKRRLQIAVETGGALAYLHSATHAPIIHRDVKTSNILLDHNLTAKVSDFGASKIIPLDRSQLTTLVMGTLGYLDPQYFHSSQLTEKSDVYSFGVVLVELLTGEKALCFERPEAYRNLAVHFLSSMNEGRLLDIVDSRIMDEANVEQLMDVANIASHCLRLKGEERPTMREVAMGLEEINIVEKHQWEKVNLSSEETENVNLSSSSFSVDGVSRRSMHSSSDILNRISLSLTSGR >Vigun04g054300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:5189805:5190297:1 gene:Vigun04g054300.v1.2 transcript:Vigun04g054300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNMMGVLIGIIGATLTLFAYSQTFISPSQCITLGLIVLMLGLLVGEGLISF >Vigun03g065100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:5385926:5388110:-1 gene:Vigun03g065100.v1.2 transcript:Vigun03g065100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVMKPTDGTVGGTQTQHQSNFNYKSFPCGPLFPTPTFSSSSYAIISHPPHVSTLFPSYPSKINTKHVTLPLTLSLFFKHPFLVRSIAHLFLCLLTTMVRDDLYITVPSFFRCPISLDVMKSPVSLSTGVTYDRSSIQRWLDNGNNTCPATMQVLQTKEFVPNRTLQRLIQIWSDSLHHPLHPPLSPTSTHSQSLPSKEQILLAISDLQTRHDNRFDSLAKIARFAQDSEENRDFLVRTECFVPLLVGFLDNVNGGVEFLEQVVTALDLVIGKMEDREGLKNLILKRQGEAEKQSLDSLLVALRQGSHATQIASVRVLTSVAVDVESKLMIAEKEGLVSELLKLITPEKDPDLIENCLSCLVAISSPRRSKMKLVRLGAVKVLSKLLCGSNMSVSMTEKVLKLVETVSSTKEGRLEIGEDSACVAAIVNKVLKVSSVATEHAVTTLWSVCYLFRDETAQEAVTKANGLTKILLLMQSNCSAQVRQMSADLLKIFRVNSKSCLSSYDTKTTHIMPF >Vigun03g211550.1.v1.2 pep primary_assembly:ASM411807v1:3:35012707:35013586:-1 gene:Vigun03g211550.v1.2 transcript:Vigun03g211550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGLSSLNPRAMRNLKKIYAIPNSVFRSDAARSSLSLFLSLSLSRRWCHRWWSSVVLWRRWSTLGGGRSVVVELRKNGGVRFSISTHTAQFPSLSVVSVAVVPTGGGRRSFFGSGGRRWWRPFGGGRRSFFGGGGRRWYRPFGGGRADASFARAAPVPSHFPFRSR >Vigun03g211550.2.v1.2 pep primary_assembly:ASM411807v1:3:35012707:35013586:-1 gene:Vigun03g211550.v1.2 transcript:Vigun03g211550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGLSSLNPRAMRNLKKIYAIPNSVFRSDAARSSLSLFLSLSLSRRWCHRWWSSVVLWRRWSTLGGGRSVVVELRKNGGVRFSISTHTAQFPSLSVVSVAVVPTGGGRRSFFGSGGRRSFFGGGGRRWYRPFGGGRADASFARAAPVPSHFPFRSR >Vigun07g163000.2.v1.2 pep primary_assembly:ASM411807v1:7:27449313:27455875:1 gene:Vigun07g163000.v1.2 transcript:Vigun07g163000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVQTILVGNKSDLKDAREVATAEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMMSQELNKSVSHIENGKTVVLQGEGEKEEGAAAAAADAEAAKGCC >Vigun07g163000.1.v1.2 pep primary_assembly:ASM411807v1:7:27450584:27455816:1 gene:Vigun07g163000.v1.2 transcript:Vigun07g163000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVQTILVGNKSDLKDAREVATAEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMMSQELNKSVSHIENGKTVVLQGEGEKEEGAAAAAADAEAAKGCC >Vigun09g151900.1.v1.2 pep primary_assembly:ASM411807v1:9:31615574:31620742:1 gene:Vigun09g151900.v1.2 transcript:Vigun09g151900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopropyl transferase [Source: Projected from Oryza sativa (Os06g0528600)] MEAGAGKGLECPKTMDGKASNGNGIEKEIPSCCLKAKASAPESEAKCHSTVVSGWFSASQTCSGKSVKPDYFNNPMWPGEAHSIKVEKILYREKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREVSRHSSVEHIDICEIDQMVIDVSRKFFPDLAVGFEDSRVHLHVGDAVEFLKSAPEGRYDAIIVDSSDPVGPAQELVEKPFFDTIARALRPGGVLCNMAESMWLHTHLIQDMISICRETFKGSVHYAWASVPTYPSGVIGFLLCATEGPPVDFVNPINPIEKLEGANEHKRELRFYNSEMHSAAFALPGFLKREVSLLRDPSLQ >Vigun05g014700.1.v1.2 pep primary_assembly:ASM411807v1:5:1186340:1189190:1 gene:Vigun05g014700.v1.2 transcript:Vigun05g014700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRREKAMVNVMDWQKQRWQPNGNAEMMYVKVMTDEQLETLRKQIAVYATICEQLIEMHKTISSHQDLAGIRLGNMYCDPLLGSGGHKIASRQRWTPTAMQLQILERIFDQGTGTPSKEKIKEITAELSQHGQISETNVYNWFQNRRARSKRKQQNVAPGVNAESEVETEVDSKDKKTKADDFWSQLNVSAGPSDNLGFQNHDDLQYLNENPESNKPDSVFPSDGSLRSTRNFNHVPVFDGLLSNPGNGYLTGKIEAPENYDLYQPSDDFDMAG >Vigun05g014700.2.v1.2 pep primary_assembly:ASM411807v1:5:1185990:1189190:1 gene:Vigun05g014700.v1.2 transcript:Vigun05g014700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVMDWQKQRWQPNGNAEMMYVKVMTDEQLETLRKQIAVYATICEQLIEMHKTISSHQDLAGIRLGNMYCDPLLGSGGHKIASRQRWTPTAMQLQILERIFDQGTGTPSKEKIKEITAELSQHGQISETNVYNWFQNRRARSKRKQQNVAPGVNAESEVETEVDSKDKKTKADDFWSQLNVSAGPSDNLGFQNHDDLQYLNENPESNKPDSVFPSDGSLRSTRNFNHVPVFDGLLSNPGNGYLTGKIEAPENYDLYQPSDDFDMAG >Vigun03g198900.2.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582165:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITFTSPFLFNTLSLSSQETVDLYSLALNSKKVVSLKAQICLSFFLSFFLPLPLEMTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMEDPFKESFYRAEAIDAIVVALDCQVCNDITQEQSARALLLLAGNFTYTGESLMERTFLHKAGFQENCMEGSSYGREIVVYDSAHKSEEDNEAETWRRTTACVLFQSGNKNLLKALSDSLTNGVPSLARASLVTISWMSSYLHLVDDRKFPPLAFSILTPLLLKSLNYDKEVEARVLASYSLLCLVKNSGCVSFLASVDKDSIKNLQNLSLVTWTANELIAIISKSSLQYKRMKNKAHF >Vigun03g198900.1.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582165:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITFTSPFLFNTLSLSSQETVDLYSLALNSKKVVSLKAQICLSFFLSFFLPLPLEMTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMEDPFKESFYRAEAIDAIVVALDCQVCNDITQEQSARALLLLAGNFTYTGESLMERTFLHKAGFQENCMEGSSYGREIVVYDSAHKSEEDNEAETWRRTTACVLFQSGNKNLLKALSDSLTNGVPSLARASLVTISWMSSYLHLVDDRKFPPLAFSILTPLLLKSLNYDKEVEARVLASYSLLCLVKNSAGCVSFLASVDKDSIKNLQNLSLVTWTANELIAIISKSSLQYKRMKNKAHF >Vigun03g198900.7.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582323:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMLFCRKILSKRAFIEQKQLTQLWLL >Vigun03g198900.3.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582165:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITFTSPFLFNTLSLSSQETVDLYSLALNSKKVVSLKAQICLSFFLSFFLPLPLEMTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMLFCRKILSKRAFIEQKQLTQLWLL >Vigun03g198900.5.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582323:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMEDPFKESFYRAEAIDAIVVALDCQVCNDITQEQSARALLLLAGNFTYTGESLMERTFLHKAGFQENCMEGSSYGREIVVYDSAHKSEEDNEAETWRRTTACVLFQSGNKNLLKALSDSLTNGVPSLARASLVTISWMSSYLHLVDDRKFPPLAFSILTPLLLKSLNYDKEVEARVLASYSLLCLVKNSAGCVSFLASVDKDSIKNLQNLSLVTWTANELIAIISKSSLQYKRMKNKAHF >Vigun03g198900.8.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582323:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMLFCRKILSKRAFIEQKQLTQLWLL >Vigun03g198900.4.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582165:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITFTSPFLFNTLSLSSQETVDLYSLALNSKKVVSLKAQICLSFFLSFFLPLPLEMTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMLFCRKILSKRAFIEQKQLTQLWLL >Vigun03g198900.6.v1.2 pep primary_assembly:ASM411807v1:3:28577651:28582323:-1 gene:Vigun03g198900.v1.2 transcript:Vigun03g198900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRELLTEEGFYQTTSNLNPLKPKFKFSPQTPEIIINSLPLHICNDRKSLDCSNNNSTIPSGSWSFQSNSQRVGSLSEIWKNSKSLFSPGSRTVGPPMNEVATRAVVSILSGYIGRFVKDDHFRKIVRDKCSSYLIRRRNGSGSEEEVLVNMKLAMENIDKLVQDQGTRKEIKMESLRNSIELLTIVSSLNSKSKTPREAGSTCGIPNSHISACAQLYLAIVYKLQKNNRICSRHLLQVFSDSPFLARTYLLPDLWEHVFLPHLLHLKIWYAEELDALSASTECQDVKENTMRTLSKEYGKKVDTGTALFALYYKQWLKVGANEPPLPVVPLPSRPSRGSSRKMSSDSFVLSSSINKNLYKEVFGPKLELKSTTLADQNGLLTIKWGSGNDENLYGDDYNRSSLQKQDTVFLGKSSRTIDDSYAELKQGSQRLDYFQCFSCRSMQAESLVSSNYASCNASFRNEATVLSSEFVGAITTICSSDTLSECEFAIRVIAKAWLNSHVDPLIEEAVSQSSVVEAILEVLFASSIDEILELAISVLAELVGRNDAIREIILSWDPLLDIFVRLLRKTGLFLKAAVLLYLLKPQAKQMLSPEWVSLVLRVLEFGDKVLTLFTVQCCPQEAAIYFMDQLLTGFDEVKNLENARLVVSLGGLPFLMKRIEEGEVHQRNKAVFIIYSCIYAEGSCRSFLSDNIKKSSLLELIVLSNSKSCSGCAFAVLAELLYLERTTKILKFLRGLKDGWGGLNTMHILFIYLQRAPPEQRPLVAAVLLMLDIMEDPFKESFYRAEAIDAIVVALDCQVCNDITQEQSARALLLLAGNFTYTGESLMERTFLHKAGFQENCMEGSSYGREIVVYDSAHKSEEDNEAETWRRTTACVLFQSGNKNLLKALSDSLTNGVPSLARASLVTISWMSSYLHLVDDRKFPPLAFSILTPLLLKSLNYDKEVEARVLASYSLLCLVKNSGCVSFLASVDKDSIKNLQNLSLVTWTANELIAIISKSSLQYKRMKNKAHF >Vigun11g076900.1.v1.2 pep primary_assembly:ASM411807v1:11:22707169:22708282:-1 gene:Vigun11g076900.v1.2 transcript:Vigun11g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAIIQCSSSSSSQVTRIYDVFVSFRGEDTRNNFTDFLFQALRRKGFDAFKDDADLREGESIAPELQQAIEGSRVFIVVFSKNYASSTWCLRELAHICYLVETPGRHVLPIFYDVDPSNVRKQSGYYEKPFVEFEERFREDNEWMEEVQRWREALTQVANLSGWDIRNRPQYEVIEVIVQKVTDILGHKFSSLPNDDLVGMEFRVEELEKLLYSESSNEVRVFGISGMDGVGKTTLVRALYERIRHQYDFTCYTDDVNQIYGDSSTLGVQKQLLSCSLNEKYEEICNVSEELI >Vigun07g207100.2.v1.2 pep primary_assembly:ASM411807v1:7:32837775:32838477:1 gene:Vigun07g207100.v1.2 transcript:Vigun07g207100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRNTVVMTILCFILIQELWIYSENKHMVANKIDCHGECINRCRRADRYRMCMRACNTCCERCNCVTSVTYGNTHFCPCYATITAQETSSVLKHLFDVSQMLCL >Vigun11g058700.3.v1.2 pep primary_assembly:ASM411807v1:11:12531320:12553819:-1 gene:Vigun11g058700.v1.2 transcript:Vigun11g058700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTLPLQLRCCHHLSQQPPLPNPISPKPFYPPVVPSVPRPRIGPGLRASFPVLSGSVFPEDEPDVELGRLLALLPEEMRRRVSDHAELPQLIEVVMDLGRKPLARFPSGDFVISEYPITVQDIEHATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLIKDGASLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPNSDAQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCGVEIISKTELRIHRSLEATVDAILSGRFPNVEIRKMKSQEQEEILDNGPVSDSSLQKNGEIMFEEITEGADDQTSQNELLFKLPVDLVEDSWEHKSPLSLFCYGTGQ >Vigun11g058700.1.v1.2 pep primary_assembly:ASM411807v1:11:12531320:12553819:-1 gene:Vigun11g058700.v1.2 transcript:Vigun11g058700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTLPLQLRCCHHLSQQPPLPNPISPKPFYPPVVPSVPRPRIGPGLRASFPVLSGSVFPEDEPDVELGRLLALLPEEMRRRVSDHAELPQLIEVVMDLGRKPLARFPSGDFVISEYPITVQDIEHATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLIKDGASLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPNSDAQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCGVEIISKTELRIHRSLEATVDAILSGRFPNVEIRKMKSQEQEEILDNGPVSDSSLQKNGEIMFEEITEGADDQTSQNELLFKLPVDLVEDSWEHKSPLSLFCYGILDATVIQGIKQLKINDGGIQLTDNISEANALLALQSKLKKNPGIQAAARSHDIPIYVTKTSSLDHVTKAIRALVSDYEDGVKDFEVTDKIKSSEMIDALEEARMAIEHTVILKGEPVDLLPRSSHIISQQLELVRKYQLEIRKISGESGVHLRILPSHYETDEVKSSETFEFDSELNEFSSNGQVNGSFNTLDRLPLLPE >Vigun11g058700.4.v1.2 pep primary_assembly:ASM411807v1:11:12540871:12553819:-1 gene:Vigun11g058700.v1.2 transcript:Vigun11g058700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTLPLQLRCCHHLSQQPPLPNPISPKPFYPPVVPSVPRPRIGPGLRASFPVLSGSVFPEDEPDVELGRLLALLPEEMRRRVSDHAELPQLIEVVMDLGRKPLARFPSGDFVISEYPITVQDIEHATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLIKDGASLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPNSDAQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCGVEIISKTELRIHRSLEATVDAILSGRFPNVEIRKMKSQEQEEILDNGPVSDSSLQKNGEIMFEEITEGADDQTSQNELLFKLPVDLVEDSWEHKSPLSLFCYGVLSLHPQALVFLQVE >Vigun11g058700.2.v1.2 pep primary_assembly:ASM411807v1:11:12531320:12553820:-1 gene:Vigun11g058700.v1.2 transcript:Vigun11g058700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFTLPLQLRCCHHLSQQPPLPNPISPKPFYPPVVPSVPRPRIGPGLRASFPVLSGSVFPEDEPDVELGRLLALLPEEMRRRVSDHAELPQLIEVVMDLGRKPLARFPSGDFVISEYPITVQDIEHATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLIKDGASLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPNSDAQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCGVEIISKTELRIHRSLEATVDAILSGRFPNVEIRKMKSQEQEEILDNGPVSDSSLQKNGEIMFEEITEGADDQTSQNELLFKLPVDLVEDSWEHKSPLSLFCYGEARMAIEHTVILKGEPVDLLPRSSHIISQQLELVRKYQLEIRKISGESGVHLRILPSHYETDEVKSSETFEFDSELNEFSSNGQVNGSFNTLDRLPLLPE >Vigun11g007400.1.v1.2 pep primary_assembly:ASM411807v1:11:801883:804013:-1 gene:Vigun11g007400.v1.2 transcript:Vigun11g007400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSLLNAILRLGLVITFCYVVTAAATAEVSGTEVNTNGNLGSEELTKTTLNEHYEDEKFLFHHHKPLFKKPFFKPVPKPIPFVKPVYKPYPKPVPVPVYKPHPKPVPVYKPKPVPVPVYKPHPKPVPVYKPHPIPVYKPHPIPVYKPKPVPVPVYKPIPKPVYKPVPIYKPVPVFKPVPTPIPVIKPIPKPVPFYKPIPVFKPVPTPFPIIKPVPKPVPFVKPIPIFKPIPKPIPFFKPIPKHIP >Vigun01g128400.1.v1.2 pep primary_assembly:ASM411807v1:1:30629293:30631068:-1 gene:Vigun01g128400.v1.2 transcript:Vigun01g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVWRFSSDATRVQLLLFQPHLNGFSKPKPIPSFSSALSYRQLKTVSNLFSDIIPLFTVKASSSIKEDLTNNVTILRDELIRESSDSVRVQSILDDNYDLLNRRYPKGYAFFELMSCLDSNPSLALQVFNWRRKRCNAENPMDASEYSKGIITAGRSGNINYAVKLFKEAATKGLKTTGTYNALMSAFSFNDFADSCQTLFCDLKRDPTCDPSIVTYNILISSFGSLMLVDHMEATFCEIRKLDLAMNISTYNNLIAGYITAWMWDDTEKVFQMLKSSPVQPNMKTYLLMLRGYANSGNLEKMEEMYSLVRDHVNENEIPLIRCMICAYCRSSDSDKVKKIELLLKFIPEKEYRPWLNVLMIKLYAKEDLLEKMENAINEAFEHGTSIRTKIIMRCIIATYYRCNAVEKLENFVRRAEISGWSTCRSLYHCKLVMYGSQKPLHELYRVLEEMENVKLACTKKTLWIMHKAYTNRGQSSMVLKTLGLMFKHGYQFPLDAFPS >Vigun06g224200.1.v1.2 pep primary_assembly:ASM411807v1:6:33279492:33281371:1 gene:Vigun06g224200.v1.2 transcript:Vigun06g224200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSFYSLLFLFFALGFVNTVHGQGTRQGFYSSSCPRAESIVKSTVQSHVKSDPTLAAGLLRMHFHDCFVQGCDGSVLISGANTEKTAFANLGLRGFEVVDDAKTQLEAACPGVVSCADILALAARDSVVLSGGLSYQVPTGRRDGRISQASDVSNLPAPFDSVDVQKQKFTAKGLNTQDLVTLLGAHTIGTTACQFFSNRLYNFTANGPDSSIDPSFLPQLQSLCPQNGDGSNRVALDTGSQRVFDLSYYNNLRRGRGILQSDQALWNDDSTQKIVQGYLGLIRGLLGLKFNVEFGNSMVKMGNIELKTGTDGEIRKICSAIN >Vigun10g164200.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:38341804:38342379:-1 gene:Vigun10g164200.v1.2 transcript:Vigun10g164200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding HGDSLQNFCKADLKGPDGPAGYHCVPAETVTDEDFKYSFNGKPGIQVPNNAVLFPASVMEAPMFNGMGISAGRVLLRRGGSLPVHSHGASEILYVTQGAMNVTILATRRAYVNYLKEGEFMIIPKCRLHFLDNVGRGEAIGFAAYSSANPRFHFQHLEMYASNVPSPTLSRVTFLDVDQIRRNKARFNGTG >Vigun10g164200.1.v1.2 pep primary_assembly:ASM411807v1:10:38341804:38343571:-1 gene:Vigun10g164200.v1.2 transcript:Vigun10g164200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVMMVLQNFCKADLKGPDGPAGYHCVPAETVTDEDFKYSFNGKPGIQVPNNAVLFPASVMEAPMFNGMGISAGRVLLRRGGSLPVHSHGASEILYVTQGAMNVTILATRRAYVNYLKEGEFMIIPKCRLHFLDNVGRGEAIGFAAYSSANPRFHFQHLEMYASNVPSPTLSRVTFLDVDQIRRNKARFNGTG >Vigun04g079900.2.v1.2 pep primary_assembly:ASM411807v1:4:11358686:11361792:-1 gene:Vigun04g079900.v1.2 transcript:Vigun04g079900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGTAQKLHTVLVEKNHSTTTTLPLINTQGDMSNKDPGIRLFGRKIPLPESQIPATSQNAYNGIKKKEVEMPCAENSENSKQETPHNNPQENESKVNSKAVENNTESSSTDEDKILKKPDKILHCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHLASQYRHIIVSCDGIPTTSDSSCHQLSTTLQSAAVFRSSTDNGTVLKFSPDAPPLCESMESMLNLKEQNHEKVEEEVSLCGSSSMTNACTPRNKSTEPTASKPLQCYPVPPWIFPWNQDWNNVASTASVHPSSLQICNPYTSADPASMQWCPTPIMAVPTIYPPSFPLQFVPGSYWGGTGAASTGFNGCLSPTSSTSNSCCSGNGSPTLGKHTRDNLSTDEQKSDMCVLVPTTLTIDDPNGASKLASSGTNPDNQQCVPEGAISKRAKAREGKDRVLGVSQILEANPAAISRAHAFQEGI >Vigun04g079900.1.v1.2 pep primary_assembly:ASM411807v1:4:11358686:11361792:-1 gene:Vigun04g079900.v1.2 transcript:Vigun04g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGTAQKLHTVLVEKNHSTTTTLPLINTQGDMSNKDPGIRLFGRKIPLPESQIPATSQVGYQIPANSATMNAYNGIKKKEVEMPCAENSENSKQETPHNNPQENESKVNSKAVENNTESSSTDEDKILKKPDKILHCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKHLASQYRHIIVSCDGIPTTSDSSCHQLSTTLQSAAVFRSSTDNGTVLKFSPDAPPLCESMESMLNLKEQNHEKVEEEVSLCGSSSMTNACTPRNKSTEPTASKPLQCYPVPPWIFPWNQDWNNVASTASVHPSSLQICNPYTSADPASMQWCPTPIMAVPTIYPPSFPLQFVPGSYWGGTGAASTGFNGCLSPTSSTSNSCCSGNGSPTLGKHTRDNLSTDEQKSDMCVLVPTTLTIDDPNGASKLASSGTNPDNQQCVPEGAISKRAKAREGKDRVLGVSQILEANPAAISRAHAFQEGI >Vigun08g058800.1.v1.2 pep primary_assembly:ASM411807v1:8:7541507:7544499:1 gene:Vigun08g058800.v1.2 transcript:Vigun08g058800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSEAYRDHPLHLHHIIPLDFSSLRTLPETHAWPQSEDAHHHHHGVGSCIPIIDLMDPNAMELIGLACENWGAFQLKNHGIPLNVVQEVEEEAKRLFALPADRKLKALRSAAGATGYGRARISSFFPKHMWHEGFTIMGSPCDDAKKIWPNDHTRFCNIMENYQKQMKLLAEKLTHMILSLLEISEDEKGWIGSSNLCEAVQLNFYPCCPEPNRAMGLAPHTDTSLFTILHQSQTSGLQIFKEGAGWVSVHPHPNTLVVHTGDILHILSNSRFRCALHRVMVNSARQRYSVAYFYGPPLDYVLSPLLLLTSFPRFRSLSVKDYIGLKANNLGEALSLISTIHN >Vigun03g259700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:42580425:42581384:1 gene:Vigun03g259700.v1.2 transcript:Vigun03g259700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSTKLPQAEVIKQIVRRCSSFGKKHGYDEEGLPEDVPKGHFAVYVGENRTRYIVPISWLAHPQFQTLLQRAEEEFGFNHDMGLTIPCDELVFEFLTSMIRS >Vigun02g100966.1.v1.2 pep primary_assembly:ASM411807v1:2:25581197:25581675:1 gene:Vigun02g100966.v1.2 transcript:Vigun02g100966.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLEIVDNLGSLVQDQLATYWDVDEQTQKLSSNLTAIRAVLRDADRKQITSHVVKDWLQKLTDAAYVLDDICSIQSRKVHSDGEQKKQEDVNDWRQTSSVITEPIFCGRDQDREKILKCLFGRC >Vigun05g293500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47954731:47958764:-1 gene:Vigun05g293500.v1.2 transcript:Vigun05g293500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAILSRIKPRHRLKTSSSLPPHINNLVSDVIQILKSHQSQEPLQSRFAQSNVVVSDVAHFVIDRVHDPELALKFFDWASTRPFSCSLDGVAHSSLLKVLARFRVFSEIESVLESMKAQNLVPTRGAFSALILAYGESGSVDRALQLFHAVREMHNCFPSVAASNSLLNALVMSGKVDVALQLYDKMLQTDDGNGAAVDNYSTSIMVKGLCNSGKIEDGWRLIKDRWGKSCVPHVVFYNMIINGYCKKGDLQCATRTLKELKMKGVLPTVETYGALINGFCKAGEFEAVDQFLTEMAARGLNTNVKVFNNIIDAEYKHGLVAKAAETMRRMAEMGCEPDITTYNVMINFSCRGGRTKEADELIEKAKERALLPNKFSYTPLMHAYCKQGDYNKASSMLFRVAELGEKPDLVSYGAFIHGVVVAGEIDVALMVKEKMKEKGVFPDAQIYNVLMSGLCKSGRFSAMKLLLSEMLDRNVQPDAYVYATMIDGFIRSGELDEAIKLFEVIIRKGIDPGVVGYNAMIKGFCKFGKMTDALSFLNKMKNVHHAPDEYTYSTVIDGYVKQHDMSSALKMFGQMMKHKFKPNVITYTSLINGFCKKADMIRAEKVFGGMKTFNLEPNVVTYTTLVGGFFKAGRPEKATSTFELMLMNGCFPNDATFHYMVNGLTNTALTPALVEKSDSKENERSLILDFFTMMILDGWEPVIAAYNSIIVCLCKHGMVDTAQLLQTKMLNKGFLIDSVCFTALLHGLCQKGKSKEWRNIVSCDQSKIDLQTAVKYSLTLDKYLYQGRLSEASIILQTLIEDSKFSEQLMKM >Vigun05g293500.2.v1.2 pep primary_assembly:ASM411807v1:5:47954731:47958764:-1 gene:Vigun05g293500.v1.2 transcript:Vigun05g293500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAILSRIKPRHRLKTSSSLPPHINNLVSDVIQILKSHQSQEPLQSRFAQSNVVVSDVAHFVIDRVHDPELALKFFDWASTRPFSCSLDGVAHSSLLKVLARFRVFSEIESVLESMKAQNLVPTRGAFSALILAYGESGSVDRALQLFHAVREMHNCFPSVAASNSLLNALVMSGKVDVALQLYDKMLQTDDGNGAAVDNYSTSIMVKGLCNSGKIEDGWRLIKDRWGKSCVPHVVFYNMIINGYCKKGDLQCATRTLKELKMKGVLPTVETYGALINGFCKAGEFEAVDQFLTEMAARGLNTNVKVFNNIIDAEYKHGLVAKAAETMRRMAEMGCEPDITTYNVMINFSCRGGRTKEADELIEKAKERALLPNKFSYTPLMHAYCKQGDYNKASSMLFRVAELGEKPDLVSYGAFIHGVVVAGEIDVALMVKEKMKEKGVFPDAQIYNVLMSGLCKSGRFSAMKLLLSEMLDRNVQPDAYVYATMIDGFIRSGELDEAIKLFEVIIRKGIDPGVVGYNAMIKGFCKFGKMTDALSFLNKMKNVHHAPDEYTYSTVIDGYVKQHDMSSALKMFGQMMKHKFKPNVITYTSLINGFCKKADMIRAEKVFGGMKTFNLEPNVVTYTTLVGGFFKAGRPEKATSTFELMLMNGCFPNDATFHYMVNGLTNTALTPALVEKSDSKENERSLILDFFTMMILDGWEPVIAAYNSIIVCLCKHGMVDTAQLLQTKMLNKGFLIDSVCFTALLHGLCQKGKSKEWRNIVSCDQNLD >Vigun11g101700.1.v1.2 pep primary_assembly:ASM411807v1:11:29845360:29848538:1 gene:Vigun11g101700.v1.2 transcript:Vigun11g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGNVKGEEAFRTTRVPPWTKQITVRSVVTSFVLSLVFNFIVCKLNFTTGIIPSLNVAAGLLGFAVIKSYTTLLNSCGLLREPFTRQENTVIQTFVVASSGIAFSSGMGSYLLGMSPYIAAQVDGGNTPINTKSLSLGWMFGFLFVVSFVGLFSIVPLRKMMILKYKLTYPSGTATALLVNSLHTPKGAKLAKKQVALLFKSFCGSFAFGFFQWFFTAGDGCGFNTFPTFGLQAYSKRFYFDFSSTYVGVGMICPYLINVSLLLGAVISWGILWPWIEKKKGIWYSAHIPASSLSSIQGYRVFTAIAMMLGDGLYHCVIMLIRVAYSLIMEHLEKKKSRHQNPDNVDKTPSADLDTQRRTEYFLKDQIPSWAAFSGYIVLAVISIITVSHIFPQLKWYHVLITYLIAPILAFCNAYGCGLTDWSLASNYGKVAIIIFSSWVGLENGGIIAGLASCGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVLGTATGCLVSPLMFWFFHKAYTLGDPQGSYPAPYGEVYRGMALLGAKGFSSLPKHCLQLAIIFFFLAVFINIVRDLLMHYETKYRIYRFVPNAMALAIPFYLGGYFAIDMCIGSLILFLWEKKNKSNANDFGPALASGLICGDSLWSVPAAIMSLAGATPPICMKFLSSAVNKKVDTFLNGGP >Vigun10g101700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:29497005:29497139:1 gene:Vigun10g101700.v1.2 transcript:Vigun10g101700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEGDMPSIRLGSCLLRTILDVQFNFRSYIPFQLENAVRCLG >Vigun07g122100.1.v1.2 pep primary_assembly:ASM411807v1:7:22537782:22542646:-1 gene:Vigun07g122100.v1.2 transcript:Vigun07g122100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLYSVREERDSFGSIEVPLDKLWGAQTQRSLQNFDIGGLSERMPQPIVRAFGILKKCAAKVNMEYGLDPNVGEAIMQAGEEVAEGKLNEHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLIPNLKILHGTLHSKSIEFEDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIERVIATLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVAEETNLPFVTAENKFEALAAHDVFVETSGALNTVAASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASCLLRSVRLLGDSAASFEKHCVRGIQANKERISKLLHQSLMLVTSLNPRIGYDKAAAVAKTAHKDGTTLKEAALKMGVLSSEDFDKLVVPEKMLGPSD >Vigun01g004200.3.v1.2 pep primary_assembly:ASM411807v1:1:522828:531239:1 gene:Vigun01g004200.v1.2 transcript:Vigun01g004200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHEGDAEYMADECEMEDVADDVDDESIDDGERGGVESDADEFDYSSDKVVDTTAAQARRGQDIQGIPWDNLSITRERYRRTRLEQYKNYENIPGSGDKSGKDCKNTKKGYSFYDFKKNTRSVKSTILHFQLRNLVWATSKHDVYLMSQFSIMHWSSMTCKSSEVLNVSGHVAPSEKHPESLLEGFTHTQVSTLAVREKLLVAGGFQGELICKHLNRPGISFCTRTTFDDNAITNAIEIYTSPSGAVHFTAANNDSGVRDFDMEKFQLSNHFRFPWPVNHTSLSPNGKLLLIVGDNPEAMLVDSQNGKPVDTLSGHFDYSFASSWHPDGMTFATGNQDKTCRIWDMRNLSKSVAVLKSNLGAIRSIRYSSDGKYMAMAEPADFVHVYDVKGGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYSRRRNYTYLDALI >VigunL088000.2.v1.2 pep primary_assembly:ASM411807v1:NBOW01000069.1:23557:28386:1 gene:VigunL088000.v1.2 transcript:VigunL088000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDLLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIEWHKTYSRHVLPVYYEIQPSDVRLQKGDFGKTLKATAQKSFSAQQMEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQVIKNKSTGVCTVGICGMEGSGKTTLAKAIYNQIHDLLKTKLEIHKVEMGRRMIGERLYGKKVLIVLDDVNEYGPLDLWESSAWFGEGTVIIITTTDARLLRIYQVDYIFQMNVMNPNKSLELFSWHAFREAKPKKEYHFLARRVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSAQHEVDQILKISYEDLLNQMEKDLFLDVCCFFIGKCKFYVTKILNDCGVDPDSGIRVLIKRNLVKIRKNNKVGMHPLLRQMGREISHEILRKEPEKISGLWLDEDMEHALSRNSTNVIQRFSRRLVNLAGFSWSLCEKLRWVSLKGFSSQYLPNDFYLRDAIGIDLKHSLLRLVWKESQVLARLKVLNLSHSIYLTETPDFSRLPALEQLILKNCQSLRQVHQSIGFLYNLTLLNLKDCTGLTNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVLKQVPFSIASSKSIGYISLCGLEERSNNLFPSIIRSRMSPTTNPLSYIHTFSDTEDNSWDDVVPFFSSLAILRSVLVQCDPEFQLSVQLKAILMDYCVNITKSRISKHHFRSCLIGVGRYEEFFNTVSDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSVSFTVPRDCVMKGLILCVVCLSTPEIIEPELTTVLIVNYTRCTLQIHNHGTVISFNDEDWDHIVSNLGSGDRVEIFVSSAYGLVVKETAVYLMYGEPKKNSLIRSIKKIIM >VigunL088000.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000069.1:23557:28386:1 gene:VigunL088000.v1.2 transcript:VigunL088000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSTSKLPQMYDLLINFNGEDIHRKFVSHLDSVLSAAGLTTFLHHQNAVNDMDIQQPILNLCRVAIVVFTKTYSESAWCLHQLQQIIEWHKTYSRHVLPVYYEIQPSDVRLQKGDFGKTLKATAQKSFSAQQMEHGMSRWNHALSKTADFFGWDESNYRSDAELVDTIVKSILNLPVLSATKFPIGLQPHVEDVIQVIKNKSTGVCTVGICGMEGSGKTTLAKAIYNQIHDLLKTKLEIHKVEMGRRMIGERLYGKKVLIVLDDVNEYGPLDLWESSAWFGEGTVIIITTTDARLLRIYQVDYIFQMNVMNPNKSLELFSWHAFREAKPKKEYHFLARRVVAYCGGLPLLLEVIGSCLYERTKEEWNRLLLQLDNSAQHEVDQILKISYEDLLNQMEKDLFLDVCCFFIGKCKFYVTKILNDCGVDPDSGIRVLIKRNLVKIRKNNKVGMHPLLRQMGREISHEILRKEPEKISGLWLDEDMEHALSRNSQTNVIQRFSRRLVNLAGFSWSLCEKLRWVSLKGFSSQYLPNDFYLRDAIGIDLKHSLLRLVWKESQVLARLKVLNLSHSIYLTETPDFSRLPALEQLILKNCQSLRQVHQSIGFLYNLTLLNLKDCTGLTNLPREIYMLKSLKALVLSGCSKIVLLEKDIVQMESLITLISENTVLKQVPFSIASSKSIGYISLCGLEERSNNLFPSIIRSRMSPTTNPLSYIHTFSDTEDNSWDDVVPFFSSLAILRSVLVQCDPEFQLSVQLKAILMDYCVNITKSRISKHHFRSCLIGVGRYEEFFNTVSDGISEVLASSGSCDVCLPGDNYPYWSAHRGEGHSVSFTVPRDCVMKGLILCVVCLSTPEIIEPELTTVLIVNYTRCTLQIHNHGTVISFNDEDWDHIVSNLGSGDRVEIFVSSAYGLVVKETAVYLMYGEPKKNSLIRSIKKIIM >Vigun07g071800.1.v1.2 pep primary_assembly:ASM411807v1:7:8986591:8989040:-1 gene:Vigun07g071800.v1.2 transcript:Vigun07g071800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFRLRNLSLSLTAFPSNICTYNYLSLLFRFLSVFFFLFNFTFQFPSQFNTKKVHEMDTFLFTSESVNEGHPDKICDQVSDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFVSADVGLDADKCNVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNDGGAMIPIRVHTVLISTQHDETVKNEQIAKDLKEHVIKPVIPAEYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSVVASGLARRCLVQVSYAIGVPEPLSVFVDSHKTGKIPDRDILALIKENFDFRPGMISINLDLMRGGKFRYQKTAAYGHFGRDDPDFTWETVKMLKPKA >Vigun05g018400.4.v1.2 pep primary_assembly:ASM411807v1:5:1490600:1493070:-1 gene:Vigun05g018400.v1.2 transcript:Vigun05g018400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPFKDLVIGQPPFDDEQAYQKPNLGIRLAKHAQKGHNLRNSFAGLEAARVDEDYEGEFFPGFLAIGTLGSEQVSDPSTPSFPISVESITEKEDEVTENDLKLINDELEKVLGAETKDDVSLDSSRRTSHVSTGRSSHVSTGRSSHVSIITLSGKPIEGAEANGNGAAICPLQGYLFGTAIELSETTAAAKKEHRTSLGELFQRSKSAEENFSAKCEKEEKRTEKELDKSAMNLMKEKLKKRMLHAYSKNSTSINGGTIDSASAETKLNKILHMFRKKVHPESSTAAQKSAKHHRNMKKKKILNDGGYNKNDLVHPEEEDSSANREYWIKTDADYLVLEL >Vigun05g018400.1.v1.2 pep primary_assembly:ASM411807v1:5:1490388:1493070:-1 gene:Vigun05g018400.v1.2 transcript:Vigun05g018400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPFKDLVIGNSCNCLSGQPPFDDEQAYQKPNLGIRLAKHAQKGHNLRNSFAGLEAARVDEDYEGEFFPGFLAIGTLGSEQVSDPSTPSFPISVESITEKEDEVTENDLKLINDELEKVLGAETKDDVSLDSSRRTSHVSTGRSSHVSTGRSSHVSIITLSGKPIEGAEANGNGAAICPLQGYLFGTAIELSETTAAAKKEHRTSLGELFQRSKSAEENFSAKCEKEEKRTEKELDKSAMNLMKEKLKKRMLHAYSKNSTSINGGTIDSASAETKLNKILHMFRKKVHPESSTAAQKSAKHHRNMKKKKILNDGGYNKNDLVHPEEEDSSANREYWIKTDADYLVLEL >Vigun05g018400.3.v1.2 pep primary_assembly:ASM411807v1:5:1490600:1493070:-1 gene:Vigun05g018400.v1.2 transcript:Vigun05g018400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPFKDLVIGNSCNCLSGQPPFDDEQAYQKPNLGIRLAKHAQKGHNLRNSFAGLEAARVDEDYEGEFFPGFLAIGTLGSEQVSDPSTPSFPISVESITEKEDEVTENDLKLINDELEKVLGAETKDDVSLDSSRRTSHVSTGRSSHVSTGRSSHVSIITLSGKPIEGAEANGNGAAICPLQGYLFGTAIELSETTAAAKKEHRTSLGELFQRSKSAEENFSAKCEKEEKRTEKELDKSAMNLMKEKLKKRMLHAYSKNSTSINGGTIDSASAETKLNKILHMFRKKVHPESSTAAQKSAKHHRNMKKKKILNDGGYNKNDLVHPEEEDSSANREYWIKTDADYLVLEL >Vigun05g018400.2.v1.2 pep primary_assembly:ASM411807v1:5:1490388:1493070:-1 gene:Vigun05g018400.v1.2 transcript:Vigun05g018400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPFKDLVIGQPPFDDEQAYQKPNLGIRLAKHAQKGHNLRNSFAGLEAARVDEDYEGEFFPGFLAIGTLGSEQVSDPSTPSFPISVESITEKEDEVTENDLKLINDELEKVLGAETKDDVSLDSSRRTSHVSTGRSSHVSTGRSSHVSIITLSGKPIEGAEANGNGAAICPLQGYLFGTAIELSETTAAAKKEHRTSLGELFQRSKSAEENFSAKCEKEEKRTEKELDKSAMNLMKEKLKKRMLHAYSKNSTSINGGTIDSASAETKLNKILHMFRKKVHPESSTAAQKSAKHHRNMKKKKILNDGGYNKNDLVHPEEEDSSANREYWIKTDADYLVLEL >Vigun03g273000.2.v1.2 pep primary_assembly:ASM411807v1:3:44832020:44836251:-1 gene:Vigun03g273000.v1.2 transcript:Vigun03g273000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSILSSVFLGVLACSALSVIAEDRYLYFTWEITNGTIYPLGFPQPGILINGQFPGPTIEAITNDNIVVNVINKLDEKFLITWSGIKQRRTSWQDGVLGTNCPIPPNSNWTYKFQVKDQVGTYTYFPSTKIHKAAGGFGGFNIAQRSVISIPYPAPDGEFTLLIGDWYKTNHRVLRRLLDGGKNLPDPDSLLINGQKDGAVFTGLPGKTYKLRVSNVGLSTSFNFRIQGHEMKLIEVEGAHTIMESYNSLDVHVGQSVTVLVTFNGPIADYAIIASTRFTGPSLLTTTATLRYAGSNTKSPIPLPQGPPTNDVQWSMEQARTIRLNLTANAARPNPQGSFHYGTIPVVRTLILANSKAIIDGKLRYAVNGISYVNPSTPLKLADWFNIPGVFDLHTIKDVPPPPPAKLGTSVIGFTLHDYAEIVFQNNENHIQSWHMDGSSFYFVGLANGSWTPDARKTYNLIDGISRYTVQVYPKSWSAILVSLDNKGMWNLRSAIWERRYLGQDLYLRVWNNEQSLYTETLVPLNALYCGKAKHLPKS >Vigun03g273000.1.v1.2 pep primary_assembly:ASM411807v1:3:44831988:44836285:-1 gene:Vigun03g273000.v1.2 transcript:Vigun03g273000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSILSSVFLGVLACSALSVIAEDRYLYFTWEITNGTIYPLGFPQPGILINGQFPGPTIEAITNDNIVVNVINKLDEKFLITWSGIKQRRTSWQDGVLGTNCPIPPNSNWTYKFQVKDQVGTYTYFPSTKIHKAAGGFGGFNIAQRSVISIPYPAPDGEFTLLIGDWYKTNHRVLRRLLDGGKNLPDPDSLLINGQKDGAVFTGLPGKTYKLRVSNVGLSTSFNFRIQGHEMKLIEVEGAHTIMESYNSLDVHVGQSVTVLVTFNGPIADYAIIASTRFTGPSLLTTTATLRYAGSNTKSPIPLPQGPPTNDVQWSMEQARTIRLNLTANAARPNPQGSFHYGTIPVVRTLILANSKAIIDGKLRYAVNGISYVNPSTPLKLADWFNIPGVFDLHTIKDVPPPPPAKLGTSVIGFTLHDYAEIVFQNNENHIQSWHMDGSSFYFVGLANGSWTPDARKTYNLIDGISRYTVQVYPKSWSAILVSLDNKGMWNLRSAIWERRYLGQDLYLRVWNNEQSLYTETLVPLNALYCGKAKHLPKS >Vigun05g092800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8966761:8968257:-1 gene:Vigun05g092800.v1.2 transcript:Vigun05g092800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMDSEAQQQLNVTFLPYPTPGHMNPMIDAARLFARHGVNVTIIATPANALTFQNAIDTDFTHGYHIRTQLLPFPAAQVGLPEGVENIKDGTSLELLGQISRGISMLKGHIELLFQDLHPDCIVSDMSYPWTVESAAELGIPRIFFYSSSYLSDCGIHSIRRHRPHERLVSDTDKFTIPGFPHRIEMTRLQLSNWERVRKEVSDNFERIFESERRSYGALYNSFHELESEYEQLHKSIVGIKSWSIGPVSPWVNKDDGQKINREHKEELPEEPEWLTWLNTEQNDSVIYVNFGSLTRLHHAQLVELAHGLENSGHSFIWVIRKKDGNENDNSFLQEFEDKMKESNKGFIIWNWAPQLLILNHPAIGGIVSHCGWNSLLESLSAGLPVITWPMFAEQFYNERLVVDVLKIGVPVGAKENKFWAFGGEDKVVGREEITKAVVELMEKEEGREMRKRARKLSDASKKTTEKGGHSYNNLIQLIDEIKSLKISKAVTEAS >Vigun08g049550.3.v1.2 pep primary_assembly:ASM411807v1:8:5571975:5574622:1 gene:Vigun08g049550.v1.2 transcript:Vigun08g049550.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARSNKRPLRTEDSKADSKILLAKPEKHHKKMKENDGIDTMRGMVEGRGERSDCSKRNCIMKEKISELLAITGGKAQEKIEKTHTSDAPLRKPLRFGKASSTMARPKGGRVLDMAWEWNSLRDVNNKSVVTCDFCLKTTTGGITRAKEHQMGLKGDINSCKKIPPEIRLKLRGAYENQKTSKRDACAKKVRVSIAKFFCENGISFKAARSKSFKKMIEAIGDYGKELSAPEYPELKVRLLKKELEETENKCSVKKSDASGVNTPHTVPTVTAPIEEKKEEKHEEKLVNEMTKPKVTKVYVRRKNMVKTLDDTTNQVQVREIGNKVAS >Vigun08g049550.4.v1.2 pep primary_assembly:ASM411807v1:8:5572585:5574622:1 gene:Vigun08g049550.v1.2 transcript:Vigun08g049550.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARSNKRPLRTEDSKADSKILLAKPEKHHKKMKENDGIDTMRGMVEGRGERSDCSKRNCIMKEKISELLAITGGKAQEKIEKTHTSDAPLRKPLRFGKASSTMARPKGGRVLDMAWEWNSLRDVNNKSVVTCDFCLKTTTGGITRAKEHQMGLKGDINSCKKIPPEIRLKLRGAYENQKTSKRDACAKKVRVSIAKFFCENGISFKAARSKSFKKMIEAIGDYGKELSAPEYPELKVRLLKKELEETENKCSVKKSDASGVNTPHTVPTVTAPIEEKKEEKHEEKLVNEMTKPKVTKVYVRRKNMVKTLDDTTNQVQVREIGNKVAS >Vigun08g049550.1.v1.2 pep primary_assembly:ASM411807v1:8:5572585:5574622:1 gene:Vigun08g049550.v1.2 transcript:Vigun08g049550.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARSNKRPLRTEDSKADSKILLAKPEKHHKKMKENDGIDTQKMRGMVEGRGERSDCSKRNCIMKEKISELLAITGGKAQEKIEKTHTSDAPLRKPLRFGKASSTMARPKGGRVLDMAWEWNSLRDVNNKSVVTCDFCLKTTTGGITRAKEHQMGLKGDINSCKKIPPEIRLKLRGAYENQKTSKRDACAKKVRVSIAKFFCENGISFKAARSKSFKKMIEAIGDYGKELSAPEYPELKVRLLKKELEETENKCSVKKSDASGVNTPHTVPTVTAPIEEKKEEKHEEKLVNEMTKPKVTKVYVRRKNMVKTLDDTTNQVQVREIGNKVAS >Vigun08g049550.2.v1.2 pep primary_assembly:ASM411807v1:8:5571975:5574622:1 gene:Vigun08g049550.v1.2 transcript:Vigun08g049550.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARSNKRPLRTEDSKADSKILLAKPEKHHKKMKENDGIDTQKMRGMVEGRGERSDCSKRNCIMKEKISELLAITGGKAQEKIEKTHTSDAPLRKPLRFGKASSTMARPKGGRVLDMAWEWNSLRDVNNKSVVTCDFCLKTTTGGITRAKEHQMGLKGDINSCKKIPPEIRLKLRGAYENQKTSKRDACAKKVRVSIAKFFCENGISFKAARSKSFKKMIEAIGDYGKELSAPEYPELKVRLLKKELEETENKCSVKKSDASGVNTPHTVPTVTAPIEEKKEEKHEEKLVNEMTKPKVTKVYVRRKNMVKTLDDTTNQVQVREIGNKVAS >Vigun05g111900.1.v1.2 pep primary_assembly:ASM411807v1:5:11718549:11720058:-1 gene:Vigun05g111900.v1.2 transcript:Vigun05g111900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQEEVTLLGVIGSSFVCRVKIALHLKGVEYKYVEENLGIKSEQLLKYNPVHKKVPVFVHGDKPLAESLVIVEYIDETWNNNPILPSDPYQRALARFWSKFIDDKIVGVSWKSVFTVDEKEREKNVAETYEGLQFLENEIKEKKFFGGEELGLVDITAVYVAYWIPLVQEIAGLELLTTEKFPNLYRWTQEFVNHPIVKESLPPRDPVFAFFKGRYEGLLASK >Vigun03g202172.1.v1.2 pep primary_assembly:ASM411807v1:3:31342242:31346378:-1 gene:Vigun03g202172.v1.2 transcript:Vigun03g202172.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCDLLMFGYVTCVLKMIVSIIHCCRYSPLHNVRRPWEQHTDQSIQYPSTMLLTTDHDDRVVPLHTLKLLALATQLMNTLLFQQFDQKGHYSPCWFLPQDQSTDLKDILQRLAPHYNAEL >Vigun05g177001.2.v1.2 pep primary_assembly:ASM411807v1:5:33011662:33014754:1 gene:Vigun05g177001.v1.2 transcript:Vigun05g177001.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCFMFTPLSVRSYFVASSLLRSFWMAVTTRFLLGRLNGMLGPVKGRRLCE >Vigun05g177001.1.v1.2 pep primary_assembly:ASM411807v1:5:33011662:33014754:1 gene:Vigun05g177001.v1.2 transcript:Vigun05g177001.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTCFMFTPLSVRSYFVASSLLRSVIFNILFGLSTSFWMAVTTRFLLGRLNGMLGPVKGRRLCE >Vigun07g067900.2.v1.2 pep primary_assembly:ASM411807v1:7:8101501:8102811:1 gene:Vigun07g067900.v1.2 transcript:Vigun07g067900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVKHILAAMVFVLLFSLGMSRQHCLGLCSEYPDCDGTCKKASYQIGQCVHAQPNTDFCCCAKFIVKPSKTEQFN >Vigun07g067900.3.v1.2 pep primary_assembly:ASM411807v1:7:8101412:8102811:1 gene:Vigun07g067900.v1.2 transcript:Vigun07g067900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVKHILAAMVFVLLFSLGMSRQHCLGLCSEYPDCDGTCKKASYQIGQCVHAQPNTDFCCCAKFIVKPSKTEQFN >Vigun10g059500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:11631556:11632599:1 gene:Vigun10g059500.v1.2 transcript:Vigun10g059500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFQARCCSGSLCRWCRFESGSLLEARSSGCIFGCMNAAVSCFSIGLQLRDLAGVSCFISRCRDGIHGVVADLCNAGSLIPDEHGDSMVVGVSALQVQGFTDA >Vigun01g142000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:32304277:32305212:-1 gene:Vigun01g142000.v1.2 transcript:Vigun01g142000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHNKLLPPIKTHASTILHTPKPASNSASALPILAIIVPTIFVTGFILITYLNLVTKCFSNLYLFHPLRWFFSLLTPQHEDQDPFIALSPRMWSQGLDESTISEIPTLQFTKGDVEKNQSVCGCVVCLTEFQDHDMLKVLPICKHAFHFHCIDVWLQTNANCPLCRSSIVSGNTQCPMDHIIAPSSSPQDSQLLSHVSSDEDFVVIEVGEMQQERSDSSERVVESRNECIIRKCHHVSIMGDECIDVRKKDERFCIQPIRRSFSMDSANDRQVCLDFQTTMQQNNRPLNQASDSEDCNSRDGISLFPFR >Vigun05g143900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:19396328:19397157:1 gene:Vigun05g143900.v1.2 transcript:Vigun05g143900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTILFSLFLFCGFTSYLPSATAIVVDTDGNLLRNGGTYFITPVIVTGNGGGVAFAATGNETCPLTVIQVPSPFSNGLPIEISTPLKILYIEEGRLVDIAFRFVAPCAATSSRWTAVKEGVEETLSIKLAGYDNPVPGRFKIKSVTVDIGGYNLLFCPDNGSSCGYVGIQIDATGTRRLVVTQSKKGALWIRFQRAIYALPATASAYASA >Vigun06g168900.4.v1.2 pep primary_assembly:ASM411807v1:6:29040199:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLHSVGSLAQARRRPDKLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.9.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.7.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.8.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.1.v1.2 pep primary_assembly:ASM411807v1:6:29040146:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.11.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.6.v1.2 pep primary_assembly:ASM411807v1:6:29040095:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.12.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.12.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.13.v1.2 pep primary_assembly:ASM411807v1:6:29040187:29047251:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.13.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFNAVITCQLSVPMESLCHALYIAMETGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.5.v1.2 pep primary_assembly:ASM411807v1:6:29040095:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.3.v1.2 pep primary_assembly:ASM411807v1:6:29040199:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLHSVGSLAQARRRPDKLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCEQTQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.2.v1.2 pep primary_assembly:ASM411807v1:6:29040146:29047455:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKSDLLDYEFMLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun06g168900.10.v1.2 pep primary_assembly:ASM411807v1:6:29040147:29047323:-1 gene:Vigun06g168900.v1.2 transcript:Vigun06g168900.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKWFQRKDVEIKNSHGDILQCSHYMPIVSPDGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRADGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVGTYRVRLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTLKVAKSCFVPALLGHAIEDDFIRPHHSDRIFEAYMGDKNIIKFDGDHNSPRPQFYFDSVNLFFHNVLQPPDDELGESFFDIMNDYFGKDVWRSVHEFGYGNEPSFQNKESSTSSSMDEKQVRSKRPMSRMEVPSHISSKDGYRDCETQKCDDLSSSSSAMISFELSNGRLYSPLVPTDLGGDDQYVEFQLDDFTAIPSTAMEEQKMFMETVIDPEVEQPHTMSVEPSDKNDSHGSSQEISNPVQIESSLLKNCVHSTAATISTASDVCEPLKTESNSISMIPSLSSNKISLPPLDSGSITDSASTSNDSSATLQSSSDTDISHYTKATVTVIKNPTGNVLNGLLRRWDFNFFRNRYNR >Vigun03g367900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:57112948:57113274:-1 gene:Vigun03g367900.v1.2 transcript:Vigun03g367900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFQGYELCSFISKNPSILIHSFKRTFVPSVEAIRKIVCDQKDFIFVLHRCGWILPKYKRFMEDVFFLERCGILGTHLALLLKLHPRLFLAIQETLFRKSEKKSKVG >Vigun03g382900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:58762733:58763745:-1 gene:Vigun03g382900.v1.2 transcript:Vigun03g382900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Vigun09g025300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:1976955:1978067:-1 gene:Vigun09g025300.v1.2 transcript:Vigun09g025300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIHIENILRNMEREKPIRFTGELLRIATDNYSTSLGSGGFGEVYKGNLSDGTTVAVKVLRANSDKRIKEQFMAEVGTIGKVHHFNLVKLYGFCFEKDLTALVYEYMSNGSLDKYLFHENKTLGYEKLHEIAAGTARGIAYLHEDCEQRIVHYDIKPGNILLDKNFNPKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPELWMPFPVTHKCDVYSFGMLLFEIIGRRRNLDINLPQSEEWFPVWAWKRYDAGELEVLMNACKIEERHKKMAERMVKVALFCVQYRPETRPIMSDVVKMLEGSVEISQPSNPFQHIIEGTFQGSSDHASRTDVNTSINSSSSFMVTEPSIVYDIPPMRNDIELVSTIAG >Vigun06g238800.6.v1.2 pep primary_assembly:ASM411807v1:6:34323841:34329279:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQKHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.3.v1.2 pep primary_assembly:ASM411807v1:6:34323840:34329297:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQKHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.4.v1.2 pep primary_assembly:ASM411807v1:6:34323840:34329297:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQKHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.9.v1.2 pep primary_assembly:ASM411807v1:6:34326491:34327107:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTVSITLTSLTIHGQFAKSNTYSISINCAMEMQDAGVHALSNVCHVDIQRTSKRKPGEVVRILHKPKLYTNRDIYLLILSL >Vigun06g238800.5.v1.2 pep primary_assembly:ASM411807v1:6:34323841:34329279:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQKHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.7.v1.2 pep primary_assembly:ASM411807v1:6:34323854:34329330:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.8.v1.2 pep primary_assembly:ASM411807v1:6:34323854:34329330:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEV >Vigun06g238800.2.v1.2 pep primary_assembly:ASM411807v1:6:34324021:34327144:-1 gene:Vigun06g238800.v1.2 transcript:Vigun06g238800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLKHTVDSECSDNNRPSKLSKIEHPTHIEEEEEDDEEKGMNQNPRNGIQRYLFAIEYIGTLFSGSQKQLTCRTVVGALEEAFAKFVGQPVSVSCSSRTDAGVHALSNVCHVDIQRTSKRKPGEVLPPHEPAVVGRAVNHFLQKHDSDLTIIDVRCVPSDFHARFKAQERTYFYRLLSGPEPLSTFEKDRAWHVSEDLNLPAMQEACRVLVGCHDFSSFRAAGCQAKSPIRTLDELSVTEVIESLYFPSVMDREGHNKVSSDLRGYLNNSETDISPSSSPCIDKVTTSGVGFGKRRRHRCLVVTARARSFLYHQVE >Vigun03g005200.1.v1.2 pep primary_assembly:ASM411807v1:3:359652:363228:-1 gene:Vigun03g005200.v1.2 transcript:Vigun03g005200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKFILRQLHSSNFQLQRPTNFPKPHLKEKDSHIVKCTKAISTHMRNGHCDLALRVFNTMPLRNSVSYNAMISGYLRNAEFSLARDLFDRMPHKDLFSWNLMLTGYLRNRRLRDARVMFDSMPEKDVVSWNAMLSGYVRSGHVDEAREVFDKMPHKNSISWNGLLAAYVRSGRLEEASHLFESKLDWELISWNCLMGGYVKRNMLGEARLLFDQMPIRDVVSWNTMISGYAQDGDLSQARRLFAESPVRDVFTWTAMVHAYVQNGLLDEARTVFDEMPQRREMSYNTMIAGYVQYKRIDMARKLFDAMPFPNTGSWNIMISCYCQGGDVAQARNLFDAMPERDSVSWAAIIAGYAQNCHYEEAMNMLVEMKRDGEGLNRSTFCCALSTCADIAALELGKQVHGQAVKTGYENGCLVGNALVGMYCKCGCIDEAYEVFQGIQHKDIVSWNTMLSGYARHGFGRLALTVFESMITAGVKPDEITMVGVLSACSHNGLTDRGTEYFHSMDKDYGVKPNSKHYTCMIDLLGRAGRLEEAQNLIRNMPFEPDVATWGALLGASRIHGNMELSEKAAEMVFKMEPNDSGMYVLLSNLYAASGRWVDVSKIRLKMREVGVQKTPGCSWVEVHNNIHTFTVGDCFHPEKSRIYAFLEELDLRMKQEGYVPSTKLVLHDVEEEEKKHMLKYHSEKLAVAFGILTIPADRPIRVMKNLRVCEDCHNAIKHISKIVGRFIIVRDSHRFHHFSEGICSCGDYW >Vigun01g003100.8.v1.2 pep primary_assembly:ASM411807v1:1:355083:356601:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g003100.2.v1.2 pep primary_assembly:ASM411807v1:1:352744:356974:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g003100.7.v1.2 pep primary_assembly:ASM411807v1:1:352744:356974:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g003100.3.v1.2 pep primary_assembly:ASM411807v1:1:352738:356975:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g003100.4.v1.2 pep primary_assembly:ASM411807v1:1:352744:356974:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g003100.6.v1.2 pep primary_assembly:ASM411807v1:1:352738:356975:1 gene:Vigun01g003100.v1.2 transcript:Vigun01g003100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFSSVRKPRASTREGCKAMIHIKYEKSGKWVITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTAELRHKKRLCATYQEQLTSFMKVVEEHNEKLSTKIHLVVNNLKEFESIDEVLHQTAANHVVVDH >Vigun01g091000.1.v1.2 pep primary_assembly:ASM411807v1:1:25148137:25173926:1 gene:Vigun01g091000.v1.2 transcript:Vigun01g091000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDHQLTELSASSPCIPSGEDFHFYYKFTEFRRPIEEIARRTQSMLNRIGSAENIWGRGSVFPADVDDAYEWLVTANDDVFELVDELVDELRRIMKEEGVDFENDLEEKTKVPFHIPTIKKPQYAYNIVVDNANQPFEHVLLEKSEDGQRFIHPLEKFSVLDFVDKENIEDLVPIKPLSIECTPFKLVEEVKGLKELAATLSAVNEFAVDLEHNHYRSFQGLTCLMQISTRTEDFVIDTLKVRNNVGPYLREVFKDPTKKKVLHGADRDILWLQRDFGIYVCNMFDTGQASRVLKLERYSLQHLLQHFCGVTANKEYQNADWRLRPLPDVMLRYGREDTHYLLYIYDLMRIKLFTLSKESEGCDNPLLEVYKRSYDICMQLYEKDLLTENSYLHLYGLRGAGFNAQQLAVVSGLWEWRDGLARADDEGPGYVLPNRIILEIAKQMPVIISNLRRIVGRSKLPYVERSLDVIVNIVRHSMQNAVAFEEAALRLKEERAASLSNVVPVKDVTVPQTPNLKVGCAATESIGVENDTCIDISENCMFSSVLEEECFQHEDKDGQGKVISNCLTSDFLSASPTIPEKPQDAANVIALSTAKGNGEVLKLPTGAIDAPLLGYSMSEWKAGPNKKVKLEQIKPSDNLPSLFALDNSKKSKLVVASEKSDPQKKPVSGSVSSSSPVGVVTVMSDSDSEDTLQLKNSNNHPGKNSLVPRKRR >Vigun06g175700.1.v1.2 pep primary_assembly:ASM411807v1:6:29588007:29594145:-1 gene:Vigun06g175700.v1.2 transcript:Vigun06g175700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSNKEDSGGVRVKEVPNKDSSFKEAASLVPQSHHPSRANTDKSKSSRSGADAKKEAPVPKDGPTAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAANQSNRVGPSTPRMRDDRRSLADGMDSPDRRLGSPSTHRNSPDFRKRDGRDASIGTESGSRMDTGGGSGRKWGLDDYERQESQRDSPVNTARARETPWNRDLDRERAVAEAKVWGENWREKKKANAMGSFDATND >Vigun06g234850.1.v1.2 pep primary_assembly:ASM411807v1:6:34036711:34041686:1 gene:Vigun06g234850.v1.2 transcript:Vigun06g234850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDAGSMLVVYDDPSDQRSLSLDDASSTEESPDETRLSLETTHDAIPYIGQRFATHDAAYEFYSEFAKRSGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTSTESKPQRNRKSSRCGCQAYMRISKTTEFGAPEWRVTGFANHHNHELLEPNQVRFLPAYRTISDVDKNRILMFAKTGISVHQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEEESLDLLRMCRNIKEKDPNFKFDYTLDANNRLENIAWSYASSIQWYDIFGDAVVFDTTHRLTAFDMPLGIWVGINNYGMPCFFGCTLLRDETVRSFSWALKAFLGFMNGKAPQTILTDQNICLKEALSTEMPTTKHAFCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESVEDFDLGWREMLCSFGLHSNRHMVNLYSSRSLWALPFLRSHFLAGMTSTGQSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQTGEQQTMQQNLQNVCLKTGAPMESHAATILTPFAFSKLQEQLVLAAHYASFSIEDGFLVRHHTKTEGGRKVYWAPQEGIISCSCHQFEFTGILCRHSLRVLSTGNCFQIPDGYLPIRWRRISMPSSKLLQSAPNDHAERVKLLQNMVSSLMTESAKSKERLDIATEHVSILLSRLREQPISLQGGRESQN >Vigun06g072100.1.v1.2 pep primary_assembly:ASM411807v1:6:20290899:20299766:-1 gene:Vigun06g072100.v1.2 transcript:Vigun06g072100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MPIVTKEMDSAFQTAGANPGLEIWCIENQRLVSVSKSSHGKFYTGSAYLVLNAVFPKIGTPHYDIHYWLGSEAKKVDSSLASEKALELDAALGSCSVQYREIQGQESQKFLSYFRPCLIPIEGVFTSKQGSLNGEYQVHLYTCRGDYVVHVKEVPFIRTSLNHEDVFILDTALKIFLFSGCNSTIQERAKALEVVQYIKENKHGGKCEVATIEDGKFVGDSDVGEFWSLFGGYAPIPREQPPVQESEAPPLKLFWINLQGKLCETGTNVFSKEMLETDKCYMLDCDSEIFVWMGKQTLLTERRTATRAIEDFVRNEGRSSKTHLTFLSEGLESTIFRSYFTNWPKTVELRLYEEGKEKVAAIFKHQGYEVKELPEEDNEPSIDCSGTIKVWRVDGDELSLLSVTELTKLYSGDCYIVQYTFPGNGRDETLFYAWVGSKSVMEDKTAVISHMSTMADSIRTNPVMAQIHEGKEPAQFFSILHRLVIFKGGNSSGYRNFIEEKGLVDETYNENLVALFRVQGTSLDNMQAIQVDQASTSLNSSYCYILQNEGSIYTWIGSLSSARDHNLLDRLVELLNTKWLPVSVREGNEPDVFWEALGGKAEYPKGKEIQGFIDDPHLFALKITKGGDFKVKEIYNYTQDDLITEDVLLLDCQREIYVWVGLHSAVKSKQEALNLGQKFLEMDILAEGLSLDIPIYIVTEGYEPPFFTCFFSWDHSKENIVGNSFERKLAILKGKAKSVEGHIRTPLKATSRDSTPNGHRSFSAFSNGRGRSSSPLPSRAGDRLLSSSTPVVKKLFEGSPTNDSAEKPTPQAESPATELSSSNDSASFTQKDRNLDGENLPTHPYERLRVVSANPVTGIDLTKREVYLSNEEFREKFGMPKSAFSKLPRWKQNKLKMSLDLF >Vigun02g118000.1.v1.2 pep primary_assembly:ASM411807v1:2:27085935:27089730:-1 gene:Vigun02g118000.v1.2 transcript:Vigun02g118000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEATMFHVSAIVLNPQPHTFLFPLPVSTSRNFKLSQTLHFPRNSILRSLSPSLHPTPQYDDSEEHVIGDCIVFEEGVFDDPLLHSSDTLTVDMPKPKPKPKPGWRKKEEENVGENLVPGKWREVQAEINITKRDRRRIAREMEFNSKVEKKRRGLIPLRDMNLDDYKAYKEAKLAQMKFLDNASSSQVKEEVPQPEPELNRGEEDHPEPEFNGGERVEPKNPRWLVYGRGLEDVTEFFNSENYDPDAKTLQGKRKLFTKDEKVLLNKRVPDLETATSDKWHPLHTLAACGEFELLDSLLKHNVDINAVDKDGFTALQRAVIGKKQAITNYLLRNSANPFVLDKEGATLMHYAVLTASTQSIKVLLLYNVDINLQDNYGWTPLHLAVQAQRTDLVRLLLIKGADKTSKNKDGLTPLDLCLYSGQSARTYELIKLFKQPLRRVRHVSKR >Vigun11g145900.1.v1.2 pep primary_assembly:ASM411807v1:11:35477739:35481671:1 gene:Vigun11g145900.v1.2 transcript:Vigun11g145900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAIGDLVDKLKVMQNDNAEDQEEYDDVDDDDEEEEHEPITLGFVDKPKNKWSLQRQYFPSKAGGVPAWLDPLNIPSGGSFVCDICGDPLQFLLQVYAPTEQETAFHRMLYVFMCPSMKCLLRDQHEQWKHHPEKPSRSVKVFRSQLPRVNPFYSQECPQYDESHKPAGCGAVLCDWCGTWKGDKLCSSCKQTRYCSEKHQALSWRAGHKIACPQIQISSPVSGSNKSETTLFESHKGGSKNLWPEFEITIEDESEYNRDMSEENTVSNSLISRNRTDDTMNSIFDSFQGDADKKSWASFQECIDKAPEQVLRYYRNTNVKPIWPLSSGRPSNADIPRCSYCSGPMCCEFQILPQLLYYFGVDNEVDSLDWASIVVYACEASCNASLPYKHEFAWIQIHSPSTGL >Vigun07g078900.5.v1.2 pep primary_assembly:ASM411807v1:7:10882998:10888693:1 gene:Vigun07g078900.v1.2 transcript:Vigun07g078900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTLNSQSMAAAVAASDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPLPASIGYASSTNMLAPGFATWDETSLLMNTGASKSMTCQDEPNNIRGNEADIGSKGMPKITNSSLSGVRNSTRTLPSSEIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTNDHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPI >Vigun07g078900.2.v1.2 pep primary_assembly:ASM411807v1:7:10882998:10888673:1 gene:Vigun07g078900.v1.2 transcript:Vigun07g078900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTLNSQSMAAAVAASDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPLPASIGYASSTNMLAPGFATWDETSLLMNTGASKSMTCQDEPNNIRGNEADIGSKGMPKITNSSLSGVRNSTRTLPSSEIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTNDHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIKKALSTYDVNGMAVGVGTGIDVKKNTNDLSCQTA >Vigun07g078900.4.v1.2 pep primary_assembly:ASM411807v1:7:10882998:10886538:1 gene:Vigun07g078900.v1.2 transcript:Vigun07g078900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTLNSQSMAAAVAASDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPLPASIGYASSTNMLAPGFATWDETSLLMNTGASKSMTCQDEPNNIRGNEADIGSKGMPKITNSSLSGVRNSTRTLPSSEIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTNDHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPI >Vigun07g078900.1.v1.2 pep primary_assembly:ASM411807v1:7:10882997:10888722:1 gene:Vigun07g078900.v1.2 transcript:Vigun07g078900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTLNSQSMAAAVAASDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPLPASIGYASSTNMLAPGFATWDETSLLMNTGASKSMTCQDEPNNIRGNEADIGSKGMPKITNSSLSGVRNSTRTLPSSEIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTNDHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIKKALSTYDVNGMAVGVGTGIDVKKNTNDLSCQTA >Vigun07g078900.3.v1.2 pep primary_assembly:ASM411807v1:7:10882998:10888703:1 gene:Vigun07g078900.v1.2 transcript:Vigun07g078900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTLNSQSMAAAVAASDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTVAHVPPPRPKRKAAHPYPQKASKNVLVPLPASIGYASSTNMLAPGFATWDETSLLMNTGASKSMTCQDEPNNIRGNEADIGSKGMPKITNSSLSGVRNSTRTLPSSEIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTNDHVQKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIKALSTYDVNGMAVGVGTGIDVKKNTNDLSCQTA >Vigun06g068300.1.v1.2 pep primary_assembly:ASM411807v1:6:19764020:19765827:-1 gene:Vigun06g068300.v1.2 transcript:Vigun06g068300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEAERSAVKEKKKTQSLAPIAKPLAGKKLCKRTLKLVRRAAEHKCLKRGVKEVVKSIRRGHKGFCVIAGNISPIDVITHVPVLCEESDIPYIYVNSKEDLAGAGATKRPTCCVLVQTKPAKGELEQAEQEKLKSDYDQVVAEVKELAASLF >Vigun09g219200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:39318883:39321861:-1 gene:Vigun09g219200.v1.2 transcript:Vigun09g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLRGTSTVNALTPRAHHIHSHSHSLSLSLSHPHSPPQWFSILRHAIAASDLPLGKRAHAGILTSGHHPERFLTNNLITMYAKCGSLSSARKLFDATPHDAKDLVTWNSILAAYAQADNPYDGFHLFRLLRHSTVSTTRHTFAPVLKMCLLAGSSFASASLHGYALKIGLLWDVFVAGALVNIYTKFGRIREARLLFDGMAVRDVVLWNLMMKAYVDTCLEHEALLLFSEFHRTGLRPDDVTLRTLARVGMSRNTAFESQLKQLRAYATKLSIRDGDDSDVIAWNKALSQCLQRGEAWEAVDCFRDMIKSSAACDSLTFVVMLSVAASLNCLELGKQIHGAVMRTELDQVISVGNSLINMYVKAGSVSRARSVFGQMNEVDLISWNTMISGSALNGLEECSVGFFVDLLRYGPLADQFTIASVLRACSSLEQGCHLATQIHTYAMKAGVVLDSFVSTALIDVYSKSGKTEEAEVLFVNQDDLASWNAMMHGYIMRDDFHKALRLYLILQESGERGDQITLANAAKAAGGLVGLAQGTQIHGVVIKRGFNLDLFVISGVLDMYLKCGEVESASRVFTEIPSPDDVAWTTMISGCVENGQEDHALSTYHQMRLSRVQPDEYTFATLVKACSLLTALEQGRQIHANIVKLNCAFDAFVMTSLVDMYAKCGSIEEARGLFRRMNTERIASWNAMIVGLAQHGNAEEALQFFKDMKSRGVMPDRVTFIGVLSACSHSGLISEAYENFYSMQKNYGIEPGIEHYSCLVDALSRGGQLHEAEKVISSMPFEASASMYRTLLNACRVQVDKETGKRVAEKLLTLEPSDSAAYVLLSNVYAAANQWENVVSARNMMRKVNVKKDPGFSWIDLKNKVHLFVAGDRSHEETGLIYNNLESVMKRIREEGYAPDTDFALVDVEEEDKECSLYYHSEKLAIAYGLMKTPPSTTLRVIKNLRVCGDCHNAVKYISKVFKREIVLRDANRFHHFRGGICSCGDYW >Vigun09g231100.3.v1.2 pep primary_assembly:ASM411807v1:9:40268663:40273846:1 gene:Vigun09g231100.v1.2 transcript:Vigun09g231100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVVGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDSLPYDCAVNIEDLVKLSDVMVEHSLGPNGGLVYCMDYLEKNIDWLEAKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLRLTAVHLIDAHLCSDPGKYISALLLSLSTMLHLELPHVNVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQYQLDQDPRSAKYRKLTKELCEVIENFSLVSFTTLDIQDKESVGNLVKVIDKCNGYIFTGIEASAVEFSKIAVGPVDWDYYRVAAVQEKYMKDDENIDDNE >Vigun09g231100.4.v1.2 pep primary_assembly:ASM411807v1:9:40268663:40273846:1 gene:Vigun09g231100.v1.2 transcript:Vigun09g231100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVVGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDSLPYDCAVNIEDLVKLSDVMVEHSLGPNGGLVYCMDYLEKNIDWLEAKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLRLTAVHLIDAHLCSDPGKYISALLLSLSTMLHLELPHVNVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQYQLDQDPRSAKYRKLTKELCEVIENFSLVSFTTLDIQDKESVGNLVKVIDKCNGYIFTGIEASAVEFSKIAVGPVDWDYYRVAAVQEKYMKDDENIDDNE >Vigun09g231100.5.v1.2 pep primary_assembly:ASM411807v1:9:40268721:40273800:1 gene:Vigun09g231100.v1.2 transcript:Vigun09g231100.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVVGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDSLPYDCAVNIEDLVKLSDVMVEHSLGPNGGLVYCMDYLEKNIDWLEAKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLRLTAVHLIDAHLCSDPGKYISALLLSLSTMLHLELPHVNVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQYQLDQDPRSAKYRKLTKELCEVIENFSLVSFTTLDIQDKESVGNLVKVIDKCNGYIFTGIEASAVEFSKIAVGPVDWDYYRVAAVQEKYMKDDENIDDNE >Vigun08g215900.2.v1.2 pep primary_assembly:ASM411807v1:8:37660498:37663668:1 gene:Vigun08g215900.v1.2 transcript:Vigun08g215900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQSPEPLDFFIWTVEDVGLWLETINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPACLSTVFLKVAKSNRQSRVVSLKLEP >Vigun08g215900.1.v1.2 pep primary_assembly:ASM411807v1:8:37660186:37663691:1 gene:Vigun08g215900.v1.2 transcript:Vigun08g215900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQSPEPLDFFIWTVEDVGLWLETINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPACLSTVFLKVAKSNRQSRVVSLKLEP >Vigun03g179200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:22522929:22528244:1 gene:Vigun03g179200.v1.2 transcript:Vigun03g179200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKKRKTEENGDADSLSSPPSQAFPTATVTPAAPLAAEDIRKILRPFSQEQLLDLLQSASLRHSDVLDAVRAVADRDSTLRKLFVRGLAGETTSETLRSVFSAFGELDEAIVIFDKATGRSKGYGFVVFRHVDGAILALKDPSKKIDGRMTVTQLAAAGGPGGSGDVSARKVFVGNVPFEISSDKLLSEFLKFGEVEEGPLGFDKSSGKSRGFAFFVYKTEEGARASLAEPVKTIDGHQVICKLAVDSKKTKPFGGDQHQHQHTQHQQQQQQHPQQQMQQQGPMMVPQYGGYGGNGYGIQHPVPPYNNPVQVSGGGGYGHGIGGGYGNSQMGGPVSGDYGARLPPNSGGGFPEGSQYGYPASSTLPPQQQVPMPRPPPGGMYQGVPPYY >Vigun09g025500.3.v1.2 pep primary_assembly:ASM411807v1:9:1983092:1985348:-1 gene:Vigun09g025500.v1.2 transcript:Vigun09g025500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIFNKFEVAALVFVVVKVGILIYVCQKRQQVRNRLSADSEFLTLTMDKFLNDMGREKPTRFTDQQLRIATDNFSNLLGSGSYGSVYKGVFSNGTVVAVKVLNGSSDKKIEEQFKAEVGTIGRIHHFNLVRLYGFCFERNMIALVYEYMGNGSLDRYLVNDTNNLGYEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPENILLDGNFNPKVADFGLAKLCNRENTHLTLTKGRGTPGYAAPELWIPNFPVTHKCDVYSFGVLLFKIIGRRRNTVEELAESEEWFPMLVWKKFDTGKLGELIIKCGIEQKSRDIAERMAKVALWCVQYRPEFRPVIGLVVKMLEGSVEIPEPMNPFQHMMGEIFIAHPVQESQTYTTTTLSGSIMVTDSNMLRSTPIMSKYEIEIAPATL >Vigun09g025500.2.v1.2 pep primary_assembly:ASM411807v1:9:1983092:1985348:-1 gene:Vigun09g025500.v1.2 transcript:Vigun09g025500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIFNKFEVAALVFVVVLSIVVKVGILIYVCQKRQQVRNRLSADSEFLTLTMDKFLNDMGREKPTRFTDQQLRIATDNFSNLLGSGSYGSVYKGVFSNGTVVAVKVLNGSSDKKIEEQFKAEVGTIGRIHHFNLVRLYGFCFERNMIALVYEYMGNGSLDRYLVNDTNNLGYEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPENILLDGNFNPKVADFGLAKLCNRENTHLTLTKGRGTPGYAAPELWIPNFPVTHKCDVYSFGVLLFKIIGRRRNTVEELAESEEWFPMLVWKKFDTGKLGELIIKCGIEQKSRDIAERMAKVALWCVQYRPEFRPVIGLVVKMLEGSVEIPEPMNPFQHMMGEIFIAHPVQESQTYTTTTLSGSIMVTDSNMLRSTPIMSKYEIEIAPATL >Vigun03g203400.1.v1.2 pep primary_assembly:ASM411807v1:3:32613953:32620153:-1 gene:Vigun03g203400.v1.2 transcript:Vigun03g203400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWLKKQSFIIGHKPPLSWLILCLISILALIAVLSSSSSSNTDGSASRTAESLIYTNYRRIKEQAAVDYLELRSVSSGGARQKEVVLCGKERENFVPCHNVSANLISGFKDGEEFDRHCEVYKVSERCLVRPPKEYKTPLRWPSGRDVIWSANVKITKDQFLSSGSMTKRLMLLEENQIAFHSQDGFIFNSVKDYARQLAEMIGLGSDIELPQAGIRNILDINCGFGSFGAHLLSLKIMVVCIAAYEATGSQVQLSLERGLPAMIGNFISRQLPYPSLSYDMVHCAQCGIMWDEKDGLFLIEVDRVLKPGGYFVLTSPTRRPQGSSKEKKRIMSNAVEGFTQQLCWTLVAQQDETFVWQKTPDVECYASRKQSTIQLCEGEDTQSYYRHLVPCISGTSSKRWIAIQNRSSESGLSSAELKIHGVQPEEFYEDLQHWRTAVNNYWSLLTPLIFSDHPKRLGDEDPFPPYNMVRNVMDMSANFGGLNAALLEEKKSVWVMNVVSSTASNALLPLILDRGFAGVMHDWCEPFPTYPRTYDLLHADGLLSHLSSERCSTIDLFLEMDRILRPEGWVILSDTMGAIEMARMVATQVRWEARVIDLQNGSDQRLLICQKPFVKK >Vigun10g170300.1.v1.2 pep primary_assembly:ASM411807v1:10:38952264:38955698:1 gene:Vigun10g170300.v1.2 transcript:Vigun10g170300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTQDEEDALIAGVEKHGPGKWKNILKDPQFAPFLTSRSNIDLKDKWRNLSVSNGSQGSKDKPRAPKLKALPAPPSATTSAATTATPQNAASAPQNAPSDAAVPDSSLNDQDVKNPPRYNAMVFEALSALKDNNGSDLNAIVSFIEQKHQVPQNFRRALSTRLRRLVSQGKLEKVQNCYKIKKDAPSGTKSPSPKPKDVRPAPSQPAPQPQWHSSVSVFTASNETIKDAADTAAYRIADAESKSYLAAEAVKEAEKISLLVEHSDSMLQLAKDIYEQCSRGEIILLA >Vigun10g170300.2.v1.2 pep primary_assembly:ASM411807v1:10:38952279:38955199:1 gene:Vigun10g170300.v1.2 transcript:Vigun10g170300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTQDEEDALIAGVEKHGPGKWKNILKDPQFAPFLTSRSNIDLKDKWRNLSVSNGSQGSKDKPRAPKLKALPAPPSATTSAATTATPQNAASAPQNAPSDAAVPDSSLNDQDVKNPPRYNAMVFEALSALKDNNGSDLNAIVSFIEQKHQVPQNFRRALSTRLRRLVSQGKLEKVQNCYKIKKDAPSGTKSPSPKPKDVRPAPSQPAPQPQWHSSVSVFTASNETIKDAADTAAYRIADAESKSYLAAEAVKEAEKISLLVEHSDSMLQLAKDIYEQCTVDKLYFLPKICDYFDSY >Vigun10g170300.3.v1.2 pep primary_assembly:ASM411807v1:10:38952326:38955199:1 gene:Vigun10g170300.v1.2 transcript:Vigun10g170300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTQDEEDALIAGVEKHGPGKWKNILKDPQFAPFLTSRSNIDLKDKWRNLSVSNGSQGSKDKPRAPKLKALPAPPSATTSAATTATPQNAASAPQNAPSDAAVPDSSLNDQDVKNPPRYNAMVFEALSALKDNNGSDLNAIVSFIEVQNCYKIKKDAPSGTKSPSPKPKDVRPAPSQPAPQPQWHSSVSVFTASNETIKDAADTAAYRIADAESKSYLAAEAVKEAEKISLLVEHSDSMLQLAKDIYEQCTVDKLYFLPKICDYFDSY >Vigun04g172600.1.v1.2 pep primary_assembly:ASM411807v1:4:39648701:39652671:1 gene:Vigun04g172600.v1.2 transcript:Vigun04g172600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEENAVRFGILGCANISIKLCKAISKAPNAKLHAIASRWVEKAESFAAEQKLPKTVKVYGNYENVLEDDEVDAVYIPLPTGLHVTWAVKAAERGKHVLLEKPAAMSVAELDRILEACEGHGVQFMDGTMWMHHPRTAKMKEALSDAQRFGQLKWIHTCLTYNPGPEFLKSSIRVKADLDGLGALGDTGWYCIRAILWAVDYELPKSVLAFPGAILNQEGVIISCGSSMHWEDGRSATFHCSFLSHLTFDVTLLGTKGCLRLHDFPLPFEENLGYATFSEASELDYANIEPGRWCPKPNEHVVETQFSQEVCMVMEFADLVQKVKRCEMKPQKTWPLLSRKTQLVLDAVKDSIHKGYQPVQIVS >Vigun11g095100.7.v1.2 pep primary_assembly:ASM411807v1:11:27757915:27761242:-1 gene:Vigun11g095100.v1.2 transcript:Vigun11g095100.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPNMAPNWPDFSSPHQKMPSLKTMAPNISNQNPSWVDEFLDFSAARRGAHRRSVSDSIAFLEAPMLDHHCKSRGSDGGGDNENEFERFDDEQFMSMFTDEASGNNNNNNNNNDTNNNINDNYNSYNSNNNTMMALTLSSSNPSTPSDHNSINDEKEMNKEKEEEEHEKKHVKNESDEDESQCKQEITQNPDDSNHSNANAAPCSSEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYQQQNIKKMENGTGSTSQSPLPSPKPRCDTLTEKEQLINV >Vigun11g095100.6.v1.2 pep primary_assembly:ASM411807v1:11:27757943:27761242:-1 gene:Vigun11g095100.v1.2 transcript:Vigun11g095100.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPNMAPNWPDFSSPHQKMPSLKTMAPNISNQNPSWVDEFLDFSAARRGAHRRSVSDSIAFLEAPMLDHHCKSRGSDGGGDNENEFERFDDEQFMSMFTDEASGNNNNNNNNNDTNNNINDNYNSYNSNNNTMMALTLSSSNPSTPSDHNSINDEKEMNKEKEEEEHEKKHVKNESDEDESQCKQEITQNPDDSNHSNANAAPCSSEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYQQQNIKKMENGTGSTSQSPLPSPKPRCDTLTEKEQLINV >Vigun11g095100.8.v1.2 pep primary_assembly:ASM411807v1:11:27757941:27761242:-1 gene:Vigun11g095100.v1.2 transcript:Vigun11g095100.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPNMAPNWPDFSSPHQKMPSLKTMAPNISNQNPSWVDEFLDFSAARRGAHRRSVSDSIAFLEAPMLDHHCKSRGSDGGGDNENEFERFDDEQFMSMFTDEASGNNNNNNNNNDTNNNINDNYNSYNSNNNTMMALTLSSSNPSTPSDHNSINDEKEMNKEKEEEEHEKKHVKNESDEDESQCKQEITQNPDDSNHSNANAAPCSSEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYQQQNIKKMENGTGSTSQSPLPSPKPRCDTLTEKEQLINV >Vigun11g095100.2.v1.2 pep primary_assembly:ASM411807v1:11:27757915:27761242:-1 gene:Vigun11g095100.v1.2 transcript:Vigun11g095100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPNMAPNWPDFSSPHQKMPSLKTMAPNISNQNPSWVDEFLDFSAARRGAHRRSVSDSIAFLEAPMLDHHCKSRGSDGGGDNENEFERFDDEQFMSMFTDEASGNNNNNNNNNDTNNNINDNYNSYNSNNNTMMALTLSSSNPSTPSDHNSINDEKEMNKEKEEEEHEKKHVKNESDEDESQCKQEITQNPDDSNHSNANAAPCSSEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYQQQNIKKMENGTGSTSQSPLPSPKPRCDTLTEKEQLINV >Vigun11g095100.4.v1.2 pep primary_assembly:ASM411807v1:11:27758008:27761242:-1 gene:Vigun11g095100.v1.2 transcript:Vigun11g095100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPNMAPNWPDFSSPHQKMPSLKTMAPNISNQNPSWVDEFLDFSAARRGAHRRSVSDSIAFLEAPMLDHHCKSRGSDGGGDNENEFERFDDEQFMSMFTDEASGNNNNNNNNNDTNNNINDNYNSYNSNNNTMMALTLSSSNPSTPSDHNSINDEKEMNKEKEEEEHEKKHVKNESDEDESQCKQEITQNPDDSNHSNANAAPCSSEKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYQQQNIKKMENGTGSTSQSPLPSPKPRCDTLTEKEQLINV >Vigun05g280300.1.v1.2 pep primary_assembly:ASM411807v1:5:46970122:46971078:-1 gene:Vigun05g280300.v1.2 transcript:Vigun05g280300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLRENSDMERKEKEKKKAKQPSVIDRIEIQELECLSQDLEYISKQLGYELKDFSEKLERVSKGLEYESKVLEDFSKRSKYNVRINQLDVEAELAKADREQDKISHMNRVLMNIKSFIPKMTKILEDKDAGKRIEERQDVSSFKIKEEREEIKEGKDVSNFKIKEET >Vigun06g086500.1.v1.2 pep primary_assembly:ASM411807v1:6:21845392:21846306:-1 gene:Vigun06g086500.v1.2 transcript:Vigun06g086500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKIELAYITDPAKRKATFKKRKNGLMKKISEITVLCGIDACAVVYSPDLPNKAEVWPSESEARSVISKFNGVSEAEKRKKMFCQESFIRQRIDKSKEQLKKLKNENRKREIDLLMCEYLTHGANNINSNNMSYLKDFSFFTDQNLDEIRNKITGRQPQEVIPQTMPQGDQAQVHVPKTNFSRKKKLN >Vigun03g329100.1.v1.2 pep primary_assembly:ASM411807v1:3:52607505:52608872:-1 gene:Vigun03g329100.v1.2 transcript:Vigun03g329100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGKVGDYAFKAFTATLGFTTIYLTATFSVNVYRGLSWHNAQTKLVKEDADEQTP >Vigun03g329100.2.v1.2 pep primary_assembly:ASM411807v1:3:52605287:52608871:-1 gene:Vigun03g329100.v1.2 transcript:Vigun03g329100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGKVGDYAFKAFTATLGFTTIYLTATFSVNVYRGLSWHNAQTKLVKEDADEQTP >Vigun02g118300.1.v1.2 pep primary_assembly:ASM411807v1:2:27111202:27112690:-1 gene:Vigun02g118300.v1.2 transcript:Vigun02g118300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFQMRNNFLKFLTKQPATLVVAFQNPTPSPCRSPARMVSIIPREARRKRRGESFSTREPTSPKVSCMGQVQCKKKRKARKQKQAQTQTQAQKDSTKTVDSVGELKKIVLWIRKGSDEGQKQKVLEEKRVEAPSLNTMKKFASGRGSLYDFDVTIAESLKQIRRIAIDNNGRSRVQKSSFFFSFLLHSLSGLNFKIFLENGFY >Vigun09g020100.1.v1.2 pep primary_assembly:ASM411807v1:9:1554805:1561250:1 gene:Vigun09g020100.v1.2 transcript:Vigun09g020100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMAGFITGSHAHSSRDSDEHQAPTRQPSSKTCRVCGDEIGYKENGELFVACHVCGFPVCRPCYEYERSEGNQSCPQCSTRYKRHKGCPRVAGDEEENFDGDDFDDEFPIKNHHEDLDRERDVNHVGNGDYNQEKLHPIGQAFSSAGSVTGKDFDGDKDFYSNAEWQERVEKWKVRQEKRGLLNKEDGKEDQGEDDDYILAEARQPLWRKVPISSSLINPYRIVIVMRLVILAFFFRFRILTPANDAYPLWLISVICEIWFALSWILDQFPKWFPITRETYLDRLALRFEREGEPNQLAPVDFFVSTVDPLKEPPIITANTVLSILAVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPTFVKERRSMKREYEEFKVKINSLVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVEGKELPRLVYISREKRPGYPHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPNLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPVSEKRPKMHCDCWPSWCCFCCGGSRKSKSKKKSGKGLFSVFSKNKNKKKMMGKDYVRKGSGSMFDLEEIEEGLEGYEDLEKSSLMSQKSFEKRFGQSPVFITSTLMENGGLPEGTNSQSLVKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKYLERLAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNLASVWFMALFISIILTSVLELRWSGVTIEALWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAAEDTEFGELYLFKWTTLLIPPTTLIILNIVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPVLKQCGVEC >Vigun07g186900.1.v1.2 pep primary_assembly:ASM411807v1:7:30401484:30402936:1 gene:Vigun07g186900.v1.2 transcript:Vigun07g186900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNQFNQGRKFLANKPRNEVDAKEEIFRIDEGKNSAGNTTFATNYGRWLEKHNRLICEIRSSLNEEVVDDKLVFLIDIVMKHYVEFSEMKTSAANFDVSNVAWNTTAEHSVWWIGGFRPSQLLQVIVPQLQHSCSQQQLSDIWNFVQSCKQVEYALARGMEKLHQILHNATTEGDKGLKLTCASQHMRFLKQANDVRQEFLHQLCGLLTNSQYAEFLLGLGERLHNPASSL >Vigun07g186900.2.v1.2 pep primary_assembly:ASM411807v1:7:30401483:30402936:1 gene:Vigun07g186900.v1.2 transcript:Vigun07g186900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNQFNQGRKFLANKPRNEVDAKEEIFRIDGKNSAGNTTFATNYGRWLEKHNRLICEIRSSLNEEVVDDKLVFLIDIVMKHYVEFSEMKTSAANFDVSNVAWNTTAEHSVWWIGGFRPSQLLQVIVPQLQHSCSQQQLSDIWNFVQSCKQVEYALARGMEKLHQILHNATTEGDKGLKLTCASQHMRFLKQANDVRQEFLHQLCGLLTNSQYAEFLLGLGERLHNPASSL >Vigun02g001200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:813973:814779:-1 gene:Vigun02g001200.v1.2 transcript:Vigun02g001200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQQHFEDFYEDIFTELAKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAKALQSLHGRFYNARPIIADFSPVTDFREATCRQFEENSCNRGGYCNFMHVKLIGRDLRRRLFGRHHHRGGGRYHNTSRSRSRSRSRSPRHRREGRERDSRSGGRREYRERDGGGRRRHAESPAREGSEERRARIEQWNREREEKE >Vigun03g049200.2.v1.2 pep primary_assembly:ASM411807v1:3:3946583:3948938:1 gene:Vigun03g049200.v1.2 transcript:Vigun03g049200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHGMLNVLVPALSLAILLLILPPFLLFKILRFIVRSISREDVAGKVVLITGASSGIGEHLAYEYAKRGARLALVARRENRIKEVAGNAKLLGSPDVITIPADVSRPQDCQRFVDSTINHFGRLDHLVNNAGINALSMFEDTTDITNFAPVMDINFWGSAYSTYFSIPHLRQSKGKIVAVASCNGWLPVPKMSIYNASKAAVISLYETLRTELGRDIGITIVTPGLIESEMSQGKILSNDGKMVFDQQIRDMHVGVMPIRSVTEAAKAIVNSVCRGDSYLTEPAWFTTTFYWQVCFPEMLGFFNRSSLTSESSEEDPATSKKVLDLKFLKKYINPKSVRSPNIKPN >Vigun03g049200.1.v1.2 pep primary_assembly:ASM411807v1:3:3946612:3949944:1 gene:Vigun03g049200.v1.2 transcript:Vigun03g049200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHGMLNVLVPALSLAILLLILPPFLLFKILRFIVRSISREDVAGKVVLITGASSGIGEHLAYEYAKRGARLALVARRENRIKEVAGNAKLLGSPDVITIPADVSRPQDCQRFVDSTINHFGRLDHLVNNAGINALSMFEDTTDITNFAPVMDINFWGSAYSTYFSIPHLRQSKGKIVAVASCNGWLPVPKMSIYNASKAAVISLYETLRTELGRDIGITIVTPGLIESEMSQGKILSNDGKMVFDQQIRDMHVGVMPIRSVTEAAKAIVNSVCRGDSYLTEPAWFTTTFYWQLCRVLELEYMMVTSVQNGTTFIMHDIKVCNYFEHNFVQAKLQNASHADNYGDNSIGSVGF >Vigun05g284100.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:47218429:47220887:-1 gene:Vigun05g284100.v1.2 transcript:Vigun05g284100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSIRKTQETSRILSTHSFSFKIFPVSPSYFQRRFLHRSFATQQIPQHHPFTSLHAQVQSFPSSPSNHHRYASLLESCISVKALRPGKQLHARLCQLGIAYNQDLATKLVNFYSVCNSIRNAHQLFDQIPKGNLFLWNVLIRAYAWNGPHEVAISLYHQMLEYGLKPDNFTLPFVLKACSALSTIEDGRIIHERVIRTGWERDVFVGAALVDMYAKCGCVVDARHVFDKIVERDTVLWNSMLAAYAQNGHPDESLSLCREMAATSVRPTEATLVTVISSSADIACLPHGREIHGFGWRHGFQSNDKVKTALIDMYAKCGSVKVACILFERLREKRVVSWNAIITGCAMHGLVVEALDLFERMREEAQPDHITFVGVLAACSRGRLLDEGRALYKLMVRDYCINPTVQHYTCMVDLLGHCGQLDEAYDLIRQMDVTADSGVWGALLNSCKTHGNVELGEVALEKLIELEPDDSGNYVILANMYAQSGKWEGVARLRQLMIDKGIKKNIACSWIEVKNKVYAFLSGDVSHPNSGAIYAELKRLEGLMREAGYVPDTGSVFHDVEEDEKADMVCSHSERLAIAFGLISTLPGTRLLITKNLRICEDCHVAIKFISEITEREITVRDVNRYHHFKHGMCSCGDYW >Vigun08g078380.2.v1.2 pep primary_assembly:ASM411807v1:8:15480725:15481867:-1 gene:Vigun08g078380.v1.2 transcript:Vigun08g078380.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSISNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRYISSG >Vigun08g078380.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:15481430:15481921:-1 gene:Vigun08g078380.v1.2 transcript:Vigun08g078380.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFILENLVFLCMKIMNSIVVVGLYYGFMTTFSIGPSYLFLLRARLVEEGTEKKIAATTGFITGQLIMFMSIYYAPLHLALGRPHTITVIAIPYLLFQFFGNSQKNFLNYGYKNPNSISNFSIQRRFFQNLLFQFLNPLFLPSSIFMRFINIYLFRCNNKI >Vigun05g278700.1.v1.2 pep primary_assembly:ASM411807v1:5:46805025:46811833:-1 gene:Vigun05g278700.v1.2 transcript:Vigun05g278700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLSPYRMLTFFICFLGGLLIEAQHQAEFISIDCGAPADVRYTERRTGIDYTSDANLINTGVSKSVASEFKEKHQQQMWTVRSFPEGKRNCYKINITRDSKYLIRTSYLYGNYDGLNKTPQFDTHLGANWWHTVTLSNASTPQGNSLIHVPSRDYLHICLVNTGHGTPFISAIGLRTMPNDIYVTQYESLQTYHRWDLGSNKDYRYPDDVFDRFWKGTLGDSKDWSQLSVSVPAESLTQNNYKPPAIVMSTAVTPANASAPLLISWEPEHETDQFYVYMHFMEIQELTTNQTRQFNIMMNGQPLLSNCSPQYHIANTVHSRSSFSGKVIKYSLERTESSTLPPIISAIEIYRVQEFPQSDTFQGDVDAITSIKSVYGVKRDWQGDPCAPVDYLWDGLNCTYLGNEPRITALNLSSSGLSGKIDPSISKLTMLEKLDLSNNSLNDEVPDFLSQLQHLKILNLEKNNLSGSIPSALVEKSKEGSLTLSLGQNPYVCEHGQCMENRNNIVMPLVASICGVLILLMAVGAVLWILKRRKSKAWMVEKDQSEYTEQDDSLQQFKKRIYSYSDIIKITNNFTTIVGKGGFGTVYLGYIYDTPVAVKMLSPSSCRGYEQFQAEVKILMRVHHKNLTSLVGYCNQGTNKSLIYEYMANGNLLEHLSGKHSKSKFLSWVDRLRIAVDTALGLEYLQSGCKPSIIHRDVKSSNILLNEHFQAKLSDFGLSKIIPSDGGSHVSTVVAGTPGYLDPDYYTSNRLTEKSDVYSFGVVLLEIITGQQVIARNEERSHIIEWVSSLVALGDIMAIVDSRFEGDFDINSAWKAVEIAIACVSLSPNERPIMSVIVSELKETLATELARTKYNSDANSFEPVNVNLSTQLIPHAR >Vigun02g173700.1.v1.2 pep primary_assembly:ASM411807v1:2:31645067:31648412:1 gene:Vigun02g173700.v1.2 transcript:Vigun02g173700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRSLLALKRPDSPSAAAPKEKRRWSFVKSYREKDHSAVDHHAPPVHSSVHAESADPNLPALTAVTVVGGFTREESAAVKIQAAFRGSLARKALRALKGLVKLQALVRGEIERKRTSEWLRRVQTLLRIQAQAQFRAGRAQILHSPYRNANPSTAYLHGSPDKSESPIRSESMKYDHSPSLLKRNSSKSRMQMNVNEEKSWHERRWWSRGFSLDEERCVRILENDSGKAHMTSKGRSHGLVGEGQCYSPLKWNEVEENSWCGGENSPRTLSSSSKNGVSKRSPFTPTKSDGSKSHGSGYSEPDYPSYMAYTESSMAKVRSVSVPKQRPTQYQRSTSSSNSYSLHHHGFQSGDSKLATQRSHANFTHKAYPGSGRLDKLG >Vigun10g070200.2.v1.2 pep primary_assembly:ASM411807v1:10:16877332:16890585:-1 gene:Vigun10g070200.v1.2 transcript:Vigun10g070200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGIGGSGKTTIAKAIYNRIYHPFIGKSFIENIGQFQNRVNRMHVHLQENLLYDVLKSKFEVESDRVGRTMIETKLSRKKLLIVLDGVNEFGQLENLCGNREWFGQGTVIIITTRDVTVLNRLKVNHVYKMDVMNENDSLELLSWHAFGEAKPRKELNELARNIVAYCAGLPLALQFLGSYLCDRTKEEWESVSSKLNVNPFNQIQEKLEISFDGLNHMEKDIFLDICCFFIGKDRGYVTEILNGCGLYADMGITVLIERGLIKVERNNKLEMHPLLRDMGREIIRQRCPKEPGKRSRLLFEDDIKDVLKRNTGTKATQGLSLKQHSTSKDCFEAHAFKEMKRLRLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYMPNNFHMENVIAIDLKHSYLQLVWQQPQVLEGLKFLNLSHSKYLRETPDFSGLPNLEQLILKDCPSLCKVHQSIGDLRNLLLINLKDCTSLSSLPRKVYKLKSLRTFILSGCFKIDILEEDIVRMESLIAIVSENTVVKQVPCSIVKSIAYMSLRGFKGLLRNTFPSIIRSWMSPTMNDQYLNTPFCMDMENNDWRVLALLHRSLASLRSILVQCKTEFQLSKKVKTILVEYPVNFTESRISNHHLRFSLIGVGSYIKFLNTLNDRISEGLASSGSCKVSLPSDNHPYWLARMGEGHSVSFIVPQDCHMKGIIMCVVYLSTHGIVATEYLASVSIVNYTKCTLHIHKHGTVISFNDEDWHGIISNLESGDKVEIFVTFDHGLVVKNTIVYLIYGDSNGLEMGPCPEPEENGLNKFIKKIVMCDFW >Vigun10g070200.1.v1.2 pep primary_assembly:ASM411807v1:10:16877332:16890585:-1 gene:Vigun10g070200.v1.2 transcript:Vigun10g070200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSSSSSSSFLKSEPQFIYDVFINFGGEDICRKFVSHLHSAFSLSQVKTLISKENPQEGMKLEEHMRAIESSKITIIVFSKTYTESSCWRLELEKIIECRQTFGQIVLPVIYDIVRLHESHQKVVKVLEKATPGSYSGEQLEHAMSRWSRALTKAAGITGWDFRDFRHDAELVDEIVRRVQTLLDYTDLSITRFPVGLESHVEKVIGIIEEQSTKVCMIGIWGIGGSGKTTIAKAIYNRIYHPFIGKSFIENIGQFQNRVNRMHVHLQENLLYDVLKSKFEVESDRVGRTMIETKLSRKKLLIVLDGVNEFGQLENLCGNREWFGQGTVIIITTRDVTVLNRLKVNHVYKMDVMNENDSLELLSWHAFGEAKPRKELNELARNIVAYCAGLPLALQFLGSYLCDRTKEEWESVSSKLNVNPFNQIQEKLEISFDGLNHMEKDIFLDICCFFIGKDRGYVTEILNGCGLYADMGITVLIERGLIKVERNNKLEMHPLLRDMGREIIRQRCPKEPGKRSRLLFEDDIKDVLKRNTGTKATQGLSLKQHSTSKDCFEAHAFKEMKRLRLLQLDHVQLTGDYGYLSKQLRWICWKGFPSKYMPNNFHMENVIAIDLKHSYLQLVWQQPQVLEGLKFLNLSHSKYLRETPDFSGLPNLEQLILKDCPSLCKVHQSIGDLRNLLLINLKDCTSLSSLPRKVYKLKSLRTFILSGCFKIDILEEDIVRMESLIAIVSENTVVKQVPCSIVKSIAYMSLRGFKGLLRNTFPSIIRSWMSPTMNDQYLNTPFCMDMENNDWRVLALLHRSLASLRSILVQCKTEFQLSKKVKTILVEYPVNFTESRISNHHLRFSLIGVGSYIKFLNTLNDRISEGLASSGSCKVSLPSDNHPYWLARMGEGHSVSFIVPQDCHMKGIIMCVVYLSTHGIVATEYLASVSIVNYTKCTLHIHKHGTVISFNDEDWHGIISNLESGDKVEIFVTFDHGLVVKNTIVYLIYGDSNGLEMGPCPEPEENGLNKFIKKIVMCDFW >Vigun06g116200.1.v1.2 pep primary_assembly:ASM411807v1:6:24408278:24412806:1 gene:Vigun06g116200.v1.2 transcript:Vigun06g116200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGISNILHCPKLSFSHSNLRPKVSTSLRFPQTATWSDRKIVCAAASAAGSSNSDGDINPYEVLGVSPLEKFDMVKAAYAKRKKEAEMKGDEATASRLEKAYDKLMMAQLTNRKKGVTFGSFKVSKEIKYADKQPILPWGPRFTKSSQNDIRINLAISAVFTAWILVKRSAEYKPLQFLAFAFVYRLFEKLKAFESPVTRIYNEEGEDTGEGLRMGKRLLRALALVFGCVAISSLAYTFILNIIEFAGGFIPALLYNNQELVITTSSAVMLYIMASYYR >Vigun02g147300.1.v1.2 pep primary_assembly:ASM411807v1:2:29493467:29495431:-1 gene:Vigun02g147300.v1.2 transcript:Vigun02g147300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGIMDAAYFVGRSEILAWINSTLQLSLSKVEEACSGAVHCQLLDAAHPGIVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGQINYNASERREVCRGGRETSKKSANSHASTKGSTSQRPQSSHGSRRTEVSNANPTNQAVKVARAPSAGGPAYEEKLTELKLSIDSLEKERDFYFAKLRDIEILCQTPEIEHSPIVGAIQKILYAADDDGTAVAEAQAMLSVGDKELSPIAEVSEEKGSSETHKRKNIANIDFDAAGIATLSPRQRLSDISDVHCSGSPLMTC >Vigun09g010300.1.v1.2 pep primary_assembly:ASM411807v1:9:770453:778061:-1 gene:Vigun09g010300.v1.2 transcript:Vigun09g010300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNQELMFLILQFLDEEKCKDTVHKLEQESGLFFNIKYFEKKVMAGEWDEVEKYLFGFTKIDDNRYSMMIFFEIRKQKYLEALDRRDRVKAVEILVNDLKVFQSFNEYLFKEITNLLTLNDFRENAQLSKYGDTTSARNILVTELKRLIEANPLFQDKLTFPSIKASRLRTLINQSMNWQHQLCKNPMPNPNITTLFVNHSCSPANGSPSPSLVNLPMPPIANPLSCSSLGAHVGPFTPSQSASNANTLVGWTLNEIQSTSNDQGQLTTQMRPTQQHAEETTNNAPPPQQAPCLFDDLPRIVVCTLQQGSTITTMDFHPSLHSLLVVGCANGDISLWEAGHQEKISSNPFKIWNISICSVLFQNAILKDPAISINRVTWSGDGDFIGVAFTKHLIHLYIYQAPNHLRPFLEIDAHVGGVNDLAFSNLNKQLCIVTCGDDKLIKVWDLNGKKIFNFEGHQAPVYSICPHQKENIQFIFSTSTDGKIKAWLYDNMGSRLDYDAPEQWCTRMLYSTVGNRLFSCGTSKDGNCFLVEWNEREGAPKRRYFGFRKKCNGIVQFDTTKGRYLAAGEDNQIKFWDMDNVNVLFSTDAGGGLPNLPHLRFNKEGNLLAVTTSDAGFKVLSNSEGIKYFRSHQTLKVALGTKNGSDSVPRCIESKGSLDYASHKSKVWETSEIIDPIQCRTITLSDSVDPTNKVVRLIYTNSGVSLIALGSKGIQRLWKWSRNELNPNGKATTSVVPQLWQPNNGIHMINDVPNSSEAILPCMALSNNDSYVMSACGGKISLFNMMTFKVMTTLMPPPPISTFLMFHPLDNNIVAIGMNDSTIHIYNVRVNEVKSKLKGHHKYITGLAFSARLNILVSSCADAQLCFWNIDSWHKKKSISIQMPIGNALVGDTQVQFNNDQVRLLVSHETQLAIYDAFRMELIQRWVPQEWLFGSLSCATYSCNSQLVYAAFTNGNIGIFDGNTLKVKCWMASSAYQHTIIRQNVYPIVVAAHPDEATQFAIGLSDGSIKVIEPRECDRKWGN >Vigun03g088600.1.v1.2 pep primary_assembly:ASM411807v1:3:7440574:7443421:-1 gene:Vigun03g088600.v1.2 transcript:Vigun03g088600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTTTSGHSSLYSALTLPRTTQIHPTLFSSSAKFFSCRSPSYLGVSFCFSNTLLSRPLLFAVKASSGVGSEASKQESDKSEEQEEEQYEEYEVEIEQPFGLKFAKGRDGGTYIDAIAPGGSADKAGVFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKSIDSGGALTEKEIIRAERNSGVISNRVREIQMLNALRKKEQKERRERDLREGLQLYKNGSYNEALEKFESILGSKPEPEEAAVASYNVACCYSKLNQIQAALSSLEEALNSGFEDFKRLRTDPDLANVRASEEFDPLLKRFDESFINENAINAIKSLFGFGKK >Vigun08g157800.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:33019657:33021441:-1 gene:Vigun08g157800.v1.2 transcript:Vigun08g157800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRIRRSNFNDSTKQTLFSFFNFLSCPFTIHFLTDKLHVPLFFLHHLFTYYYLYYKKIFFRICFAFGKGKSFHSIATFKFHVLHVCACPLSPVHTSFSLFSSNFHSLSLSPTSSPYKYTSIFLLLLFKFHHNSSNSQNYIFLSIYHFPNMVDLDWQTKMVCSNSPKLSLLENNIPIPTLQIPLRQNDITAASSPLCAAYDNYLRLPDLRNLWATTNFPDWPNEPIIKPALHALEITFRFLATVLSDPRPYVNKREWTRRLESLAAAQVQIIAVLCEDEEQNPETRGTAPVSDVNDFVTAQRRSYSEESLLPRLATWHRSRDVARRILATVECEMMRCTYTLGLGEANLAGKKVLRYDDVCRPTEIRSLETTPYDHVENYENGTVHAAQQIVECWTRAGRGLVERLVESVERRELEKAASECHAVERIWKLLAEVEDVHEMMDPEDFLRLKKELGMRRQGETEAFCFRSKEVVELAKVCRDLRQKVPEILEVEVDPKGGPGMMEAAMKVYREKTKGLEKVVVLQGMQAIEVAMKRFFFAYKQVASLLMGSSEADGLTQIFLQPTYFPSLDAAKTFLSYYWENNANQTQNLVVPW >Vigun05g241300.4.v1.2 pep primary_assembly:ASM411807v1:5:43454964:43459568:-1 gene:Vigun05g241300.v1.2 transcript:Vigun05g241300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGKLSGFRREGSDWFCNGGLPSDITVSIEGVTFHLHKFPLVSKSGKFAKANDESKDADKKTLKMVLEEFPGGPDTFLIAAKFCYGYRVELTARNVVLVHCAAEYLEMTDEFGEGNLLSKSESFFHKNTLRNWKDCILALQSSEPVLPRAEKLQLVGKCLNALSMMVCTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVNLFERLIKTMQARGIRPENLAGAIMYYSRKHLPGLGRWQGGQGGKTRTVASFSLTPATVDQRVLLESIEKLLPDKKGKSYCRFLLGLLRVALILNVSQTCKDSLERRIGMQLELATLDSLLIPTYSDSDALYNTECIEQIVHYFVSTESNLTAFSPSSHDQQTPASPSSESLRKVSKLIDSYISEIASDVNLKPGKIRRLADALPESSRLLHDGLYRALDIYFKGEGRTMQHH >Vigun05g241300.1.v1.2 pep primary_assembly:ASM411807v1:5:43454964:43459568:-1 gene:Vigun05g241300.v1.2 transcript:Vigun05g241300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGKLSGFRREGSDWFCNGGLPSDITVSIEGVTFHLHKFPLVSKSGKFAKANDESKDADKKTLKMVLEEFPGGPDTFLIAAKFCYGYRVELTARNVVLVHCAAEYLEMTDEFGEGNLLSKSESFFHKNTLRNWKDCILALQSSEPVLPRAEKLQLVGKCLNALSMMVCTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVNLFERLIKTMQARGIRPENLAGAIMYYSRKHLPGLGRWQGGQGGKTRTVASFSLTPATVDQRVLLESIEKLLPDKKGKSYCRFLLGLLRVALILNVSQTCKDSLERRIGMQLELATLDSLLIPTYSDSDALYNTECIEQIVHYFVSTESNLTAFSPSSHDQQTPASPSSESLRKVSKLIDSYISEIASDVNLKPGKIRRLADALPESSRLLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSIHACAHASQNDRLPLRVVLQVLFFEQLHLRTALAGCLNALDGEIATTAPVPVAALGETAGEIVQRDGWVTVVRENQVLKVDMDRMSSRVGELEEEFSKIKQEMKSVAKSHSSRSSPRFVARKIGCKLVPRPSDAQPESLNRTGSTPRASIERARRSLKSKHSESFT >Vigun05g241300.3.v1.2 pep primary_assembly:ASM411807v1:5:43454964:43458793:-1 gene:Vigun05g241300.v1.2 transcript:Vigun05g241300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEFPGGPDTFLIAAKFCYGYRVELTARNVVLVHCAAEYLEMTDEFGEGNLLSKSESFFHKNTLRNWKDCILALQSSEPVLPRAEKLQLVGKCLNALSMMVCTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVNLFERLIKTMQARGIRPENLAGAIMYYSRKHLPGLGRWQGGQGGKTRTVASFSLTPATVDQRVLLESIEKLLPDKKGKSYCRFLLGLLRVALILNVSQTCKDSLERRIGMQLELATLDSLLIPTYSDSDALYNTECIEQIVHYFVSTESNLTAFSPSSHDQQTPASPSSESLRKVSKLIDSYISEIASDVNLKPGKIRRLADALPESSRLLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSIHACAHASQNDRLPLRVVLQVLFFEQLHLRTALAGCLNALDGEIATTAPVPVAALGETAGEIVQRDGWVTVVRENQVLKVDMDRMSSRVGELEEEFSKIKQEMKSVAKSHSSRSSPRFVARKIGCKLVPRPSDAQPESLNRTGSTPRASIERARRSLKSKHSESFT >Vigun05g241300.2.v1.2 pep primary_assembly:ASM411807v1:5:43454964:43458892:-1 gene:Vigun05g241300.v1.2 transcript:Vigun05g241300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEFPGGPDTFLIAAKFCYGYRVELTARNVVLVHCAAEYLEMTDEFGEGNLLSKSESFFHKNTLRNWKDCILALQSSEPVLPRAEKLQLVGKCLNALSMMVCTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVNLFERLIKTMQARGIRPENLAGAIMYYSRKHLPGLGRWQGGQGGKTRTVASFSLTPATVDQRVLLESIEKLLPDKKGKSYCRFLLGLLRVALILNVSQTCKDSLERRIGMQLELATLDSLLIPTYSDSDALYNTECIEQIVHYFVSTESNLTAFSPSSHDQQTPASPSSESLRKVSKLIDSYISEIASDVNLKPGKIRRLADALPESSRLLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSIHACAHASQNDRLPLRVVLQVLFFEQLHLRTALAGCLNALDGEIATTAPVPVAALGETAGEIVQRDGWVTVVRENQVLKVDMDRMSSRVGELEEEFSKIKQEMKSVAKSHSSRSSPRFVARKIGCKLVPRPSDAQPESLNRTGSTPRASIERARRSLKSKHSESFT >Vigun07g177725.1.v1.2 pep primary_assembly:ASM411807v1:7:29328942:29331699:1 gene:Vigun07g177725.v1.2 transcript:Vigun07g177725.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHVLGVSFAGLIVVTASIFLPRARMVREQKKLIPLLKKTKSNRLIEIEKFSHYVDLLRRSKECDENIYEYICSVNGSNAYSLYMNLMDEFERCVNSYLAFHWNQVTHVINLALSVESGHTKKKQRFERENKNIQVTRRLSTSTEEMKAKNGDMDEVIPSERSPILLLIGGGMGAGKSCVLENVLKGALWSREATNAVIVVETNVFSETEIIYMALNSRVHHDDMLQTAELMHQSSTHVALSKLVTALNEGRDVIMNDTLSCESFIKQTIAMARNIHEYQYQMGVGYKVAEDGTVTENYWERLHDTKEENQSKEISNGKPCTKKPYSIALFGVVCDSYLAMVRIISYNNKEAVNINSQLKSHKMFANAFPRYCNLVDYARLCATNVVGRSPNTIRSIFENEDRWGTCIKNYKCLKVLADLNAKADSVYELHKEPNPIMEPHSLWSKIVVLSPSRKSDKKDLRESIKKIEKSFKKYNQIIV >Vigun06g037900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:15474030:15474227:-1 gene:Vigun06g037900.v1.2 transcript:Vigun06g037900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVKHALVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >Vigun08g179900.1.v1.2 pep primary_assembly:ASM411807v1:8:34975845:34981349:-1 gene:Vigun08g179900.v1.2 transcript:Vigun08g179900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPGQLNVNESPTCGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYRGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDQNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLQGKPIFPGKDEPEQLNKIYELCGAPTEINWPGVSKIPYYNKFMPTRPMKRRLREVFRHFDHHALELLEKMLTLDPAQRITAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEEMAKRQKMQHPQQHSRLPPIQQPGQHGQMRSGPNQQIHGSQPPVAAGPTHHYGKPRGPSGGPGRYPPNGNQGGGYNHPNRGGQGGGYGTGPYPPQGRGAPYGSNNMPSGGPRGGGGGGGGGGSGYGVGAPNYPQQGGPYGGSAAGRGSNMMGGNRNQQQYGWQQ >Vigun07g114900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:21268376:21269782:1 gene:Vigun07g114900.v1.2 transcript:Vigun07g114900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKAEAKEVEVMFLKGQKCSSSFKLTNLMHTMSVAVSLTTTNPSLFSINKHFSTIPPLSSASYTLHLSHTSDQPPLSDPPDAITVRATMLPTGKATVDHLRRLFSKPGPHVFRDAVLTISLVGPHVAEYLISHKPQSHNLFTKAISACTRSQLTGLLKPAVENGSADAVAYLLDAGADATATTESLMPPAIRSGSLDVVKLLEASGCKIEESVLHEAAATDRIDVMEFLLERCDEELEVDSVDSEGRTPIHVAAREGHVRVIEFCVSMGGNPNRVDYKGRTPLHYAAWKGHVKAVECLLECSNVKCVKDREGRTALCVAAESEESHARRRLVDLLGLGDALMRAARVDDVQGVKRCLGEGASVNGRDQNGWSPLHWAAFKGRIKSVKVLLEHGAEVDTVDDAGYTPLHCAAEAGHLQLALFFIAHGASQSHLKSFPYLAAHPLNLFDSFQNQVSLPSKSNTLMYH >Vigun03g189700.1.v1.2 pep primary_assembly:ASM411807v1:3:25838146:25843910:1 gene:Vigun03g189700.v1.2 transcript:Vigun03g189700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQNAMPGPNGAAAAAAGGNQFVTTSLYVGDLDPNVTDSQLYDLFNQLGQVVSVRVCRDLTSRRSLGYGYVNYSNPQDAARALDVLNFTPLNNKPIRIMYSHRDPSIRKSGAGNIFIKNLDRAIDHKALHDTFSTFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFLRKQERESAIDKAKFNNVFVKNLADSTSDDELKTIFGEFGTITSAVVMRDGDGKSKCFGFVNFENADDAARAVEALNGKKFEDKEWYVGKAQKKSERENELKQRFEQSMKEAADKYQGANLYVKNLDDSISDDKLKELFSPFGTITSCKVMRDPNGVSRGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVTLAQRKEDRRARLQAQFAQMRPVGMPPSVGPRVPMYPPGAPGIGQQIFYGQGPPAIIPSQPGFGYQQQLVPGMRPGAAPVPNFFVPMVQQGQQGQRPGGRRAVQQSQQPVPMMPQQMLPRGRVYRYPPGRGIPDVPMPGVAGGMFSVPYDVGGMPIRDASLSQQIPIGALASALANASPEQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVAQQQQAGGAADQLASLSLNDNLVS >Vigun05g300900.1.v1.2 pep primary_assembly:ASM411807v1:5:48436116:48439744:-1 gene:Vigun05g300900.v1.2 transcript:Vigun05g300900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQTLFLLLLVTFGHSLHHHLHPSHSLLTDKAALLEFRKTIVSDPFSSLANWVEAVPVCNFTGVECDRSHNRVIRLILYDKALVGLLSPVLSNLTGLHNLEIVRSHLFGIIPREFSNLRRIHSIIIEGNNLHGSIPDSFSMLSKLNILVIKENNISGSLPSSLFSNCTLLNVVDFSSNSLSGQIPEEIGNCKILWSISLYNNQFTGQLPLSLTNLSLQHLDVEYNYLSGELPAKFVSNWPYLLYLHLSYNNMVSHDSNTNLDPFFTALRNNSNLEELELAGMGLGGRFTDTLPSQLNNLRTLLLQENQIFGSIPRGLASLSRLFILNLTSNLLNGTVSSDIFRLPRLEQLFLSHNHFKIPIPEAIGECLNLGLLDLSHNQFSGRIPDSLGDLVRLNSLFLNNNLLSGTIPPTLGRCSNLYRLDLSHNRLTGSIPLKLAGLREIRIFINVSHNHLEGDLPIELSKLEKVQEIDLSSNYLTGSIFPQISGCIAVSMINFSNNFLQGEIPQSLGDLRNLESFDVSRNQLSGLIPAKLGNPKLCGTIEGISLCSQRRRWIHGRLLLTILILVILVSTLLSIICCVIGCKHLKAIISSQRTEASKNAGRAELISNFPRITYKELSDATGGFDNQRLVGSGSYGHVYRGVLADGTPIAVKVLHLQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLESRLYPSSGSSELSIVQRVNICSDIAEGMAYLHHHSPVRVIHCDLKPSNCLLNDDMTALVSDFGVARLLMSAGVGATDNMCNSSANLLFGSIGYIAPEYGFGSNSSVKGDVYSFGILVLEMVTRRRPIDELFVGGLSLQKWVKVHFHGRVEKVVDPALVRASRDESQEVRKMWEVAISELIELGLLCTQESPSTRPTMLDAADDLDRLKRYLNGDTAATFASSLGISSSTIAGDC >Vigun08g214400.2.v1.2 pep primary_assembly:ASM411807v1:8:37487918:37495142:-1 gene:Vigun08g214400.v1.2 transcript:Vigun08g214400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRPSSDLILHRLASSDCEIKLKAIREVKNQIIGNRTKKLSYIKLGAVPVLAAVLAGADADSTPESSLIVQSAAALGSFACGVDAGVRAVLDAGAFPHLIRLLSAADDKVVDAAARSLRMIYQSKLAPKYDFYKEQDMGFLLSLLKSGNENLTGLGASIVIHSCETSDEQNILCRAGALEKLISLLDGSLSQRDSSLESIAAILKNNPEVVSKFVDLQNRRALSSIIELTKDRYSQTRLLATLCLICVKNSSSCYLQDTGIETKLINILLELLDDSGKVGDNACFAFSSLVAEKEDAQKLAFEANAIDKFNNHLQNCALHPERLEGIFLALAALCSKLECCRSKFLSLQVLNILVDALTYDDANVRTAACICLKNVSRSIKNLSAGYFTSERIITTLVRLLSDRSTSVQVAALGAISNLVIDFTPQKSRFIKCGGIKELVQLTKSMDSSLRLNAVWALRNMVFLADKKYPEPSVQEQALALVRNLVDGCIDCVEYAFAEDGIILGAVGRQLQKSSKIEIGIQGMYLLSNIACGNESHKEAVMQLLFSKAENGSHSFFSQFLLSNDSRLRPSALWVIVNLTFPSSPGAFGRIVKLRSVGIVSQIKKMVNDPCMDVKLRARQALGQIITYGDD >Vigun08g214400.1.v1.2 pep primary_assembly:ASM411807v1:8:37487918:37495142:-1 gene:Vigun08g214400.v1.2 transcript:Vigun08g214400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRPSSDLILHRLASSDCEIKLKAIREVKNQIIGNRTKKLSYIKLGAVPVLAAVLAGADADSTPESSLIVQSAAALGSFACGVDAGVRAVLDAGAFPHLIRLLSAADDKVVDAAARSLRMIYQSKLAPKYDFYKEQDMGFLLSLLKSGNENLTGLGASIVIHSCETSDEQNILCRAGALEKLISLLDGSLSQRDSSLESIAAILKNNPEVVSKFVDLQNRRALSSIIELTKDRYSQTRLLATLCLICVKNSSSCYLQDTGIETKLINILLELLDDSGKVGDNACFAFSSLVAEKEDAQKLAFEANAIDKFNNHLQNCALHPERLEGIFLALAALCSKLECCRSKFLSLQVLNILVDALTYDDANVRTAACICLKNVSRSIKNLSAGYFTSERIITTLVRLLSDRSTSVQVAALGAISNLVIDFTPQKSRFIKCGGIKELVQLTKSMDSSLRLNAVWALRNMVFLADKKCKEKVFMELTAFSVASLICDPEPSVQEQALALVRNLVDGCIDCVEYAFAEDGIILGAVGRQLQKSSKIEIGIQGMYLLSNIACGNESHKEAVMQLLFSKAENGSHSFFSQFLLSNDSRLRPSALWVIVNLTFPSSPGAFGRIVKLRSVGIVSQIKKMVNDPCMDVKLRARQALGQIITYGDD >Vigun04g106700.1.v1.2 pep primary_assembly:ASM411807v1:4:25673357:25679212:1 gene:Vigun04g106700.v1.2 transcript:Vigun04g106700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLTARTGRQRQRYEDNMRLVSGCIPYRWKKGKVDKNEDTKEMIEVLMVSSPKRDDLVFPKGGWEDDETVTEAACREALEEAGVKGIVREIPLGRWEFRSKSSMDSCSQKGWCRGYMFSLEVTQELEAWAEQKDHNRQWVNIEEAFRLSRYEWMSKALEVFLIVMAEDRKLKNEENNVDSSSMSVLDVSTKCYKRTTSTQHHSISSRTNILSHSSQEIAIHLGY >Vigun03g209200.3.v1.2 pep primary_assembly:ASM411807v1:3:34412777:34418110:1 gene:Vigun03g209200.v1.2 transcript:Vigun03g209200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRTSGELLTERRSIIDAVFNSFEKSDHGSRGLRRGRRFGRITKHKLSRWIFLLTALISILLTVYGLKMFFQAKMESKFSSIPLILQEERNQQGNIMVSDEAKTPKENTVVPNLGMVPKGKRRKHFPCDVGFLESVESLVEPQSYVNSTWFSLEYVDYEERTSKNNLFEPRFGGHQTLEERENSFYAKNQTLHCGFVKGPPGLPSTGFDINEKDKAYMSKCKVAVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTQSKLSSEGNSPDERGHIGLWRIVIVKNLPYEDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLNSDPMLIIEYFLWRRKAEYAISNHYDRHNVWEEVLQNKRLNKYNHTAIDEQFNFYQSDGLPKVDPSKSNDPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPERPFQLYMFKDCERRALVKLFRHRSLPSLLETA >Vigun03g209200.2.v1.2 pep primary_assembly:ASM411807v1:3:34412695:34418117:1 gene:Vigun03g209200.v1.2 transcript:Vigun03g209200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRTSGELLTERRSIIDAVFNSFEKSDHGSRGLRRGRRFGRITKHKLSRWIFLLTALISILLTVYGLKMFFQAKMESKFSSIPLILQEERNQQGNIMVSDEAKTPKENTVVPNLGMVPKGKRRKHFPCDVGFLESVESLVEPQSYVNSTWFSLEYVDYEERTSKNNLFEPRFGGHQTLEERENSFYAKNQTLHCGFVKGPPGLPSTGFDINEKDKAYMSKCKVAVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTQSKLSSEGNSPDERGHIGLWRIVIVKNLPYEDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLNSDPMLIIEYFLWRRKAEYAISNHYDRHNVWEEVLQNKRLNKYNHTAIDEQFNFYQSDGLPKVDPSKSNDPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPERPFQLYMFKDCERRALVKLFRHRSLPSLLETA >Vigun03g209200.4.v1.2 pep primary_assembly:ASM411807v1:3:34412748:34418110:1 gene:Vigun03g209200.v1.2 transcript:Vigun03g209200.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQAKMESKFSSIPLILQEERNQQGNIMVSDEAKTPKENTVVPNLGMVPKGKRRKHFPCDVGFLESVESLVEPQSYVNSTWFSLEYVDYEERTSKNNLFEPRFGGHQTLEERENSFYAKNQTLHCGFVKGPPGLPSTGFDINEKDKAYMSKCKVAVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTQSKLSSEGNSPDERGHIGLWRIVIVKNLPYEDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLNSDPMLIIEYFLWRRKAEYAISNHYDRHNVWEEVLQNKRLNKYNHTAIDEQFNFYQSDGLPKVDPSKSNDPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPERPFQLYMFKDCERRALVKLFRHRSLPSLLETA >Vigun03g209200.1.v1.2 pep primary_assembly:ASM411807v1:3:34412773:34418110:1 gene:Vigun03g209200.v1.2 transcript:Vigun03g209200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRTSGELLTERRSIIDAVFNSFEKSDHGSRGLRRGRRFGRITKHKLSRWIFLLTALISILLTVYGLKMFFQAKMESKFSSIPLILQEERNQQGNIMVSDEAKTPKENTVVPNLGMVPKGKRRKHFPCDVGFLESVESLVEPQSYVNSTWFSLEYVDYEERTSKNNLFEPRFGGHQTLEERENSFYAKNQTLHCGFVKGPPGLPSTGFDINEKDKAYMSKCKVAVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTQSKLSSEGNSPDERGHIGLWRIVIVKNLPYEDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLNSDPMLIIEYFLWRRKAEYAISNHYDRHNVWEEVLQNKRLNKYNHTAIDEQFNFYQSDGLPKVDPSKSNDPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPERPFQLYMFKDCERRALVKLFRHRSLPSLLETA >Vigun04g121800.1.v1.2 pep primary_assembly:ASM411807v1:4:31189563:31192562:-1 gene:Vigun04g121800.v1.2 transcript:Vigun04g121800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKTPLVVGEIEEVEATPENVSPRTSDRAKHVRTKVPEVEIHLYRQGKGPIAVFKSALGGWEQDQIEVRDILEMHGLKSIFAFNPHAGGRGVPVRFHPRNGRSMLTYRDGAVVHLDGEPKDSLIKPVTRILVGVALIIFMITLVSRDTPDWLKKLNFSGVNFPPWILACVVIVFTRMRKRTKDFLKKRGW >Vigun06g132100.2.v1.2 pep primary_assembly:ASM411807v1:6:25814610:25817258:-1 gene:Vigun06g132100.v1.2 transcript:Vigun06g132100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKFLFYFLNCDFQRSVEEEGKLLPEFEDAEERELFETLMLELESDMNAEILRHYEVMYLIHEKHEDEVAAVNEKIQEFMREKKGQIWRLNDWGMRRLAYKIKKANKAHYMLMNFELDAKYINDLKTLLDQDERVIRHLVIKRDEAITEDCPPPPEFHTLRANADDNDDEEFDEYEDDWDGEDELDDDDDGIIYVDDDDDDDMDSRNETSANVRQAEEIRELRAENIGR >Vigun06g132100.1.v1.2 pep primary_assembly:ASM411807v1:6:25814610:25817258:-1 gene:Vigun06g132100.v1.2 transcript:Vigun06g132100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSLLQGFSLAASYPLPQLSKTTMTTTNCVSFNFNHNPCLIFANGYPTSSSLLPFSIHNKPRKSASLIVSARKKDKKDDTHSSVPQPDEATGFFPEAVLLKKRSVEEEGKLLPEFEDAEERELFETLMLELESDMNAEILRHYEVMYLIHEKHEDEVAAVNEKIQEFMREKKGQIWRLNDWGMRRLAYKIKKANKAHYMLMNFELDAKYINDLKTLLDQDERVIRHLVIKRDEAITEDCPPPPEFHTLRANADDNDDEEFDEYEDDWDGEDELDDDDDGIIYVDDDDDDDMDSRNETSANVRQAEEIRELRAENIGR >Vigun03g410900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:61867817:61868623:-1 gene:Vigun03g410900.v1.2 transcript:Vigun03g410900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKAKCLLTEELKSKAEVYHGDKVCREKFCLLLAEKGLPEGLLSLENIEECGYVKEIGFVWLKLEKKKEQRFDNMHVCYDSVVTAYVEPNKIKNLTGVKARDFLVWFTLNEICVRTSPEGPVITFKSLVGLSMSFPFSFFKPGK >Vigun11g065600.1.v1.2 pep primary_assembly:ASM411807v1:11:15790545:15796552:1 gene:Vigun11g065600.v1.2 transcript:Vigun11g065600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHISDEAVAMEVQIILLMVLLPLLMVRAKVPAMIVFGDSSVDAGNNNYIATVARSNFQPYGRDFEGGKPTGRFSNGRITTDFLSQAFGIKPYVPPYLDPNHNISHFATGVTFASAATGYDNATSDVLSVIPLWKQLEYYKGFQKKLRVYLGESRANETFAKALHIVSVGTNDFLENYFAIPGRASEYTPIEYQNFLVGIAEKFIHKLYGLGARKISLGGLPPMGCLPLERTTNFVGGNDCVPRYNSIALEFNQKLSKLTTTLNKDLPQIRLVFSNPYDIFLQIIQKPAHYGFQVASMSCCATGMFEMGYSCSRGSSFSCIDASRYVFWDSFHPTEKTNGIIAKYLVKNSLAQFLH >Vigun11g065600.2.v1.2 pep primary_assembly:ASM411807v1:11:15790545:15796552:1 gene:Vigun11g065600.v1.2 transcript:Vigun11g065600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHISDEAVAMEVQIILLMVLLPLLMVRAKVPAMIVFGDSSVDAGNNNYIATVARSNFQPYGRDFEGGKPTGRFSNGRITTDFLSQAFGIKPYVPPYLDPNHNISHFATGVTFASAATGYDNATSDVLSVIPLWKQLEYYKGFQKKLRVYLGESRANETFAKALHIVSVGTNDFLENYFAIPGRASEYTPIEYQNFLVGIAEKFIHKLYGLGARKISLGGLPPMGCLPLERTTNFVGGNDCVPRYNSIALEFNQKLRFQVASMSCCATGMFEMGYSCSRGSSFSCIDASRYVFWDSFHPTEKTNGIIAKYLVKNSLAQFLH >Vigun07g056000.1.v1.2 pep primary_assembly:ASM411807v1:7:6101990:6109197:1 gene:Vigun07g056000.v1.2 transcript:Vigun07g056000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLELGTNFTYQSKRIIGTKVALRGKKLEHGDGEVVIIGGMVLDIHATPSVRANSGTTVPGKVYYVRGGVARNVAECMSKLGAKPFMISAIGFDMAGNLLLNQWKSAGLSAEGILKDKDIETPVVCNLFDINGEVAAGVASVEALEKYLTPDWILHFRNSLPSAPVLMVDANLSHPALEAACKMAADMECPVWFEPVSVTKARRISFVVEYVTFVSPNEDELIAMANALSGCDEFQPLKESQKKNISVMSLFQLLKPAIWVLLENGIEMVLVTVGSNGVFLCNKGGPRHFKKHTEKINRTGFGGQLYKAFMQKNPPSRSSGISELNKSSHLFAVHFPSLAASVVRLTGAGDCLVGGILTSICAGLDIMQSVSVGIAVAKAAVEAEANVPNTFNLSAIAEDAKSAYSGAKVLFHQSML >Vigun07g056000.4.v1.2 pep primary_assembly:ASM411807v1:7:6101990:6109197:1 gene:Vigun07g056000.v1.2 transcript:Vigun07g056000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALRGKKLEHGDGEVVIIGGMVLDIHATPSVRANSGTTVPGKVYYVRGGVARNVAECMSKLGAKPFMISAIGFDMAGNLLLNQWKSAGLSAEGILKDKDIETPVVCNLFDINGEVAAGVASVEALEKYLTPDWILHFRNSLPSAPVLMVDANLSHPALEAACKMAADMECPVWFEPVSVTKARRISFVVEYVTFVSPNEDELIAMANALSGCDEFQPLKESQKKNISVMSLFQLLKPAIWVLLENGIEMVLVTVGSNGVFLCNKGGPRHFKKHTEKINRTGFGGQLYKAFMQKNPPSRSSGISELNKSSHLFAVHFPSLAASVVRLTGAGDCLVGGILTSICAGLDIMQSVSVGIAVAKAAVEAEANVPNTFNLSAIAEDAKSAYSGAKVLFHQSML >Vigun07g056000.2.v1.2 pep primary_assembly:ASM411807v1:7:6101990:6109197:1 gene:Vigun07g056000.v1.2 transcript:Vigun07g056000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVRKGHQKPLTSEVALRGKKLEHGDGEVVIIGGMVLDIHATPSVRANSGTTVPGKVYYVRGGVARNVAECMSKLGAKPFMISAIGFDMAGNLLLNQWKSAGLSAEGILKDKDIETPVVCNLFDINGEVAAGVASVEALEKYLTPDWILHFRNSLPSAPVLMVDANLSHPALEAACKMAADMECPVWFEPVSVTKARRISFVVEYVTFVSPNEDELIAMANALSGCDEFQPLKESQKKNISVMSLFQLLKPAIWVLLENGIEMVLVTVGSNGVFLCNKGGPRHFKKHTEKINRTGFGGQLYKAFMQKNPPSRSSGISELNKSSHLFAVHFPSLAASVVRLTGAGDCLVGGILTSICAGLDIMQSVSVGIAVAKAAVEAEANVPNTFNLSAIAEDAKSAYSGAKVLFHQSML >Vigun07g056000.3.v1.2 pep primary_assembly:ASM411807v1:7:6101979:6109197:1 gene:Vigun07g056000.v1.2 transcript:Vigun07g056000.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALRGKKLEHGDGEVVIIGGMVLDIHATPSVRANSGTTVPGKVYYVRGGVARNVAECMSKLGAKPFMISAIGFDMAGNLLLNQWKSAGLSAEGILKDKDIETPVVCNLFDINGEVAAGVASVEALEKYLTPDWILHFRNSLPSAPVLMVDANLSHPALEAACKMAADMECPVWFEPVSVTKARRISFVVEYVTFVSPNEDELIAMANALSGCDEFQPLKESQKKNISVMSLFQLLKPAIWVLLENGIEMVLVTVGSNGVFLCNKGGPRHFKKHTEKINRTGFGGQLYKAFMQKNPPSRSSGISELNKSSHLFAVHFPSLAASVVRLTGAGDCLVGGILTSICAGLDIMQSVSVGIAVAKAAVEAEANVPNTFNLSAIAEDAKSAYSGAKVLFHQSML >Vigun05g289200.1.v1.2 pep primary_assembly:ASM411807v1:5:47586663:47590313:1 gene:Vigun05g289200.v1.2 transcript:Vigun05g289200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDFGFAPDEMVVNENLGYPKAFAKLCRDRGFGAYTHGPPFTFIPYALQEHEAERAGDLDEMFPIIDPKAKATSKSKIFVSVLWKQLRHLGNAGFDPAVIRVDGYGNVIYYHADSASPLAWDVDHWFPCSRGGLTVLSNLRILQRQVCKRKKNKLEFLVPWWDFQLGISVNQFLSVFASSNSDFRHRAFSFLFYEGENQELNASQIVDSHSFPQHFFGLKDEIGLAPAAIVESRREPCDALALRQLDYNRKPRPMSPAIVAARKRNGNLLKENEDPDFVKNPYQAIVMARDSLKQREETTKMQAEIQKLDDEVNEMKLKNEEEKLTIQDLESALIKRRRKAEKCRRLAEAQSSYRIMLEKMIRDTMHQSVIYKEQVRLNLAATNALMARLEAQRAICDAAEKDLHKKYKQRDDIEKQIRPEWEQGRKRSRIDYTTLEERDTKPALYLPGPRPRTPSHKELRMFLEEEQKTSEVDFPANKEQKQEDKEEKMQMPAKIDTEEKLEEHTTSSVALDEENTIEQRLEKLKISKGKRSCSFSFTGLHETEIEEDEETRKQRGKGNVEKWLQMLLENSQQPGTDPEETNANANASCRTEEKIIQQLNEKFPQKELRISKVSDSDFKEKQLQLLEDKNGLTDQEDRMESESRSVIPTGHKNYSEEACIGEGNCTPNVEGMEKMEEHKKEKKLPRSESARTLRRIPSSPSLLFGIRKGVDYIRKKPTASDDLAASNSFLRSSIKTIKKAVNL >Vigun06g127900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25467221:25467899:1 gene:Vigun06g127900.v1.2 transcript:Vigun06g127900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMQRIMAMIEAEQAAKGSNNASSNSFNNHGSGPQDFGGATINSGQYAGNRNRFKHSEHYGERILNNTGTFNGNGNGGTIQGGFKAETSNFY >Vigun03g063900.1.v1.2 pep primary_assembly:ASM411807v1:3:5233415:5246589:-1 gene:Vigun03g063900.v1.2 transcript:Vigun03g063900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLGLTLGYTEEPREIASRSNLTGESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLQGVESYLFQICYMMIHKPSPSLDKYVIDVCSKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQTEPPSPGGKSQVLNRLLSSKNRLLSLTSSPPGQKSLSFSPSSGNNLQEDGKPMSPDENKIFKKFMPGPKVRDALLFRKSVDKDDDGSEKDGFFKRLLRDSKGDDELGQKFRDAFLFRKSSAKDDEESEKDNFFKRFLRDSRGDDEDSEKDGFFRRLLRDSRSEDEDVASSSEGLFKRLFRDSKNDSEDRAYSKTIEYEDKEGFFRKLFREKSEDRKDGSDRNDNREATNVDEKCAKPAEEDEKEGFFRKLLKDKFEDKKDTNDKIEEGTPNGEEEESSEFSLFKRLFRVHPEDAKSSMANANINNGGLVESSPGTDNFFRKLFRDRDRSIEDSELLGPKRQKEKKPGSPTQQSEKSSTKPPLPISPSQFRKGAYHDSLEFVQSLCDTSYGLVDVFPLEDRKSALREALVEINLHVVKVQNTGGVCFPLGKGMYRVLNIPEDEAVLLNSREKAPFLICVEVLRCEMPSNSKEASSSQKLSQGGIPLANGDALMQRPPPWAYPLRTAQEVYRNSNDRMSSSTAHAIDQAMTHISEAKIKFVSVNLSTETQLNGQPEQIEVVDLHGGSQRSASIHRDGVSDKAAAGRGSDLEWVRVVLTADPGVRLEDIEDQAPPRRKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDAQPRANGITPKASDALSGELWEAKKDRICKASIYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTAIIETIPDTASLHSIKSRYPNISSLREFFSAKYEENSSSFKLAQRNFVESMAGYSLVCYFLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGARTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Vigun04g140566.1.v1.2 pep primary_assembly:ASM411807v1:4:34843465:34844401:1 gene:Vigun04g140566.v1.2 transcript:Vigun04g140566.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLSESVGENNVPAEAVAERTVLWTFTTINIHGQNFAYVDRHFSAAFENELQDQWTLVDTFGNTFVVTYNMDTLNPKLTNGWKDIENTYTDQLVDSYVQLRYVGGNRFQITCFVGLCEPHNKESFLLGAETHPGTALYAVKLTKSQAQASHLDLNVGFGDIIRSLQMDVVYLLGSRSGVECKLLVSRNNRSTKFGQGWRQFCVRNQLKEGDRLVFEVDHLQKQCIIEVFINGCNCDVAKSINLD >Vigun08g184200.1.v1.2 pep primary_assembly:ASM411807v1:8:35338169:35341923:-1 gene:Vigun08g184200.v1.2 transcript:Vigun08g184200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRRLSAAGAAASVGRAQLRRPAGVSGLRYSYCVRRPMRLVAAGDTVGGGAWVTFASGGREEKVGACSYAVEDRKVAVDGGRESVEGSGKRAAEVAAAAAATVVLGVGNRVLYKLALVPLKQYPFFLAQLATFGYIIVYFGILYIRHHAGIVTDEMLNAPKAPFIGIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNQLLGCFLVSIGVVVTVASGAGAGNSLKEGGMFWSLLMIISFFLQAAGTVLKETIFLDSTQKLKGGSMDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNVGTLSSGCDGAPLLPLLFIIVNIGFNIALLHLLKISSAVVSCLASTFSVPISIYVFTMPLPYLGVASSLPTGFMAGAIILLLGLLIYAWTPSNGSFSAST >Vigun08g184200.2.v1.2 pep primary_assembly:ASM411807v1:8:35338250:35341923:-1 gene:Vigun08g184200.v1.2 transcript:Vigun08g184200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRRLSAAGAAASVGRAQLRRPAGVSGLRYSYCVRRPMRLVAAGDTVGGGAWVTFASGGREEKVGACSYAVEDRKVAVDGGRESVEGSGKRAAEVAAAAAATVVLGVGNRVLYKLALVPLKQYPFFLAQLATFGYIIVYFGILYIRHHAGIVTDEMLNAPKAPFIGIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNQLLGCFLVSIGVVVTVASGAGAGNSLKEGGMFWSLLMIISFFLQAAGTVLKETIFLDSTQKLKYHLKQGGSMDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNVGTLSSGCDGAPLLPLLFIIVNIGFNIALLHLLKISSAVVSCLASTFSVPISIYVFTMPLPYLGVASSLPTGFMAGAIILLLGLLIYAWTPSNGSFSAST >Vigun08g184200.3.v1.2 pep primary_assembly:ASM411807v1:8:35338250:35341923:-1 gene:Vigun08g184200.v1.2 transcript:Vigun08g184200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRRLSAAGAAASVGRAQLRRPAGVSGLRYSYCVRRPMRLVAAGDTVGGGAWVTFASGGREEKVGACSYAVEDRKVAVDGGRESVEGSGKRAAEVAAAAAATVVLGVGNRVLYKLALVPLKQYPFFLAQLATFGYIIVYFGILYIRHHAGIVTDEMLNAPKAPFIGIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNQLLGCFLVSIGVVVTVASGAGAGNSLKEGGMFWSLLMIISFFLQAAGTVLKETIFLDSTQKLKQGGSMDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNVGTLSSGCDGAPLLPLLFIIVNIGFNIALLHLLKISSAVVSCLASTFSVPISIYVFTMPLPYLGVASSLPTGFMAGAIILLLGLLIYAWTPSNGSFSAST >Vigun02g051800.2.v1.2 pep primary_assembly:ASM411807v1:2:19376570:19384821:1 gene:Vigun02g051800.v1.2 transcript:Vigun02g051800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGNTVGEKGEVATSSSILAYDLIQGELVRWSSVMDRSLPDPPTAVFLHGILGCRKNWGSFARRLALEFPTWQFLLVDLRCHGDSASMKKTGPHTVASAASDVLKLVRDLRITPRVLVGHSFGGKVVLSMVDQAAKPLARPVRAWVLDATLGKVRAGGDGEDHPAELISFLRTLPKEISTKQEVVRALIQQGFSNDVAQWVVTNLRPSSSGGSQSSSFSWVFDLRGIAEMYQSYEETNLWQLVEDVPRGVHMNFLKAERSLHRWALEDFRRIHAAEEVAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFQGGKT >Vigun02g051800.1.v1.2 pep primary_assembly:ASM411807v1:2:19376570:19384688:1 gene:Vigun02g051800.v1.2 transcript:Vigun02g051800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSQSSPCCTAAAVAAVKSSDRKTKFVSPALRNCLTSPVLRLSENDKFNVSRLALCHSRRAVKGQTIAMALVGNTVGEKGEVATSSSILAYDLIQGELVRWSSVMDRSLPDPPTAVFLHGILGCRKNWGSFARRLALEFPTWQFLLVDLRCHGDSASMKKTGPHTVASAASDVLKLVRDLRITPRVLVGHSFGGKVVLSMVDQAAKPLARPVRAWVLDATLGKVRAGGDGEDHPAELISFLRTLPKEISTKQEVVRALIQQGFSNDVAQWVVTNLRPSSSGGSQSSSFSWVFDLRGIAEMYQSYEETNLWQLVEDVPRGVHMNFLKAERSLHRWALEDFRRIHAAEEVAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFQGGKT >Vigun08g109900.1.v1.2 pep primary_assembly:ASM411807v1:8:27309558:27326256:-1 gene:Vigun08g109900.v1.2 transcript:Vigun08g109900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIIHKDPTCFSALHEMGLPDAFLLSVGSDILPSSKALSCIPNGLGAICLNAKGLEAVRESSSLRFLFDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSTGVDIIIEIIHKIASFGDGKNIGSSGKAESTAMETDSKNKENEGQCCIVGTSSSAIEGMSDEQFIQLCVFHLMVLVQRTIENGETCRLFVEKSGIEALLKLLLRPSIAQSSDGMSIALHSTMVFKGFAQHHSIPLARAFCSSLREHLKKALEGFNAAPEPLLLDPRMTSDGGFFSSLFVVEFLLFLATSKDNRWVTALLTEFGNGSKDVLEDIGLVHREVLWQIALLENRKPENDEDGIYSSSSQQAEGDAIESEEQRFNSFRQFLDPLLRRRTPGWSVESQFFNLINLYRDLGRSPGSQHRSISIGPSSNMRSSSSNQVQHSGSDDTSETAHKKESDKQRPYYTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDVVNVSPASKSVASTFASIAFDHMNYGGRCVNLSGTEESISTKCRYFGKVIDFMDNILMERLDSCNPILLNCLYGRGVIEIVLTTFEATSQLLFTVNRAPASPMDTDDANAKQDDKEDSDSCWIYGSLASYGKLMDHLVTSSFILSSFTKHLLAQPLTNGDAPFPRDAETFVKVLQSRVMKTVLPVWTHPQFVDCSYEFISTVISIIKHVYTGIEVKNVNGSGGARITGPPPNETTISTIVEMGFTRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDSKDAAANDNTQHLEEEMVQLPPVDELLSTCTKLLSKDPLAFPVRDLLVMICSQDDGQHRTNVVSFIVERIKECGLVSNNGNYAILAALFHVLALILNEDSLAREAASKSGMIKIASDLLFQWDSSLDSREKQHIPKWVTAAFLALDRLLQVDQKLNSEITEQLKKEPVNSQQVSITIDEDKQNRLQSAFGLSMKYADIHEQKRLVEIACSCMKNQLPSDTMHAVLLLCSNLTRNHSVALTFLDAGGLSLLLSLPTSSLFPGFDNVAASIVRHVLEDPQTLQLAMESEIKHSLIIASNRHPNGRVNPHNFLSNLASVVSRDPATFMLAAQSVCQVEMVGERPYIVLLKDRDKDKAKEKDKVQNSDGKVSLGNTITSPSVNGKINDSNTKSAKSHKKPTQSFINVIELLLESICTFIPPLKDDIASNALPRNAASTDMEIDVSLAKGKGKAVATMSEDNETGSQDASASLAKTVFILKLLTEILLMYSSSVHVLLRRDAEMSSIRASYQKSPAGLSMGGIFCHILHNFLPCSRNSKKDKKVDGDWRQKLATRANQFLVAACVRSTEARKRVFSEISYIINEFVDLCHGIRSPGNEVQVFVDLLNDVLAARTPAGSSISAEATTTFIDSGLVKPFTCTLQVLDLDHPDSSEVATGIIKALELVTKEHVHSVDSSTGKGDISAKPSVLSQPGGTNNIGEISQSMETTSQANPDSLQVDHVRSYAVRSYGGGSEAVTDDMEHDQDLDGSFAPGNEDDYMHENSEDARDLENGMENVGLQFEIQPRGQENLDEDDDDMSGDEGEDVDEDEEDDEEHNDLEEAHHLPLPDTDQDEHEIDDEDFDDEVMEEEDEDDEEDEDGVILRLEEGINGINVFDHIDVFGRDNSFANEAFHVMPLEVFGSRRPGRTTSIYSLLGRTGDTALPARHPLLLEPSSFPPPTGQSDSSLENNSVGLDNIFRSLRSGRQAQRLHLWTDNYQQSGGTSAVVVPQGLEELLVNQLRRPSAEKSSNQNIAEAVSHGKVGITQAQDAGGAMPDVPVESNPILEVSTIPPSVIDNSNVDARPTGTDPSQANVLSTQSQAVEMQFEHNDGNVRDVEAVSQESSGSGATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGDSQAARTRRANTPLTQFSPVGRDASLHSVTEVSENSSHDADQDGPAAAEQPVNSDAGSGAIDPAFLDALPEELRAEVLSAQQGQAAQPSNVESQNTGDIDPEFLAALPADIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSPDTILASLTPALVAEANMLRERFAHRYSRTVFGMYPRSRRGETSRREGVGSGLDAAGGTISSRRSGGSKVVEADGAPLVDTEALHAMIRLFRVVQPLYKGQLQRLLLNLCAHSETRISLVRILMDLLMLDVKRPVSYFSKVEPPYRLYGCQSNVMYSRPQSFDGVPPLLSRRILETLTYLARNHLYVAKILLQFRLPHPAIKEPVDARGKAVIVVEGEENISESNEGYVSIAMLLGLLNQPLYLRSIAHLEQLLNLLDVIIDSAGNKSSDKSLISTNLPSGPQISAVEADVNADSNILSSGDDKSTDVEGSSKPTSSGHKVECDSHGVLSNLRKAELRLLCSLLAQEGLSDNAYTLVAEVMKKLVAIAPSHCELFVTELAEAVQKLTSSAMNELRVFGEAMKSLLSTTSTDGASILRVLQALSSLVTLLTGKENDKGIPALSEVWEINSVLEPLWHELSCCISKIEFYSESASESLTSSSTFVSKPSGVMSPLPAGSQNILPYIESFFVVCEKLHPAQPDASHESSIPVISDVEYASTSAPQKASGTSAKVDEKHAAFVRFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINSFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAVHEGSEGFGFG >Vigun09g270300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:43265070:43269817:-1 gene:Vigun09g270300.v1.2 transcript:Vigun09g270300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALACCVDAAAPPGYAFAGDISFPAPVAFTDVPLSTTDDNTSHWSPSLSAALYNVDGWGGPYFAVNAAGNISVRSHGSATLSHQEIDLLKIVKKASDPKSLGGLGLQLPLIVRFPDVLKNRLECLQSAFDYAIQSEGYGSHYQGVYPVKCNQDRFVVEDIVKFGSPFRFGLEAGSKPELLLAMSCLCKGNPDALLICNGFKDAEYISLALVANKLALNTVIVLEQEEEVDLIVDLSKKLCIKPVIGLRAKLRTKHSGHFGGTSGEKGKFGLTTAQILRVVKKLDLAGMLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGANMRVIDIGGGLGIDYDGSKSCDSDISVGYSLEEYAAAVVHAVKCVCDRRSVKNPVICSESGRAIVSHQSILVFEAVGTSSTVGGASSVFSSPYLAGDLSEDYRFLSEAAFGGDYERCLVYTEELKERCVEQFKQGMVCMEQLAAVDGLCELVRKAVGAGESVRRYHVNLSVFTSIPDAWGIEQVFPIIPIHRLDEKPSVRGILSDLTCDSDGKIDKFINGESSLPLHEVDGGGYYLGMFLGGAYEEALGGFHNLFGGPSVVRVSQSDGPHSFAVTRAVPGPSCGDVLRVMQHQPELMFETLKHRAYEYVSQDNAAVLASGLARTFDRMPYLVPLSSFDEAALPASDEDEGVQWSY >Vigun06g145100.1.v1.2 pep primary_assembly:ASM411807v1:6:27064121:27067722:1 gene:Vigun06g145100.v1.2 transcript:Vigun06g145100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINATDSATEEERSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGTVLFAAFLAGFIALIVNTVPRHHHKHPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSGMQDGKSSATSSAIKDLVGGFYDAGDAIKFNFPAAFSISMLSWSVIEYSAKYEAAGELDHVKEIIKWGADYFLKSFNSTADTITSLAAQVGSGDTSGGSAPNDHYCWMRPEDMDYERPVIECQSCSDLAAEMAAALASASIVFKDNKAYSKKLVHGATTLFKFSRDQRGRYSAGSSEAAIFYNSTSYWDEYVWGGAWMYFATGNSSYLKLATAPGLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFFSTDVLRNFAKTQIDYILGKNPRKMSYIVGFGDHYPKHVHHRGASIPKNKVKYSCKGGWKWRDSSKPNPNTIVGAMVAGPDKHDGFHDVRSNYNYTEPTLAGNAGLVAALVALSGDKSTSIDKNTIFSAVPPMFPTPPPPPAPWKP >Vigun11g206000.1.v1.2 pep primary_assembly:ASM411807v1:11:40269873:40271350:1 gene:Vigun11g206000.v1.2 transcript:Vigun11g206000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGELEHELELHVPASEAWDLFGTLRIGQLVAKELPHLFQSVELTEGDGGVGTVLKLTFAPGVPGPRGYKEKFTKIDNENRIKEAEVVEGGYLELGFTLFRVRFEVKEKGEDSSIIKSIIEYELKEENASNASLVSIQPLATIAELANTYLNKNKPSKQPN >Vigun11g218900.1.v1.2 pep primary_assembly:ASM411807v1:11:41163886:41171542:-1 gene:Vigun11g218900.v1.2 transcript:Vigun11g218900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKEKEVVVGSPASGEDIDDKQRPSFPPGRFSTRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGDEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTMATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASIPVWAREFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLVDDENKSPSGVHSENKEINPAVVTLDENATIQIKDIHVGSSDETKGDSKILSSNEGVSADQVEAVSNALTSMGLSVSIPGQKVASLRNLETDKTTISKKSGPKPIIVPIVLKMAEFDHKALLEEWISTRTFYDKCPNLDNEKLMANMKTMQDYLCSFTSQGLTVVNVSTTTFPQTLDWLHGYLLQCIELGINENGPAQVAAA >Vigun03g037300.1.v1.2 pep primary_assembly:ASM411807v1:3:2859492:2861646:-1 gene:Vigun03g037300.v1.2 transcript:Vigun03g037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMKLSLSLPLASLCKAPNFLNPPTSFLQKHNVNSLRRSTKWNPCNGKRRGIVCGLPLPVDPWAPTIDSQSIASQLFAFSLFPYIGFLYFITKSKTAPNLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLREGLRKIENTQEDETSSAPKSSSEE >Vigun07g038100.1.v1.2 pep primary_assembly:ASM411807v1:7:3663071:3667573:-1 gene:Vigun07g038100.v1.2 transcript:Vigun07g038100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEARVDPFAIGPSSILGRTIAFRVLFCKSMLKLKHQILFVLLDVFYGFRRFWGPIVSWLHPRNPQGILAMMTIVAFLLKRYAKVKVRAEMVYRRKFWRNMMRSALTYEEWAHAAKMLDRETPKMNESDFYDVELVSNKLEELRHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLQMPRLIKEYIDEVTTQLRMVCNSDSEELALEEKVSFMHETRHAFGRTALLLSGGASLGAFHLGVVKTLVEHKLLPRIIAGSSVGSVMCSIVATRSWPELQNFFEDSLHSLQFFDQIGGIFTVVKRVATFGAVHEIRQLQVMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGEIVPYHPPFNLGPEKGSTPVRRWRDGSLEMDLPMMQLKELFNVNHFIVSQANPHITPLLRLKEFIRAYGGSFAAKLAHLVEMEVKHRCDQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLSQYLKIIQNPSYVELQKATNQGRRGTWEKLSAIKANCGIELALDESVAILNHTRRLKRSAERAAAASHGLPNMGKFSGSRRIPSWNIIARENSTGSLEDLYAEATSSLHHGVMSPRGATGKIWKSHRSNHEASDSESESADMNSWTRSGGPLMRTSSSDMFIDFVQNLEVDTELNRSKVTQSSPRDFQHHSSRHTTADKCFEITEYDQKENGNRVAMNGSNILVTEGDLLQPGMIHNGIVFNVVKKEDLTPSNWNQDHSTSNNEVVECVQIDFPGKEIDASSSASENGDEESTVLRSLTDKLEYNSTGMDQSIAADS >Vigun05g171900.1.v1.2 pep primary_assembly:ASM411807v1:5:30733251:30750129:1 gene:Vigun05g171900.v1.2 transcript:Vigun05g171900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVPAEPIEGIYFERGETSTASASTSEAPHVTLAITNGSSNEEYAPEPFDSERLPTVFASEIQRFLRVANLLGKEEPRVAYLCRVHAFVIAHNLDKNSSGRGVRQFKTSLLHRLEQDEHVTKKRGTSDIRELKSVYRAYRDYYIRHDKAFDLEQSRRQKLINARDIASVLFEVLKTVTDPAASQALIQGNGIHRKTEFNILPLEQGDIQHAIMQQPEIKAAIAVIRNVRGLPPAQDFNKHGAFVDLFDFLQHCFGFQEANVANQREHLILLLSNMQTRQTHNQTSVLKLGEGGVDELMRKFFKNYTNWCKFLERKSNIRLPFVKQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGILSGAISLTTWEKVMPAYGGEPESFLNNVVTPIYTVIRKEVDNSNGGAADHSIWRNYDDLNEYFWSPECFKIGWPMRLDHDFFFVKLRTKPKPDVKNDLDRSPGKTKGKKKREKRDEEETEDKTEEIHEPQWLGKTNFVEIRSFWQIFRSFDRMWSFFILSLQAIIIIACHDLGSPLQLFDAIVFEDIISIFITSSALKLIQAILDIALLWKARHTMEYSQKVKLVTKLVLAIIWTIVLPVCYANSRRKYTCYSTKYGSLIEEWCFTSYMVAAAIYLTTNAVQVVLFFVPAVAKYIEVSNYKICRLLSWWTQPRIYVGRGMQEDQVSVLKYTLFWILVLSCKFLFSYSFEIKPLIAPTRQIMKIGVHYEWHELFPRVKSNAGAIVAVWSPVVIVYFMDTQIWYSVFCTIIGGLYGILHHLGEIRTLGMLRSRFDSLPSAFNVCLIPPSSKRGKKKRKGLLSNIFQKLPDEKNATAKFVVVWNQIVNQLRLEDLISNREMDLMMMPVSSELFSSKVRWPVFLLAYKFSTALTIAKDFEGKEEILVKKITKDKYMFYAVRECYQSLKYVLEILVEGSMEKRIICDILSEIEKSLQETTLLQNFNMKVLPALHAKVVELAELLMEGEKDNQHKVVKALLDIFELVANDMMVDSRILDMLHFPEQNECGFVYFRNDDQLFDTVEMNRDFYPFSNENSIQFPLPENGPMMEKIKRFHLLLTVKETAMDVPANLDARRRISFFATSLFTDMPDAPKVHNMMPFCVITPHYIEDINFSVKELGSDKEEDSIIFYMQKIYPDEWTNFLERMGCENRRSLEDEHKVEDLRLWASFRGQTLSRTVRGMMYYREALKLQAFLDMAEEEDILEGYETAERGNRALFARLEALADMKYTYVISCQSFASQKAMNDPRYQDMIDLMTRYPSLRVAYVEEKEEIVQGRPHKVYSSKLVKVVNGFEQTIYQIKLPGTPHLGEGKPENQNNAIIFTRGEALQTIDMNQDNYLEEALKMRNLLQEFLRRQGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHITRGGISKASKTINLSEDVFAGFNSTLRRGCISYHEYLQIGKGRDVALNQISKFEAKVANGNCEQTISRDIFRLGRQFDFFRMLSCYFTTIGFYFSSLISVIGIYVFLYGQLYLVLSGLERALIVEARLKNVQSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTALKDFVLMQLQLAAVFFTFALGTKTHYYGRTLLHGGAKYRPTGRKVVFHASFTENYRLYSRSHFVKAFELLLLLIVYNMFRRSYQSNMAYVLITYAIWFMSLTWLCAPFLFNPAGFSWTKTVDDWKEWNKWIRQRGGIGIQQDKSWHSWWHDEQTHLRWSGLASKITEILLSLRFFIYQYGLVYHLDISQHSKNFLVYVLSWIVIVAIFLLVKAVNMGRNLLSANYQLGFRLFKAFLFLAVLALIFTLSIICQLSLMDLFVCCLAFMPTAWGLILIAQAVRPKIEHTGLWDFTRALAREFDYGMGIVLFGPIAILAWLPIIKAFHARFLFNEAFKRHLQIQPILSGKKKKHRT >Vigun03g296800.1.v1.2 pep primary_assembly:ASM411807v1:3:48322306:48325239:-1 gene:Vigun03g296800.v1.2 transcript:Vigun03g296800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKERGGVSVTVSASKSEDRKRINDVLDKHLERSSPSTSRPINATSKNSNLQAEESETESEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHARYVLTSKGMTAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRASTVKIYCPRCEDLYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPSQGYVPRVFGFKVHKP >Vigun07g032400.1.v1.2 pep primary_assembly:ASM411807v1:7:3045394:3050061:1 gene:Vigun07g032400.v1.2 transcript:Vigun07g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVTPVRLRRERRQRKKEEAAAKKLVVLKEPTGRDIGLRYELGKELGRGEFGVTYLCRDKETKEEWACKSISKKKLRTAIDIEDVRREVEIMRHLPKHPNIVSLKDTFEDDNAVHLVMELCEGGELFDRIVARGHYTERAAASVVKTIVEVVQMCHRHGVMHRDLKPENFLFGNKKESAPLKAIDFGLSVIFTPGEKFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQSIIRSVVDFRREPWPKVSDNAKDLVKKMLDPDPKRRLTAQEVLDHPWLQNEKKAPNVSLGETVRSKLMQFSVMNKLKKRALRVIAEHLSSEEAAGIKEGFDLMDTGNKGKINIDELREGLQKLGHQIPDADVQILMEVGDSDKDGYLDYAEFLAISIHLRKIDHDEHIHKAFQFFDKNKSGYIEIEELHNTLADEVETNIDEVINAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFSTLSQKLFKKDGSLQLNNGGS >Vigun03g233700.1.v1.2 pep primary_assembly:ASM411807v1:3:38916494:38932632:-1 gene:Vigun03g233700.v1.2 transcript:Vigun03g233700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIFHQTVLCQTQTVAEHQSKVSSFPVSVNKGKKNLAFRTNFRGNRLYVRKCKLAMGKHRHVDAIPRAVLTTNPASELSGRFNLDGNIDLQVSVSSSQPGAATQVEIKVSYSSSSLLLHWGVVCDQPGKWVLPSRRPEGTKVYKNKALRTPFMKADSESFLKIEIYDPAAQSIEFLILDEAKNKWFKNNGENFHIKLPVKNKLSQEVSVPEDLVQIQAYLRWERKGKQMYTPEQEKAEYEAARQELLEEVSRGTSVQDLRARLTKNTKAAEVKEPSVSETKTIPDELVQIQAYIRWEKAGKPNYSQEQQLMEFEEARKELSAELEKGASLDEIRKKITKGEIQTKVAKQLKTKKYFRAERIQRKKRDLRQIINRIVDENIVEQFKDVPKTLRLIEQYAEAREEYESGPVLNKTIYKLDDNDLLVLVTKDAGKIKVHLATDSKKPLTLHWALSRTSNEWLLPPGNALPTGSVALTEAAETPFRAGSLSHPSFEVQSLDIEVDDTFKGIPFVILSEGKWIKNNGSNFYIEFAGKKHIQKDIGDGKGTAKFLLDKIAEMESEAQKSFMHRFNIASDLIDEAKSAGRLGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQDVYTSYPQYREIVRMILSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYINSDFDIGVYWKTLNDNGITKERLLSYDRAIHSEPNFRRDQKEGLLRDLGNYMRTLKAVHSGADLESAIANCMGYKSEGQGFMVGVQINPVPGLPAGFQGLLEFVMEHVEDKNVEPLLEGLLEARLELQPSLSKSQSRLKDLIFLDVALDSTVRTAVERGYEELNNAAPEKIMYFIILVLENLALSSDDNEDLIYCLKGWDLALSMCKSNDTHWALYAKSVLDRTRLALTNKAQLYQEILQPSAEYLGSLLGVDQWAVEIFTEEIIRAGSAASLSTLLNRLDPVLRKTANLGSWQVISPIETVGYVEVVDELLAVQNKTYERPTILIAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILANLQEHKGKLLRLKPTSADVVYSQVKEGEFTDDKSTHLKDVGSVSPISLARKNFSGRYAVSSEEFTGEMVGAKSRNIAYLKGKVASWIGIPTSVAIPFGVFEHVLSDKSNQAVADRINILKKELIEGDFSVLKEIRETVLQLNAPPQLVEELKTKMKSSGMPWPGDEGEQRWEQAWKAIKKVWGSKWNERAYFSTRKVKLDHEYLSMAVLVQEVVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKSDLNSPQILGYPSKPVGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDQLILDGNFRQSILSSIARAGNEIEGLYGSPQDIEGVIKDGKLYVVQTRPQM >Vigun05g206900.1.v1.2 pep primary_assembly:ASM411807v1:5:39626401:39631511:1 gene:Vigun05g206900.v1.2 transcript:Vigun05g206900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKADDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLNIDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENAARWLKELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKSFAERESLYFMETSALEATNVENAFTEVLSQIYRIVSKRAVEAGDNGSSSTVPSKGQTINVKDDSSVLKKIGCCSN >Vigun10g057000.1.v1.2 pep primary_assembly:ASM411807v1:10:10645927:10647789:-1 gene:Vigun10g057000.v1.2 transcript:Vigun10g057000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINTISFSYMVHMRTISRGAVILFSIMMLLFDTTHSVVLEPDENHIKSATFLSENFEVGPGKIVVKTLLDIDIPRGHIGVKSFDVEVVDEDGNSVPLYETYLHHWFAVKYIENITMSQYIKQSHDLRNGIEFERNDGACQGFLLPHYWGLGAESRGTSSNLPDPFAVELGNPTKIKHGFKEKWLFSIMVIDTRGTQDRKGCTECRCKLMNLPKDFYNVTTGINGQLLPRNYKGGIFCCQDNVQCKLRNGFRGPTRKLSLRYKIKWVDWDEQQVPLKFYILDSTDRVRSNGSTPIHDCQAEYTIPRNHDNDIPHVKKANIPMTKGGYLIYGTAHMHTGVVNVTLYGQDGRVLCTSNPKYGTGKEAGNEKGYLVGMSVCYPKPGSIMIEDGEILTLESVYENKFRTGAMGHFYIYLADQIPNKYLKEI >Vigun10g064033.1.v1.2 pep primary_assembly:ASM411807v1:10:13872923:13875034:-1 gene:Vigun10g064033.v1.2 transcript:Vigun10g064033.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIGEVCMGINLGFCGGFSRSCAVLGSFFWCFLAAKCMLYVFLACCVVLVLFHTLNVDVACYAYGGVISKCEDHFSLEGVPMVCMIWELFETHDGNADISDSK >Vigun03g160800.1.v1.2 pep primary_assembly:ASM411807v1:3:17827302:17832994:1 gene:Vigun03g160800.v1.2 transcript:Vigun03g160800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSGNVVIPDKMQFPNGGGGAGGGAGGEIQQHHYRQQWFVDERDGLIGWLRSEFAAANAIIDSLCHHLRVVGDPGEYDMVIGAIQQRRCNWNQVLLMQQYFSVADVTYALQQVAWRRQQRPLDPVKVGTKEVRKPGPGYRYGNRFDPAKEGYNSSVESYNHDGNATFPRGMEKGTPTVEKSEERKSGSKVEKVGDKGLTSAEEKKGNDSDSVESQHQSQSFSTIAKTFIGNEMIDGKMVNVADGLKLYEDLFDSTEVSNLVSLVNDLRISGKKGQLRGNQAYVVSRRPMKGHGREMIQLGVPIADAPAEGENMTGASKDMNVEPIPSLFEDIIERMVSSQVMTVKPDCCIVDFYNEGDHSQPHSWPSWFGRPVYILFLTECEITFGRLMASEHPGDYRGSLKLSLVPGSLLAMQGKSCDFAKHALPSIRKQRILVTFTKFQPKRSVPSDAQRVFSAAASSQWGPPPSRSPTHVRHPVGSKHYAASLPTTGVLPAPPIRPQIPAQVGMPPLFVTAPVVPPMPFPAPVSIPPGSAGWTTAPPPRLLAPGTGVFLPPPGSGNSSQQLSAGTLAEVNPSMETPTMQEKENGKTNHNSTTTTTSPEGNVQKQECNGHADGPQDEAAQESWQDSKEKAA >Vigun11g015400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:1910385:1911689:-1 gene:Vigun11g015400.v1.2 transcript:Vigun11g015400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTTKHPVIEPSKGKRRRRESSSSPERPIINRFRDSEREERYEKIKNWVFIKERKVVLLPDEYDPFLNGLIRRNWMKLADPLPKFDPEIVREFYANAYSEDNPGEKRSKVRGRWINYDRAAISEFLGNPLPLQPGQRCDFTTRRRSHEPYDENEVALLICAANRSYQVGPTGNPLRILRGDMKTLAQVWTTFLLANIVPIGHVSDLNVPRCHLLYCIMREDLTVDIATIISEEIHKFVRYEVNSRNDKAKGALGFPALITALCQEQGVEVELTEKIRPSITKKFIEHYCTHPEDLEQQEEPQMDQQAEDQPAEEQPAMEEQQTGPTQQPQLNMNNELLEQMRYLRLQMEHTHQQNASIHRGQLHLQEYLYHNVRGPYPGMTPPDFFTYLQWPGDSPIFPGGGGPVAGEGPSGAADADGANIEDEIDFGGD >Vigun02g120200.2.v1.2 pep primary_assembly:ASM411807v1:2:27269830:27270910:1 gene:Vigun02g120200.v1.2 transcript:Vigun02g120200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKVREPKEENVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAARCKVSEIHISTVIFFSSDHVNCCDCHDAVMVL >Vigun02g120200.1.v1.2 pep primary_assembly:ASM411807v1:2:27268776:27271792:1 gene:Vigun02g120200.v1.2 transcript:Vigun02g120200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKVREPKEENVTLGPAVRDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAARCKELGITALHIRLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >Vigun03g409100.3.v1.2 pep primary_assembly:ASM411807v1:3:61602228:61614920:-1 gene:Vigun03g409100.v1.2 transcript:Vigun03g409100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKETRTSVRRNPQSETNENELEAWQNPINFPPPRTPLNSITDPSQCQELEPARQHRFGSATPRLSGRVGKPHSEPNSAQSTPARNASRVSLGGGRASACALLKETEFCVNVPHFELKDDPSFWTDHNVQVLIRIRPLSNVEKVSQGHGRCLKQESAQTLVWLGHPETRFTFDHIGCETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKEAEGYLNDESGITPRVFDYLFMRIKAEEESRKDHKLKYSCKCSFLEIYNEQITDLLMPSSTNLQLREDLKKGVYVENLTEHSVETVYDVLRLLLQGTANRKVAATHMNCESSRSHSVFTCTIESQWEKDSMTHFRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMVIANVSPSICCANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLMNDKLFPTSSNLEPNSEKFRLSEVSEGYDSLGERATTDHNLLIPSKEIKCMKAALVSALRREKMAETTIQKLKAEIDHKNCLVRQREEDIDHTSSMLRHYKEKIKQLELLVDGKLTAEKYLMEENRALQEEIQLLKVKIDKNSELSRLALENDGLLRHPQLSQNSYEHGERERLLTELSELRDQLLVNLQGKFTFSMKNDSDTAQELEQCQNMNSKLLREVGELQSELGKYLNYNQVLNSFEHPNEILKTDKCSLAETISVRSDSGDEVPSSTREVNGDALANKIETKTLAASVMLAKDNEYNNNEELKAKLEKMAKNLEEVRLLNDQYQHEWIIQLSQKRETERISQEVEMETTKTILHLQEELSHLQSEFEERLCTTAHENAELRNIIAEKEKEIKSQSLDWEKAILELTTFLLEGSRSLKEACGQVKSISCSFPQVNSWICEHVDMAVKKYIEKEEAILLLQNSLEDAQKMVLDMEVKLSSLREATVTLNAFQQIDKNEGIEEAIELQVLLNEKTNMIRMLENEINHKNNQVCKATKQADAAFLVAKWLSDSYKVIHTNGVVQDVSIHELDVQGKLGNCTISENQDVLNNLILNDLKAQVELTKLEVLEMENAVKASFIDTEIQTEAFQTGVSSLTSAYRDLIQDIVKETRDMRKEIRDLRMYHTSFEGYTIDYSTSHANKEFVNQHDKLHQIKEQLLEVNRRLNVIGSLISTEANVSSLQLVDVDEDLVNTDELSTESTDSSSLSDFSNEMENFASEQTVDLKSERSTVIQSDACKSSNPGKLTERPVLNEAVVCFLSKELNASYDGFQRLYLCLSAFLRELDGGSCPYSKELKSEDPFFQLSLQKDEAESENDREIFGYGEIRPDDRFLTKFIEAHATVKEADLTLHALTKAYEDSKQLTAMWKQSGEILMLERASLEEEIQKLKSSVCHKEEENQLLKENIHFSLIEMANSVSMLEEHLLQMQTDMEKKFLTMYSDIHLTGQEMLDFMKNIRSLVEDICSQMVDGGSVSFVLYNCCVTELVSKFACATMNHNLQSARQGELHYLVKNSSSVAEPVISTGSEGAVKTDQCILVQKVPEQPDLPNVNILYENMALRKELERKQVLLEGLLFDFRLLQESASNSKDIKDQTEKLIFSLSQVRYELETKASQLDDILVQNRKLEGSLVNTEKALTTSQYELKLAKESIEKLSNQNVELRELLKDLYAYKTEAQGKLDEHREVIRGLENEITNLTASMENQSLSLFESIEDELNQVIIERDQLHEEVCVLTGKLEMAYSLADEKEAIAMEAHQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVHEMDEEVGRHRSISDSLRTELQALKERLLLVDKFPKNADSESTSVQTDEQISRQHNELLEVHEALSRIRFLERENAEQDKEIKRCKEYISEIVLHAEAQASQYQQKYKCLESMFLEVKTETSNSTSVVPEPEKNEKISVRTRGSSSPFRTRGSSSPFKCISNLVQQMNQEKDQELSVARLRMEELEALAASRQKEVFVLQTRLAATENMTHDVIRDLLGVKLDITDYANLIDENQIVKLVEEAQHHREEFFAKLHIGTENQRGRYTCYSDSTAATARTRSVALCTK >Vigun03g409100.4.v1.2 pep primary_assembly:ASM411807v1:3:61602228:61614920:-1 gene:Vigun03g409100.v1.2 transcript:Vigun03g409100.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKETRTSVRRNPQSETNENELEAWQNPINFPPPRTPLNSITDPSQCQELEPARQHRFGSATPRLSGRVGKPHSEPNSAQSTPARNASRVSLGGGRASACALLKETEFCVNVPHFELKDDPSFWTDHNVQVLIRIRPLSNVEKVSQGHGRCLKQESAQTLVWLGHPETRFTFDHIGCETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKEAEGYLNDESGITPRVFDYLFMRIKAEEESRKDHKLKYSCKCSFLEIYNEQITDLLMPSSTNLQLREDLKKGVYVENLTEHSVETVYDVLRLLLQGTANRKVAATHMNCESSRSHSVFTCTIESQWEKDSMTHFRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMVIANVSPSICCANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLMNDKLFPTSSNLEPNSEKFRLSEVSEGYDSLGERATTDHNLLIPSKEIKCMKAALVSALRREKMAETTIQKLKAEIDHKNCLVRQREEDIDHTSSMLRHYKEKIKQLELLVDGKLTAEKYLMEENRALQEEIQLLKVKIDKNSELSRLALENDGLLRHPQLSQNSYEHGERERLLTELSELRDQLLVNLQGKFTFSMKNVNQDSDTAQELEQCQNMNSKLLREVGELQSELGKYLNYNQVLNSFEHPNEILKTDKCSLAETISVRSDSGDEVPSSTREVNGDALANKIETKTLAASVMLAKDNEYNNNEELKAKLEKMAKNLEEVRLLNDQYQHEWIIQLSQKRETERISQEVEMETTKTILHLQEELSHLQSEFEERLCTTAHENAELRNIIAEKEKEIKSQSLDWEKAILELTTFLLEGSRSLKEACGQVKSISCSFPQVNSWICEHVDMAVKKYIEKEEAILLLQNSLEDAQKMVLDMEVKLSSLREATVTLNAFQQIDKNEGIEEAIELQVLLNEKTNMIRMLENEINHKNNQVCKATKQADAAFLVAKWLSDSYKVIHTNGVVQDVSIHELDVQGKLGNCTISENQDVLNNLILNDLKAQVELTKLEVLEMENAVKASFIDTEIQTEAFQTGVSSLTSAYRDLIQDIVKETRDMRKEIRDLRMYHTSFEGYTIDYSTSHANKEFVNQHDKLHQIKEQLLEVNRRLNVIGSLISTEANVSSLQLVDVDEDLVNTDELSTESTDSSSLSDFSNEMENFASEQTVDLKSERSTVIQSDACKSSNPGKLTERPVLNEAVVCFLSKELNASYDGFQRLYLCLSAFLRELDGGSCPYSKELKSEDPFFQLSLQKDEAESENDREIFGYGEIRPDDRFLTKFIEAHATVKEADLTLHALTKAYEDSKQLTAMWKQSGEILMLERASLEEEIQKLKSSVCHKEEENQLLKENIHFSLIEMANSVSMLEEHLLQMQTDMEKKFLTMYSDIHLTGQEMLDFMKNIRSLVEDICSQMVDGGSVSFVLYNCCVTELVSKFACATMNHNLQSARQGELHYLVKNSSSVAEPVISTGSEGAVKTDQCILVQKVPEQPDLPNVNILYENMALRKELERKQVLLEGLLFDFRLLQESASNSKDIKDQTEKLIFSLSQVRYELETKASQLDDILVQNRKLEGSLVNTEKALTTSQYELKLAKESIEKLSNQNVELRELLKDLYAYKTEAQGKLDEHREVIRGLENEITNLTASMENQSLSLFESIEDELNQVIIERDQLHEEVCVLTGKLEMAYSLADEKEAIAMEAHQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVHEMDEEVGRHRSISDSLRTELQALKERLLLVDKFPKNADSESTSVQTDEQISRQHNELLEVHEALSRIRFLERENAEQDKEIKRCKEYISEIVLHAEAQASQYQQKYKCLESMFLEVKTETSNSTSVVPEPEKNEKISVRTRGSSSPFRTRGSSSPFKCISNLVQQMNQEKDQELSVARLRMEELEALAASRQKEVFVLQTRLAATENMTHDVIRDLLGVKLDITDYANLIDENQIVKLVEEAQHHREEFFAKLHIGTENQRGRYTCYSDSTAATARTRSVALCTK >Vigun03g409100.1.v1.2 pep primary_assembly:ASM411807v1:3:61602228:61614920:-1 gene:Vigun03g409100.v1.2 transcript:Vigun03g409100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKETRTSVRRNPQSETNENELEAWQNPINFPPPRTPLNSITDPSQCQELEPARQHRFGSATPRLSGRVGKPHSEPNSAQSTPARNASRVSLGGGRASACALLKETEFCVNVPHFELKDDPSFWTDHNVQVLIRIRPLSNVEKVSQGHGRCLKQESAQTLVWLGHPETRFTFDHIGCETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKEAEGYLNDESGITPRVFDYLFMRIKAEEESRKDHKLKYSCKCSFLEIYNEQITDLLMPSSTNLQLREDLKKGVYVENLTEHSVETVYDVLRLLLQGTANRKVAATHMNCESSRSHSVFTCTIESQWEKDSMTHFRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMVIANVSPSICCANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLMNDKLFPTSSNLEPNSEKFRLSEVSEGYDSLGERATTDHNLLIPSKEIKCMKAALVSALRREKMAETTIQKLKAEIDHKNCLVRQREEDIDHTSSMLRHYKEKIKQLELLVDGKLTAEKYLMEENRALQEEIQLLKVKIDKNSELSRLALENDGLLRHPQLSQNSYEHGERERLLTELSELRDQLLVNLQGKFTFSMKNVNQDSDTAQELEQCQNMNSKLLREVGELQSELGKYLNYNQVLNSFEHPNEILKTDKCSLAETISVRSDSGDEVPSSTREVNGDALANKIETKTLAASVMLAKDNEYNNNEELKAKLEKMAKNLEEVRLLNDQYQHEWIIQLSQKRETERISQEVEMETTKTILHLQEELSHLQSEFEERLCTTAHENAELRNIIAEKEKEIKSQSLDWEKAILELTTFLLEGSRSLKEACGQVKSISCSFPQVNSWICEHVDMAVKKYIEKEEAILLLQNSLEDAQKMVLDMEVKLSSLREATVTLNAFQQIDKNEGIEEAIELQVLLNEKTNMIRMLENEINHKNNQVCKATKQADAAFLVAKWLSDSYKVIHTNGVVQDVSIHELDVQGKLGNCTISENQDVLNNLILNDLKAQVELTKLEVLEMENAVKASFIDTEIQTEAFQTGVSSLTSAYRDLIQDIVKETRDMRKEIRDLRMYHTSFEGYTIDYSTSHANKEFVNQHDKLHQIKEQLLEVNRRLNVIGSLISTEANVSSLQLVDVDEDLVNTDELSTESTDSSSLSDFSNEMENFASEQTVDLKSERSTVIQSDACKSSNPGKLTERPVLNEAVVCFLSKELNASYDGFQRLYLCLSAFLRELDGGSCPYSKELKSEDPFFQLSLQKDEAESENDREIFGYGEIRPDDRFLTKFIEAHATVKEADLTLHALTKAYEDSKQLTAMWKQSGEILMLERASLEEEIQKLKSSVCHKEEENQLLKENIHFSLIEMANSVSMLEEHLLQMQTDMEKKFLTMYSDIHLTGQEMLDFMKNIRSLVEDICSQMVDGGSVSFVLYNCCVTELVSKFACATMNHNLQSARQGELHYLVKNSSSVAEPVISTGSEGAVKTDQCILVQKVPEQPDLPNVNILYENMALRKELERKQVLLEGLLFDFRLLQESASNSKDIKDQTEKLIFSLSQVRYELETKASQLDDILVQNRKLEGSLVNTEKALTTSQYELKLAKESIEKLSNQNVELRELLKDLYAYKTEAQGKLDEHREVIRGLENEITNLTASMENQSLSLFESIEDELNQVIIERDQLHEEVCVLTGKLEMAYSLADEKEAIAMEAHQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVHEMDEEVGRHRSISDSLRTELQALKERLLLVDKFPKNADSESTSVQTDEQISRQHNELLEVHEALSRIRFLERENAEQDKEIKRCKEYISEIVLHAEAQASQYQQKYKCLESMFLEVKTETSNSTSVVPEPEKNEKISVRTRGSSSPFRTRGSSSPFKCISNLVQQMNQEKDQELSVARLRMEELEALAASRQKEVFVLQTRLAATENMTHDVIRDLLGVKLDITDYANLIDENQIVKLVEEAQHHREEFFAKEKENLDLRQHINDLVEERESCISELKTKEADILATQIALQQLQERDQLLCAQNEMLKMDKTNLLRKVAELDDAVKTLVVGTRNTHPAPQSSKTKDKGALNMGTVGSSRRLSQPERRLSRHNDESARFRKFAGNNNSQG >Vigun03g409100.2.v1.2 pep primary_assembly:ASM411807v1:3:61602228:61614920:-1 gene:Vigun03g409100.v1.2 transcript:Vigun03g409100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKETRTSVRRNPQSETNENELEAWQNPINFPPPRTPLNSITDPSQCQELEPARQHRFGSATPRLSGRVGKPHSEPNSAQSTPARNASRVSLGGGRASACALLKETEFCVNVPHFELKDDPSFWTDHNVQVLIRIRPLSNVEKVSQGHGRCLKQESAQTLVWLGHPETRFTFDHIGCETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKEAEGYLNDESGITPRVFDYLFMRIKAEEESRKDHKLKYSCKCSFLEIYNEQITDLLMPSSTNLQLREDLKKGVYVENLTEHSVETVYDVLRLLLQGTANRKVAATHMNCESSRSHSVFTCTIESQWEKDSMTHFRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMVIANVSPSICCANETLSTLKFAQRAKLIQNNAKVNEDASGDVSALQWQIQQLKGQLSFLMNDKLFPTSSNLEPNSEKFRLSEVSEGYDSLGERATTDHNLLIPSKEIKCMKAALVSALRREKMAETTIQKLKAEIDHKNCLVRQREEDIDHTSSMLRHYKEKIKQLELLVDGKLTAEKYLMEENRALQEEIQLLKVKIDKNSELSRLALENDGLLRHPQLSQNSYEHGERERLLTELSELRDQLLVNLQGKFTFSMKNDSDTAQELEQCQNMNSKLLREVGELQSELGKYLNYNQVLNSFEHPNEILKTDKCSLAETISVRSDSGDEVPSSTREVNGDALANKIETKTLAASVMLAKDNEYNNNEELKAKLEKMAKNLEEVRLLNDQYQHEWIIQLSQKRETERISQEVEMETTKTILHLQEELSHLQSEFEERLCTTAHENAELRNIIAEKEKEIKSQSLDWEKAILELTTFLLEGSRSLKEACGQVKSISCSFPQVNSWICEHVDMAVKKYIEKEEAILLLQNSLEDAQKMVLDMEVKLSSLREATVTLNAFQQIDKNEGIEEAIELQVLLNEKTNMIRMLENEINHKNNQVCKATKQADAAFLVAKWLSDSYKVIHTNGVVQDVSIHELDVQGKLGNCTISENQDVLNNLILNDLKAQVELTKLEVLEMENAVKASFIDTEIQTEAFQTGVSSLTSAYRDLIQDIVKETRDMRKEIRDLRMYHTSFEGYTIDYSTSHANKEFVNQHDKLHQIKEQLLEVNRRLNVIGSLISTEANVSSLQLVDVDEDLVNTDELSTESTDSSSLSDFSNEMENFASEQTVDLKSERSTVIQSDACKSSNPGKLTERPVLNEAVVCFLSKELNASYDGFQRLYLCLSAFLRELDGGSCPYSKELKSEDPFFQLSLQKDEAESENDREIFGYGEIRPDDRFLTKFIEAHATVKEADLTLHALTKAYEDSKQLTAMWKQSGEILMLERASLEEEIQKLKSSVCHKEEENQLLKENIHFSLIEMANSVSMLEEHLLQMQTDMEKKFLTMYSDIHLTGQEMLDFMKNIRSLVEDICSQMVDGGSVSFVLYNCCVTELVSKFACATMNHNLQSARQGELHYLVKNSSSVAEPVISTGSEGAVKTDQCILVQKVPEQPDLPNVNILYENMALRKELERKQVLLEGLLFDFRLLQESASNSKDIKDQTEKLIFSLSQVRYELETKASQLDDILVQNRKLEGSLVNTEKALTTSQYELKLAKESIEKLSNQNVELRELLKDLYAYKTEAQGKLDEHREVIRGLENEITNLTASMENQSLSLFESIEDELNQVIIERDQLHEEVCVLTGKLEMAYSLADEKEAIAMEAHQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVHEMDEEVGRHRSISDSLRTELQALKERLLLVDKFPKNADSESTSVQTDEQISRQHNELLEVHEALSRIRFLERENAEQDKEIKRCKEYISEIVLHAEAQASQYQQKYKCLESMFLEVKTETSNSTSVVPEPEKNEKISVRTRGSSSPFRTRGSSSPFKCISNLVQQMNQEKDQELSVARLRMEELEALAASRQKEVFVLQTRLAATENMTHDVIRDLLGVKLDITDYANLIDENQIVKLVEEAQHHREEFFAKEKENLDLRQHINDLVEERESCISELKTKEADILATQIALQQLQERDQLLCAQNEMLKMDKTNLLRKVAELDDAVKTLVVGTRNTHPAPQSSKTKDKGALNMGTVGSSRRLSQPERRLSRHNDESARFRKFAGNNNSQG >Vigun08g070700.1.v1.2 pep primary_assembly:ASM411807v1:8:11356501:11359156:1 gene:Vigun08g070700.v1.2 transcript:Vigun08g070700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRLKEMQKQLTRLTVLLVMALTAASQAQPGCPDSCGSVSSIPYPFGIGTSSVNGENCFLEKDLNLTCTDSTLYRGQGNVQILNISLAGKIDMLVHISKVCKNELLGGVKTQGNLPSLRTPAFAISSEDNKFVTVGCDTYGYLNTFRNGTQSSTGCLTRCDSIESVQSRQRSGNCTGIGCCQVDIPPGMKNISFQASTFKNFNSTSDIPECTNNEHTCDSKDHCRETLGSFECFCPDGLIGDGTREGGGCHTRQKADAFTKIIIGAGVGLIALFIGIFWLYLIYEKRKVFKLKQKFFQQNGGIILRQQLSTREDSSHTTTIFNAEELKKATNNFDESLIIGKGGYGTVFKGVLSNNKVVAIKKSKIVDQSQVQQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVNNGTLFDYLHNQGHRVNVSWKMRLRIATEAVAALSYLHSAASIPIRDVKTSNILLDDNYTAKVSDFGASRLVPIEQTEIATIVQGTFGYLDPEYMQSSQLTEKSDVYSFGVVLVELLTREKPFSFDKTEEKRSLTVHFLCSLKEDRLFDVLQVGLLDEENQQEIMEVAILAARCLRLIGEERPSMKEVTMELEGIQLTKKHLWINTGKNFEESQYLLHETQSSYEHGDSSGQQNIEYDSLREHELIDFGNGR >Vigun08g070700.2.v1.2 pep primary_assembly:ASM411807v1:8:11356501:11359156:1 gene:Vigun08g070700.v1.2 transcript:Vigun08g070700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHRERPKQAEKRKLHRHRVLPDIPECTNNEHTCDSKDHCRETLGSFECFCPDGLIGDGTREGGGCHTRQKADAFTKIIIGAGVGLIALFIGIFWLYLIYEKRKVFKLKQKFFQQNGGIILRQQLSTREDSSHTTTIFNAEELKKATNNFDESLIIGKGGYGTVFKGVLSNNKVVAIKKSKIVDQSQVQQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVNNGTLFDYLHNQGHRVNVSWKMRLRIATEAVAALSYLHSAASIPIRDVKTSNILLDDNYTAKVSDFGASRLVPIEQTEIATIVQGTFGYLDPEYMQSSQLTEKSDVYSFGVVLVELLTREKPFSFDKTEEKRSLTVHFLCSLKEDRLFDVLQVGLLDEENQQEIMEVAILAARCLRLIGEERPSMKEVTMELEGIQLTKKHLWINTGKNFEESQYLLHETQSSYEHGDSSGQQNIEYDSLREHELIDFGNGR >Vigun01g207800.1.v1.2 pep primary_assembly:ASM411807v1:1:38339748:38343941:-1 gene:Vigun01g207800.v1.2 transcript:Vigun01g207800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGAVSHLILTAMAIAIAIPFGGCDTPPVVFVFGDSNSDTGGLASGLGFPINLPNGRTFFRRSTGRLSDGRLVIDLLCQSLNASLLVPYLDALSGTSFTNGANFAVVGSSTLPKYVPFSLNIQVMQFRRFKARSLDLVTAGARNFISDEGFRNALYLIDIGQNDLADSFAKNLSYAQVIKKIPSVITEIENAVKNLHNEGARKFWVHNTGPLGCLPKILALAQKKDLDSLGCLSSYNSAARLFNEALFHLSQKLRSELKDSTLVYVDIYGIKHDLITNAAKYGFSNPLMVCCGFGGPPYNFDVRVTCGQPGYQVCDEGARYVSWDGIHHTEAANTFIASKILSMAYSTPRTPFDFFRRH >Vigun08g120300.1.v1.2 pep primary_assembly:ASM411807v1:8:28857814:28860005:-1 gene:Vigun08g120300.v1.2 transcript:Vigun08g120300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLISPSYSSTPSSSSTLSLDPSLCNSKSATAGCLTAILRRILCSGGLPTHPSDQLRELDSMSKVGGKVQELKTKPDNESTTTTTITPGLVERLMGLEPMGERERPIESSSSLSRSKSMNSVDYLGECKRMEGLQNHAKSSSFREFPTLLENENFLVLSFERGCDDGEFRSKERKKEKGLKDRGEFKRNKREKVHDEKGNLSDMSSANVGNDGDQHKIQFANTSTLFMACFEKEYLDSETATFSHNMNRKEVTNGEKVKRRKKGTTCYAEKKVDTECSSEDSSPVSIFDFEREAPGTDVDSFGVDTSWRRKLSPELENDKVCVLNCDSNMMIEEMKVNTIENNKVEGSKRTEKQSQDCVDIWGEICSLVEGELESNKLETGLKKQGDFECLCADFESEIFDQLLHEFIDQLAGNPLKALQLQNL >Vigun01g064068.1.v1.2 pep primary_assembly:ASM411807v1:1:16590570:16599294:-1 gene:Vigun01g064068.v1.2 transcript:Vigun01g064068.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVGEDILFESESYHEQDLNNLFTSEIEAITLARDDIDNEFVITNHAETFLQGDTTKRLKKTASTQEPPVPPLVCPIPPQPCIPPLIPPTQQLHIPSMSTHPHVPLLMAPTPHPHIPPPMAPTPHLHVPLPVDTTQQPHVGHESSKIWTVHMNKEIQKKNQLTKRGVFEMPRGERILVPFDKNLRAYGEATSLLSSACDRIATDSNNVPINFDSWPKVPKSYNVDCFNILKNLFHFQASERFVERYCSLCMSNKEQLIANVPDGIQKDQWSSFVDYHLSEEYKKLSKRNIEVRKVQKIPHTGGAKLLSTKQHKMEVNLGHVVGRGELYIETHKKRNGSYVNEEAKSIALSLVKKMTQEMSQSINSNEISADDCVSKVLGKDHSRCVHCLGLAGLHSVAFQSTTRFSNAGHNFSNSGSTESSQLKEKVISLREKLATFEENLKTLKSVMLAYIQMKEWHIPHELGVMFDDETNVIVISQDEESGQELPTSRGGSSLDSNFDGV >Vigun06g224900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:33321083:33321934:1 gene:Vigun06g224900.v1.2 transcript:Vigun06g224900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFTSNLSTTQMLPLSKKHQTQPPRTKFSVSCRATKQKPCAVEENLYKVLSLSPMSATTDDIKKAYRSMALQYHPDVCHDGSKKEELTRMFVQLNEAYTTLSNPELRADYDYELGLRSKKSVGDESWRCRWQEQVVELKRRSHTRMAQREGSWGSRVRARNMNSNETYE >Vigun05g150000.1.v1.2 pep primary_assembly:ASM411807v1:5:22185515:22191289:-1 gene:Vigun05g150000.v1.2 transcript:Vigun05g150000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGNIFCLANRDQNGNTNANAFAWDSWQTTTSNNNNNNTTSRLVNAFNVPVTGVTAPEGSAANRPEAAGLASALMFLPQNGGVLRHRQQQQQQQHPYGGDGSHVHPDPHLMCLKLGKRHYFEDASGSGTVSAAAAAATFGGGLVLGDKRGKGGYSGGGAAVKGVGLTTATVPRCQVEGCQVALVNAKDYHRRHKVCEMHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKSSHDSVARNSSQGGCALSLLSSRSDSWLSPSDLSTRCSAALRELIAENRAAIMARQFVSDRDWHIQHHAVEDMKEIQPESNYFPQHMFPQTQ >Vigun05g150000.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:22185515:22191289:-1 gene:Vigun05g150000.v1.2 transcript:Vigun05g150000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGNIFCLANRDQNGNTNANAFAWDSWQTTTSNNNNNNTTSRLVNAFNVPVTGVTAPEGSAANRPEAAGLASALMFLPQNGGVLRHRQQQQQQQHPYGGDGSHVHPDPHLMCLKLGKRHYFEDASGSGTVSAAAAAATFGGGLVLGDKRGKGGYSGGGAAVKGVGLTTATVPRCQVEGCQVALVNAKDYHRRHKVCEMHSKAPKVVVLGLEQRFCQQCSRSPPLSFSLIIIIFLSFL >Vigun06g223100.2.v1.2 pep primary_assembly:ASM411807v1:6:33180613:33183720:-1 gene:Vigun06g223100.v1.2 transcript:Vigun06g223100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLSKCFSFTASRDWVYRHLFASAGLRSVATDLGEGTTMHCWVPKLQKPCKPSLVLVHGFGANAMWQYGEHVRHFTGHFNVYVPDLVFFGESFTSRRDRSESFQAECLVKMMEAHGVHRMSLVGISYGGFVGYSVGVQFPEVVEKLVLCCAGVCLEEVDMQNGLFRVSTLEEASSILLPQTPDKLRELMKLSFVRPARGVPTWFLQDFIEVMCTEYIEEKRELLEAILKGRKLSDLPKIQLPTLVLWGEQDQVFPLELAHRLKR >Vigun06g223100.1.v1.2 pep primary_assembly:ASM411807v1:6:33180613:33183791:-1 gene:Vigun06g223100.v1.2 transcript:Vigun06g223100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLSKCFSFTASRDWVYRHLFASAGLRSVATDLGEGTTMHCWVPKLQKPCKPSLVLVHGFGANAMWQYGEHVRHFTGHFNVYVPDLVFFGESFTSRRDRSESFQAECLVKMMEAHGVHRMSLVGISYGGFVGYSVGVQFPEVVEKLVLCCAGVCLEEVDMQNGLFRVSTLEEASSILLPQTPDKLRELMKLSFVRPARGVPTWFLQDFIEVMCTEYIEEKRELLEAILKGRKLSDLPKIQLPTLVLWGEQDQVFPLELAHRLKRHIGEDAQMVIIKNAGHAVNLEKPKEFGKHLKAFLIDTGTAKSCPTSPLASEENVHF >Vigun06g223100.3.v1.2 pep primary_assembly:ASM411807v1:6:33180613:33183580:-1 gene:Vigun06g223100.v1.2 transcript:Vigun06g223100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLSKCFSFTASRDWVYRHLFASAGLRSVATDLGEGTTMHCWVPKLQKPCKPSLVLVHGFGANAMWQYGEHVRHFTGHFNVYVPDLVFFGESFTSRRDRSESFQAECLVKMMEAHGVHRMSLVGISYGGFVGYSVGVQFPEVVEKLVLCCAGVCLEEVDMQNGLFRVSTLEEASSILLPQTPDKLRELMKLSFVRPARGVPTWFLQDFIEVMCTEYIEEKRELLEAILKGRKLSDLPKIQLPTLVLWGEQDQVFPLELAHRLKRHIGEDAQMVIIKNAGHAVNLEKPKEFGKHLKAFLIDTGTAKSCPTSPLASEENVHF >Vigun06g149366.1.v1.2 pep primary_assembly:ASM411807v1:6:27413861:27420883:1 gene:Vigun06g149366.v1.2 transcript:Vigun06g149366.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLVLHFIVLVIWSVGVEVSAQDHPSVAKPGCDSRCGDLQIPFPFGMKSSECYAEKWFEIECRNSATYHQTPYLKSIGVEVTSIDVGRGTVTINHPIYRSNCGTKHSPPVNYSLKGSPFVYSQKYNKFVATGCNIIGYLEVNGSEGSGCVSICDQDYTVDDIGKTDLSKAGCNGKWCCANSLPPFLTEYRGGIKGLKENERGDECSYAMILQQNHNLYLYYFTHSPQSYFFSVSGAVKDLDLVPAVLEWEILNDFSLKLPAANLSRCFDTNITSSLHKRSGQRCSCTTGPGNAYLQGGCLAPSSSDYSIESISPITISAVIKVR >Vigun10g073150.1.v1.2 pep primary_assembly:ASM411807v1:10:18522683:18523320:1 gene:Vigun10g073150.v1.2 transcript:Vigun10g073150.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIKVEEMIHTFKQRIAQAKAMARGDVLSSSLRYLWILQNSETGKKGETW >Vigun03g155800.2.v1.2 pep primary_assembly:ASM411807v1:3:16656718:16659055:1 gene:Vigun03g155800.v1.2 transcript:Vigun03g155800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHETWAFVFGLLGNAISFMVFLAPLPTFYQIYKKKTAEGFQSLPYVVALFSSMLWIYYAMVKKDASLLLITINSFGCVIESMYLLIFLIYAPSKTRLSTIKLLLLLNVFGFGAMLLSTLYFTTGTKRLSVIGWICLVFNISVFAAPLCIMKRVIKTKSVEFMPFSLSFFLTVNAVMWFFYGLLLKDYYIAKRKAKDIGGANQVTGTKWPYC >Vigun03g155800.1.v1.2 pep primary_assembly:ASM411807v1:3:16656718:16659055:1 gene:Vigun03g155800.v1.2 transcript:Vigun03g155800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHETWAFVFGLLGNAISFMVFLAPLPTFYQIYKKKTAEGFQSLPYVVALFSSMLWIYYAMVKKDASLLLITINSFGCVIESMYLLIFLIYAPSKTRLSTIKLLLLLNVFGFGAMLLSTLYFTTGTKRLSVIGWICLVFNISVFAAPLCIMKRVIKTKSVEFMPFSLSFFLTVNAVMWFFYGLLLKDYYIALPNTLGFVFGIIQMVLYLVYRNAKPKTLEEPTKLQELNGHIVDVVKLGTMTP >Vigun05g235400.1.v1.2 pep primary_assembly:ASM411807v1:5:42870997:42875596:-1 gene:Vigun05g235400.v1.2 transcript:Vigun05g235400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRTVVVSALQFSCTDDVSTNVATAERLVRAAHKQGANIILIQELFEGYYFCQAQREDYIQRAKPHKDHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFKTKFAKIGVAICWDQWFPEAARSMVLQGAEILFYPTAIGTEPHDANIDSRDHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPNGEIVSIADDKEEAVLIAQFDLDKIKSTRHSWGVFRDRRPDLYKALLTLDGTNPVQ >Vigun05g235400.4.v1.2 pep primary_assembly:ASM411807v1:5:42870997:42875597:-1 gene:Vigun05g235400.v1.2 transcript:Vigun05g235400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRTVVVSALQFSCTDDVSTNVATAERLVRAAHKQGANIILIQELFEGYYFCQAQREDYIQRAKPHKDHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFKTKFAKIGVAICWDQWFPEAARSMVLQGAEILFYPTAIGTEPHDANIDSRDHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPNGEIVSIADDKEEAVLIAQFDLDKIKSTRHSWGVFRDRRPDLYKALLTLDGTNPVQ >Vigun05g235400.3.v1.2 pep primary_assembly:ASM411807v1:5:42870997:42875596:-1 gene:Vigun05g235400.v1.2 transcript:Vigun05g235400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRTVVVSALQFSCTDDVSTNVATAERLVRAAHKQGANIILIQELFEGYYFCQAQREDYIQRAKPHKDHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFKTKFAKIGVAICWDQWFPEAARSMVLQGAEILFYPTAIGTEPHDANIDSRDHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPNGEIVSIADDKEEAVLIAQFDLDKIKSTRHSWGVFRDRRPDLYKALLTLDGTNPVQ >Vigun05g235400.2.v1.2 pep primary_assembly:ASM411807v1:5:42870997:42875596:-1 gene:Vigun05g235400.v1.2 transcript:Vigun05g235400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRTVVVSALQFSCTDDVSTNVATAERLVRAAHKQGANIILIQELFEGYYFCQAQREDYIQRAKPHKDHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFKTKFAKIGVAICWDQWFPEAARSMVLQGAEILFYPTAIGTEPHDANIDSRDHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPNGEIVSIADDKEEAVLIAQFDLDKIKSTRHSWGVFRDRRPDLYKALLTLDGTNPVQ >Vigun09g173900.2.v1.2 pep primary_assembly:ASM411807v1:9:34485207:34489683:1 gene:Vigun09g173900.v1.2 transcript:Vigun09g173900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTVLRHINTCATFAPPPRLPMALLLSCGVTWHYLIPSKTSRTVKSRAGSDSYKLVVKKDERLGAGECVDEVVGSGTTIAAIVTSLGGPPAAVGIVRLSGPGAVSIAGRVFRPARNSWRPTSHVVEYGVVLDSDGNVIDEVLAVPMLAPRSYTREDVVELQCHGSEVCLRRVLRTCLEAGATLAQPGEFTLRAFLNGRLDLSQAENVARLIAAKSVAAADAALQGIQGGFSSLVRSLRSQCIELLTEIEARLDFDDEMPPLDLNLIMDKIHDMSRDVENALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEASISVSGIPITLLDTAGIRDTDDIVEKIGVERSEAVARGADLIIMTVSAVEGWTCEDTKLLQRIQSTKTGINWIIHPSNPCGQQDRL >Vigun09g173900.1.v1.2 pep primary_assembly:ASM411807v1:9:34485207:34489683:1 gene:Vigun09g173900.v1.2 transcript:Vigun09g173900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTVLRHINTCATFAPPPRLPMALLLSCGVTWHYLIPSKTSRTVKSRAGSDSYKLVVKKDERLGAGECVDEVVGSGTTIAAIVTSLGGPPAAVGIVRLSGPGAVSIAGRVFRPARNSWRPTSHVVEYGVVLDSDGNVIDEVLAVPMLAPRSYTREDVVELQCHGSEVCLRRVLRTCLEAGATLAQPGEFTLRAFLNGRLDLSQAENVARLIAAKSVAAADAALQGIQGGFSSLVRSLRSQCIELLTEIEARLDFDDEMPPLDLNLIMDKIHDMSRDVENALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEASISVSGIPITLLDTAGIRDTDDIVEKIGVERSEAVARGADLIIMTVSAVEGWTCEDTKLLQRIQSTKESTGSSTPVILVVNKIDCKPCAETEWDKGFQSHKIFSKHVFTCAVTGQGLLDLERAVLQIVGLEGIPAGGRRWTVNQRQCEQLVRTKEALARLQSSIKEELPLDFWTIDLRDAALSLGQISGENISEEVLSNIFGKFCIGK >Vigun05g156428.1.v1.2 pep primary_assembly:ASM411807v1:5:25405542:25405965:-1 gene:Vigun05g156428.v1.2 transcript:Vigun05g156428.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSKKSKSKRVSLKKKYKVIRKVKEHNRKKAKEAKKLRLSGKNKVEKDPGIPNDWPFKEQELKALEARKAKAIEELEQKKAERKERVIALSLLNSTFHAFIFFYY >Vigun06g136500.1.v1.2 pep primary_assembly:ASM411807v1:6:26254726:26258071:-1 gene:Vigun06g136500.v1.2 transcript:Vigun06g136500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQIQFLIIIMMFITLPMYALAYSALSYPTLTYPAETYYTEGLIIAKPGCSFRCGGVEISYPFGMKDPKCYVGKWFEVECRETSEGQKPYLKSLNLEVIALGLNWVYIMNPIFRWNCPSRRAKPEVINLRGSPFVYSQKFNMFVAVGCNNLAFFQSNGSTVGCCVSICDDSEEVNGNLGSISSCSGRYCCETSLPNYLSEYNATLEDLNTKNNDTGSEKCRYAFIGYRDLYQRDTYGLNSSDYVPAMLEWEILDNMLHNSTRQLLSESDHATCSRSNFGSSQNTSTGWQCYCLGGFYGNPYVAGGCTGYNHSLTKNWAIVGVSSSLGSVIFLLGLWLLYKVVRKRVIEKRKQKFFKNNGGLLLQQRMSSNEANVEKAILFSLDDLEKATDNFNTDRVVGKGGQGTVYKGMLVDGRIVAVKKFKVEGNVEEFINEFVILSQINNRNVVRLLGCCLETEIPLLVYEFIPNGNLFEYLHDQKKELLPMTWEMCLRIGTEIAGALFYLHSVASKPIYHRDIKSTNILLDEKYRAKIADFGTSRVISVDATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGQKPISPASSGEFKSLASYFVECLEEDNLFEIIDKRVVKEAEKGQIIAVANLANRCLELNGRKRPTMKEITFELEGIHGLVRKSNAEKKGDQVELARVQDWHPWDGYSASKSWDPKVVPMEQ >Vigun06g136500.2.v1.2 pep primary_assembly:ASM411807v1:6:26254726:26258071:-1 gene:Vigun06g136500.v1.2 transcript:Vigun06g136500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQIQFLIIIMMFITLPMYALAYSALSYPTLTYPAETYYTEGLIIAKPGCSFRCGGVEISYPFGMKDPKCYVGKWFEVECRETSEGQKPYLKSLNLEVIALGLNWVYIMNPIFRWNCPSRRAKPEVINLRGSPFVYSQKFNMFVAVGCNNLAFFQSNGSTVGCCVSICDDSEEVNGNLGSISSCSGRYCCETSLPNYLSEYNATLEDLNTKNNDTGSEKCRYAFIGYRDLYQRDTYGLNSSDYVPAMLEWEILDNMLHNSTRQLLSESDHATCSRSNFGSSQNTSTGWQCYCLGGFYGNPYVAGGCTGYNHSLTKNWAIVGVSSSLGSVIFLLGLWLLYKVVRKRVIEKRKQKFFKNNGGLLLQQRMSSNEANVEKAILFSLDDLEKATDNFNTDRVVGKGGQGTVYKGMLVDGRIVAVKKFKVEGNVEEFINEFVILSQINNRNVVRLLGCCLETEIPLLVYEFIPNGNLFEYLHDQKKELLPMTWEMCLRIGTEIAGALFYLHSVASKPIYHRDIKSTNILLDEKYRAKIADFGTSRVISVDATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGQKPISPASSGEFKSLASYFVECLEEDNLFEIIDKRVVKEAEKGQIIAVANLANRCLELNGRKRPTMKEITFELEGIHGLVRKSNAEKKGDQVELARVQDWHPWDGYSASKSWDPKVVPMEQ >Vigun01g065900.1.v1.2 pep primary_assembly:ASM411807v1:1:17423475:17426046:-1 gene:Vigun01g065900.v1.2 transcript:Vigun01g065900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGWTIEMMNICGNKQLHISINLMLISIKEYLSILRSSGILIKFSVFISDNLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNRLPFEGMSNLQAAYAAAFKNTRPSAEDLPDELALIVTSCWREDPNDRPNFCQIIQMLLRYLITISPADPVVPMRIASSKNAVLPPESPGTSALMARRDDSSETPKVDIEGRSKGCFFCFNQCY >Vigun06g123700.1.v1.2 pep primary_assembly:ASM411807v1:6:25102021:25102920:1 gene:Vigun06g123700.v1.2 transcript:Vigun06g123700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFVFSLLLLSEVPKSCIAEEKEDKWDHFIFAQQWPKGYCDSPHPGTRKCRIIPEKFVIHGLWPQKDNGTLPKCRTKTTIGNKDLKPLKEQLDSDWPNLIGTNFNFWRVEWTKHGGCSEATLPVQKYFNLTLHLYEQNNLLNILEKEQIVPDDKKLYNVSSVVAAVHNHTSHVPSLYCYHDPKLNATALYQISICLTKNATSFINCPKSDGTCGEDSLLLPK >Vigun08g035633.1.v1.2 pep primary_assembly:ASM411807v1:8:3436750:3437066:-1 gene:Vigun08g035633.v1.2 transcript:Vigun08g035633.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFTLMITAELENLTNLQPQGGCDDPNFPYLFKLKCGRCGELSQKETCVVLNDTVPLPVGKATTHLIQKVT >VigunL059292.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000584.1:26845:27282:-1 gene:VigunL059292.v1.2 transcript:VigunL059292.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLWFGVVGLVVVVLVVVGMVVVVEVAMVVVVVGVVVWVVVVVVAAVVVVVVAMVVVVLVEVVVVAVVVVVVVVIVALVVVVVVVVVLLVVVVAVLVVIVVVVVMVVVVLVVLVVMLVVVVVVVVVIEVIVIVVVKVIIVVVVW >Vigun03g229900.1.v1.2 pep primary_assembly:ASM411807v1:3:38288967:38293748:1 gene:Vigun03g229900.v1.2 transcript:Vigun03g229900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRLQGQSIGVFNEGIGLLLFRWSALRTAVENEWGGRESRVKADQLVTDVLSWFTQSKEPLYIDDLEDILDQGMLSLNVEVEDGSIEEVAEKLMVMHEEFLDGNFSSFEDLRKANVEHRPHTAQIVNDGEDDSEEDGDDETMIVDGNSSSMTTEIPRSYSNNNSVNESRPNVSGEADDEWVVVSNRRNKGRKN >Vigun01g051700.1.v1.2 pep primary_assembly:ASM411807v1:1:8489498:8491018:-1 gene:Vigun01g051700.v1.2 transcript:Vigun01g051700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDFWPSPFGMRLRIALSEKGIEYEYRQENLRNKSSLLLQMNPVHKKIPVLIHHGKPICESLIAVQYIDEVWNHTNPFLPSDPYLKAQALFWSDYADKKIFDVGRKIWTSKGEEKEGAKKELIEALKLLEEELGDKTYFGGDNLGFVDVALVPFYTWFKGYETFGSFSIESECPKFIAWAKRCLQRESVAKSLPDQHKVYEFIVELRKKLGIE >Vigun04g147500.1.v1.2 pep primary_assembly:ASM411807v1:4:36300410:36301366:-1 gene:Vigun04g147500.v1.2 transcript:Vigun04g147500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVKMDLHDDKIKRKAMKTASGLSGIESVSVDLKDMKLVLLGEIDPVSAVSKLRKWCHTELVSVGPAKEEKEKEKVDPPKVLVPVNYENYPFYYYPYHMVPPLYN >Vigun07g280600.1.v1.2 pep primary_assembly:ASM411807v1:7:39550271:39553159:-1 gene:Vigun07g280600.v1.2 transcript:Vigun07g280600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEETAGAGEASLEGLPSALVATVMTKLDIASICSLASTSSAFRSCGRHILSFLPSFNLLDTAPSGDMLRPLLPPNPYLTTLKLDCSRLDDSAIAFLLKPSLQDLSLHNCADFSGRLLSEIGNRCKDLRSLYLGSVAEKRGRAIHISDLEELLSGCSHLEALILMFDVSLFLRHNFARVWASASEKISSLEIGYISSVTVTELLSPNLGSHLPSNPVQPSILPSIQKLCLNVDYITDAMIGTISKGLVFLTHLDLQDAPLIEPRVTFDLTNAGLQQINQLGRLKHLSLVRSQEFLITYFRRVNDLGLLLMADKCANMESICLGGFCRVTDTGFKTILHSCTRLYKLKVTHGTHLTDLVFHDISATSLTLTHVSLRRCNLLTNHAVLSLASNKELKILDLRDCRSLGDEALLAIGTLPRLKILLLDGSDITDAGLLHLRASVISSLYALSLRGCKRLTDKCITALFNGCCVLELRELDLSNLPNLSDNGVLLLAKSRIPFFELRMRQCPLVGDTAVMALASMLIDEAKHGSSLRLLDLYNCGGITALAFRWLKKPYFPRLKWLGVTGNVNRDMVDALARSRPFLHVACHGEELGADPYDTSDGLYTHDYDDVDEFEQWLLEADIDSDFEDMGDAENNDEMVA >Vigun08g210100.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:37222900:37224066:1 gene:Vigun08g210100.v1.2 transcript:Vigun08g210100.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTFLCPVASSFSQKPLQSTFLHSSVNPSFLTLNILPKKFRKPHQLRSLVVAKSNGSDSADVPDRLISALCYFYPFFDGIQYGKYVITQFYPVQAIIQPLVPAIRVFKSFPFNGFLVFLTLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERGFNPRDGLGLDLMMSLDSTVFFFLLVCLIYGSSSCLVGQIPRLPIVAEAADRQVL >Vigun06g122000.1.v1.2 pep primary_assembly:ASM411807v1:6:24929400:24935317:1 gene:Vigun06g122000.v1.2 transcript:Vigun06g122000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAKVRLVRCPKCQNLLPELADYSVYQCGGCGAVLRAKHKGYVSGSLSDDGKVGVGGDSGKSESSLEKGLVDRSDASDVDAKSSSGPSGDEIQRDVDKVDNMEEKFLNQPEGIIEKGVFDDDVDVNGGKDEAGKSIGREQEEPHKSRISRENGSKFSGRISNWQNGERTEMEEFWRKPRTEMESVRFSTSKYPDEGPSNGFSSKYMGSWRNRNEADGADMVQHLEQDRAELLRKLDELKVHLSKSSELVSNQKEKIIPDERTIPPDPHPYGGSDPWFSDGSSGLNRTSRQFYGTDKHVAGSTHFNYHHHDSYPYPSSHDMAMPNFPPSMHNPNRYGDPFASQMLRRGPHQFPKQPLHPYYPGRYVDTNPDSYELYSHNNAMLHPPSCSCFHCYDNKRRGSVPAPPASFINSRFPDIPNDPMLYHHDIPGAFGPQVHNSRTAIPPATYRENQLHARWGSDFNSEMGSFVRTRPRKVMLATSSQRCYPVAGGSPFISCHNCSELLLLPKKALVLVKNRRQKVQCGACSSEISFAVINNKLIISPNLETKGVPSRGDNSSNEVGSSRMSHSRVHANRTGANFSSDDYSGYDFHSVDREPLSMGALNSNKSLEIPSFRSSSLSTSEDEHSPEAMIDPREATKSIHRPTTDSLSPPAGSPLQEYFDYSNNNHAVNRFGKGNQSSRSEQEKTKVDKMSSRQNSLKEAALATEMDVHDYSNTGVSQDSGYASREHDNHKSNKGGDSFFANIIKKSFRDFSRSNHTDDRSKTNVTVNGQPLSDRVVKKAEKLAGTIQPGNYWYDFRAGFWGVLGGPCLGIIPPFIDEFNHPLPEKCSGGGTGVFVNGRELHPKDLDLLAGRGLPTDRDRSYIIEISGRVLDEDTGEELDSLGKLAPTVEKVKHGFGMKAPRAST >Vigun07g128250.3.v1.2 pep primary_assembly:ASM411807v1:7:23591812:23593085:-1 gene:Vigun07g128250.v1.2 transcript:Vigun07g128250.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYGIVRENFRTNLTLLLSSCIKVDLRIGNAMFSSILLQGECCTFKDGEYVKSGLAELEGWCTEATKEYISSSLDEFKHATQGVKFLVAE >Vigun07g128250.2.v1.2 pep primary_assembly:ASM411807v1:7:23591501:23594922:-1 gene:Vigun07g128250.v1.2 transcript:Vigun07g128250.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYGIVRENFRTNLTLLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLEIMKENYVDLRIGNAMFSSILLQGECCTFKDGEYVKSGLAELEGWCTEATKELIFG >Vigun07g128250.1.v1.2 pep primary_assembly:ASM411807v1:7:23591501:23594922:-1 gene:Vigun07g128250.v1.2 transcript:Vigun07g128250.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIYGIVRENFRTNLTLLLSSCIKADRESNDTSQQAGSWVSIMECLNKYLEIMKENYVDLRIGNAMFSSILLQGECCTFKDGEYVKSGLAELEGWCTEATKEYISSSLDEFKHATQGVKFLVAE >Vigun05g294000.1.v1.2 pep primary_assembly:ASM411807v1:5:47978601:47980015:-1 gene:Vigun05g294000.v1.2 transcript:Vigun05g294000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIFILTLLIIAVFSQAATPLITLSQSQSQPEANESFKVNQTKSQNQASSCSYTVTIKTSCSSPSYTRDQISLAFGDAYGYQVYVPRLDDPRSGTFERCSTDTFQIHGPCTYQTCYLYLYRNGYDGWIPEKVTVNSYGYKPVTFYYNTYIPNGIWYGFDNCRGYLPSTAAE >Vigun02g014100.1.v1.2 pep primary_assembly:ASM411807v1:2:5225175:5227031:-1 gene:Vigun02g014100.v1.2 transcript:Vigun02g014100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTLLGTPLTLAICDQLKNSFTRYYLPDPANECHLSTTTPKQSRIYSLINKLVIGGKVDSFSQEVREHVRLGPTISETVMGKLSLGAEIVQVGGVKKVFNHFFTVRNGEKLLKASQCYLSTTSGPLAGLLFISTDKVSFCSERSIKVFSSKGHMCRIRYKVSIPLKRIKYMKPSRNVEKPTQKYLEIFTEDNFEFWFMGFLKYQKTIDYLDKAISEAKETHCG >Vigun02g107501.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:26137837:26138256:1 gene:Vigun02g107501.v1.2 transcript:Vigun02g107501.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKHLFYVHVEGFLNAMNARGTVTVMLNSPRGASVNSLPSIETLLIISFNFMAEKTMFLTSLVFLILLLQHNFGVSVHDASRVQNLHPPPDIPRVLLRSPQPPSLRSWYAINDEKSDDAFRPTSPGHSPGVGHQAPPP >Vigun07g222700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34483478:34487095:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPGSNFLSSDHSVSLAYQKPPQNLPTLYHTARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRAAVDPQLAREQVNLAEWALEWLKKGMVDKIVDPHLVGQVQQDSLKKFCETAEKCLSEYGVDRPAMGDVLWNLEYALQLQESGQQREPHANTSASEAASVTSTVIAGNPSTSTRAERDYDNFSSDVSTSQVFSQLMNNEGR >Vigun07g222700.7.v1.2 pep primary_assembly:ASM411807v1:7:34483478:34486668:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPARVFSGTGSYRFNMKKNGTHFPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHCFLRFFVAELLLIHN >Vigun07g222700.2.v1.2 pep primary_assembly:ASM411807v1:7:34483478:34486668:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHCFLRFFVAELLLIHN >Vigun07g222700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34483478:34487483:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPGSNFLSSDHSVSLAYQKPPQNLPTLYHTARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRAAVDPQLAREQVNLAEWALEWLKKGMVDKIVDPHLVGQVQQDSLKKFCETAEKCLSEYGVDRPAMGDVLWNLEYALQLQESGQQREPHANTSASEAASVTSTVIAGNPSTSTRAERDYDNFSSDVSTSQVFSQLMNNEGR >Vigun07g222700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34483478:34487483:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPGSNFLSSDHSVSLAYQKPPQNLPTLYHTARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRAAVDPQLAREQVNLAEWALEWLKKGMVDKIVDPHLVGQVQQDSLKKFCETAEKCLSEYGVDRPAMGDVLWNLEYALQLQESGQQREPHANTSASEAASVTSTVIAGNPSTSTRAERDYDNFSSDVSTSQVFSQLMNNEGR >Vigun07g222700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34483478:34487483:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPGSNFLSSDHSVSLAYQKPPQNLPTLYHTARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRAAVDPQLAREQVNLAEWALEWLKKGMVDKIVDPHLVGQVQQDSLKKFCETAEKCLSEYGVDRPAMGDVLWNLEYALQLQESGQQREPHANTSASEAASVTSTVIAGNPSTSTRAERDYDNFSSDVSTSQVFSQLMNNEGR >Vigun07g222700.6.v1.2 pep primary_assembly:ASM411807v1:7:34483478:34486668:-1 gene:Vigun07g222700.v1.2 transcript:Vigun07g222700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFLIPSILLLLLLTPFSVSFSPTDNFLLSCGSHNNASLFNRVFTGDSTNPARVFSGTGSYRFNMKKNGTHFVRFHFFPFKTQSFDLKSAKFSVFVNGASVLNNFQPPNDVLLKEFILNTESNFLEILFRPVGDSGFAFVNALEVFTAPVDFVIDFGARVVGPSGMEEYRNLSSQVLETVHRINVGGLKITPFNDTLWRTWIPDEHYLVFKGAAKPAVSTHIPNYQKGGATREIAPENVYMTAQQMNRDNSSLASRFNITWNFPVSPGGVPHLVRLHFCDIVSPALNLLYFDVYINGYIAYKDLDLSVLTIHTLASPVYVDFVTNSDDSGFVQVSVGPSELSSSMRMNAILNGAEIMEMVNVVSTEVVHRRKNLWLLVGSVVGGIAVFFLVVTAFLLGTKCRNKKPKQRTLESVGWTPLSMFGGSSLSRSSEPGSHGLLGLKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVFRDNTKVAVKRGMPGSRQGLPEFQTEITVLSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQAPLSWKQRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDEDYVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRAAVDPQLAREQVNLAEWALEWLKKGMVDKIVDPHLVGQVQQDSLKKFCETAEKCLSEYGVDRPAMGDVLWNLEYALQLQESGQQREPHANTSASEAASVTSTVIAGNPSTSTRAERDYDNFSSDVSTSQVFSQLMNNEGR >Vigun09g037000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:3259647:3260669:1 gene:Vigun09g037000.v1.2 transcript:Vigun09g037000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSALSMAMPVTCATHKKVLPTSDAFFKPLPLRSSKAVAASNPNGRFQVRASMKEKVVTGLTAAALTASMMAPDVAEAAVSPSLKNFLLSIAAGGVVVVAIIGVVIGVANFDPVKRS >Vigun02g032400.4.v1.2 pep primary_assembly:ASM411807v1:2:13640546:13653039:-1 gene:Vigun02g032400.v1.2 transcript:Vigun02g032400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSYWRTVPFYVDPSGTPSPHNSSFLYPSRAKRHRSASCSMHGQSPRHSSSPVTPNPCHGSNKPVILDSSSDPTPENENTRSDKDPNRLSQTRSCNSVFGFRNRCLWRKILFPSRKVRNIIMLNVTTFIYASNIPVVKEVQAVMNPAAFTFVRFALSAIPFIPFVVRGWGDSFTRNSGIELGIWVSLGYLMQALGLQTSDAGRASFLSMFTVIVVPLLDGLLGAAVPIRTWFGALVSIVGVGMLESSGASPRIGDLLNFLSAVSFGIHMLRTEHLSRSINKEKFLPLLGYELH >Vigun02g032400.1.v1.2 pep primary_assembly:ASM411807v1:2:13640546:13653039:-1 gene:Vigun02g032400.v1.2 transcript:Vigun02g032400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSYWRTVPFYVDPSGTPSPHNSSFLYPSRAKRHRSASCSMHGQSPRHSSSPVTPNPCHGSNKPVILDSSSDPTPENENTRSDKDPNRLSQTRSCNSVFGFRNRCLWRKILFPSRKVRNIIMLNVTTFIYASNIPVVKEVQAVMNPAAFTFVRFALSAIPFIPFVVRGWGDSFTRNSGIELGIWVSLGYLMQALGLQTSDAGRASFLSMFTVIVVPLLDGLLGAAVPIRTWFGALVSIVGVGMLESSGASPRIGDLLNFLSAVSFGIHMLRTEHLSRSINKEKFLPLLGYEICVVVLFSAIWYLLGSYINGSQHPLPSSWTWKMLRDWMTGFPWIPAIYTGIFSTGLCLWVELSAMCDVSATETAIIYGLEPVWGAGFAWFLLGERWGLTGWVGAALVLGGSLTVQIFGSSSVSDKEQKQSKKVGSLTISDKKNALSTSTVMVRSRTDVSDFFK >Vigun02g032400.3.v1.2 pep primary_assembly:ASM411807v1:2:13640546:13653039:-1 gene:Vigun02g032400.v1.2 transcript:Vigun02g032400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSYWRTVPFYVDPSGTPSPHNSSFLYPSRAKRHRSASCSMHGQSPRHSSSPVTPNPCHGSNKPVILDSSSDPTPENENTRSDKDPNRLSQTRSCNSVFGFRNRCLWRKILFPSRKVRNIIMLNVTTFIYASNIPVVKEVQAVMNPAAFTFVRFALSAIPFIPFVVRGWGDSFTRNSGIELGIWVSLGYLMQALGLQTSDAGRASFLSMFTVIVVPLLDGLLGAAVPIRTWFGALVSIVGVGMLESSGASPRIGDLLNFLSAVSFGIHMLRTEHLSRSINKEKFLPLLGYEICVVVLFSAIWYLLGSYINGSQHPLPSSWTWKMLRDWMTGFPWIPAIYTGIFSTGLCLWVEGEA >Vigun02g032400.2.v1.2 pep primary_assembly:ASM411807v1:2:13640546:13653039:-1 gene:Vigun02g032400.v1.2 transcript:Vigun02g032400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSYWRTVPFYVDPSGTPSPHNSSFLYPSRAKRHRSASCSMHGQSPRHSSSPVTPNPCHGSNKPVILDSSSDPTPENENTRSDKDPNRLSQTRSCNSVFGFRNRCLWRKILFPSRKVRNIIMLNVTTFIYASNIPVVKEVQAVMNPAAFTFVRFALSAIPFIPFVVRGWGDSFTRNSGIELGIWVSLGYLMQALGLQTSDAGRASFLSMFTVIVVPLLDGLLGAAVPIRTWFGALVSIVGVGMLESSGASPRIGDLLNFLSAVSFGIHMLRTEHLSRSINKEKFLPLLGYEHPLPSSWTWKMLRDWMTGFPWIPAIYTGIFSTGLCLWVELSAMCDVSATETAIIYGLEPVWGAGFAWFLLGERWGLTGWVGAALVLGGSLTVQIFGSSSVSDKEQKQSKKVGSLTISDKKNALSTSTVMVRSRTDVSDFFK >Vigun04g105600.2.v1.2 pep primary_assembly:ASM411807v1:4:25299807:25307031:1 gene:Vigun04g105600.v1.2 transcript:Vigun04g105600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGWEGMLHGHHKKEVIRIERESVIPVLKPHLIMTLANLIKHSADRNEFLKLCKRIEYTIRAWYLLQFEDMMQIYSLFDPVSGAQKLEQQKIPSEEVDVLEQNFLAYLFEVMKKSNFKITTQEEIDIARSGQYLLNLPITLDKVLLKKYFQKHPQDNLPDFHDKYIIFRRGIGIDQTTDFFVMEKVDMLIARFWSYVLRITGLEKFFYGRSKGCAMDHKKDSELESEDYQDDVYERIRLEKMPLRIGSLLNKNTIQEPTFDRIIVVYRPASTKSKQERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLAAVGSSLDVDKADLRVIGAILSTVIGYFVKTYFTFQQNLVQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKANRQDLDKWCEELIKEEFGESCNFDVDDAVQKLEKLGIVTKDVINRYQCVGLKRANEIIGTTTEELVLKARQGNTSP >Vigun04g105600.3.v1.2 pep primary_assembly:ASM411807v1:4:25299807:25307031:1 gene:Vigun04g105600.v1.2 transcript:Vigun04g105600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGWEGMLHGHHKKEVIRIERESVIPVLKPHLIMTLANLIKHSADRNEFLKLCKRIEYTIRAWYLLQFEDMMQIYSLFDPVSGAQKLEQQKIPSEEVDVLEQNFLAYLFEVMKKSNFKITTQEEIDIARSGQYLLNLPITVNESKYIIFRRGIGIDQTTDFFVMEKVDMLIARFWSYVLRITGLEKFFYGRSKGCAMDHKKDSELESEDYQDDVYERIRLEKMPLRIGSLLNKNTIQEPTFDRIIVVYRPASTKSKQERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLAAVGSSLDVDKADLRVIGAILSTVIGYFVKTYFTFQQNLVQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKANRQDLDKWCEELIKEEFGESCNFDVDDAVQKLEKLGIVTKDVINRYQCVGLKRANEIIGTTTEELVLKARQGNTSP >Vigun04g105600.1.v1.2 pep primary_assembly:ASM411807v1:4:25299807:25307031:1 gene:Vigun04g105600.v1.2 transcript:Vigun04g105600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGWEGMLHGHHKKEVIRIERESVIPVLKPHLIMTLANLIKHSADRNEFLKLCKRIEYTIRAWYLLQFEDMMQIYSLFDPVSGAQKLEQQKIPSEEVDVLEQNFLAYLFEVMKKSNFKITTQEEIDIARSGQYLLNLPITVNESKLDKVLLKKYFQKHPQDNLPDFHDKYIIFRRGIGIDQTTDFFVMEKVDMLIARFWSYVLRITGLEKFFYGRSKGCAMDHKKDSELESEDYQDDVYERIRLEKMPLRIGSLLNKNTIQEPTFDRIIVVYRPASTKSKQERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLAAVGSSLDVDKADLRVIGAILSTVIGYFVKTYFTFQQNLVQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKANRQDLDKWCEELIKEEFGESCNFDVDDAVQKLEKLGIVTKDVINRYQCVGLKRANEIIGTTTEELVLKARQGNTSP >Vigun07g089300.1.v1.2 pep primary_assembly:ASM411807v1:7:13914991:13920565:1 gene:Vigun07g089300.v1.2 transcript:Vigun07g089300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLSIEDVQVAPPQNGEVRIKILYTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTAVEVGDHVIPCYQAECGECKFCKSGKTNLCGKVRSATGVGVMLSDGKSRFSINGKPLYHFMGTSTFSQYTVVHDVSVAKIDPKAPLDKVCLLGCGVPTGLGAVWNTAKVEKGSIVAIFGLGTVGLAVAEGAKTVGASRIIGIDIDSNKFERAKNFGVTEFINPKEHEKPIQQVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEICTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMKKEIKVDEYVTHSLTLAEINKAFDLMHEGGCLRCVLAMHE >Vigun04g061700.1.v1.2 pep primary_assembly:ASM411807v1:4:6436423:6438455:1 gene:Vigun04g061700.v1.2 transcript:Vigun04g061700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRRSMSTVAKAVAEITGTGNTKIRKSSSELCTFLGIPRHSRSEVALILSKFIKLYNFRSPGIKKDKIWEQNLQTLLRGRNTVGFPEIAKILSPEFSQGAINVKDNNMDSSMDNTKGKGSQKKGKPSKK >Vigun11g122700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:32997521:32998222:-1 gene:Vigun11g122700.v1.2 transcript:Vigun11g122700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKNESNLHYFEDFTSKSSYTAATTFFAFLGEWMILFITFSIALFFIPLTSSFTLQSTSISVLKTTTFQNNFTANLGVSFFVDNTNSLGGTINYASFNASLFHRHKRLSTFSLHQHAPPPTLQAKFHNVSLTVDEWGGAVGASSCGFSYLDLWFSVDAERDKLQGHCGEVKFELCSSYDATNASPSFLASCDVYSALVHKIRLGVLLLLILLLVSAAPVPLLVEQFSCFES >Vigun07g154100.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:26520149:26520637:-1 gene:Vigun07g154100.v1.2 transcript:Vigun07g154100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEEEHKHYSRALSSSYSNQATVGVPKYITRMSIAVVNSLTITEFVNEKAKFDSFVDEWFKTVDENGDGKLSCEEIRSGFGLLLPFGSDPPPRQENEAIFKRFDEDGNGALDREEFKSLMTEIMNALARGIGGSPIIVALGKDSFLTKPVQHELARLSSS >Vigun05g032200.1.v1.2 pep primary_assembly:ASM411807v1:5:2555753:2564653:-1 gene:Vigun05g032200.v1.2 transcript:Vigun05g032200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLQQLQIIDFELLHTVTGKEYITLDQLRNEMVAEVKRLGRVSLIDLADSTGVDLYYVEKQAQSVVTAQQELMLTQGEIISSSYWDSVAEEINERLQECSQIALTEIAAQLNVGLDLVASVLEPRLGTIVKGRLEGGQLYTPAYVARVSAMVRGAVRGTTVPTNLTVVWSSLQQLLQEIDGSSGLAVEGSFFQSLFNGLVKEGEVLGSLRAGVHWTPAVFAVAQREFVESFFSQNSFITYEALHKLGIPQPIQFLQSRYPEGKPLVTTFVHQSMIEMLDAATEDAIDRGSWSDSLSLLPSSFTPQDASKMLSFCQSVQNVLKSNKAHIFGDFYVLSSSFIKDICDHVVKELETLDISRSAGITMPGNVKVPNEAKVGRESSRLNESNEMASDGGANRQADKGSKKKKGKATGNTVVNLSESGADNQEQTSTKSKRGQKRGKDTSAQTSDSKAGSRKELLKIKEEDLSPSEEWIMQKITALVSDFEEQGIDDPEIILRPLANQLRPTIISSWMEKKKALLTNNAEKMKHLLDNLQKKLDESFLNMQLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDLLLRNLDDHNKLKNGLDVQEAPNSEFVSLSPVDRTAISKSFPGALANKALAVVESLEGKSVETFMAAFRMVTEESGLPLKKLDKKLERTLLHSYRKELTSQVSAETDPVSLLAKVVSLLYIQVYHKALQAPGRAISVAISHLKDKVDESACKILTDYQTATVTLLTLVSASPGEDGDCASDRILSTRELLESQMQDLKSLVLSTTQSS >Vigun11g050300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:8284905:8288804:1 gene:Vigun11g050300.v1.2 transcript:Vigun11g050300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQIRRRIDFSLARVDTWRRKPLTSQPTQGKARSYEVASPKPFELATISEEVTVVGVFKSKLQQRCQRELPESAADRSFSYDGNVLCSFLSVKPSLSPVSAI >Vigun09g275400.1.v1.2 pep primary_assembly:ASM411807v1:9:43592642:43596915:-1 gene:Vigun09g275400.v1.2 transcript:Vigun09g275400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNNTMARTLFLIVFLSTIVVAAHGRGRHMTAQNVTYDGKSLFIDGRRELLFSGSIHYPRSTPDMWHVLLDNARRGGINVIQTYVFWNAHEPQQGQFNFEGNYDLVKFIKLVQEHGMFVTLRVGPFIQAEWNHGGLPYWLREVPGTIFRCDNEPYKQHMQAFVTKIVQMMKDEKLFAPQGGPIVLAQIENEYNHIQRAYGEKGDSYVQWAANMAVALNVGVPWVMCKQTDAPDPVINACNGRHCGDTFSGPNKPYKPAIWTENWTAQYRAHGDPPSQRSAEDIAFSIARFFAKGGSLVNYYMYHGGTNFGRTSSAFTPTRYYDEAPLDEYGLQREPKWTHLRDVHKAVLLCRKAILAGDTNVEKLNEFHEIRTIEKLGTNLCVAFITNNHTTDAATINFRGTDYFLPPHSISILPDCKTVVFNTQSIVSQHNSRNYERSPIANNFQWEMFNEAIPTTKKMDMYQNAPAELYTLLKDTSDYAWYTTSFELAPGDLPTKPGVLPVVQISSEGHTMVAFVNGDFIGTAHGTHEKKSFKFQRPVPLRVGTNYISILAGTVGLPDSGAYMEHRYAGPDYVNILALNTGTLDLTTYMWGHRVGIKGEGMKVFSDEGSLKAKWKPLSPIPRPLTWYRTRFATPEGTGPVAIRMTGMGKGMMWINGKSIGRHWMSYLSPIGKPTQSEFHIPRSFLNPQDNLLVIFEEEPLAPGQVEILNVNRDTICSFIAESDPPNVNSWVSRRGNFHPIVPYLGPQASLMCPPGKKIMTVEFASFGNPSGYCGEYILGTCNAAATKQIVEQECLGKETCSITLNRAVFNQNGADPCPNILVKTLAIQVRCS >Vigun05g039400.1.v1.2 pep primary_assembly:ASM411807v1:5:3153469:3157097:1 gene:Vigun05g039400.v1.2 transcript:Vigun05g039400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSGVRKGAWSRFEDELLKACVRLYGEGKWHLVPQRAGLKRCRKSCRLRWLNYLKPNIKRGNFSEDEVDLIVRLHKLLGNRWSLIAGRLPGRTSNDVKNYWNTYTRRKSHSHNKDNNVKQHDETTVGKTSTYDVNYKPHQVIKPVPRPLSKTCPKLLQAKIIHSSKVGVSEEGATSSSSSGNWWETFLDENEDNFGAFEFWGEEFGSIACDFLN >Vigun08g131400.1.v1.2 pep primary_assembly:ASM411807v1:8:30191129:30193041:1 gene:Vigun08g131400.v1.2 transcript:Vigun08g131400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMESHDAKVLKAQTHVWNHIFSFINSMSLKCVVELGIPDIIHSHAQPISLSTLISSLPIHSSKTHFIPRLMRIMVHSGFFSQHNPTQNEQDVTYALTDASLLLLKSNPMSVTPFLQVMLDPVLTTPWHHFSSWFKSGNTTPFELAHGKLLWEYAASDPRINILFNDGMASDAQLITRVVIEKCKEVFMGLESLVDVGGGTGTMGKAIAESFPQLECIVFDLPHVVSGLQESENLKYVGGDMFEEIPPTDAILLKWILHDWSDEECVKILKKCKEAIWKKGKEGKVIIIDMVMDNEMKDEESVKTQFFFDMLMMVCTKGKERNEKEWIKLFSLCWFQ >Vigun10g178300.1.v1.2 pep primary_assembly:ASM411807v1:10:39658357:39659861:1 gene:Vigun10g178300.v1.2 transcript:Vigun10g178300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVFLVATILVASQCHGASFRSFPLRLPTGYGDGASYGDVRCASWRLAVEAKNIFGFETIPEQCVEATANYIEGGQYQSDSKTVNQQVFFFARDREIHENDVVLFNIDGTVLSNVPYYSQHGYGSEKFNSTRYDEEFVNKGNAPALPETLKNYNKLLDLGLKVIFLTGRRTDKKAVTEANLKKAGYHTWEKLILKDPSNNQNSVEYKSTERAKLVQQGYRIIANVGDQWSGLSGHNVGIRTFKLPNPMYYIE >Vigun08g219900.3.v1.2 pep primary_assembly:ASM411807v1:8:37880564:37883309:-1 gene:Vigun08g219900.v1.2 transcript:Vigun08g219900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSPSAFEKEQIFGMAEKEMEYRVELFNKMTQTCFKKCVDERYKESELNMGENSCIDRCVSKYWQVNNLIGQLLGSGRPPM >Vigun08g219900.2.v1.2 pep primary_assembly:ASM411807v1:8:37880564:37883309:-1 gene:Vigun08g219900.v1.2 transcript:Vigun08g219900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSPSAFEKEQIFGMAEKEMEYRVELFNKMTQTCFKKCVDERYKESELNMGENSCIDRCVSKYWQVNNLIGQLLGSGRPPM >Vigun08g086400.1.v1.2 pep primary_assembly:ASM411807v1:8:19177379:19183046:1 gene:Vigun08g086400.v1.2 transcript:Vigun08g086400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLRELEGKQAHDPLLIERMKKSSESSSCSRERCVWVPGAVIVGAGPSGLATAAYLKEKGVPSLILERSNCIASLWQLKTYDRLHLHLPKQFCELPLMGFPCDFPTYPTKQQFIHYLETYAERFAIRPRFNETVRHAEFDATLGFWKVRSFNEREVATEFLCRWLIVATGENAEAVLPQIEGMGDFSGTVKHTSLYKSGEEFRGKTVLVVGCGNSGMEVCLDLCNHNATPSLVVRDTVHILPREMLGKSTFGLSMWLLKWLPIRFVDRFLLIVSWFMLGDTARFGLDRPKVGPLQLKNLSGKTPVLDVGTLAKIKSGHIKVRPGIKRLKRYTVEFVDGRTENFDAIILATGYKSNVPYWLKEGDMFSKKDGFPTKPFPNGWKGENGLYAVGFTKRGLLGTSMDAKRIAEDIERCWKAKHSTTFSLSLNVPQSNS >Vigun08g086400.2.v1.2 pep primary_assembly:ASM411807v1:8:19177379:19183046:1 gene:Vigun08g086400.v1.2 transcript:Vigun08g086400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLRELEGKQAHDPLLIERMKKSSESSSCSRERCVWVPGAVIVGAGPSGLATAAYLKEKGVPSLILERSNCIASLWQLKTYDRLHLHLPKQFCELPLMGFPCDFPTYPTKQQFIHYLETYAERFAIRPRFNETVRHAEFDATLGFWKVRSFNEREVATEFLCRWLIVATGENAEAVLPQIEGMGDFSGTVKHTSLYKSGEEFRGKTVLVVGCGNSGMEVCLDLCNHNATPSLVVRDTVHILPREMLGKSTFGLSMWLLKWLPIRFVDRFLLIVSWFMLGDTARFGLDRPKVGPLQLKNLSGKTPVLDVGTLAKIKSGHIKRWFCIGNIFHCCAVSVKSQGFIICEMLQKLMDKRIIIII >Vigun07g037850.1.v1.2 pep primary_assembly:ASM411807v1:7:3639406:3640062:1 gene:Vigun07g037850.v1.2 transcript:Vigun07g037850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNASEIVICPKPRRVRVFPAKSLRWKYYYQQQQGEEFNSKTGTEHLDMNCLIQDRDVSSLPFFLGSPPLASPISSSSPSSASSSSPLRKGGCVRMSFGIKSAAAVRVVGFDCHVGTFV >Vigun02g005700.1.v1.2 pep primary_assembly:ASM411807v1:2:2612423:2614811:1 gene:Vigun02g005700.v1.2 transcript:Vigun02g005700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Vigun02g005700.2.v1.2 pep primary_assembly:ASM411807v1:2:2612423:2613391:1 gene:Vigun02g005700.v1.2 transcript:Vigun02g005700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Vigun07g276000.2.v1.2 pep primary_assembly:ASM411807v1:7:39124463:39132390:-1 gene:Vigun07g276000.v1.2 transcript:Vigun07g276000.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARQPPNLGAAIGTHLFGTTANTIPLNLSQVASHISNIQDPNTQPTELLRLGGAAAARTSQFDHLLGSPFRPSQQQPPFFMEPNQNYHPDQQQGLLQNKPFQQGLMQIPDHLTNTNSPFNLPFLSNTTNNASFSENFNTTNNNTSNNNEGNNFFTTSSAPSLFSNNNNNNVVSSNVNANALSHMSATALLQKAAQMGASSSNSTASLLKSFGSASSSSGSKSEQHRPLVNAANYGGGMFGGNVNEQGNSNLQDLMNSFAVSGTSSIFEGGFEAYDHNSNNNNREGKVHAMSGSSSMGGSDRLTRDFLGVGQIVRGMSGSGGVAQREQQQQQHGFNLSSLEADQRNNSNSNNNNNNAAPSGQAFGGGGGNFQ >Vigun07g276000.4.v1.2 pep primary_assembly:ASM411807v1:7:39124459:39132390:-1 gene:Vigun07g276000.v1.2 transcript:Vigun07g276000.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARQPPNLGAAIGTHLFGTTANTIPLNLSQVASHISNIQDPNTQPTELLRLGGAAAARTSQFDHLLGSPFRPSQQQPPFFMEPNQNYHPDQQQGLLQNKPFQQGLMQIPDHLTNTNSPFNLPFLSNTTNNASFSENFNTTNNNTSNNNEGNNFFTTSSAPSLFSNNNNNNVVSSNVNANALSHMSATALLQKAAQMGASSSNSTASLLKSFGSASSSSGSKSEQHRPLVNAANYGGGMFGGNVNEQGNSNLQDLMNSFAVSGTSSIFEGGFEAYDHNSNNNNREGKVHAMSGSSSMGGSDRLTRDFLGVGQIVRGMSGSGGVAQREQQQQQHGFNLSSLEADQRNNSNSNNNNNNAAPSGQAFGGGGGNFQ >Vigun04g152900.3.v1.2 pep primary_assembly:ASM411807v1:4:36991333:37009154:-1 gene:Vigun04g152900.v1.2 transcript:Vigun04g152900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWENRLFHKNWKVRSEANIDLASLCNSITDPKDSRIREFGRFFKKTVVDSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQIVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGVKIVPAKRILKMLAELFDHQDQNVRESAKGLTIELCRWIGKDSVKSILFEKMRDTMKKELEAELVNINRTTQPTRKIRSEQGKESQPEVMSDDLGSGPIENAENNVSQEIDEFELVDPVDILTPLEKSGFWKGVKSTKWSERKEAIAQLTKLASTKRISPGDFSEVCRTLRKLISDVNIAVAVEAVQAIGNLSRGLRTHFSASSRFLLPVLLEKLKEKKPALAEALSQTLQAMHKAGCISLIDIVEEIKTATKNKVPLVRSLTLTWVTFCIETSNKGVIAKVHKDYVPICMECLKDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGRSSAASVQNTRARASSAETSESAFVKRSAASMLSGKRPVQSLPVTKKKGAVKSGTKKKTDVVARVKASKSVEQHEDVEPTEMSLEEIESRVGSLIQSDTINLLKSAAWKERLEAISSLKEQVEGLQDLDQSAEILIHLLCTLPGWGEKNVQVQLQVIEVVTLIGSTVAKFPKKCVVLCLSGLSERVADIKTRAHAMRCLSTLCEVVGPGFIFERLYKIVKEHKNPKVLSEGILWMVSAVEDFGVSQINLKDLIDFLKDIGLHSSAAATRNASIKLLGVLHRFVGPEIRGFLTDVKPALLSALDTEYEKNPFEGASAITKRTVRTLDSSSDVTGGLDGLPREDISGKITPTLLKSLGSPDWKVRVVSVHAVDKILEEANNRIQATGTVELFGALRGRLFDSNKNIVTATLVTVGNVASAMGQAAEKASKGILSDILKCLGDKNKHTRECALNTLDSWVAAVHLDKMVPYIATALMDSRIGAVGRRDLFDWLSKQLSGLSSFAEAAELLKPASSALTDKSLDVRKAGEACINEMLRIGRPDIIEKVVKDIHGPALTLILEKLKPYGDFQESFESAKSISVEAPPKLKVQKSTASGVSKQGNRVASLRAVVTKDAKSKSVSVQDTVVRSQSLLNIKHSNKEERERRVLQRSKFEDQRAKQIQDLKSDMMKYFREDLCRRLLSADFRKQVEGLEMLQKALPSIAKEVIEVLDILLRWFVLQFCQSNTTYLLKLLEFLQELLDTLKDEGYSLTESEMAVFLPFLVEKLGHNIEKVREKVRELTKQFVVMYSGSRCFRYILEGLRSKNNDIWKSIVKPTDAEKRILDDRLKCKVSKIKRKEEGNNGEPRAILRSSVKGNRSNVAEQSGEMSRSLSDPVLRKCYGQPDSNIDRQLISHSSAFANVPKDWNEVLDMISFGSPEQSIDGMKVVCCKLHQATKDPEGIVMDELVKDADRLVLDLANKAGRTFHSILTGASSRCCQHVLNTLMQIFQNKRLAHAVKESTLESLITELLLWLLDDRVPRMEDGTLLKALNANADRTSSFVVLIILLRPLDSSRWSNGSLASRNQKFSDLVVKCLIKLTELTSS >Vigun04g152900.1.v1.2 pep primary_assembly:ASM411807v1:4:36991333:37009154:-1 gene:Vigun04g152900.v1.2 transcript:Vigun04g152900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWENRLFHKNWKVRSEANIDLASLCNSITDPKDSRIREFGRFFKKTVVDSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQIVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGVKIVPAKRILKMLAELFDHQDQNVRESAKGLTIELCRWIGKDSVKSILFEKMRDTMKKELEAELVNINRTTQPTRKIRSEQGKESQPEVMSDDLGSGPIENAENNVSQEIDEFELVDPVDILTPLEKSGFWKGVKSTKWSERKEAIAQLTKLASTKRISPGDFSEVCRTLRKLISDVNIAVAVEAVQAIGNLSRGLRTHFSASSRFLLPVLLEKLKEKKPALAEALSQTLQAMHKAGCISLIDIVEEIKTATKNKVPLVRSLTLTWVTFCIETSNKGVIAKVHKDYVPICMECLKDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGRSSAASVQNTRARASSAETSESAFVKRSAASMLSGKRPVQSLPVTKKKGAVKSGTKKKTDVVARVKASKSVEQHEDVEPTEMSLEEIESRVGSLIQSDTINLLKSAAWKERLEAISSLKEQVEGLQDLDQSAEILIHLLCTLPGWGEKNVQVQLQVIEVVTLIGSTVAKFPKKCVVLCLSGLSERVADIKTRAHAMRCLSTLCEVVGPGFIFERLYKIVKEHKNPKVLSEGILWMVSAVEDFGVSQINLKDLIDFLKDIGLHSSAAATRNASIKLLGVLHRFVGPEIRGFLTDVKPALLSALDTEYEKNPFEGASAITKRTVRTLDSSSDVTGGLDGLPREDISGKITPTLLKSLGSPDWKVRVVSVHAVDKILEEANNRIQATGTVELFGALRGRLFDSNKNIVTATLVTVGNVASAMGQAAEKASKGILSDILKCLGDKNKHTRECALNTLDSWVAAVHLDKMVPYIATALMDSRIGAVGRRDLFDWLSKQLSGLSSFAEAAELLKPASSALTDKSLDVRKAGEACINEMLRIGRPDIIEKVVKDIHGPALTLILEKLKPYGDFQESFESAKSISVEAPPKLKVQKSTASGVSKQGNRVASLRAVVTKDAKSKSVSVQDTVVRSQSLLNIKHSNKEERERRVLQRSKFEDQRAKQIQDLKSDMMKYFREDLCRRLLSADFRKQVEGLEMLQKALPSIAKEVIEVLDILLRWFVLQFCQSNTTYLLKLLEFLQELLDTLKDEGYSLTESEMAVFLPFLVEKLGHNIEKVREKVRELTKQFVVMYSGSRCFRYILEGLRSKNNDIWKSIVKPTDAEKRILDDRLKCKVSKIKRKEEGNNGEPRAILRSSVKGNRSNVAEQSGEMSRSLSDPVLRKCYGQPDSNIDRQLISHSSAFANVPKDWNEVLDMISFGSPEQSIDGMKVVCCKLHQATKDPEGIVMDELVKDADRLVLDLANKAGRTFHSILTGASSRCCQHVLNTLMQIFQNKRLAHAVKESTLESLITELLLWLLDDRVPRMEDGTLLKALNVLILKILANADRTSSFVVLIILLRPLDSSRWSNGSLASRNQKFSDLVVKCLIKLTELTSS >Vigun04g152900.4.v1.2 pep primary_assembly:ASM411807v1:4:36991333:37009154:-1 gene:Vigun04g152900.v1.2 transcript:Vigun04g152900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPGGRPKTVEKAQIVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGVKIVPAKRILKMLAELFDHQDQNVRESAKGLTIELCRWIGKDSVKSILFEKMRDTMKKELEAELVNINRTTQPTRKIRSEQGKESQPEVMSDDLGSGPIENAENNVSQEIDEFELVDPVDILTPLEKSGFWKGVKSTKWSERKEAIAQLTKLASTKRISPGDFSEVCRTLRKLISDVNIAVAVEAVQAIGNLSRGLRTHFSASSRFLLPVLLEKLKEKKPALAEALSQTLQAMHKAGCISLIDIVEEIKTATKNKVPLVRSLTLTWVTFCIETSNKGVIAKVHKDYVPICMECLKDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGRSSAASVQNTRARASSAETSESAFVKRSAASMLSGKRPVQSLPVTKKKGAVKSGTKKKTDVVARVKASKSVEQHEDVEPTEMSLEEIESRVGSLIQSDTINLLKSAAWKERLEAISSLKEQVEGLQDLDQSAEILIHLLCTLPGWGEKNVQVQLQVIEVVTLIGSTVAKFPKKCVVLCLSGLSERVADIKTRAHAMRCLSTLCEVVGPGFIFERLYKIVKEHKNPKVLSEGILWMVSAVEDFGVSQINLKDLIDFLKDIGLHSSAAATRNASIKLLGVLHRFVGPEIRGFLTDVKPALLSALDTEYEKNPFEGASAITKRTVRTLDSSSDVTGGLDGLPREDISGKITPTLLKSLGSPDWKVRVVSVHAVDKILEEANNRIQATGTVELFGALRGRLFDSNKNIVTATLVTVGNVASAMGQAAEKASKGILSDILKCLGDKNKHTRECALNTLDSWVAAVHLDKMVPYIATALMDSRIGAVGRRDLFDWLSKQLSGLSSFAEAAELLKPASSALTDKSLDVRKAGEACINEMLRIGRPDIIEKVVKDIHGPALTLILEKLKPYGDFQESFESAKSISVEAPPKLKVQKSTASGVSKQGNRVASLRAVVTKDAKSKSVSVQDTVVRSQSLLNIKHSNKEERERRVLQRSKFEDQRAKQIQDLKSDMMKYFREDLCRRLLSADFRKQVEGLEMLQKALPSIAKEVIEVLDILLRWFVLQFCQSNTTYLLKLLEFLQELLDTLKDEGYSLTESEMAVFLPFLVEKLGHNIEKVREKVRELTKQFVVMYSGSRCFRYILEGLRSKNNDIWKSIVKPTDAEKRILDDRLKCKVSKIKRKEEGNNGEPRAILRSSVKGNRSNVAEQSGEMSRSLSDPVLRKCYGQPDSNIDRQLISHSSAFANVPKDWNEVLDMISFGSPEQSIDGMKVVCCKLHQATKDPEGIVMDELVKDADRLVLDLANKAGRTFHSILTGASSRCCQHVLNTLMQIFQNKRLAHAVKESTLESLITELLLWLLDDRVPRMEDGTLLKALNVLILKILANADRTSSFVVLIILLRPLDSSRWSNGSLASRNQKFSDLVVKCLIKLTELTSS >Vigun04g152900.5.v1.2 pep primary_assembly:ASM411807v1:4:36991333:37009154:-1 gene:Vigun04g152900.v1.2 transcript:Vigun04g152900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPGGRPKTVEKAQIVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGVKIVPAKRILKMLAELFDHQDQNVRESAKGLTIELCRWIGKDSVKSILFEKMRDTMKKELEAELVNINRTTQPTRKIRSEQGKESQPEVMSDDLGSGPIENAENNVSQEIDEFELVDPVDILTPLEKSGFWKGVKSTKWSERKEAIAQLTKLASTKRISPGDFSEVCRTLRKLISDVNIAVAVEAVQAIGNLSRGLRTHFSASSRFLLPVLLEKLKEKKPALAEALSQTLQAMHKAGCISLIDIVEEIKTATKNKVPLVRSLTLTWVTFCIETSNKGVIAKVHKDYVPICMECLKDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGRSSAASVQNTRARASSAETSESAFVKRSAASMLSGKRPVQSLPVTKKKGAVKSGTKKKTDVVARVKASKSVEQHEDVEPTEMSLEEIESRVGSLIQSDTINLLKSAAWKERLEAISSLKEQVEGLQDLDQSAEILIHLLCTLPGWGEKNVQVQLQVIEVVTLIGSTVAKFPKKCVVLCLSGLSERVADIKTRAHAMRCLSTLCEVVGPGFIFERLYKIVKEHKNPKVLSEGILWMVSAVEDFGVSQINLKDLIDFLKDIGLHSSAAATRNASIKLLGVLHRFVGPEIRGFLTDVKPALLSALDTEYEKNPFEGASAITKRTVRTLDSSSDVTGGLDGLPREDISGKITPTLLKSLGSPDWKVRVVSVHAVDKILEEANNRIQATGTVELFGALRGRLFDSNKNIVTATLVTVGNVASAMGQAAEKASKGILSDILKCLGDKNKHTRECALNTLDSWVAAVHLDKMVPYIATALMDSRIGAVGRRDLFDWLSKQLSGLSSFAEAAELLKPASSALTDKSLDVRKAGEACINEMLRIGRPDIIEKVVKDIHGPALTLILEKLKPYGDFQESFESAKSISVEAPPKLKVQKSTASGVSKQGNRVASLRAVVTKDAKSKSVSVQDTVVRSQSLLNIKHSNKEERERRVLQRSKFEDQRAKQIQDLKSDMMKYFREDLCRRLLSADFRKQVEGLEMLQKALPSIAKEVIEVLDILLRWFVLQFCQSNTTYLLKLLEFLQELLDTLKDEGYSLTESEMAVFLPFLVEKLGHNIEKVREKVRELTKQFVVMYSGSRCFRYILEGLRSKNNDIWKSIVKPTDAEKRILDDRLKCKVSKIKRKEEGNNGEPRAILRSSVKGNRSNVAEQSGEMSRSLSDPVLRKCYGQPDSNIDRQLISHSSAFANVPKDWNEVLDMISFGSPEQSIDGMKVVCCKLHQATKDPEGWENFSLYFDWGFVTMLSTCA >Vigun04g152900.2.v1.2 pep primary_assembly:ASM411807v1:4:36991333:37009154:-1 gene:Vigun04g152900.v1.2 transcript:Vigun04g152900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWENRLFHKNWKVRSEANIDLASLCNSITDPKDSRIREFGRFFKKTVVDSNAPVQEKALDALIAYLRAADADAGRYGKEVCDAVVAKCLTGRPKTVEKAQIVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGVKIVPAKRILKMLAELFDHQDQNVRESAKGLTIELCRWIGKDSVKSILFEKMRDTMKKELEAELVNINRTTQPTRKIRSEQGKESQPEVMSDDLGSGPIENAENNVSQEIDEFELVDPVDILTPLEKSGFWKGVKSTKWSERKEAIAQLTKLASTKRISPGDFSEVCRTLRKLISDVNIAVAVEAVQAIGNLSRGLRTHFSASSRFLLPVLLEKLKEKKPALAEALSQTLQAMHKAGCISLIDIVEEIKTATKNKVPLVRSLTLTWVTFCIETSNKGVIAKVHKDYVPICMECLKDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGRSSAASVQNTRARASSAETSESAFVKRSAASMLSGKRPVQSLPVTKKKGAVKSGTKKKTDVVARVKASKSVEQHEDVEPTEMSLEEIESRVGSLIQSDTINLLKSAAWKERLEAISSLKEQVEGLQDLDQSAEILIHLLCTLPGWGEKNVQVQLQVIEVVTLIGSTVAKFPKKCVVLCLSGLSERVADIKTRAHAMRCLSTLCEVVGPGFIFERLYKIVKEHKNPKVLSEGILWMVSAVEDFGVSQINLKDLIDFLKDIGLHSSAAATRNASIKLLGVLHRFVGPEIRGFLTDVKPALLSALDTEYEKNPFEGASAITKRTVRTLDSSSDVTGGLDGLPREDISGKITPTLLKSLGSPDWKVRVVSVHAVDKILEEANNRIQATGTVELFGALRGRLFDSNKNIVTATLVTVGNVASAMGQAAEKASKGILSDILKCLGDKNKHTRECALNTLDSWVAAVHLDKMVPYIATALMDSRIGAVGRRDLFDWLSKQLSGLSSFAEAAELLKPASSALTDKSLDVRKAGEACINEMLRIGRPDIIEKVVKDIHGPALTLILEKLKPYGDFQESFESAKSISVEAPPKLKVQKSTASGVSKQGNRVASLRAVVTKDAKSKSVSVQDTVVRSQSLLNIKHSNKEERERRVLQRSKFEDQRAKQIQDLKSDMMKYFREDLCRRLLSADFRKQVEGLEMLQKALPSIAKEVIEVLDILLRWFVLQFCQSNTTYLLKLLEFLQELLDTLKDEGYSLTESEMAVFLPFLVEKLGHNIEKVREKVRELTKQFVVMYSGSRCFRYILEGLRSKNNDIWKSIVKPTDAEKRILDDRLKCKVSKIKRKEEGNNGEPRAILRSSVKGNRSNVAEQSGEMSRSLSDPVLRKCYGQPDSNIDRQLISHSSAFANVPKDWNEVLDMISFGSPEQSIDGMKVVCCKLHQATKDPEGWENFSLYFDWGFVTMLSTCA >Vigun06g114300.1.v1.2 pep primary_assembly:ASM411807v1:6:24244993:24247548:-1 gene:Vigun06g114300.v1.2 transcript:Vigun06g114300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSVEIPRVKLGTQGLEVSKLGLGCMNISGAYTDPVSDDEGISLINYAFAHGITFFDTADIYGANANEVLIGKALKQLPREKVQLATKFGIAGRSFPNVQVKGTPEYVRSACEASLKRLDVEYIDLYYQHRVDQTVPIEETVGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITALQIEWSLWTRDIEEEIVPLCRELGIGIVPYSPLGRGFFGGKGVLENMPVNSALTTYHPRFKSENMGKNKLIYDRIENLAKKHHCTPPQLALAWVLHQGNDVVPIPGTTKIKNLDQNIGALSLKLTESDLREISEAVPIDDVAGSRYFFEYDKDSWKFADTPPINQRIST >Vigun02g009000.1.v1.2 pep primary_assembly:ASM411807v1:2:3696920:3699877:-1 gene:Vigun02g009000.v1.2 transcript:Vigun02g009000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKLTEFMLPALVANKILNKRVGSSNFIADYPTNTEPLLAHVHSTLRSRTILNDHKDTQKYKIFVSTWNVGGVAPDEGVKMEDLLETCNNSCDIYVLGFQEIVPLKASNVLGYEKSKMCSKWNSMIREALNKKTHIDRDLKDEKKKSWCNEEENDNPGQKKCEAPQDFECIISKQMVGIMISVWAKRHLRPFIQHPSVSCVGCGIMGCLGNKGSVSVRFVLHETSFCFVCGHLASGGREGDERHRNSNVSEIFSRTSFPKGPLLDLPTKILDHDHTILLGDLNYRISLPEETTRLLVENEDWDSLLEHDQLMMEVMSGKMLKGWHEGTIKFAPTYKYCPNSDLYYGCCYHCKKAAKKRAPAWCDRIIWLGKGLKQIEYGRSESKLSDHRPVRALFMAEVKVSAALKSFESLFLSERFEQIKTHFHEVSLTHDAFVSKKQPSFRL >Vigun01g189000.1.v1.2 pep primary_assembly:ASM411807v1:1:36830942:36836590:-1 gene:Vigun01g189000.v1.2 transcript:Vigun01g189000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCFDDYKDDSEGRYAEGVEDKFGDPEVEPRVGEEYQAEIPPLISAPYRSLLVKQIRDSEITVNLQECISLGLPIPLKWAHCEFESSSGYGSLGSIRSEVGPAISENECSETRVELYAASRGEETHIGGFSNFEPSSKSVEKDEMRGKYLLPGLLDDQSWTNTEYSSFLLGLYVFGKKLNFLKRFVGSKSMGDILSLYYGKFFKSKEYCRWAECRKLRTKRCIYGRKIFTGWRQQELLSRLFSYLPRECHTRLLEISRNFGEGKMPFEEYVFSLKGAVGIDLLVAAVGIGKGKQDLTGTAVEPTKTNHIFSVRPEIPIGKACSSLTSADIIKFLTGDFRLSKARSSDLFWEAVWPRLLAKGWHSEQPKDVSGSKQSLVFLVPDIKKFSRRKLVKGNHYFDSISDVLNKVASDPGLLETESQATEISVVDREKTPDKRDLEGVSCGEQAQYLQSHRSKGNQDVRKFTIVDTSMVHDMNQRKVIQMRSLPSETTNISTISSCSSESEKDTSYESKDQVQQTIKGHVEQDNASSAIENQVEKSHVSSSIDEWVEQAHASSHIEDLVEQAHASSPIKDRVEQAHASSLIDHRVDQAHASGPIKDWIEQTHASSSVEGQFKQAKSVYPIEGQVEQGNSSNPIEEFSDKGLCIDSSDCTYVPEALSINKDGKCHRFHSDLHNGEHLSEIKEHPFIQKMTSDCTIPCITTMQKLRACNYQENSHCTKSTSVDRNFDLNEPISPSNLQEASEDMVFSMGSGNLSLPNYLAKGSPSNISHEGSVSENRLVREESAENSETRMLIDLNFPQVAPDLGLEMEMPSSGATLQNDNQFANASSSPSEITQFNATQEFPDGNNDQHSILVNRRQSTRNRPLTTKALEALEYRFINSKRKRKNTECSDNNPKSKCVRGSSETIVSGTCDNGIEDSMVDTRAEEENIIQAYSYGIDLNRGPP >Vigun11g173600.1.v1.2 pep primary_assembly:ASM411807v1:11:37887571:37889967:1 gene:Vigun11g173600.v1.2 transcript:Vigun11g173600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKGIEAGKTVGDFNPSKKPKRNKYAFGCAILASMTSILLGYDIGVMSGAAIYIKRDLKITDVQIEILMGIINLYSLIGSCLAGRTSDWIGRRYTIVFAGTIFFAGAILMGFSPNYAFLMFGRFVAGIGIGYALMIAPVYTAEVSPASTRGFLTSFPEVFINGGILLGYISNFAFSKLSLKLGWRMMLGIGVVPSILLTLGVLAMPESPRWLVMRGRLGEATKVLNKTSDSKEEAQLRLAEIKQAAGIPESCNDDVVEVTKKSSGEGVWKELFLHPTPAIRHIVIAALGIHFFQQASGVDAVVLYSPTIFEKAGIHDDTQKLLATVAVGFVKTMFILVATFMLDRVGRRPLLLSSVGGMVLSLVTLAISLTIIDQSKSKQMWAIGLSITMVLTYVATFSIGSGPITWVYSSEIFPLRLRAQGAAAGVVVNRTTSGVISMTFLSLTKAITIGGAFFLYFAIAAIGWIFFYTVLPETRGKTLEDMEGSFGTFRAKSNTTTNDGNAKVPQVQMGTNLQA >Vigun05g267700.1.v1.2 pep primary_assembly:ASM411807v1:5:45970943:45974462:-1 gene:Vigun05g267700.v1.2 transcript:Vigun05g267700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASVFGLGRIHVSLLPKTTQFFSSKPHPVSQLRASVTNKRTRKSLQLQQHVPEIETEKHQKEIPDFALARARSNELVHSGEAPVNWEKVLQGIRQMRSSADEHEPLAREETSHNTVPPKERRFAVLASSLLSSQTKEHVTHGATQRLQENGLLTAEAMNKADEETIKKLIYPVGFYTRKASYLKKVADICLMKYDGDIPSSIQELLLLPGVGPKIAHLVMICGWNNVQGICVDTHVHRICNRLGWVSRSGSKQKTSTPEETRQRLQLWLPREEWVPINPLLVGFGRSICTPLRPHCGECSVSSFCPSAYKEVSSFSSKSKKLTLNKKP >Vigun06g079900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:21180359:21181789:-1 gene:Vigun06g079900.v1.2 transcript:Vigun06g079900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPWNKPRSSSFSCSSFKDIQTLILDEPSTTKPKPSIFHRVTLANKLLRAWSNHPKLAARASEDPPRAAQTQPLPSIPRAEQRVVVYFTSLRVVRGTFEDCKTVRSILRGFRVALDERDVSMDSGFLAELRRVTGRKTGLTLPRVFVNGRYVGGAEEVRWLHENGELRKLLEGLPAADSHLRACHVCDDHRFLLCGECSGARKVYAEKGGFKTCTACNESGLIRCISCSC >Vigun06g128400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:25510557:25511157:-1 gene:Vigun06g128400.v1.2 transcript:Vigun06g128400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKGFVGGEAQKTHPKMPSSSFPIPLPGRNRQFSRYGYHWAQRKVKGLTVGNANEGSEKATLKV >Vigun02g123400.2.v1.2 pep primary_assembly:ASM411807v1:2:27555171:27557019:1 gene:Vigun02g123400.v1.2 transcript:Vigun02g123400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCLLCLLIYYLTPIIITSYHLHSNSIPFYSCTLPPHFTYLSIQQQQQQTTLFFVLCSLFGSLCFVLFPVLMMEPQQPQGSQPNEEGGSGKGGFLSRQSSTRWTPTTDQIRILKDLYYNNGIRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSDNVPMQRPPAPTNAAPWKPDHDPPIHTHYSNISSTGISSASSSSVEMITVSQMGNYGYGSVPMEKSFRDCSISAGGSSGHVGINHNLGWVGVDPYSSPYANFFGKIRPTEETLEEEEEQEEDGAAEIETLPLFPMHGEDIHGYCNLKSNNSYNYDGNGCYHSEDGFKNGSRASLELSLNSYTRRSPDLA >Vigun07g099000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:17328919:17330127:1 gene:Vigun07g099000.v1.2 transcript:Vigun07g099000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSSKDKNLHTKKEPHYRGVRQRPWGKFAAEIRDPTRHGGRVWLGTFLTAVEAARAYDRAAFEMRGASAVLNFPNEYPSCSSMSSSSSLAPSSSSSSSSSSMLKNDYGKQVIEFECLDDKLLEDLLDCDDYAYQKDLPKK >Vigun04g069500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:8048278:8049945:1 gene:Vigun04g069500.v1.2 transcript:Vigun04g069500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPRNAAVFAVHVFKASSRFLHSSSPQTHPNFPIPRSNSPPSHVVNPSSLLSDLVSCAHSDMAGVFALHKRELTSNLVFGALRGYKQLGRAKTLKFFSLAGSHMGFHFDDSVVEYMADFLGRRKLFDDIKCLLTTVAFHKGGVSHKALAICIRFLGRQGRIKEALSLFEDMETVFKCKPDNLVCNNMLYVLCKRESSLEMIQLAHSIFHKIETPDTYSCSNMIVGFCKFGRVESALEIFNQMEKIGVLPTRSAVNMLIGELCLTSAKEGSVEKVRVKNTRRPYTILVPNVGGNSDAMQPAVQVFWAASKAGLLPSSFVVVKVMCELCRLGNTEEAGRVLRIVEERKLRCVHEGYSVVIKALCECHKVKEASDLFGRMLSLGLKPKLVVYNSVILMLCKLGKLKDATRVFEIMNKNRCLPDDLTYTALIHGHGEGKNRKVAYDLLIEMLGLGLLPNFDTYNLVESLLREHGRLDLCVKLDRKLENQKLQKLCRGGELDAAYEKVKSMLEKGIPLSVYARDIFEQVFQKCGKLKIARQLLENTKRFQKAEEIDKT >Vigun06g030600.2.v1.2 pep primary_assembly:ASM411807v1:6:13421296:13429175:1 gene:Vigun06g030600.v1.2 transcript:Vigun06g030600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFIKHHRFAYSSSPEDDVSSAKRRMNYYENLFAPLRLASRQLGDAKETTIPIEFSEISKLHFEELHNLRGFSCGDMVEWPILKHVILNKCPNLKKFGLGTIKELQLKRFILENQEQVGIDTKILHLFELSDRFSTMEEYPIDDNEELRKAIDNIRPSHFRNLLRLSIKYCDQRLNNFLSILMKRANKLQDISIVQCKTLEHLFDLNEFTPDNDGHGKYFTQIKALILIELHQLKCIWNKDPVGILGFENLQMVHIISCSSLHKLFTPSTAKKLSQLNELKLEACQMLQKVIDIGITETIKFPTLNKVEFKSLSRLIHFYLYPLEFPQLRSLKIEKCPELEEFTTGFATINASQITNDRSFFELNDLKLDKCHKLVCAVSSRALQELKNLKKLIVSHCNTLEMVFNIHDEISYHTNLLQHLDELILIDLPKLSYVINKKFVRFCESMQSLQVKQCKLIKWLPVSLMLISIEISNCEALEKIMITNKDEGTRGKNTFLKLKFVCLKNLTNLYTLFPFTSEFPSLEELKITNCPNLTTFVEESKELKDLAKPTTSNIFFPNSLSLETLKMLYMKNQDFEKLWQNNCPSKSFCELEYLTLSNNNKLLNVISSNIIIRLNKLKKLTLEQCEVLTEIFYLEDDKSTGNIQELLPRLQELALSYLRSFTCVWNIKPSVSFFPNLMSLHIVHCGTLQSLFSISSTKNLQNLKSLKLCNCDKLEEVIFIDNREDMTISFPKMECLVLKDLPKLLSFHQQNGTIHLPNIQIVRARNIPSMNFFSEGIVITPLLRSIHVTFAKKLWLGNLNKTLSYISNNPGKFHFAELFGFPS >Vigun10g128500.1.v1.2 pep primary_assembly:ASM411807v1:10:33639722:33641600:1 gene:Vigun10g128500.v1.2 transcript:Vigun10g128500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQVPETENNNKKSRLIFKKFLWRINNFSEVNRGRFCSGKYILDDIGCYITIYPNQDIQDYLEIYLQADMNCANLPKDGKKPAHFNLALVNQVNDKMSITKGYEFEFSSNVSYWALDGTLTFNDLYKPSCGFIVNDTVMIEVEIFTTKYVYENEEYQPVCKIDDNHNNPLLHKMFTSSFENIEQTFIPLIEEVCLQHPSLILSQEKRSIRFSEWAFTALGRVLYFLKTKKVKDMNDEACNHLQILWDELKTCGFDLTWLEVHVESALNAKRSKENVDWIRKNVSDLKLRTEKLKAKWIRREKELGMAIMKLKKAEERFEESIWISD >Vigun03g210133.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:34584029:34584613:-1 gene:Vigun03g210133.v1.2 transcript:Vigun03g210133.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFRGNPPCATPISRSTFENPPLNSPVSNPLDVRIHSPSTTLIPPPTPSTENVPPHPHPTVPHFSSPNPLEFPPSTNLQNIQNPLSTFPPTTLTSPPTLSNKHMPPQPTPTVPQFNSPPNLLEPHNPTTIQNIDQPHTLNPSLIPTSSTPPIPAQTPSIPHFEDKVQSSRVGNDTTRPNTTTRPTREHKKPH >Vigun05g169800.1.v1.2 pep primary_assembly:ASM411807v1:5:29600098:29604778:-1 gene:Vigun05g169800.v1.2 transcript:Vigun05g169800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGLQSLGRVKLTDLVPSDGLPSDSYKISVSILSQSLAQFSAVIIQFPASEGALLRSGLESARLYFHQRETYPPEDIIHNSESREWCKTSGYYADPHWWQETYDYRPGLTPSEPNNSIELPPAGLADIFALFGKAARDILDAISYHLNLRSSPFVEILDNVPLRNREISSSVLSVCCHARPSFQGPQHHNITTQEDGQLIMYPDHDHQVDKSMLSLVKSDRAGLHVRDFQGRWILVDGDLGPQEAIVYPGLALYQATAGYVNPALHKTEINMEANMYGRCSLAFKLLPKSMTSLDCSEMRAAGFGIEAQFQLPVPVDDFMQRSHPTDHLFNRPSFQCFNFQPTHDGSMKTLMRRRKQTPKSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIHSVDSPCANIRMEIGWPLGVPFVHPHDLPNKAKLGFLEAYEPGWTEAHLNSDRNQSP >Vigun07g219500.5.v1.2 pep primary_assembly:ASM411807v1:7:34221034:34230931:1 gene:Vigun07g219500.v1.2 transcript:Vigun07g219500.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLSVICAGLGTVEEDNEGNRVGFTKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKYLIPTIELYHEDRNLLLNSVKVLVFLTMPVEPSSTDIPQQLEYLWGLKSAVTNSDVAAVIVSFLERPLENLERDTFSEDDWKLVQLVLTLFRNILAVQEIPLHQKSGGLACQFLSLRDRFLELLFRENVMDIILVITQYVGGSNAYLRQDNLLLLEIFHYIFMGQDPELIIRAHSKGSKADEDPQASLNSLHQLILEEEKKRKNSTKINNLSRHSQFSGTFSRLTMDGSKAVVKGNPNSSHNVLLKAHNVTRGPTKRIAWDHPRLPSTKDKILELLHGFVNQFLSGGYNVLMQSIREDIAKEHPSIQRSDVVVFFQVAEFVTAFQFYKCSASKEGGDTFETFGDKDAVTSDFSGQICGPIAASLNEAMFQMVISQWRHAYDGLKETNDHQFLSAAGSLLKNMIRMLDLILKLLPEDSKEPQTARILLYKLTYDQTEEGMTQFLLNLMKNFDTHKQPKSDLSDLLEIIHKVVKLMDSLQSRGTLRVSRKSRKVKKKKIPAGTESGDKLTGDNSCIQNETGISTVNQSAENKLLQECLPNSNPTPNPTGEDVTVGDNEHEKHVEEDENSRVELGPMEATYPEHVSEDMLDGTNDYSEDEQLNAINEVDFKVSTLVSAFANHSIIQKLCWLLKFYKSNSLATNHYIISMLRRISDDLELQPMFYQLSLLTTFYDILVEQKSCPCEDYADIVDFLNCLVRKMLKKMKKQPLLFVEVLFWKSRRECHYMNAEYLLSELGHLKKESTKWNNTPQDEDVGLSPAKAWTRRSIADALGEDEADVLITHDSGYQNGEEQLMEDDSQIVPRRRKKLILDGDLEGQIKNLYEKFKDDRHCSRRIAEVLDPDGKISPAQISNTLKRLGLAVARRRTIGDNNAEGPLSTSPNQLDADTTMGDTNHKSVNLEGSQFVQHLQKKKRLRAFNEDQEALIKVLYEQFKDHRRCSYMIANALDEDGKFTPAQVSRKLKQLGLSLPQKKSSKGKMHLKGVDVMDSSNDRMDESEDETLISLVKRKKVDNDNISREQSHGQTSEDRLSTDDSDDEMLSSVIKKKINSKVSAEKLLAPISEDTSSREDSDDEILDSVLKRTGRSFLKSKQDELENSFLKSITITLYTTTPYHL >Vigun07g219500.4.v1.2 pep primary_assembly:ASM411807v1:7:34221034:34230931:1 gene:Vigun07g219500.v1.2 transcript:Vigun07g219500.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLSVICAGLGTVEEDNEGNRVGFTKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKYLIPTIELYHEDRNLLLNSVKVLVFLTMPVEPSSTDIPQQLEYLWGLKSAVTNSDVAAVIVSFLERPLENLERDTFSEDDWKLVQLVLTLFRNILAVQEIPLHQKSGGLACQFLSLRDRFLELLFRENVMDIILVITQYVGGSNAYLRQDNLLLLEIFHYIFMGQDPELIIRAHSKGSKADEDPQASLNSLHQLILEEEKKRKNSTKINNLSRHSQFSGTFSRLTMDGSKAVVKGNPNSSHNVLLKAHNVTRGPTKRIAWDHPRLPSTKDKILELLHGFVNQFLSGGYNVLMQSIREDIAKEHPSIQRSDVVVFFQVAEFVTAFQFYKCSASKGGDTFETFGDKDAVTSDFSGQICGPIAASLNEAMFQMVISQWRHAYDGLKETNDHQFLSAAGSLLKNMIRMLDLILKLLPEDSKEPQTARILLYKLTYDQTEEGMTQFLLNLMKNFDTHKQPKSDLSDLLEIIHKVVKLMDSLQSRGTLRVSRKSRKVKKKKIPAGTESGDKLTGDNSCIQNETGISTVNQSAENKLLQECLPNSNPTPNPTGEDVTVGDNEHEKHVEEDENSRVELGPMEATYPEHVSEDMLDGTNDYSEDEQLNAINEVDFKVSTLVSAFANHSIIQKLCWLLKFYKSNSLATNHYIISMLRRISDDLELQPMFYQLSLLTTFYDILVEQKSCPCEDYADIVDFLNCLVRKMLKKMKKQPLLFVEVLFWKSRRECHYMNAEYLLSELGHLKKESTKWNNTPQDEDVGLSPAKAWTRRSIADALGEDEADVLITHDSGYQNGEEQLMEDDSQIVPRRRKKLILDGDLEGQIKNLYEKFKDDRHCSRRIAEVLDPDGKISPAQISNTLKRLGLAVARRRTIGDNNAEGPLSTSPNQLDADTTMGDTNHKSVNLEGSQFVQHLQKKKRLRAFNEDQEALIKVLYEQFKDHRRCSYMIANALDEDGKFTPAQVSRKLKQLGLSLPQKKSSKGKMHLKGVDVMDSSNDRMDESEDETLISLVKRKKVDNDNISREQSHGQTSEDRLSTDDSDDEMLSSVIKKKINSKVSAEKLLAPISEDTSSREDSDDEILDSVLKRTGRSFLKSKQDELENMQVQQRIMGDDHLNGGISEVIEMENVVDSSKVEYQQMDDLADSEDEVDGSAFQDNARSRRKLRMVIDPEDDD >Vigun07g219500.3.v1.2 pep primary_assembly:ASM411807v1:7:34221034:34230931:1 gene:Vigun07g219500.v1.2 transcript:Vigun07g219500.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLSVICAGLGTVEEDNEGNRVGFTKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKYLIPTIELYHEDRNLLLNSVKVLVFLTMPVEPSSTDIPQQLEYLWGLKSAVTNSDVAAVIVSFLERPLENLERDTFSEDDWKLVQLVLTLFRNILAVQEIPLHQKSGGLACQFLSLRDRFLELLFRENVMDIILVITQYVGGSNAYLRQDNLLLLEIFHYIFMGQDPELIIRAHSKGSKADEDPQASLNSLHQLILEEEKKRKNSTKINNLSRHSQFSGTFSRLTMDGSKAVVKGNPNSSHNVLLKAHNVTRGPTKRIAWDHPRLPSTKDKILELLHGFVNQFLSGGYNVLMQSIREDIAKEHPSIQRSDVVVFFQVAEFVTAFQFYKCSASKEGGDTFETFGDKDAVTSDFSGQICGPIAASLNEAMFQMVISQWRHAYDGLKETNDHQFLSAAGSLLKNMIRMLDLILKLLPEDSKEPQTARILLYKLTYDQTEEGMTQFLLNLMKNFDTHKQPKSDLSDLLEIIHKVVKLMDSLQSRGTLRVSRKSRKVKKKKIPAGTESGDKLTGDNSCIQNETGISTVNQSAENKLLQECLPNSNPTPNPTGEDVTVGDNEHEKHVEEDENSRVELGPMEATYPEHVSEDMLDGTNDYSEDEQLNAINEVDFKVSTLVSAFANHSIIQKLCWLLKFYKSNSLATNHYIISMLRRISDDLELQPMFYQLSLLTTFYDILVEQKSCPCEDYADIVDFLNCLVRKMLKKMKKQPLLFVEVLFWKSRRECHYMNAEYLLSELGHLKKESTKWNNTPQDEDVGLSPAKAWTRRSIADALGEDEADVLITHDSGYQNGEEQLMEDDSQIVPRRRKKLILDGDLEGQIKNLYEKFKDDRHCSRRIAEVLDPDGKISPAQISNTLKRLGLAVARRRTIGDNNAEGPLSTSPNQLDADTTMGDTNHKSVNLEGSQFVQHLQKKKRLRAFNEDQEALIKVLYEQFKDHRRCSYMIANALDEDGKFTPAQVSRKLKQLGLSLPQKKSSKGKMHLKGVDVMDSSNDRMDESEDETLISLVKRKKVDNDNISREQSHGQTSEDRLSTDDSDDEMLSSVIKKKINSKVSAEKLLAPISEDTSSREDSDDEILDSVLKTGRSFLKSKQDELENMQVQQRIMGDDHLNGGISEVIEMENVVDSSKVEYQQMDDLADSEDEVDGSAFQDNARSRRKLRMVIDPEDDD >Vigun07g219500.1.v1.2 pep primary_assembly:ASM411807v1:7:34221034:34230931:1 gene:Vigun07g219500.v1.2 transcript:Vigun07g219500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLSVICAGLGTVEEDNEGNRVGFTKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKYLIPTIELYHEDRNLLLNSVKVLVFLTMPVEPSSTDIPQQLEYLWGLKSAVTNSDVAAVIVSFLERPLENLERDTFSEDDWKLVQLVLTLFRNILAVQEIPLHQKSGGLACQFLSLRDRFLELLFRENVMDIILVITQYVGGSNAYLRQDNLLLLEIFHYIFMGQDPELIIRAHSKGSKADEDPQASLNSLHQLILEEEKKRKNSTKINNLSRHSQFSGTFSRLTMDGSKAVVKGNPNSSHNVLLKAHNVTRGPTKRIAWDHPRLPSTKDKILELLHGFVNQFLSGGYNVLMQSIREDIAKEHPSIQRSDVVVFFQVAEFVTAFQFYKCSASKEGGDTFETFGDKDAVTSDFSGQICGPIAASLNEAMFQMVISQWRHAYDGLKETNDHQFLSAAGSLLKNMIRMLDLILKLLPEDSKEPQTARILLYKLTYDQTEEGMTQFLLNLMKNFDTHKQPKSDLSDLLEIIHKVVKLMDSLQSRGTLRVSRKSRKVKKKKIPAGTESGDKLTGDNSCIQNETGISTVNQSAENKLLQECLPNSNPTPNPTGEDVTVGDNEHEKHVEEDENSRVELGPMEATYPEHVSEDMLDGTNDYSEDEQLNAINEVDFKVSTLVSAFANHSIIQKLCWLLKFYKSNSLATNHYIISMLRRISDDLELQPMFYQLSLLTTFYDILVEQKSCPCEDYADIVDFLNCLVRKMLKKMKKQPLLFVEVLFWKSRRECHYMNAEYLLSELGHLKKESTKWNNTPQDEDVGLSPAKAWTRRSIADALGEDEADVLITHDSGYQNGEEQLMEDDSQIVPRRRKKLILDGDLEGQIKNLYEKFKDDRHCSRRIAEVLDPDGKISPAQISNTLKRLGLAVARRRTIGDNNAEGPLSTSPNQLDADTTMGDTNHKSVNLEGSQFVQHLQKKKRLRAFNEDQEALIKVLYEQFKDHRRCSYMIANALDEDGKFTPAQVSRKLKQLGLSLPQKKSSKGKMHLKGVDVMDSSNDRMDESEDETLISLVKRKKVDNDNISREQSHGQTSEDRLSTDDSDDEMLSSVIKKKINSKVSAEKLLAPISEDTSSREDSDDEILDSVLKRTGRSFLKSKQDELENMQVQQRIMGDDHLNGGISEVIEMENVVDSSKVEYQQMDDLADSEDEVDGSAFQDNARSRRKLRMVIDPEDDD >Vigun07g219500.2.v1.2 pep primary_assembly:ASM411807v1:7:34221034:34230931:1 gene:Vigun07g219500.v1.2 transcript:Vigun07g219500.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLSVICAGLGTVEEDNEGNRVGFTKGEYCLDNLKDLLRFLRRDDPQTRDVFKQVCKWNIVSKYLIPTIELYHEDRNLLLNSVKVLVFLTMPVEPSSTDIPQQLEYLWGLKSAVTNSDVAAVIVSFLERPLENLERDTFSEDDWKLVQLVLTLFRNILAVQEIPLHQKSGGLACQFLSLRDRFLELLFRENVMDIILVITQYVGGSNAYLRQDNLLLLEIFHYIFMGQDPELIIRAHSKGSKADEDPQASLNSLHQLILEEEKKRKNSTKINNLSRHSQFSGTFSRLTMDGSKAVVKGNPNSSHNVLLKAHNVTRGPTKRIAWDHPRLPSTKDKILELLHGFVNQFLSGGYNVLMQSIREDIAKEHPSIQRSDVVVFFQVAEFVTAFQFYKCSASKGGDTFETFGDKDAVTSDFSGQICGPIAASLNEAMFQMVISQWRHAYDGLKETNDHQFLSAAGSLLKNMIRMLDLILKLLPEDSKEPQTARILLYKLTYDQTEEGMTQFLLNLMKNFDTHKQPKSDLSDLLEIIHKVVKLMDSLQSRGTLRVSRKSRKVKKKKIPAGTESGDKLTGDNSCIQNETGISTVNQSAENKLLQECLPNSNPTPNPTGEDVTVGDNEHEKHVEEDENSRVELGPMEATYPEHVSEDMLDGTNDYSEDEQLNAINEVDFKVSTLVSAFANHSIIQKLCWLLKFYKSNSLATNHYIISMLRRISDDLELQPMFYQLSLLTTFYDILVEQKSCPCEDYADIVDFLNCLVRKMLKKMKKQPLLFVEVLFWKSRRECHYMNAEYLLSELGHLKKESTKWNNTPQDEDVGLSPAKAWTRRSIADALGEDEADVLITHDSGYQNGEEQLMEDDSQIVPRRRKKLILDGDLEGQIKNLYEKFKDDRHCSRRIAEVLDPDGKISPAQISNTLKRLGLAVARRRTIGDNNAEGPLSTSPNQLDADTTMGDTNHKSVNLEGSQFVQHLQKKKRLRAFNEDQEALIKVLYEQFKDHRRCSYMIANALDEDGKFTPAQVSRKLKQLGLSLPQKKSSKGKMHLKGVDVMDSSNDRMDESEDETLISLVKRKKVDNDNISREQSHGQTSEDRLSTDDSDDEMLSSVIKKKINSKVSAEKLLAPISEDTSSREDSDDEILDSVLKTGRSFLKSKQDELENMQVQQRIMGDDHLNGGISEVIEMENVVDSSKVEYQQMDDLADSEDEVDGSAFQDNARSRRKLRMVIDPEDDD >Vigun05g301400.1.v1.2 pep primary_assembly:ASM411807v1:5:48458322:48461086:-1 gene:Vigun05g301400.v1.2 transcript:Vigun05g301400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNALSVSTTRTYSSLYFNPLNQPSHCRSSSTDCVRKSQFEFEDEHPDKENQDFNVNVNKPKPFRSLSTDRILKPTSLEFCMQMNHFQSSLNIWDYSDSESAPASSWSTLPNKSLICRPLPLDVGRCTCFILKEPTPPGLSGGTFFSLYTNEGQGRQNRKLAVAHHKRRNGKSYFAVAQNVKGLLSHSDDTFLGTVTANLIGSKYYIWDQGYRHNSRGKQPKPPLAVVTYIPTITTCTGSHRSMRAYVPKHQCMPLKNTNQVQHIKGLPMNWEGKVDKVHQLFSKAPHYNKISKQYELDFRDKGKAGLKIQRSVKNFQLTLEENGNQTILQLGRAGKSKFVMDYRYPLTGYQAFCMCLASMDAKLCCTV >Vigun01g114100.1.v1.2 pep primary_assembly:ASM411807v1:1:28915513:28921736:1 gene:Vigun01g114100.v1.2 transcript:Vigun01g114100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMWEDVTVERAASFGAQKRKLLLNGITGFAEPARVMAVMGPSGCGKTTFLDSFTGKLAPNVVVTGNILINGKKNLNSRDVSYVAQEELLLGTLTVKETLTFSANMRLPSKMSKEEINKVVEETIMEMGLEDCADTRIGNWHCRGISNGEKKRLSIGLEILTQPHVLLLDEPTTGLDSASAFYVIQALCHIAQRGKIVICSIHQPSSEIFELFDDLLLLSCGETVYFGEAKKALKFFADAGFPCPTRRNPSDHFLMCINLEFDFITEVLERTQLCLASSKSTMEMRISEIRRTLIETYKSSKLMFNARTKIQQMKHNEEQGIEHYTGSTTTWRKQLCTLTKRSFLNMTRDIGYYWLRIIFYIMVGISIGTLFFHIGTGNSSILARAKCVSFIYGFMICLSCGGLPFFIEELKVFYGERSKGHYGEAVFVVSNIISSFPFLVLTSFSSGTIINFMVQFHPGLTNYAFFCINLFFCLSVVECCIMAVASLVPNVLMGIGTGTGVIIFMMMPSQIFRSLPDLPKFFWRYPMSYLSFASWAVQGEYKNDMLGVEFDPLLPGDAKVSGEKVLLLVLGVPLDHNKWWDLTALATLLLVHRVVLYLVLRFVKRAKSPNLWFYAKKSLHFGKRCLVNDKPSISSRKLAQHPLSSQEGLMSPNLAM >Vigun01g114100.2.v1.2 pep primary_assembly:ASM411807v1:1:28915513:28921736:1 gene:Vigun01g114100.v1.2 transcript:Vigun01g114100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMWEDVTVERAASFGAQKRKLLLNGITGFAEPARVMAVMGPSGCGKTTFLDSFTGKLAPNVVVTGNILINGKKNLNSRDSYVAQEELLLGTLTVKETLTFSANMRLPSKMSKEEINKVVEETIMEMGLEDCADTRIGNWHCRGISNGEKKRLSIGLEILTQPHVLLLDEPTTGLDSASAFYVIQALCHIAQRGKIVICSIHQPSSEIFELFDDLLLLSCGETVYFGEAKKALKFFADAGFPCPTRRNPSDHFLMCINLEFDFITEVLERTQLCLASSKSTMEMRISEIRRTLIETYKSSKLMFNARTKIQQMKHNEEQGIEHYTGSTTTWRKQLCTLTKRSFLNMTRDIGYYWLRIIFYIMVGISIGTLFFHIGTGNSSILARAKCVSFIYGFMICLSCGGLPFFIEELKVFYGERSKGHYGEAVFVVSNIISSFPFLVLTSFSSGTIINFMVQFHPGLTNYAFFCINLFFCLSVVECCIMAVASLVPNVLMGIGTGTGVIIFMMMPSQIFRSLPDLPKFFWRYPMSYLSFASWAVQGEYKNDMLGVEFDPLLPGDAKVSGEKVLLLVLGVPLDHNKWWDLTALATLLLVHRVVLYLVLRFVKRAKSPNLWFYAKKSLHFGKRCLVNDKPSISSRKLAQHPLSSQEGLMSPNLAM >Vigun01g085300.1.v1.2 pep primary_assembly:ASM411807v1:1:24082771:24084811:1 gene:Vigun01g085300.v1.2 transcript:Vigun01g085300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPHSPTDHCCDDHDHDERRTAYPPPGNTFPNPHQQPPPPFYGAPQPPPPQSDTNLFHISHVPPPGHVSQDFGHSAPPSHHHHHHHHHHHHHHHHHYHHHEDKGFSHGYSPAPTAADYPPPGTTSTVHHVAHESHHHTPHFSSHNAPSSTTTVTHVSHRSKPTVRVVTKAAPNFSLTIRHGQVILAPSDPSNEYQHWYKDEKYSTRVKDEEGCTAFSLVNKATGEALKHSIGGSHPVRLIAYNPEILDESILWSESGDLGDGHRAIRMVNNIHLNVDAFKGDEKSGGVHDGTTIGLWEWNKGDNQQWKILPY >Vigun01g085300.2.v1.2 pep primary_assembly:ASM411807v1:1:24082341:24084765:1 gene:Vigun01g085300.v1.2 transcript:Vigun01g085300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPHSPTDHCCDDHDHDERRTAYPPPGNTFPNPHQQPPPPFYGAPQPPPPQSDTNLFHISHVPPPGHVSQDFGHSAPPSHHHHHHHHHHHHHHHHHYHHHEDKGFSHGYSPAPTAADYPPPGTTSTVHHVAHESHHHTPHFSSHNAPSSTTTVTHVSHRSKPTVRVVTKAAPNFSLTIRHGQVILAPSDPSNEYQHWYKDEKYSTRVKDEEGCTAFSLVNKATGEALKHSIGGSHPVRLIAYNPEILDESILWSESGDLGDGHRAIRMVNNIHLNVDAFKGDEKSGGVHDGTTIGLWEWNKGDNQQWKILPY >Vigun07g221200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:7:34391776:34394846:1 gene:Vigun07g221200.v1.2 transcript:Vigun07g221200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSSPPPPPPSKTYTLTATSICYTKSSSTRLPLFFNHCTTTPPAYILKDVSLTAHPSQILAVVGPSGAGKSTLLDILAARTLPSHGTLSLNSSPLLPSSFRKLSSYVPQHDHSLPLLTVSETFLFAATLLKPSAPNLRALVSQLLSQLRLSHLAHTRLAHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFKVMQILKQTCLSRNRTIILSIHQPSFKILSCIDRILLLSKGTVVHHGTVATLQAFLHSNGYTVPHQLNALEYAMEILNEIKPLTPPSLPESPESSSSSSVNLVPGGGRTGNSGESIMYKSSRVHEIFTLYVRFWKIIYRTRQLLLTNTAEALLVGLVLGTIYINIGFGKEGIEKRFGLFAFTLTFLLSSTTETLPIFINERPIILRETSSGVYRLSSHLIANTLVFLPYLFVVAVMYSIPVYFLVGLCASWFSFAYFVLVIWVIVLMANSFVLFLSSLAPNYISGTSLLTVLLAAFFLFSGYFISKDSLPKYWLFMHFLSMYKYALDALLINEYSCLLTKCLIWYQENEECMITGADVLQKRGIKESERWTNVYILISFFVVYRLLCFLVLIRRVSRSKT >Vigun02g200700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:33533541:33534838:1 gene:Vigun02g200700.v1.2 transcript:Vigun02g200700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRREREMAKREKEEEKEKKQRYLLKTEPSEWSWEDQAANGGISNWDGVKNKQAQKYLKSMSIGDLCFFYHSGSKARRIVGVVSVVREWDGDAVDVKAVGEMRRPVDLKEMKHFKDFALLRQPRLSVVPVPDLIWDQICLLGGGYHGDSHGDSSP >Vigun08g113600.1.v1.2 pep primary_assembly:ASM411807v1:8:28010643:28012775:-1 gene:Vigun08g113600.v1.2 transcript:Vigun08g113600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRSDYIKFKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLNSATA >Vigun01g180400.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:1:36132729:36134468:1 gene:Vigun01g180400.v1.2 transcript:Vigun01g180400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIDVPSFFVCPISLEIMKDPVTVSTGITYDRESIETWLFSKKNTTCPVTKQPLMDYTDLTPNHTLRRLIQAWCTMNASHGVERIPTPKPPVNRNQISKLLKDASHSPLVCLRRLKSIASGSETNKRCMEAAGAVEFLASIVMNTNSNDSSNGVEPNEGSGFELKTTASDEALNLLHTLDLTEQGLKTLLGFRNGEFIESLTRVMQKGFFESRAYAVFLLKSMSEVADPVQLLHLRQEIFVELVQVLRDQISTKTSKDILQTLIQFCPWGRNRVKAVEAGAVPVLIELLLDCKERKPCEMMLVLLEVLCQCAEGRAELLSHGAGLAIVSKKILRVSTLANDRAVRILLSVSKFSATPHVVQEMLKLGVAAKLCLVLQVDSGNKAKEKAREILKLHARAWKNTPCIPNTLLSSYPAYA >Vigun03g225100.1.v1.2 pep primary_assembly:ASM411807v1:3:37434330:37440357:-1 gene:Vigun03g225100.v1.2 transcript:Vigun03g225100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAHTDKNIVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLSKEVAKSMAEDGGLPSSPVASQSSQGINGLPEIRTNEVPKENILEKPEKPEATSSPKASHSVISSTVKKPIGGKKPGKSGGLGARKLTKKTSESIYEQKPEETPAPVPSSTNNMPAGPSLTSRFEYVENVQSSELNTGGSSVMSHVSPPKSSSFFADFGMDGGFPKKSGPSSSKVQIQESDEARRKFSNAKSISSSQFFGDQTKAADLDSQASLSKFSGSTAISSADLFGDSKDNAIDLSASDLINRLSFQAQQDLSSLKNIAGETGKKISTLASTLMTDLQDRIL >Vigun04g002600.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:208865:209927:1 gene:Vigun04g002600.v1.2 transcript:Vigun04g002600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVFKHHQKKILKYWFVFSLAASIVADSQWTDPSVSLLSLLPPSHRRDDVAASIARAPVTTLLPPSLSFPRIWTATRDFQTPLQRFRCLERGDDESRPLITIDGQNASLSLNRSRLTVN >VigunL074300.1.v1.2 pep primary_assembly:ASM411807v1:NBOW01000513.1:132575:135638:1 gene:VigunL074300.v1.2 transcript:VigunL074300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDSSRFSDYYYGKLVYQDVNLRSYFGSIRPPARLTFGFRLGRCILIHFPKRTFIHFFLPRRPRRLKRRKKSRPGKEKGRRWAFGKVGPIGCLHSSDNTEEERNEVRGRRAGKRVESIRLDDREKQNEIRIWPKKKQRYGYHDRSPSIKKNLSKSLRVSGAFKHPKYAGVVNDIAFLIENDDSFRKRKFFKLFLPNKSRSDGPTSHLFKRTLPAVRPSLNYSVMQYLLNRKNQMHFDPVVVLNHFVAPGVAEPSTMGGAKQGRGLDKRIRSRIAFFVESSTSEKKCLAEAKKRLTHFIRLANDLRFAGTTKTTISLFPFFGATFFFPRDRVGFYKNLSFEDAREPLLGKLRIKCWNLMGKDKVMELIEKLIDLGRIGEWIKGIEMMIEIILRNRRIPYGYNSYLNEVKKMRSLLSNRTNTNTLIESVKIKSVYQSASPIAQDISFQLRKKTRSFRSIFSRIVKDIPLVMKKGVEGIRICCSGRSEGAEIARTECGKYGKTSHNVFNQKIDYASAEVSTRYGISGVKVWISYSKKKRGRAISETYEI >Vigun04g166800.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:39139754:39141265:-1 gene:Vigun04g166800.v1.2 transcript:Vigun04g166800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGSVLEFITQAASSSAFIFCFCNLIIVIILVDLKPSLSIHQQSEIHLLKGANDQKKGANNNSKPVVEKDTTPMPQAVEVEEVSHDHEAGTVENIEIEGNDDCSNEDEEKVEQEKDDDRISEKDDSNDELRKRVEEFIQKVNKGWKEELLST >VigunL059020.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000197.1:15614:16089:-1 gene:VigunL059020.v1.2 transcript:VigunL059020.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTFDYEIRMPPTVPVNHYSDPEGQHNRIRILWCYPMLMYPERRLALSTLISSK >Vigun11g145000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35418507:35419631:1 gene:Vigun11g145000.v1.2 transcript:Vigun11g145000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQCLLSFSLALLVSFLYSTTTLAQLSPASAPLKPSQPTPTPPAEAPKQPLVPSLPESPSDSTPDTAAAVDIVGILRQAKSFNILIRLMKTTQLINQLNAQLLTTKSGGITILAPDDGSFSELKPGFLNSLSDGQKLELLQFHVISEYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTITGIVYTDKHLAIYKVGKVLLPMDFFAVAKAPAKGPSLAPEPSAKAPKADKEKPLSPDSSESSEINSTNDNSGTVKINVQGKWLSLLLGVLLLLKLSS >Vigun07g193400.1.v1.2 pep primary_assembly:ASM411807v1:7:31265918:31269067:-1 gene:Vigun07g193400.v1.2 transcript:Vigun07g193400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGINLVMTVIGFAVSTMFIVFVCTRLICARIHLNAARRSFPIVSRSNLSMVERGSHGLERVTVAKFPTKKFSDKFFAAAENSQCTVCLSEYQGEDMLRILPFCGHSFHVTCIDLWLQQNSTCPVCRISLREFPDRKWLMQPLFSSALQPGIESFDTQHYQCMMDDNGLSSRTPDNHGVNPVEEDHFPSEVGGAVSLDNIICLSEGDFVKDEGKKHVESPSNFQN >Vigun06g073200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:6:20407247:20408496:1 gene:Vigun06g073200.v1.2 transcript:Vigun06g073200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIMSFAPATGRVFAATAAKGAGGSKEEKGFLDWILGGLQKEDQLLETDPILKKVEEKNGGTTSTGRNKNSVAVPQKKKGGFGGLFAKN >Vigun09g172246.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:34221302:34222237:1 gene:Vigun09g172246.v1.2 transcript:Vigun09g172246.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSAFGFQVRGLGFGFQVSGFELRVTGLETCVSNFGFRVSCFKFRILGFRFRVSGLEFRVLGFGFWVSGFRIWVSDLGFQVSGFGFQISSYGFRVSGFEFQISSFGFRVSGSGLRVSGFRLRVSDFGFGVLGFGFRVSTFKF >Vigun01g102200.1.v1.2 pep primary_assembly:ASM411807v1:1:27034505:27040217:1 gene:Vigun01g102200.v1.2 transcript:Vigun01g102200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEEEQQPLPPSQDPPRNAECRCRCSRIRKFVGARCIIVLLLSVALFLSAAFWLPPFMSLADRRNLHGGSRFKDHDIVASFIVNKSVSLLEDYKFQLADEIFDEIDAPSSKVAVVSLESLPGPNKTRVVFSVDSEDKGTEMSYAVISLIRASFTSLVIRQSILQLTSSSLFGDPYSFEVLKLKGGITIIPQQNAFPLQKGQAKFSFKLNFPIYQIQSNFKELTSQLKSGLHLTSFENLYISLSNSDGSTVDAPTLVQSTVLLAVGITPSEERLKQLAQTIMGPHNLGLNHTEFGRVKQVQLSSVLQHSLHGNDGGGSAWSPSPAPLAHPPHHHHHQHRHHHHHHHDAHLSPEISPLPSSTHVPAPPAPAPTPTPTPTHRHAHTHAHTHAHTPTPMPSSTPRKGVPPPRVGSPTAAKVAPAPRKSSQSQPPNCRFGFRKRSTHNSGKHAHPPTPAVAPIINTHHPVPVASPKSHIDPPTHVSHSEPALSPLPNVAFAHAEPPPKSELAPEHYYTHLPGLSSSSAGCLGTAKWSFLMLIVLVLRV >Vigun01g102200.2.v1.2 pep primary_assembly:ASM411807v1:1:27036700:27040217:1 gene:Vigun01g102200.v1.2 transcript:Vigun01g102200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFTFADHDIVASFIVNKSVSLLEDYKFQLADEIFDEIDAPSSKVAVVSLESLPGPNKTRVVFSVDSEDKGTEMSYAVISLIRASFTSLVIRQSILQLTSSSLFGDPYSFEVLKLKGGITIIPQQNAFPLQKGQAKFSFKLNFPIYQIQSNFKELTSQLKSGLHLTSFENLYISLSNSDGSTVDAPTLVQSTVLLAVGITPSEERLKQLAQTIMGPHNLGLNHTEFGRVKQVQLSSVLQHSLHGNDGGGSAWSPSPAPLAHPPHHHHHQHRHHHHHHHDAHLSPEISPLPSSTHVPAPPAPAPTPTPTPTHRHAHTHAHTHAHTPTPMPSSTPRKGVPPPRVGSPTAAKVAPAPRKSSQSQPPNCRFGFRKRSTHNSGKHAHPPTPAVAPIINTHHPVPVASPKSHIDPPTHVSHSEPALSPLPNVAFAHAEPPPKSELAPEHYYTHLPGLSSSSAGCLGTAKWSFLMLIVLVLRV >Vigun01g102200.3.v1.2 pep primary_assembly:ASM411807v1:1:27034505:27040217:1 gene:Vigun01g102200.v1.2 transcript:Vigun01g102200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAVISLIRASFTSLVIRQSILQLTSSSLFGDPYSFEVLKLKGGITIIPQQNAFPLQKGQAKFSFKLNFPIYQIQSNFKELTSQLKSGLHLTSFENLYISLSNSDGSTVDAPTLVQSTVLLAVGITPSEERLKQLAQTIMGPHNLGLNHTEFGRVKQVQLSSVLQHSLHGNDGGGSAWSPSPAPLAHPPHHHHHQHRHHHHHHHDAHLSPEISPLPSSTHVPAPPAPAPTPTPTPTHRHAHTHAHTHAHTPTPMPSSTPRKGVPPPRVGSPTAAKVAPAPRKSSQSQPPNCRFGFRKRSTHNSGKHAHPPTPAVAPIINTHHPVPVASPKSHIDPPTHVSHSEPALSPLPNVAFAHAEPPPKSELAPEHYYTHLPGLSSSSAGCLGTAKWSFLMLIVLVLRV >Vigun08g077850.1.v1.2 pep primary_assembly:ASM411807v1:8:15360452:15373839:1 gene:Vigun08g077850.v1.2 transcript:Vigun08g077850.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFQLQRRFLCTSSSKWRVKQVTASIFEETLQELKTHISSSDYVATAYYKARRAAHRFQLLHFAVCPFSVSSSDKLLAHPYNFVLFPRDELKMGMPAYSFSCQTSFLASMARRGFDFNACVYNGISYLSRAQESAAKVRLGTALSSLPVVKSSSSPTVADTVFVERIRSRIKHWRKTCEQFRSRPCMTIDVCSERQVQLVLEMLLDHSDDLLPLLIPTKSGTIHAIRIVLVNSKEDKESLERELQNFEEEERKKIHGFREVTDSISASLKPVICYNCLNDCTLIHSKFIAPLPPEVDEFVSSLRSVFPNVLDINYLMRKHGTMRKMTNIPSAISYLNSNFFAPVDLEIPDQATVKEGKIHGLNALRLGYLFMKLCSILKISPNVTDSGNKHLAPELSDFTNVFHPRSAPIQDLSNAGDVGVWTNNARKISCEHLVFLWGFNLGMTAGMLKSLLRSWHNIFSREFDVKLVDKSCAIVVFWQPGVSKQFLDIMNSEEMSGDLKELLSEGLRVTSLWEMDLMESLDRALESSYCDKESNCERKSSEIHWYNDNVINLDDL >Vigun05g082400.6.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770422:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVRWRWQQLPKQNYFFGS >Vigun05g082400.1.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770421:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKSFQAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVALATAAKAKLLLRELKSVKADLAFAKARCAQLEEENKILRDKGASDKGQNGEDDEIMIRHQLETLLAEKARLANENETYARENRFLREIVEYHQLTMQDVVYINEGMEEVTEVYPSDGSGVTRLLSVTPRSASPAKDVLLSPGIPLLSKELFTVKEEDEKSNSDVETPATV >Vigun05g082400.2.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770422:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVALATAAKAKLLLRELKSVKADLAFAKARCAQLEEENKILRDKGASDKGQNGEDDEIMIRHQLETLLAEKARLANENETYARENRFLREIVEYHQLTMQDVVYINEGMEEVTEVYPSDGSGVTRLLSVTPRSASPAKDVLLSPGIPLLSKELFTVKEEDEKSNSDVETPATV >Vigun05g082400.5.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770422:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKSFQAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVRWRWQQLPKQNYFFGS >Vigun05g082400.3.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770422:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKSFQAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVALATAAKAKLLLRELKSVKADLAFAKARCAQLEEENKILRDKGASDKGQNGEDDEIMASIGDTSC >Vigun05g082400.4.v1.2 pep primary_assembly:ASM411807v1:5:7767131:7770422:1 gene:Vigun05g082400.v1.2 transcript:Vigun05g082400.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQSLSRASTFKEEFHDPSSLDVAAKDSDHNHASPPIPSPPSSSTSSSSLPTQPTKPSASRYQPSLSFAFATPDSDSDHDHQRSKAFGNSNSKSGFWGVIAQKAKSILDDDKPMSPHATKPQTTKSYSFNAFSAPPAAQNLPSQSKPVYESLDTNIKVDSPKFRKGLDRITSSLNQLGDTFEKAFEEGRTIMESKTADLRTQIRRKSSNSGDTQQTSDLRNPLQEAAQKEKESSHESKLKASRDVALATAAKAKLLLRELKSVKADLAFAKARCAQLEEENKILRDKGASDKGQNGEDDEIMASIGDTSC >Vigun11g126100.1.v1.2 pep primary_assembly:ASM411807v1:11:33331584:33333758:-1 gene:Vigun11g126100.v1.2 transcript:Vigun11g126100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGPTEEKVYAFTRQQAMSVCGSSQHMFEKPSLPENPTLIESFSGSQIKQPLYSFTDLFGELHFKENPIHHTNAVSNITPRTCTPHKKSDSFSSLGSESLHVCTEGLGFESSDDGEEWKKEVRDLESDEREKDGGIYYGKKRLGLGEHWRRRVSSAYRVEYIYPPPISCMGNFISYRNNGRFVLKKIRTPSQEFLRAHREDGRLKLHIVQNDEDEEFMAEEEGDDYDGADGDNHGEADEAEVVEMGEENLGKENC >VigunL037300.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:NBOW01000012.1:312935:313243:1 gene:VigunL037300.v1.2 transcript:VigunL037300.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MEKPKRLFIKSKRSFRRRLPPIQSGDRIDYKNMGLICRFISEQGKILSRRVNRLTLKQQRLITVAIKQARILSSLPFLNNEKQFEKSESTAKITPLRKKNRN >Vigun08g001000.1.v1.2 pep primary_assembly:ASM411807v1:8:132422:134474:1 gene:Vigun08g001000.v1.2 transcript:Vigun08g001000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGKAFVVTGGLGFVGSALCLELIRRDAREVRAFDLRSSSPFSPALHDNGVRCIQGDIRRKEDVERALRGADCVFHLAAFGMSGKEMLQFGRVDEVNINGTCHVLDACIDLGITRLVYCSTYNVVFGGQQIINGNETLPYFPIDHHADPYGRSKSIAEQFVLKNNARPLKNQSGNLYTCAIRPAAIYGPAEDRHLPRIVSMAKLGLLLFRIGDQSVKSDWVFVDNLVLALILASMGLLDDNPSQAKRPVAAGQAYFISDGSPVNSFEFLQPLLRSLDYELPKTTLPVDRALVLGRICSAVYTILYPWLNRWWLPQPFILPSEVHKVGVTHYFSYLKARQEIGYVPMVTSREGMALTISYWQQRKRATLDGPTIYAWLFGVIGMVALFCGAFLPDIGIVFFLRATCLFVFRSMWVTRLVFLLATIAHIAEAIYAWHLAQRVDPSNARGWFWQTFALGMFSLRFLLKRART >Vigun10g111700.2.v1.2 pep primary_assembly:ASM411807v1:10:31217522:31221256:-1 gene:Vigun10g111700.v1.2 transcript:Vigun10g111700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGESPLLAIILLSFLLRSESWGWFSSSKETPSSDRAYSNGGNFRGSSAEFSIEAFNDQKGVKLIDNAQKKMITSNSCWKNAYEHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDPKASISSCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSEHLLQGSKRIHDSLDSIGSHTQQVAQTARHLEGHIDSVLIHSQNVYEQTTKIALSQSQLQEGQEGMKRSLEDGVTMLKESYNYLGKEIGKLRDEAIEIENEVIKVGDAMSSRMNTLQSKAEDIGNMAGLSLDKQHQLLDGQSTALESLNSLIQFQSKALEESRKTLQYFAEYGHRQHEELVQRQEQIQGFHDRLMENSKSILSSQESFESKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYNMRPFLYIELFATFFVEVLIIRLTSDNIELQTWIINVARLLFMVAASVQLLHAICTYKDYETLNHQMLLTLINNVNTMQTQKELSCDMDTDYEDWSEWIDGDLSDDVNCLHDPDYIPPEEVTENSITAMKNYNLRSRNFFH >Vigun10g111700.1.v1.2 pep primary_assembly:ASM411807v1:10:31217522:31220713:-1 gene:Vigun10g111700.v1.2 transcript:Vigun10g111700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGESPLLAIILLSFLLRSESWGWFSSSKETPSSDRAYSNGGNFRGSSAEFSIEAFNDQKGVKLIDNAQKKMITSNSCWKNAYEHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDPKASISSCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSEHLLQGSKRIHDSLDSIGSHTQQVAQTARHLEGHIDSVLIHSQNVYEQTTKIALSQSQLQEGQEGMKRSLEDGVTMLKESYNYLGKEIGKLRDEAIEIENEVIKVGDAMSSRMNTLQSKAEDIGNMAGLSLDKQHQLLDGQSTALESLNSLIQFQSKALEESRKTLQYFAEYGHRQHEELVQRQEQIQGFHDRLMENSKSILSSQESFESKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYNMRPFLYIELFATFFVEVLIIRLTSDNIELQTWIINVARLLFMVAASVQLLHAICTYKDYETLNHQMLLTLINNVNTMQTQKELSCDMDTDYEDWSEWIDGDLSDDVNCLHDPDYIPPEEVTENSITAMKNYNLRSRNFFH >Vigun10g111700.4.v1.2 pep primary_assembly:ASM411807v1:10:31217589:31220486:-1 gene:Vigun10g111700.v1.2 transcript:Vigun10g111700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGESPLLAIILLSFLLRSESWGWFSSSKETPSSDRAYSNGGNFRGSSAEFSIEAFNDQKGVKLIDNAQKKMITSNSCWKNAYEHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDPKASISSCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSEHLLQGSKRIHDSLDSIGSHTQQVAQTARHLEGHIDSVLIHSQNVYEQTTKIALSQSQLQEGQEGMKRSLEDGVTMLKESYNYLGKEIGKLRDEAIEIENEVIKVGDAMSSRMNTLQSKAEDIGNMAGLSLDKQHQLLDGQSTALESLNSLIQFQSKALEESRKTLQYFAEYGHRQHEELVQRQEQIQGFHDRLMENSKSILSSQESFESKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYNMRPFLYIELFATFFVEVLIIRLTSDNIELQTWIINVARLLFMVAASVQLLHAICTYKDYETLNHQMLLTLINNVNTMQTQKELSCDMDTDYEDWSEWIDGDLSDDVNCLHDPDYIPPEEVTENSITAMKNYNLRSRNFFH >Vigun10g111700.3.v1.2 pep primary_assembly:ASM411807v1:10:31217523:31220713:-1 gene:Vigun10g111700.v1.2 transcript:Vigun10g111700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGESPLLAIILLSFLLRSESWGWFSSSKETPSSDRAYSNGGNFRGSSAEFSIEAFNDQKGVKLIDNAQKKMITSNSCWKNAYEHLFAGCSEILAVDEKRSRLAWHLSDCFQRDSGRSPFPHCDPKASISSCLRTLDDLAHKVYLEFYLETNIICYQLQAYAFKHETERLVTELKSSAQYVEDKLDNIEEKSEHLLQGSKRIHDSLDSIGSHTQQVAQTARHLEGHIDSVLIHSQNVYEQTTKIALSQSQLQEGQEGMKRSLEDGVTMLKESYNYLGKEIGKLRDEAIEIENEVIKVGDAMSSRMNTLQSKAEDIGNMAGLSLDKQHQLLDGQSTALESLNSLIQFQSKALEESRKTLQYFAEYGHRQHEELVQRQEQIQGFHDRLMENSKSILSSQESFESKQASMFVVLDRIFALQNALLLESRMIKAFFVYSISIFVIFMLTSTKQTYNMRPFLYIELFATFFVEVLIIRLTSDNIELQTWIINVARLLFMVAASVQLLHAICTYKDYETLNHQMLLTLINNVNTMQTQKELSCDMDTDYEDWSEWIDGDLSDDVNCLHDPDYIPPEEVTENSITAMKNYNLRSRNFFH >Vigun08g078700.6.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15502862:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEGIFHTYLSF >Vigun08g078700.7.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15503100:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEEVEIFMKPTDLTCVVVPVFPPSESNIVL >Vigun08g078700.11.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15502714:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.11.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEGIFHTYLSF >Vigun08g078700.10.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15503100:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.10.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEEVEIFMKPTDLTCVVVPVFPPSESNIVL >Vigun08g078700.8.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15503100:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEEVEIFMKPTDLTCVVVPVFPPSESNIVL >Vigun08g078700.4.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15502862:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEGIFHTYLSF >Vigun08g078700.2.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15502862:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEGIFHTYLSF >Vigun08g078700.3.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15502862:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEGIFHTYLSF >Vigun08g078700.9.v1.2 pep primary_assembly:ASM411807v1:8:15500862:15503100:1 gene:Vigun08g078700.v1.2 transcript:Vigun08g078700.9.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKMEVFGKFTWTITNFSTLDSEELYSDTFTLDGHSWRVFIYPKGNKVSVCQFIWNSGAASMPQRWEKFANFKFILINQLRHRRNIMEEVEIFMKPTDLTCVVVPVFPPSESNIVL >Vigun04g114000.1.v1.2 pep primary_assembly:ASM411807v1:4:28582091:28582615:-1 gene:Vigun04g114000.v1.2 transcript:Vigun04g114000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSYGSKCSTTSSSSSQIRSSESVPRICGCGETLLLLKATTQKNNGRFFWRCKNWANDRNCRFFQWADEVEPEKEVTIEKNEEDSVCVNERMLVDVLQKNAKLKKKLIEERKMGQLKMCAFLVSWAFTVMFCVFFVMKINCNGYG >Vigun04g000800.2.v1.2 pep primary_assembly:ASM411807v1:4:97371:103270:1 gene:Vigun04g000800.v1.2 transcript:Vigun04g000800.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVSEKNEEEERVRSVNNHMGRQFWEFDPNLGSKEERAAVEQVRQEFNKNRFKYKHSSDLLMRLQFEREKGIKRKEARRKKEIEREEDINEEVVGSTLKTALRSLSTLQAEDGFWPADYGGPLFLLPGLVIGLWVTGTLNAVLQREHQSEMRRYLLNHQNEDGGWGLHIEGHSTMFCTALNYVSLRLLGEEVDGGDGSMQKARAWILHRGGVTHIPSWGKLWLSVLGVYEWSGMKAIPPEIWVLPYCVPFHPGRMWCHSRLVYLPMSYLYGRRFVGPINATILSLRKELYTLPYHILHWDQAKTLCAKEDMYHRCPMIQNILWSFLDNVGEPLLMRWPYSKLRQKALDCVMQHIHYEDENTNYVCIGPINKVLNMVCCWVENPNSQAFQCHISRIKDYLWVAEDGMKMQITANSSGNPSYWYRHISKGGWPFSTADNGWPSTDCTAEGLKTAILLSDLPFETVGKAVEIEQLYEAVNLTLSLQNKNGGFASYELTRSYAWLEKMNPAETFEDIMIDYQCVECTSSIIQGLALFRRRYPTYRRKEIETCIAKAASYIESIQLADGSWYGSWGICYTYGTWFGIKGLIAAGKRYQDDHSIRRACDFLLSNQQLSGGWGESYIACQQKVYMNLEGNKSHVVNTAWAMLALIEAGQGQRDPIPLHRAAKVLINSQMENGEFPQQEIMGVFNKNCTISYSAYRNIFPIWALGEYRSRILCCPNK >Vigun04g000800.1.v1.2 pep primary_assembly:ASM411807v1:4:97444:103270:1 gene:Vigun04g000800.v1.2 transcript:Vigun04g000800.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVSEKNEEEERVRSVNNHMGRQFWEFDPNLGSKEERAAVEQVRQEFNKNRFKYKHSSDLLMRLQFEREKGIKRKEARRKKEIEREEDINEEVVGSTLKTALRSLSTLQAEDGFWPADYGGPLFLLPGLVIGLWVTGTLNAVLQREHQSEMRRYLLNHQNEDGGWGLHIEGHSTMFCTALNYVSLRLLGEEVDGGDGSMQKARAWILHRGGVTHIPSWGKLWLSVLGVYEWSGMKAIPPEIWVLPYCVPFHPGRMWCHSRLVYLPMSYLYGRRFVGPINATILSLRKELYTLPYHILHWDQAKTLCAKEDMYHRCPMIQNILWSFLDNVGEPLLMRWPYSKLRQKALDCVMQHIHYEDENTNYVCIGPINKVLNMVCCWVENPNSQAFQCHISRIKDYLWVAEDGMKMQGYNGSQFWDVVLSVQAILATNLEDEYGSMLKRANNFIKCSQITANSSGNPSYWYRHISKGGWPFSTADNGWPSTDCTAEGLKTAILLSDLPFETVGKAVEIEQLYEAVNLTLSLQNKNGGFASYELTRSYAWLEKMNPAETFEDIMIDYQCVECTSSIIQGLALFRRRYPTYRRKEIETCIAKAASYIESIQLADGSWYGSWGICYTYGTWFGIKGLIAAGKRYQDDHSIRRACDFLLSNQQLSGGWGESYIACQQKVYMNLEGNKSHVVNTAWAMLALIEAGQGQRDPIPLHRAAKVLINSQMENGEFPQQEIMGVFNKNCTISYSAYRNIFPIWALGEYRSRILCCPNK >Vigun03g424700.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63036179:63038495:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPGEEEKNVCL >Vigun03g424700.7.v1.2 pep primary_assembly:ASM411807v1:3:63036176:63038495:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.7.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPG >Vigun03g424700.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63036176:63038495:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPGEEEKNVCL >Vigun03g424700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63036113:63038504:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPGEEEKNVCL >Vigun03g424700.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:3:63036113:63038511:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPGEEEKNVCL >Vigun03g424700.8.v1.2 pep primary_assembly:ASM411807v1:3:63036179:63038495:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.8.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPG >Vigun03g424700.6.v1.2 pep primary_assembly:ASM411807v1:3:63036113:63038511:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.6.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPG >Vigun03g424700.2.v1.2 pep primary_assembly:ASM411807v1:3:63036176:63038505:-1 gene:Vigun03g424700.v1.2 transcript:Vigun03g424700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSTLRILHHRHSLLNLNLNLNPPFSVCFNFNFATVPHSHSFVVSYLVSTCGFSPESALSASRYLRFESSQKPDSVLAFLSTHGFSVSQIHTIVKRECRILRCDPDKVLLPKFLFLRSKGASSADITHMITTGPRFLLSSLDNHIVPAYLLIKSFVDSDKQVITCLRRNLSFIADNRVSSNVQLLLDNGVKRSSIAMMFSMWPSILCSCNLSHTISELKQMGFDTSKTSFAVAMLAKKTVNKAKWGDKVEVFKKWGWSEEHVLVAFKKQPYCMLTSCEKIDAMFSFWVEVLGYSSLELIKYPVIFQMSLPKRIVPRSLVLRFLDKEGLRKKGASNGTPLLTTENVFLKKFVYCFEKHSSQLLKIYEKSLNEGNPG >Vigun04g089100.2.v1.2 pep primary_assembly:ASM411807v1:4:15617681:15620832:-1 gene:Vigun04g089100.v1.2 transcript:Vigun04g089100.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEKEKEDAEIDAEEITSNGENAKVKKTKKKKKKVASKDAEKRGVCYLSRIPPHMDHVKLRHILSQFGDIQRIFLSPQGSATQVPSKRSRGSRDQEYSEGWVEFGDKRVAKRVANMLNGEQIGGRKRSSFYYDLWNIKYLSKFKWDDLTEELAFKKAIREQKLAVELSAAKRERDFYLSRVDQSRALNAIEERLKK >Vigun04g089100.1.v1.2 pep primary_assembly:ASM411807v1:4:15615626:15620831:-1 gene:Vigun04g089100.v1.2 transcript:Vigun04g089100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEKEKEDAEIDAEEITSNGENAKVKKTKKKKKKVASKDAEKRGVCYLSRIPPHMDHVKLRHILSQFGDIQRIFLSPQGSATQVPSKRSRGSRDQEYSEGWVEFGDKRVAKRVANMLNGEQIGGRKRSSFYYDLWNIKYLSKFKWDDLTEELAFKKAIREQKLAVELSAAKRERDFYLSRVDQSRALNAIEERLKKKQKIEQDSGVAKVIRHFPQTKPIAADAKKSKPELSDDVLDAVFGGS >Vigun08g009700.1.v1.2 pep primary_assembly:ASM411807v1:8:841807:845035:1 gene:Vigun08g009700.v1.2 transcript:Vigun08g009700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVFLSLSSIFIFIFFFILSQKRKVAAPPGPPSLPLVGNLHQLHHSAPHRSLWQLAQRYGPFMSLRLAAVQTVVVSSARVAKEILKTHDLNFATRPAFVGLRKLSYNGLDLGFAPYGPCWRELKKLCIVHLFSANRVQSFRSVREDEVAQMLRKLSEREASGTVVNLTEVLMSFTSSLICIIAFGKKYVGQYEEEVVGKGKRRSRLQVLLNEAQALLTEFFFSDYFPLLGWVDGLTGKMRRLEKTFKELDAFYERVIFEHMDSAMAENGDDEKEVQDIIDIFLQLLRDDSLSFHLTLDHIKAVLMNIFIAGTDPPSATIVWAMTALLKNGEVMRKVQGEVRSLFGEKDFINEDDIERLPYLKAVVKETLRLFPPSPLLLPREAIERCSVEGYEIEAKTVVYVNAWGIARDPENWEKAEEFCPERFVGSEMELKGKEFEALPFGSGRRMCPAKHMGMVNVELALANLVHTFDWEVGPGSDREEMLDTEVKPGITMHKKSDLYVVAKRGQGSVLMLNLFYFTLILEV >Vigun07g162900.1.v1.2 pep primary_assembly:ASM411807v1:7:27436171:27447171:1 gene:Vigun07g162900.v1.2 transcript:Vigun07g162900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNGGESHQPPPQPQGEKVTEVGWYVLGEDQQQVGPYAFSELREHFLNGYLSENTFVWSEGRSEWQPLSSVSDLWTQIKQQGPDSSAAASAHDVDEFERWEKEIQEAEAQVEGSDFGSFSGNVGGTAAGEDSERPSTPPEGEEEFTDDDGTVYKWNRNLRAWVPQEYPTGSTEPYGVEDMTFLQEEEVFPTITNSDASEKLEESSELGVSDPSLKEETNETNETNNTSVVAGGKRKLSDQQTDKKEANKPPDSWFELKINTHVYVNGLPEDVTTDEIVEVFSKCGIIKEDPETKRPRVKLYVDKETGRKKGDALVTYLKEPSVALAIQILDGAPFRPGGTIPMSVSQAKFEQKGEKFVSKQVDNKKKKKLKRVEEKMLGWGGRDDAKVSIPATVILRFMFSPAEMRADENLRLELEEDVKEECTKLGPVDSVKICENHPQGVVLVKFKDRKDAQKCIELMNGRWFGGRQIHASEDDGSVNHALVRDLQEDAIRLEQFGAELEGD >Vigun09g076900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:9:8805133:8805942:1 gene:Vigun09g076900.v1.2 transcript:Vigun09g076900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKAYAILMAMMLTSIGIKYEGSNINPFQHSTPTALLFLTAACSHVLASTAQTTCPTIFIFHVSGAVGCEVLLWILIAPQFLWWYIINVLLLLVASFCFNYNLINQLNRATIHHHPNLASPV >Vigun02g067200.2.v1.2 pep primary_assembly:ASM411807v1:2:21707397:21709668:1 gene:Vigun02g067200.v1.2 transcript:Vigun02g067200.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILALVVAITVLWIGLLQTSVIPYNHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLKQKGVDLTLN >Vigun02g067200.3.v1.2 pep primary_assembly:ASM411807v1:2:21704522:21709621:1 gene:Vigun02g067200.v1.2 transcript:Vigun02g067200.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILALVVAITVLWIGLLQTSVIPYNHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLKQKGVDLTLN >Vigun02g067200.1.v1.2 pep primary_assembly:ASM411807v1:2:21704522:21709621:1 gene:Vigun02g067200.v1.2 transcript:Vigun02g067200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILALVVAITVLWIGLLQTSVIPYNHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLKQKGVDLTLN >Vigun08g076500.1.v1.2 pep primary_assembly:ASM411807v1:8:13718970:13722778:-1 gene:Vigun08g076500.v1.2 transcript:Vigun08g076500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSKGTTWHTLFVLFLLFSDIFPLSFSAANQPHKAKNLATPHRLSSSAVFKVQGNVYPLGHYTVILNIGYPAKPYDLDIDSGSDITWVQCDAPCKGCTKPREQLYKPNHNLVQCAEQLCSEVHFSADHYCAAPDDQCDYEVEYADNGSSLGVLVRDYINFQFINGSVVRPKIAFGCGYDQKYSGSTSPPSTAGVLGLGNGRASILTQLHSLGLIRNVVGHCLSSRGGGFLFFGDDPVPTSGIFWTSMLHSSSEKHYSSGPAELLFNGKATKVKGLELIFDSGSSYTYFNSLAYQAVVNLVTDDLKGKQLTRETDDPSLPICWKGPKSFKSLSDVKKYFKPLAFSFTKTKNVQMHLAPEAYLIITKHGNVCLGILDGTEVGLENLNIIGDISLQDKMVIYDNEKQQIGWVSSNCDRLPNVERDLEGDFPHPYAANLGIFGNGYPASFENIDDQ >Vigun03g157900.1.v1.2 pep primary_assembly:ASM411807v1:3:17100600:17106892:1 gene:Vigun03g157900.v1.2 transcript:Vigun03g157900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGLALVLAILISFQGTILVRSDGSDHRYKEGDHVPLYANKVGPFHNPSETYRYFDLPFCVTGKEKEKTEALGEVLNGDRLVSTPYELNFRTEKDAKLVCSRKLTKEQVAQFREAVKKDYYFQMYYDDLPIWGFIGTVDKEGKTDPSEYKYFLYKHIQFDILYNKDRVVEISARMDPHSVVDLTEDKDVDVDFRYTAKWKETDTPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKHKSLFAASLGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGSNWVRNLLLTGCLFCGPLFLMFCFLNTVAIVYSATAALPFGTILVIVLIWTLVTSPLLVLGGIAGKNRKSEFQAPVRTTKYPREIPPLPWYRSTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGSVGFRASLLFVRHIYRSIKCE >Vigun05g092700.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8963305:8965943:-1 gene:Vigun05g092700.v1.2 transcript:Vigun05g092700.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQWQDKLNVLFLPYPTPGHMIPMVDTARLFSKHGASVTIIATQSNALTFQKAIEGDFSCGYDIRTQVIPFPADHVGLPDGVENIRDSTTPETLGQISHGISMLKDQIELLFQDLQPDCIVTDICYPWTVESATKLGIPRLFFYSSSYFSNCVSHSIRKHRPHENLVSDAHKFTVPGLPQRIEMTPSQVAEWERTKNETTGYFDAMFESEAKSYGALYNSFHELESVYEQLHQSALGIKSWTIGPVSSWVNKDDEQKANRGHKEDLEEEPQWLNWLNSKQNESVLYVCFGSLVWLPHAQLVELAHALEHSGHSFIWVIRKKDGNENEDSFLQEFEKKMKENKKGFIIWNWTPQLLILDHPAIGGMVTHCGWNSILESVSAGLPMITWPIFAEQSYNEKLVVDILKIGLPVGVNESTFWMNLGDEAMVRREDIVKVVVLLMGSSQVSKEMRKRARKLSDAAKRTIEEGGHSYNNLTQLIDELKSLKISKTCRSTVDN >Vigun05g092700.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:5:8963305:8965943:-1 gene:Vigun05g092700.v1.2 transcript:Vigun05g092700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQWQDKLNVLFLPYPTPGHMIPMVDTARLFSKHGASVTIIATQSNALTFQKAIEGDFSCGYDIRTQVIPFPADHVGLPDGVENIRDSTTPETLGQISHGISMLKDQIELLFQDLQPDCIVTDICYPWTVESATKLGIPRLFFYSSSYFSNCVSHSIRKHRPHENLVSDAHKFTVPGLPQRIEMTPSQVAEWERTKNETTGYFDAMFESEAKSYGALYNSFHELESVYEQLHQSALGIKSWTIGPVSSWVNKDDEQKANRGHKEDLEEEPQWLNWLNSKQNESVLYVCFGSLVWLPHAQLVELAHALEHSGHSFIWVIRKKDGNENEDSFLQEFEKKMKENKKGFIIWNWTPQLLILDHPAIGGMVTHCGWNSILESVSAGLPMITWPIFAEQSYNEKLVVDILKIGLPVGVNESTFWMNLGDEAMVRREDIVKVVVLLMGSSQVSKEMRKRARKLSDAAKRTIEEGGHSYNNLTQLIDELKSLKISKTCRSTVDN >Vigun11g191900.1.v1.2 pep primary_assembly:ASM411807v1:11:39109291:39116364:-1 gene:Vigun11g191900.v1.2 transcript:Vigun11g191900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLTVFVIALASLSIVPQYRCSSSSQSQFQWQILTKQNFSSQIRLHSHLLLLVALPWSGEARSLMNDVSLAVSAKPKEFASLKLMRMHRNTEKVLADSIGATDEITLVYFHYSVSYKYRGRFRAQNILFSLYPYISLAPEEVPLAALNSPLDLRSFIDSTDKAIVLVDFCGWTPKLLAKSTKDNGTQNSFPVLGNNHGMDLSRGNSGMHVSRGKTNKKVADEDTCKAELGVDKGFCKAPWLGEFSLLNYGLLEGSKDRNHDVVHYCSSSEEFERFHSFYLKFMTVVREFFFPPERNRFGLVSNRSMLSSLGVGDYGPWFAVQYQAGCSSCSNILKEEDDLNYVLQMNSYYVKELEGNGHDQEPILPANKPSVLLFVDRSSESSETRGKSKEALEAFRELAQHYHSANQAGKKKNDSHDKYYHGLKSTSEHPRLKLSMPAQKIKLKEKISSVMIINEGKQVSLDNVPSDLQGSSLNEILGYLLQRQNDRKLSSLAKDLGFQLLSDDMDIGLASTQQPYSEVQSNQIPTVTSEQGHANTVVLDGDPYRSAGEVKANPKSTELSSEHAEGNRPSIITHEEMKSVQPGESVADNELSTAKFVRSETDDSSGGNKYEEELTHFLGFNGSFFYSDGNYQLLERLTGDVGVPSLVIVDPIQQQHYVYPGEKSFNFSSLYDFLSEFLNRTLHPYQRSEHVLQGQKGPIHPPFVNLDFHEIDSIPQITAHSFSELAIGFNLSNKEDTSNAWNKDVLILFSNNWCSFCQRMEMVVREVYRAIKGYVNMLKSGSQNVEGISDHENLDHVMMKFPVIYLLDCTLNDCDLILKSLDQREVYPALILFPAEKKKPLLYEGDIAVIEVMKFVAEHGSHFHNLIREKVAVLWLSEREGKNKNLYDALLTDFNPEPLQSHSKYHGAQGHDQMLNQVVRPSPVSSPVTNGLHEALPHVVIGSVLIATEKLLGVHPFDGSKILIVAANEATGFQGLILNKHIGWSLLPKLEKELEKLKEAPLSLGGPVMETGMPLLSLTRTVSGNHLPEILPGIYLLDQVTTIRKIEELKSANEPVRNYWFFLGYSSWGWKQLYDEMAEGAWNLSEDATRHLNWP >Vigun11g191900.3.v1.2 pep primary_assembly:ASM411807v1:11:39109291:39116364:-1 gene:Vigun11g191900.v1.2 transcript:Vigun11g191900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLTVFVIALASLSIVPQYRCSSSSQSQFQWQILTKQNFSSQIRLHSHLLLLVALPWSGEARSLMNDVSLAVSAKPKEFASLKLMRMHRNTEKVLADSIGATDEITLVYFHYSVSYKYRGRFRAQNILFSLYPYISLAPEEVPLAALNSPLDLRSFIDSTDKAIVLVDFCGWTPKLLAKSTKDNGTQNSFPVLGNNHGMDLSRGNSGMHVSRGKTNKKVADEDTCKAELGVDKGFCKAPWLGEFSLLNYGLLEGSKDRNHDVVHYCSSSEEFERFHSFYLKFMTVVREFFFPPERNRFGLVSNRSMLSSLGVGDYGPWFAVQYQAGCSSCSNILKEEDDLNYVLQMNSYYVKELEGNGHDQEPILPANKPSVLLFVDRSSESSETRGKSKEALEAFRELAQHYHSANQAGKKKNDSHDKYYHGLKSTSEHPRLKLSMPAQKIKLKEKISSVMIINEGKQVSLDNVPSDLQGSSLNEILGYLLQRQNDRKLSSLAKDLGFQLLSDDMDIGLASTQQPYSEVQSNQIPTVTSEQGHANTVVLDGDPYRSAGEVKANPKSTELSSEHAEGNRPSIITHEEMKSVQPGESVADNELSTAKFVRSETDDSSGGNKYEEELTHFLGFNGSFFYSDGNYQLLERLTGDVGVPSLVIVDPIQQQHYVYPGEKSFNFSSLYDFLSEFLNRTLHPYQRSEHVLQGQKGPIHPPFVNLDFHEIDSIPQITAHSFSELAIGFNLSNKEDTSNAWNKDVLILFSNNWCSFCQRMEMVVREVYRAIKGYVNMLKSGSQNVEENLDHVMMKFPVIYLLDCTLNDCDLILKSLDQREVYPALILFPAEKKKPLLYEGDIAVIEVMKFVAEHGSHFHNLIREKVAVLWLSEREGKNKNLYDALLTDFNPEPLQSHSKYHGAQGHDQMLNQVVRPSPVSSPVTNGLHEALPHVVIGSVLIATEKLLGVHPFDGSKILIVAANEATGFQGLILNKHIGWSLLPKLEKELEKLKEAPLSLGGPVMETGMPLLSLTRTVSGNHLPEILPGIYLLDQVTTIRKIEELKSANEPVRNYWFFLGYSSWGWKQLYDEMAEGAWNLSEDATRHLNWP >Vigun11g191900.5.v1.2 pep primary_assembly:ASM411807v1:11:39109291:39116411:-1 gene:Vigun11g191900.v1.2 transcript:Vigun11g191900.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVREFFFPPERNRFGLVSNRSMLSSLGVGDYGPWFAVQYQAGCSSCSNILKEEDDLNYVLQMNSYYVKELEGNGHDQEPILPANKPSVLLFVDRSSESSETRGKSKEALEAFRELAQHYHSANQAGKKKNDSHDKYYHGLKSTSEHPRLKLSMPAQKIKLKEKISSVMIINEGKQVSLDNVPSDLQGSSLNEILGYLLQRQNDRKLSSLAKDLGFQLLSDDMDIGLASTQQPYSEVQSNQIPTVTSEQGHANTVVLDGDPYRSAGEVKANPKSTELSSEHAEGNRPSIITHEEMKSVQPGESVADNELSTAKFVRSETDDSSGGNKYEEELTHFLGFNGSFFYSDGNYQLLERLTGDVGVPSLVIVDPIQQQHYVYPGEKSFNFSSLYDFLSEFLNRTLHPYQRSEHVLQGQKGPIHPPFVNLDFHEIDSIPQITAHSFSELAIGFNLSNKEDTSNAWNKDVLILFSNNWCSFCQRMEMVVREVYRAIKGYVNMLKSGSQNVEGISDHENLDHVMMKFPVIYLLDCTLNDCDLILKSLDQREVYPALILFPAEKKKPLLYEGDIAVIEVMKFVAEHGSHFHNLIREKVAVLWLSEREGKNKNLYDALLTDFNPEPLQSHSKYHGAQGHDQMLNQVVRPSPVSSPVTNGLHEALPHVVIGSVLIATEKLLGVHPFDGSKILIVAANEATGFQGLILNKHIGWSLLPKLEKELEKLKEAPLSLGGPVMETGMPLLSLTRTVSGNHLPEILPGIYLLDQVTTIRKIEELKSANEPVRNYWFFLGYSSWGWKQLYDEMAEGAWNLSEDATRHLNWP >Vigun11g191900.2.v1.2 pep primary_assembly:ASM411807v1:11:39109291:39116411:-1 gene:Vigun11g191900.v1.2 transcript:Vigun11g191900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVSLAVSAKPKEFASLKLMRMHRNTEKVLADSIGATDEITLVYFHYSVSYKYRGRFRAQNILFSLYPYISLAPEEVPLAALNSPLDLRSFIDSTDKAIVLVDFCGWTPKLLAKSTKDNGTQNSFPVLGNNHGMDLSRGNSGMHVSRGKTNKKVADEDTCKAELGVDKGFCKAPWLGEFSLLNYGLLEGSKDRNHDVVHYCSSSEEFERFHSFYLKFMTVVREFFFPPERNRFGLVSNRSMLSSLGVGDYGPWFAVQYQAGCSSCSNILKEEDDLNYVLQMNSYYVKELEGNGHDQEPILPANKPSVLLFVDRSSESSETRGKSKEALEAFRELAQHYHSANQAGKKKNDSHDKYYHGLKSTSEHPRLKLSMPAQKIKLKEKISSVMIINEGKQVSLDNVPSDLQGSSLNEILGYLLQRQNDRKLSSLAKDLGFQLLSDDMDIGLASTQQPYSEVQSNQIPTVTSEQGHANTVVLDGDPYRSAGEVKANPKSTELSSEHAEGNRPSIITHEEMKSVQPGESVADNELSTAKFVRSETDDSSGGNKYEEELTHFLGFNGSFFYSDGNYQLLERLTGDVGVPSLVIVDPIQQQHYVYPGEKSFNFSSLYDFLSEFLNRTLHPYQRSEHVLQGQKGPIHPPFVNLDFHEIDSIPQITAHSFSELAIGFNLSNKEDTSNAWNKDVLILFSNNWCSFCQRMEMVVREVYRAIKGYVNMLKSGSQNVEGISDHENLDHVMMKFPVIYLLDCTLNDCDLILKSLDQREVYPALILFPAEKKKPLLYEGDIAVIEVMKFVAEHGSHFHNLIREKVAVLWLSEREGKNKNLYDALLTDFNPEPLQSHSKYHGAQGHDQMLNQVVRPSPVSSPVTNGLHEALPHVVIGSVLIATEKLLGVHPFDGSKILIVAANEATGFQGLILNKHIGWSLLPKLEKELEKLKEAPLSLGGPVMETGMPLLSLTRTVSGNHLPEILPGIYLLDQVTTIRKIEELKSANEPVRNYWFFLGYSSWGWKQLYDEMAEGAWNLSEDATRHLNWP >Vigun11g191900.4.v1.2 pep primary_assembly:ASM411807v1:11:39109291:39116364:-1 gene:Vigun11g191900.v1.2 transcript:Vigun11g191900.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLTVFVIALASLSIVPQYRCSSSSQSQFQWQILTKQNFSSQIRLHSHLLLLVALPWSGEARSLMNDVSLAVSAKPKEFASLKLMRMHRNTEKVLADSIGATDEITLVYFHYSVSYKYRGRFRAQNILFSLYPYISLAPEELLAKSTKDNGTQNSFPVLGNNHGMDLSRGNSGMHVSRGKTNKKVADEDTCKAELGVDKGFCKAPWLGEFSLLNYGLLEGSKDRNHDVVHYCSSSEEFERFHSFYLKFMTVVREFFFPPERNRFGLVSNRSMLSSLGVGDYGPWFAVQYQAGCSSCSNILKEEDDLNYVLQMNSYYVKELEGNGHDQEPILPANKPSVLLFVDRSSESSETRGKSKEALEAFRELAQHYHSANQAGKKKNDSHDKYYHGLKSTSEHPRLKLSMPAQKIKLKEKISSVMIINEGKQVSLDNVPSDLQGSSLNEILGYLLQRQNDRKLSSLAKDLGFQLLSDDMDIGLASTQQPYSEVQSNQIPTVTSEQGHANTVVLDGDPYRSAGEVKANPKSTELSSEHAEGNRPSIITHEEMKSVQPGESVADNELSTAKFVRSETDDSSGGNKYEEELTHFLGFNGSFFYSDGNYQLLERLTGDVGVPSLVIVDPIQQQHYVYPGEKSFNFSSLYDFLSEFLNRTLHPYQRSEHVLQGQKGPIHPPFVNLDFHEIDSIPQITAHSFSELAIGFNLSNKEDTSNAWNKDVLILFSNNWCSFCQRMEMVVREVYRAIKGYVNMLKSGSQNVEGISDHENLDHVMMKFPVIYLLDCTLNDCDLILKSLDQREVYPALILFPAEKKKPLLYEGDIAVIEVMKFVAEHGSHFHNLIREKVAVLWLSEREGKNKNLYDALLTDFNPEPLQSHSKYHGAQGHDQMLNQVVRPSPVSSPVTNGLHEALPHVVIGSVLIATEKLLGVHPFDGSKILIVAANEATGFQGLILNKHIGWSLLPKLEKELEKLKEAPLSLGGPVMETGMPLLSLTRTVSGNHLPEILPGIYLLDQVTTIRKIEELKSANEPVRNYWFFLGYSSWGWKQLYDEMAEGAWNLSEDATRHLNWP >Vigun09g275100.1.v1.2 pep primary_assembly:ASM411807v1:9:43569493:43573784:-1 gene:Vigun09g275100.v1.2 transcript:Vigun09g275100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTNNMTRTLFLVALLSTIVVATHGHGRHMTAHNVTYDGKSLFINGRRELLFSGSIHYPRSTPDMWPILLDNARRGGINVIQTYVFWNAHEPQQGQFNFEGNYDLVKFIKLVQEHGMFVTLRVGPFIQAEWNHGGLPYWLKEVPDIIFRCDNEPYKQHMQAFVTKIVQMMKDEKLFAPQGGPIVLAQIENEYNHVQRAYEEKGDSYVQWAANMAVALNVGVPWIMCKQTDAPDPVINACNGRHCGDTFSGPNKPYKPVIWTENWTAQYRVHGDPPSQRSAEDIAFSVARFFAKGGNLVNYYMYHGGTNFGRTSSEFSTTRYYDEAPLDEYGLEREPKWSHLRDVHKAVLLCRKAILGGDPNVEKLNEFHEIRTFEKLGTNLCVAFITNNHTTDAATINFRGTNYFLPPYSISILPDCKTVVYNTQSIVSQHNSRNYERSTTANNFQWEMFNEAIPTTKKMDMYQNKPRELFTLLKDTSDYAWYTTSFELAPGDLPTKPGVLPIIHIESNGHTMVAFVNGDLIGTAHGTHDKKTFDFNRPVQLRVGTNYISILAGTVGLPDSGAYMEHRYAGPKLVSIIALNTGTLDITTNLWGHRVGLKGEGMKVFSDEGSIRAKWKPLSPVPRPLTWYRTRFVTPEGTGPVAIRMTGMGKGMMWINGKSIGRHWMSFLSPIGKPTQSEFHIPRSFLNPQDNLLVIFEEEPLAPRQIEILNVNRDTICSFIAENDPPNVNSWVSRRGNFHPIVPYLGPKALLECAPGKKITTVEFASFGNPSGSCGQYILGTCNAIATKQIVDQECLGKETCSIALNRAIFNQNGADPCPEILVKTLAIQVRCY >Vigun11g189900.3.v1.2 pep primary_assembly:ASM411807v1:11:38945243:38949384:1 gene:Vigun11g189900.v1.2 transcript:Vigun11g189900.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSTKDNFAGAIFAESNCWSMLKGGFTAQESGPAELYFEGNNTSVEIWIDNVSLQPFTEKEWSSHQDQSIEKNRKSKVLILAHDEEGKALRNAEISFEMKKPSFPFGSSMNKFILDNALYQNWFTSRFTVTTFENEMKWYSTESVQGKEDYTVADAMLQFAKNHNIDVRGHNIFWDDPRYQPGWVPSLSPNQLSSATENRVKSVVSRYKDKVIAWDVVNENLHFSFFESKLGQDFSGKIFEEVHNVDERTTLFLNDYNTIENNRDGVSNPARYVQKIKEIQSFQRNSGIGLGIGLESHFTDVGINFPYVRSSLDYLGATRLPIWITEFDVESQPKQVQYFELALKELHSHPMVKGIVMWTAWSPQGCYRICLVDNNFKNLAAGNVVDKFLSQWKSKKFSGVTDKNGYLELSLFHGDYEMKTSHPVKNNFTFTQLLKVSPQNESNKMKQVVKLYMK >Vigun11g189900.1.v1.2 pep primary_assembly:ASM411807v1:11:38945245:38949384:1 gene:Vigun11g189900.v1.2 transcript:Vigun11g189900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTKHMNLIFLVCAFLFTGFEVDALSYDYSASIECLRHPLKPQYNGGIIQNPELNHGLRGWNSFRNAKIEHRESSGNKYVVARSRKHAHDSVSQKISIEKDKHYTLSAWIQVSEGNVPVIAMVKTSTKDNFAGAIFAESNCWSMLKGGFTAQESGPAELYFEGNNTSVEIWIDNVSLQPFTEKEWSSHQDQSIEKNRKSKVLILAHDEEGKALRNAEISFEMKKPSFPFGSSMNKFILDNALYQNWFTSRFTVTTFENEMKWYSTESVQGKEDYTVADAMLQFAKNHNIDVRGHNIFWDDPRYQPGWVPSLSPNQLSSATENRVKSVVSRYKDKVIAWDVVNENLHFSFFESKLGQDFSGKIFEEVHNVDERTTLFLNDYNTIENNRDGVSNPARYVQKIKEIQSFQRNSGIGLGIGLESHFTDVGINFPYVRSSLDYLGATRLPIWITEFDVESQPKQVQYFELALKELHSHPMVKGIVMWTAWSPQGCYRICLVDNNFKNLAAGNVVDKFLSQWKSKKFSGVTDKNGYLELSLFHGDYEMKTSHPVKNNFTFTQLLKVSPQNESNKMKQVVKLYMK >Vigun11g189900.2.v1.2 pep primary_assembly:ASM411807v1:11:38944424:38949384:1 gene:Vigun11g189900.v1.2 transcript:Vigun11g189900.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIYLLCVILLRGFEVDALSYDYSASIECLRHPLKPQYNGGIIQNPELNHGLRGWNSFRNAKIEHRESSGNKYVVARSRKHAHDSVSQKISIEKDKHYTLSAWIQVSEGNVPVIAMVKTSTKDNFAGAIFAESNCWSMLKGGFTAQESGPAELYFEGNNTSVEIWIDNVSLQPFTEKEWSSHQDQSIEKNRKSKVLILAHDEEGKALRNAEISFEMKKPSFPFGSSMNKFILDNALYQNWFTSRFTVTTFENEMKWYSTESVQGKEDYTVADAMLQFAKNHNIDVRGHNIFWDDPRYQPGWVPSLSPNQLSSATENRVKSVVSRYKDKVIAWDVVNENLHFSFFESKLGQDFSGKIFEEVHNVDERTTLFLNDYNTIENNRDGVSNPARYVQKIKEIQSFQRNSGIGLGIGLESHFTDVGINFPYVRSSLDYLGATRLPIWITEFDVESQPKQVQYFELALKELHSHPMVKGIVMWTAWSPQGCYRICLVDNNFKNLAAGNVVDKFLSQWKSKKFSGVTDKNGYLELSLFHGDYEMKTSHPVKNNFTFTQLLKVSPQNESNKMKQVVKLYMK >Vigun04g017900.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:1321671:1325049:1 gene:Vigun04g017900.v1.2 transcript:Vigun04g017900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKILFVLALLLNLVINSFQNPNPKTPKSTLSRAHVELVSYGLPSGLLPATTVLGYAVNRTTGEFTVKLGGACKITLPPDNYVATYSNTISGKIVQGKIAELDGIRVRAFFKWWSITGIRSSGDDIVFEVGMVTAKYPSKNFDDSPACEGQHSSS >Vigun05g213200.1.v1.2 pep primary_assembly:ASM411807v1:5:40393151:40395803:-1 gene:Vigun05g213200.v1.2 transcript:Vigun05g213200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPQQNPSLPIEDKPTQQHLSTPTKSPSAPAKSTTHIDAPPPELPDSAGLRRRCKTRAPQAASPRNPRKPRRRFELEVREEKDSGLIEDVGKQPRKRRQTARTKKEKPNSVPPSTSSPKSEEESGGDFDHVGQMVSDLIMWKDASKSTFWFGFGSLCLLSSCFTQGLNFSIFSALSQFGILFLGVSFFSNSICQGNMVEQKREVKLKEDDILRLAKLCLPAVNFSISKMRVLFSGEPSMTLKVVPFLLLGAEYGHLITIWRLCAIGFFISFSVPKLYSCYSAHINKRAESLKLWLLNTWSACTHKKKVLASVIMAFWNLSSIKTRIFTIFILLVLFRYLRQQVTLQFEDGETQVSEKEQQKAPVMPEPEEKEPHKALVVVKEQGSQN >Vigun04g108500.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:4:26561125:26562734:1 gene:Vigun04g108500.v1.2 transcript:Vigun04g108500.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRASVILKLIAAVRAMAKSKALALKSRTNAMKARLVIFSLVMNKRYVMSTISDKFQSLLGHHHHHSRVKEVQDVVDSVENKCTVVVDDSAHISEVVSDVVPGETIVVVEDKNDYDYDDGECECYNDDDDDEYEDEDDDDGNKYPDLTHTMFESEDLDIGGSVIDMVKSSKEEAGQEFKMEDEIDHMAEIFIRKFRRQILLQKQDSLNRNHEMHKVLES >Vigun07g125100.1.v1.2 pep primary_assembly:ASM411807v1:7:23159845:23173967:-1 gene:Vigun07g125100.v1.2 transcript:Vigun07g125100.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDEAPMDDKAKRMRDLLSSFYSPDPSNSDTTSKHASLDDINSTSFDPDQYMNILAYKSNLEGLLQRHVEVAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNISGMETNMEQLLEKIMSVQSRSDSVNSSLFDKREHIEKVHRTCNLLRKVQFIYDLPDRLGKCIKSEAYADAVRFYTGAMPIFKAYGDSSFRDCKQASEEAMAIIVKSLQGKLFSDSESIQVRADAAVLLKQLDFPVDNLKTKLFEKLEQSITDIRLNPIEINSASRDYSAHEAAVHEFVEAVRAFRVIFPDSDEQLVKLAEDLVTKNFVFAEEYVKTRICPDDLLGVLRVIWNDVLLIDEVLQEAALSNHSLEAAKVVLMSFVRSAFSHLLQDISGSLLQILKKDGAEQCSLDVVLDASTKAVLQGSLNVLLDFRKILDENSGIVVRLRELIIDWVQEGLQDFFRKLEDQFLLFSGRSNSSIQAHGLAEGAQGDKAFAGLVLVLAQLSSFIEQTVIPKVTEEIASSFSGGSIRGHESGPAFVPGEICRKFRSAGEKFLHLYVNMRTQSVSFLLKKRFTTPNWVKHKEPREVHMFVDLFLQELEAIVKEVKQILPQGRRKHRRTDSNGSSASSRSNPLREEKLGRSNTQRARSQLLETHLAKLFKQKVEIFTKVEYTQESVVTTLVKLCLKSLLEFARLQTFNRSGFQQIQVDIQFLRIPLRDIVEDEAAIDFLLDEVIVATAERCLDPVPLEPPILDKLIRAKLAKTEQQNTVSS >Vigun08g123300.2.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29334839:29338415:1 gene:Vigun08g123300.v1.2 transcript:Vigun08g123300.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAPTPTDAPPEGSAPPAQAEGSAAQAAPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAFEENGGRPESNPFATRAVRIYLREVRETQAKARGIPYEKKKRKRTTTTISSAVTTATVSTVTSTSSNNPTNGAGVSVPSDVPTATPPNVTTATTSV >Vigun08g123300.5.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29335072:29335728:1 gene:Vigun08g123300.v1.2 transcript:Vigun08g123300.5.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAPTPTDAPPEGSAPPAQAEGSAAQAAPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAFEENGGRPESNPFATRAVRIYLREVRETQAKARGIPYEKKKRKRTTTTISSAVTTATVSTVTSTSSNNPTNGAGVSVPSDVPTATPPNVTTATTSV >Vigun08g123300.3.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29334775:29336697:1 gene:Vigun08g123300.v1.2 transcript:Vigun08g123300.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAPTPTDAPPEGSAPPAQAEGSAAQAAPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAFEENGGRPESNPFATRAVRIYLREVRETQAKARGIPYEKKKRKRTTTTISSAVTTATVSTVTSTSSNNPTNGAGVSVPSDVPTATPPNVTTATTSV >Vigun08g123300.4.v1.2.CDS.1 pep primary_assembly:ASM411807v1:8:29334881:29336480:1 gene:Vigun08g123300.v1.2 transcript:Vigun08g123300.4.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAPTPTDAPPEGSAPPAQAEGSAAQAAPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAFEENGGRPESNPFATRAVRIYLREVRETQAKARGIPYEKKKRKRTTTTISSAVTTATVSTVTSTSSNNPTNGAGVSVPSDVPTATPPNVTTATTSV >Vigun10g032466.1.v1.2 pep primary_assembly:ASM411807v1:10:4279514:4280680:-1 gene:Vigun10g032466.v1.2 transcript:Vigun10g032466.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKASQDSKKRKVTRGSSSRAPPIDSMDEDAEFEYDQYKFTSEAAARRFLEIMHVGILLERHVNLKWHRVLGNLPNEVDEVLVKEFCANAYNSDGSLPRQAKVRGKMIKFDRKALNIFLKTPIFPTDRNTPYRDFLNEDKDFEAIAARLYIPGESYVIGVSGTPVRILHKHLNSLAQMWTVISQEIALIASNATKLGFPALITALCKAKSVVSDTPVLLRLQPPINSRFISKHCMNPAVDHVPAPRPVPRPRPPSVPRASSSISKATFQAAMSKMFARQEDIWDSQQAVRRGTRCIMDNLHKLSLAVPDTPDDYLMTGAQFDEYISWPRGRPES >Vigun10g010200.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:10:994518:995006:-1 gene:Vigun10g010200.v1.2 transcript:Vigun10g010200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGILPERHVNLKVGEFDDFRLELERRQWHRVLGNLPNEVDEVLVKEFYANAYNSDGSLPRQAKVRGKMIKFDRKALNTFLKTPIFPTDRNTPYGDFLNEGKDFEAIAARLCLPGESYVIGVSGTPVCILRKHLNSLAQMWSVFSYNNISPNTHTSDINLE >Vigun02g048225.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:2:18780604:18780849:1 gene:Vigun02g048225.v1.2 transcript:Vigun02g048225.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSKNGETLPKLATREPHGERKKNGEKLSNTYMHAGVKRCGKSCRLGWLNYVRPNIKRGNFNYIVKLTLILCFHQFLLKI >Vigun11g146000.1.v1.2.CDS.1 pep primary_assembly:ASM411807v1:11:35483709:35484029:-1 gene:Vigun11g146000.v1.2 transcript:Vigun11g146000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSQSQSSTSAATTRPGVMAPRGSAAATAGMRRRRLGAGSSSASVGSGSGTGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYRSSAGV >Vigun02g055000.1.v1.2 pep primary_assembly:ASM411807v1:2:19789283:19794786:-1 gene:Vigun02g055000.v1.2 transcript:Vigun02g055000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKNESQTLTSSPLSPFTQPIRCIVKLGGAAVTCKNELEMINEEILQKVSGQLRQAMIASSEKPLGMDWSKRPGGSEICCNPEEFGDHSAMECSPFIVVHGAGSFGHFQASKSGVHKGQLNKPLVKGGFVATRISVTTLNLEIVRALAREGIPSIGMSPFSCGWFTSERHISSADLSSVAKAIDSGFTPVLHGDAVLDEIQGCTILSGDVIISHLAAYSKPKYVVFLTDVYGVYDRPPTEPDAILLKEIAVADDGSWSVVKPKLQNSIELTVAAHDTTGGMKTKISEAAMIAKHGIDVYIVKAATSHSLRALNGDLRSSIPDDWLGTVVRSLK >Vigun01g094600.2.v1.2 pep primary_assembly:ASM411807v1:1:25834095:25839340:1 gene:Vigun01g094600.v1.2 transcript:Vigun01g094600.2.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVLQRRLLRFLQLLPPSSSSTHAVPFLSVKASECTSLCRKNSLLPSHTSYSRNLCSGSFNLDESQAPLTVDYRSLLDENEFHRLADSTIHSVQEKLEDYGDLVEVDGFDIDYGNDVLTVKLGDLGTYVLNKQTPNRQLWLSSPLSGPSRFDWDRDTKAWIYRRNKANLYKILEGELEQLCGKAIVLS >Vigun01g094600.3.v1.2 pep primary_assembly:ASM411807v1:1:25834414:25839340:1 gene:Vigun01g094600.v1.2 transcript:Vigun01g094600.3.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVLQRRLLRFLQLLPPSSSSTHAVPFLSVKASECTSLCRKNSLLPSHTSYSRNLCSGSFNLDESQAPLTVDYRSLLDENEFHRLADSTIHSVQEKLEDYGDLVEVDGFDIDYGNDVLTVKLGDLGTYVLNKQTPNRQLWLSSPLSGPSRFDWDRDTKAWIYRRNKANLYKILEGELEQLCGKAIVLS >Vigun01g094600.1.v1.2 pep primary_assembly:ASM411807v1:1:25834094:25839340:1 gene:Vigun01g094600.v1.2 transcript:Vigun01g094600.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVLQRRLLRFLQLLPPSSSSTHAVPFLSVKASECTSLCRKNSLLPSHTSYSRNLCSGSFNLDESQAPLTVDYRSLLDENEFHRLADSTIHSVQEKLEDYGDLVEVDGFDIDYGNDVLTVKLGDLGTYVLNKQTPNRQLWLSSPLSGPSRFDWDRDTKAWIYRRNKANLYKILEGELEQLCGKAIVLS >Vigun06g144200.1.v1.2 pep primary_assembly:ASM411807v1:6:26999717:27003886:-1 gene:Vigun06g144200.v1.2 transcript:Vigun06g144200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESESTIDKVVEEVEKVKKEWDETYKKTQKHIEAIAEYGKSRREKEETNSLARLNGIAQDGLSLLSSFLFTLDLLAPQLPSPPEVKSARALLQSWKTLTQNLRLNLRNANLQAKANLRKSAQEERELLLGGGEESTARRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERNTTTLMTIDESTGVLKKAESEYKGHRSLLMRTRNLLSIMQRQDVMDRLILGIGFFLFSLAVLYVVSKRIGLLTLQRKITEAIKAGVVGHADLRPQAVAENVNPHQVRVDHVHNIEAPLEQRIHDEL >Vigun03g219200.1.v1.2 pep primary_assembly:ASM411807v1:3:36497601:36503071:-1 gene:Vigun03g219200.v1.2 transcript:Vigun03g219200.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRECDTLCLVFEYMEYNLYQQMKNREKLFSENDVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDVIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSHLYGSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVIGSPTTESWADGLKLARDINYQFPQHAGVHLSALIPSRSDGAISLVSSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRTRAVARTPPSAGTRGSLDRQGLKRYSSALPNTKFTNNFSSPKLHASIASGVQRKLDLATEDKNKKSLKTTQQSKYRIPGKDSPTSMNKGRTVRGVSETAEKLSNMSIGTRRQSMGQNRPSPAMKAGVNYISESGNFVLRSGQQNPTTERRFTRKVAG >Vigun03g172700.1.v1.2 pep primary_assembly:ASM411807v1:3:21142964:21143316:-1 gene:Vigun03g172700.v1.2 transcript:Vigun03g172700.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSREKVSGGSSTASLVLVRNGGGPFRVFFALTMAAIGISQSSSFAPDSSKAKTATASIFIIKSVPSRLVAS >Vigun07g109000.1.v1.2 pep primary_assembly:ASM411807v1:7:20172826:20174287:1 gene:Vigun07g109000.v1.2 transcript:Vigun07g109000.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPKRPQAEKDPIKYGDVFDVSGDLASQTIAPKDAALMQAKEHQILGQTQKGGPASVMQSAAAVNVRDGNVGRGELSGVAREQGVSVLEGKVDGQRVITESVGGHVVGQFVEPEIPMETPSTALERDAITIGEALEAAAVAGAGDKPVDESDAAAIQVAEMRATGKNETKSGGLGARAQSAATRNTRTVPQSDKTTLSDVLTDAREKLQGDKAVTREDAEGVIGAELRNKLDMKTTPGGVAASMAAAATINQNRNKG >Vigun03g358900.1.v1.2 pep primary_assembly:ASM411807v1:3:56132110:56132585:-1 gene:Vigun03g358900.v1.2 transcript:Vigun03g358900.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCCPRLPPGKQQKLLNEGVFKWLKFVGQILIQSVRTQQ >Vigun03g201801.1.v1.2 pep primary_assembly:ASM411807v1:3:31089093:31090576:-1 gene:Vigun03g201801.v1.2 transcript:Vigun03g201801.1.v1.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPKKGSRKNVRIGSRNHTRKIPKGIIHVQASFNNTIVTITDVRGRVISWSSAGTCGFKGTRRGTPFAAQTAAGNAIRTVSDQGMQRAEIMIKGPGLGRDAALRAILRSEKLRVSTRTLQWKCVESRIDSQADTIGIAIRRILLGEIEGTCITRVKSEKIPHEYSTIIGIEESVHEIFMNLKKIVLKSNMYGTQDAWISFKGPGYITAQDIILPPSVEIVDNRQHIANRNRGYRIKTLKNFQDGSYDIDARFMHVRNVNYNKLRKNKKEIALKSIFIDQLELPPRIYNCLKRSNIHTLLELLNNSQEDLLKFEHFRVEDGKSILDILKIQKYFA